>A01p054220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30548026:30550338:-1 gene:A01p054220.1_BraROA transcript:A01p054220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEDDGNSLRAGGEALGDSAERRRRNAPPRCCCGCFEWISGLVGCKCLFVLLLSVSLFLSALFLLLPFGAVDRGGSSLDPRFKGHAVVASFSLNRPKSFLNEHLTQLQQDIFQEMSYISINVTILALESHELNTTKVVFGIDHDTAYREIFPLSLSTIKGMFESVLINQSTLQLTKPLFGDTFLFEVLKFPGGITVIPPQSAFPLQKFKLSFNFTLNYSIHRIQINFNTLAIQLKNGLNLAPYENLYVTLSNAEGSTVYPPTTVHSSVLLRVGTSNPSPRLKQLTDTITGSRSKNLGLNNTIFGKVKQVRLSSLPNNSDSSTNSPSPSPSPHSNHHHHHHHHHHHHHHHHHHNHHHHHHHHNHHHQLSPSIAPAISPVASPVPQKSPRSRRAPSAPPPCNSGNRRGQRVHFKDKHLHFSSTPTPTPSPSPASHRPPRFSAQPPHASHQSPRYSARPPHHQLHSPAPIPAAKPHIVPVSAPLPHVVFANAAPPPKTKPSEPQHANEVAHPQPQTSSSNLNLPATPWIVLLMLIAAWLRE >SC305g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000150.1:7444:8240:1 gene:SC305g500010.1_BraROA transcript:SC305g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGHLQVLVFLYALLLFSAESRKTQLFDTESSTDDGAEHENYGDKVDARDIPLLYLETKIQNAPVGSPQRQEAQKNLLEEINHRKQIDQNIIEILRLSLKKTDVLDLLTSTRTTGQPVVDDWDCYKTLVKSFKNQCGAKMAYDMKYAGALANICNMGVDVKKSVAAIEEACAH >A09p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20923002:20928388:1 gene:A09p034540.1_BraROA transcript:A09p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTQSIKAKKRRSTSILHLLVQKSLFEKKQFMSYNEDVNVKCCACSYPSCNQWSDLYPHAKVTRRNMWEKKLKFLYLTGMMADAQKKNCPHKIPIKGSYCAPTICLDKCKKQYGTLDMPWESPTNSSDNAKKIDSTISGHDKALVMKKNFTTRLRVLRLHPHLSLHFPSHEVTFREEVIRKPSYMNLTQSIKAKKRRSTCIIHLLVQKSLFEEKQIMSYNEDVNVKCSACSDPSTNQWSDLYPHAQMKKSLQLSFTFLIIFIILSQGMMADAQKKNCPHKIPIKGSYCAPTICLDKCKKQYGTLEKKKQELIIKQESVRKSNKSCKGSLGLNWHDIWVADMQWESPTNSSDNAKKIDSTFSEHDKALVMKKNFTTRVFGSPPPISSSATSSETSSTSQSPFPLS >A01g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22628802:22630467:1 gene:A01g508010.1_BraROA transcript:A01g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFSTDSEAHRLLSLGRVGVIKKYNINIWRKEKYEYQWINITRYASNFFGKPLGGHDSNSSGMIDYTLNGDLQPQKQPMLQQQPHQLSPSEFGATPFFDKKNFIDIMHFADFGPKLALNRTNNQAVHETGFDPVCFLKFPVLKERIEDHIRTQHLMSSHRTPQEGGECGGNIGCVFLEDQDNNSRRLRFIRGGENEDRDNDNVTTKEVNSKRKRGRTSKTIEEVESQRMTRIMVERKRRKQMNEHLRVLRSLMPGSYVKRGDQASIIGGVIEFVRELEELLPCLESQKRRRILEETGHRQTGDMTRPTNPSSCPVTRVANQTQPLIITKNITELEGGGGLLEEMTENKSCLADVEVKLLGFDAMIKILSKRRPGQLLMTMAALEDLHLSILHTSITTMEQTVLYSFIVKASSTCLHIILIGITSETKFTAEDIASSIQQIFSFIHGCTIM >A06p000880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:73870:74244:1 gene:A06p000880.1_BraROA transcript:A06p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRIAATSVFRWSRSRRKIHIRRRKSQVVRLGGNHNVVPRGGFSLKKMVRKMKLRWLRLHYVRLVKKINGFYRNLVKEFLDAGAELEAIQKRMAVEAGSFAVPGFGLSFASMSVHDRARHFLV >A03p068350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29933171:29936863:1 gene:A03p068350.1_BraROA transcript:A03p068350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYATKVSMHIDDEDDEEEEVCRICRNPGDADNPLRYPCACSGSIKFVHEDCLLQWLSFSKARQCEVCKHSFSFSPLYAENAPTRLPFHEFVVGVAMKACNSRLPRLSFVLCVWLLTVPLVTFWMWRLSFLRFFGEEAQRLFLSHVSTTVVLIDSLLGFILSASIMFVLREAASFRDYFRHLRVSLYELVGLEGSLFRLVENAFSVLASNMLFIGAVIFVPFTLGRVILYHFADTGLSLRNILTLSLNNVLTAAVSSLTNNGQLTEMVKVNGSELSGAINNTLFVAAAGLSSTLYDVTTLTVGYMFIVSLVFLYLGITALIRYFKDEPLTVEGVYGIAWIIKTVWPPLRQFLVVMRLGIRLVSFVVVMFGVFPLMCGWWLDVCTVTMFGQTMSYRLQFLSVSPLASSLVHWVVGAVYDWYSDNFYPLHCSVLPTKWNLSYSLILENLLRQVLRPEVLYFLYGPEDDDEEETIRYLIHDPVHKIARDGFLSGAQYGCWIVLLVFLPVKLAIWMAPSVFPLDISVSDPFTEIPAGILLLTICTPFIIEHFRIQTTVVSLLRCWFTCVGWALGLTDFLLLKPEDNAGQEQENGEPGRQNRAIAALPAADDPNRSLLQEYNLQLRITLLLLVASVTLLLVHSTLIVVPVSLGRALFNAIPVLPIRHGIKCSDLYAFFTGTYVFWTTISGARYAMEHVKSERTSVLLNRIWKWCGIVIKSSVLLGIWVLIIPVLIGLLFELLVIVPIKVPVDESPVFILYQDWALGLIILKIWTRLMVDDSWRAKFERVKEDGFSRLQGLWVLREIVLPVVMKLVTALCVPHVLARGVFPMLGCTLVVNSAVYRFAWIGCLSASLLCFCAKRCHVWFRNLHNSIRDDRYIIGQRLHNSGEEAAALAIKKNQSSEVAGDGE >A06p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6941329:6942775:1 gene:A06p015470.1_BraROA transcript:A06p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLKGTMRAHTDMVTAIATPIDNSDTIVSASRDKSIIVWKLTKDDKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFVGHTKDVLSVAFSLDNRQIVSASRDRTIKLWNTLGECKYTIAEGGGEGHRDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLSNCKLRSTLAGHTGYVNTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSVVEDLKVDLKAEAEKSDGSGTAATKRKVIYCTSLNWSADGSTLFSGYTDGVIRVWGIGRY >A02g511750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31724504:31725629:-1 gene:A02g511750.1_BraROA transcript:A02g511750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFSSATSSSLRETSSSWVSSRVKMSTTVTTSLPVDKEAFPPGRECSGLLVIYEQCVRSSGTRTGTRMIFVTSKSGYCEWKALCSKGAKSMKFKVSYMVSSGQPTKEYFPLCKFARTHDTLHDVVIIHAPKGKYVNSATA >A05p015560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6933257:6935167:1 gene:A05p015560.1_BraROA transcript:A05p015560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGNGLTCRFWTDNWSSFGCLEQYFSQDSNFSLRIPAAATLSSLQRDGNWRLPHARSERQLNLQAYLTTVQLTEEEDHYVWEIEGTTSTRYSTSAIYSCLYGEGEVVPWDQIVWIAGGIPKQSFLCWLFVLNRCPTRDRLLRWGLQTPPTCLLCNLAPESRDHLFFSCTYAWELWLSQSRRSGLDPQRIWDAVITQLQAFSSRSWRAMNYIMSQLAIFSFNVALRLLLGFAFYGRYMASPQTSLVAIVQLCQQIQGLQAPSAVAVLNLLNQVIIYSLWREQNARIFKSLSSTQEALFGVVDCAIRDRLLSLSRPTVSAPSPTLLELYFWFLSPYS >A02p058160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34955409:34957647:-1 gene:A02p058160.1_BraROA transcript:A02p058160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILFQTVTVLVSIISSSVAQAGNIPAVIAFGDSILDTGNNNDLSTLTKVNFYPYGRDFVTRQATGRFGNGRIPTDMIAEGLGVKNIVPAYRSSDLQSNDILTGVSFASGGSGLDPMTAQVQGVIWIPDQLNDFKAYIAKLNSITGDEEKTRSIISNAVYIISAGNNDLGITFVSNPARNTRYTVFSYTNMMISWTQSFMQELYNLGARKFAIMGTLPLGCLPGASNAIGGICLEPANAVARLFNQKLANEVNNLNSVLPGSRSIYIDMYNPLLELVINPLRSGFTWSTWPCCCSPAAPVPCLDASRHVFWDIAHPTEKAYQTIIPPIIQQIQQSFA >A06p009030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3165150:3165956:1 gene:A06p009030.1_BraROA transcript:A06p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKKGLGAVKSSSNTNRKVVGDGGLGLGLDCDKYKKGFGRKRVLIPIGKNRELESLPQDILIRIICGVEHGDLKQLFNVSKTIREATLIAKKSHFEYITPRKTLFFRNKVDHLGNETEVPLKKKTRLSRISCEKKASKISVALFK >A02g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15026216:15027361:-1 gene:A02g504630.1_BraROA transcript:A02g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFFFVSTCVVWPSILLNRNRFRYRFSTIITFLTPYQREARSDIDCELSFCKTNGTCSQETKLKKPEKFCLACDATAWRPSSVLQLVCKKCQRYWTAGGMMRNILVSAGRRKNKNMTSHNNCNVSRISTKAMLCIRRLQKIKKSLIFNILMAPISTLWL >A04p039490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22276257:22278413:1 gene:A04p039490.1_BraROA transcript:A04p039490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDREGSFVAVRRISQGLERGSVYNSSSAEGVPGSAAWLGRGLSCVCAQRRDSDTNSTFDLTPAQEESLQSLQNRIDVAYDSTIPLHQEALRDLWKLAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFQKSFQDLLRKQVGDRSVWEYPFAVAGINLTFMLIQMLDLEAVKPRTVVGATFLKFLSENESAFDLLYCIAFKLMDQQWLSMRASYMEFNTVMKSTRRQLERELMLEDIMHLEDLPSYALLSQ >A09p062150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50966050:50973653:1 gene:A09p062150.1_BraROA transcript:A09p062150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDYWNCTVILWIKKETVIILSTSLALTSISVQNGDVMGLDYSYTQPSDSEDYGLQNSADSRNSSTEMNIMLDQAEIEAARNQYPPQPEVEFGFPKECYCGREPLLATSYTRNDPGRRFYTCDNIDDGDCHVYKWWDVAVTEEIKALGTQYAQLSDKVDYLSFRSDDDTHLREFKDLQFDLEQKLLRVERIGCDLARNTSSANRHWTSCSYVGEDVQSIEMQIKLHEIGKNEKEKDWSSIVWRLDHRTSGSTVGLTLNHGKSNLRLKPYGEGEDLLGMSGIFEIKVEYSYI >A08p001810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1113667:1115310:-1 gene:A08p001810.1_BraROA transcript:A08p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEDQMQGLSASIKCERKSKDDVVDWKCIIDETVIYMSYRSVFDHPIYPLHLHLIECLALQVVRRCTIDQGANEVALSVVSGVHFKASQGLSSIWAGPACSDMSGGGRHMCGCTVEEGPVPTTEHTVKKARND >A02p003780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1620217:1621357:-1 gene:A02p003780.1_BraROA transcript:A02p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRTESGVFSYVYIPFSFIHEILQSLFVKFLGVRSPPSNFPKISEEEEVTEVVEVTSRKPQLEYSSGKPGDVIVQPSTSLARFAKDLDAILEKRVIVKNNCNRERPLTIPSFKLLAVLATTGSAPLVLV >A02g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18937888:18945249:-1 gene:A02g506770.1_BraROA transcript:A02g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDLDECWFEFNQLGSAGNQFTMICSAHRSWWASSISWEQLGVSSIHVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVKKRGETAKGRLWDGFGKKGRDFGNCSPRRLGTV >A08g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15554965:15556245:1 gene:A08g508480.1_BraROA transcript:A08g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEDPWIPTTPARPARSLAPVMHLNMRVSDIINQESKEWDAGLLDDYENQVLSLGNICLLDGSWTASERFSGCGWVWMDSRENIQLMGTRNFTRCESALHSEVEALRWAMENMLQHSPCQSFGTDCKELITMLNDPQEWPSFATELEKIETLKICFPDFKINHVPRVRNQLSDFLAKTARNFRRELLFIGCFIPVWLPRPPQA >A09g511320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33922262:33923546:1 gene:A09g511320.1_BraROA transcript:A09g511320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCAYLGRGSTDGTDLYGSVRTETTRGNTTWPFEMADKKKSGREASKGNQEDPLWKQATNESKDGADWLLWRMDRLALAVKPKGATTMNMIAISTLHPSHPFIFSHFYPLDLACSIGAYTHTQPEISENRIWYRSQVHLNLVEASANLRAHYHVHFNHEEAVCSIRLFNTSRGRRVHPGVQKLSAAVPQHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQKEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWSAH >A03p031100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13092496:13096872:-1 gene:A03p031100.1_BraROA transcript:A03p031100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSGSSSNGGDYKTFRQITRESELLLPILCVLKSGLLHASGLLYEMLRPEGSKSTWKVLVVDELTVKILSSSCKMSEFTQQGISLVEIITKQRQPMTSMEAIYFIQPTEANVNALLSDMTGQSPLYKKAFVFFSSPVSRSLVTLIRKDMKAMKRIGALKEMNLEYISMDSQGFITNNENALEDLYSDEENHQRADACLNVVAKRIATVLASLKEYPVVRYRGAKGLDATTMTSYRELIPTKLAASVWNCLTKYKQTIEDFPQTETCELLILDRSIDQIAPLIHEWTYDAMCHDLLKMEGNKYTHEVPSKTGDNTEKKEVLLDEEDPIWVELRDVHIADASERLHEKMTNFVSKNKAAQLKQSSKDFGDLSSKDLQKMVHALPQYSEQIDKLSLYVDIARTINRTIMEQGLRELGQLEQDLVFGDAGRKDVIKFLSTNNDINQESKLRLMMIFAEIYPKKFAGEKGRKMMELAKLSGDDVVAVNNLRLLGPVHTECKSSTTGSFPLKFDVLKTKRAARRDRVGDTQTWMLSRFYPIIEELVEKLNKGHLPKQDYPCMNEPRPTFYSSSQSPSASPVLPHSRRTPSWARRHLSDDGYFSDSVLGRASSCIKKKGQRIFVFIVGGATRSELRACHKLTEKLDREIILGSSSFLDPHTFLTKMKKMNEEEEISLDDIDI >A01p019450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9430815:9431054:-1 gene:A01p019450.1_BraROA transcript:A01p019450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCYPSSPRKLAMTVAFLHQEQLFSLLESICRISTLLLSKLVLKPVMISSKNASGRNKASDFQDSDYVSITTEPFLFE >A05p045130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27023153:27023766:-1 gene:A05p045130.1_BraROA transcript:A05p045130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGRSGLVLASPSDETASDFSLSLWLGRSSRVIRSTRWRRSGLAAPMPCSPNDHSKHSFLSSKCLQVSPRTPCGTPIPDKDSCMQNAT >A09p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19601987:19604960:-1 gene:A09p032270.1_BraROA transcript:A09p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKIKERSIEEWQEYYINYKLMKKKVKQYGRQIEVGSLDRRHVLKDFSRMLDHQIEKISLFMLEQQGLLSSRLQKLRECHDALQDEPDISQISNLREAYRSVGQDLLQLLVFIDTNAIGIRKILKKFDKRFGYRFTNYYVKTRADHPYSQLQQVFKHVGLGAVVGAISRNLHELQNNQGSFLSIYDQPVVPLQDPVVDSIKNAVDRLTRSTNFLNFMAQHALIMQDEDLLIHEDQADQEEGRYHFMSLLLNLANTFLYMVNTYVIVPTADDYSMSLGAAATVCGVVIGAMAVAQLFSSVYFSAWSNKSYFKPLIFSSIVLFVGNLVYALAYDFNSLALLLIGRLFCGFGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYNLTFNQDTLPGWVMAVAWLMYLVWLAISFVEPARDPEEVHTSQESNSESLMFDEADQDGNMEKGITKQLLLAQEETEHDDEDDCDGSEESAEDSRKPANSFVAAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFGWSMSSVSIFLFCLGLTVLPVNLVVGSYISNMFEDRQILLASEIMVCVGILLSFHVVVPYTVPQYVISGFIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITVAGFLGQSMLLNVTLLPSLIICVGSIVATCFTFNSLY >A05g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11188062:11195099:-1 gene:A05g503990.1_BraROA transcript:A05g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKIQDPAGLMEVQDQAGPLQFRSLGQNRTGLTISTCDLGSDASPNSAVCVRSQPRKSLESYQVFITKLVAILQSIPSINPALRETSEPDRPSLSPSRPSKPRPSHPSVRPSVHPSCPVRVLEPLRDMGDVNANAVPTQADINAQLMAGQAQLTATMNAVTEQLARMEQRNRPNDPLPRRRNPPYLDDPRLFSDEDNEELLIEEMVQLKIQDPAGLMEVQDQAGPLQFRSLGQNRTGLTISTCDLGSDASPNSAVCVRSQPRKSLESYQVFITKLVAILQSIPSINPALRETSVQQA >A07p046070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24925742:24938620:1 gene:A07p046070.1_BraROA transcript:A07p046070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSVKPRNGNNLIGIDEHMNELYPRLDLNSKEGVRVIGIWGRGSMGRSALARHVYESISHNFEAHCFLEDIGQVAVQLEKHEMREDREKVLSWRQALTNLASISGVCTLKWEDDSMMVDEIAKRISTVIDTTRKPTRTGSNLVGIDAHMKALSRLLDLNSKKNVRVVGIWARGGNGRSALAKFVYKNICQHFESHCFLENVKRISQDRHMSHLRQEVLKRVQVESNQKVLLVANEVNKLEHFDALAEDFSCFGPGSIVIITTQDKQLLVSAGIKLVYEVELLRFQKVRELFRQLGFRVRERAIESVLCKGTSLAMKWLSCCLRVRSEVKLGACGLLSIMGPQSSGKSTLLNSLFGTNFMEMDAFKGRRQIGQVALQFKKHEKREDHEKVLSWRQALTTLANISGYCSRKSKDESTMVENIAEKISMLIRTRKTRSNERTDGHMEDKQLLVSARIKLVYEVELLRFGKDKSEGCCSVQLIDGDGIYNVSGIDHFIKEVKLGECGLSYAVVSIMGPQSSGKSTLLNSLFGTNFMEMDAFKGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPMSDFFNVEVVALSSYEEKEEQFKEQVASLRQRFMHSIAPGGLAGDRRGVIPASGFAFSADQIWRVIKENKDLDLPAHKVMVATVRCEEIANEKFSHFISNEDWRKLDEEVQAGSVSNFGKRLTSILGSCLSEYDGEATFFDEGVRSSKRHQLQEKLLQLVNPAFQDVLGHIRFGMLEKFKASFDKALEIGEGFSSASSTWFKSCMAQFDEECAGAIVEQADWDTAKVRDKLVRDIEAHISSVRTSKLSELTSQYESKLHGALSEPAEALLEGANDETWRTVKKLHRRETESAVSGFNSALACFDIEEEIRDKMVKILQDYSRGVIESKAKEEAGRVLMRMKERFGTIFSHDSDSMPRVWTGKEDIRAITKAARSASLKLLSVMVVIRLGDETDNIEKRLTVALLDPTTSKKSITASDPLASSTWDEIPSSRTLITPVQCKSIWRQFKTETEYTEANKRGSNWLPPPWAILALILLGFNEFMTLLRNPLYLGLLFVGFLLLKALWTQLDIPGEFRNGVVPGLISISAKFVPTVMNLLKNLAAEGEAPPTANPENRRPSNNTSSHASSSENPTEHKSGSKTD >A04p029770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17768953:17774083:1 gene:A04p029770.1_BraROA transcript:A04p029770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVDLRCLDEGFGGKTFKRKCESQEQASAEASMDIDSIQPPSAKRSAVASSEDPDKPVSVVAIERPSYDGVISGKVSGRPWKLPRAHRASGRFVRNKGPDLEEMKRGREIKRAYRERMSELKEEIRSNKVEKRKKKEEREKRKQENVLRTGTKLQKITNPKTLKKIAKSKQRKHLKVIPDEMMSGNKKSVKREKESKRNESLISPVTVTPYLFPFLPFRDGVNAASPLHDHHQHPPSDPPTTQPHPPSMAPPARPLPPPQQPRRHLSSPHPPRRLSRRLSPSSTNLSKFPPLQTPKSDSSDFSGRRSTRFVSKMHFGRPKTTTSSSRHSSAAEEALRTAITFSGEDQTFQSLISSFEPKLRGSDDYTFILRELGNRGECDKAVTFYEFAVLRERRKIEQGKLASAMIGTLGRLGKVSIAKRVFEEALSGGYGNTVYAFSAIISAFGRSGLHEEAISVFTSMKSYNLRPNLVTYNAVIDACGKGGMEFKQVAEFLYEMQRNNVQPDRITFNSLLAVCSRGGLWEAARNLFDEMSKRGIEQDVFTYNTLLDAICKGGKMDMAFEILSQMPSKRIMPNVVSYSAVIDGFAKAGRFDEALNLFDEMKYLGIALDRVSYNTLLSIYTKLGRSKEALDVLREMASVGIKKDVVTYNALLGGYGKQRNYLEVKNVFAEMKRERVTPNLLTYSTLIDVYSKGGLYKEAMEIFKEFKGAGLRADVVLYSALIDALCKNGLVGSAVSLIDEMTKEGISPNVVTYNSIIDAFGRSATVECLAESGDGGVGSSSLLPSSSLSKLAVTEDNQVMQIFGKLTIESSNRGKKDCKEGMHELSSILEVIRKMHQLEIKPNVVTFSAILNACSRCNSFEDASVLLEELRLFDNQVYGVVHGLLKGHRENVWLQAQSLFDKVNEMDGSTAAAFYNALTDMLWHFGQKRGAQLVALEGRSRQVWENVWSESCLDLHLMSSGAARAMVHAWLLNIRSIVYEGHELPKLLSILTGWGKHSKVVGDAALRPAVEALLRGMDAPFYLSKCNMGRFTSSGSVVATWLRESATLKLLIVHDHVTTKASTTRGPAEQHEQTSLTLQPLLL >A05p011410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4869826:4870638:1 gene:A05p011410.1_BraROA transcript:A05p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OFP15 [Source:Projected from Arabidopsis thaliana (AT2G36050) UniProtKB/TrEMBL;Acc:A0A178VSX7] MKIPFVNKNHSSFSCSSNSVSSSTNSTSWAWPSCHQNPKTISFRATITFTNPIHEQEDDEVDPPEIKESIESVIKGLRSSERLIFEIKGESNSILEEATTKRVQEEIEEEAEEEEEGFMLLSLESNDPYSDFKRSMEEMVEAHSLHHDWRSLEQLLVQFLKVNAKTSHRYIFAAFVDLLLNLPPNANEPIRNNIANDDVDGISASCAAAGEASTSYCTSIGLGESPLSPLSFYTSCSSSSSSDETSSTSVRFLPLSSLLEMDEKTKDILV >A08p000320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:209113:211070:-1 gene:A08p000320.1_BraROA transcript:A08p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAVRSRLVPSLLKLRSRNPRRALFSTEASSSSKVSSSSQQQSIFSDFPPPNHLPPPPPPEAAAEALGKERKGLKYLSNGLIWAFTGATAAIGYTSYAYTLDEVNEKTKSFRESAMKPPPPALDSSSAIDKYQAMLYSAAIKVPARAIEMYLELREQVEEHVKGFTEPLSEKLLPDLHPSEQNVVYTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQMDMYVYPVCEKLDPNGYIRYKLARGATKYENGKHYRDLSKLNRDPKRILYVSGNAFDTSLQPENCVPIKPYKLESDDTALVDLIPFLEYVARNGPADIRPVLASYERKDVAKEFLERSIEYQKRMQGQRQGRLWRR >A10p031680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18887305:18889609:1 gene:A10p031680.1_BraROA transcript:A10p031680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSSHPLTTHVSLSLYLDFNASTIHASALLTLSSPFSGNLSLDSRSISIKSILDPQTLTPLPHSVSSSPDPIKGSEVVVVLTGQSQILILYSTSPSASALQWLSPLQTFSKTHPYVYTQCQAIHARSIFPCQDTPAARIRYDVVMNVPSSLSAVMSARHVRRRLPVLEEAKHLDVLSSVVWCAEDRVVEEFVMEQPIPPYLFAFAVGELGFREVGPRTRVYAESAGGEVLDAAALEFAGTEEMIKQGEKLFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGGQVVAHELAHSWTGNLITNINNEHFWLNEGFTTYAERRIVEVVQGGDIATLNIGIGWRGLNDEMERFKDNLEFTKLKNKQEGVDPDDVYSQVPYEKGFQFVLRIERQVGRTAFDEFLKKYIATFKFKSIDTDTFLDFLKANIPGIENEINLQLWTEGVGIPEDAYEPVSAIYTKIISLAEEFKQGKMPSEDEVAEWKGQEWELYLENLPKSCEPSQVVALDKRYRLAESKDYEVKVSFLKLAISSKCKGYHGEVEKTLKSLGRMLYLRTLFTALAQTGGTEEKQLAKQIFTEARETYHPIAQGVVESILSKYI >A02g511880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31974522:31975521:-1 gene:A02g511880.1_BraROA transcript:A02g511880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQIYEWKKKWEVNKVPKSMNDTVWKELYAHWDKEETKETSSTNSNNRRSDRKGKSIYKHNLGAQSIATLADRMAEENEGDPVDDLALIKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTNDDDSTASTNLSRVRINKIVESSVPKKKGRLVGLLRRSRSAAPSSAPPQYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEHMMEMMKRMYPNEVFPNIPDP >A09p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12655426:12656725:1 gene:A09p022960.1_BraROA transcript:A09p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDSKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMSELEKLE >A04p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:291707:294113:1 gene:A04p000490.1_BraROA transcript:A04p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRVSLSFPEEVLEHVFSFIHVDKDRNSVSLVCKSWYEIERWCRRKVFVGNCYAVSPGTVIRRFPKLRSVELKGKPHFADFNLVPEGWGGYVYPWIEAMSTSYTWLEEIRLKRMVVSDECLELIAKSFKNFKVLVLSSCEGFSTDGLAAIAASCRNLRELDLRESDVDDVSGHWLSHFPDTYTSLVSLNISCLSSELSFSALERLVTRCPSLKSLKLNRAVPLEKLAILLQRAPQLEEFGTGGYTADVRPDVFSDLSVALSGCKKLKCLSGFWDAAPAYLPAVYSVCCRVTTLNLSYATVQSYDLVKLLSQCPKLQRLWVLDYIEDTGLEVLASTCKDLRELRVFPSDPFVMEANVALTEQGLVSVSMGCPKLESVLYFCRQMTNDALVTIARNRPNMTRFRLCIIEPKAPDHMTLEPLDVGFGAIVEHCKDLRRLSLSGLLTDKVFEYIGTYAKKMEMLSVAFAGESDLGMHHVLSGCDSLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCSVSFGACKLLGQKMPKLNVEVIDERGPPDSRPESCPVERVFIYRTVAGPRFDMPGFVWNMDQQHSTMRVCQGNGHY >A05p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4008885:4009874:1 gene:A05p009580.1_BraROA transcript:A05p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIDLEQGAAYGYHRRSFGGSDVSVYYSDGEDMTSCYSYFYSTSGGPYEYEGGESRKVSSVMGLSDVDDDDGDDDATAPPEKDCRICHLGVVETSGGGAMELGCSCKEDLAIAHRQCAETWFKIKGDKICEICQSVAKNVGSANEMVTSTVDEREVRNGGGGEETAAVAAAMAIENRWQPQRVVNIVLACMVFGFVVSWLFHFHVSSSS >A09p052360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45893369:45896910:1 gene:A09p052360.1_BraROA transcript:A09p052360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVLSKPHRLKYDFFLSFRGEDTRHTVVKRLYDALHVKEKALIFRDNEGMERGQAIYSSLVAGIEDSAASLVVFSPRYADSHWCLDELATLCDVSSSLDRPMIPIFYKVDPSHVRKQSGRFVEDFETHAEKFKDKEIEIQRWRGAMKIVGNLPGFIYREGEKEDEMIGLVVKRVLAEENNTPEKVGEYTLFSYHSLRKDKPPGSLLQLSEKIVETTGFLPLAVEVFGSLLYDKKEEKEWLVQLEKLKSTKLHNLQGVLKVSFESLDDEEKKVFLDTACLFLKMDMTKEEIVDVLKGCGFNAEAALKALRQKSLVKFLADDTLWMHDEIKDMGMQMVVKESPEDPGKRSRLWDRGEIMNNMKGTTSIRGIVLDFKKKSMRLDDDPGTSSVFISYLKNILKPTRTENTIPVEHFAPMKKLRLLQINHVELQGNLELLPSDLKWIQWRGCPLKDVPASFLSRQLAVLDLSESGIRRFQSFQPKRVEGNLKVLNLRGCHSLEAIPDLSNHESLEKLVFERCMRLVEVPSSVGNLKKLLHLDLRNCPNLAEFLVDVSGLKRLEKLYLSGCSSLSVLPENIGYMPCLKELLLDETAIKNLPGSIFRLEKLQKLSLKSCRSIHELPECIGTLTSLEELDLSSTSLQSLPSSIGNLKNLQKLHVMHCASLSKIPDTINKLASLQELIIDGSAVEELPLSLKPGSLPCLAKFSAGGCKSLKQVPSSVGWLNSLLQLKLDSTPITTLPEEISQLRFIQKVELRNCLSLKSLPNKIGDMDTLHSLYLEGSNIEELPENFGNLENLVLLQMNKCKNLKKLPNSFGGLKSLCHLYMEETLVMELPGSFGNLSNLRVLNLGNNKFHSLPSSLKGLSSLKELSLCDCQELTCLPSLPCNLEKLNLANCCSLESISDLSELTMLHELNLTNCGIVDDIPGLEHLTALKRLDMSGCNFQVHR >A01p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7781350:7783309:1 gene:A01p015850.1_BraROA transcript:A01p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSNVDWQFSGSEAAKEASAAALSAYSSKLFALCDPNGKPILPPRVETAETSHTAEKAVVHSVLCGLGNAYAPSIGLPAAKSAVAEYLNRDLPNKLTANDVFMTVGCKQAIELAVDILAKPNANVLLPKPGFPWDMVRTIYKKLEVRKYDFVPEKDYEIDLDSVRAQVDENTFAIFIINPHNPIGNIYTEAHLKEIAELARELKIMVVSDEVFRWTVFGSNPFVPMAKFSSIVPVVTLGSISKGWTVPGWRTGWLALHDLDGVFRTTKIFDAAKQFLEINSKPPTVIQAAIPTILDKTPEDFFVRRQKYLKDKADYAYSVVKGIPCLTCYQKTEACTFLWTELNISCFVDIKDAEDFCEKLATEENLVLLPGVAFGVTNWARHSIDMDSATLQDAFGRLKSFCKRHSITIGEASPEDVNGVN >A07p049690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26401545:26403147:-1 gene:A07p049690.1_BraROA transcript:A07p049690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLMAVKPTSLCNTLNLTASPRSPRTNPRAARLVNPTARIQTRIHRLIQDQGIILMPGCYDALSAAIVQQTGFSAGFISGYALSASLLGKPDFGLLTPPEMAATARSVCASAPNIPIIADADTGGGNALNVQRTVKDLIAAGAAGCFLEDQAWPKKCGHMRGKQVISAEEHAAKIASARDAIGDSDFFLVARTDARATSAKSGLEDAIARVNLYMEAGADASFVEAPRDDDELKEIGKRTKGFRVCNMIEGGVTPLHTPEELKEMGFHLIVHPLTALYASARALVDVLKTLKENGTTRGHLEKMATFEEFNSLVDLESWFELEARYSNLRNALGTTTKS >A02g511210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29727886:29728252:1 gene:A02g511210.1_BraROA transcript:A02g511210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEVEVEVMEVAVPLAEVDTADTVVTVAAVEEIKAVVVVDMVIAVEEEASAAGEVVVAEMVAAEIVIGVALSSIQPL >A02p006670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2839528:2844449:-1 gene:A02p006670.1_BraROA transcript:A02p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKPQRSPAEIEDIILRKILHVTLTDSTTTAAPPDPRVVYLEMTAAEILSEGKDLLLSRDLMERVLIDRLSGTFPAAEQPFPYLVGCYRRAHDESRKIQSMKDKNLRSEMEIVTREAKRLAVSYCRIHLANPDMFGSSDDKPIGVGMKKRSVSPLLPLIFSEVGSGSLDMFGGSSSGGGVQYPPGFLDEFFKDSDFDNLDVILKELYEDLRSTVINVSVLGDFQPPLRALKYLVSLPVGAKSLVSHEWWVPRGAYMNGRAMELTSILGPFFHISALPDNTLFKSQPDVGQQCFSQASERRPADLLSSFSTIKNFMNILYSGLHDVLMILLKSTDTRECVLQFLAEVINANASRGHIQVDPVSCASSGMFCNLSAVMLRLCEPFLDPHLTKRDKIDPKYVFYGHRLKLSDLTALHASSEEVSEWIDKDNTAKATDARNENESRLLQSKEATSSSSNASGQNAKSTTKYTFISECFFMTARVLNLGLLKALSDFKHLAQDISRGEDNLATLKAMRDQSPSPQLELDITRMEKELELYSQEKLCHEAQLLRDGDFIQRALSFYRLVVVWLVGLVGGFKMPLPSTCPMEFSCMPEHFVEDAMELLIFASRIPKALDGVQLDDFMNFIIMFMASPEYVRNPYLRAKMVEVLNCWMPRSSGSSATSTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRRIAKEEEKGVYLNFLNFLVNDSIYLLDESLNKILEIKKIEAEMSNTAEWEQRPAQERQDRTRLFHSQENIVRIDMKLANEDVTMLAFTSEEITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLSLKDPEKYEFRPRQLLKQIVRIYVNLARGDSENIFPGAISSDGRSYNEQLFNAGADVLRRIGEDGRIIQEFMELGTKAKAAASEAMDAEAALGEIPEEFLDPIQYTLMRDPVILPSSKTTVDRAIIQRHLLSDNHDPFNRAHLTSDMLIPDVELKARIDEFVRSHQSKKRASGEDGSSNKERIQTTSSDMLID >A06p049850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26278865:26279107:1 gene:A06p049850.1_BraROA transcript:A06p049850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKIFVQITVACLLLAMIAMVSAQDHDDHDHHEGDGHDDHDHHAPGSSSNKTNSAVIVAADMFTGLVASTVALVVCFIY >A02g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16392755:16399242:-1 gene:A02g505460.1_BraROA transcript:A02g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFQSREWMDQRIDPGSNCVSETFLGGIDAFIQFACNQADYKERETLLCPCARCKNVKQREARVVARHLFLYGFKGNYYVWTSHGEKFYDVGESSGANQSTGEEEMWENPTWNAYEDHHQNIPETFMMLNCETFAPYERMFEEYMMRSIPEITPAAMQKAKDTKFAEWCKDYDDRRDQVAESSLLRVETHVVDDVSDYDIAPVNPPNDEYVSDVEGFILRFSSFFSTLREKKEKLATQHRIAFSDSSLAVSDSKPRRLPPPASRLNLSPLKMNSTRVHGTHVASPPMPPGATGPVFNHAGSPPMPPGATGPAFNHPGSPPMPPGATGPAQNHTASSSRSNSYPQMTLNAMLNSPARLLQPHLHPDKLNGALWFGIDPSVHAFIRATWQGYYMGPWKSWRKVPEERKDSWWQTFVQNFYWESQFDDLVYGLWKKETWTTIGERISKKKRQHKKPKYISDSDWTLLLEYWATDSAKKKSKKAATSRKSDPVGKGCHKHNAGPRSFARIAYNMKQASATGEPPSYTALVRETHSRPDGTFVDYRAEELVTQAEMEATQLSNTEGSPGSPSASSAPSRLMLNKAYLKNAKSKRGYVYGLGSEQYREHAPSTRVPNGLARNLEVEMRVGGLETSLQRVTADVAGVKQDVAGVKQDVSDMRQDFASTREAINQLLQTLRPPQAPTGQTSDQP >A01g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25410519:25410950:1 gene:A01g509400.1_BraROA transcript:A01g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLKPLKWDGEGEEERPVEALMILQYGGVLTHAGRKHAEELGRFIRYNMYLGEGTGLLRLHSTYRHDLKIYSSDE >A03p043160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18021666:18024110:1 gene:A03p043160.1_BraROA transcript:A03p043160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPPPPERSKRLHNFTLPYLRWGHQRSLRCVNLPSSSSSPPSPDHGERRRNLSIDLVCDGKPALGNGGGEEAEARPWNLRTRRAACNEPTRITRDDGDSEKKEKVKFSVALLRGEIEDDFTSLFGKKPPRRPKKRPRLVQNQMNTLFPGLWLAEQVTEGSYHVPDYFGNFAEFRPRFLVRQHIQVSDNVLSLSLSGKLSYRQCSVYEHLSCDTCSFLQLAKRNIMICAESNHQRISFASDLGQSDKAPPVDKQPSGRLVRRDTTLLDSSSPDFEFHISRNVDASPADEIFADGMILPFQVTTASSMPKRLYKYELPPIVSAPSSSIPPKPLPLPLPLPLPQQHYSEKGTPGSGANSDSEAEKTSKSFWSFKRSSSLNCDIKKSLICSFPRLTRSNSTGSVINSKREMLRDINKHSSQRHGAPRPGTDPSSHLSSSCSSPSSVCCSSYQFKPQKQAGKNGGRGGSFGLGSILRVLKDKKTKNK >A07p005900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1887260:1889099:1 gene:A07p005900.1_BraROA transcript:A07p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIVIRHDLFLAFLLLASPFLQVALCQSDSEDSGFEVSDVGEDESETEQVFGLELTSAPGIETLCVFPNNRAKIIKAGTETEVLVGMKNDGPSDVDIVAVKGSLHLPFDQKPLVQNLTTLSFGNASVPVSAQATFPYIFAVSKFLEAGAFDLVGTIVYEMDGKPYQSIFYNGTIEVVEDGPLFRMESVFLSGLLISLIVVLIIYIQNGLRHMTKKTKRATKAKVEVGTAAKDASLDEWLEGTAYTQSSSKSKKKK >A09p004070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2389371:2390288:-1 gene:A09p004070.1_BraROA transcript:A09p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTKDDADVDDFDEYDPTPYSGGYDITVTYGRSLPPSDENCYPLSSLSGDAFEYQRPVFSSSHEPSAYDDQALNTEYSSYARPKTKHGSGKKASYDHRNDDDNKESQGQQKYSGKDTDDEKSKTKEKKKDKKKDDDDYEKKKKDKQQYKDHHNDDYDEKKKKKDHYDNDDEKKKKKDHYDNDDEKKKKKDNRDGYDEKKKKKDHHDSDDEKNKKKVHYKHNKGHREYDD >A10p003710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1848146:1849859:-1 gene:A10p003710.1_BraROA transcript:A10p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLKQGSVPMNNISITPEATYEAVVADPKLFMATLERLHSRLGTKFMVPIIGGKDLDLHKLFIEVTSRGGISKIVNERRWKEVTATFVFPPTATNASFVLRKYYFSLLKNYEQLYFFRANAQTPPPDSLQNPSAGPGLVIRPPQELLALTYTPQPRMDSSDLPGGSSNGPIVNGQIDGKFEDGYLVTVKVGSEMLKGVLYELAPQQSHGVSLNTANPQGITGGVTKRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLNPGKDREISRMIGELWNKLNEQEKSVYQGKAMEDKERYRTEMEEYREKLRTGQMISNAVPLQERVPEQNVEMAEAEGDLPMEEEEDEDGDSSGSGESLSHPSDHELEEPSQNPLGLNLNPNSTEMVVVAPKEKAGDAVMVAAEQN >A04g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16943498:16944848:-1 gene:A04g507090.1_BraROA transcript:A04g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPVAAVEEIKVWDLDCSRSERIRGGGYQGGDRGVRVSGGGGWRGGGGRDGGGRDGELNVTSVGAPTPSGTGGRGASDRGGGRDSSRDSGRSSYESSRYDGGSRSGGGGGGSYGSDSRGNGSYGQGSPPPLAAIPSYDGSGSYPPPMGYGMEAVPLPSSYAGGPPSYGGPTGGYGGDAPSTGGRGGSYDGDSAPRRQEPSYGDAPSEKVKQCDENCDNARIYINNLPPDVTTDELKDLFGRVGQLISLVGRIKQKRGYRDQRSYKIKIYTVEKGKNKGDACLAYEQSTLGRRLFQQYDIHINAFISKRLFFLNQFKIMILP >A10p017370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2482366:2484558:-1 gene:A10p017370.1_BraROA transcript:A10p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVASKADHNTPLLNLCKERKELIRAARDARYHLAKSHLLYFQSLLDFTNALNQFVHKDLIVIPYSDDDSSSGLECSGSSDSDSESDSDSNSHCLACDESQVVPLSKDDDQNPQKPSSGEDACGSSNNVHVEKSSEGVGFVEKAPVIAPVKCEKRVLETFPSSNQQNSFHGHDPFGLYDFLANTELVYTEPDQRYETQSDVWREIREREGIPDLESDSDHSSLVRKNQRKKNVPESSSTAKDAGEVDKREVNISDEENSSTANVVGEVDKSDTEANTSNGKADDVDETCTQEKHTSPETATEVTRSDDELSDEAYESSSSSSFCSGSVHTDLRNVVEKINSISKKAASNSEVSDLLEVSKVIPHQPLGSQFKELASRLLGSSKSSTRALLLRRGLPQDNLAVSLSMTLEKLYVWEKKLHAEVTAEEKLRVLYEKGYSFLKSLDQNGAEASEIYEAEAEVKLHLSKVNVSVRAVETVSMRIHKIRDGELSLQVNKTINVFKEMWRLLAKCHHKQFRAITRSKSCVHVVEKGSRKVTLKVEEQMRRFKESLRSYIDAHRGFVRFLNEWLNRNIMEEDDQTKTDAPEIFRVCSEWLREIVNVDEVKVLSAVEEMELRFRGLGFKQLEEEKQRLRTERLCKELETKTKEVEESWGAQAEEVMGPELLSLRESATHETEKHEGLIREINDAVSMSLQECLVHVFEALEGFCFGNFKAYQNIRIVSTETETLLLC >A04p033560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19513962:19516478:1 gene:A04p033560.1_BraROA transcript:A04p033560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKKKKHLHELLQDDQEPFHLNHYISDLRSQMGCSELRVKKRKSENAAVLPHGFFSCESSCFFATHANKSPLFELRSPASKKVRDGLHIPARTAAILLEAAARIQKQQNNKSKNRARNRGNAFGLLGSVLKRLTNLKGKPPLDNADRNAVLSEPTCRSSSSRERLVEIGDKYFCESPIHFVLHTTPSTSGHRTSHFTSTATSPARRSTEDEDSDETESLEKVRGQEEEEEQCSPVSVLDPLEEEEDDKDHHQQEPGHLNLPSCSFEVVQRAKRRLLKKLRRFEKLAGLEPADLEGKMSEEEEVCEESEEDDNIRVYDWDEEYEDVNEAMARESGCMQEERQEKWMMLNAWRVGMGADVYVDAVVQKDMRGEAGQWTKHGGEVEETVADLELSIFLFLIDEFSHELVSSQS >A01p007380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3652490:3656612:-1 gene:A01p007380.1_BraROA transcript:A01p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein 60 F [Source:Projected from Arabidopsis thaliana (AT4G31000) UniProtKB/Swiss-Prot;Acc:F4JR57] MARRSGDCMKCLVIFAVVSALVVCGPALYWRFNKGFVGSSTRKSSVCPPCVCDCPPPVSLLEIAPGLANLTVTDCGGDDPELKQEMEKQFVDLLTEELKLQEAVADEHTRHMNVTLLEAKRVASQYQKEAEKCNAATEICESARERAEALLIKERKITALWEKRARQSGWEGLVLFSPTSMRKRGHNQEYTDNLSEGAEPKRQKKLPALASVIVEAVKVDSLQRLCSSLEPLFRRIVSEEVERALSKLESSKTTPSSQEPKKIQGLNGRNLQIRFRTRMPPHLFTGGKVEGEQGSAVHVVLTDANTGNVVQTGEDSTAKLNVVVLDGDFNDEDWTRERFESFVVKERQGKSPILTGHIHVVLKEGVGTLGELSFTDNSSWIRSRKFRLGVKSASGFHIREAKTEPFAVKDHRGELYKKHYPPVLHDEVWRLDRIAKGGVLHKKLVKSNVITVEDFLRILVKEPQKLRSLLGSGMSNRMWDNTVEHAKTCVLGGKLYVYYTEQTHNNTGVVFNHIYEFQGLIANDHFLSLESLNHDQKISADIMVKAAYENWHKAVEYDGKLLKCLPEAKRAQPHQETVQCHQNVNSYSAIPQQQIQYPCNQLGDYTLMEGSSVSGSDNGVDREDVFTEEIRVRSSEMLESDDMQKLLKTFGIAGGFTHIDESCYGFNDRYEAQVDKGYGRERGRGSGKAVVGWLKLKAALRWGIFIRKKAAQRRPQIVEID >A09p058990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49499179:49500137:-1 gene:A09p058990.1_BraROA transcript:A09p058990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVQPSKSPFLKKSFSFSSYHSYVAGADLNRSRIAIMDGEDFARKAAAEAKGMNPGLIVLLVIGVPLVGFLVANYVMYVYAQKNLPPRKKKPVSKKKLKREKLKQGVSVPGE >A06p020470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10019505:10020602:1 gene:A06p020470.1_BraROA transcript:A06p020470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIFISLLLVFPLCSSGFEKDDEVTQVSQHLLDKVVDNLMDYGYLLPPPPRSPRPPHASPTDPPPPPPPHPPKNDILQ >A04p019230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11359123:11359500:-1 gene:A04p019230.1_BraROA transcript:A04p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRERLMRQLRRRGQKKQFKSEEEESFSSSSDSDEEMNNSLEEVKRLMENMISTLKSKEDSGDAVKIEDSKAEEMNIADDEENMFPRTRGRLVRYLRRHKSKPQDSSFQIELHHLQLPSDDE >A05p021750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10347293:10347993:1 gene:A05p021750.1_BraROA transcript:A05p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVFDFMKKSKSSIQLNNTSFLDLLPSSSSTKPKALHDVFINHRGSDTKRNIATLLYDNLNARNLRPFLDSKNMKPGDKLFDHINRAILTSKVAVTVFSPNYCDSYFCLHELALIMESKKRIIPIFFDINPSQLDVMIEKVTCSDNEIQRFRWALQEAKDTVGLTFDSCKGNLSEVVTIASDVIVERLVELEANDEDV >A06g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19021368:19023086:-1 gene:A06g506840.1_BraROA transcript:A06g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRLQERSLTEQVDEIRSLHDLLASEVKTQSDSMNSRFDRLEAMMFNHISAIQATGKAPMDPGPSHPPTPIPNNPDQNPPPTPFTHSSHHLPDPPDPFSHPPRQNYAELVALKQGSDSVVDYRDKFETAWMRLVLPEAHALSIFLANMNPHLSLHTRQFEVTTISGSTLNKLMLQEPQIALIQIREVTTENTEDDNFTP >A06g500970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3723057:3723716:1 gene:A06g500970.1_BraROA transcript:A06g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTYPTQPREDQGQQTVPQVTSEEGEITRNGDATAALPSVEFQMKLSKTQAEGSEVVAEAREEERGLLTVQGMREQQDDTFEDIEMELDAINVAMLESGIDLETEEEFQTLSEEELEQASEAQAENVLIQDEEQPVAGDAYITKELGTGELATRQSHRKRLFKANSSIAGSSKMRMASALLSPRKKGVAKVGTRHGDNTKPPENKGPSIPKPVNLKF >A03p058960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25606090:25608237:-1 gene:A03p058960.1_BraROA transcript:A03p058960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELALRVTHLAGYLPLSLTVLGSYLRGFSKEEWEYAMPRLTTSLDGKIEKTLRFSYDALHSKDKSIFLHIACLFNGKNVEDGTATVLGISFDASKINGELSISKKAFKGMHNLQFLEIYKKWNGRSRLNLPQGLNYLPHKLRLLHWDSFPMRSLPSKFSAEFLVELRMRFSKLEKLWEGIIPLRSLKVMDVSYSRKLKEIPNLSNATNLKKFSADGCESLSAFPHVPNCIEELELSYTGIIEVPPWIKNLCGLQRVCMTQCSKLTNISMNVSKLENLEEVDFSGSVDGILFTAIVSWLSGVKKRLTIKANNIEEMLPKCLPRKAYTSPVLLDLSGNEDIKTIPDCIKHFSQLHKLDVGKCRKLTSLPQLPESLSELNAQECESLERIHGSFHNPDICLNFANCLKLNREARELICASPSRYTILPGEEQPGMFKDQTSGDLLKVVHMIQRPFPRFLRYKACIRLLARSAVYDDDSGGIARVACCIRRICDGSVVRDESLELHIPVLVNDHLFTLGGSLILNKGNEPEVDATFSEFLFEFKANIKMEIIGCQFTVLGGKRDYDTYSRWNEEVSSQQDKRIRHETEEQR >A09g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6447755:6449210:1 gene:A09g501740.1_BraROA transcript:A09g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFNILADLKNRDQSPRKMTGVRAPQRCSVFAARVVFDAKNQNEPSETKPPIIHNLSHHFSFGRSCFSKGLQQRLFSQVFLLVPGTSALAALIFGRHSMVANAGDGRAVLCRNGEAIDMSQDHKPIYLP >A01g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19662624:19663004:-1 gene:A01g506680.1_BraROA transcript:A01g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVESTMMYLDCPPPERCYGTPNYLPEEFIGWTLDRGLMVSLWAPQAKILGHPASVTWGVPMISWPLFAEQMTNATLFSLEPGVAIRSRRLQS >A09p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5380458:5382780:-1 gene:A09p010550.1_BraROA transcript:A09p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSEKGSYARKDMEFFKVCLPEFSSRKLVIPPAFVNILEKPLPNQVVLLDEIGRLWNVETKTEEGVGDVVFKKGWEKFANDHSLEFGDFLVFSYDAVSRFSVKIFDKNGCKKYLVAVTTADRSRVAVEKEPVLVKPVDGISTKRCGKSRKRVSVKEEPSGGNRVRDPCEMGCVPENKKHKGFEEPDYKPKNPHFVRNITLCFRQMEIPTTFLKSNGIEMEEDFELCDENGKKWPMKVVIHDRGQRFSPGLWLSFCRSHKLSTSNKCLFEFIVRSDGTCNQVLVRIFRGRMLTTVTINGYQFVISKRVQYEEVAAELLKEEQVSKELHLKSSQTQTLNKKAVLNRIRNHKCIHNLKSLLHTSAAYGGSTVDAEYQWIDSGDIFSCP >A02p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15059263:15061226:-1 gene:A02p029490.1_BraROA transcript:A02p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITSAMDIDVDENHLRKPKDVAGGFGAPPQSKATPWVEKYRPQSLDDVAAHRDIVDTIDRLTNENKLPHLLLYGPPGTGKTSTILAVARKLYGPKYRNMILELNASDDRGIDVVRQQIQDFASTQSFSLGKSSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALIGNHVNKIIPALQSRCTRFRFAPLDPVHVSQRLKHVIEAEGLDVSESGLAALVRLSNGDMRKAMNILQSTHMASVKITEEEVYLCTGNPLPKDIEQISHWLLNESFAESYKKISEMKTRKGLAIVDIVREVTMFVFKIKMTSHVRVQLINDLADIEYRLSFGCNDKLQLGAIISTFTHARSALVATAK >A09p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5526472:5529742:1 gene:A09p010910.1_BraROA transcript:A09p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVGSRMLDLRMARTHKRSKSASFPEKKSAEGDKHSNSSFEASQRIKLDMERSNESISAKHNQYHSVTESSLKEEIDQLETRLQDQFKVRCALEKALGYGTASSYMLTETNDISMPKPAIDLIKDIAVLEMEVIHLEQYLLSLYRKAFDQQTSSVTPNSDKKKKPKSPPVTTPTRRLDFSEEDDTPLKTDPLLPAGGRDQTESSFHRSHSQRSAFECKKASPEDSWGKAIRSCHSQPLYVHNGENLISLAEHLGTRISDHVLETPNKLSEGMVKCMSKIYCKLAEPPSVLHQQLSSSDSSLSSPSDQYDTSTPGLGNSSSFDDSFRVEGEKSFSGPYSSMVEVLCIYRDAKKASEVEDLLQNFKSLISRLEEVDPSKLKHEEKLAFWINVHNALVMHAFLAYGIPQNNVKRVLLLLKAAYNVGGHTVSAEAIQSSILGCKMSHPGLWLRLLFASKKFKAGDERLAYAIDHPEPLLHFALTSGSHSDPPVRVYTPKGIQQELETSKEDYIRMNFSLRNQKVQLPKLVETFAKDSGLCPAGLTEMVNRSIPESSRTCFKRCQSGSKSRKAIDWIPHSFTFRYLILREAAK >A05g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18874072:18875689:1 gene:A05g506700.1_BraROA transcript:A05g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQPADGDRDGEFRDEPAEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEEYQDLIISFHHPLNLICYCFNFEIFVYQEAMGSEEDDEAFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRRDQRRNRQGQREHAGSEETDNFYERSSHSSGSRR >A06p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6643184:6645923:1 gene:A06p014850.1_BraROA transcript:A06p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 3 [Source:Projected from Arabidopsis thaliana (AT1G17460) UniProtKB/TrEMBL;Acc:F4I7K3] MGTMVGSVEDYGEMFQDAVDQTSGASLSSSNQIENPVVYKLVRVAQDGRLVPATDEEMLEVKDLVENNENDMSLVPDPGQYEYITDEGSPSQFLQLDSFFQLETAEAYTENLNSRLEVCPPPLELLQSKEELVYGSQMPFTLLDTNYHSSNELPGDEEFVLSEVLLQEPVCFSSDGCGIDQSMDVSPYSNAAGGNPKAPALSTTASSPGASRDTEEICLGNLSIKALQDTFRATFGRETTCKDKLWLERKIKMGRINPCVIPTTSLTVDDTKLILGGQDSDMVVAFSKDTFDEGRASPDCIKRHPNDFGDSPVEAFVDHYSGNEDFEGEYRSAKRVRKATRRYIEEISKVDDENQQSKESPIPSKDERSISTVSSGRRVVVTRMVSLGGSIIQVPYVSHVRRSRPRENIMALGEFNSGLWEVKGNPEESDLNLSQSQLNNDVKRVSGVKSVSGPVQKKLVAHCVLDPQMQITTNDQSYKDHSKPKLREVDEDIMEPEYMDSSGDSSDDSTYNRVDRPITQSAMRRKHHRAWSLSEVKNLVEGVSKYGVGKWSVIKKLSYSSFPHRSPVDLKDKWRNLLKACFARAPLNKMGSLRKYGSMAIPSQVLSQVKELSQKKSHVSPARKARMVKASSRHGFL >A06p007000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2425859:2426371:1 gene:A06p007000.1_BraROA transcript:A06p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVSAMVFSENNVRVAEERYRRGNPKGALEVLHALTFVFPNTSTNHRKISQVYLAHQIHWRFINPSSFTLHDVLGLTPFCSYQTARRQYMNIVAKLCPEKNKSVAAKVAFKIINATWMILYGPEEGSGVHNIEQELGIMFQEDELPQQSVREGKRPCVNIIDTDSDSD >A08g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2446:3204:-1 gene:A08g500010.1_BraROA transcript:A08g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFNEEYAVTLFLLCVVQIVRVFKRPDGLKDTEIDANGDGTGLVTLSISFVYIFDLITGARSTVKDITFALVNDEGDVLVLLDLRPYDSLYEAGVAREIVNRVQKLRKKSGLEPTDFVEVYIESLDVSVLQQVLSSQDQYIKDTIGSSLLPSTLMPSHAVILSYESFQNVSKLSFKISLVKVNKGAL >A04g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17556696:17560880:-1 gene:A04g507260.1_BraROA transcript:A04g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFQPMLASLFLILLSSLPELLLAQPKKHFLGQNNTTFLTGERSCNWFRGKWVYDSSYPLYSPFSCPFLDPEFNCQKAGRPDTYYQHFLWQPFSCSLPRFDGVNFLRRMRGKKIMMVGDSLSLNMFESLACLIHASLPNAKYSLRRGQPLTSLTFQRGLPEFN >A07p040340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21416000:21416764:-1 gene:A07p040340.1_BraROA transcript:A07p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGISRTLKDVGRKKSVTSVCVMLDTDDIPIPEPNEIQEKVRFHLREEGYHGMVSTKAFYSDKNQFSDKQAEEYCNYGMKPILLRGDRGSRIRMMLVDMVFWVEARSNEPVYVLVISKDENLEKDAMFSTVRQLLGEHRDFHLTVAQPDTILGFPS >A07p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13258100:13258645:-1 gene:A07p022770.1_BraROA transcript:A07p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAFKTIRPLPSGTVSFFSSPSRVSRKVAVFSFTTTSDQRKMDKKPENSKEKYGDVMSHSFGEGYATRSDEEGFGGTYGGNQSFQKHKDEVHENHPDYDKTQGSEAKEKERGRNQT >A09p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9519369:9521309:-1 gene:A09p014710.1_BraROA transcript:A09p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase III, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62640) UniProtKB/Swiss-Prot;Acc:P49243] MANASGFFTHPSISSMRSRTHLPISVSGSGFCVSKRFSKRVLCSSLSSLDDNASRSPSQYRPPRLAPSGCKLIGSGSAVPSLLISNDDLAKIVDTNDEWIATRTGIRNRRVVSGKDSLVGLAVEAATKALEMAEVAPEDIDLVLMCTSTPDDLFGAAPQIQKALGCTKNPLAYDITAACSGFVLGLVSAACHIRGGGFKNVLVIGADSLSRFVDWTDRGTCILFGDAAGAVVVQACDIEDDGLYSFDVHSDGDGRRHLNASVKESQTDGALSSNGSALGDFPPKQSSYSCIQMNGQEVFRFAVKCVPQSLESALQKAGLPASSIDWLLLHQANQRILDSVATRLQFPPERVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIATSGFGAGLTWGSAIIRVEEPLEIGLNRSGLIRLNWK >A10p037200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21033999:21034370:-1 gene:A10p037200.1_BraROA transcript:A10p037200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPKVDLKLNLSPPTSSRRRMVRSPSRSATTSPTSPPSSCVSSEMNQDESSVRYSSSPETTSMVLVGCPRCLMYVMLSEDDPKCPKCQSTVLLDFNHENASNANARTPGAGSSGRKTRRN >A02g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10713660:10714843:1 gene:A02g503250.1_BraROA transcript:A02g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNSYIILEPFGIFIAQVFTPTENAARAVKKGEAMFVGQYLFTGTETTSVWLEIMIREGDEAAAQEDERVGKEPEVERKREAKPEFGKQKEKKKEKHKALKEKEVINSAAYKERDTETGRVGGW >A06g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13908594:13911167:-1 gene:A06g504400.1_BraROA transcript:A06g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDDESEESTDTETPTSIDTAQPEAGKFSLTKPANEKVVQTELNGQTSNEKSQTEQGTEIPVNENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFADYYRMVRQNPFQTRSNIAQIDDNALSDTDDYSDEETNCSDPYSTGKSNSHPIFLDGLDPNTSQENRKTTAEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTPSIDRQPEKPVDLRSTQPDISDRTDDYMQLMDASIDVANVEENDDSEVFID >A10p038600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21536588:21538135:-1 gene:A10p038600.1_BraROA transcript:A10p038600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPAQLPPRCPIPKKLSLNPYADTFYSSSSPVESYIGHHHKSSSPAQDSTLEEQPAWLDELLGDKTDCGGPSPLRRSASDSVVLLGDISADFAGFNQSEDEESLTSEACGELESACVYGPNSPRAKNSSSFSDSTIASAFSEYGSQKAPQNADDTVKGSICHPHEAENACGSNAKRNPGQRSRVRKLQYIAELERRVSMLQAVEANLSVRVASLLQTRATLSLENSQMKQQMAILKQDKLIREGEYLLLKKEAQRLNSRLRNFGSNNNNNNNRLARSYSAGSSIGPRTGSSPLDWNLLDLTKLNLN >A09p063820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51659747:51662273:1 gene:A09p063820.1_BraROA transcript:A09p063820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRLLSLLVSLFFFHFISLSSSLNHDGLSLLALKSAVAHDPTRVMTSWSESDQTPCHWPGITCTRGRVTSLTLSGRRLSGYIPSELGLLDSLTRLELSRNNFSEPVPTRLFNAVNLRYIDLSHNSISGPVPAQIKALKNLTHLDVSSNRLNGSLPESLTQLGSLVGTLNLSYNRFSGEIPPSYGRFPVFVSLDLGHNNLTGKIPQIGSLLNQGPTAFAGNSDLCGFPLQKLCREPKLVAPKPEGSQILNRKPNRILEKNNKPVTGTVTVSLITGFSVVIGVVSLSVWLIRRKQSSGEFKSENTAAPPEEEKGKLVAMDEGFELELEDLLRASAYVVGKSRSGIVYRVVAGMGSGTVAATFSTSTVVAVRRLSDGDATWRRKDFENEVEAIGRVHHPNIVRLRAYYYAEDERLLITDYVRNGSLYSALYGGSSNTLPSLSWPERLRIAQGTARGLMNIHEYSPRKYVHGNLKSTKILLDDELQPRISGFGLTRLVSGYSKLTGSLSAKRQSLDQTFLTSAKVVTRISSPSVAYLAPEARATSGCKLSQKCDVYSFGVVLMELLTGRKPNASSENSGEELVCIVRSWFKEGKHLDEILDQEVINKGYEEKQVIAAIHVALNCTEKDPEVRPRMRSVSESLGRIKLD >A01p018080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8808633:8810836:1 gene:A01p018080.1_BraROA transcript:A01p018080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPAVGSEALNMERSGGINNMLLPTSPVSFPSSNINIPGSLVLDGSASMHHLSQQAGQGSVPMGESNYSHIDKKPRLDVKQEDMWSQQQQFLHQLIQRQDLAGRNPQLQALLQQQRLMQQQQQQTLQSLSPSQRFQLQQQQLLRQQQGTQQMIPPNLRPYEAGVCARKMMMYLYHLQQRPAENFITYWKKFVAEYFSPRAKQRLCLSQYENAGHHALGMFPQAAPILSWEFCARRHEELLLRRLIAPQVNQLLQVAQKCQTTISESGVLGAGRQLAKLMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGDHKLGPIEGLKRLLEQTATAKLQVQRMQEMEQLGNSGAMNGSSQAQMALTSGTMNGLTGNNNNNSSSNNQTVGRVPSRNNSFTAASNNNLHLSKDVSVTELSHGFSDDCFFNNSDIYGSL >A05p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3485312:3486485:-1 gene:A05p008520.1_BraROA transcript:A05p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHRLFRLFSPFTPAKNNLSSFLIRSLSSSSSSSTHGPSLDPEIDLKEAAAELEKSSSPSSSPSPSKGRNFHWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELSSSGLLSSQLKELVNHGKLVPDEFIISLLSKRLEAGKEKGESGYILDGFPRTVTQAEILEGVINVDLVINLKLKEEALLAKCLGRKICSECGANYNVACIDIKGGDGDGDGDDRMYMPPLLPPPKCESKLITRADDTEEVVKERLRVYNKMTQPVEEFYRKRGKLLEFELPGGIPQSWPKLLRALHLEDDKQSAIA >A08p028820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17944585:17947837:1 gene:A08p028820.1_BraROA transcript:A08p028820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G25350) UniProtKB/Swiss-Prot;Acc:Q6R8G6] MRFGRQFVSKMIPEWQEAYIDYAYLKTILQDIQATRKISVSNSQSKPSFARNLTKRYTRNASVSENHVIVFNAVTHADFEHDMTYETAFLKAGEPGGDSEAAFFRTLDREFNKVNSFYKLKVEKARHESLALTRQMEALVAFRFRVKEKKPSSTDSVSVDINALYSKSKENKVTVGDLIKNEANESILEGIRMNRTLETPLSAIKTILKVHKQEELKFTRENLKKVEERLQRAFIEFYQKLGHLKNYSFLNTSAVSKIMKKYDKISARNAAKQYMEMVDSSYLTSSDEIHKLMVRVESTFIQHFSNSNRRQGMNLLRPKENKELHRTTFSTGFFFGCATSLIIALALIIHARNIMGTPGQRTYMETMFPLYRFFGFVVLHVVMYAANIYFWGIYRVNYSFIFGFKQGTELGYRHVLLLSFGLGTLSLCAVLLNLDMEMDSQTKDYRIVTELIPLFLLALVVAITLCPFNILYRSSRFFFLTVLFRCIAAPFYTVNLPDFFLADQLTSQVQSLRSLEFYICYYGFGDFRQRQRNTCRSNDVFTTFYFIVAVIPYWLRFLQCIRRIIEENDLSHGYNAIKYLLTIVAACLRTAYTLNRGTTWNITAWVFSGVATLYATYWDIVIDWGLLQRGCKNSFLREKLLVPHKTVYYAAMVLNVLLRETLVALLACLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYQEDRDQDN >A03p066730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29508043:29512334:1 gene:A03p066730.1_BraROA transcript:A03p066730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAPTIPQEGSKKGSHGRPCGPARRSTKGQWTAEEDEVLCKAVERFQGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDDTIIALVEKYGPKKWSTISQQLPGRIGKQCRERWHNHLNPGINKNAWTQEEELTLIRAHQIYGNKWAELTKFLPGRSDNSIKNHWNSSVKKKLDSYYASGLLDQSQSSPLIPIQTNSIASSSSWMHSSGDEGNFRPGADAEESECSQASTVFSCSQSTNDLLDEAKPANEEFYIPELPSGTEQQISNSPSHAESYYPSFEDVKIVVPEISCEAESSKEYQNHNGLTEVRTTAAKEDHLQAVCNNDKQDRDLDCPQLLGDETNEACQAFQNSVRLSDQPSLPNSDTDMHPQPQTLITDEECCRVLFTNTTEDSGVASNAQGQNLVDPQKGKGSIPASETENIPALSWHPSNCKDSVQDCHLLEATALEHKVDTNDSFIDTDGHVTSHGNDDNEGIPDQQEPSYIPKDSLKLVPLNNFTSPARVNKISFPIDDKPAEKDKGSLCYEPPRFPSADIPFFSCDLVPSNSDLRQEYSPFGIRQLMMNCTTPLRLWDSPCRNKSPDVMLKDAAKSFSGTPSILKKRQHRDLMSPVLDRRKEKMLKSAEASSLAKDFSRLDVMLNDMSERPENKNIYASSSEAKKDTEETLESGGVTSAKIDQETRRSLVYYNDVEMQLSSPDKSGSRPDNKVNTTASEPPFTVDSIPLSTIAGNKTNTAESSFDIIENCSIFDGTPFKKLLDTPSPWKSPLLFGSFLQSPKLPSDITFEDIGCFMSPGDRSYDAIGLMKHLSEHTATAYADALEVLGNDTPETILKKRQMNKSIQGKENQLWPHDQLENRSQVERRALDFSDCGTPGKAKVSSASPGGYSSPSSYLLKSCR >A02p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2648462:2651825:-1 gene:A02p006260.1_BraROA transcript:A02p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRSTEKFSAELKEFMCAAVQRRRDDDGFRGSRGGKSRNAMDLDSDNENRLVCVTGGVSYLGRAIVKRLLVHGYSVRIVVDCPEDKEKVSEMEADAETASFGNRITSVVSRLTETESLIKAFDGCDGVFHTAAFVDPAGISGYSKSMAELEAKVSENVIEACTRTGSVRKGVFTSSLLACALKDNSLNDLDHSIINEESWSDEQLCVHNKLWYALGKLKAEKAAWRIADSKGLKLATICPALITGPDFFQLNSTSTLAYLKDNLLKRTLVIDIYDHLRAKDMYRNRLLATMDVNRLAKVHVRLWEGLGNKTAFGRYICFDTILSKDGAEKLAKDIGAQIEKICGSSDDSEENAETSSTPQISDKKLLDLMSRTLRSCYHES >A03g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9338107:9340064:-1 gene:A03g503080.1_BraROA transcript:A03g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSELNDLEELTSNAKQIQDDMLEEILRVSANTEYLRPFLHGSSHKELFKKNVPVVTYEDVKPYIERVANGEPSDIITGEPITHFFLSSGTSGGKRKIFPVNNKYYQKRIFSEDLRCSILSKHIDGAMDGKVITFLNTRALSRAPSGLPVGPVIMSFIVSEYFKNWSSKRYTSPDEVILCTDSKQSTYCHFLCALVQREEAVSIFVPFACALVQAIKFLETHWKELCNNIRSGHVSEWITDLVLEFYSNKLPLVSMSYSASETLLGINVNPLCKPQDVSYTFLPNMSYFEFLRLDEGNDAEVVDLVNVKLGHFYDPLVTNHYGLYRYRMGDILQVTGFYNKTPQFRFVRRKDMVISVCLETTTEEEIVNAVNCVTTILESEGLILVGCTCKPDISTFPGHYVFYLELKAKNFDGIIKLDDSVMVKCCCVMEKSFNAMYKRLRTTYVSIGALEIRVTQQGTFDSLMEYFISQGASASQYKTPLCINSPQGLAILEDRVIAQFFSDKSPPW >A08g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10765082:10766665:1 gene:A08g506260.1_BraROA transcript:A08g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRGNSDGYSFSEVYPSGYSDDIFLGIFRGLSDELVVLGISSEIHFLGIPSEISEGFPRKNEFPRSYFRGLFSSVCRRNSVIPTTYRRFFPSVCRCFLVV >A06p009350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3275940:3276209:1 gene:A06p009350.1_BraROA transcript:A06p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSRNLATLSLMIITVLSWMKIVAGQEALIGKKVLPLCHRECMPICMKVTEATQEICDGACQAGCVQLQGRGTGLSATDQGVDMVIA >A08p017590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12224371:12225431:1 gene:A08p017590.1_BraROA transcript:A08p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNQTFYLSHGSPRLSIDDTLEARQFFKSWSEKVLQHKPKSILIISAHWDTEFPTVNTVLRNSTIYDFNGFPDPIYKLKYEAPGAIELGKKVKELLMGAGGMKRVDEDTERGLDHGAWVPLMLMYPEADIPVCQLSVQSSQSGTYHYNMGKALAPLKEEGVLIIGSGSATHNLKKLEFSIPNGSPVPWALEFDHWLRDSLLQGRYGDVNEWEKKAPNAKMAHPWPEHFYPLHVAMGAAGDAAKAEQIHTSWQFGTVSYSSYSFTSSP >A06p057000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29643862:29647281:-1 gene:A06p057000.1_BraROA transcript:A06p057000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASLLALLLLLLIIFDLTAADKIPDLAAESGMIFPLSYSSLPPRVEDLRLRRRILHQSQQLPNAHMKLYDDLLANGYYTTRLLIGTPPQEFALIVDTGSTVTYVPCSTCKHCGKHQDPKFQPELSTTYEAVKCNPDCNCDDDGKLCIYERRYAEMSSSSGILSEDLISFGNESMLSPQRAVFGCENVETGDLFSQRADGIMGLGRGKLSIVDQLVDKGVIEDSFSLCYGGMEVGGGAMSLKLNPKVFNGKHGTVLDSGTTYAYFPKEAFNAIKDAVIKEIPSLKRIHGPDPNYDDICFSGAGRDVAEIHNFFPEIAMEFGNHQKLILSPENYLFRHTKVRGAYCLGIFPDRDSTTLLGGIVVRNTLVTYDRENDKLGFLKTNCSDLWRRLASPPDSPAPTSPVTQNKSSNNISPSLSPTSSKAPTVDLTGVFRIGVITFQVSISVTNASMKPNFSEIADFIAHELEIQSSQASLNMHFPLVRLLSITTSGNEYRLKWGIYPPQSSEYISNNTALNIMSLLKENKLRLPGQFGSYKLLEWKAEQKRKQSWLEKHLLGVVMGVMISLLVTSVIVKLALVWRRRQQEEATYEPVSAAVKEQELQPLSSSETSNA >A09p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40255848:40257066:1 gene:A09p046040.1_BraROA transcript:A09p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNNVRISSDQSVRKRFKDLILKTVGNGLLGRREKKNLDEKEGDFDLSVPSPSVDFEDYSYAQPSSSDEFDNTSLLEAEAALYADEAESSYNIAQPVQYPPQPVQYPPQPVENFCGGHATAEEMRDFQTQLRLLKDQVTQSDQNLAKIEKTVCDELLKNKSWVTKFFALEVCVLVCVLVLIAVIILGGRAIY >A07p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12348032:12349786:-1 gene:A07p020970.1_BraROA transcript:A07p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGENIVVKERGSQNGEYDGRVMTEEQMEILRKQIAMYAVICGQLVSLHESLSSKLPVSSGTTEMLVSFKIVKTASGDIVFKPFVEVKKEPDLLPSSPELSLARLNMKAGQLSLFLTFLCCFSRTQEWKKETTRDVDGLEKRGGRVQLKPMVMPQSEFDYVDKGDVVYAMELALSLEKLVNAKLLYVFFFKDEFLI >A04g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1281954:1282330:1 gene:A04g500380.1_BraROA transcript:A04g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLCIVTLLMIFLLISTGLPNGEAQCAGKRSEAAPENICNLEDGLRTCRVFCTTVDGYLRGKCDNEDGEIVCNCYDCEA >A07p041090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22187120:22188569:1 gene:A07p041090.1_BraROA transcript:A07p041090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPITVRNRTQRKGNRARKRERRMEKHEFLELFEAATKAAKSASRGDVKSSCPAVSRCVEAIKRLREAPESLACELVIDSKYPQIGKSHGLFTEHKNPRIQYEGKILYSLWLRYLYATGRKQSSRPRDRTVVKNKKKLVEEKMVSTTTGDSNRDKVREILHKSLSKVAVEMKEGVVSCDSWIVAASVECAMFERLGSFEGTQKAKYRSILFNMGDSSNPDLRRKVLLGEISGERLVTMEKEEMASHKIQLQVQKIKEKARDKEENRVKSMMMFQSDTIADGSRILSEHKVRVSVLRAKQGKDKLISG >A08g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7899743:7900259:-1 gene:A08g504730.1_BraROA transcript:A08g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDMVHQLSKISTRIVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRISTRTVHGKGQHADMCGQHADMCGQHADMSSVHGSVHGSVHGSVHGKGQHADMCGQHADMSSVHGSIHGSVHGSVHGKGQHADMCGQHADMSSVHGSVH >A06g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21137682:21142255:1 gene:A06g507550.1_BraROA transcript:A06g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSGTTRVTVPTACGFDISYFLFLFIILCCFRHKKPDLRVGDLRFCYFVKGKGHLSRFREEPPEPYYFISVIFHSLGIKIDQHREQYHDSGLFYLSDPTNKKEESDFSEKGNSSDAQKIDELTAKVDQLLKNNQGHVFSMEQATAGHIQNQNKRQPQSNQQAVPATENSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAENVKRQQETLSGRTDKNPRTEHCNAIEQPFAETVLGAEERAEHSASSGVTAPSEPAETPASRVYVPKVPYPVPPRHLMDPISEEQLIGFNKMVRSLPKGLAFEDALQIRPLLQFFQNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIVDDLGIADVELFDVEKLKVVPEKEHGDKGESRLGGKPEWS >A01p006140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3157112:3158719:-1 gene:A01p006140.1_BraROA transcript:A01p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g32450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32450) UniProtKB/Swiss-Prot;Acc:Q9SUU7] MGFVPTMIYSARGSLLSSTCKLRHPFSCDSLKPLGPLLRNLSTAAERLGFLNPNPTGTSSNQTDFVNRDQYLGGFQQNSYGQSLNPDGFYQSSELFDQRQRNWRSSSDGFSSYGNDNQENAGFVHSPSQSWTSPPNQSDLLVQSHQDNSGYESLDALCREGNVKEAVGIIKSWRNQGYIVDFPRLLWIAKLCGDAQALQEAKVVHEFITSSVSFSDISAYNSVIEMYSGCDSVEDALSVFESMPEKNSQTWCIIIRCLAKNGHEEDAVDMFSRFKEEGNRPDGEIFKEVFFACGVLGDVNEGLLHFESMRRDYGIVPSMEHYVSIVKMVAEPGYLDEALRFVELVEPNAVDLWETLMNLARVHGDLELGDRCQDVVEQLYACRLSKESKAGLVPVKSSDSAKEKLQRMTTRDNNFRAGDISLPENREYYMALKSLKEHMVELGYVPESRLALHDVDQESRDENLFNHNERFAFVSSFLNTPARSEVLVRKNLRVCIDCHNALKLMSKIVGRRMISRDVKRYHHMEDGVCSCRDYW >A09p017880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9308205:9310085:1 gene:A09p017880.1_BraROA transcript:A09p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS10 [Source:Projected from Arabidopsis thaliana (AT1G62960) UniProtKB/Swiss-Prot;Acc:Q9LQ10] MTRTEPNRSSKSDSENSGGSGGGGGGTTAMRVIVPLQGVVQGRGGLFLGSVIPCAFFYFLQFYLKRNRKNEPDSGEPSPDSDPSSGSPDPTRSQSVGNLTELASLPRSLSRILLSPRLSGGAVSVSGRAGCVLKGGDSPYHVGQKRVEEDPYDDSGNPDGVIELGSAHNTKMDWVSEDPGEAISDGLCMSGIASYEPSHGLIELKTAVAGFMSEATKNSVSFDPTQLVLTSGAASAIEILSFCLADSGNAFLVPTPCSPGYDRDVKWRTGVDLIHVPCRSADNFNISMLVLDRAFYQAKKRGVRIRGVIISNPWNPTGTLLSRENLYALLDFARERNIHIISNEVFAGSVHEEGGEFVSMAEVVDTEENVDRERVHIIYDLSKDLSFPGLRTAAIYSFNESVLSASRKLTTLSPVSSPTQHLLISAISSPKFVQRFLKTNRERLMRVYAELVKGLKELGIECTRSSGGFFCWVDMRGFMSSYSEKGEIELWNKLLNIGKINVIPGSCCHCIEPGWFRLCFSNLSERDVPVVMNRIRKVCETCKSQN >A08p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4357278:4358905:-1 gene:A08p007390.1_BraROA transcript:A08p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSIAASSPARSPRRPVYYVQSPSRDSHDGEKTATSFHSTPVLSPMGSPPHSQSSMGRHSRESSSTRFSGSLKPGSRKVNDGSKRKGHGGEKQWKECAMIEEEGLLDDGERDRGLPRRCYVLAFIVGFFILLGLFSLILYGAAKPQKPKITVKSITFETLKVQAGQDAGGVGTDMITMNATLRMLYRNTGTFFGVHVTSTPIDLSFSQMKIGSGSIKKFYQSRKSQRTVLVHITGEKIPLYGSGATLIPPAPPAPLPKPKKKKKGAPVVIPDPPAPPAPVPMKLSFIVRSRGYVLGKLVKPKFLKKIECDINFEHKLLNKHIAITKNCTVTTV >A07p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4874960:4877592:1 gene:A07p008290.1_BraROA transcript:A07p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-xylanase 4 [Source:Projected from Arabidopsis thaliana (AT2G14690) UniProtKB/Swiss-Prot;Acc:Q680B7] MKRFNSGVIHFVVFISVLLFLGPGLSMHPFSYDHSLKSECLMELPQTINTGEGFQELKIKENGENGKVVERVNLQKGGIYSISAWVKLRNEKGRAVRITLRGKNVRYVDGGQIIAKKGCWSLLKGGFIVNVSAPVDIFFKSDGSAAADINVQNVRLQRFNKTQWRLQQDRVIEKIRKSKVRFQVSFQNKSTVEGSLISIKQIRPSFLLGCAMNYRILESDSCREWFVSRFRLTSFTNEMKWYTTEEVRGQENYTLADAMMQLAEESKVLVKGHTVLSDDKEWQPIWVQSITDPEDLRNATLNRMNSVMKRYKGRLIGWDVMNENLHYSYYEDMLGKNASAMIYSLASKIDPDIPLFINEYNTVEYAKGGVGSPINVKNKMEEIVSYPGNMNIKGGIGAQAHFVPAQPNLPYMRSALDTLGSLGFPVWLTEVDMPKCPDQVKYMEEVLREAYSHPAVQGIILYAGPEMSGFNKLTLADNDFNNTEAGDLIDKLLQEWQQEPAEIPVEYHEHNDEEESRIIGFSPEVSLLHGHYTVTVTNPSMKNFSTTFSLEVTTETGQLQVVQLELDV >A08p034920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20681502:20682931:1 gene:A08p034920.1_BraROA transcript:A08p034920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEQPAGKPELLQAAENGLRNVGVDFKSTKPLKDGKVQDTRDDSTASTLEKIVKASQLKIGELQHSLEESRQALEETSSLRKQLESQTKELNQRMRQIEELKEKERIVNENVSRFLLARVVTLTVEGLMTDIAAAEEEIARWKVAAEQEAAAGGAVEQDFTSQLHVLKEELEEAKQAIKESEKKLKFKEETAAAAMGARDAAERSLRLVDNRATRLRERMQELNRKVEELETHRDMNSSNSARYVCWPWQLLGIDFVGGRRVDSVQQQQSSNEMELAEPLL >A09p004250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2430489:2439735:-1 gene:A09p004250.1_BraROA transcript:A09p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESECIDNSVGVIGESGGEILRLEDLPNDVAFLDDDKFSFQSPLENLMEGTSSWKPNEQGGDSLANDWRSQHEPDLRKKVIVAIVERLKICFSRHITDTITKSACTFEEKIYGMAKDKGDYLRKIHEKILAFERKIRAGTSANGANTPHPAQALNQGQSLPTSLTYAQTPTNQPWLSQSNIQSKFNTPESSGLPIQSPMTVSAAQNLNVQMGERVESSLGPGPQRQIQGRQQQLQKSQQQQLRSNTMYQHHGNQQQQSLLPHHRSSSPIKLSFPQSSALSSHQQQMAVPSREHKQLERKYHISQLMNGQDTQQNHLTSPQNNGEKQRISQQNNTTSFNVHGSKLLGAQGQEVEKSQPLMLQHLNETQRFQAAGSLHQSQNLADQQNQPYQLQKPTFQDSTSKTLNPSGGDWREETYQKIKALKDKYIHILSELFQKLSYKLQEIDSLPQQNMMPSDPRVENLRATIARLGQVLVYLNVSRRSVSEQHRDKFSALESLALKFTKHQREKEEQQQVHIPPPQIHQTALQTQSGQVHASQTAAPHSSQTRPRIEPKDVNTVMSSSGNVVVHSPKQNPPSNQKEVVHSNISQAQSSMFQKKQFHHLPKQEQPSASSPHMQKNNSSPQLVEQQTLPTPTNKTAAQEHPLVTLSPEPISERPIDRLIKAFQSSSQESLAQSVSEMRSVISLTDMLAGSVHTIGGSRARLGEDLSERTRFRVQQGDTHPTKKLKRSLTAMPSQTNSYKRFSVNKIEPSYALLQEIMEINRRFVETVVNICNEDVCPSEITPGTVVVTCDYVPVALSATFKALYNSGHISQIQPLRLLVPENYPNSPIIIEKILFDGASDHKFEDLSARARSRFSSSMKEAMSLKEIAKVWDECARATMLEYAERHGGGTFSSNISVFSSFNLNFLESKLLDCHATAKTDALRSLIMEESDDIDSMEGSSNEQGGDSLTNDWRTQHEPGLREWVIYEIVKKLKKCCHQPSNENDIKKAAIKYEAKIYGMAKDKDAYLGKICRKVFSSHSPFRAQVLNQEQSLPTSLPYTQTPTSQSNLNVPESSGLPAQVPVTVSAAQNLKIQMSEEGVHSNKLPGHPQREIQQLESENLISQLMNGQDTQKNHPQNKGEEQEQTTPRVSTSQQNNMASFNVHGPSLLGTQSQEVEQSQPLMLQHLYDVDDWREETYQKIKVLKEKYGVVLSTLFKSISDKLREIDSLRQQNMPVEWLTASKATLEQVLAFLNVCKSSVSEFHRDKFSLHEEKVLRFIEYHHLNVTRRAMRQQQSGHVHVSQSLEGDQMDSQTTRPSMEPEDENNIVSTAAQEHPLVTLPPERPIDRLIKAIQSASPESLAQSVSEMRSVISLSDMIAGLVNTIGGSRARLGEDLSERTRFRAQQGDTHPTKRFKRSVTAISSSKVNKIEPSCALVQEIIEINGRLVETVVSICNEDVCPSEVTSGTVVVTCDYVPVALSATFKALYNSGHISQIQPLRLLVPENYPNSPILLEEVNIDTASVYKYEDLSARARSRFNLSMKEAMSLKEIAKVWDECGRATMLEYAEGHGGGTFSSKYGRWESVLRAS >A10p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16235893:16238934:-1 gene:A10p025270.1_BraROA transcript:A10p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYIEPYFLDNESDAASILNVTGKSSDGVSESLNIQGEIFCGGAAADIAGKDFGGMNCVKPLAVVRPVGAEDIAGAVRAALSSDKLTVAARGNGHSINGQSMAEGGLVVDMRSTAENHFEVGFLAGDGGSGTAFVDVSGGALWETLLKRCVSEYGLAPRSWTDYLGLTVGGTLSNAGVSGQAFRYGPQTSNVTELDVVTGNGDVVTCSEMENSELFFSVLGGLGQFGIITRARVLLQPAPDMVRWIRIVYAEFDEFTRDAEWLVSQKDESSFDYVEGFVFVNGDDPVNGWPTVPLHPDQDFDPTRLPQSSGSVLYCLELALHYRDSDSNSSVDKRVERLIGRLRFDEGLRFEVDLPYVDFLLRVKRSEEIAKVNGTWETPHPWLNLFVSKRDIGDFEQKVFKELVKNGVGGPMLVYPLLRSRWDDRTSVVLPEGEIFYIVALLRFVPPGAKASSVDKLVAQNQEIVQWCVKNGIDFKLYLPHYKSREEWIRHFGNRWSRFVDRKAMFDPMAILSPGQKVFNRAL >A06p008800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3075799:3078996:1 gene:A06p008800.1_BraROA transcript:A06p008800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLGFEPRCPHLFITNSRPSSSLPHSTLSVRTLTATTVVKSPATVVVVAEAPRTKPHSKSFLSRKSAISEIEQSPDFLSSLQRLARVLKVQDLNVILRDFGISGRWQDLIQLFDWMQQHGKVSDSTYSSCIKFVGAKSVSKALEIYQSIPDESTKTNVYICNSVLSCLVKNGKLDSCIKLFDQMKRDGLKPDVVTYNTLLAGFIKVKNGFPKAMELVGELPHNGIKMDGVMYGTVLAICASNGRCEEAESFVQQMKDEGHSPNIYHYSSLLNSYSWKGDYKKADELMTEMKSVGLVPNKVMMTTLLKVYIKGGLFERSRELLSELESAGYAENEMPYCMLMDGLSKTGKLEEAMTIFDDMKVKGVKSDGYANSIMIAALCRSKRFEEAKQLARDSETTYEKCDLVMLNTMLCAYCRAGEMESVMRMMKKMDEQAVSPDYNTFHILIKYFIKEKLHLLAYQTLLDMHSKGHRLEEELCSSLIYHLGKVRAHSEAFSVYNMLRYSKRTICKDLHEKILHILIHGKLFKDAYVVVKDNAKVISQPTLKRFCKAFMVSGNINLVNDVLKVLHGSGHKIDQVQFEIAIARYISQPDKKELLLQLLQWMPGQGYVVDSSTRNLVLKQSHLFGRQLIAEILSKHHIVSRTMVRSRSEPKFSRGQRGK >A04p012420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000103.1:72942:74677:-1 gene:A04p012420.1_BraROA transcript:A04p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKKRSSYQVKKGRENEWIWSDWVKTVFGSCGIWSNQIKEEPLNELVIFEDEAVQEITRKSGIEAASEERSKLVKGSEDKRVICDWKQGKDELYQLVGRLKEVWLELTARPEVIQERREQDFIFNILVNKMCDLVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESVYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQQKEGGADDCITRKEWRVLKLYKVVDRLVTQEAAERLLDTPK >A01p020470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10049425:10050756:1 gene:A01p020470.1_BraROA transcript:A01p020470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQRSSNRIIDQLAAAMIQNRPFDAVLASSTVASPWTHQLVSNILRSIPRFFFMSPRSIGRQKGFRHRSPLKQRNLREESARRRLEVLVLGPGAYVDPKKVSLGLHKAREFFFWIETHFGFEHNEITCREMSCLFAKGNDFKGLWDFLRQVSRRENGGSVVTTSSITCLMKCLGEEGFVKEALATFYRMKEYHCKPDVYAYNTIINALCRVGNFKKARFLLDQMQLPGFRYPPDAYTYTILISAYCRYGMQTGCRKAIRRRMWEANRMFREMLFRGFAPDVVTYNCLIDGCCKTNRIGRALELFEDMKKRGCVPNQVTYNSFIRYYSVTNEIERGIEMMRMMEKMGHGVAGSSTYTPLIHALIETRRVAEARDLVVEMVEAGLVPREYTYKLVLDALSSEGMVGTLDEEVHKRMRQGIDQRCRRVMEFKPVMARKEVVHDK >A02p032750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17187974:17195044:-1 gene:A02p032750.1_BraROA transcript:A02p032750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D beta 2 [Source:Projected from Arabidopsis thaliana (AT4G00240) UniProtKB/Swiss-Prot;Acc:O23078] MGGGGGVRLSLLKSLYRSSTRISSSSSSSCRRLAEEAAIVRSSSPVSSFTHARAIGYGYGSWIVSSSSNLNAKPFPLLARAFSSQPDGTDWVHKAKDVFHETANKAKEASDELTPHVQQLLDANPYLKEVMVPVSLTMTGTLFAWVVMPKVLRRFHGYSMRSSAALLPQGFAIDDVPYDKSFWGALEDPARYLMTFIAFAQIASMVAPTTVAAQYFFPALKGAVILSLVWFLHRWKTNVITRVLSAKNVAGLDREKILTLDKVSSVGLFAIGLMASAEACGVAVQSILTVGGVGGVATAFAARDILGNVLSGLSMQFSRPFSMGDTIKAGSVEGQVVEMGLTTTSLLNAEKFPVLVPNSLFSSQVIVNKSRAQWRAIASKIPLQIDDLDKIPQISDEIKETLRSNPKVFLGKEAPHCYLSRVEKSFAELTIGCNLKYMGKEELYATQQEVLLESVKIIKKHGKNVGLQKYFVEQKKQKTKATCFELRICGIPTYEKVGSGYWIKLKRDHVEKTGPPPPPNSDPYPPQAYPPPLHHQYGSMSHSGPLDYAHSPPPPLHHHYGSMSPSGPPPHYSYDHQHQPHPYPYPPQQPTLQPHASFASYPPPPQHPPRPHDNFGSSSPPVYPPLHDLMGALTVSSHHHPPSSNPGSYHGQQPYHSFSTHSHLSHSGRLDSPLPHSPSLQIVPSGKPSLKVLLLHGNLDILIYRANNLPNMDLFHNTLGAVFGSISNMIEGQLSKKITSDPYVSISVAGAVIGRTYVMSNSENPVWHQHFYVPVAHHAAEVHFVVKDSDVVGSQLIGLVTIPVEQIHSGARIEGTYSILSSNGKPCKPGATLTLSIQYTSADKLSVYHSGVGGGPSYQGVPGTYFPLREGGNVTLYQDAHVPEGMLPRIRLGNGMYYENGKCWDDMFHAICQARRLIYITGWSVWHNVRLARDKGNPASECTLGELLKSKSREGVRVLLLVWDDPTSRDILGYKTDGVMGTHDEETRRFFKHSSVQILLCPRNAGKRHSWVKQTEVGTIYTHHQKTLIVDADAGGNRRKIVAFVGGLDLCDGRYDTPQHPLFRTLQTDHKGDYHNPTFTGNLSGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKAAKPHRINKLKTSYDDALLRIERIPDILGVFDAPTVSANDPEAWHVQIFRSIDSNSVKGFPKDPKYATSKNLMCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWNTHKDIGANNLIPMEIALKIADKIRANERFAVYIVIPMWPEGVPTGAATQRILYWQHKTMQMMYGTIYNALVEAGLDDKFSPQDYLNFFCLGNREMVDGNNETNQSNENTPQASCRKSRRFMIYVHSKGMVVDDEYVVIGSANINQRSMEGTRDTEIAMGAYQPQHTWARRQSGPRGQIFGYRMSLWAEHMAMLDDSFEEPESLECVSKVRRMGEENWKQFRAEQVSEMRGHLLKYPVEVDRRGKVRPLPGSEEFPDVGGNIVGSFLAIQENLTI >A09p022290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12279429:12281871:-1 gene:A09p022290.1_BraROA transcript:A09p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIKIIPRDEVLDDTSDREDKKDRRRKNKDVDRKESKRHGKKITNSRDDDDLPDGDIARRSNGLDFMLPPTRKTDPDPALDVEEKLEESTHEEVIKVNPRELNPYFKGNGTGYPEEESEKRNGKDQLLPTSVVGDGGASWRMKALRRAKEQAAREGQKLEEVAGERWGSLGNLVESVASQRAAPSRAHLSAINNRRRENNGDNDKEKKPERVSEKGYGRDYLKDDSIRHRVLKAPKTDPSLSWGKRKGQTHRHEDSKLISEAASHLNKFTNDGSFMKQMLSKQKSESVEPREDHHRNEAEAETKKDVVTALPNIESLSVNQLAAKALQLRLKGKLEEAQKLTEEAERLKAKQAVGDDSSKEQQHYVRAARYPIKDMSGKRKKEDDDTDMHLAKSIMHNKQYKTSNQAADDEYDYGDAPSKKSRKQRESNVPEKDNRVKRIMTQQERCLFCFENPKRPKHLVVSIANFTYLMLPQHQPLVPGHCCILPMQHEAASRSVDDNVWDEIRNFKKCLIMMFAKEGKDAVFLETVIGLSQQRRHCMIECIPIPQEIAKEGPLYFKKAIDEAESEWSQHNAKKLIDTSVKGLRNSLPKNFPYFHVEFGIDKGFAHVIDDEQEFNSNLGLNVIRGMLELPEEDMYRRRRHESVESQKKAVVSFARQWEHFDWTKQLD >A09g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14083130:14089351:-1 gene:A09g504620.1_BraROA transcript:A09g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRTADSILHLIDSVIRKRIASYRLENPALYSSLLQRWLATPATPACNKVKISFDWSDETIRCSLVPHLSAAFGRKGISVLTDKHDEFCKCIASVFIFSKNYVCIKESLDDFFKTSQRRHDKGHVVATVFYGVNRSDLKRNFAKALFEHITSYQVSQWCSAEEIITLPGHEKINKQSDCEFVEKIATDVYEKIFPKERIGIYSRMLQDISNLLCSQQWGVKSIGFWGMPGIGKTELAKAAFDQMASDYEVTCFLQNFHETFETKGLYSLLQEHFKNLTNQNIPKRVLLVLDDVRNHLYAESLLAELPSFNLGSLIIITSRDDQVLSQYQVNQTYKVEGLNKLEAMQLFSLCAFEKDVKETNLLKDNSMKVIEYANGNPLALRVYGKEMSSHEQTSQKETLFLKLKQDPPHQIMEVVKSSYYALNDNEKNILVYIAFCFTGKHVEDVSKLLQDLGFFPEIGIDRLVANSLVTISENKLEMHNMIQGVVKKIGRCSEDSNTSFKCVLGTTDIEAISLDASNLNPDVELSLFRSMYNLRYLSIYYSNPGKHGKASESFSLPYGLRFFHWETYPLKSLPQDFDPSNLVELNMPYSQLQTLWGGTKNLKMLKRINLRHSQKLLEVNELSEARNLEQIDLCGCKNLQSFPSIRNLHKLRVVDLPSCTKIKSFPEFPSSVELKFEGSPIKTMYPSVPLIRVKSLCEFLDNPTKPLNFERHSSYILDILRRGSFLDSQKRIANPDMVETPPTFKDIMYEKAILMSQWASENLKSFRKVDF >A05g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11626728:11628790:1 gene:A05g504210.1_BraROA transcript:A05g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQLLADGRNETAHHMSPVSLAFLVVHKPVRNTEKSEREERKVTEKFKEKFMKNSEKNSEKRMSICVVKSWRFGVLKLRITHVLQPLILIGKDCSDQPDPCGDFKSRIFQKPNVISLSSSIVFLSQSHGFKVLLYTHSPENSRITVNCSCDTEQGHEDMMMGSHPGERVTACSVRCSILEYLMVMMVIFISPLGLTGDLTLGRKGTTLANGSFIILAKSTDPYDISPCSDELTIMYCFLGLKSLEWYPIGALVFFDCWFKAIGIILITSDRPSKNIDRVISGHLRSGVSQPRQ >A09g513400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40729522:40730511:-1 gene:A09g513400.1_BraROA transcript:A09g513400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDSGFFNVGKCRVLLCGELSSPVGELSGVPTSWCELGRARESVMREVHGGLVQCSCHRFLFKAGSLWWSGRAGIGGLVLAAVVSVPSFASPAMVISILIGLLGYVSFGCLSFGSFPVSVGEVHGKASSSWWLGELLSLIVECLCIQGAWTEQWFPLSRFEVPGFWSYRLAASSSDKCGLGAEVEGAGWRAIGSSGTSRPLTFTLRPSVGFSRRFLWAFGSGAISFCRQLVYEYR >A01p004050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1747474:1750209:1 gene:A01p004050.1_BraROA transcript:A01p004050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVFHAHQSHLFFPLNPSISTVRSKTQTFHFPQSTAPANLRTNLSVRRRSVKCMANPRRVKMVAKQIMRELSDMLLTDTVLQHAVLPEAALGADRYLSSLTTISDVEVSNDLQIVKVYVSVFGDDRGKDVAIAGLKSKAKYVRSELGKRMKLRLTPEVRFIEDESMERGSRVLAILDKIKAEKGSEGGAETSDSPEDDQDWGVDDPDEDIIYKKRSDIHAAPSLSRQISINHRLAPQISDPNLHTKSFNMSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A01g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10681481:10682107:-1 gene:A01g503370.1_BraROA transcript:A01g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTGSASLYVGVAFGCPGAEKKSESPVAAMEMTNSSLEHQLQDITASRSEAVLVTQQEEPYILLVKEANDWIKMQTATVDKVDSWDASNMKVITSVCGEKGTALSCGTSNQAKESGNGKSVHYGSVFSVC >A03p034990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:3341:7575:1 gene:A03p034990.1_BraROA transcript:A03p034990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGNVVSYRSDGESDVELEDYEVDDDFRDGIVETRGNRFNPLTNFLGLDFAGGNGGKFTVINGIRDISRGSVIHPDNRCYKAWTTFILIWALYSSFFTPLEFGFFRGLPENLFILDIAGQIAFLVDIVLTFFVAYRDSRTYRMVYRRSSIALRYLKSSFVIDLLACMPWDIIYKAAGEKEEVRYLLLIRLYRVRRVILFFHKMEKDIRINYLFTRIVKLIFVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKLGDYSYAKFREIDLWTRYTTSMYFAIVTMATVGYGDIHAVNMREMIFAMIYISFDMILGAYLIGNMTALIVKGSKTERFRDKMADIMKYMNRNKLSRNIRGQITGHLRLQYQSSYTEAAVLQDIPVSIRAKIAQTLYMPYIEKVPLFRGCSSEFINQIVIRLHEEFFLPGEVIMEQGSVVDQLYFVCHGVLEEIGTAKDGSEEIVSLLQPDNSFGEISILCNIPQPYTVRVSELCRILRLDKQSFMNILEIYFHDGRRILNNLLEGKESNIRIKQLESDITFHISKQEGELALKLNSAAFYGDLYQLKSLIRAGGDPNKTDYDGRSPLHLAASRGYEDITLYLIQESVDVNIKDKLGNTPLLEAIKNGNDRVAALLVKEGATLSIENAGTFLCTVVAKRDSDFLKRLLNNGIDPNSKDYDHRTPLHVAASEGLYLLAMQLVEAGANVLKKDRWGNTPLDEALGCGNKMLIKLLEDAKSSQMSSLPSSSKELKDRVYKKKCTVYSSHPNDAKETRRHGIVLWVPRSIEELVRTAAEQLNVSEASSVLSEDEGKIIDVDLISDGQKLYLTVET >A09p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6361185:6365160:1 gene:A09p012460.1_BraROA transcript:A09p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAVIMDSALEKLTEMESERVEQGTDKEIETKEQTQDNVVETNKETETDEQTQDTVIETNKEVVIEEQTQDTVVETIKGMETEEQSQDTVMETEDKNPGETVGEEDPVSELKDPPSPMITEKEADDDDDVPKIDDEKNTQLETSPHLSPPSVALDPEEGLSKATVEDNVERNIGSSEVSSGVLKSDTIQDTDSVMVDKDTPVVHDETATVTYSKLSEEKGSPHHHANTVTDQDKATEEQDMASSGELNVITVTPDTKLLEDKGSPLHHADTVMDHDKPAEEHDMASSGNFNEITVTPDTKLSEDNGSPLHHATVMEQDKPAVEVEHNMAYSGDQNEVTVTPDTKISEGKESPHRHANSVMEQDNPAEEHNMASSEDHNEITVTPDTKLSEDKGSPLHHADTVMEQDKPAEEHNMISSEDHNESPVTTDTKDVEENNERLDKGEANNMNLADHVSEPVDHDEGTTTENEKEPDVPVSETESNLENKPSEPLIETSVNVEKEPDMAATENLTENGQNSDVLAAGVSGDSDKGLSFLPATQTSPNHNEGVATLEAERMEDMEVDVPDSILVTDASIDPPNNNDVSAEAPTNAENKDYSIVLVPEGNDADNESASVRREPGPLCVASSDTKSEVGASGGLNNGVHQIGQPSPGLDRPMSPKRSFLLDDTSEGYESGTEEDQSAFMKELGQFFRERNMDFKPPKFYGEGLNCLKLWRAVIRLGGYDKVTGCKLWRQVGESFRPPKTCTTVSWTFRGFYEKALLEYERHKVHVGEVQIPLAIEPESMNIDNQASGSGRARRDAAARAMQGWNSQRLNGNGEVNDDPAFKDKNLVLHQKREKQIGTPPGLLKRKRLSSTEHVAKHSIQMSNPMLDVTVVDVGPPADWVKINVQRTQDCFEVYALVPGLVREEVRVQSDPAGRLVISGEPENPMNPWGATPFKKVVSLPTRIDPHHTSAVVTLNGQLFVRVPLEQSD >A08p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16139307:16140913:-1 gene:A08p024880.1_BraROA transcript:A08p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATEKEVSDVSAVAQKRWNLGDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKTQLQQSQVEHQLRREVEIQSHLRNPNILRLYGYFYDQKRVYLILEYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVPPFEAMEHSDTYRRIVQVDLKFPPKPIVSASAKDLISQMLVKESAQRLPLHKLLEHPWIVQNADPSGIYRG >A10p034370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19995875:19998442:-1 gene:A10p034370.1_BraROA transcript:A10p034370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVVEKPQRMSNGVVSETTRLSIIPNNSSSAQKTLLKNLEIINGGQRVNAWVDSMRASSPTHLKSLPSSVSSEKHLSSWIMQHPSALEMFEKITQASGGKQIVMFLDYDGTLSPIVDDPDKAFMSSKMRRTVKKLAKCFPTAIVTGRCIDKVYNFVKLAELYYAGSHGMDIKGPAKGFSRHKRVKQSLLYQPASDYIPMIDEVYKQLLEKTKSTPGVVVENHKFTASVHFRCVEEKKWSKLVLQVRSVLEKYPTLKLSQGRKVFEIRPMIDWDKGKALEFLLESLGFGNSNNVFPVYIGDDRTDEDAFKMLRDRGEGFGILVSKFPKDTDASYSLQDPSEASPHTRDEFLATIGGVETNAAKNMKGMYNK >A05p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18101758:18103626:-1 gene:A05p032020.1_BraROA transcript:A05p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPELVSERSTKVKDSDEGSIFRPSWSAMTLGRILTDAAVSQSAWGNSIPFTRHGIANFPGSLFFFNVILKHPQLVCEINFIKLFLHWDSEDSLSETIYLLLISFPLGFLGVFSFLILNTLPSVASSTCIGSGVVSEGLVVGSGGFAKGLGGGLSALIRLLSIHGNHTRWVRGVRRSMEGEGGSINVGLSLSIDGWLKRSIDFDVERGGWVRVFFCEFLMSHDSNCTTLRSRFSRRRRSMFRMIILVIHVSILIMYENNSRNHVPRLRIDRCKRYRIDRCRTRSGIGRWVGARISRRESCCVERCGTLTFADRAFQ >A07p019160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11392986:11399003:-1 gene:A07p019160.1_BraROA transcript:A07p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQATMQSYMVGEIETSIEIKASAQKYYHMLTGKPKDLPEASPDNLQRCDVLEGEPGKVGRILSWNYALEPEKNLIVARVIGGDLMKEFKNFFLTIQATPKQRGPGSVVKCHLKYERIDKKHEKHVLNDPELRPLARDIALAVGLVSFIFPLLEMILLSSVKQFSLLGFVLYIDQGSIRDFMETHSLSLKKRSDVYCLASHLVMRSECVLIIHFLARLRAMEGMFDVIASGNLETMRAWESVRQSVHRDHTPEEQAEMDRRAMMKSSLQGEVEADVEIKAPATEFFHMFAARPQDVSKASPENVQGCNVQGGEMGRVGTLITWNYVLDGKPKVATERIEAVDPKKNMIKLKVIEGDLTKDFKNFIVTIQVTPKQGGPGGVAKLNIAYERIDENVAHPETLLQTGVKMFKDIDEMLSG >A09p045790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40106578:40109090:1 gene:A09p045790.1_BraROA transcript:A09p045790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSSSQRFGCILRDRNQNAFVCKKNLKAPVKDRHHHHHHELFKSHVSDENSENLVDSWIETLNKKNNTNNGIGRLTGKPTVRKSRVSASPPIEESVSRKEKCDESKRNNGASSLVQIWEARLNPSSGGNSPSHNQSTVASSIRGDSGDSVQDSCFSESPSDESEAENGHVEMESRSQGSVSDSGRVADLIRRLSNEKKLIAGGGGLSTIKTPRTYISSEKSSFPIVSCSPRLRGRQAFTDLLMRMERDRHRELDSLHQRNAVSKFTQRGRLQSMLRLRNLKRCLAIHDQYRFNEKTTRLNRIEPGSAVLRLREKFRANAVNADTSKETEVAIERTRLKKGGSNQEASFATAGTTLCKEEETVNGTVEANVDCLELQETRGAKTWNDNKFEDKEEETSQSETPESTQNKLEIKMENCVQEAPETQGVGHESNEMDQCLEQQETSYMNGWEEYEEEQYYYGEANNDWLSEISRPRSYWEELRKSRYLEVMNTRSEKEDIRRLLERRTVTDFLESGLREEIDRLMMSRVQTHSNKSCEKWEQEEEQNNEADEKEEPLTEGEEQDDRDDDSSRASSHIFASSPAGSWSSQDTEVTSSTPVLSVHNSPSPEMELISDMRAQIQQLQQEMSLLRDSVKTCLDANASLQQSFHGENPMKRKCCVCDETQVEAVLYMCGHMCTCLKCANELHWSGGKCPICRAQIMDVVRVFLDTRN >A08p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8207999:8209334:-1 gene:A08p013450.1_BraROA transcript:A08p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENLSLYAMILSSSSVLPMILKTAIDLGLFDILSESGPSSPLSASQIMSLLSTQTQTHHDSTLLNRILRCLASYSILTCSVSTDQGEPREVYRLAPVAKYFTKNREGGGSLAPLVNLFQDKVVTDIWYDLKDSVLEGGLPFNKAHGSSAPELVGRDSRFREVFQSSMKGFNEVFMEEVVNKYKGFDGVNSLVDVGGGDGSILRKIISKHPHILKAINFDLSSVIKNTSPASPCIDNVAGDMFTSIPKGEAIFMKWMLHSWDDEQCVKILSNCYQSLPSTGKVIVIDMVIPDFPGGTLLDRSLFQFEMFMMSMNPSGKERTKKEFEVLARLAGFSSVQVPFTSLCFSVVEFYKSL >A09p061400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50627105:50632622:1 gene:A09p061400.1_BraROA transcript:A09p061400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDEGESSARYQNALDALSSLITKRSLFVNKNQSHRFHLLFHYLKVLELEEAVSQLKIIHVAGTKGKGSTCTFSESILRGYGLRTGLFTSPHLIDVRERFRLNGIEISQEKFVDYFWCCFHKLKEKSSNEIPMPTYFCFLALLAFKIFSTEQVDVVILEVGLGGRYDATNVIQKPVVCGVTSLGYDHMEILGHTLAEIAAEKAGIFKSGVPAFTVPQPDEAMRVLNEKASKLEKIGIEDKNDDTNGLPEKFISGLSNAYLMGRAMIVPDSELPEEIVFYLDGAHSPESMEACATWFSQQVKERNKKRSEQILLFNCMSVRDPCLLLPRLRTKCIDEGVEFKKAIFVPNMSVYNQVGSWSKIEQRVDDSITWQFGLKRLWDNLARGEAKTTSRSDSKEEERSLVFSSLPLAVDWLRDSARRSQQVRFQWVLYTIFSGGEIEKFQSVKMVMRTEARVSVSSGQSVVGNKASSHRLTQDQRSHIESASQLLAGGIAGAFSKTCTAPLSRLTILFQVQGMHTNAAALKKPSILHEASRILNEEGLKAFWKGNLVTIAHRLPYSSVNFYTYEHYKKFLYMVTGMENHRESISSNVFVHFVAGGLAGITAASATYPLDLVRTRLAAQTKVIYYTGIWHTLRTISTDEGILGLYKGLGTTLVGVGPSIAISFSAYESLRSYWMSNRPHDSPVVVSLACGSLSGIASSTATFPLDLVRRRKQLEGIGGRAVVYKTGLMGTLKRIVKTEGVRGLYRGILPEYYKVVPGVGICFMTYETLKLYFMDLSSKL >A10p002200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1143578:1144435:-1 gene:A10p002200.1_BraROA transcript:A10p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSSKWWWIGNHNTTNFSPWLHSTLSGNFLSQFDSANVYWFPKLLTFSCNYNFEELDEKTKEMLRVIDEDADSFAARAEMYYKKRPELVAMVQDFYRSHRSLAERYDLLRPSSVHRHGSEKSHREKSSTWDESSWSEACETHEEYYAESEVDDGEDKWVEDEGEETETSRVDDGMMKEEVERLREENKVYSEIVREKDEEKREAIRQMSLAIDMLKEENSELKRCVSSVVAKHNDKESDVSQRKQQMWRAFEFNKLEGLFGRVVGNWVVPKTESTTSRELMTL >SC170g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:119270:121718:1 gene:SC170g500030.1_BraROA transcript:SC170g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGADWLLWSMDRLAVAFKTLFFASNGFSYTYTARALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLMPKLLVQELITSGYKKDEAKRSLFEIAFGGLRNQPGSLCSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGIYLHDPRELGGYSRRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A05p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2663943:2665119:-1 gene:A05p006630.1_BraROA transcript:A05p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRSPPTWLKTTALDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >A03p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2771279:2773053:-1 gene:A03p006580.1_BraROA transcript:A03p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKHSLIPSFLYSSSSSPRSLLLDQVLNSNSNAAFASSNLEKSPSPSPAKTATMVSRKNFLIASPTEPGKGIEMYSPAFYAACTFGGILSCGLTHMTVTPLDLVKCNMQEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEYTAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGMSDGFPKFVKSEGYGGLYKGLGPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKHECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVAPAPAIVAAEAKA >A07p022560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13160932:13162627:-1 gene:A07p022560.1_BraROA transcript:A07p022560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKPNRRDLYPEEYCSNTDKALKFELNCFLVEVVLPKSEGSSCFHRKINYGFFLVEIYWVNYELRSLSAEGTGKIEKWNPSRYARFYAVQCGEIEVEKKIDETIEHFISWIDKHPNKRSPICVSFYEVKSKQPSWFNNKQRSYWEQWYVNLNVLHQTKSPSHHSKLVMDTGEANEERSSRRTLLEQSLQEVLFQIIKFVNEKKDHVPPINDGVIYCPFEITMPSSSDSTFGMDIFKRMLHSGGHPSMLS >A01p003660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1594007:1598530:-1 gene:A01p003660.1_BraROA transcript:A01p003660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPIAPPRKKKKKTKKPPSFSSIPDDVIVNILARISKSHYRSLCLVSKNFNSLLSSPDIYFVRSLIGNTEACLYVRLWVRTPSSGHRHRWFTLGYRQGQLTLVLVRALSSSYSPDRLNSTTVAVHSEIYQIGGSNENKPTRAVRVLDCRSHTWRSAPDMKVARKHAMSYFLDEKIYVIGRCKKTKEKMSWGEVFDLKTQTWKPLPKPPSHDNYVDGAVFGGRLYVFTINNEKYAYDPTEESWVQEAGFEGLEGITGPWCIMGSLIFAEYHRMYVGYDASNGKWLMVHGLDEVHTKRTKNSRTIQLVNHGGKLVIIWDVWHMCPREHKSIWCAVISLEERLTQSGNILLGKVERCNVVLDLVHKSYKLSSCQSGCFNDLKILVEYESHNSETETLAEMTTNPIAPPLKKIKKMKKPPSFSSIPDDVIVNILARISKSHYRSLSLVSKHLNSLLSSPDIYFARSLIGNTDARLYMCLWLPTPSSSHRDRWFNLSYRLGQLTLVPVRALSSSYSPDRLSSTTVAVHSEIYQMGGSNGDKRTRAVRVLDCRSHTWRRAPDMKVARKHARSYFLDEKVYVIGGCTQREETMTWGEVFDLKTQTWKPLPKPPSDDDVNSYHNGVVFEGRLFFFTTKKNNKNYAYDPKEGRWVQEAGFVGLEGMTGPWCVIGSVIFAEHGGIFKWYDPRNGKWLEVHGLNEVHTKRAKSSRTIQLVNHGGKLVIIWDAWHMRQREHKSVWCAVISLEKCLFPSSYMRGRVEECRVFLGLAHKSYKLSSCLSSRREKVRERKADRVEGSDVRTARERACRRRSPSIFRRVIFYGFSHLSSPYRLVGALVRALAVVVTSGGDPSPVEALCLSNDASGWRMGVVKPVEASRAPSTPVLLPGGGGFLCSAFAGSCLWGVKVVLCLAPPASGLLFPVLGFYFSFERWRVGLAGGALKLRREGVSGEDVVELLSLGGSGGLIDEDGTGVSIGAGFRR >A10p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14152999:14154073:1 gene:A10p020990.1_BraROA transcript:A10p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQDVTSSLMIIVIVFTILLLLVAFQAVHADYYGPRPPPVYRPLTTSRLLANSIAKLFFYPHNALRSRLGSGSSWAPGFAVHSWIVEGSSYNFNTNSCDGSEMCGHYTQIVWRDTKKLECASVVCENGAGVFITCNYDPPGNYVGRETLLRESL >A09g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22625349:22628383:-1 gene:A09g507900.1_BraROA transcript:A09g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREPEKMISELEKMISEPEKMISKPEKMIPGPEKMIPESEKMIPESETMIREPETTMPVRVFEDSRAGDDTANEVVQAATQDTMNEEPQAVCLGNICLLDGSWTLSANFSGCGWTWIDSSGNIQLMGTKNITRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQICFPDFNITYVPRAHNQTADFLAKTARSFRRELHFVARVFVIKRNVNVTARSPGVPPELSKGRYGHVSTHLDNSTCPVTGPKSRRTHNLLRNTVTPTSIYFYLQSCAQGNGNGGMSNKLLSEVILDQPVRMTLYTTLCGN >A08p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1237216:1240036:-1 gene:A08p002180.1_BraROA transcript:A08p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSLISLLFLLFFTSSAYARLVSLNPSSTDLISDGVNGEPLINSRSIIKTVVSAEEKEEACEQTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSELLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQTQVSVGMGLLAGSTVMLLTVIWGTCTVVGKCDLRDSIAVNNQDTKGFHLKDSGVTVDVWTSYAARIMAISVIPFIIVQLPQMLDSTSGRHLAVLVALILSVLMLISYCVYQVFQPWIQRRRLAFAKHKHVISGILRHLKQHALGRLLNDEGQPDEHVIRRLFETIDANKDGHLSAAELKALIIGISFEDIDFDKDDAVGKVLQDFDKTLDEQVDQEEFVRGIKRWLIQAMGAAGPSGPDAGPRTMKFLDHFHVQTKREHALLGDNENGENDEESGEVADPKWITIKAALLLLLGAAIAAAFADPLVDTVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELCGGVTMNNILCLSVFLAIVYLRGLTWNFSSEVLVILIVCLVMGGFASFRTTYPLWTCFIAYLLYPFSLGLVYILDYWFGWS >A09p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21361534:21364014:-1 gene:A09p035610.1_BraROA transcript:A09p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRRGKRKENPTEEEAPRVKFAKTGSRENVEKTTTKESETRAVEVVESTAKTTDVSMEKTKTTDVSMEKTKTTDVSMEKTRKDSSENTAEMTEPFNVVAEAAPTTLNKGPGDEENEETASGDEENVETASGDEENEETASGDEVNEKTASGDEVNEGSEEVQEKPDGEKESSNEDHEDYEEEPSDGENEVNARLEEEQANGEGEEEANENGNPPEPKSSFKRNGMTGVSLLAINKELSNTADIDSIIPTRTPQEKRLLDDILEDEDDVDESDIAVESWEKCLDAGRGFDKKVDQLDGRLTALEEFVKEAQAKAAEEETPSQEKAKKHKRRKK >A01p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26976000:26981425:-1 gene:A01p047820.1_BraROA transcript:A01p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPDFEATRAASSSSTTVISSSVPSSSRPPAANSFSEDAEEISPILFFLFFHKAVCSELESLHRLALEFATGHHVDLRLLRERYRFLRSIYKHHCNAEDEVIFSALDIRVKNVAQTYSLEHKGESTLFDHLFELLNPSTEIDESYRRELASSTGALQTSVSQHLAKEQKQVFPLLIEKFKHEEQAYIVWRFLCSIPVNMLAVFLPWLASSISVDESKEMQTCLSKIVPDENLLQQVIFTWLGRKSDTAASCRIEDSLFQCCIDSSSSMLPCETSRAQCACEGTKVGKRKYQEITNHDSFDAQMHPVDEIKLWHKAMNKEMKEIADEARRIQLSGDFSDLSAFDERLQYIAEVCIFHSLAEDKIIFPAVDGEFSFSEEHDEEENQFNEFRCLIENIKSAGATSTSAAEFYTKLSSHADQIMETIQRHFHNEEIQVLPLARKNFTFKRQQELLYQSLCIMPLRLIERVLPWLAASLTEDEARNFLKNLQAGAPKSDAALVTLFSGWACKGRKDGECLSPNGNGLCPVKTLSNSEVYLQSCNASVSMPCSSRSIESCCQQQDKRPAKRTVVSSSENNATPHSSEGANGSNPSGNGRSCCVPDLGVNSDCLGLGSLPAAKAMRSSSLNSAAPALDSSLFGCEIDSNSFGTGNAERPVATIFAFHKAISKDLEFLDVESGKLIDCDETFIRQFMGRFHLLWGYYKAHSSAEDDILFPALESKEALHNVSHSYTLDHKQEEKLFEDIYTVLTELSMLHEKLQSDSMMGGVTQTETVHTDIDSGDCKKKYNELATKLQGMCKSIKITLDQHIFLEELELWPLFDEHFSIQEQDKIVGRIIGTTGAEVLQSMLPWVTSALSEDEQNRMMDTWKQATKNTMFDEWLNECWKGSPDSSSGEASKHSPQRDNDHQEIVDQTGQLFKPGWKDIFRMNQNELEAEIRKVYQDTTLDPRRKDYLVQNWRTSRWIAAQQKLPKETETALNGDVALGCSPSFRDPEKQIYGCEHYKRNCKLRAVCCDQLFTCRFCHDKVSDHSMDRKLVTEMLCMRCLKVQAVGPICTTPSCDGFPMAKHYCSICKLFDDERAVYHCPFCNLCRVGEGLGIDYFHCMTCNCCLGMKLVNHKCLEKSLETNCPICCEFLFTSSETVRALPCGHYMHSACFQAYTCSHYTCPICGKTLGDMGVYFGMLDALLAAEELPEEYKDRCQDILCNDCQRKGTTQFHWLYHKCGSCGSYNTRVIRSETAAPDCPTSS >A02p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26773662:26774792:1 gene:A02p042670.1_BraROA transcript:A02p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDDSQGEAFGSQGAQTVPVAGPGGPKGSWETLEVQEKEKTVENQSIRVEKNASIQQGSEERTEKLNEVLVENVEEVLVSVTETEDERVVEQVVGSNEAVAIKTGATTNRNCNSEGKGMSRCFTREVESLSFEK >A07g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14102139:14105047:-1 gene:A07g506010.1_BraROA transcript:A07g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20090762:20092484:-1 gene:A04g507860.1_BraROA transcript:A04g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRNSTVSGGFISKQSNGTDGASSAEPIKRTGQSGVSSTVPIKHYHPLRCQACFFWLSSSLNRFSFSICCGNIVSSDSNKRFVYDVGAYNSDDDKADENEADGGGSLEEGGDRSKDVNGGSSHKEGYISTYLFSPHTSQADLNSALRPVEIVGNLAFIEKAEKLINEIIAQGPQNKYRSKFQMMVGVIIGRGDYQEHADKVESTDS >A08p039470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22691819:22694508:-1 gene:A08p039470.1_BraROA transcript:A08p039470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASGGGEGFEVTKYGHGRVALIGFPRIWRLMVLGKLMEVVVLCSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGVIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKKPPQVLFRENATVDDFIDVIEGNRKYIKCVYVYNKIDVGIDDVDRLARQPNSILNLDRLLARMWDEMGLVRVYSKPQGQQPDFDEPFVLSSDRGGCTVEDFCNHVHRTLVKDMKYALVWGTSARHNPQNCGLSQHLEDEDVVQVIKKKERDEGGRGRFKSHSNAPARIADREKKAPLKQ >A08p011050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9119446:9120438:-1 gene:A08p011050.1_BraROA transcript:A08p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANHKLQVCLLPPLIIYDWLETASWEGNDNMVLMMKQVASCLLSAKLGFELNPHMNLQKNLENFREKERKRERRTEKSVRKIRKINQEKIGGDLIFNPSSSAYLGEEDQLRPSGPLLRCGVLLVPSCPFIFVQEELKSCPSQFQDCSLGESRQKMR >A09p019040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10065608:10066176:1 gene:A09p019040.1_BraROA transcript:A09p019040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYGYNVYKTFRYFQKHPRQEEWIQNDLQNDVKGLIELYEASELGVEGEEILDSLRECTFTRLNELCSGRDSHEEREIMNSLAQPRHKTLRRLTSNKFISIIKIGGEEDNEWLQSLLRVAECDSIMLKSLIREEIPQAFKWWRELGLDKELRKERN >A09p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7120308:7123226:1 gene:A09p013740.1_BraROA transcript:A09p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDSGGVAVPTENGVADLDRLHRRKSRSDSSNGLLPDTSPSDDVGAAAAERDRVDSAAEEEAQGTANLAGGDAETRESAGGDVRFTYRPSVPAHRRTRESPLSSDAIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIRTDFWFSSTSLRDWPLFMCCLSLSVFPLAAFTVEKMVLQKFISEPVAIILHVIITLTEVLYPVYVTLRCDSAFLSGVTLMLLTCIVWLKLVSYAHTSYDIRTLANSADKVDPEISYYVSLKSLAYFMVAPTLCYQPSYPRSPCIRKGWVARQFAKLVIFTGLMGFIIEQYINPIVRNSKHPLKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKSVGDYWRMWNMPVHKWMVRHVYFPCLRIKIPKVPAIIIAFLVSAVFHELCIAVPCRLFNLWAFMGIMFQVPLVFITNFLQERFGSMVGNMIFWFSFCIFGQPMCVLLYYHDLMNRKGSMS >A06p056690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29508999:29509545:-1 gene:A06p056690.1_BraROA transcript:A06p056690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIISEWRRQHNLNSKKFVENLKEKVKKGLYSNDNATTKKISKSLKELFHTLNADEMFRKQKRRARNRITQILDTIGNVVEDEEGLVVFATNYFRQIFESYNPEGIKETFYEVSTTITESFNEDLTCLVIECEVKLALFGIHPEKAIEPEGMTTLLYQKF >A10p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4856116:4862293:1 gene:A10p013340.1_BraROA transcript:A10p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPLGYVFLADSKSGICTSTAVVRLLRYHFAGFSKVAALFEANRLELIFKSDGWAIMPGIPLVSPEASSCSRSTDSMCHEDSRVLMSEEEEIAAEESLVAYCKPVELYNILQRRAIRNPLFLQRCLHYKIEAKHKRRIQMTVFLSGTIDAGVQTQKLFPLYILLARLVSPKPVAEYSAVYKFSRACILTGVVGVDGVSQAQANFLLPDMNKLALEAKSGSLAILFISFAGAQNSQFGIDSGKIHSGNIGGHCLWSKVSLQSLYSLWQKSPNMDLGQKVDSVSLVEMQPCFIKLKSMNEEKCVSIQVPSNPLTSSSPQQVQVTISAEEVGATEKSPYSSFSYDDISSPSMLQIIRLRTRNVVFNYRYYNNKLQRTEVTEDFSCPFCLVKCASLKGLKYHLPSTHDLFNFEFWVSEEYQAVNVSLKSETMISEINEDGVDPKQQTFFFSSKKGRRRRQKSQVRSSRQGHHLGLGCQVLDKTDDAHSVKCEKNRIAPGKHCERIGVAESSGQRVPPGTSPADVQSCVDPDSVQSMVGSTMLQFAKTRKLSIERSELRNRSLLQKREFFHSHRAQPMALEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQRVLADGHIPWACEAFSRLHGSIMVRIPHLIWCWRMFMVKLWNHGLLDARTMNNCNVFLEQLPN >A08g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8563869:8564376:1 gene:A08g505170.1_BraROA transcript:A08g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRESDSDPGDLEHAEKLRQVKAVLKEGGNFSGIYMKVQLKPLKWEGEGEEERPVEALMILKYGGVLTHAGRKQVFTYSTI >A10p030470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18414214:18415278:1 gene:A10p030470.1_BraROA transcript:A10p030470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRQASSSSRISDDQITDLISKLRQSIPEIRQNRRSSTVSASKVLQETCNYIRNLNKEADDLSDRLSQLLETIDPNSPQAAIIRSLINE >A03p015780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6264871:6265899:1 gene:A03p015780.1_BraROA transcript:A03p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMDEELHEYMDFRPLKYSEHKTSVTKYTKTSPETRPDSVKIVRVSVTDPYATDSSSDEEEEDFLFPRRRVRRFVNEIKVEPGCNNTGVSMKERKRLSSPASNRHRPLKVSTSSGQNVRKFRGVRQRPWGKWAAEIRDPEQKRRLWLGTFETAEEAAVVYDNAAIRLRGPDALTNFSVPPQSQEEAEPEPVINKPESNIATTITTSSTESTEDFQRVSSPTSVLNLQSSSEEIQQPFKSAKPEPEISDASWCHTGFSSGSGESEDSFMLDSTFLDNYFNESTPEFSILDQPMGQLFLENDVFSDTFLDEEIMMNIGDEFTKDIGSVFSEFDDSLISDLLVV >A02g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2777871:2778478:-1 gene:A02g500880.1_BraROA transcript:A02g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFCVLHLSSWRNIYSLKRLCLHAKVYCVCLFAYMVQVYLNIDTSGRHVRKRSSNRVGEAANQHEKNIWLREAVN >A08g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11751155:11754679:1 gene:A08g506780.1_BraROA transcript:A08g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSFLTSHDHLLPCFFALSLMEMNPSSRYSYDPVLRWDPEVEDYFIKAYGPDHFARISKALTRPSSYSCIRVNTVQTTSDAVIEKLTKILNDDSEDGLKLVLQPDGSSSPISKCQIPGLEYVVFVNGSGPHRIEYGSGLDNPPKEVLVSRKCAEAVLRGAQVYVPGVLACTAHVEKGDAVAVCVAVEQPGDEGDWSVNMTRGTTLQGLPSGKNHSSSYPFYCERSGLYIGMGTAMLSRAGMFRVSHGVAVDLNNRVFRLPSFHNVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMNDEGEIVAADRSHNKVLDVQRLSAEMGLTCITTCKLDALKSVCLPSTVSDSTASVNGDNSGSVTSHSELSSNEGISSDASGRSEAEKSCEENASTEQPKGGDKVSQSEIRKSKGMLKNGRGRTQSQGGRAGKSQGFPPNSFDRVLLDAPCSALGLRPRLFAGLETVISLRNHGRYQRKMFDQAVQLVRVGGVLVYSTCTINPSENEAVVRYALDKYKFLSLAPQHPRIGGPGLVGRCEFPDGYVEEWLKPGEEEMVQKFDPSSELDTIGFFIAKFSVGPKD >A04g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12048986:12060452:-1 gene:A04g505770.1_BraROA transcript:A04g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGFTNTRFPKTFRNAWSLRSDRAPTQFGRYVATENAHSSRPVRPQKGPPLRSPLNPDRKSFRFVSIGVFVEILRRNQVGLVSAYFYSLRSELSDLHSLHSELSGLKRVLLCRPVSPQKGPPLRSILNPHRNAFCFVSIGVSVEILRRKQVGLFLVCFYSLRSDLSDLHCYVATCQPSKGSSFAFSFESSSKRFSFRLNRTTCQTSTRYVETCQASKGSSFAFSFESSSKRFLFRLNRTTCQASKISSFVFYFESQSKRFSFRLNRSFLCLLLLVLTSIFVFSFKSRSKRLLFCLDWSTLQRLVFSHEFKLIVSAGLARGDTFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRLSTTYDAGVCSASGDDVYYGHIHEILEIKYLGMVGLHCTVFYCDWHDNTPDRGVRTDAFGVTSVNSRRKLQYYDNFILASQADQYPRVRNRDDPWVTVTRLNPRGRVHGSSELEDPLQPSTSGNLSAVEDLAGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEIPRKFRGKIGFPRNRPCRFMDLIIESFSSKFPRNIPTEFRGWNIRRKYLIFPRKFRWIFSVGRLILLGRARSSSPFLSAALSLPCDFRRELSSPLRFPAHSLFSLAISGDSTLILMHEPYPTLLGLMMIRPGLDSVVAGVVRGVSLGVRPAIFRIPFRPTAPTTSPSPLPAPAAPAPAAASAPAPPGPPGVMSVAELVRQPGRDHLPYLTTFNRSGNGISAYINRMMYSALDKGHPTFTDFPTEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEINKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENDGEPVDDLALMKMTYTNKKTGQIDDGLVRDVVSLVQTQVLDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSWSAAPSSAPPPYVDPEVLTAQLKDKDDRISVLETQMEMMKRMYPNEVFPNVQDP >A07p044470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24257017:24257873:-1 gene:A07p044470.1_BraROA transcript:A07p044470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71030/F23N20_2 [Source:Projected from Arabidopsis thaliana (AT1G71030) UniProtKB/TrEMBL;Acc:Q9C9A5] MNKISHGALSRPSGMLHRAKRYRGRKYAKPELKESNFSKDEDDLILKLHALLGNRWSLIAGRLPGRTDDEVRIHWESYLEKKLMKMGIDPTNHRIYHHTNYTSRRFINASYKKHETDIISDQSSSVSESCDMKLLLVSSTNYSEANASSGNSRLPDLNIGLVPIKTVTSLPVGSLQEPSGSSNHGSTSQETLLLFQ >A03p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16642747:16644146:1 gene:A03p039980.1_BraROA transcript:A03p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPQFYTDFTCSGETSSQFHGSSSCPDISALSNYVGDGFNSFNTSSNQESTFLPQVLGISDVFVPRYINYYQKRGVNNATQYFHGGDQEYYGYSPEIKPLFYQSTGEQSWGNSEGVIQAEPNTKVGRYSVEERKDRIMRYLKKKNQRNFNKTIKYVCRKTLADRRVRVRGRFARNNDTCEQQSHDHNHSEKDENMFSGSDDYLIQQMKNDDGWLHEAMCNLIYFPCELDPPSDAHHPNIWS >A01p021590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10533244:10534970:1 gene:A01p021590.1_BraROA transcript:A01p021590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltetrahydrofolate deformylase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G17360) UniProtKB/Swiss-Prot;Acc:F4JP46] MIRRVSTTSSLSATAFGSFMKWSFKSSHFHGESLDSSVSPLLIPGVHVFHCPDVVGIVAKLSDCIAAKGGNILGYDVFVPENKNVFYSRSEFIFDPVKWPRRQMGEDFETIARKFNAMSSVVRVPSLDPKYKIALLLSKQDHCLVEMLHKWQDGKLPVDITCVISNHERAPNTHIMRFLQRHGISYHYLPTTDQNKIEEEILELVKDTDFIVLARYMQVLSGNFLKGYGKDVINIHHGLLPSFKGRSPAKQAFDAGVKLIGATTHFVTEELDSGPIIEQMVERVSHRDNLRSFVQKSEDLEKKCLMKAIKSYCELRVLPYGTHKTVVF >A10p000320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:171076:177119:-1 gene:A10p000320.1_BraROA transcript:A10p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recombination initiation defects 3 [Source:Projected from Arabidopsis thaliana (AT1G01690) UniProtKB/TrEMBL;Acc:F4HU48] MKMNKAFDLKSISVFPPNLRRRSSVGPSEPQASQQSLSQGPSSQRGCFSQMTQSPVDQLYDQRFTCQERDLSLKKTSFLAPVNHKRDDTQMLSSRPSSGRWSSVSFAESKSQISEERFGMMETSLSKFGMMLDSFQTDIIQANRGTKQVFLETERLHQKLILQDTSLQQLIKEQTDVKASLDGAVKSILEELTKFPNQDKLQKIALMLTAIPEQVETSLQKIQSEICHKLTGDIQVLASLKMTEPVIAQEVPTAPQVKKSKENLHEQRGPAAKLQSSAFCDAILKTKQPRLHRNPDKTSARNVKTFLSPKTQVGCWKTVKPAQRTSKNNAARKQVKPVGTRTQFEECSIVIDSDEDIDEGFSCLLNGNTKGASFEWDAKKETERLLKTARRTRRKFEVVRVGSLAQLRNVRIRAEVVEFLGNVPLLQKLPSSSLKKIAQVVVLKRYARGEYVIREEQAWDGCYFIFSGEAQVSGPEEEDNRSEFLLKQYDYFGHGISAHVHSADIIATSEQLTCLVLPRDHCRLLETNSIWQSDQEVDKCSLVERILHLDPLELNIFRGITLPDAPIFGKVFGGQFMGQALAAASKTVDFRKIVHSFHSYFLLVGDIDIPIIYQVHRIRDGNNFATRRVDAIQKGNIIFILMASFQKEQQGFDHQESTMPSAPDPDTLLSLEELRERRITDPQLPRSYRNKVATANFIPWPIEIRFCEPSNSTNQTKSPPRLRYWFRAKGKLSDDQALHRCVVAFASDLIFASISLNPHRRKGLRSAALSLDHAMWFHRPLRADDWLLFIVSPTAHMTRGFVTGEMFNRKGELVVSLTQEALLREARPPKPSVTSKL >A10p022070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14631376:14647868:-1 gene:A10p022070.1_BraROA transcript:A10p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 5 [Source:Projected from Arabidopsis thaliana (AT5G58690) UniProtKB/Swiss-Prot;Acc:Q944C2] MGSYRMCLIFKRKFRVTEPGPVDDVRDVFSRYAEGQAHMSPEQLRKLMADERREDLGSSLEDAERLVDEVLRRKHHIAKFTRRNLTLEDFNYLLFSTELNPPIGDKVHQNMDAPLSHYFIFTGHNSYLTGNQLSSKCSGLPIADALRKGVRVVELDLWPRGKDDVCVKHGGTLTKAVKLGRCLESIKANAFTTSKYPVIITLEDHLTPKLQCKVAKMITQTFGEMLYYHDSESCKEFPSPEELKGKILISTKPPKEYLEANGAKDGEKGKDSDEDVWGKEPEELISTQSELDKVSNNINYINQDDEERGSRDFDTSCSLQAPEYKRLIAIHAGKPKGGLKMALKVDPDKIRRLSLSEQLLEKAVASHGADVIRFTQKNFLRIYPKGTRFNSSNYKPQIGWMSGAQMIAFNMQGYGRALWLMQGMFRANGGCGYVKKPDFLMNVGPNGQVFDPNENSSPKKTLKVKVLMGDGWHLDFKKTHFDRYSPPDFYVRVGIAGAPADKAMEKTEVKYNTWTPIWNEEFTFQLTVPELALLRVEVHEYDNNEKDDFGGQTCLPVSELRQGIRAVPLYNRKGVKYSSTRLLMRFEPEMKRELGRYKMGLCFSDKLRMNRESPPPDVVRVFLEYTEGGNHMTAEQLCRFLVQVQGETEVLVSDAEKIIERITNERHHITKFLRHSLNLDDFFSFLFSDDLNHPVVSKVHQDMASPLSHYFIYTSHNSYLTGNQINSECSDVPLIKALKRGVRALELDLWPNSTKDGILVRHGWAWTPPVELIKCLRSIKDYAFSASAYPVILTLEDHLTPDLQAKAAEMMKEIFMDMVYFSESGDLKDFPSPEDLKYKVVISTKLPKGTLEKEKDSESDVSGKTSSEDVSADDEKVEETSEAKNEEEGTSEAKEEKDGGSDKESSKMDLLTYSRMILIPSGNARNGLKEALTFDNGGIRRLSLREQNFKKATEMYGTQVIEFTQKNLLRIYPKATRVTSSNYKPFSGWMYGAQMVAFNMQGYGRALWMMHGMFRSNGGCGYVKKPDFMMNKGPDGEVFDPKAKLPIKTTMRVKVYMGKGWDSGFQRACFNTWSSPNFYTRVGITGVRGDRVMKKTKKEENTWEPFWDEEFEFQLTVPELALLRVEVHDYNMPEKDDFSGQTCLPVAELRQGIRSVPLYDRKGERLVAVTLLMRSFKVKTSEPPQEIKKLFDDYSGDGRMSADEMLRFVIEVQGEKHADTNYVKDIFHRLKHHGVFHPRGIHLEGFYRYLLSDFNSPLPLSGEVWQDMNQPLSHYFLYTGHNSYLTGNQLNSRSSTEPIVKALRSGVRVIELDLWPNSSGTEAEVRHGGTLTSTEDLQKCLNAVKENAFVVSEYPVVLTLEDHLPPDLQKKVAKMVSKTFGGTLFRCTTDEHTECFPSPEALKNKILISTKPPKEYLQTQVSLGSTTDESVKAKKVKEAEELIQDEDEETVAIEYRDLISIHAGNRKGGMKNCLNGDPNRVIRLSMSEQWLETLAKTRGPDLVKFTQRNILRIFPKTTRIDSSNYDPLVGWIHGAQMVAFNRQSHGRFLWMMQGLFKANGGCGYVKKPDVLLSNGPGGETFDPSSKNLQIKTVLKVGIAGIPLDTTSHKTETDTDEWFPVWDKEFEFPLRVPELALLYIIVKDYDSNTQNDFAGQICLPLSEIRPGIRAVRLHDRAGEVYKHARLLVRFVLEPR >A02p049680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30763402:30764673:1 gene:A02p049680.1_BraROA transcript:A02p049680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIYACLCSKKLEGKVALITGGASGLGKATAGEFIRHGARVVIVDSDEESGFKAAKELGVAAEFMVCDVTVEADIARAVETTVERHGKLDVMYNNAGIVGPMIPASISELDMKEFENVMRINVIGVVSGIKHAAKVMIPAGSGCILCTSSVAGVIGGLAPHSYTISKFTIPGMVKSAASELCEHGVRINCISPSTVATPLVLRYLRKVFPTATEEKLRETVKGMSELKGAECEEADVAKAALYLASDDGKYVTGHNLVVDGGMTAFKIAGFPFPSDS >SC139g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:231161:241463:1 gene:SC139g500070.1_BraROA transcript:SC139g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHESSGVVKIQEENKWVWPRWVKTVLGSCEIWSNQVKGEPLMERAADGGQTARLKCEDQLSLEESISLEKIEDVYENKFNLRRMYEVRKMICELKQGKEGFNQHVKKLRCLWSELQSLRPRSCDPRVLEEWREQDVVIRFVVFSLLASLDSSYGWLVKLILKEEKLPNMEEVCVLVQRLHQVMEENKEITWSKEGAKRKKGRLRRRSKAQIRRGRCWKRSILFGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVRSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPAIKAWKVILLHFGELPTTKEPLDKEEAVWIRFPQAREAGDRL >A07p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5867566:5870013:1 gene:A07p009490.1_BraROA transcript:A07p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.8 [Source:Projected from Arabidopsis thaliana (AT2G05920) UniProtKB/Swiss-Prot;Acc:Q9ZUF6] MASPITIITLIFLFLLYSAEAKRTYIVRVKHWDKPESFSTHHDWYTSQLQSISTDPQSQSESESPLLYTYTTSFNGFSAFLDTDEAESLLRSESILDVFEDPVYTLDTTRTPEFLGLNSEFGVASGYSGHASNGVIIGVLDTGVWPESKSYDDYGMPEIPSKWKGECESGPDFDPKLCNKKLVGARSFSKGFQMSSGGGGFSTKRESVSPRDVDGHGTHTSSTAAGSAVTNASFLGYAAGTARGMATGARVATYKVCWSSGCFGSDILAAMDRAILDGVDVLSLSLGGGSAPYYRDTIAIGSFSAMEKGVFVSCSAGNSGPTRSSVANVAPWVMTVGAGTLDRDFPAYANLGNGKRLVGVSLYSGEGMGTKPLELVYNKGNSSSSNLCLPASLDPTTVRGKIVVCDRGVNARVEKGAVVRDAGGLGMIMANTAASGEELVADSHLLPAIAVGKKTGDLLREYIKSDSNPTALLVFKGTVLDVHPSPVVAAFSSRGPNTVTPEILKPDVIGPGVNILAGWSDAIGPTGLDKDSRRTQFNIMSGTSMSCPHISGLAGLLKAAHPEWSPSAIKSALMTTAYNLDNTNSPLRDAADNSLSNPHAHGSGHVDPQKALSPGLVYDISTEEYIRFLCSLDYTVDHIVAIVKRPSVNCSKKFSNPGQLNYPSFSVLFGGKRVVRYTREVTNVGAPNSVYKVVVNGAPSVGISVKPSKLSFRSVGEKKRYTVTFVSKKGVSLTNKAEFGSITWSNPQHQVRSPVAFSWNRF >A01p023040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11372660:11375521:-1 gene:A01p023040.1_BraROA transcript:A01p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDEEQRRAITMKSSSISLGYKDYSLNLLDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWVEKLTPCLVLNKIDRLICELRLSPMEAYTRLIRIVHEVNGIVSAYKSEKYLSDVDSILASPSGEITDESLELLEDDEEVTFQPQNGNVVFVCALDGWGFGVSEFANFYASRLGANVDTLQKSLWGPRYYIPKTKMIVGKKSLSAGSKTNPMFVEFVLEPLWEVLDPGSDGAVLEKVIKSFNLIIPPSELQNKDPKNLRRSVISRWLPLSDAVLSMAVKHLPDPISAQAYRIPRLVPERKVIGGNDVDSSVLAEAELVRRSIEACDSSPDSPCVVFVSKMFAMPMKMIPQGGDHRERMNGLNDDDSNSESDECFLAFARIFSGVLRAGQRVFVISSLYDPLKGESYIQEAQLHSIYLMMGQGLKPVNEVKAGNVVAIRGLGPYISKCATLSSTRNCWPLASMEFQVSPTLRVAIEPSNPSDMSALMKGLRLLNRADPFVEITVSARGEHVLAAAGEVHLERCIKDLSDRFARVNIEVSSPLVSYRETVEGDGSNLLESLTSLSLNTWDYVEKRTPNGRCVIRVHVMKLPHSLTKLLDENTDLLGDIIGGKGSHKLESQSPSLEENVDPIDVLKNQLVEAGVSSSSETENDREKCKAEWAKLLKRIWALGPREKGPNILFTPDGKRICVDGSILVRGSPHVSQRLGFTEDPIETPSDSALYSEAVTLEGSIVSGFQLATASGPLCDEPMWELAFTIETHLAEDLETEKQPENFGIFTGQVMTAVKDACRAAVLQTNPRIVEAMYFCELNTAPEYLGPMYAVLSRRRARVLKEEMQEGSSLFTVHAYVPVSESFGFADELRKGTSGGASALMVLSHWEMLEEDPFFVPKTEEEIEEFGDGASVLPNTARKLINAVRRRKGLHVEEKVVQHATKQRTLARKV >A08p041520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23415909:23418132:1 gene:A08p041520.1_BraROA transcript:A08p041520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyribodipyrimidine photo-lyase [Source:Projected from Arabidopsis thaliana (AT1G12370) UniProtKB/Swiss-Prot;Acc:Q9SB00] MASTTTAVQPGRIRILKKTSLLLPDQTPGPVVYWMFRDQRLRDNWALIHAVDLANRTNAPVAVVFNLFDQFLGAKARQLGFMLKGLRQIHRQIESLQIPFFLLQGDAKETIPEFMKECGASHLVTDFSPLRDIRSCKEEVVKRTSVALAIDEVDAHNVVPMWAASGKLEYSARTIRGKINKLLPEYLVEFPEIEPPKKKWVGVGEKVVDWDSLIDRVVREGAEVPEVEWCVPGEDAGMEVLMGSQEGFLTKRLRNYSTDRNNPVKPKALSGLSPYLHFGQVSAQRCALEARKVRSTYPQAVDTFLEELIVRRELSDNFCYYQPHYDSLEGAWEWARKSLMDHASDKREHTYSLEQLEKGQTADPLWNASQLEMVYQGKMHGFMRQILEWTEGPEEALSVSIYLNNKYELDGRDPSGYVGCMWSICGVHDQGWKERPVFGKIRYMNYAGCKRKFNVDSYISYVKSLVSVTKKKRKAEEQLTRDSIDHPKAK >A03p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11006822:11009020:-1 gene:A03p026180.1_BraROA transcript:A03p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEDQAKSEDQKINKAQDPNPRFASEGCSVLLDVNDGDRLVFARLSGGAIFKIGNTNYSLKPLIGAPFGSLFQVETGEDGSFLSRILPVKKESTSVNVVDDDARDNRELIDDNESQNLTCEEIEAMRREGAKGDEIIEALIANSKTFDKKFQLSQEKYKLKKQKKYAPKVLLRRPFARSICEAYFKKYPARIGFIRVDALSLLLTMANVTAYSDVLVVDMVGGLVTGAVAERLGGTGYVCNTYKGDSPSSVDMVKMFNFTDKIRERIVHSSINELSSAKAASPEENNQQDSSASDMVEDVSVTAEARVDDIAVRESKITKAPQPGAKASKEAVEMWKENGFSSLIMAAQDQDPWTLAEDVLPLLSYSAPFAIYHQYLQPLATCMHNLQQGKMAINLQITEPWLREYQVLPSRTHPHMQMSSFGGYVLSGIRISTT >A06p058140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30203186:30208404:1 gene:A06p058140.1_BraROA transcript:A06p058140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMKLQHKPNSYKLQRRGDKSKSWSELPQDLINSVLERLDFADSRRAESVCSSWYSAAKRCVAKKQIPWLILLPDEDDKINNHWCKLFNPGEKDKLYKIRDEDVEFANSYCLATYGNWLFMVDYWYNLYLLNIFTHERIDLPPVESQLGTTKLERTLKGWFCISNGHRKRNRKDIKIVSPVFWIDEHTKEYLVLWGFGEWCVAYSKKGDTFWNQIQIPVDYGCSHMVYKDQKLYYLLKDYIGSNGFIKIFDFSGEIPRETFQCGGVPGNISSLDPTGNSWRITNAKLVVTVTGDVLYVENWATLFTSLWSFCVYKVYSSGLFNKYEQVDSLGNEAMLFDLGITVLANDDIVGGFKRNSIYYKTTCRGKNTTQICLFNFETKEMEPLHKFDCSSQEQLARSLWFLPTFGVYCEGGFKWDCGYPYLAVVLNFSQSWALYCLVQFYGATKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSLGLFKSSIAQSLQLKTSVQDFIICIEVHVFFEMNRKFLMGIASVVHLYVFPAKPYGLLGDRFTGSVSVLGDYASVDCPIDPDEIRDSERRTKVRLPHPDVDIRSCMTIKESMRDVFVGGGEYIVKDVRFTVTQAVEPMEKSITKFNEKLHKISQNIKKHDKDKRRVKDDSCIGVTRTKKHRRKSGYTSGESGGESSSDRAYSGFEVAEKQNIQKGTSMTSGRGSAWTLTRSDYGKDVQMTKLQRSPRQLLTYFPCEGRLEILAVSVHAEGDTSMDHYDEHDDMALQTNKPLLPIDPVVELSKKMQKQEMGTKKEEGTKCSTCHTFVGEAKQYREHCKSDWHKHNLKRKTRKLPPLTAAEECMVVSMFLPEEQEAALKQLRKNLKFKAKPVPNFYYYEAPPAKPELKNMLCNFLASFDPSQVAKLILSRRKSFSDAVREEVPKTASNRNRHSTGTVQNKNTIAVHDSPRFRSGKETSIYLHGDSQKNTRTSNPNFKKRQSFSKYGDGRSSKTYPSYKKNRLRSPRFSDDPQKNTKTSNSNFKKHVTFTTRFSDDAHVVIICGAVTEVLRFWYGFVYFWVPAETPSRLFSNLN >A09p016880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8814085:8814732:-1 gene:A09p016880.1_BraROA transcript:A09p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDRMTLAPTEIYGRSDEEQQNGPKIWRRKTEAPPGNCLVYSLTIIVIVFAVCLILSIIFLRISKPEIETVSISTRDLLFSGNSSNPYFNATLVSDISIRNSNFGAFEFEDSSLRIVYADHGAVGETTIGGRRVEAHKTVRVTGIEAEIGSFRLLNTRGLDSDLRSGFLELTSVAEVRGRIKVMGRRKWKIGVMSCTMRLNLNGRFIQNLLCD >A06p050180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26418386:26420654:-1 gene:A06p050180.1_BraROA transcript:A06p050180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDVGPFQYSPTATVAMLKERLVSEWPKDKKIVPKAASDIKLINAGKILENGKTVAQCKAPFDDLPKSVITMHVVVQLSPTKARPDKQCKTPNIIGFLWSIAEKKIEEEEAPQRSLCSYPKNISYHSHASCFVFIKSLKKASSMAFLEVVISCIVMKTLLLLVNSLSPKHSSAKPNAEVTVMGFVYCDVCSNNTFSRHSYFMSGVEVRIVCRFKSASSTTNEMVTFSANRTTNEFGLYKVATTSLDCADVDSLASSCQASLVGRRSSSDISCNVPGYRTTTDQVVFKSKRSNLCIYGFNALNFRPFKSDLALCGKK >A02p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12264459:12266384:-1 gene:A02p025390.1_BraROA transcript:A02p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAETPLLEEYVTDAVDHGELPAGRSSTGRWSAAWFIIGVEVAERFAYYGIASNLINYLTGPLGLSTAVAASNVNAWSGISCLLPVLGAFVADAFLGRYRTIIIASLVYVLGLALLTLSACLVPISTPSEHIASVASSSPSSLLNLLFFFSLYLVAIGQSGHKPCVQAFGADQFDEKDPKERLERSSFFNWWYLSMCAGVSLAILVVVYIQEAVSWALGFGIPCVFMVVSLVLFVLGRRKYRYVRRRHEDETNPFTRIGRALLAPSACRASDVEDATALVRLIPVWLTTLTYAIPYAQYMTFFTKQGVTMERTIVPGVKIPPASLQVLMGFLIVICVPIYDRVLVPVSRYITKDPCGITMLKRIGTGMVLSSLTMVVAAMVESKRLETAKAYGLIDQPETTVPMSICWLLPQYLMLALAEVFTIVGMQEFFYSQVPTELRSIGLALYLSTLGVGSLLSSLLISVIGLATGGDGGNSWFNSNLNRAHLDYFYWLLVAISALGFFAFLIISRSYIYRRVDGV >A02p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9030408:9037287:-1 gene:A02p019590.1_BraROA transcript:A02p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDISDEEVDDHELEDEEGEPVHGDPVENPDNDDEDEEEGTKILMKRIIYCSKITTRNSKRKYKRLKKAQREFGQGGSSDDDFDGSSGAGRSAEDKIKDDLFDDVDDLPDDDVGDEEELAVEEDVVGSEDEMADFIVDEDGNGHRRKGDHKKKKYRQGSDISALRDASEIFGDVDELLLIRKKGLASSERVERRLEDEFEPTVLSDKYMTGKDDEIRQLDMPERMQISEESTGSPPIDELSIEEESNWIYAQFTSLLKDPDGLHIFGGQGFSVKKDDIAKFLELHHVQKLEIPFIAMYRKEQCRSLLDSSDISELNIEKKPETKWHKDLDRKWLLLRKRKMALHGYYAKRFEEESRRVYDETRLNLNQYLFESVIKSLKVAETEREVDDVDSKFHLHFPAGEVGIDEGQYKRPKRKSQYSICSKAGLWEVANKFGYSAEQLGLALSLEKLVDELEDAKETPEEKALNFVCAMFENPQAVLRGARHMAAVEISCEPSVKKYVRGIYMENAVVSTSPTADGNGVIDSFHQFSGVKWLREKPLSKFEGSQWLLIQKAEEEKLLQVTFKLPENCMNRLISDCNEHYLSVGVSKYAQLWNEQRKLILEDALHTFILPSMEKEARSLLTIRAKSRLLSEYGQALWNKVSAGPYQKKEMDISSDEESALRVMACCWGPGKPPNTFVMLDSSGGVLDVLYAGSLTLRSQNVSDQQRKKNDQDRVLKFMMDHQPHVLALGAVNLSCTHLKDDIYEVIFQVVEEKPRDVGHGMDDLTIVYVDESLPRLYENSRISGEQLPQQSGIVKRAVALGRYLQNPLAMAATLCGPGREILSWKLHPLENFLQVDEKYGMVEQVMVDITNQVGIDINLAASHEWFCSPLQFISGLGPRKAASLQRSLVRAGSIFVRKDLIMHGLGKKVFVNAAGFLRIRRSGLAASSSQFIDLLDDTRIHPESYGLAQELAKDIYDQDVRDDSNDDEDAIEMAIEHVRDRPGSLRKVVLEEYLASKKRENKKETYRNIMRELSCGFQDWRMPYKDPTPDEEFYMNSGETEDTIAEGRIVQATVRRLQSGRAICVLDSGLTGMLTKEDFADDGRDIVELSDRLNEGEILTCKIKSIQKESYRVFLICKESEMRNNRRQQNQNLDPYYREDRNSLQTEKEKARKEKELVRKHFKSRMIVHPRFQNITADQATEYLSDKDFGESIVRPSSRGLNYLTLTLKIYGGVYAHKEIVEGGKESKDITSLQRIGKTLTIGEDTFEDLDEVMDRYVDPLVSHLKTMLNYRKFRKGTKSEVDELLRIEKSENPARIVYSFGISDEHPGTFILSYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQRHIGDPLQETVPSIRSVAAMVPMRSPADRGSSGGGAGGWGGSQSEGGWKGNSDRSSAPRPERGGEYRNGGGRGDGHPSGAPRPYGGRGRGRGRSDNNREREDGNRDWGNNNSGTGDGDGGWGSESGGKKSGGAGGWGSESGGGGWGNDSGGKKSSEDGGWGGSSGSGGGGGGWGNESAGKKSDEDSGWGNESSGNKSDGGGW >A02g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1802230:1802729:1 gene:A02g500670.1_BraROA transcript:A02g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQFLKSREPFGMAHGGNFSRIYRKVQLKPLKWDGECEEERPVEALMILKYGGVLTRAELFNLLTFNITCHII >A05p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6518014:6519477:-1 gene:A05p014800.1_BraROA transcript:A05p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQNLIYSFVARGTVILAEYTEFKGNFTSVAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQITMAFLERVKEDFNKRYGGGKATTAKPNSLNKEFGSKLKGHMQYCVDHPEEINKLSKVKAQVTEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTKIRRKMWWENMKIKLIVLGIIIALILIIILSVCHGFKCT >A05p034950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19753312:19755201:1 gene:A05p034950.1_BraROA transcript:A05p034950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINRQGPMALFFNGVVVGLGWSFFLLMNRMSPAEMNKTAAAAEDTEGIYKRNKQDEYDFMNSASPPRSSPEGCSEADESVPSKRTVRETDVRPRYGIASVCARRRDMEDTVAIHPSFVRKQTEFSRARWHYFGVYDGHGCSHVASRCKERLHELVQEEALSDKNEEWKKMMERIFTRMDMEAVRWEETVMNANCKCELQTPNCDAVGATAVVSDITLEKIIVANCGDSRAVLCRNGKPVPLSTDHKPDRLDELDRIQEAGGRVIYWDGPRVLGVLATSRAIGDNYLKPYVSSEPEVTVTERSEEDEFMILASDGLWDVVTNDAACAMVQMYLNKKGGRGGGRRREATECEERKEDKVVGSRKNRKRGEITDKACTEASVLLTNLALAKHSSDNVSVVVIDLSRRRKRHVA >A05g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19344258:19346630:-1 gene:A05g506860.1_BraROA transcript:A05g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMPLSFTATKKAIASSPAKSTASAYATGGSPFSLPTGGTSLSGSLSISTNKGDLLGSIGLCLQPPRLSLTPTLLAHKYGKGVLPPHGQTQEIWNVDVDRLYVPVHVSGNHWIALCISFVTRSIDVLDCSGRKRYKELDAFANLVPRIVKADKKT >A01p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3553948:3555092:-1 gene:A01p007080.1_BraROA transcript:A01p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKSKGDGEEKAKPLTQTSTRVTRSMDRQTRSATKPDGAKAAGSITKQVKLASPKRKKPATETGRGAKKAKKDEEKEEEAAEVEDPTKPKIVIEHCKQCNAFKTRAIQVKEGLEGAVPGVTVTLNPEKPRRGCFEIREEGGQTFISLLAMKRPFAPMKALDMEEVIEDIIKKIK >A06p014550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6519230:6521845:-1 gene:A06p014550.1_BraROA transcript:A06p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase DA2L [Source:Projected from Arabidopsis thaliana (AT1G17145) UniProtKB/Swiss-Prot;Acc:Q940G8] MSRVRSEPMKVVFINTQYVQTDARSFKTVVQELTGKNAIVADGPFEFSGHGYGSKDSPPQFSGGGGREAEGGVETTEFDSFFREMPPVGELYNLWSEKTGSMGNNLGKKRQAVDERYTKPQQGLLYMNKDVDFKKVKKLILDSKLAPCYPGAEETSCHDLEECPICYLYYPSLNRSRCCLKSICTECFLRMKNPSSSQPTQCPYCKTSNYAVEYRGGKTKEEKSIEQIEEQRVIEAKIRIRQKEVEEDEERMQKRLESPSSRTSAVTADTEYGSAAEEDEETVSSQDSCLTSHPRVSRDGEFDYDLEDIMVMEAIWLSVQEPGSQMNNSPEEDHNVGPLMPLSSSSSPSGGLACAIAVLAERQQMVGESSSNPNVNISSHNMGPGMCNSSHYNAMEQDSNHYRQATGISDTMRSDLTDDSGTEVSREVTWQ >A09p063390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51486138:51486974:1 gene:A09p063390.1_BraROA transcript:A09p063390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPSTTERTVASSLLLLPYAPVFISPTRSNRTTNSLGSVEKSSYVSKWREEGSSNLSLILKSTGSESFGSALSSDGNVESLIRDLSTCSVDSKEESSCLSTGSSEVTTTASRSKLRNQKSHERVRVEVKKKESSRSSSIRRRANDILEFLSSASASEVQIRQILGNTPDTSKALRILLCVLESVDYSYRLTVLIKPSATMQVVEDEGSKEVWHGGKT >A04g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6629960:6631529:1 gene:A04g503000.1_BraROA transcript:A04g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWKPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFLAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLKSTLNHQVNKKLFSFFIKDCSLYKISVFRIQREILCCSIDFVSLVQNRPSPSPSRPSSHSIAVRPSCPVRVLEPQSVHLSSLNPSLQHLYSYK >A04p024450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14786116:14816406:-1 gene:A04p024450.1_BraROA transcript:A04p024450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKHFFKPLLPGFHSHLTIPVAFLKHIEGTNEHHKAKLRSDASKITWEVKIEDGRRLTNGWKEFALAHDLRIGDILIFRQEKDLAFHVTLLGPSGCEIQYESCSEEKNNIGNIPKKKKVKKNPRKETESTSLDPSCFVAYVSPATLRHDKLNLPRSFVRANGLEKRCGDIVLMNEKCKSWTLALKQELCGNTYIRRGWRSFCTANGLKTGSLYSFKLIKRGRSPVLRLSSTESELEEESSEGDEVESLSTEPESDEGTTTNLENIQRKKNLNGDAESSSLDHACYVANVTPSSLQDDRLTLPKSFVKANGLETRCGEIVLINEKGISWKLNLKPKRSCGTMYITRGWRSFCRVNGLRAGSFFTFKLIQRRGTLVLRLSSQFENKFVTLTLNPSNLTSYPLFLPLHFTKRHGINEKTKMTLLDKNGVKWFTNLRSEKTSDRVRLVGGWQDFFEANCVEIGESIVLKLIWEGDKSCVLKFWSNVSLSSGLSSRDSELLKFMRMPNKRFFKPLVPGFHSHLTIPVAFFLKYIEGRNEHKNTARLRSDASKITWEVKIEDGQKLTDGWKEFAIAHDLHIGDILIFRQEKDMAFHVTLLGPSGCEFQYESCSEEENNLGRNIPKKMNSKREAESSSLDPSCFLATIWPSSLRYDTLNLPRSFVRSNGLETRCGGEIVLMNEKGKSWTSALKQKLSGSTYIRRGWRRFCSANVLKTGGVYTFKLIHSGRTPVLRLFFTESEPESEERNFEKIQRKKAETSSQDPSCFVANITRATLRYDTLGLPMKFSRENGLDTRCGEIVLMNDKGRTWKLTLKRKKSCGTMYITQGWRSFCSANGLRAGSFFTFKLIKRGGTLVLRLSPKEREDEEDCSPKANEVESLSTESESDEESSQNEKQIKKHRSTRKASSSLSQNLFVTLTLKPYDVKKYRLYLPVRFSRSHGINEEAKMTLLDKNGVKWSTDLRSEETSDRIRLVGGWQEFFKANCVKPGESIIVKLIWEGDKSCVLKFCSKYLSVPFMRANGMTKPGLITLVGKDGAKWKVNLYEEKSGSSLCLGKGWKDFAKANGLKTGEYFTLESAWKNEIPMLSLVNTESASDGKERGESSKAMEKERSTDTSSIVQNRVVTLALETKDVKACTLHLPSEFVTAVGIKKLGEITLLGRDGMKWCGCLLSRDGTVAVGVGWRNFCEANGVKLGDSFSLVFINEEEDTGPTIPVAFFLKYIEGTNEHHTAKLRSDASMITWEVKIEDGKKLTEGWKEFALAHDLRIGDILIFRQEKDMAFHVTLLGPSGCEIQYESCSEEENNLGKIPKKMNSKREAESSSSLDPSCFKTNIWPSSLRYDTLNLPKSFVRANGLETSCGGEIVLMNEKGRPWTLVLKQKLSGTTYIRRGWRRFCIANRLKTGGVYTFKLIQSGRTPVLLLSSKESESESESEERNFEKIQRKKAESSSLDPSCFVANISRATLRYDTLGLSMKFSRENGLEARCGEIVLMNEKGRTWKLNLKRKRSCGTMYITQGWRSFCSANGLRAGSSSTFKLIKRGGTLALRLSSKETEEEEEEDCSLKAIEVESLSTEPESDEESSQDDEKIKKHRSTWKASSSQSQNRFVTLTLRPFNLEKYSLFLPLRFTRWHGINEETKMRLLDKNGVKWSTDLRAGKTNNDKIRLVGGWQEFFKANCVKTGESIMLKLIWEGDKRCVLKFFSKKIPVAFFSKHIEGRNEHKNTAKLRSDTSEITWKVKIEDGLRLTDGWKEFALAHDLRVGDIVIFRQEKDMAFHVTLFGPSCCEIQYGACLDDKNKLVKIQSKKEVKKNNKREAESCTLDPSSYVVNVTPSSLRYDMLYIPKSFARANGLETRSGEIVLMNEKGTSWTLNLKQKSSCGTMYITRGWRRFCRVNGLRAGSFFTFKLIQRGGTLVLRKSPSSTESEDGSEGDEIEPLSTESKSFKKTSSMWKASSSPFQNLFVTLTLKPYEVEKSTLYLPVQFTRRHSINEETRMTLLDKNGVKWSTDLRSEKRSDKIRLVGGWKEFFKANCVEMGESIIVKLIWDGDTSCVLKFCSKKIPVAFFSKHMEGRNDHKNTAKLRSEASEMTWKVNIEDGRRLTEGWKEFALAHDLRVGDIVIFRQERDMSFHVTLLGPSCCEIQYGSCIDQDNNLEKIQKKNPKREEAESSCFVANVTPSNLRYDRLESDEDSNHISSQERIEREDKKGGFMTLTVKPSDFKNSRLYLPMAFTKDNGINAETKLALLDKNGVKWSTDLRSECNGKRIRMIGGWKKFFKANFLKIGEPIMFKLIWDGNTSCVLKLCS >A03p022070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8837220:8838590:-1 gene:A03p022070.1_BraROA transcript:A03p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMEKYKRVKLLLIIFLGIYSVATTSASPWLPMEGYNPGSYCLSWRLAIETNNVRAWRTVPIQCMRYVEVYMLAGQYDRDVQLIVEQIRVYLREIVLPGDGMDAWIFDVDDTCFSNVFYYRLKRYGCDPYDPTGFRTWAMKGESPAIQPVLELFNELIETGFKVILVTGRDEETLGQATQENLHNQGFTGYERLIMRTPENKKHSATIYKTTIRKQVMEEGYRIWGNVGDQWSDLQGEYSGNRTFKLPNPMYFVP >A09p068920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53855018:53856415:1 gene:A09p068920.1_BraROA transcript:A09p068920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 22 [Source:Projected from Arabidopsis thaliana (AT2G25620) UniProtKB/Swiss-Prot;Acc:Q9SLA1] MEDTKGISDPENESSIYGGKPPNPLSFSSSSSSSAASLYRQTFDGDRDRFLAPPRSLVRHPSLVKTKVSALSVENEFVLDKVEFVPVMRSGAWSDIGSRSSMEDAYLCLDNLMDSYGLKDSEDGPTAFYAVFDGHGGKHAAEFACQRIPRYIVEDQEFPGDINKVVSSAFLRADAAFSEACALDGSLSSGTTALAAVLTGRSLVVANAGDCRAVLSRQGKAIEMSKDHKPMSCKERRRIEASGGYIYDGYLNGQLNVARAIGDFHMEGMKRKKDGSNGGPLIADPELMTTKLTEEDEFLIMGCDGVWDVFMSQNAVDFARRRLQEHNDPVMCSKELVEEALKRKSGDNVTAVVVCLQPQPPPNLVAPRLRVQRSFSAEGLKDLQSYLDDFGC >A09g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20961499:20962007:1 gene:A09g507130.1_BraROA transcript:A09g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A05p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22456789:22462377:1 gene:A05p033620.1_BraROA transcript:A05p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKPIRSSAANTKKERSQKGSLSTSQVSGRQEPQASIECFHGVSWRDRGYHIGGVFRYFIVDVVDHGSTQMSRCGRELGEKLFSHEQGLDQWERSKDLGLGANDTIASNLPTMHMFIESRIPRLMEERHMKNLPSKTEESYEIRVSLEFSKGARICYCVTRTSGYSQDKMVIETESIVEHKEFHTGFAWDCLKIQLGISQYGILSQREGCCKRVEGNRLMQFYAGMDLQERGVTCLRQSLAEQHVRGETNGSTWYHVCRGNDLFEQRLFASKAFLVSCAKRRVLLATSAVPSDVQGKVGEIMMRDLQRMQRYLQEREDPQYLKGRQTHIKSKLCLRRKNQRSSISQGMKVFQRSQRMQVIFAKDDQQILQCTARETRHVLEFDMGEAGWEYLRNITCVDDERYGRVNHWFSVLEDVLIGSVEGMSRPGVSRSVNKYKAHHKEICRVKLVLHKQTHLKLSFPQESPLANGQILIDGQVLIRLMAGSMTNKHVQVVYGDAHVSAAREENQGVSWIRWYEEQVDQIVEQRDLLVIVAVQSDAQVVNQDFVESLSSSDGWMAGLVQSNNGCCTVFKDFDSRLNAHEWNQKAKEKINLQKDVQLGSQLKTIDEGFKKIMKGLQACVKVELKEVRRDKRRQGEAEDELVCSNIKRCRHKGRVMDLDQTGGVLSNADNAKKERPRQRSLIPSQVARGEGRSLKHPRECFHGVSWRDKNIESNDLVNHIGGVFRYFIVEVVDHGSTPDVTVRP >A09p059300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49649316:49653925:-1 gene:A09p059300.1_BraROA transcript:A09p059300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNITAVKTSSDGAWQGDNPLNFAFPLLIVQTALIVAVSRSLGLLFKPLRQPKVIAEIVGGILLGPSALGRNTAYMHRIFPTWSMPILESVASIGLLFFLFLVGLELDLSSIRRTGKRAFGIAAAGITLPFLAGVGVAFVIRNTLYTAADRTGYAEFLVFIGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDVTAWILLALAVALAGNGGGEKTSPLVSLWVLLSGVGFVVFMLVVIRPGMKWVAKRGSPENDVVRESYVCLTLAGVMVSGFATDLIGIHSIFGAFVFGLTIPKDGEFGHRLIERIEDFVSGLLLPLYFATSGLKTDVAKIRGAESWGMLGLVVVTACAGKIVGTFAAAVMVKVPAREALALGCLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITTPTVMAIYKPARGTNHKLRDLSSSEDSTKEELRILVCLHGRANVSSLISLIESIRTTKILRLKLFVMHLMELTERTSSIIMVQRARKDGLPFVHRYRHGECHSSVVGGFQAYRQLGRVAVRPITAVSSLHTMHEDICHMAETKRVTMIILPFHKRWNVDHGRGHHHQDRGDVNVPENVGHGWRLVNQRVLKNAPCSVAVLVDRGLGSLDPQISSLDGSNVVERVCVIFFGGPDDREALELGGRMTEHQAVKVTVVRFLVRETLRSNAVTLRPASSKGKEKYYTCFTTNVDPKKEKELDEGVLKDFKSKWKETVEYKEKEPNNIIQEILSIGQSQDFDLIVVGRGRVPSAKVATLAERQAEHPELGRIGDVLASSINHIIPSILVVQQHNKPQVEEIAVSKIVKESSLTINGDANV >A05g500270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1144978:1145412:1 gene:A05g500270.1_BraROA transcript:A05g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLGPAYGRRVSDRAIAGTLFSSFKVSFLLFLRFLSSIALSKLWFWPSLRLRGGSASGIKTRSYGGSRRWKDVSPDQGSIPGGGGFYSSVVAGLSPGGGGFVNSVVAGLVSGMERLTQLRRRRLLSPEGRGSQSSTLPAWNP >A07p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18330043:18334755:1 gene:A07p033500.1_BraROA transcript:A07p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRHQQQPGYETVPQPFVPDQASARFLPLNPNASDVKPVHNYSIQTGEEFSLEFMRDRVIPQRSSNPNGAGDMNHNNTTGYMELRGLLGISHAGSDCASDVSRLSVAENGLRDYDRTNPPLHEFGNKLGHVQSAPQGSISKDRSLGNLNGYAASSASGSVTAKVKILCSFGGKILPRPGDSKLRYVGGETHIISVRKDISWLALKQKILEIYYQTHVVKYQLPGEDLDALVSVSCEEDLQNMFEEYYEMENRGGSQKLRMFLFSINDLDDDALLGVNRNDGDSEFQYVVAVNGMDIGLGRNSILNGRDSSSANNLAELDVRNNEGNNNVTGDVVGVSVPQVMANGFQQSSGQQAESIPPSSTLHYSQSVPPGAAYQLQQPVPPSSTLHYSQSIPPSSSVQYPQSITPDSSFQYPQSITPDSSFQYPQSITPGSASSYGIFPPYYGHVVQHGERERFPLYAHNSNYSGMTETTGSIPFQGHANQQSGWSEGYLYPGTTPQSTQAIVEEQKVSPDTKVHEHAETENHKTLAKDHQSPPQLDDVEVKNHNHVREVSAATTTPSLEAHLLPPRGDTRQNASPKPATYRDAVIAGQVPPSGSEDQLSNSSGACGPAHNESESNLIDLNYPEPVQPPPRVYRSERIPREQLELLNRLSKSDDSLGSQFLTSQSQTSYAQQDGAKEAVGKSHEDSQNVNGDAAHQKHKNVETVFRNDTLDSEHLRKIANPDDANKNRIVNGTGTEIDVSNSSHVIPEEQDSTRRSDSLQGDILIDINDRFPRDFLSEIFSKAISEDTSSVHPYPHDGAAVSMNVQNHDPKNWSYFQQLAEQQFIQRDVASIDQADSHFPSDIKDGGESSRLHHVTPLSRDGISTNLADPQLTLGRDYEDDNGGGTSTILPTPEDDQMKVTESEEFGAMVENLRMPDSEPKDEKKETRQAALTPLGSESDYDGLQIIKNEDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTGEFWGEADILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVDGSLRHVLVRRDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPAFCDDEWRTLMEECWAPNPTARPSFTEVAGRLRVMSSAATSTQSKPPTHRASR >A04p017380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10632890:10634452:-1 gene:A04p017380.1_BraROA transcript:A04p017380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNEWEVESEMLNYVTCSGFDSWFVFDQQTSLPAASVYPSVFVPEVIPDGTSISVSATVANIDSATIPTVSTPVVAVASVTGTPVVAVPSDTENIPTFMAPSPTVNIGSIHAPPTSVSTTVIQAMEALPISSVATLNSTSVSDGQVLASTPSSFVEILPTTTESEPSTPATMFKATVTDTPSSNSALSENAPLNTNHAATLGDFPVTGSVLLTPPPKVSNDIPPSTSGGLSFTPFTGDCTGYFNEYEMAQRSRHGRELKPSQKVQDMQWHTVRGRKSRGCRGRGRHGDQN >A02p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16890414:16891551:-1 gene:A02p032210.1_BraROA transcript:A02p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHEEQCLPILDFSREKLVPGTTHWITIRDSVRRAMEEQGWFVAEFNGVSPDLREDLLSGMKDMYELPYEVKIKNENHKASHGYMSMVVDDYRIHESLGIDYATDPQACKDFSKLLWPQGNDPLSQTTHRYAAAVAELDQTVMRMLYESYGMDEKKHSASHSESTRYLLRMLSYRRQRNGEANTGFVSHTDKSFMSILHQNHVLGLQLKTMTDQWVGFNPSPTRFVVLSGMGLTAWSNDRIKPCYHRVVMSADEVRYSLGFFSFHKGTIRTPEELVDDQHPLRYYPFEHDGLLRFYESYVNSMKKSSEDLLQLYCGVKSTPLLGDHSLPP >A05p039220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23962908:23966895:-1 gene:A05p039220.1_BraROA transcript:A05p039220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLGSIFCSFLFFFFVYIYFLRNTEFKKPRSKKRNIEYIPDDLVVNILSKVPLASQARFRSVSKGWNALIKDVIRFEKSSQIMLIDSRVYLVSIDFLGAHDNIVNIKSQFSLKDPLSNSSKEVDIREVFHCEGLLLCTTEDDRLVVWNPCLGETRWIKPRSSNNLARSTRDIYAIGKSSCNKYKILRIALYALGSTNMMPHLYEIYDSTSNSWRVVDQTKDWFMPKLGRSGTCVDGNTYWLASSNTDEQPWTYILLRFNFSKERFTSMYIPSSGRNFALSVTREAQKLCMLASRADHIDVWMATKIDSTGSMSWSKFLTLKRVYNDQNRKFITGMNFLADEENKVIVCPGESMVSDRFLHIVGEDKYIQVEHHEAGFRCSLLLIYVPTLVSPSNPTRFFGVGARKAPITCLDEEAMEQNAFRIGSEEYLPEDLLVDILSKVPLASLARFRSVSKRWKALISYLRFKKSSQIMLIDSRVYLVSIHLLGGQENVVNITSQFSLKDPLSSSSKQVNIREVFHCQGLLLCTTEDNRLVVWNPCLDETTWIKPRSYYERSDIFALGKSSCNKYKILRIALHGPRRAFEFMKPRLYEIYDFTYNSWRVVHDETKDWSILGLARRGTCVDGNTYWLSFSSSQQLWMDILLCFDFSTERFTSMHIPSSGRMFALSMTREEQKLCMLASVAADDVDVWMANKIDSTGAMSWSKFITVKRVYNNHQWMFLTGMNYLVDEENKVIVCPGKSLDSGRFLHIVGEDKCIQVDKHDARSRCSLLLNYAPTLVSASDIFKK >SC165g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:103075:104639:1 gene:SC165g500070.1_BraROA transcript:SC165g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLTGVLLLNIASTRRRSQPGLGSGVPIQEGAQTKTEHSWLCEEEGYSIKAASIVRRVIAIREEEEVRIQVVHKECDTCNSPTTKNVKTKVLCHCISSLGHSLVYRKCSMGHYAMRGVSCETLYGDSNTLIPG >A03p055070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23724436:23725250:1 gene:A03p055070.1_BraROA transcript:A03p055070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKTRVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A06p057110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29693578:29694174:1 gene:A06p057110.1_BraROA transcript:A06p057110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEIEEGMVTADEYPTSEAPRREPVKSALELLRESKTSVEEIVGRMLSIKREEGNNKSEIRELLTQMFLNFVNLRQANRAILTEEDKVKAETERAKGPVDFTTLQLHNLMYEKSHYVKAIKACRDFKSKYPDIDLVSEEAFFRDAPESIKDQSVATDTLMPKRLSFELHQVDSAFGNEWIGPNPLYISRLILIFSM >A05p047280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27868100:27879285:1 gene:A05p047280.1_BraROA transcript:A05p047280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQKKNLYDDDLLIRLFIFFAALFISSLPSSYSCNPKDKNTLLQIKKHFNNPKLLSSWDPQTDCCTSWTGIECTNGRVTLFSMARDDSVVGQIPDQIGDLPELRTLEMSSMNLTGNIPRTITKLKHLVLIFLSWNKLSGPIPDYISELKSVTFFDISFNKFTGPIPGWLTQMPKLQTFQADNNSLTGPIPNSFGSFVGNVPNVFLPYNKLSGKIPESLSKIDFQAIVLKGNGFTGDGSMFFGGNKRTVQLDLSRNLFEFDLSKVKSLRLERFNISYNRLCGKIPRGGQLQTFKSYEFAENRCLCGSPLKKAWVHASMVGSLHPTQLQHFFLSPYYAVAAFCEGRLKHGTILLCLSIFFISSLPSSYSCNSKDKNTLLQIKKHFNNTEFLSSWDPQTDCCTSWTGIECTNGRVTLFSMANYRTLYGQIPDQIGDLLELRTLEMSHMKLTGNIPRTITKLKHLVFIFLRWNKLSGPIPDYISELKSVTFLDISFNKFTGPIPGWLTQMPKLHTFQADNNSLTGPVPNSFGSFVGSVPNLFLKNNKLSGKIPESLSKMDFQAVVLKGNGFTGDGSMFFGGNKRTVQVDLSRNLFEFDLSKIKFGKSLAMLDLSHNRIFGTLPRELTQLHLERFNISYNRLCGKIPRGGQLQTFKSYEFAENRCLCGSPLKKAFATLPFLSPYYAVAAFCEGRLKYGTDGGYIQKQSVLELKKHGQAHNFPTSMRQSNPTQTTMKLLLHLSIFFAILFISLPSSYSCNSVEKNALLQIKKSFNNPRKFSSWNPQTDCCTTWSGVKCTNGRVTDLSLFSSKLYGQIPDQIGDLLELRSLFLTYLPHLTGNIPRTITKLKNLDSLILRHNNLSGPIPDNIGELKKLRFLDLSYNQFTGPIPGSLSQMPMLETIIVEHNKLTGSIPNSFGSFVGEVPNLYLSNNKLSGNIPESLSKNDFNGVFLSKNSFTGDGSMFFGRNKSTVSLDLSRNMFEFDLSKVQFARGIVDLDLSHNRIFGNLPRELIELRGLKLFNVSHNRLCGKIPRGGLLQSFKSYVFAHNRCLCGTPLKAYWRQGGGSQLSVIFVQYHPIVVWYLVAYQQMNLLKLLSEEKCGQNGLKTDNNFYEAIITQYNAVESLKLNRLRKLYTRHVTNTHLTFRNKLSTILHDFPLLQDIHPLYINLLRFVLDKNRYSFSLGQVNTAKDLIANIANDYANLLAFGGSLKECKALKASAVTGMFSVVNEITPGLAYLEQLRQHMVKLPLVDPDVPTLLVSGYPHADKACFVNGIVDFSAKSVAFDVGFSGYEGLMRCQVIDGVLDKPVFGDCDVVVDALARHLGEAIVLFFLDVSGSCCYSVADQVVFFHSVKAVFVDIPLLIVCDESDLMQVSEEDWRLIEEMTGGVGEEEEVGFKISDLRSEEGVISVKNVACERLLYQRERLSCISMEEARVMRNKYTVAHQELDDDHGVSDLFLDPDVLFRLEELEHEEGIKQSEEEQEEDDDFVIAEERFTEEHKYQLVAIRKIQPLRILIAFGFCILVGNTYGTVQQCLSTLIF >A10p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16304156:16305742:-1 gene:A10p025390.1_BraROA transcript:A10p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTNIGMFPTLVTSPVPRFPEGETCFASPNATCNDPTEEEMEIEELEKKIWKDKQRLKKLKEMSKNGLGRASLKQPPDDEHSRKRMMYQAQDGILKYMSKTMERCKAKGFVYGVVFENGRTVTGSSDNLRGWWRDQVRFDRNGPAAILKHQREINLSSTDGNELGSSEDGGDCTAHKEGSLWLAALNREKAIAAQSQKQPLTFSEEDGTGGEMDALFPEPADYEVEGSVGPRHRLNQQFHGFDNNNFNSGYNNKRRFEGESLHPGTNLTCENSPCPYSRPEMGFNDRVLRENHQMTCPYKQPTPFYQPAEPFVGMENYHQNTNNGNAQTVGMENYHQNQDQDLSMPWIQ >A02g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5583708:5584630:1 gene:A02g501770.1_BraROA transcript:A02g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNYEDGGVYYDPDETMFNNDEDGGIYFDPEDHELIKYHLLPKLETYLQPKSKDEECEDFIVMKNVYDKEPWLLDHTNHPLFKKNEWFYFVTRTQVSVKNIGRGRNSKRRIAGDNDGGSWKPNAKKYIEDEERKKTIIGKKQTLKFTKSDNNKRQKRGDGTSAAVPGSTSSWIMYEYSLPDENTFQELVLCKIRKISNSKDEEVEAVDVTHDAEDGTGELVERFARTGLDDQQTTEKYDQQEPPMYAPSQSKVDDDEDEEQVMNQELEKHLFKSTESLVFMYKHKKCKHSY >A01p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1049425:1052493:-1 gene:A01p002300.1_BraROA transcript:A01p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILSSLRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQATQQLSNEWTLMRMGEDPLLEVWMSDNLSEEANIGVDPWCVSVDTANRWNKSFAKKNQKLIPTTTDLVDEVWQNRPPSQTSPLAVHPLEFAGRSVPDKLEELRSKLKQENARGYVIAALDEVAWLYNIRGTDVAYCPVAHAFAIVTTDSAFLYVDKKKVSDEVSEYFKGLGVEVREYTDVISDVALLASDRLFLSFSSKSTKDMEVDSDWLWVDPAKCCYALYSKLDADKVLLQPSPLSLPKALKNPVELDGLKKAHVRDGAAVVQYLVWLDQQMQELYGASGYFLEAESNKKKPTSETAKLTELTVSDKLESLRAAKEHFRGLSFPTISSVGSNAAIIHYSPEAGACAEMDPDKIYLCDSGAQYLDGTTDITRTVHFGKPSAHEKDCYTAVFKGHVALGNARFPKGTSGYTLDILARAPLWKYGLDYRHGPHQVSFRPHARNVPLQASMTVTDEPGYYEDGNFGIRLENVLVVNDAETEFNFGDKGYLQFEHITWAPYQVKLINLEQLTQEEIEWLNTYHLKCKDILAPFMNQTEMEWLKKATEPVSVLV >A08p027450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17338278:17339943:1 gene:A08p027450.1_BraROA transcript:A08p027450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPVQRSGSRELTNLARTSIPSTPNPSSAPEAAFMRPDHKPLGQQTYHLLSSSNGGSVGHICSSSSSGFSTNLHYSSMEKHYAAASSNDDSSWCNGGFLDFPEDHQAVHNNRHIEDGCIGIGAAFDDIQKPNDWQWADHLITDEDPLLSTNWNDLLIDTSSNSDTKDQKSLQTIPPQPQIVQQQPSPSVTVELRPVSTTSSNSNNKARMRWTPELHEAFVEAVNSLGGSDRATPKGVLKKMKVEGLTIYHVKSHLQKYRTARYRPEPSESGSYRSSSEKKLTPLEHITALDLKGGIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFEKQNSGLSKGTASTSDSPSKSEQEDNKKTADSEELAPEETRKCQEPESPQSKRVKTDN >A09p048150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41703847:41705214:1 gene:A09p048150.1_BraROA transcript:A09p048150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLSRLSDLSFRRHSLWQLHKTIRLFSSSSTSPCFPLCVEEKDSPGDGGMVGDVHLFDAATEGLVTVADKTIPEEIVNGGIVVGASHGWLFFKDRHDHSVYVTDFYNSLAFKTNATMVPMPTFTALHHCQTEVVWNVAMSTSPGQQEDEDDWVVGIKFLGNQLSFCRPRRDLRWTNVSAPFKIFNNSNLMFSKRDKTFKLPAPVGNYLCSWDIQFHKDEYPAPKIHKLLFHNLPQLPLSMWELLDSCPREDHWVESPSGESFLVKWYSRVSLSPSLSTLPIVMVFREEDRKDGIIKMCYTEDIGDICIFLSKSEAFCVPASSCPGLKPNSIYVADRVFSVYDLTTKTFHHFKYPIDAPEKISRVPCWLPPVSV >A09g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7444705:7447957:-1 gene:A09g502110.1_BraROA transcript:A09g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPVIWRALWRDSRLSREEKGKDIADSSSPAKDADGGALDEFELIHRDALRDTENMSLSQRLLVADAHRQFREEEERQVEDEEDVESGGRLEDDTGSGSEAPRAVVRPRRRARRGVVDWESRLPCVVGPRKSRLSLFTRKQQKLLNKAREMEGVPDLSALLKGRLQLLSKKSAPVNPSGATGSGDAETSGDRGGSKEGASNSHDEGVSVEPPAPLLSASLATSSEGQRIKKKKKRTRDEATSRDEETAEGDAILAERPKKKTKKKTAGTEPGSSVAVPTQIDAVREDETTPDVPLEKKRKALTQRSGSESEPAGGEKSVPGSSTSRGPRLEGSLPKKGRVEYPDRVEFLYDEKTPLILNPLRCAELTRQIRGGTRELPQLEDLFFRNEYIDAAALRARSDGSMNFLVERYDTALKQTMAQLGAADKLAATRLKVIEKVRAELKQSNEKAATEKEVLRVKFEELENKLKADRAAKKELVREKVHLEGIAAGLEREKAELLAESDAAVDKLVRERQRLKDSH >A05p015640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6952409:6952840:-1 gene:A05p015640.1_BraROA transcript:A05p015640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVVNPIKPRFDLSMSRRTRKPWSSSLVNEMQHQVLSTQSSQQEKELEQDEDREIDRKSLNNLMRSEQESNGEANVSNKNFLGQHFGDDEAIKQTMQVVVKKQGGQNGVKFKGMMGRYVKVLSGLMKAKRDRKTSALRFKT >A04g501340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3403782:3404036:-1 gene:A04g501340.1_BraROA transcript:A04g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKTCRNPQQRGTTRVRRRITPETGQRFTGPRRKLNGASPDHTWRKRLPKLTTSSALHVTTREHQTRNQDLIQKQSPHSND >A09p082160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59564622:59569169:1 gene:A09p082160.1_BraROA transcript:A09p082160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVDEDSGVGRSVEASTNGQYSLSGEALSQWRCSTQVENGTPSTSPSYWDTDDDDDYGLRPSQLFVKHKWKIEKFSEIKKRELRSSVFEAGGYKWYILIYPQGCDVWHHLSLFLCVANHEKLLPGWGHFAQFTIAVANKDPKKSKFSDTLHRFWKKEHDWGWKKFIESQKLNDGFVDDSDCLTIEAQVQVIRERVDRPFRCLYRGYRRELVRVYLTNVVQNCRLFVEEKRSKLERLIEDKARWTSFGVFWLGMDQNSRRRMSTEKMDVILKGVVKQFFIEKEVIATLVMDFLYSGLKALEGQTKSKKSKPRSLDPKELPAPLVTVDKDMFVLADDVLLLLERAALEPLPPNSDKGPRNRTKEELIREEEEEWLAETEQRAKRGAAEREKKSKKKQAKQKRNRNRGKDKRKEEKATFATHEKDLEEEKDSVAEKAESLVEKTDTLGDVSDISDSVDGSAEILHPDLEDGDSSSAHWDTAALGSSRENDISISAPNGIAERKNQSNMEDSSSTCSNDSIRSGFTNGSSYTGNALIFRNQNSPNKGKNQPRSQNSTSESGWVVVSHNQEPESSRNRSPVGKVQNVAQIIVNSVDMDRPKEKSAAVLTSPRTAAKNPSPLTQPKLEKKIVSVAPNKKVMPASGPPSSNQVVPPSSDIGLRADVQKIPAPKQPATTTITRPSSAPVIPAMRPAPIVVSSAQPTTSFPRSVSSAGRLGPDSSLHNQQTYTPQSYKHAIVGNSPGSSSSFNHHPTTLPSASYSQTPTSSYQSSFPFSQDGLFLGGRSLNSVNMGMNNPYVPSVTSNSSRQQAQNLMTDEFPHLDIINDLLEDENCSNMVFNGSMFSSQPQMLDRQYSYHGGGGAADFGISGELLSGGRSRSFGEEGYHYMPHNGLSAAGGPYAADGLIPTQWQMANVDLSLLGMRNNSSNLGDTTAYHHNAYYGLDSSNPSFSSGVNGYTEFRPSNGH >A02g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22257805:22258887:1 gene:A02g508020.1_BraROA transcript:A02g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYTLLANLRTCRCSNTAEVWLLRFGRLGISGKASRIDTYRERFVEGSVYSLSGFDVTHSDNKFRLSDAPVSIRFTDGTAFDELTNSGKSIPTEMFRFQSYDQLLALANTNRQLPVAQRAPLPDFVGDGAQHGPNDGLQVQNPISSLVPVGNSSTVVETSGGMAAELGESTDTDHQTSSSAAPVEGPSATSTPPVEAEESKNKKPPIGIESAECRTNHRIYFSF >A07p046660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25157215:25160747:1 gene:A07p046660.1_BraROA transcript:A07p046660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMU1 [Source:Projected from Arabidopsis thaliana (AT1G73720) UniProtKB/TrEMBL;Acc:A0A178WHG8] MALEIEARDVIKIVLQFCKENSLNQTFQTLQSECQVSLNTVDSVETFVSDINSGRWDSVLPQVSQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQVMGVMKQEEPERYLRMEHLLVRSYFDPHEAYGDSTKERKRAQIAQAVAAEVTVVPPSRLMALVGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDVEDTYPTTLNHTIKFGTKSHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQAEETFMMHDEAVLCIDFSKDSEMLASGSQDGKIKVWRIRTGLCFRRLERAHSEGVTSLTFSRDGTQLLSTSFDHTARIHGLKSGKLLKEFRGHTSYVNNAIFTSDGTRVITASSDCTVKVWDSKTTDCLQTFKPPPSLRGSEASVNSVHLFPKNTEHIVVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFVAACVSTKGDWIYCLGEDKKLYCFSYQTGGLEHFMMVHEKDVIGLSHHPHRNLIATYSADCTMKLWKP >A01g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16887189:16894561:-1 gene:A01g505690.1_BraROA transcript:A01g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDSRIQKGILPGVEWKLRKDELRVEVSQGDFSNQARAKARSLRSDRVIIPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATEIEPSSVTTDRARAKARSLRSDRLSQARSLRSDRAIVPLGRYVATRARAKARSLRSDKGSSPSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYRCYSRISGSSGKLGANFGSHSLALEGGGLRINLTRKSHTQSDMSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIHGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEISKGRITMRSVRIKAGPRATHGLAIKGEVSMTLGSIQLPVMAKEITKIVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKIDRSSAKSAPHKDEGKSSVNANASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATPTAEKNSKRNIYHINKPHKAAGDSKPPTASPRNTNTGHIRPKTRITNPSLIIQSRSPRTTKHQTHPQDDPLPRHRQPLNPLVDRRDKRLSVGTVTQPTLHHAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A09p056550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48048977:48051628:1 gene:A09p056550.1_BraROA transcript:A09p056550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPSELVDRIAGLKHGGTYKVLKNLLKYKLLHHDRSKYDGFRLTYLGYDFLAIKTLVNRGVFTGVGRQIGVGKESDIFEVAQEDGTILAMKLHRLGRTSFRAVKSKRDYLRHRSSFSWLYLSRLAALKEFAFMKALEEHDFPVPKAIDCNRHCVIMSLVQGYPMVQVKQLQNPETIFEKIIGIVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHQNAQMYFDRDIECIFKFFRKRFNMSFQEERDDETEVEVDENSRPSFFDITKDANALDRELEASGFTKKEQNDLDKFIEGGMEKNEDSDEDEESDDEEETVESNEEGNLNEMRSLQLQEEEQNSSNGVEAEVELDDNEKDESSGDENEAGRDEELDKKLGKQRRRAMAAARGGRKSQSSRNTYKDKGGRSQNSKINMSGW >A06g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15656176:15656787:-1 gene:A06g505300.1_BraROA transcript:A06g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLEAHVLLLSGGFVVLMLQSKLLFIQPDWNLTMTMEVNGGIIVSVVKEEDWFKKQRSRVKVIDYGEAVIMPGLVDV >A10p005690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9420129:9421596:-1 gene:A10p005690.1_BraROA transcript:A10p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPTRDDGSSQSDMVRKEKRPYFQRYWTSADIARALTVTTVHFWCLLAPFNYKWEALRFGLILAAVTNLLITFSYHRNLSHVSFKLPKWLEYPFAYAAVFALQGDPLDWVSIHRFHHQFSDSDRDPHSPKEGLLFSHIMWIFDTLYIKDKCGGRNNVMDLKKQWFYRFLRKTIGLQVLMYWTVLYLYGGLPYLTCGGGVGGVLGYHVTWLVASVGHTWGTRPWKTNDTSQNVWWLSLVTMGDSWHNNHHAFEWSARQGLEWWQIDITWYLIRLFEVLGLATDVKFPSESQKQKLALAR >A03p068870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30171055:30173181:-1 gene:A03p068870.1_BraROA transcript:A03p068870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 6 [Source:Projected from Arabidopsis thaliana (AT4G34610) UniProtKB/Swiss-Prot;Acc:O65685] MENYQEARFLPGNAMIHMNPTVSYSEEVAGKERTEANNVSASQETQAYSRFGGVSQMQDIQGFGSWRDQACDRSGFQPMSAIAGPTGVHQTGQGLSLSLGSQILPGIHQGMSPRPEHFRGNEYATQSIPVGNPNMDVVRTIPNSKYLKAAQELLDEAVNVKKSLKQFQPEGDKNKENPQETDKNPQDSNMNPPAEISQSERQELHNKLTKLLSMLDEVDRRYKQYYQQMQIVVSSFDVIAGYGAAKPYTALALQTISRHFRSLRDAISGQIVVTRKCLGEQDGSDGKGVGTISRLKYVDQHLRQQRGFMQPQAWRPQRGLPENSVLVLRAWLFEHFLHPYPKDSDKIMLARQTGLSRGQVSNWFINARVRLWKPMVEEIYKEEFTEHDSNSSSDSTPKMSEVRPVVADDEDRAQELPQDHGHEYGVETCGMVQGGHQMDGGRFISIEPTYHVAEISRFGGGGGVSLTLGLQNSQGHDNVVAMSSEAYNSFPGVYIYGNVIPGAEMEYVNPGSRQNRINSSVMVHDFVA >A06g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17209238:17210087:-1 gene:A06g506060.1_BraROA transcript:A06g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRFVYKRELNDELLLTLEEINQDGSLCTILARTMEEEWEFITHPEDIGYRVVVYETVSYDTIDQIIRQRYGLRQYTPLVISHRLPNWMLGPQGNWTPPMTI >A03p036680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15354091:15361954:-1 gene:A03p036680.1_BraROA transcript:A03p036680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPFGKDVGPAMSSKPSPFTTFGASSAPAQTTSDSPIQPPASQNHSGYAGQSFGPRGTQSGASVQRAPSPSGFQNPPPFIGQPYRPGGVQSSLPMNRTPSPLAFQNPSLSSGQPYRPGGTQRSPGPVNGIQWGSEAFPRPSPSVRPYQFPGVQSRPTLNPQFGHDESRNLLKDQGKHSLATSAASISHTLSRSGPDAVEIGRSQDSKRKSQSDLPGRSLGFPRINHSPVSDFENGSLVDDVQQPSSHTWIRSPSADNNPVRSRSNPNRLIQQEQTPSSSFPYAHESVEIQEATKRRLSTSPPASGAKSFMLSRSSDSQFPVQPSSLNNFNSAGKANSSPATKRTRSPTSYPVEEDIQGNSFPSRDCTEGEEQARAKRLARFKGELDPVPARPVDTQLTKSSVNKTVKPLDNKQTFNSLESSRDALPEYESSEQPSLIVGLCPDMCPESERGERERKGDLDHYERVDGDRNQTSKFLAVKKYTRTAEREAILIRPMPILQNTMEYLLSLLDRPYNENFLGMYNFLWDRMRAIRMDLRMQHIFNREAITLLEQMIRLHILAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKRGITVPTEKEFRGYYALLKLDKHPGYKVEPSELSLDLANMTPEIRQTSEVLFARNVARACRTGNFIAFFRLARKASYLQACLMHAHFSKLRTQALASLHSGLQNNQGIPVSDTSNWIGMEEEDIEALLEYHGFSIKVFEEPYMVKDGLFLHADTDYKTKCSKLVHMKKSRTIVEDVSAPSTKENVSAPSPLSSLPTEANKGHKPLITTYKQERPPAQSPKKQTSVRPVDKEMTDSKTSLLLEEDKPVRTSVINPVWPPVINPAVDQQKQNDLTPAGGFHSPLKFSSPFGSPGFPQAVSSNLKKQPNDGHTSSSPAKIKFPFVAHMQMNLVPEPTLQQSPKSTPMESLPVTTISESPTIVENISALEESVPEAAMTGTMEEGLHDIEQEDEDGTEDITNQYDEEVAKAKLKLMIRLWKRWSSRQSQLRERRQLAATAALSSLSLGTPIRFSKPDQSRPCGEFDIDQAMKRRFEEREKSWSRLNISDVIADTLLERNPDSKCICWKVILCTQAKSVNTSSQDTHSAASRWLLSKLIPHTEHTVPDENLLFSAPGVSVWNKWIESGCCLSVARDVEADKALCEATRGASAVLFLASKDLPLNHQREQLNRILQSVPNDSLLPLLVIISSSNRESVDPDTNLVSELGLHDINKSKIASFTIVSIANKSQKGHEVRFFSDSRLRDGLKWLAGNSPLQANLHHVKPRELVLTHLSFSLELLKKMPDQELGPNICISAFNDALETSKRNVASAAEANPIGWPCPETMLLEDNRKEHLMLKQYLPNLDWSSAESIKPLNSMLENCKLPCLEDDLKWLTKKCASGDEIENHTQRLEGCLVEYLTQTSNLMGVSLAAKEAGVMVQRNTRLELRSSSYYHIIPRWIGIFQRIFNWRIMGLLDSSSSSAYVLKSDLTMSASSYADKFLSEEEAAYQSPRPNLPLLREMIQISCSPFKASQVQTERVIDDHRDIDETMLEKSREASRRIDVMMITEDDELADETERTLRDKEREAAEKKMMKDRESERLDELLEKCNLVQNSIAEKLCIYF >A09p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11296799:11299270:1 gene:A09p021210.1_BraROA transcript:A09p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XF1 [Source:Projected from Arabidopsis thaliana (AT1G58440) UniProtKB/TrEMBL;Acc:A0A178W2I2] MVTTMMEPQLLGWLSPLVISVVLVTSVFAFYALFVKPRRNSNKLDSTTSKIHHDRTVTSTVGSLNVSVGDTVSDVIVVGAGVAGSALAYTLGKDNRRVHVIERDLSEPDRIVGELLQPGGYLKLLELGIEDCVEEIDAQRVYGYALFKNGKRIRLAYPLEKFHTDVSGRSFHNGRFIQRMREKAASLPNVQLEQGTVVSLLEENGTIKGVRYKNKAGEESTAFAALTIVCDGCFSNLRRSLCNPQPVASTINTLANALYKVFCSSKDEARNEMREACFDYLSLGGMCTSGPVSLLSGLNPRPLTLVCHFFAVAVYGVIRLLIPFPSPKRMWLGAKLISGASGIIFPIIKAEGVRQMFFPATVPAYYRAPPVKGETKCS >A02p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13619310:13620642:-1 gene:A02p030850.1_BraROA transcript:A02p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFHSWTIHIGCHVTNSSLDVATRVLDVKLTCLGRCRGVAREVEFFSNPNNAETMNRCLYLLIVRRRHGDVCASSHHLSISNQTFRSTGKTPTETFLNDVGLELGRAELRFWLIDLLLDASDGGGREVDSILQVVRFFEDNDIYHVGGKVNPTSDLDFINLELIFCDLDQLIYFTFLQEIQICKILRTYEMQDKRIHNLKSKKTIV >A09p003140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1846281:1847588:1 gene:A09p003140.1_BraROA transcript:A09p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF1-3 [Source:Projected from Arabidopsis thaliana (AT3G26618) UniProtKB/TrEMBL;Acc:A0A178VIS2] MAEQESDKNIEIWKIKKLIKGLESARGNGTSMISLIMPPRDQVARVTKMLADEYGTASNIKSRVNRQSVLSAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEPLNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSELFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALDMGAVETLIVWENLDINRYELKNGATGEIVIKHLGKDQENDQSNFHDAESSAELEVVEKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGLLRYQLDMRTFDELSDGEVYEDSD >A07p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14705167:14706705:1 gene:A07p025570.1_BraROA transcript:A07p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASSPPITSLLLYPKACKFGQSKSNSKRIFSLRASSSLPVYDTKLKVEYTPWLIVGLGNPGTKYYGTRHNIGFEMIDHIARTTDISMNTVQSKGLVGIGAVGEVPILLVKPQTYMNFSGESVGPLAAYYQVPLRHILMIYDDMGLPNGVLRLQPKGGHSHHNGLKNVTEHLNGCRSFPRLSIGIGNPPGSMDMKAFLLQKFSRSERKQIDAGMEQGVDAVKTLVEYGFNDTISRFNLGQKYKFHTI >A10g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11309214:11309988:-1 gene:A10g504640.1_BraROA transcript:A10g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGITCACFLWRIYEELRQQKEFFGHHCFKFLSIYIWISCGYRPLKTGIKREVDENLRPGVEALVDSCSDQDRQYLHTVFGEGPCRNYLAALKQESDLNFKYGRKV >SC276g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:29533:32027:1 gene:SC276g500040.1_BraROA transcript:SC276g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPISSPSSFPLPSVYRLKSVQSNLHQRGIGGLGAEFADIFRRAFASRVFPPHVTSRLGIKHIVNGPEVLSKFVGETEKNVRDLFADAEQDQRTLGDASELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRFDENAWIGVISMFGRVQSLHSDRTLARARSLRSDRAGRALGRYVATELWLELGRYVATKWDDRSVAM >A02g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:146258:147185:1 gene:A02g500070.1_BraROA transcript:A02g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGVVAVYGNGAITEAKKSPFSVKVGLAQMLRGGVIMDVVNADQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQMIKDIKQAVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTLADEDHHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIVEAVRHVSSPLVESHTPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEMWGWSCGLERAMVGINLNDDKVERFANRSE >A01p000960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:375651:376190:1 gene:A01p000960.1_BraROA transcript:A01p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGGRVVHFANLPIKLLMPTKLTNIHEFALKTIPSATKIEIKRVLESLYGFEVEKVNTLNMDGKKKKRGGLLIAKADYKKAYVTLKNPLSISRDLFPVKFIEEDRKSKVKGSSFVEEEDDKKSHWLDQKEKREVGGYGNGKGRRGGGERSTTTPARASASAAGAKFPWSSMRFGGK >A10p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1485059:1486414:1 gene:A10p002850.1_BraROA transcript:A10p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMFRLMASEAYLSDRRCIWVNGPVIVGAGPSGLATAACLRDQGVPFVVVERSDCIASLWQKRTYDRLKLHLPKKFCQLPKMPFPDHYPEYPTKRQFIEYLESYANKFEIKPEFNKSVVSARFEETSGLWRVKTTTTAGEEMEYICRWLVVATGENAERVVPEINGLKTEFDGEVVHAFEYKSGEKYRGKRVLVVGCGNSGMEVSLDLANHNAIASMVVRSSVHVLPREIMGKSTFGISVMLMKWLPLWLVDKLLLILSWLVLGSLSKYGLKRPSIGPMELKSKTGKTPVLDIGALEKIKSGDVEIVPAIKRFSRCHVELVDGQKLHIDAVVLATGYRSNVPSWLQESEFFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAVNIAQDIGNVWREETKRQKTRRNVGHRRCISVA >A02g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17339509:17339764:-1 gene:A02g505990.1_BraROA transcript:A02g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYQANVPSKKWVSSDLYWAILIIDFYETIITVAGVTNASHSATSHNVINRFFPGWMV >A05p032660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17786087:17788505:-1 gene:A05p032660.1_BraROA transcript:A05p032660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC014 [Source:Projected from Arabidopsis thaliana (AT1G33060) UniProtKB/TrEMBL;Acc:A0A178WRQ2] MATETQATLSMESLPLGFRFRPTDEELINHYLRLKINGRDLEVRVIPEIDVCKWEPWDLPGLSVIKTDDQEWFFFCPRDRKYPSGHRSNRATDIGYWKATGKDRTIKSKKMIIGMKKTLVFYRGRAPRGERTNWIMHEYRATDKDLDGTGPGQSPYVLCRLFHKPSDVANCDEVDNVNATPTTTRCSREDNSSEMVQETATSRVHAQNISDDTERCPSDKGSDVKPDAPVIKTENHGETSRAKDRGKSIVEESPFARDFSSLYGPSFDQTSYTPVPSSIGFPASHMDSMYSSDFGNCHYGLHFQDGAAIQDESLANVLDEVFHNHNQSSSEPKDFALPKMMHWSFPRDTFGFEASFPQFAPDVGASGLASDHYVDSKEAVEIQSSSGSSRTVTPLHSNVLGQHPPVSYATMDPFISNVNQREQEQLPVDRNIILSEFNARARETQTDLDFVVNQGTAPRRIRLQIEQPFTPVNNEKGRDVDNHEEEEVQSAMTKIVEKDEKCFLDKDKSRAQGHASLSESTNLNTQGTAHRRIRLQTRIRKLPIIPKNTGRDSNHSEEVAMHSKEKEDVSASSSSSSSSWQKQKLMRKRMVKCSSMVIIMAVMVLLVGIWKESRDAKCSFLFHQLDSFKGMFT >A10g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5694602:5694857:1 gene:A10g502150.1_BraROA transcript:A10g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVSTLRQIH >A08p027860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17510951:17512338:1 gene:A08p027860.1_BraROA transcript:A08p027860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEVCSVEWKFINMSEQEEDLILRMYRLVGDRWEIIAGRVPGRKAVEIERYWIMRKNKHIFLPSSKS >A10p029930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18194415:18195278:-1 gene:A10p029930.1_BraROA transcript:A10p029930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGFPINVEEDGGRGGGSSTNSRSAAQPDGDAMNSSAGFSESGPAPMDSESDLRSSFIDFFGRESHEMQRVSRGVDISGGFDDAMEEDEELLDILPGLGGEYHGDYFDDIFEPPPVMATRAASKRVVDELPVVEINSEELRNGKIACAICMGDFVVKEKVTRLPCWHYYHGECIVPWLEMKNTCPVCRFALPTDDL >A02g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13086535:13087331:1 gene:A02g503970.1_BraROA transcript:A02g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGTVNGHIPGTSPSTSVASEIPTQWSKPPRGFLKCNIGSAWSPTSLTGGGGWIIRDSAAAARDLRLKKIMFEFSSSQAAQALGNPLVTASSYQACHDVLRNIFSTANSTLSSVPDSCNSATTAIATSATNIWFHQSYIASNGPQWLAPLLAEEAVGLV >A08g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5419571:5425498:-1 gene:A08g502480.1_BraROA transcript:A08g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFRSLWAVFRLDTFTTSSFDKEQTLRACYSLREIAFEGLTRMHRLVSYRCSEELGRYATTELWLEPGRYVATERNGRSQPSKTDTRSLRSDRAQPARSLCSDRARRTLEATDALRAEFQARLAKISASLGSLECIRSRDLALATIEGGMAVVRSFPSETPPTMEAEEARLSGCKGDMAAVDGDFDLILADLKSACFLPTCSEDPEGKDPLVGENGGDAAQGSDEALVGRKVMSCRLISFFDCEMFHSRSVTRVLPRDVSSTELLKMSNINIEAWARPRLTFGLRIVRWLAGYRFSCCDFFLIRIDLKSAIGSRLIRLDVCEFDVISIGLGGACQITFDASFATSRDAKGRSESRMRSLTLVTSESSPTSSFAASLAPKTLQLVVECPRDWWNSQKIADIRCLVSGFPSLSAFTASELGLLFSQLLLFVPIGDFLFFRHWFFERGAFPSGSASGPSWMSVDVLVGVVGDITRIQVNVFGFVILRVLCRGRKTFRIPLFDGRFLARVLTGSGLAKSSLFPWILVVPRVRIAWVLAVKVSTCFVKVFTSLSCSSDLFFRPFLIGGEHLLKLLERRGVGLCVGRGYVRCWSVEIGAAASVKRSLHVIRVRQTVGTEIRTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKIRNRKREQRRSYSEFAYERLQQGISLGSRAVGEIPSSSNPKTAKPN >A04p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12326310:12330096:-1 gene:A04p020230.1_BraROA transcript:A04p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGDSSALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLQKIDDGAREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRSKAISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSENIVKDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPSGERTFGVLTKIDLMDKGTDAVEILEGKSFKLKYPWVGVVNRSQADINKNVDMIAARRREREYFSNTTEYRHLAHKMGSEHLAKMLSKHLEHVIKSRIPGIQSLINKTVLELESELSRLGKPIAADAGGKLYSIMEICRLFDQIFKDHLDGVRAGGEKVYNVFDNQLPAALKRLQFDKQLAMDNIRKLVTEADGYQPHLIAPEQGYRRLIESSIVSIRGPAEASVDTVHAILKDLVHKSVNETVELKQYPALRVEVTNAAIESLDKMREGSKKATLQLVDMECSYLTVDFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCAGLRNSIPKSIVYCQVREAKRSLLDHFFAELGTMDMKRLSSLLNEDPAVMERRSAISKRLELYRAAQSEIDAVAWSK >A05g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:844248:846062:1 gene:A05g500180.1_BraROA transcript:A05g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRVRFPSKVTTFSASHRRLIRRRLLSQCSASSPPGTMKKKKPKKSPTKLPAKSSPKTSSSAELISAESDLTTGADVVVSDAQIDSPVDKDAQQFPSAPDLGSIHSENPPCMAVIDANSSDPPSNTSLLPSDTLKLSSEGTNQSPSKSDKEERTTTESAVTPPQANVDARTVSSSSHLATATPVDQASGAPITPVTFAVQSISGADQNLAKETSAEDGNHLLVNCPHNRNQEAKGKKTRRGKSKDKKQWRVVEPSTDLAKKAPATTQTDQTHTEIVHRSLLGTAKDQVTGESSGTPSYLQSTRPRRSSRASKSSNSDIQPDSSDVETSDSDLEEGEFS >A05p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28882927:28884794:-1 gene:A05p049700.1_BraROA transcript:A05p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRSLASSYTFDDKDLDDADLWAVIDSAAAALAQNTTTTSSSTVVGKSPKPLAVRYPNFNSPPTPVSYAPPPSKLLQATNHSPSVNRRSYEESPRPSKIARSRVFSEVNRESSPMALVTTSHRSSNPVNHSTKFSPNNAARSSVFSEVNGESPMALVTTANRNLTPVNHSTKFSSPESYLSPGIRKSTSFSEVSPPSSCVKNDPVNEMRHSLSGSFPSATLFKEYQNTAMAILEKSDYTMISGKAYIKKSGWRKISFYFNVSYEIRDKNIEFDENRNVQRAEFIVRAIMQGGRFADGWGSCERREKKFLKPNHDIPSTAETRAKNRACQDLLGIGEYRESPTGFPR >A07g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15121767:15122292:-1 gene:A07g506320.1_BraROA transcript:A07g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQNTDLVVPCHFQLDTSVVVLELPDKTNSVQTHPPKIATAEKSKHVASANPNKPAGNVKSVMENSALLIFKNPKKFVENYFGQNIGSVVV >A02p017180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7710959:7712077:1 gene:A02p017180.1_BraROA transcript:A02p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRFSSLGLGKPPVSVRSFLLLHSKAISTSLVQTPPCKIIVAESCGGDLGKLVVMNFNELKCTELEKKVPLELVNDEDAKITIGASHGWIATLKEDGILRLQDDLNPVASDTYPKRIPLPPLVTLPHCQTNIITNVSMSSSSPENDEDCVVAVKFLGPQLSFCKPAAKSSKPGWTNIKIENPCFYSSRVMFSKKDNMFRIPGSGGHLIGSWDPYKPSKNPTFQRLRFTNMPKLTKAKQNLMDMCCRSEHLVESLPTGETFLVKWYKKTTKIAKSGIARMRTKALMVFKIDDEGNAVYTHDIGHLNMFLSMSEPFCVSATSFPGLYANYVAIIDFDESGYVILESCSIKSSSSRCWAPYHIPPQNIVES >A10g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20452010:20454462:-1 gene:A10g506890.1_BraROA transcript:A10g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNALKIDLPFFFFHFCDLNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQETTSRRLYRKSRRLPDDFQMTSRRLTIWCFQVKEIRVGLESFSLGKKHKNLPKRSEKSRRLPRSPDDFLEVQTTSWKSRRLPGSPDDFQEVQTTLSEDFQMTSRRLPDD >A10p036250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20678799:20681783:-1 gene:A10p036250.1_BraROA transcript:A10p036250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPITTCDPTIRPCLLTRGPLPRTRTCAPLLLLLGLTVSLTVANPSCESSVSMVRNCKQLWKILDRKDSNITQTELPDKTMEAKCLQSMFELIDSGFFNETKIQEIANGATEMNLPIYRTNRKLVATNNGGLENPSPLVFNASWNREVPRAQAKRFNYPSISRVRLPRDEEDIAFMSVLELGELIKTKQVTSKELVRIYLTRLKRYNHVLESVVTYTEELAYKQAKEADDLLSQGTYLGPLHGIPYGLKDIIAVPGYKTTWGSTSFKDQILDIEAWVYKRLKASGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSMTYPAARCGVTAFRPTFGSVGRTGVMSLSESLDKLGPFCRTAADCAVVLDAIKGKDPEDLSSREIAFEDPFSVDITKLTVGYTKDADMKVVEVLGSKGVNMVPFELNYTVDSVQGILNFTMNVDMLAHFDEWQRTGQEDLYEAQDQWPVELRRARVVTAVDYIQAQRARGKLIREVEKSFTVDAFIGNVTDWEKVCMGNLVGLPVLVIPTGFKNISEPPTKSCRRRTTINAGIYAPPERDHIALALGMAYQSVTDAHKKRPPIDDLGPDDSIPNPPRAIIPPRRLHIHE >A01g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14885575:14886449:-1 gene:A01g504970.1_BraROA transcript:A01g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKKRRPLSGASGSNARRNFLSNFQASCSPCVEALHLPAASSELVSSPHPVPDHQDGGGSVRRRQGGTFLSRCGSVDSQRSSMSEVLLYGMAVLLTVSLWLGLRFRFRESEKVRGVSDLGGRVNFRRITALGLRSRTLILWFRRFGDRGRLCGWWSEEKETKSFNTLERREFQHVEKEMKRTVVKE >A09p057790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48571029:48572587:1 gene:A09p057790.1_BraROA transcript:A09p057790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVRLFGILGHPIPFIGQIGRRLLHLLPPPPPPPHRKHFIRVNKKMSGAGKKIADVAFKASRTIDWEGMAKVLVTDEARREFSNLRRAFDEVNTQLQTKFSQEPEPIDWDFYRKGIGSGIVDMYKEAYDSVEIPKFVDTVTPEYKPKFDALLVELKEAEQKSLKESERLEKEIVDVQEISKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >A04g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17473227:17477780:1 gene:A04g507210.1_BraROA transcript:A04g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRDESSELAERVKSLSVESPGDGLIRESPRSVEQDVSPGQRAAQLLWDTGMLCEPIPNGFYSVVPDKRVKEVYNRLPTPSELHALGEEGVRIEVILVDFQKDKKLAMLKQLITTLVSGSNPASVIKKIAGTVSDFYKRPTLESPSKLALEENAFLFENHGAQLLGQIKRGCCRARAILFKVLADTVGLESRLVVGLPNDGTVDCLDTSKHMSVTVVINSVELLVDLIRFPGQLVPRSAKAIFMAHISPAGESDSAENDSCDSPLEPNSPLYERRDPESTEKDENLQFHRRLEGYPHASGPSLHNLMVRPATASNFSHSEPNVATVFWRRSRRKVIAEQRTASSSPEHPSMRSRGRSMLSTGRNSFKDYTGDASPSSAVREMYEKSKQTRLLHGREDGNSSGINSNVSGLRLDDEFNSKKTMSLPSSPHAYRSQGFGRRGPSDFAVKDTWNKVVESTTLQNQPLLPYQEWDIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKLFLEQDLTAENMEDFCNEISILSRVRHPNVVLFLGACTKPPRLSMITEYMELGSLYYLIHMSGQKKKLSWHRRLRMLRDICRGLMCIHRMKIVHRDLKSANCLVDKHWTVKICDFGLSRIMTDENMKDTSSAGTPEWMAPELIRQEPFTEKCDIFSLGVIMWELSTLRKPWEGVPPQKVIFAVAHEKSRLEIPDGPLSKLIADCWAEPQERPSCEEILRGLLDCEYTLC >A09p025850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15041371:15042032:1 gene:A09p025850.1_BraROA transcript:A09p025850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLPLIPSPTICLQSTFGSEKRSRLRMSSVVVDVKGKPKVFTLRNGDNDVVAGAPPWNPMTMRAACNEPGDESTRITGVKRGSNEGGGDGDSQKLKFSVSLLREEIKNDSTAYNRKKPPTRQKKRQELFRVK >A06p006370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2115597:2116643:1 gene:A06p006370.1_BraROA transcript:A06p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGARLVINFLRYIDLSCDVIAHLLKPSVRRQFFLDCNEIADICDNAERIFSSEPTVLQLRAPIKIFGDLMRLFDEYGDYVDRGQHSLETISLLLALKVEYQHNVHLIRGNHEAADINALFGFRVECIERMVWHRINRLFNWLSLAALVEKKIICMHGGNGRSIYHVEKIENIQRPITMDAGSIMLMDLLWLVHELNANRPATLTRGRPL >A02p018060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8247588:8248304:1 gene:A02p018060.1_BraROA transcript:A02p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTYNDIALPKEEEHHATGETSTAARLFSFQQLNILAVILVLSASGLVTIEDFLFTLLALIYFFFLSKLIFPPHKNPNRDAPLTSPTNKIFRLYVASAGIVGLLIPICYIFQGFLEDDKRGVSDAAPHVFLLASQVFMEGIAATCGFSAPARILVPVVYNARRILTLVEWIINEFSREAPEYGKGTVSVRRMYAGKVLAAVNLGIWSFNLFGVLIPVYLPRAFKRYYGSSKEV >A06p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7916003:7919664:1 gene:A06p017600.1_BraROA transcript:A06p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASVEEKMKTNGLVNGGTTTTSQSSLLEEMKLLKDQSGTRKPVINSELWHACAGPLVCLPQVGSLVYYFSQGHSEQVAVSTRRSATTQVPNYPNLPSQLMCQVHNVTLHADKDSDEIYAQMSLQPVHSERDVFPAPDFGLLNRSKHPAEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTAQPPTQELVVRDLHENTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLMVGVRRANRQQTALPSSVLSADSMHIGVLAAAAHATANRTPFLIFYNPRACPAEFVIPLAKYRKAICGAQLSAGMRFGMMFETEDSGKRRYMGTIVGISDMDPLRWSGSKWRNLQVEWDEPGCNDKPTRVSPWDIETPESLFIFPSLTSGLKRQLHPSYFAAGETEWGSLIKRPLIRDSTNGILPYASFPNMASEQLMRMMMRTHNNNNNQNASSFMSEMQQNLLMGHGGLLGDMNMQQQPMVSEMVQPESKLTVNPSASNTSGQEQNLSQSMSAPPKPTLSGCNSGRVNHGNEQKSVEQASQVRTVAVCNESALSPLQADPCPDTSQQIYPPQSDPINGFSFLETEELTSQVSSFQSLAGSYKQPPLLTSQDSSAVVLPDSANSPLFHDVWDNQLKFDQFSPLMQQDLYGCQDSTTSNILDPPPLSNTVLDDFCAIKETDFQNHHPSDCLVGNSNTSFAQDVSQITSASFADSKAISRQDNSGGTTGTSSSNVDFDDTSLLQQNSKGSWQKLAATPRVRTYTKVQKTGSVGRSIDVTSFRDYNELKTAIECMFGLEGLLTRPQSSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPTEVEQMSEEGMKLLNSACINDLKSSVSK >A03p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12725443:12727954:1 gene:A03p030310.1_BraROA transcript:A03p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-mediated development protein DET1 [Source:Projected from Arabidopsis thaliana (AT4G10180) UniProtKB/Swiss-Prot;Acc:P48732] MFSSGNVTSRVFERQIRSSPPGASVNRARKFYENLVPSHTLYDVECPDHCFRKFTEDGLFLISFSRNHQELVVYRPSWLTYTSVSDDTLPPLPRRASRFDSFFTQLYSVNLASANELICKDFFLYFPSRRFGLFATSTAQIHDSAPSPGAVPGVPSIDKITFVLLRLDDGVVLDERVFVHDFVNLAYNMGVFMYDDLLAILSLRYQKIHLLQIRDSGHLVDARAIGYFCREDDELFLNSSSQAMMMTTTQDKNKQQNREDDGDNGLNHTQPNADNNSFLSGIKQRLLSFIFREIWNEESDNTVRVQSLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVGRNADHLPAFFAVYNMETTDIVAFYQNSAEDLYQLFEQFSDHFTVSSSSPFMNFVTSHSNSVHALEQLKYLKNKSNSFSQFVKKMLVSLPFSCQSQSPSPYFDQSLFRFDEKLISAADRHRQSSDNPIKFISRRKPETLKFKIKPGPECGSADGRSKKICSFLFHPHLPLAISIQQTLFMPPSVVNIHFRR >A05p006920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2793069:2794041:1 gene:A05p006920.1_BraROA transcript:A05p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNWCQEVAKLKAKYESLVRTNRHLLGEDIGEMGVKQLQALERQLEAALTATRQRKTQVMMEEMEDLRKKERQLGDINKQLKIKFEAGGHAFKSFQDFWPNSAASMMAGDPNNSKFPVQPSHPDSVDRNTEPFLQIGFQQHYYVQGEGSSVPKSNVACETNFVQDWVL >A01g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16462539:16463533:-1 gene:A01g505520.1_BraROA transcript:A01g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGVGRASSSSTPNLVQIHIAGIVGVSLRIKGGSWCGESIIELNPKSGPNPYRWYRRCLFAYKRRLENDDHVFKWVDETFTDEIQQLDNQVRILKEEFQLLKATIRSEGPKILPKIMISRGCVIIISVVVVLGFLMYK >A04p016240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9992249:9992614:-1 gene:A04p016240.1_BraROA transcript:A04p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKTQRSSRGGRRNSKKQGSRTSHVSSRASIIRNCSGNSGDKFTEKLQALKSLLPPSETNKTYHNRHVEEKPNSGETEQLFQETADYIVRLRNQVIVLQKLIEIYGSAPSSDQTEDFVL >A10p029890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18176492:18177505:1 gene:A10p029890.1_BraROA transcript:A10p029890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQEGNWAQTCDTCRSAACTVYCRPDSAYLCTSCDAQIHEANRLASRHERVRVCQSCERAPAAFFCKADAASLCTACDSQIHSANPLARRHQRVPILPISGSMVTNHSSETTEAEDIVVVGQEEEDEAEAASWLLPTSVKNCGDNNNNNNNSQDNRFSVGEEYLDLVDYSKYQQDYNVPQRRSYVADGVVPLQVEVSKSLSHMHHEQHNFQFGFTNVSSEASPIHMVSLVPESTLSETTVSNPRSPKAATEELPEAPVQMLSPMERKARVMRYREKKKTRKFEKTIRYASRKEYAEKRPRIKGRFAKRNEVDAEEADKAFSSMVMFDTGYGIVPSF >A08p016120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10482050:10483192:1 gene:A08p016120.1_BraROA transcript:A08p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KAR-UP F-box 1 [Source:Projected from Arabidopsis thaliana (AT1G31350) TAIR;Acc:AT1G31350] MATVAAVTPPAKRRRTDGGKEIAIIEGLPDHVSEICLSLVCSPTLLAAVCTRWRRLVYSPEFPPFPSLYALFVDSNSDPARVNPAIRFMCFDPVSSKWDPLPPPPPDPPLHRILYRHPSYISFNFPIQCVSAAGKLVLIAASNREFSPAMSHPLIFDSVSSTWTSGPPLESPRRWCATGACGGVVYVASGLGSQFSPIVARSIEKLDLTDHDRSNWQKLREMRDSRFSREAIDAVGWRRKLLMVNVKGGAIKEGAIYDVVSDDWEAMPEEMAAGWRGPVAAMEEETLYSVDERNGTVRIYNEEEREWREVTVVEGGEQMLKGAQQVTAFAGKLCVVTVDGSIVVVDVMAEPAKIWTVENPEGLEPVSVHVLPRMSRPDII >A02g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14467385:14468454:1 gene:A02g504360.1_BraROA transcript:A02g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGITYKGVTVQTPKTWHTVAGKGLCGVMWFWILYRAKQDGPVVMGWRHPWDGHGLVEPLKIMAKNEYFAFFSFVCRNKENCERRRELFLYTSF >A02p018230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8354494:8355930:1 gene:A02p018230.1_BraROA transcript:A02p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMMRNKPTNLPATSGGRESGGHDWEMRPGGMLVQKRNPDSDPVGAPPPPMIRVKIKYGSLYHEMSISPQASFGELKKMLSGPTGIHHQDQKLMYKEKERDSKAFLDVSGVKDKSKMVLIEDPISQEKRFLEMKKIAKTEKASKAISDISLEVDRLGGRVSAFEMVINKGGKVAEKDLVTVIELLMNELVKLDGIVAEGDVKLQRKMQATRVQNYVEALDVLKVKSSMANGQQKQPKGRRLATIQEDSNGQRQEQKPIQSLMDMPINYKHKKQEIVEEPRNSGPGPNLMDSSTKWETFDHHAATPLSSITANNHAIPPRFNWEFFD >A02p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26899915:26901474:1 gene:A02p042880.1_BraROA transcript:A02p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 8 [Source:Projected from Arabidopsis thaliana (AT5G45980) UniProtKB/Swiss-Prot;Acc:Q6X7J5] MSSSNKNWPSMFKSKPCNKHHHRDMETPSSMPYSNCNTPSPFSSDRVPDPKPRWNPKPEQIRILESIFNSGIVNPPREEIQRIRTRLQEYGQIGDANVFYWFQNRKSRAKHKLRVLKKNPKMLGCNPSKTRKEKIITPNDNPTDPCFGLVNHETGLFPGQNNELVITEPASFLYPDDNNPSLTQSGFGFGGFVVPVVAEERLELAGTSNGLNMNIPEIDFGGGENGYFPNSVRVTVPLTINQSQVSSGGGDIGDYVSPVRLTVFINDMPFDVAAGLFNVKEAFGNNAMLINSFGQPILTDEFGVTFQPLQNGAVYYLL >A07p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9914979:9918171:-1 gene:A07p016090.1_BraROA transcript:A07p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLFLFLLFPAVFSLNQEGSILQQVKLSLDDPNSSLSNWNPRDDSPCHWSGVSCGGAFSSVTSVDLSDANLAGPFPSLICRLPNLSSLSLYNNSINSTLPLDIGACKTLKTLDLSQNLLTGELPHTLADLPLLTSLDLTGNNFSGDIPASFSRFEKLEVLSLVFNLLDGAIPPFLGNITSLKMLNLSYNPFSPGRIPPELGNLTNLEVMWLTECNLIGQIPDSLSRLTRLVDLDLALNDLVGPIPRSLGGLTSVVQIELYNNSLTGSIPRELGNLKSLRLLDASMNQLTGSIPDELCRVPLESLNLYENNLEGELPASIASSPNLYELRIFGNRLSGELPRDLGLNSPLKWLDVSDNEFSGELPPDLCTKGELEELLIIHNSFSGAIPESLGDCRSLTRVRLAYNRFSGQVPTGFWGLPHVYLLELINNSFSGEIAKTIGGAANLSLLILTNNEFTGGLPEEIGSLNNLNQLSASGNKLSGFLPESLMNLGELSSLDLQGNRFSGELSPKIKSWKKLNELNLAGNQFSGSIPNEIGSLSVLNYLDLSGNLFSGEIPVSLQGLKLNQLNLSNNRLTGDIPPSLAKEMYKNSFLGNPGLCGDIKGLCGYKDEAKSKGYVWLLRSIFVLAAVVFVAGLVWFYFKYSTFKKARAVERSKWTVMSFHKLGFSENEILESLDEDNVIGAGSSGKVYKVVLTNGETVAVKRLWTGGSVKETGGDSDLEKGERSGPKDEAFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGTLGWETRFKIILDAAEGLSYLHHDCVPPIVHRDVKSNNILIDGDYGARVADFGVAKVVDLTGKAPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILEIVTRKRPIAPELGEKDLVKWVCSTLDQKGVEHVIDPKLDSCFKEEISKILNIGLLCTSPLPINRPSMRRVVKMLQEIGGVEDESRNKTREDKDGKLTPYYNEEASDQGSVA >A08p028260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17738966:17739928:-1 gene:A08p028260.1_BraROA transcript:A08p028260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEDKKPGDGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNSIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTGGFGGGAAMA >A10p024220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15678417:15681567:-1 gene:A10p024220.1_BraROA transcript:A10p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRPPAVRKDGDYIESIFGDYSAGKTKPSRKLNSTKFVTVLTLLQFVFAVYATGLLYYMSPSIDLRAKPDFTWATKWAHNMRSYIVTPHVVSHIQDSASMLKSSEENIPAVFSPAEVCEYEKIDFSQKKSNDEKMIKMKRELYDDVLDFQKKNLGSESLEELMKMKSIWALNGPNKPKVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLAFGSPNEPSLRRIAGSYNDSRISFISSNYDFKYYGRFQIALQTEADLVYILDDDMIPGKKMLQMLAHVAGTEKYENSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITLDRILQVDFLSSSWFLSAELVKALFIEKPFTFATGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKNIVEVRDNQWWKALSTGYITQWAAMYPQKIDALFYAHSVDEVKALGPLLEKFRTTVGKKAYIAVSGGKFCACEDAASALNWPKVVCNERRFKIFDLEVGAILGVSNSEVPVLQAVYSSMKGLIKIHNPSVVITVADADPNVKKALKMATETNLNGTALVLLPRASISKVLWMADLRSTALPNWNKMRVSVNIITQNRAQSLLRLLRSLSNAYYLGDEIPISFNMDSKVDEETIKVVTTFDWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDFGLLLEDDIEVSPYYYLWIKYSLLAYHYDPQISFPELASISLYTPKIVEVVKERPKWNPTDFFKQIHPHTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTENAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQQSFSTNHMEPGAHIAAKDNVVKHNKTDFEVPLLMDDFRNFLPNQKLPPASKLPSLNLFNMPVSLKGLKAAGAKLGQDVLRCNNISEIVAVNHQTGLPARCMKF >A08p027900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17555619:17564714:-1 gene:A08p027900.1_BraROA transcript:A08p027900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVKEIGNSSTPADSGDLPSDEVLPAPTDVPPLQQQLVEKEAKGSSRTNVEDKRSPEDVLKEMQMQKTHDLYCPNCTHNITRTAELFEKGKEKFQYNNENSILSFVIVVSFKYPFVFLPWLYSNTPVDCAAGSMGLFGKNYNSPSTEPRETASQKACAAGSMGLFVNYNMKKSMVMVKKRNYHHLTSDERAPSVVSETPKKHVPDWLRFVLVVVLLLLSALVLLWVPPSSPLSPSAIPPPTPHTNGSLTIDIPDPQKVPEDATEIDGNLIPHGPDVVEDNKPIWPSPSTIVNKGGLVHFYWVKYLPSSRVLYVISLLLLAALALCWPSMTRTKGTEPEGKADLPSETHKKIGEDQDSTTKPEDEKEVVGDKEGVPSLIGGVSTPGNKTNGSERAKEIPPPPVALQPTTFAKIQSILRQHSGSGSQCLTNRTRYLEEYCVRWSNRVHHKLRCCILRCCFRNFDSQRYGLGTSQFYSVAYFSSFTTYMDL >A07p019500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11575019:11578107:1 gene:A07p019500.1_BraROA transcript:A07p019500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHPMEKASNGTSALETQTAELDQPAALRKIISVSSIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIVAGAGLVTVAVFLIGYAADLGHSMGDQLNKPPRTRAIAIFALGFWILDVANNTLQGPCRAFLADLSAGNAKKTRTANAFFSFFMAVGNVLGYAAGSYRDLYKMVPFTMTESCDLYCANLKTCFFLSITLLVLVTFVSLCYVKEKPWTPEPTADGKASNVPFFGEIFGAFKELKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGNSDATASATAKKLYNDGVRAGALGLMLNAIVLGFMSLGVEWVGRKMGGAKRLWGVVNFILAICLAMTVLVTKQAENHRRDHGGAKTGPPGNVTAGALTLFAVLGIPQAITFSIPFALASIFSSNSGAGQGLSLGVLNLAIVVPQMVVSVGGGPFDEIFGGGNIPAFVLGAIAAAVSGILALTVLPSPPPDAPAFKTGAMGFH >A04p031230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18461782:18465712:1 gene:A04p031230.1_BraROA transcript:A04p031230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHRRESFSVTISNMGGGSTVLCPNTDLLWPFGKLNGLEPDDIRETAYEIFFTACRSSPGFGGKTALTFYSTHNNNDNHGEGGGGGGGSNGGGSGSGFGFSGRKEVVTTPTSRVKRALGLKMLKRSPSRRMSTIGVAGGAGVNSLSPGGGSGSGHISPGAGFLTVQPTRPRRPLTSAEIMRQQMRVTEQSDSRLRKTLLRTLVGQTGRRAETIILPLELLRHLKTSEFGDGPEYQLWQRRQLKVLEAGLLLHPSIPLDKTNNYAMRLREIVRQSENKPIDTSKTSDTMRTLCNVVVSLAWRSTNGNPSDVCHWADGFPLNIHLYVALLQSIFDVRDETLVLDEIDEMLELMKKTWSTLGITRPVHNLCFTWVLFHQYVVTSQMEPDLLGASHAMLAEVANDAKKLDREALYVKLLTSVLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSRILGEDVTISQGKGDVKLIDYSGDRVDYYIRASIKTAFSKVLQTAGKLEKVLVQMVAEDSEEFDDGGKGLVQEMVPYEVDSIILRLLRQWIEENLKRVQECLFRAKETETWNPKSKSEPYAQSAGELMKLAKEIIDEFFEIPIGITEDLVHDLVEGLEQLFQEYTTFVASCGSRQSYIPTLPPLTRCNRDSGFLKLWKRATLCTAVREDFSHVAPALSDGHHARPSTSRGTQRLYIRLNTLHFLSSHIHSLNKTLSLNPRVLPATRKRYRHRSNNSSSYFDFTYAGIESACQHVSEVAAYRLIFLDSNSVLYESLYVGEVANTRIRPALRVMKQNLTLMSAILADRAQALAMREVMKSSFEAFLMVLLAGGFSRVFYRSDHSLIEEDFESLKRVFCTCGEGLIPEDIVDRDAESVEGVIQLMSQPTEQLMEDFSIVTCETSGMGMVGSRQKLPMPPTTGRWNRSDPNTILRVLCHRNDRVANQFLKKSFQLPKRR >A02g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26357105:26357687:-1 gene:A02g509860.1_BraROA transcript:A02g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQTIGTTPSRIDNVDPAGSNSRTKALPVGPTGTDGTTGTTHTQQIPPIGTSNQERSSVHDRSSPPDRTGARVLNRLGERQADDDLIRPQSDRASGNAAYRAIANRLDQAERELAEHRANARERHQSPPDPLRETLNPQNVGAFGTPEIPSARSGCYTGENSQ >SC176g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:42276:46752:-1 gene:SC176g500040.1_BraROA transcript:SC176g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVQYTDHTRISPRISTRTATDVGQHADMCGQHADMSSLHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHESVNGKGQHADMWGQHADMSSVHGSVHGSVHGQSTGRASMLISRTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHGRLRVLTDVLCVLKSDSPREPKSPEQSTERADMCTDGQPDVLCVLTDGHGRPVCADGHTRTSVCTEQTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKTMSTKSLGCQVLIKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPENSFNHPYEACKKSDSNSKGRHSLEPPTPQYPNGSDFSFGWIVRIASGYHQTQARKVSRKMQLNSLLSPTRRRCLFGSSAAM >A02g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21431187:21431653:1 gene:A02g507730.1_BraROA transcript:A02g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSFPSFFSHSPSSPNHHHDHHHRSLPSISHIHVFSFHLVTTVPLLVFSSCNLSFFISLTIFLYSIKSLRSDESGESEMSSGNEETQLNFDFHGVLMCFRASSLRDEMESSEKRRKEMDLAQRRGRRWSWIQR >A02p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16886724:16888316:1 gene:A02p032190.1_BraROA transcript:A02p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta-dioxygenase 2 [Source:Projected from Arabidopsis thaliana (AT1G80340) UniProtKB/Swiss-Prot;Acc:Q9ZT84] MHSTLSDVFISHPIHIPLSNLPDFTSLRHLPDSYTWTPKDDLLFSASSSDESLPFIDLSDPHVATRVGHACTTWGAFQITNHGVPSRLLDDIEFLTGSLFQLPVNRKLKAARREDGISGYGVARIASFFNKQMWSEGFTVIGSPLDDFHKLWPRQHLKYCKIIQEYEEHMQKLAAKLMWLALGALGVEEKDIEWAGPISDFQGAQAAIQLNHYPICPEPDRAMGLAAHTDSTLLTILYQNNTAGLQVFRDDVGWITVPPVPGSLVVNVGDLLHILTNGIFPSVLHRARVNHLRSRFSMAYLWGPPSDLMISPLPKLVDPLHSPLYPSLTWKQYLATKATHFNQSLSFIRNYLSSDQIS >A09p071480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55143955:55145931:1 gene:A09p071480.1_BraROA transcript:A09p071480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-functional target of rapamycin complex subunit LST8-2 [Source:Projected from Arabidopsis thaliana (AT2G22040) UniProtKB/Swiss-Prot;Acc:F4IIK6] MSQPSVDEGRNPQHVNKLEISPDKRHLAAACNPYIRLFDINSHHGHVRTFVGHTSNVMAVGFHADGNSMYSGSEDGTVRIWDLRAPNRPEVYERNCLKAYHLDGSVTPINTVVLHPNQIELISGDQDGIIRVWDTRMNSCTIELVPEPDTAIRSLSVMRDGTMLAAANDGGTCFIWNILRESQVITKFEPIHKLQAHDRQILKCVLSPDSKYLATASSDKTVKIWNVDSFTLDKVLTGHQRWVWDCAFSVDAKFILTASSDMTARLWSMEEGNELRVYRGHNKAIVCCALNDV >A01p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6646777:6647563:-1 gene:A01p013610.1_BraROA transcript:A01p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP27 [Source:Projected from Arabidopsis thaliana (AT4G21510) UniProtKB/Swiss-Prot;Acc:O65416] MIHYLHLIGEFWFCSVAKVAEWFLETIFLRNFLSGGGRTMKSKLDGEEEELELGLGSVTFTRGLGRKRVLFSSRVRESLLEIPATESTPVKRQRSRTTNVSLSSERSCLESLPQELLIRVVCGVDHEDLKSLNIVSKSIREASLIAKELHFSYTTPKKTRASRNQLAFEDSSQQVEDVEPPNAPVRHRWTKAKRKEELSNVSVALFT >A07p038510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20482316:20484035:1 gene:A07p038510.1_BraROA transcript:A07p038510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFRDIKLFGTTITSLSAVNHYDPLPLSAAHGGSDQSKEASSSSSSSCSPSIRPDRVLADKNEQENSRFKDPYTLSHLNEPPKAASEILSPISSKTNTDQQSELTTTSTSAANKPTTLKKPDKILPCPRCESANTKFCYYNNYNVSQPRYFCRNCQRYWTAGGSMRNVPVGSGRRKNKGWPSSNHYLHVTSEEYENNNLGTILSFGSSESSVTETGKHQPGDTKITADSASQQHQTGQGFLPPQVMFPNNSSPWPYQWSPTGPNANFYPIPFYWGCTVPVWPTSETPTCLGKRSRDQTQGGGMKDATITTRERLVSETLSISEATKTAVWSPKPERKTEGFSLFNGFETKGGSNRRSLVPETSINLQANPAAMSRSMNFRENMQQ >A10p021430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14405471:14409064:-1 gene:A10p021430.1_BraROA transcript:A10p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLILFSLFIHSCVAAPKAPAAAAALPTKKWLTLNGQEPGVVARGGISGLFPESCALANDLAISSSSPGLTILCNLQMTSDGAGICLPDIRLDNATTISTLFPKGQKTYKVNGQDLKGWFALDYSADTIFSNVSLVQNIYSRPSIFDGELPISAVEDVLGIKPPKFWLSVQNDAFYMEHKLSPAEYLRSLGFRGITFISSPEIGFLKSIGRDAVMSKTKLIFEFKDPEAMEPTTNKKYSEILQNLAAIKAFASGVLVPKGYIWPIDTAKYLKPATTLVADAHKAGLEVYASGFSNDMPASFNYSYDPSAEYLQFVDNGHGDYPGCTDLAYQKAVEDGADVIDCSVQMSKDGIAFCHDSADLTVSSTAMATFMSRATSVPEIQPTNGVFSFDLTWAEIQSLKPQIQSPFIAKVGISRNPANKNAGKFVTLDDFLKFSKEKAVTGNAAYLASKKGLGIVDAVKSALTKSTLDKQTTQRVLIQSDDSSVLAGFEAVPPYTRVLSIDKEIGDAPKASVDEIKKHADAVNILRSSLVSISGSFAAGKTNVVEEMHKGNISVYVSVLRNEYISIAFDYFSDPTVEFATFIAGNGVDGVITEFPATASRYLRSPCSDLNKEQPYAILPAEAGALISVANKEAQPPASAPNPPLDAKDVIDPPLPPVANMAANNATGATPNAPGHSGSIATTANLCLSLLAILAMGLLFATD >A01p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:665915:667554:-1 gene:A01p001540.1_BraROA transcript:A01p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVREYDSSRDLAGVEDVERRCEVRPSGKLSLFTDLLGDPISRIRHSPSFLMLVAETGTEEKEIVGMIRGCIKTVTCGKKLDLNHKSQNDTVKPLYTKLAYVLGLRVSPSHRRQGIGFKLVKMMEAWFMQNGAEYSYIATENENQASVNLFTGKCGYSEFRKPSILVNPVYAHKVNVSRRVTVIKLDPVDAESLYRLRFSTTEFFPRDIDSVLNNKLSLGTFVAVPRGSCYGSGSGSWPGSSKFLEYPPESWAVLSVWNCKDSFRLEVRGASLWRRVVAKTTRVVDKTLPFLKLPSIPSVFKPFGLHFMYGIGGEGPRAAKMVKSLCGHAHNLAKRGGCGVLATEVAGEEPLQRGIPHWKVLSCQEDLWCIKRLGDDYIDGALGDWTKSPPGASIFVDPREF >A03g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7257727:7258823:1 gene:A03g502240.1_BraROA transcript:A03g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSIQCLLFILLTIISCSNAQREVEDESEFSYEGNQENGPEKWGKLKPEWKMCGKGEMQSPIDLMNKRVKIVSHLGRLTRDYKPANATLRNRGHDMMVRFEEGPGSIKINNIEYQLHQLHWHSPSEHTINGRRFALELHMVHESINGSMAVVTVLYKIGRPDSFLSLVKIDP >A05p016950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7561169:7562873:-1 gene:A05p016950.1_BraROA transcript:A05p016950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWICCGRTSGDSDVSNDEQHLKTQWQQSEGAANNNKPKPQAVAKPEAPKEALPIEVPLLSVEEVKEKTDNFGSKSLIGEGSYGRVYYATLSDGKAVALKKLDVAPEAETNTEFLSQVSMVSRLKHENFIQLVGYCVDENLRVLAYEFATMGSLHDVLHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKVQPPVIHRDVRSSNVLLFEDYQAKVADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKSVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKTPAPVPVTEP >A01g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12629561:12630379:1 gene:A01g504160.1_BraROA transcript:A01g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGTTLVLSTLVTLPGRSGLVRPRTVRGRQVGSGSRMRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVAVNIIDISSRESSPWISMPAWSPAISLGGSLD >A08p044450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24569558:24570087:-1 gene:A08p044450.1_BraROA transcript:A08p044450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCFAIKLCLCIFFALSIVSTARIGISFSGNEKLVMRGRSLMMVSTNDYDEPSANGRHNPPGGRHGGGRRG >A03p069720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30634504:30642936:1 gene:A03p069720.1_BraROA transcript:A03p069720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISAYNKKEDTHAVHHTPSSPLSSLIALVIEENKNALHTQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTLQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSETNEVEVSRRIAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTYQHNRLQKLQNTNTADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVTKRSRDTKTPFKSDRNPALTVIPEIIPAVDLFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHWLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLITNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWERTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIREWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTKLKKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKIDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQEIHELMNEIISPNISDTQSNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEMEVKQGKSVKPSQDDHAKKGKPDVGKKKKANAQPVDMLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLAHWMDLRGIYRVPFYINGKEIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVGYTYESVRKPHKKKQTLLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSYHYIGVEIQLMDNTITLFHCGLPKANIKCALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQEKSEKAKCTKA >A05g510220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31777337:31779604:1 gene:A05g510220.1_BraROA transcript:A05g510220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRRHWSLSAILLSAALATLLISPRLSAAATENLAFQVRSKFAGKREKDLRALKAHDAHRHARLLSAIDLPLGGDSQPESTGLYFAKIGLGTPSRDYHVQVDTGSDILWVNCAGCISCPRKSDLVDLTPYDSGASSTAKSVSCDDSFCSYFNQISECHSGSTCKYIIQYGDQSSTSGHLVRDVMHLNLVTGNRQTGSTNGTIIFGCGSKQSGQLGESVSAVDGIMGFGQSNSSFISQLASQGKVKRTFAHCLDNKNGGGIFAIGEVVSPKVKTTPMLSKSAHYSVSLNSIEVGNSVLQLSSGAFDSGDDKGVIVDSGTTLVYLPTAVYNPLINEILASHPELNLHKVQDAFTCFRYTDNLDRFPPVTFQFDKSASLTVTPRDYLFQIQGDTWCLGWQTGGLQTKDGGELSILGDMALSNKLVVYDIENQVIGWTNHNCSGGIQVKDEQSGAVYTVGAHNLSWSSSLAVSKFLTIVSLLVLFLSNIAL >A09p010050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5149620:5152684:1 gene:A09p010050.1_BraROA transcript:A09p010050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTITTTSLFLLLVLSCVLQASSNGDAEILSRVKTSRLSDPDGKLNDWVITGDNRSPCNWTGITCDSKNGAVTAIDLSDYGISGGFPYGFCRIRTLVNITLSKNNLNGTIDSAPLTLCSRIHVLILTENSFSGKLPEFSPEFRNLRVLELESNFFSGEIPESYGKLPSLQVLNLNGNSLGGIVPAFLGNLTELTRLELAYVQFEPGPIPSTFGNLTKMTYLRLTNSNIVGEIPDSIGNLVSLVNLDLAQNGLSGEIPESIGKLKSIYQMVLYINQLSGKLPESIGNLTAMRNFDVSQNNLSGDLPETIAALQVVSFHLNDNLFTGELPRGIALNPNLVDFKIFNNSFTGSLPTSFGKFSGLTEFDVSTNRFSGELPPYLCYGKKLEKLIIFSNQLSGEIPETYGECDTLNYIRMADNKLSGEVPVKFWELPLTRLELSNNRLEGSIPPSISKARQLSQLEISGNKLSGAIPARICDLEGLRDVDLSRNRFSGSIPSCINRLKNLERVEMQENMLDGEIPSSVSSCAKLTELNLSDNRLRGEIPPELGELPVLNYLDLSNNQLSGEIPAELLKLKLNLFNVSDNKLSGKIPSGFQQDVFLPSFLGNPGLCAPDMDPIRPCRSKPEPRFILVISVVCIVVLIGALVWLFIKTKPLFQRKPNRTDKVTIFQRIGFTEEDIYPQLTDDNIIGSGGSGLVYRVTLKSGQTLAVKKLWGGPGQKPESESVFRSEVEILGRVRHGNIVKLLMCCSGEEFRFLVYEYMENGSLGDVLHSEKEHRAVSPLDWTTRFSIALGAAQGLAYLHHDSVPPIVHRDVKSNNILLDHEMKPRVADFGLAKPLRREVNNGVSDVSPMSCVAGSYGYIAPEYGYTSRVNEKSDVYSFGVVLLELITGKRPNDSSFGENKDIVKFAMESALSYSSPSPEDKAMTQDSPGNCRDLSKLVDPKMELSRGEYEEVDKVFEIALLCTSSFPISRPTMRKVVELLKEKKPLE >A02g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5383611:5384819:-1 gene:A02g501650.1_BraROA transcript:A02g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCRDIGPKIILSLPPPSRSLSRFCSGDPALNLRRGMISRRCIRGDKGSRGDVSILPTLMVLLRATLEGNLFLLDSVDPMLHKKTAECNMVLDESKTCDRRRTSINQLFLVYDLYLYF >A08p026760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16992868:16998112:-1 gene:A08p026760.1_BraROA transcript:A08p026760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERSGKNCLSMSVSMRDLNPAFQGAGQKAGIEVWRIENFSPAPIPKSSIGKFFTGDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEAEEHITRLFVCRGKHVVPFARSSLNHDDIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGRLMADAESGEFWGFFGGFAPLPRKTANDEDKTYNSDITKLFCVEKGQANPVEGDSLKREMLDTSKCYILDCGLEVFVWMGRTTSLDDRKVASGAAEEMIRSSERPKSQMIRIIEGFETVTFRSKFDTWTQETNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEEPQAFIDCTGNLQVWRVNGQEKVLLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEERASAVSMASKMVESMKFVPVQARIYKGKEPLQFFVIMQSFIVFKGGISSGYKKYIAEKEVDEDTYNENGLALFRIQGSGPENMQAIQVDPVASSLNSSYCYILHNDSSVFTWIGNLATSTDQELVERQLDLIKPNLQTRAQKEGSESEQFWELLGGKTEYRSQKLTKEPESDPHLFSCSFTKDILKVTEIYNFTQDDLMTEDIFIIDCHSEIFVWVGQEVAPKNKLQALTIGEKFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSAMHGNSFQRKLRIVKNGGAPVAEKPKRRTPASYSGRASVPDKSQQRTRSMSFSPERVRVRGRSPAFNALAATFESQNARNLSTPPPVVRKLYPRSVTPDSSKLAPKSSAIASRSALFEQRLKTPPQEQPSVSKPPKASPKTPESPAPESGSKGKEEKKENDKEEEEKSMSSRIGSLTIQEDAKEGVEDEEDLPAHPYERLKTTSSDPVSDIDVTRREAYLSPEEFKEKFGMTKEAFYKLPKWKQNKFKMAVQLF >A02p057830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34791356:34793025:1 gene:A02p057830.1_BraROA transcript:A02p057830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDVARLRCGKTIPLLGMGTYCPQKDRESTISAVHQAIKIGYRHFDTAKIYGSEEALGTALGQAISYGTVQREDIFVTSKLWSSDHHDPISALTQTLKTMGLEYLDNYLVHWPIKLKPGVSEPVPKEEEFEKDVGIEETWQGMERCLEMGLCRSIGVSNFSSKKIYDLLDFASVSPSVNQVEMHPLWRQSKLRKVCEANNIHVSGYSPLGGPGNRWGSMAVIEHPVIKSIALKHNATPAQVALRWGMSKGASVIVKSFNGERMRENKRALDIKLDDQDLSFIDQLEEWKIMRGDFLVNQTTSPYKSIKQLWDDEI >A06g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21862601:21864930:1 gene:A06g507840.1_BraROA transcript:A06g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIILRNIYTIVPSEPTWAGCFPLAEWDLVGTITHVPTVYFYNKPSELFQGNVVETLRNSLSRALFHFYPMAGRLKWLPRGRLELDCNAEGVSFMEAESEAKLSDFNDFSPTPEFEKLVPQVNYKHPIETIPLFLAQVTRFKCGGISLGVSISHAVVDGQSAFHFLSEWGRIARGEPLETTPFLDRKILWTGKPLPPFASPPQCERKGFEQPPLLIGETDNVEERKKKTTVEILKLSKTLIEKLRSRVNTSEYADPARGFTRYKTVAGHVWRCASKARGHSPEQPTSLGICVDTRSRVQPPLPRGYFGNATINAVARSTSGELISNGLGFAAEKISEAIKSVTNEYVMTGIEYLKNHEDLKTFQDIHALGSTDGPFYGNPNLGLVSWLTLPMYGLDFGWGKEVHMGPCTHDGDGGSLLLPDKNDDGSLILATCLQVAHMEAFKKHLYEDI >A08p019220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13145090:13146511:-1 gene:A08p019220.1_BraROA transcript:A08p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNCCAKSSQEEEVISPPDENLLIYCKPVRLYNILRIRSLYNVNQLREVVTDGEKSKAGKKRAKAPWAKPLSQYSQATRCDIMNNIWVCGRHTLMRPGFNDAQSPKAYTTEDYLKITEEQLKASSPGKIQREDQIQTQERAVQSQPEVTSRETMAASSPRQD >A09g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25308277:25310715:-1 gene:A09g509080.1_BraROA transcript:A09g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYSTEKASSVQSVILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDTLPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFIAGGWTIWITLLVLRVLGHIGRTTGTMEDRILPIERMNTKSPFPGVVCCVVLECRRGLWNPRVLTLSPKSGLGTGLGLMCILFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPLTQFGIRPGPVSNYINVRVAKPCALTQRDESWSKFCDSDRIVPNPSRSASGPWCWVGRSVMFLFDCWLAGWLIHLQPLVWVVRRSCSCLIVGRWVDLCLGRFGGVTHV >A08p020570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13891316:13899126:1 gene:A08p020570.1_BraROA transcript:A08p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAFSWVPKGVAKAIPDRAELPSKEEIEKLKETCDQVYSEDEEDNTEDEKENGEVAHAKTVAEAFGKSSSIKNASSSIEVDDLNDDFMKELDMDNYDEEDDRIEIFSSGNGGLYYASNEMDPHLMNHDDSDDSDEDEDQTILTTKSMIVCAKTDDNDASYLDVCVCEETSNGYPNIYSRGRFELPTAPLCTAWFDCPLKGGEKGNFLAVGLYKKPMIEIWDLDVKDEVLPCVQLGGKEKGNYKEGSHTRSVLGLAWNKEIRNTLASSSADKKVKVWDMGTEKCMITMEHHTKKVQAVAWNHYAPEVLLSGSFDQTVVLKDGRKPSHSGFKWSVMSKVESLAWDPHSEHSFVVSLKNGTVKGFDVRQASNSASDLKPSFTLQAHHKPATCISYNISAPNLLATGSMDKTVKLWDLSDNKPSCIASHIPNAGSLFSIDFSPDNPFLLAIGGTRGDLKVWDTLSDTNVSRSLKPVPDAAEPPSKEEIKELIESGAFTASVDGSDEDEEEMEEEEEEISEVDDVAAGMKELDMDNYDEEDDGIELFSSGRGDLYYPSNELDPYLKDAADDDDDEEDIDDTTIKPTDSVIICARNEDEVSHLEVYVYEESSDGSPNMYVHHHIIIPEFPLCTAWMDCPLKGGDKGNFVAVGSKETPTIEIWDLDVRDEVLPCVQLGGVEEMGIIKKKKSKKQKYKEGSHTDSVLGLAWNKEFRNILASGSADKKVKIWDVATGTCKITMEHHTKEVQAVAWNHYAPEVLLSGSFDQTVVLKDGRQPSHSGFKWSVMSDVESLAWDPHCEHAFVVSLEDGTVKGFDIRAAQSGSDSDLKPSFTIQAHDQDKGVSSISYNLSAPNLLATGSMDKTVKLWDLSNNEPSCIASHKPKAGAVFSISFSVDNPFLLAIGGSKGELHVWDTLLDANVSRKYGSKQS >A06p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25958026:25958680:-1 gene:A06p049080.1_BraROA transcript:A06p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger AN1 domain-containing stress-associated protein 12 [Source:Projected from Arabidopsis thaliana (AT3G28210) UniProtKB/Swiss-Prot;Acc:Q67YE6] MAGGGGTEAFPDLGEHCQNPDCKLLDFLPFTCDGCKLVFCLEHRSYKSHDCPNSDHGSRTVSICETCSVAIETTGFDQEGIKSLLDKHERSGDCDPAKKKKPICPVKRCREVLTFANNITCKDCGVKFCLKHRFPTDHVCNKKTLANSGTRSRWNEKFMEALSLRNEKGCGRGTTSVSSSSSPSIRSF >A10p022340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:14750476:14752014:-1 gene:A10p022340.1_BraROA transcript:A10p022340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYNDMYEYNDEDGGIQFQPYDDELINEYLIPKLEGKPRREITMKDVYSKEPWLLDHPMGSFFKKNEWYYFVTRTQLAKKSIGCGQNVKWKITRDDDSGSWRVNGKEDITDKETKKTIGEKQTLAFVNSKVNNKKQKSGDGTSCNVSRDSESWIMTEYMLPEEKGKFHELVICKIHVIKNSKKKDDDHHEVCTSSNHHHYVSVLASSFSDQQLQHPINEAQLHEASTYLNHIDSSTSEQPPINIADRGTLLAPFLEQQPINIVDHAPLLAPFLEQQQPINIFDHGTFLTPNYLEQQPINIFDHGTFLSPYLEQQPMNIFDHGTFLTPNYLEQQQPINIFYHGSFLTPNYLEQQPMNLYDESVPASFSFEQQPNNVICKIQENNKKDDYEAFISHHYEFLADSFAMQQPVNEAHRHVAEIPLIGSENNDQVFENSRQVSEKDEQLYIDAPIEEEGREWFQGFVNELKTMNTSSMPMEEDVDTMMIYDTPWIDQDSLDQYATLRPQERSESEQ >A10p030580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18472186:18473395:1 gene:A10p030580.1_BraROA transcript:A10p030580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSWEIDDISKRNNWIYSDKFSSGGCEWYAEVDPKGSCCSDHLSLFLCVGNPNPLRPGWKRRAIFSFVLLNQSGQVLFRSSEQRKYSMFCAEVPSWGFEKALPLTKLGLLEKDKLTVEVYIKVLEVVHQGKSTENDIIDFDGFQISASQVFPVANILYQDPHYVVDFKPENQWVQTKYMYLLGLVETLSKPPQSLSATELSNAQRDLTALKESGFKLDWLNSKLEEVSLEWKKAAHSSYESGIYQLEERVENVELSLSDVIVELDKVKTKSAAGAQVSSFQFIDFLIKRFFLSCFSFSKS >A10p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22332509:22335872:1 gene:A10p040570.1_BraROA transcript:A10p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLRTLPLSPLTLSFSFSISSSIYPAMDTTTEKMLLHANPVEIHFQQAATMGRNLREKVTCSPIQNNHPGFMWGLFDILKHNHWRYIKKRLPHKRPLACTRSASADCITVSKSKVEDETIVGSGQRPKKPSSSVKSKESNSGEKPKKPEDKSKNLNSEEKRRKTHSEIKRSVKALIKALVIEDKSKKKGRHHRRSSTYPVQSNPKEKDPLSELVESSDKNSSNGDERNRVFNQTIGISPAIGSLNPLYLMSEDSSNSDSEDFKLDNIPVDDTEENKSDFDESGSKKKDDEEEAWLDPKLRHTENEDDDTSPRRAKSCLDALNLIHMNRNFLLKVLQDPGSPLARHFQSQQSFSSKTMTKAGSFPTHGSNREDHNNGFDSVENKSMSSPSIAAQHRADGVQTFNEAREKSADEDLTGSGYTRKRGKNHQVVIKRFKDLRQKIKHVISENKNEKQRITMDAVLDKVPRKHGFSKDLRHDILKGSVNKAGGAKLKQIRRTSSLCGSVDRYLQLYESSVQREAKNNNNTSEKPKVELEESAVPSQIRVPKILGRILSSPEMKSPYALKIEDLPGQLTTFSRSLKQEQDVLEDISEISEDQSESSEHEMPETTEDPISMIETEQDREIPTLDEEPETRPLDESSGDSPTFDEIASESLMPLDTESVSRSKQLESTAAAEIDQVLQVEAQDKGKFNYVRDILEISGFNAPESLSMWQSEYQPLDPLVYEEMTTTTGCMIHDPECSRNEEEGGNCNHLLLFDLINEVLIEIYERSYHYCPKPLSSLCRIHPMPVGYSVLKEVWVRINCYLRYKPLNEESFDKIMSRDLSREDGWMDLQFESECVGIEVEDLIFEELLEELLVSG >A02g502320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7471188:7471505:-1 gene:A02g502320.1_BraROA transcript:A02g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDTHLFGKRPHLCWDLRYKVALGLASALFYLHEEWDRCVLQRDNKESKIILDTNFNVKLCDFGLARLMDYDIGSHTTRLISQNFWLHMAPERKSQQEQGI >A04g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8437960:8439391:1 gene:A04g504030.1_BraROA transcript:A04g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGKRIFFTLENHELTKTTARIRVIIDGLKPLVKESIIEFDSGEESIITLEYERLELHYSASGNATHHSFQKRVDRHGNAFGDRVSTRQTHNPPPARSDDQVENSKLSWRSKPTQEKPHMYVSPPYIKNKDPTSRSYQRSRDLFPRRNEGQWRPKHIRETEATSLKEPAQVESTSDNANQLALVQARPNGPQSLSKEAVMEELHEVTRQYPNCPDRVEAAARRQRVHFSDANDLMEQTKAAILAAEAANQPSIPLQIRASDSNPVTPPPSNDYPLHAWLFPDPSIMISTKSKEEKEDDGLESMFSNDPLFVAPKETLQERVGPARIRSIVVSHTLEKEGTTQGPQTQVDLPEEELTLLTFQNMIKAKPKKPTKKKSLRVSPNILYGASSKKRKSRRFKTHHEDV >A09p031030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18856157:18857442:1 gene:A09p031030.1_BraROA transcript:A09p031030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAKKTTLQRYLSQLQQHPLRTKAITAGVLSGVSDVVSQKLSGIQKIQLRRVLLKMIFAGGFLGPVGHFFHTTLDKIFQGKKDTKTVAKKVIVEQLTLSPLNHLLFMIYYGVVIERTPWNLVRDRIKKTYPTVQLTAWTFFPIVGWVNYKYVPLHFRVILHSLVAFFWGIFLTLRARSMTLALAKAK >A09p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1550418:1552719:1 gene:A09p002190.1_BraROA transcript:A09p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPNPKRPCHDPSSVKDPSSLHLAPGFNQSNVDTTISSFLSLSDLPLFSSSPLSIACSFDRVLDKVIDASDHDRFLDRTLQLASLLHSSTKRCIRKRATLHNSISWPLLPELTTKVFSMLDTKSLMQASVCCTMFNKCAMDRVCYSHIDLTMAAKNVDNGVVCIMIHRAGKELRSLKLGSISSRSTFLLTRSCLAPLSFNHGFAGGLLRSLHLYNLTLTPGKSLCAVLSLCPNLTDLKVIGFISGTDKVNSVEHLAPITTNCRLIEHLFLENCGAAGPPSGSSVVGFVDNCPNLSSLTLFQFGVNDAMTRTIIMGFRQLKYINLSGTYGISGSFLRDLGLSCKDSPLETLLLRDCYYLKEREVLLFLNSLLAGDFKSIRYIDISSKHGLVSDGGIATFEPKFPVEELKKQKPGVTFLAIFPAPSSSSSSSSELYSDSTSSSGSSYYSYGEDEEEYDDSG >A10p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16818006:16822397:-1 gene:A10p026540.1_BraROA transcript:A10p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 80, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19620) UniProtKB/Swiss-Prot;Acc:Q9C5J8] MHGHDEDVHLSSSSIRINPSPHDNSLLSNLQSCSKTLSSHLSNTRLSLTRMLDSLKNRHASPRLTQTRPVRRHNSPTQMLNSVTQLMIGSKSPLLSLSLIQSTQSIWSDPGAERLSSPLLCCASLSLNRPSESTTQSVEGKDATQQQQQQVQKGHSSASRNAEERVLISEVLVRTKDGEELERKDLEVEALAALKACRANSALTVREVQEDVHRIIESGYFCSCTPVAVDTRDGIRLMFQVEPNQEFRGLVCENANVLPSKFIQEAFQDGFGKVINIKRLEEAITSINGWYMERGLFGIVSDVDTLSGGIVRLQVAEAEVNNISIRFLDRKTGEPTKGKTRVETILRQLTTKKGQVYSMLQGKRDVDTVLAMGIMEDVSIIPQPAGDSGKVDLIMNCVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNIFGRNQKLNVSLERGQIDSIFRINYTDPWIEGDDKRTSRSIMVQNSRTPGNLVHGNQPDNGSLTIGRVTAGIEYSRPFRPKWSGTAGLIFQHAGARDEQGNPIIKDFYSSPLTASGKTHDETLLAKFESIYTGSGEHGSTMFAFNMEQGLPVLPEWLFFNRVNARTRKGIHIGPASFLFSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYVVGSGEVSFPMRGPVEGVLFTDYGTDLGSGNTVPGDPAGARLKPGSGYGYGFGVRVDSPLGPLRLEYAFNDKHNGRFHFGVGQRT >A10g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5536072:5541775:1 gene:A10g502080.1_BraROA transcript:A10g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYWKLSISWKGARFQTPNSGLLLAGTWSVPLSGTRGSGSYLEAGGNEPGVFSPTVPLRQDPVPLVLLACVPLKPELILNPDFQRLPFLPYIFFCLFFPVSALLAFPYLRLMYFFSSLFAYTFPALALGRFKEKNRFSGSRSDSSPDPGTGSEHDLAAPPSICVCVSSADSTRVTGSSFIPGQITVYEAFFDIGFRGVIPVLVASLCDFFGISPSQLNPPSWRLLVAIQNLGDLENLSFGINEVMFSYHLAPLNGNEGRLHLRPRSGLPIVEELQKGDRKGSAFSKKWQEQYVFVMLPGHSYHWTFLAGMHPVLPEGEDTVLRARQLPLDRPQVPCLLSDSALHRSSLWGNMSGNTSNDPFAAYQEAAKVMSSKKGSASRTVSVDDLMITSSRRVVTVKIEHSALVKTKKSRGGGMATRSLRQSAEVAHSVGNLATALSNLNVQTISQLFHFGEQLSIEGSLVSREELDDLKRQVLEETAQRVAREMEIRDLKDKLKDAERAAEVSSADALSIGKKNQELEEAMETLRLEMVMAVNGARVPARWKLIREWLQKKSNQWDMNKALEQYKTVALEEAQNKGAPVPTFEDKPAARSRVSTSAGSKMSRVQCLDIDRWYLCTSIDINLHLSRHLLVSIDSTGCASIDCSSSRRPLHGQLGISDQDWTGFHESKLNGGCHQALFTSFLVISKSSLRKRALKIVASKSRFELLYWSLYESSLNGFSYQVLFRLFLNLSEESDPMDTKPQDKRLCQAYAESSVSSLCIDFFVLCISYSPAGVDSASIDAIKCSPVYLGDLPSSDFARFLSINADIWC >A10p001220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:641006:641912:-1 gene:A10p001220.1_BraROA transcript:A10p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSLLFCIFLSFFSILSSYQTEATTITRLVSKSLYDSMFIHKDNSACPANGFYTYESFVKATGRFPRFGSVGSMETRRREVAAFLAQISHETTGGWPTAPDGPYAWGLCFKEEISPQSNYCDSSNTEWPCFSNKTYHGRGPIQLSWNYNYGPAGRALGFDGLRNPEIVANNSVIAFQTALWFWMTEQSPKPSCHDVMIGRYRPTEADLAANRTGGFGLTTNIINGGLECGIAGDGRVNDRIGFYQRYAGMLNVGTGPHLDCENQRPFA >A10p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18584352:18586082:1 gene:A10p030850.1_BraROA transcript:A10p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide deformylase 1B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14660) UniProtKB/Swiss-Prot;Acc:Q9FUZ2] MAVCSCFLQAPPFSRFLSPVFSRRAPNLFPGYGQLKSTVMFSSSSSAANRTGPLTSPVRAEVKRVSRKESEIASASDLQFETPLKIVEYPDPILRAKSKRIGVFDENLKNLADAMFDVMYKTDGIGLSAPQVGLNVQLMVFNPAGESGEGEEIVLVNPKINKYSDKLVPFNEGCLSFPGIYADVVRPQSVKIDARDITGARFSISLSRLPARIFQHEYDHLEGVLFFDRMTDDVLDTIREELEALEKKYEEKTGLPSPEKVQARQKRKAGVGFGKRR >A08g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5105088:5110129:1 gene:A08g502300.1_BraROA transcript:A08g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERDTQHRSRDDRSYQNRRFQRTDIRERSSRHGNQKQNFERVPYSKSHRNIQPHRYDNRCSFQGPQSFYREIPKSREFEGREESTSSKNLEKNSDGCPLKNIQTPLPKEALEVAIGEVREVMNQYINVPDPTESAARRARVRLAEKHGEIEESAAQMVRASLAGGSPLEMPHMESTAERIPVASRLSFPPEMENSGERIPATLRLSSAPLTETEQEKLPMVLRLGPLSASQVPDLEVATETRVKRRPGRPPGKSKAQQTPKIVEGACSRKRKIQQTKATPCRRKIPTDAGQSSKTAPKVKMLIWKSLRGALPVGTRLEDRHLQVDPRCKRCGEPESIIHLFYQCEFAKAVWQAAPFVGEVDFSGMIDLREFWNHVKTRQCLPPTGIAAVHLAPWIMWGLWTARNKLVFSNIRVETEDSLTKVIVMAREWQDGQVKLSKAHKKRLPQQGSASDTILRSDAAWNVVTARAGLGWVLTHNDISSSYSLVENNVLSPLMAEGLALREALQTCKEVGIMNLRVESDSKILINCVLNDTSVPELYGVLADILILSTFFDSVCFNWIPREENYAADLLAKHVLGVSEAFMTSN >A09p073810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56150547:56153680:1 gene:A09p073810.1_BraROA transcript:A09p073810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFVGFVFLSGVFLGIVAILAAEAAGLMYLVKRLNRKRDSKPASDPSTDDIVNPPNEFSLNKQGMVWILEVDEGVKNWGKEKVPKEHKKKRDFLEVHPLRKFARIKDHKLLLSDAGSDSTPTMVSLKCCSIEAVSGNHLPTRKWIRAKRFPIKVESKISSELYKGNKVFYIYLETSWEKESWCKALRLAACDSPERFIWYSTKLQQEFRSYVTSLNVAYHSFMKPSAGFSFETFDKGNRTDGGGSSKVRLFLKRFSRKRSHREDRKNSVRSYQDSQHGSSSGRSVSGRKTGDYSADESDVPVFSRSVSHSSSQISGVSDGDSEEKFDMDEGTLAWNLLISRLFFDLKRKTGVTSSVQARIQRVLSNMRTPSYIGELICSDVDTGNLPPHIHGTRVLPMEMSGVWAFELDIEYSGEAMIDVETRVDIREVDLQKGITDTRLQPGEVPPNPAGDESKGSKGTKTSPNGVSRWKSIIKNIAEQVSQVPISLSIRVSSLRGTLRVHLKQPPSDQLWFGFTSMPDIEFDLASSVGEHKITNSHVAIFLINRFKSAIRETVVLPNCESLTIPWMIAEKDDWVQRNAAPFMWMNQESDHNSSHATEAKSKSDKPPTCSSSVHSEQMQKTINVTQKPIIPEASSSCAQSEQVQEAASAIQKPKTEAEAMSTPLSRSSTTFTLSSDKSREDLKTPLLRPSSSEKQYTNSRDRTGEMTAAQSPSRSIRSSDEDDSSGKKLGRRARMLGLGKKMGEKLEEKRRHMEEKSRQIVEKMRGPS >A09p018420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9656865:9658297:-1 gene:A09p018420.1_BraROA transcript:A09p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSCFNPFRRSLRSNFPAEPSFPALSANPSSSKTNRYAESETMEKKRFDSMESWSMILESENVETWEASKGEREEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGNLRMYLNKKEPYSLSIETVLRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCREAKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWSENPSKRPDFSNIVAVLEKYDECVKEGLPLTSHASLTKTKNAILDRLKGCVSSISSTSSSSSEPVNA >A10p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13474140:13476083:-1 gene:A10p019700.1_BraROA transcript:A10p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMSQRVVMSQRLGPMGGNMGAAFDDGVFDGVKKIVVGRDQGCVSYIKIEYEKDAKFETREHGTIRGPLQEFAVEYPNEYITSVGGSFDLVPFYNAVLIKSLVFYTSYRRTSPTLGVAGGRAFWLEGRTGGRLLGFHGRSGQALDSIGPYFFAPNPPLRHFERQGGNGGTPWDDGAYDGVRKILVGRGGRFVSYFRFEYARGERMVPHAHGKRQEVPQEFVVDYPHEHIILVEGTVDVCLTSVMFKTSKGRTSRVFGNVVGRKFVFEEKDFKIVGFCGRSADAIDALGAHFGPLRTPAPAPGPAPAPGPAPAPGPGPRPSPAPGQGPRPAPASPAPGQGPRPAPAPAPAPGQGPRPAPAPAPAPGQGPRPAPGPAPGQGPHPAPAAAPGTSATPAPAPAPTTTQIGPVGGEKGNTFDDGIFDGVQKITVGKDIYSVTYIKIEYVKDGKVEIREHGTNRGELKEFSVNNPNDYITAVGGSYNHIFNYDTTLITSLYFTTSKGFTSALFGEMSGEEFNLKSENGGKLLGFHGQAGHAIDAIGAHFERGSGI >A10g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9803888:9805390:1 gene:A10g503930.1_BraROA transcript:A10g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRKRKEPSLMDELKQLELLDEGVMVDMPDLELDDLIEENTLSVKVRCLNPYVHKVGGLVKALPPIWGMEDRVTGRGVGDNRVQFIFEAESDLQYVLSKGPWFVNGWMVSLDRWAPNPGPQFLKRIPFWIRIRGLQIHLLKEEVVESLMGPLGHVEKIELHAKNSNSLEYIRALVWINTEEPIQFRRTARFKSGVTLPTELEYEKLFKVCYTCKRLSHDQTRCPLQAQLAQGSEGVTKGRKGGKDALSKEGPSRGARKGVEIKEADARRGSRGDKAAKKAVGKGDTRKGKAVAEAPQLIWKQKSSRGSGTQSRSTQESTAISKGSEDQSGPASVFNRLSGLQEVERVEVTLEDLREKISGGSSGGKNERKSGKGSRSPPPVFERLGSLHENTPAEKCSEGGSFLSKRRRLSGSDERISKKLKMSSNEKSGGSHSVFKRLGDSGKGSGRKEEETISHSAQVAACTPIHSAR >A10p039820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:22027969:22028562:-1 gene:A10p039820.1_BraROA transcript:A10p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQMINRLEFVHSKSYLHRDLKPGNFLMGLPRRADQVYIIDFGLAKKYRDGSNHRHIRYSENKSFIGTPSYVSVNTHLGIEQSRRDDMESLGYVLMYFLKGSLPWQGLKREKILVKKGSTSVEELCRGYPTEFASYFRHCRSLRFDDKPDYAYLKRLFRDLFIRQGFQFDYVFDWTGSSSSSRRLVGVVNHSVVV >A10p027820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17432302:17433352:1 gene:A10p027820.1_BraROA transcript:A10p027820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLVFFSAVLAGYFAWKTVSSSSPELIGDDDDSPVELNDKQGLCFRKRMENGFWVFVDMASGKYLWRNLKEMRDKSQ >A09g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22444011:22445373:1 gene:A09g507860.1_BraROA transcript:A09g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGVPIRSDLLSQMKLVTKLGLEKKKLSLPLLNPKCRVWCLDIDRRYMCTSIDINLHLSRHFLISIVSTDAYRSIILPLHKYKVNALPWEYRSHDARQVFGAVGSVTKIGHASMNQNLMSSLRKRALKIATSKSRFELFYLSLYESSLNGVTFQTYLKNPIPCIPSPKTSGYVRFSVGNQLWLLHTVQGKCVVD >A07p039740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21112991:21114267:-1 gene:A07p039740.1_BraROA transcript:A07p039740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVTKRVFYSAGVFTPARKRRRCVSSSVSPAPETNTGPGLLDSIPDDLVVSILCKLASTSRCPADFISVSMTCKRLKSLATCPYVLSRLSRKVIAIKAQNWSESAHRFLKRSADAGSLDACYTLGMIRFYCLQNRGNGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVPRNISEGRRFLVQANARELAAVLSSGIEARSWLTLSQPQTHGCPLLSDFGCNVPAPETHPANRFLADWFALRGGDSPGDGLRLCSHGGCGRPEIRKHEFRRCSVCGVVNYCSRACQALDWKLRHKMACAPAEQGADGDGEGNVQNEGNVNGDNVPVVPMS >A09p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20882943:20884213:-1 gene:A09p034510.1_BraROA transcript:A09p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP6 [Source:Projected from Arabidopsis thaliana (AT4G10090) UniProtKB/TrEMBL;Acc:A0A178V2M9] MDRSVNLLDLALGLDEPSPLRGKVVLIEDCVETSGSFVLHQMMKRLLSPHSSDSLIFLAFARPFSHYDRVLRKLGCNLATQKTNNRLVFVDMLMMKCSDNESGVAKLFREIQGTVGKLRSVTNSNITVMVDDMSLLEVAATNSNSDHVLDFLHYCHTLTSESNCSLVTLNHEDIYSSMERPAYLLQMVCLADIVIKAEPLASGLANDVHGQLTVVNKGMISSKSGKGSSRNKLQNFQFRIKENGIEYFYPGCSRN >A05p041250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25080593:25082326:-1 gene:A05p041250.1_BraROA transcript:A05p041250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 homolog [Source:Projected from Arabidopsis thaliana (AT3G18165) UniProtKB/Swiss-Prot;Acc:Q949S9] MATNNGDVLMLEAAPEATKPWASAANAEVIDALPYIDDDYGNPLIKAEVDRLVEEEMRRSSKKPADFLKDLPPLPKFDFENCPVLGKEYERVRAGKPPVRIDFESRYKHELPPASKKNDDAAWKQYLHKTQRSLQQKMIELENLEMMSKQGPELWRQNNHRLEVFLTRMQRLAQEQNEEIEKVNRERKYHQQTTAYELNALSQEWRQLCVKNMEIQSACAVLETQIDLLKREASERGWNLEEKLESVKPLQSQ >A01g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17471613:17471907:-1 gene:A01g505870.1_BraROA transcript:A01g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKIEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLHQYTKKIWIDYMLNNKCR >A01p009860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4786529:4788549:-1 gene:A01p009860.1_BraROA transcript:A01p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12S seed storage protein CRC [Source:Projected from Arabidopsis thaliana (AT4G28520) UniProtKB/Swiss-Prot;Acc:Q96318] MVKLAHLLVATFGALLVLNGCLARQSLGVPPQLGNACNLDNLDVLQPTETIKSEAGRVEYWDHNNPQIRCAGVSVSRVIIEQGGLYLPTFFSSPKISYVVQGMGISGRVVPGCAETFMDSQPMQGQQQGQPWQGQQGQQGQQGQQGQQGQQGQQGQQGQQGQQGQQGQQQQGFRDMHQKVEHVRHGDIIAITAGSSHWIYNTGDQPLVIICLLDIANYQNQLDRNPRTFRLAGNNPQGGSQQQQQQQQNMLSGFDPQVLAQALKIDVRLAQELQNQQDSRGNIVRVKGPFQVVRPPLRQPYESEQWRHPRGPPQSPQDNGLEETICSMRTHENIDDPARADVYKPNLGRVTSVNSYTLPILQYIRLSATRGILQGNAMVLPKYNMNANEILYCTQGQARIQVVNDNGQNVLDQQVQKGQLVVIPQGFAYVVQSHQNNFEWISFKTNANAMVSTLAGRTSALRALPLEVITNAFQISLEEARRIKFNTLETTLTRARGGQPQLIEEIVEA >A05p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9445145:9453987:-1 gene:A05p020140.1_BraROA transcript:A05p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MWTNVFRIGGLHNVSWFQFLPSEAELSPASDTTSSRAEQNDAATYLVLSSHLRLQKEGFLTTWTNSFVGPWDPSQGLYNPDEKIKLWLFLPGRHSSIADKAQAAVSKLRVVASGIWVAPGDSEEISVAFSQSLRNCIERALSGLSYMRFGDVFSKFSPQSEDYLRRGQPTVEFIFAATEEAVFVHVIVSAKNVRALSSGDAERLLRSSLKNSSYRLPVIVSPHGMRGSLTGFCPNDLVKQVYFSSGNIGTSSGYIGLPSHVGRGSRLINDNHCYVEVTLGCCQSINDNASQTNSTFAVNLPHNQRPEPSVGSRDHRKGQQDISSVREKKFIYPAEAVLVPILQSAFAKFSLKRFWLQNWIGPSLTGSSLSMHWAGDFEFLGSSGNKSDGFYEKNGYNSSGSSRNSSISSTSSASSGSGWRMASRTGDLDADADSLTCRQSGLTCNDDRPKSGSKRSRTGRTESFGQVGITDDQIGWDWDDDDDDDDDRGVGMDIKALLSEFGDFGDFFENDALPFGEPPGTAESHTLMIPPDSAEIGCSPVDMMDVSDQIVLPDGFSSFESFNPVPPIIDECLIKSQEVINSSVTSATSNQMSSSSTGEFDHLIKAEAMMTFAPEYGAVEVPMSEISSFKSPYLPKSHKVESSHSRTSNYVYGPTPPATDSDGAADKNLLGSKACIGNNDGRTLFQSRDYYTQVEGRKDQHKMLPTVISDNSSTKEGVSQLKYSNFSAVKTIQGKKSDGISAVVSTLLSSKTLLATDVGSVMFQAFMSRMRHIIISSKHSSPFSLTRLSGKLFLNQLSNEPSSLTDNISARNEIYKKEIPTRIAGGFDGGMIDSHMSAPVGVWRTVSVPKTAKPASSPNIEAGSSLPHSSFSEDSLLSYGQRQPLQELLDGVALLVQQATSFVDLALDSDCGDGPYGWLALEELWRRELSCGPSAGHAGCGGTLASCHSLDIAGVKLVDPLSAEVFPSSVITLLQSDIKTALKSAFGQSDGPLSVTDWCKGRNHAMDGGSISEGSTAESSLREAMSIDSGKREETAQSQDIYSSELLRPTLFVLPSPSILVGYQDDWLKISTNALPHWEKAPFEPYALPKNMSYTVVCPDIDPLTSAATDFFQQLGTVYETCRLGTHLPHILGNQMETDAGRLSSSGFVLLDCPQSMKIESNNTSLLGSLSDYFLSLSNGWNVTSYLKSLSKALKGLKLESCLYTNQKEGSATSPCIVVYIVCPFPDPSAVLRTIVQSSIALGSGIQPDKDRRSLLNSQVARAFASSAAVDEASISHIPVLSGFSVPKLVLQVVSVDSIFRITSPSFNELVILKDTAFSVYNKARKISRGMPNDAFQSSSLSSRSSSALTPMSSISGSWKDCVGSRMTGSTHPRDGERDSSMRTSWDSWQMTRSGGLSCDPNRNEDFYLNDESFYLFEPLFILSEPGSVERGVSPTFGGLGSESSKPVPEDGGRGSGLGVNAMEGIPSGSSSQGDASQVEGKNIPSLHCCYGWTEDWRWLVSIWTDARGELLDTHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSPDNGSSKPRDFVITRIGNFFELEYLEWQKAIYSAGGPDIKKWPIQLRRSAPSGIATNSSVSSLQPPDMSLIQERASSSSTLYSSHSKPSNFVKGSMGQSAGRKQIMGGQTISGTPRGLFQWVHSISFTSISLDQSLHLVLPAELVSPGGTGMSSSNYIEGFTPVKSLGSTAYSYMMIPSPNMRFLHPSPLQLPTCLTAESPPLAHLLHSKGCAIPLSTGFVVSKAVPSMRKDSRINMKEEWPSVLSVSLIDYYGGYDNAHDKILHGIMKQSGGGTQETRDFEVESHLILESIAAELHALSWMTVSPAYLDRRTALPFHCDKVLRLRRLLHFADKELQTTR >A09p073520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56029777:56032049:-1 gene:A09p073520.1_BraROA transcript:A09p073520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNVNNAFKTYKEMEPKAMMDMSLLPHSSDPTDIALGSSSDNNNNAVPNTTAPPKRKKTMTSVFLKYFETSPDTKTRKCKLCAQSYSIATATGNLGRHLNNRHPGYDKAPDVATTSVPQKPQVAVKPSLSQSKAPQIDYDHLNWLVLKWLSLSSLPPSTVDETWLGNSFKFLNPTVQLWPVEKYKAVLKEVFRSMQGDVKTTLEHVQSRVSLTLSFWSSYENIFYMSVTGNWIDENWSSHRLLLDISRIPYPSGGSEIYASLLKVFKMYSIEDRVLCCTHDNSENAIHACHSLKEYLDGQKVLPFCYIPCAAQTLNDIIDEGLATIKPIISKIREFTQELNASMELSDDFVQLTTTYQEGNWKLPIDASSRWSGNYQMVNILCKAGKSLDSVIRKNEESLENRMVLSSAEKNAVSIVHSYLDLDSFRKTTDDMCTNKDLTVGLALLFMDNISEMITTCQKSCHNPDWLRTCAENMSQKARSYNTQVCNVFTYITAVLDPRIKTEYIPETLNLESYIDEARGHFIRNYASPHHFTSSLASGYRPHQEIDEGGGGNISFAEEIARRKRRGSMSNNNAVDELTQYLSESIVPMQTDVLDWWKGNSGRYPRLSNMARDFLAVQATSAEPEEIFCVKGEEIDKQRYCMPHDSTQSVLCIRSWIEAGMKLKFKSTEIDYERLMELAATVAADNSDKIQHK >A06p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5212530:5215761:1 gene:A06p002330.1_BraROA transcript:A06p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFERVPLLLGLVLILTLVGDPTKADGPVCRQAEKFSRASFPEGFLWGTATAAFQVEGAVDEGCRGPSMWDTFTKKYPGRCENHNADVAVDFYHRYKEDIKLMKDLNTDAFRLSISWPRIFPHGRMSKGISKQGVQFYHDLIDELLKNKITPLVTVFHWDTPQDLEDEYGGFLSSNIVKDFTEYANFTFHEYGHKVKNWITFNEPWVFSRAGYDVGKKAPGRCSPYIEKFGPLCEDGRSGFEAYQVSHNLLLAHAYSVQALRACKQCAGGKVGIAHSPAWFEPADLDSVGAPIERVLDFILGWHLHPTTYGDYPQSMKDRIGHRLPKFTEAEKKLLKNSADYVGMNYYTSQFGAHTKTEDTKNISWTSDSLVHWENKDVDKYQIGSQPAGGKLPVYSRGMRKLLKYIKDNYGDPEIMITENGYGEDLGDLHNDVKTGTNDHNRKYYLQRHLLSLHEAICEDKVNVTGYYVWSLMDNFEWQDGYKARFGLYYIDFLNNLTRHQKVSGKWFADFLKPGFPTSKIVREEL >A02p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1252153:1255323:-1 gene:A02p002970.1_BraROA transcript:A02p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOB5 [Source:Projected from Arabidopsis thaliana (AT5G08150) UniProtKB/TrEMBL;Acc:A0A178UHR3] MESGKSFGTEDVSRSCESGWTMYLASHSHDPDDDCYYEDGDDEDSDGGESMDSDASSDRQAAREKTQWEAKTLGARETKLEDNLDSLQGDISSFTRDDVDETELRRLEDARLAYVAAVSNAKERQDEESLAMAAKARAYLQSLAFRY >A06p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6174953:6176774:1 gene:A06p013650.1_BraROA transcript:A06p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSKINKTTRSGTETTSNAITTVERQGSGRQRREKDLVSGGEIKEAEQLVGPLVGNGSSESACLYTQQGSKGTNQDAMLVWENFCSRSDTVLCGVFDGHGPFGHMVARRVRDMLPFTLSTQLKKTLGMDSTSVINSATCIDEEQWFEKDDKLPLPQMYLPLKRALLKTCQQMDKELKMHPTINCFCSGTTSVTVIKQGKDLVVGNIGDSRAVLATRDDENALIAVQLTIDLKPDLPSESARIQRCKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDYGLISVPDINYRRLTERDQFIILATDGVWDVLSNKEAVDIVASAPSRNTAARALVCTAVRAWRLKYPTSKNDDCAVVCLFLEDSASMEVSETVNHSRTESIESVTITSNKDGEKKEEALPETDEIVPVLEMKEEKTSESCRNESKKTTLAECISVKEDEEWSALEGLTRVNSLLSIPRFFSGELRSGSWRKWL >A04g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19634720:19635215:1 gene:A04g507770.1_BraROA transcript:A04g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDQTCKKGEVWLGNDRSMSSSPKPINHGLTRGRCWLITESCSRIELEHDLKMNRDDEGGSVVGDRRDLTADRRHRGREAPRRMKTTAVDEARRGSEDSGGQFGESRCLWCDGEISVMF >A09p019800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10539960:10545219:1 gene:A09p019800.1_BraROA transcript:A09p019800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD2 [Source:Projected from Arabidopsis thaliana (AT1G60860) UniProtKB/Swiss-Prot;Acc:Q9C6C3] MAAFINLEDSPMFQKQVFSLEGTTDELKDRCQKLYKGVKKFMGVLGDASTGVSAFADSLEEFGAGHDDPVSVSIGGPVISKFVNTLRELSSYKEFLRSQAEHVLLERLTNFMTVDLQEAKESRRRFDKAVHSYDQAREKFVSLKKNTRGEIVAELEEDLENSKSAFEKSRFNLVNSLMTIEAKKKYQFLESISAIMDSHLRYFKLGYDLLSQLEPYIHHVLTDAQQSKEQSKIEQDRFEKRIQEFRTQSELDSQQVEPSNVDGNHVYRTIPYKNVEATSISTADKEVSVSNTHAVIKQGYLLKRSASLRADWKRRFFVLDNHGSLYYYRNTGNKSAGSQHYYSGLGEHRSGVFRRFRSRHIRSASQGSLDCNLIDLRTSLIKLDAEDTDLRLCFRIISPQMTYTLQAENGADRMDWVNKITAAIATRLNSHFLQQSPINYASSGPAGDELSLDQKQDYNQRVNKGDDVFTTLRGIPGNDACAECNAPDPDWASLNLGVLMCIECSGVHRNLGVHISKVRSVTLDVKVWEPTILDLFRNLGNAYCNGVWEGLLQLDHDCEKGSTNKLALVTKPSSKDSFALKEKYIHVKYLEKALVIKDERETNLTASSKIWEAVQSKNIREIYRLIVTADANIINTKFDDITAIDAYHHLADAEDEVKKRHDPNACQRIKDSNDSRNCLQGCSLLHVACQSGDPVLLELLLQFGADINMRDYHGRTPLHHCIASGNNAFAKVLLRRGARPSIEDGGGLSVLERAMEMGAIADEELFLLLAECH >A09g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7020497:7025031:1 gene:A09g501970.1_BraROA transcript:A09g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGILSKAFWVVCATVFAAATVVYGQQVPCYFIFGDSTFDSGNNNNLQSKAKVNYSPYGIDFPGGPTGRFINRRTIADVIGELSGFEDFIPPFAGASPKQAHTGINYASGGGGLRKETSEHLGGRISLRKQIQNHKKAIKKAKVPAQRLEQCLYTINIGSNDYINNYFMSETYSTSSLFNPNQYAYFLNRLYRTHLKWNIAIDFFFGKVNILNLKKKFFIHKQRVQNIYITILKVSCLSRSKNNYLVCLTIFIRNEMMYYMVAYKGCCTISPGEKLCVPNKPVCANRSEYLFWDDIHSSEATNKMAAKGSFDGPLGSPYSIAQLVKQ >A09p009230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4773496:4774654:1 gene:A09p009230.1_BraROA transcript:A09p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENQLETANCGVNEHGSPPVKNNEELAAVEVRYSTDSGDSGLPTCRVCHSTESDKRGDAALGLLEITPPIPEARKNNADETEAEQKSCIVMSNTDIEMGIQQQHQDALIELGCSCKNELALVHYACALKWFFNHGSTVCEICGKAAENIKTGDFNKVVIALRDYTALRERTDPNSVLPVSTDEVAAIRRQRLSEISSWFGPHSLNNNNNINSSVAASQEQPLGVVSFDMLPMENHATKWAVEGTGILLATGLLTVTLVWLIAPRVDKKTARSGLHILFGGLCALIVVIFFRFVVLTGIRYGPARYWVILFIFWFLVFGIWASRSNAHNDT >A02p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30766247:30768057:1 gene:A02p049700.1_BraROA transcript:A02p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSTQVGDDEEEEEEQTDGEEEEQEDDNSRSNPRELDNLLVKRVLEQEPEMLPCHASASPLSPQLSSLGTPRIGPSIKVWDPYNVLAPPPPPIFSRLTTGDEDRAVTEVYLISHGECDLNLRPDLVGGRCHVAALTANGERQARALAVFFKSQGVRFTSVYSSPLDRARSMAVSVCQEMSFPEEHVQSSDALIEMSIGDWEGCNQSEIYNPETLSLIERCQPDFTAPSGESLRQVEFRMVQFLNGTVSGLAEKLRSDHSETHERYGSSTNWDLLHKHRPSLTRKKSGKSRLQVMTNHELEDGVSPRDDVNHNHIDMSDSSSSSLVSSCVGVFTHSLPIKCLLTGVLGCSPVMTHKMCVEDSSVTVLQHSWRNGWQIKRMNDTAHLRLL >A09p000370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:189064:190919:1 gene:A09p000370.1_BraROA transcript:A09p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNTMLLVAIAAAYWLWFKRISRWLRGPRVWPILGSLPGLIEQRDRMHDWITENLRACGGTYQTCICAVPFLAKKQGLVTVTADPKNIEHMLKTRFDNYPKGPTWQAVFHDFLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILETAQNTCEPVDLQDLVLRLTFDNICGLAFGKDTRTCAPGLPENGFASAFDRATEASLQRFILPEFLWRIKKWLGLGLEVSLNRSLGEIEGYLDAVINTRKQELLSQQESGVQGHDDLLSRFMKKKDQKGQSYSEGFLRHVALNFILAGRDTSSVALSWFFWLVMTHPTVEEKIVREICSVLMETRGSDVSSWTEEPLGFDEVDRLVYLKAALSETLRLYPSVPEDSKHVVNDDVLPDGTFVPAGSSVTYSIYAAGRMKTTWGEDCLEFKPERWISPEDGKFVNHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVLLRHRLTVAPGHKVEQKMSLTLFMKNGLVVNVHKRDLEGIMKSLVSKERNDVVAATLNGKCNGVIGEDVSSVNAAVAVAV >A08g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5710763:5712545:-1 gene:A08g502790.1_BraROA transcript:A08g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSINLATLFPQDLFREKDNIQLRVFNYILYGNGKPTRGISDTSIQLVRTCLVLNWDQDNKNSSLEEVRAFVVEVSTKGLIRDFIRIGLVKSHISDSVVIRSAKPYLATPGAKVHGHYREILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISLNLEKRIKGWNRCITRILGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEEGMSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITQASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGVIPAGTGFNKGLVHCSRQHTNILFEKKTKNLSLLEGDMRDILFYHREFCDSSIYKSAFSRIE >A01p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4558439:4559973:-1 gene:A01p009430.1_BraROA transcript:A01p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEREHHPPHISSLVVRPSGSNDGEGDRHAAAEDDSRDRPSFPRSDRHKSDNGHRTRASSSSPPPRRPFEDHHRHGSDLNHSGALLRGGREFSSRRESSGRHRDYSPPNARVGAGSRRFDGPEPAHGRSFRSDGFGRNNNHPKVQPRDGDWYCLDPLCRNLNFARREVCFKCKRRRYAPANTPPPPRLLPPPMNLSPRRDFNGYRSPPRGYPRDYPPPRLDHPTWRDRDREGGDRLRYSNLEYPPNRRLASDWVPEPHYERRPPLSPPRGGGCGRHSRERSRSPPMRGPPPPLRDYRRDSYLERGGREDQRGGDRDRMRNAY >A09p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45438303:45441203:1 gene:A09p051530.1_BraROA transcript:A09p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHLFFRSRISLLRTLKPNHHAATRTISGTPFLSQDPQLATESTDHESTTLPPNPATGSPLYQENWRSPIPNSPSFSQSLVPMGFLNQAPAARIRALSETLDMISLLNMFADWTASQRWSDMKQLFEFWVRSLDKNGKPNKPDVNLYNHYLRANLMMGAAPSDMLDLVAMMDDFSVAPNTASYNLVLKAMHQAKETEVAEKLLNRMLISGKDESRPDDESYDLVIGMMFSNGENDKAMQLLDIALKSGYMLSTTVFSECVRSCVAKGRTDTLVSIIERCKSLDRNKSLCPSWILCTYMAEVATQEDNGKLAFYAFEFMYNWINRGEMARPSVLLSVDEGLVVSALATAARTCNPTLIDGSWMILKRSLRGKKAANPATYVAKINAYASLGNLQKAFVALHEFENAYKDAEKEVKEEMLSPFTSLYPLVVACSKKGFETLDEVYFQLETLSKGDTPYKSVAALNCIVLGCANTWDLDRAYQTFDAISASFGLTPNIDSYNALIYAFGKVKKTPEATRVYSHLVGEGVKPDARTFSLLVDAHLVNRDPKSALTVIDDMIKAGFEPSKETLKKLRRRCIRELDNENDEKVESLAKKFQIRMGSENRRNMLFNMDYSRKLLLRKEGGMRISGSSNL >A07g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18643983:18644717:-1 gene:A07g507160.1_BraROA transcript:A07g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKSSNVCTSLSSSRREAPQIDLARALSQVHSAVKPIKKDAKRSVMKKNLLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLTFVVYSSVKKSEKSSVTDFLHLISTIIVVVRTTNTTTMLLPLGPSTPVLKPVVDVLLSDLAVLSKLF >A05p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15184385:15186038:1 gene:A05p029960.1_BraROA transcript:A05p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDIFINTLFINDQKTILTLTLSFSRGPLFFLSLSLSLVIFFLPLCLRFTGDPPSFSRSFFFLSASDSPEIHHLSLIPPVLCGSHCRLLLLLLVLMDLETENRIASVLLREAAELRRQAEKDGVRAYLEKPNVRHRANSRFSQQLSLVFNNAQEQENERLKRKSREESSSSSSQMKRSSSFSKRSLDKRCSSINEERKITHQSSSDKRLYLDDDDEGLGDDEN >A09p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23636922:23637497:-1 gene:A09p041850.1_BraROA transcript:A09p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKELLSKAPWRGDDDDSDKFSGAKLKVTKESDGMSKMHVPSRGANKVKGSLSDDEDSLEIDPQLRYSFNRNYQFLQRVFSIDTVVKPLPPAVAYNVSRNLGFFFRIFTQFFDPEGIANAQKSLGLGQEDKARRVR >A08p045670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24998013:25000013:1 gene:A08p045670.1_BraROA transcript:A08p045670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G04410) UniProtKB/TrEMBL;Acc:A0A178W4H0] MAKEPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPFAAEALNGVKMELIDAAFPLLKGVVATTDAVEGCTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQAAALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLSVPVSDVKNVIIWGNHSSTQYPDVNHAKVQTSSGEKPVRELVKNDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYNVPSGLIYSFPVTCRNGEWSIVQGLPIDEVSRKKMDLTAEELKEEKDLAYSCLS >A07p041180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22249337:22252682:-1 gene:A07p041180.1_BraROA transcript:A07p041180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDVKAASKRKSDPGVRVIGGRIYDSSNGRCCHQCRQKTMDFVASCKAMKKEKQCPISYCHKCLLNRYGEKAEEVGALVDWRCPKCRGICNCSFCRKKRGQSPTGILTHKAKASGLSSVSELLEVEGHDTFSYQKKKPKLENVSNGDVVPKDSNKALNKIKTGSPKAKLEDAFVEDVVAKEDNKATGKIKKVVNKTKTGFPKAKQEDVSNEDVAKENNKGAGKIKKALNKTKNGFPKAKPEDASIEDVAKENNKAAGKIKKTKATNKVKEEEIQIEAKLPNGTSLTNVSGIDIPTEETGNVLQFLEFCSAFGKALDLKQGHAKSIVAAVFGSGRNTRRQQYCSVIPMMIQLMQLISHDRDMSLSLSATDSTWFSSLGECLLQSGFMSDVFRPETFKSGVSEYKKMDASTRLKLLNFLCDESLCTLKMRNFIEEQSKESDTKEREAKEKAAAAKEKEKQLRQKMQGDLVKAHMKKNGAPLSIEDHHKVLAQIKAEAKEAYGEMLKATSRKRQRCDAVRTDPILLNDDGLALWKLKCFEEEPKFLLQDVGGTFDDLSPHEKWLAFKPEQKQEIEKYISANRMKMMLAQKNANVEIN >A09g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6457916:6458219:-1 gene:A09g501750.1_BraROA transcript:A09g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVFHHLMSRSPSTTTPPRRSLMVDETRPAVVAVAVVRRRQGQTWRLEEARRGTHGRLFFLGLNFFL >A04g504130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8614275:8614523:1 gene:A04g504130.1_BraROA transcript:A04g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHINKYNFLFLKCTYSSRHKLAPQVYLLPFSPRYKLASQVYPTPAQHLASTWSLPLRMPPDSLSDTHCLSNYFNDYVKT >A02p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28591172:28592902:1 gene:A02p045660.1_BraROA transcript:A02p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQSWRKNRSEDHDTWSESCCCCNMRGEVHDTWSESCCCNMRRKDTALWGWRKKRRRDNDVSLSDDLLKEIMSRLPVKALTRFQVVSKQWRLQEGPAVYHIEPQIDQHARLSGSCDGLVCIFDENNLTSPIIVANPAMVRSQVLPLSQFQRQCLEDKKKSALHFPGLGKDDVTGTYKLVWLHNNQSNYTLSCEVFDFEVRKWSLSDRVWVTNNMPDGGMQHFWRIKNTMDSEWESEKMFSVDLNLISPWFKDISTATPFITLKATSKDNKNVLISRLSGRFYIFIHIYKIVRMLVTMASATFQVLNFLQLGTIEEATKNVEDTW >A08p004640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2720883:2721301:1 gene:A08p004640.1_BraROA transcript:A08p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKPLVEWAKVIWFKKDIPNTQISDLTFLIEHMSHKGHINFLGLGSDYACLFCRSLQETGDILFYECSYSNSVWSSIPLGLINFSRNNNLKYLTLLAWQVTIYKVWRDRNNRLHTPQYIYISL >A02p051990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31755092:31758310:1 gene:A02p051990.1_BraROA transcript:A02p051990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTISSNRTLVSPGGVFELGFFKPSALQRWYLGIWYRKVFDQKTYAWVANRDNPLSNSIGTLKISGNNLVLLGHSVLWSSNLTRGNVSSPVVAELLPNGNFVMRYSNNDTPSGFLWQSFDFPTDTLLPGMKLGYHRKTGRSRFLTSWRSSDDPSSGYFTYELDTRRGLPEFFVMYNDIELYRGGPWNGIEFSGISKPKDQELYYNYTDNSEEVTYTFLSANQSIYSRFTIVYYGSLYLSTWIPPSSGWRDFDALPTADCDSYNICGPNAYCELNDTCHCLEGFDLMNPRQWSGTERSEGCVRRTPLSCSGNRFLLLKKTKLPDTKMASFDRRINLKKCEERCLRDCTCTSFAAADVRNGGTGCVMWTRQLNDTRTHSIGGQDLYVKLAAADIVFSSDEERDRNGKKIGWSVGVSLMLILSVIVFCFWKRRQKQAKPAATPIVQNQVLMIGVVLPRQIPPRRNLSEENAVEDLELPLMEFEAVLTATEHFSDCNKVGEGGFGTVYKGRLLDGQEIAVKRLSEMSAQGTNEFMNEVRLIARLQHINLVRLLGCCVDEGEKILIYEYLENLSLDSHLFGLTRSSMLNWQMRFDIINGIARGILYLHRDSSIRIIHRDLKARNILLDKDMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYAMEGIFSMKSDVFSFGVLLLEIISGKRNKGFNNLGRDNNLLDCVWRNWKEGQGLEIVDTVIIDSSSPTFRPRDIQ >A06g503150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9891892:9892173:1 gene:A06g503150.1_BraROA transcript:A06g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSU1 [Source:Projected from Arabidopsis thaliana (AT3G49580) UniProtKB/TrEMBL;Acc:A0A178VF66] MAKEGGYMTVAAEEMEELRRRSRELEREVEEMKTAMLELWRRTVMAEEAEERLCSQLAELEVESLDQARDYHDRVVFLMDQISRLSSLSIVSS >A09p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5961586:5962135:1 gene:A09p011750.1_BraROA transcript:A09p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILSKFFVASFLLITSSLASATISGGGGGAVAPAPEIKDGAELEKWCGGKCEVRCQEAGMKDRCLKYCGICCKECKCVPSGTYGNKHECACYRDKLSSKKTPKCP >A09p067630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53283987:53286110:-1 gene:A09p067630.1_BraROA transcript:A09p067630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGEGDVETKQTVVAAAEMAEAQYVRAKTAVWWDIENCQVPKGVDAHGIAQNISSALEKMNYLGTVSISAFGDTNRIPPAIQQALNSTGIALNHVPAGAKDASDKKILVNMFCWALDNPAPANFMLISGDRDFSDALHQLRLRRYNVLLAQPRKASVPLVHAARTVWLWTSLSAGGMPLSKSESLQLIANAPTQECVPSSQPQDSNKFKAKYLPKPPPPAPNNYQQRQQQQNTQGKLIKKPHELFRISEPSSSTTIPAPPILPTTNVNAMSNPQNQYNYAPRPGPYPPRQPYPNPDPSWNNGGSIPNYYPNASRPGSPHMRPPYGNVFRPYRPENLHPPMNNGFRPRNDGPRFMSPPDLSNLSVSQYPSQTQTQNRPNFYPQVRQEFRPKMESSSSSLSLNSGNKAYLPRSSSAPVTSTTTTTVNTNSTTHGVSPPQPPVVTSGSGSSNGTWGTTQECPQPPSEYVQGLIGVILHALNILKTEKVSPTEPNISDCIQYGDPKHHGTDVKKALESAVEHQMIMVVNMGKLKLYLVKDEPLWNCVNPLGGNPKQYPKAAWDRLHQFLTSSSGRVAIMATQCRYEAAQILKKQCLKEYAIGDIVQILDITATWKKWITYNQTGWKPITVNLAAAETTNKKATETVTGIQPVA >A03p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11067130:11067387:-1 gene:A03p026430.1_BraROA transcript:A03p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINARFIVYQLLRGLKYVHSANILHRDLRPINVLLNSKHELKIGDFGLARTTSLLQTQIS >A10p023280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15233357:15234901:-1 gene:A10p023280.1_BraROA transcript:A10p023280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSSSAALVPFVRSPAVHGREALLQIVDPTSSSRKLLPGWTIVKRPRSSSTSRKGSVDTYFVEPGTGREFPSLESVQRHLVGEVHDRRLTLTGHFSNERTRVYEESRTKQDRRRSEYASKGFRLPKGWIVEEFPRLNSYHIDKFYVERKTGYRFRSLVSVERYLKDPGKRADKQPMLIEHHRPRSKGFSLPDGWIVEGKPRSNSSQIDKTYIEPGTGNRFRSLAAVERYLNGTDDSVNSMVPSGRLSAGFQTVVVDENPPEKVKWVLNGPGGNMFSAHVSGSVVSSSVKQTWSEAFVSLIQDRF >A09g513950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42198608:42201510:1 gene:A09g513950.1_BraROA transcript:A09g513950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAVWIRSGHSWKGKVTLQPVQACEASQQPASLDFTCFESHFEIPFSQVGKEGSAVLELFFTVWVFGRLSSRFNQPQQEMKHRSSKPVELEEEMDGVCSRWIKGALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSEVDVVKAHTSNPRCCQERRKQDVIFRFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYLAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEQNQEDSGQHDHEETQEVENVVQSTGTEEAQSGGNEQGKPTGLGEETQDH >A03p053320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22867416:22868114:1 gene:A03p053320.1_BraROA transcript:A03p053320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERDESRKIRKEKEMERRRLRDRERRQSMSQDERERHLARRRKNYQLRRQRAEVSRIGSQIQELAGEGSQLAVASPIQSGDSSTVPLVDSDQSVGISVEEFGKLVGTIRLSRVKHLARTLRKWSTNGAEASSSTGATNAMTRRAMSSGLRLSRVKRLVRSKGQQEGLLLSQHSPT >A09p049800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43940530:43946153:-1 gene:A09p049800.1_BraROA transcript:A09p049800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSEDHETYHIIQYMCLRSSYPESNDFGFEIIPLAVKEHNIQVSLILCLAFHIIQIRSRVESEVELQDTMMMGAGFYQTEAEIVSLLAEGEVPIGEPLLRTPRADPKNVASIILGGGAGTHLFPLTSKRAKPAVPIGGCYRLIDNIPMSNCINSVIRKIFILTQFKSFSLNRPLSRTYNFGNGVNFAVLVFSKLTLQFLVYHQKKLPGSHTLVLRSSPLALKEHTVQCLLLIAIIIHMMEHNYNSDSDDEYFSAGEGEGPYYVVRPPIYSYGDDGFHLFGFPHLKLGESIGLVGPKLTGKTTVLNLLAGNLVPNLCKPEEPPQWEAIMHHLDIIKEHPRAKEFRTYLARIRVNDLKVSMKTQLVSYEELIEMQQQKTFQETVDILGHHSRRNPYKIMEMCNMVGIDDGILYSLPSQLTKAGIQKVKFAAALLKEADAYVLDEPSSYLSRKERLGVAHAIVSVIGPIKYVVVADEDANMVEHISKSIFKVDGTPNQNGHVKPFPYHRF >A01p050770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000148.1:10161:10568:1 gene:A01p050770.1_BraROA transcript:A01p050770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSPTVSSRARILLSLLKTNPFRKLETDDLNANPPTFSVFCGGTELYSFPASQSDATERVQENVRHFIGNYISVFVVIFLISLYKQPIAFLTLLASFPVKDYLDHLITKRGLDQAYPFIRRLLFFISKAGW >A01p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8076013:8079559:1 gene:A01p016330.1_BraROA transcript:A01p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEPDDNTARNGATPPPSRSREVLMDVDDLDLDGSWPLDQIPYLSSSNRMISPLFVSSSSEQPCSPLWAFSDVGGAVNHGGDDEKISSASGVPSFRLADYPLFLPYSSSPSVAENTLEKHNGFQFPSPLMSLVPPENTDNYCVIKERMTQALRYFKESTEQHVLAQVWAPVRKNGRDLLTTLGQPFVLNPNGNGLNQYRMISLTYMFSVDSESDIELGLPGRVFRQKLPEWTPNVQYYSSKEFSRLDHALHYNVRGTLALPVFNPSGESCIGVVELIMTSEKIHYAPEVDRVCKALEAVNLKSSEILDHQTTQICNESRQNALAEILEVLTVVCETHNLPLAQTWVPCQHGSVLANGGGLKKNCTSFDGSCMGQVCMSTTDMACYVVDAHVWGFRDACLEHHLQKGQGVAGRAFLNGGSCFCRDITKFCKTQYPLVHYALMFKLTTCFAISLQSSYTGDDSYILEFFLPSSMTDNQEQDSLLGSLLVTMKEHFQSLRVASGVDFGEDDDKLSFEIIQALPDEKIHSEIESIRVPFSGFKSNATETLLIPQPAVQSSAPVNGKTNVATVNGVVKEKKKTEKKRGKTEKTISLDVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSITKLKRVIESVQCTDGGLDLTSMAVSSIPWTHGQTAAHPLNSPNGSKPPELPTTNNSPNHWSSDHHSPPEPNGSPELPSNGHKRSRTGDESAGTPTSHGSCDGNQLDETKVPNQDPLFTVGGPPGLFFPPYSRDHDVSAASFAMPNRLLGTIDHFRGMPIEDAGSSKDLRNLCSTAAFDDKFPDSNWMNNDNNSNNNMYAPPKEEEVANITREPPSGSEMRTVTIKASYKEDIIRFRISSGSGIMELKDEVAKRLRLDAGTFDIKYLDDDNEWVLIACDADLQECLEIPRSSRTNVVRLLVHDVTANLGSSCESTGEL >A09p060530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50176463:50182176:1 gene:A09p060530.1_BraROA transcript:A09p060530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRH2 [Source:Projected from Arabidopsis thaliana (AT3G54870) UniProtKB/TrEMBL;Acc:A0A178V659] MTSSSNSSSAVRSSAKHAADRIQQHLPPSNPASLSSSSLNLPSKTSIAASINHHSPRPKDRPSSTASSVSAASPSTRRSGTPVHRSQSKDFDDDNGISPFLSLSLYFVYYPYDSPFALTDLMTLHSRAIVSDDHPGRVRVSVRVRPRNGEELKSDADFADLVELQPEIKRLKLRKNNWNSESYRFDEVFTDTASQKRVYEGVAKPVVEGVLSGYNGTIMAYGQTGTGKTYTVGKIGKDDAAERGIMVRALEDILASASSASSVSVEISYLQLYMETIQDLLAPEKSNISINEDGKTGEVSVPGATVVNIQDLDHFFQVLQVGETNRHAANTKMNTESSRSHAILTVYVRRAMNEKAETGTKSPKPESLGDKGIPRVRKSKLLIVDLAGSERINKSGTDGHLIEEAKFINLSLTSLGKCINALAEGSSHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSARYHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAEVERQTKLRNIEKQELEKRLRECENTFAEAEKNAARSKFLEKENARLELRMKELLKDLEMQKDQCDLMRDKATQLEMNLKNTKQQQLESSAYKEKLADASKVYEKKIADLVQRVENEQARSTNAEHQLNEMKNILSNQQKSIHDQEVGNYQYQRELAEATYTYESKIAELQKKLEDEHARSNSAEEQLRHTKSIISDRQVLSQENEETNELKKKLEELSQMYESTVDELQTVKLDYDDLLHQKEKLGEEVRDMKERLLLEEKQRKQMESELSKLKKNLRESETVVEDKRVKEDLPKGPSESGALPGSQRSHGLKKSLSGQRATMARLCEEGMILSLGVQKILHLIKSEDLEVQIQAVKVVANLAAEESNQVKIVEEGGVEALLTLVQSSQNSTILRVASGAIANLAMNEKSQDLIMNKGGAQLLAKMVTKTDDPQTLRMVAGALANLCGNALCWDDKYVFKWYMLPSFAQTEKFLKLLKEEEGIKGLLTMAQSGNIDIIAQVARGMANFAKCETREIMQGRRKGRSLLLEEGALEWLTSNSHIESASTQRHIELALCHLAQNEANANDFKRTGSVTEIVRISVESSRDDIRSLAKKILRSNPHFSS >A08p038310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24516445:24517721:1 gene:A08p038310.1_BraROA transcript:A08p038310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHLPLPLSIYKSLLLACVSIKIEKLLSNNHPDGTQRKHFNLQRPQDQQEDRRLPLSHAVLSRIESRSRLSLLSSVARFLLEAILPWNSIGAKLASLGLRTSALVLLTIEQAIKIGFRHIDDHEKEQQVSFEEYHGKHQLGDRGSQQHLNKAQILKKLFTSHHKKEGNVKADMETDISMKEDLETMLTITRNKLNDIHKVKPMQV >A05g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14654420:14656861:1 gene:A05g505300.1_BraROA transcript:A05g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVIEDRGVIHGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGISCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A04p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19901758:19902858:1 gene:A04p034320.1_BraROA transcript:A04p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATTPSTTFPGTTITSNSTFIIIDPPPPFPAPPRSIDFTPIKLIFAVPALVYSLFFTAPCSSRRRNSSSSSRRSSSSSSDDPPHATVDVTPAEKDAATIVAPDVGKKFKKETHSEEIGNECTVCKSVLADGEEIRQLSACKHEFHVSCIEEWLQTRSNCPNCRADVPVKPTEADANVNGNVNVNRSGGGNRRVSATNRDDDWRQAHRNALQHAHPTAYEDPMTIVRFIFFHEKQSNFRLIPGDLSARRYPFSPCGFNFNTCRKPSGASSGLILTMFNVKWRVALRVLCFYTCVAM >A07p043380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23741600:23743073:-1 gene:A07p043380.1_BraROA transcript:A07p043380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHKAKAVRMRNIHEKYLMAEEDVESVTQERNGSDKRARWTVEPVRGSFEAIRLKSCYGSYLTASNERFLLGATGRKVVLSKLSGIDSSAEWEPVREGSKVKLKTRHGHFLRGNGGLPPWRDSVTHDSRRSSDSFLWDVDVVETTSLALVPVATPPLHRRQSSPPMSRTSSEKSEQEGGTVVAGAKGRD >A01p055180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31303039:31304233:1 gene:A01p055180.1_BraROA transcript:A01p055180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCKVSNTVASTSLLGGSTRLLHLPKSYPIHCNMVSPSSTLGLGPLKLHNKVGSRLRPCRVKREENSQTTDVESVSVDEDTLKQDLETAVQEENYVEAARIRDRLKELQEDNKASVLSANTRFYQAFRNGDLAAMESLWSKTGKPCCVHPGAKGITGYDDVMESWEVVWMNYEFPLLIELKDVEVHVRGEVGYVTCMEFVKTKGSSSWGAQFVSNVFEKIGGEWFICIHHASPVDI >SC127g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:72001:75037:1 gene:SC127g500030.1_BraROA transcript:SC127g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKVPKTKVGPMLWPTHSPCADAVLDLGLDAVRSSFTWLLAIHMWVGTLLSLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNFPGTFPANFPVDRFAPNFKFSRLHGLGLFWLDCTHSFRISPNPGTKSVKENATKQPAFANPETVFVRKQCCN >A08p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14828844:14832013:1 gene:A08p022380.1_BraROA transcript:A08p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRF8 [Source:Projected from Arabidopsis thaliana (AT4G22130) UniProtKB/TrEMBL;Acc:A0A384KGH4] MATGDRAMFPVLVLIFSAISGFSVVHCVTDPSDVQALQVLYTSLNSPSQLTSWKNGGGDPCGESWKGITCERSAVVSIDISDSGVSGTLGYLLSDLMSLRKLDVSGNSIHDTLPYQLPPNLTSLNLARNNLSGNLPYSISAMASLTYMNVSGNSLSMSIGDIFADHKSLSTLDLSHNNFSGALPSSLSTVSQLSALYVQNNELTGTIDVLSGLPLTTLNVANNHFNGSIPKELTSIKTLIYDGNSFDNAPASPQPQRPDKKRKPSGSKKHKNSPKAEGKSSDKGLSGGVVTGIVFGSLFVAGIIALVLYLCLHKKRKKVGGSTKRASQRSLPLSGTTEVQEQRVKDLKSSPAEKVAVDRVMKNGSISRMRSPITASPYTVSSLQVATNSFSQENIIGEGSLGRVYRAEFPNGKLMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVPLAGYCTEHGQRLLVYEYVGNGNLDDMLHLNDERSMNLTWNARVKVALGTAKALEYLHEVCLPSIVHRNFKSANILLDEELNPHLSDCGLAALNPNTERRVSTQMVGSFGYSAPEFALSGIYTVKSDVYTFGVVMLELLTGRKPLDSSRTRAEQSLVRWATPQLHDIDALSKMVDPSLNGMYPAKSLSRFADIIALCIQPEPEFRPPMSEVVQQLVRLVQRASVVKRRSSDDNGFSYRTPEHDHMDFSF >A01p005580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2407507:2411275:-1 gene:A01p005580.1_BraROA transcript:A01p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP85 [Source:Projected from Arabidopsis thaliana (AT4G32910) UniProtKB/Swiss-Prot;Acc:Q8RXH2] MPGMNPKPSDGLPNGGELVPFSTKENSPAVYPLRYGLKSRPHRLSISWGCGNNLRVTVLRNPEPRDDDDGGEAGGEVVNVRLSGEDGEIGEAQWRRIAYGSVSPFALLQSRRNSISSLFKMDTSPSLYQTAWWEYVMEYSKEIKSLLSDSVSSPAPLIEDPRSVIKDYDVLLSSEHPTIYSKLEDFQKELVGLQAIEDDPRYWEVMSSALSVGWLEIVVKLLHLHGSYQLDQLGNRETENGLVEAVAVLISKMPRMRPKLEAGMFGECFAAKPDFMKTRERWQSQITKLECSAFWVQCAHHPTREGLRNMLKIMMGIADCLSAATSNWMELFVSHLLYLRPFTKGLEGMHNLAQKCVESKPVNTSHKLLRLLIGILGENTEVVLAVCSKEFGSWMVAHAMELLTAGSEEGEVLVHEEQGNLGGINREELHRLVYAQVLSSHALTWQIAPVYLSSCEKQGLGLLELLFYRQPVQDKQLLIKSLEICRLYELGYVSAKLMKISGVHHWKHGRKGSGIFWLQQARDEHSLKVISQQLFDSVGKSLSDESLKQWEGLVELLGSDSQISGGLDFLHKYRDFKRSLNLVKDGKAIDAAHEAVERLVSLMKSPSTPQRFWLPLLHDSLKLLNWPERSLLNVAQTNLMLNKLQEASIAKIRPGFIESDLSAQAVSSVRLALATNLGRAFLEEC >A02p026140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13711995:13715046:1 gene:A02p026140.1_BraROA transcript:A02p026140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLVLLALFLCLPASLSSTASKDKEHKLKFNPYRYTFIDKASTFSASSSSSFASTGQDSTYDYIVIGGGTAGCPLAATLSRNFSVLVLERGGVPFTNANVSFLRNFHIGLADTSASSASQAFVSTDGVYNARARVLGGGSCINAGFYSRADAAFVKRAGWDAKLVNESYPWVEREIVHQPKLTLWQKALRDSLLEVGVRPFNGFTYDHVSGTKVGGTIFDRFGRRHTAAELLAYANPQKLRVLIYATVQKIVFDTSGTRPRVTGVIFKDEKGNQHQALLSNRKGSEVILSSGAIGSPQMLMLSGIGPKRELERLKIPLVLENEHVGKGMADNPMNTILVPSKQPIEQSLIQTVGITKMGVYVEASTGFGQSPESIHTHYGVMSDKNELFSTIPAKQGRPEATQAYTTRNKYQLQEAFNGSFILEKLAYPISRGHLSLLNTNVDDNPSVTFNYFKHPVDLQRCVEAIRLVSKVVTSKRFLNYTQCDKQNVHKMLSLSVKANINLRPKQVNDTKSMAQFCKDTVVTIWHYHGGCLVGKVVSPDRKVLGVNRLRVIDGSTFDESPGTNPQATVMMMGRYMGVKILRERLGNKAGV >A08p002950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1627500:1628114:1 gene:A08p002950.1_BraROA transcript:A08p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEPISKKLWNIVRFLLYMIRKGVSKHKLIADFNATLKRGKNLMFHHRRRVPAADTSSSAAANAPQRQEYEFSCSNTPNYSFPFPNIGFMKKKSHNNLFACGQTPQTLDDDAAAARAVLELLNGVGDKGNVTPAYLSAALSPYFPGFGRTPLVRPLRVTDSPFPLTPENGGVANGHVDQAADDFIKKFYKNLNQQKKMIEFS >A03p064070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27870010:27871894:-1 gene:A03p064070.1_BraROA transcript:A03p064070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQTTRNLPLLLEMKQTELVTPSKHTPREVLSLSTLDSDVLNEDMYATIYVYKGNERNKNDPVTLLRKALSELLVYYYPLSGKLVRGESGRKPQLVCQGEGVPFAVATASLDLISLDYLEKLDDEVALRLVPEIEIDYDTDFCYHPLALQVTKFACGGFTIGTALTHVVCDGFGVAQIIHALTELAAGKSEPSVVPVWQRERLVGKIDNEPAKVPGGHIASLLATSPFMPTTDLVTEIINIQAVNIKRLKDSLMRECEFPEECFTTYEVLSSCIWKARSRALKLNPDGITVLAVAVGIRHVLDPPLPKGYYGNAYIDVYVELTVRQLEESSISDIAKRVKKAKKTAYDKGYIEEELSNGERLMRDDAKFKGVSDGVFFLTDWRNIGWFGSMDFGWNEPMNLRPLTQRESAMHIGMILRPSKLDPSMEGGVKVVMTLPRDAMVMFKLNMDAMNKL >A03p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8256831:8258610:-1 gene:A03p020140.1_BraROA transcript:A03p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQESVRKQNGILWRVYKHVINTTAGKTSNLVISPALINVILSFIAAYKSPGATEEQILSLLKASSTDELNAVSSQIVTTVLADSTTSGGPMISTANGFWIEKSLCCVEQSFKNLLETSYKAAFKQVDFRTKADEVSEEVNTWVEKQTNGLITGLLPGPAYKGGQAVRPPGSEPVSPVWDEEFDPSLTKDSDFHLLDGTKVPVPFMSGDFFSYHLDVYPGFKVLNLPYREGRRDGRSFSMQIYLPDEKEGLPAMLEMLASTPEDEDEDIPSYRADIGELKIPKFKFGFHFEATEALKSLGLSLPLETIFHKSCIEVDEVGSKAAAAAAVVGEGCCGPAEKKYEFVADHPFLFLVKEHGSGVVLFLGQVLDPSMH >A06g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13800799:13803461:1 gene:A06g504370.1_BraROA transcript:A06g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNSHQSTDHDEDRWTDCSSHQSTSSAESTKCNAVRILTHEEFEVKHPHPPSPFYDKIDRSVEPTIDRQSESDIDRHNTPPIDRWAPLTYRVWLPSIDNDYINALRPPPKPLANPPEPKPNSFNSSPEPIHENQESEGRRLRKRKEKIPKNFKREANEKEMDGFTKRVLRIPIEKDFDEAYFTHRLWMFFRETKSTNRSTKQSTRNPSTPPTFPSIDSNESTVTDDRNNTSLDVDRPVDHFASPNHCYPHFTFQPLSKRGRDDYSMGSWADIGFHESFAVDTVITSPNEEHTETYDEDYWKERAIEMSLQDERLETHKFTNTFSTSFDEVHCTSVDTHTRPAKQPLTSIDTHTGTSIDIRAATKIQEQENIPSPTRFIDTYIKRFTPLKSPPHTRADTQAERMNTLPSTSTGKSMKSNYLKNTSSAEITLPSIDGSVSTSIDTTLNPNLFISKLNDNANIDYGFLTPDEFGIFRDPDGNARAIDGRILQVSREDITDILQMANGPDILFSQQRSTPDVVQTDPNNHVGVAATEINPDLSRQPKGQASIDGTTETSIDGTTETSIDRVTLMSNDRDDSTSIDRCYEFGNRAFDMYGARKFTWERRDEYGVYRNECGHARGVAGEMIPIKKDDIRKILERASLFEESHICLPEHATSLTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKLLVEDTHQPLDRGYK >A09p068800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53797239:53799884:1 gene:A09p068800.1_BraROA transcript:A09p068800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKEEEKRLEPMFPRLHVKDAEKGGPRAPPRNKMALYEQLSIPSQRFTSSDRVGGSLPRNTSPLLPPGPSSNQGTDNFVTQMPLMENVRTSPAQHDHQRKIAREEDDFAVPVFINSRRPGRGKSGLKRGVGLAANSATEDMDLASTSDRVDDGRINNGVESHMEASEEEGHGNLNDEYCTSGGGGYTSLQQQINNEEEASDDNSMVDSVSSLDVSPDEVVGVLGQKRFWRARKAIAKEGHSYIVQGACRDSLWILYCVFLASACWNMSPVSVCQQRVFAVQLFELHRLIKVQRLIAASPDVLVDDMSYVGKVAAKSYPVKKLHLPSGFLVKPPLPQVIKHRSSDYSEKTDQHKMECSAENVVGRLPNQGQGQGHHHQQPSNYMMPFATNQPNANGCYYPPQPPTPSGGNQQWLIPVMSPSEGLIYKPHPGPGPGHTGPVCGGYYGHFMPAPMFMGGGDQPPPFHPGMGFPSHGNGYFPPYGGIMMNPYYSGQQQQQQPNEQMNNNIQQQSSVNEATSQQQQQPTKSYPRAKKSRQEGISGKKKSFQPFSAVDDDDDNDKINNAAPPTEEMMTTTTTTTTTVTQTTRDGAGVTRVIKVVPHNANLASENAARIFRSIQEERKQYYP >A05p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1928984:1930336:1 gene:A05p004950.1_BraROA transcript:A05p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEEEESPNSMCILPELLEEIFIRLPLKSILRFRTVSKHCRSLLESRRFSEKRMRLQKNRRILAAYNCDCGDRPRLLTESRFEGDEEIVYLHCLASRPSLSCDGLLCFPEQDWIIVLNPSTRQLRRFPSGLNHKCRFGFGLWSSFSPENWAIGFGRDKVRGSFKVVRLCFSFREIGQEEPVLECGVLDVQTGVWSKLSPPPHVVNPGSKSVCVNGSIYWLHVDVYVEKHYKILALDLDKQEFNKFSVPPTRATKESRLVNLEERLAFVKTNVLPIWRIEIWSTDTYQKRWSKTFSIHLKLDVVSWPKRRRWFTPVAVSKQGNLVFYDNQNKLFKYYPRTNETRCLSVDTCVISPYMENLVSLPLKPSHPYPHVSVETRMSRCRLFSKESSSWIFKALQRNEFRILEILFTSLVVAGYICSPRK >A03p001400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:688569:689831:1 gene:A03p001400.1_BraROA transcript:A03p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEYGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGDSNNLVSFPNHNTQFMSHALGFHTQNAMNITATTSNIHDVDSAHGLLHHQSLSISNNYNSSELELMTSSSEGNDSDSRRKKRSWKAKIKEFIDVNMKRLIERQDIWLEKLTKVIEDKEEQRMMKEEEWRKSEAARVEQVHLFWAKERERMEARDVAVLEALQYLAGKTLIKPSCSSPEERINGNNEIQNNSENVSLEGSGSCWNEQEIIKLMEIRTSMDTAFQEILGECSDEFLWEEVSAKLIQLGFDHKSALSCKEKWECISNGMRKEKKQISKKRKDNSSSCGVYYPRTEENAIYNNQESGYDDNDQHHHQMNEQDNVVSSTSNAAAGDLRGAMAASTNCFPFVMGDGDQNLWESYSLRLSKGENQ >A07g502810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5764153:5764518:-1 gene:A07g502810.1_BraROA transcript:A07g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSSLLSPTGRLRSEAVAATVSVHFPMNTQRLDLPCSSSFSSRKHLHSTTATNRLSARPVDVLLKQNINFPPLATTRRNTEGFSWNNDNNIGKRSLNSGGGVLSGQSEEPKKPNWR >A07p051460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27313985:27322259:-1 gene:A07p051460.1_BraROA transcript:A07p051460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FORGETTER 1 [Source:Projected from Arabidopsis thaliana (AT1G79350) UniProtKB/Swiss-Prot;Acc:F4IF36] MTQPPPQPPPPLPAPPHSGPPRGDVQVRCAGCRVILRVKTGVVEFSCPTCQLPQMLPPELLSRARTQPPPPIQSLPPPPPQQQLKPMNLQQQQQPQPPRPPVPAHGIDPTKMQLPCANCQAILNVPHGLARFSCPQCHVELAVDVSKLNRSLTAPQSQSAPPSVPPPPPPEEVNEEAIEVEREEDEGGTAGETFMDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLRIKEELEESKALSCLQIETLVYACQRHLQHLADGSRAGFFVGDGAGVGKGRTIAGLIWENWKHGRRKALWISVGSDLKYDARRDLDDVGATCVGVNPLNKLPYSKLDSKNVGVKDGVVFLTYNSLIASSEKGRSRLQQLVQWCGPDFDGLLIFDECHKAKNLVPEAGSQPTRIGQAVVDIQDKIPQARVLYCSATGASEPRNMGYMVRLGLWGAGTSFSDFSKFLGALDKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEIVEAPLEAGMEAMYNKSAEFWAELRIEMLSANAFLPNEKPNSSQLWRLYWSSHQRFFRHLCMSAKVPVTVRLAKKALLANKCVVIGLQSTGEARTEEAVTKYGVELDDFVSGPRELLLKFVEENYPLPEQPEPLSEDDSVKELHRKRHSASPGVSVRGRVRKMAKWKQDSDDESYLESEAESADDSNDSDDEFQICQICSGEDERKKLLHCSECDKLFHPDCVVPPVTDLPSEAWICYSCKEKTEEYIQARRVYIAELQKRYEAALERKLKILEIIRSLNLPNNPLDDIVDQLGGPDKVAEITGRRGMLVRASNGKGVTYQARNTKDITMEMVNMHEKQLFMEGKKFVAIISEAGSAGVSLQADRRAANQRRRVHLTLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGERRFASIVAKRLETLGALTQGDRRKVMHLSKPFPSCLAGPSLSAYNYDSNFGKKSLMVMYRGIMEQEKLPVVPPGCSTDEPETIKEFLTKARAALIAVGIVRDSVLANGKDTGKLSGRIIDSDMHDVGRFLNRLLGLPPDIQNRLFELFTSILDVLVHNARIEGTFDSGIVDMKANSVELLTTPKTVHVDQMSGASTMLFTFTLDRGITWESASSMLEGKRRDGLGSASDGFYESKREWLGRRHFILAFESTASGLFKTVRPAVGESIREMSLSELKTKYRKLSSLEKARNGWEDEYEVSSKQCMHGPKCKLGEYCTVGRRIQEVNVVGGLILPIWGTIEKALSKQVIPLQNFSNALFGFGANLTVLFVQARQSHKRIRVIRIETTTDNQRIVGLSIPNAAVETVLQDLAWVQEIDD >A02g510460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27637454:27642194:-1 gene:A02g510460.1_BraROA transcript:A02g510460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKSKKKVHVEDEETPPQYEVGGPSSPDLRLPPRLFATDRFPTRRLNIYSSPDLLPFIRNVLRDTPEFETIRRSCFGKLFDLPARQCPVSCKLIHAFLTRQLVCLPKNTLWSAFGGSPFRYGLEEFGTVTGLPCGSYPERYNPNTGKAIVAGKDRVWKRLFGKKKFVTIADLCRMLETDKDMDGWKNIRIALIIIVDGVLIAHKQEARPTPRYVRMVENLKTFLAFPWGRESFLKTISCMKPPNFVPKKCEDHVATLVKTLKQRSYRLQGFPLSLQLVAFRAIPLLLDYIPAPLNNLTVMDLEDGTLPQRKSINAIHIRRVEFDPNLVVTPIIPIESQPQPGWRLFPDDAKDDSVLYLEQLIAGQHSFNKHMWHGGVTSEPIIKKPKIRVKKKAATIKQSLQTSQPSARKQRRISSYFTRSTTQSFTNVQLTEMVIQLSTQVKQLKREMKRRKKQSHARPSSFNKLLSRRKQSKTPPHTPEPSHNQDDAPMETDDLPQTTSPIISQYETQLHRDSADDHLASSPVTDHGIHTESVHVSPNHNNTSAHPSPVHNVHPSQLSPVYATPPLSHLVSTFHLTTQTHVSTHLLTTTITADKFPSQVITHPNDDTNDYDEPPRTPVSKQPPWDELNSVVYDKRFTIPSIQTHQSSIHLFLGVSYYSHRSLRQILTSPTKSNDTLPGFAVHATTVNAFTAIASSESPPSLPSKVTESPPSLPFKDQNAHGVIDLTATKDVESHVPSLEENHLANELFKSPLIHAVTLISPLPGLEWDLFYNTVSTKTDVYHSTPSSFDFSNKFLLDLAKPKQWTSTRHMEVLIHMLGARHSTHLLTENSAFTTPLLPAYITDSWPDFAPCTKRSTFLWDERIVDIVLHQGMKWMEDVHTIYTPMLWDRKHWVGLAINLDMGYIEILDPLPALYADTRVERFMLPLVTILPYLVRKVAMCELTQFRRVKKFVWRRLPDLYNNSRSGDCGPVSMKFLEMHALGDPAPHMSGITDQAVDDFRKQYALDIYKTITCFLLGLPCVKGSQSLFISTKPRLATSSSTSSSRSQTHTTRGIPSKCWCGSNLTTFAAQTKENLYRRFYRCEIAIKRQSEHHLFKWIDEAIIDEIRMVDKKVTHLQSDFDSFKTTTTMRLHEHGKQIDESLLEMKKIFHDQTILLEELRNKSTLVLDAKSHSPLLNVAAAAIALGTLA >A09p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40352336:40355178:1 gene:A09p046170.1_BraROA transcript:A09p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVCSSGFKDDGHDHDDKKLTHHHDKKLRSTVDDDDDKSRGFSGKLKSMTRRKTSDPYYSDHYGSSRRKSSKSNDNHVNFSGELGPVPPLRSDSTKFMQRNSFLGRAGVMGLEKAVGVIDTLGSSMSGMNPVNGFHSGVLSSSRGRKVTILAFEVANTIAKGAALLQSLSEESLKLMKKDMLRSKGVKKLVSTDTIELQILAASDKREELDLFSGEVIRFGNMCKDLQWHNLDRYFNKLDTENSEHIVLKDEAETAMHELVTLARFTSELYHEWQALDRFEQDYRRKLAEVESLNLSRRGESIVILQNELKQQRKLVRSLKKKSLCYYNNYLLLLKIIEKLVDVVCYIRQTIVEVFGNNGLKANEGKQGRERLGEAGLSLHYANLIQQIDSIASRPSSLPSNVRDTLYNALPVYVKTALRPRLQSLDPDEELSVSEIKAEMEKSLKWLVPFATNTTKAHQGFGWVGEWANSKIEFGKSKSENNGNPTRLQTLHHADKPKVDSYVLELVVWLHRLIKSSKKRVHGVKLPETTNHGSKPNNITISNTQLSLSPDFTYKKQLSLEDRMLLDSVKSIRFGPNLSKSQEFVGLKKKKKNKKGIKIWALSRSTGNSPKVNLSGTNSSSDLDVLDGLNFAFRQAV >A10p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19474373:19481484:-1 gene:A10p033210.1_BraROA transcript:A10p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTKVSSIVINLTGANNEIGMVVCDGFSICDLIAEERETDPKKYWPFPEESASLVDKQSDSLPHWWPPSLGRTRNIDADGTNESGLPSNSTSISSTNGLNGSSSIIPSQSELNSRTAIDQERQRNIDVAGSAVVVNEDINCERSQVDDQRDNAVVETDDVNCERPQNDGQSDNAVVENENVNCERSQQDDQRDNDVAENEDVNCERSQKDDQRDNAAEENEDVNCERSQQNDQTVTRSSKKVHPPPFRTYALRNKTTKNYAVVEPIGNKRAEKQPNVEQLAVTEIAACAEDTQPEESESRSLFIGGAPSTRTRNVRTRRLAEVNGKRTVQKRKCRPGSSTTLSRNISTAGAASGNASKTVESAHGTESTESEFDKDPIKGKKKNVRFQVEDELDTEPEPEVDDEKDEDFYPWARRTKKVKIAKKKRATTAMNPSDKASSSVQPSLNETETVPSPPRDQGTEERVGTSLDDELASDGYVRKTNAPPVNERQENNRMRSSYVPVFGNPSIPNTGRGLGTGPGAIHFGSSSSNRTNQRNTSPSTQVAAQSTVPQKDASIPNTGRGLGTRSGATYFGGGSSSNNQTPPSTVAAAQSTVPQKDDSIPNTGRGLGTGPGAVYFGGGGSSNSNNRRSTPSSTQVAVPQKDASIPNDNSRRSTPPSTVVAAQSSVPQKDASVPNTSRLGSGPGAIYFGSSNNSSSQRSTPPSTVVAAQSSVPQKDASVLNTSRLGSGPGAIYFGTSNNNNTPPSTVVAARSSVPQDASVSDRKGKGVMVQSHDERENTQEVPPKTPFLFDLNETYEDVTSLEDNTNAAREEDRVPIRSNQNSVEIFTPRQNSYMASSSVMPPPVQESRRRSSILFPGHNPEWMGNVPMASPYHHPSPSTYQPMRVPPHHYGAPSPPVWASSMIPPQYHQHHFSPPAPFNMDYPSMFAQAPSSEPRNLSYYGANLLNQAMMSRMDPRFRSNTPVDQHGNFGFAPRHVHPVNQFNQIVELQCSHERSVYSRTISNQGRFQRRRNAASFSNASTSYAANSSGSSSCVVSRYPGEISEIEPGNIYLVHEEDLHVPERISEFEQADEEQAGPITESTRRKRAIKRRLVLQKPVKSIFPVSPVLEFLNFHISYPFFIIMFGNA >A02p028360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14342993:14345704:-1 gene:A02p028360.1_BraROA transcript:A02p028360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRDMRRGGGANRSSKKKSSGSVVSAKHKKGTFKKLSLADDDERMNRKKQEMMMCYDFPASDLENEHVSLKKKKMKMKSSKLLKKSLKESNGVVHPHASVPRKLRSAMKKRNLESVSNLSSPSKRLNRSITGIESLNMDLVNKENQETDAKAIVSKPMMISKDEEEVAETLFGLADMFTNKTSDALLSDDDKETTKVDSVLVVETGFTTKDESLEPGDSVLSSDKTKQLDEIPLQQSSSVNVTDAPARAMETKAATSDIEYKSNGLGLWPGLSSARPSSTKLPPWMGQALSSPTKNASPLSVRPRKLKRCASHIYICQLIKALQTRESNQTEQRSSETPQYRLPDPVTTTSDFESNVAPSIRCQNPHLIDLYKTCNPKPVQEDNTQLSLELYGPKTTQKQEQSYDFLSLSSNGASQSHPPVPNSFPQYPPISAAYNSHLSPALPSHQMQQMSPYLASRYQTAYNANHHHQQQLQKRMWAAAQYRPFTSVNTVQPLSNQYSKPNLSLNLASIQPLQVASSPRYNNNVFQQQRRLMSAAAAMSMNHHHSNSRSRTVMNRQEHHFPLIYEDTRTPLQLLCNEQT >A06p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27783188:27785089:-1 gene:A06p052490.1_BraROA transcript:A06p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSRFLVFMSLFSGFVSGFTLQNLPVTSFEESYTQLFGDKNLFVNKDGKSVRLTLDERTGSGFVSNDLYLHGLFSASIKLPSDYSAGVVVAFYMSNGDMYEKNHDEIDFEFLGNIRGKEWRIQTNIYGNGSTHLGREERSNLWFDPTEDYHQYSILWSDSHIIFFVDNVPIREVKRTASMGGHFPSKPMSLYTTIWDGSKWATNGGKYGVNYKYAPYVAQLTDLVLHGCAVDPIEQFPKCDDGADEDIRAAQEISPSQRVKMGVFRRKHMTYSYCYDRNRYKVALPECVVIPAEAQRLRVHDPVTFGGIPRRHRNGKHRSRRSRVVGTESI >A09g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13179853:13181148:1 gene:A09g504250.1_BraROA transcript:A09g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTKPSDVALFKSLEQAISGSLTLSSFSSLFSHSPSSPNHHHDHHHRPPIRQPYPRCLRPPRHHRSSPRLLQLSEESDESEMSSGDEEIELNFDFNGVRSGLALRITAPNQLRTEDIVAVYYGRMTEILVRRASFPDEFTRLTAIMWINEIVLKHAYYKDQACMSQATYSAMATLVNVQPLFWLELEDR >A01p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1687726:1690688:-1 gene:A01p003870.1_BraROA transcript:A01p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKFKPADDVKPEVEEAEEVEEQRDGGEVAEEEEEEKEKSFEELGLDPRLIRALSKKGIEKPTPIQQTAIPYILEGKDVVARAKTGSGKTLAYLLPLLQKLFSDSGRKKKPAPCAFVLVPSRELCQQVYTEVSWLIELCRVQIKAVQLTSSMPVSDMRNALAGLPEILVTTPACIPKCFADGVLDPAAISESLEILVLDEADLLLSYGYEDNLRSVTSIVPRRCQCLLMSATTSSDVEKLKKLILHNPVVLTLQEGSDKEEPVPSNVQQFWISCSAQDKLLHILALLKLEVVQKKVLIFINTIDMGFKLKLFLEKFGIKTAILNGELPQNSRLHILEQFNAGLFDYLIATDDNNQTKEKEEVKGDDNKDNKKNKRRFKPKLDAEFGVVRGIDFKKVHTVINYDMPQSVTGYIHRIGRTGRAYSSGSSVSLVSPGEMEGFEEIKSFLAGEEDKDSDIITPFPLLTENAVESLRYRAEDVAKSVTKISIRESRAQDLRNEIINSEKLKSHFEANPRDLDLLKHDKLLSKTAPSPHLRDIPEYLVDPKTQEASKMVKLARAAMGNSRRSGGGNNTKKKRSRKGGDPLKTFNANGSKRGRGGGGVGQKKDGKGSSDGSANKKQKTV >A08p044810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24696374:24699240:-1 gene:A08p044810.1_BraROA transcript:A08p044810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVNGEGTDGAREKQKIKVYTRKGKGQRKLSPFFAFDGDSREKPEKDSENNRQSLAQESEKSPVSSVAKDSAEAPSEKVIDKPLVEALTEAEPQDDTSLSLAPTDKSVIQPVSDSLGQEDANAFTGDKSVEVPSQSNTAQDDVNTVVVDENSIKELPKMIVDKKAIEASAEDADTVVVVDKNPIEVSSDEDVHVVDADNLIKESQRDAQQPAGVASDSAQSIHATASESMPTEEDVDGRIKIHVPSKSKQEKEEIRKKLEDQLNVVRDLVRKIEDKEGEIGACNDSRLLASADINNGGGRILPGLASDGLPREVIRTPRHLNQLSVSVLENAHGVSEHVEKEKRTPKANQFYRTSEFLLGDKLPPAESNKKSKSSAKKHGGEAGHGFGAGSKVFKNCSALLERLMKHKHGWVFNAPVDVKGLGLHDYFAIIEHPMDLGTVKSALANNLYKSPREFAEDVRLTFHNAMTYNPPGQDVHIMAEVLLQMFEERWAVIEADYNRQLRFAAGYEMNLPASTMRSRLGPTMPPPPISVSNTMDWSGLPSDLQHPKPTTTPGRTPTSARTPALKKPKANEPNKRDMTYEEKQKLSGQLQNLPPEKLDAIVQIVNKRNTAVKLRDEEIEVDIDSVDPETLWELDRFVTNYKKGLSKKKRRAELAIQAKAEAERNSQQQMAPAPVAHDFSREGGNTGNFAGYFLKRRSLHKYLLKWRSKTMRRADQVVQAVLPVVPALLVIRTAIALRHLDHDPTYRLRLCGGEKYVRQFKKMEGSSRRAFGRLRIMM >A08p041760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23542473:23543202:-1 gene:A08p041760.1_BraROA transcript:A08p041760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSCATYTSVYWRKTGAGNGPYDQVPEYGIRVDYEFPVTIKCLLCQETTKGGGVCGFDTRRTQEFLCLCKQGNATTYYPNLIKHKRIGAVAGTVTAVSAARAIGFGGGIFWYLRSESQFQSSYHLWSSKQ >SC236g500050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:32051:32281:1 gene:SC236g500050.1_BraROA transcript:SC236g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTSHPGIPTNATLASLNQNGISNLPPARSEAQLELQVHLTTIVLTDENDYYECEIAGKVRKKYSTGAVYTYLS >A08p029510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18269189:18270911:-1 gene:A08p029510.1_BraROA transcript:A08p029510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G35650) UniProtKB/Swiss-Prot;Acc:O81796] MAKRSVSILTRLLTNPSSPFTAPTRSITYMPRPGDGAPRTVTLIPGDGIGPLVTGAVEQVFEAMHAPVHFERYEVRGHMRKVPEEVMESVKRNKVCLKGGLATPVGGGVSSLNMQLRKELDIFASLVNCINVPGLVTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVTPNLYGNLIANTAAGIAGGTGVMPGGNVGAEHAIFEQGASAGNVGNDKIVEQKKANPMALLLSSAMMLKHLQFPTFADRLEIAVKQVIQEGKWRTKDLGGDCTTQEVVDAVIKALD >A09p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21233790:21235786:-1 gene:A09p035380.1_BraROA transcript:A09p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYLNKLAAKDKILKEHEEMGKMGQGSIQDCYEISVLDLFGRTDSYVSWRIYKELLHGNAGKRGVFPNSTLATALEALVKLSSTLIGVKVTRPLPDLRNLCLCVTAICTFQKSYPRRPPLAIYPTSNTITSVKFKNASQTLGLLPSFIPSCSSNLIFSVKIPFSDVFNGSQQIFPASILRHHQISYFTVLGSAPSPRNCYVLEANRLLPLWSFGMTR >SC122g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:546015:551239:-1 gene:SC122g500260.1_BraROA transcript:SC122g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPASLKLGKLPWLTLESKPRPRAVWIRGEQSG >A07p051540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27347442:27349028:-1 gene:A07p051540.1_BraROA transcript:A07p051540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSSMNGSYENRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEYGDHSTKEGSRASAMDIQRNVASSSGMISRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILERACQTLAGENMAAAVAAGGGYKTNLGSTSLSAAVGPQPLPLSFPPFQDLNIYGNTTEQVLDQHNFHHQNIENHYTANNAADTNIYLGKKRPNPSYGNDVRKELLMWSDQDHDLSGNQAIDDEHRIQIQMATHVSTDLDSLSEIYERKSGLSGDEGNNGGKFLERPSPRRSPLSPMMNPNSGLIQGRNSPFG >A04p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1007541:1009290:1 gene:A04p002080.1_BraROA transcript:A04p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYTKHPSPPRSTTAAATPPSVSPAGGGFFSKPVLLGMFLLALPLFPSQAPDFVGETVLTKLWELIHLLFVGIAVAYGLFSRRNVGESNVESRMSCVDESSLLPLFSTREEEYGEINQVQAWNSRYSQGRSKVVVVARPAYGLDGHVVHQSLGLPVRSLRSALEEEKEKAEEESLADEMMAMGDDETRFTTRSSVSSSSSRTSFESNDQKNRYSPSRESLNSNVEEKSLQGSSRCSSPSLPPSPSPEIVTDETHRRVLHSRHYSDGSLLEEGVRRGFVDELEGPGSKSLKLSDESSWKGKSKSRRSYPPDLTLSPPVDGADRSTTRRRYLQQKSDSHLFEKGLESDHNKMSVKKVRSHDSLEFQPRNDKALRRAMRSPRGASDTLVVKDNKRNSEDDDDSEDYDLPGENKEVKSKSPRCEPQSWRGSGKVSSIGNQSNASNEHYEVDKKADEFIAKFREQIRLQKLHSGEQRRGGGTGLIRNRHFR >A10p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8367189:8367631:-1 gene:A10p008620.1_BraROA transcript:A10p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGEVIACHTIEVWNEQIKAANDSKKLVVIDFTATWCPPCRFIAPVFVDMAKKFLNVVFFKIDVDELQSVAKEFKVEAMPTFLFMREGEIVDRVVGARKEEIHQTLMKHGGVASA >A02p014190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6218925:6221820:1 gene:A02p014190.1_BraROA transcript:A02p014190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTNIYIHSEACHLPVNSKHVRNLFNFCLRVCLKSDLQLSKNWRFDSTVGPEDIDPSSFQGVTCADFPKKKGLSVSERRELIHALSKQPEEASELLNSWSRDEIMKIICAEMGKERKYTGLAKPKLIETLLKLVSRPLGETSRPDRKNSKKKRKTTSYIICCENVACRAALGTEDTFCRKCSCCVCQNYDEDKDPSLWITCEACGVSCHLECALEQERYGIGCDDDEVGRALDGRFYCVFCGKDNDLLGCWRQQVKVAKETQRVDVLCYRVSLGQKLLRGTRKYRYLLELMDEAVKKLEGDVGPLSGCAMKMARGIVQSLSSGTQVQKLCSLAMEALDKMVSPPSESVSGQGDKLSVRVEEVQARSVTVILDSEEPSSSQNQTTGVEGDEDEAGNMQSLLINSSSGLCSNPSLPEDEFNNALIRCCKENGDNDNIEVESELEDERLIKRKVNEPEGRELLVVTPCRRDAYKGKQEGNKRSKSRTATVNEKPETNVANGVGGDNDLVHVVKTIRCLEQEGHIDKSFRKRFLTWYSLSATHREVRVVKLFIETFMDDLPSLGQQLVHTFTECTLNKRSSTTGVVPAGICLKLWH >A06p007620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2636420:2638853:1 gene:A06p007620.1_BraROA transcript:A06p007620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09850 [Source:Projected from Arabidopsis thaliana (AT1G09850) UniProtKB/TrEMBL;Acc:Q0WVJ5] MSPSSSSSFVSLTFFSLLLVSSLSFSSSSSDDISELFDAWCQRHGKTYASEEERQHRIRIFKDNHDFVTRHNNIANSTYSLSLNAFADLTHHEFKASRLGGFSASSAPLLVAKGQSVENVRGKVPDSVDWRKKGAVTNVKDQGSCGACWSFSATGAMEGINQIVTGDLISLSEQELIDCDKSYNDGCNGGLMDYAFQFVIKNHGIDTEKDYPYQERDGTCKKDKLKRKVVTIDSYAGVKSNDEKALLEAVAAQPVSVGICGSERAFQLYSKGIFSGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGKSWGMDGFMHMQRNTGNSEGVCGINMLASYPIKTHPNPPPPSPPGPTKCNLFTYCSADETCCCARNLFGLCFSWKCCELESAVCCKDGRHCCPRDYPVCDTTRSLCLKKTGNFTEIKPFWKKNASSKLGKFEEWVM >A03p032100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13586692:13587101:-1 gene:A03p032100.1_BraROA transcript:A03p032100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVKDILERVKLISLNQGGAYFNTQGQDSSVILRVKEDHDGAGASGSSVSAINLAVFELRLRDGGTADLLLFRYYLCSVEKTSCIARF >A02p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6670305:6671732:1 gene:A02p015010.1_BraROA transcript:A02p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISFLPDDFLLQILSLLPTKDVLTTSVLSKRWQYLWKLVHKLEYTYCDRNADHGRFVSFVDRSLLLSTAPVLESLQFNIRRKCSDIDIGFWIRTAVKRGLRELSFDYWPHNTINEPSKLPQSLFTCGTLVVLKLTDVSLVDVTFPVCFQLLKTLQLSCVIYLDDETPQKLLSSCKILQELDVNRVKNDNVTVFSIKVPSLQKLIFDGKWGTHDGISEFVMNAPSLKFLEINDCSNECMVEKMPELVAANLEAIYWNTDNILSSFTSVKRLSLCLGEQSPFPTGEILHQLVDLEFCTCETEWDLLMYFLKHSPKLRALRLNDRSGGIFGARIDHWDEPSSIPESLMFSLETFEWTTYRGWQVEKELATFILKHSRRLKTATISPKPTSLENKHRMLTELALLSRGSTTCQLVFG >A01p059560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34148520:34153058:-1 gene:A01p059560.1_BraROA transcript:A01p059560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMIMPLVKITSIHVAEPPKQKLREVRTNEPRSEGDVNQAISMVSYYASSSEPAQIRGKTVYIQYSNRHEIVNNQSPGEDGFLKWSYMRLYMHPLVLFLCQLLLWLSVFLLWLVPYFQASYSSFLSFLVTFSKLFNSFLRVIMSRREVTYNVVSRQENKNTLVNFELSRRIHNPVPSFSFSFKHQVDSQLKVLLVLQEGKILEDEDFCSQLDQEDGAVHFLDAKESEEEEDTIDFVDVVNVENNLEFELQTMPMDQENIFPLVNNEEEEEEEEEEEEEEEEEEDIVTSNVGNYLSSLEASDDINSLNSDGDQPSPFSISSLDSVLQESIVIENPTHRDEDDETNEVYNTYCQRMRWYDILSRDRTYGLSVMMNQETASTLSLWGITAEKRLKQSIEKDLELVYIAQSCLSWEALQHQYLTVRDRMKASDSKGGSYEDDISKEFQKFQVLLERFLEDERCEGKRVLSFVQRRFELMSFFQVPRLSGYKRKGPQEGGRESNEKQVLKAIERCITVFYDFVKADIKRPLVWERLKISFVNSPLMEVEDPRDIMLFLNLKNLLQKKEQSLKEAQGKKKKKIWFKKKKKSPYMKEDENSMLVTFIKIELKLVSRVLQMSLVSSSHLKWCQHKLNNIDFNGGKFSRTSSPVLFPS >A09g512350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36167347:36167894:-1 gene:A09g512350.1_BraROA transcript:A09g512350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSILLSDLKFDASPPLFKCGFSDFCLSSFERESLKPFESFRSRFLETLKQGFSNVSMEILSLLFLRSSVEMKSVPLLRRKRAKEFAGETSRHRHFSLSEPEPGLRRPESPRQNTSCCTYQNLPSTNHQVRRHQ >A05p038200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21749824:21753127:1 gene:A05p038200.1_BraROA transcript:A05p038200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSVAAGSCSKENQIIYKDWFEFADSDGDGRITGSDAIKFFSMSNLPRPELKQVWAIADAKRQGYLGFKEFIVAMQLVSLAQTGHEISHEVLHSDGSPAADASLTAHWFSSKSSKKISLSSVTSIIDGLKRLYIQKLKPLEVAYQFNDFVSPLLTNSDFDAKPMVMLLGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYEFTGVTSWFASKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFSDKPINEASTGPIGRELFEMEQEDLLSDLKNIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAIMGKAKAQQKLLDNLEDVFGKVQREHHLPKGDFPNVDQFREVLSGYNFDKFEKLKPKMLQTVDDMLGYDIPELLKNFKNPYD >A02p013220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5793881:5795737:1 gene:A02p013220.1_BraROA transcript:A02p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGEEKLLAVARHIAKTLGHNESMADDILQIFSTFDGRFSREKLSEGQPGEDGSTVSALERALNSLDSQISRFVAADQPIWSDPADSAAFLDAIDELVNITREWSHLANEKPVGACLARADEMLQQAMFRVEEEFRSLMERGAESFAVSNHRLDSDEDEEEVEGDDDYDGDQIPVAKPLTDYDLIIDALPSATINDLHEMAKRMLAAGFGKSCSHVYSACRREFLEESLSRLGLKKLSIEEVHKMTWQELEDEIERWIKSANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSAVQILNFADAVAIGSRSPERLFKVLDVFETMRDLMGEFESVFSDQFCLVLKNEAVTIWKRLGEAVRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFEESNGVPSKDSTLLTVQMSWIMELLESNLEVKSKVYKDPALCYLFLMNNGRYIVQKVKDGDLGLLLGEDWIRKHNAKVRQYHSSYQRSAWNKMLGLLKVDNTAAGMNGLGKTMKEKLKQFNVQFDETCKVHSTWVVFDEQLREELRISLARLLLPAYGNFIGRFQNLGDLGKGKNADKYIKYGVEDIEACINELFKGSTTARK >A03p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3018440:3019203:1 gene:A03p007250.1_BraROA transcript:A03p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGQAEIAETSKGIQKRGLMSRRIAIFEFILRIIAFFNTISSAILMATTNETLPFFTQFIRFHADYNDLPALTFFVVANAVVSGYLILTLPLAFVHIVKNKTENSRILLIILDVAMVGLLASGAASAAAIVYLAHNGNNNTNWFAVCQQFNSFCERISGSLIGSFIAVVLLILLIILSAIAISRRH >A08p012050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11302969:11303505:-1 gene:A08p012050.1_BraROA transcript:A08p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELPKRIIKEGEEIQVTQINNNCRMIFKLYENGLGYSARVIHSFLCRELVTYKNHELWCAFPRRPLQFSLVEYHAVTGLQCHTSLSRKELVDFEDDGGFCSIVVRRKEGVTILDLWKNHHEAVKKWSNADRIKFSADFGTFHLTNFHFYS >A04g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1904684:1906116:-1 gene:A04g500650.1_BraROA transcript:A04g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGLRITVRSLLPSRSTNEFLEIMRSFYHIPNTVEFQVPHQGKRANSPTEGYFTCYEAFVVRCRLWFSIPEIIVRALDRFEVAISQQNPLAIQHHIGIPIKSYEHGLSLSVDHFEALLRLQLVKDQTSIGWSLGALCRWLRGSSSTSTRGKNDASVEESCIPLFQRLPNDRPFINPLALFPEDIIEMRELLRNGPFFWTSFMPKRVRMALRFVRPGPVEMGSDSEPDDQSPDAASTVATGWNSSKGKDIDLGYIEFLMDDSMLPGWDPDLAYGDGSGTSEVSIPDFDDFFAGLPSGIAPPPMNDSGRPKVIAEGFLFPLGLNLLGSAIEAIHREALVYRFKAEKAERDLARVQGEMLERDVQLARDHARAICKAERKDKREIVEVMKTRASQFQVEYGNLNDAFTSVGDFRECCGSVRSLWRMRADDYVFEKEMSLRKSGMNEHAHAEALIPSIDGRIQ >A03p014310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5692398:5694484:1 gene:A03p014310.1_BraROA transcript:A03p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTPEGSLTSSSNTISVNTLADQVSSTLSLADPSTKKTSEQGESGKSSTCRPSTSSDISDESTCSSFSSSVNKPHKANDVRWEAIQAVRTKHGGLGLNHFRLLKRLGCGDIGTVHLAELNGTRCYFAMKVMDKTALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKRFTEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSLSIVRSSTNLGSEGLSKSSVSCSQQPACIQQPSCISMAPTSCFGPRFFSSKSKKDKKPKTDNGNHQVTPLPELVAETSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPDSPVVSFAARDLIRSLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALVRCASPPEIPKPVEIEPVNCTPPAVPAAASTSVRSDQSNYLEFDFF >A02g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2629913:2630458:1 gene:A02g500840.1_BraROA transcript:A02g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTRVPSSSSSPTTISTAAATIPESETPLTSWNSANPNPNPKRSSIPARGDINFDGLMEEVVEEIEAASSSGDPHSQSLMGFVYGTGMMREKSKANPSFAITLLQKMHDKAVKLYAELAETAVNSFLISKDSPVVEPTRIGTR >A08g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:197578:200346:1 gene:A08g500080.1_BraROA transcript:A08g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAIRVNHDDTAARSVDSGTGTEEPLGGSEGGRHLVVDREHSAASDGDGGVERPARGSLPAKVGPVGRRSSNSEAAGHSSRRAVPAIERLTPFRFRCDGTIEELPDLAPSFYRSRGIDGQDWDDVDPTHSTLEGVSLLMEASRASGVTFMILQSDQRPWSPPVGYCCVYESFFGEDSRLWFPIPHLITSYCFRRGIAISQLMNGAVRIAVALMVMAAEIDVSLTVRIFEELTQVQPKPNGLYSVHMRSGLNVFTSPLIKTKRWQRSYFYVKADDAGFVDPLEVDRRVLWSSRIVGHPNTFGLWDAFRRELPKIVALRPQEWKDFDRKRIRGQRRRVAKVDWAANVPCEEPKGNKRLKLPIMGTLSRVYPDYSEILAAQLRDTNFGPSVNTDGTSIAVVDVSIDREPAPVGVSVDSGVEGSVDVHEREAAQTQLEPMGGNEMEEGDEVAPIEDSTGPPGDESSGGGTHEPGEASARKNALVMEYEKALQKMTLDLKEAEQTIEIKEAALEAARKEKHDRGKELATERGRYSRERRQAIQAAADLEEELETARSKISRLEVEKVEELEKTKRAMDRMRQSRNRELLSERSCVVAAANRRFDKFRKYITDRDEKEEKRLLHGTALGTLDALSLLEKKGLSVPQQLKDLLIANEARFKKEVEDVSVEVITEHDLALSPPRRDLLPYGSQPGSTFGTVDSDSLTARRSPVLCGESSIVASDPAVACRSAAVTSEGRAVTPDSLGGPAPTGVSEVGNVVLSEVAVEE >A02g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19339693:19340567:1 gene:A02g506870.1_BraROA transcript:A02g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIDSEDFSVKEEEISLSYGFSLDMKCIIENFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPVILSIVQREKQSLLYEGVSTVPLNALPDFSTDPASCNTQASDTFASTVLLNANPVILSTVQREKQNYLTFAESSI >A05p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19079417:19081724:1 gene:A05p033890.1_BraROA transcript:A05p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFSHLAAKFAFFPPSPPTYHVTKTPDGKLTAVSSSTSSTFPAAGDASLDVRVVKTRRGNKVTAFYLRNPNARLTLLYSHGNAADLGQLFDLFLQLKVNLRVNLMGYDYSGYGASTGKPSEYNTYADIEAVYECLQTEYGVGQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSGILSGLRVLCHVKFKFCCDIYSNINKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMAKEPYEPLWIKGGGHCNLEIYPEYIRHLYRFIQDMENTTTKSRLKKIWQELRRRDESKGCCSFRLCRPKCTRCPKPSCDCSCGCCKWSCPSLKGCFSCCKKPTCGSSCCKCSCLSLKGCFTCWKKPSCVSNCCFPKLKCCSCFGKPRCPKCSCWKCLKCPESECCCCAGCFSWLCCCGGGRREGETRGGTTMAKSEG >A09g511250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33831194:33834618:-1 gene:A09g511250.1_BraROA transcript:A09g511250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLLITYIRISDSLLLTNLIGDITAVKSTVTDPPQDNNRVMATIRMENDTSVTMSLFDAQAVKIHNQLEQMGVDPRVVVATSVNPKIVGGRLFLKATSGTHIYFDKQTDAGEQLFYRLVEQDTGLPPVAPLLKSYAKVEKLSISELNDFVVTATSQEIDFICAGKVTGVKLDKGWCYVSCSKCFKKLQRLSFPMSTGLLLHPLTLHVPPPTSTSNGPPPTSTSNGPPQPFSTAGNHHRHILSLSETCTSMSQLKQLHAFTLRTTFPDETATLFLYGRILQLSSFFFDVSYAFRVFDSIHQESHSSFMWNTLIRACAHDVSRKEEALLLYRKMLGRGKSAPDKHTFPFVLKACAYIFGLSEGKQVHCHVVKHGLSGDVYVNNGLIHLYGSCGCLDLAQKVFNDMPERSLVSWNSMVDALVRAGEYDSALELFRQMQRSFEPDGYTMQSVLSACAGLGSLSLGTWAHAFLLRRCDFDVAMDVLIKNSLIEMYCKCGSLKMGEQVFKGMRKRDLASWNAMILGLATHGRADEALGCFDRMVGKEENVKPNSVTFVAVLTACNHRGMVKKGRQYFDMMVRDYAIKPALEHYGCIIDLAARAGYITEAIDMVTSMPMKPDAVIWRSLLDACCKKGASVQLSEEIATRLIETREDNQSSSGAYVLLSRVYASASRWNDVGIVRKLMTEHGIRKEPGCSSIEINGISHEFFAGDTSHPQTKQIYEHLKVIDDKLRSVGYLPDCSQAPLVDSNNDGSKEYSLRLHSERLAIAFGLISLPPRTPIRVFKNLRVCSDCHEVTKLISKVFNTEIIVRDRVRFHHFKDGSCSCSDYW >A08p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13342065:13347405:1 gene:A08p019620.1_BraROA transcript:A08p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKAKTGHCCPSSFCNNANEKETATRKRQRGDIDEMETRIIIPFGQDAYIVGYTISWVRCRLTNLDLWSPELLRTMMFDGNNRAQVFFKQHGWTDGGKIKAKYTSRAADLYREILAKAIAEDTTTALPRGEARMWKGGFCLNTMLVANSEFSSSILPPSPLIPNPVETIDESIGNNQVSFSTEEVSVPPRNSDEPLSTPLDETLIAPSPLRLEHGECSDAQVMNKPINHSKSNDTHLDICLSKSPDNRQQWSPNVSPGSDTDVDSGNQPLWRFRQKPPEIVESPCVGAGLLNLGNSCFINSVLQCFTHTVPLIESLYAYQYEDPCNCNQRFCVIRALRYHIGVALETTSVFSISPVYFFNNLRFSPDFRRYQQEDAHEFLHAFLNKLEICCLNRRNDVNFVQHIFGGRLVSELRCCNCNYVSETFEDSLGLSLEIEDVDNLQSALDSFTRVEKLEEQMKCDNCDEKVSKEKRLLLQNLPQVITFHLKRFKNNGYFMLKNCNYVEFPLELDLQPYMSNDQVAAKYYLYALVKHYGSLAYGHYSSFVRSAPSIWHKFNDKQVTRVDEDCVLSQNSYILFYAREGTPWFSTAIEELHPMTEEDTSSEYPSPKSVSDPSNEECSSEISSENVSKKGCGSAGVSDLLHVETEESCGTHSDEPKEDNELSQSEESSDEESSMEVLLDQHDPDDDSNNSCTEKEADSCFATERATTGVAFSPYLIGSSPKKPEGNFQIQLERVEAKKNYEEEEPYKQPLLISLMKKPPPRDRELGEAMSTSGSAQKKLKTS >A01p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20252658:20254397:-1 gene:A01p025170.1_BraROA transcript:A01p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein [Source:Projected from Arabidopsis thaliana (AT4G14680) TAIR;Acc:AT4G14680] MASMSTAFPKTTPFLSHPLTKSDSLIATTVSFPSSSRSRSLRRATLRVRSALIEPDGGKLVELVVPEPRRREKKHEAADLPRVKLTAIDLQWMHVLSEGWASPLRGFMREAEFLQTLHFNSLRLDDGSVVNMSVPIVLAIDDEQKALIGESTRVALIYKHPKEERIARTWGTTAPGLPYAEEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPFELRKELEKRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMLYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRAQDFLFISGTKMRGLAKNKESPPDGFMCPGGWKVLVDYYDSLSLSGNARLPEKVPV >A09p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15156827:15157594:-1 gene:A09p026820.1_BraROA transcript:A09p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAVRNGGREERRITVRPSSGSITADRRRSLRGTTAIHEVTASFEELRMTERRSSSTATTARRQDFQTTAHRSSSRVVSTAVREDFQTTTRRSSGEITSREFRTSEQRSSRRISSTREEVRTGEHRSSGTITTSRQQVRTSENRSSGKITTSRQEVRTVGHRSSSGTITTSSHQEVRTSERRASGTINREEVRTRTTERRSSGTIPAGHQEVKRRSSRTITADPHRRSS >A07g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5074507:5076813:1 gene:A07g502360.1_BraROA transcript:A07g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIVILTQDENGNMYDQDGHLRNATGQKIDAQGTVIPDANATGAAQHTDYSRHRLTSSAESTECNAVRILTHEEFAAKHPHPPSPFYDKINRSVKPTNDRQSESNVDRHNTPPIDRRAPLTYQVRLPSIDNNYINALRPPPKPLASPPEPKPNPFNSSPEPVQEEQEIEGRRERKVTEEDIRRMFHQVREKMKHMITLTKKSDPEKFAIPCVVKGVEFPHSMCNTGASVSILPRIMADQLGLAIEPSTESFTFVDLSEKRSG >A10p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9372294:9374911:-1 gene:A10p005500.1_BraROA transcript:A10p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLRFQENKILKRKYAPGALAHYVVDNSWPLSLSFLWIKYKFRPKLVQLLELRKNMLLEKNFNQVGSLRLPCLLTFKKLSSRYLILVVAFVKDSANMWLEIRLKLLAVKGKGKKYLEDGLSTWKGSSNAELIVLLDWFLLDRCVSARLNRIRSNVTIQKKQGKKLKASMLGAIAKRWKVLSGQNKWKGLLDPLDPDLRRYIIHYGEMAQVGYDAFNWDRKSLYAGDCYYSKSQIFARTGYLKANPFRYNVTKYIYATASLNLPICFIVKSLSKEASRVQTNWIGYVAVATDEGKALLGRRDIVVAWRGTLQPYEWANDFDFPLESGVKVFPVFDSKIVPRIGSGWLDVYTSSDAKSPYDTTSAREQVQGELKRLLDVYKNEEVSITFTGHSLGGVMSTLAAADLVNGKKNTISTGLERKQVPITVFAFGCPRIGDQDFVKIVDSLKQLNILRIVNVPDVAPHYPLLLYAEVGQELQINTLNSTYLKRSLNFRNYHNLEIYLHGMAGMQDKAGLFKLVIGRDISLVNKGLDALKDEFLVPSTWRCLANKGMVQKDDGTWQLDVHRKDHDDD >A06p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9075632:9076543:-1 gene:A06p018730.1_BraROA transcript:A06p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCKRKNEEEAVAADDAVCLISKAPEGISLDIPQRKGKEQECSREETSSGLVYYQDCNSYAEPRETCKRKKDAGGIISKTPERSRETYKKRRVKDSSTNKNGETLMEIDKTDEPTSLSLVLVPPEMKECDAEAAVITTGTASKGTLGSSIDIGVNKAAYFFQVALPGVCKASGEFSCEIESDGKVILEGSSTTGCNTIKRHSRVFKMNIRKLCLPGPFKLSFRLPGPVDPRLFSPNFRSDGIFEAVVIRQENFI >A01p010640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5206610:5206904:1 gene:A01p010640.1_BraROA transcript:A01p010640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLISNRSEDSVSVLLRFGGNYHHIPRASFLEGNGGPPCTVACFMPQFVHINHSTFQ >A05p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:788609:798387:1 gene:A05p002360.1_BraROA transcript:A05p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSQDPISLTDPIDQLPLRLLRSEIVPPAPSRSNSSIDWLPDFAGYSWLAYGASSLLVISHLPSPLRGEDSANGPLFRQILEVSGDVSSPVTAVSWSPVTPSVGELAVGSGSYVRLFARDLSDLNGSFCWSQSAVLLQETKVEAIEWTGSGDGIIVGGTDIVLWKRRNQSWEIAWKFPGDYLQDLVSSTWSIEGPFASAASWSKFPSECDEAGRGVLAYYSDGEIYRKVELPHPQRISMIQWRPVAAEQSVECAGKSVRNVLMTCCLDGAVRLWCEVDGGKAKKGLKDVHGHKKSFCVAAVIEINQVLDGCLGRDLFVFWGTRSGGILKTIEGANQLFTTDKYDHEKVGNCEWLVGQGPGKSASLWAVHCLDDISPMRFPRITLWARQETNGTGLEPLSITDATGFSDRLPLKKVSVLRNNLYGTPDICSSIYLSSRNTIYWSSLHTVKSHALEDSTPDKSSVLQCITEKVLDLDGHGGKILQVAFTPIISEAGYTASLDYNGLIIIWSSCDYLNRAIDHPISVSSWKHCGRLQNQELRLKYTSICWAPSSLNDESFLLAGHVQGIDCYSVRKGGRGYGGFLTHYICTIPLAVSQPFEDGPTSIFARPLPDSCGKTFKTNSFLLLSVWMKDKRFDALSWKVTLHHFDAAGSTCDCHFHDFDSMESGKWLFEDTFAGKKNCIAVRSCSSELPESHRYDEVTSFAVVNPSGRALEKDMNIESQAYTMATGHDNGSLKLWRSSLQESSTPSIMWELVGMLTIGKSPVSAISLTESGHKIAALCTENHSKAVRTISVWEIVHLLDSGVFILEDKLHVDAEVVAVRWSTVGNDQLILGVCTQNEMRVYGIARQPCRSTSFAVSDYSSEAQIWQCFAVTRTFSAIHDLWWGSKAMTALAHNDYVSLHGQWLAVTDKKQKTDNNPEIFAANLPKLVNTTEGRDSELLSNSMPLTATAYGSDTLKDTTSMLHIVEKLGGALPLYHPHALLVAIRSGNWKRASAALRHLAENITSGDASVKDHGVKSDLCQDILLSKYYEGSVSNGPNRKDFHWGGTSGSMLQNSQFQAGLQSNFSMDSYSPNGSHSSPATDLEFTGFCEQLEKLSDEGNISRTEKIQYFAIVDLLREIGNPHSTSVYASLDEAGRRFWITLRFKQLYLARSSGKPASVEELDIDSSMIGWAFHSESQENLSGSLLPIESSWQQMRSLGFGFWCSDVAQLRPRMEKLARQQYLKNKKPKDCALLYIALNRIQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGKHQLELAIGFFLLGGEASSAINVCVKNLQDEQLALVICRLVDGQGGALESNLINKYILPSAVRRGDFWLASILKWELGEYHQSILAMAGSLENPANGSSTVTSNHISFVDPSIGLYCLMLTTKNSLKNAVGERNASNLSRWATLMTATAFSRCGLPLEALECLSASASGHGGTHQASGQSNGHLGTPRGVLELSDPNSNWVSSGVSSTVDTRFKLSLAAHSLSKLLREATALLRSSEIVLCEKLSGFQHKLQTSLELFYQRFSLSSSFLRNTMIMSAYNSGLLLSMGYNVFQENSSSGRSLNKSQTNEDLLQYSALCKLILKATEENSFILSRIIAACSVTCFHSVPCLEENKVSSGPEPKLSNALRFYFQGILQSFSSFETTIRLCLNSSLEDLKTRLAVVLDLVEYCSRLAMAWILGDVDYLFRMVQPLTISYFHGHMPYEVDLESLKRVYHQEVSDSVPDASDAGLNSIVENNEVGYPVNSIPEDERRLVTQACFWRHVSDFVKHKMVSTSIDLDDGISNSESSEKFDAQTSFDSSGDIVCVTEKIMSVLGKTLVSTIAQLSSYHVKQLVLSLKQKLEKRIQVPTLLWLHGCRESQANFINRAIPDSSTENEDNSDLAVSVRFWTLCVDPHLVLEAFLLENFDISEWSKLKPLEDWSNMYREVKGKNELNVPCNQDGLSSNEVASLANHASNSSQKAATASENSAFQDPKEIHKRTGELIQAICINAINHRQAALASNRKGIIFFNQEDGGPCYDQSNYIWSDADWPRNGWANSESTPVPTCVSLGVGLGDKKGAHLGLGGATVGDFSLSKPGKSHRVPAYTGLGVSGLGWQTQEDFEQFIDPPPTVETVIASAFSSHPTMPLFLVGSSNTHIYLWEFGKNRATATYGVLPAANVPPPYALASISAVQFGPCGHRFASAALDGTVCTWQSEVGGRSNVYPVESSICFNGFASDVKYISSSGSIVAASGYSSSGANVVVWDTLAPPSTSQASISCHEGGARSISVFDNDIGSGSISPMIVTGGKNGDVGLHDFRYIATGKMKKQRNVDGRSSTDGDQNKNGMLWYIPKAHLGSVTKISTIPRTSLFLTGSKDGDVKLWDAKAAKMIHHWPKLHERHTFLQPNSRGYGGIIRAGVTDIQVCPNGFITCGGDGTVKFVSLRDS >A05g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23802504:23804230:1 gene:A05g508160.1_BraROA transcript:A05g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPETEDWLEMEVTWVERTLDEVVLVMKLACSNEVADRDDCGRTCVVDHNMRAFAMSPPAKKVLQKQRKKDLMLNDDPMDFGFGSPANKDKKKNSFKLCVIAELQEVGKVYSAQMLSTLTRASHPVLATLPCYKIDMKTEVFFLLLEGKNIIQTEEKYQKSKGCKIIHSFASIKRNHVV >A07p001010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:336924:338087:-1 gene:A07p001010.1_BraROA transcript:A07p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNNEGVITTFRHSLDSIPLDLIGDILLKFPAKSLVEFTCVSNTWYSIIRSQMFIKTFMSMSISRPRILLTFKRNHNGENELLFFSSPDTSQVSNDSSSVVSRHEMTMSRTSLGDYIIHSPSVRGFLCCSHQHQYMVCNPTTRQVINLPEDHRFDHGKMKCYMRLGYDPSSDKYKVLRMVTQPGSNLVSEHSVYTLERQQCSYSPWRCVEGDISNYIPSSNGVCINGVVYYEARIKPWISSVVLVTFDFGSERFCQIKAPGEKFTCLANYKGKLAGLSLLGNSFNLWVLDDVKEQTWSKKNTFVLSHTLWNIQLKFSGTTDAGELVFAPSSFSDDFHVFYFDIKEKTMRRVKLEGVTNDEEFRCRRKHLCYVSISCDHVENIYMY >A08p043200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24108957:24109704:-1 gene:A08p043200.1_BraROA transcript:A08p043200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSFLSIFLCLVAFLFITVSADPDMLQDLCVADLSSGIKVNGFPCKDAANVSSLDFFSQGIANPGLTNNTFGALVTGANVMTIPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVYVLEGTLDVGFLTTANRLISQSLKKGDVFAFPRGLVHFQKNNGRVPTAVIAAFNSQLPGTQSLGATLFGSTPPVPDEILSQAFQTTPRIVRNIKSRFQPKK >A08p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8219818:8220092:1 gene:A08p013420.1_BraROA transcript:A08p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSSKGPSSYTTSIGDPKMDKDHYPWIIWYLWKVRNDKLFIGIDRDPLELIRYVQGECQAWFSEK >A09p073920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56198828:56214958:-1 gene:A09p073920.1_BraROA transcript:A09p073920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSVPRVSKYDVFLSFRGEDTRKTIVSHLYAALDSRGIVTFKDDQRLEKGDHISDQLHIALKGSSFAVVVLSENYATSRWCLMELQLIMEYMKEGTLEVFPVFYGVDPSTVRHQLGSFSLERYKGRPEMVHKVHKWREALHLIANLSGLDSRHCVDEAVMVGEIARDISRRVTLMQKIDSGNIVGMKAHMEGLNHLLDLESNEVVVLGIWGMGGIGKTSIAKCLYDQISPRFRARCFIENIKSVSKEHDHDLKHFQKEMLCTHALFLRGRTAAPEVWEEALTALESSLDENTMEILKISYEGLPKPHQNVFLHVACLFNGDTLQRINSLLHGPIPQSSLWIRVLAEKSLIKISTNGSVIMHKLVEQMAREMIRDDTSLARKFLRDPQDICYALTNFRDGGEQTECMSLHSCNLACAFSMKASVVGHMHNLKFLKVYKHVDSRESKLQLIPDQHLLPPSLRLFHWDAFPLRTLPSDADPYFLVELNLRHSDLETLWSGTPMMESLKRLDVTGSKHLKQLPDLSGITSLEELALEHCTRLKGIPESIGKRSSIKKLKLSYCGGLRSALKFFVRKPTMQQHIGLEFPDAKVKMDALINISIGGDISFEFCSKFRGTAEYVSFNSDQQIPDILHIYIDLAMSRLHFAYICLYQSELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVAPEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFGHFIMHPPGGGSSFVLRKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDSRLDHPMSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNFEKESCVGARSHSDTSRSLQLGARLWERHLEVARGFVVVSRKQSPQSEVSERGRRVAPAGSDIMGATPSRRSRFRRNGHSRTDAERDFTATPRGRSSSERAFWSDTPRSLAFSSTRDARKRLESDLSQRDPHVAPAPVQVKMVKKTKGRLEAERQEAESQEFAQRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKDGVTWVPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKISFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHTLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGVVSFFVGRLEHYRDWAWYTTDSHPKIGIGGMITPLLQFLNVPLGKDASGPRFIDGTYLRIATYFSGMYGKDYVYHYYLYGKPVEVVLPNRNLTSLEIPGAISFNIPQEYFLGEHGPLDPIQAAPSRRRSVPVQPEPPVADTPEHIYGPPRYYFKPHDRVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGTASGGELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVAPEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFGHFIMHPPGGGSSFVLRKTTKNLWKVISLNQLIIIVKNPSKSLVALRLSDYLHSRCFSISQNWFDNHLYYSICLRSLENS >A03p011590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4627914:4629347:-1 gene:A03p011590.1_BraROA transcript:A03p011590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >A07g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9537409:9540125:1 gene:A07g504650.1_BraROA transcript:A07g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGTDTKHGRCCVCMVKNKICTRKCEFSAYFPNEMQDDYEAATKLFGTQNIIRMMKLAAHEQKHLLASSILKEGAAWTDDNIGGGYGVIQKLRWEIELHEASLSKIRMKISEEKKQLVLLSNQTGDNIKGGYGVIQKLMWEIKLHEVYLSEIRKKKKTISSTFK >A07p028420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16077340:16078665:-1 gene:A07p028420.1_BraROA transcript:A07p028420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLMSCGIAAVYPSLLSSSKSKFVSAGVPLPNAGNVGRVRMAAHWMPGEPRPAYLDGSAPGDFGFDPLGLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHKNIGDIVIPLN >A09p010040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5144679:5146659:1 gene:A09p010040.1_BraROA transcript:A09p010040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA9 [Source:Projected from Arabidopsis thaliana (AT5G65670) UniProtKB/Swiss-Prot;Acc:Q38827] MSLIDRLLLRELRSILQMLSEEELQSNVSVASSSPTSNCISKGGGLKVHNYFGLSDSSSVESSTLSCGEDDKGTISLKATELTLGLPGSQSHARETKLDEKPFFPLLPSKDEICSSSHKNKRGFSDTVYTEKNWMFPEAVANQSVVKKEVTTNIPKGQLSTTNNSSSPPAAKAQIVGWPPVRSYRKNTLATTCKNSDEVDGKPGSGVLFVKVSMDGAPYLRKVDLRSYGNYGELSSALEKMFTTFTLGQCGSNGAAGKDMLSETKLKDLLNAKDYVLTYEDKDGDWMLVGDVPWEYKLKIMKGVDAIGLAAAPRRMEKSKIRA >A03p007370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3050522:3052014:1 gene:A03p007370.1_BraROA transcript:A03p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVPYAKISASNRRVGSIPGIRRQPQLNVVSFPSDVSQTQSTTWKLRATTNEVVSNSTPVTNGGCLNGNVKSNVPESAELSDFMAKVSGLLKLVDSRDIVELELKQLDCEIVIRKKEALAAPPAPVYHSMPPPMAGFPMPPSQPVAPPPFSPSPSSAPETAKPVTPPSSSHPPLKSPMAGTFYRSPGPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEAEKSGTITELLAEDGKPVSVDTVPNLSLSSPVYPASVYHRALKRHFSWFRTSSESWFS >A10g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15004578:15008149:1 gene:A10g505820.1_BraROA transcript:A10g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRKDLYGNIVLSGGTTMFGEIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPKKKKQTTILKHHKDPSSKSLPTKSANLIGDEQQESLIQGRKRRSNWLRGESVRIGMSNGTEQTRKRAAPGDSNWLPSGWRVEDKVRTSGATAGSVDKYYYEPITGRKFRSRTEVLYYLEHGTSKKGSKKPDNTDSSSDHVEGQGSNKSSRKAKEPTPPPRPRSPPLKFDFENPPEKVSWSAANAGGEGWTPFVGDDKVQDSLRREWCTAFTVITTKNPTKLSSLRR >A10p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:985468:992212:1 gene:A10p002010.1_BraROA transcript:A10p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRIKRHSSIVFLFLNENNFTHHNKQYTQDPLSLVVSLPATKSKLEKNKKASKMVVLSMMALVKTAYSLNSFVFEAEDIVFGSPWWFVVVSVACLLVLFAGIMSGLTLGLMSLGLVELEILQQSGSSAEKKQAAAILPVVKKQHQLLVTLLLCNAAAMEALPICLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLAVGANFLWLVRILMIICYPIAYPIGKVLDAVIGHNNTLFRRAQLKALVSIHSQEAGKGGELTHEETMIISGALDLSEKTAEEAMTPIESTFSLDVTTKLDWETIGKILSKGHSRIPVYLGNPKNIIGLLLVKSLLTVRAEAETPISSVSIRKIPRVPSDMPLYDILNEFQKGSSHMAAVLKVKDKDKKKSMHLLSHEETPKENINLYTSPHLITPLLKHDVVVDIDKAPKHVEKRGINFQLNGSVTRDLPLMLEDNEDEEVIGIITLEDVFEELLQAEIVDETDVYIDVHKRVRVAAAAAAAVSSIARASPVEYQSKGGVTVKKLVGKEGRIQKGRKRRERRRPPLVDRRETLAQRRVLSVSSMDNKGPVQKTVVLEPFIKLVRLLARAFYDDYTTKSDNQQKTARSDNRGIAVVVLDELTRKQWVREEDLAKELKLHAKQLRKIIRHFEEQNLIMRDHRKETAKGAKMYSVAVAATTGGRAEDKVKFHTHSYCCLNYPQIYDIVRYKLHRMKKKLKDELEDKNTVQEYGCPNCQRKYNALDALRLISMEDDAFHCENCNGELVVECNKLTSEEVADGDDNARRRRREKLRDMLQKMEVQIKPLMDQINRVKDLPVPDFGSLIAWEARAAIAARENGELNPNDPLRSQGGYGSTPMPFLGETKVEVNLGEGKEDVTSNGGDSSLKVLPPWMIKQGMNLTEEQRGEMRHEAKVDVGSSKLSDDKKSAMESGDAKDLLKDEYFKAYYAALIQQQQELAQKQRQEESGGEVAAPDSELASTSSDRQVGMKSKREEEEDEWEEEVAPVPVAGNGNYKVDLNVEAAEASGGEEEEEEDIDWEEG >A01p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1820733:1821977:-1 gene:A01p004240.1_BraROA transcript:A01p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pinoresinol-lariciresinol reductase 3 [Source:Projected from Arabidopsis thaliana (AT4G34540) UniProtKB/Swiss-Prot;Acc:O65679] MEKTKEKSRVLVIGATGRLGHYLTRFSIQSGHPTFALIRNSTFSDPSKSVTLESLSSSGVTLLKGSLDDEASLEEAVSKVDIVISAIPSKHVLDQKLLINVIKRTPSITRFIPAEYGADPDKTQVSDLDHGFYSKKSEIRRLIESSNIPYTYICCGLFMRILLPSLVQPGLQSPPMDKVTVFGDGNVKAVFVNEVDAAAFTIKTIDDPRTLNKTLYLRPCGNVCSMNDLVEMWEGKIEKKLVKAFVTESQLLEKIKETPYPDDMEMVFIYSFFIKGHHTYFEIDESCGGVNGTELYPDVKYMTVSEFLDTLL >A06p037530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20365501:20367409:-1 gene:A06p037530.1_BraROA transcript:A06p037530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2H [Source:Projected from Arabidopsis thaliana (AT5G63650) UniProtKB/Swiss-Prot;Acc:Q9FFP9] MEGVHRKSSEDLFTWHSPIAHLLLVKDLGAGNFGVARLLRHKETKELVAMKYIERGRKARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKNADVWSCGVTLYVMLVGGYPFEDPDDPRNFRKTIQRIMAVQYKIPDYVHISQECKHLLSRIFVTNPAKRITLKEIKKHPWFLKNLPKELTDSAQAAYYKRDNPSFSLQSVEDIMKIVGEARNPAPSSSAVKGFDEDDEDVEEEVDEDEEEEEDEEEEEEEDEYEKHVKEAHKSQESSKA >A09p069300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54027795:54029402:-1 gene:A09p069300.1_BraROA transcript:A09p069300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFFSLALFLFLSVDSDSGYTSASAAAAASGKEGVEITYGSAMKLMHEKTKVRLHSHDVPYGSGSGQQSVTGFPGVVDSNSYWIVKPVPGTTAKQGDAVMSGATIRLQHMKTRKWLHSHLHAFPISGNLEVSCFGDDSNSDTGDHWKLIIEGSGKTWKQDQRVRLQHIDTSGYLHSHDKKYQRIAGGQQEVCGIREKRADNVWLAAEGVYLPVDESSSSK >A06p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22447329:22447747:1 gene:A06p041720.1_BraROA transcript:A06p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKVVVDKFVEELKQALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRRETEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >A07p040360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21421389:21423361:1 gene:A07p040360.1_BraROA transcript:A07p040360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHNLPRRNAFKSHHFSLEHQFSSETLHFCNIKRKTMGDNTVRIGLLGCIRFASKFVRTVTQSSNAVIIAISDPSIETANTFAAANNLSPETVAVYGSYEELLDDPRVDAVYLTMPVTQRAKWAVTAAEKKKHLLVEKPPAQNAAEIDKIVEACASNGVQFMDGTIWLHHKRTVMIRETMFDSGLLGDVRHMYSTMTTLVPEQVLERLTKEAMGLAGAIGELGWYPIGAALWAMSYQMPVSVKALPSSVATNSVGTILSCSASLQFGSTETATAIVHCSFLSQLSTDLAISGSKGSTQMNDYVIPYKEDTAWFEYTSGAKFADLHIGWNMMPERVTVDCSGNEESQEATMLREFVRLVQGIKRGDSEADPRWPEISRKTQLVVDAVKKSVDIGCEVVYL >A03p065780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29096688:29097660:-1 gene:A03p065780.1_BraROA transcript:A03p065780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGVPAQEKAAPEALFLTEESSRGGRLRRCVKSPPESSSSVGVTGESSESEEEEEEEDGTVSSQGRWLDSSSSSLEDSLPIKRGLSNHYIGKSKSFGNLMGMEVNNTKDLEKVESPLNKRRRLLIANKLRRRSLSSSFSFYPKTNLNSMPLLALQESDEDDHKYNNDDDDDDSSDEEINKLQDKRMKMTNNRDFMVQTQSCFCLSSFPDDDR >A09g519360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60100920:60103511:-1 gene:A09g519360.1_BraROA transcript:A09g519360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSGLPGSRLDFQEVVWTSKKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKTSGTTYLLVVWKSSGSLVWKSSELPKSLLAKSSELPGSRLDFLEVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPKSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A04p040890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:23061572:23062222:-1 gene:A04p040890.1_BraROA transcript:A04p040890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIKLAFLILCIALTATAFIIPAKRDAVTPEHEKTVAGICSVVQDKRLCSITLKTVPSNDPDVLVRHLATGAETSVKKGLKFLSGIKPKYKGDKFATTCITSCEKQLNNALEDFSDFWKAAGKDITSMADNYFTCKKKMTSIFNYQSSCLDDIYDKTLLKEVQGGIGLGKRMSGESVDVFAGMGKVFNTLNIKTKLNQKDTDSLLPPPLSFYYV >A03p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3750313:3752831:1 gene:A03p009350.1_BraROA transcript:A03p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKISTCVELYHVGILRLIDSVGKAPFVLIEFRYLRGLKLSEMSWCCLPCFGSSAKDADSKDSLKKEVSAKDGSVTQQSHRASLDKSKSRGGSEHKKELTAPKEGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLETTGQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEYMALGSLEDHLHDLPPGKEPLDWNTRMTIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLGDGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNARAPGEHNLVAWARPLFKDRRKFPKMADPSLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTFDPNAPNGQNSRSSGGGGPPFIRTRDERRSLGDGSSLDSPAETRSRLGSPGAHKNSPDYRRRDMVREVNAGSEAGSEAGGGSGRKWGLSDVEGTESQRESPASVGRGTRGTPRNRDLDRERAVAEAKVWGENWRERKRGANEPGSFDSFND >A06g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1356524:1357825:1 gene:A06g500300.1_BraROA transcript:A06g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDQTKLYPHLELALVDPKNLLIILVSHSRWALSCEVVNLFVSTILKLRTLDVFVVSSDGLRLTRAGSSFISLPCSILSIIHVSPPPSSRLFKLGFKQYPEDPCHQPPQTYFASQQVVNLVSDVGGNPLRHPSLNHGFMNLASDVGGNPLRRSALSHFFCESGIRCRWESTPASSYEPSKASKVMLSAHNFHFLIYCGEYFNTMSPFNEWRKFLGFFFEF >A08p030990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18961076:18962320:-1 gene:A08p030990.1_BraROA transcript:A08p030990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL21 [Source:Projected from Arabidopsis thaliana (AT4G37940) UniProtKB/Swiss-Prot;Acc:Q9SZJ6] MKSVIDRYNKSKIEQQQLLNPASEVKFWQREAAVLRQELHALQENHRQIMGEQLNGLSVNELNNLENQLEISLRGIRTKKEQMMNHEIQELSQKRNLIHQENLELSRKVQRIHQENVELYKKAYTSSTNGFIQRELAVADDESNTQIRLQLSQPDHSDYETPPRASQ >A05p054230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32179464:32180871:1 gene:A05p054230.1_BraROA transcript:A05p054230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHSPGTKSVDIGETLESLLRFTLRSHLDGTVQSLDLDLPRDLCFHLLEEDDTDSTEEPASYKILARALSECLTSEEHSLSIDKDSNFEKYSKLFHGLGHDFVNMLKKVDFELHVQEPYFTQLKDGLKTTEGRCAVGDYMRISSGAFILFNKCLLLQVQDVCYYTSFSEMLRVEGLAKVLPGVETIEEGVGVYRNFYPEEKERMNGVVAIRVVKPVEQPYAALAGVLSELKSTGIKALLDAYTSRVTSEGL >A07p038810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20633740:20635224:1 gene:A07p038810.1_BraROA transcript:A07p038810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKIWTEKGFKRRTMKLLVMFIVHAIFIPCFSFDVPGKDFPLTLDYYKSTCPTVFDVVKKEMECIVKEDPRNAAILIRLHFHDCFVQGCDGSVLLDETETFQGEKKASPNINSLKGYKIVDRIKNIIESECPGVVSCADLLTISARDATILVGGPYWDVPVGRKDSKAASYELATSNIPTPEEGLISIISKFHNQGLSVEDMVALSGAHTIGKAQCRNFRSRIYGDFHVTSALNPVSETYLASLREICPASSGEGGSNVTAMDNVTPNLFDNSIYHTLMRGEGLLNSDQEMYTSMFGLQTRRIVSKYAEDQVAFFEQFSKSMVKMGNILNSESFVDGEVRRSCRFVNT >A01p015650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7681318:7684101:1 gene:A01p015650.1_BraROA transcript:A01p015650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLYTIGSLLILLLSHDGGFTGAADAEHTFRATDIHTHRHRRNHGHRRGEEFEYSALSCRAYSASLDEFGAVGDGVTSNTAAFRDAVSQLSHFADYGGSLLFVPAGRWLTGSFNLTSHFTLFLHRDAVLLASQEESDYEVIEPLPSYGRGRDTDGGRFISLLFGSNLTDVVITGENGTIDGQGEPWWGKFKRGELKYTRPYLIEIMHSDGIQISNLTFLNSPSWHIHPVYSSNIVIQDLTILAPVTVPNTDGINPDSSTNTRIEDCYIVSGDDCIAVKSGWDQYGINYGMPTKQLLIRRLTCISPDSAVIALGSEMSGGIEDVRAEDIIAINSESGIRIKTAIGRGGYVKDVYVRGITMQTMKYVFWMTGSYGSHPDEHYDPNALPVIQNINYQDMVAENVTMPAQLAGITGDQFTGICISNVTITLSKKPKKVLWNCTDVSGYTSGVTPEPCQLLPEKQPGTVVPCNFPESSIPIDEVKLQRCYSRRRFM >A05p020350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9598860:9602087:1 gene:A05p020350.1_BraROA transcript:A05p020350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPSSSGFPHTRVDKETGMKVAENGGKAGSVDTPSRDPFVYLTTCKIGHHVEVQLKNGSVYSGIFHAADVENNFGIILKMASLIREGSVRGMKPRAPLVSKPPSKVFIIPADEVVQVIAKDLPVYSNNVSECEKPSELLTDSSISQSYHVDSERELQRWVPDEDVPDCPDLENVFDDPWKRGWNQFEVNETLFGVTSTFDEELYTTKLERGPRTRELEEQALRIAGEIEGENTRDLHVAEERGLQLSGKFDIDEETKYSSVCPANGFDDSGYEEEEEILLDCRNNLTFGDSTTGSNGIKSASAGKDIPIPSPGSNIRNESQLAEQRNGKILESKPSEESVSGLDDTKADAAASDPASVQWRASDKQSSTDGKLAGPLTDRGKSEWPGTSISRNPENSAASSTSSLPMLSPSSSIGSYSSEKSTLNPNAKEFKLNAKSFKPSPTATRPQSPVPEGSFYYPPLPQMTGIHIGYGMGAAFPGQQPMVYHNTMQPGPNQTFYPPNGPQYHPQQIMVGQQRPVLFMPPSPYQPETPYKGRDSY >A07g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18646735:18647920:-1 gene:A07g507170.1_BraROA transcript:A07g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLRAICRPHTVFASIVCCSRNQTRSLVRVSIQKFSFRTRVSNSFPFRSKDSNFWFGVSQRKTLVRAASSWSEEKSPYDTLELERDAEEEQIKVAYRRLAKYYHPDVYDGKGTLEEGETAEGRFIKIQAAYELLMDTEKRRQYDMDNRVNPMKASQAWMEWLMKKRKAFDQRGDMAVAAWAEQQQLEINLRARRLSRSKVDPEEERKLLEKEKKASRELFNSTLKRHTLVLKKRDLMRKKAEDDKKKLITQLLAAEGLELDTEEEEEEETAK >A02p050440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31130301:31133312:-1 gene:A02p050440.1_BraROA transcript:A02p050440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGFLASLVHFLVFLPYFTALLFLGVIKGIVLCPLVCFVVTIGNSAIILTLLPIHIVWTFYSITSAKQLGPILKLFICLCLPAAIILWPILGVLASVLGGALYGSLSPIFATFDAVGEGKPSPLLHCFYDGTWSNVKRSFIVVRDFKDVCFHSYFSFMDEIRKCSPDQNYHEIRLLQLPGALIASALGILVAFPVISLVALCKSPYMLFKGWHRLFHDLIGREGPFLETMCVPIAGLAILLWPLAVAGAVLGSAVSSIFLGAYAGVVSYQESSFYYGLCFVVASVSIYDEYSTDILDMNEGSCFPRPKYRKNEAESTPFSGPIPRQGSVKNMASTRVGSVRVPMIDVKPLDLLDGLFVECRKFGDVLASKGLINSKDIEEARSSNGSQVISVGLPAYAFLYEILRSVKANTSGLLLRDGVTELTTKNRPKDAFFDWFLNPFLILKEQMKATNLTDVEEEYLGRLVLLYGDSERLKSSYADSSSPLLTERKRAELDAFARRIQGLTKTVSRYPTYRRHFVELVKKLSDDLEVQDRDGSETIREAPGPVKIFSRIFSHRSFRRKESINGSDQESRKGVSRMVDIV >A02g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15053703:15055256:1 gene:A02g504640.1_BraROA transcript:A02g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type-3-B [Source:Projected from Arabidopsis thaliana (AT1G77440) UniProtKB/Swiss-Prot;Acc:O81153] MKPETFASLVSAILYEKRFGPYLCQPVIAGLGEDDKPFICTMDSIGAKYYALTELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPNEIKERILKGRMD >A09p064310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51876996:51880923:-1 gene:A09p064310.1_BraROA transcript:A09p064310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAIRTGESSPPLLFRQVSPGPGDSNMQFRLLHFWDARKNVKGGPGIILGIELLLIDAEVCFHVSFWMSFPLLNRDYLSVRQRQQKANTMTPFFTTDITTDIFARLPAKSAARCRTLSKQWSSILSTPDFAELFLTHSSTRPRLLFAVERNGLWTFFTSPQPQPQSSHSTVVTAEFHTKFDGDVSRYLCSYASGLILFPDVWIPSKSDDTNPVVCNPVTGKYATLPYLSIYRKSRAFLGFDPVGKQFKVLSEDRPFCSQSDHHQVLSLGEGGGEELSWRVKDDGPQYDHCLSEAICIEGVLYYLAENFSDPRVVVRFDVRSEEFEFIEAGCFSGEEVAVKLINYKGKLAGVDWKYLKADDEKIVLELSLWVLEDVEMNEWVKSVYVLSEEKIVRRCNFSVAGMTGGGEIVLAMDYTTKPYYVFFFDPEKNTLRGVEVQGFGDKLEALGTRGRVRVFVDYVEDLNVHDGKQLKSSISAPVK >A03p024510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10345102:10346011:1 gene:A03p024510.1_BraROA transcript:A03p024510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGPMTQDWEPVVIRKRAPNSAAKRDEKTVNAARRSGADIESVRKYNAGTNKAASSGTSLNTKRLDDDTENLAHERVPTELKKAIMQARTDKKLTQSQLAQIINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK >A01p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3213525:3214258:1 gene:A01p006280.1_BraROA transcript:A01p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAAGFNSPTRDMLSPTLFPLFCVLFLCFPVAVIFTVQREITAAVSPELGFHSRNLHASTPAVRFRQQIPKDDEQLLRLASRVNPNQPSPGSIRKLAFMYLTTSPLPFAPLWEAFFNGSSEELYNVYVHADPTREYDPPFSGVFENRVWLEPCCLMTRHVVPGTKEPSLSLSKGLVELDVKAHPHKFATEVKHQQINLPRSLTNVMGRK >A04p006480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3478639:3480588:1 gene:A04p006480.1_BraROA transcript:A04p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRMGTSAHLIYALGVIIMANMVAAYEPSTYSLPPLPSYSPSPKVEYNTPPLPNVYNSPSPPPTYYSPSPKVDYKSPPPPYVYTSPPPPPHYLPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPLYYSPSPIVDYKSPPPPYVYNSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVHYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPQPYYSPSPKVHYKSPPHPHVCVCPPPPPCYAPSPKIIYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKIYYKSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPSYY >A10p031820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18940278:18941777:-1 gene:A10p031820.1_BraROA transcript:A10p031820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDFQSRVEKIFGSLAFSRSTSSTTTSTSSAAPLRQTQSGSVWSLSGAEVEKREWKREQLASYDREEMPCASSFDEILRQQRLSSADRKEGEEGGDEEFGDDDDDWSIRASMGLDRTLDDEDEEDEYDKVALGKEDVGKGVSMEDVISRPCGMRIRDPRANHAAAKIRLKEDELEANKSSPSGFIPSKSKEPTPHPEESSKSVPPKPILKRKENSSDSEARTSKRVRFDVSEETSKEPEDNYSVAASLKSALPRSRSGAQVPDYLINPSKYTCYSFDPSSELNEKSQTQEYTDIPKDSEGLETSESESFKKVSFVPQKKTKDVREDGSNCSETKTVDAGR >A07p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18849945:18850662:1 gene:A07p034750.1_BraROA transcript:A07p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLLAIKLFSFMVLFAISHAQSFSPGRKILQYIPTTKYPPELPPAYSPTPELPPSYTPTPEFPPSYNLAPELPPSYFPTSKFPSSYTPTPELPPSYTLTPELPPSYTPSPKSPPPSYNPTPEFPPSYSPTPELTPSYMPTPELPPPYFSAPPPAPY >A08p005510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3167403:3167783:-1 gene:A08p005510.1_BraROA transcript:A08p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHKNLLQGGMQEENRAKKPISVSPTRSQLVIANSNADLTGPSEVAFNVCCLCVYCPLCILWCCIKLPCAIGWRAIQKAGRHLSGCSGCGRSLSMKIKATDYSSFSDIDSDEVKYKAHSLLKRIG >A06g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26424238:26424796:-1 gene:A06g509230.1_BraROA transcript:A06g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIFHINPQRRRLLLLLFVKSVLVVGAGGTMGSSFNAQILVEKLSKLNNSQASIESILS >A10g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7796185:7796675:1 gene:A10g502850.1_BraROA transcript:A10g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGRKEEIVTREYTINLYRRLHSCTFKKKAPNAIKEIRKFALKAMGTKDVRVDVKLNKQIWSRGIRGPPRRVRVHVARKRNDDEDAKEEFYSLVTVAEIPAEGLSGLGTKVIDEDE >A09p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19774007:19775065:1 gene:A09p032650.1_BraROA transcript:A09p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMVFHHMVLIFHSFKDFSDLEDFWARRLPYDFQEDFHTTFRKSSRRLLRSLLTESSDGVFSHIFNQMVLIFHLDMYFVCSIKIWIRLWKTYGKSSRKRLRRSLPDDFKEVFQSTSKKSSSRLQRSLPDDFKEVFQTTSRNSSDEVVFHIKRSLSLSL >A04p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7812636:7815204:1 gene:A04p009330.1_BraROA transcript:A04p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable envelope ADP,ATP carrier protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G51870) UniProtKB/Swiss-Prot;Acc:O65023] MGDEDRATLTFHRIPSLNSSILTSSPSKSNTVQFRRRVLRSSAPGDVGFGSKFACITMAEKCEQREFSPTPAQLLSNPLAILALVPKDAAIFAAGAIAGAAAKTVTAPLDRIKLLMQTHGIRIGHQSAKKAIGFVEAITLIGKEEGMKGYWKGNLPQVIRVLPYSAVQLLAYESYKKLFKGTDDQLSVIGRLAAGACAGMTSTLLTYPLDVLRLRLAVEPGYRTMSQVALSMLREEGLASFYYGLGPSLVGIAPYIAVNFCIFDLVKKSLPEEYRQKAQSSLFTAVLSAGIATLTCYPLDTVRRQMQMRGTPYKSIPEAFAGIIDRDGLIGLYRGFLPNALKTLPNSSIRLTTFDMVKRLIATSEKQLQKITDDNRNRDQT >A09p044760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36274061:36274591:1 gene:A09p044760.1_BraROA transcript:A09p044760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLRLFDVYIYLQGEFEEHAEKVKTLTTKPSDEDLLILYGLFKQATVGPVTTSRPGMFSMKERAKWDAWKAVEAKSTDEAMSDYITKVKQLMEAAEAST >A08g503880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6539191:6539757:-1 gene:A08g503880.1_BraROA transcript:A08g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQWGMKDRITVNDLGNGKFLLNFTSEEDLSSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIVLFKVQVIGLPLPLWTDTNLRNIGARLGHVHVDSLDVAEGSMLIDVDSRKPLKFSRKVESKDGDEVTIEIKYEKLFKHCSTCGMLTHEKDHCPSSDVRSRLQTQTERPGIFTRIINDQGKINKHI >A01p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21553014:21555563:1 gene:A01p040400.1_BraROA transcript:A01p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLPSIKSWKSLVKRRNNPQEGGKSSWKMKPVMALMCTLLLIFWYKTTNIQYQQTQIEETDYPFEMAKESEPVSEKLKGLPFGIIQPRSDLELKPLWSSTSLRSKGGELTNRNLLAMPVGLKQKDNVNAVVQKFLPANFTVILFHYDGNMDQWWDLEWSSKAIHIVAHNQTKWWFAKRFLHPDIVSIYDYVFLWDEDLGVENFNPQKYLKIVKKAGLEISQPALHPNSTEVHHRITVRSRTNVFHRRVYDSRGNMKCSNTSEGPPCTGFVEGMAPVFSRSAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRSKKVGIVDSEYIFHQGIQTLGGSGYPDKKNSARSGVTRRRGSATFDSRTEIRRQSTWELRTFKERWNRAVEEDKNWIDRSSSTRNRISNNRRFKRSSVISSLLQSQAEETRK >A02p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3257844:3260143:-1 gene:A02p007740.1_BraROA transcript:A02p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVLWVAPSSPNPDSMTNSGLVRVLESSRLLSPCLNQRLNTGKRSSSSSVMSCRRGRSSVVSSSLVASPAAGEITLSSEEKVYNVVLKQAALVNKQLRDLDDVKKPQDIVLPGTTTGSLSLLGEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVLRAPAMGILKVWCRRTDELVDGPNASHITPMALDRWEARLEDLFRGRPFDMLDAALADTVARYPVDIQPFRDMVEGMRMDLRKSRYTNFDDLYLYCYYVAGTVGLMSVPVMGIDPKSKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKMQLKRARMFFDEAEKGVTELDAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVGKAKKIAALPLAYAKSILKASSSR >A10p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:789818:794267:1 gene:A10p001530.1_BraROA transcript:A10p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:Projected from Arabidopsis thaliana (AT1G02690) UniProtKB/TrEMBL;Acc:F4HXL3] MSLKPSARTEVRRNMYKVSVDADEGRRRREGDMVEIRKNKREENLQKKRREGLTAPIAQQGQDLYSYERFEAAWALTNIASGTSDNTKVIIDSGAIPDFVRLFASKSDEVREQSVWAVGNVAGDSPKCRDFVLSCEAMMPLLAQFNEHTKPSMLRNAAWTLSNFCRGKPAPALKQTKPALPVLERLLHSNDDEVLTDACWTLSYLSDGTNDRIQTVINAGVIPSLVSLLAHHMPSVLIPAIRAIGNIVTGDDMMTQEVLNHQALPRLLSILTRTYKRSIKKEACWAISNITAGNTSQIQQVIEAGIIQPLIYMLHTAEFEIKREAVWAVSNLTSGGNHDQIKFLVDQGCIKPLCDLLTCPDPLAVTVILEALENILKVGEAEMNQGNTGGFNIYVQMIDDAEGLEKIENLQSHNNNEIYEKAVQILSSYWTDEDGNEHDNGFTFGNQSGNASTESIVKKMMMMQSRLLAFASAARSRVRPFAQRSLAFGSSTSGRTADPELHSGNDGGDPAVYPSDPEGMDDVANPKTAAEEIVDEQPRSSLEEQPLNPPKSPRATAHKLESTPVGRPSEPNFQQKRRRSTEASPPSLDSASCVSLDEGEEEERRRRQNETESDKEYYAHHKASPLSEIEFADTRKPITQATDGTAYAAGKDVIGWLPEQIDTAEEALQRATMIFKRNAERGDPETFPHSRILREMRGEWF >A10g502600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7574065:7574586:-1 gene:A10g502600.1_BraROA transcript:A10g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLESSIDRADEEHRQSFANSTATHTKRKIRVVQPIEQGVYRDKDGNAQTMDGRIIDVSKEDIEAILEMADKSGGKYLSLLQYEGCFQMPGVRPPVHYPPARSYSKDNIEELLNDISTSKNIMFDEIYEKIDDVYFPLVNPNCRIIKSEEGTEIESGFSSYDYSEAARRQNQR >A01p014290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6960087:6962727:-1 gene:A01p014290.1_BraROA transcript:A01p014290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSSFSSHEGKPIRCKAAICRKAGEALVIEEIHVYPPQAYEVRIKIICTTLCHTDLAFSKYNSGPVVRFPRILGHEAVGVVESIGEHVDGFKQGDVVLPVFHPHCEECRDCKSSKGNWCTRFAEDIVSNTRRYGMASRFKDSMGEDIHHFFFVSSFSEYTVVDIAHLVKISPEIPVDRAVLLSCGVSTGIGAAWKTADIEEGSTVAVFGLGAVGLAVGEGARLRGAAQIIGIDINPDKFALGKEFGFTDLVNPTLCGDKKISEVIKKIAGGGVDYSFECVGITSVLSEAFISTRTGTGKTVALGINPAAPTELHSFDLFRGKHVCGSLFGGLKPKLDIPVLVDRYLKKVYYLFAYSFVNAYKLYFISNLHELNLDSFITHELKFEDINKILLLFAFDLLEKGKSLPCILWMDKK >A05p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3054242:3054603:1 gene:A05p007580.1_BraROA transcript:A05p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQEKKQLDERAKKGETVVPGGTGGKSFEAQQHLAEGRSRGGNTRKEQLGTEGYQQIGRKGGHSTRDKTDEEDAEDESRTRT >A04p001490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:702919:703831:1 gene:A04p001490.1_BraROA transcript:A04p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKEEDKKVRTMAFTGTLDKCKACDKTVYVMDLMTLEGMPYHKSCFRCSHCNGTLVISNYSSMDGVLYCKPHFEQLFKESGNFSKNFQSGKTEKSNDVTRAPSKLSSFFSGTQDKCAACKKTVYPLEKMAMEGESYHKTCFRCAHGGCPLTHSSYAALNGILYCKVHFSQLFLEKGNYNHVLEAANHRRTSEEEKIEPNVNEASPKEEEETSDAVPEEHES >A06p042660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22935059:22935573:1 gene:A06p042660.1_BraROA transcript:A06p042660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKSRTRGKVSLNSRINRKQKMKRIPRIKFPQRHSSSSSPSTSSGSGPAQGSGAGGKRNVTASSDVPAAPKNIADGGKASLQPKRTPVSDKEIESIMLGGCI >A02g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4218488:4219851:1 gene:A02g501320.1_BraROA transcript:A02g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) UniProtKB/TrEMBL;Acc:Q9SZB3] MKMKILVTGSSGYLGARLCHALLRRGHSVRALVRRTSDVSALPPVSESFELAYGDVTDYRSLTDACSGCEIVFHAAALVEPWLPDPSKFVTVNVGGLKNVLKAVEETKSVQRVIYTSSFFALGSTDGSVANESQVHNERFFCTEYERSKAAADKIAMNAASEGVPIVLLYPGVIYGPGKLTSGNMVAKLIIERFSGRLPGYIGSGNDRCSFSHVDDVVDGHIAAMENGRLGERYLLTGENASFKLVFDMAALITGTKKPIFSIPLWAIDAYGWLSVLVSRITGKLPVISPPSVNVLRHQWAYSCDKAKVELGYNPRSLREGLEEILTWLKDLGLIQY >A03g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16873916:16875133:-1 gene:A03g504720.1_BraROA transcript:A03g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFARALPGATSRSDGMRSLCTKSRSDSSRATAQSRSPTFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYAHEFSFPLVKK >A05p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19129233:19129648:-1 gene:A05p033950.1_BraROA transcript:A05p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRTYVGNVEEVKTKIKSINSSKLQIILHATKRSCKKNIFIYTLKKMDAVVICVLRFMSVKSYKKL >A03p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16438368:16439608:-1 gene:A03p039510.1_BraROA transcript:A03p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S5-2 [Source:Projected from Arabidopsis thaliana (AT3G11940) UniProtKB/Swiss-Prot;Acc:P51427] MAAAVEIDAEIQQQLTNEVKLFNRWTYDDVSVADISLVDYIGVQAAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDANPIQIIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A02p025310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12295080:12295698:1 gene:A02p025310.1_BraROA transcript:A02p025310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTGIRSVSRLIASSESSVSRSVSRSFHSTGAKKMSGGHGHDEPYYLHAKHMYNLDRMKFQGLKMSLAVFTAFSIGVGVPIFAVVFQQRKTASG >A07g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6313347:6313612:1 gene:A07g503170.1_BraROA transcript:A07g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAYHSKRLDDVYYPFNNSISWLTTRTDEMKQDIAMIQKQHAVGVGTSKSITDHPAINRRPHPNIDRRSTCITRR >A06p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20908733:20909948:1 gene:A06p038910.1_BraROA transcript:A06p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISQNPSPNFPFFSDENFINPFMDNFDFTDLIFDVNEGGNNNGFIQEETSSPISIVSSETFTGESGGSGSGITTSSKKEPTGSKDGETKEMGHRVAFRTRSKIDVMDDGYKWRKYGKKSVKNNTNKRNYYKCSNEGCTVKKRVERDGEDASYVITTYDGVHNHESPSHVYYNDMVLSYDHDSWNQHSLLQAIQQISPPY >A04g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8290692:8291591:-1 gene:A04g503890.1_BraROA transcript:A04g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRTSRQDGRFISKGKNNSLRDINACQMPVGLDARDISASVNQTLMNMNYDGKLCLRLYGDTDVDKIGHTDDTLRFCGMPRTTILEQILVDLYGVSLFNRGTPFNMLLIVGDLSKDKAILDAFSLLPKRGWVNVLVCQPSAVEEAEFSSEVKLPPWGKIVDTAFAICEKKQKEREDSRKRSEETRASYLNGTAEVSRIFGEF >A06g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6924921:6929373:-1 gene:A06g501930.1_BraROA transcript:A06g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVFADLFRFVALGFVIFGDQVVLCEVFLYIIDLTVEFTSLQHVHHNSVINIEADIRALQLDSAEENNGVGGVIPADQNSDGVEIVDKMDEGGSKVYAVMRLNADSNYLILDIYPLSTDLKDEVQESAPVPDVQQAPEDHDQEMLHPVHNPAKAKEKAAQEKAAKEEAEEEAEANKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRQIQKYEKEAKEKSRESWYMAYIMDTNEEERAKVLPAVISVSLFPGKTVEVGRAHFETESTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKERYDEIEQKMVPFLKSSGYNTKKDVIFLPISGLMGINIDKSMDRNVCPWFSGPSFFEVLNAIEVPPRDPNGPFRMPIIDKFKDMGTVVMGKVESGSIREGDSLIVMPNKEHVKVVAIYCDEDKVKRAGPGENLRVRITGIEDEDILAGFVLSSAVKPVPAVTEFVAQLQILELLDNAIFTAGYKAILHIHAVVEECEIIELISQIDMKTRKPMKKKILFVKNGAAVVCRIQVSNSICVEKFSDFPQLGRFTLRTEGKTIAVGKVTALSGDGFRVAPRGGFRKVLLTKESGVP >A05p008540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3510382:3512473:1 gene:A05p008540.1_BraROA transcript:A05p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAIQILTGRWFMFFASLLIMSAAGATYMFGLYSGDIKTALGYDQTTLNLLSFYKDLGANVGIIAGLLNEVSPPWFTLIIGAILNFFGYFMIWLAVTKRISKPKVWHMCLYICVGANSQSFANTGSIVTCVKNFPESRGFVLGILKGYVGLSGAIFTQLYHAFYGDDTKSLILMIGWLPAAISFAFLWTVRNMKVVRQTNELKVFYNFLYISFGLATFLMVVIITDKLSGFTRSEFGGSAAVVIVLLLLPIIVVVLEERKLWKDKQVALNDPAPINIVTEKASLVSLEVKDDNERSTKVERVKTASCWTTIFSPPERGDDYTILQALFSFDMLILFLATICGAGGTLTAIDNLGQIGGSLGYPKRSVSTFVSLVSIWNYFGRVTSGVLSEIFLIKYKFPRPIMLTMILLFSCTGHLLIAFNVPGGLYVASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLINFGSVASPIGAYLLNVRVAGYLYDKEAEKQHKALGIVRKEGQDLSCMGTACFKLSFIIITLVTLFGVFISMILVVRTKKFYKSDIYKRFREKALATEMEMAPPVPARSTAVENGNDSGKVVGKGG >A09p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:702253:703757:-1 gene:A09p002850.1_BraROA transcript:A09p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFTCTSLPPLSLRSETKAAASSSLSARRLFAVSPESGGLRIRLSQSPSSIQPRVPRLRRGVVVVCEAQETTTDLVSIVNDSTWDSLVLKADGPVLVDFWAPWCGPCKMIDPLVNELAQQYTGKVKFYKLNTDDSPSTPSQYNVRSIPTIMIFVDGEKKDAIIGAVPKSTLTSSIDKFLQ >A06p036860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19977639:19979146:-1 gene:A06p036860.1_BraROA transcript:A06p036860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQVCMDSDWLQDESSGGSMLDSTSTSPSPADILAACSTRPQASAMAVAAAALMDGGRRLRPPHDHPQKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKPSASNSSSSTSSGKKPSHIVTTNTSDLMALAHSHQNYQNDSLGFSHFGANGMMGSSTALGHSNVGFLESKYGGLLSPIPRPIEFLDSKFDLMGVNNDNLVMGDPGSNGDHHQHHMGLNHGLGLNNNNNNDGFHGFCPASNGNGGGGLMDISTSQRLMLSNYDHHHYDHHHYNHHEDNQRVTSIMDVKPSPKLLSLDWQQCQGNSNGSGGKSDGGGYVGGSYISGLGSSWNGLMSGYGSSTKTNYLV >A07p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22189316:22204979:-1 gene:A07p041100.1_BraROA transcript:A07p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MSKNNAIENVPLSRFGVLVAQLESIVASASQKNPDPLLCFEILSDLISALDEEPKESILVCQRKCEDALYSLVTLGARRPVRHLASVAMAKIISNGDSISIYSRASSLQGFLSDGKRSDPQRVAGAAQCLGELYRHFGRRITSGLFETTVIVTKLVKFNEDFVRQEAFILLHNALDGCGGTAAATAYSEAYRLITRFSTLDKSFVVRIAAARCLKAFSNIGGPGLGTSEFDTLASYCLKGIEDSESSVREAFAEALGSLLALGMHPEAHVQPRGKGPFPPAKKLEGGLQKHLILPFTKAVGPRARNKRFGLALSWVFFLQANRIRYLGPDSELQDYSLLVMDMLRGDSSIDAHALACVLYILRVGVIDQMMESSQRSFSVFLGKQLQSSDASPSMKIVALRALSYTLKTLGEVPQEFREFFDDTVGAASSHFLDLVRVEAALTLRALAEVDPTCVGGLTSYAVTTINALRESLSFEKGGKLKTDLASLHGQAATLAALVSISPGLSLGYPAKLPRSVLEVSKKMLTESRRNITVASSEKEAGWLLLSSLLNSMPKEEFGDQDFDILILWTDVFTGNPEQLIKQPAELESTLRVWSAAVDALTAFVRRFVSCEDGILLQPVLANLRSALSYVSAMANKRLPDVKTLVDTLIIRVLIAYQSIPDPLAYKSEHQQIIQLCTAPYRDPSGFEENSCLKALLDKRDAWLGPWIPGRDWFEDELRYFQGGEDGLAPSVWESKISSFPLPETVKKTLVNQMVICFGIMFASQDSRGMLSLLSVIQQCMKARKKQQWRTASLTNICAGLLAGLKALHALRSQQLETEVLSTVQAIFQNILTEGDICASQRRAACEGLGLLARLGNDIFTARMTRVLLGELNGITDPNYGGSVALALGCIHHSAGGMALSTLVPATVSSVSSLAKTPVLGLKIWALHGLLLTIEAAGLSFVSHVQAALGLALDILLGEESGWIDLSQGIGRLINAIVAVLGPELAPGSILFSRCKSVIAEISSWQEIPTLLESVRFTQQLILFAPQAVSVHSHVKNLLLTLTSRQPIIRRLSVSTLRHLIEKDPVSVIDEQIEGNLFQMLDEETDSEIGNLILSTLIRLLYATCPSRPSRWISICRNMALATSTGRSAETNSTENDPSSARDNLGDDDEDMVSNSSGKSLHASPDKDKALRYRTRVFAAECLSLLPEAVGQDAAHFDLSLARKLASDTQSSGDWLVLQLQELISLAYQISTIQFENMRPVGVGLLSTILEKFKLVADPELPGHLLLEQYQAQLVSAVRTALDANSGPVLLEAGLELATKIMTSGIISSDQVAVKRIFSLLSRPLNDFNELYYPSFAEWVTSKIKIRLLAAHASLKCYIFTFLRKHHGEVPVEFEALLPLFSKSSDVLGKYWIQVLRGYSYVCLFQNPKRSQFSILDEIPPHTVSRRLQPCLDEAWPVILQALVLDAIPVNHIVDGFSDRSLVSRHRMVTLEVEDYQFLWGFAVLVLFQGMHPSSNTQVIPFGSTKVKNSGDSSIKESSFQGLKLHEIALPVFLSLSAERFFTSGFLSIDLCQELLQVFSYSFYMDSSWDILAVSVVHQISQTCPKDFLECEQFAYSTIELCLGYLFKILHRHNEISPDDDDIRDNLLSTVFVSVKTLVTRFELKNRLMSAPLALLLSGYKCIRQVPTDAYLPKALEIVKSTNELLLKLTRTSSQKPSTDAVAADSSVHLGAMFGACVHMVGDLTKDCIDGIHLVDTKRSGLRKLLQLKLAFCLEQLFSLAKFAYELHCPEDETETNSICIAVLKSCHISIAAVVKDSSVQVQATALQVLKSLVQRYNNTEEKSFVIFFVGELIGDIVSLMQRALLKPMIKESVVIAGECLRFIMLLQMHSNVDEHQKGFMSLFLESVLVVFSKTSDGVTQEVLEIRNAAVRLVSHLAQLPSSAIHFKDVLLSLPTTHRQQLQDIIRASVSQDSSTLKPKSLVPPMDIKLPAPVVATPEKVTSSAPLVVKAETLSTVPTSLNQVSTVESGIDEENDDEDDDEDDDDDWDTFQSFPASTNLEGSGSKTESVTEEETDLPVSSSIQDDESKKSPLAEEADDQHLASDPTADTTKEDSVDDSKGVEEEETVESSLIEEALLTSQNDEISSDDHLVEMKEELVESRKSSEPEIIGVDIKPPSTATDSPALDDTSDDLDPQHLEKSVQDDQVKCSNEHVLEKTVAENKSEED >A02g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21211297:21211883:1 gene:A02g507650.1_BraROA transcript:A02g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGKEMDERVTLNANGWVFFTNESGPNVTQVFRKMENLCLREIWMSKFHEWLEHGSKSWIVKKVGQDELQFGQFGRLVEDLAEAPFQTYAGQSGTRLGQSFRYGRKSEPQLKCSGCPDLHAGLVPRTNPQTEARHPPNSSVQVQISAPLVTPPIVDDRK >A06p010710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4089147:4090606:1 gene:A06p010710.1_BraROA transcript:A06p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVENEQVIAPAAENVEVPTKTVEEPEVTEQPEEVVATTESAPAPAAVTEEESEAPVVETSKDVVVEEAEEKEEEAEKETEEPKVEEEEEKTENPAVVEEPKEEEKEEETETPAVVEEEEKKTEAEEVVAAKEVAAEKAEE >A05p022850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11011284:11013217:1 gene:A05p022850.1_BraROA transcript:A05p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVFTSLYVSNLPKPKAIFNLNPPALSSSSCWLCNSQSKGNTKLRLNGSNHGVLRLHALFQNEEAPSESDDNLAENKGFGLLPADIFSLSQEKVESSLSGQKESHKIIDVETSLALPPHGGGTRAGLFRTPISGGVQNATSAHGLPPPALAVRNLMEQARFAHLCTVMSKMHHRREGYPFGSLVDFAPDPMGHPIFSFSPLAIHTRNILAEPRCTLVVQIPGWNCLSNARATLFGDVYPLPENEQEWAHKQYMAKHHQGPSQQWGNFHYFRMHNISDIYFIGGFGTVAWINVNEYEALQPDKIAADGGEQNLKELNAIFSKPLRELLSTESEVDDAAIISVDSKGIDIRLRHGAKFNIQRLAFEESLGVETLEEAKSALRKVIEKGKAHNL >A04p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16262000:16262513:-1 gene:A04p027090.1_BraROA transcript:A04p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLVGATLGNALTGFIVLEQRKRIHESLALPKSQSMDQSQVRDGMLGKQYRMEFASLWNKAVDQTFEPAIEYLSSLKW >A02p031260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16188713:16190070:-1 gene:A02p031260.1_BraROA transcript:A02p031260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRAITTICILLCLFLSASFFTYSVDARKLVGHERDSKKKPKKLIIKALKHTSMLEKMMTQLNLAQPLDYSTTSCNTQPNNVSATLTLSPYVPLAPLSVPENASPFCVNPPNTPLNSPPSSSYPELSPPPGPINVPNPAESSSNPNSNPNTPDSTSNPNSIPNPPETSSSNPNPPVTVPNPPESSSNPNPPESSLNPNPPVTVPNPPDISSPNPPETVPSPSVPGYTPGPSGPISGPPYSEPGPSTPTDTPTPSGNIPTPSSGFLPPIVYPPPMVPPPPSVTPTSAYWCVAKPSVPDPIIQEAMNFACGSGADCHSIQPNGACFKPNTLWAHASYAFNSYWQRTKGSGGSCSFGGTGMLVTVDPSFNGCHFDFF >A02g505100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:15930434:15930784:1 gene:A02g505100.1_BraROA transcript:A02g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A06g501240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4414065:4414598:-1 gene:A06g501240.1_BraROA transcript:A06g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHHLNNKERHASPEHLTVTDLDPNSEVTAGENRKRPRNSPDHAGIITPTPELTGTFNRTTETTSNNCIGSYMDSVSSIAHDEENQSKESRSHPSSGSHHHNEPLIDLGHSPGNQTNHPISDFSAISVKDDRNTIRISSPDPDVGWFRRRRRELLEARRATRRREDRRVPETIIITR >A03p028200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11800047:11801470:1 gene:A03p028200.1_BraROA transcript:A03p028200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 76D1 [Source:Projected from Arabidopsis thaliana (AT2G26480) UniProtKB/Swiss-Prot;Acc:O48715] MEEIRQKRVLMIPAPFEGHLPSMMNLASFLCSKGFAITIVRTQYNFYDISADFPGINFFTIDDGLSESDMSSLGLLDFILELNSLCEPLLKKFLTLKDDFDFIIHDEFVYFPRQVAQDLNLPKMVFSASSAATSISRCMLMENQDKWLHHGEEARSKLEELVPKFHPFRYKDLPVTAYGSMERLMLLYHNVSKRDTSSGIIHNSSSCLENVFTSAAEDTWGVQVYPVGPLHMTDSAVTCPSLFEEERNCLEWLDKQETNSVIYISMGSLAMTQKEEFVEMAMGLIQSNQPFLWVIRPGSITGQNSVDSLPEQFSQALTHGDRAFVVSWAPQKEVLRHRAVGGFWNHCGWNSSLESISSGVPMICRPYSGDQRVNTRLMTHVWQTAFEVEGELDREIVETAVRKLIVGDEGKEMRRRANELKKQVEDSVRIGGSSYSALMSLVDSIMSS >A02p059910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35743263:35744896:-1 gene:A02p059910.1_BraROA transcript:A02p059910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTGNGHGQDSSYFLGWEEYEKNPYDEIKNPNGMIQMGLAENQLCFDLIESWLAKNPDAASLKRNGQSIFRELALFQDYHGMPEFKKAMAEFMEEIRGNRVTFDPRKIVLAAGSTSANETLMFCLAEHGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITEAALQQAYQQAHKLDLKVKGVLVTNPSNPLGTALTRRELNLLVDFITSKNIHLISDEIYSGTMFGFEQFISVMDVLKDKKLENTEVSKRVHVVYSLSKDLGLPGFRVGAIYSNDEMIVSAATKMSSFGLVSSQTQYLLSALLSDKKFTSQYLEENQKRLKSRQKRLVSGLESAGITCLRSNAGLFCWVDMRHLLDTNTFEAELDLWKKIVYNVKLNISPGSSCHCTEPGWFRVCFANMSEDTLDLALKRLKTFVESTDCGRMISRSSHERLKSLRKKTVSNWVFRVSWSDRVPDER >A05p045670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27292498:27296121:-1 gene:A05p045670.1_BraROA transcript:A05p045670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRGVRRGRVPDAVAPNQQPKDKNQRKSNGKAVAVGVTERPRTRLAARRLKEEDKKVTLKENLKDSDIEKKKGKEVVVEEEEEEEEEEEEEKEVMAIGNDSGGSNKAAAQEEEGNTAPFPERVQVGGSPLYKVERKLGKGGFGQVFVGRRISGGNDRSAGASILEVALKFEHRSSKGCNYGPPHEWQVYKWVTLGGSHGVPRVHFKGRQGDYYIMVMDILGPSLWDIWNTSGQAMSSEMVACIAVESLSILEKMHAKGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWREGGGSGQHVEYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPDMLCCFCPAPFKQFLEIVVNMKFDEEPNYGKLVSLFQELLGENPAIRPINTEGAQKIIFQVGQKRGRLSIGEEEEDAPRKKVRLGVPATQWISIYNARQPMKQRYHYNVADSRLAQHIERGIADGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPVFLHKEWIMEQWEKNYYISSIAGATNGSSLVVMSKGTQYSQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNSGYSEQVVELDFLYPSEGVHRRWDGGYRITSTAATTDQAALILSIPRRRLADETQETLRTSQFPSTHVKEKWGKNLYLASLSYGRTVS >A01p022000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10830232:10832879:-1 gene:A01p022000.1_BraROA transcript:A01p022000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEHMIVEEFNEEDFYENIEAPKFVDLTAPDHRPEGDDRYWFCSRVGCDQKHEEFMDSEAIYKNFVLRVMAARSPSVRLRKALYRKDFSVEPKCPNTVPAKPSRSRVSRLAMISSIPHKANNLRSKEVKAIPTSKIATPKTKAVKGKESSSVPHKALTERKKKQMQSPAAFRSVQHPRPAAATRATESRVVAKALVFGSPKKLVKLKRSVELSSSVKKLCSGMRKLEVGSKIKGLGVNNKVEASTTPSKTPLRTREVKSRVFDSVLSQKKQIGEKVKGSATLKKRVKEKKEPVVSSDPSKAHEAKGKEHENQFLVENKSEENASENALALDCDDKENATIVTVDREDTCVLDKVKQSGETTETEDKENVANASDVDAENDDKENASALDNNRKVDQSPSPMVKRKVFGRKETCKTTPKAMTIADKCFNGKTVSANPTVKYTKPKLTNPKPFRLRTDERRILKEANAEKKPQCALAKEEETTNILGVHAEKHQSVRLEKNTTSRVKASRGTSTTLVRDDASGDMVNSKRVASGTKKQVAASKRVVTMEETSLMNGESKEAAIINNKPSVCAVASGEKRHATVPKGPNFHSIHVPKSCTKRVASHV >A03p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15777191:15780153:-1 gene:A03p037750.1_BraROA transcript:A03p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETSDHTSVPASVVTQIPFLVIFKDLTHVFSKDAIGREILGIAFPAALALAADPIASLIDTAYIGRLGAVELAAVGVSIAIFNQASRITIFPIVSVTTSFVAQEDTMEKMKEDEAKKNKLVHANTLAVQDSLEKGIASPTSNNINQPQQAPALDAKPSSGNKEKKKEKKNIKSASTAMIIGLILGLVQAIVLIFSSKVLLGVMGVKPNSAVLSPAHKYLTIRSLGAPALLLSLSMQGIFRGFKDTKTPLYATIVADVINIILDPIFIFVLHLGVSGAAIAHVISQYFMTLILFIRLASKVNLMPPNFGALQFGKFLKNVYINGRCLTSCNIVHTKMKGGLLLARTIAVTFCQTLAAAMAARLGTTPMAAFQICLQVWLTTSLLADGLAVAGQAILACSFAEKDYNKVSSAASRVLQMGFVLGLGLSVFVGLGLFFGAGIFTKDPAVIHLIAIGIPFVAATQPINSLAFVLDGVNFGASDFAYTAYSMVGVAGISIAAIIYMAKFNGFLGIWIALTIYMGLRAITGIARIATGTGPWRYLRGRSPSSY >A04p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21409430:21411183:1 gene:A04p037510.1_BraROA transcript:A04p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNGQQEPYRTNSFSRESSLASSLSLSRSLPLFISTNDVDDSESVSEAGDIGDRSLRRRHSSGRSNRLFSSEDLIEQGGDDTSRQEQGSVIKPLPEHMTTSPLPTKSLLNNPVKKEEPVLPKSLEYISCLIHLAVFGILGAITRYLLQKLFGPSVARVTSDGSILYLDLPSNMVGSFLMGWFGVVFKADITRVSEFLAIGLSTGYLGSLTTFSGWNQKMLDLSADGKWLYAVLGFLLGLFLASYSIILGVETAKGFRWLLQRRASSSKEERNSCLLGVNTFRSHIVSMTLMVVLLVALLAVSATQLVKEFDKGTSEAQLWLGCLVAAPGVWLRWFLARLNGRGLGKDRQYLRWVPFGTVIANVAAASAMAALATLKKSVDTTTCNTVASSIQFGLLGCLSTVSTFMAEFNAMRESDHPWRAYAYASFTIAVSFAIGTVIYSVPVWVVGFN >A04p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6825897:6826868:-1 gene:A04p011240.1_BraROA transcript:A04p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRRGPSSTPQSRKIMVIADPTRESAAALQYALSHAVLEQDELILVHVENNGGSWKNAFSSFLRLPSSSSSSTSGSSPGANVNANIANTVSSLASEIGQGEGNFLEQMKRICEIAQPKVRVQTECITMDGIKAAAILLHGDKLGVEVIIIGQRRTISSSLLGSMRPGGSLIGSKGVDTAEYLIENSKCTCVGVQKKGQNGGYVLNTKTHKNFWLLA >A06p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20934431:20936148:-1 gene:A06p038960.1_BraROA transcript:A06p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLLYTHTQTKPNKFQRRMSYKVARASQYLAITGGGIKDIKLAKKSWVFPWQSCTVFDVSPVNYTFEVQAMSSEKLPFVIPAVFTIGPRVDDPHALLLYAMLMSQHDKHSNHVNELVQGVIEGETRVLVASMTMEEVFKGTKEFKKEVFEKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEVGAKERTGLTIQNAAKIDAESKIIATQRLGEGTKEDIKVKTEVQVFQNEKEALVAQADAALAIQKAALTKNSRVAEVEAGKAVAMREAELQTQVEKMNALTRTEKLKAEFLSKATVEYETKVQEANWELYDKQKKAEAVLYEKQKQAEATKAAADASFYAKQRDADGLVAMATAQGTYIKTLLDAVDNDYSAMRDFLMINNGVYQDIAKTNALAIRDLQPKISVWNQGGGAGVDQGMGSGGMKEIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLRGAESRP >A08p034660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20572990:20574429:1 gene:A08p034660.1_BraROA transcript:A08p034660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMASPTWDWDHLIMSNPSKTENDENQQPSAEWEIEKGEGIESMFPCFDGLEKVSSGSPSGFWHSQSTSTNSSSPKVKQTNLASESSPGDSCSNVDSVYVKASTAAESDLCLELGKQTYSEEFWCRDNNDLSAVSMNARKKQSVQVPRCQIDGCELDLSSAKDYHRKHRVCDNHSKCPKVTVGGLERRFCQQCSRLHAVSEFDEKKRSCRKRLTHHNARRRKLSGMFPLNPERVCDRRQHTNMLWDELSLNTKSEETFAWDTTYDTKPTQIESGFTLSFQRGHGRPDEQVVAGSSRSFSPYQTSSGFQLPSKGGVGEYSGDLHESQDFYSALSLFCQRLRIHVGPNTIPWSSHSQYMALSLLILCR >A05p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26097959:26101426:-1 gene:A05p043260.1_BraROA transcript:A05p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRQRSGAAAAVHHQRQLSDNLLDMSSSNGNRWLQSSGLPHFQPPANDYGYYAGGGGQAATRGYHQNAPRGNDFFGEPTTPQYSSRPSSQRKNTADESEFSPGLLDLHSFDTELLPEIPVSSQLDGPSMFNPSRSQSFEDFEAYNKQQTNRSSRVLADNLAAEKERMNAVAKIKVVVRKRPLNKKESAKNEEDIVDTHSNCLTVHETKLKVDLTAYVEKHEFVFDAVLDEEVSNDEVYRETVEPVVPLIFQRIKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLSERKKLCMREDGKQQVCIVGLQEYRVSDTDAIMELIERGSATRSTGTTGANEESSRSHAILQLAIKKSAEGNQSKPPRLVGKLSFIDLAGSERGADTTDNDKQTRLEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNGSKKDVSSSTMNLRESTKIPLSSALPTPSNYEDDVNEMWNEENDEFDASDYEQEKQMWKKNVKPEPSYNVMAQERIPKPSIPVKSRDMPRPDMKKSNSDDNLNALLQEEEDLVNAHRKQVEDTMNIVKEEMNLLVEADQPGNQLDGYISRLNTILSQKAAGILQLQNRLAHFQKRLREHNVLVSSTGY >A09p066390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52717900:52719789:1 gene:A09p066390.1_BraROA transcript:A09p066390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHKTEEDLFHHHDLDPTNLLSQPPHPSIHHHHEEDPTFSLPEFVLFRSSPSLDSPSHSSDEQDSLSHPTPETPKIPNPRISISTQEPRDWDYINPDPHISSQFYTFNSDSHSLMILCLREGRLASPAEIRVATPRSVLKSWRSVWKDRNEDTAYVTAWKRIQDKLTARLDPASGNEFLCFKNNTRQFVSHVSQWQDIVMGFHGDGDLKHLGVRETIDRIKQVWTVGAKLYGIPESFIRVCVAACGVCNAVTGSGSRNKRRRFEYTESFDVPAKDVADRLQELAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPASKKSRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYEEEGTAVFKMYAVHSGHEPGAMDGNARIMHRLVGHKGFLMEHDVVYGVREELESEEIGKDDGGDMRLSVLHQVHELRSELGTLEGKIGKIPEEMLGSDTELIEDDEDSFGRSLDDVVPWEQIRPPSGCTSPKDILSETSCKPEKWLKCNDFDEKSILNCEDSKLTKPMRDDEGIVSDVGLVGIQVDSFYQENSKWYDSPCEEDNGFRRGEIL >A05g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12026273:12027870:-1 gene:A05g504380.1_BraROA transcript:A05g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIKGKGILYEDDDEPIKLTDHDISQNINEFKLSLIGKILNPKKQSVEKLLHKMPVQRGMEDRITANDLGNGKFLLNFSTEDELNSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLKEIGSRLGHVHQDTIELIEDKMLLDIDSRRPLKFARKAESPDGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCPSFHRQGFFARVQLQENRPQQHLQALVKKEYRSTDTHPQALVTSHHKLSRYDSARYDKGGRSYDLEHSREVYKGHGDRIIRRRDDPSRRMRYGGARAVTKPYDRYNGVTWREKKQQPQPQSQPRHDNDVVQDRLVRVSADQGDGSYGHPRSSVSSPPRTSDKRAQSGREASPLQSQARTSPDQRSLGVAVVTRRIASAIVTPSRSDHSLDRNVTKRLKGTPRSLAFNSLTEQDPKPAMEDDQVIEALNDMDITEQLDGGMMDCEMQNDDLMGLELAEMEDKTCRDRADYVADQKTQKMADKSSRHTKHEKEI >A08p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17427579:17428446:1 gene:A08p027620.1_BraROA transcript:A08p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKASSNGYDFSAFTINTHTSTQEQHRVQEIITSFGEMGLVKTFDVYLMIVMLAGLVFALGLSNGYKFYVGGKDGWVLTPSEDYSHWSHRNRFQVNDTLYFKYPKGKDSVLEVSEEEYNTCNTTHPITSVSDGGSLFVLSRSGPFFFVSGNSENCLKGQKLAVNVMSTAHHSRSPRQPSPSPSPSPSPTLSPIAWSSPAPSPGVVLSDSEALAPAPEPAKARNSACLVGPGMVSLGLVLFVFIRSMV >A08p026900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17097465:17098849:-1 gene:A08p026900.1_BraROA transcript:A08p026900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMAKQETSESGSLPTTSPKAKLVRTCEKSVEEQLQERLRVPRWLRMERCGRAFVSNSPEIPPHDMGFDLDFNSDTPTLIEPEWGDDYDIGLYGRIGLQCHNLQKGTNFKFKRWEKHSSWDAGSMDFYVTLDAVDPDIGSVFSFQTLLRDQGRRSPLGVRLLLCNIVSRFTPPKIRGQALKVDYEWDEDTVPDFYKGPLPKWFSDEALERDSKKYYVVPESELHDNDWLQLLMEVAFFSKSDRCLDAYLPLELNKVVVETLEDYTTEAREKLKADNAIFYISYKCSTDPFSVTLSGYPHLSTTLVGDHLAVVRKTMDGKPEHISLEVSLTRKQEKE >A06g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15658046:15658805:1 gene:A06g505310.1_BraROA transcript:A06g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGLFDNLYNNEITATVVQAVRAGTDPQVTAQKIAALARQRTHDKNRQTPFSTAAQDAGFRYYGGKLDDIVWSQDTHVTTKKCDTNEQQSDPISVPGHVRLNVATPTIKMLFD >A09p021760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11762496:11773597:-1 gene:A09p021760.1_BraROA transcript:A09p021760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFHLYVDRETSEASERSHHGDTLIKFAISHNMEKLSFVLNAYYVFPDFFFSNSSLKQFIYFGIRPKCTVSWTSLQNLSLRNSSLGDSFTKVLSGSPMLESLTLQSCLLSCLDLSESPRLRRLDIDFKNSSPIKCHIMKMVAVEIIQNYDFKVVDSQKIEPVPSVILRIKHGLKGFCAEKRESKWRNRVTRRRQKGRESLFGVLIAFIFFLVFLCFFLCKKSHNVALLKNWPFLGMLPGVLFNLPRIFDWLSEVHEANDMTFAFKGPWFSGTDMLFTVDPRNVNHMLSSNFPNYHRGPEFRKIFDILGDGIVAADMELWEDLRKSGHALFHHQNFLELSLSCTTSKLKDHLVPFLDKAAEENIVIDLQDVFKRFMFDTASILMTGYDQMSLSIEMPEVEFSEAADFFEEATFYRHLKPVILWRLQYLIGVGTERKIRTASEIFNSLFAKIISSRRKEEISRGEKEPGIDAVTYYLNADTTKYKLLKPSNDKFIRDVVLSLLLAGRDTTSSALTWFFWLLSKHPQVMTKIRQEINAKFDATDLEKLVYLHAALSESMRLYPPVPFNHKSSSKSDVLPSGHKVVAGSKIVISIYALGRMRCVWGEDASDFKPERWISENGGLRSEPSSKFLVFSAGPRTCLGKRLALLQMKMVAAEIIQNYDFKVVEGQKIEPVTSIILRIKHGLKVTVRLIEIRTAMEEPPKEESTAPAGVDSVSSLPDEMLHHILSFVPTKIAITTSVLSKRWRHVWCKTPYLSFPHHKSSLESIHETLASYTAAKITRFHLYVDRETPEASERSRHHVDSLIKFAISHNVEKLSLVLNAYYAFPDFFFSNSSLKQLIVDSWNYIRPKCTVSWTSLQNLSLRNSSLDESFTKVLSGSPMLESLTLQSCSLSCLDLSESPRLRRLDLEFFNSSPRKCHIVAPHIHYLRMIDFTQKYSLVDVSSSLIEANIDTIYFLPRFWCTQDDPSKDPSKEDYQVMMQTMLENLQNVENLTVGLSFLQMLSIAEFSGVHFPTFKVKTLTLKTTILRSAVLGIAKLLQNSPELNKIVFYKTEDWNCSVEKYVNRYMEPQDPQDLIFPAKSAFKVAKPDLVASFMELLLRNTRKLETLVLQLRSCLNTSNYDEVSQIALTLSHKNKVSIVLK >A10p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:449084:451931:1 gene:A10p000910.1_BraROA transcript:A10p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTSGDELLTKARKPYTITKQRERWTEDEHDRFLEALRLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEAEAKGIPVCQALNIEIPPPRPKRKPNTPYPRKPGNNGPSSSQVPSSAKLVSPASSSQCNQAFLDLEKVPISEETSNGKENQDDNCSGVSTVNKCPLPKKVTQPSLRKESAANGTSKASNVNTQFHPPGMVSQDLMFCPMGDRVHGHVNLPATTTSSATTTTTSQQAFPACPSQDSFLHFSSTFPNLIISSLLQNPAAHAAATFAASVWPYSNVGNSGGDSSTTQMSSSPPNIAAIAAATVAAATAWWASHGLIPVCAPPAPVTCLPLPTFAVPTQATDKMETVENDQEPLEKQNTALQDKNMASKSPSSSSDDSEETGVTKLNAAGSKTNGDKEEVVAAALHDPNASPKKKLVDRSSCGSNTPSGSDAETDALDKMEKDNEDVKEADPNQQPSGIELSNRRSKIRDNNNNNQTTDSWKEVSQGGRKAFQALFARQRLPQSFSPPQVAAENVNVNGKQSDTSMPMAPDLNKVQDSCDADQESGVVMIGDGTGKSLKTRQSGFKPYKRCSMEAKESQDGNANNESDEKVCKRIRLEGEAST >A10p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17345879:17347607:1 gene:A10p027580.1_BraROA transcript:A10p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNQSILSVPRSRGIEESKCRTINDERVTPIIDSKSSSNVIFSSFRSACQWRRCVDQLQRWIFQILRLWASLWMDLSSLPSSSPASSVEFSGDSAAYDIFSVVSCGSLTSGVQSVWRLKQHPSVLDGCACLVGWWGSLVNVSLSPGWRRVWSGFCAALAPILSGSAFLLDYKDVTIKAPVESCCIYGERPFTSYCFSGRDGLVNVGFRISLQLILGCVL >A03p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12865538:12871261:-1 gene:A03p030680.1_BraROA transcript:A03p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFDAGNLLEDEAAEVEHNDMAGFEGERCGICMDIIVDRGLLDCCQHWFCFECIDNWSSIMNLCPLCQREFQLITCVPVNDFGESSKVDEVSLSGDEDWCAEEETDALSSPSHYIDENAVICLDGDFCKIRNTFNYIGGDSNLDTSIACDSCDTWYHAICVGFDLEIASEDTWVCPRCLSSEKPLESDASPMETTKPLEIPESTNSGCSVEAIYSGNFSVTVADDGETALVVSTVKGDEWPMKPSDTNASLVQAMVYGDPNNSGRKENTEQVPGKSELMQSLPHQLSSELLLESNQPLFAAQMEIRTDSQYALSLVASGRDIFAFSATVEPVIGLEEKECLPVNVEKSLSSTAFSNSDVASVVSLKRKHSDCSGNDDNSKIKAEIAERLVKPKLEEMEEKAAFRHESRSPSNNTTVDIFSIVKGFGSKKKPTLPNTTDKSSEGENAVGLRVKKIKKTPEDDKESLVLVEKLRKEIREAVRNKSMEDIRKDQFDPKLLAAFRAAVAGSKTDEAPRKIPALALKAKKLMLQKGKVRENLTKKIYADTNGKRKSAWHRDCEVEFWKHRCMKAGKPEKIETLKSVLSLLKKNPADTEKNVSSEAPQASNPILSRLYLADTSVFPRNHDIKPLVGSKETGMEKTLPKSSDVKGSNSNAAGSKINSGSKPPIFENPDELKKDKRKWALQVLARKKALAGSNSSEDKEGSPELKGNYPLLAQLPADMRPNLATSRHNKVPVAVRQAQLYRLTEHLLKKENLLEVRRSAATELAVADAINIEKVIADRSSSKVVYLNLCSQEILHHSESKAMDTNAVEPTTSPPLSNNGTEHVDDKDSDDLAVLQALRAAGLVDSPPNSPNRSSEVPPDKEDSALDKAKEVGPDSVLDIGSVPDTDIFGDFEYELDDEDYIGATKATKAAETQQDEGFTKVKVVLSTVQPEKVLNQSEVLGNEETTINREGTTNGEEDGKSFVPMEPVPEAEAEAEAEGEGEGGEILSLAECEELYGPGTEKLVEKPLTEGSADNGLQAKAPDSECESNTHREFMASNFEKTSIQEKKLLTRIPNCNPSEKPSKEEKSKADGFSDSIIKKVEAYIKEHIRPLCKSGVINVDQYRWAVTKTREKVMKYHSKAKSANFLIKEGDKIKKLAEQYVETAASSSTGAHHKEKDKCK >A01p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26251324:26253682:-1 gene:A01p046620.1_BraROA transcript:A01p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPRPEDFDTNAGHPTPTFPAGGSRKKGMGVRTWLVLCSSGKEQATEAGKHTIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITGQEVLILNSKDPSVAPFIEELQRRIRCHHHATKPQEGVNTEGAAQGEAGTSQLFGEEAKKGAKQSLGNQDGSKVLPFEFVALEACLEAAITSLESEAVRLELEAHPALDKLTIKISTLNLERVRQIKSRLVAITGRVQKVRDELEKLLNDDEDMAEMYLTDKLAQKLENSSASSTSDTVVVDLPEGDEDDRHHLPFFYHDLARFRTEVSSESCHRGSDAHNLLMRAHSALSRNSRGTSSTRSGMTNKLNVQELEMLLEAYFVQIDGTLNKLSTLTEYVDDTEDYINIMLDDKQNNLLQMGVMLTTAGLVMSLFIAVAGVFGMNINIELFNDEVAGPGKFMWTVVGGTAGSLFLYFGAIGWYKHSRLLE >A05p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8216384:8219188:-1 gene:A05p018010.1_BraROA transcript:A05p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic enolase 3 [Source:Projected from Arabidopsis thaliana (AT2G29560) UniProtKB/Swiss-Prot;Acc:Q9ZW34] MSVQEYLDKHTLSRKIEDAVNAAVRAKTSDPVLFIANHMKKAVPSVITKVKARQILDSRGIPTVEVDLHTNKGVFRASVPSGDSSGTYEAIELRDGDKGMYLGNSVAKAVKNINERISEALIGMDPKLQAQIDHAMIDLDKTEKKNELGANAILAVSIAACKAGAAEKEVPLCKHLSDLSGRANMTLPVPAFTVLSGGNHASNHFAIQEIMILPVGASRFEEALQWGSETYHHLKAVVTEKNGNMGCNVGEDGGLTPDISSLKEGLELVKEAINRTGYGDKIKIAIDVAATNFCIGTKYDLDIKSPNKSGQNFKSAEDMIDMYKELCAGMFIPLILDFPLGVVGDDLLMSNSKRVERAIQESACNALLLKVNQIGTVTEAIEVVKLARDAQWGVVTSHRCGETEDSFISDLSVGLATGVIKAGAPCRGERTMKYNQLLRIEEELGDQAVYAGEDWRQTL >A08p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23938066:23940134:1 gene:A08p042770.1_BraROA transcript:A08p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAGEKEEHIGLLKLAQTLSFLLIFMAGIIIGLAASSHIDRYFNSLPQMLSSSASVQTVPDYSNCTVIHRDCSNDDDDVKAAKKKAEIRDCWSVDGFVRPEEVRHGMSDDELFWRASMVPVKEEYPYDRVPKVAFMFLTRGALPMLPLWEKFFRGNEKFLSVYVHTPPGYDMNVSSDSPFYNRQVPSQKVAWGSPLLTDAEKRLLANALLDFSNERFVLLSESCVPVYNFSTVYSYLINSAYSFVDSYDEPTRYGRGRYSRKMLPDIKLHHWRKGSQWFEVNRKIAIYIISDSKYYSLFKKFCRPACYPDEHYIPTLLNMFHGSVNANRSVTWVDWSIGGPHPASYGADNITEGFLQSIRKNETDCLYNEEPTSLCFLFARKFAPSALAPLMNLSSTVMRF >A09p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10361719:10362868:1 gene:A09p019580.1_BraROA transcript:A09p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSAPKSSIKNVTLSMSSSSISIASTCLAGCAKFLIGGTSIPFIQPYQANEQVTLWVNKVGPYNNPQETYNYYSLPFCRKTENGIHKWGGLGEVLGGNELIDSQIDINERMGCHKNVDRSVICHLELDEAKVKHFKDAIENSYWFELFMGMYHIYLYILLRKKYQRKKIDSLDCRRSA >A04p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21159679:21162127:-1 gene:A04p036980.1_BraROA transcript:A04p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLIAAATIAAVVSYKLIQRLRFKFPPGPRPKPIVGNLNDIKPVRFRCYYEWAQTYGPIISVWIGSILNVVVSSAELAKVVLKEHDQKLADRHRNRSTEAFSRNGQDLIWADYGAHYVKVRKVCTLELFTPKRLESLRPIREDEVTAMVESVFRDCNVPENKTKGLQLRKYLGAVAFNNITRLAFGKRFVNAEGVMDEQGLEFKAIVSNGLKLGASLSIAEHIPWLRWMFPADEKAFAKHGARRDLLTRAIMEEHTLARQKSSGAKQHFVDALLTLKDQYDLSEDTIIGLLWDMITAGMDTTAITAEWGMAEMIKNPRVQQKVQEEFDRVIGRDRVLTEPDFSRLPYLQCVVKESFRLHPPTPLMLPHRSNADVKIGGYDIPKGSNVHVNVWAVARDPSVWENPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLGINLVTSMMGHLLHHFVWTPPQGTKPEEIDMSESPGLVTYMRVPVQAVATPRLPSELYKRVPFDM >A03p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15609928:15611321:-1 gene:A03p037300.1_BraROA transcript:A03p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESSSMATKIGKEEDVEVELELCLSLGGPFKKSDKAIIMRCANDIGVDLNDGTTTYEVKETGKKREAKQQQRSRGEGGECKRIKTECKKATNGVDLGLSFGDMGNGYGSGRYKENSKDVTIGSPISSTSDVSDPSSSPCQEGGTSELGENPDQTKPVRFPVNNTLTGTEGTVRITDGPNDAVVEETQECSNSIAKETGKPPKPRPNSNGVNGSLLPFAKMPCVTSTGNGPDGKTVNGFLYRYSKSEISIICVCHGTSFSPAEFILHAGGTHVPNPLRHITVVPSTF >A03p021190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8754688:8755997:-1 gene:A03p021190.1_BraROA transcript:A03p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSLALSTFNPKSLPFSISKPASTPLLPPSVSFKLNSQSISLSIFASSAASRFLRNVAVTDDFSVEEEEEDSIFSDDAAPPPQQEQSFSADLKLFVGNLPFNVDSAQLAQLFESAGNVEMVEELDGRPLRVNAGPPPPKREDSFSRGPRSSFGSSGSGYGGGAAAGSGNRVYVGNLSWGVDDVALESLFGEQGKVVEARVIYDRDSGRSKGFGFVTYNSAQEVQNAIRTLNGADLDGRQIRVSEAEVRPPRRQF >A06p015600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7002067:7002591:1 gene:A06p015600.1_BraROA transcript:A06p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSCAPLITSSGVVKILSPFTGTLDVFSKPIKALDIVSQNSGQFITDSTLLQIGHRVTAVSPDEFLRPRRHLYLLLPTDMLFSVLTHEELALISEKAAEILNERRYNHLKRVFPVCMFPVSGDKRRNSSSSNEDDHHDHDGVEIRDTLEEKVLCELNYGSWRPGLETIVES >A08g501800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4361320:4361775:-1 gene:A08g501800.1_BraROA transcript:A08g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIRSLRSNQVRAKARSLRSDRASVPLGRYVAIEFGQARSRRSDRASVLLGRYVATELFRNVDTTLVHAFSSNLRCYLPKTIANSVHVFCYSKSSIKLYRLKPRKVRSLSKEIVVNVSSRKTAQRDLKHNSRPTLRFLNQKPVNHGTIYV >A04p014550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5601553:5602431:-1 gene:A04p014550.1_BraROA transcript:A04p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKVRKIDLGLILLPIHSHSTTEMHYIPIFFTNQPLNKTRPLCISIANPLKLPKETSKQRPQATSDWSTPTDGGNGEAAGERSGGSGGEAELRESFQSRGSGGERGKWRVISSITTSLNNWGYRRFQEGIGDCSMRSSGRQIWLPGKYVEFLVFFDRLTCSFFEFWICLVLTLLGYLHGILYALYVLTK >A09p025030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13932202:13932974:-1 gene:A09p025030.1_BraROA transcript:A09p025030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRTDNEFINSLVVEIQRLLDHMAVKGTPTREENHHGGSMVPARKLEKEAKQQRVSLVPAKNLDREVTLQGGYMVPSIIPARQLQITHCENSQKWAWSFINEAPNYGELEVAKMIKIHWLKIVGTYDDTSNLTPGAKYEAVFLVKLEDNAIGWEQPVTLKLKVEQHDGSDDNRVDRNESLKDYIGHNWVDILAGVFVVPPRNKPAKITFTMFQHVTDDKKRGLVVKGVAIRPTN >A09p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5459016:5466227:-1 gene:A09p010780.1_BraROA transcript:A09p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMNDPFMRNQAVQARPKVQNRSNVLQLKLMGQSHPTGLTPNLLKLFEPRPPLEFLPPPEKRKCPPYTGMAQFVSGFAEPGDPEYAPPKPEVETPAQKRERIHKSRLEKGVEKAAEDLQKYDPNNDPNASGDPYKTLFVARLNYETSESKIKREFEAYGPIKQVHLVTDQQTNKPKGYAFIEYLNTRDMKAAYKQGDGKKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVTGEKIAGEEEQQQPSQARTSRSEEPKAREDREKSRDRGKEREREVSHERSRERSHERSRERSRDRPRESHREDKHHRDRDRGRDRGDRDRESRRDRGDRDRRSRDHDRERSRKRDRDYESGEYEEEGEYERGGSKQRRGESEEGHGYYEGRSRRSSHYEREEEQGGDQDRYDDRYGRVEEEEYRYDDLLSKSDGDCSVISNRIVLLLRRWVPVTASDEKTKKDASADSSTSVLSDEENSEEILVGKEILLAKDGDSSLISEAMAQEEEQLLKLREDEEKAKCAESGEATDLNDTQFTKLDELLTQTQLYSEFLLEKMEDITKNGIEGETQKAEPEKKGGRGRKRKPATQAASMKAKKAVAAMISRSKEGHESANSDLTEEERVMKEQSELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLSHLKGNGLDGPYLVIAPLSTLSNWMNEIARFTPSINAIIYHGDKKKRDELRRKHMPKTVGPKFPIVITSYEVAMNDARKNLRHYPWKYVVIDEGHRLKNHQCKLLRELRHMKMENKLLLTGTPLQNNLSELWSLLNFILPDIFASHDEFESWFDFSEKNKSEASKEEGEEKRKAQVVAKLHSILRPFILRRMKCDVELLLPRKKEIIIYATMTDHQKNFQDHLVNRTLEAHLGENAIPGQGWKGKLNNLVIQLRKNCNHPDLLAGQIDGSYFYPPIEDIVGQCGKFRLLERLLVRLFAKNHRVLVFTQWTKILDIMDYYFSEKGFEVCRIDGNVKLDERRRQIDEFNDEKSSCRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVEGRVLKRAYSKLKLEHVVIGKGQFHQERAKSSIPLEEEDILALLKDDETAEDKLIQTDISEEDLDRLLDRSDLMITSPGETEPEAGEAFPVKGPGWEVVLPSSAGGMLSSLNS >A03p036720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15372624:15376062:-1 gene:A03p036720.1_BraROA transcript:A03p036720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPFFFGKVQFSFYDVSMASSSASVRLTNPPPHALTSPRPSPTSAARFKSNLFSTKLSSLQIRSVPVPVELSDQRRRRTMKPSNVYVASGSMETEIGSQELAKNPSLICAPVMGDSVDEMVIETCKAQDLGADLVEIRLDSLKQFNPLDDLKTIIQKSPLPTLFTYRPKWEGGQYEGDEKERLDTLRLAMELGADYIDVELQVASEFIKSIKEKKPENFRVIVSSHNYQSTPSVEDLSDLALRIQQSGADIVKIATTAVDITDVARMFHITSNAQVPTIGLVMGERGLMSRILCSKFGGYLTFGTLESGKVSAPGQPTIKELLDLYNFRRIGPDTKVYGIIGKPVSHSKSPIVHNQAFKSVDFNGVYVHLLVDDLASFLKTYSSSDFAGFSCTIPHKEAALKCYDEVDPLAKSIGAVNTILRRQSDGKLLGYNTDCTGSISAIEDGLRRSSDPSSVPSSSSSSPLAGKTVVVIGAGGAGKALAYGAKEKGAKVVIANRTYERAVELAEAIGGRALSLKDLDNFHPEDGMVLANTTSMGMQPNVDETPISKDALKHYALVFDAVYTPRITRLLREAEECRAITVSGSEMFVRQAYAQFEIFTGLPAPKELYWQIMSKY >A09p054690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47018344:47018742:1 gene:A09p054690.1_BraROA transcript:A09p054690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYPSSKKVKDYDKLEGESFFSHGDYNAFGILIDYFAILHNQQVESIGTVLSIDWKDVGAKKSRALFMMIWRSRHGKYDLI >A10p025590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16405396:16408174:1 gene:A10p025590.1_BraROA transcript:A10p025590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKIFKDDASEEKGERARMASFIGAMAIADLVKSTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVTAKIHPMTIIAGYRMAAECARDALLKRVIDNKENAEKFRSDLMKIAMTTLCSKILSQDKEHFAEMAVDAVFRLKGSTNLEAIQIIKKPGGSLRDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMTKVAEIEGAEKEKMKDKVNKILAHGINCFVNRQLIYNFPEELFADAGVLAIEHADFEGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACSIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARKTAGKKSHAIEAFSRALVAIPTTIADNAGLDSAELVAQLRAEHHNEGCNAGIDVISGAVGDMEERGIYEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >A02p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30956899:30958196:-1 gene:A02p050060.1_BraROA transcript:A02p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNDAEFDAIIMFEQIRKVSEATYLKNPLDADNLTRWAGALLELAQFHNEDPKLTVQEAISKLEEALLIDPKKHPAIWCLGNAYTTLAFLTPEETEAKYNFDLAAHFFQVAVDEQPENETYQKSLEMTAKAPLLHAEVHRQGFGQQPLSGAGPSGASRSKDVKSSELKYDVMGWVILAVGVVAWVGYAKATAPTSAPR >A09p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35649872:35650881:-1 gene:A09p043800.1_BraROA transcript:A09p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MATASESARGGDKTAKAVVADQISQAVNSTANLLHLMRQSSSAQAQLAKLPKNLLAKASLTKATGQALAQLPQVISSLDAHIESGLNSGVHLNTVTQLLENMESTQLRALRQSNLSPVVDNNQSPEQS >A09p016640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8721141:8722785:-1 gene:A09p016640.1_BraROA transcript:A09p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSIRESLKSFFEPHLHPDNAELLKGTKTEIDEKVKRILGIIESGDIEEDESKREVVAELVKEFYRDYESLYNQYDDLTGEIRNKVHGKGENESSSSSSDSDSDSDKKSKRNGRADNDMMESVKKQNEAANLEIADLKRKLETTLEEKEAEHQETLKKLKESEDIIGDLRLETEKLATENKELNQKLEATGEAESDLNQKLEREKQEKAALLNQLNDVQNALLEQEAAYNTLSQEHKQVNGLFQEREATIKKLTDDYKQAREMLEEYMSKLEETERRMQETGKDVASREAEIEELQETVERLRNQVEMKGEEVENLMEKMNNIEVKLRLSNQKLRVTEQVLREREEELKSVEAKHLEKQALLQEKIVMTHETYGGLVKEMSERLNYRFQALSEKVEERLGGFEETVVEATKMVLKAKECVGEMKKEKEEMEKGKEEMEKKLEGQVREGEREKEELKESLLGLGEEKREAIRQLCVWTEHHRDRCEYLEEVLSKMVVARGQRRSQRA >A06p014120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6374347:6376815:-1 gene:A06p014120.1_BraROA transcript:A06p014120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPSIPSRPNPKPRNSETGDLMRRSFRASPFPGKLTRGPESGDKENQISDAVVKAPKASKNFMSPTISAVSKINPSPRKKILSDKNELSRSFDKTHHQHPQVRSSVTFCDVVSFIGEEDKDKDQIFICEKKKEEEGESHDVTVTDMDDSSFDVSPLPASVPFTFPAFEANEVDASVTPYDPKKNYLSPRPQFLHYRPNPRLEHASDECKQLEEIFSSDSSSADTDFSGEESQQEEEVASQQSVEAEESQHEVACQQSVEAVESQQVEEGVVAVEEEAEVTLDESDDEEHLEAVENEEEEEVVVGESTEEAEETYQVPKQSRFKTSKLLGWMLVLGVAYTLLVSSVTFTLPNISQDSQFYKFHIPPEITKSARESFEQLSEKLGVWAGSSLVYMDKLISSLREEEGYGPFQFHNLTGVLEETSFSDAVFEPTSGEISVARSLVDSQDLSIEMDTEEDNDVAQEPEELESSGEINLEDVYEEDSNELEQESEGGEITLATVTEVNVAEVYSDSLSEEEESGGQDTDGVEEQREYEENEVHEHETTSAQNDEKMMEESESNGHHLDDVEPAAISGHQQEETSALVNPESVPEEGGIRETGEASLDVSAETSDVDGNDLEEESGIGEEVSVNAEDWKEILLNNQKKVMVLVSTVMVMLAAVAAFLLANKKAKPVIHEEDEPTTISDAKEVNVEHAPVENLIKERLSSLNFQEKEEEVNDDRKREEVSSFPSEMSFSFHKDKSLRSCSNRGELKEYQSGGGRKSNDSGESMASSASEYSIGGSVSYGSFTTYEKIQKRSGRKEEEMVTPVRRSSRIRNHQHSGQ >A06p051610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27123943:27125258:-1 gene:A06p051610.1_BraROA transcript:A06p051610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMGGEERRVTSGGDTMERSSWKEISPTQYKVIETVFMTAFGGVSGAVLGGIVGTVIMAPMARRYPQAPAALRRTQRMGFVNQYARAYALEGSAVFAAWFGIESIMRGIRGKDDLTSRMVSASGGGLAYSFAMKGLTGQPAHALFTSAYYAALSGTTATIKSRNAQDAFYIETKAMLSKLDLEEYEKNFKKGHLTDPTLPFLTDSVLQEVNIPPGPRLLILDHIQRYNKMVNRK >A05p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24015486:24017005:-1 gene:A05p039300.1_BraROA transcript:A05p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCSFVRIIVGNLAVRFPAPSSSTSSEPSVSGINTSSTSAPNCYCKIKFNSFPRQIVTVPVIFRTESESETRCSTVAACFSLSKAQIEASLKKPKLSVLSVETYSRGNDVTGASCGLASASEKLLGRFEASLDLKAAETKTCLAHNGWVALSGKRRNKPGSDPELHVSVRVEPDPRFVFQFDGEPECSPQVFQVQGNTKQAVFTCKFGARNSSSGDRNLLHSSSMMSETRSSCISSMKSEKEQPSKERKGWSVTVHDLSGSPVAMASMVTPFVPSPGSNRVTRSSPGAWLILRPDGCTWKPWGRLEAWREAGYSDSLGYRFELFQDGIATEVSASSSISLKNGGSFVIDVTGGTTTVGSTPTTSPQGSLDLGSGSSSGSRPGSGSGSDFGYLLPQHPAQSRGFVMSASVEGVGKQSKPEVEVGVTHVTCTEDAAAHVALAAAVDLSLDACRLFSQKLRKELRQQSQLGVV >A04p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:150029:153795:1 gene:A04p000280.1_BraROA transcript:A04p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIC-like protein [Source:Projected from Arabidopsis thaliana (AT3G63180) UniProtKB/TrEMBL;Acc:F4J0W8] MDNSREARKSSSTTSMAASNGVTKRRQRFSESSGGQEGRDPESVNRSKRRRSVRFTRRDVEEEEEDSSDEIIPRKARSACIKRSHDCRTSSGSGGGGFGEDGRGSPGFEVASPSSSTVSVKQKKKFPRCGPKSGIPKPPKSSGAMEMEMEDDLEIEIAEVLSGLKQQHPHRSKIQEDSDKPLLSSEVKGCKAEHSPNIDKSTNGGTSSPLPDVHKEKQVRQETPSVLEDSAASKGVLEGSQLENYRDERSNSASKLQIDLMVPPTLPSSPERLSLLPLASHSIASKQDGSVKSKEKIVVEKRECLNLGLERLSQETTGRDSSLRLHNLDRSQPQPAKSAQQSSVLPLTAAVGGLPSGLPHQGYVPLMHKGKPVDGESYTCVQGASFSASQPRPKRCATHFFIARNIQLHQHFVKSNHLPQPNKDSVYLNGGDLRATAGNPSLVGSPPILSLNSQAQDRFVVKASESGHFASTRQRKPQPPSASSSIVPAQAFIFPTNHHLQPVILPSKSPRPTNNSPCVAVGTASVNLNHPSSSACEASSPYLTVLPNSAYSFQLSSTIRDGTPSQALPFFNGSFYSPQMFQPSQVLQNQTQGQREPKAASCSSSSHRQLQPQVSVNSVSSQANVQKHRQQSQKSEAKSAGDNSDSRGSHTHKGGPYGQIMTAPMHQQNFSMSFASLAGGSAPANLNFSTNGYQFVSAAPVVHHKKHQAGDSKTGGGSCSSHAEDQKKTLTGRTPAMNGQTLVFDNPSRTLNFVSSTWPPPAATTMSGDPSVFTHHLTQRQQHSGRSKTMTHSQADSVTAKSSQWKNPTTSSLTSCNSLDLKQFQSQQQIRTHGQTQISFEAPSKSQQKRSGGGSSSSAVTGSAPHEKAAKPKVNNSKALPLSHVPSSAAHAQDPAENSASGSTQKSSPVCGRNVPPIITSCPGHLSELKY >A04p010130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7347906:7349221:-1 gene:A04p010130.1_BraROA transcript:A04p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPVTEALAVKSFDKIADICDNLMLQVASEGISFHDDWPYAIHLLGYFYVDDCDSARFLWKTIPTSVKESKPEVVTAWRIGQRLWTRDYAGVYEAIRGYDWSPEAKDMVAAFSAPNAIPVLFFVEDVYTKRMFQLLLSAYSTITIRDLALFLGMTEDDATTYVAENGWTVDTASQMVTVKKQAVKREQKVDSSKLQRLTEYVFHLEH >A10p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13501773:13502530:-1 gene:A10p019770.1_BraROA transcript:A10p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIFCQFLVVCMLLWSSQIQGNRCDASGIEALMACGDSIDKELPSPPKPLDGCCTAVRIIGTKCVCEVINKIIESAIDMQKLVNVASACGRPLAPHSQCGSYLVPGVA >A07p048570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25925393:25929715:-1 gene:A07p048570.1_BraROA transcript:A07p048570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 3 homolog B [Source:Projected from Arabidopsis thaliana (AT1G75990) UniProtKB/Swiss-Prot;Acc:Q9LQR8] MIRAFFLFLVFFIIWTQVHAQLIPPSKPDGFAYPPGRRVDPDSILIEAFYDPVCPYSRDSWPPLKQALKHYGSRVSFLLHLLPLPYHDNAYVTSRALHIVNIHNANATFSLLERFFKDQAMFYGAQTQLLTRPEVVGRIVKLGTTTLGNSYRHVLKSGFNDTKSERATRVSFKHSTSRGVYGTPTFYVNGFKLPDADTPSEFGGWKKIIDSLIHPQKVQAKHLKKISEIRGSEKMTTTTQDVEMKDNNQTPPQSIVSATTSTLQHLKEIAALIDTGSYTKEVRRVARAVRLTVGIRQKLTASVVASFLDFALGPGSEAHARLSSFVPKSDEHDMEVDTASSTSLAASKHVPVELEIYCYFIVLLFLIDQKKYNEAKACSSASIARLKSLNKRTIDVIASRLYFYYSLSYEMTGDLAEIRGTLLSLHHSATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPVAALGFRVQCNKWAILVRLLLGEIPERSIFMQKGMEKALRPYFELTNAVRIGDLELFRNVQEKFSKTFSEDRTHNLIVRLRHNVIRTGLRNISISYSRISLTDVAQKLRLNSANPVADAESIVAKAIRDGAIDATIDHKNGYMVSKETGDIYSTNEPQNAFNSRIAFCLNMHNEAVRALRFPPNTHREKESEEKRREMKQQEEELAKYMAEEDDDDF >A05p042390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25643507:25647159:-1 gene:A05p042390.1_BraROA transcript:A05p042390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:Projected from Arabidopsis thaliana (AT2G18790) UniProtKB/TrEMBL;Acc:A0A178W0V4] MVSGVGGGGGGCGGSGRGGGRGGEESSSTHRREQAQSSGTKSLRPQSQPQTESISKAIQQYTVDARLHAVFEQSGESGRSFDYSQSLKTTTYGSSVPEQQITAYLSRIQRGGYIQPFGCMIAVDESTFAIIGYSENAREMLGLTPQSVPSLERPEILAMGTDVRSLFTSSSSVLLERAFVAREITLLNPVWIHSKYTGKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVRDLTGYDRVMVYKFHEDEHGEVVAESRREDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVLVVQDDRLTQSMCLVGSTLRAPHGCHSQYMANMGSIASLAMAVIINGSEEDGSSVAGGRSAMRLWGLVVCHHTSSRCIPFPLRYACEFLMQAFGLQLNMELQLALQMSEKRVLRTQTLLCDMLLRDSPAGIVTQSPSIMDLVKCDGAAFLYHGNYYPLGVAPTEAQIKDVVEWLLANHADSTGLSTDSLGDAGYPGAAALGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSQPWETAEMDAIHSLQLILRDSFKESEAAMNSKTADGAVQPYSMAGEQGIDELGAVAREMVRLIETATVPIFAVDSGGCINGWNAKIAELTGLSVEEAMGKSLVSDLIYKENEETVDKLISRALRGDEDKNVEIKLKTFSPELQGKAVFVVVNACSSKDYSNNIVGVCFVGQDVTGQKIVMDKFINIQGDYKAIVHSPNPLIPPIFAADENTCCLEWNTALEKLTGWSRSEVIGKMLVGEVFGSCCRLKGPDALTKFMIVLHNAIGGQETDKFPFPFFDRNGKFVQALLTANKRVSFDGKVIGAFCFLQIPSPELQQALAVQRRQDTECFTKAKELAYICQVVKNPLSGLRFTNSLLEATDLNEDQKQLLETSVSCEKQISRIVSDMDLERIEDGSFELVRTEFLLGSVINAIVSQAMFLLKERGVQLIRDIPEEIKSIQVYGDQTRIQQLLAEFLLSIIRYAPSHEWVEIHISHVPKQMADGFYAIRTEFRMVWFLDRYLGGSYGLFRPD >A09p008110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4200078:4200902:-1 gene:A09p008110.1_BraROA transcript:A09p008110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPEHQVAGHIAKDGKLGPLVDNQGRFYKPLQGDARGENEAKFYESFSSNKNVPDHIRGYFPVYHGVQLVEASDGSGKLPHMVLEDVVSSYVKPSVMDVKIGSRTWYPDVSEEYFNKCIKKDRATTTVSLGFRVSGLKIFDCQESRFWRPDKRVVLAYKADGARTALRKFVSSNSLADDSVPDCAYASEVYGGSDGILVKLLEVKAWFETQTLYHFNSCSVLMVYENDSGGDERRAQVKLVDFAHVLDGNGVIDHNFFGGLCSFIKFIQDIL >A01p040410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21556556:21558708:1 gene:A01p040410.1_BraROA transcript:A01p040410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKYDRNPFAEEEEVNPFANNNSGSVPAASNSRLSPLPPEPVGFDYGRTVDIPLDNDRSASQNLKKKEKELQAKEADLRRREQEVKRKEDAAARAGISIEVKNWPPIFPLIHHDIANEIPVHLQRLQYVAFATYLGLVLCLFWNIIAITTAWIKGEGVTIWLLALIYFIAGVPGGYVLWYRPLYRAFRTDSALSFGWFFMFYMLHIAFCVFAAVAPPVVFKGKSLAGILPAVDVLSGNTLVGIFYFIGFGLFCLESVVSIWVIQQVYMYFRGSGKQDELRREAARGALRAAV >A07p003570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3227728:3228709:-1 gene:A07p003570.1_BraROA transcript:A07p003570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHI RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT2G18120) UniProtKB/Swiss-Prot;Acc:Q9SI19] MAGIGSSRNNEEDNQHKTNWLWYRNTVSSNTSHNNQQHIWQQQSLDLYPGQINVCDVTTSSSRSVTISCQDCGNQAKKGCTHMRCRTCCKSQGLDCPTHVRSTWIPAAKRRERQQQIETPTGNRNGRGCGVGNIPKRSWDLPDTLDSPEMDGTAFPEEVSSDAHFRCVRMSGTDDGESQFAYQTTVGIAGHVLKGILYSQGPESMMMPGTQFHENPSRS >A02p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:947778:951005:-1 gene:A02p002270.1_BraROA transcript:A02p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSRFNRICVFCGSSSGKKPSYQEAAIELGNELITGETIGEVKAVADMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDKAVDEGFISPMARRIIVSAPNAKELVRQLEEYEPEFDEITSKLVWDEVDRLSYVPGSEVTT >A01p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7709488:7713518:-1 gene:A01p015730.1_BraROA transcript:A01p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSPYWKRDQVFITFRGQTHREGLVSFLTEKLGTSGINYYVDYHETRGYPITIVFRRIRESGVALIFFSAKYPESCWCLDELVEIKKQMEIGSIIPFPVFYKVRAESVKKQTGWFGINTLLRTEDLVRKKVDRGSNKSILETEAIIWEWRQALVSVGGRMGFPYRHSSDEVFLSELVVKVKELIDKISSPRNIQTVIERPLMHPQEAVMSLLQALNLSISDLKDLITKPFIHISGLGSLSTDHLLFLDLNSLKNPGLAQTLFNTGQAGKVLLVLLGFLEYYNESFAFAPLLFPKNPQKFTGNKLICSSKEIQDQSHSLPVEVTNGSNVVAIVESNDDNLQNHPGQDINSDDTLTCFSLLCNIMKWLAMILSPPYRGVSISFGEKQLEQNLVSLLRTIEDQVRKKVNRENEKSILDTEAKIWGWRQALLSIASRPGLSYQHSNDLAFVSDIVAKVKKLFAYRERKPSPTSSSITTDLLVVEETPMQRQETAAITTVQYNDNDLFYSPSSFLQALNLEVTDLECFKQIPNGLASLSLKGHANLVFFSLSSPDDLVKFQSSDSFQFLQKGLAMTPSGVSRVEEPSRVLALEPNQSQQWFDNRLIAPEQDHHSNHFPAQINSKQQALVIGNVTRHNSPRLLLWQKLTRLSGVTPLVYPRRPYMHFQTLIRIRDTYPNKLK >A06g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15901262:15903038:1 gene:A06g505410.1_BraROA transcript:A06g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHLALKTEEGPRPGGQGPVTGGRNQDPRGRDLEDRSWSNLFMEYFSPTVCPLFSGFICTCTRCERICSLVGDDLVNTLRVDITIVRYQVGPATFFFSFIRMRHCPTVGICRSWQKFRPDISLRFLRLSGSTNRVEECMGQDPGILRGRILARLRIRRTKRLNKTRRPKLRILMLDSTGLACASRAYKFFRGCDRRLYRLSSRNLEAGGTLVKEPVACMDLSPGTLRGPSRISFRLEVVFVGLFGAVSSFASSSYPSGSLKDGTRCVRLQVALRDRRCNGRILGLNETLVLLQNQEMLLGPEGVSGARRLH >A07p040500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21461884:21465003:1 gene:A07p040500.1_BraROA transcript:A07p040500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT3.17 [Source:Projected from Arabidopsis thaliana (AT1G66220) UniProtKB/Swiss-Prot;Acc:Q9C7U8] MRYCFLISNTSSKACPLVISLVLILNGVFISAAQVNLLNKVHIVHLGAKKHDTPELVTKSHYQILEPILGSKEAAQKSIVYNYKHGFSGFAAKLTASQAKNLSAHPEVLCVIPSRTLRLKTTRAFDYLGLLPSSPKGLLHDTRLGSEAIIGIIDSGIWPESESFKDTGLGPIPQRWKGKCVSGDGFDASKHCNKKLIGAEFYVDSLAAETNGQYDFHAENEYRSARDAAGHGTHVSAIAAGSFVANASYKGLGGGAARGVGPHVRIAMYKTCWGKLGCLTPDVLKAIDHSIRDGVDVISISIGADAPAGFEIDTSDVSFGSFHAVMKGIPVVCSAGNEGPNAQTVDNVAPWIITVAATSMDRSFPIPITLGNNITILGEGLNTFPEVGFTDLLLQEELMETSIAQGQIKGKIVLAFTPNNEAIQKADRVLNAGCAGIIYAQSVVDPTVCSGLDVPCAVVDYEFGTDMLYYIETTDVPLAKLSTSKTLVGRPVASRVARFSCRGPNSVSPAILKPDIAAPGVNILSAVPDGYKFMSGTSMSTPIVSGIVGLIRQTRPDWSPAAIRSALVTTAWRTDPSGEPIFSEGATRKLADPFDYGGGLINPEKVTDPGLVYDMGLDDYIHYLCSADYSDNEISKLIGKPNQCPSPRPSMLDLNMPSITIPSLTGEVTVTRTVTNVGPADSVYKPVIEPPFGIELEVNPKTLVFGSNTTKNTFSVRVRSNHQVNGDFYFGSLCWTDGAHNVTIPVSIRTKILRNYV >A01g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2892254:2895529:1 gene:A01g500760.1_BraROA transcript:A01g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYGISFLILAEKQGKSMIVVGFSVPKKDHGYELIEKLEAGVQDMLQIFEDRKRDVVAPKQK >A10p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18890804:18892789:-1 gene:A10p031700.1_BraROA transcript:A10p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G13500) UniProtKB/Swiss-Prot;Acc:Q9FY51] MGKASSLLLFLLSFGFFVVTYNLLTLILHNRSGLSNSDGSSPLLDPIVQMPHRNSQTSSAPFHVALTATDAPYNKWQCRIMYYWYKQKKALPGSDMGGFTRILHSGNTDNLMDEIPTFVVDPLPPGLDQGYVVLNRPWAFVQWLERATIIEDYVLMAEPDHVFVNPLPNLAVGGHPAAFPFFYITPEKFENIVRKYYPVEMGPVSNIDPIGNSPVIISKESLEKIAPTWMNVSLTMKNDPDTDKAFGWVLEMYGYAVASALHGVRHILHKDFMIQPPWDLSTKGKFIIHYTYGCDYNMQGELTYGKIGEWRFDKRSHLRGPPPRNISMPPRGVPESVVTFVKMVNEATSNIPNWDTL >A07p029660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16612398:16614020:-1 gene:A07p029660.1_BraROA transcript:A07p029660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLDSKPRFTVRAPRHHRYPPLHHPRTFIPCSPKRHTVSASLSVTTDSAATKSLERIESLSQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTTCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQEVDGLRESELAKSFIGTSKRGIGPCYSSKVIRNGIRVGDLRHMDTLPQKLDVLLADAAARFSGFKYTPEMLREEVEVYKRYAERLEPYITDTVHFMNDAISQKKKVLVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAVGDLIGVVKAYTTRVGSGPFPTENLGPGGDLLRLAGQEFGTTTGRPRRCGWLDLVALRFSCQINGFASLNLTKLDVLSDLEEIQLGVAYKKSDGTRVDSFPGDLRLLEELQVEYEVLPGWKSDISSIRNYADLPKAAQQYAERIEELVGVPIHYIGIRPGRDALIYK >A09p063480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51544188:51546060:1 gene:A09p063480.1_BraROA transcript:A09p063480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAFFVDHLENGQHTNGFGEDRDTTVQIGDRSYVIDGSHEGNPLFLGVKIHDKTTNKWSSPTVLGTGPKPCKGYSAIVLKQGRILVIKKGSASDDSIWFLEVDSPFVREQRKLLGKEVVAWSKGVRGNAEKPIVISGPSGVGKGTLITMLMKEFPSMFGFSVSHTTRSPRCMEKNGVHYHFTDKTVMEKEINDGKFLEFASVHGNLYGTSIESVEVVTDSGKRCILDIDVQGARSVKASSLDAVFIFVCPPSMKELEDRLRARGTETEEQIQKRLRNAEAEIKAGKSSGIFEHILYNDNLEECYKNLKNLLGINDDAPVNGVEVEGISLPKEHTVTKKEDKIMIQETGEATKNDVIVLDLSSINGGAPGRTRGIVLDTVKSS >A09p080300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58847497:58848289:1 gene:A09p080300.1_BraROA transcript:A09p080300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIMRPAFAYTVVYVKDVAKSVEFYSRAFGHNVRRLDESHMWGELESGQTTIAFTPRHQHETDDLTGKVQATHSDPERAPIEVCFCYPDVDAAFKRAVENGAVAVSEPEDKEWGQKVGYVRDIDGIVVRIGSHVK >A10p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17825480:17827377:-1 gene:A10p028920.1_BraROA transcript:A10p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSVKVGNLSSGATEHNIKEFFSFSGEVENIDIQGGEHSAYVTFKDPQGAETAVLLSGASIADQSVTIELAPNYTPPAAPHAETQSGGGGAAEGVVQKAEDVVSSMLAKGFILGKDAVGKAKAFDEKLGFTSTATAGVASIDQKIGLSQKFTAGTTLVNDKIKEVDQSLHVSERTKSAYASAEQTVSSAGNAVMKNRYVLIGVSWAAGAFSRVAKAAGEVSQKTKEKVEAEHPPQPSQSQEQLPEGYAPIHSSEYSKK >A01g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17211849:17215875:-1 gene:A01g505770.1_BraROA transcript:A01g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKLTECNAVRILTHEEFVAKHPHPPSPFYDKIDRSVEPTVDRQSESDVDRHNTPPIDRQTPLTYRVWLPSIDNDYINALRPPPKPLSNPPEPKPNPLNSSPESVQEAERRRLRKRKEKIPKNLKREANDKEMDEYKSEYGTEYSESIDTPTFPSIDSSESTVTDDHNNTSLDLMHPVDHFAPPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSHNEEHTEEYDEDYWKERAIEMSLQDKSLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPFPTRDPDGNARAIDGRILQVSTEDIADILLVATGPDNLFSQQRGTSDVIQTDPNNHVRVATTEINSDLSRQPKGQASIDRVTPMSIDRDDPPSIDRRYEFGNRAFDMFGARKFTWEQRDEYRVYRDECGHARGVAGEMIPVTKDDIRKLLERTSLFEESHICLPEHATSFTLTRLTPELYTKDEINEMVFGICGVQEKLGEELKSLVEDTHQPMDRGYNELFRSMAKMRIEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRIDDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPDTSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISKVMIDLNKLSSQLLHAKKDIENITNQGFSQAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKIISKIYTAKVSAMNERLRTYEDMHDRFISKAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKIISKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTLAKSIDGNSPRSTNEHIITSIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNVQETLQNISNVLEKMNDIWTRNDEATMTCIDDVDACFPTSSSFSTQ >A10p031900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18974562:18976232:1 gene:A10p031900.1_BraROA transcript:A10p031900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEYTNLDRLNVFMGHLNLGERTIKGCLEAYSCKHAGTDKRLSLSLENEMLDYLGKSSDTDSSSPVDLLLSRSSRKALIYLVLTLYQMYPDYDFSAVKAHQFFSEESWDTFTQIFNNYMFEASKEWTARNEDGSLLEAIYEALDEVVKLAECEIYVYNPNPNADPFLEEGAIWSFCFLFYNRKLKRVAGFRFCCISNLASDAFLADTPPYGEDEDIFADMDM >A09p007470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3918054:3920363:-1 gene:A09p007470.1_BraROA transcript:A09p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSHFPGFEMPQQQPGTTSEDESRGWKQVEDTPAKHVQFLEPLSKIVMEDAKGESDNTKNSPYVPAFDAPPSSSNSPLLSPVLEEPSSSSEEDGDDDPLPAIEDLQISGEPYPGHELQACGYSINGTTSCNFEWVCHLEDGSVNYIDGAKQPNYLVTADDVDLYLAIEVQPLDDRNRKGQLVKVFANENRKIACHPEMQSHIEKTLHSGHASYKVSVSTGFLNIWEEAMLSIKREGYSIKCSNDIMVAEKFSSSTSVTIPFGQPEEFVITGSNGTEYTLRGDHGSADLCCSRDAIVLTLRLFTMRALQRKKGKKKGFLFNK >A05p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15907586:15908985:1 gene:A05p030680.1_BraROA transcript:A05p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTPVRKPHTSTADLLTWPDNQPFESPSAVPTRSHQPSDGIRKVVFGGQVTDEEVESLNKRKPCSNYKMKEITGSGIFSVYEQNDALETGTRTYRKQAEATVSHISFGEEEIVTPKKPATVPEVAKQRELSGTLQSQSDTKLNKQFSDSKFKELSGHNIFAPPPEIKLRPTVRALAYKDNFDLGQSDTKTDGELKTAKKIPDKKFTDLSGNNVFKGDDTSPSAVTAEKLLSMAKLKEISGNNIFADAKATSRDYFGGVRKPPGGESSIALV >A10p028360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17633289:17635881:-1 gene:A10p028360.1_BraROA transcript:A10p028360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTIFPLTLLLSLLLSSISLTLAADYPLSTKSRWIVNKSGHRVKLACVNWPSHLKPVVAEGLSSQPMDSISKKIKEMGFNCVRLTWPLELMINDTLAFNVTVKQSFERYGLDHELQGIYIHNPSIVNTPLINAVVYSLGRNDVMVILDNHKTVPGWCCSNDDPDAFFGDPKFNPDLWMLGLKKMATIFMDVNNVIGMSLRNELRGYNHTAKDWYTYMQRGAEAVHGSNPNVLVILSGLDFDADLTFLKDRPVNLSFKKKLVLELHWYAFTNGTGQWKSHNVNDFCSQIFTKEHRTGGFLLDQGFPLFLSEFGTDQRGGDFEGNRYMSCMLAWAAEKDIDWAVWALTGVYYFREGKRGVVEAYGMLDANWHHVHNHTYLQRLSVIQPPHKGPGIKHNHHKKIFHPLTGLCLVRKSPCYESELTLGPCTKDEPWSYSHGDRLEIKGGHKSCVEGETSVGRSVKLGKKCTKIKRISATKMHLSFKNNDGLLVCLDVDSDNNIVANHCKCLTGDISCEPASQWFKIF >A05p021200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10066754:10069121:-1 gene:A05p021200.1_BraROA transcript:A05p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSGKPVIESNNRLRDSLTSDINKPDFRELDLGSPVSPLRSEPRGLTTTTTTTSSSSSSSSGSVTGRIRHAPVTGRSGSVTGSQSGSRSDSVTSNSQQPLISSSATSPSSAAANVLPTGNICPSGKIQITGMTQSRSRSDVLGSGTGTYGHGSIMRGGGGSSVSPAKPISTRQALSSPVTVGGSSRSSSDPEEVKRVGNEMYKKGLFSEALKLYDKAIALSPTNAAYRSNRAAALTGLARIGEAVKECEEALRLDPNYGRAHHRLGLLLIRLGQVDSARKHLCLLGKPSDPMELRKLEAVEKHMSKCADARKLGDWKAALMEADATIVSGADFSPHLGMCKVEALLKLHRLDDAQSNLLEVPKAEPFPAHCSFSGIACEAYTYFVKAQIEMALGRFENAVMAAEKASKIDPRSNEVAMLHNTVTLVARARVRGNDLYKSERYTEASSAYAEGLRLDPCNAILYCNRAACWFKLGMWERSVEDCNQALRFQPRYTKPLLRRAACNNKMERWAAVVSDYEALRKELPHDKEVAESLFHAQVALKKSRGEEVLNMEFGGEVEEVYSREQFKAAMNLPGVSVIHFSTVSDHQCKQLSPFVDSLCTRYPSIHFLKVDIDKCPSIGNAENVRVVPTVKIYKNGTRVKEIVCPSREVLEYSVRHYSG >A08p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11830853:11831976:1 gene:A08p016750.1_BraROA transcript:A08p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRSLLEQVINSPAEKEESTSPKLTKYWLQRYNLFSRYDEGIEMDEEGWYSVTPEEIAVKQAERCCGKVVIDCFSGVGGNTIQFAEVCSSVIAIDIDPVKVEMAINNALVYGVDDRVDYVVGDFIHLAPSLKGDVLFLSPPWGGPMYNKVETYTLDMLQPKDGYKLFQIAQTITPNIIMFLPRNVDLAQLEELAWLSSPPLTLEIEENCVGGRIKGITAYFSCCAV >A10p029200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17960593:17963394:1 gene:A10p029200.1_BraROA transcript:A10p029200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFLEKPKSNTTLPDLSLNISLPDITSKEHYRRPSQTDNNRSSNFELSLSHHHNPSSNPTTRIIHSPDPRNLNLPHHQHYNNPILNGGSLHQRVNGSDINSIHRPIRGIPVYQNRSFPFHQQTSLPSLGGGGDLDQISILNSSSGYNNAYRSLQSSPRLRGVPLHHHHHNHYGVVGSTDSSSPHHHGMIRSRFLPKMPTKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTNKPAASSDGSGEEEMGINGNDVHHQLSTDQRAQSDDTSPNQEIDFPSTQPRWSNSS >A04p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7107738:7108992:1 gene:A04p010620.1_BraROA transcript:A04p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYKGSSILATGHHPFVYKRPISSLPASFPSTTLSYPTRNRFLSTRIQARLIHDDPVKQSEDLSFYDLLGVTESVSLPEIKQAYKQLARKYHPDVSPPDRVEEYTDRFIRVQEAYETLSDPSRRVLYDRDLSMGFSFSFSGRRRNRYHEEVVEEKSEWKKKWQTQLSGLKKRSNQRDNNSMSWAARMRRQHHTSEDASA >A06p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10611871:10612434:-1 gene:A06p021420.1_BraROA transcript:A06p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQHDHHKELQLLPSPLSTESPVRTLVPTMTTTDHHHSSSMIHHDLDLKLSISLSTIPTATVEHVSDGGGGVEALKWQAAEQIRLAAIEKAYAERVRELTRREMEMAQSEFARARVMWQKAREEVERAERLKERSMTKIDTTCLEITCHSCSQRFRP >A02p009620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4053625:4054380:-1 gene:A02p009620.1_BraROA transcript:A02p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-11 [Source:Projected from Arabidopsis thaliana (AT5G19790) UniProtKB/Swiss-Prot;Acc:Q6J9S1] MEQKTTQKKKTKEKSKDNNKKTKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFANHFPNNSPLSLKIRNLLYQKQSMKQQQKQQNKHISSIAECNINYTSPATSPTASTTTTMMPLNDVYRPDSTIISQPETESIHLPYSCPFVSGFNHQVPMTHGGEETQGHLNNQYSTDQHLGLADIEREISASLYAMNGATYYNNTNAEYAIFDPTDPIWDLPSLSQLFCPI >A02p007600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3210797:3213629:1 gene:A02p007600.1_BraROA transcript:A02p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCAIGLISALAASASFSKSNIASADGPPLTFSAFSTSPTPQQQQGSATPPAPGSGEESDSPPRIRNDNPRTTSAGFDPEALERGAKTVKGINNSAHAKKVFERIKTQEETRQAEFAAKAQEFKALQSQAEAERQRVIHEEQKKLAQHQAQTKAQMARYEDELARKRMQACHSTHTFLNKIEDLYSFLRVMLYILLQAENEAQRTRNQELVRMQEESAIRREGARRATEEEIQAQRRQTEREKAEIERETIRVKAMAEAEGRARESKLSEDVNRRMLVDRANAEREKWVSAINTTFDHIGGGLRMILTDQNKLVVAVGGITALAAGIYTTREGAKVIWSYVDRVLGQPSLIRESSRGKYPWSGSFSRALSTLKGGGKEAASTNGKGFGDVILHPSLQKRIEQLASATANTKSHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGAQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRVDETLEFPLPGEEERFKLLNLYLDKYITKTNLKKPGLLQSLFKKDQLKIEVRGVTEDLLKEAAAKTKGFSGREIAKLMASVQAAVYGSAECLLDANLFREVIDYKVAEHQQRKKLAGTDTGNKK >A02p022450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10660089:10660833:-1 gene:A02p022450.1_BraROA transcript:A02p022450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAGLSPVIAPIYSPITNRKPISFYKPHLLSYKQSPISSLHSSRTARVIELTRKQRNRRTKGFLRGSSMKLGLNGGQVASVDIKLPRRSLLVEFSCNSCGERTKRLINQLAYERGLVFVQNVQELRRDKTKESHLCGGCLQHHQLVDNLGLIVEYDF >A03p046700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19741812:19744924:-1 gene:A03p046700.1_BraROA transcript:A03p046700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) UniProtKB/TrEMBL;Acc:A0A1I9LLL0] MAQAARLSMRMQKELKLLLSDPPHGASFPHLSSAASGSGDLSSFSSIDAQMEGPEDTVYANGIFDVKIQIPERYPFQPPIVSFATQIYHPNIDNSGRICLDILNLPPKGAWQPSLNISTVLTSIRLLLTEPNPDDGLMCEVSREYKYNRQAFDYKAREMTEKFAVKVNKSGVGGSTTTLQIHETPIAPESHGVEKVTESGISVLARNHEKPDGIKPTLAVESSLSMTYKESRDTDQQMDGNGKRKAAIGFCEANTSSNNGGSRKKLSLALPPQSQKKDLSGEQLLTHEVPASCKENKKPHSIVKKLSLGLKKPLVNAASLINNLASSSVRSANSDNNRLRGKLSLRPLGESQLNEVSKAEVLAQTEMNQNQDVRSWGGEFEKKSALEEGSMPESIVVLDSEESGGEEDEATVSSRSRLSLARRGSLKCESSLGTFQGTIDVPLAGWMCWIQSIGYANDGNGKIKAVIGFCEATSSGNNGGSRKKLSHALPPQSQKKDLCGEELTHEVSAAFKENKKPHSIGKKLSLGLKKPSVNAASLNNDLATSSFRTSASKSNNNGLNRKLSLRPLGESQLNEVSKAEVLAKTEMNENQDPKSWGEEFEKSVLEEASMPESIVFLNSEESGGEEEEATVSLKSRLSLARRGLKCGRP >A09p072380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55520563:55521165:-1 gene:A09p072380.1_BraROA transcript:A09p072380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFARRAMSLAQIPSAARAPASVCQRRGLAGAADHHGSTKVDFWKQPTNPGNWKEEHFVLISLSGWGMLFYGGYKLFTGGKGEKPAEAAQ >A08p041610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23464067:23465496:1 gene:A08p041610.1_BraROA transcript:A08p041610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFGLSKEEVLSQKHVASHKETLKQNPMSTVTLFGRQVFPVKSAPKLLMRDIDLRLPLADHYANYNNITVEMGFRSAFEAKEAALATTWSGKRDPNIVIDLEEPPTTEHADSVSYEPSFLADGISSNTVNMESGLLDLNRTPPDDELVSEPHYCFLQDLNCPYIEETETSCEKSGVDDDPITPLCSSKCQSVHEKEGTASPASYTSCCTTENNLRTRALDASCRTRLEFPTTEVLPQNERCNEEEEFSEAIQTAAESLVHISAVSRQERTNSSSQDQDLDCCSCDSYELHTLGISETNTEEDFCVSSRALDELNNLTRDNNKEIGLKLRRGRRMKNFQKEILPSLTSLSRHEIREDINILEAVLRSREYKKMQGKTKDVKVGANHRNKRSVSQRYVGKRRRKHE >A03p053570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22972131:22974772:-1 gene:A03p053570.1_BraROA transcript:A03p053570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSFYILEISGIQLHTAISSVDSWTLENTTWLIIYYVLLNKTTMSGGHMGRAVVEATFVDYWFKQGEDAKAMEVYRSLISRNVCASTVNTLLEILFDNGKETEAWDLFNAMLTNNSLTYNRDTFGTVVNACFKLRLFKQALHTFKRPEFTRSSRCYATIIALFCEHGMMSQAQDLFDEICSAPEYLSPDVPTFRSLINGYAKAGRVDDAVRLLKLMVDATLLKQTFHDMFFPQLQLEGRESEDLKKSFRSLLELSIKWRIPTSHMFFMMMVLEKDDCCKVLEEKGTVRKVIKDVVVENIQVTAEEKTLFWKDFRKFITQDMEDSSHPKSRRRVVLQLFRILISKGSPRVIELFKTEVCKLVAKKPHWENWSSRGWRKKEGGIRLSCVLAEMDQALDVYSFATNHISKPILMLANIDRVPIMKAAALRLLLVAARHDHILISRSGDELLSILEMNIGAASNVVHSSSVLVCFW >A04g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14924054:14925190:1 gene:A04g506590.1_BraROA transcript:A04g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKEIIFVPTRKKKEKLSTTGDFEASRYEDLWIQLRFDDVFFPFFSCLQMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A03p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15574122:15578710:-1 gene:A03p037210.1_BraROA transcript:A03p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENQGYPNFPARPPPSSSSPFASAPPPGVPPQSGGPESVGFRPHTRPFTPSGPPMAPPVGVMRPFQSPLVSQIPGQGIRPPPPPPSSNSFPSTAYGPPGGGSFQRFPTPPPPSGPPQTQTLAGHFSPPRQPMGPPPQSLTSGVPGGYASPPGTGFQQSMPPVNPSYPGVGGPQPSFPGYPSNQVTPVPFQSAPQGPPPSSYPPQTGGFSPHPGQQNLHPSYASPTSNVQGLAEDFNSLSLTNIPGSLEPGLDPALFPRPLDGDVEPNSFAEMYPMNCHSRYLRLTTSAIPSSQSLASRWHLPLGAVVCPLAEAPEGEEVTLIDFGSSGIIRCRRCRTYVNPYVTFTDSGRKWRCNICSMLNDVPGEYFSHLDATGRRMDLDQRPELTKGSVEIIAPTEYMVRPPMPPIYFFLIDVSFSAAKSGMLEVAAQTIKSCLDNLPGYPRTQIGFITYDSTLHFYNMKSSLSQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVEAFLDSLPLMFQNNVNVESAFGPALKAAYMVMNQLGGKLLIFQNSLPSLGAGRLKLRGDDPRVYGTDKEYTLRVAEDNFYKQMAADCTKFQIGINVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSIHGDKLRHELARDLTRETAWESVMRIRCGKGIRFSSYHGNFMLRSTDLIALPAVDCDKAYAMQLTLEETLLTTPTVYFQVALLYTASCGERRIRVHTAVAPVVTDLGEMYRQADTGSIASVYTRLAIEKTLSGKLDDARNAIQQKIVKALREYRNLHSVQHRLGSRLIYPESLKFLPLYGLAICKSTPLQGGPADASLDERCAAGFTMMALPVKNLLKLLYPNLFRVDEWLLKPSADLDDLKDVLRRVPLAAQSLDSSGLYIYDDGFRLVLWFGRMLSPDIAKNLLGTDFAAELSKVTLQEQENGMSKKLMKLIKKVRENDLSYHPMCFLVRQGEQPREGFLFLRHLTEDQMGGSTSYVDWILQIHRQVQQNA >A04g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5888643:5889260:-1 gene:A04g502460.1_BraROA transcript:A04g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSDVELEDEAALMLQPEGLGASAVAAFEEEEAAEAHRSTIDEWWNLPPYNENQSQNVSILFRNEYVLSRRNEDQDSLSSYRAVTDQRPSTFHLSSHFELSRTGEASWQLSACSRQP >A10p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16052385:16053390:1 gene:A10p024850.1_BraROA transcript:A10p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELTVGFRFYPTEDELIAFYLRNQLEGRSGDTIHRVIPVLDVFEVEPSHLPNIAGDRCRGDAEQWFFFVPRQEREARGGRPSRTTGSGYWKATGSPGPVFSKDNRMIGVKKTMVFYTGKAPTGRKTKWKMNEYKAVDETFNVSTVHKLRHEFSLCRVYITSGSSRAFDRRPMGFFQAERMLTRDVAVGERSVRVESSPEASMSEGGHGYLSVNGEMVDGLTKPIWEWEQLSWP >A01p046190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25998064:26002028:-1 gene:A01p046190.1_BraROA transcript:A01p046190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen receptor-like kinase 4 [Source:Projected from Arabidopsis thaliana (AT3G20190) UniProtKB/Swiss-Prot;Acc:Q9LJY0] MLTWESQVRLASNTALTKKLAFITFFIIGLCPAIMVMSQEHKVVPGSDADSLLRFKDTLANASVISSWDPSTAPCKRKSSNWFGVLCFAGHVWGLQLEGMGLTGKLDLEPLTPIKDLRTLSFMNNNFDGAMPSVKKLVSLKSLYLSNNRFTGEIPADAFDGMHHLKKLLLANNAFRGNVPSSLASLPLLLEVRLNGNQFQGAIPDFKQKDLKLASFENNDLEGPIPESLRNMDPGSFAGNKDLCDPPLSSCSGDSWFFLDPPSTSTEKKSKSNSFYTIAIVLIVIGVILVIISFVVCVFHTRKQKCLSAYPSAGQDRTYKYSYDQPADTERAAESVTSYTTKRVTVPDQDKLLFLQEDIQRFDLQDLLRASAEVLGSGCFGASYKAGISSGKTLVVKRYKHMNNVGRDEFHEHIRRLGKLSHPNLLPLVAYYYRREEKLLIAEFMPNRSLASHLHGNHSVDQPGLDWPTRLKIIQGVGKGLGYLFKELPTLTIPHGHLKSSNVVLDKSFEPLLTDYALRPVMNSEQSHNLMIAYKSPEYSLKGHITKKTDVWCLGVLILELITGRFPENYLSKGYDANMSLVTWVSDMVKEKKTSDVFDKEMIGKKNCKAEMLSLLKIGLSCCEEDEERRMEMRDAVEKIERLRESDIDLEASTNVFASRLIEDDDFGHHIVSKGQTIILLHVLQEQTIVHRDLKPGNILIDPNNLSKIAKQVPEAAENVYSFGILLLDLLTSKRPTGFAYNVEQAVEQGTFKDMLDDSAVHNWPVEEALTLAKDLGKVVLPELIRLRALADANMECIMFNYSRGPSPNHSFLSLYHQLKDKMS >A09p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:686500:695354:-1 gene:A09p002820.1_BraROA transcript:A09p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEACLDRIESQRSTNMSSSDQRKRRYFLMNLMNNGANRICSRGSPESQRECTQPTKGDKEPVPEFLTNIRFYDLYNLPGESVAMNSEIFSAMRAGNIEFLEKMKRFETPMACFKNNKGDSILHLAASYGHLELAKGIVSACPSLLLEPNWEDRVPLHVAARAGHSAAVEALVASVTCFSAGLSEEERERLLNLYVLKDKDGDTPLHLALKDLNEKTELLMYQRRTKNQSISHLVMHQRRIRCSSFQTRLMETAACLVNANQHVSFLANKDGISPLYLAVLAGNVSLVKAMLSRPDNNIHEKTSDLASQLEGRKSLVHAALKAKTTDVLDIILNEDPCLLNERDEDGRTCLSVGASVGFYKGVCKLLDRSTSSVFECDDDGSFPIHIAVEKGHVDVVKEILKRCPDSIEQLNKEGQNVLHIAAKSGKAVSFLMGYIKRLGTKNHLIKEQDRMTLMVLLCVYKPRGVTWRPTSGMTLRSRSETLSGYGEKYKDRVNVLLLVAALVATITFAAGFTMPGGFNNSGMAVLVDDKTFSNFLVCDTLAMQCSVIAIVALIWAQLGDQELAHRAFHLALPSLFFALFFMSSAFIYGVNAAVQQNIVLDDKIHIISAIFYDDEKHHISKCVKKESMGSSRVEQMDSSEADLDRVEAQRSTDVSRGQRKRRYFLVSLIKRGISRIFRSLGPLQDGVQLGSYSVSRLFSDFRLSDFFAFSGVYVPMSPEILSAVSEGDAECIGILRSKVTPAECLKSDQGDSILHLAAGCGHVELVKIIASAWPFLLMERDSKYQLPLHVAARAGHVSVVLALVQIVTFVSDKMSREGMEGLNPYVLKNNDGDTALHLAMKCLNVYCVIPLGETYPQAWFLANNKGISPLFIAVQEGFTELVKYLLENLDNDETDLQLEGRKDLVHVALKAKNTDIIDVILKKYPSLENERDEEGMTCLSFGASIGFYKGVCNLLDRSTRGVYDDGSFPIHIAVQKCHMGVVFAILIRCPNSKHLLNRKGQNILHIASRSGKAAFFLSVLLERTSKVQLMEKQDIDGNTPLHLATISWRPRTIYLLLFHYKGKCMNTVNNDGLTSLGIVESNLQPNYIFMERVTLMVLLYFYTSERQSTWLMFKKKITRRTDPPAGDKNKDYVNTLLVVAALVATVTFAAGFTIPGGFNSSEPNQGLPTLAGDRKLTYFMVFDILAMQSSIVTIATLIWAQLGDPALVHTSLNVALPSLFFALLCMPVAFYFGVFVVFARVKGLVIFLDVASAIFIFLMLFLLGPHVLLRIPGIPAAFGAYFVLFVLLVDDDHHEQASTAKISSEEASAAKSSSEEASAAKISVTTKKNILADEEKTYELRLQHMPRLSARTRRQAGLLHSFVKTMMRNITSPRESMGSSSRDQVEKFSFIYIFMDPETYRAVVMGKVQDLEKILQENEIPVLDQVTFQGNTILHLAAIYGHDHLVRRILDHELNVLRSWNPNLVGNFAPSFSHYQTLLVRQNSEGDLALHVAAAAGHELIVEFLVESLRRLPQDRNIVVRSEQILVGNIFSVSNNDGFTALHLALKGNHVAVSLQLVREDQSTCFLLDKEGVSSLYMAAEAGHVSLVEHMLQGLSASFVGKSVVCAAVKSKNLDVLRAVLESDSDLVDSRDEDGRTPLAFAASIGYDIGVEHMLTRFGSSTQIAYIESEDGSFPIHSACVASRKTRNAALKVILKHHPDTIEMLNSKGQNVLHVAAENGNAGAVGYLLRKADVKRLINEQDLEGNTPLHLASINSHPKVVSLFIHDSRVDLKVLNHKGSTALDAAEEYMAAIPSLQQWLIWVALVSAGTTRAPRVHLKADSPTTEEDFTLKMYKDRVNTLLVVATLVATMAFAAGLSVPLGYNSTEFKSNVKHSYKESAFNAFVICNSIAVYSAVISTVALIGTQLADMKCMLTTFKFIVPLLGFSIISMSLAFVAGLYLVLGQHHWLAVFVLATGGFYLMALLLLIIPYASPYTFSPLVFRYFLRFPFSMLVFFAYWRDGTDGECSSRVFRWSFIG >A09g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11070399:11070778:1 gene:A09g503480.1_BraROA transcript:A09g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFRVSRLTDDFRVSSLEKINFYVLFFNCKTNLRLFTWKSKSQISDTIRTNTKLTRLSQTTYMEVVSIFFNKQRWTTSCKSSLKTHLKVNCKTNFCIDKKTSM >A02p043610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27468323:27469957:1 gene:A02p043610.1_BraROA transcript:A02p043610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPDPNSFSDGAKRDEATTKVPSKDPKKKDDKKEEDLSEEDLKLKQNLELYVERVQDPNPELQKAALKSMRRSVPQLHDVVRPDAKEVVMAGTWRFSKALWSMTQLPPNSPVTDPKPHKAPKKVAVPSHLPEKLMKLDLQCFPDAELPSLLEPGKLRMLEKLYIKGGTKLTGFGKSVPEKPTECSVKVLRLKFLPSLKVEWRELRELYFPKLEFLDKYQSPQREELAKAIADARQRQEAQLKDNHLLANPCTACLVHHDRSTVITITTDTR >A02p021190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10023936:10030282:1 gene:A02p021190.1_BraROA transcript:A02p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVASPVKTNSYGAGLVRFPAFPVLPRRRQHIVPLSRKVRAVISREEKTVDQEDGKNTNGSLVSNTPAFPWQRSKYTGTKTVIAVVKIRKKMREKLTERVEHQLELLMKAIGQGMLIQLVSEEIDPETGSGRKSSETPVLGLPKARNDSRYLEFTANFTVPTDFGKPGAILVTNLLSSEICLSEIIIREGSDTILFPGNTWIHSRNDNPEGRIIFASQTWLPSKTPAGIKELREKDLTSVRGNGEGERKPHERIYDYDIYNDIGDPRKKDRVRPILGVPERPYPRRCRSGRPLLSADTPFESRGKDKDEFYVPRDEVFEDIKRDTFRAGRFKALFHNLVPSIAAALSNLDIPFTCFSDIDRLYKSDIVLRHTEPKNKGLGGFIDGILNVGETLLRYDTPAVIKWDRFAWLRDNEFGRQALAGVNPVNIELLKELPIRSKLDPAIYGSPESALTEELIGHEVLHYGMTLEQAFEEKRLFLLDYHDMLLPFVDKINSIKEDPRKTYASRTIFFYSKAGALRPLAIELSLPPTPENENKFVYAHGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMEPYIIATNRQLSSMHPVYKLLHPHMRYTLEINARARKSLINGGGIIESCFTPGKYAMELSSAAYKSMWRFDMEGLPVILGMAEEDSSAECGVKLVIEDYPYAADGLLIWKAIKNLVESYVKHFYSDPDSITSDFELQAWWDEIKNKGHYDKKDEPWWPKLNTTQDLSEILTNMIWIASGQHAALNFGQYPFGGYVPNRPTLLRKLIPHENDPDYEMFMRNPQYSFLSSLPTQLQATKVMAVQETLSTHSADEEYLIDLKENQRRWFQDEEVVKYFSKFTEELEEIEKKIDNRNKDKKLKNRTGAGMPPYELLIPTSPHGVTGRGIPNSISI >A06p057560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29946934:29948397:1 gene:A06p057560.1_BraROA transcript:A06p057560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSTLTSSSESPKKLHHDDAPSLLLLLPEEISLNCLARVPRCYYPWVSLVSTTLRRLIASPEIYTKRSALHRTEDVLCVALRPYGRRTLQWYTLNLIKPTFGQQESEVAVKHRLVPVPVPSFPLVPYWGMSIVTVGSEIYFLGGSVDDSFVSTAFVIDCPSYTCRSLPNMKQERGGAAVGIVGGKLYVIGGCDPQGISILTPFFPLKWRKNRVLHWRCSRSPNWVEAFDLKTQTWETVRRLDDVEVYEKTIRSFVMDGKICIMDRKSSFAYDPKEGSLERDLLLNKQWTVGSCVVDDKLYSFDWQKALWEFDPVSRVWSRVKGLEDVPGDRDVTKMANCGGKIAILCNVEKCSTEIWYTEIGLERREGGEVWGTILWSGLVLFLRKLENVLEQLCLIKMKI >A05g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13626701:13629393:1 gene:A05g504900.1_BraROA transcript:A05g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPDTPPIHVTSDRQVRNLLEITKTYDVRICVSSRSKVETVSEFREEDDEAGECFEDDDDDLVEDENHDGEEDDGEDDDGEEDDGEEDAGISIVAEADENGEDYSVYGKVEDEDEEDDDMCFEDIKMIEGGRSNGNNIYVNQSFVSKDALLSELRLTAVRFKFSFRIYKSTKTLFVTTCPVCGCQWKRRHCTPKYVGRLFIDRVGIIDGLNPQHITDAMKNMFGMTLDYTTSYISLLYAQTLVRVEKIPCSHAIGAATSVGLHISTLACPVYSKDFLFAGYSENIYPCAGQQVEERTCFPPEIKRGPGRQKKSRWQSWNDVDDLQVSRPEGCPVSRPRFFLPKDIQVSRPVSSLPEDLHVSHPEGRPVSHPGCSLPEDLQFSRPVFSLPEYLHASRLEGRLVSRPRFFLPEDLHVSRPEGRRVSRPGFFLPEDLKVSCPVFSLPEDLQVSRPEDLQLQVTAK >A07p042890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23221415:23222367:-1 gene:A07p042890.1_BraROA transcript:A07p042890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRSQKLWTVVMILVMSSRVTHSLHFDLHSGRTKCIAEDIKSNSMTVGKYSVDNPHEGHDLPQSHKISVKVTSSSGNAYHHADQVASGQFAFSAVEAGDYMACFSAVDHKPEVTLSIDFEWRTGVQSKSWATVAKKSQVETMEFDVKSLLDTVNSIHEEMFYLREREEEMQDLNRSTNTKMAWLSLLSLFVCIGVAAMQYVHLKTFFEKKKVI >A08p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19169971:19173678:-1 gene:A08p031420.1_BraROA transcript:A08p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKSILELSIKRLPRNLGAQRFHLSPSRKVSTSGKNGANLSPPPPPPPPSDGSKGSSSKVVIGGAAIAGAFLLAYQTGYLDQYLGNERQKLSEPDAVTVKLEEAHHLNVSPGVGDSAGIGSSGDGKGETQRDVPASVGMQQSDLEVQPESDPTPDRYTYVSSNQQETPQDNGADKAEKSLPFALDDSKTKPDASPEIISEAENVKLEAVPKPEDGTVISDQHSSVLSESEVESAAPKDPATEKAPEDGIEQEVKTPGSLLKEYNLDGNGTESNGSSSAGEQLTNETEALPNSTEGLKDGYMTEDGKLVLDFLDAIHAAEERQSHLEAQVFAEKLRTLKEKYENELRDLRARELMRIEEAAILDKELKREKTKAAAAIKSIQERMEDKLKTEIEQKESEAQLALSKAEEMAKAELTAAIVKEKAAQIEKMAEADLNGALALEDSLSKGLPIHKEISMLQSYLEGTHEDSVLALVLSSLPEEAKSKGTDTTLQLNQKFDTLKGTLRHFSLIPPGGGGILAHSLAHIASWLKFKEVDQANGGIESVIKKVDNCLAEEKLAEAAAALEEGVKGSKAEEVVSEWVRLARNRAITEQAVTILRSYATCASLT >A08p011590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000057.1:85236:89919:-1 gene:A08p011590.1_BraROA transcript:A08p011590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTERSQGSSRKLLEDWLRCHGSKRNVLVEA >A04p022160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13358180:13362023:1 gene:A04p022160.1_BraROA transcript:A04p022160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCYFDNGYYVQLLTLPSSDSLSHHHNYPRIPHNLSSSTTSQHSDVCDISILLTLSVYSLLESPEAPAFPKSDRVLTPGDSAVGIKNITINDDFFPHRPIMRVFMIEANSLHCLCSLIMAITTSNSVLSLSSDLRKHHDNQPKIPLILSSRSHSVSLIHNRRPSSLALRCFNNADNVSSEKDTPIELKFSAFPTVMDINKIKEILPHRFPLLLVDRVIEYTPGVSTVAIKNVTINDNFFPGHFPDRPIMPGVLMIEAMAQVGGIVMLPEVGGSQDNFFVGGIDKVKFHKPVVAGDTLVMRMTILSFQKRFGLAKMEGKAYVGASLVCEGEFTIVSSAGTSS >A07p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19828104:19830319:-1 gene:A07p037040.1_BraROA transcript:A07p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAWLIWPFLLIFLTALPANSITESESLLKFKQSLTYATPLDSWTPDSDPCGGVQQLWVGILCNKNAVFGLRLEQMGLSGTIDVASLMDLPALRTISIMNNSFTGDIPEFNRLTGLKSIYLSGNRFSGNIPSNYFSTMVSLKKVWLSNNEFSGLIPLSLATKLPNLITLHLENNQFIGNIPNFTQPSLVDVDFSNNRLTGGIPSGLSKFDVSIFAGNPGLCGEKLTTTCTQPKDATASILIDGTMKDAYKTKYYIAFGTLGFLLVVTIISLFFKKKKKRRKRRKRTRRASEQDSNDDQQIQVIVDGSRTGGSRQSKSSRSGELSDKSTGLTGTETADLVMVNKEKGVFGLSDLMKAEAHVLGNSGGGGSRPTSSGSVGSAYKAVIESGVTVVVKRVTVMNQVSLDVFDKEIKNLGSLRHKNILTPLAYHFRQDEKLLVFEYVPKLSLLHRLHGDHGEASRLDWPSRLKIILGIARGMCYLHKELGFLSLPHGNLKSSNIFLADEDEPLISEFGLQRLINLDDQVQSLVAYNSPDETVSAKSDVFSFGVVVLEILTGKFPSQYSGLNRAGGTNVVEWIGSALEQGVWMDLLHHTVVAAAAEDETSSEEIENVLRIGVRCTGEDPDRRPSMAEVVDELITMEDSSDDFITIET >A09p064200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51825571:51830853:1 gene:A09p064200.1_BraROA transcript:A09p064200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNFLYLRWVKACQKTRKLGSWLCNTGLRVEALNRLVLDSAMATIFGSIIMPGSIVTVTNPVSIGRFAIQQPKSVTVSGTGREAYEVVIENSKLIYKQSGIALVTKEGSIFKTFLDEDIEEYEKPVPKEKIMKRIDSHKGTKSYQLAERLHSRWSTGAGPSISCMRDYPSELQFRVLEQAQPSPRASSFSNPSPFAPVRSRGTTLGEPGSESDNGSSTEEELDEVQDTNTAGGASRYLPGGSDTDDGDLPKRVVQPGKDKRFEEMVHTAEQMKHAMNVNNWVSLLENFDKINKQLEKVMRIKEAVKAPVLYIKTLVMLEDFLNETTLEAKNEMSHSNSKALNSMRQRLKKNNKMYEEEINKYRESSETEEAKVSEEELCNKDPKEITWEWINQKLKEVVSARGRKGTARSELIDQLTHLMRLAKTPAQKLEILFGLVSAQFDVNPGLSGHMPIDVWKKCVNNMFTILDVLVKYDNIVVDDAVEPDENETSRPEDYDGKIRVWGNLVAFVERIDTEFFKSLQSVDPHAREYVERLRDEPMLLALAQNIQVYFERCGDFKSAAKVALRRVESVYYKPQEVYDAMRKLAEQEDDSTGPVVPRKPTFAESSRAMMDGLVSLIYKYGDERTKARAILCDIYHHSLMDKFGTARDLLLMSHLQANVQHMDMARELLAQGVSQSRKEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMSANSHDARRKVISKNLRRLLEISERQAFTAPPENVRDHVMAATRALTKGDFEKAVEVLSSLDIWKLLRNRETVLDMVKARVKEEALKTYLLTYSASYESLRLDHLAKMFGLSENQVHSIVSKMMISEELHAYWDQPTHCILFHDVQHNRLQTLAFKLIEKLAVLAESNERAMEARTGGYGGRGGTENRGMQMDGTSRMVSLNRGVRA >A04p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17663301:17665232:-1 gene:A04p029540.1_BraROA transcript:A04p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSISLIRRLQFHKFKPIPPLLRLNYISNTTTSSSSSSLLSPPREISTHSKPHPPTLNNNHSFYNPRRNLTTHAEDPPETPIDQEKLDLEEAFESATTTDEMVRLFKQMELSFEGNELGLSALKLGLHLDREGDDPETVLSYATKALRSFDVAANKPNLLTAMALQLMGSANYGLKRFSDGLGYLNRANRILVKLEKEGDCNVEDVRPVLHAVHLELANVKNAMGRREEAIENLKKSLEIKEMAFEEGSKEMGAANRSLADAYVAVLNFNEALPYALKALEIHKKELGSNSAEVAQDRRVLGVIYSGLEQHDKALEENRLSQRVLKSWGMKGELIRAEIDAANMKVALGRYDEAIDVLRKVVEGTDEGSEMRGMVFISMSKALVNQQKFAEAKRCLEMACEVLEKKEGCSPVEVAEAYSEVAMQYESMNEFEIAISLLQKTLGILERLPQEQHSEGSVAARIGWLLLFSGRVSQAVPYLESAAERLKESFGAKHFGVGYVYNNLGAAYLELGRPQSAAQMFAVAKDIMDVSLGPNHVDSIDACQNLSKAYAGMGNYSLAVEFQQQVIKAWDNHGDSAKDELKEAKRLFEELRLKARGGVSTDKVPTKALPLPKK >A10p022630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14897615:14899688:1 gene:A10p022630.1_BraROA transcript:A10p022630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGRAGSSTSSSLTTELFGSKDPSPPSSSSGIFSSIFPHPSKGVARDGPSSKHGLQAQRRESSTAQEDRVEPCHLSSSLYYGGQDVYSRSTTNQTYPTVRNERPRSGEDDANGQNSQDVSRGNWWQGFHHVVDCPAINGHASRREKDSFLRSQRDDTAELHRRRDLIEKETVQNERLSTQISQRVRLDRSSVSKKSINVNRTQSSRFLHAQQSSLKIKEELEDENRLYQGKESRIEDREGESIE >A02p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14445743:14450516:-1 gene:A02p028650.1_BraROA transcript:A02p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASFATALTIVFSILFAVTAEDPYRFFNWNVTYGDIYPLGVRQQGILIDGKFPGPDIHSVTNDNLVINIFNNLDEPFLLSWNGVQQRRNSYVDGMYGTTCPIPPGKNYTYMLQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTDLKAKLDSGRKLPLPDGILINGRGTGATLNVEQGKTYRLRISNVGLQHSLNFRIQNHKMKVVEVEGTHTLQTTFSSLDVHVGQSYSVLVTADQPAHDYYVVVSSRFTSTVLTTTGVLRYSGSSGGVSGPIPGGPTIQIDWSLNQARAIRTNLTASGPRPNPQGSYHYGMINTTRTIRLASSAGQVNGKQRYAVNSVSFKPADTPLKLADYFKIDGVYRVGSVQSQPTGGGIYLDTSVLQADYRTFVEIVFENPENIVQTWHLDGYSFWVVGMDGGKWSPDSRNEYNLRDALARCTVQVYPSSWTAIYIALDNVGMWNLRSEFWARQYLGQQLYLRVYTTSTSLRDEFPIPKNALLCGRASGRSTRPL >A07g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20852997:20853714:-1 gene:A07g507610.1_BraROA transcript:A07g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEPNKHITKPNKRPKKRKSPAEPKAHLRHPISTKKPRRRADTRYGSDDAPLRHTTRKTHLRLTREYQKPHNPHIATANAFHFRDPRRRGKAAVASTTRGLEPATAELKEPPPPGDKTERGNLTTPTSPRPRPCARDRSAIDGLFPELKQGG >A03p009540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3824969:3826009:-1 gene:A03p009540.1_BraROA transcript:A03p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELRFFHDNSTPNKNPMGLRLIDFESLDQVLTQVQCTVVSAVANRSFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPFIHLARTLNLTLRACRYSRGSFIFPNSQPFPYSSFEDEVVIVEDSLPKESLRHRKASVMTPSNNPSRAWHVFTASADVEPDESVVVVEVCMTELDRVNSLSFFRRKGDGNSDSAGKEMTRLSGIDLINENAFICDFAFDPCGYSMNGVDGDRYSTIHVTPEDGFSYASFECGLSLYDDGREDVAEVLSRAIDVFRPSCVSIATTYGGEDYDHEVTKRVERVLAKNLGLKCRSRLMDEFPGSGTVVFQSFTPRRR >A04p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12380952:12383465:1 gene:A04p020380.1_BraROA transcript:A04p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative aquaporin NIP4-1 [Source:Projected from Arabidopsis thaliana (AT5G37810) UniProtKB/Swiss-Prot;Acc:Q9FIZ9] MTSNGEEIEEEEISRIEKGQAKNCHGGIETVICTSPSIVCLTQKLIAEMIGTYFVIFSGCGVVVVNVLYGGTVTFPGVCVTWGLIVMVMIYSTGHISGAHFNPAVTLTFAIFRRFPWYQVPLYVGAQLAGSLLASLTIRLMFKVTPEAYFGTTPTDSAARALVAEIIISFLLMFVISGVSTDSRAIGELAGIAVGMTIMLNVFVAGPISGASMNPARSLGPAIVMGVYKDIWVYIVGPISGVMAGGFVYNFIRFTDKPLRELTKSASFLRSISPKQ >A06p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27550604:27551819:-1 gene:A06p052090.1_BraROA transcript:A06p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISISPFTLPSSSTKPHLLTSSPTRAYSSSISPRVLTQSCSLFHPLRRYFTRCCSSSSSSPSSPDGFLGKPNEGGNESIIGVNPVKFAICLVFWASLSLLWFARSGDAKAAADSIKSSSFGLRIAAALRRFGWPDEAVVFALATLPVIELRGAIPVGYWMQLKPMSLTFFSLLGNMVPVPFIILYLKKVVTFLAGKSQTASKLLAILLKSAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWSAVSANFCGVVLAGLLVNLLVNLGLKEAVVAGILLFFVSTVMWSVLRNISKSIRPSLP >SC133g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:185554:188061:1 gene:SC133g500070.1_BraROA transcript:SC133g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAVEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVRPASSEEEQVEPAS >A09p072460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55545291:55546939:1 gene:A09p072460.1_BraROA transcript:A09p072460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRGGKRWNFGANEVVELSCSQNVRYFLNLLADNLDRSDSRPVIPLGHGDPSPFPSYRTDPSAVEAVCDALRSAQFNHYSTTSGLPIARKAVAEYLSRGLTYQICPNDVHLTAGCHHAIDVLMSTLAVPGANILLPRPSYPMYDSRAAFSQLEIRRYDLLPENGWEVDLDAVEALADEKTAAILVINPCNPCGNVFSRQHLQKIAETASKLGVLVIADEVYKDITFGETPFVSMAEFADIVPVMLLGSISKRWCVPGWRFGWMATLDPHNIMKDSGLVHSLANVLNMSTDPTTFIQGAIPDILEKTKEEFFSSVLETLRECSESCYEEIKKIPCITCPYKPEASMFTMVKLELSVLEDIKDDLEFCCKLAKEESLIILPGRSVGLKNWLRITFAVEPELLKDGLSRLNKFAQRHSKKKQQP >A01p026970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19205581:19207812:1 gene:A01p026970.1_BraROA transcript:A01p026970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYAPNHLLLLSLAHIHIFWTVPDSLDEISPSTVRRAMSKARVYTDVNVIRPKEYWDYESLNVQWGEQDDYEVVRKVGRGKYSEVFEGININSNEKCVIKILKPVKKKKIRREIKILQNLCGGPNIVKLFDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLNKYQLELDAQLEALVGRHSRKPWSKFINADNRHLAIDYLDKLLRYDHQDRLTAKEAMAHPYFAQVRAAESSRTRTQ >A07p036640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19658797:19666764:1 gene:A07p036640.1_BraROA transcript:A07p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVSSVLKSLLLILQLVFLSQHQSASASIVNFLPGFEGPLPFELETGYIGVGEEEQVQLFYYFIKSERNPEEDPLLLWLSGGPGCSSIHGLLFENGPLTLKHEVYNGTLPSLISTTYSWTKTTSIIFLDQPVGTGFSFATQVVDTPSDSGEAKRIHEFLHKWLGKHEEYIPNPFYVGGDSYSGKVVPALVQEISKGNYQCCKPPINLQGYVLGNSVTGSEIDFNSRIPFAREMGLISDELYESLERICKGKYVNVDPSNTECVKLVEEYNKCTKTVNPYLISEPLCETETPDCYIYRYLLASYWVNDERVRKALQIYKESVGKWVRCKLDIPYIKDIISSIPYHMDYSISSYRSLIFSGDHDMAIPYLGTQAWIRSLNYSVIEDKRPWMINNQLAGEVGTQQSINQRKALSCFKEPMAKDYVSYVLKSLLLLLQLVLLIHDADSASIVKFLPGFEGPLPFELETGYIGVGEEEEMQLFYYFIKSERNPEEDPLFLWLSGGPGCSSISGLLFENGPLTMKLEEYNGTLPSLVSTTYSWTKTTSIIFLDQPVGTGFSYSRTQLVDKPSDSGEAKRVHEFLHKWLGKHEEFISNPLYVGGDSYSGKVVPALVQEISKGNYQCCKPPINLQGYVLGNPLTEFETDMNSRIPFAHGMALISDELYESLKIICKGTYVNVDPSNTECLKLVEEYNKCTETVNPYLILEPFYEAETPDSYIYRYLLATYWANDESVRKALQINKESIGRWIRCNLDIPYTQDIISSVPYHVDNSIDGYRSLIYSGDHDLGMPYLGTQAWIRSLNYSVIDDWRPWMINSKLAGEVDTLQSLNQRKALSCFKELMAKDYVSSVLKSLFLLLQLVCLFHDADSASIVKFLPGFEGPLPFELETGYIGVGEEEEVQLFYYFIKSERNPEEDPLFIWLNGGPGCSSISGLLFENGPLTMKLEVYNGTLPSLVSTTYSWTKTSSIIYLDQPVGTGFSFSTQHVDTPSDSGEARRIHEFLQKWLAKHEEFIPNPFYVGGHSYTGKIVPPLVQEISKGNYQRHKPTINLQGYLLGNPVTEFEIDWNSRIPFAHEMALISDELYESLKRICKGKYVNVDPGNTECLKLVEEYNKFTKTVNPFLISEPSCETETPDCYIYRHLLATYWANDESVRRALQINKESIGRWVRCNFDIPYTHDIINSVPYHVDNSINGYRSLIFSGDHDLGVPYLGTQDWIRSLNYSVIDDWRPWMINNKPAGCTTTYANKMTFGGGHTAEFKPEESSVMFQRWISGQPL >A01p035660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16928708:16934489:1 gene:A01p035660.1_BraROA transcript:A01p035660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKDLKRKSPMTEDYGDDCESVKAQDITQAQQELAQVIKGVNGSLNQDQKTTIRQKSEKKGTDCAKEQRALVLCNQFDTLLSTAHYQAILFMYKQLHDAVIKKHAQELEVARIQGKLELFHELFNISALSEEKEKLESELVLAEAKASDVKILQYEKKLI >A03p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6424692:6427324:-1 gene:A03p016130.1_BraROA transcript:A03p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATLSHSLILSSSSRFSRLGRFLRQHANPLPVPRFRCYCSDETSPSPSVKKRVVSGVQPTGSIHLGNYLGAIKNWVTLQDTYETLFFIVDLHAITLPYDVQELRKATRDTAALYLACGVDISKASVFVQSHVRAHVELMWLLSSSTPIGWLQKMTQFKEKSRKEGGENASVSLLTYPVLMAADILLYKSDFVPVGEDQKQHLELARDLAQRVNNLYGGRKWKKLGGRGGSIFKIPEPLIPQVGARVMSLTDGLSKMSKSAPSDQSRINLLDSKDLIADKIKRCKTDSFAGLEFDNAERPECNNLLSVYQIVSGKTKEEVMEECKDMSWGTFKPLLADAVIEHLSPIQVRYEEITAEAAYLDKVLSEGADRATEIAESTIHNLKQAMGFYL >A09p064180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51820622:51824866:-1 gene:A09p064180.1_BraROA transcript:A09p064180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) UniProtKB/TrEMBL;Acc:F4J5V3] MEGEDELQTPNGAVSAQLDRDLEDDDPLVLSSQALAALQEFLADQNKTDTITPPPSTVDGGDKVELVTEDWRLSQFWYEPETAETVAEEVVTLSSRFSNCRVACIACPTLYVYLKKKDPSLHVQLLEYDMRFERYGSEFTFYDYNEPEELPLELKHCFHIIVADPPYLSKECLERVTQTVSFLASPVDSLLLLLTGDVQRDRAAEMLGVRPCVFKPHHSSKLGNEFRLFVSYDPGSVAENAHVFHQWSSHFSTVLGAGVQTRVSQWWNAIPFLTSSVVVVCGIIYLICLLTGYDSFYEVCFLPSAILSRFQVYRFYTAILFHGSMLHVMFNMMALVPMGSELERIMGSVRLFYLTILLATTNAVLHLLIASLAGYNPFYQYDHLMNECAIGFSGILFSMIVIETSLSGVTSRSVFGLFNVPAKLYPWLLLIAFQLLMTNVSLLGHLCGILSGFAYSYGLFNFLMPGSSFFSTIESASWMASCVRRPKFIMCTGGNPSSYMPTYSVQNTTSSGFSTGNAWRSLSSWLPQREASNQSSEDSRFPGRGRTLSGTARDPTPPAGETDPNLHARLLEDSTPDRLSDATVIGIAEPIPAARQVPIANAAVLPQSQPQGRVAASEEQIQKLVAMGFERTQVEVALAAADDDLNVAVEILMSQQG >A04p008480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8193014:8193514:1 gene:A04p008480.1_BraROA transcript:A04p008480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHPASYLHCHPSTETYPFDRWRHANDFGNVAQSCKLGITAMHVKLRATSRTSRAGSR >A05p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10210883:10220269:-1 gene:A05p021490.1_BraROA transcript:A05p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPA4 [Source:Projected from Arabidopsis thaliana (AT1G53090) UniProtKB/TrEMBL;Acc:A0A178WBA0] MEGSSESNSRGLNTSEENLRADDIVRALKCEDVSLRQWLDNPERSVDAFKCFHVFRQIVEIVNAAHSQGVVVHNVRPSCFVMSSFNRVSFIESASCSDSGSDEERPKETTMKKSQEVGGSSKEEEFQPFPMKEILAMEMSWYTSPEEDTGCATTYASDVYRLGVLLFELFCPVSSREEKSRTMSSLRHRVLPPQILLNWSKEASFCLWLLHPEPTYRPSMSELLKSEFVNEPRESLEEREVAIDLRERIEEQELLLEFLFLIQQRKQETADKLRKRIRQGAEGVEAEENEDDTLNSTLLESSRLMRNFKKLESVYFATRYRQIKASASASKDKPLARYYSALSSDGKSSVSNLTQPDSRQGGWIDPFLEGLCKYLTFSKLRVKADLKQGDLLNSANLVCAIGFDRDGELFATAGVNKKIKIFECNRSKLSGICWNNYIKSQIASSNFEGVVQVWDVARGQLVTEMKEHEKRVWSIDISTADPTLLASGSDDDSGRSLAFGSADHRVYYYDLRNPKLPLCTMIGHTKTVSYVRFVDSSTLVSSSTDNTLKLWDLSMSVSGNFVGLSVSDGYLATGSETYEVYVYHKAFPMPVLSYKFKTVDPVSGLEVEDASQFISSVCWRGQSSTLVAANSTGNIKILEMLSNGCKWLDHLEIHDSKHKTSFDIFVESTFCPRQCFKSILYVEKPSSGLRGFSFLKRAECFRNEREAMAVTSFAPPWLVLRQAFRTVAASSSYLRPTHNHTVLPWSPLRHSALRRCHVAEAMKGDVELVLKGVGDQAVAKEVKHILEMARRATSRREVLHTDFLTPPVVKESVSVLGKLADVAVVAQGGYPEAERCRISVGHPDVLTNDPDIVAALSITGNFGFQACSHGDFLGAILGTGITRDKLGDILIQEEKGAQVLIVPELVDFIVSALDKVGNVSVTCSKIPLLALEYEPPRTNSFKTVEASLRIDAVASAGFKISRSKLVDLISSGDVRVNWAPVTKNGTTVKTGDVVSVSGKGRLKIGEINETKKGKYAVEIIRYL >A01g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5789093:5789429:-1 gene:A01g501530.1_BraROA transcript:A01g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPNNNQDLLNPRSTFNNIEEHERDLVADTTRPPPPLAAVHGEERETRPREREGGAAKRERERRDAAKREKEERDGAGKRERVDG >A05p054970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32487777:32490148:-1 gene:A05p054970.1_BraROA transcript:A05p054970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGRGGVESILPNYKLGRTLGIGSFGRVKIAEHSLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYLVMEYVNSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKSNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSAGARDLIPRMLVVDPMKRVTIPEIRQHNWFQAHLPRYLAVPPPDTAQQAKKIDEEILQEVINMMGFDRNLLIESLRNRTQNDGTVTYYLILDNRFRVSAGYLGAEFQETMEGTPRMHPAESVASPVSNRLPGLMEFQGVGLRSQYPVERKWALGLQSRAHPREIMTEVLKALQDLNVCWKKIGPYNMKCRWVPNNADNSMQDNNYFGDDSSIIENDAAVKSPNVVKFELQLYKTRDDKYLLDLQRVLGPQFLFLDLCAAFLAQLRVL >A05p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17563517:17572679:-1 gene:A05p033260.1_BraROA transcript:A05p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATVLSRLSLIPNLSSKPKSFSNKRTTPTAVSVRAQASFSDPFVLELAESLEDSLSSSSSSSSSSSLPLQRIRDSSAESLLSTPWPSRRDEPFRFTDTSFIRYSQIEPVSTQQRNSEILDNLTETHFPNAVIIDGFVSNLTIGPSDLPDGVYFGSFSGLPDDLTNRVSEFIGDFDSGDLFWSINGMGAPDLTMIYVPAGCKVDNSIHLRYFSGQTGDRESKRLPVSNPRVFVLVEEGGEVGIVEEFVGRDEHGFYWTNPVLEVVVLKNAKVKHSYLQKESTAAAHIKWTFVRQEAESEYELVEVSTGGRLGRHNVHVQQLGPDTLTELTTFHISWDRLGDCKKSSHFLFWFRVRMASNDLKTWVSDKLMGLLGYSQITLVNYVIVKAKQSKSPAELVGVLMDNGFASSGDTRSFAEEIFARVPHQTAAVNLYQQREAEAAMLVRKQQTYALIDDDDDDEDEVVKEKKPSASESKKSSKGKKRFRKKSGPSDDSDEEVPVREDSRHVRRKVSEDEDDGSESEEERVRDQKEKEELEQHIRDRDTARTRKLTEQKLSKKEQEEALRRANALEEDDLNSLRKVSRQEYLRKREQKKLEELRDEIEDEQYLFADEKLTETELREFRYKKELYDLVKKRTQDEDNVEEYRIPDAYDDQEGGVDQEKRFAVAVQRYKDLDSREKMDPFAEQEAWEDHQIGKARLKFGSKNKKASDDYQFVFEDQINFIKESVMAGENYEDDMHPKEAQDAAEKTALEELQEVRKSLPIYGYREQLLQAVEEHQVLVIVGDTGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLRELLGEPDLASYSVVIVDEAHERTLSTDILFGLDIARFRPDLKLLISSATMDAEKFSDYFDTAPIFSFPGRRYPVEINFTSAPEADYMDAAIVTVLTIHVREPLGDILVFLTGQEEIETAEEILKQRIRGLGTKIRELIICPIYANLPSELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFSKMKSYNPRTGMESLLITPISKASATQRAGRAGRTSAGKCYRLYTAFNYNNDLEENTVPEVQRTNLASVVLALKSLGIHDLINFDFMDPPPAEALVKALELLFALGALNKLGELTKAGRRMAEFPLDPMLSKMIVVSDKYKCSDEIISIAAMLSVGGSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYSSWKETNYSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIEISSNMNELDSVRKSIVAGFFPHTAKLQKNGSYRTVKHPQTVHIHPNSGLSQVLPRWVVYHELVLTSKEYMRQVTELKPEWLIELAPHYYQRKDVEDDASKKMPKGAGKAAI >A09g515360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45622065:45625405:-1 gene:A09g515360.1_BraROA transcript:A09g515360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCAYLGRGSTDGTDLYGSVRTETTRGNTTWPFEMADKKKSGREASKGNQEDPLWRQAANESKDGADWLLWRMDRLALAVKPKGATTMNMLAPLELIHTHNLRSLKISYGIRASSKLSPCRLKQEEAVCSIRLFNTSRGRRVHPGVQKLSAAVPQHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWEPDMVVQKERSMEDGGVGVHTNNSESVQWNQGSIQRCPEVLIWLCKDRAKSRRDLEVCLGANGQVCILRARQYGWYGPVRIRTDRDNPRKHNLAI >A06g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1881866:1882582:-1 gene:A06g500440.1_BraROA transcript:A06g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNLLITVLLVLASLSLASCYSHPTRDVLFKQDDVESYSMTTSQQGSSMLDVEGLVKARSLQVLFAGSKVVVKASKSSGSKKRKHKSKVGSLDVSAVVGIIIAAIVVTIIIICVIYFFYVKHKTKKAQSLGQEKTNASSENQTSKTEDIIV >A10p010760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6757280:6758792:-1 gene:A10p010760.1_BraROA transcript:A10p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHAMEVTKTVLEVADVAWTAVEVYHHHHNHHSDEGENHDESTNPITDPRDREIEALRQENLRLRTLLEANLKLFETLAESAALSHDCPKDIYARLVSMVTSRDFLARLESLRQALSHGTQNQFPFKEPTEDDVQTVEVLIEIDHQEPSWWVLVTDDMIPSNVEEKSAIDNDHYIVVNEEHVVDAVAHFLAKCIMSNPKAKILKPEELQKILAQEVSALSKVGRVVDIWHAGKMFYTFSTWGLAFGGLYQARGALKIAAKGVHATSKVVLRAL >A02g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16325669:16335300:-1 gene:A02g505390.1_BraROA transcript:A02g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDTQKHEVPRNLRSEHAERHVCAECQYGCGQAVDQTSGGHGVTLHDVLVMPSDMWSTRCRDACVRSHARRHTGCHTAFFENSYSTRFEFTSKRGSARIKISSEVVLLVKVKKGFGTQKTDSGSRPQSTKNSSHYLEVGSWQEASFVQANTTPGRSTDSSLQKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRAIVPLGRYVATELSQARSLRSDRAIGYVATRPARATDRPARSLRSDRARAKAQSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPLEKLEPEPEKWPHLGRKTC >A03p002240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1048753:1050230:1 gene:A03p002240.1_BraROA transcript:A03p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFMDSPREETRLEISGDSCENSLEEFSSGLDQGASSPVTPESDRLHAALSFGNGSSDVSPNHESDSSYSELDSEAEAFYSSLNHQLVSSGTMDGLELGEKPMSYSELVKKLGQFEEELRTKSLKLQESEQEIEKLKGEAEKRDYDALLAELEAARREIETKDADIETEKRRALEMQGQVVDLESQLSDLRFNVGNVVDELHASKECLAAADAEISKLSTEKTKLESDVSSFLEKQTFLEDQIKRSDAEKMEMKSNEVKLEAEINALKTDLASRDERIEALNKDFDKHKLRYDMLMAERDGVCAEVDNLKAEMRARDIQIKQMEERVNQLVSESGNAKNTVEELREMVKELEKQAELQRNAISEGEEEKREAIRQLCYSLDHYKSGYRQLVRFLSGNKKQHQATMVV >A04g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17209704:17212424:1 gene:A04g507140.1_BraROA transcript:A04g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQELLRGFIGTTARIFIQQQKLHTTQIFEEDDKDDVIRNTLFAKTNHDQHQLHEWEDSHDQVRDFIGAPIYDEYDYDSFREPRHNSEVTAKEGDMSSHINLLSPVDSPEINDDITKGTRLDRPIFTSDVESYYVAVTKLTDGPIYDVYDDGVFTESYYYKDTPCSDDDQVQGVNNGCNDQVLVDDAYIGVRKQYMNHGLGKKECHRQFHHEPPDRGRHNTTHTKLLDEISHILKLEHDQEDCLKWIDAKEDSETGKLHSIINANRTTLTKDINLTTSTYICGTSLVASLTFLARPWKYGKRISYDSFRNTYDVAFKGTKSTLVKETMDLVRPNKEIGNLSSPLCLFTSAMFRSGSKLLGKASLQNFVILGADVSYYHHDCSRMHLDNLFVGKRKQKIQVKRTSLLGSVVFYVGDLMALQKHVKVQIITCLVIFTICLCLEFCSRWKHKDLKRRRAFGMIQFIWGFGAYETFEFLRRTSSNVSCTPLGRMTITSTYGIVWSSAVWSLSHMAVTIPYVVFPFKWLQVELQLEVSKRKVKVVHSPQTGLGRIFIAIICWFDIACESSNLWECSNSAFQHVGEGATYFPIFSTLPSSSTKFSRVVWAITRSVGRELICGVMINIFRSTQHHLPKKNARQILFDYVTGCTFHVGWVLIHSVLVSYESLELRGEKNCSCPSERRVPAKGYFWIIAVEDKAFHRGCAIVDGKLQLVQAKKGVWVAYLYGSRTLFVIIEVKIFSFVRPPWSFLALESVYSHSHLLPFISSFHCGLLKRELDVVLLVNLEFVVVNLTASSAHMDVV >A03g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31220479:31221185:1 gene:A03g509660.1_BraROA transcript:A03g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNIFAKADDLQNQAQPLEDFARRLKPKKKSVVTMVGKTFDQLVSKCPDNVHLEVNDYLTIFLYKFGRNEKQKNPFHRASLAQAFFAFTTF >A02p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9396093:9398072:1 gene:A02p020140.1_BraROA transcript:A02p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAIKSDSGSTSTASLSTTLTVEKDESEIMNPKILCAVRAGDKESLVKRIKDDAKTIQRLVDNHGNSLLHIAAASGHGNIVDYIVSKFPNLVRKGNLMDETALHAAARAGCLTIVEFLVRFVTESLMCDMLISAKSNNGDTALHIALKEKHEDVAFYLLSVRHDVSFDANNDGVSPLYLAVEAGYCELVTKMLESLSCPSKLALMYGAKSLVHAAMRAKRRDILGIVLRQNPRLIELRNEEGRTCLSFGASIGFYEGISYILSDFDKAASNLCYVADDDGFFPIHMAAREGHVRIIKEFFKRCPDSVELLNSQCQNILHVAAKTGRSKVVKYLLKLDEERRMMNEQDLDGNTPLHLATKHRNSMVVNILTWNENVKLATMNNDGFTALDIAETLKDNAYILRKRLTWMALLSAGAPNGLKQIPLDRVSPSLIDNPKIYKDSFHTLMVTATLVATVTFTAGFTLPGGYISSAPDLGMAALIDKMNFKLFILFNSISMCSSVVTIMALIWAQLGDAILTKKAFKLALPLLVTALQSMAMAFVAGVTLVVSDLPWLSHLVLAIDTIFFLFLMLLIIPYAFSSTRQGFLRHIFYFPYFLMLLAVGDESNNIDC >A06g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7626507:7632039:1 gene:A06g502160.1_BraROA transcript:A06g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADKYETLKIIMKIGKNGISPFLRYDGLRAEGEKPATKLGLAVLRLLELGISPTGLEPRLIPCYRPFRSKARADRPVSTQDSRPAINIFTKINLRKDIFTKRLAVKSRPNLNLTTKYRLSEGNGHVSKSAADKLEYGNRTADKLSSIDTRRPSMHTARSLRSDRASVPLGRYVATKLELEPSSVANDRARAKLGRYVATERPSWSLCSDRAFVPLGRYAVTEYFRNIDMTPVHAFLSILRCYLPKTPVNRKTVYTWFTRKDKCQVSADKYRSLKIIVKIGEKWNISILCYDGLRAEDANFGSHSLALEGGGGSDYSYSWPQNA >A10g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5529059:5530749:1 gene:A10g502070.1_BraROA transcript:A10g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQAFEILSVWVVGIWWSLDPYKAPLCLMIQLVFCFAFACQCNMALRRSNLRDSDKAQTRTGNVNAEHIRSGDVSEALMEVFREETRLSRALTQEAMEANNEFAATLEMLQQDVPHSDELYKIKKVVRESEALFEDGSGSGASKCCSTGRCREIGNSGCFARSSSAGCDYFDVLVSLKEKWEKKKAATDCEARLSEVMVNIDLLKEIMNNNLLASDELLRLRTKEIELGSELDVMVVLEFSVGKLDLPQISEDLPEDFFAKVPSAVDDVMKCSGSQFEDGEFGIEDFVALVGGVGRNRRLDQGLRFRLTLT >A07p019360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11520012:11522176:1 gene:A07p019360.1_BraROA transcript:A07p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTIARKTWELENNILTVKPPADSSSDNIFHYDGAAHAKVLKEKPWATDPNYFKRVQISALALLKMVVHARSGGTIEIMGLMQGKTDGDTIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGHKISDDHVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLDQAESQLVQSWFGGKKASLHKKKKDELPLAKITRDSAKITIEQVHGLMSQVIKDILFNSARQSDKTPSDPTDPEPMITS >A10g501740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4754147:4754335:1 gene:A10g501740.1_BraROA transcript:A10g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRDLQSLAEQRVVNLERVSEQRLVNLERLVAELGEKKPLMWAYCICCIVVAMAVALLYLV >A05p007670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3090440:3093191:-1 gene:A05p007670.1_BraROA transcript:A05p007670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKKTESYDNGSYNYKMFKCFNRKFKINEVQPTDDVRDAFCQFAVGCGGGGGDGDSSDGDASSGVMGAEQLCSFLDDHQGDSGTTVAEAQRLIDDVIRRRHHVTRFTRHGLDLDDFFNFLFYDDLNPPITPHVHQDMSAPLSHYFIYTGHNSYLTGNQLSSDCSEVPVIKALQRGVRVIELDLWPNSTGTDINVLHGRTLTTPVPLISCLKAIRDYAFSSSPYPVIITLEDHLTADLQAKVAEMATLIFGEMLYYPESESLAEFPSPASLLHRIMISTKPPKEYLESSNPIVKQKDNNNASPSSEEETPRKEEIQTLESILFYEDCCENKNDSDQEEEEASEEQKPAYKRLITIHAGKPKGTVKEEMKVVVDKVRRLSLSEQELDRTCSSNSQDVVRFTQRNILRIYPKGTRFNSSNYKPLSGWIHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVKKPNFLMKKGFHDEVFDPRKKIPVKVYMGDGWRLDFSHTHFDSYSPPDFYTKVYIVGVPVDNAKRKTRVIEDNWYPIWDEEFSFPLTVPELALLRIEVSEYDMSDKDDFGGQTCLPVSELRPGIRSVPLYDKKGEKMKSVKLLMRFIFE >A03p001060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:562634:566384:-1 gene:A03p001060.1_BraROA transcript:A03p001060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNYQAVNREKGKRGPTERPNIDRHTVTNTQHTSLNPFLSIFYISACEVEKALFFFSVLKTFGALLLLLNRSGVTLSTAGGMGKKAKWFSSVKKAFSPNSKSKHKSPESPNGVVSNPPPLPDNARQPSSPPPPLEVRVAEVIVEQNKNLSPPSTNAVNASTTDVPAVVVPSSSAPPEVVRRRATATRFPGKSNEEAAAILIQTVFRGYLARRALRGMRGLVILKLLMEGSAVKRQAANTLKCMQTLSRVQSQIRARRIRMSEENQARQKQLLQKHAKELAGLKNGGNWDDSIQSKEKVEAKMLSKYEATMRRERALAYAYSHQQNWKNNSKSGNPMFMDPSNPTWGWSWLERWMAGRPLEESNNDNAASVKNSTQPKTPSSARGGTTPRNKNGFFSPPTPSRLNHSSRKSKDEDDAKSTISVLSERNRRHSIAGSSVRDDESLAGSQTLPSYMVPTKSARARLNKPQSPSSGSTTQQESDGGFGDKASAKKRLSYPSSPALPKPRRFSAPPKVEVGVTNGARRRAERSFYVWFCFPLSSSRTLKPIPDPIRENRRIIFICFIPILSVYLLRLKATVNDGAAVAAAAIAGRYGILAWQAFKARPIVPRMRKFYEGGFQAAMTRREAALILGVRERVVAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDIMLGKSNDSGSAF >A04p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13203116:13204994:-1 gene:A04p021830.1_BraROA transcript:A04p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSNPNPNFIDFPITFTSDDYDDAFQMIMEQISLEDHSPTLSWTSSEKLLAAEVTSPLQTSLVTSPMSLEIGDKTEIKKRKRHKDDPIIHVFKTKSIEEIALDDGFKWRKYGKKPIRGSPFPRHYHKCSNPNCIVKKKIERDTSNPDYVLTTYEGRHNHPSPSVVYCDSDDFDLTSLNILSFQTRTYKYSHSAP >A06p011410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4206019:4206823:1 gene:A06p011410.1_BraROA transcript:A06p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAICDMVAVARLLNLTLVVPELDKTSFWADPSSGFEDIFDVRHFIDSLRDEVRIFRRLPKRFSTKYGYQMFQMFQMPPGLKFTPQLEALGSKLVRMLQQRGPFVALHLRYEMDMLAFSGCTHGCGEEEAEELKKMRYTYPWWREKEIVSEERRWRWF >A07p002590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3729413:3731676:1 gene:A07p002590.1_BraROA transcript:A07p002590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTNVSGEPPYTSASLPPPKAKTKIISLFLVGVIMISIFSLFLLLLGIASLLLLPFLLSSLHRHLRCRRRNRRHVSSDGLSSRFVKKLPQFKFYEPTTRHGSDCVVCLDGFRQGQWCRNLPGCGHVFHRKCVDTWLLKAASCPICRARVRLREEDAQEGELLRCFGHRRIYLFNVFAIKPEITNLIQESSLIPLMDPSTPEYLAHLMKVFQDFQQFVVSSYIFAAVSSVINLLSVLVIVHASALTHKEENVKLKDFPVLLTLKYWKGPLVTYFYIVLFTLGYWFLFFIVLFPLLLFSTKLDYLAAKSGALFVLFSVFESYLAIVWYLSLVISILEETYGIQALGKAAKIVKGMKPKLFLLNLFFGLVSFGLVQIVRRVDLNSSFPVILTIGLVLVSSIAAVRMFQLVSYTITYFQCKGLQGKDVESLRDVEYMKLSSTTLIGALP >A08p005710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3282710:3284084:-1 gene:A08p005710.1_BraROA transcript:A08p005710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAICLGFLPPRLQLSSRVSLSLPRGSSTSPNSDVRQTLVWNKRQGGVYLNRGRVLCSSQPDSNAPRAELFRGKSGSVSFNGLTHQLVEESKLVSAPFQEEDKGSLLWVLAPALLISSLILPQFFLSGAIEATFKNDTVAVTKSSSFNQICEKLNLLDAEIVTSFCFETAFYAGLAIFLSVTDRVQRPYLDFSSKRWGLITGLRGYLMSTFLMMGLKVVVPVFAVYMTWPALGMDALIAVLPFLVGCAVQRGFEAQLERRGSSCWPIVPIVFEVYRLYQVTRAATFVQRLMFMMKDASTTVEITERGVALVGLVVTLQFLAVLCLWSLITFLMRLFPSRPVAENY >A10p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17405544:17407314:1 gene:A10p027750.1_BraROA transcript:A10p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb-related protein 1 [Source:Projected from Arabidopsis thaliana (AT5G18240) UniProtKB/Swiss-Prot;Acc:Q9FK47] MYYHNQHQGKSLLSSSRMPIPSERHPFLRGNGPGDSGLILSTDAKPRLKWTPDLHERFVEAVNQLGGGEKATPKTIMKVMGIPGLTLYHLKSHLQKYRLSKNLNGQANSSLNKTSVMTMVEENTPEADESHSESLSIGPQPSMNLPISDALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAILEKAQETLGRQNLGPAGIEATKAQLSELVSKVSSEYPDASFLELQNLHHQQMQTAYPPQNSSLESCLTSSEGNQKAPKMLENRLGLRTYLGDSSSEQKEIMEEPFFHRMELTWAEEEEEGIRENNRPYLSAMEPRNSSSRRSPGRLSIGVGLQEHRGGYTEERYRESGEDCKVETRTSTALDLNTHDETYGTTRPKQFDLNGFSWS >A06p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10722038:10725522:1 gene:A06p021630.1_BraROA transcript:A06p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGTDRTNMSSPSAQSHTRNTQGGSSFDFLKTILLVLFVGALAWAYQSIQPPPPKTVGSPDGAAVTAPRIKLRDGRHLAYKEHGVDRDEAKFKIIYIHGFDSSMLDSPFPFFLSPALVEELRIYTVSFDRPGYGESDPDPNRSPRSIALDVEELADGLGLGPNFYIFGLSMGGEITWACLKYIPHRLAGAALVAPAINYWWRNLPGDITREAFSLMYPADQWSLRVAHYAPWLTYWWNTQKWFPISNVIAGNPIIFSRQDMEVLSKLGFVSPNRGYTRQQGEYESLHRDLNVGFSSWEFDPLDLKDPFPNKNGSVHLWHGDEDRFVPVKLQSYIASKLPWISYHVISGSGHLLPLVEGMTDKIIKSLLVGE >A07p039710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21100035:21101908:1 gene:A07p039710.1_BraROA transcript:A07p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYIPRCNQNNKQIGSKSHQFEEEEEEEEEMYGKTISIIVLFAFLIASYSIYMGTIDPTPYFSQLGKPSPPCNTTTTRQPLRVFMYDLPRKFNLAMMHPNISDVEPITAENLPSWHQTSGVGRQHSVEYWLMASLLNNGSDEEEKEAVRVLDQELADAFYVPFFSSLSFNTHGKNMTDPDTELDRLLQVELIEYLENSKYWQRSGGRDHVIPMTHPNAFRFLRQRVNASILILVDFGRYPKEIANLDKDVVSPYVHVVESFADDVVVDDGALDPFEARSTLLYFRGNTVRKADGKIRVRLEKLLAGNSDVHYVKSIPTTQNIKVSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCIPVIISDKIELPFEDEIDYSEFSVFFSANEALEPDFILSNLRQFPKEKWLKMWERLKNVSHHFEFQYPPKREDAVNMLWRQVKHKIPDVKLAVHRHRRLKVPDWWL >A07p050560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26960819:26963305:1 gene:A07p050560.1_BraROA transcript:A07p050560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSYYRSPFGDTTYTKVFVGGLAWETPTEEMRRYFDQFGEILEAVIITDKNTGKSKGYGFVTFREADSATRAVAEPNPVIDGRKANCNIASFGRPRPSPPRGRGQTGSPSQYQSGGPSAYTGMASPLPPAAAGHQLMYPSYGI >A01p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20680681:20682464:-1 gene:A01p028980.1_BraROA transcript:A01p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGAFVVSQTSLSSSKHHSPSSYPTMLLGVRAFPTNSKTTRGLIRCQLSSSSVSALQQLKKSAYDRYTKERSSIVVIGLSIHTAPLEKLAIPVAEWPQAISELCALNHIEEAAVLSTCNRMEIYVLALSQHRGVREVTQWMSKRSGIPVSDICQHWFLLHNKDATQHLFEVSAGLDSLVLGEGQILSQVKQVKEKLRNGFGMVIPGLFEKAITAGKRARAETGIASGAVSVSSAAVELALTKLPPGSASSAVMLVVGAGKMGKLVIKHLVAKGCTRMVVVNRSQERVAAIQEEIPSGVEIIYKPLDEMLACAGEANVIFTSTASETPLFLKEHVETLPLPAAARLFVDISVPRNVGSCVSELEGTQVYNVDDLKEVVAANKEDRARKAMEAQDMITEETKKFEAWRDSLQTVPTIKKLRRKTDRIRADSVEKFMSKYGKDMDKKTKEAVEDLTRAMVNKILHGPMKHLRCDDTENRPLPETLENMEALNRMFELELELLEEKIRAKMEQK >A07p024310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13997273:13997946:1 gene:A07p024310.1_BraROA transcript:A07p024310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSAIPGITRLLQNSPVLKKLIARATCSGTIKSTRFESGSMLEIRIYSELSYLKGKIYPMVRCENAASKLFTSLMEVGLRNSKTLEMMVVQFKSTPLALECFAQLLQMPPTLPQNNNVSIVLNPSNYSLIASVSLI >A02p019920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9265516:9266677:-1 gene:A02p019920.1_BraROA transcript:A02p019920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQIAPLPAKVVLKSNWDDEDVDENEIKDSWEDDDDDDQPAPPPPVKPAPPKKAVEKKGKAAEAPKEEPLDPLSEKLRMQRLVEEADYRATAELFGTKGEEKSLDMFIPKSESDFLEYAEMISHRLTPYEKSYHYIALLKAVMRLSVTNMKAADVKDVASSVTAIANEKLKAEKEAAAGRKKTGGKKKQLIVDKADDDLVAGPYDAMDDFDFM >A03p069430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30521330:30522138:-1 gene:A03p069430.1_BraROA transcript:A03p069430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPQDPKQPTSKMSHEMLVLANGEKDKGYWKGTCFSEMGRRNIRAGFLTRFGLNLPWGKFKTRLEHLRRQYDIYKRVTKNATGLGFTEFGEIDMSADWWDQLIKACPEATKLRVHPLRDIPLLDSVYLKVTISVSEGWQHQQGPSQLPQRIESEEEEYDDISSPINMPEDTQKTHQTKRIVPKEHIKKNPPEQDLLEKGPI >A03g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29831202:29831576:1 gene:A03g509050.1_BraROA transcript:A03g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLVTMVSEASLNLESINGSTGIHGGLRHIDRIIRTGHGGADQSECSCEKQTESWNIMRDLKCFRSVSEKYLVKSVLEGLQMECFDPNPLTIFA >A02p018820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8705319:8707675:1 gene:A02p018820.1_BraROA transcript:A02p018820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMQDEEAVDSSDSMNVTDIVLQSSSPKLSQKQDYIFHGGRRHVRPYYFEFISHVNKRWTGKTIVDLFADEFKGRPRDYYVGAVKSGRIKVDGETVPVSYIVKSSQKITHFLHRHEPPVMTDDVEILLKEPDVVTVCKPASIPVHPCGQYRKNTVVGILDAEHDLGPLFPIHRLDRLVSGLLIIARTAAKADFFRQQIEGGLVKKRYIAKVVGVFPEEEKVVDANINYNGSQGRSTAEDASSSGDDNKVKGKPACTKFTRIDTNGTHSLVLCEPVTGRTHQIRVHLQYTGHPIANDPLYLTQHVDDLETKIAKRIDAGERKLVSPEDYVYSSEDFSIDPMCTNCPKLIPQGYEEHDEALWLHCVRYSGTGWEYECPYPSWASL >A08g510340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22779303:22779869:1 gene:A08g510340.1_BraROA transcript:A08g510340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNINTINNKYWHKTIWFKEHIPHAHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLFSYPAHSSFSSSLLILSFLYKTSLRLFLP >A03p062310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27090630:27091628:1 gene:A03p062310.1_BraROA transcript:A03p062310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISEMQEEKPSLPFNASFDPSNPLGLLEKVLDFIGKESYFLLKDTADKEIASAVTVAKKRLREAEEEKEILKPLEKKLKEESVPIEVEKLKKEGLKPTELIEVEKLKKEGLKPTEPIEVEKLRKEGLEPTEPIEVEKLKKESLKPTEPIEVEKPKEREFTFGAIPNKWNVTLGEVPNKGNELDFEKYSWTQDHEEVTITIPVPSGTNPRYVTCEFNTYRLKVCLQGGQHTIIYGALFGAVNPNDCFWYLEDEKVIWVLLRKQDRCGWWKYCMKGEPEIDTQKVDRKSSKLDELDPETRSKVEKMMFDERQKHDLNIDIHKSPDLRTSHKT >A05p051540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31457075:31457879:1 gene:A05p051540.1_BraROA transcript:A05p051540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPDPETGKSEPLPASTEPLTPPAAPSSASSFLDCRKIDVIIRVLLFSATLTALIVMVTSDQTEMTQLPGAPSPAPVSAEFSDSPAFIYFVVALVVAGFYALISTIISISLLLRPEFAAQFSIYISSFDMVVLGILASAMGTAGGVAYIALKGNEEIGWNKICNVYDKFCRYTATSLALSLFACLLLLVLSICSLSKRTTT >A06p054190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28450725:28451569:-1 gene:A06p054190.1_BraROA transcript:A06p054190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVKQIEHKRIEVDGYSPYSTIYFDFNTDVEILQPENPVMSYEANASCISSVADDYECSTSADYSKHSEEVASSSSNKKTRFFSAGFRVKRRSSLKLENRTVVLNTRDSEHHPEHKRLKKWHKYQEGRYSSSSSMVKNENHFVGGFKCLKVKGLIRP >A07p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11652505:11653580:1 gene:A07p019610.1_BraROA transcript:A07p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSNGESDVNSKWDACIDITARRVVCSSLGAAFAGLLFFRSPVTRWASTACCAGIGMGSAYADCSRFFDSSSSATSPTSTETSYFVFQAAEEQEKEEA >A01p035160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23249683:23250928:1 gene:A01p035160.1_BraROA transcript:A01p035160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIELGRTSIDEDVMLSSDVETEESTDTELLTSTDTAQPEADKSSLTELINEEVVQTEPIGQLRNETSQTKQRTEIPVEINPTLIKGEDIRLSLQDYLHPGRTYSNRYAIKIPGDDTKTSKFNADYYCMVRRNPFQIKNSLNSLHSFLQNQHRSDIAQIENKSLSEMKNQLEEETSYSNPYPVFNIDSFTQAYDIAVKSRTRKEKFIIRQALTGNRKTKSDFYGKINMVYGKLMEKADSLSELV >A07p050120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26722011:26722912:-1 gene:A07p050120.1_BraROA transcript:A07p050120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRRSAATLFDHSQSLLRNLSFHGLRVQGIRVGNAEVPNHKPLKTGLQEVYGIGRRKSHQVLCGLGITNKLARDLTGKELIDLREEVGMHQHGDELRRRVGSEIQRLVEVDCYRGSRHRHGMPCRGQRTKTNARTKKGKRVAIAGKKKAPRK >A01p010750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5239810:5240895:-1 gene:A01p010750.1_BraROA transcript:A01p010750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHCCFYSKMLSRFSSISSFMLSLVIVLVVRVLYVMYQCGKPFPKGASRSLTTLIVLGSGGHTAEMLSLLSVLRMDRFTPRFYIAAATDNMSLHKARSFEDSLADKPATKEASLQYTQIYRSREVGQSYVTSVWTTILATVHALWLMIRIRPQVILCNGPGTCIPLCVIAFLFKVVGIRWSSIFYVESVARVKKLSLSGLLLYKLRMADQFFVQWPQLQKNYPRAHYVGCLM >A09p079930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58676149:58677282:-1 gene:A09p079930.1_BraROA transcript:A09p079930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNMRSSSSCVSVVSLMLLSLSADAYKNYTVGGSTGWFSIQEKPSANYQKWADSKSFSLGDFLIFNTDSNHSVVQTYDFKTYKECDYNNGEDNSTTEWSAANPSATSPVPVSVSVPLVKEGPNYFFSGNYDGEQCKFGQHFMINVTHGQGLPSPDKDDETAPGPGQSSQSGDDDEVAPDTIVPANFDHPKDIESDDDDSLVKGSKSSSSITKYNLLCLVLMGFLASFF >A10p003810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1886705:1888173:1 gene:A10p003810.1_BraROA transcript:A10p003810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSRLLGKVEGMEIGLSLGLQSNEGGLKLSLSECGCHVKDISIELEGGASWFYQGMVNAFKDQIGASVESTISNKLSEGVSDLDSFLQSLPKEIPVDDKAALNVTFTTDPILRDSSITFEIDGLFTKAETNQVLKSSSLRNSAPSSICPGNSKMLGISLDEAVFNSAAALYYNAEFMQWIVDKVPGQDLLNTARWRFIIPQLYRKYPNQDMNLNISLSSPPVVKISEQYVGANVNADLVINVLEADQVIPVACISLEIRGSGALRVMGNNLGGSVKLEDFSMSLKWSNIGNLHLHLLQPIVWTVIQTVFVPYANDHLEKGFPLPIIHGFTLEKAEIICSSSEITVCSDIAYLDSSQHPRSGLSLPRSAPWINTLL >A05p015970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7087653:7088165:-1 gene:A05p015970.1_BraROA transcript:A05p015970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVNHQKTGKKKVIVVVKNLFGFDGVTGSDKDGERCRRKERFSKSVKAGLQFPVVRSGVSIWTIWCSCITFPPFSSSLPLRFTERNKVEDSLNEISYEEWGVGVKCELR >A07p046630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25141912:25143708:1 gene:A07p046630.1_BraROA transcript:A07p046630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase D-1 [Source:Projected from Arabidopsis thaliana (AT1G73690) UniProtKB/Swiss-Prot;Acc:Q9C9U2] MVDQYSEMDKPKKVADRYLRQEVLGQGTYGVVFKATDTKNGQTVAIKKIRLGKEKEGVNITALREIKLLKELKHPHIIELVDAFPHKENLHLVFEFMETDLEAVIRDPNLFLSPADVKSYLQMTLKGLEYCHDKCVLHRDMKPNNLLIGPNGQLKLADFGLARIFGSPGRKFTHQVFTRWYRAPELLFGSKHYGSAVDVWAVGCIFAELLLRKPFLQGKSDIDQLSKIFAAFGTPKEDQWPDMLSLPDYVEYQFVPGASLRSLFPMVSEDGLDLLSKMFTYDPKSRISIQQALNHRYFTSAPSPTDPLKLPRPVRKQDAKSSESKLKTITVLSPAHKLRRVMPDRGKSTDVMKQASHDGQAPMSLDFTILAERPPNRLTITSADRSNLKRKLDLEFM >A09p080320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58856650:58857866:1 gene:A09p080320.1_BraROA transcript:A09p080320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSNWSQPTNPNQLQLNENGSLVNGHGLLSHQLPPFPPNPNPNHHHAAASSGLPARLVGFMAERANQTKAPRPEGALKCPRCNSINTKFCYNNNYNPTQPRHYCKACRRYWTHGGKLRDVPEGGRRRRNSKKNKNGNAKSSSTVNAPSSSSGQLRTNHQFPFLPTLQNLTQLGGIGLNLAAANGNNQAHHIGPSLMNDLGFLHVGNERNSHDNNNSENNLMGPGGFPLFDPTSGPYGFQNEGNIGNDVGLSFPSTSMVDSSIYQTGPVKMEEQPNLVNLSRPVSGLTHPVNQTNQYYWNNSDFSGPSSNDHHQLL >A10g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5820417:5821312:1 gene:A10g502220.1_BraROA transcript:A10g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPFYPRQLTNDASVTYGEWLLKDCCWDFVVHNVKGAKMFFFSECSTHAELLAMVQEDYNLDMSTKYVEITYSLPVEMLLAPGTPPIHVTSDRQVRNLLEITKTHGVQLCVSSRSKVETVSEFREEDDEADECFEEDDDDLVEDENHDGEEDDGEEDDGEEDDGEEDADISILLKRTRMVRITMFMERLKMKMRKMMICVLKISKRLKEEDRMVTISMSTRVLLARMHCFQSCG >A02g513160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36070111:36072542:-1 gene:A02g513160.1_BraROA transcript:A02g513160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSPLEVVWKSSGSRLDFLKVVWTSCKVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEISKSISKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIINQNLGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11825795:11827494:-1 gene:A07p019870.1_BraROA transcript:A07p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHEPDNLSSLPLELLLYIISFLPFESARLIPFVSTRCRSVWSQALVFAHIHNGSIEDVSHALSSFIHNFNEHDPSKNTRKMELHFDKSTFVSTIIAPHNVMHMNFFSNGSKNEKSYCWRIEIKDQIPRRVERSGFLVKTLCLDSVDSLTHEVVSSMVLDCSLLENLKICGCKGLTSLTIDSPTKLVHLSILDCPKMRYLDIRSPKLKTLHYQGFLPSIKIHEHFNLTNAIFNVRQGPRYALDIGPLLLIIKNSQSLALCRWMFEELIKPSISSSWTSFQFYKLHELRWIDNSMKQENINSLISFLKLCPSIERIFITSKHARTLKNLKLLKLEGSKREDDKNQLIVALQEIVNIDQPLLILF >A06p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20588008:20588943:1 gene:A06p038150.1_BraROA transcript:A06p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMGGSEDRWKGSLENITEMAWNLDSLQKLLLKKAVFVEEDTFARASLVSEQARAIKVLEQRVQTLERELDAAITAAAHARSEKRHAESSQKAAESRAQEVTKELENTTKVFKLHMEELRGMQEQISKRDNEIKLLESIIQTLGGKERLGKGGVSG >A05p021280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10100483:10101724:1 gene:A05p021280.1_BraROA transcript:A05p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKKRRLDENGIGLLNDGHVTTISTRLTPHDARKIIDRFSNDQLLDILQEAVARHPDVLDLVRSTADSDISQRKLFIRGLAAETTTEGLRSLFSTYGDLEEAIVILDKVTAKSKGYGFVTFKHVDGALLALKDPSKKIDGRVTVTQLAAAGNQGTTAHVSDISTRKIYVANVPFEMPADRLLNQFLAYGDIEEGPLGFDKVTGKSRGFALFVYKTSEGAQAALADPTKVVDGKHLQCKLAIDGKKGKPPGQDGGAGPGGHGQGDGMGMVPPPGPYAGAHGGPGGIGPYGGYSGGPPPHHMNSTPSSMGGGAAAGGGGYGGAYAGHYGGYGGAGSGGYGSMSGAGGGYGGPGGGSGPYRMPPSSMSGGGYPESGHYGHSSASAYPGQHPPVGSSAVPRVPLGGMYPNGPPNY >A06p048770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25820778:25822777:1 gene:A06p048770.1_BraROA transcript:A06p048770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLVSSVSYIQSSSTQLHCFPLRKERFGLYRLQTWSSHHHSSIRLISKRTFPQRLAVRMSASSTLPMNLHRTKKVWIWTECKEVMTTAVERGWNTFVFPSDSLQLSKDWSSVALVDTLFIDEKQVTDGTGKVVAAVFEVSTPEELQMLKIENEQTENIVLGLLDWKSIPAENLVAALQGSEKTVFAISNTPSEAKLYLEALEHGLGGIILKTEDVKAVLDLKEYFDKRNEESDTLSLTEATITRIEMVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGRTCYLSELRTGREVIVVDQKGKQRTAVVGRVKIEKRPLILVEAKLSGEEDETSFSTILQNAETVALVTPHQVNSFSKTAVPVTSLKLGDQVLIRLQGGARHTGIEIQEFIVEN >A10p029030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17872825:17875362:-1 gene:A10p029030.1_BraROA transcript:A10p029030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G16720) UniProtKB/Swiss-Prot;Acc:Q0WNW4] MAPNTFATKLSRNTNRITVILVYAFLEWLLMFFIFLNSLFTCFIVKFASFFGLRQICLLCPKLDRIFDQNSENIFSYRELLCPNHVAELASLSFCKAHGKLLSESVSLCSDCADREERSNVGLGFCSCCEKSLGERHYPSYLLIKSSVWGKTLVDRENRGLILEMIDDDKIGDGFEMGRESEFFREKPEEEEGKRVEQHQQNGEVISDVDSYGVSLREVSEEDGLRSVHSSHFIGNEDEIHEPEQIVLEEKQRDVDDTGDVAIEGDEKTSGCVEEVEETERAVLLDDQHVSNNEAFVSEDLSLGVDPILTSLVNYMCNQNEEEEEDQEENLKEYPETPTSESSLLHKKLLFLSKNDYAAAEDARDVSIPVSDTDGDDPIRTIERLKETVRSEQEALRGLYAELEEERSASAIAANQTMAMITRLQEEKAKVQMEALQYQRMMEEQAEYDQEALQLLNHLMVKREKEKEELQRELDVCKAKVLQYEKNKTKCEVTDDDDDEKEEDNCSETNVDLEKITLDCVKHMGMLDESLSEFEEERLVILDQLKVLEDRLLTMQDNESGGEFSNSYEGESYEHEEGLTMASMAKSLLPLLDAAENDSEDEYKEQQEESVEKSVGSESEKLEIIKQVDSVYERLQVLETDGEFMKSCMSSAKKGDKGTDLLQDILQHLRDLRNIELTDTIENQKTREDE >A07p016820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10323805:10324997:1 gene:A07p016820.1_BraROA transcript:A07p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAADFDRLMMVDLARNACEEQYKKDPLDSENLLKWGGALLELSQFQSVADAKLMLDDALSKFEEVLTINPGKHQALWCLGNAYSSQAFLNPDSDVAQGLFDKAADYFERAENMDPGNEMYLKSMEVATEGPKLHKEIHKHGGMMQQALAGGGGGGGGGPSASSNAGGGKKNNKKKNNDFTYDVCGWIILAFGIVAWVGMAKSLGPPPPAR >A03p055470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23933988:23935984:1 gene:A03p055470.1_BraROA transcript:A03p055470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSALLLTLFTGIIFLYFLHCLISQRRRGPSKLPLPPGTMGWPYVGETFQLYSQDPNVFFASKQKRYGSVFKTHVLGCPCVMISCPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPEAIRDMVPDIESIAQDSLRNWDGTMINTYQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARKELSQILARILSERRENRSSHNDLLGSFMGDKEELSDEQIADNIIGVIFAARDTTASVMTWILKYLVENPNVLEAVTEEQMAIRKDKGEGESLTWSDTKKMPITSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSADIFSNPGKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMSIMIHHLTTKYRWSIVGASDGIQYGPFALPQNGLPIMLTRKSEIDM >A09g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3748404:3749222:1 gene:A09g501130.1_BraROA transcript:A09g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFVFYSSILFEKRQMKDCKRLKNQKSSHLSCSLRLIALKNNEIAGLENPYYHNTVFTKTSHMIDKDNLILEMAIGYDLFLLRCPGVTREAGV >A08p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:560565:566871:-1 gene:A08p000950.1_BraROA transcript:A08p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFNPSSPSHRAKLRRAFSQKLFPKALAFVVSCLLNVQPFVISCLRSLTDREVEMRVRSYTPSPSPPPRGHGRRGRSPSPTPRGGGPYRDLSATLFVRNLSHDCRQKDLRRSFGQFGPLKDINLPRDYYSGRIRSRYYSRSSSSSPSSAPSRRHHSRKEGYDRRRRRRSYSRSPAYNGSRGRSATSKSRSISRSPPRRSISRSPSRDRSPSLRRRRSNTPVPARRKRSPRPRRRRRSNTPLPASRNRSPRPRRRRRSNTPVPARSPSPRGEQQEDSSASHAKLRRAVRRHHRPPFSEVAMRGRSYTPSPSPPPRGHGRRGRSPSPSPRGGGRYRARSRDLRTSLFVRQLSRDCREEDLRKSFKQFGPLKDIHLPRDHRTGGYHIANYSSTEACVVNIRFRDRRRSSPHYPSRSPMSSRSPSSYRRRHSRFMSELHNTSVWTINFSTRVTIQCSLFLYSRRKEGYDRRRRSYSRSPASNGSRGRSASPATSKSRSISRSPRRSISRSPRRRSISRSPRRNKSTSLRRRRSNTPIPASRNRSRRPRRRRSNTPVPPARSRSPRGEQ >A01g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12766537:12768981:-1 gene:A01g504230.1_BraROA transcript:A01g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGSRSRKSTEKLEASQCMSSGRGVNHMISCLSTQARGVGTHGSSTYGLTHRRTRCTPTFKFQHIQTTSDQFKNVKESKERKQEVSIVFKSFRDQLLHKVLPGRPFKVRHVIEVICDRRPLEVFKKGFWSRIRARVKATTNQTLFLLLGSWIMAGGRYRALSIACLISNARMLRFKEGYVSMSLRGLAERKVARVCPYVAGQRGCIKHALYREVARKHALSFDTLSGLHVSYVGCSNPCAFVAATRHVALPDHGVGFDGQSCSCLIVGWPVGRSSPTLGVGHPSV >A03p046490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19617761:19618138:-1 gene:A03p046490.1_BraROA transcript:A03p046490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNVEEEKIRRSRKRRGDCRTFCEEPTYRTRCSICGITDVLVYCAADAKFFCQGCDIREHSSNYWSWRHVRRMLCTVCQCFNRTLLIENFRYTLPELTIPIEVPQAEVELIDEPSDLLDSDED >A01g510620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29196698:29197408:1 gene:A01g510620.1_BraROA transcript:A01g510620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMLVKFRSAICLPPTGVPNFVLPWILWALWTARNRLIFEDKASTPVEIATKGITLAKEWNQAQIEESSRQKPLPGPGSSTNRQSLPQDQNQTRTMTCRTDASWDKNSMRAGLAWIFKRNSNGEQRQGSETQSFVSSPLMVEALAIRSAITMAVELEIPDLKVFSDSSTLIRAINTTMQDKEIYGIITDIHQLSSAFNSISFTFLPRAQNQEADELAKRTLRLSLLSACNGPLVG >A07p026560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15187507:15188838:1 gene:A07p026560.1_BraROA transcript:A07p026560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVRMVKLPYFFIFCLLLIIIWLLSHHPSCSPYMSTIRGTEFSHIPPESSGLSSLLKEAATEDNTVIITMVDREWAKPASLLDLFLESFRIGERTKHLLNHLIVVALDNQALRYCRRAHPRCYLHTGSGKKIGSVNPDGLIAGWNKKALVKEILELGYNMIFTEADVIWLRNPLMHCHPHNSVSVACGFSSSDQHVTAENTGGFFYAKSNEITIDMFKILNVERVLYPSTGNQSFCDIVKREDVIRGLNMKVTYLDDDNFVRFCQQNRQNQSKITTVHASCYDDTKSKVQYLKLLLQDRKI >A08g507240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12620959:12621150:1 gene:A08g507240.1_BraROA transcript:A08g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGNSTVSGERKPIKKTVATSATAKPNGKSTASSATVMKPNETTAVSSANSMNPLVSIKAT >A08g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9388609:9390328:1 gene:A08g505590.1_BraROA transcript:A08g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNRSSTIDGAESGGGSVAMESKEIPQPQPVAVLSANAPPPFLSKTYDMVDDPATDPIVSWSSNNNSFVIANFNGSFLVAFLFGQLGINNWTCLFGQWTLASYLMESIFLKQGFRKVDSDRWEFANEGFLRGQKHLLKTITRRKPAHAHGHGQQHSNGQNPSVSSCVEVSKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNNLQRMVQRLQGMENRQQQLMSFLAKAVQSPHFISQFLQQQNQQSESSTSSKDSASPDGQIVKYQPPMHEQAKAMLKQLMKMEPYKTGDDGFLLGDGTSTTTEMEISSNNVSGITLQEMPTASDIQSSSLTGATPENVTVAESPTPEEAIPSPD >A04g506720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15139482:15140075:-1 gene:A04g506720.1_BraROA transcript:A04g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWKVGNRICSKFSTKAVWENIRRARPPVYWARFIWNQAVIPKHRITSWLFMLNRNPTMDRLVSWGIDLENCCLLCGNSPESRDHLFFLCPYSVRAWENAIGVLGFINPPIQWELVLYWLSSVSTNPAQLAAILQLWHGSIYVIWQERNARYHNGVTKPHFMLSREVIKLAKDKSMAMCSCGSDFASSLVALWSSV >A01p055530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31425038:31425359:1 gene:A01p055530.1_BraROA transcript:A01p055530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVWLEAALPLGIIGGMLCIMGNSQYYIHKAYHGRPKHIGHDEWDVAMERRDKKVVEKAAAPSS >A06p028710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:71543:91491:1 gene:A06p028710.1_BraROA transcript:A06p028710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQESSGDGQRESTGSDESGTQSSGDWEVDPDGSNESDAQSNSRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGQKMGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLSDPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTHEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSMDGLGGNDGNGKSLVAYTGASSSRSNDNYIKRSDLDALFKMLKENGNTYGYSFGASTIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGVTQTPSQDEVRLRKGSLILLGNRSDMTHQTRALSLWILHTRSWILHTNTQSTRQARRKEKTKVFDKKMDTDTRGHDQNIKEKPCTCTRSRKYKENKDKAPLETQQRLEFSKIKT >A02p049340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30625829:30628685:1 gene:A02p049340.1_BraROA transcript:A02p049340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSIINPSSFTLVIFSFTLVLALAMLFLIVKKPKEQLPPGPRGWPIIGNLFHMIMNRPVHVWIHRSMEDMQTEIACFRFARVRVITVTSSEIAREVLREKDEALADRSESYSSNLISHGYKEIVFSSYGENWKLMKKMMTTKLMSPTTLNKTLGDRTLEADNIVTYVFNLPQPGCINVRDIALTYCHAVMMRMMFGQRHFDVAAEDGGLGPKEKEHMDAIYRALDCFFSFNVTDYLPFLRGWNIDNEEEEVREAVDILNRCNDPIIHERMHSWRNKGGKETEEDWLDILITLKDDQGMPLFTFDEIRAQCKDINVATIDNTMNNVEWTIPEMLNNPGILEKATTELDVVVGKDRLVQESDIPQLNYIKACSRESFRLHPANAFMPPHGARQDTTLAGYFVPKGSQILVSRLGLGRNPNIWDEPNVFKPERHLSGHVGNSMDVTLMEPEMRFVIFSTGRRGCAGTKIGTSMTIMLLARLLQGFEWTLPNDKSQVELVPADSNLFMAKPLLACAKPRLAPTLYPKIQV >A07g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4866950:4869124:-1 gene:A07g502280.1_BraROA transcript:A07g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRLGKRKDRPPPTDPPPQKSGTLKNSKKNKPKKSSKKRKTTDEESPAVDFVGTVGVAEENEVEEPAKDVEDREKEKEESEKEKEREEENGDEDEEEEGNSDESQEEKDENGDKDEEEEGNSDEEVENKDEEKIQEEEDTGEEENGTPEENRGQNENENQEQGEPPLEAELGNVDGDGEGVLGQGEEVISGEEGGASQKKMMEELIKQVKMFGTQLKRVKKTMYKFEERMVVPFEAFMKKAMDEGQGSRE >A07p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20289557:20292333:1 gene:A07p038030.1_BraROA transcript:A07p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 40 [Source:Projected from Arabidopsis thaliana (AT3G63470) UniProtKB/Swiss-Prot;Acc:Q0WRX3] MGERHACYVLAYVLLLMYMSSQVECSNQVHALSRLYLSKRGVGSSVDTTHFKAVKNLKPSSSPNVVNQKELRKRDLIRRLPGQPPVDFDQYGGYVTVNESAGRSFFYYFVEASSSIKDSSPLFIWLNGGPGCSSLAYGALQELGPFRVHSDGKTLFRNRYAWNNAANVLFLESPAGVGFSYTNTTSDLEKHGDRNTASDNYIFLVKWLERFPEFKGRDLYIGGESYAGHYVPQLAHTILAHHPKSFLNLKGILIGNAVINDETDLMGMYDFFESHALISEDSLLQLKNNCDLKTESASVMTEECAVVSDQIDMNTYYLDIYNIYAPLCLNSTLTRRPKRGTTIRDFDPCSDNYVQAYLNRHAVQVALHANGTKLPYEWMPCSSVIKKWNDSPTTVIPLIKELMGKGLRVWVFSGDMDGRIPVTSTKYSLKKMNLTAKTAWHPWYLDGEVGGYTEEYKGKLTFSTVRGAGHQVPSFQPKRSLSLFIHFINDTPLPDTSRY >A03g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29210140:29215181:1 gene:A03g508610.1_BraROA transcript:A03g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALQRIEAEKKRHDERRGYHRTADFRSSFKPLNESPSYSRRDANRAGNGRYLAQKEYRNREQSILSRTARSNSGQYRSNTPSLQYRVVERIRPNSGSSDPQQNSTCQPDGPVTRAPLIRSTYDPQNLEGSEITPTRTLKERLGPSSDHAEANSNSRERKSALERISEPSSSREQTARRSPSFESGRLQLNDTVMGDATTDQGRRQESPSADRVPATLRLGSSRTTLSTRRGTIPLAPQSKVASKRKVTRTPRKRVARSPLLIPSLKKTTETRSSTSTRRRLVVDKDPKLPCDKADIWNVKTSPKLKDFLWRVIRKAIPVSSNLERRGVPSFNCKKCGAHEEDLHVFLTCPLAEEVWNLSPIARRPVSSTPSMAELLKQGNTYTPLPPTGLSAPLWPWIIWNLWKSRNKLVFENKTYTAQEIVLKSITDAKEWSEAQASQKDTSQHTSTHTGSLSRSSYPPPTNLTGMLVCNVDAAWNSVSGNCGIGGVFSGYNASNLPTLSEAHSHVSSALMAEALAIHRAVALAVYSNVRSLAVLSDSLSLVKLLKRGGTQPELFGIMFDIYHFMSFFDAISFSFISRNFNSDADLVAKSALSMSVMNSILGV >A06p012350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5663762:5664649:-1 gene:A06p012350.1_BraROA transcript:A06p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKHLHDQPQAEGEWSTGFCDCFSDCGNCCITCWCPCITFGQVAEIVDQGSTTCGTAGALYTLISFFTGCGCLYSCIYRGKMRAQYNIGGNDCGDCLKHFFCELCALTQQYRELKNRGYNMKLGWAGNAQLQQNQGVAMGAPVFQGGMTR >A03p010650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4272201:4272704:-1 gene:A03p010650.1_BraROA transcript:A03p010650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIEDSGLFITQLLYKMALLITVLRWIFSWILRYRSRSTSSTPPISSQAIKESLSVTTFRDAAERYPESISDTCAVCLGDLEDGDEVRELRNCSHVFHRECIDRWLDYECDDNDGEEDNHRTCPLCRTPLLAANTASCCGDWPTKNEPSWAVERLLYLFGDDLLN >A07p036730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19681335:19682299:-1 gene:A07p036730.1_BraROA transcript:A07p036730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHRAYAFGRADEATHPDSIRATLAEFLSTFVFVFAAEGSILSLDKLYWSHAAHAGTNTPGGLVLVALAHAFALFAAVSAAINVSGGHVNPAVTFGALIGGRLSAIRAIYYWIAQLLGAILACLLLRLSTNGMRPVGFRVASGVGAVNGLILEIILTFGLVYVVYSTLIDPKRGSLGVIGPLAVGLIVGANILVGGPFSGASMNPARAFGPALVGWRWDDHWIYWVGPFIGGALAALIYEYMVIPTEPPTHPTHQPLAPEDY >A01p007590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3726737:3739323:-1 gene:A01p007590.1_BraROA transcript:A01p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRAAESLFFLRSEAVTPMAATIRNLCQEVKSSHGSFSSTLKPPQMDRADCILSVAYLAEKFLVAQFIDMKISGNISANFKACKGIGIDLTREDMKNCYHHLQRIQDSVTIISNRLIMPSKLESAPVKPPIIKSFKLVVHGGWGIDDNDNLRSEAETIGGISQSPAPAMSQDQRGDPSDQRPWMQHRSGVPQSLGQQGQTQRSDARDPSARRPWLQHHFDNTQSLGQQGQTQRSNARESSARGPWMQHHLDSTQSLDQQVQAWRSNARDPSARRPWMQPHVDSTQFLGQQVQSQRSYGGDTSDRRPWLQHQYLPQQMQSQGVLPLEIHQPKELVCNIKVLGLQHVVIKRGQEHKTLKVGSIVWITETLTPYGRVDGYYFGSVTKPDYIFPDFQFPLDDPHVSFLKEFAEHIDEEDLYKRFHDPLSQEFDFFDEEKEEVIDCDSTTTKMSSEEENSNKMVPGMTLIYQHLRSRAQGSTGQNYFHQPETYQQNQFGIGPNLVPSQQIQIGVVPHLVPSLAPVFHPGSSSYRGRGAQHSSRGRGSERSMLNSLVLTYQTKMAKTRSCFVYAIIVIAHLCNCEAVLSEKDQDKVWNLPGQNFNVNFAHYSGFVTTNEKMGRALFYWLFEAAEDPASKPLVLWLNGGPGCSSVGFGEAEEIGPFHIKSDGKTLYLNQHSWNQAANILFLDAPVGVGYSYSNTSSDLLTNGDKRTAQDSLTFLLKWVERYPEYKGREFYIVGESYAGHYIPQLSQAIVKHNQASGENTINLKGYMVGNGLMDDIQDRLGLFQYMWSLGFISDQTYTLLKLKCASEPFMIPFIYASEACKKVLKIADKEMGNIDRYSVFTPACVTNSSQSSMLLNKKRPFVSDLSRVYDPCTKKHSKVYFNLPKVQKALHVPPKVAPSKWDTCSKVVGEHWKDSPSSVLDIYHELIAAGLRIWVFSGDADAIVPVTSTRYSINALNLSTISPYGPWYIDGQVGGWSQQYAGLNFVIVRGSGHEVALHRPKEALALFKAFISGTPLTHDEF >A09p072080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55421485:55422888:-1 gene:A09p072080.1_BraROA transcript:A09p072080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKSPIHAVSTWVRRQPPKVKVFLAVASGITALVLLKFIVHDHDNLFVAAESVHSIGISLLIYKLTKEKTCAGLSLKSQELTATFLAVRLYCSLVMEYDIHTILDLATLAATLWVIFMIRFKLKASYMEDKDTLPLYYVLVPCAVLAVLIHPSTSHNILNRISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSFAHWVLQLVDTRGHLLVALGYGLWPSMFLISEVVQTFILADFCYYYVKSVFGGQLVLRLRSGVV >A08p037530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21882353:21886394:1 gene:A08p037530.1_BraROA transcript:A08p037530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKNTSACRGDEDHPFALSFKGGENPKNKSYNVRFDLLGIEDYNEEAEDDVNVELSDELMLGRQVTEETNSKSEDLGENSRSKKKKKNKSGKTAHEEEDLDKILAELGITPVSPAQPELVAPSDCSKDGEEETVLSASSKKRKKKKDKDKKVYASSVEAKDEPSQPHRKVPKHILEMQEMVARRKEAEEMKKKEEEEKLRKEEEERRIQEEREKEAEEIKQKRKIREKEKMLKKKQEGGILTEKQKRDKAFKNKMLSDAGMLLASDKQGDSSKRPVYGNKRKPARTKANDSGSVQVKDHANITATLHDIDSAVKEKKQEAGDEEDDWEAKSDDSVTIMGDYDDGKDAPWLVVKKEIKDTAAKAHCSGEDKLNTNSFVPCLFFNLGFNTGPVISDRLASKAEVADKPKESRPKVDDATRPKDATKRGNDSEVNEVAKESLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELRPDAKLKVPGLLVIDTPGHESFSNLRSRGSNLCDIAILVVDIMHGLEPQTIESLNLLRKRNTEFIVALNKVDRLYGWKTCKNAPIEKALALQSKDVVIEFNMRLTQVITQFKEQGLNTEIYYKNKEMGDTFSIVPTSAISGEGIPDLLLYLVMWSQKTMVEKLTFVDKVQCTVLEVKVIPGHGTTIDVVLVNGVLHEGDQIVACGSQGPIITTVRSLLTRHPMKELRVKGTYQHHREVKAAQCIKITAQGLEHAVAGTSLHVIGPDVDVGTPMCFLKEIGKSRVFVDLGRVASIHKDKKPLDDAKKGQQVAIKIVASNPGEEKMFGEDFDIEDELVSHISRRSIDILKTLYRDELKNELKLVLKLKMLFKIQ >A02p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12661380:12663181:1 gene:A02p024680.1_BraROA transcript:A02p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAVTSIVRKFLRSETMEAKEITVATLFSLSLADENKIIIGASGVSAAPGKVHLLELLRKDYSINSS >A03p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9753306:9755241:1 gene:A03p023140.1_BraROA transcript:A03p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKETEEGIMEDETEQRGSMKSFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMASGVILQIFYGFMGSWTAYLISVLYVEYRSRKEKQNVNFNNHVIQWFEVLDGLLGPYWKAIGLTFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAALVHGQVEGVTHSAPTKPVLYFTGATNILYTFGGHAVTVEIMHAMWRPRKFKYIYLMATLYVFTLTIPSAASVYWAFGDELLTHANAFSLFPNSPWRDSAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTNSILLRAITRLPVVIPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPSLAHIFTYRSASSRENATEKPPAVIGGWRGAFVVNVVVVVWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPRH >A06p010540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3817407:3817853:1 gene:A06p010540.1_BraROA transcript:A06p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML13 [Source:Projected from Arabidopsis thaliana (AT1G12310) UniProtKB/Swiss-Prot;Acc:Q94AZ4] MGKDGLSDDQVSSMKEAFMLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSITASESLTAPFDFNRFLDLMAKHLKTEPFDRQLRDAFKVLDKEGTGFVAVADLRHILTSIGEKLEPNEFDEWIKEVDVGSDGKIRYEDFIARMVAK >A05p016840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7509864:7511644:-1 gene:A05p016840.1_BraROA transcript:A05p016840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIISSQRSDLIEHKLSQHTSFFGIKLWILITASASIAFLLAFIVFVSLCFIFHRRRCRQEPFRLRSKLCLPLSHIPLNSDRRYNPYNRCPEYIESGRISSQVGWSSAHLPYYTRSFSSTSSSFGSFTVFTFMEIESITDGFADENLIAKGDSSMVYRGILMGTVNVAVKRFFPIYQRYDDNDFITRAEMIANVRHKNAVRLLGYCIEGDERAIVYEYVEKGDLHEWIHGSSGRNQPLTWSKRMKIIQGVAKGLAYFHEDLEPRITHQYIRPSEIVIDYQWNPKIILAIPSHNDSPMYSTFVPSPNNLDEKIDVHCFGTLIMELVSGRVCVDQSSPHVYLVDWIKEMVVNHMIVDVLDPSLPEFPTLKELKRIVLIALRCVDPEIEQRPKMGDVIHMLQPHDLLLNSNAIRKPQKVTRSREISRISIIGT >A05p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:383973:384991:-1 gene:A05p001690.1_BraROA transcript:A05p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYEQNSNSPAHEEDSDVRKGPWTEDEDAILVNFVSIHDVRRGNITLEEQFMILKLHSLWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKHLRCDVNSNLFKETMKNVWMPRLVERINAQTPSPTYEQVESMVTDPSQPIEEPNPVEPDFVQFNQNYHCQQQQFVPASDVSATSSNSPAETLSDVQGGVVNGSGYDPPGQTGFGEFNDWGCVGGDNMWTDEDSFWLLQDQLCNETTSYSYN >A04p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16148059:16150884:-1 gene:A04p026880.1_BraROA transcript:A04p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGNTTGPILGQPEADFRGETFAPPPGQAGTGDDTLGGDVNWIYDMAWYGEAVRKSDGFICEEHPAKLSSDGVWEKIIYKGEGLRIWQYRLPNLEIVILFVFFLWQFFNILFRKMGLKIPKFTSMMIAGLLLNVILTVSGDKSIIQELLFPKNKIDVPGCLGLFGFMIFWFLKGVKMNFKRILKAESKARVTGVAAVTFPIIVGLVIYMSVEKRTLLAKPYGPLLLMESLTSFSGIARLLRDLDMNHSALGRVALSAALISDMIGLFYMVMLVPISNPTFVSFGLLIEIGFYLAICFAVVRPIMFKIIKRKREGRPIDDKYIYGIIIMVCLACMYWYDLDQFPALGAFLLGLAIPNGPPIGSELVERLESFNFGLILPLFITSSMLRTDISAWKDCLTDHTKFAVASLIVLISLLKFSVSMIIPYLYKMPLRDSVVLSLIMSHKGIIELSFYLFSYSAKVFTRDTFSILVLSIVLNSLIIPIAIGFIYDPSKQFLCYQKRNLAIMKNSGELKTLVCIHRPDHISSMINLLEASYQSEESPLTCYVLHLVELQGQDVPTLISHKVQKLGVGSGKKYSENVILSFEHFHRYVCSSISIDTFTCIANANHMQDDICWLALDKAVTLIILPFHRTWSLDRTSIVSDSEMIRFLNFNVLKQAPCSVGILIERHLVKKKQEFQENLKVCVIFVGGKDDREALAFAKRMARQENVTLTVLRLIASGKSKETTGWDQMLDTVELRECMRSNEPNDQPGTPKEEVSTIYLEQEIIDGADTSMLLRSMAFDYDLFIVGRTCGENHEATRGIENWCEFEELGVIGDFLASPDFPSKTSVLVVQQQRTVSQ >A08p039490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22705313:22707103:-1 gene:A08p039490.1_BraROA transcript:A08p039490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKHVHLFRRYLTIALTLFQSLISCLFNFPILIKIADSFLSLYFMVFCDLRPVTVDLDDGETTVHFWISGHRRISRPNLVMLHGYGGNSKWQFVHQVSDLSKSFNLFIPDLVFFGKSYTKNADRSVEIQARSIAGGLKKLGCDGRGRGRISVYSISYGGFVAYKMAEMWPEMMEKLVIVSSGVGFTQQEKTAEMTKHSAGLRGHFTRGLRRKYQQQLVLLRDRRDCCSKMLVPKTPMDLRMLVKISTNTGLVFVDWIPDFILSQFIAVMYEKNRQELLELAKNLLEREEAELHVISQKTLIIWGDKDKVFPLEHGYRLQRHLQNSRLEIIKETGHAVNVEAPTTLNNLITSFVLSV >A06p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2510724:2511177:-1 gene:A06p007240.1_BraROA transcript:A06p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAPRERDNVEVRERKRERVASNAAPASGGASERVPAPVALFLFISLRIDKSSIQRRFWCRDVEAPLALASPIKVPDCGGFSSSVMPAKLPERGGSYSSTVAGSDSGG >A06p035350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19114776:19115596:-1 gene:A06p035350.1_BraROA transcript:A06p035350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVVFHVLDHLREEGPQTSTRRFETVKVFRLLGMKLSLNRFLSLDFRFENRILTPSVRSLAYDDLDFFLFQCNLLHEITILSPKAKISSLLALLSRDVQSNIALASSEPYGITSQQYEVLKLQRALKQDPMVQPL >A06p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3214707:3217178:-1 gene:A06p009150.1_BraROA transcript:A06p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLIFRIVGKQKEYLLSNQKERASQAALRSPQFRVYTSSSRIPSNGLCKGSFLSNHLSRRCCSTTTTTVFARNVVTHHAQVAWNRLHRKYSVRQWNLTRINTIAQAFCLSLTRSHLLIPGIAAVTCGKVAWAAQRAPLDPYPSHKSLYTRAKNGPIFLTSLLFSVIEGFILIGRAFYIACLFTPSVLMGLVVEVCGPRFRKLWLEMVHRTLERAGPAFIKWGQWAATRPDLFPQDLCSQLSKLHSDAPQHSFAYTKKTIEKAFGRKLSEIFEEFEEAPLASGSIAQVHRASLRFQYPGQKSKSSLVAVKVRHPGVGESIRRDFVIINFVARVSTLVPALKWLRLDESVQQFGVFMLSQVDLAREASHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEHGESVARYVDGVEGHEWIKTRLAHIGTHALLKMLLVDNFIHADMHPGNILVRKKASRGGLFKTKKPHIVFLDVGMTAELSKNDRENLLDFFKAVALRDGRTAAERTLKLSRKQNCPNPEAFIEEVEEAFKFWGTAEGDLVHPADCMHELLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYDVMHTLQTMVLKTDWAKSLSYTVDGLMAP >A06p021190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10487135:10489055:1 gene:A06p021190.1_BraROA transcript:A06p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQGIEKGLEKDSKAVVQSDRAGHTHGPDSPYGCLGGTVGTSEWVRVAKGHELPRGTCVQMVLVPKGCEFQTVPLVQGLGRTKWTVRGCIVERTDGSRLWVGSDEKDRVSTNLINECIGWYEQVISVVWVKSQGRSGQMMTHQFQVMQKDFGLCLSRDRPNTYPYPFEDFSKLLSKSNSSRWRTDELISSIDVAKLSKLTKAKVIQPDKC >A07p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21180066:21182030:-1 gene:A07p039860.1_BraROA transcript:A07p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSALSGDSSSEKPKGVQAEYYSGPINPIDTPFVSSSHSIGEPHSKQAKVVASVSSGLTKLGVPDSKKPSGKNHYCFFMKLCDYYRVRLTFIKKNKSEALSHEMFDKWDAQKWWGENFEKVMELYNVQQLNQQSVPVPTAPPRSKDESCSKNSPETPPLNKECPGRKGLLAANQARTQTQSRYHRDSSGLATTPNLSSISGTRTETSSVDMSARSSGSSREEEEDGDYSMEVSVSNASDMETEWVEQDEDGVYITIRALPDGTRELRRVRFSRDRFGEINARLWWEENRAWIQQQRAVHFDGIIQLLIDDPSLYHITVQKKSETSEGNQKKKKSKIREGNQKKKSETT >A05p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6004188:6005922:-1 gene:A05p013820.1_BraROA transcript:A05p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPISGTRHLTLPLLSSSILVAPPQTPSSLHCIGFNSGSDVIGSRLACSTRFVSIRCRANRSGSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQEMIDCYIQTLAKVVGSEEEAKKRIYNVSCERYLGFGCEIDEETSTKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYSRRRENTR >A08p002980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1646135:1648985:-1 gene:A08p002980.1_BraROA transcript:A08p002980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFGLINLILLVDVVRSRRTHMTLVPSSESSYMMIITKHKRDVNLVVLQLGAALAVTFAGFLFSRFRKNTKSTIRPTLPPLRPQPSDHGYRDSSNEPIHRETQRTSKEESLLVFSPRSECDADEKDVFLLPEFEDEVKKLDLLVYDDECESPKSDMSSAPLAFPSAEEGDHVNEIKRLRNTVRALRERERCLEDKLLEYYSLKEQQKIAMELRSRLKLNQMETKVFNLKIKSLQAENEKLKAQCSEHSKVALELDLAKSEVHVLKKKLNIKTQQHVEQLLSLKERVAKLQEDEIKATLPDRDADKMVQRLRDLEGEINELRNSNTRLQFENFELAEKLESVQIIASSKLEDPEEMETLRQESNRLKSENEELKKEIEQLQGDRCTDLEQLVYLKWINACLRYELRTYQPPAGKTVARDLSTTLSPTSEEKAKQLILEYAHEEDHTDYDQWSSSQEESSSMITDSMFLDDSSVDTLFATKTKKSGKKKLMHKLMKILHGKDSTQEGKKRAGSSEPSSSSTGVHSTPRRPHSMDFQMLLRGEDEEDFKDHMKMLHRKSEGAEASNYGEEECLESDQNRKKELIKYAEALRKSRSTKKLHKKSVSFFF >A06g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16894009:16894695:-1 gene:A06g505840.1_BraROA transcript:A06g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPEHNTTTLGLSLGRNSLFLSFFLSTFFSEYFTLELDNEIDRRAPIFIENEGARLSSEWAPSAKGCAIAATCILSPFLIGFGPTALSKDPTAHALMAGPHSPPLTRTRTIGLKPEHYRLTRPSCLSLSLYKVKSKFPYVLNLLSWPWNACLYVLRLA >A06p028810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:8091:24264:1 gene:A06p028810.1_BraROA transcript:A06p028810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERRNEWGWFSQMRATLKRCGVWRNHEKEESLKGRAAEKDQTAIETSGSCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKAPINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTHLDHERGNGTESHEQVHNQEDSGQHNQEMTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSSTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFNELTCLGPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTKSAHESLSYDLQEHCKEFNMVASVPRMFVKVSTEDIKRFGLDKKLNQKSSLIKNVFKIKIITFLVLELELKQSDFCLKPCDSFARTEERSFVTNFHVHKLILDNSCVSAYVLNEPKKWRNHAVLCFGDILVYNTFFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDAVKVIPYPSLTSLILFGRVSSLLFTQLPSHPIR >A09p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8888677:8890311:-1 gene:A09p017180.1_BraROA transcript:A09p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLDERHGLKTLVDKSLICISTNGDIQMHKLLQQVGTQEIKRQEPWKRHILIDPYEICDVLQSNTGTGTVMGISFDITEISKVSISKKTFRRMCNLRFLRVYKRRIDKNVEVHIPEEMEFPHGLRLLHWKAYPSKCLPPTFHPEYLVELDMEHSQLEKLWEGTQPLANLTKMNLSGSWDLKEVPDLSNATNLELLDLSGCMSLVEIPSSISNLHKLQELWMMFCINLEVIPEGLNLMSIETVNMVGCLRLRTFTPSFMSFNLRHIFLTRCEKLQSLPDIVSTELITLNANGCQSLQRVPNCFHSTKVALNFTKCFNLSQEARKSIIQRSFLEGRKYVGTRPTNGWARLPGWACLPGNEVEFNHRAKGNSLTILPESSDKPL >A06p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19645973:19647164:-1 gene:A06p036220.1_BraROA transcript:A06p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDVLVKWSGGLPLEVRFRFCCVRWWWIQSRFRYRRVLVYARPALLSSRFGGLGSYAKQWIPFSGRRGEPFKVANTGSLIKLDLSISSRQVIDVVITVVFLHLTLIFASGKEATEIQQPSPTDVFNHKRGAFALEF >SC210g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:34914:36722:1 gene:SC210g500050.1_BraROA transcript:SC210g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVTGHLSFQEKLVRRQAEKELAQTGSEFPSSSAQLVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLHGKFISLIDGMISECGSETSRLSGELVELQGRWSEIEAMLTAVEDSHSAKVSKLEVAIGELERNLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSPECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCCLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVHEKFSAYTTCLIRIEHLSGDRKC >A09g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22305140:22306367:1 gene:A09g507770.1_BraROA transcript:A09g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSFLGDLHYSTNKLAVRVTVLTKWSTITATMFRKTAMVLGDQKGSTIEATLYEELDNNAITMDEGDCFEIQNFKVTHASGLTRLTKNRFHIKLTSSSLISRIQPLPYCNYYCFANFLNVNRGLAHPKYSIDLYGALVGVGDLEIYAEEGVDGIPYGLNHRMQFTLINIELLNHYWNSTVATVVLCVLNFWRIEWGEGHLNHVSSYEGLSKLLFEPEIPEIQAFRMRIPN >A04p039080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22125955:22127936:-1 gene:A04p039080.1_BraROA transcript:A04p039080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSPQSPSLSTPPPPPPPTLQSTTSEPPPPPTRSSLFPFLTSLNRPKLRVTSEFDSDSLLFLNKVSCKLFDNLAKLKLSFQNNSQREVTQPQLSLTSKYLSVLYDVEEKNTFIKSTVDVSPRLQLRALHNVNAQQGEVAMEANLAEPGYSLELSSPVPIGYPRATLKFPLGEVSIQEREEEEEEEEKNKRMLSINGILKRQVMDGVCSALYTDEELRLRYAYKDEALSFIPTISLPSNAASFAFKRRLSPSDKLSYWYKFDSNMWSAVYKRTYGKDYKFKAGYDSEVRLGWASLWVGDEAGKVKTTPRKMKVQFMLQVPQDDIKTSVLMFRVKKRWDI >A09p070370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54563039:54564009:1 gene:A09p070370.1_BraROA transcript:A09p070370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKCRKAKGTVGASSTYMQLRSRRIVYRSEKASSSSSSCCASNNNGVIDLEEERDGETETSSCRRSSKRKLFENLREKESMENSQQIVAGFDSAVKESSDCCCSLRASLSTTEEKGKSATEQPPTAVEIEEFFVEAEKQLHDNFKKKYNFDFEEEKPLEGRYEWVKLSE >A04p009960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7543293:7544531:1 gene:A04p009960.1_BraROA transcript:A04p009960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFSRTNRVITTRSQLDEDINNLFLNNESPQTLSTNLIDQQRDDQLISSFLEVAVGQTVETAKRFLETTNWNIDESINLFLLRNNYDPSVEAHAAEQSNESTTFDGGESDSTLASMYRPPVDLLFEGRTFEEAKSTSCTQNLWLLVNLQSRTEFASHALNRNLWSNDTVSEAVMCSFVLWQVYDNTIEGKKISNFYKIDSAPPVVLVIDPVTGQKMGMWSGVIDAQSFVEDLSRFLEAGPHEHVASLIRNRYPETEDDQTCSASNNNDQVPVPSWGEEFEKEDDWSSSKGPSWGEEFENVVETCSSSNNDDGIFEFPDLTEEPKGDCDKSLVCSLCVRFPDGRRKQRKFLKTEPIQLLWSFCHSQIVESEKKTFKLVQAIPGASKTLDYGLYATFEESGLANSMISVLYN >A04g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12774121:12775270:-1 gene:A04g506130.1_BraROA transcript:A04g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLFHSYSFAAPLSRHESHEFVGFHRIIRSMRLANQSPLEDSRQRVLNGGSGQVFFDAHYKRIAEAKKAQPESVAVLLNTLETLTKDEVVKEEESDETELVLCGEELVSSIEKDEEEEPKRTSEQEDVVEAKTVIEDDLQAVIEVLDGLEEKENHAEDESSSVEEERKSVTKNSSLFRSEAPDKAMELVVTRKTSDNLMKNKEKPVRQKFSLLKFLMGNNTKSLSLRLNVRTPREREIRNQTNHFYVFASTLMWSGKQKGQPKLRRGISENKR >A03p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3436371:3438618:1 gene:A03p008510.1_BraROA transcript:A03p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASDSDVSVHSTFASRYVRTSLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVLKKKETGLDIPIHVDAASGGFIAPFLYPELEWDFRLPQVKSINVSGHKYGLVYAGIGWVVWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYRNVMENCRENMIVLREGLEKTGRFSIVSKDEGIPLVAFSLKDNSSHTEFEISEMLRRYGWIVPAYTMPANAEHITVLRVVIREDFSRTLAERLVMDIEKVMHELDELPSRVIHKISLGEVKSEDNGDNMVVTVKKSDIEKPIEINVKKSDKPIFC >A01p047760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26956216:26958271:1 gene:A01p047760.1_BraROA transcript:A01p047760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITHYLCRKVSDIGFTAKAVVDVDLNKCEPWDLPDKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKDIYRSGVLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLENKQPFKPAKEEWVVCRVFQKSTTTKKPQEQQPDSSFGSPCDANSSMANEFEDIELPNMNSNSSTVDYNNIHQQYSQNNVYSDNTTSTAGLNMNMNMNVNMANLPAWTTSLLGPPLSPINSLLLKAFQIRNSYNINPSLLQQGVSNVMQDGSSSSQPQPQDEAFNMDSLW >A05g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15306473:15310401:-1 gene:A05g505530.1_BraROA transcript:A05g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAKHPHPPSPFYAKIDRSVEPTIDRQSESDVDRHNTPPIDRLAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPEPVQENQETKGRRLRKRKEKIPKNLKREANEKILRIPIEKAFDEAYFTHQLWMFFRETTVTKENIRRMFHQVREKMKQRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYKTEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKQPVDHFAPPNHCYPHFAFHPPSKRGRDDYSIGSWADSGFHESFAVDTVITSSNEENTEEYDEDYWKERAIEMSLQDERFEQHKFTNTFPTSFDKGHSTSVDTHPCPAKQPLTSIDTRTRTSIDIRAAAKIQEQENISSPTRFRDTYIKRFAPPKPPPHTIADTQAKNMNTLPSTSTGKSMKSIHLKNTSSAEITLPSIDASVPTSIDTTLNPNLSISKLNDNANIDYVFLTPDEFGIFRDPDGNARAMDGRILQVSREDIPDILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSPQPKGKASIDGVTETSIDRVIPTSIDKDDPTLIDRRYEFGNCAYDVYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVFGICGAQERLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLRQQLEKEATTSASIDAPHAPSMDVSLPTAQILAEPQCSAQHKDEWEQDLDTVRKKDQQPATSIDVCNITSLDAKVAAMNERLRTYEDMHDRFVSPAKSASIDRLRGPWIEGKNPVELLPYTPAEVDKITSKINTAIDTMDERLDKRCDDIYFPFDNKISGLDSHAEWLQKEIKAIQRQLAAPHQISASIDRTRAQSLDGKSSRSTDEHLIASIDAESTPAGEQLIHKTIESMQKELTELSAYAYDNIGWHQVSIDNVQERLYHISNVLEKMDDKWTRNDEATRSFIASWSRMCIDDEDLNIDRQRDVDIARHRQPHDDRCNTYPSIDI >A07p051820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27453822:27454388:-1 gene:A07p051820.1_BraROA transcript:A07p051820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETKSNGASNMGGGGGGFRAKMEHYVYSGEKKHVLAGIGIITIIFGIPWYLMNQGSKHRSHQDYMEKADKARKARLSSSSSSDK >A07g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13713916:13714275:1 gene:A07g505920.1_BraROA transcript:A07g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDGGGGSDKCRRSVKKTKATVDNDGGSKLRRRSSRKTTNLTATEANKRPIAAQKQATEGIEGHVT >A07p008430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5006220:5007594:1 gene:A07p008430.1_BraROA transcript:A07p008430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 10 [Source:Projected from Arabidopsis thaliana (AT2G14620) UniProtKB/Swiss-Prot;Acc:Q9ZVK1] MTSVKRSKSFVLLIYLISSLLLPVSEASVVSSGDFNKDFLVTWSPTHVNTSSDGRSRTLKLDQESGASFSSIQTFLFGKIDMKIKLIPGPSQGTVVAYYMSSDQTNRDEIDFEFLGNVNGQPYILQTNIYADGVDNREERIHLWFDPTKDFHTYSILWNVHQIVFMVDQIPIRLYRNHSEKGVAYPRLQPMSIKVSLWNGESWATSGGHEKVDWSKAPFVASFGDYKIDTCIWKGNPTLCNEENNENWWNKNEFSSLTRVQKRWFKWVSKYHLIYDYCQDYARFHNKLPKECSLPKY >A06g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9736384:9737898:-1 gene:A06g503000.1_BraROA transcript:A06g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRQTLDPGNTYVVEKNFWLGRFIDSTTLICLMGTLEGTAVLLLTVRDLSAWKIGFDSNLFAAAYSGVICSGVAYYVQGVVMRERGPVFVATFNPLCVVITAALGVVVLSESKDKRMTEDDEISKRLPVKSPVENRR >A09g513940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42179084:42180780:-1 gene:A09g513940.1_BraROA transcript:A09g513940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTSHLSIVTTSSLPSLEQAVDFPQNVGNRLHFIILFFKYPQITGLPHGLCGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLLSWIGVYASYLGILKLLYSAFRHESNASMGLAMAFVESESLDSHPPPTPSVHDYLLVSPAQRLLLSPSLLGAITLTAFMMNRVKKALGGGALDEVRESSPYTSASNESLHQIGFELREELVLCGSYSSGSDEPGSDTI >A02p038890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:22251552:22251761:1 gene:A02p038890.1_BraROA transcript:A02p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWMKALKALDMRVAAIEPYVEKVGEDTPEDSPQDLKEKEKKVKGIKRTVHTLDDNLNKTMSRSKNKK >A05p016730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7463356:7464405:1 gene:A05p016730.1_BraROA transcript:A05p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP10 [Source:Projected from Arabidopsis thaliana (AT2G31070) UniProtKB/Swiss-Prot;Acc:O82277] MGLKGYSAGDGVGEIIEVPGGHIIRATGRKDRHSKVFTSKGPRDRRVRLAAHTAIQFYDVQDRLQYDRPSKAVDWLIKKAKAAIDKLETTQEPPENDTTKPGSSSSEPNLVDTQTQFVAANLDPEDAMKTFFPATTTTSGGGTNMNFQNYPHHHDADNIVSRTTTTTPNLNQDLGLSLHPFQGNNINSTVVPETNNFATSHFETFGRISGWNHHDLTMTSSSSPSEQQEEQERGNGGFMVNLHHHQPSMMTLLNSQQQQVFLGGQQQQQRGTLQSSLFPHSFRSWDHHHQTTSDNHHHHHHNQASPVMFASSSQYCSHGMMMMQGLSFPIHGEEPTQPNSSSSPPNSHL >A03p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2383459:2384678:-1 gene:A03p005700.1_BraROA transcript:A03p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERTNFGGPRELCGAVDLISQYKLLQHHEFFCKRSLSASLSDSHYLHDVVGDTEIRKGEGMQLDQLIQNNTLQSRESSNTRIQPFDMDKLKEAFQLNDMTPVELPLAEKGAPTIPPKSKRESKDKDRKHKKHKDRDKDKDREHKKHKHRHKDRSKDKDKDRDRKKEKNGHHDSGDHSKKHHDKKRKHDGDEDLNDVHRHKKNKHRSSKLDELGAIRVAG >A06p009750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3480096:3480402:1 gene:A06p009750.1_BraROA transcript:A06p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g13245 [Source:Projected from Arabidopsis thaliana (AT1G13245) UniProtKB/TrEMBL;Acc:Q9SAF8] MKLGSSQSMGGSKRRVSSRGLGAVLKEQRAKLYIIRRCGLLVGERPVVSFCRRTSANKVGSDPSLMLYCGRIG >A10p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16860667:16868335:-1 gene:A10p026610.1_BraROA transcript:A10p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIAHVSLEGGNLEMAERRTNNEREVVINVSQASKDRTSSSHSSKVPALPESDTGTPKPDPLNIPPPEIYKLSGNVHKPPKVPSPSTEGLVRRRSLSRSVYSKSNSRFGKQQSYRFDKTIAEENGGTLREHFAASSFSRNSFGRASPNNKSNRSVCSTALSKVAEEETDENEEIYEKVKLHRVKRSGMKPLALFELLLFVAILCTLVVSLTNDTVKEHRIWGLEVWKWCVLVMVTLSGMFVTNWFMHLAVFIIERNYLLRKKVLYFVHGLKKNVQVFIWFSLVLVAWVFLFEDDDKHSRKTKKFLDFITWTIVSLLVGSILFLVKTFALKVLASKFNVRNFFERIQESVFHQYILQTLSGPPLIEEAEKVGREPSTGHLSFTSTKNGTVKEKKVLDMGKVHKMKQEKVSAWTMRVLIEAVGASGLSTISNTLDESINGKNKTDKEITNEMEAVAAAYDIFNNVAQPNHNYIEEDDLLRFMIKEEVDLVLPLIEGGETGKITRNAFTEWVISVYTSRKALGHSLNDTKTAVRQVDKLISGVLYVVIFIIWLVLLDVLTTKFLVVFSAKLVGLAFIVGSTCKNIFESFIFVFVMHPYDVGDRCVVDGVALLVEEIDLLTTKFLKLDNEKVFYPNATLISKPISNYYRSPDMGDSILFSIAFSTSAAKIATLKEKVKEYLVQNPQNWYPEFLLFVQAIENVNKLNLNLVVTHTMNFQNFGEKNLRRTDLVIALKRILEDLEIDYTLLPQNVHLTERRASNGGEVVINVLDKEASKDDPSSSPSSKVESPSMSDRETAKLEPLSIPPPEIYKLPGSAHKPPKVPSPSTEGLVRRRSLSKSVYSKSNSRFGQQQSYRFDKTIVEENSGTPKEHYGATSFSRTSFNKASPSNKSNRSISSADMSRVDEDETDENEEIYKQVKLHQVKRSGIRPLALIELLLFVATLCTLVVSLTCDTVKKHRIKGLEVWKWCVLVMVTLSGMFVTNWFMHLAVFIIERNYLLRKKVLYFVHSLKKNVQVFIWFSLILVAWVLLFDDDDKHSRKTKRFLHFITWTIVSLLIGSTLFLAKTYALKVLASKFNVRNFFERIQESIFHQYVLQTLSGPPLIEEAERVGREPSTGHLSFTSTSGTVKEKKVLDMGKVHKMKQEKVSAWTMRVLIEAVGASTISNTLDESINRKKRADKEITCEMEAVAVAYDIFNNVAQPNSSYIEEDDLLRFMIKEEVDLVTPLIEGAETGKITRNAFTEWVIKVYTSRKALGHALNDTKTAVKQVENLITGVLSVVTFIIWLILLDVLTTKFLVVFSAKFVGFAFLFGSTCKNIFESFVFVFLMHPYDVGDRCVIDGVMLLVEQIDLLTTVFLKIDNEKVFYPNATLISKPISNFYRSPDMGDSILFSIAFSTPTAKIATLKDKIAEYLVQNPQNWYPDLMLFVKEIENVNKLNLNLVVTHTMNFQVFAEKNLRRTELVITLKRILEDLEIDYTLLPQDVRLTGHK >A03p049170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21048665:21049912:1 gene:A03p049170.1_BraROA transcript:A03p049170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRVLAAAAARVGPAMRKQVLTLTDEAASRVHHLLQQRQKPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVRILVEPKALMHVIGTKMDFIDDKLRSEFVFINPNSQGQCGCGESFMTTSTSSSAKQS >A06p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2612696:2615424:-1 gene:A06p007550.1_BraROA transcript:A06p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Evolutionarily conserved C-terminal region 11 [Source:Projected from Arabidopsis thaliana (AT1G09810) UniProtKB/TrEMBL;Acc:Q56XH8] MATERNAMDSERGTVTDSDSTPKRHNEVFKILLGELQTVTTAADSTPKKHDESPPTDDTSRMVTGSTTSSVTVSACADNGTGVVSSQPNKNGQAYATDFLKASHRDKNNSSYNNYQDSNLHGDRAVRSYCWSQTSVSSPGNLNVYGQLPSFTQSHAFRPTFKGKQASGQFTKHANQKTSYVPYSGYYGNGNANSGFRDHRDEHRKPERNGESDSLVEMKCGPRTSAKTHPPPSDSSSSSLKQNSSFVLDLRREMFNLPDFQTDYEDATFFVIKSYSEDDVHKSIKYSVWSSTVNGNKKLDAAYRDAEAKTLVDGKKRPIFLFFSVNASRQFVGLAEMVGYVDMNKDLDFWQVDKWCGFFPVEWHVVKDVPNWELCHIVLHNNEGKAVTHTRDTQEIKLKEGLQMLSIFKKFSAVTSLLDDMDFYEEREKSLRLKKEHKPATLRMDLFKEKDYDYENGGNRRRMNQDRGYNWSRTQQSLVNQTKNLSIRGGYSVSKNNTRNPR >A02p000120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:84899:86194:1 gene:A02p000120.1_BraROA transcript:A02p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 14 [Source:Projected from Arabidopsis thaliana (AT5G01820) UniProtKB/Swiss-Prot;Acc:Q9LZW4] MEEKQRGAVLGKYEVGKLVGCGAFAKVYRGRDTGTGQSVAIKVVSKQRLNKGGLNVKREIAIMHRLRHPYIVRLSEVLATKSKIFFVMELAKGGELFAKVSKGRFSEDLSRRYFHQLISAVGYCHSRGVFHRDLKPENLLLDDKLDLKISDFGLSALTEQIRPDGLLHTLCGTPAYVAPEVLAKKGYDGAKIDVWSCGVILFVLNAGYLPFNDHNLMVMYRKIYKGEFRIPKWTSPDLRRLLTRLLDTNPLSRITIEEIIRDPWFRQGYDDRMSKFHLEDSDMKLPANEMRMNAFHIISGSPGFNLSGLFSGKFDRVERFVSGWAAARVVEKLEEMAAAENLTVASKEAWGMKIQGEGGSFAMVVEINQLTDELVMIEVRQRQRAGGDIWSDTLRPFLVEIAHKPDETVAGLQTTHYQEGASSLRDVNTTT >A06p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:947336:947783:1 gene:A06p003930.1_BraROA transcript:A06p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMYQQLILLPLVKNLLLQTTTWNNLEAHYVKAIIRNFYENDVINGLFQLNVEAGGVHKESTYFYGVLLLCTRIMEDGDVPKNIRYLKSLRKMRPSNLYHLNDMTNTCAKCYYYKQIV >A08g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6842784:6843249:1 gene:A08g504260.1_BraROA transcript:A08g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRDGKRLVGSVPLPLPLPLPLPLPLPLPLPLPLPLSLCFESVFFFPWAELVVVSRGGDNIWWYAGLELIIHESVYIHVLTCSGNHSFRFCWWWEFEASMELESRNIEEKRWSCSEAREGIQIRSLMVVEE >A06p042730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22995323:22998937:1 gene:A06p042730.1_BraROA transcript:A06p042730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G24300) UniProtKB/TrEMBL;Acc:W8QN76] MASLQISGGSVRFVVGFNRTSYNRPIGSVTFPHSPRRFSIGRPLLLRRASVSGESETDEITDAERDVSGSSSASLLGFQLAPPGDQEIISANTTKETTHQEETSDATDESEMADFGVPGNRAVEEGPAEVEYTSVKAEVINNLVFVTSEAAPYSKTGGLGDVCGSLPIALAGRGHRVMVISPRYLNGTSADKNYARAKDLGVRVTVHCFGGSQEVSFYHEYRDGVDWVFIDHQSYHRPGNPYGDSKGAFGDNQFRFTLLCHAACEAPLVLPLGGFTYGEKSLFLVNDWHAGLVPILLAAKYRPYGVYKDARSILIIHNLAHQGVEPAATYSNLGLPSEWYGAVGWVFPTWARTHALDTGEAVNVLKGAIVTSDRIITVSQGYAWEITTVEGGYGLQDLLSSRKSVINGITNGINIDEWDPSKDEHIPFHYSLDDYSNKVKCKMALQKELGLPIRPECPMVWFHKRSIGFIGRLDYQKGIDLIQTAGPDLMVDDIQFVMLGSGDPKCESWMRSMEETYRDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTIPVVHATGGLRDTVENFNPYAEGGAGAGTGWVFSPLSKDSMVSALRLAAATYREYKDSWEGLMRRGMSRNYSWENAAVQYEQVFQWVFMDPPYIN >A08p040190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22957902:22958795:-1 gene:A08p040190.1_BraROA transcript:A08p040190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVAEIRHPLLKRRIWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPLNNNTGDTSEGKTDISASSSSSSLSSILSAKLRKCCKSPSPSLTCLRLDTASSHIGVWQKRAGSKSDSSWVMTVELGPSGSVELSPSGSSQEPTSTTASKDDVVGPATEVGGGGEEGLMDEEEKVALQMIEELLNTN >A05p017150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7693919:7696940:1 gene:A05p017150.1_BraROA transcript:A05p017150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVKMGSKVCMNASCGSTSSVEWKKGWPLRSGALADLCFRCGSAYETSRFCETFHMEQSGWRECYLCNKRLHCGCIASKLVVEFMDYGGVGCSTCTNSHSKRGENPGVFSRLPMNMQQTNGESGMSIDGVVRSEANLFSQPLVSGDDKREEFTPHRGFGNLMKPDNNVTTTGYTHESSSSSPAQPSLNMALATLPYSPSFATPVVDGNKLMGAGGGGGASSQSHLFQCSASSILQKPSKTVLGTPPPGTSKSAQARIGRPPVEGRGKGHLLPRYWPKYTDKELQQISGNLNLNIVPLFEKTLSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKVQDVRGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMRLQAGDTVTFSRVDPGGKLIMGARKATYTVDMQGCGFANGASNEDTSSSGVTENLTSINAPSCPSQMLEGLPEHLGSPHGGNGLKKSEINGGDDPSRGKEKKRTRTLGAKNKRLLLHSEESMELRVTWEEAQELLRPSANAKPTVVVIEEHEFEEFEEPPVFGKRTIVVTSRPSGEQERWGSCDDCSKWRRLPVDALLPAKWTCSDNVWDSSRCSCSAPEESLKELENVLRAGKEYKKKRIGVSQTARNEQEPSGLDALASAAVLGDALDESEVATTTRHPRHRVGCSCIVCIQPPSGKGRHKPTCGCTVCSTVKRRFKTLMMRRKKKQLERDGTAAEAVDEENKEGAEPEKNEGEKEGRIDLNSDPYNREDAEAVAVEKGEESKKSEEGVCWGVSQGGGVLGGTEVGGGEAEKTTSEEQKVAS >A07p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7785790:7787904:1 gene:A07p012930.1_BraROA transcript:A07p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKDGQRRDLEGGEEESEEPLLTAQNQRFTMFPIRYKSIWEMYKKAEASFWTAEEVDLSTDVQHWEKLSDSEKHFISHVLAFFAASDGIVLENLAARFLNDVQVPEARAFYGFQIAMENIHSEMYSQLLETFIKDSQEKDRLFNAIETIPCISNKAKWCLDWIQSPMSFAVRLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQKQLPVEKVYQIVHEAVEIETEFVCKALSCDLIGMNSNLMSQYIQFVADRLLVTLGCERRYKADNPFDWMEFISLQGKTNFFEKRVGEYQKASVMSSLQEGNKNYEFKIDEDF >SC178g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:191376:191891:-1 gene:SC178g500100.1_BraROA transcript:SC178g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKYLKPLLFLCVNRQEARRKGETSSGHKKKLKGDLTVKQLALIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSWGFNWSPLLTILIKGIKISLSLTEDDDDDPVMS >A05p020400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9638390:9643683:-1 gene:A05p020400.1_BraROA transcript:A05p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKSHLFALLLLHFSTVSLAQTLFVFGDGLYDAGNKQFVSSNRVDASFPPYGITLGEATGRWSDGRIVPDYLASFMGISQIPPILRATADFSHGANFAIADATVLGAPPESMTLSQQVIKFSENKNKWTAKARSEAIYLFYIASDDYLNYAKNNPNPSDDQKQAFVDRVIAAIEAELKVLYGSGGRKFAFQNLAPLGCLPAVKQANGNVQECVRLPSEMAELHNKKLLQLLVELSRQLSGFQYSFYDFFSSIQNRVIKSKTYTFETGMAACCGTGSVNGTSCSTSNVCAKPEDYLFFDGKHLTQEGNLQVGHLIWGSDPEVVGPNNLRELLFLPLDITATLANIQEAMAATMPRQIKIESLYDIKKMESEMENQWLYQVDKATSFLI >A01g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15860063:15860656:-1 gene:A01g505270.1_BraROA transcript:A01g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRTRFWMEKGSGKKHWLLLARKLEHWPRLMGTKSLNSMEVVVQDGKKKKKGRRLRKKMKNNEKWVLG >A02p031790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16675088:16677162:-1 gene:A02p031790.1_BraROA transcript:A02p031790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKHQTRMCLLRHLLLPIWVLLGFSFFSVVFVTATPQEIPISQASPRTGGAQSPGPPIVKVVIRQDLNKKILIALIASSTLLCITVMMILYLLLWRYRSMNNNSFNGIKGKSDSVKSSGSTKPVVHKVDSVTKGTIPVYEYQLLESATNKFSDGNVLSRGGRGCLYSACLDGKSSVTVKKLDVGGETDIEKQFENEVDWLAKIKHQNIISPLGFSVYRQTRCIVYEMMQNGSLESRLHGPSQGAGLTWQLRMKIAVDIARGLEYLHEHCHPPVVHRDLKSSNILLDSDFNAKISDFGYATVLMTQNKNLNRPSEYLLDGKVTDKNDVYSFGVILLELLLGKRSVVEKSSTEPESVVTWAVPKLSDRANLPNILDPAIKGTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLLPLDLGGSLRIL >A08p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14838342:14839735:-1 gene:A08p022400.1_BraROA transcript:A08p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRQAAKKALGLTSRQSTPWSVGISRSYHENVIDHYDNPRNVGSFDKNDPSIGTGLVGAPACGDVMKLQIKVDEKTGQIVDARFKTFGCGSAIASSSVATEWVKGKAMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYKEKRLKTNGVGESSQA >A09p069550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54181254:54183885:1 gene:A09p069550.1_BraROA transcript:A09p069550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT2G24630) UniProtKB/Swiss-Prot;Acc:Q9SJA2] MAPRFGFSDLWAKETRKGTPVVVTMENPNYSIVEVDEPESAFRPMERTRGKNAKQVTWVLLLQAHKAVGCLAWLATAFWSLLGSLKRRLCFTHRLGSERLGRDRWLFTAIKLFLAASLSVLVFELVAYYKGWHYFKNIPTSKLEIQSLFHLLYVGWLSLRADYIAPPVKALSKLCIVLFLVQSVDRLILCLGCFWIKYKKIKPRIDEEPFGNDDVEGSGSEYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRMLIQVLDDSDDGSIQELIRAEVTKWSQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVEAYEFVAIFDADFQPNSDFLKLTVPHFKEKPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLGAILTSKIAMWKKANLILLFFLLRKLILPFYSFTLFCVILPITMFVPEAELPVWVICYVPIFMSLLNVLPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLGLTDKRMPPNQMQRGASESELLEISQAEEQKKQTVAVKKTNKIFHKELALAFLLLTAAVRSLLASQGVHFYFLLFQGLTFLLVGLDLIGEQMS >A08p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16535631:16538840:-1 gene:A08p025780.1_BraROA transcript:A08p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSTLLVHYDKGTPAVAKEIKEALEGNDVEAKVDAMKKAVMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLELIEKTDSKGKVLPEMILICQNLRNNLMHPNEYIRGVTLRFLCRLKETEIVEPLTPSVLQNLEHRHPFVRRNAILAVMAIYKLPNGEQLFVDAPEMIEKALSTEQDPSAKRNAFLMLFTCAEERAVNYLLSNVDKVSDWNESLQMVVLELIRSVCKTKPAEKGKYIKIIISLLSATSSAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLILLDRLSELKSSHRDIMVELIIDVLRVLSSPNLDIRRKTLDIALDLITHHNINEVVQMLKKEVVKTQSGELEKNGEYRQMLIQAIHACAVKFPEVASTVVHLLMDFLGDSNVASALDVISFVREIIETNPKLRVSIITRLLDTFYQIRAGKVCPCALWIIGEYCLSLSEVESGISTIKQCLGELPFYSVSEESELTEASKKIQPTSSAMVSSRKPVILADGTYATQSAASETTFSTPTVVQGALTSGNLRALLLTGDFFLGAVVACTLTKLVLRLEEVQSSKTEVNKTVTQALLIMVSMLQLGQSPASPHPIDNDSYERIVLCIKLLCHRNDEMKKIWLESCRQSFVKMISEKQLREMEELKAKTQTTHAQPDDLIDFFHLKSRKGMSQLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIALEVTVINRTKETLQNLCLELATMGDLKLVERPQNYSLAPATSMQIKANIKVSSTETGVIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCSDVAFRTMWAEFEWENKVAVNTKIENEREFLDHIIKSTNMKCLTGPSELEGECGFLAANLYAKSVFGEDALVNVSIEKQTDGALSGYIRIRSKTQGIALSLGDKITLKQKGSS >A03p035410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14877981:14881072:1 gene:A03p035410.1_BraROA transcript:A03p035410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSMQPYGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKILVLAAKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIICGYNKAIIKVVEVLEQLVESGSETMDVRSKDEVVSRMRAAVASKQFGQEEIICSLVADACIQVCPKNPTNFNVDNVRVAKLLGGGLHNSCIVRGMVLKSDAVGSIKRMEKAKVAVFAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGGSVGEMALHFCERYKIMVLKISSKFELRRFCRAAGAVAHLKLSRPSPDDLGYVDSISVEEIGGVTVTIARNEQGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKEYANAETGLDKYAISKYAESFEFVPKTLADNAGLNAMEIIASLYTGHGSGNTKLGIDLEEGACKDVSETKVWDLFATKLFALKYASDAACTVLRVDQIIMAKQAGGPRRDAAAAAGAGAEED >A03p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13897882:13908445:1 gene:A03p032860.1_BraROA transcript:A03p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESRSTPISASSPASSSSPSGKRTRDPEDDEVYLDNLRSQKRYLSEIMACSLNGLTVGDSVSVNMLDSPSRSETFLSPNNNHRDDLPLQYSPMSEDSDEARFCEDPITSTSSSQPDSRPTSPVSPYRYQRPLTSTASHHLSNSHSCPASMSTSATPQSRQRGSDTEGRFPSSPSDICHSSDLRRTALLRSVQMRTQPCGIASSSGTSNVDGGEERMCFKSMEEDNRGEDVSYTQVSGKSKSCKALMGMTLSIQIITSFLFAATVSSSPPSGFTIDLFKRRLNSSSSRIYNTQLGSPYADTLFDTSVYLMKLQIGTPPVEIEAILDTGSEVVWTDCLPCHNCFKQIGPAFNPLDSSTYEEKICDGSPCQYEIDYTDQSYTRGTYATETVTIQSTSGHSYVMPKTTIGCSHNASVTFQTSASGIVGLNWGPWSLVSQMGDDMLGLMSYCFSGEGTSKLNFGGNAIVSGDGTVSANMFKKEEDPNQYYLNLDAISVGKTRIETLGTPFHASNGNMIIDSGTTYTFLRKTYYNKVRKAVENIVKADQEDSGDNWLCYKTNNMDIFPVITMHFQGGVDLVLDKYNTDDLPLQYSPMSEDSDEVYRFCEDPITRTSSSQPDSRPTSPVSPYRYQRPLTSTTSHHSSNSHTLPASMSTTSTTPQPSDICHSSDLTRTALLRSVQMRTQPCGIASTSGTSNVDGGEERMRFKSMEEDMSYTEFSGKSKSCKALDIETISWLGLFAASSWAQQSSICMHTMNLVVYMIKLRIDMLVTMLKIRTAEIPRPQEYNYYKYNNVKFLSEEAAAASSLMLRLFST >A05p020040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9406641:9406922:1 gene:A05p020040.1_BraROA transcript:A05p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGCISTLILMLAAIMGEVSDHARCMVLLSDIREEVRRLFKFFTFLADREQFLPTVQSLWDTTEPLSDSRTTLSQFHHKLKFLKGLGALNNY >A09p011030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5578660:5579431:-1 gene:A09p011030.1_BraROA transcript:A09p011030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVDPQILAELFSIYREFQDSKAQEITNRQEDIENKIEVADALASKLLQRFNHSVSAMRTTSHHLSQVNGLQVDLGELKGRLTEVINNCDTLCKRINAEGPQSLRSTVTPFTLAPPDSVSMNTTITLSSQHEE >A07p017220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10450160:10452742:-1 gene:A07p017220.1_BraROA transcript:A07p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQDQKTRLRGIDAQKANIAAGKAVARILRSSLGPKGMDKMLQGPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERQLDRGIHPIRIAEGYEMASRVAVEHLERISQKFEFDVNNYEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERRDVNLDLIKVEGKVGGNLEDTELIYGILVDKDMSHPQMPKQIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQEQQYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGMVREKSFGTTKERMLYIEHCANSKAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNKSIVYGGGAAEIACSLAVDAAADKYPGVEQYAIRAFAEALDSVPMALAENSGLQPIETLSAVKSQQLKENIPFYGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISNSEY >A01p001000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:389328:391132:1 gene:A01p001000.1_BraROA transcript:A01p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTSNSSDLTSTTTQTSPFSNMYLLTTLQAFVAITLVMLLKKVFTTDKKKLSLPPGPTGWPIIGMVPTMLKSRPVFRWLHSIMKQLNTEIACVRLGNTHVITVTCPKIAREILKQQDALFASRPMTYAQNVLSNGYKTCVITPFGEQFKKMRKVVMTELVCPARHRWLHQKRAEENDHLTAWVYNLVKNSGSVDFRFVTRHYCGNAIKKLMFGTRTFSENTAPDGGPTAEDIEHMEAMFEALGFTFSFCISDYLPMLTGLDLNGHEKIMRDSSAIMDKYHDPIVDARIKMWREGKRTQIEDFLDIFISIKDEQGNPFLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMVNKPEILHKAMEEIDRVVGKERLVQESDIPKLNYVKAILREAFRLHPVAAFNLPHVALSDATVAGYHIPKGSQVLLSRYGLGRNPKVWADPLSFKPERHLNECSEVTLTENDLRFISFSTGKRGCAAPALGTALTTMMLARLLQGFTWKLPENETRVELMESSHDMFLAKPLVMVGELRLPEHLYPTVK >A05p048090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28207350:28211911:1 gene:A05p048090.1_BraROA transcript:A05p048090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFSAEDDEDQCRICRSPEEPGNPLRYPCLCRGSIKFVHQDCLRTWLNRRRGNNKCERSYSFVPVYSENAPERLPCDEFLIGVLSRAGRYLKLIVAWIVVILFNVFCIALHPLGQECIAGSQRVFMSRKLAFLWATLLYNSVIASSMLILTTIRIQVGDLGGGRGDSFFGNGGVLHLLSKYMKILCDWYLHKLIHFLGQPPRLIFLPPEAPLHEFGVIRRVLFFLDDDAFAVLAISVYVSTLFILLPFCIGWVVLATVGGSSYLSGNSPVILGYMMMLSICFAYFGILFILHQNPFPVLARWFSLGFHFTTVTLPCHLLAFLANILLIKDAFVLFFKFGVLPWILGWFLGICTSPMFGTRSFQIFEILSHNPCMMVAFRWLSGLSCLIIAVSYMKHIPKIMHKRAYWYLLDVTDPDYKITKLNLRHVFFVFASHGVLLVILFRLPIKAITLISPSFFPLELWGRSPFIGVAYAIYVNLMHAGPKWLIELTKPAIALLVHKWIVTVCSWLLLSDLLLVVPRGEEDFHYADDKLLYSLAEGSMVTFYKSQNDEDDIKEQRDNRTRIAIMLMLAALTLFLVSTAFMVLPIVVGRVFSYSISFILRRFGIKHDDICAFWIGCYILRAIYVSICFAFDHILTGRTGFLLKYILIRITNALLFSIWISIIPGLLGLLIDLMIIIPSRVPLNESPLYFLIQDWLIGVVVLHLWTFLTMLTPIKCFATKAWRRKFERIRSVGINKLPSMWLLRDVIGSIMNTLLTTLSIPYVLVKSLLPLLGYSQSFNAATERFFWPASLALIVVWFVIKLTLEIIIYLHQLIFNERYMWVYSRRSTTPNRPADPIPLPVMLRAFHFLLAPPSLVLAGLWPDPGLSCAGSGSVPAQRLTGLKRVAASSLPVFSLLCSPLSPASVATLRAFPSCSHGPRRSDETEDLVGNLSLSSSDNNHGFLWRSSLSSHGCLFSRSVMPPSVLHALVFIAPTS >A09g512040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35335785:35336865:-1 gene:A09g512040.1_BraROA transcript:A09g512040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWGAEIEAGKVLEFNDSDCAIAITQIAVDIGIGEGSVVLYATVDGIKRVIGTLSHNIPQMKCEIFFTHEFTLSHSHDSASVYVLGGYKDLDVGSDTDESDSDDPEDELANYEQEEETEEEKEGVHTATPHPAKKGGKNPMNANQGPKSGGQSSSGNKKQFNSGKQLGSNNKGNKGNGKGRA >A01p021180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10323596:10323958:1 gene:A01p021180.1_BraROA transcript:A01p021180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLQTLTSTMILLSLHLGCAEKVTGMRHIPNSSNDTEIKHWDFLVKPRAPQPSGLIPGLGWFLLPPNPKKPFHFYKAPIAAAPTTSSYGIPSSFAPNSGYEASGPRSREDQVPPVPQP >A01p055170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31305380:31308504:-1 gene:A01p055170.1_BraROA transcript:A01p055170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKFPLMGLLLLLTIVVSPATADGPVCPPTTKLSRASFPEGFLFGTATAAYQVEGAVNETCRGPSLWDIYCKRFPSRCNYDNGDVAVDFFHRYKEDIQLMKNLNTDAFRMSIAWPRIFPHGRKEKGVSQAGVQFYHDLIDELKRNGITPFVTVFHWDTPQDLEDEYGGFLSERIVKDFREYADFVFQEYGGKVKHWITFNEPWVFSHAGYDVGKKAPGRCSKYVKEECQEGRSGFEAYLVTHNLLNSHAEAVEAFRQCEKCKGGKIGIAHSPAWFEPHDLADSQDGASIDRALDFILGWHLDTTMYGDYPQIMKDIVGHRLPKFTDAQKAKLKNSADFVGLNYYTSVFSNHLEKPDPSKPRWMQDSLINWETKNAYNYSIGSKPITGALPVFARGFRSLLKYIKDKYGNPEIMIMENGYGEELGATDSVAVGTADHNRKYYLQRHLLSMNEAICIDKVNVTGYFVWSLLDNFEWQDGYKNRFGLYYIDFKNNLTRYEKESGKYYKEFLSQGVRPSMINRDEL >A08p008740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4717453:4719882:-1 gene:A08p008740.1_BraROA transcript:A08p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLGQRLDDQGVVISDPEAANLPNANAENEALIKGKGDKALKYHVNAIIDDDLWQVVKAKKLQEENFEVCSSMSFGSSHWCRSTPRDEHLPMESGENRPTHPVKHQLTPSIESVGSCETVRIMTHKEFVDQHPHPSQPYQPMIIDQATEGQTLRRQKEEISKHLRREANEEEMNSFKKGETKETEKDTERMFHQAREKMKRRVILKKKESESGKFLVSYLIGGIDYPCSVPDPGSWKLGGSSPSLGDASKKLNHLDKARLELCQLPELDGLAHSAVSDGDQLNSSGLSVQVSDQ >A10p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12243297:12244562:-1 gene:A10p012680.1_BraROA transcript:A10p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGAAAAFVIWKHRNNPSLRSISSHHFYPIFKPQIIPKGCRYQIREIQGESVDRGTQSKNNDEPKPKPENWKIKMLYDGDCPLCMREVNMLKERNEEYGTIKFVDISSNDYSPQENQGLNYKTVMGQIHAIQSDGNVVTGVEIGKVADAVYDFWAKYRLQVTGRPPIEAILEARKKNKVETCGNSKACKT >A02p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:485060:486919:-1 gene:A02p000970.1_BraROA transcript:A02p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKEETVTKPEGDKKPVDGGNNTVVVMKLDMHCEGCGKKIKRILKKHKGVEDVNIDYKADKLTVVGNVDPSAVRDKVAERMKRKVEIVSTVAPKKEAPPPSGGEKKVVEEKPAEKKPADEKPAGEKKVEMKKDEGEKKAPSPPAPPKESTVVLKTKLHCEGCEHKIKRIVNKIKGVKSVAIDSTKDLVIVKGIIDVKQLTPYLNEKLKRTVEVVPPKKEEGATVAAAAAPAGGEKKDKGVGEKKETKDVGEKKDGGGEKKKEVASAGGGDGGATLDVKKSEYSGYGYQPQPMYYNPPGQVYGQQHYMMQGQSSQSYVQEPYVNQGYVHESYMNQGYGQGYGQQAPPPPYMNHQGYADPYAHMRAPEMFSDENPNGCSVM >A09p071890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55316719:55318800:-1 gene:A09p071890.1_BraROA transcript:A09p071890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRRRNGGGGGGGSHSSNRDKHDSLFPKPDTHIGDNAAAPPPPVSNVKSRLIEMGFSSSLVHKKIDENGIHKGVEMDEMIDCLVAAQLDEKYAEDSQDNGSDTNREENDVVLPTEVPSELPLDKTSQLLEMGFSRQEISTAIEKLGEEAQISDLAESILIGQVPADNLEDIEKKVSEIPSTARACPSKSWRFVAQEEKEGSSSGTATKVGKRIKDEESDDFDNRGKRLRPALETPGMQEDSATQPPLSQYKRPYVFYGNIGELSPKEWSKISSFFFGIQPEHVDTRLCSTLSRKESYMHNLPVENRFHILPKPTRSAPPPPPSFISSPENLERIMGYPPNHTNIGSGAGGGRLKPLDYCFQTDTLGYHLSVLKPMFPQGLRVLSLFSGIGGAEIALSRLGIHLRSVCSVEPCGLSRSVLKRWWGSSGQSGELEQIEDIRSLRTKRLEALVGRFGGFDLIICQNPPTPADLSRESSRSGACGFDFTLFNQVVRVSKLVRGLMESI >A07p026730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15245222:15246607:-1 gene:A07p026730.1_BraROA transcript:A07p026730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAIIIFCKVNGIEFDEVLIILAKRQQLSPEFKEINPLGKVPSIVDGRFKLFESHAILIYLASAFPSVADHWYPNDVSKRAKIHSVLDWHHTNLRPGAAGYVMNTVLAPFLGRSLDSKAAAEAEKILTKSLSTLETFWLKGNAKFLLGSNQPSVADLSLVCELMQLQVLDEKDRLRLFSPYKKVEEWIENTRKATQPHFDVVHKTLYGAKDKFEKQRKMSKPGLQSKM >A10g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13444822:13445203:-1 gene:A10g505360.1_BraROA transcript:A10g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRIELLVFIGRWEAFYMEFGGEHKPDSCIVRRLRRRLGDSGIREVKPTSPGLTSIEENGHR >A09p009020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4625853:4627336:-1 gene:A09p009020.1_BraROA transcript:A09p009020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEDVQTVDLMSELLRRLKCSQKPDKRLIFIGPPGSGKGTQSPIVKDEFCLCHLSTGDMLRAAVASKTPLGLKAKEAMDKGALVTDELVVGIIDEAMNKPRCQKGFILDGFPRTVAQAEKLDEMLKKRGTGIDKVLNFAIDDSVLEERITGRWIHPSSGRSYHTKFAPPKTPGVDDITGEPLIQRKDDNAEVLRSRLAAFHSQTEPVIDYYAKKAVLTNIKAEKAPQEVTSEVQKALS >A02g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4222077:4230971:1 gene:A02g501330.1_BraROA transcript:A02g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLKPNPFLSFSSFLHTHCNRFASDLSARFEDTKRFAESLTTRRFTPPPFASVSQYPKPSAGTSTATLNPSHVAKALAGTSVFTVSNTNNEFVLISDPAGDKSIGLLCFRQEDAEAFLAQARLRRRELKANAKVVPINLDQVYLLKVEGISFRFLPDPIQIKNAMELKSSASKNGFDGVPVFQSELLVVRKKNKRYCPVYFSKEDIERELSKYTRASRGDQQIMVGSLEDVLRKMERSEKNSGWEDVIFIPPGRSYAQHMQEKKKKMEENCEDCMRWEEQLYWNHFQTVHFSQLLLPGFHNRLAIPKKFSTYCKRKLAKIVTLKSPSGTKYNVGLEEDDEKTLAFRCGWDKFVKDHSLHESDLLVFKFNGSSEFEVLIFDGDTLCEKPTSYFVRKCGHAAEKTSRVTDFTATSSRSPKRYISIADDVETTVKISPVGNELDDLIDIDTMLPQTGTDQEEHSNSDIDTDSGQLPVISPTSKGPISEGKYPIGVFKKMRGQISINDPDRKADVEMIPGGSRKRIGEINKKKALSLAKRAVSTKGFLVVMKRSHVVSKCFLYVPVQWSARNMSREPQDVVMQVGERKWHLKFKYYGSKGRGGVSVGWKKFVRDNNLCEGDVCVFEPTKPEAKPFHLDVYIFRAAEAESSNNGMNTSTHLKAQARCPLQEHFLPRKNSKENLDRFIPNRSAMDFDYAHYALTEGNKGKDQVSSPSREAYRKQLADTMNLNHTRILAFRNKPLAPVDLLPTDHSASLHQQPKSVKPRRYIPQTSERTLDAPDIVDDFYLNLLDWGSANVLAIALGHTVYLWDASTGSTSELVTIDDEKGPVTSINWAPDGRHVAVGLNNSEVQLWDSGSNRQSRITGVEQPHPQIVNNDVRIRSHIVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSVASSNSTTQWLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKAAHEPFAKCTVVKPKTPPREHRRKEMESPKEQSSYTVEQLVAVNPFNPEILPDLENYVNEQVTSQTYSLDANLCLLRLYQFEPERMNTHVVARILIKALMAMPTPDFSLCLFLIPERVQMEEQFKALIVLSHYLETGRFQQFWDEAAKNRHFLDSVPGFEQAIQAYASHLLSLSYQKVPRSVLAEAVNMDGASLDKFIEHQVANSGWIIEKVGGTHRPHLPHPWLNQSVMTAN >A06p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4679062:4680378:-1 gene:A06p003480.1_BraROA transcript:A06p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIVRRVIPSDNSCLFNAIGYVMDKDKKKAPELRQVIAAAVASDKEKYNEAFLGKPNEEYCTWILNPEKWGGAIELSILADYYGREIGAYDIQTSRCDLYGQTKNYSERVMLIYDGLHYDALALSPFEDAEEDFDMTIFPVGKDRSIGSIEGLVLNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYH >A01p042780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25271403:25273939:1 gene:A01p042780.1_BraROA transcript:A01p042780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVGFKSREEHRKQQELEEARKAGLAPAEMDEEGKEINPHIPQYMSSAPWYLNADKPSLKHQRKWKVDPNYTKSWYDRGAKTFQADKYRKGACENCGAMTHKTKLCTERPRKIGAKWTNKNIAPDEKIETFDLDYDGKRDRWNGYDTAKYAEVIKRYEARDEARRNFLKEQQLKKLEKKRNKKIDEEESSDADEEDALKHDEAKADESKQMDFSKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPNADPNEKFYAGDNQYRMSGEALEFKKLNIHALQLSEKGQEEVIMQAAPSQAELLFKKYKEAKEKLKKKIQETIMEKYGNAAASVEEIPKELLFGQSEREVEYDRCGRVIKGMELSVPKSKYEEDVYINNHTSVWGSWWKDQQWGYKCCQQTIKNSYCTGVAGIEAAEEAVDLMRTNIERQAAASNDRSGPVEEKRFVTWGGDTPEDLVLDREKLAEALKKEDERKKEEKDERKRKYNVQWNDQVTPEEMEAYRMKRIHEDDPMKNLLH >A09p044580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36155108:36156354:1 gene:A09p044580.1_BraROA transcript:A09p044580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSYNALAQSLQARSNCFVPKDLCIKQRRSRSSSVVVFAASNDNNIALQAKVDKLLDGIKWDDKGLAVAIAQNVDTGAVLMQGFANREALSTTITSRKATFFSRSRSALWTKGETSNNFINVLDVYLDCDRDSIIYLGTPDGPTCHTGAETCYYTSVFDQLNNDEAAGNKLASTTLYSLESIISKRKEESSAPQEGKPSWTRRLLTDDALLCSKIREEADELCRTLEDTEDASRTASEMADVLYHSMVLLSKRDVKVEDVLEVLRKRFSQSGIEEKQNRTK >A05g510470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32326415:32327694:1 gene:A05g510470.1_BraROA transcript:A05g510470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A03p018670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7627386:7628240:1 gene:A03p018670.1_BraROA transcript:A03p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRQPGSMNLDLYVHEAVKLQTNWAEKERSSYGFFGNSSLHHRYHGSGSKNGVEPSPNIISSKYTQARGLINMNMIYKMFVSDRII >A07p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13510877:13512006:1 gene:A07p023340.1_BraROA transcript:A07p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TED4 [Source:Projected from Arabidopsis thaliana (AT2G26670) UniProtKB/TrEMBL;Acc:A0A178VP48] MAYSAPISPSLSFFKNPQLTRFSFPFSPLYSRPRIQNPSLLTKNKTWSSSVVVAATAAEKQKKRYPGESKGFVEEMRFVAMRLHTKEQAPKEGEKETKAPEERPVAKWEPTVEGYLRFLVDSKLVYDTLEGIIHQSTFPTYAEFKNTGLERAEKLETDLKWFKEQGYEIPEPTDTGKKYSQYLKDLSEKDPPSFICHFYNIYFAHSAGGRMIGRKVAERILDNRELEFYKWDGDLSGLLQNVREKLNKVAGEWTREEKNHCLEETEKSFKYSGEILRLILS >A10p021240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14322049:14322702:-1 gene:A10p021240.1_BraROA transcript:A10p021240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAGMWKRKRMEAQKRDFFKKLGSGEYFRSVLEARFRKLPQGSDSDSGSEAGSVRPMKLPCNVVKNR >A03p008410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3385997:3386845:-1 gene:A03p008410.1_BraROA transcript:A03p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDDAKAKLYSTEVESDSSVSKKPKIEDEDDTCMEDEGDSDSNNPFLWSTDEEDDDSPTINYSNLQDPEPEWDKDSYDGYELEFDADGREGFPDDKAYQDFRDYKTKAFKSRGFFEDPFRSIYPIIDLEGPWSDTTNVTRRQYLANIASLCVKKLNEQNRSSVEVVSVVRGNLKPGGGYKLYITFMAREYPNGPLVEYQAKAMDFAGGRKPPFPILCRPASSIS >A03p045140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18862621:18865877:-1 gene:A03p045140.1_BraROA transcript:A03p045140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT3G21500) UniProtKB/TrEMBL;Acc:A0A1I9LTC7] MALSVFRFPSYLSRETSTDHCKTTSLSSFKSLATYLPSICHRSTVSRSKISTTVNGSLVKRGDYYSTRPPTPLLDTINHPIHMKNLSIKELKALSDELRSDVIFNVSKTGGHLGSNLGVVELTVALHYIFNTPQDKILWDVGHQSYPHKILTGRRGKMKTLRQTNGLSGFTQRGESEHDSFGTGHSSTTISAGLGMAVGRDLKGRDNNVVAVIGDGAMTAGQAYEAMNNAGYLESDMIVILNDNKQVSLPTANLDGPTPPVGALSRALSKLQSKRPLRELREAAKVGKGRILREGERVALLGYGSAVQNCLEASSVLDKRGLKITVADARFCKPLDIALIRKLAKSHEVLITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPMVLPDRYIEHGSPLDQLAEAGLTASHIAATVLNLIGTPRETLYWK >A07p044190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24144350:24146866:1 gene:A07p044190.1_BraROA transcript:A07p044190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIESAEYNGFEISNGHVDHGWKKVVYPKRNRKQKPADQTATNGGKNAANGDNVFRSLEEQAEDRRRRILAAKMAVSDSDEDESRSKRRSNGYGFDDSEDEIAATKEEENVKAEEEAKKKKAKAKKEKKPKVSLPEAAAKIDPSNLEAFLIEASESYASQPEIQLMRFADYFGRALSGVSSVLFPWVKMFKESPLSKLIDVPLSHVPEPVYKTSVDWINQRPIEALGSFVLWAFDCILTDLAAHQVGGAKGGKKGAQQQHTSSKSQVAIFVALAMVLRRKPDALTNVLPTLRENPKYQGQDKLPVIVWMMAQASQGDLSVGLLSWAHNLLPVAGSKNCNPQSRDLILQLVEKILSNPKARTILVSGAVRKGERLIPPPSFEILVRLTFPASSARVKATERFEAVYPLLKEVALAGAPGSKAMKQVTQQIFTFALKLAGEGNPVLAKEATAIAIWALTQNVDCCKHWDSLYKENLEASVALLKRLVEEWKEHSLKLSSSPSDNAALNRTMKSFRLKNEEAITEGKGNGSLYKEADKSCKVISGRLSRGSGCLKGTAITVVVLAAAAAFLSSNPEVTSELKSLVDSLELHQYYNPIITAFKN >A07p052200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27574909:27577169:-1 gene:A07p052200.1_BraROA transcript:A07p052200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAASFRRLASGVAFIATASGGAVLSLASSDKDRTIVESLRRTIGDPTASVPPRSVQESALSGASLSNPLDLLVIGGGATGSGVALDAATRGLRVGLVERDDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLRLVFHALEERKQLIENAPHLCHALPFMTPCFSWFEVVYFWMGLKMYDLVAGPRLLHLSRYYSAKQSVELFPTLASKGKDKSTTLTGTVVYYDGQMNDSRVNVGLACTAALAGASVLNHAEVVSLIRDAVSQRVIGARIRNNLSGKEFDTYAKVVVNAAGPFCDSVRKMADGESKPMICPSSGVHIVLPDYYSPQGMGLIVPKTKDGRVVFMLPWLGKTVAGTTDSPTSITSLPKPHEDEIQFILDTITDYLNIKVQRTDVLSAWSGIRPLAMDPTAKNTENMSRDHIVLEDCPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLKPSNDCVTQKLQLVGSYGWDPSSFAALAQQYVRVKKTHGGKMVPGTMDTAAAKHLSHAYGSMADRVATIAQEEGLGKRLAHGHPFLEAEVAYCARNEYCESAVDFIARRCRIAFLDINAAEKALKRVVEILASEHKWGKSRQMQELKMAKEFLQTFKSSKNAH >A02g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22600770:22601173:1 gene:A02g508200.1_BraROA transcript:A02g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYLPKVVIQTKLRRLGKPPSSPSSSSLAIPIPAISYYGRSLKFYARFAPTKRLVSPLTPSELSYIYIIPRAVPAASAAVPYSTFNSLRLGRSTQSIVGRLIRF >A05p045570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27237286:27239007:-1 gene:A05p045570.1_BraROA transcript:A05p045570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFA4 [Source:Projected from Arabidopsis thaliana (AT3G13782) UniProtKB/TrEMBL;Acc:A0A178VHH5] MSNEENIMSIEAVHNTGGDSSDALGNFILFQRILLLPRILRQVNYIVNQIAQHKSLLLFHLLMIFFCFDVNKSQNLTIKRTFDTKKLSPKVSKRVLFLKDIQVKHDELEEKFIEEKAALEAKYDNLYKPLFNKRYEIVNGVAEAETEKGVPNFWLIAMKTNEMLANEITERDEGALKYLKDIRCCRIENNSRNFKLEFLFDPNPYFKNSVLSKTYHVTDDDDDGPVLDKVIGTDIKWCPGKCLTHKVVVKKRPKKGTKKVNNIPMTKTENCESFFNFFKPPEIDEVDECYTTMVEELQNLTDQDYDIAVTIRDKLIPHAVSWFTGEALVDEDEYNDDDENDD >A10p014720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4032779:4034338:1 gene:A10p014720.1_BraROA transcript:A10p014720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSNRFNDEVTVPFLPNISLHEKLHLLKNFFALLSLFAIDAISILRIAYPLIITNVLLYFRSFISMFFLAGLGGPTLAGGSLALAFANITAYSFFSGLTMGVDSICSQAIGATNYKLFRATIYRGIILLLVTTLPVFLLWINIKRILKLLKQDEELASIAHTFLLYSVPDLLAQSFLHPLRAYFRTQSKTLPLSVCTGIASVLHFPITFLLVSYLGFEIKGIALSGALSNFNLVVFLFIYIAFFEEKLSKDEKVSEESYEHSVSEWKKLLGLAVPSCVAVCLEWWCYEIMIVLCGLLINPKVSVSSMGILIQITSLVYIFPHSLGSAVSTRVGNELGSNQPQRARRATFVGLGLSIALGLMALIFTFSVRKVWATFFTDDEEVINLTMMVLPVVGLCELGNCPQTTGCGVLRGSARPMTGANINIAAFYVIGLPVGMVLTFWFGFGFMGLWLGMLVAQISCMIGMMVSMCRINWPLEAERARELTATERAREPKTTDGVISFSNVEDMEVGRLVIREE >A03p045900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19280866:19282827:-1 gene:A03p045900.1_BraROA transcript:A03p045900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLESSSCKALDDLLGGGIETSAITEASGGFRSGKTQLAHTLCVTTQAGFIPKLPKNMKGGNGKVAYIYTEGTFRPDRIVPIAERFGMDPGAIICARAYTYEHQYNLLFGLAEKVSEEPFKILIIDSIIALFRVDFTGRGELADRQQRLSQMLSRITKIAEEFNVAVYMTNQVIPDPVFGMFISYPNKPAGGHLLAHAVTIRLSFRKGKGEQRVCKVFDAPNLPEAEAISFFNKSITTCYSSIMHNFVFLNKKKAHLPDYFQRRCRCQRLEPA >A10p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10048215:10049979:-1 gene:A10p007080.1_BraROA transcript:A10p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHMKSESSSPRHGYNHKSGWLAGMLHVFDFYRWRTKNRPTCWKTPRTHSLVYETDEHQPFLDSKNGDSKIVSAEKPTRQLTKMMTTKQVTEYIDFLEILRKEDVSVKILKDPNSEFRKQVHIKSSPRVLPKSGSFPLSRSSRPARIEHKLKENWYAPEQKDTVLTLTVPSESYQEHKPTLPSSYGSADEHGFNHAVINGFREIKKMLKNALKDRKQTKKNKKYSAGSKDDSVGRYHLLEQSFGRKSGDLRSKSLKLSYEEKKSQLTDANKPQFFRRVSSLSSLEVLGSFLTEPPRDSSTRKSVDLDTSLGAKKSISLSESPLITEKDKEQEERSQENQVSLQKGPDSAPSSLDKTAQGTETLLSQGLGLSSLESYNHEEEEDEDANFCYVKKVLQLSGFLENVYNGEKWYSEEQPLNPSLLYEVDMKEEEVSRELLFDLVNEAITETHNQSHIYFPKTFTFSYPNEKRFLDEVWGRVEWSLSGLGAGNRDRSLDDIVGRDLIKGDGWMNLRGETEWLTLEIEDLIFYEVLDEMICVY >A04p026210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15850923:15851658:-1 gene:A04p026210.1_BraROA transcript:A04p026210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILQVPSSAPSSLWNTRNTKTRFFFLSSIQTSSESNTNKFRCRAVREKAEEKNTSPPSPEEVTKKYGLEVGLWKILTSKDEESDGEKKKKKKSKTDEAKELLAKYGGAYLATSITLSLISFSLCYALVTSGVDVQALLLKVGISTNETGEKVGAFALAYAAHKAASPIRFPPTVALTPIVANWIGKKVDKEKDE >A04g504110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8581810:8582040:1 gene:A04g504110.1_BraROA transcript:A04g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIITFSVELFPPNIKINGGNIYVGRRNNLGEGRSNARQRRRHESGRVWSGVALLTSISFTGCHRESLGFVVSWKL >A01p013320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6511150:6512865:-1 gene:A01p013320.1_BraROA transcript:A01p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLHKTIGLFKRFSTSSASDWKTQLTLFRSATEISSILLQRRNWDTHLRYVKSKLPKATLTAPLFLHILRETRNSPRTALEFFDWAKTHLRFEPDLKSHCRVIEVAAEAGLLERAEALLRPLVETNSVAILVGSMQRWFEGEEGSLSISLSLAIECYSLKGSYQNGLEVFSSMRGLRLSPSVNAYNSLLDSLVKEKRFRAALCLYNAMIRNGVVSDGFTYDLVAQVLCEHGKHKSVVKLMATGVESCKIYTNLVECYSRNGEFDEVFSVIREMDDKNLELSFSSHGCVLDDVCRLGDAELIDKVLVLMKDDSIVNDQIIERLCEMGKTFASEMLFRRACNAGTVRDQTYGCVLKALSRNGRRKEAVDVYRLICRRGVSLLDESCYNEFANALCIDHDDSEEEHELLVDVIKRGFVPSTQKLSEVLAAMCRKRRWNQAEKLLDSVMEMEVYFDSFSCGLLMERYCRTGKLEKAMELHEKIKKMKGSLDVNAYNAVLDRLMMRQKTLVGEAVGVFEYMKEMNTMNSKSFAIMIHGLCRVKEMKKAMRYHDEMLKLGLKPDLASYKRLILGFK >A09g516990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49868912:49869453:1 gene:A09g516990.1_BraROA transcript:A09g516990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVPSRSQTDETMIDVAKSKDSQEQPEPVKANTCDCSPVSILKPLTPPETPNKESPTIGSSFVREKLHPGAAMTTEERAGVTIQKGFVNGA >A06g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29712:30494:-1 gene:A06g500030.1_BraROA transcript:A06g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLWWFVSDLGSSPFCTLVVSLGSLLITPKNHVSLSVDGLLRRRDRYHRYSSASMSPSSAPANLLLFSSFDLVSVTVRCSLSHVKPQLLQSSYLSPPSQTDSPDFKLNSLATARSELLCSFNGFLSQFSWASGPLHRLTGGSSSSFFLRSSDLSPSPGRTVTETLSCTLLKIVSSLQQPTSWSPPFPMPSSSLVSPLAVLHIWDLFLPEPPPLPDPPDPPDLASDSDLVLCPLLSSGFLLESSHCKLIIVSSNLLWRV >A01p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3120301:3122223:-1 gene:A01p006070.1_BraROA transcript:A01p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPLPRHNQTDHQTKQIILVHGPIIIGAGPSGLATSACLSNRGVPSLILERSDSIASLWKTKTYDRLKLHLPKHFCRLPLLDFPENFPKYPSKNEFLDYLESYASHFGIAPRFNENVVNAAFDTSSGLWRVKTLNKTEYLSKWLIVATGENADAYVPETPGIVKFSGGKIIHASDYRSGEEFRQQRVLVVGCGNSGMEISLDLVRHNASPHLVVRNTVHVLPREILGLSTFGVGMTLLKCLPLRLVDKFLLLMANLSFGNTDRLGIHRPKTGPLELKNVTGKSPVLDVGAMSLIRSGKIKIMEGVKEITKNGAKFMDGQEKEFDSIIFATGYKSNVPTWLQGSDFFTKEGMPKTPFPNGWRGGKGLYTVGFTRRGLLGTASDAVNIAGEIADQWRDEIKGPIKNMCSSRFVLISKS >A03p022170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9328040:9330088:-1 gene:A03p022170.1_BraROA transcript:A03p022170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNKGEFVLKRKTTTTSTRGKKKKKATPYTSDDVILASLSLPDDLLVMIVARVPILYYRTLSLVSKSFRSMVVSPELYKVRSVLGLTESCLYVCLRFGLGSYKWYTLSSKSKSSGGYVLASVPMPGDDSPRVAGLGFGYSDLVAVGSDIYNIGQADKTTPTSSVSILDCKSHMWRKAPSMPMELGSLSAIVLDRNIFVLGRRYHQYASWKSLLQVFNTNTQTWDIPCCVLDQASHLFNFNGNLHAVNIFGWVFAFNSKQGKWDQLVEIDPSVGEIKYSESYCEIDNVLYSVSKEGALRWYDTDKTRWRDLKGLVGLPKLPRGFSGYGSYVKLTAYGVGKMLVFWSRKSNILVSHMETIYCGEILGISLYCAVIALKKDKGDCWGKLEWYDGVLSVLSGT >A07p028740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16212631:16213228:1 gene:A07p028740.1_BraROA transcript:A07p028740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLLAAVSSDLEPTEKALFTESTLSQLGFPNEFPYEFDSSAFTSPGDSTETEDETSEDEDDFFAGLTRRLALSTQRLPSPPPFLKAAEVNSTESTRSGLVGLTTSGNKSPNGPFSQAPSRPESPCVEEDSLKVVSAAAGEVAKIKRANLDSSRHRSFIPFPQNAAFGSYYY >A06g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23975253:23976228:-1 gene:A06g508500.1_BraROA transcript:A06g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVASCLLSAKLCYELNPQVKQPQLVFNPPPAASHVLKPTEKPREFQREREKEEQKNQPVCCFEKDQKLQAYHGEEDQLRPSSPLVHLGPFSFVQEELKSCPSQFQDCSLGESRQMLR >A08p001770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1066205:1066603:1 gene:A08p001770.1_BraROA transcript:A08p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIIALIVCGCFAIIVVGLILWCLQNRKKKKAWSPSPPPPVSDVEKCRSSVVPRDGGLLVLTGTAVTTPVVAAAVTTGISKDISGGSGGDEGGGECDGGANGGGLLWLWRFWRAWRVWRLKILYISLLVM >A05p043500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26242000:26249620:-1 gene:A05p043500.1_BraROA transcript:A05p043500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLTTELVEEILSRVPMTSMRSVRCTCRNWNTICKDATFTKKHLSQTTTSKGEILTVVMMNCSLHLMRVNLQRGGLDHPMRRKNVEKPTRNAAYIVGFGESSYFREVDLGKITTGKEGFPHACSYVPKPNVGPVSFQGAFLPAGDFSKQPCLKMNNLSKDLLEDILSRVPKTSLRKLLSTCKKWNTLSKDETFTKKHLAQSPPRTSKGEILAIVLINCSLRLMSVNLNDFDHPSIKLTGTLISLNSSDKLGITQVYHCEGLLLCVTEDYTRLVLWNPYTGEARWICVDHSKYAHALGFEEGNSCRAYKVLRFAHRVHEIYYVSSDSWRVLDVTPDWKMEVDGESNSNNYGVSLKGNVYWFAQDKEAGGNVPGFLLCFDFTTERFAPRLPLPFESYFEDEVTLSSVGEEQLAVLFQNLHTYEMEFFSGGSFLIDEERRVVVVFDGDKNVSETSRNTAYFIGEDEYFKEVDLGEVTTCEVFPHAFSYVP >A05p010850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4601478:4602200:1 gene:A05p010850.1_BraROA transcript:A05p010850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L24-1 [Source:Projected from Arabidopsis thaliana (AT2G36620) UniProtKB/Swiss-Prot;Acc:Q42347] TELCRFSGHKIYPGRGIRFIRSDSQVFLFINSKCKHYFHNKLKPSKLAWTTMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALRDIKERIKKTKDEKKAKKAEFASKQQKIKANIPKTAAPKAAKLGGGGGRR >A03g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19090094:19091382:1 gene:A03g505310.1_BraROA transcript:A03g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHTYQYQETMKRGFLDPSRKEPAGLCTIRKSTREESIDTLQEASIDSLLDYIMTKRNEQHVSGELSRVEDAGTENATSTSIDDTTSTSLDGTTSTSTYITTSTSIDIPTSSSIDDIDREVTMEDSSELEE >A05p029550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14808311:14810690:-1 gene:A05p029550.1_BraROA transcript:A05p029550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGLRITLYTVGYGLDEVENEQLMGLYLGNIDHRMQRFSNKYLDLQSKSAYIAKSLTKIGQASMNQALMVVATKSCSLLFDLYPRIICEAIINGCSHQRKRVINLSTLGFTFQTCLKNPIPCIPSPKTSSCVKFSVGGQFWFLQTISASVNS >A02p047060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29445066:29448791:1 gene:A02p047060.1_BraROA transcript:A02p047060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EETKLRVFVTPITVSLVSLNPRVSLDICRPMQYEGDDWYKTQLAEEKQEFPAFPYEPYSIQIDFMNALYRFLDKGGVSMLESPTEVDESEPDWIRNFTVNSHYDAKTNRKSPFGIRKHAKEKRDFDARKEEEVSIRKHEAGDEANEQEFCLEEYESEEDSSSKRKPVGGGFYTSSEDEEDGSDEEEEGGGGGLKVFYCSRTHSQLSQFVKELRKTVFAQKVRVVCLGSRKNMCINEVADVLKLGNVGRINERCLDLQKKKTSLASKKKKNLGTNVKGGRTKASSCRCPMLRKQSLQREFKAEILQQEAMDIEDLVQLGREMRTCPYYGSRRVAPAADLIILPYQSLLSKSSRESLGLNLKNSVVIIDEAHNLADTLLSMHDAKITMSQLEDVHSSIESYLGRFQNLLGAGNRRYIQTLLILIRALLKPLATTSDGNLNSGNSGLDSGNPSKSKPCGGCSMAINDFLFSLNIDNINLVKLLAYIKQSNIIHKVSGYGERVAMLQKDPAAHEEMSKLSSFRAFSDMLVALTHNNGDGRIIVSKMNSSTSGQQGGYIKYVMLTGAKLFSEVVDEAHAVVLTGGTLQPIEETRERLFPWLPSNQLQFFSCSHIVPSESIMPIAVSHGPSGLSFDFSHSSRSSTEMIQELGLLMSNLVALVPEGVIVFFSSFEYETQVHTAWTNSGILRRIMKKKRVFREPRRNTEVEAVLRDYKEAIESERGAIMLAVVGGKVSEGINFSDGMCRCVVMVGLPYPSPSDIELLERIKHIEGLGDSGSSKPCLTLVDDSYYSGDVREGFGVLRSCRRRGKEYYENLCMKAVNQSIGRAIRHAKDYSAILLVDARYANDPAKRTSHPSSKLPKWIKDHLIYSTKGYGDVHRLLHQFFKHKNVQKSS >A04p007370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8913842:8915367:-1 gene:A04p007370.1_BraROA transcript:A04p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABE1a [Source:Projected from Arabidopsis thaliana (AT3G53610) UniProtKB/Swiss-Prot;Acc:O24466] MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPKAKGQALADEYGMKFFETSAKTNLNVEEVFFSIGKDIKQRLADTDAKAEPQTIKINQNDQGAGTSQATQKSACCG >A02g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3033894:3034417:1 gene:A02g500990.1_BraROA transcript:A02g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLSFLGIGDAKISIPATVVLPYMFSPAEMRVGLMRIYVPRKRKTRENAKRQIHASLDDGSVNHAAVRDFDLEPEWLDQFAAEVEAE >A03p016490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6580697:6581335:1 gene:A03p016490.1_BraROA transcript:A03p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSDSPTTGNKQTFNVPSIALPSKSSDQSPQTSPGSSSSPSPSPARITATRTSGRHSFFRGIRLRNGKWVSEIREPRKTTRIWLGTYPVPEMAAAAYDVASLALKGSESVLNFPGLVLSYVAPASNSAKDIRAAAARAAEMKQPGKEEEEEEEEALYSSLEFMEEEAMLNMPSLFTEMAEGMLMSPPRMTDLWMDDSPENHEGDSLWSYK >A02p002380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:995003:995542:1 gene:A02p002380.1_BraROA transcript:A02p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHDFMNVESFSPKEGPIRLFGFEFGTSQEESESSESINEVNTTNNNKDKRFKCHYCSRKFPTSQALGGHQNAHKRERQQTKRFHLHSNAAAFFHRNQYHFAASRFFEDHFSLEAARINDARLGLLRRYNSSKSCDDHDRTSYQTRPTYGGGGGKSRHDLFYESKTNVTDHVSLDLRL >A06p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7928042:7938025:-1 gene:A06p017640.1_BraROA transcript:A06p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSSEKKEEGMEEKGGGGEWLFCGATSWDVTGRLDGNLLSPTRLRPLVGVNIFVSSPLVAVVATPGDATRHKIVKAGAGRSHTVVVSDDGRSFAFGLNKHGQLGLGTAKNGFVSVEVESSPLPCVVSDEVTNIACGAEFTVWLSSTEGSTILTAGLPQYGQLGHGTDHEPRPKAIASLAGETIVKVACGTNHTVAVDKNGFVYTWGFGGYGRLGHREQKDEWSPRRVDVFQIHFVLPPNAIVSAGSANSFCTGGQLYMWGKVKNNGENWTYPKPMMDLSGWNLRCMDSGSLHHFVGADSSCISWGHHAQNGELGYGLNGQKSSVAPKKVDILEGMHVMGVACGSCHSMLEIYDGKGSLEESVEPKEETVATMKQQPAKRGASKKMKASKASSDFEQDSNEENSEDGEEANGKKQGARGRGRGRGRRGRGGRTGSGKASPVKTGGRRGRPRGGQLYMWGKIKNNGDDWMYPKPMMDLSQDFIDCDGWNLRWMDSGSMHHFVGADSSCISWGHAQYGELGYGPNGQKSSAAPKKVDLLEGMHVMGVACGLSHSMVIVDRTDIADRLEQLEIYDGKGSLEESVEPKEEAVATTKQKPAKRGASKKRKASKASSDSDEENSDKEKEAQGSDSDYSDDDGEEEANGKKQRTRGRGRGRGGRTGNGKASPVEEDVDLASLRNHSDSKK >A09p017540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9088070:9088534:1 gene:A09p017540.1_BraROA transcript:A09p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSESEKHKRQQHFDHDLKNMISSLTHMGADKAGPSQNEEQENGEEDVIRVITLSGTNLGATMKTELDDNHVDSYKNGDHELDSLTTFVNSNFQAVNNSLMIGAKDETHDPGVHLDISGDVEKPSMKAPARGTRGKKDKNPVRRDRRESEHTD >A05p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10742681:10743900:-1 gene:A05p022440.1_BraROA transcript:A05p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVRWFKGLFSTKKRLVSGDGSDKEKEQDKNAIAVATAAATAAEAVVSGAKAAAEMVRLTGEGRAGDIITWEERWAAVKIQKVFRGSLARKALRALKGIVKLQALVRGYLVRKRAATMLHSIQALIRVQTAVRSKRDRRHKKEYSHMFQPRHSFDKFDEVAREERHQKIVEVHDMFKRRPKPRQTHNLVPMSEYEDGFVYRGSDLELNLPKEKWKSGTAQSTPRLSSSSKFATTPRLTSSSHHHTANNNRYYVMQCPSKRVCGNAQSGYGMNTPGPGYMENTQSFKAKQRSHSAPHRLSERNRLSLDEVIASKNRVSGGESLLQQQQQRYSCSSYMIL >A09p055780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47650856:47651448:-1 gene:A09p055780.1_BraROA transcript:A09p055780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDMHNSINGAARISFSNEFVEIRSEKNSTKSNNVNTRSSFSKTPDDFEFSVTDYAMIPADEIFLKGKILPFKETTHVHRTLREELLVDEEGPVDGNIFSIRPLFLPSSSFSSKGTWKELLGLKRSHVRSKMPDKLDEKTISGNAAMWESQVGDT >A01p052160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29209379:29211276:-1 gene:A01p052160.1_BraROA transcript:A01p052160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQEVYKFNVPTFGPGVVFDVDYTVRQEQFRFFSEALRVNKLKAYVDMMITEAEGFFSNWGESGEVDLKEELDSLIILTASRCLLGREVRDQLFDDVTALFHDLDDGMLPISVLFPYLPIPAHRRRDRARVKLCEIFSNIMLSRKHSGKSENDMLQCFIESKYKDGRQTTESEVTGLLIAALFAGQQTSSITSTWTGAYLMKYKEYSSAALDEQKKLIEKHGDKIDHDILSSEMNVLYRCIKEALRLHPPLIMLMRASHSDFNVTTRDGKTYDIPKGHIVATSPAFANRLPHVFKNPDSYDPDRFSPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEMDWNAMVVGIKGNVMVRYKRRQLVLD >A05p055220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32631007:32633532:1 gene:A05p055220.1_BraROA transcript:A05p055220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.9 [Source:Projected from Arabidopsis thaliana (AT3G01350) UniProtKB/Swiss-Prot;Acc:Q9SRI2] MDMEQKTRGLGKSCALLIVIAGMERYAFKGVASNLVTYLTDVVKMSNSRAAKTVNTWAGFTSMLPLFSAPLADAYWDRFFTILASSSVYFVGLVGLTWTAFAGSRSATKTISTYFLYSSLCLVSIGLGVLNPSLQAFGADQLDHDLDKEFELLSGDQKDAKATRKTQFFQWWYFGVCTGSLMGVTVMAYIQDIFGWVLGFAIPGIAMFLLILLFLSGCGIYVYGAGTGLKTKTTPTPFEKILKFIKGRVVKKKKKQRSTYTLADEDDLDAMELELQERPLCECDDDAEPEDIEKASTTTPQKMDDESSKTGFSGVDTIKLVLRLLPIWTMLLMFAVIFQLPATFFTKQGMTMKRNIGPSFKIPPATLQSTITLSIILLMPLYEKILIPVTKRIKNNGQGISVMERMGVGMFLSIIAIVIAAIVERKRLDISQKVKTLPDYDPETVPFSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMGFALYTSVFGVGSFVSAGLISVVEAYSTSTGEGQNWFADDMSEARLDKYYWLLALTSTISFVVYIVLCKYFKSSSDQGSEEEKAPK >A09g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21921853:21927964:-1 gene:A09g507570.1_BraROA transcript:A09g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVQKQVELKMNCLNELLTKEMDKSKLLENQLADNLKKVRMLTTGTTTLDHLLTIGQCPSSNWGLGFQGATSKSAEETVFCKWEATSVSLLWTLKDILEYVFWRGALVAVSFVSCIAYKDMIINLTTSLSHTLCCIQVLHPLSLSPAHSISLTGNMQPTRRSSRLMKLKNVESTPMNPLDLSSGSSSGKRSRRRVSAGDTAPLPPNNALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPSRRPMTERFFSIEATERFRELRRRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIWEFLANLPEAEERDDGVAVYVRGSLVEFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKLVYDQIVAMAANTLTEKTRCIMFPNLIQQVIHFQRTITPDLLHDECTGTPKLVVKDVKAGRGSGADSSAASLEDDINRAITGLKAIRVRLRRGDYEQHVPHPGFEENDEQDEDEEDA >A07p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17666043:17668868:-1 gene:A07p032110.1_BraROA transcript:A07p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAARLVGDYELGRRLGSGSFAVVWLAKHRSSGLEVAIREIDKKKLNPKVRDSLLKEISILRTIDHPNIIRLHEAIETGDRIFLVLEYCSGGDLAEYINLHGKVSEPVAKHFMRQLALGLQALQEKHCIHRDLKPQNLLLSSKEVTPLLKIGDFGFARSLTPEAMAETFCGSPLYMAPEIIRNRKYDAKADLWSAGAILFQLVTGKPPFDGTNQFQLFHNIVRDTELKFPEDALNEIHPDCVDLCKSLLRRDPIERLTFREFFSHRFLQEARQTPGVVHSDSTGKSSLPSGQLTSTNRFKASAENVYKQGSSSSASPSHIVSSEKTRKDTEGQSSSNQFGVLDSLELIEREYVLVNRPSEGSSDCFDTSLQDSGTRNLLPKNDKGSLEAQKPASGSSYLLTEVQRLTIVHPPTKLQLLHQYAEALAEVAREMGNAGQVKESFAVTLVVLAAWRKALEICDSWMMSVGELRVNPADRTTAPETSNPDLNSPAVAKTWVTQEFVTAFNQAETSSTQLNQTSAATHMPDAMETIYEKALAYGKSGGAEEYLNNKESAGKLYKKAILLLSFIIEEAVTLPLNPPFSLTSDDKKRILYYISNLQHRRSHL >A08p044290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24508116:24513468:1 gene:A08p044290.1_BraROA transcript:A08p044290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISCCGDYSDASPVAEMATESEWFALRLLCVVFFFFGSVLLLCYFLYPKLPKAAAGDEESGEPLPPAIILGKIDGGGITTDVCVICLEEFRRNDAVRVLVTCRHVFHVQCIDSWCLYKLACPSRMKTLNKLMLVACATFSIIHFVMSQNQQGFISLDCGLPSNEPPYNEQFTNLTYISDANFIQGGKTGYIQNNSETVSVSKPNMVLRYFPDGIRNCYSLSVTQDTKYLIRTVFVYGNYDGLNSPPRFDLYLGPNIWTSLDLKNSGLSETEEIIHITRSNSLDICVVKTGTSTPLISVIELRPLPYHTYTTRTGSLRTLAHLYFSYSDKIISYPKDVYDRIWEPYFQLEWTQITTRLNVTDSSNGYAPPRDVITTAAIPTNASEPLTIIWSLETSDDETYAYLYFAEIQQLRANETREFKVVANGRVDYDSYSPVSFKAYTVSNHASLKCEGAVCRLHLLRTPKSTLPPLMNALEIFSAIYFPQSETSIDDVIAIKNIQSTYNLSRTSWQGDPCVPKQFSWIGLSCNVMDTSTPPRIITLDLSNNNLTGEVPEFLAKMASLLVINLSGNNLRGSVPQSLLNRKKDGLKLFVDANIKQCGSCKPRSRVVVIVVSVSCVAIIIIVLVLIFLCRKRKRSSCKVTRPSLEMKNRRFTYSEVKEMTNNFQVVLGKGGFGVVCHGYLNNEHVAVKVLSQSSTQGYKEFKTEVELLLRVHHVNLVSLIGYCDEGNDLALIYEYMGNGNLKEHLSGKRRGSVLNWSNRLKIAIESALGIEYLHIGCKPPMVHRDVKSTNILLGETFEAKLADFGLSRSFLVGSNTHVSTNVAGTIGYLDPEYYQKNWLTEKSDVYSFGIVLLEIITGQPVIEQSREKPYIVEWAKSMIANGDIDSIMDLNLHREYDKSSSWKALELAMSCINPSSTERPNMTRVAHELNECLEIYENLSKRSQDANSTNSMGHGTSFISDTPSAR >A09p072970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55769948:55771754:-1 gene:A09p072970.1_BraROA transcript:A09p072970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILRNASSLRRSLLSSEVSTTRGGVVGTSFQLLRGFAAKGFLPLILLFIFLEAKKKSKSDGSTSSEEGMSKKEIALQQALDQITTSFGKGSIMWLGRAVSPRDVPVYSTGSFSLDLALGVGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGTCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVIVVDSVAALVPKGELEGEMGDAHMAMQARLMSQALRKLSHSLSLSQTLLIFINQVRAKLSTFGGFGGPTEVTCGGNALKFYASMRLNIRRVGLVKKGEDTTGSQVAVKIVKNKLAPPFRTAQFELEFGKGICKVTEIIELSIKHKFVAKNGTFYNLDGKNYHGKEALKKFLRLNESVQEELMTKLKEKLIVDEAADKESESEEEDSGRVLVSTENTDDEEAPALVVATATVVES >A03p014890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5893588:5894286:1 gene:A03p014890.1_BraROA transcript:A03p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTAIIIFCTTWSPNRTYPYTCLAKNPYKYTPILFFHLHKLKNKTQRLRSSSRETNSFRLQSNQVYYMVELDIHVPSAFDPFAEAQESDAPGTKEYIHVRIQQRNGKKSLTTVQGLKKAYSYEKILKDLKKDFCCNGNVVQDKELGKIIQLQGDQRKKVSHFFVQTGIAKKDQIKIHGF >A09p000920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:555105:557029:1 gene:A09p000920.1_BraROA transcript:A09p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVPLTTQKKIEETVKGILREADMDLMTEFKLRISASSILGFDLSGPNHKKLVRDVLEAFLLSNPPDDDETVAPVDPNPPPTATASAGGGEDERFICKLSEKRNATVQKYRGQPFLSLGESSKEDGKPFRGVHLSANQWSVIKKNFSAIEETIKQSESKSKSESKQNGDTSKHVEDNTSFSFSIIETSRFDGRGYLRWASQMELFLKQLNLSYVLSKPCPVTNTSQPDPAGKKWLKDDYICYTHLLNSLSDHLHRQYSKKFKHAKELWEELKWVYQCDESNSKRSQVRKYIEFQMVEDRPVLDQIQDFNKIADSIVSAGMFLDETFHVSTIISKFPPSWRGFSTRLMEEEFLPVWMLMERVKAEEEEVLRNGPQRVTYRPATGSCQMERMPPSIGRGWKRKEPERDGRVSIVCDNCGRRGHLAKNCWGKTVEERASGKPNRVTFSSTSPVGSETQATTKNDSRTIS >A01p028420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18314880:18316988:1 gene:A01p028420.1_BraROA transcript:A01p028420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPEIKRVRSESLGHRSEVSSPEAKVGMRVEDLWDEQKPQLSPNEKLNACFESIPVSAFPLSSHSQDIELRSDTSLAEAVQTLSKLKVLSAPVVDVDAPEDASWIDRYIGIVEFPGIVVWLLHQVSVSSNLLQELDPTSPRSPAVAGSDGVSHDFTADILDNGDSAVTSGNFFEVLTSSELYKNTKVRDISGTFRWAPFLALQKDNSFLTMLLLLSKYKMKSIPVVDLGEAKIENIITQSGVIHMLAECVGLHWFEDWGLKTLSEVGLPIMPKDHIIKIYEDEPVLQAFKLMRRKRIGGIPVVERKSEKPVGNISLRDVHFLLIAPEIYHDYRSITTKNFLVAVREHLEKHGDTSAPILSGVIACTKNHTLKELILMLDAEKIHRMYVVDDSGNLEGLITLRDIIARLVHEPPGYFGDFFDGVMPLPQNYRV >A01p059480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34170960:34172009:-1 gene:A01p059480.1_BraROA transcript:A01p059480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKTVKDVSPHDFVKAYASHLKRSGKIELPSWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGIARHILQQLETMNIVEIDTKGGRRITSSGQRDLDQVAGRIAVEV >A03p055690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24048497:24052064:1 gene:A03p055690.1_BraROA transcript:A03p055690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGAGGGGGGGGGGGGAFVVLIFVSFTISPATSTVPEECASESANPCVNKSKALTLKIIAIATILVASMIGVGAPLFSRSVPFLRPDGDIFTVVKCFASGIILGTGFMHVLPDSFDMLSSQCLEENPWHKFPFTGFLAMLSGLITLAIDSMATSLYTSKNAVGIIPHGHGHGPADNVTLPTKDDDSTNAQLLRYRVIAMVLELGIIVHSVVIGLSLGATNDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNLKKFVMAFFFAVTTPLGIALGIALSTVYRENSPNALITVGLLNACSAGLLIYMALVDLLAAEFVGPKLQGSIKMQFKCLAAALLGCGGMSILAKWA >A03p014720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5821345:5822013:1 gene:A03p014720.1_BraROA transcript:A03p014720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGSRLSRTTRYSGPSATAVFNGRVRKWKKKWVSVSTSSVGIFRASKPNGRSTSQHHMLLHKWTPLSSATVTASGETEEPPKKRFRYAPIAMLENREKVASKDSEAEALGEESDEFDNGESPLHKGFELDMNMTDTDQTKEAKTTRHWRLGLCLNSQGTEE >A06p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20595012:20596600:-1 gene:A06p038170.1_BraROA transcript:A06p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SC35 [Source:Projected from Arabidopsis thaliana (AT5G64200) UniProtKB/Swiss-Prot;Acc:Q9FMG4] MSHFGRSGPPDISDTYSLLVLNITFRTTADDLYPLFAKYGKVVDVFIPRDRRTGDSRGFAFVRYKYKDEAHKAVERLDGREVDGREITVQFAKYGPNAEKISKGRVVEPPPRSRRSRSRSPRRSRSPYRRRSPRRSRSPRRRSRDDYRERDYRKRSRSRSNERRDRHHDKDRDYRRRSRSRSASPDDKRRVRGRYDDERRSRSRSLSASPARRSRSPRSASPLKASPERRSKERSLTPASRSRSPRSPSLEKASPERKSNDRSPSPRDTARSQSPNAEE >A03p038790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16187686:16189128:-1 gene:A03p038790.1_BraROA transcript:A03p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMTEKEAMVDPFLVEALQNPRHRLTILRMELDIQKFFQNPDQLQFEFQPFPTSYLRLAAHRVAQHYGLTTMSLENGNGSLDGSDNRILVTKTAESRFPHVCLSEIPVKQPENGRPEGFKIAIKARPKRGSGSGGGGSGVQQNLLRSVEERKEEYDKARARIFNSPSSSDSEDSPPLEGVNRNENDVAVSNSSVDAGGSRTSRVAIIRDREKDRYDPDYDRSYDRYVADPAYRYVRVMPSGQSFSPMPMHMPFHDGGFLQMPRGHQANLNYGHPAMIPFINNPGGYTPWPNLPSMNYVQSLNRPDFRHPSASNP >A08p032520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19657911:19659966:-1 gene:A08p032520.1_BraROA transcript:A08p032520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSDDDKPTGNSSSSVWSSSTKMAPPTLRKPPAFAPTQAIIRPQSKPKPLPSQYKPPPPSSSSSQSILTPAASQPALVGVTSSVIEEYDPARPNDYEDYRREKKKKAMEAEMKRELDKRRHEEEERDRERPERERESFDQTPLNISGEEAWKRRAAMSGGGKRGRSSSPPGNNVDNGFSMGTSETSGLGVGAGGQMTAAERMMAKMGWKQGQGLGKSEQGITTPLMAKKTDRRAGVIVNASEKKVVKGVSISGEPTRVLLLRNMVGPGEVDDELEEEVGTECGKYGTVTRVLIFEITEPNFPTHEAVRIFVQFSRPEETTKALVDLDGRFFGGRTVRATFYDEVKFSKNELAPVPGEIP >A03p059560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25861924:25864162:-1 gene:A03p059560.1_BraROA transcript:A03p059560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHHLSLLSIALISLLCLYHALASSHFEGFDAEDDEDPTELHHSLTPPLLTQSESTVLDPEPDSTPITKPDPPPSQTGSNKLSPASFDFWDEDEFEGLPEDEPTEIPSPIASESPSDPQTPDPEDTATPEKKKLSSFTVEIVCVSILIAYLTNYFLGKRDNESLALSWASKFALKDAIFEKNFSLLGVGEGDDSPLLLKEATNVFKFYASGRRYCHGMLATLELKSRHDLISRLFNCVVPCKDEISFEVYMNDEGMDHVVFALARKKAAKGMFKEMRDLQRFGGMVGAPGGRKWVAEELAVVSESKEVAGDMITDVVLDQVFGDKSFEKFGKYFISMHFSDQHPGKHRKMLLFKFALPDAKHMDDMVRLIALIPYYIDLIGRYKLSSQARNKTDGARQKVAQEAYKELESVRQEALQRKKAEKKRLLEEAEAKLSGEALRKKEAKERARQMKKSMPKVKMSRGH >A08g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7650270:7650801:1 gene:A08g504650.1_BraROA transcript:A08g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSRIGSSTVVHRDRIHKELGHTIDLSSHVVKLDYLSPNVRPQLSLVGHEKVLIDPTTGCGSIHLSARRSMQLVSCRSTFLLGSVTHGFNVFTRLNLISLKLP >A05p019170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9018936:9019214:-1 gene:A05p019170.1_BraROA transcript:A05p019170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIACVSSCMLVVACVATHGRPHALMHASFTFQETPPRPHDSQHVWGSCVATHGHWMSVRMRYSKILRHLVLLLVKLHETLIPAETCRAS >A03p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16213564:16215046:-1 gene:A03p038910.1_BraROA transcript:A03p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVGEMFCNPYTTELVVRRRRESLKRDRFDVFDLSENLIFTIDGGTWNIRRKRVLRDATGLPLLSMRTKGIVTMRYKWEVYKGESTESEDLLFSVTEPNLFSIRTSLDVSLPTQQPSTDISSTIKPDFRTYGRYIGSSFKLFEPIHNTLLAEVVHDFSWGGLIIGKYSFKVRVNPYVDFAFVIALLVIADDTSNLR >A09g517360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51213375:51214337:-1 gene:A09g517360.1_BraROA transcript:A09g517360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVACTMEELQGDPGALGVADGTIKVWDVASGVLKVTLTGHIGQVRGLAVSNKDTSYMFSAGDDKQVKCWDLEQNKLFIQLWTVWDIRTKTQSFALSGHDKDVCSVFTPPTDPPQVVTGSHDSTIKFWDLRYGRTMTTLTNHKKAVRAMALHPKENENAFVSASADNINKFSLNPKGEFRHNMLSQQRATINAVAVNEDGVMVTGGDNGSLWFWDWKSGHSFQQAEAIVQPGSLESEAGIYAACYDQTGSRLVTCEADKTIKMWKEDDNATPETHPLNFRPPKEIRRF >A03g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24068857:24069274:1 gene:A03g506700.1_BraROA transcript:A03g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCPYRRTEYENTIQGCVHPSLIEKFGDGLHEGAIIEICKFNLQDYNKNYKISYHKFQIRLNERTAIACVEQQLP >A01p056380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30718336:30720108:-1 gene:A01p056380.1_BraROA transcript:A01p056380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWINHPSVISSRRCQSRSGGGYEDLEGEDESKSEFICPFCADVFDIVGLCCHIDEEHPVEAKNGSFYVQRRRRLRKGGYGSAYLALKKELREANLQSLLGGSSRFTSSTNLDSDPLLSSFMFSSPSTKSATRVVEGTSATKLSLKESLKREIQEAPLSGEDQEKSKKSEFVRGLLLSTMLGDSF >A03p061220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26627206:26629895:1 gene:A03p061220.1_BraROA transcript:A03p061220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIOX4 [Source:Projected from Arabidopsis thaliana (AT4G26260) UniProtKB/TrEMBL;Acc:A0A178V1J3] MTISIEKPMFVEEVSAFQKKSENNTRDLTMASEHDEAFLAPEMNAFGRQFRNYDAENERQKSVEEFYRLQHINQTVDFVKKMRAEYGKLDKMVMSIWECCELLNDVVDESDPDLDEPQIQHLLQSAEAIRKDYPNEEWLHLTALIHDLGKVITLPQFGGLPQWATVGDTFPVGCAFDESNVHHKYFSENPDFHNQAYNTKSGIYTEGCGLNNVMMSWGHDDYMYLVAKENGSTLPSAGQFIIRYHSFYPLHTAGEYTHLMNEEDKENLKWLHVFNKYDLYSKSKVHVDVEKVKPYYMSLIRKYFPENLRW >A05p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27605772:27609430:-1 gene:A05p046480.1_BraROA transcript:A05p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIRNQKAKKEEIVATEANESPSRKRRQILNLGLSIRFMATTPPSHATDLIPSEEQPANLDIMKEQPVPGNNESSQESTVVVHPAKVAPLSGPYGITGDLAGHLPSSILSPQAQGFYYTGYENPTGEWDDYSSYVNVEGLDITSPVGFNENASMVYQTGYGYNPQMPYGPYSPAASPLPSEGQLYSPYYQQVVPPSMQYISSPTQPELTSLVGVDQQGDNMGGPRPSYHPHPIGPFNGNQPNLGFPEWQQGFDGGIWSDWSKPSDMHRHSSHVSPALSPQPLGSFGSYGHNIPMGSQRQRSFYGYGSGSNSYNRGYMHSGGHGQGSNYGSRLISNVSMGNQSWIGVDNIRGRGRVSDPSSLGGGYNGNFDILNEQNRGPRASKPKTQVSSEELDSSADTKKHNKSSTKEHEDSNNNSNDFVTDYNNAKLFIIKSYSEDNVHKSIKYNVWASTANGNKKLDAAYREAKDEKEPCPVFLLFSVNASSQFCGVAEMIGPVDFEKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEQGIEMLKIFKSYDAETSILDDFEFYEEREKIIQDRKARRQPSLPSGVVESGDKPSSAAALQTDLIKNMSKSFAQVVRLDEAAKTSSPPDATTTTVAVSQSN >A10g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5078091:5079438:1 gene:A10g501890.1_BraROA transcript:A10g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWKQEEGTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHEGSEETDNYYERNRHSSDSRHSS >A07g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5368549:5370986:1 gene:A07g502640.1_BraROA transcript:A07g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSCLLQLLVSFFMEGKCSATCAAWCAEACHQLSNLSFVFCWSKPSSEATLYDIKYPLLSSGRPSWRFGVLKLRITTHVLQPLILIGKDCSDQPDPCGGFKSRIFQKSSVISLSSSLVFPSQSHGIKGDQKYSENLGSTIEEHQPCHFRSTMIGGVTIPFTQKTAASERVVFTGVARYCTPERLREHAKEGTNAISYEPDVEKTLRRNTSSNRTEITHSLICFMVILA >A09p001220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:957543:959802:-1 gene:A09p001220.1_BraROA transcript:A09p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRKKGDGDGEEMESEVRGRPSFNTAERRNVILPSMIKNKDKRAKVYAKQKHEKKVEKQKKIKARDAAEKQALELGEEPPQKMVPKTIENTREADETVCRPDDEELFADIDADEFNPVLRREVTPKVLITTCRFNSTRGPAFISELQSVIPNSDYRKRGTYDLKKIVEYAKKKDYTSLIVVHTNRREPDALLIIGLPNGPTAHFKLSNLVLRKDIKNHGNPTSHEPELVLNNFTTRLGNRVGRFFQSLFPQEPNFRGRRVVTFHNQRDFIFFRHHRYIFDVKEDKQSGAKGKDGVAKERVKPRLQECGPSFTLKLVTLQHGTFDTKGGEFEWVHKPEMDTSRRRFFL >A04p039800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22503517:22504413:-1 gene:A04p039800.1_BraROA transcript:A04p039800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRSPPTWLKTTALDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >A07p038760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20602386:20610704:1 gene:A07p038760.1_BraROA transcript:A07p038760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKMWRNIFGRSPLRKFKPFSGSPSGNKTHSILSSIELVGSLQNRPPTNPFHQLGRASSVLHGQRFAFATATQATIEDDSSSGSEEVNELMTETGTGAQKKYHSLKQRQVRLETEEWERAARECREIIEDMCEQKLAPNLPYVKSLFLGWFEPLRDANQADLDAFKVKRGRIPYAPYMEQLPADMMAVITMHKMMALLMTNAEGVGVVRVVNAATNVGEAIEQEARISSFMQKGKMKNATSNTEAVDALGETGTKEAVKLKKQINTLLKKHKVRQVSGIVKAHDSFKPWGQEAQVKVGARLIQLLLETAYIQPPVEEFDDGPPEIRPAFKQSSKNVTLENTKLSRRYGCIECDPLIRKGLDKSARHMVIPYLPMLIPPRNWTGYDQGAHFFLPSYLMRTNGSKQQRIAVNKTPKAQLDPVFKALDTLGNTKWRINKKALSLVDRIWANGGRLGGLVDRDDVPIPEEPDSEDQEELKQWKWKFKEANKENSERHSQRCDVELKLEVARKMKEEEGFYFPHNVDFRGRAYPMHPYLNHLGSDLCRGILEFCEGKPLGESGLRWLKVHIANLYAGGVDKFANEDRVAFTESHLEDIFDSSDRPLEGKRWWLNAEDPFQCLAACMNLSKALRSSVPEAAISHIPIHQDGSCNGLQHYAALGRDKNAFQAEKDLQKVMSIYKVSQVAEDSSSETPHPPPSTSSYSSYNLFSRRFFSLHGPDLFAASANWFLVDVVFYTSNLLLSQIVNLSDKPANMTNVYDSAFEAGQAAKVAATDSPFISSIESVEVLKIMQKDAEEDPETFPYAKLMLDQVDRKLVKQTVMTTVYGVTYSGARNQIKKRLKERGAFADDSQNFHAACYAARVTTNALEEMFGAARAIMIWFGECAKLIASQNKPVCWTTPLGLPVVQPYRQPGRHLVKTTLQVLTIKRDTEKVKARKQMTAFAPNFVHSLDASHMMMTAVACNRAGVHDSFWTHASDVDLMNKILREKFVELYDKPVLENLLEFPEVLPKPKVSTTARTRRL >A10p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22037682:22043149:-1 gene:A10p039860.1_BraROA transcript:A10p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PTST homolog 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G03420) UniProtKB/Swiss-Prot;Acc:F4KFB3] MTTTTGSNSNHNNRPDGSVTNNNNNPSNRGTVVSAQSVSTSESMGSPSSRSEQTTTVATTSATDSAFIQLNNLDIQGDDAASQGPSGVKKKKRGQRATGPDKTGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFALPNNDGTPPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWRGLPRTSLSDIEELKAERLSLRNRIEKKTAYAQELEEQYVGLQNLIRRNEHLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNFVLKTMKFCEQPPFNNGHNNNSQQTNILMLENNTEGISTDPVPPPQPADMYQSHPQLHAQPRVIPTPVNNNDAAQVASPPVSSVIDAGNFGVRQNMATLSFISLAPFHNRRNPMFYLHRHQQQRQLLFVSRLQKKNAFVCFACSSIKQTRVRKRVKSNEELRNEILEFVASAGLPPGHVPSMKELTARERVDLANVVRRRGYKFIRELLASSEDCNELTSPSGVSLEDSLTEGQDEEALESTEPPSSTEIYSAETVASVSLDQRADSFPEEVDVGKSNPEAEECGSVRQDESSLAAVSDLGDSSYSAEITESNLQIESVELSNVAETESSSSEASVSENHSLVLDDTSSSSEREADNVLVTEEDEEVNDVEEDSPLTYDHYTSQDLNHTEHVVESVATESLSGDKDWMLGLSSSTSSIEEKTTRFLQNGYLDTVEDDNESSPEETTKGGEYSHGGQRSVSVAPNGSALAYEEVTYATEANNSQRNRDQRYGNAELDKDSHDETMKRENQVEITRLRFMLRQKELELSRLKEQIEKEKLSLSVLQRKAETEIQKAQMLVSQKDVELQEAEESLSGLQEVEIEYCGDGNVVEVTGSFNGWEHRVGLELEASKSTGKQKCWSTLLWLYPGTYEIKFIVDGQWITDPQRDSVTRGHITNNILKVD >A10p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4222035:4226704:1 gene:A10p014370.1_BraROA transcript:A10p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIEIKKIENVTSRQVTFSKRRSGLFKKAHELSVLCDAQVAAIVFSQNGRLYEFSSSEMEKTIERYGDFSNEYFVLGRPQVQPYLEELKKEMDIMVKKIDLLEVQQRKLMGQGLGSCSVAELQEIDIQIEKSLRIVRSRKAELYADQLGKLKEMEIKVLDERRRLREKEIRERLLRPLLPVTLHTEKGEPEGGYRTKHSSEVETDLFIGL >A09g512920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38939486:38939931:1 gene:A09g512920.1_BraROA transcript:A09g512920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQDAPGVLNSDFGQPRTHCFRTNTVSRLGKAGCLVAFSLTLFVPGFGDIRKLCVRSNQN >A05g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14383062:14385484:-1 gene:A05g505170.1_BraROA transcript:A05g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNRDLTLVTTLFILLQLCRIVSCSTSTLITKNLTIRDGDSLISEGEIFELGFFSPKNSTLRYVGIWFKNIEPRTIVWVANRETPLSDHNGALKIADDGNLVVVNGQNNTVWSTNVHPKLNNNVAVLLETGDLVLYSDSDRDTKYWESFNNPTDTFLPGMRVRVNPSMGENRAFIPWKSESDPSPGRYLMGIDPFGAIEIVIWEGETRKWRSGPWNSAIFTGVPDMFRVTNYIHGFKLSSPPDPDGSVFFTYVPSNKDDLLRFRIRFDGIVEQLMWNRDARNWTSLQVKPSKECEKYNRCGNYSVCNDSKDFDSGKCSCIFGFEPAYRNQWNKGNFSGGCKRRVSLNCSQSLFAKKEDGFRVLKGMKVPDFGSVVSINNSETCKDVCLRDCSCNAYEVVPGIGCMIWTRDLVDMEHFEYGGNNVNIRLAASEIDIFCNKEDNALLDIRKNRDYSVKSLSSLNEVLVEDQVDTPDLPTFSFNSVASATGDFSEENKLGQGGFGTVYKGQFSGGREMAVKRLSGKSKQGLEEFKNEILLIAKLQHRNLVRLLGCCIENDEKILIYEYMPNNSLDRFLFDESKRMSLEWRKRWDIIGGIARGLLYLHRDSRLKIIHRDLKASNILLDKEMKPKISDFGMARIFNYRQDQANTIRVVGT >A04p023750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14438136:14447355:1 gene:A04p023750.1_BraROA transcript:A04p023750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVMIHHRVFFCCTKPLSILPSLSPPHLHLSYSAAAAAASSPNRAIHCMANDSPLSQPLTGGDGSVSVPPPSPASSAIDFLSLCSRLKTTPRAGWGKRDVKNPESIADHMYRMGLMALISSDIPGVNTDTCIKMAIVHDIAEAIVGDITPSCGIPKKEKNRRESEALEHMCKLLGGGERAEEIAELWREYEANASPEAKVVKDFDKLELILQALEYEQEQGKDLEEFFESTAGKFQTDIGKAWAEEDSLPIIKSETVSSFSKSHLPSLSIFGLATITSTEPTLANLAEKCAVAIPEFSSADDDDISKIRHEFELAKQRFINIPEALSSMPKMNPQGIYVNKNLRLDNIQVYGFDYDYTLAHYSSDLQSLIYDLAKQHMVNEFRYPESCMKFKYDPTFPIRGLYYDKQKGCLMKLDFFGSIEPDGCYFGRRKLSRKELENMYGTRHMGREQARVLVGLMDFFCFSEACLIADMVQYFVDAKLEFDASYIYNDVNRAIQHVHRSGLVHRGILADPTRYLLKNGQLLRFLRSLKDKGKKLFLMTNSPYHFVDGGMRYLMEESFGLRDSWRDLFDVVIAKANKPEFYTSEHPFRCYDEGRDTLAFTKVDSFHPDKIYYHGCLKSFLQITKWRGPEVIYFGDHLFSDLRGPSKAGWRTVAIIHELEREIHIQNENSYRFEQAKFNIIQELLGKFHATVSNNQRSGTCQSLLDELNMERQKAREIVRSYVVTDTGQESAFSYHIHQYADVYTSKPENFMFYKPEAWLHVPYDIKIMPHHVKMTRTSFVIVVVLAICSFQMMESSPDLANKVKANNIQYDAINCRKHSAVITEFGAVGDGKTSNTKAFKEAITKLAPKAADGGVQLIVPPGKWLTGSFNLTSHFTLFIQKDATILASQDESEYPVVAPLPSYGQGRDAAGPTFASLISGTNLTDVVITGNNGTINGQGKYWWVKYRSGGFKNITRPYTLELVFSKDVQISNITIVDSPAWNIHPVYCTNVIVKGVTILAPIDSPNTDGINPDSCTNTLIEDCFVVSGDDCIAVKSGWDQFGIKVGMPTQQLSIRRLTCISPDSAGIALGSEMSGGIKDVRMEDITLLQTQSAIRIKTAVGRGGYVKDIFARRFIMKTMKYVFWMSGAYNQHPASGFDPKAMPEITNINYRDMTADNVTQPARLDGFTNDPFTKICMSNINIVLAAEPKKLLWNCTAISGVSSKVTPKPCSLLPENAPVDCAFPVDKIPIESVVLNKCSA >A02p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2180554:2184465:1 gene:A02p005050.1_BraROA transcript:A02p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGKPKFCPFRLSNDETVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYSERSLDVICKDKDEAEVWFSGLKALISHFRQRTRRTESRSDGTPSEANSPRTYTRRSSPLHSPFSSNDSLQKDGSDHLRIHSPFESPPKLDKALSDMALYAVPPKRFYPSDSGTISVHSGGSDSMHGHMRGTGMDAFRVSMSSAVSSSSHGSGHDEGDALGDVFIWGEGIGEGVLGGGNRRVGSSFDIKMDSLLPKALESTIVLDVQNIACGGQHAVLATKQGECFSWGEESEGRLGHGVDSNIQIPKLIDALNTTNIELVACGEFHSCAVTLSGDLYTWGKGDFGVLGHGNEVSHWVPKRVNFLLEGIHVSSIACGPYHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVFTPREVDSLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKCRLGHGNKEPKLVPTCVAALVEPNFCQVACGHSLTVALTTSGEVYTMGSPVYGQLGNSYADGKVPNRVEGKLHKSFVEEIACGAYHVAVLTAKTEVYTWGKGSNGRLGHGDVDDRNSPTLVESLKDKQVKSIACGTNFTAAVCIHRWASGMDQSMCSGCRQPFNFKRKRHNCYNCGLVFCHSCTNKKSLKACMAPNPNKPYRVCDKCFNKLKKTMETDSSSHSSLSRRGSINQGSDTIYKDDKLDSRSDGQLARFSLMDSMKQVDNRHKKNKKYEFNSSRVSPIPSRSSQRGALNIVKTFNPVFGASKKFFSASVPGSRIVSRATSPVSRRPSPPRSTTPTPTLSGLTTPRIVVDDTKRTSDNLSQEVVKLRSQVESLTRKAQFQEVELERTAKQLKEALAIASEETTRCKAAKEVIKSLTAQLKDMAERLPVGSAPARAIKSPSSLNSFGSSPGRIDPFNILNRPNSQESTEPDGVNTPIFSNGTITPVFGNGEATNEAQNEKEWVEQDEPGVYITLTALAGGARDLKRVRFSRKKFSEKQAEQWWADKRGRVYEQYNVRIVDKASEEMP >A07p003560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3237273:3240037:-1 gene:A07p003560.1_BraROA transcript:A07p003560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKDWSKNSYIDERVQEKSHSFATCKELKLLGSQGMYVVFAKYDQGLDALEVEDGMCVQMLFGFFDQWFQGSGANIELQRSSQHEETLIRTSHWRSRFKVGKHLFKKHIFTTTAKTSMCVFTLEESSWKSESEAGPARHVWVPKAKEQLGLRDKKMQAPKFFFWWSLCTGDSVAGHVTAEETRHRVEFDLEKAVRFDEYVQGGVLLDKYLFKSEDDSGWKHVFFRFSAWDSVEICFCISGIRGESKEPTKSDVQEKVFIQVIVKDNLRITVGLLAVEVVMGRASGKRFQGKATKESSGCIELNTYVMLGGNLFKEY >A09p081600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59325438:59327582:1 gene:A09p081600.1_BraROA transcript:A09p081600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVAGDRNGDGRSAAVTESSPPSDPPIRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDDTTHKYKGKTVMNDQERYESLRHCKWVDEVIPDAPWVINQEFLDKHRIAYVAHDALPYADASGAGKDVYEFVKKVGRFKETKRTEGISTSDIIMRIVKDYNQYVMRNLDRGYSREDLGVSFVKEKRLRVNMRLKKLQEKVKAQQEKVGEKIQTVKMVRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQEKLMRQESKELLETGQNGQHKDTEEQFYEEYFEHDIVDSCEDNEDDEEEYYDEIEEQCSASKALKSN >A10p033910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19762250:19763371:1 gene:A10p033910.1_BraROA transcript:A10p033910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFMATCHLKQPHTPEDKGRTCSRYTGQAVRAVPIRVITVGKKRAEGVRLLVDEYKTKLKPYCSFEDSLVRSNPRNAQDVRAQVEDEEVGIMKLIGPDDWVVVLDERGRDVDSEQMAELLGDAGNSGASRISFCIGGAYGHGREVRKRANVTIRLSSMVLNHQIALVVLMEQLYRAWTILKGQNYHH >A04p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19636048:19638135:-1 gene:A04p033800.1_BraROA transcript:A04p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLRLHLKPINIFSVFQSTEMETFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTSAKVDYEKIVRATCREIGFVSADVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQDIAENLDKWTTFVGQNAVTSSDAENLMLRITRNCNS >A09p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9262274:9263783:-1 gene:A09p017840.1_BraROA transcript:A09p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dTDP-4-dehydrorhamnose 3,5-epimerase/dTDP-4-dehydrorhamnose reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) UniProtKB/Swiss-Prot;Acc:Q9LQ04] MGAEANASFNFLIYGRTGWIGGLLGKLCEAQGISYTYGSGRLQDRQSIVADIETVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVAGTLTLADICREKGLVLINYATGCIFEYDSGHPLGSGVGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKIARYEKVVDIPNSMTILDELLPISIDMAKRNLTGIYNFTNPGVVSHNEILEMYREYIDPSFTWKNFTLEEQAKVIVAPRSNNELDATKLKTEFPEMLSIKESLIKFVFEPNKKTGVKA >A06p016510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7400499:7401143:-1 gene:A06p016510.1_BraROA transcript:A06p016510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily T member 1 [Source:Projected from Arabidopsis thaliana (AT1G18970) UniProtKB/Swiss-Prot;Acc:P92995] MASLFTYFLLTLCLFVIPSLSSDPDPLQDYCVGDLRASPSINGFPCKSLVSASDFFFSGLGSPLNTSNPNGVAISPANVLTFPGLNTLGLSMNNVEFAPGGVNPPHSHPRASEAGVVIEGSVFVGFLTTNNTLFSKVINAGEMFVVPRGLVHFQWNAGEGKARLITAFNSQLPGAVVLPSTLFGSVPEIPNAVLTKTFRTDDATVNNLKSKFAV >A02p060360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36032623:36034010:-1 gene:A02p060360.1_BraROA transcript:A02p060360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQSASTFLQAAKISTAPSRGSAHLRSTQTVGKSFGLETSSARLTCSFQSDFKDLAGKCSDAVKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYAGKKFCFEPTSFTVKAESVSKNAPPDFQNTKLMTRLTYTLDEIEGPFEVSSDGSVNFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLDASGKPDNFSGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNTAASVGEITLKVTKSKPETGEVIGVFESLQPSDTDLGAKVPKDVKIQGVWYGQLE >A02p009200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3806728:3809145:1 gene:A02p009200.1_BraROA transcript:A02p009200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLHLLFLLLVILSLHRPVLSDEEDLLLTTINKYRTSLNLTTLTPNDNADCLAEEIADQFKNRPCTNTTTSASVPGSEPQFSNYPNLLKRCHLNVTAMRDNYLKNLNDSKFTGIGIDSDDNWIVVVLLTTNTSEGSFSPAVIYHYAGDEEDILLKGINDYRTSLNLTTLTHNNNAECLADELADQFKNQPCTNMTGPASVPGSQPGFTEFPKFLTKCRLNITATRDGEIMPACVPNLDASLVLSNFTKSRYNQNLNDSKFTGIGIASDDNWIVVILTTNTTEGGYTPATKDSNSGAFAVGVNGVVSSCLLVLLFSFFMF >A02g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21532102:21534166:1 gene:A02g507790.1_BraROA transcript:A02g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVTVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDKMSVILKRIKHVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVLAKRTYDSIKIKEAHASHWRIG >A10g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8721279:8723097:-1 gene:A10g503360.1_BraROA transcript:A10g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKQTQFHYDNKLFIPTFPPHTGRPWLSISIHISTLVLGLSTLTLPVAYSDDFAPCRLSVQYTQDICGCPPAHTGRPWLSVCVRLCPSAHTGRLGLSISKHISTLFLGLSTLAFPWTVWDVRGRPWVSANTHRKSVAVISTHISPLVHGLNMLALPMDCLGDLARVGCLFSTHRTSVGVRQHTKDVCGCPWLSVSTHRTSVAVRVCPSAHTGRLWLSISKHISTLVLGPSTLAFSWTVWDVRGCPPAHTGRPWLSVAVRQHTQDVRGCPSKHTGRPWLSISTHISTLVLRLSTLTLPVDYSDDFAPRGLSVQYIQDVRGCPPAHTGRLWLSVCVRLCPSAHTGRLGLSISKHISTLVLGLSTLAFPWTVWVILAHVGCLFSTHRTSVGVHQYTYQHVGPWTQHADPSRGLFGTHGTSVGVSQHTHDIRGCPCVSVCVRQHTQDVCGCPSVHKSACWSLDSVRWPFPWTVWVNLAHVGCLFSTHRTAVGVCQHTHDVCGCLWLSVAVYGYLSAHTGRLWLSMANTTNQRLKLYKVVDRMVTQEAAERLPDTPK >A09g512580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37329227:37332967:1 gene:A09g512580.1_BraROA transcript:A09g512580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFQDPSRKEPAGLCTIRRPKRDVSIDTLQAASIDSVSQASNDTIHHVSENTIHRGTIHPGTIHRGTVHHNTIHPSIVHRDTIHRNTIYLPSIDTVHPVSVDTIHIPSIDTVHLVSVATVHLPSINTVHIPSLDTVHTNTVHPNTVHRDNVHPNTVHPNIVHRDTVHPNTFHRNTVHRNTIHQNTIHRGIVPPMTNTSYGETEKVEALILKIYKKGIWRDDEGRPCSLTGQLINAEGNVISDVIDVAETNTFNLTSQWYDWGSEDPFYGLLIRIPKMLSRDLRNHIICKIFPYCLSRDAFSWFSKLQPRSLTCWKDIKEAFIGKFFSEAVATRSKRLDKMIKDREKGIMITENGDIGTPTTYVKQPDIQVHHADESKQKDELNREKLVNHDTVKDDEYHVSGEQSKVEEADTKDPTSASIDSSNSESIDIRTSEMIDTYICHRSIPSTIPDATSVYVRTGRPKTIRDYNSPEDAYAKRSALRRSALQNIVLELHPAYISLVGQHYFYVFPHEDSTSHLETFVDLASTIKCNGVSEDYYFCKLFPYSLAGDAAHWLKKLPLGSLTTWNDIRYAFLNEFLYDATANLEIETRYRVEYMVEDDEQHESGKLSTVGVAANISGTSSSSIDTLTITSIITPTSSSIDPSTSEMIDTDFCHRSISLEIPERSSCPQDIANSTQESIDESSCDLTLDVDKVTLKDFLELEEWLRQKLDDQPASGKGLENSLKADDIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPDCIDRHPPNCIDRHSCLDELSGYPIEPGTIEEIMHMSKTSHIDVPEHLRPPICAEEAVGICKRVKMIHDPVKIMVPCAVFEAESPIPPDKSMELSSYGGVFDDNKYVEASQRGLRFRDELDEGPAGAPSSDISKSKSIDTNTSSSIDTDQIPSIDTRHELRQNEYKFCGNIFYGDTTTHSDKSGGKKWRNWKKTKRINEGSQISLIPHFSDDARKYRVRLHKSVGKKGRNWKKRKRTKGGSQLLLTPYFSDSIRKSRVRSKCFSHPYAKLKTLLIAEVIDKGEGKRRGSEEDEIAGESMMAT >A08p028350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17786669:17788385:1 gene:A08p028350.1_BraROA transcript:A08p028350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMSLESLLSMQGGDGDVSFDKNSFGAVAAIASSEQMLTSAIHSMELTQGVHIQIANLGLGDGGHTFSMVDTVVEVLRRKLAVINGGTEPEFEVYFSDLRLSNRFFSSFRPLEDRVNDWGKKYYTYGTSLPFNMRLFPKGELHVVIPRKVMEKGSKTWNKGRAWIQGAEREVVEAYAEQSHKDLVEFLKCRKEEIVVGGMLFMLMAGRPSGLESQVSDDSRLKLIFTTLMDQAWQDLVDEGSIEEDRRDAFNIPLYLRNTEEVTAAIESCGGFKIEKMELLTIADPMNGRQQEFIKDPDSYGRAMVNLVQAAQIKPKVEAYLGPDLTNTFYERYAIRAANNKEFLTKNSFYSMIAVSAIRVCY >A10p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22162313:22164039:-1 gene:A10p040160.1_BraROA transcript:A10p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDDPTDGHDFISSLPDAILHLILSSIPTKSAIRTSALSKRWRHVWSETPTLSIDSHRVVARSINKTLSAFSSPKVATFHLRTSLANRTQHVDAWIEFAVNRHAEKLSLEFRDTRVRDYDFPDSFYANSTVKQLFIESGSVVMKPKCHVSWMSLKSLSLSYCKLSDEALVKILCGCPLLESLELMFCDEFRCLDLSRSPRLIRLEMDRSDWFLGPTEIVAPHVHCLRLRHSRLPCRLVDVSCLKEANLNIYFCDLGTLTADFLQGNVVKMLAKLQNVEKLTVGATFLQMLSLAALCDVRFPTLKVEYLTLETMIVRSVVPGITKLLQNSPGLRKLTIHTVKCSIISEAHLNSYLRSHSLNQRQCWRSKDMAFPGSMETISMLVGKYAESNLVASFVERLLRNTRSLETMVVLLVGYLDASGFEELVAMATRLSHKRNVFISIKQSHVQNVSNTFS >A09p056700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48100577:48101615:-1 gene:A09p056700.1_BraROA transcript:A09p056700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD20 [Source:Projected from Arabidopsis thaliana (AT3G51380) UniProtKB/TrEMBL;Acc:A0A384L5J3] MANSKRLFGVVRRKLLSRSPSSITTIRSSIPETTKEHIAAIKIQAYFRGHLARRAFRALRSLVKLQAVARGVLVRRQARIALHCMHALARLQVRVRARRSTRNKERRESTEILTRLNQITKRDVRGVVREDLSEPGQYQRGLSSAKGADSNEDI >A01g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12761350:12765370:-1 gene:A01g504220.1_BraROA transcript:A01g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNSLLSPTRRRCLFESSAAIFHSSLQYACEGKILTLGGIMKSRASISTLGPSVH >A04p034470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19935069:19936983:-1 gene:A04p034470.1_BraROA transcript:A04p034470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVESNAAPQVSGNDPGNRSPLPPSSLLAAGQAFSGTQNVSNQQKEEAWRVNVQIQGVDLEHGYLCGTMEALNVPMADTPVITFWEGEIVDGKNYTFYTGKWEATREDDMRHWSKFPSFAPLQGQVESDGGRQLDLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKTVNEGRSGFSFSSFELQ >A07p045760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24797115:24799351:-1 gene:A07p045760.1_BraROA transcript:A07p045760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHLLLLLLLLSLGAPSLLQASVHEYRSERFMSKGNAFVFHGGSEGIYSSSPSDNFSSDSDSLSSFIRFEKITFRRPEEASNTSSLPIHAVLFEVEDRENIGGSAYGGQRAVCCTSDLAKLGVCSHGEIIHHPSSKDSSWPQVFGVSFVENDLSATLLTRSIQITRTGMYNLYFIHCDPALKDLVVEGKTIWKNPGGYLPGRMAPLMYFYGFMSLAFVLLGVFWFSQCARFWREVLPLQNCVTLVITLGMCEMALWYFDYAEFNETGVRPTVITVWAVTFGSIKRTCARVIILMVSMGYGVVRPTLGGFTSKVIMLGVTFFAASETLELLENVGAVSDFSGKARLFLVLPVAVLDAFFIIWIFKSLSATFKKLQTRRLLVKLDVYRKFTNALAVAILVSVGWICYELYFKSKDVYNEHWQNAWIIPAFWQLLSFSLLIVICSLWAPSQNSTRYAFSGSSGDSSGEFEKDDYTLTLIKPSPVPSHEAKSVSEARSLPDQEDAEEDLEEDKRE >A04p028500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17112797:17113711:-1 gene:A04p028500.1_BraROA transcript:A04p028500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQVKVGGKPVIVRVKRKVGHSLLDAFCKFLLSLYLDVKPKKVLLRHLETVTVDVIHSLFARQRFFVLVEHSCSKGKFEERKVALKKEETALDQSCTAATGSQLETCVIKSCTVSARQLHRMLSLSNWRSGNKELHERCSLFYDVIRVDAEEKPVCIFSSYSRLTSLEDQKLLASFLPLLRESIYMIGAVVPWSYYTLLCPRNRKSRKKLILDYI >A06p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:139220:139731:-1 gene:A06p000780.1_BraROA transcript:A06p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFQLAALFISCFLLYASQSKVIALNLNTKQIGYEDECVYRGSCRFSYECKSRCGPPEFPHGTLGLCMLSPDGSEYLCCCTPYSKH >A05g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11361031:11362808:-1 gene:A05g504110.1_BraROA transcript:A05g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVRLVIGLWTKSAAGVWIFDETPNSQGEAMLLPEGPTTAPITLITDKDVELMSSVIDYMADPLIYVTSGPELVAKYQFFCRTPFSIDDKTYLQEGITEKEHCQAIIDLVGGHPIVCSKHMLEIMFNEPQLLLVFRVALEIEIVYGLENENDDTDEGRQDNHLTGDDIMSLEGAVSLSPDQLNNFNDNSHVLYGEPVTIEELQNTLPNFESAVMVHQAATLGVEPMNLWEDAEDEEAYWDDMIEDGRSYEVYVAASPDPTEEVIGLPLSQNRRVCAPQRPTIFFIDDDSESSYTGSYDSFNNMENRTAVPPPVAQVPDSILPPNNAENGITGECSAEVAVPNNVNNVELHSGRIPSTNAAFPEISLDLTLGIGLGNNRAEPEPSIENQDSSSEADDGSGGFGPLF >A06p055750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29073261:29074894:1 gene:A06p055750.1_BraROA transcript:A06p055750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSSSSSSSRRKFLDTGFAIGQKEIIRILILFLVLTASCLFLYKTAYPLQQLSFNNLTSLLASSSPETIKPDIALRKILENASNDNNTVIITTLNQAWAEPKSIFDLFLESFRIGQGTQKLLKHVVVVCLDPKAFERCTQLHSNCYYLKTTNTDFSGDKAYNTPDYVNMMWRRIELLIQVLEMGFNFIFTDTDVMWLRDPFPRLYPDGDFQMACDKYFGNPTSFDNWANGGFVYVRSNNRSIEFYKFWYKSRQNYSWLHDQDVFNRIKNDPFISKIGVKIRFFDTVYICGFCEPSKDINLVCTMHGNCCLGLEKKIHDLNLILDDWRKYMSLSKHVQNTTWSAPLRCLEK >A07p002000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4067713:4068500:-1 gene:A07p002000.1_BraROA transcript:A07p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPLKATQLFPAAFKPSLALETMIHVVKILSLLVADSTYWIALLYVAILLLCELIHGRIPICFVVSLGCYEHHKRSALSQ >A08p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7758772:7760202:1 gene:A08p010160.1_BraROA transcript:A08p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISRPSISSTLQPSLMSVDSPPEETSSNGAPQIPLPPGRHVRSFSVDSDSDFFDDLTATEDNQFARATSPGERKGHHRSNSMDGATSSGSFNMEAILAAVNCKDGGKKNMGMASDRLAELALLDPKRAKRILANRQSAARSKERKVRYTGELERKVQTLQNEATTLSAQVTLLQRGTSDLTTENKHLKMRLQALQQQAELRDALNEALREELNRLKMAAGEIPQGKGNSYNCTQFLSQFGNNKNQQMSTNGQPSFLDFTKRG >A10g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16268019:16270483:-1 gene:A10g506040.1_BraROA transcript:A10g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p021730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14524775:14526386:-1 gene:A10p021730.1_BraROA transcript:A10p021730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGPDSIVRQSRSFTELMTRRLYLLLPLLLAVTAEISLTKFSAGAIGVNWGTEALHPLPPSKVVELLKSNGIAKVKLFDADPKVLRALSGSNIGVTVGIPNSMVKSLNASRKVAESWVHDNVTRYFDGGNRVRIEYVAVGDEPFLQSYGNQYRPFVIGAAMNIQNALAKASLASEVKVVVPSSFDSFLSESGRASSGHFRADLNKTMIELLSFLTRHHSPFFVTISPFISFRQNKNISLDFSLFKETAKAHKDGRKAYRNSFDLSYNTLVTALSSIGFPDVDIVVSRIGWPTDGAANATSPTAEAFLKGLMGHLEKKTGSSPRFPVETYIESLLDEDKRNVSSGNFERHWGVFTFDGQAKYSFSFNHNAKKLVNAQNVQYLPPKWCVVNNNKDLSNASAKALEACSVSDCTSILPGGSCSGIGWPRNVSYAFNSLYQQSDHKAESCDFGGLGLITTVDPSEDKCRFWIQLDTSHSSPHIPIFFLSSSLLLLFLLS >A05g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16178534:16178824:-1 gene:A05g505780.1_BraROA transcript:A05g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSVTVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGSKTFWVTKYSKRMKNRKG >A08p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17444421:17446627:-1 gene:A08p027690.1_BraROA transcript:A08p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISPHCLVSLFSIILLSGFASSLHISLDAFVSHPATSRALLRAKKPCKEDFASKDYTIITSRCKGPKYQAKACCSAFKDFACPYAEIISDETTLCAADMFCYIQIYGRYPLGIFANMCKEGKEGLDCTNVKATSTSSRG >A03p060490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26192401:26194123:1 gene:A03p060490.1_BraROA transcript:A03p060490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25370) UniProtKB/Swiss-Prot;Acc:Q93WL3] MASSYTLSFIPMTVVVSRRSASPSPSSSLIPPPSLLGKKLLVTQPSRRRFFSKKHRCLTSASTVFSVPTAQPENGSSDKIPKWSARAIKSLAMGELEARKLKYPTTGSEAILMGILVEGTSTAAKFLRANGITLFKVRDEIIKLLGKSDMYFFSPEHPPLTEPARKAVDWAIDEKKKSGVDGELTTAYLLLGVWSQKDSAGRQILEALGFNEDKAKQVAEAMNEDVDLSFKKQSQ >A05g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11313185:11314854:-1 gene:A05g504060.1_BraROA transcript:A05g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLRVLESYPRERVTFFMPQLVQSLRYDKGGDESVQEDGACFREGYIYFWGIVSSSKGRTKSWYKEITKEIKNRRYKNDEIEKLLRRTQIPRGEVTKLLLFRLSQANDALYIYIDDESPISRVFFSNP >SC122g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:97499:98135:1 gene:SC122g500070.1_BraROA transcript:SC122g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKYLKPLLFLCVNRQEARRKGETSSGHKKKLKGDLTVKQLALIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSIKISLSLTEDDDDDPVMS >A07g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5059859:5064797:-1 gene:A07g502340.1_BraROA transcript:A07g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSVNFGSHSLALEGGGGGTDQSNPQKPHNSQSDMSTNDADNTQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNPENLSPPARDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMKEEEEVAYWNEQEELAERQTELTRRKKKNSRNDKYVHHEGEDLQGAHNYEINSDQGRTTGNTWTRNQGYDENTFCELAAKLLAGEISEVTSVKDLILDSDRPPKTDRNPHAEKSPQRNQPGDKRAKSAPGEDEVKSSINANASDVEARHKSEAHATTQPEDPENSTTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPVKVPGQRSAERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGTFTHPTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRGKGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRFEARLYEQRKRTSSTS >A07p046700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25176409:25178291:-1 gene:A07p046700.1_BraROA transcript:A07p046700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSEPSSSSTTIGQHIRLRPRNLPPSAAADEPLVPKPSRISKSAMSSFFLLPSNETTRKTTSSFRRLGCTSSASQQVSVPAVIRSSADWGATKTKSKNKNKGTGRYSGGSVKILTEAGDGGNACGAVPDVWCGPGGGFSTDAVVDPVDADPPRRNIPARRKIDGGDKNISSTSHKSNQTEGSSVPPRRSHNQESNPYFDSDLTSRGEQTQTLFSDRYHRHLRQPYPNGLSEMMLLHNGLVMGGVLSSYDHFRDLRLNVDGMSYEQLLELGDRIGYVNTGLNEKQIKTCLRKVKPFNKDTPLDDRKCSICQEDYEAKDEVGKLRCGHRYHISCVKQWLLRKNCCPVCKTMPCVSKS >A08g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5948578:5949200:1 gene:A08g503120.1_BraROA transcript:A08g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAVCPSEACPPFIAPPKDWVRFLQHSRRALPAVSTVLEAKLQVYMWVVESMRSLRYQKWELKVEALATVRCASFIAQSVVNLGLTQSYVASGHPQWLDKFSALGGG >A05p051820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29126291:29129901:-1 gene:A05p051820.1_BraROA transcript:A05p051820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEL [Source:Projected from Arabidopsis thaliana (AT3G08800) UniProtKB/Swiss-Prot;Acc:Q8VZA0] MEEDDSVSLTLHTLASIRSLIINADTPFSVISSVLDFLTGLLPRDDSPILHHVLKLLSDLSLSRNELTPQIFESILSNLLRLQTSAAESLAVLASLSERNPTAAAIDGEAFATICIGADVSSRMWMLRNAERFDLPSSVLFTLCFGFTKDPYPCIRRVALDGLVYVCEAGGFDHARAVQGCYARAVELLDDDEDSVRSSAVRAVSVWGKVLITSKEETRRRECSDAVFLQLCSVVRDMSVDVRVEVFKAFGVIGTASESIILQTLSKKVLKAGKGKKPQNRFSNESADAAAAAGVFIHGFEDEFYEVREAAVESFHSLSVNSIEFPDEAVCLLMDMLYDDYMVVRLKALEALHHIANMGNLKIQETYMPAFLDAIVDTSENIRLTARNILKLAKLPDMKLVNKGVDGVLKSLEMYPQDEPDIFSTLFLLGQNHSNFVVNIIKRFRAKLETDSGNKSEFNSQQVSAFLMFIISAPLSNKQSITSIPPLAFSYSLAMVEKFSCGLHEMMDQDTLLAYLAHCTTLSSASGTEFNKADTFLNAYRRSTADHSGNPVLLPSKDIPAESISIDSIAELEIRNPELKSISHIQLKVKAAWLLLKLECSNEAFRALRACKQELATLTANSSISNGALKFMCQYVHLIELLAQVWPHFENSRHTSTCRSVELEMLMEELEIKLMEIRCRFTGLSTEVSLVMELVIFGCLLRLYKFEICCRLNCKKKLSATVSQLELHHEQQCTKPSDFLTETKKSLQEIGSSADISCLTLLDLIKKFNCFSPEQFTLSGNLQCVSAELEVPGNGPYNPVSFVAGLPVAIPCEIKLLNVPRDARLWLRISRSDDTCQFVYLDPNLYNGGETEKRFMFTAVACMTPRAVVFTLQVSIGIECLFEDVCCRKHRYGPKHPVTYLCKEREVHFSLVSRTEAVL >A03p022600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9498064:9503206:1 gene:A03p022600.1_BraROA transcript:A03p022600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39190) UniProtKB/TrEMBL;Acc:O80962] MASVSPPSPSCSTIRTPVRRSPRTGGAFAVSELRRLKQRRAVLPPVAVSLGHVADVVRNDVEFLKNKIGIGIKWANVAFRVPEVTKSAEEVFWLRHLEDSASPPLEQPSLPQPSYSGLTGVDLLMADVKALEAYAGYIYCLSKMWSRPLPEVYDPQAVADYFNCRPHVVAFRLLEVFSAFMVAAIRLRTSSESDKGKKLEASGQKFGMVLKETMLHLGPTFIKVGQSLSTRPDLIGTETAKALSELHDRIPPFPWPEASKVIEEELGAPVESFFSQFSQETVAAASFGQVYRGRTLDGLDVAVKVQRPDLRHAVLRDIYILRLGLGVLRKIAKRESDIRVYADELGKGLAGELDFTLEAANASEFREAHSRFSYIRVPKVYQHLTRKRVLTMEWMVGESPTDLQAITTGYSENDTQSHERQKLEARRRLLDLVNKGVEATLVQLLDTGILHADPHPGNLRYTTSRQIGFLDFGLVCRMERKHQLAMLASIVHIVNGDWSSLVEALGDMDVTKPGVNTRRFTLDLELALGEVELKNGIPDIEFTKVLSKIVQVALKYQLRMPPYFTLVLRSLACLEGLAASGDPNFKTFEAAYPFVVQKLLTENSAATTKILHSAVLNRKKEFRWERVALFLSKSSVRKGSPLVTPSRDETSVHSSSNQTDKDVDTVSLVMKLLASKDGVVLRRLLMAANGTSLIRTFISKEAHAIRQKLCTMVADTLYQWMVGISGVNSLKFISLPDPPPSSGTNITVKDFKSLIEDKRVRVILRKILESTKSDRVLTLKFGLTSFVMFLTASALACHRFVISVSEGYVNYLSLSAPVALRT >A06g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20290724:20290979:1 gene:A06g507330.1_BraROA transcript:A06g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIKKMANTPLMPVTNNRQVRNLIELSKTHFVRLCVSILRQIH >A10g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1838669:1839169:1 gene:A10g500560.1_BraROA transcript:A10g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLRSFLTRVEKSAIGFLNGNRFNATTPLIHLCAMNSSLMGSFCLSVSPPIYIGSFLKGFETISVEKSNRRFLHSRQRRTKNRDHKRIQYSQNLKNDESQHQDLL >A05p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4924903:4927589:-1 gene:A05p011510.1_BraROA transcript:A05p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFHGNPPEISAGSDGSLQTLILMNPTTYVQYTQQDDDSNNNNSNKTNISNNSFVFLDSHAPPQNASQQFIGIPLSGHEAASITSADNISVLQGYPPRVQYNHYSSHQVDSSHQQAACETPRAQQGLFLTLSSQQQQQQHQNQHQTLHHVGLRSGPGQDIRVGSGSTGIATLVSSKYLEAAQELLDEVVKADSNDINTRSQLFSSKKGTSETDNKAVGESSTGAGEGSGGGGEASEKHTVELGTVERQEIHMKKAKLSSMLHEVEQRYRQYHQQMQMVISSFEQATGIGSAKSYTSLALKTISRQFRSLKEAIAGQIKAANKSLGEEDSVSGVGRLKFVDHHLRQQRALQQLGMIQHHPSNNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYVEEMKEQGKNMGSMEKTPNLDQNNEDSASKSTSNQEKSPMGGGGANNYHLNPNHNGDLEVVTGMQGSPKRLRTNEETMMQPINADFNSNDKLTMKILEERQGIRSDGGYPFMGNFGQYQMDEMSRFDVAVSDQELLAQRYSGNNNGVSLTLGLPHCDSLSSTRHQGFMQTHHGIPIGRRVKIGDTEEYGSANISAATVHSSAAAYSAMNIQNQKRYVAQLLPDFVA >A07p029610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16584352:16586673:-1 gene:A07p029610.1_BraROA transcript:A07p029610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHGSLAIFLCVLLMLACCQALSSNVDDGYGHEDGSFETDSLIKLNNDDDVLTLKSSDRPTTESSTVSVSNFGAKGDGKTDDTQAFKKAWKKACSTNGVTTFLIPKGKTYLLKSIRFRGPCKSLRSFQILGTLSASTKRSDYSNDKNHWLILEDVNNLSIDGGSAGIVDGNGKIWWQNSCKIDKSKPCTKAPTALTLYNLNNLNVKNLRVRNAQQIQISIEKCNSVDVKNVKITAPGDSPNTDGIHIVATKNIRISNSDIGTGDDCISIEDGSQNVQINDLTCGPGHGISIGSLGDDNSKAYVSGINVDGATLSETDNGVRIKTYQGGSGTAKNIKFQNIRMDNVKNPIIIDQNYCDKDKCEQQESAVQVNNVVYRNIQGTSATDVAIMFNCSVKYPCQGIVLENVNIKGGKASCKNVNVKDKGTVSPKCP >A09p065020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52168286:52171518:-1 gene:A09p065020.1_BraROA transcript:A09p065020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGEGKKWTCGKAGVVSLQKVASLVRDLSQPCLSQPGIQLLLSIGKMLKPEKWRAFFDCDGKVFGFHKALKLIILGGIDPSIRAEVWEFLLGCYALSSTSEYRSQLREARRERYNDLLKQCQMMHSSVGTGSLAYVVGSKVMDMRKSYKKEVVKESTDGSTEASVNDNEKTENHGGDSSNNDTDTSHGHRRGSSSESVDIVSGRESPESTPFVADGVFDFPSLAVTDLFGRSSLDKKGVSTPDEEASVRSELRSEDEGMHSFRIDKNADLVIESSYNNNRSASIHSEIEVVHPESVEQLSHSGSTVEIVDGLRISDVPEVPSAKETPSRGGTVTEDRMSEWLWTLHRIVVDVVRTDTHLEFYEDPRNLGRMSDILAVYAWVDPATGYCQGMSDLVSPFVVLFEDNADAFWCFEMLIRRTRANFQMEGPTGVMDQLQTLWHILQLTDKEMFSHISRIGAESLHFAFRMLLVLFRRELSFDEALRMWEMMWAADFNASVAETLENDCLEPLVIQLPRQSEAEIGDPKIDDGHRNSTTSEPTSRSSDRMSKSGPLSKSSLLYISSLLPRSGPLPKTGPMSDDNDTEMKSASSSYNFCGLTRSLWSRNERTHVSSSVVSSFEKGDDPLPVFCVAAILIMNRHKIMKEARSIDDMIKIFNDKLLAIRVRRCIRTAMKLRKKYMYKNQVIKIKNHTQSQIQNQTTTQNQIPEEIQSHDENFSQRSSSHGPAH >A09g500490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2086205:2087833:-1 gene:A09g500490.1_BraROA transcript:A09g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRWALPGRVSAVQPSSSTSGDVLLPPPFPPDPPDPSSPLSPHLFPPLASTLLLTRSEIRRSHLTPPITDTPMTQALDSSPGAAHGSPTQFGSLSQIEIQTTVPATKNPKSPFSLDTFTLSPPQEHVASTVAKPNSKSSLPTSYPTPEEPQPTIQNPTLPTPPLLPTPTLADKLRVKGDRSLTRIAPVILSNSGRPRVLIPDSVFQKGAEMHKDFIVCYFNGRPPPFNHIQNVLCHMWGKGRRLEIHNNPFQRSVLVRIPSDFIRQKILDKNIWYVGDSMFHTAQWSSAHSAATPPLSSIKIWAHLTGVPLDLRHTEGLSLVAGLVREPKETDDFTLNLVSLTLSHVKVEVDLTKSLPAVVEFERQSGEVVEVKVDYPWLPPTCSHCHELGHVLRNCLLYTPPKVPPPAAPNAPAKTNKKVSVSGKKNSTTNATSKTKQYVVKKTAPPPKAPAHPVTTPIKPLSVSLPSSPSASKSVPFVLSSFPNTSTPSTESPPDKPTKPSLKRSRSSPILSPLLPTKTSYQSSQPPPLSRNWHYILCR >A02p034760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18886876:18888058:-1 gene:A02p034760.1_BraROA transcript:A02p034760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASPLETNKSCAKSSIKLFFDSCIDLPDHQQRCFLPVVLEFFRLLRKVCPRNKLYVSCRNTLGSVLESVYSSGDSRSCLLSIGDEIFPCVRELAKLMVKHFVDDDDDDSGPPSLSSRKASLGALVLKFSKRGDDDDHQWIFEYKEATTFESRRHLAMLLFPDVEEEEEDYEEMHEMLIDRSNLLAESFEYITEASSEELHGGLFIEFKNEEDTGPGVLREWFYLLCQEIFNPQNTLFTRSADDFRRFSPNPASEVDPLHLDYFEFTGRVIALALMHKVQVGVLFDRVFFLQLTGKKIGLEDIKNTDRIMYDSCKQILEMDPECFDSDAGLGLTFVSETEVLGKRETKELLKDGKSIAVTGNNTSIY >A05g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26668575:26670536:-1 gene:A05g508910.1_BraROA transcript:A05g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRIHLTELGCIACEELTELGAGKEGWLVNNPNLLSALDSHSIALAHRFLILIVNWADPDSLRVKIRPDLSPIEAESITAIEWLVFDDVRVLLAGTSCGYLLVYSIAGDLIHKQLVHPSRILKLRVRGTKKDLMQETSSEEISIVLPGIIARFDGSNIQSMLQKWFQEKNSNFWDQKSKKGDPEDTGSLHQRLPYQIWNVNKNGVCVDATITGIMPPPLLEHQSSQRYYCAVTIGEDAVISAYRLSEDRGRSLVGAILSKVVPAAASTIASFSKLIWRSNDQSPKRKPEAKTQSFARASSLTCIKDYPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCVFMEMLAKKDKGKSVYHTEPVKSDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIQCAKGSKLLQPAYRFGSNSSSSPYIPLEVFLLNGDSGQVSMLNRSLS >A03g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3402290:3402670:1 gene:A03g501090.1_BraROA transcript:A03g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSSTTLFLLFCFLVASALVKTTAWVLQPVIPPRWICHIYCENYGLRLQPVKIRPVKTTACGCEASLFISPRPGRP >A07p016630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10230534:10232344:-1 gene:A07p016630.1_BraROA transcript:A07p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSLDNHYSLGNTPFSSLSDLQILESSFERLGVSDPNARQQQLLDHRQSNQYPFVGRDRGMNVRDYHNPSYYMHPTEREQLDQAAQRLLFSQDNHYDVYKSYDNGSHGRSNSGLTGPPPYIGFNGDLGEFPLTSRNHNLCDQSPWSFSHVPGIRSNRHDPYTMDNSRAKDTILSRAKDAVESAKLQKVIVEGSRDTVHKIFDELKTHVCELMIDPVGHQVFQKIIEKCTNEQTTQILDIVIQQPIQFVRICGDTHGTRAIQDLMRSLCSEEQISRFMQTICHVALLLTKSTNTNHVISFCFRHFSPLQTHCLLQVIVQNCYQIALDQHGCCMLQQCIGKSPREIRDPLISGILTNSLSLCINRYGNYAVQYVLEMENCQVAASLLQYLDGHYVQLSCDKFGSHVVQKCLETRQFNSRRIINELISDIDSILIDRFGNYVIQTAWVVSQDDMRSKLLYHINKNYPLMRSNMYGKKILEKLSLWI >A06p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7705906:7706731:-1 gene:A06p017210.1_BraROA transcript:A06p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVCVKAAVGAPDALGDCPFSQRVLLTLEEKNLPYKMHLINISDKPQWFLAISPEGKVPVLKNDDKWVSDSDVITGILEEKYPEPSLKTPPEFASVGSKIFGTFVTFLKSKDSSDGSEKALLDELEALETHLKTHDGPFIAGGKVSAVDLSLAPKLYHLKVALGHYKSWSVPESLPHVHGYMKALFSLDSFEKTKTEERYVIAGWEHKVNP >A06p004710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1290112:1290789:-1 gene:A06p004710.1_BraROA transcript:A06p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNELSSSAQAFQEQVSGGFVSRKLLLHNPFDHNTQQAFVVAPSPLITHENNLNGNILMLLSVLICGIICCLGLHYIIRCAFRGTSSFMISEPISSLSTRHGSPNKGIKKKALKMFPVVSYSPGMNLPGIGEECVICLSDFVSGEKLRLLPKCNHGFHVRCIDKWLQQHLTCPNCRHCLVETCQKILGDFSQADQVIAAHTESTIVRIAPLQPEGRVNTLRESS >A09p071400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55112335:55113592:-1 gene:A09p071400.1_BraROA transcript:A09p071400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRLQKEKDGGSIDGGEGDRVSSAATGKSGNRIFPVKSSQSTTYEEEVERHQFALLGVDVDVDLDTRYGKLHQGPSMLVARSTTGVGLVDQKFYVFGGQEANRSKRKCLTQGQGLGKSCQVPV >A07p049360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26227227:26229673:1 gene:A07p049360.1_BraROA transcript:A07p049360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALMNCASSSFSSSSSSSSSSSPTSSSSCSPRNRENMVVQSGVDVSSPSFSSDTKLIDKNIITHKSSNLCLIPKSSEELKKEIASIELEILHMERYLLSLYRKSFEQQVSSLSNLSAKTLKRSVTTSPSSLTLSNNYQSYEKPISYPRSFNTSLKALSLREGTREVSVKQSLGELLGSSLIVDDHNNLINPNKLSEDIMRCISSVYCTLSRCSSTRRDSSACFSASPLSSLSNSSTIFSSKSEKWSLHCASEDHSQDQGNVLPCGALVIDALKVHLDDSSFSYAALMLQKFRSLVQNLEKVDPSRMKREEKLAFWINIHNALVMHAYLAYGTHNRARNTSVLKAAYDIGGYRINPFIIQSSILGIRPHYSSPSPLLQTLFSPSRKSKTCSVRHVYALEYPEALAHFAISSGAFTDPTVRVYTADRIFRDLRQAKKEFIRSNVRVHKGTKILLPKIFQHYVKDMSMDVSKLMEATAQCLPEDARRIAEKCLKEKKSKSFEWLPENLSFRYVIAGELVGGRNKTS >A10p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17101457:17104305:1 gene:A10p027100.1_BraROA transcript:A10p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNFEEEEDRSIRLQVSELHKLEEARGSNDTVFDLRSSIEKGDSGETADAASVSSATAFRSKSTTPAPEKQLTLFALQLAILEKTATGIGTLGFIWATVVLLGGFAITLDGSDFWFITIILLIEGARIFSRSHELEWQHQATWTIAGVGISSFRALRSTSASLLRCLQIRSRETTTTVTRDSEAATWRKNSSEVPLLPYARWFFISSAVSRLLYWLQLLSATACVALSSYKLVRHNYGDVHKGDTDKRNRQSALNIFYSLALAEALLFLAEKAYWEWRVSVCNLLENVTRECEFGATGLVSIKRFFYDSYSKCVNGSIFDGLKMDIVSFGMELLGSNSSDEQLIGARILRQFAVSERYSEETLEKIGINFPVVERLVEMLNWKDLQEEEIRRSAAEILSKLAGKKQNSLRVAGISGAMESISSLLESTRSSGEAPDEIGEKKVFHEHDLHYDFCRFNNLGLLILKKLAKDHDNCGKLGNTRGLLPKIIDFTHVDQVLLWEENAEVARSQVLTLKRSLQLVKMLASTTGHTGKCLRREISEIVFTVSNVRDVLRHGGRYPKLQKLGIGILTNLALDTEARERIGGTGGVLKELFNIFFKTHGADGNQGCVRIAAGEAIAMLVLESKGNCLHALRLGVMGRLVEALEVPLIRVNAARVLRNLCLYSGDDCFHELKFVRAAAPTVLKSITSEDNKLQEVMVGLAAQVFRFMSPEDSCYVFMDSGIRRRELAYSLVSILRKHDKPAIKVPRIRRFAIELAVWMMEDDMENNVAVFRELGLEKELEKVLETTAELENFDVFSGTVGVSRHSRTVHSLAELALKILEDN >A09g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17540046:17541320:1 gene:A09g505550.1_BraROA transcript:A09g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKARSLERERAKRERERERGVSSEDEGGKAIETSQPTVAPLAKHIRQLGAQLSGSMSFSSQMSNEDEEMSRTALSAIRAKEEEIEKNKMEIRERVQAQLGRVEEETKRLALIREELEGLAEPMRKEVALARKKIDSVNKELKPLGHTVQKKEREYKEALEAFNEKNREKVQLITKLTETRE >A06p036380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19743565:19746242:-1 gene:A06p036380.1_BraROA transcript:A06p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIPL2 protein [Source:Projected from Arabidopsis thaliana (AT5G62630) UniProtKB/Swiss-Prot;Acc:Q94F08] MAKTNQAITIFLHICLLLLLLLSSTTSHPLCSDSKTQVNTNQTLEFCDSYSGKTCCNSKDDLELQNRFNSMNISDSNCSSLLKSILCAKCDQFSGQLFGNETSPIPILCNSTSQDLCSKLWDTCQNISIVSSPFSPTLLGGATSPSTPSTLTDLWKSQTEFCTAFGGPEKTNNNNRTKCFNGEPLNQETDLKPPQGICVEKIGTGSYLNMVAHPDGSNRAFFSNQPGKIWLGTIPDQDSGEAMELDESTPFVDITDQVSFDTQFGMMGMAFHPKFAENGRFFASFNCDKVKSPGCTGRCACNSDVNCDASKLPKDDGDQPCRYQTVVAEYTANGTSSSPSTAKTGKASEVRRIFTMGLPYSSSHGGQILFGPDGYLYLMTGDGGGVSDTHNFAQNKKSLLGKILRLDVDVMPSVSEISQLGLRGNYSIPKSNPFQGNADEQGEIYALGLRNPWRCSFDSERPEYFLCADVGKDTYEEVDIITMGGNYGWRIYEGPYVFSPLSPFGENVSEISNLTFPILGYNHSEVNKHEGSASIIGGYFYRSNIDPCSYGTYLYADLYANAMWAAIESPEGSGNFTDSQIPFKCSQDSPVKCTAAPGGADSGPALGYIYSFGQDNNKDIHLLTSSGVYRIVRPSRCNFACSKENTTASRGKQSPNGAGPPQSLPSSARNHSLVSVGCSTSI >A04p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14489627:14492357:-1 gene:A04p023820.1_BraROA transcript:A04p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 11 [Source:Projected from Arabidopsis thaliana (AT2G23990) UniProtKB/TrEMBL;Acc:F4INN8] MASLNPVFFLVFLLLTTFYHLGEGRAPHTFLVGGSADGWKVPESSNKTRLIHWAETQRFLVGDSLEFNYDNKTDSVLQVTKENYEKCITKEASKTYTATQTILKLNESGPHYFISGDQGNCAKGEKLIVVVESPGHPMPKPVPAPAPLTPSKPPSSPAPPTSPAPPTSPAPTPANNTAVGLVAGSGIFWTSIAVIGLAWA >A06p015580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6988108:6997868:-1 gene:A06p015580.1_BraROA transcript:A06p015580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGGVVGFVGLDLFSFELASSLLRSGFKVQAFEISTEMVEKFTELGGCKCDSPADVGKGAAAVVVLLSHPDQIQDVIFGDEGVIKGLQKGTVLLLSSTIPPLHLQKLEKQLAEGKEQIFVVDAYVLKGMSELLDGKLMIIASGRSDSITRAQPYLTAMCQKLYTFEGEIGAGSKVKMVNELLEGIHLVAAVEAISLGSQAGVHPWILYDIVSNAAGNSWIYKNHIPLLLKSDIDGHFLDVLSQNLGIVEDKAKSLPFPVPLLAVARQQLILGISQMHGDDTSISLAKIWEKVLGVGILEAANKELYKPEDLAKEITTQAKPVKRIGFIGLGAMGFGMAAHLLKSNFSVRGYDVYRPTLVRFESVGGLAANSPADVTKDVDILVIMVTNEVQAEDVLYGHLGAVEAIPSGTTVVLASTVSPAFVSQLERRLENEGKDLKLVDAPVSGGVKRAAMGELTIMASGTEEALKSAGMVLSALSEKLYVIKGGCGAGSGVKMVNQLLAGVHIASAAEAMAFGARLGLNTRKLFNVISNCGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVTRDGSSRKVPLHISTVAHQLFLAGSAAGWGRIDDAGVVKVYETLGGVKVEGRLPVLKKQEVLKSLPSEWPFDPTEDIHRLNMGKSKTLVVLDDDPTGTQTVHDVEVLTEWSVESISEQFRKKPACFFILTNSRSLSSEKASALIKDICTNLCAASKESGNADYTIVLRGDSTLRGHFPQASLEADAAVSILGEMDAWIICPFFLQGGRYTIDDVHYVADSDRLVPAGETEFAKDASFGYKSSNLREWVEEKTAGTIPANSVQSISIQLLRTGGPDAVCEFLCSLKKGSACIVNAASDRDMAVFAAGMIQAEQKGKSFLCRTAASFVSARIGIIPKDLVLPKDFASDKESSGALIVVGSYVPKTTKQVEELQSQHKQKLRSIEISVEKVALKSSEERVAEITRAVEMADSFLRAGRVTLIMSSRELITGKTSSESLDINSKVSSALVEVVSQITTRPRYILAKGGITSSDTATKALKARRALVIGQALAGVPVWKLGPESRHPGVPYIVFPGNVGSSTALAEVVKSWSVVAGRSTKELLLNAENGGYAIGAFNVYNLEGIEAVVEAAEEENSPAILQVHPGAFKHGGIPLVSCCISAAEQARVPISVHFDHGTTKHELLEALELGFDSVMVDGSHLSFTENVSYTKTITELARSKNIMVEAELGRLSGTEDGLTVEDYEAKLTNVHQAQEFMETGIDALAVCIGNVHGKYPASGPNLKIDLLKELHNLSSKKGVVLVLHGASGLPEKLIKECIENGVRKFNVNTEVRKAYMDALTSEKKTDLVDLMSATKTAMKAVIADKIRLFGSAGKA >A06p037600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20386436:20388008:1 gene:A06p037600.1_BraROA transcript:A06p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNVECDLQSLRRLYSLLLLLQSNANKEYVPQTFLLDENSQFLLKRLLDSATEELLARQHKVLAQAQLGLPEKVSTPSTKTNSVSRGIVKLPSKAALTHEVVDSIERIETQLSAFQFCSSRGDRTRSCKSPGGVTPTEEEGYSSSVMTFQRLNEKALMEPRQSYLRSRQMRHTTRTSSVAPSLRSVTNNATVRSRYDPDLALQSHSSHDDQIGLATSRPPRPLRSVGFEKPSRTSQKMASMKPTLLLDQGIDTGTSSESEQQVYSTEQESDEAYGGETVSTSGSSWETHAESVTESDSSYPSESEGDDENPQVSDSPPHNRSRGLAKQRKNGAGRLKRFKDKLGKVFHHHHYHHHEHHNKEEEQGRQQGRKPSAWKHLVKKHLHKDKEKLLERRMKSESKGLTTHNNKGGQFHALVKGFMRHHRRHSKNKNKKLQTPKRQGGVKLPKRGRVKSEKTNYLCNKDQEHDEN >A09g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8509983:8512394:1 gene:A09g502540.1_BraROA transcript:A09g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMEVKQILKSLCFSYGWSYAVYWRSDPINPMLLRVEETHNDEQSATLVDDMILKTHVLGQGIVGEAALTGNYQWLFSDTLVQCEHEFQNQFISGFKSIAIIPIGASGVVQLGSTQKIVESREMLEETERALQEKHSLKVKDQSVDLDTLFESLVPLVDCELVPEYFQELSFDDIFTEEDNNPPSLLFDKPAFEASPNPSSSDINEDDDSVFDILNSYSLDDLYQLLADDSQEQNCSSGGDKECSMVIQGNDKDLLGIHSYDCPQKGQLFSELISTSLSNSTSCLTNVQQEDSYSGLNQSKRRKLDNSTSSSFFMTQAETLTPLNPPMWIDEDMAGNWKKPQEEEEGVKKKKKRAKAGESRKPRPKDRQMIQDRIKELRGMIPNGAKCSIDTLLDLTIRHMVFMQSIAKYADKLKQPYQPKLVKEKERTWALEVGDDESVVCPIIVEDLKPQGQMQIEMVCQENGDEFLEIAHVVRGLGLNILKGVMVTRQGRIWAHLIVEAKPHITRLQLFYSLVHLFQQQNPPHSSFDHQT >A06p034810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18871678:18872756:-1 gene:A06p034810.1_BraROA transcript:A06p034810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQQKHVSKTANPKTRSVENSESIPADLIFEIFSRLTAKSLSRFRCVSKEWASIFCSRNFSHSFLTRSSAHPRLLFTFHVDGKLFSYSAPQPRNPDQNHMPIPTRMGSFLIHRPDSGLICPSDMWSKRGCNDSKMIMICNPSTGQFKKLPAARTRRVHMRTYLGHDPIKKEYKLLCMSLSRTYPEEHQVLTLGTGKLFWRMIECSLQRCPESGGICINGFKSLEINLTCSSTLINYKGRLGAIQFDSVGFYSGRTTSLGLMVLDDAEQHKWSKKTYILPPFWKNLGVDTRLNVVGLTGDSEIVFSPSILSILSIFSTTMRRETAP >A04p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21264984:21265726:1 gene:A04p037210.1_BraROA transcript:A04p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSLALLALLFSLSLAVFADTSNDAATHAKEEVKPSEATDAIEPQQRGCRYGCCGAYAYGQCAACCSRPQAAEAETEANDVVVEPEQRGRGGCRYGCCGSYAFGRCSACCSKAQAEEAMNTEAVEENAVEPQQRGGGCRYGCCGSWRYGRCSYCCRGPQAEAEVVEPQQIRRCRYGCCGRYPYPRCSVCCTKKMATEEEKKTEEAKP >A05p007030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2841635:2843918:1 gene:A05p007030.1_BraROA transcript:A05p007030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSAHLSFLAGRTSPHFSSERLRLSPYRRELRPPNPKFRCSAGQSGFFTRLGRLIKEKAKSDVEKVFSGFSKTRENLAVIDELLLFWNLAETDRVLDELEEALLVSDFGPKITVRIVERLRDDIMSGKLKSGSEIKDALKGSVLEMLAKKNSKTELQLGFRKPAVVMIVGVNGGGKTTSLGKLAHRLKNEGTKVLMAAGDTFRAAASDQLEIWAERTGCEIVVAEGEKAKAATVLSKAVKRGKEEGYDVVLCDTSGRLHTNYSLMEELIACKKAVGKVVSGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVEELGIPVKFIGVGEAVEDLQPFDSEAFVNAIFS >A10p038750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21584530:21592251:-1 gene:A10p038750.1_BraROA transcript:A10p038750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional activator DEMETER [Source:Projected from Arabidopsis thaliana (AT5G04560) UniProtKB/Swiss-Prot;Acc:Q8LK56] MNSRGDLGDGYVPVPVENQFMGSWTPITPRKPMQGGSSGVVVDGGGQDRNYYTGEREDPLGRSNVASNSQGGCNVFELDDLLDTEQMPMSFTSLLSGGDHLFQAPQCGTPVSSRPLYNLNSPPTSEAVEYICGGSVQPVPSTPSLSRTGRDNGFLETMITRTTGQTSDNGMQSVVASSVVNSTEVAEQKDGSRQNDLGFDLNQTPQQKPSKKKKKFMPKVYVEGKPIRKPRKPATQEAVKPKATGGGKRKKAQKTNLKESAANKPAIGGVMSNTSLEVTGKSCRKALSFDLEKTGDVGLDDSGSEIFQNTSGSNSFTETRDAAGGTSGSWLDSVTQVDQTNGLVAANQPLEASTVVTLPRGSEVNHSRMLARDQQPELFTGNQQRQFPMENQQRQWPMENQQRQSPMENQQAWLHMKNQLCGFPVGNQQPRLAMGNQQPMYLMGTQRSALASGIQQPGGLQGNNQPMFLNQQSQQTYLPAENHQYGSPSGMQQHVMSTRGQQHGMLLDNQRSHQQPGSSVRGQQTCSPAGNQQYGSASGMQQHVMSTRGQQHELLLDNQRSHQQPGSSMRGQQTFLPAGNQQHGSPSGMQQYMSTRGQQHALLLDNQRSHQQPGSSMRGQQTCLPAGNQQYGSPSGMQQHVMSTREQQHGMLLDNQRSQLLMRNQQPGSSMRGQQTCLLAGNQQYGSLSAMQQPVMSPRQQQHGMLLENQESQFLMSNQQPGSSMRGQQPCVPLMNRQLGTPKGFTHLNQMVAANMSSSGHRPHPHSQTPATNLYMESVSRTLNGSAGTYQRSSIAGYGSSQQDIYQGNERIPSHERSNAEYFDLRKKAPSQNSALPTPDNAKDVEARGLKRQHDRAMGHMQNTVAHWPLLQQIAQSQDVERQNISTSAKHVDAAKKMRIQKPVQEKVHGVAPEVIDIEDYPTDGARKDKSGVPKTPAKKGPRGRKKAVPPPAHASGSCLAQNSADTEKGIVPETPARKGPRGRKKIVPPPPNASEIQVYQPTPAKKPSSRSKAKEKGMKSKQDSGKARGQSGELLREDCIAEIIYRLQNLYIGDESRKQEQNALVPYKGDGAVVPYEAKKKKPRPKVDLDDETTRIWNLLMGKEGKEGEEEMNKKKEKWWEEERNVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVTDHLSSSAFMSLAARFPPKPSSKPEDERNIRSVVVEDPEGCILNLNDIPPWQEKVQTSSDTQVSGVDSGSKEQQRSCSNSGIERFSFLENSSQNLEEEVLSSQDSFDPASWTSQSSGRVGSSSGSKSDAEFSTTRSETKAASGSAQSVQIGSPNLSVERSLLHQESGDVQIQETSNVAQKKPDMTADLVDIEDCGMNFVPINFTMAREKKGTQAAGKKPTSQWDSLRREVLERKGKKERSKESMDSIDYEAIRRASVYEISDAIKERGMNYMLAVRIKDFLERIVKDHGSVDLEWLRDVHPDKAKDYLLSIRGLGLKSVECIRLLTLHNMAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQPTLYELHYQLITFGKVFCTKSRPNCNACPMRGECRHFASAYASARLALPATEERGLTTATIPVPPQPFPPASIPMMELPPPLETFLTREVPSNGGSSEPIIEEPATPEQEVTEITESDIEYAYYNEDPDEIPTIELNISQFGETLKEHMKNNMELQEGDMSKALVALDPSRTSIPTPKLKNISRLRTEHQVYELWDSHPLLAGMDKREPDDPSPYLLAIWSPGETADSPGQKCGGKASGKLCFEEACSECNGVREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLKPIDVPRDWIWDLPRRTVYFGTSVTSIFKGMSTEQIQYSFWRGFVCVRGFEPKTRAPRPLMARLHFQKNKVKKNKT >A09g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25354926:25361736:1 gene:A09g509110.1_BraROA transcript:A09g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPMIPHSFNIHHPSQAITQTHTGQRQAYTNEIHIKPNPGSITLYDTLTQHSNLGPGDFVFLLSIGNILSPYHKGQKKELSTDRGPQSFGSPRDSPQSFGSLSHYTVIVQPPAEKLSLKITGTCTQQYQHAIIENMMHPNPSSNQARSCWLIGEDLAKTQGTPLLDWTGLTLAWTHPRLDHEDTNRSGRTDLDSDRTSSSFWTNLTGLDELNWTELPCHNRRESSIGRNWPSREMMAVEEGSGCKWMGYGVRLVAARVSLRIAPDACTATPRAPHGWLHVQDTCRTPPFLPDVRLHDWSSCKAPQHHTHVDQHASVACVATSRAWLIHLVLLHVKLHVQLPCTATPRASLDTQLPPASSKNFVIPKLGFSPNFGFSRRASIPSCLLPVLLNSHIPRKLRDEETSVFKKVELLNRRASKNVMLPKHPSDQSNTFLTMVQQLMNMIGQPMIPHSFNIHHPSQAITQTHTCQRQAYTNEIHIKPNPVPKPDLDLTSEPGSITLYDTLTQHSNLGPGDIVFLLSIGNILSPYHKGQKKELSTDRGPQSFGSPRDSPQSFGSLPHYTIVQPLAEKLSLKITGTCTQQYQHAIIENMMHRSPSPSQARSCWLIGEDLAKTQGTPLLDWTGLTLAWTHPRLDHEDTNRSGRTDLDSDRTSSSFWTNLTGLDELNWTELPCHNRRESSIGRNWPSRSGCEEMSWGRGVFIGDTSQSDSGWWQPVCRSAWLRTHARRHLVLHMAGCMSRTHAGRHHSSQMSGCMTGAHARRHSITHMSISMLRLRTSRAWLIHLVLLHVKLHVQLPCTATPRASLDTQLVRQLPPRSYPF >A01g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19686514:19687684:1 gene:A01g506690.1_BraROA transcript:A01g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFQEIFSFAHSTVWKVLFGKVADSIEKGTEHEDEYMISEKELLVYSIREAAANNLKRFAEEFGPEWAMQHLVPQVLDMVTNPHYLHRMMVLRAISLMAPVMGSEITCSKFLPVVAEASKDRVPNVKFNVAKLLQSLIPIVDQSCLVDLSEDPDVDVRYFANQALRSIDDAAAAQS >A09p068350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53595234:53596339:1 gene:A09p068350.1_BraROA transcript:A09p068350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRFPFSFSQPSVPKPPRSTSSSSRLSISAVAVTVTFGGAAIAASRNQSVFSSHRSSPLPWGSIALADSSSGSVVVEPKSGFSFPATIGDSMRLLGVGLRRKSVLGLKNIDVYAFGMYADCDDVKKLVGEKYANLPASELRGNKAFIDDLMEADIKMTIRLQIVFGKLSIRSVRSAFKDSVGNRLKKFGGLDNDELLQSFTSLFKDEYKIPRSSTIDMTQEPGHVLNVAIEGNQVGSVKSKLLCRSILDLYIGEEPFDKNARDDFLNNVASIAVDEKAAHEIQK >A04g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4238484:4243971:-1 gene:A04g501760.1_BraROA transcript:A04g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDMTYEEFLIAFEKKYFPREALHQKRNAFEHLRQGTRSVREYEREFCQLHLFAGNNFDQEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSRAPPKTGRTSEPQKRTWEQLGAPCCDKCRRHHFGECIKCFNCGKMGHKSRDCRSRPSGAWGVTQGAPAAQAAPAAAYAPGDCFTCGQFGHISRFCPTKGHGAKSQAITPRVYALGEANGAEPIADFESSERDIGELSQPPSTEIRSVTPPPSHALGQQCVRDVETNPWKVFQPESRCDVPTRAGERFAREPHAPPSLPYVRRSHRSRPLSVRRRKAAAASPLPPVISGKPPPSVTGFRRLTGRLAGNSVTRPSRLSESSLISWNYVRGQGSEMSGLVTLL >A08p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2806629:2807206:1 gene:A08p004820.1_BraROA transcript:A08p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVVRSLDGKGFVLWFSDSARRKVPRSRSCQPVMCALLWYAVRLYIPAPVVLPPVERGTGPVGAEASHKIHSPVSLLSLIVVNLGVVKRQFFLSLRKSLFSWVGITTKSDFRYLRIQSSDAK >A04p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6676040:6676638:-1 gene:A04p011530.1_BraROA transcript:A04p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKNFRINNKVMLLVDRRTVSSGETWCRIRFMDKPCGTWIKFGMLSQRNLQNVKRVRSPRQWKKSGLLFGFLFIHCLPARGQDKIH >A08p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19598376:19600684:-1 gene:A08p032350.1_BraROA transcript:A08p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIQIVTTRLSSCLRPIRFELVSSSSSPRLFSNSRRLICTAAATKSNGGRSGSIVAPLVENEEVQKIDVNPPKGTRDFAPEDMRLRNWLFNHFKEVSRLFGYEEVDYPVLETEALFIRKAGEEIRDQLYCFEDRGKRRVALRPELTPSLARLVIQKGKSVSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPHVTAEAELISAIVSFFKRIGITASDVGFKVSSRKVLQELLRKYGVPENLFGRVCIIIDKIEKIPIDEIKKELGSTGISEDAIEQLLQVLSVKSLDDLEDVLGGAGEAIADLKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRKGNLRAICGGGRYDRLLPTYGGDDIPACGFGFGDAVIVELLKEKNLLPELGQEVENIVCALEKDLQGAAATVATALRSKGQTVDLVLESKPLKWVFKRAARINARRLILVGKTEWEDGSVSVKVLSSGEQFQVKLNDLE >A08p038260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22182505:22184894:1 gene:A08p038260.1_BraROA transcript:A08p038260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 3 [Source:Projected from Arabidopsis thaliana (AT1G19715) UniProtKB/Swiss-Prot;Acc:F4HQX1] MNVQGKPASVGPWGGQSGHAWDDGTFTTVRQIVIAHGYSIDSIQIEYDKNGSSVWSDKRGGKGGIKFDKVKLDYPHEYLTSVKGTYSAFDVWGNLCVRSLTFESNRKLYGPFGVESGTYFTLPKSDSMIIGFYGKAGWYLDAIGAYLKPIPKETNPTSKMVLHSPQNVPHGVKKLEHSGNIHGSVGQNFDMVGLKQKDSTLSSHEGHVDAEITKHKLVTDTEKLQPKAGGGVKIHGPWGGIGGIMFDDGIYTGVRQINLSRSVGIVWMKVCYDFKGQAVWGSKHGGRGGFKHDKIVFDYPSEVLTHITGTYGPLVYMGPNVIKSLTFHTNKGKHGPCGEEQGPSFTHKIGEGKVVGFHGREGIFLDSIGVHVMPCKISPFKPSPHNATVPHNNTGVGVVNGHGEKFERGVVKEPTPNGFGPWGGNGGKPWDDGVFSGIKQIFVTRANDAISSLQIEYDKNGQSVWSVEHGGHSGVATHRIILEYPNETLTCISGYYGPLNNSDKSLVVKSLSFYTSRGKYGPYGEETGTFFTSTKTEGKVLGLHGRSSSYLDAVGVHMQQRLDNKTQFNRTSCFKRY >A03p055570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24000498:24005146:-1 gene:A03p055570.1_BraROA transcript:A03p055570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTGSEHRVPAWKEALRAASDIAGYVLPERSPECDFVDKIAKETFKVLNKLSPSEFRGLPGIESLSDNRLEMHDLLLTMGREVGYESSIKEAGNRGRLWNQEDICSVLKYKTVSQNNTGELRWVDLSYSKELMNLTGLLMHLPTTLCKLKSLKELLLSGCSKLESFPDINEDMESLEILLMDDTAIKQTPRKMDMSNLKLFSFGGSKVHDLTCLELLPFSGCSRLSDMYLTDCNLYKLPDSFSCLSLLQTLCLSRNNIKNLPGSIKKLHHLKSLYLKHCQQLVSLPVLPSNLQYLDAHGCISLETVAKPMTLLVVAERNQSTFVFTDCFKLNRDAQESIVAHTQLKSQILGNGSLQRNHKGLVSEPLASASFPGNDLPLWFRHQRMGSSMETHLPPHWCDDKFIGLSLCVVVSFKDYVDKTNRFSVICKCKFRNEDGDCISFTCNLGGWKEQCGSSSSREEEPRKLTSDHVFISYNNCFHAKKSHDLNRCCNTTASFKFFVTDGVSKRKLDCCEVVKCGMSLLYAPDENDCRLQGLHESSLEKAVSGKETETAMDEAVVSKRGRFCIQEEELINGKRIKEELSFAEYECSVHRYIEPAKPNIW >A08p042460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23810029:23812184:1 gene:A08p042460.1_BraROA transcript:A08p042460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLWMVTSLELAELFVSAMVHLLYAFYIFSTAVAGDISKNVNDYFFKSNMDVNDSDQSQSNVEGLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRVRELFYYLKGGRVDFGEEHSEACGHSRFGRDYIKGQYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFEGFEETNENWVLSVTSLSGAFNGTTRTYLDGMRTEDGIGMKPISLLQLCRIGVIMYDWLDISWLKTYYSFGFDHFNMSWKKTGVRGLVDCLVGNTGPFATGDWILPDLTIQGSTSLNSNLQTFPNTYYFSYATKRTRRIMGMTIPSGVLGIHPMLFLRVFQMSQWRFPQDVSPPYKGYRDEEWQENDGAMNTISMTHPRLPVEHPSRFIRSDSECQTLQPGIWYYKIVEADHITFIVNRERAGVQFDLIYDSIFQRCRKHVFRKIPQTLPNQSPRAPRSPR >A05g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22450617:22452158:1 gene:A05g507800.1_BraROA transcript:A05g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTGALLSDCSDTTISIDVQKNPSIDITLYATMLTFFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQRHPWPSPENTPFDVPGYANSKAAINSKECRQHPLSDDWDDYDSLFYNAWLGISNEPTKFLDRPILKKLGIEGDLRCMPDEAFLRNPRNMSRRPTSIRRTRTRDAQAPPLPDFPNIPDIPMQDQGDFQRFVVDALQAIWARVSCHSRRATGAQAPAPAARRDPSPEDDEATDEDTD >A09p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3775060:3778132:1 gene:A09p007130.1_BraROA transcript:A09p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLIGRRKNLRHGEVLDQLLPLLSLCVDFVFDHAHSLKFPTLHAVVDQWLPLLSLCCLYVMLTKVSTFASARSPLGLSTDLLTHRSSLKKLPSIVAFKADESTNSSLIVPREQEKHKEKRVVTKRKPSKDQNLPPLGLDYNEAAARLESIYKLSPPATSVEEDVIDASKGKVSRRRKRKESGGGEEKKVVVRSNVKKEKRLNLDKRIALKRNVQEKPVIIASAKKKVTRRQQEEEKIERLVRDYSASNDVVSLDWKKMKIPPVLSSTEHTWLFKLMQPMKALLEVKDELQKSLGKEPREAEIAREINMSVAEVKKKIKVGKAARNKLIKHNLRLVLFVMNKYFQDFTNGPKFQDLCQAGMKGLITAIDRFEPKRKFRLSTYGLFWIRHAIIRSMTTSNFTRVPFGLESVRVEIYKAKMELLFELGRLPTEEEVVERLKISPERYREVLRAAKPVYSLNTKHSVTQEEFINGITDVDGVGADNRIQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHQARVDYLRQYII >A07p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20223625:20225262:-1 gene:A07p037870.1_BraROA transcript:A07p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHKHCSYMAKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPKNLWERVKLPRNYEKALETIDKHLLYWPKLLQHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRRDIKRELRREEKAVKAAVLDKAIETELLERLKKGIYGDIYNYPELEWNKVLDEEKKLAEGVEEEEEEEEPEIEYVEGYEELEEEEDMEDFSGFPSKMSNFNDDEHDSADEEDDDDDGEEQVVIHKKGKRDSRKSDDPGKSKKKKKRVVVEMEQEDGDVMRTLKTAS >A05g503620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10443271:10443477:-1 gene:A05g503620.1_BraROA transcript:A05g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDPLKPVVAIKEAKPNYVEHLIGPGDDAEEGEIVDGDVDKSGNPQFLNRIRWSICGLSTVPLFIR >A09p082330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59651682:59652149:1 gene:A09p082330.1_BraROA transcript:A09p082330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVQTPDKRKERDGVISSVVQKPPETSVKKLPPPHVVNRPPVNSNTNKSLIAAEPVGSNQLILAGYLSHEFLTNGTLFGEQWDPARAQAGTTESMKLKRSHISEPAEESEPKRKRYVEVANLLRSDGAHLPGIVNPAQLARFLKL >A09p004900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2716420:2718015:1 gene:A09p004900.1_BraROA transcript:A09p004900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEDSRLINLQHKYKQTMPEVVEEMKKIWDISFPVAAMSILNYLKNMTSVVCMGRLGSLELAGGALAVGFTNITGYSVLSGLATGMEPLCGQAIGSKNPQLASLTLKRTIFLLILASLPISLLWLNLQPLMLILRQQQDITRVASLYSSFSLPDLIANSFLHPLRIYLRCKGNTWPLMWCTLVSVLLHLPVTAFFTFYISLGVAGVAVSSFLTNFIALSLLLCYIYFEEHNNDETSLKTPLVLMSGSSDFGNDEVWSTLVKLAVPSCIAVCLEWWWYEFMTILAGYLPEPKVALAAAAIVIQTTSLMYTIPTALSAAVSTRVSNELGAGRPEKAKTAAAVAIGAAVAVSVFGLVATTMGRKAWGRVFTADEVVLELTAAVLPVIGACELANCPQTTSCGILRGSARPRVGAKINFYAFYVVGAPVAVVLAFRWGLDFMGLCYGLLGAQIVCAISILTVVYKTDWNKESLKAHDLVGKNVILPVVDQVIIKCEEGLH >A09p083230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59958063:59962924:1 gene:A09p083230.1_BraROA transcript:A09p083230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPKRKIYKSEASISLKIRKERHHRRRREAHHQSTVQIHYHPFTTLIFFTGRHNKALSVMRLNSSLASSTSISCTTFNILAPIYKRVDQLNQSNRESHSRDLWYTRNQAILELLLHQRSSVICLQEVWVANEELANMYHDRLATAGYDIFQLPRTNRRGDGLLTAIHKDYFELVNYRELLFNDFGDRVAQLLHVKSVVPFPSFNGKQDVQQEVLIVNTHLLFPHDSTLSIARLRQVYKILEYLEAYQKENKLTHIPIILCGDWNGSKRGHVYKFLRSQGFISSYDVAHQYTDSDAHKWVSHRNHRGNICGVDFIWLCNPTRGNSRKPLRTSWVEAVFSIIKYQLQKTSIAEDNKAFAILGGNKHSDSLTYSGFCQALQKVNLMGIPHGLSFQETKELWVRADLARNGVFDYEKLKKTWNMRTVDQSKKCKERVMESKKEEEAVGLKVKKAVLFPQETEKGLWPEDYSLSDHACLTVQFSLRRLFPNRNCFSMAMAPVVKLVLGSIAFAIFWILAVFPSVPFLPIGRTAGSLFGAMLMVIFQVITPDQAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGTLLSWRSRGPKDLLCRVCLVSAVSSALFTNDTCCVVLTEFVLKIARQKNLPPHPFLLALATSANIGSSATPIGNPQNLVIAVQSKISFWEFLRGVFPAMIVGITVNAVMLLAMYWRILSDHKEEEENEVSEGVVAVEEEDVTSHRFSPATLPHLSSFRSEETNGRTDPETLRNRGASSGESSASRDHQADTESQGESYPTTINSNVLLFQTKRWRRVLWKSSVYLITLGMLISLLMGLNMSWTAITAALALVVLDFKDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPTALWDLMEPYANIDEAKGTAVLALVILVLSNVASNVPTVLLLGARVAASAAAGEEEKKAWLLLAWVSTVAGNLTLLGSAANLIVCEQARRAVSHGYTLTFTKHLKFGLPSTLIVTAIGLYLIK >A03p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16978401:16979044:1 gene:A03p040680.1_BraROA transcript:A03p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGEIRKWYVAIMAMVQIVSWVSATSRHIASTQVSFHPGIISPQAAENNSRRPILTPNENTEVKEMEKGRRIGSKPPTCEKKCYGCEPCEAIQVPTISSPPHISTQYTNYQPEGWRCHCAP >A08p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4402241:4404696:1 gene:A08p007480.1_BraROA transcript:A08p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETQRPARSTASGGSRRDSSPDSLNFTPESNLSLFSSASVSVDRCSLTSDAHDRDSLVSAPSLERDQRVDPDKRGTGCKKNSRNSRKSIKVKAWKQEFVVNKEDEIQNLDSARSSFSVALRECQERKSRSEAVVKMLDNQRSTTSLDLSKKTSVSTNKSSVFPSPGTPTYTMQKGWSSERVALGRSPPNAAFLPLYSGRTVPSKWEDAERWILSPLAREEAARTSFTASRRPKSKSGPLGPPGLAYYSLYSPAVPMVHGGNRGCLTSASPFSARVLPQNGSTAFPQKTEHCMARSVSIHGCSQTLAPQDDIHESIKDAAGDARAVSRRDMATQMSPEGSIRLSPERECSLSSSSPTARSIVELLNARVNRAEAKDLQVDEKVTVTRWSKRHRSLHHGDSSNMRDHLHGQDRDPQGLTWVKTEEARIISWQNLQKAKAEAEIRKLEVKLEKKRSSSMARIMRKVKSAEKKAEEMRRSVLDNQAPSASRGKALSFRRSGKTKISSLSGCFTCHAF >A01p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18628953:18630548:1 gene:A01p027880.1_BraROA transcript:A01p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGKLSRRCYCTSVHQQPWLFLGLGNPGDKYNGTRHNIGFEMIDVFAESVGIQMNLLNFKAIMGQGFVGDLPVILAKPQTYMNLSGESSGPLAAYYKLPLNRVLVVHDDTQLPCGVLRLQEKGGHGCHNGLKSVMHHFRGNKEFARLRIGIGKPPGQMDPKAFLLQKFSMLARERIDGALAEGVEALKLVLSKDFGESWRLFNVEQKYKHLRQHTIIAP >A06p033240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17812470:17813509:-1 gene:A06p033240.1_BraROA transcript:A06p033240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNINQSENTWPTCLQVFLFSPRETLLPHSPLANLKLHKQHLNVVYIMGKIQNSPCTIICFDYGGYYIKDETEMKWISGDGEGEDEIHTIVFKKSVDEITYSALVERICRKIRVDGYKMETKISNFSMVLYSNKLSYIWNDEDVFGYLLQVNHEKCR >A05p041750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25311069:25312657:1 gene:A05p041750.1_BraROA transcript:A05p041750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAATASLIRSSVLPRKTSDNGAGSMFLTASGPGFTLPGPGRQLRFRQNAFARFSRPLQSSTARRSFVVRASASNDDASSSAAKPIAPLQLESPAGQFLSQILVTHPHLVPAAVEQQLDQLQTDRDSEGQNKDASSVPGTDIVLYRRIAELKENERRRTLEEILYALVVQKFMEANVSLVPSITPSSSDPSGRVDTWPTKVEKLEKLHSSEMYEMIHNHLALILGPRMGDLASVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKLLTGGLDESKTSVEQPENVTFKAVSSHPEVGSFAGGVSAKGFGSEIKPSRLRTYVMSFDSETLQRYATIRSREAVGIIEKHTEALFGKPEIVITPEGTVDSSKDEQIKISFGGMKRLVLEAVTFGSFLWDVESHVDARYHFVLN >A05p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4849710:4852652:1 gene:A05p011400.1_BraROA transcript:A05p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQYSSDFNYHSLMWQQQHHRHHHHQNDVAEEKEALFEKPLTPSDVGKLNRLVIPKQHAERYFPLAAATADAMEKGLLLCFEDEEGKPWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVILFHRHRVDGGRFFIGWRRRGNSSSSSDSYRHLQSNASLQYYPHAGVQAVESQRGNSKTLRLFGVNMECQLDSDLPDPSTPDGSTICPTSHDQFHLYPQQDYPPPYYMDISFTGDVHQTRSPQG >A05p050210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29034813:29035601:-1 gene:A05p050210.1_BraROA transcript:A05p050210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT3G08920) UniProtKB/Swiss-Prot;Acc:Q9SR92] MTTTLLLPQPNRIFKPLLVPNQRLRKPYRLPVISAVSGQQLVTSGEVRAVEPREAKTVLSSEGYVLLDVRPSWEREKAHVKGSLHVPLFVEDPDNGPITLLKKWIHLGYIGLWTGQRFTMFNDEFTLQVVEAFPDKKSKVLVACGEGLRSLMAISKLHREGYKSLGWLTGGFNRATEGDFPEIEGPEELRFATIGGASYYFLKLLVLLPNFGKESR >A03g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8090155:8090880:-1 gene:A03g502550.1_BraROA transcript:A03g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDVFLIFRLEVRSVFIGCRGEAASLLGGEARLIHYAGGFAPVDVNASLLPVKSTSGYKGTRRRRDFIKLETFFC >A09p083540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60141849:60150406:1 gene:A09p083540.1_BraROA transcript:A09p083540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKSNNGGSSPSAGEEFKGMIKGVSKFFMMVVFLGTIMLWIMMPTLTYRNKWLPYMRLKFGASTYFGSSGTTLFMYMFPMVVVACLGCVYLHFKKRKNPHHIVRETNGGVLSALRKPMLVKGPLGIVSATEIMFLAMFVALLLWSFITYLRNNFATITPRSAAAHGESLWQAKLESAALRIGLIGNICLAFLFLPVARGSSLLPAVGLTSESSIKYHIWLGHMVLAIFTVHGLCYVIYWVSMHETSQMLMWDTKDISNLAGEISLVAGLLMWATTFPAIRRRFFEVFFYTHYLYIVFMLFFVLHVGITFSFIALPGFYIFMVDRFLRFLQSRDNIRVLSARILPSHTIELTFSKNPSLVYSPTSILFVNIPSISKLQWHPFTITSSSKLEPEKLSVVIKSEGKWSTKLYQRLSSSDEIDHLAVSVEGPYGPASTDFLRHEALVMVSGGSGITPFISVIRDMIATSQNQKCKIPKITLICAFKKSSEISMLDLVLPLSGLQTQLSSDINIKIEAFITREKEPRSEAPTEKIKTLWFKPSLSDQPISSILGPNSWLWLGGIISSSFLIFLIIIGIITRYYIYPIDHNTNKIYSLTSKNIIYILVICVSIMATSSAAMFWNKKNKYGNIENKQVQNVDVPSPTSSPTSCGNNSLREIESSPQESLLQCTNLHYGERPNLTKLLLDVEGSSVGVLVCGPRKMRQNVAKICSSGLAKNLHFESIREMSKEVTMKVIKLLMMAILMGTIVIWIMMPTSTYRKIWLTSMRAKLGKTTNFGKPGVNLLVYMFPMILLASLGCIYLHLKKQTSVDQFRSGVERKKREKCSALRRPMLVKGPLGIVTVTELMFLTMFMALLLWSLTNYFYFTFVTVTPQSAAIHGDKLWEARLDSVAVRLGLAGNICLAFLFYPVSRGSSLLAAVGLTSESSIKYHIWVGHLVMILITSHGVCYVVYWISTNQVYQMLEWDRTSISNLAGEIALVAGLVMWTTTFPAIRKRFFEAFYYTHHLYIVFMLFFVFHVGISHSLISLPGFYIFVVDRFLRFLQSRNNVKLVSARVLPCDTVELNFSKNPMLMYSPTSILFVKIPSISKLQWHPFTITSSSKLEPEKLSVMIKGQGKWSTKLYQMLSSSDQIDRLTVSIEGPYGPTSTDFLRHDSLVMVSGGSGITPFISIIRDLIYLSSTSTCQIPKMTLICAFKHSSDLSMLDLILPISSDISSFLDIQIKAFVTRETESTHNKNIIKPLCFKPYVSDQPISPILGPNSWLCLATILSSSFMIFIIIIGIITRYHIYPIDQGLNKYTSAYKSIIYLLAISVSVVATSSIAVFSNKKQYCTKNDQVVEGLSPLVTESSPQQLLSESTSIHYGERPNLNKLLLGLKGLSVGVFVCGPRKMRQEVAKICSFRSPENLRFESISFSW >A05p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25639487:25640942:1 gene:A05p042370.1_BraROA transcript:A05p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRTRMILVLTLILMSVWGSDASAMQTTKLDAPLLTEKIATNRSIIVDIEGKGDYTSVQKAIDAVPVGNSNWIIVHVRKGIYKERVHIPENKPFIFMRGNGRGKTVIESSQSSVDNVASATFKVEANHFVAFGITIRNDAPVGMAFTSDNQSVAAFVAADKVAFYHCAFFSLHNTLFDNKGRHYYHQCYIQGSIDFIFGRATSIFNNCEIFVISDKRVKPYGSITAHHRENAEENTGYVFIRGKVYGIDEVYLGRAKGPYSRVIFAKTYFSKTVVPDGWTNWSYDGSTKDLYHAEYKCHGPGADRQRRSSWAKELTKQEVESFLSIDFIDGTAWLPVWLQQKS >A08p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18291589:18293704:1 gene:A08p029570.1_BraROA transcript:A08p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLASFFGCFVPKSSAKISSTNDSNSKVLSLEKPKSPRAPVIVSYFPAGDETLTKEMMELDKQQQPNPMKVMTDEQLETLRKQIAIYATICERLVEMHKTLTSQQDLAAGGRMGGLYTDTSICQKMTARQRWTPTPMQLQILERLFDQDTGTPSKQKIKDLTEELSQHGQIAEQNICNWFQNRRARSKRKQHCGVGSSNNKNGEGEVETETETLNEKRKRPESLFVVPDGNNNSIIGTTTTTSPRAEDLCFQSPEMSSDLHLLGVQSNTRDEHLFESYNLYDHVEDYDMSG >A06p003690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4559890:4561104:-1 gene:A06p003690.1_BraROA transcript:A06p003690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQSVASTFNGIHLNGNDESSTKKADSDSFMGVLEVFVHQARDIQNICIYHKQDVYAKLSLTSDPESSLSTKIINGGGRNPVFDDTLQFHVKSVDCSLKCEIYMMSRVRNYLEDQLLGFALVPLSEVIVRNGKLEKEFSLSSTDLFHSPAGFVELSLSYAGEFPEVMHIPAAVPTVDETEMVSIEVDESEFLDPKIVCENNQMVSSYLATPCTDSDDFVSSEGGFVEVNSVQTTVVDTVEEAAAAPAGTDFTNGISSPSIAVSSGSSGTHDDLVLSSKGKESGSDQEVKKQLSSSEENNSGSDQGAKKPADPIKNGDLDKEDGEEVVKPILTVNFEQPEQKVVQQDIVDMYTKSLQQFTESLAKMKLPLDMDSPTQSENSSSSQQTPKSANSRVFYGSRAFF >A05g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29334108:29334726:-1 gene:A05g509800.1_BraROA transcript:A05g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFTYLVEKHSEELAALDTCGNGNTYDQAKTAEIAMIAGLFCNDAGGADKIHGLTIPANGNYHVQTLHEPICVAGQIIQWNFPLLMFAWKVGLALTCGNTIVLKTAEQTPLTGFMSAGLPPGVLNIVSGFGPTAGASLTNSQVC >A07g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9533271:9535435:-1 gene:A07g504640.1_BraROA transcript:A07g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRENPEKGDEEAKETDTRTESTSMGETEGRDPDSGPSEANVRTNRRHERYARSGAEKRENEPERHGLGESSEYPVRIGVEDCYYYMKKGRCGYGLSCRFNHPPLPQRDQMRHDMVQPSPYPGAGDCIQYLQTGKCSYGPKCRFNHPPSPRDIGAIDCRQYLQTGQCSYGPKCRYNHPPSPFFQKGDCKNGSGCKFTHSMSGDGAEAEPMRQDTSWGKKRHAAKSSSRPWKRERQAHDLEEHKQKKRRVENLRIDPNVQSGEGGSQTEQRLEIPENLNVNAQEQADMERQNRQEERRLRIDNERRQARLRLERMQPRVLTNNVDQLREALPDIGIERKEGDGF >A07p013050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7720848:7723290:-1 gene:A07p013050.1_BraROA transcript:A07p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVIRGADSQCGLEVVAKVQEEIRGADSQRGLAVVAKVQEEIRGVDLQSRLGVTSPQAEGKLSVNVEEETVNRVQMVDKGDDSIKEESKTKEATAGNQEVNVWSLVFPAKTGRLFFSTQKDNEIQISVSKFSDLSVEEEEEGEIVAEFRNGLEVVVSEVNEEIEIFEGELLEDENLEQQKKIRVSPKSTFRNRFFLTKKLGEERIETSDESSKQVVTQRQNVRPARSLRSDRAIVRARSLRSDRSLRRDRPSRSVRYVATELGRSSSLLATESSSRSSVATVATRLSRSLRSDRAIVPLGSLRSDRARAEARSLRSDRAIVPLDRATESSQARYVATELSATERSSRSVPTYIATSDRPRSVAT >A06p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23807260:23810730:1 gene:A06p044260.1_BraROA transcript:A06p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:Projected from Arabidopsis thaliana (AT5G25880) UniProtKB/TrEMBL;Acc:A0A178UAR6] MSSNPTQISDDYVSENRSGVGGGISDVYGEDLATLDQLVTPWVTSVASGYTLMRDPRYNKGLAFTDKERDAHYLTGLLPPVILSQDVQEKKMMHNLRQYTVPLHRYVALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPQRGIQVIVVTDGERILGLGDLGCQCLPITIDVGTNNEKLLNDEFYIGLKQKRATGEEYAEFLHEFMCAVKQNYGEKVLVQFEDFANHHAFELLSKYCSSHLVFNDDIQGTASVVLAGLIAAQKVLGKSLSDHTFLFLGAGEAGTGIAELIALKISKETGTPIDETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHDHEPVKELLGAVNAIKPTVLIGTSGVGKTFTKEVVEAMATFNEKPLILALSNPTSQAECTAEEAYTWTEGRAIFASGSPFAPVEYEGKTFFPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALASQVTEENFADGLIYPPFTNIRKISANIAASVGAKTYELGLASNLPRPKDLVKMAESCMYSPVYRNFR >A09p071990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55367794:55373425:1 gene:A09p071990.1_BraROA transcript:A09p071990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFHRTLGSANRKKECERERKREREKCFPTRFAGPNQCPHWSLPWRFFREQQRKKKTRKKKKKKEIDFAIFVFQIRASGITSGKKAKPLISWKITTLSFSSHSFSTLQSFHQSSKYQRNAHEYTNLNLPMGAIAGEELKKMDKTQVPVAREEKIMVLVRLRPLNEKEILANEAADWECINDTTVLYRNTLREGSTFPSAYTFDRVYRGECPTRQVYEDGPKEVALSVVKGINSSIFAYGQTSSGKTYTMTGITEFAVADIFDYIFKHEDRAFVVKFSAIEIYNEAIRDLLSPDSTPLRLRDDPEKGAVVEKTTEEVLRDWNHLKDLISVCEAQRKIGETSLNQKSSRSHQIIKLTVESSAREFIGKENSATLMASVNFIDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSMGRQGHINYRDSKLTRILQPCLGGNARTAIVCTLSPARSHVEQTRNTLLFACCAKEVTTKAQINVVMSDKALVKQLQRELARLESELRNPTPASSSCDCGVALRKKDLQIQKMEKQLVEMTKQRDLAQSRFEDYMKMVEHDESSKAGTPHHNRNNKWGDGSVSEASGVVDSDRISFISDGTSTPLSTARAPVRSHPDEDLEEVLSPGRSGDQSEEYCKEVQCIEVEESASDIVSNHEERADAETRLGQSATANGGTGVAQNRNPSSVRSVRVRKSWSRGDTAPGTSTPPDALEIDYPGRPEVHGIAFPELESGSGNKLLRNDSMSSRGTDSTEAHSVGTPMVGEDGGITSIRSFVEGLKEMVSDPDNSGKMGNDIGLEAMEKEVSGTMTNWAEEFNRQREQILELWQTCHVSLVHRTYFFLLFTGDQADSIYIGVELRRLSFMKESFSQGNKAFERGQTLTVASSLKALQRERRMLSKLVGKRFSVEERKRLYEKFGIDVNSKRRRLQLANQLWSKPKDITHAVDSAAVVAKLVRFVEQGRAMKEMFGLSFTPPLPTTRRSLTWRKSMTTFF >A06g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16956608:16966838:1 gene:A06g505900.1_BraROA transcript:A06g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNQEANFYGFYTQEGVQANWNWAKIFTEQEPSICEYPTLEGDLSSSNERPEAKPVIKFKSILSAFQKAKDQEKWTRKSEDKFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHLNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKNQVSHLEPKFHKRLQRLVSDFVSLLDLLKPWFHHFYQFVGYPPCAYNILVSELKLLTKLGKRNKPQGRRPQHGERRFGDAPEAGYVEPKPPDPSWITSHHTSSTYKYLTHSYLYFKSVNEVKIYSFSGSIWPDDYLSWKRTMDDWFSYQGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGRSPEKVATNWKDLKDVMIRKYVTTLPTQETRRKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDQIRPSQIPTVLYDKYQPYEVPKSMEKNLFSPDTLARYKEKSDKPILQGKAKVSPILDKFVYKSSPTGMSHLSLSKNVKTGPEVQKDTNLTSLLESKAVHDLRNKEIPSPKKEETTSQCVTLEMDQKIVQETMQSILLKEAKPKQCQEGGDDVVIRSATEPEVNPKPYLTSQGVNQDIRALKMPYLTNQEGLNHEDNFYGFYTHEGVQANWNWAKIFREKEVINFTTQRFLSPSICEYPTLEGDLSSSKERPEAKPVIKFKSILSAFQKVKYQEKWTRKSEDMFNFPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFKQSGGIPEVLSYTIIQEISRFNGESLKSNRRYLWKDWTIFRFDPFQAIPIQPGEPDDVQNKPRHPADIIQEPEEFYNFIPCTSPHRNKKIPIINKLPYLESLAFKLQQLFFYKGKDEISIYQAFKKVPRKLSYPLKPFRFKKNQVSHLEPKSHKRLQRLVSDFVSLLDLFPFFSSYERQN >A01p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8916782:8917981:-1 gene:A01p018370.1_BraROA transcript:A01p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTSTRAIVFAIAILLVAIQTVHADYYRPRLPTLMSPPPYGAEPKPLPTPSPKPILYHPPPTSHYQPPTGSFEDQFLAPHNSVRTSLGLSPLVWDGRIASYATWWANQRRYDCSLTHSTGPYGENLFWGSGSDWTPTFAVESWTVEAKSYNHMTNSCEGGMCGHYTQIVWRDTKRIGCARVVCENGAGVFITCNYDPPGNYVGENPY >A05g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17298871:17300382:-1 gene:A05g506040.1_BraROA transcript:A05g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKLAYAGYLYLNSTPASKFYFDRNIPAIEEFTSRNIPAIEEFTSSSRGIPCIDTMEGIKKKELVSIGDLNTFISNSSVQRKPISYVKLWSLGYSNKMVGHTGCSMKLDKSGTSLRCKCVSTNITGVIRDMSKHTEQEADTLALDEISGGEGQELPRCLEELAGKDYVFQIRVSSCTSNPTAVVDGEDGQLTASASNTVEGAKIAMGVDCRERKQPHNAPQTTSHAEDRDFDFI >A02p015260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6793250:6797542:-1 gene:A02p015260.1_BraROA transcript:A02p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCIDGFKHLCTSVLGCFDLDLYKQPGGLGDPELLARDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIHFSFQLYDLKQQGFIERQELKQMVVATLAESGMNLKDTVIEDIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQTRRRDLADVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYLAGYYMLQGASSFLPVMALAPKENERIVDVAAAPGGKTTYVAALMKNTGLIFANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGERSVDRVLLDAPCSGTGVISKDESVKTSKSLEDIKRFAHLQKQLLLAAIDLVDATSKTGGYIVYSTCSLMVAENEAVIDYALKKRNVQLVKTGLDFGQDGYRRFREHRFHPSLKQTKRFYPHVHNMDGFFVAKLKKMSNMKQSSEDDDEAVETVEQADVSSDDDEEAEAMEEMEKLSVPSKQPKENKERLAKSKEKKKGKKDGKSKSKNVERKPKKKRSDWKKEIAQAREEKRRAMRENSKGKQ >A05p013860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6035147:6035702:-1 gene:A05p013860.1_BraROA transcript:A05p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTCGEDKEANGCENGHKAAVVRLEDQISEEEEEESESQCLLPPRKGGMSRSTDKIKRTVQWNDIKGDNLAEVLVYEPSEVSDTDDDDSDSCICTIM >A09p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12305528:12306937:1 gene:A09p022360.1_BraROA transcript:A09p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLLWLALVFIAVETNAAKQGKNATIPALIVFGDSIMDTGNNNRLPTLLKCNFPPYGKDFPGGLATGRFSDGRVPSDLIAEKLGIAKSLPAFMNPNLKPQDLLKGVTFASGGTGYDPLTAKIMSVISVWDQLTYFKRYISTIKKHFGEKKAQDILDHSFFVVCSSSNDLAHTFMAQSHKYDRTSYPNFLADSAVKFVRELHKLGAKKIGVFSAVPVGCVPLQRTVFGGMFTRGCVKPLNDMAKQFNARLSPALESLDKELDGVILYINVYDTLFNMIQHPSKYGFEVADRGCCGRGSLAISYMCNSLNPFTCSNSSAYIFWDSYHPTERAYQVMVDNLLDKYLSKIY >A10g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2231890:2234210:-1 gene:A10g500730.1_BraROA transcript:A10g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHWFKQLRSAFGVAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRLQLSPSASQFVFSVAFFPWSIKPLYGIISDCIPIGGKKRTPYLVISTVLSLVPWLLLGLDSTSRSSSLYLMIFLTVQNLGSAMADVVIDAMIAEAVRIEKSSFAGDLQSVSWFAMAVGGICGSLLGGYALNNLNIETIFLLFTVLPALQLLSCPLVEEIPSNEPLPELLDSNEFEEKSKMSNDTYPHTKKSNTRRRKGQKKGKKGASSGKSETHKKQSKSLASKLFQSLKAAALELCRAFKQPIILRPMAWFFIAHITVPNLSTVMFYYQTEVLQLDASFLGTARVVGWLGLMLGTFIYNRYLTNMTLRKSLLFAHIGLSITILLDMTLVSRANVGYGVSDKTMVLFGSALGDAINQLKFMPFLILSGRLCPPGIEGTLFALFMSINNLGNTVGSFMGAGLASLLGISSGSFENMSMGLAIQVFCTYIPVLFLFLIPKEATGVSAS >A10p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4540745:4541589:-1 gene:A10p013760.1_BraROA transcript:A10p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFNIIIFQALTSPKKQLESLSVSSLIHKKQSTHNSFYITVCIVLTSIIKNISYPRFVVVATTSSPAQSSCLMKIAYMLNVNMPMLLSSYEKYIYSFKIH >A05p029700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14887833:14888818:-1 gene:A05p029700.1_BraROA transcript:A05p029700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAELWAIFGPGFSGAVFGTGWWFWVDAVVCSSIQVPFLHYLPGIFASLGALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVAFVSLAASVGLLIQDSVVKTGPSTWTGVAGVFQCVFVLISGLMYWTSHSE >A06p005130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1500746:1503590:-1 gene:A06p005130.1_BraROA transcript:A06p005130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSSDLTVEAMMLDSKASDLDKEERPEVLSLIPPYEGKKVLELGAGIGRFTGELAQKAGEVIALDFIESAIKKNESVNGHYKNVKFMCADVTSPDLKIEDGSVDLIFSNWLLMYLSDKEVELLAERMLGWVKPGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFQECQARDASGKSFELTMVGCKCIGAYVKNKKNQNQICWIWQKVSVENDKDFQRFLDNVQYKSNGILRYERVFGQGYVSTGGFETTKEFVAKMELKPGQKVLDVGCGIGGGDFYMAETFDVHVVGIDLSVNMISFALERAIGLNCSVEFEVADCTTKTYPDNSFDVIYSRDTILHIQDKPALFKSFFKWLKPGGRVLITDYCKSAETPSPTFAEYIKQRGYDLHDVQAYGQMLKDAGFEDVIAEDRTDQFVQVLRRELERVEKEKEEFISDFSEEDYNDIVGGWKAKLERSDEQKWGLFIANKK >A05p015190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6723932:6725237:-1 gene:A05p015190.1_BraROA transcript:A05p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQNKNSFITIVRQMLGALVSSSSSTFLSSSLGKSSSSLSRSSVPAFSRFSVRSMADSAFKKIQIQRDDTTFDAYVVGKDDAPGIVVIQEWWGVEVEIKNHAIKISQLDPGFKTLVPDLYRGKVGLDAAEAKHLMDGLDWPGAVKDISASVNWLKANGSKKVGVTGMCMGGALAIASSVLIPEVDAAVGFYGTPSSELADPAQAKAPIQAHFGELDHIVGFSDVTAARNLEEKLKASGVAHEVHIYSGNGHAFLNRSPEGVRRRKSLGDSDDDEAAVELAWSRFNSWMKHYLV >A08p037480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:21865161:21865862:1 gene:A08p037480.1_BraROA transcript:A08p037480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMSLSQKINPRSPYYVDPDYQPDENLPMVILNQAEDNYFIWKTHFLEFLESKNKTGFCHGTVWTPDPSSGLYQPWKVCDGRVKCWMMNSVSENFRNYVRFAETAHKAWEDLHAIFVPNVDLRIYQLRQRIATLRQDGDSLPGYFGKLRRVWEELSEYDPLLECGCGGCRCEIMERAKEAREKEQLTAFVMGLDKDLSYVTTHIMLMDPSPSVDQAYGLVARAESDMKSIR >A09p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45723734:45725246:1 gene:A09p052090.1_BraROA transcript:A09p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTRILIFVWACVALLSVVKALSHEPELGSARVVFQTSYGDIEFGFYPTVAPKTVDHIFKLVRLGGYNTNHIFRVDKGFVAQVADVASGRSAPMNEEQRKEAEKTIVGEFSDVKHVRGILSMGRYEDPNSAQSSFSMLLGDAPHLDRQYAVFGKVTKGDETLRKLEEVPTRREGIFVMPTERITILSTYYYDTKMESCEEEISVLKRRLEASFVEVERQRMKCFP >A09p040410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22753340:22754469:-1 gene:A09p040410.1_BraROA transcript:A09p040410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVSLSYSIKTGAQPLFNRHGSHRLRPSCLLPLPPSIGKHITSCTRRQRSMKNPSALETSDGAVNVQVDDDEEEETCELVNRTEVSIDGVEAHLLTAVKNNNGTGLLLLSDVFGFQDSSTSDFAYRVACNGYNVLVPDLFRGDSWSKNQPESEYEEWRRGHDMNRILKDTTTLTEWMVDEFAAAGISKKLGVIGFCFGGGRVVDVLAADKNGYFSTGISFYGTRINSAVAGDVNVPVLFIAGDLDPLCQMKGLKEIVEKIGEESKVLVFEGRSHGFVHRPETPEDDRDAEEAFSVMRNWLHQHLLLGN >A03p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6291984:6292385:-1 gene:A03p015830.1_BraROA transcript:A03p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAGP22 [Source:Projected from Arabidopsis thaliana (AT5G53250) UniProtKB/TrEMBL;Acc:A0A178UC75] MSFYYIIESYSFSCSFTNRHFYLSLLRKRDSLTGHQNSHRRLTEGMASLKFPLEILAVFVIISVILLPVAHEQSPSPAPAPTSDGTSIDQGIAFVLMMVALALTYFIH >A05p041600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25255448:25256057:-1 gene:A05p041600.1_BraROA transcript:A05p041600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNMRRLPPWMTAVASSGVTAASNRTDEGEIKQEAEAPKTKKKRKTRRERDDSEEHKKVEIRRRGRVGRKIKEEEAKASIGNDVKSPEIIQSVTSPEDEEDLTVDDLLSFAQEYVKGEEEDQALPTSESMVVDVNDGSNMKASRGETNRTRDPTSDDMISLLLGPFFNTRK >A03g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29552243:29553789:-1 gene:A03g508860.1_BraROA transcript:A03g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTQDEDEKLIDHIQKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTEEEEETIINLHSLLGNKWSSIASNLPGRTDNEIKNYWNTHLRKKLLQMGIDPVTHRPRTDHLNVLAALPQLIAAANFNNLLNLNQNVQLDAATLAKAQLLHNMIQVLSTNNNKIPSSSPLTMQTNNNLFGQSSYLENQSLFGQPQNFSHIPGANHDEKMMVENQMIDQPLDSFSSLMQMDVQDDHNSLPLLVAASPEESNQSQMMIKNKDIVHRHDTSNPSSSNSSFTQDHHQPWCDTIDDEAGDSYWKEIMEQTCSEPWPFPE >A03p036640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15340940:15341451:1 gene:A03p036640.1_BraROA transcript:A03p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIILLQVVCATFVTPTTWEYVSSKAETGLKYRNKRKKDHQDELQIIPTAALAGISVGDPPEEVRLHLIRACEGLREGLSPEFLVRTGSRNSPFRYKVELGDGAIYEGTIGRETLTYRTGYRGFSTIDDVYSGVGDETKKGFK >A05g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29334834:29336671:-1 gene:A05g509810.1_BraROA transcript:A05g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMISWDIVETRRSSSAFKRFCSSSLSPEPSSSSPPPTKRPKVKIDAAIEFAAAAEPAESSSAARFWLRIKDRFRILDRNLETDTKPEADVLETPTIAGEVVTDGENSKAGKKRAKAPWAKLLCLAVCSACNTFLLKVWSFSSCPTSSVGFMFGSFIFFRQNYAVMSETFLFIDYNTSVSNCPTQRSIDCNYGDIAEEIIIPSVQFSYTQFLNNGNFVGAASGKTFPALDTRTKEVIANVAEGDAEDINRAMKAARKSIDEGAWP >A05g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23560506:23561520:-1 gene:A05g508040.1_BraROA transcript:A05g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDIIHSVGATAAHTCVDTLEGIKKKELVLIGDLNSFISNFHCSQPKRLISSTRPGLFVSIKKMGGHLCHALIATKKLERHGNSLNCTRCVTSDVTGVVRFRVELAVDDGKDSTTFSVFDKEMSKLTKLEAAVLAFDAVHEGEEERLPGFLEELEEKEFVFQIRVITFNFTPNHRTFTVSTITDDDTIANHVKEHFVGIPSNSEDNVGLAASSSGPPILGDKTGEECATETPPEHANSQKKCKCGSE >A06p029020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:220953:221655:1 gene:A06p029020.1_BraROA transcript:A06p029020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYSTPGDCFHQTFVSKSTLQRYSRPGFDWNGRKLWPRPKMETGIQPDVKTKKPLLVPNWETGNQPDLKVDNTFFIPTPMRFINLLVILHHFMYPNQASYKEIHPGLIGTTKKLCYSQTGKLESPDLKVG >A03p011960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4775325:4775734:1 gene:A03p011960.1_BraROA transcript:A03p011960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGRTHFILATFGQIIAVLCDFYSVEHFPCKLKRKFQQSQQCDSTFTIVHCLDETVMFTLVLAE >A07p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24085914:24086480:1 gene:A07p044080.1_BraROA transcript:A07p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKFLCSYGGRIIPRYPDNKLRYHGGHTRVLSVQRSISFAELAVKLGEVCGMKVISLRCQLPTDDLDALVTVSSDDDLANLMEEYDLAATALKIRVFLSPPLSTTSSSSSSNSSTSRSCSPSSPSTVSPKTCPVCVERSHHRNTNKGCYVQRSPSRDQFYLVRN >A02p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8017006:8017888:1 gene:A02p017740.1_BraROA transcript:A02p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIQTFLSQTKASEHSQEYIYIPTKQTKITMEVNQEASSMPVVPVDSFSYSWVVNSPSLEDSIDDYHQTYEDSSSSFIEMDPRLPPSRRFFINKSHESSFKFDNFVSFSDEDHSLVHADELFRDGYVMPYLSKATSAATEEEYEPLDKKTEKKMETRDIKSKSPSSCRKLRRVSKWVLLFLTPLCKRLRRCRTSRSSGGIGIDSRIRVTTLSRSRVHSDEMTSSPRISVADDYYWRRSCDSESSIYEAVLHCKKSFEK >A09g514700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43778011:43779594:-1 gene:A09g514700.1_BraROA transcript:A09g514700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYNRLEKGKGQAPPELPAKRPPVRIPANDDEDLIEANRLTIIGRELGACTLKDEKEAKIWVEVDGLNPLAMNLEIELPSGDVTEVEFEYIKIEKHCFTCFSLFHEENECPYRPLNYLPPKERNLGITQRLALQRIEAEKQRHDDRRGYRRPEAPRVPLRSAENSYTFSSRHRDRDYNRRYQSRRQDHTREQSILSRTARSNTEYHRTNTPSLQYRIVERNSTNGNYANSPAPVQNDSRLTGHEDQRASPIISIASPIPNATIDITPSRALKDRLGSPKGFCNSEKENSGSRERRSALERLSEPSPPARRTPTFEPHGKDVVDQSNGESQSSGDRIHASLRLGATGSRTGPRRGSIPVAPQSKMTTKRKVPRTPIRKRVVRSPLTGLHRRPTATRASTSTRRKLVIDKDNNIP >A01p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14597623:14599940:-1 gene:A01p038430.1_BraROA transcript:A01p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLNSLPVIHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQGSYDRSRGNRRNWILVWEDGFCNFAASAAEVNSGECSGGGDSSTAYGNSDYQQYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNSADSYPRTWEAQFQSGIKTIALISVREGVVQLGSVHKVVEDLSNVVMLRKKLSYIESIPGVLLPHPSSSGYPFINASPSDTWHFPGIAPPQSQTEHHQQHFYHSDHNHRFLMSNHHQPQAMKITPSMSSLEALLSKLPSVVPPATQPGYFPFHHSAKEEMSQEDPNDAFRSQRRDLVGEGSNSKNNNHYNSNDSYNNNCSDNNYDRENKIGGILGQDY >A05p054550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31243579:31244569:-1 gene:A05p054550.1_BraROA transcript:A05p054550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCNLKQNAFESRGGGVVPVSSSSVVCPKPRRVGILSSNVLRPFRLLHSSQSGAADVCDSKAGADLLDIILRKDETLSAVVASSPPFFLGSPPSRASNPLAQDARFGDDKRNPISPSPSLSSPSSSSSRVKGGGCGRVKFGIKQAAVRVEGFNCLNRDSSIPAMA >SC187g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000056.1:121433:129039:1 gene:SC187g500030.1_BraROA transcript:SC187g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKMEFVPHLVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEGDASMSTDEFLAIMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLVIQHLIGILILSYEHGLSLSVDHYEAILRLQLVTDTDKHRLAPRKLMSVVKKFISNFNSWKKFFFFVRVDAASVEESCIPLFRRLPNDHPFINPLAPFPEDIIAVRDVLRNGPFFWTSFTPKRVRKALRFVQPGPALAADTGSDSEPDDQNPVETPTAMSESSSWKGKYVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAKKAERGLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFCECRGSVGSLWRTRADDYVFEEEMSLMKSGMNERADAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFVSSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQFWKGSTMARDQEGRINTAKTATLQKIMESRIRDQQEHDEHMQKRMRQSSPRRYQKLEPKPPDFVQYGSSKNRRFKEEDAGRRREPHLKQPASTWSRSHQTSRNFKSDDHFSYNQTMAKPKIYVFTGEGDYLKWERTVTKWLCYNRILKKDALAYVMSQLKGNVYKWLLQEEDDRMYYREPAITTWEDLKFLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSTAPIQEDQTEIPTIAIQKYDQPIKDDLILFKQDVIEEEAPMESKSDSGVEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVEFSRHELVMEHVVFEPGGKLWNHRNNPIVIEKKSAATTIVFGDLLPSEAKEMHVSAQQDFHYETNWRMLPTLSWIQQTGKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWAGRLQIYLWKPGAYDSTLIILGECSACARTSLGNKELEADQNALLLDHVKSKGEVITGVGGKLMFSSQNKEKPPDGLSLHQSPNKSARGNYLDSKKRMKPDLLSIGTGQTVLSAILFERRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGN >A09p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13106265:13106992:-1 gene:A09p023820.1_BraROA transcript:A09p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGALLFQLLSEALGLNSEILKKIDCLKGLFMLCHYFPPCPQPDLTLGISKHTDNSFLTLLLQDQIGGLQVLHKDYWVDVTPIHGALVVNTGDFTQLITNDKFSSVEHRVRATEMVRGFQLRASLARTCFQIPRFTNRSKSFCLMKTLLSTEIRPYQSTLQDTLRVASMENHICLNLEYEIKTCPSWRNDNKIFVSLCVLLLLKQCNKDNSMF >A04p029660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17738489:17739916:-1 gene:A04p029660.1_BraROA transcript:A04p029660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMKTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKDYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGITGPKTPLPDVVIIHTPKEEEVNSAPAQVDAPAAFVPEAPLTAVDYPEMIPVA >A06p037480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20327447:20328858:1 gene:A06p037480.1_BraROA transcript:A06p037480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIAEGLGLRHEALKEGLGGEKSEYVLRINNFPPNPQPDATLGLPEHTDIVALALIVTNEVPGLQVFHEDHWFDVQYVPSSITVTVGDQILRLSNGKYKNVLHRVTVDKEKQRMSWPVFVDANPDVVIRPLPELITGDNPSMFKPIVCKDFKYRRLFKLPVD >A03p030590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12900570:12904131:1 gene:A03p030590.1_BraROA transcript:A03p030590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTDTSLISKSRKNIKMASSKVSSMLLFLFLLVLVFHQMDKALGEQIQLSNRKLKETGHHQQLTAGQRRVLARFDHKRAADWIRKGAKAVCKIYCPPPPPQERFVYFNQRYCVLRRNCQETLCHKASVCVEESSSAASVPEMRLVNKKIARLGAQMIVLERSFMGATMAFARRQVGVFLYSASGRFSSRNEYSTVASKLEKSPAVSDQMSLIKQLRQRTSAPIKDVKASLVECNWDIEAAQKDLRKR >A06p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4961740:4962415:1 gene:A06p002810.1_BraROA transcript:A06p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQLSQVLIVLLFICVLPCLTESALPSHQQPFPVTGRRLMSIYRPNGDIFAGPSSSGHGGGRTPAP >A09p005740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3109533:3112225:-1 gene:A09p005740.1_BraROA transcript:A09p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSYDDDSKRKRRYVVISISSVLLVSMVVAVTIGVSVNSDNGTKEEITASVKAIKDVCAPTDYKKTCEDTLRKDAKDTSDPLELVRTAFNATMKQISNVAKKSLTMIELQKDPRTKMALDQCTELMDYAIGELSKSFDELGRFELHKVDDALIKLKVWLSATISHEQTCLDGFQGTQGDAGETMKKALKTAVQLTHNGLAMVSEMLNYLGQMELPEMNSRRLLSQEFPSWVDGRVRRLLNAPLSEVKPDMVVAQDGSGQYKTINEALQNVPKKNNATFVVHIKSGTYKEYVQVNRTMPNLVFIGDGPTKSIISGNKSFKDGITTYRTATVAIIGDNFIAKNMGFENTAGALNYQAVAVRVLSDESIFYNCRFDGYQDTLYAHSHRQFYRDCTITGTIDFLFGDAAAVFQNCTLLVRKPLANQACPITAHGRKDPRESTGFVLQGCTIAGEADYLAVKETSKAYLGRPWKEYSKTIIMETFIPDFIPGEGWSPWQGNFGLDTLFYSEVRNTGPGAAVANRVTWQGIKKLSEEEIVEYTPAKYIQGDDWIPGKGVPYTPGFFAGNGSATGAVYLI >A05p051390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29946241:29957329:-1 gene:A05p051390.1_BraROA transcript:A05p051390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLASQLQAIKAVVQADTEPSKRPYTRPSILFTPKEAADFDIESIYELGLKGLEVLGNKDERFKNFVSDLFSHKSREIDRELLGKEENAKIDASISSYLRLLSGYLQFRASLETLEYLIRRYKVHIYNVEDVVLCALPYHDTHAFVRIVQLISTGNTKWKFLDGVKNSGAPPPRSVIVQQCIRDTQVLEALCDYGSRTKKYQPSKPVVSFTTAVVVEVLGSVAKVDGDIVKLILPFVDSGLQSAVKGCLDQQAGALMVVGMLANRASLNDNLIKRFMRSIIDVAREHAKESSDPHWLRLSFMALINLVQLQSVDLIPKKALDLLKEIRDISGVLLGLSKEFNIKKFLTVLLDSLLLYCSSDDQCLETLISIIDSVPVENLVDHLISKVFSTCMTQYQKNKSDLTSSTSGSWAKKILAAVSKKYSVELRAAVQKFMEDTKGLSKKEDLKLEMLSRMLDGNSDMSLPFVDSKLWFRLHHPKAAVRCAALSSLNGDLKRDISKAENLVTIQDAIVRQLWDDDLAVVQAALSLDQLPNIVTSSSLLDALLHVVKRCVGIIQSGLAQNVQLAADVVALSLKIAVSSFCNQPETTEKVASAMFPFLLVLPKTLNLNLNVLTLGKDVNWPLFKNLGADDGMKKLPVMSGNISSISMDIINSLGEALSLKPDERRIELIESSCNFKLSEVLESCSNIKLTEHERNKLQEGLLIRESVSALNMDVVNKLVEAFILHPSDVIQWLTVTCHEAPLAKTLFFVVLMQSLHKMSSSSDPTQFLDLFELCFPVLKTEWEELDVAVEVSLKELSKSNCQELLYQLSDTSDFTELNSKILICLFWKLVESFIKLEPAHVSSVFSERLCSGLEDLFIFFATTRSQHVFKEHLHYRVREAKVCPVLFLTRLLSREDVPRMVRIESLKCFSYLCSNGNSEWSSQIFFSFPVLLVAISSDNQDVKVAAMNCFEALYNLWCRVDSSNKNGSAAIYSSSFDELLGMIVQQRRLILSDNKFLPSYLTSLLSSTPNDLLVPVDLQKRFDQPTKESILSFILLCAQELPAYGKLRVISLLKGLGISLLHGENVKLLSQLLDKRSQYYIKLDRTSPPMSDTEVDLLCLLLECSMMRSALFKGQSLDDDILNALKMDRGESEHPAVISPCLTILEKLSNQYYAELKTEVQIRFFLKLVSMFRSTNGRIQTAAKEAVLRLKISCSTVIHTLDHITQQDNLVIDSLNKKKKQKKSVSCPEEDVNGGELLRGEKALCFIASLLDMLLLKKDQAHRESLVGPLFKLLGRSMSDEWVKIASSAEETSVEFPQDVREITHASISSIQETVLLLLKDIFDSPKINPLKADITNEIDVKMLVEFAHSSNDVVIRNYIFSLFTSIVKIVPDRVLDHIISILTLVGESTVTQIDSHSKSIFEEFISSVIPFWLSKTKSEEQLLEIFVKVLPDIVEHRRRSIVAYLLGVIGEQNGLPSLLVLLFKSLISRKDSAWLGNVQNSDSFISDIKREWEYAFAVEICEQYTSSTWLSSLVMLLQTISKDSSSKECLFQMRLVLEFMFEKLQDPEFAFAVSLEPRTNVSVGIQQELQELMKGCILLLQAVDSTKEKDVTSAVRKEIRMRIHDVLMTVTGVMDLSIYFRVVTSLLQQQSDRNGTRKVLGLISARAKDSSTSKPKHKKRLPNQKRGNPWLNLDEAAVEAFGKMCEEIVNVIVETDEDSDVPAKRAAISTLEVLASRLPSGHPIFSKCLASVAQGISSKNLGVSSSCLRTTGALINVLGPKALVELPRIMKNLVQQSSEVSSASTGNATAEEQLLMMSVLVTLEAVIEKLGGFLNPHLGDIIRLVVLHREYVSDFDKNLKSKANAIRRLLTEKIPVRLTLQPLLRIYDEAVSSGDASLVIAFDMLENLVAKMDRASVVCNHAKIFDQCLAALDIRRQNPATIQNVDDAERSVINATVTLTKKLTESEFKPLFIRSIDWAESEIVDGSGNESKSVDRSISFYGLVNRLCKAHTSIFVPYFKFMLDGIVSHLTSAAGASVSTRKKKKAKIQETDDTLPPKSWHLRALGLSCLNNCFLHDIGSLKFLDANNFQVLLKPIVSQLVVEPPSGLKELPNVPSVEEVDELLVACIGQMAVASGSDLLWKPLNHEVLMQTRSEKLRARLLSLKSVKQMMDNLKEEYLVLLAETIPFLGELLEDVDLSVKSLSQDIITKLEVMSGEDLAQHL >A06p048900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25862368:25884845:-1 gene:A06p048900.1_BraROA transcript:A06p048900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAAIWGITGTTVTSFMFIWAIYSQYVPRHLRSYIEKYAYKMIGWVSLYVHIKFNEYTDEGLKRSENYDAISNYLSTNSAARALRLKADESKNSKALVLSMDDHEEVEDVFDGVKVKWYSNVKEIQTQSSGYGRSSSGERRFFTLTFHRRHRGMIIESYITHVLREGREIGLRNRERKLFTNNSSSEWYAWRSGKWSNVPFHHPATFETLAMDPEKKEGIKKDLVRFSKGKDYYKKVGKPWKRGYLLFGPPGTGKSTMISAIANFLEYDVYDLELTTVKDNSELKKLLLDTKGKSIIVIEDIDCSLDLTGQRKSKKEEGEEEEDEEEKKKEEEKKKKMEGERQSKVTLSGLLNSIDGLWSACSDEKIIIFTTNFVDKLDPALIRRGRMDNHIEMSYCRFEAFKVLAKNYLEIETHELYGEIERLLEETDVSPADVAETLMPKSDEEDADVCIKRLVKTVEEEKEKAKKLAEEEEKSKAEREERRRKKKEEAEEKKKKKKKEEKDKKVKGSEENSDLHESNESERERDTHNAIMSQDQKLLLLQKKKSKRSLIEILMGSIVKPLFGDNLTTIGSNIAGLLFIIETLRRYFPGQLKVTIQELLVNAIHRLPFFKKCADKTLAFFSPYAQIRFTEIEEYRYNYAYPAIKTYLGAQVNPQVKNLKGSQMRGRKSLDFKRDDDKLEDEYEGVKVWWEVVKFNDGANICRLTFHRSNWEVVTGSYLKYVVEEGKSIEEKKKKVKIFMNNPSLNWEMSTMNLWSSIDFEHPATFDTMAMDPKKKDEIINDLLAFREGEEYYKKIGKAWKRGYLLHGPPGTGKSTMIAAMANLMSYSIYDLELTSIGNNWELKKLLLGTTSKSIIVIEDIDCSLDITGERGVKEDQINAEIKKVKKKNAVTLSGLLNFIDGIWSACGQERILVFTTNHLGKLDQALIRRGRMDMHIELSYCRFEAFKILAKNYLNLDSHLLFGEIETLLEETNMTPADVAENLMVKDGESGVDGPLKGLIRALEQMKLNQHSNEQQKESRERARDREKHNAIMSQTSVRKDQKLLLLQTKKSKRNLIEIFMGSIVKPMFGDNITTIGSNIAGLLFIIETLRRYFPLHLKITIQELLVNAIQRLPFFKKCADKTLAFFSPYAQIRFREIEEYKHNYAYSAIKTYLGAQVNSQVKNFKGSQARGRESLDIKRDDDKVEDEYEGVKIWWEVLKPTDGVKMCRLTFHRSNLEVVTGSYLRYVVEEGKSIEEKKKKKVKLFMNNPSPNWKMFTMNLWSSIDFEHPATFDTMAMDPKKKDEIMSDLLAFRDGEEYYKKIGKAWKRGYLLHGPPGTGKSTMIAAMANLMSYSIYDLELTSIENNWELKKLLLATSSKSIFVIEDIDCSLDLTGERRVKEDHQSNAEIKKEKKKNAVTLSGLLNFIDGIWSACGQERILVFTTNHLGKLDQALIRRGRMDMHIELSYCRFDAFKILAKNYLNLDSHLLFGEIETLLEETNMTPADVAENLMVKDGESGVDGSLKGLIRALEQMKLNQHSNEQQKKIKRWFPHVGDHLEPFFQRLFSRFYPYIQIKFHEYSGEHFKRSEVYSGIQSYLSKDSSLRAKKLKANTTKGSKSLVLSMDDREEITDEFESVTVWWQSKKVRNTRQSFSFYPAADEKRYYMLKFHRRDREVIIERYLEHVVKEGKMIDMKNRERKLYSNTPGQNHRNQTKWSHVTFEHPASFDTLAMEEKKKEEIKSDLIKFSKSKDYYKKIGKAWKRGYLLFGPPGTGKSTMIAAMANFLEYDVYDLELTTVMDNTQLRSLLIETSSKSIIVIEDIDCSLNLTGQRKKKKEEEEDGDEKKMMMKSEGEKKESKVTLSGLLNFIDGLWSACGGERIIVFTTNFVDKLDPALIRKGRMDKHIEMSYCGFEAFKVLAKNYLDVEESELFDEVKRLLEVEEIKMTPADVGENLLPKSEGEEGETCLKRLIEALKEAKEEAKKRVEEEGMGLEELKQKLEEETALNHISICSKNPLNGKLYPLRLHLPPNNTKMNVVLFPSSTSKGDDASTS >A06p043940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23638719:23640896:1 gene:A06p043940.1_BraROA transcript:A06p043940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTVVSLVFLLIATANSAVVPFRDGILPNGDFELGPKPSDMKGTEVINKMAIPNWEVTGFVEYISSGHKQGDMLLVVPAGKFAVRLGNEASIKQRLKVVKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPVQTVYSSSGWDLYAWAFQAESEVAEIVIHNPGEEEDPACGPLIDGVAMRALYPPRPTNKNILKNGGFEEGPLVLPGSTTGVLIPPFIEDDHSPLPGWMVESLKAVKYVDTEHFSIPQGRRAIELVAGKESAIAQVARTIIGKTYVLSFAVGDANNACKGSMVVEAFAGRDTLKVPYESRGTGGFKRASIRFVAVSTRTRVMFYSTFYAMRSDDFSSLCGPVIDDVKLLSVRKR >A04p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3908454:3909134:-1 gene:A04p012690.1_BraROA transcript:A04p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSASPVPQTGIPGIHHSIFESLLLGRSSQSIASGLLPFGIPITSRKTVCLWESRFSSLMKRFIPAGRANHYMPSWKADVVGQIHYVQGSDSFQIIFFPF >A06p033900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18321831:18322438:-1 gene:A06p033900.1_BraROA transcript:A06p033900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNKGRQLPKFGEWDATNPGAAQGFTVIFNNARDDKKTKKTAVAAPESLVTPPTNNEPHQNNNHRHHRHQQNNNHRKKQEMALLPLSPVRALFPKRNDKEADRLSRVVFNK >A08g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15925135:15925878:-1 gene:A08g508610.1_BraROA transcript:A08g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILRKKLMLSILCSNSETSLVLASTDQIVEHINEIEIEQLQSQKGVELKDLSMSSNLPIHSKVTIAISSNSQFTSPPLAGISAPAEPTIMEEIPSPIIVSKTNLEVGDNSLAFTPTHDNLSHNHTEQPNVQDDEDGLMSDAMANLNTSRGGSEAFRDRTRSVDSKNTLKFEFVNTLHSA >A10p020940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14144628:14148454:-1 gene:A10p020940.1_BraROA transcript:A10p020940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative terpenoid synthase 16 [Source:Projected from Arabidopsis thaliana (AT3G29110) UniProtKB/Swiss-Prot;Acc:Q9LVP7] PKLIDPITLTVKIESMAVLGARHGSQFSLPSRTKMFREMKISRFPLTSFPRKKAKHVSLKATPTCDGRTFKKMSPSEWTNHFDYVSIDVSEMDALKREIEALKPNVGKMFMSPKGDDSVKKRILSIYLLVSLGLAYHFEDEIEESVRDAFEKIDEMMAGEEDLYTVSTIFWVFRTYGYNISSAAHLGTTTEYIMDEALSFSSKTLALIAEDHMFPSHLLRHIQNALALPQRWNMEVMVAVEYIRFYEHEVGHDEMILKFAKLNFNLIQLHYLRELKILIKWFKDYDFASNLPRYYREVIVEMHFFSLAMFFEPQFSHCERELRLQKRSYSVEETRDEYKLFVKSNLDLAKLAPAGHVPSFEKYMEVGKVEVGGFIILASALMGIDDMDEVQGYGWLKSRSKLLQYLAEMLRLMNDKTGFEIDMNRGYVTTGMNCYMRQYGVAEREASREFQNIIEKTRKLMNEELLKTSDVPRRVLKTALDCARTGCIAYKAGEGVTNPRGKITKHIISLYVDKICL >A05p046870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27771794:27776476:1 gene:A05p046870.1_BraROA transcript:A05p046870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HUA1 [Source:Projected from Arabidopsis thaliana (AT3G12680) UniProtKB/TrEMBL;Acc:A0A178VA41] MAAHRHQLFTYALQPSLAAASTASPAPPPPQPQQQQNLSLSSLYASSAADRYYPDATFRFLSRDGSESLTNNYQPTVASSSSSSAMYHHHLPNAAAASHLAYPPQLMQHQEAWPPGVEPPTAAAVEPLPPGVKRTSEALYYPTLYGAHNPMGQTEAWYTTDYLTKRLKLESTSHLPVYPQRAGEKDCTHYMQTRTCKFGEGCKFDHPVWVPEGGIPDWKEAPVVPNDEYPERPGEPDCPYYIKTQRCKYGLRCKFNHPKTAAAVTVETPDALPERPSEPPCTFYMKTGKCKFGLTCKFHHPKDIQLPSSSQDNGSTEAVTSEPDVTNNPHVTFAPAAYYNSKGLPARPAEVDCPFYLKTGSCKYGATCRYNHPERTAFTPQAAGLSYPLVSPTSASVNLGLINSAASLYQTLAQPSVDVYNHALGALTATYPQRPGQPECDYYMKTGECKFGERCRFHHPADRLNATSKQAPQQPNVKLSLAGYPRREGAQNCPYYMKTGTCKYGATCKFDHPPPGEVMAKTASEAEADAAAGGATDTTHYQDPLLGLSYRNTMQPLPQLQHGCLHGEE >A07g503920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7705603:7705920:-1 gene:A07g503920.1_BraROA transcript:A07g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEVEALRWAMENILQHSTCQSFVTDCKELIAMIKKPHAWPSLATELESIETLQICFPVFKFTYIPRSQNQISDSLAKTARSFYREFCFIDCSILVWLLRLPQV >A02g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18234777:18237768:1 gene:A02g506440.1_BraROA transcript:A02g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHILSVSVLSILLFEYGNSGDILRSGDRWVSVKGGTLVSARETFEAGFFRFAATEGWFLGIWYKNVPERTYVWVGNREAPLYSSNGTLEIYEGQLVIRYKSKLDVWWSTRNERRENSSSSTTTVAQLLENGNLSFDEPTDTILPEMKLLWHYQTVHMNKYLTSWRAVDDPQPGDSVLRFENAEYPVLTTWRNANPIGAPPLFRLTWKAQQVSCLSITTDNRSYTRVQLCHDGSVRHYAWNQTRKKWDQPWSSFLEVCDMYSQCIPNAYCSRGVSSLVCECIPGFEYSGLNLTRKECVRKKRGTCNGDHFSLLPKMKSYPSITMRSLETGNRSCITWSGDLVDIRSYSDEGLDLYVRTAAGRGKKKSETGLIVGTCVCFSVALALFALFCHCKRKKKREERERERATTAAASIEIMMPRERENAIEDQISAPMDFAMILNATDNFSQEIGHGGFGYVYKGVLASGEEIAVKKLSEISKQGLDEFRTEVRSISRLRHLNIVRLYGWSVYKEEKLLIYEYLVNGSLERHLFGGGELNWETRFHIIKGVAQGLAYIEEGGYDLILHRDLKPDNILLDRDMTPKISDFGLARMCARSEKEVFTQHTAGTHGYVSPESLLHGIFSSASDVFSFGVIVLEIVNGKRNRSFSSSIGYLLGYAWNKYNEGNLSEIIDEKIRQDCVDSWQVLRCIEVGLLCSQYFARDRPKISLVVAQLQQETIEIQKPKHPGYYPIDEGKHGIPSSSNVKGESSTSTSNTVNGYTFSEIDAR >A03p057270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24824776:24832046:-1 gene:A03p057270.1_BraROA transcript:A03p057270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase SOBER1-like [Source:Projected from Arabidopsis thaliana (AT4G22300) UniProtKB/Swiss-Prot;Acc:Q8GYK2] MFLTLSQRLLSLSKNGDQKDDSHDTVPIPVSHRRLVRRRLLSLCSVDSPPSRMTKKKKPKKSPTRSPSKSSPRASPVNSPPPASISIEENTILDVVETVSDAQSGHQADAVAQQPGGVSDLVSISKKVIDASSSDPSAVRKISPFTQSEPISADNPQSKSGPVEDVVATFNEELEISVSPQLEIAVAKIDSSSSQLAIVTPVIAGDLVASAADDALPILVEALKKNQPGRKTRRGRSKEKTEWKVVEHAAAPGINATDPHPPTSNTVEESVRMPPPSGKVQTEIILKSKLGTEKDKALGESSTTPSYLKCVRPRSGRSGSATPRSSNSDIHPDSSDVETSDSDLEEGELSRIDIDMRRSTLTKPIVLLSIALLSTVLFFAFFFEDKPYSSSLQNRDSMARTFILWLHGLGDSGPANEPIKSLFKSPEFSNTKWLFPSAPPNPVSCNYGMVMPSWFDIRELPLTAGSPNDESSVLEAVKNVHAIIDKEIAGGIKPENVYICGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFSSSVINQFTKDAIKTPILWSHGIDDKTVLFEAGQAALPFLQQAGVTCEFKAYPDLGHSISNEELQYLESWIKQRMQTSSSSS >A09p019570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10357929:10358222:-1 gene:A09p019570.1_BraROA transcript:A09p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTGAICIALVIVLVSSLDLTSAAVEEEIKVACVVTELIPCLESSIIGVHPYPECCVTLKAQQSCLCGYIQNPVYGGFFKNAHSVFTGCGVPYPTC >A09p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9985703:9988106:1 gene:A09p018900.1_BraROA transcript:A09p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAEFKLGLFVVLQFMFLLSLCSSEEFLPQISPDTSPQPFLPFIAPSPMAPYINTTIPKLSGLCSLNFTASESLIQTTSHNCWTVFAPLLANVMCCPQLDAALTIILGKASKETGQLALNRTQSKHCLSDLEQILVGKGASSKLGSICSLHSSNLTASSCPVTDVDQFESAVDTSKLLLACEKVDPVKECCEQACQNAILDAATNITLNASEPLMDNSVRISDCKNIVHRWLATKLDPSQAKETLRGLANCKLNRVCPLVFPHMRHISANCSNELSNQTSCCRAMESYVSHLQKQSLITNLQALDCATSLGTKLQKLNITKNVFTACHISLKDFSLQESGCLLPSLPSDAIFDQDTGISFTCDLNDNIPAPWPSSSQSSASTCKKTVRIPALPAAASSQPSLYNEGVKRLVIFVLSMVLVMLLS >A02p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13669256:13671269:-1 gene:A02p026010.1_BraROA transcript:A02p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G72810) UniProtKB/Swiss-Prot;Acc:Q9SSP5] MASFSLLHSATYFPSHSKTSFKPHSTASSTVRCTSQTTPSPAAPPQKHRRSDENIRDEARRRPQLQNLSARYVPFDAPPLSTESYSLDEIVYRSQSGGLLDVQHDFAALKRYDGAFWRNLFDSRVGKTTWPYGSGVWSKKEWVLPEIDDDDIISAFEGNSNLFWAERFGKQYLQMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPADKISTAQLVQPIANGAFVLSLDTDFDGCMHLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYLHYKSGFDQDFNPMKADTTFASAIQIGDPVSIDRAVYALKKSNGIVEEATEEELMDATALADSTGMFICPHTGVALTALMKLRESGVIEANDRTVVVSTAHGLKFTQSKIEYHSKNIQEMACRLANPPVKVKAEFGSVMDVLKEYLKNNESKNVD >A09g508080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22936978:22937266:1 gene:A09g508080.1_BraROA transcript:A09g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGKWNQPKNAPQCLLCHLETFVWTRPDWLGNARHLTKATFIIDHIEPKKLFRLAKRREMLNVLPDVVRASNSCQLVFESE >A03p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8245392:8247348:-1 gene:A03p020100.1_BraROA transcript:A03p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCPGFYSETVKSQNCGCSPDLCCSQFGFCGTGNDYCGPGCQSGPCTTQAESLGTIVSQSFFDGITNRAGSDCAGKGFYTRDAFINAANTFPSFANSVSRLEIATMFAHFTQEVGYFCYIEEINGASQNYCNDKDFPQYPCAAGKNYYGRGPIQLSWNYNYAPCGQSLGLDLLSQPELVGSDPTVAFRTALWFWVNNVRPVLSQGFGATIRAINGKVECDGASPDKVNSRIRYYREYCEQLGVEPGSNLSC >A04g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7046547:7046905:1 gene:A04g503210.1_BraROA transcript:A04g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVNKEGNSWTASLRFSESGGMYYITRGWGKFCRDNRCGIGDLFVFNLVGDGKTTPLLCVCPESKECSELLSKHLSRKRGDIASSSR >A08p020520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13868928:13870616:-1 gene:A08p020520.1_BraROA transcript:A08p020520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVVRFGFRKADPEQWEFANDDFVRGQPHLMKNIHRRKPVHSHSSPSLQPHPLTDSERQRMNDQIERLTKEKEVLLEELHKQEKEREMFQQQVKELKDQLQHMEKRQKTMASFVSQVLEKPELAFNLSPCLQETNERKRRFPRIGLEGSTSPSSQARELQVEQLESSIAVWENLVSEDSSESLGQETRSMMTLDVDESSTCPESPPLPCIQLSIDTCPTSPRTIDMNSEPDASKEQNTVAPSPPLSAAGGNDVFWQQLLTENPGSTGQKEVQSERKDDKAEKCWWDSRNVNTLTEQLGHLTS >A06g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20197494:20203097:-1 gene:A06g507290.1_BraROA transcript:A06g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLRATYQGRSRSRATRWSDCTKSLAFSRPETHNSLSERPLAATQRGRSRSLERPVQSDREKSLAILVLGDKKALSERPLAATQRGRSRSLERLVGATSRGRSAPIICSISILLKGLLPPEADYLLIFGSIEKYTRTLLRSQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A07p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19217644:19224556:1 gene:A07p035500.1_BraROA transcript:A07p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHVVKEMAEKMATKYVFMVSVYLSLCNSFSHERFLLTSLLVRVTKRVHEAYFANLSKVGNALIDCLSFDLQPSDAFNIAVRCKPKGQACLDTKEFNILSKWCKLPKGQACLDTKEFNILSNMVQAVNDEAGFEGCSSNDERRERRSDLEVSEDEKRTRIGTFKKKAAKASSKLRHSLKKKGSSGRRRSTDRNFSLTIEDIHDVEELRAVDELRSLLLSENLLPPTLDDYHIMLRFLKARKFDVGKTKLMWSNMIQWRQEFGTDTIVEDFEFQEVDEVLRYYPQGYHGVDKEGRPVYIERLGKVDPAKLMQVTTLERYIRYHVREFEKTVSIKFPACCIAAKRHIDSSTTILDVQGVGLKNFSKPARDLIIQLQKIDNDNYPETLQRMFIINAGPGFKLLWGSVKSFLDPKTVTKIHVVGNKYQNKLLEIIDASQLPDFLGGSCTCADEGGCMRSDKGPWKDPEILTMVQSGGTLCSHASVLNSASRMNSCDKPSFSGIKVSDTSTAESSSELEEMASPKANKDSHVPKLTPVCEDIRTNGRSYPTDSSEYDSPMVDKVVDVAWMANEKPKTPQGSKDTPGVGKLGPVSHIFRWLTTLFTFLISMVALPQSKEEHSQSESSVDEPIARESRPPSPVYSTVRERNLVSSVVNRLGDLEKQVETLHSKRHEMPREKEELLNTAVYRVDALEAELIATKKALHEALMRQDDLLAYIDREEDEKYHQRQRESLDYYFMREGGLGRGYAL >A04p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8911225:8912438:-1 gene:A04p007390.1_BraROA transcript:A04p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPDLEFKLEFSKRGSFAQVLECSDNNNKEAVAIKLVDVLQRLTRHDLVVLGKNIAFWDKLFHSLQLRANTNLVRLSCLRSLNQAYMIFEVQRLNIKTITIYIVSTRHYRAPEGLDETIRVICGVLVAYLLKFGEALFQTPENRRSERYFRRGAKLDWPEGAASRDSLKAVWKLPRLLLIMQHVDHSAGDDPTKCSL >A08p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2714640:2716332:1 gene:A08p004630.1_BraROA transcript:A08p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSVYPSAVTVCKTDAAWSKLSMQAGLAWTFSNLNSIPPITKSNTQSFDSSPFMAEALAMRLALIKGAILGISILKVFSANQTLMGVIFKYLQIVNHEACRVVETMWTQDAELTWDKLEEQVYTVEKRQEINLGSKAGHLSYWCDPEGEDVHDFSMQKTIMWKDGRYGHWNVRMKLLVRGINEAAWIAVKTGLVCNQAGGEEHGDGHPEVVGAFLMGEKNISIVEDEDIATLVG >A03p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21952775:21962973:1 gene:A03p048370.1_BraROA transcript:A03p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein 1 [Source:Projected from Arabidopsis thaliana (AT2G14580) UniProtKB/Swiss-Prot;Acc:Q9ZNS4] MKVTNCSRLLLILAALVGALVHPSKAQDSPQDYVNAHNQARQAVGVGPVQWDGTLAAYAQNYADRLRGDCRLIHSDGPYGENLAGSSADFSGVSAVNLWVNEKANYNHDSNTCNGECLHYTQVVWRKSVRIGCGKARCNNGGTIISCNYDPRGNYAQDSPQDYVRVHNEARAGVGVGPMQWNESIAAVAQSYADQRRSDCNLIHSTGPYGENLAKSSGDLSGVRAVNLWVEEKANYDYPSNTCNGECGHYTQIVWRSSVKLGCGKAKCNSGGTFVVCNYDPPGNYVNQKPY >A06p048330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:25603128:25604921:-1 gene:A06p048330.1_BraROA transcript:A06p048330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g29230 [Source:Projected from Arabidopsis thaliana (AT3G29230) UniProtKB/Swiss-Prot;Acc:Q9LS72] MTSLPVRAPSLVSSRRIFQEKLHELPRCANLAQVKQLHAQIIRRNLHQDLSIAPKLISALTLCRQLTLAVRVFNQIEQPSVHLCNSMIRAHALNSQPHQAFSFFFEMQRFGLYGDNFTYPFLLKACSGQSWFPVVKMIHTHIEKLGLWSDIYVPNALIDCYSRCGGLGVKAAMKLFVKMGERDTVTWNSMLSGLVKAGELRDARKLFDEMPQRDLISWNTMLDGYARCRDMSEAFELFKVMPERSTVSWSTLVMGYSKAGDMEMARIMFDKMPVKNVVTWTIIIAGYAEKGLVKEADELVDEMVNAGLRFDSAAAISILAACAESGLLRLGMRVHSMIRMSNLSSNAHVLNALLDMYAKCGSIEKAFDVFNNMPKKDLVSWNTMLQGLGVHGHGKEALELFSRMGKEGVRPDKVTFIAVLCSCNHAGLVDEGIDYFYSMEKLYGLVPEIEHYGCLVDLLGRGGRLKEAIKVVQTMPMEPNVVIFGALLGACRMHNEVDIAKEVLDRLVRLDPSDPGNYSLLSNIYAAAEDWKGVANIRSKMKSMGVEKPSGASLIHLEDGIHEFTVFDKSHPDSDQIYQVLGSLIEPPDTGELVATG >A06p019820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9685391:9691405:1 gene:A06p019820.1_BraROA transcript:A06p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G21730) UniProtKB/Swiss-Prot;Acc:Q8W5R6] MSAPRSQRSSTISPSRPLRSPASAPTKRPVTPSSPAASSTSHLRSSPSPSTSSGTAAAATKLKENITVTIRFRPLSPREVNNGDEIAWYADGDYTIRNEYNPDLCYAFDRVFGPPTTTRRVYDTAAQQVVSGAMSGINGTVFAYGVTSSGKTHTMHGEQRSPGIIPLAVKDVFSIIQETPEREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDSQGTYVEGIKDEVVLSPAHALSLIASGEEHRHVGSNNVNLFSSRSHTMFTLTIESSPHGKGDDGEDVTLSQLHLIDLAGSESSKTEMTGQRRKEGSSINKSLLTLGTVISKLTDTKAAHIPYRDSKLTRLLQSTLSGHGLVSLICTITPASSTSEETHNTLKFAQRCKHVEIKASRNKIMDDKSLIKKYQKEISCLQEELTQLKHGVSVSTSNQEDLADRKLQVKPQLRLEEEEDEAKAALMGRIQRLTKLILVSTKSSLQGKASVKPDHIWRHTFGEEELAYLPDRRRENMADDNARSTVSEHSREPRDGGTSGLDEMTKDRKKNKTRGGMLGWLKLKKSDGVAGTLLTDGNQNSTNGSASSSSKCAQTKSTRRDKAATNKSFPERTVAEDLFSAAVGSGDPSLIATTIADQMDLLHEQTKILVGEVALRTSSLKRLSEQAARNPEDFHIRDQMQKLEDEISEKKNQIRALEQQMIESFGMSHTTDSLGMSQVLSKLTTQLNEKIFEHEIKSADNRILQEQLEITKSEKAEMQETIMLLRQQLDSLAERQSTYTQQQNAADESAGRNTHNRNGDELSEIYSGAGTPTSVMSLNRVFAQEETKDIKNGTTLNSQALEIENLKKENMRLIEEKDELGKLNNKLTEEASYAKELASAAAVELQNLAEEVTRLCNENAKLSK >A09p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9094309:9097262:1 gene:A09p017560.1_BraROA transcript:A09p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTILRERSGSIWSLILSRNMGGGPRTFPGGLNKWQWKRMHEKKAREKENKLLDQEKQLYEARIRSEIRAKMLGGNHDSNETKAKSNQSHGPLSPQEHIKSLADRFMKAGAEDLWNEDDGPVKKTNQGSRLNRVGNGGSRSNSPIDVRSLVGRRGFSSMSHRGRFKRNESSCDEGEDFDSKKFDTLSPFSPGFAGKKEKVKSVSNVMRSKGLFGRRKFRKNDSSTEEDSEEEDGKMNGWMDVRRTGSSASLGNHDFKLIKRVQRNVTDEELYPPLDINDVRDDLSKRKSVENVMEVDGEPSDSIYSGRRFDESSISPLTLKALSASGIVKMTRVQDATLSECFEGQDALVKAKTGTGKSMAFLLPAIETVLKAMEISNGVHRVPPILVLILCPTRELASQIAAEGKALLKYHEGIGVQTLIGGTRFKLDQQRLQSDPCQILIATPGRLLDHIENKSNITSRLMALKLFVVDEADLLLDLGFRRDVEKIIDCLPRQRQSLLFSATIPKEVRRVSQLVLKRDHSYIDTIGLGCVETHDKVKQSCIVAPHESHFHLVPHLLKEHISNTVDYKIIVFCSTGMVTSLMYTLLREMKLNVREIHARKPQLHRTRVSDEFKESKRLILVTSDVSARGMNYPDVTLVIQVGIPSDREQYIHRLGRTGREGKGGKGLLLIAPWERYFLDELKDLPIEPVPVPDLDSRFKLEVDQSMGKIDTSIKEAAYHAWLGYYNSVRETGRDKTTLAELANRFCYSIGLEKPPPLFRKTAVKMGLKGISGIPIRK >A03p044520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18588109:18590383:1 gene:A03p044520.1_BraROA transcript:A03p044520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT3G20410) UniProtKB/Swiss-Prot;Acc:Q38868] MGNCFAKNHGLMKPQQNGQSRSVEVDQTHQDPPSYTPQPRSQTPEKPSSETNQPPPWRMAAPAPSPKAAKSSSTSILENAYEDVKLFYTLGKELGRGQFGVTYLCTENSTGKKYACKSISKKKLVTKADKDDMRREIQIMQHLSGQPNIVEFKGAYEDEKAVNLVMELCAGGELFDRIIAKGHYSERAAASVCRQIVNVVKICHFMGVLHRDLKPENFLLSSKDDKALIKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEVDIWSAGIILYILLSGVPPFWAETEKGIFDAILEGHIDFESQPWPSISNSAKDLVRKMLTADPKRRISAADVLEHPWLREGGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENINTEEIQGLKAMFANIDTDNSGTITYEELKEGLAKLGSKLTEAEVKQLMDAADVDGNGSIDYIEFITATMHRHRLESDENLYKAFQHFDKDGSGYITIDELEVALKEYGMGDDATIKEVLSDVDSDNDGRINYEEFCAMMRSGNPQQQQQQQPPRLF >A02g509870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:26401089:26401667:1 gene:A02g509870.1_BraROA transcript:A02g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTKRRKPRSHDRRETNGRNHSAKKRLHQPELKRRGIKAWKDGWRISRLRSIKISNQSYRLFMSEKNMDYQLYQEEDLCLVFDEEKDIGPIFDEEEDLGLIFDEDEDLGPIFDEEEEPKAVSVLLAVQKVAEDVVDSGPEADHEKDLTTAYASGDILGSLSSAKLVIFSVLYQVPNWFSHLFSRSTTQWSY >A06p051940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27496058:27499676:1 gene:A06p051940.1_BraROA transcript:A06p051940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGDSVSISVPYRNLRKDVEVEMVTKHGNEHASSSSSSPLNGSDGGEPVAKDCSLVTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGISHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILVGSLLISIAVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFILGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCLWMAIGNILGFSAGASGRWQEWFPFLTSRACCAACGNLKAAFLLAVVFLTICTLVTIYFAKEIPLASSKPTRIPDSAPLLDDLQPEGLEHSKSNHGAANGIKYERVERDMDGHLKKSNNEHQDKTFIDGPGSVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPTGDSLRVELYDQGVREGAFGLLLNSVVLGISSFLIEPMCQRMGARAVWALSNFTVFACMAGTAVISLMSLRDNSKGIEHIIDGNETTRTAAIIVFALLGFPLAITYSVPFSVTAEVTADSGGGQGRCKASTIHSSSVLDFKMIVSLGAGPWDALFGGGNLPAFVLASVAAFAAGVIALRSLPTLSSSFKSTGFHIG >A09g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19010909:19013350:1 gene:A09g506380.1_BraROA transcript:A09g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLRVEWIFITSVSLSSLSSVDSQNVFLRCRCCIIRRTDCFCRRSKVADEVAEKEANKKALRKYLELVEFFTKVLVALYEQNDKPSSALEFIQQKLGGPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDASELNLSEDFTAYTKKECYELLKRIHKENKATGGLKFVTLCYGIIGFIKFLGPYYMLSEIISLRNSSVICNISNSRDENSGGALYKKMFAWNEFLTRGIRNHLRNTVMIHPGKGLPSEILRLKLSRKLLEQMNGSVSFVREDERCFFQVDLQVKTRLGVETRGTEADSSIQ >A06g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6330568:6332500:-1 gene:A06g501680.1_BraROA transcript:A06g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKAKMNGLSLFFFLSFRKREAPSSWSDLLPALDSRWSGYDSGKWWLLKHYLGRLPSPASGASQLLSCSIPELHVPVRSGGSPVRNSGFDLKIGEERVVSALPPRVHLPHASDALAIVFAWRGVFCFSSRRCKGFVSSSGLGCFRSWETASLLLVGSCTGFVWSCGYRSLVVLRLHPRRCGKGLSSMAFSSASHEGALPLLSSPMYLVELLWLCFVSSLTGPKRSKTETGVLFRIRSSSCSAGWVVHFLLAGSEVFYSGLSPSQPVFVFVLNKARRSSGCVRSLVCRSIHVGLGLGGH >A06p017460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7831836:7832852:-1 gene:A06p017460.1_BraROA transcript:A06p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNNIACCLWGTYAEQLEPFTENTKDQTIVCLIRFAKISYFRGELQITNAFDASLMYLNPVISEIADLTQRLSDDHQSLAVKLTRPIRFKLHLIVKDYTSTCKLMLLGSIAKSIIGVPAVDLWDGSYEEVLS >A01p053080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:30283054:30283845:1 gene:A01p053080.1_BraROA transcript:A01p053080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSASLTLFFFFFASTFLYANAFNITRILNLNNEFSTFNNLLSQTGLASTINSRQTITVLALSNDAMSIFSDQSTEDNKKSLSLHVVLDYYDIKKLKSLNKKSVILTTLFQSSGQAKGQQGFINATVMNNGDVMFGSAVRGSLLDSKLIDSVATHPYNISVLHISSYIPIMNPEGPSDHGSSSTPLPPQPPNDDDYTFDEPPSPPSSTTKPFVAAATAATAKPPSAAKANSTTSGVSAINAPDLAFAFAFVISSFWFFMTV >A07p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21516833:21520329:-1 gene:A07p040590.1_BraROA transcript:A07p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRWVDWKYVAEVTNGRGGGLIDGRETCGGGDYSSDNGYRSGDDYRIGEQLVVVVFEETVIMDIGTMVVAMVMIWWWRGLGGVHYEDSTPEYVLFSLKCNLDMEMRLTGLENTMDEVKTDTLTLKADFKEEMFATKSTFNMILQPFHVAASWTNGMSNNGGAWVARDSAGVVNFHSRRSFFRDRNRVASLIAITRDHRYQSYVATGGHSWLKGLLDQEALNSSH >A09p012230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6247153:6247725:1 gene:A09p012230.1_BraROA transcript:A09p012230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGVLAAAAARVGPAIRKQVLTITDEAASRGHHLLLQRQKPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVRILVEPKALMHVIGTKMDFIDDKLRSEFVFINPNSQGQCGCGESFMTTSTSSSAKQSG >A10g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5097802:5098299:-1 gene:A10g501920.1_BraROA transcript:A10g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHLWRNYNKDSGNTIEMVFVDKEGRRIHASVGEQLIKQFEGKLTEGDVKVIQLFKLYDAIGDYRTTAHPYKIGFFQTNFVGTIDEFPSKVPEKYFAEARYVNLIFRLFQFNISTILRMRGIPTQLTNQVFRLF >A07g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2556660:2558335:1 gene:A07g501210.1_BraROA transcript:A07g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFWCVVASGSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSKAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQIEYGNLKSAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFRL >A07p027450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15664191:15667461:1 gene:A07p027450.1_BraROA transcript:A07p027450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQRSCIVLFFSLSLFVPYMSLAMLNKTLLLLPHPDPELVAHDVHRRVNASLSRRQAMDTTDQTGSNPCFTGNPIDDCWKCDPNWPNNRQGLADCGIGFGQYALGGKGGRFYFVTDSSDDDAVEPKPGTLRYGVIQVEPLWIVFPSNMMIKLKQELIFNSYKTLDGRGANVHIVGGGCITLQYVSNVIIHNIHIHHCYQSGNTNVRSSPTHYGFRTKSDGDGISIFGSKDIWIDHCSLSRCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDHYEPDSGMQVTIAFNHFGEKLIQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRFTAPSNPFAKEVTKRVETPDGEWKGWNWRSEGDILVNGAFFVASGEGAEMRYEKAYSIEPKSASFIDQITFHSGVLGVGGRNNNLGMWTTTGSEGNGGLDSYNDYTDEMSGTGSTNRLSFSVIVLAFMLNLLSYLVIFISSPQMFSFQPKCL >A09g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23596505:23597226:1 gene:A09g508410.1_BraROA transcript:A09g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYTAGHKVLLSKSKNRELRNRRTEKPTNKIFRWRKPVVSKRRASKGEAKEMSQTEEGAVNGGKGQSLLLVSVF >A08g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9452185:9454548:-1 gene:A08g505630.1_BraROA transcript:A08g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRILKVLDSRCEDGFSKKRKRAAYVNGVSCGKLQDELPPNPQTQVPDKRKKLEGEHKVSSYETRSGKSLVRYYSYFKKTGIAKRVMIYEEGEWSDLPHHIICAIRNELDEKRAAIEFEWCGHHFLLDFLHMHRLELETGAKTPLAWIDIGGKCFFPEIYESAERNDCCNLNCVENSTQCAPNQIKLHLEIDVNEDCCSRKIEAAVVNWNVTDAIAVSNIKHAGAEGLDKDAVKKMFAIGTSSLGHVAVLDVGRFSSEIAEARLELFQKQVEITKKHRGDANVRYAWLPAKREALSSVMMQGLGGAFIRKSIYGVGIHLTAADCPYFSARYCDIDENGVRYMVLCRVIMGNMELLRGDKAQFFSGGEEYDNGVDDVENPKNYIVWNMNMNTHVFPEYVVRFKLSDPINAEGDYLGDNLERERDLAEAQVSTEPNDLRCYNTFGMKRHVCDFTSERVMGNFVAKHDNSGVTLEVGPKDLPQLDLTRPEGGPRSANSVRSSMTKPKSPWMPFPTLFAAISHKVAEKDKPFINADYQQLREKRMTRAEFIRKLRGVVGDDLLRSTLTALENQASLAGVIPGSMRDNEGGGGL >A01p038270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14518664:14520680:-1 gene:A01p038270.1_BraROA transcript:A01p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTKRNSFLQIGKFLCVILITAPYSIFPFASNHSNPQWRHCRQFYIIIRLWRGFVILADSAEENDLRLRGMTQKMILLQSRDFLPPLLRYAKTNMETQVEDLKVKIKVTRSYMAIILEVGPRGMVYESMTLPEPAPRHFPKKKDPKMVNRSRIMLKRLDLFEKFHKLHLPHTLFPSHPFHLRLPPHHPPRHHHRSLGLRLHRFFLRQNPLVAHKVTTVLTAIFQGSVYVLVFTNTSNFLGSLMSYVRDEDSAAILKLGAYVDSGDCRGGVAMKKSGKVQNDENLRIVHWPMAVPSLKKQFGIAAKFS >A02p053640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32611434:32613338:-1 gene:A02p053640.1_BraROA transcript:A02p053640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAGSESMATVAAKAPVTAERRVRTDLDDRLPKPYVPRAMVAPDMENVNGTRGHKHQDMSVLQQHVAFFDQDGDGIIYPSETFRGFRALGFNVIFSFFLTFIVHLTMSYATLPSWIPSFSFPIYIKNIHRAKHGSDTSTYDTEGRYIPANLENMFSKYARTVPDKLTRYELWQMTEANRNAFDFFGWAASKMEWGVLYLLAKDENGYLSKEAVRRCFDGSLFDYCAKSRASSKKTD >A08g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18376053:18377002:-1 gene:A08g509330.1_BraROA transcript:A08g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSHLEVVWKSSGLPKSRLNFLKVFWQSRLNFLEVIWTS >A09p058440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49229525:49229855:-1 gene:A09p058440.1_BraROA transcript:A09p058440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] DVERHARDFMEAAKKLQLYFMGLKRENHAPTRAESLRKEISVMEEELKTKEELIKKHSRLIQESQKLVKEQIEKHRVELEKV >A05p042560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25734997:25736515:-1 gene:A05p042560.1_BraROA transcript:A05p042560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPAFLDGLARSVSMKKGKYLSEYEDGGKEIAKSMMKNSKKNSTLLGSSGFVSSETSKRFTSICTNRGEKGINQDRAIVWEGFGCQEDITFCGMFDGHGPWGHKITKRVKKLFPASLLCQWQQTLASLSSSPECFSPFDLWKQACMKTFSIIDLDLKIHPSIDSYCSGCTALTAILQGDHLVVANAGDSRAVIATTSDDGVGLVPMWDVMKNDEAVEIVRGVKDRKKSAERLVKKAMMLWRKKRSSIAMDDISALCLFFHPC >A06p051730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27387401:27392157:1 gene:A06p051730.1_BraROA transcript:A06p051730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELSSQMVPEWQQAYMSYDYLKKLLKEIIKLKLRTNPPPHTPPHQAVSGKRLSRKMTLYRAFSGLVQTQGRKRQSSGQINPSLETDIEEGNAPILVNKTSNGLETMFLMTAEEGGEYELIFFRRLDDEFNRIEKFYKEKVEEVMNDAIMLNKQMDALIAFRVKVENPIGWGWEERTVEMTRLASNVATSTAAIAASTPSKTRTAKARMEPIHEGGGSRTADQPEEDEDHGDERRSSLSKAAAGRPAPIEVLDHIKINNTKETPRSTIKGVIHSSNHEEIKFTRRNLKEVEEKLKVAFVQFYQKLRLLKSYSFLNVLAFSKILKKYDKITSRNASKPYMKMVDNSYLGSSDELMKLIQRVEATFIKHFANGNRRKGMKILRPLMKRERHRLTFFTGFSAGCMFSLIVALVAIIRARDIFQEEGYKDYMNSVFPLYSLFGFIVLHMIMYAIDIYYWKRYRVNYAFIFGFKQGTELDYRQVLFVGFSIGAFALLCVLGNLDMQADPRTKSYQALTELLPLFLLAMLLVLVLPLNILYRSSRFFFLTCLFHFLAAPLYKVTLPDFFLGDQLTSQVQALRSIQFYICHYGWGDFKQRQNTCGRSEVYNIFIYVVAVIPYLSRLLQCIRRMFEERSLEQGYNGVKYFLTILAVCLRTAYGFDKNKNHKFILKVLAGSASVLAAVFCTYWDFVYDWGLLNRTSKNRWLRDKLLVPHKRVYFIAMILNVVLRFAWLQTVLDFEINFLHTQTVLMVVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSIPLPFSYDEDDDKDD >A09p068100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53506849:53509429:-1 gene:A09p068100.1_BraROA transcript:A09p068100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSMTSPVAGAFVQLHNYLPLSLRSRQPPGGVCLVLWPPSPSRRTPRLVCMAEPYMITKMESVEKTWKELSVKLADPDVVSNPSEYQKLAQSMSELDEVVSVFRRFKDCAKQLQESKVLAKEAGDDEDMAEMIGSEINSLSKEIEELEKQLKMLLLPTNPLDARNILLEVRAGTGGDEAAIWTGDLVRMYQRYSERSSWKFSLVSCSEAEHGGYKTCVMEIKGNRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAIDLVHKPSGIRIFCTEERTQLRNKARAFQLLRAKLYEIKLREQQEKIRDQRKSQVGTGARSEKIRTYNYKDSRVTDHRLKMNFPLTSFLEGGLEDAVQACAALEQKELMEELSESVAAS >A07p000800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:465012:466522:1 gene:A07p000800.1_BraROA transcript:A07p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-18 [Source:Projected from Arabidopsis thaliana (AT2G20230) UniProtKB/Swiss-Prot;Acc:Q93XY5] MRHNCCHLSFASTLKILNFVQAFFGVSIIIYSIWMLDQYHRHVPVDPPTSSSGLGIAKVSEPLNNPPALMASVVLGSSGGDHHGFNLRSLDLPAPWFIYSFMAVGVLVCIVTIIGFIAAEAINGCCLCFYSILKTLLILLEAALVAFIAIDRQWEKDLPYDPTGELNSLRAFIEDNIDICKWVGAAVVVVQLLSLLLAMVLRAMVSPKRPELDDEEDFENPRSRAWDPLLGSQANQASAGPSKSENWSSRIREKYGLNQSQAVNPKG >A09p063860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51670040:51674199:1 gene:A09p063860.1_BraROA transcript:A09p063860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEDQDLIDQSPPPPDPNPNPNSTIHPRRVSFEHGLLPIQKLVFADPIQTLAPVKQKLADAASNNRVGSGAIADALQISGDHARLVLETLGSVLHSEGDPLVGAKPEEVESVGADLRDLVLFLYIQSYKKLLPRTHKDSAAVADLVRSNSRRFMPSQADDEAHQLSYLQKHLANIISLLAEPVEGEGEGEESLVLSMEAFEHLGFLVQFGDKGSDVSPLSQATPFFANSDPDMPAAPVPASQVHDWLLQNIASALESITERISGKENGPSNASDQDDAMSDACEAPNKVAPSDRGPCLIEGVSKTSLVKQASDLRGRSVKVVNCHDSVIYLLAPLRYATVHGCSDTTIVLGAVGKALRVEHCERVHVIAAAKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTYYSHLEEHMSEVGVEATINKWDKPLALGAVDPHDSLSHPAGVADAQAESAACVDPDQFINFLIPNWFNGEEIGSTKDNPFPLPDPYMAAQQRNLKNLEETRRSLRETPLEENRKKELSSALHVYFKDWLYASGNIRQLYCLQGD >A01p020530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10078317:10079298:1 gene:A01p020530.1_BraROA transcript:A01p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHNSVEGPQRSNLPSRDQYSNHQRTERTSPPVRHARESTAFHHRVDRHGNPFGKRAATYQSRAPPLKNKITPTLEREPPRHSGTERSPTRRNEVTDNSPQYSTRRTGPNRRHLNQNTTSPTEQQLTNPPQQSKRRGRPPKARDLRISPKVFKGSNSRKRNIQISVASLANSTGRASTPSGRAARRKAAP >A03g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9698408:9699276:1 gene:A03g503100.1_BraROA transcript:A03g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFIQLNRNEPNQTSFLVFECEALYMVDYFVSSLTGNYWLFALLQRYRYIQGYQVDLKLQSIEEAFVSENRMGEEAMSRMRSQSHWRKELVDNAIDILPAIQQQQVRSGQFSEVEDTSESFFEAARNSVDMSLSFEDNNNVLATESRNSSGGLRWRSDEASDEEDELKWDMEPTSFGSMPVKGRRSRRFAVR >A06g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1362568:1366379:-1 gene:A06g500310.1_BraROA transcript:A06g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSATKVEAAPAAIKATKPLKKVPAKKAPVKAASSSDDDDSSSDDESEDEKPAPKAKEGTKKAEKDSSSDDSGSEESESEDAKETPKKKSSDVEMVDAEKQQESEDEKPAPKAKEGTKKAEKDSSSDDSGSEESESEDAKETPKKKSSDVEMVDAEKQQPKTPSTPATGGTKTLFVGNLPFQVERSDVEEFFKEAGQVVDVRFATNRDDGSFKGFGHVEFASAGEAQKALEFHGRPLLGRELRLDVAQERGERPAYTPQSGTGNNSRSGGGGGQEVFVKGFDSSLAPNDIKSALTEHFASCGEITRVSVPVDRETGGSRGIAYVEFKEGTEKAFELNGSDMGGWNLVVDQPRPKENNSGGGFNSGRSNSFSGGRDNFRGRGRGGRDGFRGRGRGGRDNGRGRPSFTSQAKKTVFSDE >A06g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16458598:16465267:-1 gene:A06g505770.1_BraROA transcript:A06g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFTEEEVINFSNRRFSSPSICEYQTSKGDSGPRKKPEPKPIIGLKMDLSDFQKDRNQENGHGIMKPKTKQLSLLGQETEENPKEAAKCSPHGKQPKGVSSVNLLSKPKALFTVVPDFEIRNPTFGNHFTCLLFVHVLDDYPKSLDHVFDDLSCFESAGYKESVSDGGKQRTTTQHLCSWNMELVILEENKFKTPKKLDQTEVFMSDNASPTVRVIPSDYSVHADHNFPLDHADQTVHGPVATLRPGPCVDRSHRCDQIRVDRSQHCDLIRVDRSLCCDLVHVRTDRNVSTWYVLGSVAMLVERVAVNSFTDLYGLRASCKTMKALAERSRVNHFYDVLSVPRRLNIPPDLFKTCYAERNPSTLYMKGVQFFFTFNLQEEGLAFMKLAGDEGYEHVVYTYAMTRKIFWGDEEYFARFTRESVDMIGKLRDEFISTVVFSFYSCQCVPVMERDWVFWEPKFALGPGYLLIPHVKQSEHENNNDKDSLYTRSKVGLRFYSSALKPSYHKMEIFHFFDLCNYPQNFVFIRGNLTFILPCAPSVNCATVYGLLVKKL >A01p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:130881:135397:1 gene:A01p000310.1_BraROA transcript:A01p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGGCCPPMDLMRSEPMQLVQLIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVFPKDSLENGTDIDLDDVEVKLGELEAELVEINANNDKLQRSYNELIEYKLAGEFFSSAHTSATAQQREIDSQQVGEDLLEAPLLQEDKSIDSSKQVKLGFLTGLVPREKSMVFERILFRATRGNVFIRQTVIEEPVIDPNSGDKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLGKQAQMITEVSGRLTELKTTIDAGLGHRNILLHAIGDKFELWNLKVRKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFASNEIQNALKRAAVDSNSQVGSIFQVLRTKELPPTYFRTNKFTSAIQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATMYLIVREKKLSSQKLGDIMEMAFGGRYVIMMMSLFSIYTGLIYNEFFSIPYPLFAPSAYDCRDASCSEATTIGLIKVRDTYPFGLDPVWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYFNARFFKSSVNIWFQFIPQMIFLNSLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPTDELGENQLFPHQKTVQLVLLFLALVSVPCMLLPKPFILKKQHEARHQGQSYAPLEETDESLHVEASGGSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNWLILIVGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFILTANEDE >A05g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11106634:11110373:-1 gene:A05g503960.1_BraROA transcript:A05g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWMFEEYMTRSIPDITPVAMQKAKDTNFAEWCKDYDDRRDQVAESSLLRVETHVVDDVSYYDLAPVNPPNDEYVSDVEVVKYVISFLLSSQHLEKKRQTLSLDSRRNGSSPLRFLCRRLRFNLAVSDSIRRLRFHLPPLMMNSTRAPGTQAASPPMPLGATVPAVYHAGSPPMPPGATGAAPNHAASSSRSNSYPQMTLNAMLNSPARLSQPHLHPDKPNGAIWNEALLMFVIILGLVLTHVSMLSSVQLGKDTTWVLGRVEIRCLRKGRIPGGKRLCEQFREHAPSSRVPNGIASNLDLEMHVGGLETTLQSVTSDVAGVKQDVSDMRQDFAATREAINQLLQTLRPPQAPTGQTSDHQAQAPTGQPNPPNGI >A09g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11213034:11215930:-1 gene:A09g503580.1_BraROA transcript:A09g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYVLVVWKSSGSRLEVVWKSSGLLGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIRWYSSSTNLKVFQIWKTFGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSGLPVKSSGLPVKSSGSRLDFLKVKSSGLLKSCLVLSTHLLKLSGLVYSSTQVVNCIRLIIQSSTRRLKCKSSRIYEFFFSIAKLTYDDLTLSRLLLKKYYYFNFRQTLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIVKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p040840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22457850:22458619:1 gene:A10p040840.1_BraROA transcript:A10p040840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLLFHKVMEQEKVTGGGGGWNDKSSKTGGDVDKNREEFGSSVYQQEQQERVQPCHLSSSIYYGGPDVYFQPQNSTVNSAAQKKKEGGEDDSGSASRGNWWQGSLYY >A07p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25590034:25592242:1 gene:A07p047770.1_BraROA transcript:A07p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSTFHILFLVFITSGVAVSTTEFTLQNNCPYTVWPGTLTGNGGNILGDGGYQLNPGASVQLRAPPGWTGRFWARTGCNFDSSGNGKCVTGDCGGVLKCAGAGGVPPVTLAEFTVGEKDYYDVSLVDGYNVKMGIKPQGGFGDCKYAGCVSDLNMICPNELRVMDPQNNVAACKSACAAFNKEEYCCTGAHSTPQTCSPTTYSMTFKKACPDAYSYAYDDETSTFTCAGANYLITFCPNGNYNLNHLLLQAALLLPPPSSYWRTAAYSPSGPEFSPAAAPPSAYSYAYGDATSIFTCSRSNYLITFCPTRS >A06p050830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26731303:26733611:-1 gene:A06p050830.1_BraROA transcript:A06p050830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGCAKQGGCPSDYLAVVIAVICFFVLLSRSVLPCLIHKAPRTNSSSFWIPVIQVFSSFNLLFSIVMSVNLLQFKSQHWQRYCYLWAVWVEGPLGFGLLMSCRITQAFQLYFIFVKKRLPPVKSYVFLPLVLLPWIFGAAIIHARKPLSNECHLGLQWTFPVAGLHALYVLALVAFTRAVRHVEFRFDELKDLWKGILVSAASVVIWVTAFVLNEILTEISWLQVASRFVLLVTGGVLVVVFFSISSNQPLLSQISLKKKDNFEFQRMSLALGIPDSGLLFRKEEFRPVDPNEPLDKLLLNKRFRQSLMEFADSCYAGETLHFYEEVYEHGKIPEGDSIRRIYMARHIMEKFIVAGGEMEVNVSHKTRQEILTTQDLTHPDLFKNALNEVMQLIKMNLLRDYWSSIYFIKFKEEESCDKEGWSFSPPRISSVQGSDDPFYQEHLSKSPPTSRCTSPG >A09p044210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35922305:35925394:1 gene:A09p044210.1_BraROA transcript:A09p044210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reduction oxidase 8, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G50160) UniProtKB/Swiss-Prot;Acc:Q8VY13] MGKMLTLLVLRLLMNLLLISWISLWIIKPTTVWIQSWRQAEDTFKHTFFGYYGLSFAVFSFPPIALSIIGLINLSLLPQHHRLTRGGRSAAITVSRPAIINSFIGIVSCFEIIAVILFLVFLAWTFYVRVNNDLKKLMPVKTMNLDLWQLKYFRVATRFGLLAEACLSLLLFPVLRGLSMFRLLNIQFAASVKYHVWLGTGLVFFSLVHGGSTLFIWGISHNIEEEVWKWQRTGRVYVAGVISLVIGLLMWITSLPQIRRKCFETFYYTHHLYTVFLVSFLFHAGDRHFYWILPGVFLFGLDKTLRIVQSRSESRVLSARLYSCKAVELVLPKDPRLNYAPSSFIFMNIPSISQFQWHPFSITSSSSVDKHTMSVMMKCEGKWTDSVYKKLEEAADSDTKINNITIRVEGPYGPVSVDFLRYDTLFLVAGGIGITPFLNILQELACENRLKTPKNVQLVFSVRTFQDINMLVPVSPILFHPIHNLNLKIKVFVTQEKKHSNGPTTLKEYLAQSQVQTIHVGTDEDFSRFQILGHESFRRLATLVLVALLTFLGLLIGLSHFFIPNEHKKHSNSMKLAASGTMTTAKEKVPSWVPDLVIIVAYVIAMTIGGLAAIILQWRRQHRETLRMTKEEVNPQGSNFTESTPIVPIEEHEIHIGERPKFKEILSEFETSLRGWSSIGVLVCGPESMKEAVASICRQRFQCFGVDDSRTFHNKVNLNFHSLNFSL >A03p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5357003:5357619:1 gene:A03p013650.1_BraROA transcript:A03p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRLELFIHHIVNHVKIDANECIINLKSALSFMKSSSVCVEIESNDMSILQRIHQHDLTTYPCNPKVHNVLIVGFGDFEGRHYWIVQNLWREG >A07p026220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14995901:15005733:-1 gene:A07p026220.1_BraROA transcript:A07p026220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGATDHINIIYIMRGAGEETVAWRYLSRDVVPFAAMFTVECAIVGSNTLFKAATLRGLSFYVFVFYSYLVSTLLLLPLSFIFGRSKRLPPSKSPLFFKILLVGLLGFISQIAGCKGIEYSSPTLSSAISNLTPAFTFTLAVIFRMEQVRLRSSGTQAKIIGAVISISGALVIVLYKGPKVLAAASFISSSPPTISLHQQQMTSFESSWIIGGLLLASQYFLISILYILQTRIMEAYPEEIRVVFFYNLIATLISAPVCFFAETDLTSWVLKPDMSLAAIIYSGVFVSLFSALTHTWGLHLKGPVYVSLFRPLSIAIAVTMGALFLGASLHLGSVIGSVILCFGFYTVMWGKARENSTKAAAGFENSPFLLTHVVEDEHLLSIDNRDLMERGAEEKTVALRYFSKDVVPFAAMVSVECVMVGANTLFKAATLRGLSFYVYIFYSYVVATIVLLPLSLIFGRSRRLPSAKFPVFVKIFFLAVLGLMSGLVGLKGVEYSSPTLSSAISNLTPAFTFTLAIIFRMEQVRLSSSATQAKIIGTLVSISGALVVVLYKGPKVFTAATSPSTISLDQHLTSSNSSWMIGGLLLASQYFFISVWYILQTQVMAVYPEQITVIFFYNLFATLISAPVCFFAESNLTSWVVKPDISLAAIVYSGVLLSSFGLAIHTWGLHLKGPVYISLFKPLSIVIAVILGAIFLGDALYLGSVIGSVILSLGFYTVIWGKAREDASKTVTGSEQSPLLLTHIVEDEALGVEEDVAWRYFCRDVVPFTAMVAVECVTVGANTLFKAATMRGLSFYVFVFYNYVIATLVLLPLSLLFRRTRRLPSAKSSVFFKIFLLALVGFMSVVAGCKGLEYSSPTLASAISNLTPAFTFTLAIIFRMEQVVLRSSATQAKIIGTIVSMSGALFVVLYKGPKILASSTTVSHDQHLTISESSWIFGGLLIAAQYLLVSIWLILQTRIMEVYPEEINVVFLYNLCATLISAPVCLFATKDLSSFLLKPGLPLASVMFSGGLVSSLGSVIHTWGLHLKGPVYISLFKPLSIVIAVAMGSIFLGDALYLGSVVGSVILSLGFYTVIWGKSREGSTRTVAVYIFNVIILKEAANACSLCIMDETLSTHKVTCLIVHQFELQTSIPLTTSLNFFDLAQVIGTFWNGEDEVEEEENKNSGEEEEVDEKSGIGEKAERERGGILVCVVGERREVVSRRTKEWKTRKKNRGGEEEAICVCVEMFWLIVEEEEAINGN >A10p024520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15854885:15857027:1 gene:A10p024520.1_BraROA transcript:A10p024520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22790) UniProtKB/Swiss-Prot;Acc:Q9FGP9] MSLTLKISHISNLSSDVFNTTVEPRIQCRAGQASVCFRRSPGFVSARIHWSSELSSRSFRARCVGSDVVTREISGRSKDETFSELEPELDGGDGGGGGDDGGINGGNGGGGDGGGEGGDDGGEDEAEEKEFGPILKFDEVMKETERRGIALPEDMLEAAKSVGIRKLFLLRYLDLQGSVWPLGFLMRTCTMLRNRMLADPSFLFKVGTEIAIDSCCATFAEVQKRGEDFWSEFELYAADLLVGLVVDVALVGLLAPYARIGKTSLPSSTGLFSGLKRSCAALPSSVFEAERPGCKFSVNQRVATFFYKGVLYGSVGFGCGLIGQGIANLIMTAKRSIKKSEEDVPVPPLFESAALWGVFLGLSSNARYQIINGLERVVEGSTAAKRIPVVAMAFTVGVRFANNVYGGMQFVDWAKLSGVQFEV >A01p055060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31374454:31377266:-1 gene:A01p055060.1_BraROA transcript:A01p055060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFSSLLRYRKWAKSNWLVASLGLLLIVFSLSFFLDLTSDSVPFVDHNPPITSPSDLVKLKLSSKAKERGAFCLDGSLPGYHFSKGSGSGTKSWLIHLEGGSWCNTIASCSARAMTRLGSSNYFEHEVSFQGVLSSDPSQNPDFFNWNRVKVRYCDGASFSGRPEAEFKNETRLFFRGQLIWEAIIDELLSMGMSNAKQGILTGCSAGGLASLIHCDYFRDHLPKDAFVKCVSDGGFFLNVPDVLGNPTMRSFYRDVVKLQGLDKSLDQKCVARTEPSKCMFPQEFVNNIRTPVFLLNAAYDYWQIQHVLVPASADLDKSWAKCRLNIKECDAEQMKVLHGFRSSLMDAIGKFHQNKAGGMFIDSCYSHSQMLKPVMWHSPTSTRIKNKTIAESVGDWYFNRKPVKLIDCPYPCNPYCYNMNFT >A09g517250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50619347:50621254:-1 gene:A09g517250.1_BraROA transcript:A09g517250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSVYTLSGFDVTRSSPKFRLSEAPVFIRFNDGTAFDKVTTPVRTITTENFSFRPYDQILPDVMGELCAIRSTITDHFPGAQRVMLTLHLESSMAKGESQGLLLAPASILRWFRIARWWDRPVSVFIKADPQVIEIQLGEGWCYISCSNCSKKLIREDTSFTCVSCNETNTMAELRYRVILSVSDDTGTSAFLGFDTEVAKLTHVFASEAAQIVGIGANAQVDIELSRSLADLVGSTYTFHFKLKDFNFTPNHQTCTISRIFPARELAQIPTFAEGGEVTEPALPQSVAPGSDVRAANTYNVADQLNAADGAQLGHEAVASGEVDVEETARKKARVE >A04p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14239279:14246695:-1 gene:A04p023510.1_BraROA transcript:A04p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 1 [Source:Projected from Arabidopsis thaliana (AT2G23620) UniProtKB/Swiss-Prot;Acc:Q8S8S9] MSENKRKQHFVLVHGSCHGAWCWYKVKPLLEAAGHQVTALNLAASGIDTRSITDISTCEQYCEPLLNLLKSLPDDEKVVLVGHSFGGLSLAIAMDKFPDKISVSVFLSAFMPDTKHSPSFVLEKFGSSMAHEAWMGTEFKPYGSDNSGLSMFFSFEFMKLGLYQLSPVEDLELGLLLKRPGSLFVSNLSKMKNFSDEGYGNVPRAYIVCKEDKGIPEAFQRWLIDNFPVNIVMEIDETDHMPMFCKPQQLCDHFMEIADKFFEARRWWLITTTPYPVKGKDAGGEPTNALSSLGHGFRYYDVQPTKEYIGWLGSNPDIAKQVNAVVVTKTETLTIGEIFSYMKQEATKDAFFVCTATIDDVVQDSPWYLAKISVYDKSDEAVFVLFGYDGRELTGKHASELGNGNKEDGFEVPVPHALLNTIGYTHKFNVKIQLLALVNEILATGDDASEPAKIPQACADVGLKRMGDGVEKGNPKQDWLDTVFGTYGKPDHPLEFALFGPNFMAINLYQLSPPEDLELANMLVRVNPIATDNLAGTRRTN >A07g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22139220:22152639:-1 gene:A07g508050.1_BraROA transcript:A07g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQTLIRFSKSTSYLLIFVLIPLFYHPPCAWSKQELGWCEAPFQCGQITAGFPFWGGNRPEHCGHPQLELHCRNKSSTYLIISAIEYSVLKVGQSSYTVTLARADQVGPLCEANFSSTALPPDIYEILPDYEDFTVYYLCDPIHYRNQSYTCSPDKGLLSVTQRPEYVESYCKKNFTVNVPKSFVVGKEDDFDSYELERIIRRGFEVKVKIDEITCEECSSSGGICSFNGTAQVCCKTNSSPSGVSCEPKRQRSADEIYRLCSTPFFCGHQGGLLYPFWIPGREECGHPDFKLNCNGGFAELNISSVYFRIVEVNYDTSIIRLARSDYIGSLCPKDPLDTPFILPYASNTELLTFYSACKEVCSTSCNLNNASTYIGNFDCEGRYYAEGYYVTRNLSSPLLQGIRDHLYDLITGCSQDIRIPASGPSLNRLQNNNTIDNLKHALEEGFEVRLDQECSRCKDSGGACGYNLITRRFFCYCGDGQCNHTGNSRNKGKISCPPFYFRVLFRSRCDSVYVSCLSIGSPSSPKSKEKPITLSDLEMRGSGSLMENEISGEEEEMVRKMTLVGLWCIQSSPSDRPPMNRVVEMMEGSVEALEVPPRPVLQIPAQPFQESSTLSENISVYTELEPNQECLMCMDSGGACGFNRSSSEPPDSQPDLKSLIPLKQYSYANVKRITNSFAQDLEKGNDENLDENEINIEEVDIAKKMTLVGLWCIQSWPSNRPPMNRVVEMMEGNLNALEFPPKPVLQTPQLSLQESSMISEDIHSSGSRLEVVWTSWKSSDKVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLEVSSGLLRSRLDFLKVVWSCLLKWNPSLLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTILIVCMLFAIPSCVFSANELHDRCSKPFRCGNQSGLRYPFWMSGREDCGHPEFKVDCNRGFAELSISSVKYRILEANYDSRIIRLARSDFIGNLCPTDPSNMPFNQSVLPLAPTTQVLRIYYYCHQDFSQYVPNYIGALACGGDYDDDDGIRYYYVAKNQSSPLPQRIRNVLNSFRVFCDKNVTIPASGPALNTLQLNSSTDNLQKALEEGFELGLNQDCSTCIDSRGACGYNQSASGFICYNIEDVNKRARKKGLSTGAKAGSAAGLIVFLFLLLALFLQLIRKRNKKAFISQPKLKALIPLKQYSYSQSSPLDRPPMNRVVEMMEGSVDALEVPPRPVFQIPAEPFQESSALSEDISNYTGFLSVLFFPLFLISPSSLCSKSTRT >A03p021200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8757247:8759502:-1 gene:A03p021200.1_BraROA transcript:A03p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISRSNQWNKARVFLSLPRSSHSALLSSSRLLTSTTEITENPSENPEPQSPETKARPPPPPPLRERFQRGKRQNHHEKLEDTICRMMDNREWTTRLQNSIRDLVPEWDHSLVYNVLHGAKKLDHALQFFRWSERSGLIRHDKDTHMKMIEMLGQGQKLNHARCILLDMPEKGVPWDEDMFKVLIESYGKAGIVQESVKIFQKMKELGVERTVKSYDTLFKVILRRGRYMMAKRYFNKMVSEGVEPTRHTYNLMLWGFFLCLRLETALRFYDDMISRGISPDVVTYNTMINGYCRFKKMDEAEKLFVEMKGKNIEPSVVSYTTMIKGYVAVERVDDGFRIFEEMRSVGIEANATTYSTLLPGLCDAGKMVEARNVLKSMMAKHIAPNDNSIFLKLLVSQSKAGDMAAATEVLKAMATLNVPAEAGHYGVLIENQCKANAYNRAVKLLDVLVEKEIILRHQDTLEMEPSAYNPIIEYLCNNGQTSKAEVLFRQLMKRGVQDQDALNNLISGHAKEGNPDASYEILKIMSRRGVPREANAYELLIKSYMSKGEPGDAKTALDSMIEDGHVPDSSLFRSMIESLFEDGRVQTASRVMMIMIDKNVGVEENMNLVAKILEALLMRGHVEEALGRIDLLNQNGHTADVDRLLSVLSEKGKTIAALKLLDFALERDLSLEFSSYDKVLDALLGAGKTLNAYSVLCKIMGKGSGSDWKSCEELIKSLNQEGNTKQADVLSRMIKKGQGSKKEDNVSL >A03p017550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7077815:7081231:-1 gene:A03p017550.1_BraROA transcript:A03p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRLGYAEINSSQFGPVHGPFMMEQLVCQREKMLTSKGLFMKSDPLQYAVPLLMIQMSVIIITSRIIFGVLKPLKQGMISAQVLAGVVLGPSFLGRNIAYLDTFLPPGGKVIIQTISNVGFIIHLFILGLKIDWTIIKKAGSKAILIGAASYAFPFSLGSLTVFFINSTIGLPKQVVHCAATVISLSSMTSFPVTTTVLEELNILNSELGRLATNCSIVCEACGCLVALAFNLYTRERTMNGVWGIVMISCLLGSIAGIFRPSIIWLTQRKSKSMDNKDVVPFYPILLILSIVSIASEVFGVHAAFGAFWLGVSLPDGPPLGTELATKLDMIASSMLLPCFIAISGLNTNFFEITESHENHVLMIEVILLVTYGCKFLGTAAASAYCKTPIGDALCLGFLMCCQGIIEVYTTLVWKDAQVVDTQCFNLMIITILIVTGISRFLVVYLYDPSKRYKSKSKRTIINTRERNLQLRLLLCIYNVENVPSMVNLLEATYPTRFNPISFFTLHLVELKGRAHAVLTPHHQMNKLDPNTAQSTHIVNAFQRFEQKYQSTLMAQHFTAAAPFSSINNDVCTLGLDKKATLIVLPFHKQYAIDGTVGRVNGPIRNINLNVLEAAPCSVALFIDRGECEGRRSVLMSNTWQNVAVLFIGGRDDAEALALCMRMAEKPELNVTMIHFRHKSSLQDEDYSAMDEYNLIKDFKSHAANKGKVHYVEEIVKDGVETTQVISSLGEAYDMVLVGRDHDLESSVLYGLTDWSECPELGVIGDMLTSPDFHFSVLVVHQQQGDADLAIDDSYKLPVEHQKVGDTRIQPRFSAEEGFTTIDLSKN >A07g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5204152:5206906:-1 gene:A07g502490.1_BraROA transcript:A07g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYKLLADLRAGRCSNTAEVCLLRFLEARNINKGGELMSVDMLLLDENSTLVHGFVSVLRQLRFRNRLTEGSVYSLRGFDVTRNSPKFRLSDGIVSICFNDETAFEQLGMSVWNIPTEHFRFRPYDQILELTNTGKQLSDVMGELCEIRRTINDRIPGAQCVMLTLRLGRDSTVCVSLFDSLAHAFHGKLDCYGREPRIFIATSPPSSILRWLRSVVGKAEFDRRVLKFYLDSATCLPGGGTGQSASSSKVDHAQKIEPLNVSELNQFVVTSEPQIIEFLCTAKVSEIQLGEGGVILDVRIVQKSSFERRLHSRVSHAMKPMPCVNSGKLFLLSGYVYRVIIYVTNGTGTAAFLGFDKEVAKLTHVLASEAAQIVGVGATAQVDVDLPCSLADLVGNTYTFQLKLTDFNFTANHQTFTISRIFPVRELAPIPTFDEGADVTEPALLQNHSPGADGTIPQREVVATEEADLAETVRRYKWDLVTCTDCQATVWNAEAVVQDTQNSPRKFSICCQQGRVKLPPRRQPPSPLKELLETTSFKIQIRVANG >A08p018880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12952739:12953394:1 gene:A08p018880.1_BraROA transcript:A08p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCLQGRPKLVVRRDRSLGGKEVLEPSSWWSASLPRQSLEVALMALKALGNVVTTDLLRISSCRRKVDPCAGPEKLMRSSLALESLQLTKLFE >A02p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1928676:1930168:-1 gene:A02p004430.1_BraROA transcript:A02p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLTTDGVLPASEAVLTTATGRPMAPGFRFNPTDEELISYYLKRKVQRKPIALDPIGEVAVYKQEDPSGLRDQSKMKTTEREWFFFTTLEKYEHNGYAVRSTAKGNWRERGREKKIKRGGDGQVIGNRKRLVFFTNNQATNWVIHEYQLVDNDDHVQTDAYVLCRLFDSSGSINAPFSEQEWDDADNEKIQKASNSRSMDLFDLNELPREFEIGDDDSKAVDQDDNNNDACLLPCVLNTEAPLPFVRYRRKRQLDSDNSIQTTPKTTSGTTIPYVAALLERSEPEPVDTTMVVPTSSFTEELIKDLHKERQQIAVERERYKLEMTNAEMTIRDLVGKNDALRKEIEELKKKNSNKEQGS >A01p006900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3485696:3487076:1 gene:A01p006900.1_BraROA transcript:A01p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMRFPKMDDQKAIQEAASQGLQSMEHLIRVLSTNRPEQQSNVDCSEITDFTVSKFKTVISLLNRTGHARFRRGPVHSTSSSPPIQQSQIVKTAQPEAPVVSQPARATTSLPPSRPSVTLDFTKPSIFGSNSKSSELEFSKENFSVSLSSSFMTSALTGDGSVSKGSSIFAPSQTVTSSGKPPLAGGHPYRKRCIEHEHSQNFSGKISGSGHGKCHCKKSRKNRPKRTVRVPAISSKIADIPPDEFSWRKYGQKPIKGSPHPRGYYKCSTFRGCPARKHVERALDDPAMLIVTYEGEHHHKQSPMQMNVSGVNDLVFASA >A05g500960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3451545:3452069:1 gene:A05g500960.1_BraROA transcript:A05g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTPIKRHREEETLADEEATKRQKPSSSSSSSYNDQILCLLDDSDELNRPNNDLTSFLNALQQEISSDDKNAAVSRVSNVEDSSTSCVSWKEDDVDDENNEKVIQHLLEASDDELGIPNTGFGESNYDMIKNDINQDYVYGNSLLDGFGDAFWELEDEAANYYTLLQSELFL >A09g517840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53636579:53638153:-1 gene:A09g517840.1_BraROA transcript:A09g517840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRKPGVLMLAASTKLGRLAVRGRGTFAATKKSATLPVTTAHSVAGDVQEEKSSPDLAGEPDSLGDIQGLTEAPSPCAVEGSSSSTKTISDHQPVHLTKDEDPVSVSPPSAYVAPTLSERIQKSTLLQELGTPTSHVSGAPFVLIPDENIESAKEEFKEFVFARFPGDVPSMGRIIGIVNAIWARSGPRIFVHKIGEGTYLLKVTNERTREALLSRQVWMIKGCPMFVAAWSPEFTPEQPQLTSAVVPVELRGVPYLLFNQQSLSRIATAVGKPVSLAPETERKENFEVAKVWVKVNLLNPLPDRIVSGFSSGREVEISVSYPWLPDKCTNCGKFGHRQHLCPASGSTWRPIVPPAARKDVSPSSRSMSRESKGRKRSRPGRSARARRRDRSRGSDASVAVSTRPSSPQSVLPSVELECQHVESNDAPIAPKESTDDPQLSMDAESEESSENSTKEPAAERSCIKESSPKQVVSLDRNLGFSTVHVYHEDDGSASSSDVAGASNDPFFLVLNRKGGRKATKA >A03p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7588692:7590935:1 gene:A03p018620.1_BraROA transcript:A03p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSVLLEALAQSGDIFVYVYDYSHNILNVDKEEDEHSDSGALITGVVSVVDASDDDDGDCAVETTKLDLPDEYAKRVMVLTCSSTADGGSCDVYLIGTAHVSEESCREVEAIVRFMKPEVVFLELCFSRLSILTPQALKIPTVSEMIEMWKKNHNAFGIAYGCKLDVLPGAEFRVAYEEAHKYGGMVILGDRLVQITLKRTWAKMSLWHKVKFFGLVFQAVYLPSPEQLKKMLKAMNDVDIVTLVIQEMSKQFPSLMDTLVHERDKYMACMLSRVASEHSSIVAVVGRGHLQGIKKNWNQPIKMKDLLEIPKNASKYTASIFSSR >A09p024450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13540540:13542009:-1 gene:A09p024450.1_BraROA transcript:A09p024450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAAAMQLTRTSFFGLFKAFSISRAPPRTLAVVLGRKSSRVFFASSVNDHSKGKNDPVEKARDSRADLAYDSKKWREQSGEYSEAGKEKAKDKAYDVKDKTKEYAEKTKDRVNEGATKAADKAYETKEKAKDKAYDVNEKTKDYAEETKEKVNEGASRAADKAYEAKEKAKDKAYDVKEKTKYYAEETKEKVNEGASKAADKAYEAKEKSKDKAYDVKEKTKDYAEEAKDKVNEGATKAADKAYETKEKAKDKAYDVKEKTKDYAEEGKERAEDMTHGLKEKAQDVGEKTIETVKDAWEAAKNTAQKVTEAVVGSGEDEDRARDDVDKGLKDLSRKAKENRKDDDDVKRF >A07p010070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6264457:6265494:1 gene:A07p010070.1_BraROA transcript:A07p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIDITSQPHSSSRKLTMPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCECMCIDGNKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMSDEARLLKAYGELPENTRLNEGIVGDLEEDDDNLAEDYVEFEDEDIDRI >A09g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21356510:21360961:1 gene:A09g507280.1_BraROA transcript:A09g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPPEKLVIVSGSQLPHNNSVVDVDSYSGDDVGPQCFHRLAFFRIGRNADPQFYSFLLLNMTHPYEEMKKMKKLKKHYDMLGFIADAQYGIPTRCPCGGEIMTNAPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRGEVKDLA >A03g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29264092:29264564:1 gene:A03g508650.1_BraROA transcript:A03g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEDVVLRESSNKKYVMKKYKSLPFPKSEEYGKNKYYGTKVTLNVWEPKIQQQYEFTFSQMWLLSDLFKKYLNSIEVGWQISRIYMVTN >A09p079410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58465209:58470717:-1 gene:A09p079410.1_BraROA transcript:A09p079410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIKKRLHVRVEHVQQSRCAEEFKLRIKKNDELKAAAKAKGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGYYSIFFLVPIRKLWRIMGCKFSKGIRANDSISNQNIDNNSIVKERRSKRNTKTSKKKKKPASSSIANVGSEETQGFINANNNKEEATLKLLIPIDAKNPNSISSNEEGGDNKKVNLERKSSRSVFQRREALQQPRMTRISSVSNGERGAQVMAGWPSWLASVAGEAINGWIPRKPDSFEKLEKIGQGTYSSVYKARDLETNQIVALKKVRFANMDPDSVRFMAREIIILRRLDHPNVMKLEGLITSRVSGSMYLIFEYMEHDLSGLASTPGVKFSEAQIKCYMKQLLHGLEHCHSRGVLHRDIKGSNLLLDQNNNLKIGDFGLANFYGPHQKQPLTSRVVTLWYRPPELLLGSTDYGITVDMWSTGCILAELFNGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRAKLPDATIFKPQHPYKRCVAETFKSLPSSALALVEALLAVEPDARGTTALALQSEFFTTEPFASEPSSLPKYQPRKESDVKLREEETRRKKGTSSKQNESKQVSRESKANGELLPSIQKPQGQSSQTGLSEKLNTNEDAALKSGTTENGYTRYGLSSVNRSGENVMMGSSRSPRKELRTQRSFVQRGAPQLSKFSNSVAARDASHFSVANPGWLEDSYSNNKGDGDWSQRLLVKPKYSTKDKESIRGHGEKIERMNYSGPLVSNGGNLDDMLKEHERLIQLAVRKARDKKTNRDDNGQTQACLAIMSRSTQRHELKILLIYFLAALVLILIMRSMLTSSQKHQTPEETRSGGCAGACNKLPRSSPDSLLCFSYHNKRSRKNPCLIQYLKRLNNKAIERTYTCLGSAKLERYKNFRKLTISGNQNTQNETSVIDKK >A08p001300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:779250:780682:-1 gene:A08p001300.1_BraROA transcript:A08p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQFDTRQFDQKLNEVLEGQDEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCNGLDVIQQAQSGTGKTATFCSGVLQQLDFTLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRADSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A08p028210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17724570:17724938:1 gene:A08p028210.1_BraROA transcript:A08p028210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSTSPVRRNDGFHRYLKPGALAQIRNTRLNTRSNSSLALSLPSRVDPPDATPTTMDQMPDLLSKIYGGPFRIGRKKLGPARSVLRTMLDLNPPSPNSTLESTSNGNNNVLSIVDVLVAH >A09p071830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55294656:55296230:1 gene:A09p071830.1_BraROA transcript:A09p071830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACCVAAHDKMVLPNTSAVEGLQRNNARYSPNWSFRWDNRGRVAGEDTSLSWLSDGISRNDGSDLKSESAFVSSQGSPLNNLQTQSWQKSPASDQSFSRNASMDTVSEQITQNHVKRSVSLASQPSSFSTSPLSSHSHLPLPPATSSSTLKLTPRTRLSKQVSDSQILGFNSPTEERLGSESHSGPSDGWSMQAFSEMMAYSRRESCSYDNECLSGLGRGKIDHQGNGKSSDQQTCGACSRPLSQKSLLSSQKIFATNELSVAAILACGHVYHSECLEQMTPEADKFDPQCPVCTLGEKRTFKLSEKALRADLDLRAKQNKMLRKHVVDRDDFVMFDHMPNAAAAAASGSGGHKGKVSKLLTSSSLRSYSPKPFLARHFSFGSRSNSVKSPKENHSARKKGFFWTKSSKL >A09g514460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43121770:43122472:1 gene:A09g514460.1_BraROA transcript:A09g514460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTKHKHGGRFGRGLSKISASMHDDPIKSLSNASVKDFAVSEYVHRGFKKGVMCKRAEISVASLPITQIQWNCIFFYFQEYPMRNVDANPYAIGQVIQESHHEFFETLVKIRGRNNQKLLRFRCEEHLSRANQPKKEFLKKKRGLKGSLQFQYF >A03p037980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15862759:15863432:1 gene:A03p037980.1_BraROA transcript:A03p037980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVLFDKVSDFMSIVTSMCCLFNLFSKTRGMGAGRKLKRLRINQRWADKQYKKSHQGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >A01g501580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5876955:5877335:-1 gene:A01g501580.1_BraROA transcript:A01g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRENIQFMGTQNFTRCESALHLEVEALRWAMKNMLQHSPCQSFGTDYKELIAIINEPQEWPSFATELENIETLQICFPDFKITHVPRVRNQLSVFLGKTAMSFRRELLFIGCSIPVWLSKSSQA >A09p082270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59619759:59623412:1 gene:A09p082270.1_BraROA transcript:A09p082270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPMWSHDQPSPRSPIPSTKPVANSHRQRCRSVFKLLVQREISPKTKFVPRKRLGANRCGADSSCGTSKQPVSELGHNLISWVEAESLHHLSAEYCPLVPPPRSTIAAAFSSDGTTLASTHGDHTVKIIDCETGKCLKVLSGHRRTPWVVRFHPRHSEIVASGSLDHEVRLWNAKTSECIRSHDFYKPIASIAFHAGGDLLAVASGHKLHIWDYNKRGEDSSPAIVLKTRRSLRAVHFHPHGVPLLLTAEVTDIDSSDSAMSRATSPGYLRYPPPAIFFTNTQSGGRTSMAAELPLVPLPYLVLPSYSADDPRSQQPAGTTGPRNAQSRFQSNQSSGRTMSPPTLPLAMSGDLAAQAGGRTSTTAVDAMDIDEAQPIGRNRVPSQVVRQSEQLVHLRDRVSWEVPFLQGWLMAQSQAGANSGVLSTGSSGQASSTPHIGSSTASLEAAVASLEIPGGVNLYGASARGDSRDRVSQSRFAGSGLATQQHQGTDAQPVVNRIPSELASSIAAAELPCTVKLRVWAHDIKDPCAILKSDKCRLTIHHAVLCSEMGAHFSPCGRYLAACVACVLPHGETDPGLQTLVQQDSGLATSPTRHPVTAHQVMYELRVYSLEKETFGSVLVSRAIRAAHCLTSIQFSPNSEHILLAYGRRHGSLLKSIVSDGETTSHFFTVLEIYRVSDMELVRILPSSEDEVNVACFHPSPGGGLVYGTKEGKLRIFRYNTAVASNLTAPNSSPEKNLTEVQTYALEC >A02p033920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18223450:18224441:1 gene:A02p033920.1_BraROA transcript:A02p033920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFISTVPLLPFRIQTQTRLAVISTRANTRSFNHKPTRICTKVRGLGGNGRGQNDSRFVDENGGVEDMEGYLDHLSLEYDSVWDTKPSWCQPWTIVVTGLSIVACSWVILHSVLVSSLAVALIAAWWYIFLYSYPKSYSEMIAERRARVADGFEDIYGKNKKTL >A08p020800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13979183:13981354:-1 gene:A08p020800.1_BraROA transcript:A08p020800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMKLDGGGSVAERDARMAHCAMAFVQLFNGGYHVITKVALNVGVNQLVFCVCRDLIALSILAPLAFFRERNIRTPMNRSLLSSFFFLGLAGVFGNQLLFLIGLTYTNPTYAAAIQPSIPVFTFLFAVMMGTERVNMLRIEGQAKVGGTLVCVMGAISMVLFRGPALLGDKDTDSAVNHEINAKGRPEPTGLIVSVFIGLGFQLWHIGVLCLIGNCMCMAAFLAIQAPVLKKYPANLSVTALSYFFGTVLMVTTAFFMVNEPLDWRLTQSEVLAVIYAGVIASALNYGLLTWSNKIIGPALVALYNPLQPAASAFLSRIFLGSPIYLGSIVGGFFIILGLYMVTWASFRERKTAVSEIGMVSHGARTSEPLIYNGTVSRIGQLLSGLPSSSVKSTD >A03p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16503516:16504936:-1 gene:A03p039640.1_BraROA transcript:A03p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNEFSVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMAKWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAERENLFFMETSALEATNVETAFLTILTEIYRIISKKSLTADDDADGDSNLLKGTRIIIPSEQESDKRGGCCGKT >A08g503160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5961649:5961831:1 gene:A08g503160.1_BraROA transcript:A08g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRDLSNLELMPIDMQTEIISRIARHSRRAVHNLLAAVPNLARSAAVPIVYRNLNIHR >A06p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5342808:5343106:-1 gene:A06p002040.1_BraROA transcript:A06p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLYLVTLGIFLLFTVSRVWWETANGLQAVYRRKEWSDKLFESGFAYLRVGFHEDQKYFRSCFLQ >A03g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19196261:19196727:1 gene:A03g505390.1_BraROA transcript:A03g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTEMDLVLSIYKFGCCSHEFLTMPSVPWQHRTCFKLKTRKYIYIYKANLCRVWYAMGRASGGREESVGEATILSKISRTCSSSFSHLGSQSNVVITVEEIFDMKPCFFLFFIPTHRLKMAAWKRH >A09p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10256417:10263013:-1 gene:A09p019380.1_BraROA transcript:A09p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(E,E)-geranyllinalool synthase [Source:Projected from Arabidopsis thaliana (AT1G61120) UniProtKB/Swiss-Prot;Acc:Q93YV0] MKFPYGSSNDDLHALVNQIKSDIQLSTINFDPYSFVSPSAYDMAWLAMVEEDHNVDDDDELKPMFQDSLDWILCNQNAREGYWGNSGCPTPVSEAGEDRDDMYTLTSTLACVLVLHKWNIGCFHLHKGKRYIERRTEMIIEKYNNEEGSYPRWFIIKFTGILEHAQQLDLHFVFSSRCIQMIKEVFYRRQEILKREKLLDDCNHIPLLAYLEVLPSMLYVENHEDMIVKSLDNIDGSLFQSPSATASAFMITRNAKCLAYLRNAVQRCPNGVPQKYPLNEELIKLSMVNVIENIGLGEFFGSDIEHVLQQVYRSYEEENIERMPISYLADKLHKDSLAFRMLRMRGHTVSPRSFCWFLNDQETRNHLERNTDSLFLVILSVNRATDLMFPGEQELEEAREYTRNLLEQSRSIDERMIKHELSTPWIARLRHLDHRMWIEDKNSNVLSVGKASFLRLHNRYINKLTYLATRNFLFRQAMYRRELEELTIWVKKWGLNDIGFGREKTTYCYFATATSLPFESAIKVGKLTAKTAILITVADDLFDEEGSLDDLEALTKAVIRWDGDELEGYGKIIFRALDEIVRETTEACRKQHGTDITVQLRNIWGETFEAWLREAVWSKKGHIPSMEEYLHSGMISIAAHTMALSVSCLMEPCFPQQKLNPGKYDTLTISLMIIPRLLNDLQSYQREQEQGKINSVLLHMRNTSGLDIENSIAHIEKIIDSNRKEFLEHVLMDGLSDLPKPCKEIHMSCCKVFEMFFNKKNRYDSDTEMLQDIKKAFYDPVNVNELSEIEPKPLMVHGDEFLMLPLLINLSPKILEFKRKDEHGAVKTSMCLRRSFHAQKRAISLQPLKIVASQSIVVPMMPTKFAPCFY >A10p019740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13489611:13491793:1 gene:A10p019740.1_BraROA transcript:A10p019740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLCRFEPFNHKVSANAKSESPKEQSPREEETHVKEVQKLPSNPKEVEDLRRDSATNPLIAFTYDELKNITGNFRQDRVLGGGGFGSVYKGFIKEESGDQEEVPKPLPVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDNHRVLIYEYMARGSVENNLFSKVLLPLSWAIRMKIAFGAAKGLAFLHEAKKPVIYRDFKTSNILLDMEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGKFLQCHLTPGSDVYSFGVVLLELLTGRKSLDKSRPTREQNLIDWALPLLKEKKKVMNIVDPRMNCEYPVKSVQKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQATEEEALLVPTVQKAVITIIDEMPKNGLKKVEEVKKVEEVKKVIEDDDN >A02p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12570098:12572569:-1 gene:A02p024890.1_BraROA transcript:A02p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQLKRIENPVHRQVTFCKRRTGLLKKAKELSVLCDAEIGVVIFSPQGKLFELATKGTMDGIIDKYMKCTGGGRGSSSAIFTAQEQLQPPNLEPKDEVNVLKREIEMLQKGIRYMFGGGDGAMNLEELLLLEKHLEYWISQIRSAKMEIMLQEIQSLRNKEGVLKNANKYLLEKIEENNNSILDANFTTVDTNYSYPLTMPSEIFQF >A04p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15554640:15556998:-1 gene:A04p025680.1_BraROA transcript:A04p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTEQDLLSTEIVNRGIEPSGPNAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAVYLATIPVLVLVFSAEVGSLSREEIWKKLWDYDLATVIGFFGVFVLTVCVYFMSRPRSVYLIDFACFKPSDELKVTREEFIDLARKSGKFDEEILGFKKRILQASGIGDETYVPRSISSSENITTMKEGREEASMMIFGALDELFEKTRVKPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQSNPNSYAVVVSTEMVGYNWYVGRDKSMVIPNCFFRMGCSAVMLSNRRRDFRHAKYRLEHIVRTHKAADDRSFRSVYQEEDEQGFKGLKISRDLMEVGGEALKTNITTLGPLVLPFSEQLLFFAALIRRTFSPAAKTTTTTSSSATAKINGAKSSSSSDLSKPYIPDYKLAFEHFCFHAASKAVLEELQKNLGLSDENMEASKMTLHRFGNTSSSGIWYELAYMEAKESVRRGDRVWQIAFGSGFKCNSVVWKAMRKVKKPARNNPWVDCIDRYPVAL >A03g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2486194:2490011:1 gene:A03g500840.1_BraROA transcript:A03g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLIITIALLLLYIISVLCLAKECTNTPSQLSSHTFRNALLQSKNESLKTEMFSHYHLTPTDDTAWSSLLPRKMLREEEDEYGWTMMYRKIKNSDSFLKEVSLHDVRLDPNSFHWRAQQTNLEYLLMLDVDSLAWSFRKAAGLDAPGSYYGGWERPDSELRGHFVGHYLSATAYMWASTHNNTLKEKMSALVSALSACQQKLGTGYLSAFPSSFFDRFEAITPILTGLVDQYKLAGNTQALKMATWMGDYFYGRVRNVIKTYSVERHWQSLNEETGGMNDVLYQIYSITGDSKYLLLAHLFDKPCFLGVLAVQADDISGFHSNTHIPIVVGSQLRYEITGDPLHKEISMFFMDIVNASHSYATGGTSVNEFWQDPKRMATTLQTENEESCTTYNMLKVSRNLFRWTKEVSYADYYERALTNGVLGIQRGTEPGLMIYMLPLGKGVSKAVTYHGWGTPYDSFWCCYGTGIESFSKLGDSIYFQEDGESPALYVTQYISSSLDWKTTGLTLSQRVIPVVSWDPYMRVTFSFSSSKGGMGKESTLNLRIPVWTNSESAKVSFNGQPLKVPASDDRPEYSSLQAILYGPYLLAGLTSRDWSITTQPKDGKWISSIPETHNTHLVTLSQQSGNLSYVLSNNNQTITMEVSPEPGTQAAVAATFRLVTADSKGKVSIPEELIGSVVMIEPSDFPGMLVTQATDSSLAVQDSSSIDQEASSFRLVAGVDGNPGSISLRLESKKGCFVYSDQTLKAGMKLKLKCDSDASDDKFKQAASFRLRKGMSQYNPMSFVMSGTQRNFVLSPLFNLRDETYNVYFSVRT >A06p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9831185:9833194:-1 gene:A06p020060.1_BraROA transcript:A06p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATISPSLSQNYLLCPSRALTTRLHYFSTTRNLSSPSSSSSIKLQHSSSNGGTSLTRCNAVLSNSSSSAEVADIDWDNIGFGLKPTDYMYVMKCNLDGEFSKGELQRFGNIEVSPSAGVLNYGQGLFEGMKAYRKQDGKNILLFRPEENATRMRSGAERMCMPAPTVDQFVEAVKATVLANKRWVPPAGKGSLYIRPLLMGTGAVLGLAPAPEYTFLVYVSPVGNYFKEGVAPINLIVESEFHRATPGGTGGVKTIGNYAAVLKAQSIAKSKGYSDVLYLDCVHKRYLEEVSSCNIFIVKDKVISTPEIKGTILPGITRKSIIDVARTQGFKVEERNVTVDELLEADEVFCTGTAVVVSPVGSITHKGKRVSYGEGSFGPVSEKLYTVLTSLQMGLSEDNMNWTVNLS >A05p016640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7417477:7425844:1 gene:A05p016640.1_BraROA transcript:A05p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIRVQRCQDKPFMKFVKFLGKGSYGSVDLYRHTKHDGSTSFTAAKVSSDRRTIEREFRVLSQLKGSPRIVRVFSNSLHEGLDSDGNRVFEMPMEYASAGSLSSFVRANKQLNGSAVKDFTRMILEGLVSVHSHGYVHCDLKPDNLLLFPVYDQQAWTYSYQLKIADFGLALKEGEEKSDNWCYHSPFVGTPFYMSPESVRDGTVRKGLDLWSLGCIVLEMYTGKRPWSEFRSLYDLEDVLVEDKKVPAIPDTVPSDARQFLEKCFALEPEDRGTASELLLHPFLVGDDNKKIADDDTILKPDAKLEDSVTTKKALKVKIVSSKLQLFKKPLKLKIIPPRPPGFTFVPFLGKGSFGSVSLYKYKRRHDGKTLYAAAKTSDHKHAESLYIEFQILSELKGCPRIVQCYGTEVQERRNEEGCLEYKIHMEYAPGGSLKSFSNQFQDKKLPDALVRDFTRMLLEGLATIHGRGYVHCDLKPANILVFPSYVNKNGAWRSSHELKISDFGLTRRDGDTSWWQPHHTFAGTAIYMSPESISHGETGKGLDLWSLGCIVLEMYTGQRPWWHTDYKLIDLKNCHGPLIPRDLPFDAKLFLMTCFSPEADDRKDASTLLNHIFLREDVSRITESSPMSAKTGSNPRNISVFVVLLVFFLVGVITLSQGFIETNHTEKAKVWRFRDKPSMKFVKFLGKGSYGSVHLYRHTTHDGSTSFIATKISSDRRTIQREFRVLSQLKGSPRIVRVFSRSLHEGLDRIGNRVYEIPMEYASAGTLSSFIRANKQLNDSTVKDFTRMILQGLVSVHSHGYVHCDLKPGNLLLFPVYDQQTSTNHTYELKIADFGLALKEGEEESDNRKYHSPYVGTPFYMSPESVRDGTVGKALDLWSLGCIVLEMYTGKRPWSEVSFYDLEYFLGEDKKVPEIPDTVPSDARQFLDKCFAVKPEDRGTASELLLHPFLVGDDKKTKQGIEVRIISLKLPLFKRVYNNPLKLKILPPRPPSSTFVPVH >A01p017050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8443248:8452514:-1 gene:A01p017050.1_BraROA transcript:A01p017050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OSIGBa0116M22.9 protein [Source: Projected from Oryza sativa (Os04g0479000)] MEIAEQNPMLDATIHPPHDASMEISHQISTQPTIIAEQLNVAEPLAATDPPPPPPPAPTPLHDNKFLVSVEVCLKPSSTARLEDVQRAVERMLENRSMSYVDGLVLIPPHDLFLVENVQRICICDTEEWVKNNDVLLFWQVKPVVHAFQLTEEGPCEELGPDGQPSSFNEWILPAKEFDGLWESLIYESGLKQRLLRYAASALLFTQKGVNPNLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRCNSRYPHCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEDNNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVQLDFALHVHLELAKEAILIPQRPDLTMFVTGCECTTYTNGQTEISTEYVAFVDRADIKAYVGPPTLHVRYEILRSCVEELISKGIISSFQGCDGLSIPSFSSLKEKVNANELHDTDTVPLFCKQLIEAAESCEGLSGRSLRKLPFLAHAALADPHSRDASTFLCAMIETAKRENLEPQKSPPKWRRKQMRKKREAKDENEEEKKRVELMKAAAQAWLSHSQTSKSTVLEFEARRKHAFVKGKPSRFKMEALSSTKKHHHHHPSFLDWEYGQSLWDPYEILSVSKKLERALTLEEHTFSADKAIKKKNRDSRNSLRSLFNRSSRRF >A06p023850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15773056:15774474:1 gene:A06p023850.1_BraROA transcript:A06p023850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQYISHSDATERLARIQRVRQGIENNKAESSVRLTRLTSNIDKGKGHVFNYQEPDEDKGPYKLLRISSNNETDDVSSFSSHSTSSAPNLISTGFRIGPSSEGRVSGNQSQGKTQMRPHSWKRKLTSRSPTIASEQAGISSTSPSQMAMKRKPTLPLMLTKAGLQDVIKECWGSREELQNNTKERINRCRRGIL >A02p054190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32949433:32949860:1 gene:A02p054190.1_BraROA transcript:A02p054190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L22-3 [Source:Projected from Arabidopsis thaliana (AT5G27770) UniProtKB/Swiss-Prot;Acc:Q9FE58] GENESWKCSSSKGEGKRKGGVAFTIDCSKPVDDKIMEIASLEKFLQERIKVGGKAGALADSVSITRDKSKITVTSDGQFSKRYKIMFGYLKDRNLYELRYFNIAENEAEEEG >A02p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2745285:2751620:-1 gene:A02p006500.1_BraROA transcript:A02p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSSSVQSMVTSLRLCGSRPPFLVAGTTVQECGFARSVRYHFTAASPSYYAVSSIDGFSQSQLCDFQPGAAIFIRGSRMSCSSRTPIHRVFTDALRPLFTRAKISPSAEALTTSYRLLHMTPLPPLSRVRLVSTLSPLYMVRSPSLQATTITPQAVVTTFTLRLERFSTFFGELLESSPRLLQVPVLCCSSSNWTAFFWVCSPTPMASDSLQRVSMEGQPPPLSPAIQASSETWLNCSQNPMIGFFKVDFDVCAFLRTQALGLQVKLLFGSLLSLASSIFHFVVVIFVYEPTVENSSGCINKFIAKFSIPLLSFQVISQNNPFTMSLRLILSDILQKILAAVVFAVVIRFWHPTGGGGKLGWIITGFSVTVFPNTLIIGIPILSAIDGDAAKNILVQIVVLQCLIWSNILLFLFEINATWDLQSSGASIEHRVNDNEETDIEQEPIEEEAIVRTRSSGTGKIILKAWRKFIVNPNTYAAMIGLIWATLHFRLGWKLPEMIDKSIHLISDGGLGMAMFSLGLFMASQSSIKMSIITMILKFILGPALMIASAFCIRLRGTLFRVTILQATLPQGIVPFVFAKEYNVNPEIVSTGFPPQKTIMVKGPGLYTEIGKKARDLLYKDYQGDQKLSVTTYSSTGVAITTTGTNKGDLFVGDVTTQVKNKNFTADIKVATDSSLLTTFTYDEATPGLKAIVSAKVPDQKSGKVELQYLHDYAGVCTSVGLTASPIVNFSGVVGNNVLALGTDVSFNTQSGDFKHFNAGFSFTKDDLIAALTLNDKGDKLNASYYHIVNPLSNTVVGAEVTHSFTTQKNAITVGAQHALDPLTTLKARVNNDGVANALIQHQWRPKSFITVSGEVDSRAIEKSGKVGFALALKP >SC244g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:147072:148936:1 gene:SC244g500080.1_BraROA transcript:SC244g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKANEGEVRDVGDGKSLVAYTGGPSNNDYIRRSDMDALIKMLKENGNKSSINYGYSFGASLIENITDSIE >A03p043720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18295788:18297146:-1 gene:A03p043720.1_BraROA transcript:A03p043720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELTSTFVSRSLVPTTYTQPPQLRPKSIVPMTVCMDQSRKEKVVVIMGATGTGKSRLSVGLAARFSGEIINSDKMQFYNGLEIATNQITIPERCGVPHHLLGELPVDDSELTASEFRSVASRSISEISSRGKLPIIAGGSNSFVHALLVDRFDPVTNPFSSKPSISSELRYDCFFLWVDVSASVLYHYLSKRVDQMMESGMFEELASFYNPRNSRSTIRTGIHQAIGVPEFDRYFGVYPPEKSHNVFEWDQARKAAYEEAVHEIKDNTWRLAKKQIERIMMLRRSGWEIHRLDATASLRASSREVWEKNVLRESVKNVKRFLLKD >A09p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:945809:951603:-1 gene:A09p037830.1_BraROA transcript:A09p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQKREDGVSSEGFTQHKARLRKDMWRAASALPKASCCPFPPKKKAASSCVCLSAHTGRQWLSISTHISTLVLGLSTLTLPVICSGDFGPRGLSVQYTQDICGCPPAHAGRPWVSVSTHMTSEAVRVCPSAHTGRPWLSISTQISTLVLGLSTLALPVDCSGDFAHVGCLFSIHRTSDGVRQHTQDVRCCPCVSVCVRQHTQDVSGCPSVTYQHGCPWNQHTSPSRGLFGTSVAVHGCPSAHTRRLWLSVCVRQHTQDVCGCPSVHITARSSLDSARWPFLWTVWVIFGPRGLSVPYTGRLWVSASIHMTSVAVRQYTQDVRGCLCVSVCVCLCPSAHTTSVGVRQHTQDVRVCPSAHTGRLWLSVCVRVFTSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYAQDVRGCLTAHTGRPWLCVCVRVCPSVSVSTHRTSVAVHQYTYQQVVSWTQHAGPSRELFGSFMAHGGCLFSTHRTSVGVRQHTQDVCGCLWLSVSTHRMSVAVLVCPCVSVSTHRTSVGVRQHKQDVPLCPSAHTRRPCLSVCVCLCRSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQFTQDVRGCPQAHIGRPWLSISTHRTTSVGVRQHTQDVRVCPSAHIGHPWLSVCVRVSPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCLPAHTGRPWLSVSTHITSVAVRVYPFMSVCVCQQTQDVRGCPSVHISERWSLDSARWPFLGTVWVIFGPRGLSVQYTQDVRGCPPAHTGRPWLSMCVRVCPSVSISTNRTSVAVHQYTYQHVGPWTKHAGPSRGLFGTQRTSVAVHQYTYQHAGPWTQHAGPWTQHAGPSHGLFGASVAVCGQPSSHTGRPWLSECVRVCLCVSVSTHRTSVCDVCGCPSAHIGRPCVTSVAGRVCPSAQKGRPWLPISTHISTLVLGLSMLALPMDSYGDFGPRGLFVQYTQDVRGCPPAHTGHLWLSVADRQHTQDVCGCPCVSVCVCVCPSSHKGRLWLPISTHISTLVLRLSMLTLPVDCSGDFGPRGLSVLYTQDVCGCPPAHTGCPWLSVCVRQHNHDVCGCPSVHISARWTSVGVRQHTQNVRVCPSAHTGRPWLSVCVRVCPSAHTGLPWLSISTQISMLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCLPAHTGRLWLSVRVCVCPCVSVSTHRTSVAVWVIFGPRGLSVQYTLDVCGCPPAHTGRLWLFVAVRQHTQDVSGCPCMSVYVRVCPSAHTGLPWLSISTQISTLVLGRRTLTLPVDCSGDFGPHGLSVQYKQDVHCVRQYTQDVRGCPCVSVSTHMTSVGVRVCPSAHMGRPWLFLCVRVCPSAHTGRLWMSISTHISTLVLGLSTLTLPVDCSGDFGPLGLSVQYTQDVRWCPPAHTRRPWLSVAVRQHTGHPWLSVCVRVSLQHTQDVRGCPSAHTGRPCVSVSTHRTSVAVRVRPCVSVSTHRTSVAVHQYTYQHYTHDVRGCPPEQTGRPWLSVAVGVCPSAHAGRLWLSISTHISTLVLGLSTLALPMDCSGDFGPRGLSVLYTQDVCGCPPAHTRRLWLSVCVRVCLSVSVSTHMTSVAVHQYTYQPVCPWTQHAGPSRGLFG >A01p054460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30449429:30453002:-1 gene:A01p054460.1_BraROA transcript:A01p054460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTM1 [Source:Projected from Arabidopsis thaliana (AT3G10550) UniProtKB/TrEMBL;Acc:A0A178V871] MAFVLKPNRRIPHFFLCSHLLETCITFMMILLQRRSVFDALLRCTKPERVWDLYTFACGPSKFGNANPKERLLNEYFRLLGKSSLRASMDMIEDGSFTLENELWRISDLNSNYNLCQTYPFAFMVPKSISDEELLQACSFRAKCRLPVITWCQPGSGAVIARSSQPLVGLMMNMRSNFDEKLVAAFCTQLGANKGERRKLYIADARPRKNALANGAMGGGSESSSNYFQSPIVFFGIDNIHAMRESYSRLRDYLDMHGATSSDGRSSFLRHTGWTWGGGNLSSMSASVSLLGDSGWLIHIQNVLAGAAWIAARVAVESASVLVHCSDGWDRTTQLVSLACLMLDPYYRTFAGFQALVEKDWLAFGHPFSDRVGMPNISGSGNFDFPRQSSSAGSYPSSPVRQSTQSGASQSPSSSHAQNNYSPIFTQWVDSVSQLMRMYPCAFEFSPTFLVDFMDCLLSCRFGNFLCNSEKERQQCAIAEACGCIWAYLTDLRSLASTSHVHCNPFYDPLKYDGPLLPPAASLAPTLWPQFHLRWACPEEAKAADIEVQCRAMRAKYSEMQKEKETTERRVDEISFAMESLSAELLRERRMSWSARESAKRATKEYRALTRAVQSLGCKVNFTTSDVEDAPLETNNNNNNNNSRRRDRQGNNSDVSVSISLMSEENRSENQVGRVCEALCPLRTREGVCRWPEAGCAHLGSQFVGLKTNFDAFDRLSIHESYFKSE >A05p007400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2967157:2971003:-1 gene:A05p007400.1_BraROA transcript:A05p007400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLWIPKILLIALVLIPFGANSQCEFRFSRRNKVFDFNLASSVKNHPHGALSEDGFYRVEANSTVLWFQVVLSTSGLHFVSVSLYALCDLLIFNHDPPRCVGCQDCGGPSHCGTSCSALLSENVRGYDVCTSLGHASSSKVDVIDKEDPGKGIIVKMLAGSSNPNCSLSVSVICQKNKVDGPLTLTKSGTCDYATEMRHPSGCAVAISGHGSGWGLFSTLLIIILCLFGAYLLGGALYRYFSLGIRGIEVIPNSDFWVTVPHRIQVLAALVHYSRDSGVQVMANKPPIRGLEKLEKQLTRQPLPELKLFLEDSTLVKLKLNRWIDIDIALVGGGVSVSLPLLKTLALDSVGGCDSVKCKPQDPNDRVRPFYKSLAIKSDKGCGWQAMPALLGNCPCLETLVIEGGLMHDDVTYMCGGGCGCIYRQRRGPSLGCCPVKVVKIHGFGGTVKGMAMLELFLDYLPCLEEMRVYVQEEENSPTRELEENHGVSKRVLEMFQLYNKSSRSRCNVKLMVGDVLCV >A08p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10455436:10457640:1 gene:A08p016050.1_BraROA transcript:A08p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G31440) UniProtKB/Swiss-Prot;Acc:Q9C865] MEAIRKQAAKLREQVARQQQAVLKHLGHVNADAVVVDEEELHCHQKLQELYSSTKAAKRLQRNIVRGLEGFIATGTKVVEIGLKFAEDFKKYGDENPDANTPLSRVSHHFGTSYKSVEDGRETLLGVLSEQVCEPIRTMIYSAPLEDARHLVNHYDRLRQEVEAQATDVLRRRSKLKESDVSEEAYMKLKNSESRLADLKSSMKTLGKEATKAMLEVDNQQQSVTYQRLRTLVEAEISYHRNALDILDKLHSEMIAEEEAIESSPKSLPLPLHLEDTVSHPQASHSGEIKSNHQGETKHTTPHEEVTKPNPKEDMESSPEVEIKSKPQKEIKSSSPQEDTKTSNGSDDHHCNQQLLSQNDSYFLAKVVHPFDAQAPGELSLAVDDYVIVRQVAGTGWSEGEYKGKAGWFPSAYVEKQEKAPASKIVEANLNQQ >A01p003900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1701465:1701980:-1 gene:A01p003900.1_BraROA transcript:A01p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTLDGAPAGRIVMELYKDTTPNTAENFRALCTGEKGVGKKGKPLHFKGSAFHRVIPGFMCQGGDFTAGNGTGGESIYGDKFKDENFVKKHTGAGILSMANSGPNTNGSQFFICTAETSWLDGKHVVFGKVVEGMEVVKAIEKVGSSSGTTKKKVVVADCGQI >A05p003960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1538387:1538907:-1 gene:A05p003960.1_BraROA transcript:A05p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVVVVVTEATQIQHVNRKSSDELLRKFADPDDLDEPTKSTKRPRKSASRSSRENGVDVESNTSTTGLVERKRLLLAPAASKRRSMFLRQIASGKSHLKNKSLVRTIGKTWRKTMEGASRVFIEKHYNRHRRLINDVL >A07p044450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24251277:24254142:-1 gene:A07p044450.1_BraROA transcript:A07p044450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G71020) UniProtKB/TrEMBL;Acc:A0A178WJE0] MAGVAVSPASLLDVIAEIAEISANTGVFKKDCADLARRVCLLTHLVEEIRDSPQTLTEEESDASSSLVSCECDWWSDLVVGLQAAKRLLSAATCFQARESSEGAAKRISFQFQCVTWKLEKALGNLPYDRYDISDEVREHVELARLQLRRAMQRYGSLNSKKFSTALSEPMEKDASRKVTEKLECIPETIHSNIPSSDEKKLESPPRRKSSSVSLAFFLSKDADNERLEKAITKTNDDDSKKSDNLTIPEDFLCPISLELMKDPVIVSTGQTYERSYIQRWIDCGNLRCPKTQQKLGNFALTPNYVLRSLISQWCTKHNIEQPGGYTKNCEGDMSKIRALVRKLSTRSLQDRRTALSEIRSLSKRSSDNRILIAEAGAIPILVKLLTSEDVETQEKAVTCVLNLSIYESNKELIMLAGAVTSIVQVLRAGTEEAKENAAATLFSLSLADENKIIIGASGAIPALVNLLENGSVRGKKDAATALFNLCIYEGNKGRAVRAGVVNPLVKMLSATSSHRMVTEALTILSVLAGNQDAKTAMLRANAIPCLIALLQKDQPRNRENAAAILFALCKRDKEKLILIGKLGAVVPLMELSRDGTERAKRKANSLLELLRKSSQKLC >A02p040920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25840121:25840365:1 gene:A02p040920.1_BraROA transcript:A02p040920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNHRGSASSSRQRGRNVSNETRTRSTDRFKWAYEQEKKLIELFDHAISITSIL >A01p026000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19802882:19803638:-1 gene:A01p026000.1_BraROA transcript:A01p026000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RTE1-HOMOLOG [Source:Projected from Arabidopsis thaliana (AT3G51040) UniProtKB/Swiss-Prot;Acc:Q9SD42] MGETTTDSEHGIMLGFEDPMRIDPRRDRFPCCIVWTPLPFITWLVPFIGHVGICREDGVILDFAGPNFVCVDNFAFGAVSRYIQINKAKESSLSSGSCLFNSEEGDTHEKEPTWDDALRKGTQEYQHYSYNILTCNCHSFVANNLNRLAIRSGGWNVVNLAALVFLKGRWVSKTAMVKSLLPTVIIYAIGMLLGGWTFLASCCVLACLLTGWFIMGTYCFKKLIQL >A02p013040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5719389:5720726:1 gene:A02p013040.1_BraROA transcript:A02p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCCFMSLLSFLIHSCLRPWTQAKKSLKDEKEIDEGTYVAGEEETPKGLLREQMPRHVAVIMDGNRRWAERAGLLTSQGHEAGAKRLIEFAELCFKLGIETVSAFAFSTENWGRHKIEVNCLMSLFQRYLKSKIQFFQSEEIRVSVIGNLEKIPESLLGTIKETEEATKRYKKKHLILAIDYSGRFDILHACKSVVKKSQQGLIREEDVDQTLFERELLTKCTEFPSPDLLIRTSGEQRISNFLLWQLAYTELFFSPVLWPDFDKDRVIEALVSYQRRERRFGCRI >A09p068040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53469302:53472133:1 gene:A09p068040.1_BraROA transcript:A09p068040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELINRRHEADQPASDAYYPKPIKPWFSVARPMRYMLREQRLLFVLVGIAIATVVFTIFPRSTQSSPYSDPFSGYGMRSEEESHVPGLPAFQAQRKPSLEYLNRMGATGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMARGDTVIVVDNFFTGRKENVMHHFGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIISFSIFFLCNYVFGVGMFWFVVFLDSRVYKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNANIEFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQRVFGDQKEGSSMATTITKTTSA >A07p048100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25712656:25714291:1 gene:A07p048100.1_BraROA transcript:A07p048100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 16 [Source:Projected from Arabidopsis thaliana (AT1G75440) UniProtKB/Swiss-Prot;Acc:Q9FWT2] MASSGAPSHKALSKIACKRLQKELVEWQMNPPTGFKHKVTDNLQRWIIEVIGAPGTLYANETYQLQVDFPEHYPMESPQVIFLPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTEKQRPTDNDRYVQNCKNGRSPKETRWWFHDDKV >A08g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10177880:10178638:-1 gene:A08g505930.1_BraROA transcript:A08g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTISALVGLGRLLRLTPIPTFFSLQVITSLPLHPIVFSSDALASPAVIAEQHSLMILDQFECFFEERLKLLSGEEKDPHSVTTKRLWEEESKSSLK >A02g512290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33281080:33282456:-1 gene:A02g512290.1_BraROA transcript:A02g512290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKFCVHESLSGVGVPHGVLGDIWMHLELKGGEIGDHWTSRAWERAQSDLPKATHRGRSHLTPLSEQPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVAPRLLLGRFLFYLRAFWSFHYGPTRGRLSLDLLRNIQKLSGEVHLLDFDCYVLVFLLSEYLHSRCFDISQNWFDNHLYYNICLRSLENS >A09p074300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56390197:56392096:-1 gene:A09p074300.1_BraROA transcript:A09p074300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRLRRPKNQQIKVRMMLPMSVRCSTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAELTMKTDPQNSDYIVESGASRNYEPWRAEDEVLDKEQQKRDAEEMGDAMKSLENRTLDSKREMDIIAALDEMKSMKSRHATVSVDAMLEALQRTGAEKVKRIEEEDEAVIKSIFGKQKEVVRRIADDEDDEDDDDSDDSPSLHKEKKGPSSDLSKKRKASEESPSNPTDILTSSSAEIPKEPKKRATSKQPFKSVHITVIKKQSQPSSSTTPALAKPEEEKSEGVANTGLASLFQNYSSDEDED >A03p048290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22003593:22004874:1 gene:A03p048290.1_BraROA transcript:A03p048290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSRRMQSGSYAVYRQGLAEVLHTYNKVERKTSMNPYPKIILFGRDYSNQMSPQNLNLSNPVNAGKYFSNDFNNSKMQSSPPNPWFNLPSWGRWFIGSVISVVLYFWNNNRMQQLKRIKGEAELAVEGIEAVAEMVEKVATATEDMAEEMEKILPEQSKIKQVAVVLEHISEVAAHEAHVTHDFLQKVEKVAQDLDALEAMIEPLVDRNEANAETKQQDDKKEANSEPSRH >A05p012140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5264269:5266395:-1 gene:A05p012140.1_BraROA transcript:A05p012140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH5 [Source:Projected from Arabidopsis thaliana (AT2G35160) UniProtKB/Swiss-Prot;Acc:O82175] MESKSSTPELDNGSFIDPMGRRKSKRFKAAAVRDFPPHCGPSSTGLRSSTELMKNNVSDEAEVDEKLLEVVTEGAEQQVKDMNGGWRSVGIKQLGGRKIIAVRDFPPNTGTKFSDYGKTVNDAAASKKELTLDSDKSMSKPLEAEKPKFIIPKPNETASKFKKTEGVVLPSPLRLLQKINRDNGEGSSRKDSETLSTAPSRPRGDTSARDKVMETLRLFSEACRKLIREDEANPRKKNGKNFNVSVEASKIVKSKGKYLNVGTQFIGTVPGVEVGDEFQYRIELNFLGIHRAIQAGIDYMRDANKELLAVSIVASGGYDDDLCNSDVLIYTGQGGNLSKKFQQGNITNEPKDQQLLRGNLALANSIDKQNPVRVIRGNKKTPSSDNNTKNYVYDGLYLVEEFWKEVGSYGKLVFKFRLRRIAGQPELTWKVVKKAKSSKARDGLCCEDISGGKERLPICAVNEIDDEKPPVFDYTVNMIYPDWCQPIPPKGCGCTKGCKDSKNCGCVAKNDGELPYNYDGAIVFRKPMVYECGPLCKCPPDCYLRVTQRGIKIQLEIFKTESRGWGVRSLESIPSGSFICEYAGELLQENEADRLAGKDEYLFDIGTDNGSSSFYESSFFTIDAARKGNVGRFINHSCSPNLYAQDVLYHHEDMRIPHVMLFAKDNIPPLKELTYYYNYKIGQVLDAEGNVKVKNCYCGSAKCSGRLY >A09p075670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56911226:56914500:1 gene:A09p075670.1_BraROA transcript:A09p075670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTEDGTTEYAEFLHAPRKKFTDFAAVRKEIEDETDRMTGKSKAISNIPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVADIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVATKLDIMDKGTDCLDVLEGRAYRLQHPWVGIVNRSQADINKRVDMIAARRKEREYFETSPEYGHLASRMGSEYLAKLLSQHLETVIRQKIPSIVALINKSIDEINAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSTKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEATVDAVHFVLKELVRKSISETEELKRFPTLASDIAAAANEALERFRDESRKTVLRLVDMESSYLTVEFFRKLHMEPEKEKPNPRNAPQPNADIYSDSHFRKIGSNVSAYINMVCDTLRISLPKAVVYCQVREAKRSLLNFFYAQVGRKEKEKLGAMLDEDPQLMERRGTLAKRLELYKQARDDIDAVAWK >A09p062420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51063691:51068724:1 gene:A09p062420.1_BraROA transcript:A09p062420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSDEEHNLPPRSDGGAADTARNSQGGNRCSSARISRCFSLRCVLILAFSAAVFLSALFWLPPFLGLSDPRDLDLDPRFKDHRIVASFDVEKPVSFLEDNLLQLENDITDEISVPMIKVVVLAFERLENLNRTMVVFAIDPEKKSSKIPSEIESLIKAAFQTLVEKELSFRLTESLFGQPFLFEVLKFPGGITVIPSHPVFPLQKAQLLFNFTLNFSIYQIQSNFEELTSQLKKGINLAPYENLYITLSNSRGSTVAPPTIVHSSVLLTFGISSRLKQLSQTITSSHSKNLGLNHTVFGKVKQRRPKGNNALNHHTAAPTPAPHRSKQHAPAPNRSKQHAPAPNRSKQHAPNPTPASHHHAIPVSSPLPHVVFAHIPPPARNTPESGPTGEKTPAPSLTPSSASMGPTFEKASTKLLVIVAVVIICLCKKKKQQFVKAELIIRLQHPKSATINLRNTGMNCMALALDPLTDRFTHYNRSSQRCRLQSLTNLDFNFLDFNTKPTTLTSSHSFNHRSVSTPCFSISSTNQYAAPEIEILCGGRRVSTIRALVAEVTIALVSGAHPLLLPSGLGGAYLLQTGHGHSIAVAKPVDEEPLAFNNPKGSGGGLKLGQPGLKRSIRVGESGIREVAAYLLDHQGFSSVPPTALVRISHVPFHRNDDGEAVASLQRYVGHDFDAGELGPGSFAVGSVHRIGILDVRVLNLDRHAGNMLVKKIHDQDDESCCYNGVGAAELVPIDHGLCLPECLDDPYFEWLNWPQASVPFTDAELQYISNLDPIKDAELLRTELGSIQEASIRVLVVCTMFLKQAAASGLCLAEIGEKMTRDICRGEESSSLLEILCTKAKASVVGGSYQGEEEDGDDDYSSEWDEVEAELECCIFNFDEEFEELTEEMSQVSKPPLFPRAPSFSANLSALMMCSWIGTHDRSLVRSKSHPVCVNHDDTEGVYFGDMSEDEWEMFLQMFQMLLPEALEGSTSKGPKPRFGSSCKF >A09p061320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:50585435:50585788:-1 gene:A09p061320.1_BraROA transcript:A09p061320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVCFGCAFSSSIWFLFASRVWSNPPLDIHSAAAWISFPRASSSPQFRCIIKLIFQSTIYLIWKERNMRIICSRSSSAQMICAAVDRQVRDRLLSIKASPQVQPSLLQCCFSCTRPP >A04p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10364958:10368040:1 gene:A04p021100.1_BraROA transcript:A04p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGKSTFASDSNSEKPKGVEADSLPGPIKPIGAPYVSSGLTIGDPHSKTAKGQTSVSSGLTKPIGKNLNGTIIHTTKTGVSSGVRGKAAVSSGVKGKAIVSDVGEVMAFKDVKFGPNQGELRFRLIHFWEARNVLTKVIIGLEMLLIDQEETVIQGFIPAGRIETYLPHMEAGGIYRLNSFYGSKNKTLYRVADPSVTITFSSTSVLSDLEDSLVCIPEDRFRFRGYEEFDAACDLKGDLYDYVGHIKLVNGQVLSDSIVIDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAAFDFSGKIKASGGTARVILVTTLNPKRFGGALTLSTMTSSRVFLDSDVQATRDYLTWLNSNLAVANRVDADVVTKTETVTIGELFSFMKQEAAKVAWFECIATIGDVAHGSAWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVAQYLARISVYDNDDHASFVLLGDAGHELTGKKASELVESYFEANEDVGDDHLVPVPQALIDSIGQTHKFIVKVSNHNLTGKTQSLTVTKVLTPEVLELEGNLVGNVILPDAHEPLQKGVAEDGPSTRFEESDGQGVKRTADNVEAEDPKRAKCG >A06p002620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5059623:5087603:-1 gene:A06p002620.1_BraROA transcript:A06p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKVGAVGGNLGNAFDDGVFDGVKKIIVSVERRPYNCISMIKIEYEKDGKLESRQHGTVSGELKEGRTSPVFGGITESGQPAATEFTLEGKYGEKLIGFFGRSGQALDAIGAYFSPTPMLRGKWIQVEQQGKGPGPRCSHAIAMVGQKMYSFGGELTPNFHIDQHLYVFDFNTRTWSLAPPNGDVPELACLGVGMVAIGTTLYVFGGRDGNRYYNGFHSYDTVKSEWKLITHVDNVPTPRSFHAVAADDKNVYVFGGVSKTVRVNTLHAYNIVDQKWTELPNPGESCKARGGLGLAVVQGKIWVVYGFIGDEVDDVHCFDPVERKWTKVETRGEKPSARSVFGLAVVGKYIIISGGEIEMDPQAHLGPGKLSGGAFVLDTESSLWEKLEEGHSPRGWCASTTASIDGKKGLLMYGGKAPTNGRYDDIFFYGFIVDHPNEYITSVGGSYDIVRTYYQSLLIKSLIFKTNTGRASPVLGGTTESGQPAGTEFTLEGKYGEKLLGFFGRAGQALDAIGAYLGPLGGNKGNKFDDGILDGVKKVTVAADEYSVTYIKIEYENNGKDEVREHGTNRGELKEFSVNYPEDNIVSVGGTYNHIFNYDTTLITSLYFTTSKGFTSPLFGVAKGKDFELQGESGEKLRGIYGRGGTAIDAIGAHFGPLGGNKGNTFDDGFFDGVKKLTIGADEYSIVYMKIEYQKDGKVIVREHGTFDGILKEFSVNYPEDNIVGAVGGYLGEAFDDGVFDGVKKIVVSVEGGLFNCISMIKIEYEKDGKLESRQHGTIRGELKEFSVNYPEDSIVAVGGTYNHIFNYDTTLITSLYFTTSKGFTSPLFGVAKGKEFELQGENGEKLRGIYGRAGTAIDAIGAHFGPLGGNKGNTFDDGVFDGLKKITVGADEYSITYIKIEYQKDAKVIVREHGTNRGELQEFTVNYPGDNIVAVGGSYNHIFNYDTTLITSLYFTTSKGFTSPLFGVAKGKDFELKGENGGKLLGIYGRGGTAIDAIGAHFETGSDHITALTFKTNKKTYGPYGNKTQNYFSADAPKDSQIAGFLGTSGNALSSLDVHFAPIPTPDSIKPQPGGSGTGGGGSKPGGSGNESGGGGGGSKPGGSGNESGGGGGGSKPGGSGNESGGGGGGSKPGGSGNESGGGGGGSKPGGSGNESGDGGGGSNPGGSGNESGGGGGSGNETGNDGPGKMGPLGGDKGNVFDDVGFEGVKKITVGADQYSVTYIKIEYIKDGQVVVREHGTVRGELKEFSVDYPNDNITAVSGTYKRVYTYDTTLITSLYFTTSKGFTSPLFGIDSEKKGTEFEFKGENGGKLIGLHGRGGNAIDAIGAYFDTGSQGGKGGGGGSQTDVPGKKGPLGGEKGEEFNDVGFEGVKKITVGADQYSVTYIKIEYVKDGKVEVREHGTSRGELQEFSVDYPNDSITAVSGTYKHIFTYDTTLITSLYFTTSKGFTSPLFGIDSEKKGTEFEFKGENGGKLLGFHGRGGNAIDAIGAYFDTGSQGGKGDDGSKTDVPGKKGPLGGDKGEEFNDVGFEGVKKITVGADQYSVTYIKIEYVKDGKVEIREHGTSRGELQEFSVDYPNDKITAVSGTYKHIFTYDTTLITSLYFTTSKGFTSPLFGINSEKKGTEFEFKGENGGKLIGLHGRGGNAIDAIGAYFDTGSQGGDGGDVPSKDGPNTDVPGKKGPLGGDKGEPFDDVGFEGVKKITVGVDNLSITYIKIEYVKDGKVEVREHGTARGKLQEFSVDYPNDSITEVAGTYKHNYTYDTTLITSLYFTTSKGFTSPLFGIDSEKKGTEFEFKDENGGKLIGFHGRGGNAIDAIGAYFDTGSKPGGNGDNGSGSNSGSSPQKLDAQGGKGGNQWDDSGDHDGVTKIHVAYSRVIEQIKFEYVKNGETKEGPAHGVKGGARTIIGTFEISHPNEYLLSVKGWSDSSNKIVGIQFTTNTKSSDYYGFEKYPGDEGTDILLEVKDKKIVGFHGFADNQLNSLGAYFAPIASTPLKPSKKLQAVGGDEGASWDDGAFDGVKKIQIGQNNDGVSFVAVEYQNGSQKVVGDGHGKQSPLGVETFELADGEYITSVGVYYDKIHAEGRGVTVVTSLIFKTNKQISQPFGMTGGEYVELKEEGNKIVGFHGKASDWVHQIGVYVAPVTK >A05p014740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6471448:6474223:1 gene:A05p014740.1_BraROA transcript:A05p014740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLKGGIPTSLSNCSRLVTLDLTSNNLVNGLPSELGGSLSSLEILFLSKNNLSGRFPTSLGNLTSLKKLSIGFNNMDGEVPKTIGRLSQLINLQISMNNLSGFFPPAIYNLSSLRYLSIGANHFSGSLRPDFGYMLATLRELVLGMNSFSGDLPKTISNISTLEVLEVSENHFTGSIPVSFGTLQNIQYLGLHKNFFGGNSLGEDLEFLKSLVNCTKLQMLDVGYNRLGGEFPIHVANLSNDLTKIFLGGNLISGGIPHEIGNLINLQAFAMESNLLTQGIPASLGKISGLILLALHSNRMSGEVPSDLGNITRLEMLQLFQNHFEGGIPPSLGNCSFLLSLWIGYNRLNGTIPQEIMQLESLVELSMDRNQLSGRFPKDVGRLKHVGHLSVADNRLHGNIPETIGDCLNMEELYLGGNAFDGAIPDVRNLRGLTHFNLSTNNFSGNIPEYLANFSSLKNLDLSGNNFQGAVPTKGVFQHPGNFSVSGNRNLCGGMPELKLKPCPRNVVVARTRRRHSSNKKKIFISVGVGVGVVASLLLLALNRMTMAQALPELISLRERFFRTNMRKM >A07p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18738799:18739260:1 gene:A07p034550.1_BraROA transcript:A07p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSFGSRLHHLAMAATSGAKITATEPPKIVWNAGKHRFETEDHEAFIDYKLRNNGQVMDLVHTYVPSSKRGLGLASLLCIAAFEHASSHSLSVIPSCSYVSDTFLPRNPTWKPLVHSEDPKSSI >A09g519130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58825325:58827807:1 gene:A09g519130.1_BraROA transcript:A09g519130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCFGCSEKPSKRSETNKGRNKDTLSDKNTIGCSSITNKRDDQTHPCSDSSKVSPYRDVNNEGVGKAEDQLALDVKRLNLNNQATGKKPQTFTYQELAAATGNFSSDCFLGEGGFGKVFKGKLEKLDQVVAIKQLDHLPPGKNPLDWNTRMKIAAGAARGLEYLHDRMKPPVIYRDLKCSNILLGEDYQPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDTTRPRKDQNLVGWARPLFKDRRNFPKMVDPLLQGQYPVRGLYQALAIAAMCVQEQPSMRPVVSDVVSALNFLASSKYDPTSPSSSSSRRNTYRDDEEKRPDLSKETESEGNQI >A08p032550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19668610:19672848:1 gene:A08p032550.1_BraROA transcript:A08p032550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADGLSFDFEGGLDSGPIQPTASVPVAPLENQSSAAVNLTPSYDHSSAAAAGAGRGRSFRQTVCRHWLRGLCMKGDACGFLHQYDKARMPICRFFRVHGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEDLLQKIQQLTSYFVVKSNNSENFELSVQQGVWATQRSNEAKLNEAFDTVNNVILIFSVNRTRHFQGCAKMTSRIGGYIGGGNWKNEHGTQQYGGNFSVKWLKPIWSYTFGICLRLCFLCSGIGSADVNNELCYMLLRAKGDAFLCELSFHKTRNLRNPYNENLPVKISRDCQELEPSVGEELASLLYLEPDSKLMAISIAAEAKREEEKAKGVNPESRAENPDIVPFEDNEEEEEEEEDESEEEEDGMANGPQGRGRGRGMMWPPQMPMGRGIRPMPGMGGFPLGVMNPADAFPYGPGGYNGMPDPFGMGPRPFGPYGPRFGGDFRGPVPGMMFPGRPPQQFPHGGYGMMGGSGRGGPLMGGMGNAPRGGGGRPMYYPPATTTASSARPGPTSSNRRTPERSDDRGAVDESHEIEQFEVGNSLRNEETESEDEDKAPRRSRHGDKRR >A07p041930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22603615:22605536:1 gene:A07p041930.1_BraROA transcript:A07p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPYRPRVIVNGTRRTRTFHYFYCRHCSRTIRLRNYGLYGSLCPFCSREINLHDELDIMRPNRSFWDTDTDWITLHLINSSRSNRFNHELVNDTDDDFVDAMPSERIGPPPASLSAIEDLKTVTIAEEDLAKEKVCAICKEEFEVGEEGKELKCLHLYHTSCIVSWLNIHNTCPICRFEVCLGVSGSDIDGGGSRHVDHDRSNRSGTRVCSLWPLRVMFDWILELEKLQLRKENKSLSLTEQDGPSPLELPKQSEERLVHLPQTGKDLIVDFQTCLRLLHLVIPIGNAKAHLTRTIRLLWDQNLQDIGVCEDTICDDHDFHIPDIDLTFRNFEELFGADYDLVADDSNILFKGTSAHCEVNTFSSPFNNSIIAPKQASSSLSSSRTNGGGSSKTHCPHNHSE >A05g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17575665:17576534:-1 gene:A05g506140.1_BraROA transcript:A05g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEAVGVEKQKLKLQDEIIAEKEKTKALLNALAQITQDKKEIEKPEKGENIAKLLEEQDKLEGSYESEANNDRECIICMKDEVSVVFYPCAHQVMCSCSDSFFSGNNNGGNKVTCPCCRSLVQQRIHIFGATS >A09p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14019441:14021103:1 gene:A09p025170.1_BraROA transcript:A09p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVSPEEAEAYAQENSLFFMETSAKTATNVKDIFYEIAKRLPRVQPAENPTGMVLPNGPGATAASASCCA >A07p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8909187:8910579:1 gene:A07p011130.1_BraROA transcript:A07p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRNPESSNLNALNRDVTESILSLLPIPSLVRFSTVSKHWRSIITSLPPSPSPSPWLFLFGIHNTSSFHNQSFGFDPLSHSWLRLPFPSLPSLHLVGSDRFLFSTAPRFSFSPILNPHWRPTSPLRFPRINPLLGVFPASSKLILVGGVASIGGLVNIDDRSPVQIYDSALDSWELCPPLPEAFRSNAHETLSSALCKRSFYVFDVTSCFISSFSLDTYTWSDVQTLRPPGLLFAFLNSCNDALVLGGMCYSDRGFSFNLWRVDQGSMEFSEIAIMPDALLFGLLVDSEDEDDAHRFTTLKCVGSGNLVYVFNQDAHKKYPACVCDIGVENGKCTWRSLPNLPSPLNKFHKLVTFCSTLSITDVFHSDEAHIGFAGLVW >A08p005000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2892749:2893060:1 gene:A08p005000.1_BraROA transcript:A08p005000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSIEKSHRSIGPARAEFTWMQITRFGLQSRYTYVDDKKIKKVKVHKVIEGDWEIWGGELHKAQ >A02p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4811666:4814136:1 gene:A02p011080.1_BraROA transcript:A02p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLRAADTKAAMQRLRSEVVEINLFKVLRNDLGEENLNDLVREKIVPVPGDISIHNLGVKDSDLLQRMWSEIDIIINIAATTNFDERYDIGLGINTFGALNVLNFAKKCVKGQLLLHVSTAYVCGENSGLFLEKPFTMGQTLSGDTKLDINVEFELMKQKLKELQHQDFSEQEISQSMKDLGMARAKVHGWPNTYVFTKAMGEMLVGKYRENLPLVIVRPTMITSTLAEPFPGWIEGLRTIDSVIVAYGKGRLKCFLADPNTVFDLIPADMVVNAMIATATAHSGETGIQTIYHVGSSFQNPVTFGQLHETAARYFTKKPLVARNGSPIIVTKGTLLSTMGQFSLYITLRYKLPLLILRLMNIIYPWSQGDKYNDDSRKIKLAMRLVDLYQPYLLFKGIFDDLNTERLRRRRQSIKELDGSFEFDPKSINWDDYMENTHIPGLITHVLKQ >A07p025750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14799940:14801664:-1 gene:A07p025750.1_BraROA transcript:A07p025750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acclimation of photosynthesis to environment [Source:Projected from Arabidopsis thaliana (AT5G38660) UniProtKB/TrEMBL;Acc:A0A219HZL6] MTLPQPHITLSSHSYTQREEEMGSISAASGTTVLPYHLRRQNNRRSVLYDHRHENLPVVSSSQTKQQRWLYVPETKLKRETLRLGFLARAADSTSSSPPVVASGDKTLIPDDEFTLAKISFGVIGLGLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLSYADAVKLRESSATPILTQVRNDVTRYRYGDEQHLEEALKRIFQYGLGGGIPRRSAPILTMIKEEVLTDGRYCLVLVFEAKALKLSDFEQRQAKFTSFFGPNITAEVGKGESENLYEVRLISNVSASSATP >A02p030340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15437164:15440949:-1 gene:A02p030340.1_BraROA transcript:A02p030340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPLQRGVSGGVRVSDSSDDLRDSQMKDKSEKEGHLTLRFPFAFLFTSNSNTQSTDPYNARTRHRLMLLFLKISLVLIVVLALAGSFWWTISISTTSRGHVYHNYRRLQEQLVSDLLDIGEISAGPTRWNELEYCSVDSENYVPCFNVSESLDRFCGPGGSRQECLVLPPVDYKVPLRWPTGKDVIWYHNVKITADEVLTSGSINKRMMMMDDDQISFRSASPMFDEVEDYSHQIAQMIGIKNDNFIEAGVRTILDIGCGYGSFGAHLLSKQLLTMCIANYEASGSQVQLTLERGLPAMIASFVSTQLPYPSLSFDMLHCSTCGVDWDQKDGLLLVEVDRVLKPGGYFVWTSPLTNARNKEDIKRWNFVHDFAESICWTLLSQQDKTVVWKKTIKTKCYSSRSVTHTINIEDIVMRKPGVGPSVCSKGHEVESPYYRPLQMCLGGTRSRRWIPIEGRTRWPSRSNMNKTELSLYGLHPEEVGEDAANWKANVRDYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAQYGGLNAALLEAKKSVWVMNVVPTAGPNHLPVILDRGFVGVLHDWCEAFPTYPRTYDLVHADNLLSLQTSQRKSSCSLLQILTEVDRLLRPEGWVIIRDTVQMVEAARALTTQLKWEARVIEVESRNLIVQQLYCVCWFDRESPLPKAAFGTVFEHFPGSLWLSELLPACLDNSSWISVQYAKPDQLDG >A08p005800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3347011:3349313:-1 gene:A08p005800.1_BraROA transcript:A08p005800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable metal-nicotianamine transporter YSL8 [Source:Projected from Arabidopsis thaliana (AT1G48370) UniProtKB/Swiss-Prot;Acc:Q6R3K4] MLHKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFAMSERIANHSGDAARGVKDPSLGWIIAFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKEQVRVLGKFFSLSFLWGFFQWFFTAGENCGFHSFPTFGLRAYQYKFYFDFSATYVGVGMICPYIINISLLLGGILSWGLMWPLIETRKGDWFPSDVDSSSMSGLQAYKVFIAVAMILGDGVYNFCKVLSRTLSGLFKQIRGEASWRNSLSREEEPPASQLTPKISYDDQRRTRFFLKDQIPSWFAGGGYVLIAAVSIAILPQMFDQLRWYYILVIYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSDHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPRAMFVSQVIGTAMGCLVSPCVFWLFYKAFDDLGLPNSKYPAPFATVYRSMAKLGVEGVSSLPRDCLVLCYAFFGFAILINVVKDGLGNRWGRYVPLPMAMAIPFFLGPYFAIDMCVGSLVLFVWERLDAPRAEAFATAVASGLICGDGIWTLPSSVLAIAGVEPPICMKFLSSETNHRVDKFLQGSS >A09g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11602184:11606847:-1 gene:A09g503720.1_BraROA transcript:A09g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEVGDEADSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A01p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5659901:5671660:-1 gene:A01p011720.1_BraROA transcript:A01p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G19010) UniProtKB/Swiss-Prot;Acc:Q84P24] MAATHLHISPNPKTPTPSPQTPPPPWFSPDTGIYTSKHPSVHLPVDPHLDAASALFSHLHTVDDPSSAAFVDSLTGFSISYSELQVMVESIAAAIHRDLGVRQGDVVSLVLPNSLYFPLLFLSLISLGTVVTTMNPSSSLGEIKKQVSECDVALAFTSRESVDKLASLGVVVIRVSESYDLDSVRVENPTFYSIIRGGFDFGSVPKPLVKQDDVAAIMYSSGTTGASKGVMLTHRNLIASMELFVRFEASQYEYPGASNVYLAALPLCHIYGLSLFVMGLLSVGSTIVVMRRFDVSDVVDVIERFKVTHFPVVPPMLMALTKKAKGVCGEAFRSLKQVSSGAAPLSRKFIEDFVGTLPHVDLIQGYGMTESTAVGTRGFNTQKLRKYSSVGLLAPNMQARVVDWSSGSFLPPGNRGELWIQGPGVMKGYLNNPEATEMTIVEKSWLRTGDIAYFDEEGYLFIVDRMKEIIKYKGFQIAPADLEAVLVSHPLIIDAAVTAAPNEECGEIPVAFVVRRQETTLSEQDVISYVAAQVAPYRKVRKVVMVSSIPKSPTGKILRKELKRILTNCAQSVEKTILLETNLVVQKETVRWKPPPKDWMMCNVGFDWNRSKNLVGGGWVIRNERGVVVCHSRRAFSNIKSRDEARLVVILWSLESMRSHKMSNIIIAGEFLEMFGAVERPQAWPSFLHYAGQIELSMAGIVGCKLQVVTRETNRGATFIAQSVTGQEFEGQKMQKLGDFKLPQFFNYPPYFTLQPVRDTREKQIQLWKELILDFCKSQKVFLIGVEEDFPLFSNSAIDRTLSHEAREIFLSAIVGEGRAEWLDKGHRKCLILWHRIQDWADIILKFVRENGLEDSVMTVEEIRSGTESLGTELEGIDRTILMRALKLLENKGKLALFKGTSADDEGVKFSISKERNSLFKQLPQMIEAKLRQFHGLLFPTLTHLKQRKNTNKHLRPYKEREKQTTFLFVSNLQRERKKKKNMRDSIYLLWVDLRLKVMIMVMMFVSWRYVLGLENINPIFFDEGLSHLFGESNLIRSPDDRSVRLLLDKYTGSGFISSNMYQHGFFSSLIKLPGAYTAGLVVAFYTSNGDVFVKNHDELDIEFLGNVEGKPWRFQTNMYGNGSTNRGREERYRLWFDPSKEFHRYSILWNPTKIIFWVDDVPIREIIRKEEMKGDYPQKPMSLYATIWDASSWATSGGKFGVDYAFSPFVSEFKDVALDGCNVSDSFPNNNNNTVGYNYVNCSASDQDLMTSDYSTISPKQAAAMRRFRERYMYYSYCYDTVRYAVPPPECVIVTAEKDRFKDTGRLKFGGSHRARKRRKRNRSTPVVSADQ >A07g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1081679:1081985:1 gene:A07g500520.1_BraROA transcript:A07g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSIFLRKIYIIKNVFTKNLAVKSCSNLDRTNYRLSQGNGHVSKSATDNQARSLRSDRARVRLDLYVATELEAKLGRYVATEHVYGSIAT >A02p025830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13560631:13564623:1 gene:A02p025830.1_BraROA transcript:A02p025830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G72520) UniProtKB/Swiss-Prot;Acc:Q9FNX8] MALAKEIMGSRLIFERSSSLASSPFQSRLSIKKKTQRTQVSINPFDLSPMKAAISGGVVAAISEDLVKTLRFKTLGRNQENEEVEKAVQFKVRAVVTVRNKNKEDFKETLVKHLDAFTDKIGRNVVLELISIQVDPKTNEPKKSKPAVLKDWSKKSNSKAEKVHYAAEFTVDSAFGLPGAITVTNKHQKEFFLENITIEGFACGPVHFPCNSWVQSQNDHPSKRIFFTNQPYLPSETPSGLRTLREQELENLRGNGKGERKLSDRIYDFDVYNDIGNPDISTELARPVFGGREFPYPRRCRTGRRSTDTDLVSERRVEKPLPMYVPRDEQFEESKKNTFAACRLKAVLHNLVPSLKASILAEDFANFGEIDSLYKEGLLLKLGIQDDMFKKFPLPKIVTTLQKSSEGLLRYDTPKIVSKDKYAWLRDDEFARQAIAGINPVNIERVTTYPPVSNLDREIYGSHLDSALTEDHIIGHLDGLSVQQALETNRLFMVDYHDTYLPFLDRINALDGRKAYATRTILFLTRLGTLKPVAIELSLPKSKRVVTPPIDATSHWTWQLAKAHVGSNDAGVHQLVNHWLRTHACLEPFILSAHRQLSALHPIYKLLDPHMRYTLEINAVARQTLVSADGVIESCFTAGQYGLEISAAAYKNQWRFDMEGLPADLIRRGMAVPDPTQPHGLKLLVEDYPYANDGLLLWSAIQTWVRTYVERYYPNPNLVKTDKELQAWYSESINVGHADHRDADWWPELSTVDDLVSIITTIVWLASAQHAALNFGQYPYGGYVPNRPPLMRQLIPDESEPEY >A01p057560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32622170:32626067:1 gene:A01p057560.1_BraROA transcript:A01p057560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNHSMEKGLLLVNKEEKRGSSETTITSLLIFTTFIIVSASYSFGIALGFTAGTMSSIMEDLDLSIAQFSVFGSLLTFGGMIGAIFSATIADAFGRKMTLWVAEAFFISGWLAIAQAKNIIWLDFGRFFVGTGVGLASYVVPVYIAEITPKNVRGTFTFSNQLLQNCGIATAYYIGNFVSWRTIALIGIIPCLIQILGLFFIPESPRWLAKENRDEECEVVLQNLRGKEADIVKETREIMISVDATANISMQSLFKRKYARQLIIGVGVMLLQQLCGSSGISYYAGSIFDLAGFPSQTGMTVLSMVVASAFGLCLSCISLALAFGFKGVPGIVNFTPTMAFIGILTYVMMFAAGLGALPWIIMSEIFPMDMKVVAGSLVTITNWFAGWIVSYCFNFMLIWSPTGTFIIFAIMSGLTVVFAWCLVPETRGLTLEEIQFPRES >A05p014980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6606226:6607167:-1 gene:A05p014980.1_BraROA transcript:A05p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREMQIIILVLATTLIGIAVATDHTIGGPSGWTVGANLGTWAAGQIFAVGDNLVFAYPSAFHDVVEVTKPEFDSCQVVKPLITFANGNSIVPLTTPGKRYFICGMPGHCTQGMKLEVNVLPNANAAPTAPLQNSVPSLNAPSPSSVLPVQPLLPLNPISPSSSIPVPPSSLPILPAQAPALSPGSAAGTSLPLFPGSPAASSSSTTTKTVGSFPSSATGTTVDFSGAGAPPVDSSSSSTKSFVLGFGFMVTMMLHLF >A10g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13675235:13676170:1 gene:A10g505450.1_BraROA transcript:A10g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCVIKELRIYNAL >A03p069250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30436022:30439795:-1 gene:A03p069250.1_BraROA transcript:A03p069250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKRNLLDEEAKASLDIWRYVFGFADIAAAKCAIDLKIPEAIENHPSQPVTLAELSGAVSASPSHLHRIMRFLVHQGVFKEVPTKDGLATGYTNTPLSRRMMITKRDGKSLAPFVLFETSPELLAPWLRLSSVVSSPANGSHPPPFDTVHGKDLWALAEDNPCFNDVINEAMACHTRLVVPRVAAACHDLFEGVATVVDVGGCTGETLAILVKEFPWIKGFNFDLPHVIEVAQVLDGVENVGGDMFDSVPKCDNVFIKNIEELQRGCPTKYRESVDSGIRNQRPEKDHDSEDRDEKLEQVRLMLDMGMMAHTSTGKERTLKEWDFVLNEAGFARYEVRDIDDVQCVIIAYR >A07p051980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27506805:27509111:1 gene:A07p051980.1_BraROA transcript:A07p051980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DROUGHT SENSITIVE 1 [Source:Projected from Arabidopsis thaliana (AT1G80710) UniProtKB/TrEMBL;Acc:Q9SAI7] MATEYERKRLENIRRNDEMLAALNVRAKASILTAATKRPRDDSTKSYKKKEKQSKPETPIVMRKSLRTRGLDPDSVGLPNWFSDYPKRIPTPPHQYSSAPMQKSSARLLAPLPFDKAYDGEGSCKKFVEIMLGTTASKSMVKDDCDDSVGDSSSTRRRSSRLSNAVVQKEEPVSSSGFVKKEAFAKKSCRGKLVTKDENGDSPMSSGNDCLKKEVIKPEKIDFEKIEFDREPLSLEPHNVARVVPGRILVVKFMPCQNVKLVAAGDKLGNVGFWNMDCGVEDDDDDGIYLFRPHTAPVSSLVFQQNSLSKVISSSYDGLIRLMDVEKSVFDLVYSSDDAIFSLSQRPNDEQSLYFSAGYGMLYVWDLRAGKSVLNWDDLHEHRINSIDFSPQNPHVMATSSTDGTACLWDLRSMGATTPKTLKTVNHSRAVHSAYFSPSGLSLATTSVDNYIGILSGANFEDISMISHDNATNRWISTFRGVWGWDDSYIFVGNRPSKGIDVISTKLKRTVKELQDPLMKAIPCRIHCHPLNVGVVAGSTAGGQVYVWTPK >A09g515660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46197951:46200340:1 gene:A09g515660.1_BraROA transcript:A09g515660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPPDIESPLPALPGSTILASLSPRRTSGPWSKGPAVDFQPKIDVIDGVASLQIPDEIFENPEPLWRSFVVGHFIGDAPHVGSIHATVNRIWTTARDGSKIDVQFIEKNTVLFRIENALVRERVIKRRYWHVTDVPLVVREWSPETALDPLDLSALPMWIDLKGVPNMLFSRKGLKCLSRAAGKFVKLHPNTERCTRFDVARVLVEVNLQQPLVEKITFTNQEGQKVDIGVAYPWLPPRCTVCKGWGHKGSDCVGSNVVILSKSIEDTPSAIATVVRVEDEGNGLRKNAVADLLRDLECLDPVLQVGDSGHKGAGANVEFSVAGKNVDFSVGEVSLEKMIVQEQMGVRDQEIATQKDNNWAVINGGPRHTPTDMVVHGESSSSRISEPEMVVSPSRFSVLASIDEDIEDTEVEEGEVISEDEHTEIVNSEQPKDQVEHKNRKGKQVPGMVHKLGRIWFCWSDKVQVTLLHRSAQIITCAVHILASGEQIIVSAIYAYNTASERRSALSRFHHKLKRLKQELRALNRNHFGNISERTKQ >A09p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7128209:7130574:1 gene:A09p013760.1_BraROA transcript:A09p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKDNNLNMSDLTAALNEEDRAGLVNALKNKLQSLAGQHSDVLENLSPAVRKRVEVLRDIQNQHDEIEAKFFEERAALEAKYQKLYQPFYTKRYEIVTGVVEVEGAPEEAKTEQGEDKAAEEKGVPDFWLIALKNNEITAEEITERDEGALKYLKDIKWNRVEEPKGFKLEFFFDENPYFKNTVLSKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNTKPITKTEECESFFNFFSPPQVPDDEEDLDDDMAEELQAQMEHDYDIGSAPSTIKEKIISHAVSWFTGEAVDPDDLEMDDDEIDEDDDEEDEEDDDEEDEDDDEEDEKEEQGKKSRKKSSAGHKKSGRSQLAEGQQGERPPECKQQ >A10g501510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4215964:4217760:1 gene:A10g501510.1_BraROA transcript:A10g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKKKPRPPLALSFKVLHLARASRRSGGMGMRKNKSISLAGLGVAVSGSSNPPTNYSPATVPTLSGSGSALVVSSGSGGALLPSLGVSSSTLVPDFPPLSEVSNGSAEPRSVLLGSSADGGMLPLIGASPQKFIPPISAGDTISPERNYAALLRSSAQLQEMGSPSEHVSGVPFVLIPDENIEAAKLEFKDFIYARFHGDYPTMGKIIGVVNAVWARTGPRIFVHNLGQGMYLLRVNNPKTRETLLSRTCWNIGGLPMFVAPWSPDYSPDEPPLTSAIIPVEMRNVPYLLFNKESLSRIATALGKPESLAPETERKENFEVAKLYVRVDLTAPLPQKIVSGFSNGREVQIDVSYPWLPIKCDACQRFGHKTDRCTFGVSVGSYGQQSNRKFVPEMSRKRSKSRPGRSRDKLKEKVVTRYVPVVRANDEEVAGERSGIDVLVQDPAVSTRFGDAEILEEGEICQEGLTETAVDATVSEPPEVVHHEGIEESDLHRVTMPTTEGFVLATSEIDDTVSDPVSMVFHHQDVEDLHKDGSEGPSVSAPGSALGTVVEYTSQMMSAPADTELGDNSDPTEDPDNPFVLVKNRKCGRRVTKHN >A01p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8261962:8262924:-1 gene:A01p016750.1_BraROA transcript:A01p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKEEDDEVEQLLQAAQDEMILKLSVDSHASRSRSDYLDPDLHSRFLALRSKPSQQQKKTTTTTEQKRRPVSPTKSKDAEETPDDLMLRFAALKIVGDGFNPSTKPIEQRAQSSRSSRPRLGSRFSRYRLSQEMLLVEAESKRSGTDRLSGSERASPSQARKVDKAQSVKRD >A08g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13820238:13820757:1 gene:A08g507880.1_BraROA transcript:A08g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAFEESSTSWCNYKPLRRREEDDEAVMKTRAFHDWKDGWTVEFEEIIAMMQKRNAGVGRHL >A02g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1922151:1927925:-1 gene:A02g500690.1_BraROA transcript:A02g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHNLVSRSLFPLRLLIFLVFLIIYGKSQKESLQVKVGVVLNTNVTLVDLSLRAINMSLSEFYKTNKDFKTRIVLHIRDSKQTVVGAAASDVAFYVDVVGQAIFIGELQTLQCSRKERKKIKFTLGGIKRLIILMCMLTLAALYLIKKREVVAILGPGNSMQAPFIINLGNQSQVPIISFSATSPVLDSLRSPYFIRAAHDDSAQVQSISAILKSFRWREAVPIYVDNEFGEGILPYLVDAFQENNVRIKYRCAISLHSSNDQIENELLKLMTMPIGFLSCTCYLI >A05p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23772210:23775703:1 gene:A05p038820.1_BraROA transcript:A05p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G20020) UniProtKB/TrEMBL;Acc:A0A178VA69] MQSGGDYTNGFHGELQRDEKQVPVLSALGRAKRRSRGGARDPRGGLTNGELRVSDQISEQKPLETQESPPPCTDFDVAYFHSYAHVGIHEEMIKDRSRTETYREAIMQHQSFIQGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANGLSDKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSHATLYMAPISHPDRYSHSIDFWRNVYGIDMSAMMQLAKQCAFEEPSVESISGETVLTWPEVVKHIDCQTIKIQELDSVTARYKFKSMMRAPMHGFAFWFDVEFSEPAKNTNATSVANGSSSVSPFTEGNQKKRSNPSDALVLSTSPESPPTHWQQTIVYFYDPIDVEQDQVIEGSVTLSQSKENRRFMNIHLEYSSAGRSFVKESVMR >A08g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7580133:7582930:1 gene:A08g504560.1_BraROA transcript:A08g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRRRQIEESIGSRGKRREGQGQGDVAIKERRFLTSETASKLGEPDRSRCQFVDPRLATMSGLMLCENLVVVIVIVCRSLLPQMPPASPIEDRGTAIPIEECDRAIPERLRLCGVIVKGLPVSLTWRKNDFVTSRKDRSTRRTVGASVDWTSFAKESFSRYMNIARRRRSEGGQELMLGTGRISRRRGLGYESDVRVQTLAHTNQEFSEDNIVGNNNLFGHDQSRPQEEHFPPNRSVRERSETDDNGAEWWETRDRQVGHLVTTWAAFKKELERKYFTPESKRRLQYLFANLAQGDKTVREYESEFMRLRKHVLRGQDDEETMISNFMFGLKPELENRMAVGNYESLTELVEKAVNVEIRLEAEKAASKKSKQHQEGKYGGNQRSFKGKDKERES >A03p071960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000114.1:38136:38630:-1 gene:A03p071960.1_BraROA transcript:A03p071960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLIKHTRLFTQTSLPTVWGEIQTLKLSLDHINRRTSAVSESAPPAIRVVREDQVMKMIHDPQNDAVLFN >A03p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6504022:6506449:1 gene:A03p016350.1_BraROA transcript:A03p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPEKKGRVQWDEANIVEIESNKPVRQKITEPKTPYHPMIHDDDDDEGSLSPRGGRSFNECVDDMQRAEDLRNVLNGEAVSSGKGSGSGGGGWSSAEDEEADPLDDEGLEGEKNERFSELRRVHYDEFHKVKELRSLGSFYEEEEEGEDDGASKAETTTSPHSEGRVNKEASMANEKRHEMTRLSSQICNQISSVFSNPTDPHPPPLDLLVTELTGVSRRGARVFLHGVGREGLMLKAFAMRLFHLGLSSHLVSDMTTPPISSPDLLIASAGPGGFSTVDAICSVAKSAGAKVVLITAQPETGSCVKHATDVCYVPAQTMASDGGGATEKGERPLLPMGSVYEGALFVLFEMVVYKLGEVLGESPESIRCRHTNLE >A08p024780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16073570:16075059:-1 gene:A08p024780.1_BraROA transcript:A08p024780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTNVSSRSSSLTIGEQLCAVFVPFLVIIDVLFSTVGQCFDCRRRRSTQTCQHPDLTRLAHESQFSVNEVEALHELFKKLSCSIIDDGLIHKEELRLALFQAPNLFLDRVFDLFDEKKNGVIEFEEFIHVLTVFHPSAPIQEKIDFAFRLYDLRQTGFIEREEVHQMVAAILKESDMMLSDELLTMIIDKTFADADSDKDGKISKEEWRVYVLEHPSLLKNMTLPYLKDVTTAFPSFIFNTEVED >A09g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14329547:14330784:1 gene:A09g504710.1_BraROA transcript:A09g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMKLSEDFTKKLGFSEEGEEIRKLERSWSKLEDSVEFDEEKEAGEEEEFSFACVNAEGSRDLLFDQNDGVSETDDEEINRPHLRKVFVESHIPTEKSPDDMKSMAVEAILLLQEKINDDDEETVKMQLLVPSKVVLRLREDVLGDRGSVSARNPPPARSDHSGFTLPPFVSSVPEYASVDFDQRRDTGESSLGMVSSDRFYGYESSFPISSHFEARDHGLVSVGSILIWRVSFANYNKELGFFFITLFQMV >A02p057130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34376553:34377705:-1 gene:A02p057130.1_BraROA transcript:A02p057130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAKTCSLCGNNGHNAPTCCLNSVNKGSVKLFGVDISSDPINSPEVTALRKSLSLGNLDSLLDNGNGDLIAAVEDTGYHSDGQIHSKKRRSSHEKKKGNPWTEEEHRMFLVGLKRVGKGDWRGISKSFVTTRTPTQVASHAQKYFLRLNGNDKRKRRASLFDISLEDQKEKEKNSPDASTSSSKTPSKQLITGSQEPVQVQTQTEISNRFQNLSMGYMPIYSFSPFMFHPMYYANPGPIRYVHPSGIPVPRHVPVSMPQPHLNEVSDMTTKDGLELAIGLPPPRPQATGSTDLTAHGTIHVK >A09p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1850973:1856006:1 gene:A09p003150.1_BraROA transcript:A09p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKLWVYRMVVKVWSIAEKLLCLQMSAIPPAAAIGGAVGALALSALLVFLLWFCIFRRKNASRTSESGSSDPSTQERRSVAMDLSMREARSFKMEELAQATKSFTNKSLIGTGKFGEVYKGLLQDGVLVAIKKRPGLPTQEFVNEVRYLSSINHRNLVTLLGYCQENNTQFLVYEYVPNGSVSSHLYGGGDKVSGNRLEFRNRLAISIGAAKGLAHLHSLSPRLIHKDFKTANVLVDENFIARVADAGVRNFLGRDDVVVGTSSLDAADQIFLSPEVQEFRRFSEKSDVYAFGVFLLELVSGREASEPSPSSSTQTRVEWMQNIRDYTDTPAMIDERLGGTYTAEGVEEVITLTLRCLNVSSEKRPAMSYVLTELERILDKEVSLTTVMGEGTPTVTLGIELAEDDNSSVSRTLGYYYLLCLDPNTQSYFSYKPFIAKETTTTKLSKDSNSKLLASQMRNLSPVWKRVVINGRSLVDQDAHSSSSNNGSKRPCNVKNTSNHQRAWHEKDETMPSASVDNQCTHLLGALCIKPTILPLSSPKLTLLPPQTISTTKPSRLSSLRFRPNSSSPSTSDPLTVDYNDSPLSVFPAEACEVISGYACSADIYPEVKLETKPVSPPVASEPVDREYLEYNNPKTVFPAEACDDLGGEFCEPDYQKDVY >A03p001150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:595985:596176:-1 gene:A03p001150.1_BraROA transcript:A03p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFENRCDRDSMVVKMDVAWWMELPPPQIIFPEKPSTCPILETIIEERETEEDDQEHDKEDV >A06p000360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:381333:381860:-1 gene:A06p000360.1_BraROA transcript:A06p000360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFTVLLFLCIVLLSSVAGNADSGLISNLCKHSDDPKLCIASIQQSPESGDFAATNNEVEFIAISIASANASATSTYIKEKLTLEDLEPATEVTLEDCLKNNQDAVEQLDDSVSAMLANAHADVDVWLRAAISAIESCDSTLVSRAGNDAKLSQRNNIFLKLCKNALMINKMLS >A06p057270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29754649:29757576:-1 gene:A06p057270.1_BraROA transcript:A06p057270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42480) UniProtKB/Swiss-Prot;Acc:Q9FIG9] MEALGRHLGIGLFPFQICRLPPATKLRRSLPSSPVITTVCSASRWADRLLPDFNFTTDSSSSSSASTATLVSPPPSIDRPERHVAIPIDFYEVLGAETHFLTDGIRRAFEARVSKPPQFGFSDDALISRRQILQAACETLSNPRSRREYDESLVDDEAATVFTDVPWDKVPGALCVLQEAGETEVVLRVGEALLKERLPKSFKQDVVLVMALAFLDISRDAMALHPPDFITGYEFVEEALKLLQEEGASSLAPDLRSQIDETLEEITPRYVLELLALPLGDDKRLAGLSGVRNILWSVGGGGASAVVGGLTREKFMNEAFLRMTASEQVDLFVATPSNIPAESFEVYEVALALVAQAFIAKKPHLLQDADKQFQQLQQAKVMAMEIPAMLYDSRNNWEVDFGLERGLCALLIGKVDECRMWLGLDSEDSQYRNPAIVEFVLENSNRDDLPGLCKLLETWLAGVVFPRFRDTKDKQFKLGDYYDDPMVLSYLERVEVVQGSPLAAAAAMARMGAEHVKASAIQALQKVFPSRYSDAQETVSTVDRAGNNNVGHDDADDETAVLSAATEGPSEIFDTKSSVDAITVEQSNADKLKEASVKILSAGVVVGIISLASLRYMSLKGSSSLQRKDMTSSTASEIATIGSVKSEDSVALPRMDARTAESLVSKWQKIKSQAFGRDHCIEMLPEVLDGRMLKIWTDRASETKQLGLVYDYTLLKLSVDSVTVSADGTRALVEATLEESACLSDLVHPENNATDVRTYTTRYEAFWSKSGGWKITEGSVLAS >A09p070510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54642798:54648668:1 gene:A09p070510.1_BraROA transcript:A09p070510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLENDRPLLTERIYEELHEEEEEEETAYDEAEKVHIASDDERDLEHGVGCGGAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWAGMVLWVMAELALIGSDIQEVIGSAIAIQILTNGIFPLWAGVVITALDCFVFLFLENYGIRKLEAVFAVLIGTMAVAFAWMFGQAKPSGSELLVGILVPKLSSRTIQKAVGVVGCIIMPHNVFLHSALVQSREVDKRQKHRVQEAINYYTIESTIALAVSFMINLFVTTVFAKGFYNTDQADSIGLVNAGQYLQDKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLSFKMKKWLRALITRSCAIVPTIIVALVFDSSEATLDVLNEWLNVLQSMQIPFALIPLLCLVSKEQIMGSFKIGPLYQTVAWLVAALVIMINGYLLLEFFSSEVSGVVYTGFVTVFTASYGAFIVYLIARGINFTPWRAKSEVGEMGTSALVDSISSFLNLSSSRHIDLDPFEKYYKRVEELLVVLKPVADAASINSHLVSEERIGKSFDDLTQDVDHSIDLFRSWHAFSSKVYFVLQIESLIPKMRETIVDTFHFLMSFESNLPDELSPPSLEKCLEKVKQLSYEEISSVIDGALRDERDGAGPTPEVLVRIGENTGLRSNQEILIEAVALERQKEIAEQSENNSEVEFLDQLIVIVNRMHERLLLIKQTQTSSVAILADFFCPLSLEVMTDPVIVSSGQTYEKAFIKRWIDLGLKVCPKTRQTLTHTTLIPNYTVKALIANWCETNDVKLPDPNKSTSLNELSPLLSCTDSVPSVSNKSNDWDASTGEAGKPSSSNLHPSPSPSRSASALDTSSLHDYEVRSNDSREIKTDAPGRSSVSSTTRGSVENGQTSENHHHLRSPSAASSVSNEDSPRADANENSEESPHATPYSSDASGEIRSGPLAAATTSAAAPPRRDLSDFSPKFMDRRSRGQFWRRPSERLGSRIVSAPSNETRRELIEVENQVKKLVEELKSSSLDVQRQATAEIRLLAKHNMDNRIVIGNSGAIVLLVELLHSTDSATQENAVTALLNLSINDNNKSLIAQAGAIEPLIHVLQNGSSEAKENAAATLFSLSVIEELKIKIGQSGAIGPLVDLLGNGTPRGKKDAATALFNLSIHQENKGTIVQSGAVRYLIDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIGLLVEVVELGSARGKENAAAALLQLSTNSGRFCNMVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRNQRHGNAGRG >A03g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14698525:14700582:-1 gene:A03g504120.1_BraROA transcript:A03g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLFNFPLIRLRSLSHLPLSSLRSVSVPISQRKLPTFRAFSGTAMTTDAKDAGMDAVQRRLMFEDECILVDETDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSNYELLLQQRSKAKVTFPLVWTNTCCSHPLYRESELIEENTLGVRNAAQRKLLDELGIVAEDVPVDEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADVKYVSREELKELVKKADAGEEGLKLSPWFRLVVDNFLMKWWDHVEKGTLSEAVDMKTIHKL >A09p023430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12827795:12834084:1 gene:A09p023430.1_BraROA transcript:A09p023430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSADSAADHRSKHLSINPPHQIFKDVQGSDNPIPLSPQWLLSKSGKSKAGMGTVEAQGLHNPSGNGEETPDNPKKKDVFRPSLLTAETGRREGWRDEERDTFSAARNDRWRNGDKDFGDSKKVDRRDNVGSRQFGEPRRWTDSGNKDAGTEQRRGPSDRWTDSGNKDAGTEQRRESKWNSRWGPDDKEAENARNKWDEAGKDGEIIREKGPSLPSSDGDHYRPWRPSQGRGRGESLHSQSTPNKQGTAFSHSRGRGENTAIFSAGRGRMSSGGSLFTNQSHPPGSASEKGESGTGEPYHLRYSRMKLLDVYRIADTVCFEKFPDGFIEVPSLTCEQPSDPLAICAPSSEEVNILDGIEKGKIVSSGAPQISKDGPSGRNPAEFSQPRRLKPAGSREDMTSAADVSKEESGETRNYPDDKFRPEASHEGYAPFRKGNEVQGNNHVQSPWRQPGERSSRISHDWNDPSADNKLKSSDSVWSHPKDSINHSGSSVMSLPQSKGESRWQIGEDPALRRQPSLVFDREREVRKPMPSSPEELSLFYKDPQGLIQGPFSGSDIIGWFEAGYFGIDLLVRPASAPIDSPFSLLGDVMPHLRAKSGPPPGFSDAKPSQTIDAAGSPAFPGVGKVHTGMGETDMLQNDMRYKQVGGTVAENRFIESLMSGSLNNPSQGVQGYAVNSSGGLSLPVTDGGADMYLLAKKLELERQRSVASPYSYWPGPESANLMPRSENVPEVAQQPARSPSTDLLSILQGAKDRSAPAVSGPIPAWSHPNQKDDLHHAKSFQTQTSFGVQQQRQLEQNSPLAGLLGQPIGNNPAGMLPPDMMLVPGLSQEQQSLNLLQQQQLLLQMNAQTPLSSQQQRLLIEKMLLLKHQQKREEQQQLLRQQQQLFSQVLADQQHPQQRFGEPSYGQLQQSFDALRLQESKDVTQVNQQMQLPVSHEARGANLTDFLSVNQATSQNVAIVGTHHLNLQPQLFGNVDPRMSEGGVPTDQIDGTHKKDLQSDYERSIPADYMNSLYSEKPVLLPASFPNNESATPETSDSKSLENQTKDVFVGQGEPSNELNVEIPPAEVKSNEVSGGRKTSEKKSKKQRGNKQSAEPVKAASKSSLQEAKQPETGISDDSEIKGKNMNPADTLIDNDVLMSSEAISVRGESGVNESSLQNTRTQPGRAWKPAPGFKPKSLLEIQMEEQRVAQAEALAPKVSTSSGSVGGLASPWGGIVTNSDPINLRETLGESVKTQTGAVKPESVPALKGKQSHLLADDVVAKSVVKERDVVGSSSNDTYTQVTSTNAESLDDDNFIDAKETKKSRKKSARAKNSAAKTAAHVPAVVDTSLSTSSIEKGKNARIAQQQLKEDLPAIPSGPSLGDFVLWKEEPVNNPSPAAAWSTGPKKSTKPSSLRDIVREQEKMTTSSHPSPSPVPTIQKPTPQAVPQSSWSRSPSQAVSQSSLQSKSKGDDDLFWGPVEQTTQESKQGDFPQLSSQNSWGTKTTPGKGSAGSSLNRQKSVSASSPASHKGKKEEVTKLTEANGFRDWCRSECLRLLGSEDTSVLEFCLKLSRSEAETLLIENLGTVDRDHKFIDKFLNYKDLLPSEVVEIAFQSKGSSSSVVKSRNNKAAEEEEYYKAPSANEGFSKVGGGKKKGKKGKKVSLSSSVLGFNVVSNRIMMGEIQSIED >A03p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8330623:8332264:1 gene:A03p020330.1_BraROA transcript:A03p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM14-1 [Source:Projected from Arabidopsis thaliana (AT2G35795) UniProtKB/Swiss-Prot;Acc:Q8RV04] MATPFLAGVAVAATALAGRYGIQAWQAFKARPPRPKIKKFYEGGFQPTMTKREAALILGIRENVPAEKVKEAHRKVMVANHPDAGGSHFLASKINEAKDVMLGKTKGSGSPF >A02p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5111304:5112732:1 gene:A02p011650.1_BraROA transcript:A02p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLEVQEGRAARDYQDPPPAPLFDMEELGKWSLYRAVIAEFVATLLFLYVSILTVIGYKAQTDASAGGADCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSFVRTVLYIVAQCLGAICGCGLVKAFQSSYYNRYGGGANQLAEGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQEKAWDDQWIFWVGPMIGAAAAALYHQFVLRAAGIKSLGSFRSSA >A09p083820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60237721:60249129:1 gene:A09p083820.1_BraROA transcript:A09p083820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEENQNPNAKIAKLGTIRRISGYCWIFSSLLFSPENPSKHFGYRIAMLMVPSKVAGHTRFLLESFNGSDVDSIAQEICQLVDVGIETSIPALKTCIDCFTVRRSHPNALQLEKLVSLVFKRVLNNSDNLQTLISNALQDVELTDEFVDDLTNALGFTIPEKISVSLVLADSERSDAKTSGRNLLLAEIEQLCASPGQIESTEQIQNVVLFLQHSEDLSSHLDSFLRILSSAQPRDDFSFALTPFLSPQHHQSYVFSDSSDNDFEAILDDIDKELDLGDLMGELGCGLTADAQQCKEILSTFAPLGEAAIARILGNVARSCPDREDNHTTFPTFALALGCCIPTELPTPRSWNADILIETIIQLAPGTSWRKVIENLDHSGFDIPNMESFSFFMRLYKTACKDPFPLDAVCASVWKNMEGQLSFLKHAIAAPPEVFTFTHSPKKLVYVDNNMHSHEQQLGLSNQAWLSLDLLYVLCQLAERGHTVLVSSLLHYPLTHCPKTLLLGMAHIKTAYNLIQREVLSVVFPLIITNSQDSGFILHLWHQNAELVLWGILNAQNLKVDSILRIIEICHELKILAVVLESVPVSFSIRLAALASLRGFLDIENWLPNCLYVYKDTFAVECLKFVKNVHFSQSEDFTSKHFHPSDPLSDLHLDATALLLKVLKAHDNVITSSQLVDDIEKVNAAILDCNSKLQNGEAKDSSASNAYGEDVEAEANAYFHQMFSGQLSVDAMVQMLSLYKDSLVQREKSIFDCMIANLFEEYRFFPKYPERQLKIASILFGSVIKHQLISSITLGMALRLVLDSLRKPADSKMFLFGSKALEQFVNRLVELPQYCNHILQISHLRSTHPELVTVIEQALSRISSGNLESDASVSHPGLSQSFPGNGELFSDDVYISKLLVFGSGIGQSALQLPSPVLPQQTNEVHIDDRSRVPSVPSNEAKPLLPSSSNISVDVSVTPKNPGISTSSSTSAGFVRPARGATSTRFGSALNIETLVAAAERRENAIEAPPSDVQDKISFIINNISLANIESKGKDFAEILPQQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVDSKLLFKEILQNTYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNHVLRAREIDPKSLIVEAYEKGLMIAVIPFTSKVLEPCQNSIAYQPPNPWTMAILGLLAEIYSMPNLKMNLKFDIEEPKTISPLKQIDLPIDVANTDTPSKLLSQYVAPQRVYTNTLMEDEKVATLGLPDQLPSPQGLFPSSPSPLFSTSQPPALPNIGNHVVINQKLSGFGTHFPFPRVVPLAMDRAIKEIVAGIVQRSVCIACQTTKELVLKDYALEPDETRIYSAAHLMVASLAGSLAHVTCKEPLRTSISGHLRSLLQDLNIKNEALEQIVQLVTNDNLDLGCAAIEQAATEKAVQTIDADIAQQLLLRRKPRDGAGSSLSQNSVSFIPESLRPKPGHLSLSQQRVYEDFVQLPWQKQSIHTSHGLSAASSSSGEVGLGSNYGPVSGKSASDSLSGAENARMDMVSRASDLSVEGFESPFALLSSQVDPAGETGGLQFSNSISTSELSLVESSDTAMKETGTSLQTLTSAATMERLGGSNIAQPSLSTRDALDKYHIVMQKIEDLVANNAGDGEIQVVVSEVPEIILRCISRDEAALAVAQKAFKALYENASSSLHVSANLAILVAIRDVCKRVVKELTSWVIYSEEERKLNKDITIGLIQRELLNLAEYNVHMAKHLDGGRNKSATDFSISLLQSLVTEESSVISELHTLVDALAKLSSKSGSPESLQQLIDIIRNPVTNTSGLSDSASGNENNDRQSKDEKVVCNTTANTEENTSWEYVVADPAAFRNRVSTLFKNWYQICEVSGANDTACSQYVLHLHQTGLLKGDDTTESFFRILLELSVAHCISSEEISSGAGQSPQQAQSPSFLIIDIYAKLVFSVLKYFPEQESSIKLFLLSEIMAVTVRSIQKDAEDKKTSLNPRPYFRLFINWLLDLCSLDPGTDGANFQVLTAFANAFHALQPLKVPAFRLGLKAVSRNAFNQTRICQELWKKSNTYVLRMIHVVDIYIFFFFY >A10p022290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14726853:14729742:-1 gene:A10p022290.1_BraROA transcript:A10p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:laccase 16 [Source:Projected from Arabidopsis thaliana (AT5G58910) TAIR;Acc:AT5G58910] MEIPRRFCVCILTLFVIFLLSPTTVHSIIRHYKFNVVMTNTTKLCSSKPIVTVNGQFPGPTIVAREDDTVLIKVVNHVKYNVSIHWHGVRQLRTGWADGPAYITQCPIQPRQNYLYNFTLTGQRGTLWWHAHILWLRATVHGAIVILPKLGVPYPFPKPYKEKTIVLAEWWKSDVEQLINEATKNGTAPNASDAHTINGHSGPISNCPSQSGYGLPVRPGKTYMLRIINAALNEELFFKIAGHKLTVVEVDAVYTKPYKTDTVLIAPGQTTNVLLTANANTGSKYMVAATTFMDAPISFDNVTATATLHYIGHTVSSSKKTVLASLPQPNATLVASKFIKSLRSLNSREYPAKVPTTVDHSLFFTVGLGANPCPSCNNGFRLVAGINNVTFTMPETALLQAHYFNISHVFTDDFPAKPSNPFNYTAPVDQSVNAATMTGTKLYRLPYNATVQIILQNTAMILSENHPFHLHGFNFFEVGRGLGNFNPEKDPKRFNLVDPVERNTVGVPAGGWTAIRFIADNPGVWFMHCHLEIHTTWGLKMAFVVDNGHGPDQSLLPPPADLPKC >A06p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8902533:8904587:-1 gene:A06p018340.1_BraROA transcript:A06p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDDAGGGGKIMPTRRALSAINKNITEPPSSYPYALNNKRSLSERNVIRNKPPLRRPLTRKFADNKPQIQEEETKKSNSLPSEEALAERVDIDVSACGKEGDCFNEPVFVQHTETMIEETYQMEEGIEVEDADKEKERVINIDACVEKNSLAVVEYIDDIFNFHNKSEELSCVPSNYMDNQQDLNEMMRGILIDWLIEVHDKFELMEETLYLTVNIIDRFLAVHQIVRKKLQLVGVTALLLACKYEEVSVPVVDDLILISDKAYTRREVLDMEKLIANTLQFNFSLPTPYVFMRRFLKAAESDKKLEVLSFFIIELCLVEYEMLEYTPSKLAASAIYTARCTLDGFEEWSKTCEFHTGYKEEQLLACTRKMVAFHHNAGTGKLTGVHRKYNTSKFSYAARTEPAGFLL >A03p058080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25200069:25202587:-1 gene:A03p058080.1_BraROA transcript:A03p058080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFSSCGLGAVMNLSALKTNVSSSHKKFLTCKRSKIVASNQGTNTTASYSALATEPLTKEDLIAYFSSGCKTKEMWRIGTEHEKIGFDVKTLRPITYEQITALLNGISERFDWDKVMETGTIIGLKQGMKNISLERGCQLELSGAPLETLHQTYDELHSHLYQVKTVAEDLGIGFLGIGYEPKSSREDATIVRKRRYDIIQGYLDRTGSGPDLGLRTCTVQVNLDYSSETDMIRKFRASLALQPIVTALFANSPFSNGKPNGFLSIRSHLWIDTDKNRTGMIPFVFYDSFGFERYVEYALDVPMCFLHRNKSYLNCRGMTFRDFLSGKTSHLSHEQPTINDWENHIGTIWPEVRLKRYLEMRGADGGSREMLCALPAFWVGLLYDEECLQAVLDMIADWTTEEREMLRTQVPVTALKTMFRDGPLKHVAEDVLKLAKDGLERRNYKETGFLDAVAEVAITGVTPAEKLLKLYNGDWGQSVDPLFHEMQY >A07g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4710374:4710986:-1 gene:A07g502160.1_BraROA transcript:A07g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFISFNLYISPSLITLLRDVKNSVIQGFIPASLANHYCPSLQNSIMQQEVREIWISLHVYQEMTKLTKQEAAVLTLEEVSNGGYE >A08p016060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10459027:10460709:1 gene:A08p016060.1_BraROA transcript:A08p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31430 [Source:Projected from Arabidopsis thaliana (AT1G31430) UniProtKB/Swiss-Prot;Acc:Q9C866] MGPVQTPSLIAYNKMLKSLADTKTFTKVLALFSELRRNALYPDNFTLPIVLKSIGRLRNVLEGEKLHGYAVKSGLKLDPYVCNSLMGMYAALGKMEITHKVFDEMPERDVVSWNGLISSYVGHGRFDDAVAVFKRMSKESNLKPDESTIVSTLSACSSLKNLEVGEGIHRYVVGTEFETSVKIGNALVDLFCKCGCLDKARAVFDSMKGKNVKCWTSMVSGYVSNGRIDEGRELFERSPAKDVVLWTAMMNGYVQFNRFDEALELFRCMQSQGVRPDNFVLVSLLKGCAQTGALEQGKWIHGYICENGVRVDKVVGTALVDMYAKCGCIETALEVFYETKERDTASWTSLIYGLAMNGMSRRAMDLYYDMERVGVRLDDITFVAVLTACNHGGFVGEGRRVFYSMVQPKTEHYSCMIDLLCRAGSLDEAEELIDKMRNESNETLVPVYCSLLSAARNYGNLEVAERVAEKLKEVEVSDSSAHTLLASVYASANRWQDVTNVRRRMKDLGIRKFPGCSSVEIDGVPHEFIVGDKSSSSHPKVDEINTMLASNYKLDVEFGT >A05p004890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1913265:1918665:1 gene:A05p004890.1_BraROA transcript:A05p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADLAQASRNYHEYQLIMGVIWKRLSDTGKNWRHVYKALTVLEYMVGHGSERVIEEIRERAYQISTLSNFQYIDSGGRDQGSNVRKKSQSLVALVNDKERIAEVREKAAANRDKYRSSAPGGMYKPSGGYGDRYDYASRDDERSSYGREREYGYRDDDRNSRDGDRHSRDSEDRYGRDGNREDDYRGRSRSVDNFPQGSRGRSSDRERTFEDDGHSSSRGSNARADDNSQDGRGSLQRKFSEQNIGAPPSYEEAVSESRSPKFEAFDEFDPRGGFTAAPPAYASADGVSAPPTVASTSAPPTSNSVEMDLLDSLADVFSSNALAIVPADSASVETHEQSNAPSFSTSQPSSQPFDDPFGESPFKAFTSTDTDSNPQQSFGTPFQSTPPAFTSEASHTDTAAHNFGFEDSFSAVANPEPAVQNVQPPSNSPSFPQEQFTSDIDILAGILPPSGPPASLPQQSGAPVATSQFPPSGNNMYEGYHPQPVTTAPNMPGQTPFGQAVQPYNMVPPHSQNMTGATPYHSGGFMHQPGSANYNPGAVTSSSSQTPYSNPSGPAGQFVSHQGHGMPPSHGPQRTQSGPVTMQGNNNFMGDMFSQPGRTNSLSSSSSQPDLTPLTGAIEIVPPPQKKFEPKSSIWADTLSRGLVNFNISGPKTNPLADIGVDFEAINRREKRLEKPTNAPAATTSTINMGKAMGSGTGLGRAGANSMRPPPNPMVGAGMPMGGVGMGVGGYGGMNQNQPMGMGMGPGMNMNQNQPMGVGMGPGMNMGGGYGQGYQMQPQNQGMVPGQNMPGNNNYNPMMGQGGYNPQQQQYGGGYRTLHEILVGLASRFTEVLQYERNLRGLCCETCTGEIVANLPRKLG >A04p041090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23153415:23157026:1 gene:A04p041090.1_BraROA transcript:A04p041090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVREVRFHLTFTLMRSLCYSYQSLAIYSFPLLFFKRFKKIACLQSCDDDVHVCLFPCNHVMRKKKLVRLEMVRRSLRMRADIRIMKHLVTWPTSKCYMLLVLSRSSSKKGCAAYTPWSFSYRHSSSLQLGGLFHNVGPTQELFNFKSGVGANVMAASGPVPLIILFGLSRLQTQGMRAGVVVPALGGISHVAIQFPTYETCLTKKGLSLISPSVISKLSTTSVPTMAINVASRRLSSGTALRRAMRSYSTFREERDTFGPIQNFEIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVIANRAAEILGRQRGDKCVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPSLKTLHSTLHSKSFQFKDIVKIGRTHTQDATPLTLGQEFGGYATQVKYGLNRVTCTLPRLYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENNGSLNTIATSLMKIANDIRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGCRRWITSLYKAHHITGPSPTRSIKLSRLSG >A01p005890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3048702:3052173:1 gene:A01p005890.1_BraROA transcript:A01p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFPAENEDGGYDRALPAEESDKDDDDDDGGDLCRICRSPEGPNDPLRYPCACRGSIKYVHQKCLRLWLNRRGFKQCEVCRRSYSFVPVYSENAPERLPWHEFVRGLSLRALRVAAYVFVFLFNAFCFSLHPWGRSTAIENQRVFRVSEKFALLLAGFLYNGWIAYIMTMMAVLKLAAEDISWLVHREIHGENEQGRVGGGGGGGGGGVACVFWKSVGILCDWWHDCLTRRGFFHAVLVEPREAVIRLRNPQLREFGAVRRFLFLLDDNAFAVLAISCYVSFFFVLLPFMMGRLVILLFQRMGVATQLLSGDDSLPQELVVIGYWTMLSLSLAYLGSFSTLSRAIAKKLSLGFLVVAVALLYLLWILSAKVWKNLYVVKLKEGFVLSLKFGVLPLVLGCWLDFCTLPILGITVSRRLEFVSDYPFVMIVHWVYGELYLLLVSNSMELIQKILQKRPFWFLLDVTDPNYKITKLYLGQLLFALAFHASLMVILVHLPIMTISFISPSFFPLHLWFYEERIMFLSMAAYLWLGRIRVIDWLVDLIQPAIEPIVHKWIIIVSSWLQLRDFFLGNHANQNVRPLLQQGLEIADEWSQVLSIAEGSLVSFYGSQHDTTSEDDTDDDRFIKLRIGLMLVLATVSLFLISTISMALPILVGRTFFHSISFILDKFGLKHDDLYGFWIGCYILRVTYIGACFIINHIMIRRTDLLLNLVLLWIRNALLFSVWVSFIPMLLGLLIDLMIIIPTQVPLSETPVYSFLRDWLIGLVVLHIWTYLTMFTRVNCFATVACREKLKRIRSVGINRLPWKWLLGKVICPIIKTLLITLVFPFVVANSLLPLLQFSGAVDLAVQRLIWPVLLAIIIIGFIAKLTLDLFHYIHRLEYNDRYMVGDRVADFIEDHS >SC179g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:563944:565115:-1 gene:SC179g500270.1_BraROA transcript:SC179g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSNGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGGLVKRSWKQEECLEEGNDMIMGSSKDVRSLFDSYLRNHEASTHEITLRMCSTQLRSSSKKNQIK >A02p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2103478:2107110:-1 gene:A02p004850.1_BraROA transcript:A02p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFPSEDRHAPVNSTVVAIDKDKNSHYAVRWAVEHLFNLIDNRNMILVHVRLKNSNHGGNVNDELNKLFIPYRGYCARKGISMMEVILDDIDVPNAILDYANNNLVNNIVLGTTSSKNPFARSLKFTKSHDVAASVLKSTPEFCSVYVISKGKVQSSRAAQRPITNTLVPPRAPTFHLQNLPDPDHDHHLPRGQRNGRNITPERYRPHENGFNPVRERHKSAANGPLDFNYDFNQPMGQRNFDEPDFGTRMMGSIDLSAHNFDTIGSSSSSDESVSQSNKDVEAEMRRLKIELKQTMDMYSSACKEALDAKQKANELNKWKLEETLRFEEARSAEEAALAVAETEKAKCRAAMEAAEKSQRMADLEGQRRKQAEMKARRESQEKDRAISALVQNDVRYRRYSIEEIEEATNRFASNRQIGEGGYGPVFEGTLDHTPVAIKVLRADAAQGKKQFNQEVEVLSCIRHPHMVLLLGACPEYGCLVYEFMENGSLEDRLFRRGNSPPLSWRKRFQIAAEIATALSFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPASVADTVTQYHMTSAAGTFCYIDPEYQQTGKLTTKSDVYSLGIMLLQIITAKSPMGLAHHVSRAISKGTFKDMLDPVVTDWPVEEAMAFAKLCLKCAELRKKDRPNLGKDIVPELVRLRNFGMDKESGPKLGNRRTNSFILPLDHQHFGNMTISEIEKHRIQSKIVKMGLIIMVMVMGILVASSPSYQTDVLTPLCISECSTCPTICSPPPSTSPPPSPSLPSSSPLPPPPPSPSVPSSSPSPPPPRKHSPPAVSPPPLITVIQPPPPRFYYFQSTPPPSPLASDVKGSPPSPPSRPPSPKGQQPKGQQDPNPYPYFYFYTASNATSLFSSSFLTSLFYFLYLFCLTIR >A06p020110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9850449:9850975:-1 gene:A06p020110.1_BraROA transcript:A06p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFKPHLPIIDISPLLVKCAACKCDDPDMMDDTGVAKVVRKLDRACRDVGFFYVIGHGISESLMRKVKEMTHRFFELPYEEKLKIKITPAAGYRFVFKYLKM >A09p077340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57525301:57527364:1 gene:A09p077340.1_BraROA transcript:A09p077340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGDIGAWADEAERADEEQATADAQSFPSLKEAATSTKSKKKKKMTLSEFTKVADGGGLAREQTIQLPTGPRQRSEDEMQRGGIGGGFSSYGGRSMSRDRDDSNGGGRRGYGGFEDDQRGASRVSDFPLQSRADEADDWGKGKKSLPVFDQGRQGRYGGGGSFGGGGGGGNYGGGSGSYGGSGGGGGRYGGGGSYGGGSDSYGGGGGGGGGRYGGDGGGGGGGGSYGGGFSKADEVGNWGAGKSQASLSKSSTFGSGGVQEERRRLVLEPRKAVVVESGVSETLTETGVKTSKASPFGAARPREQVLAEKGLDWKKLDSEIEAKKGSSQTSRPSSAQSSRPSSAQSNRSESLTLENVVKPRPKVNPFGDAKPREVLLEEQGKDWRKMDSELEHRRVDRPETEGERLLKEEIEELRKKLDKEATITADSKESQQESDTNNHNVPELISEKEKELESLIRELDDKVRFRPRAVERPGSGAGSRTGNYSERPHSRGGSVEDARSVESMERPRSRGTGGDDRRNFQGSKERGFFSNRNVDRSSSSRDGW >A10g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13182016:13182355:1 gene:A10g505250.1_BraROA transcript:A10g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVDLVLWRWSWHFVVLVCPLRCGDQVVHWCDVLVGIDVLSKVLGDVLGEVHGDVPSERWNVEALTQGEFSKNGFIEEVWKYCSRVKTVSS >A02p019230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8891317:8894348:1 gene:A02p019230.1_BraROA transcript:A02p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSDDHDIEVEGGIHAYERKISGILDDGSVGFQQPLLARNRKNTTSQIAIVGANTCPIESLDYEYFQAFFAFAGCNLILATAAASLCAFIAPAAAGSGIPEVKAYLNGIDAYSILAPSTLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIANLLGQGGSRKYKLTWKWLRFFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRTLIELCRSGKCGLFGKGGLIMFDVNSGPVLYSTPDLLAVVFLGVVGGVLGSLYNYLVDIVLRTYALINERGPGFKVMLVMAVSILSSCCAFGLPWISQCTPCPIGSEGKCPSVGRSGIYKSFQCPPNHYNDLSSLLLNTNDDAIRSLFTSRSENEFQISTLAMFFVFVYCLGIITYGIAIPSGLFIPVILAGASYGRLVGRLLGPVSQLDVGLFSLLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADCFNKGVYDQIVTMKGLPYMEDHAEPYMRNLMAKDVVAGPLLSFSRVEKVGVIWQALKMTSHNGFPVIDEPPFTEASELCGIALRSHLLVLLQGKRFSKQKTTFGSQILRSCKARDFAKAGLGKGLKIDDLVISDEEMEMYVDLHPITNTSPYTVLETLSLAKAAILFRQLGLRHLCVVPKTPGRPPIVGILTRHDFMPEHVLGLYPHIDPLK >A04g503680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7900056:7900277:1 gene:A04g503680.1_BraROA transcript:A04g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENSVNNYIDIGDSPDYDDYSNSDPTSYFRNISLRYFFAEPFEMLTKHTRFKELCLENGNPEAHYTEGLLQ >A07p048870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26029285:26030043:-1 gene:A07p048870.1_BraROA transcript:A07p048870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSSFGAHVRSTSWPENVHPLSRSIEDHLLILKKSPESACRKLGVLKKMYEVVEVFLRFQSTKTQKAFLEDVSDGFLEVLDICYTIRDVLMEAKEQVRELESSLRRRVIRSKSGGDHDAFLTREIDAYVFKRRVLSRKIGKQLKMKINKKKKDCGGAINVMKKVKETSFDVLVSLLMEVVTMNHKQISRSGCRGIMSRMFNKKNQEVEGDELKKLRETEKMIESTEGELECVYKKLLKTRVSLLNMLTH >A01g502710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9113343:9114488:1 gene:A01g502710.1_BraROA transcript:A01g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPENPICPNLTTLLLQQNSSLKKIPANFFMYMPVLRVLDLSFTSITEIPLSIKYLVELYHLALSGTKISVLPQELRHLRMLKHLDLQRTQFLQTIPRDAICWLSKLEVLNLYYSYAGWELQSYGEDEEELGFADLEHLENLTTLGITVLSLESLKTLYEFDALHKCIHHLHVEECNGLPHFDLSSLSNHGGNIRRLSIKSCNDLEYLITPTDVDWLPSLEVLTVHSLHKLSRVWGSSVSQESLRNIRCINISHCHKLKNVSWAQQLPKLETIDLFDCRELEELISDHESPSIEDLVLFPGLKTLSIRDLPELSSILPSRFSFQKLETLVIINCPKVKKLPFQEGVQPNLPAVYCDEKWWDALKKDQPITELCCSPRFVPN >A03p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13231784:13236705:1 gene:A03p031410.1_BraROA transcript:A03p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAVNPKAYPLADSQLAITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSSPLYTFVSSPCNQKRLSISIAFVFAISLCNTKTNSNRNLESRRKGNIIMSHCLVLKPSCMGCGSQSDLYGSSCRHMTLCLKCGKTMAENKAKCLDCGTVLTRLIREYNVRAATPTDKNYFIGRFVSGLPNFKKGSSENKWSLRKDIPQGRQFTDAQREKLKNKPWILEDETGQFQYQGQLEGSQSATYYLLVMQNKEYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYNRWMMKGGNNDDKEAGGSSGGGGGRGRKKSSGGEEEEGNVSDRGEEDEEEEAARKSRLGLNKKGNDDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVGNDPDEREDLLAPEIPAPPEIKQDDEDEENGEEEGGLSNSGKELKKLLGKANGLNESEEEEDDDDSDDEEETNFNPVTNSKQKEAAKEEPVESTPPKPAPPSSSRGTPSAKPSKGKRKLNDGDSKKPSGSSVQKKVKTENETKPSVKEEKNNSVSKSKAPTKAVKAEPTPATASASASASASAATGPVTEDEIRAVLMEKKQVTTQDLVSRFKARLKTKEDKNAFADILRKISKIQKNAGSQNFVVLRGK >A09g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7223574:7223975:1 gene:A09g502020.1_BraROA transcript:A09g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETKRRIVLVPVPAQGHVTPMMQLGEALNLAETEVSHIHKRGNRRSVAKMETKEVLEMAWGLCTSNQPFLWVIRPGSSLDQTG >A06p050090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26385366:26386060:1 gene:A06p050090.1_BraROA transcript:A06p050090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSVNRSPVPDEDVTDASSEKDQLTLGEIINIKLVESGEKDNLMELVRYRLVESGWKDEMRLVDVVGVTEHVKKKGRKDVTVDELIRVITPKGRASVPDAVKQELLNRIQNFIGSSAP >A08p015560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10194645:10194992:-1 gene:A08p015560.1_BraROA transcript:A08p015560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTFSQEFAENERAPGKHHVKKTWVKERYESDEEDGSREEEVNQMIIKEEEDEETKGMVMSSSTMLTSKVKYLNYGALKHDTPPAASSGGGRVMPPPSNRYHRGHPKYYRCRG >A09g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18344395:18345531:1 gene:A09g505950.1_BraROA transcript:A09g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLAWHSGPPAGGPHDGLLAQWRIDGAIQVRSNVDPTFYSLVGSGRSGGDHHGSSLLENPYIPYQCMDSYLSSAGIVPFEPFFHAFPRGLEKAAINRIFLILPSRKEEREILFPFRLEMGAEGGNKHTWRAQYNGELCDDLLHGRGLWFKSRMAQLRQGKEYKKDLTPSCMLHLARGDIAQLVELRSCNWVVAITGWVSNCPGGNDSILYLNRWLTFSK >A09g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2941145:2943047:-1 gene:A09g500760.1_BraROA transcript:A09g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTVMDNYGKQMYEWKKKSCVRIGIKKRRKKLLPPTPTTAGATVKERASTSITWAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKR >A02g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20072544:20079914:-1 gene:A02g507010.1_BraROA transcript:A02g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFGIYRCMPSGNRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNLYDQAGHLRYATGQKIDAQGTQNLPELLQPKPTTQTQESMIEEMLDRVLLGQQHITVDFNGKIDSAYNNLNTKIETLGTQVRKLKTRVIQTGETIKRQEAFAREAGADKGKHHVNAIIDDDFWQVVRNEKLEEGDFKIESSMSLGGSQWCRPMSMNSHQSTYHDEDRWTDYSSHRSTASAKSTDCNAVRILTHEEFAAKHPHPPSPFYDRIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQEAEGRRFRKRKEKIPKNLKREANDKEMDGFTKRFLRIPIEKPFDEAYFTHRLWMFFRETKVTKEDIRRMFHQLKEKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCETEHHACHCGAEYESEYETEYSESIDTPTFPSIDSNESTGTDDRNNTSLDVMHPVDHFAPPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEGYWKERAIEMPLQDERLETHKFTNTFPTSFDAVHPTSVDTHPRPAKQPLTSIDTHTGTSIDIRTAAKIQEQENISSPTRFIDTYINRFATPKPPPHTRANTQAKKMNTLPSTSTEKSMKNNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNNNANIDYGFLTSDEFGIFRDPDGNARAIYGKILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPHNHVGVATTEINPDLSRQPKRQESIDGTTETSIDGTTETSIDRVIPTSIDRDDPTSIDRRYEFENRAFDMYGARKFTWEPRDEYGVYREECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQQKQGEELKTLVEDTHQLLDRGYNELFRSMAEMRTKIESLRQQLEKEATTSASIDAPHATSIDVSFPTAQIPAEPQCSTQHRDEWKVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKNQQAATSIDMYTFTSLDAKVSAMNERLRTYEGMYDHFISPVMIDLNKLSSQLLHAQKDIENITNQIFLQAKSASIDRLRGLWIDGKKPVELLPYTAAEVDKITSKIYTTIDTMEERLDKHCDDIYFPFDNKISGLDSHTEWLQKEVEAIQRQLAAQHQISASIDRTQAKSIDGSSPRSTNEHIIASIDAESTPISEQLIHKTIDPMQKELTDLSAYAYDNIGWHEVSIDNVQERLQNISNVLEKMDNKWRRNDEATRNSTKDAKADQPINYTLALNRMKFFRQVWKSSKRDLEAVIFKACFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQISHKGHFTRADHVEVDERKNNRSMRISADDLYQEMPR >A02g511180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29603530:29604002:1 gene:A02g511180.1_BraROA transcript:A02g511180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAIEELHGVMNQYTAVADPTESAARRERYRQAEVLGEIEETAAQMVRSKLALQVTPQTTPESPKRIPALLRLGPSPPHESEVNQNDQPEQRRKPGRPPGTKKRIQTSPKLLTGSSSRKRKETSTSNYNSQGKQRGKEYTCKLAR >A08g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10772185:10772804:1 gene:A08g506270.1_BraROA transcript:A08g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SISKPKSTLNPNSKEAPVSKSPVAVYFSDVSPRPAESISGRQETLLKWEKVYSSRVITVLNWLKISGSAADMVFEVVSSEM >A10p023980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15572129:15578046:-1 gene:A10p023980.1_BraROA transcript:A10p023980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRKSYVLAALFALLLLTEVVIAASDGGKGNGNNGQGGQVDKGNGGYDGKGKGNGNGNGNGNGNGNGPKDKEKKDKDKKDKERKEKEKKDKEEKAKKDKERKEKEKKEKERKEKEKRDKEQSEAAARYRMLSPLRTGQEQAMCQGQSACYYKTLVCPGECPKRKPTKNRNTKGRKTNCNGYGSLCYDPRFVGGDGRMFYFHGSKGGNFAIVSDNNLQINAHFIGTRPVGRTRDFTWVQALNVMFENHKLVITANKVTQWDENTDAFTIRHNDELITLPEDEQSEWRANSGQREIVIERTDERNSVRVLVSGLVQMDIKVRPIGKEEDRVHNYQLPQDDAFAHLETQFKFLDLSEFVEGVLGKTYRPDYVSTAKTGVPMPVMGGEDKYQTPSLFSPTCRLCRTRNMSRVLVIFIVLVSVSAVSAAKKGAYDAASTNYKVLSPLGSGQERVQCLARGYCSQKILICPKECPQRKPQMNKKEKACFIDCSSKCEVTCKWRIANCNGYGGDGTMFYFHGNKDGNFAIVSDENLQINAHFIGTRPAGRTRDFTWVQAFSVMFDTHNLVIAAKKVSSWDDSVDSLVVRWNGEEVEVPTGGEAEWRINLEEREVVVERTDVRNNVRVTVSGIVQMDIKVRPIGKEEDRVHNYQLPEGDVFAHLETQFKFFSLSDLVEGVLGKTYRPGYVSPVKIGVPMPMMGGEDKYQTPSLFSPLCNVCRFQGKPGPGVAKCNVPTEGKAEWRINLEEREIVVERTDERNNVRVTYLVFFQMDIKIRPIRKEEDRVHNYQLPEDD >A01p018580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9004444:9004934:-1 gene:A01p018580.1_BraROA transcript:A01p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRGQGGIQMLLNAEQEAGRIVSAARTAKLARMKQAKDEAEKEMEEYRSRLEEEYQTQISGTEQEAAAKRLEEETDGRIQNLKESSSKVSKEIVKMLIKYVTTTGA >A06p041040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22148725:22150408:1 gene:A06p041040.1_BraROA transcript:A06p041040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHHHQADQESGNNNKSVSGGYTCRQTSTRWTPTTDQIRILKDLYYNNGVRSPTADQIQKISARLRQYGKIEGKNVFYWFQNHKARERQKKRFNSTTMTIPTSSSPNSVMMASDHYHHNHHHHGVTIQRPALVNAKLDQENLMFHQSRSYPSFNNGNTNHASSGTEYGVFSASNGYICSHIYGPMEQDCSMSYNNVGGRWTNIDHNHHYSTPAYNFFDRPMPLSGLEGHHQEEGEYGGDTYLEHRRTLPLFPLHGEDHINGGGGSILKYGQSDGCDRYGRGPCASLKLCLN >A03g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16023216:16024682:1 gene:A03g504480.1_BraROA transcript:A03g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLYSSVKPTPMLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSRTINIPKGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLTPSTPHFFNTLYDPYGDGADFVRGYPFSMREGAITAVSHGLWLNIPDYDAPTQLVKPLERNSRKIFLSLKSLNDEVICDHMGWGVKTGLPYIWHSKASNPFVNLRKEYNGIFWQEEAIPFFQSLALPKECTSVQQCYMEMAKLVKEKLGKVDPYFTKLADGMVTWIEAWDELNSPDGAEAKAPKGKDE >A09p053170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46231699:46234112:1 gene:A09p053170.1_BraROA transcript:A09p053170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAF2 [Source:Projected from Arabidopsis thaliana (AT1G23400) UniProtKB/TrEMBL;Acc:A0A178WC04] MPFAPPTMPRPWTGKAPLKKAKKKVPLFDSFDPPPEGKAGVKYVEMPGPFPFGRYPKEGMMMTREEVLGEPLKKWEKGMLVKPHMHDNRQVNLGRDGFTHNMLELIHSHWKRRRVCKVRCKGVPTVDMDNVCRVLEEKTGGEIIHRVGGVVYLFRGRNYNYRTRPQYPLMLWKPAAPVYPKLIQEVPEGLTKDEAHEFRVKGKSLKPICKLSKNGVYVSLVKDVRDAFELSPLVKVDCPGLEPSDYKKIGAKLKELVPCVLLSFDDEQILMWRGRDWKSRFLDNPLTPIPSETNIADDADKTIEEQTVSDQNTVISSPKMISLWKRAVESSKAVILEELDLTPDVLLEKVEEFEGTSQAAEHTFTALVLPGNDGAEDYVDDEDRPEEYSDIDDDFDDECSDDDESFEPVGQEGSLPVDKIVRKLREKLK >A07p007140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1163757:1164050:-1 gene:A07p007140.1_BraROA transcript:A07p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEMTYRRNVDGSMTTKMNQFQMEDKKKHSFFFLVFGDKKKKNCKKDYEEQMVENMTPSPMSEAVEEDEGLISSSTMEELAATKKYIEDHYNKCMA >A09g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9924472:9926926:-1 gene:A09g503020.1_BraROA transcript:A09g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFEDSNYAKKLFRLSSLFYGARRRLSFFLTRVDNDSRGGIQLSDYRMGALIDTVDNHEGPDGKPIPYWLYKLHGLGQKLNARYVETVSTWVEGLLRDISKRVSQPNGCQNLKKTYSDLQHVGPQKLKEALGALGLKVGGTLQQHAERLFLTKTKSLKCSNFSFRTTTVRLSAFDVQASQLHQNLFRGGNNHPSDGQKLKETDIAIGSGEIPVNPTPLLNETTTAAQTTLMKA >A06p020650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10160846:10164152:1 gene:A06p020650.1_BraROA transcript:A06p020650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKIHRDTPEATLKKTKEEEQPLSPAARVFHAPAFNCYVITVIGVKKKIEPDVVIEGLKQTLIRHPRFSSKMVNKTNKNKQTQRWVRTNVVANNHVIVPEIQTQNIENANADKFLDSYVSDLTLVPLDTSKPLWELHLLDLKTSDAENVAVLKFHHSLGDGMSLMALVLACMRKTSNLNELPTLPYQNRTSSGSSRLTGGSGSGFRFLWLFMVLWSTIMLVLNTICDALRFIATAMFLKDTKTPIKGDYRLSKPKRMSLVHLTVSLDDIKLIKTNMKMTVNDVVIGVTQAGLSKYLERRYGEKKNVVGDDQKSKKNSNDMPKRIRLRSALLVNLRPTTGIQDLADMMDKGSKCRWGNMIGYIVFPFSIGLRKDPLEHLGTAKRIIDRKKNSLEAALTFIVGKFMIKAFGVKVTANLLNRMLSNTTMSFSNLIGPIEEISFFGHPITYMAPSVYGHPHALTMHFQSYMNKMTISLTVDPTVIINPHQLLDDWEESLRTIKTAVQERGSTLQ >A09g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8362136:8370092:-1 gene:A09g502520.1_BraROA transcript:A09g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKESEQPPADQADEGNTEPVVETASPRSEQPAEAVRPIPEAVPPREYIPKVPYPVPAKVTPEQSMVNIDADGYAKMLDSAKSMGRMNNQRTVLLLEQPIDCSRIISGQLGFCLFKASPFTFPFSPPYTDPPLAISSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIATNSMARTKQSAKRTRATCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHTETLADLGIDEDVFETLHAIGIAPLCYTSHELYPDLVRQMLATATITYEGSDAPSYANCSFSFMADGEYCRLSLDKLNEIYEMAAEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKELTSKVTNGELQTLYTGIEDEIRASGSGIPIQRVKTNPGFNFITMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVNKEVQYLDIRYLMACHIMRDEDTYSFFDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDENMDDVEDVTPEADPSYDLGELADVTDDHAYRRWMVDSQRKNNSLMRRILHLITGGCIGGSDQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSLDPAESGESD >A09g516510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:48739133:48739336:-1 gene:A09g516510.1_BraROA transcript:A09g516510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILYHQLQRPLLSSSPLGAKLLTPSSLEPDVFFSWTRRLGTRIARPCPYTSASKENHHQDRKRTG >A08g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15803749:15804459:1 gene:A08g508590.1_BraROA transcript:A08g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTETVDQMRRNRWLPGRSDYYMCGKPGYMVRDCLEDTEAVVATVDGQEEVVATRWSQGPLYDKHLKLQRQLCHNINTSPTTLPTLRQLNMIIIMKANLLELYIL >A09p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44325934:44329070:-1 gene:A09p050480.1_BraROA transcript:A09p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGDGDVSANATEPATASYPSLTVSASYKESGGGGKSSSKRRPIRPSFDAAADNEFITQLHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERQREKAVEELTEELAKLDEKLKLTESILQTKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTIEGALAKAAMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRAAKSEAQLKEKFQLRLKVLEETLRGTSSRNTPEARSMSNGPTSRRQSLGGSDNLQKFPSNGSFSKKAPVSQMRHSLSINSTSVLKNAKGTSKSFDGGTRSLDRGKALLNGPGNYSFNKPASDDAAKETELAANGWKETSEEKPQSENPAATEDSVPGVLYDLLQKEVVSLRKASHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVSAMEKEVAAMRVEKDQDNRAKRFSNSKSSSNTAQILASRAAGRSGLTKSSQ >A03p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10232847:10235077:-1 gene:A03p024200.1_BraROA transcript:A03p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGADEEPAGPPANQYSAPPNKAGNPNVGGGNRGEPRNTNAPRSGGPAKVLPIEIPAVALDELNRMTGNFGNKALIGEGSYGRVFQGKYNGDDVAIKKLDASSEEPDSDFTSQLSVVSRLKNEHFVELMGYCLEANNRILIYEFASKGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAARGLEFLHEKVQPSVVHRDVRSSNVLLFNDFVAKMADFNLTNASSDSAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDFPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSRPAGPESTS >A03p047140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22551755:22554416:-1 gene:A03p047140.1_BraROA transcript:A03p047140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEMEEKFEDWRGKEATPGKHGGIKAASIACVVEMMENMVFLACSTNFITYFTKSWHYSPAKASNMVTNFTGTSFLLTIFGGFVADSFLTRFTAFVIFCSIELLGLILLTIQAHIPKLQPQGDSTPSTLQSVVLFTGLYAVATGVGGVKASLPAHGGDQLDSRNQKLISGFFSWYFFSLCFGGFLAVTIMVWIEENKGWSSSFDICTVILASALFIFTVGFPMYRFKRPTGSPLTRIVNVFVSAARNRNRFVADAEMTQNHNSTDKSIHHNKFKFLNKAKLNNNISATEVEETRTFLALLPIFASTIVMNCCLAQLSTYSVQQGMLMNKKLTQSFEVPPASLNAIALLLLLFSIAFYELFGKRISSSNNERSTSFNLKRIGAGLALTSVSMAIAAIVEAKRKHELVHNNIKISLFWLEFQYVLLCFSDMLTLGGMLEFFYRESPASMKSISTALGWFSTALGFFLSTVLVDITKAVTGWLDGKDVNGSRLELFYAVLCVLNNINLFNYIFWAKRY >A05p053100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30394907:30395754:1 gene:A05p053100.1_BraROA transcript:A05p053100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSINSTTSSLFQWLGLIEDYDQPSTSTLPRVITLLALILGKMIQKNEKSLHTRHNKEGEITMFHGSRAPSMNIHRYTERVYRYARCSPACFVAAFAYILRYLETPVATSMTPRLTSLNVHRLLITSLLVAAKFLDRKCYNNAYYAKIGGVSTEEMNRLERTFLFDVDFRLNITAEMFERHCLVLQRETVTCEPRKLRTVLGEVTCSCQAI >A09p082500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59730677:59732797:-1 gene:A09p082500.1_BraROA transcript:A09p082500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFAGASSALDFSSPSLLFSYRIRRRQLGGIGRRLVMNSVSSQARSRESGGCDDGVVTEEEWRRWGAVSPLPAAVKQIVNDLKVLELKVDAQIEFGGNGGKLQGAFGAYEDKKHRATYEALEDPEKKFRFFSARQVACRLLGSRGYLCQKCWLAMEDCMCANVKPCDLWKRIRFWLYMHPRDFLRQNNTGKLLWQVFGVHSASLCIFGIAEDEEIMWDEFKRAGKSHVRCLYPNQNSELTLSVENAFGDSTSENPGSSMVYEDSTLHFILLDGTWNNSAAMLKRLKDHAKTVWGDEDLPCISLATGASAMHKLRPQPSWDRTCTAAAAIGLLSELSLLPQLSSYGLDKQADAVEEALVVLLDSLTGRRLRMGRSITRKVRNTINIC >A04p008830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8007395:8007928:-1 gene:A04p008830.1_BraROA transcript:A04p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVSLYSFRPNSVQTVGAHRVTEQRSVNYSGRFNLRRRTRADPCSIISTIMARLLQNEEAQKETNEQLAALAAILAPPDGHTSNPMTIRKWIFNTDRTPLNRELTIENTDQTVGGAVQNPNNLDLATIREIAELKLSLQNIHSKIHHITKSVPLVDLVLAATLKTPFSWRIREVGR >A09p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5181233:5182418:-1 gene:A09p010120.1_BraROA transcript:A09p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQVGSTRRVGDSGSFPFVGALHSKSRSSPLLSICLVLVGACLLIGYAFSGPGMFKSIREVSKVTGDYSCTSEVQRAIPLLKNAYGDSMRKVLHVGPETCSVVSTLLKEEETEAWGVEPYDVEDADSHCKSLLHKGLVRVADIKFPLPYRSKSFSLVIVSDALDYLSPKYLNKTVPELARVASDGLLLFAGNPGKQKAKVAELSKFGRPAKMRSSSWWNRFFSQTNLEENEAASKKFEKAASKISYTPACQVFHLKPLH >A05p039790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24330828:24332591:1 gene:A05p039790.1_BraROA transcript:A05p039790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLYSSGGVRNLEASTAAWNFQIAVYFAFGFFFLRLFLDRFVFQRIAVWLLSTASSAPIKMKDASTRAKLVKCKESLWKLLFYGACDIFVLKVLFHEPWATDVKLYFHGWPNQELKLPIKLYYMCQCGFYMYAVAALLAWETRRKDFSVMMSHHVVTIILLVYSYLTGFFRIGAIIIALHDASDVFMETAKICKYSEKEFGASVGFSLFALSWLLLRLIYFPFWIIRATSIELLDHVDMTSAEGTIMYYSFNTLLLTLLVFHIYWWYLICAMIARLLKNRGQVGEDIRSGTIQRMMNRLCKHDRYGTCFHFSNQNPFAADVFVLSISTFTD >A08p040650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23113500:23117614:-1 gene:A08p040650.1_BraROA transcript:A08p040650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLLSHILSLKLRTTFISYVSFGESSLVTPCTSNRRAFFLECFSHNTSQSSCRFLVNVALIPIVIVKSRVQLYLILLVRYCPLWAKEASPHGFTFGFLPKRPLWGLVTRSYNAYLSRADERLGIQVRVFDRHAGYLQHVMDQIVACTQRENLLPELSTQVTNTSRSKRLLKVVLVTSLHPEYSVKLKRMFWEQPTSTGESIEVYQPSEERVQQTDKKLHDQKALAEVYLLSLTDNIVTSARSTFGYFAYSLGGLRPWILYKPVNRTAPDPPCVKAVSMEPCFHRPPLYGCQAKTIEITPFVMTCEDSNPGLKLIFGYVFKSLGLKMKILITFIFSSLLIGSVILLSFSNNFNDQLLDATLNGSSESETSHDKLIGGLLKAGFDEGSCVSRYTKSLLYRKPSPYKPSPYLVSKLRRYEMLHKRCGPGTEAYKKATEQLDENQVRSSDKECRYVVWVATEYGLGNRIISLVSSFLYALLTERIILVDQRKDINDLFCEPFPDTSWLLPLDFPLMGQIDSYYKDYSRCYGTMLKNHAINSTTIPPSHLYLHLLHDYRDVDKMFYCEANQAFIKNVPWLVVKSNLYFAPSLWLIPSFQTKLIKLFPQKDTVFHHLSRYLFHPTNQVWDMVTSTYNDHLSKAEEVLGLQIRVFSTPSGYFQHVMDQIVSCAQREKLLPEVATNGSSQVINTTRSKKLKAVLVASLHPEYSDELRKMFLERPSSTGEIIQVYQPSGERVQQTDEKLHDQKALADIYLLSLTDNIVTTERSTFGYVAHGLGGLKPWILYEPKNQKVPEPPCVRALSMEPCFIRAPLHGCQAKTIKTTHFIRPCENWNLGIKLVDAPDKFWWW >A04p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20452360:20454241:1 gene:A04p035480.1_BraROA transcript:A04p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWSHKYLSFEPWRLQFSYLSPPPLQISSLINAFISKPQSLLLKNPPCLRSGFLQDWICSKSESLRKALMVMVSMLLKILNLAVVLESVFLVSFKVHKSGMFFPDIVPIGHPIFDIINSTDPEKDWDLRLACLLLFSFDREDHFWKLYGDFLPAADECSSLLLATEEDLAELQDPHPVSTIRQQQKRVLEFWENNWHSGVPLKIKRLAEDAERFIWTVSIAQTRCISMKTRVGALVQDLNMMIPYADMLNHSFEPNCFLHWRPKDRILEVMSNAGQAIKKGEEMTINYMPGQNNNMLMERYGFSTPVTLRARRRRVLLQHLFGLLGF >A06g508510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23998605:23998979:1 gene:A06g508510.1_BraROA transcript:A06g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSSGEITVGEHNGVDNDHNRKKEDGIESENQLVMPSISKPRKRFIDKFRKRPKSPKRSSSRSGSRKGGCFSLRRRRRRTEEGEEEEDSSTVSDPNDECFTHEMMRVMLETNDFCSNECNPHR >A03p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3933111:3934720:-1 gene:A03p009880.1_BraROA transcript:A03p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEQGVKSVEDHLAGKTYISGDQLSVDDVKVYAAVSEKPSDAFPNASKWYDCVASQLAKSFPGKAVGVSIGGSAAQPEAPATAAADEDDDDMDLFGDETEEEKKAAEEREAAKKDTKKPKESGKSSVLMEVKPWDDETDMKKLEACVRAVEMPGLLWGASKLVPVGYGIKKLTIMLTIVDDLVSPDNLIEDYLTCEPNNEYIQSVDIVAFNKI >A07g503370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6638994:6639227:-1 gene:A07g503370.1_BraROA transcript:A07g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPCMSVLPGAPLKGTSQVELQDDHEVIDVQVLTENQEERVEDVQLGSTETQRLDATKRSIAKDRLRRVDVRPPER >A04p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21550032:21551163:1 gene:A04p037820.1_BraROA transcript:A04p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42220) UniProtKB/Swiss-Prot;Acc:O48529] MAGIASPSPTALYFTSNLGARRLKAASWSGKSLPGNVLRRRSLRVAAEVKFVNAEEAKKLIAAEGYKVVDVRDKTQFERAHIKSCHHIPLFIFNEDNDLGTIVKRTVHNNFSGLFFGLPFTKLNPEFVNSVRNEFKQDSKLLIVCQEGLRSAAAASRLEEAGYDNIACVTSGLQSVKPGTFESVGSTELQNAGKAGLITIQGKISAVLGTVLVCALLFITFFPDQAEKLFPSS >A01p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3534682:3537877:-1 gene:A01p007010.1_BraROA transcript:A01p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGPRQPQSGGKILRPRRRFAVRTPYDRPAPRSRDPPQQNPSWISRLVYKPVTVIASGAGKFISSVVFSDSSSSSSEDDEDSSSDIEGDEDVEKNITGFPEDETMLEESTIQRLGSKRVIEQLLMQETFAREEGDRLIDIIKARVVDHPSVPTPNEGRHSDNEVDVGEMSNKAVMEAKRWLEEKKSASNSKSIATEDGGGSPVDVAKLYMRARLPWGSPAANTSEFRSPSPAGMQLLKEGTPFPYSAGNFSSSKLRRRSRSNPTWNIQDEIRKVRAKATEEMLKTVSPRSVASLNVDGGAQGVLLFHFIWQHEIKLKLYRLSKAWSYQIRLRKLIKPLKKQELYTTLDLVSGVVSTQGAGVDDSNAVGNDFIQPSSTIGETTNAVLAPGATLDPTGSFCIPKDVFETSKEADDIGAAHPIANGLPSSSPSSPVAMEAEASEPIHETPGDDITVGNGLDGAIDSKENNNSGSSASHNSSSTHEKEWLPGDQSLPNSNSASSSPGTSKVMAQPTRRGGRGRGRGRGRGVRGKGRGK >SC160g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:294836:298173:1 gene:SC160g500170.1_BraROA transcript:SC160g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPACSQVKSIAIFYHLPTPPSSDPGRDSHSRAAPSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTAVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQALIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A01g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1015016:1016295:1 gene:A01g500270.1_BraROA transcript:A01g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A03p019220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7834502:7835992:1 gene:A03p019220.1_BraROA transcript:A03p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLSRAFVSLTLRPSSSSISMANLTTNAKSRLRGVVFDMDGTLTVPVIDFAAMYRSVLGEDEYKRIKAESPTGIDILHHIESWSADKQQKAYETIADFEKQGIDKLQIMPGAAELCGFLDSKKIKRGLITRNVKKAIDIFHQRFEVIFTPALGREFRPYKPNPDPLLHICSTWDIPPNEVMMVGDSLKDDIACGKGAGAFTCLLDETGRYGPDDFSVTGLHPDFKVDSLSKIQKILETNFDLSP >A08p044870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24709213:24711609:1 gene:A08p044870.1_BraROA transcript:A08p044870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP16 [Source:Projected from Arabidopsis thaliana (AT1G06110) UniProtKB/Swiss-Prot;Acc:Q9LND7] MILAPTSFQQSDHSPMITAPSELQLDSYQDEKDVTLDILSDDDESLDEEVDEAEEEEDLSTPKLMEPRVLKGFSKMKKVELVEADTQKQQRERERERERETKAIMGLEEAGDLVLHIILSKIGPENTARVACVSKRLKVSASEDSLWSIFCSLDLNITTPLGPHGDPSPSFKAAYQSWRESFRMYPWHLVKRVRRCWDNLKLWLSLNFPEAEATLRKGATEEDLEEFESALKVKLPLPTRLLYRFVDGQEPRLDGSLGLIGGYSVYSHDVNVYLLPLKEVIRETNETMSDLGVSNGSNFIVVAASATASLKMFFLDCSDGQLYTGTSTRQMLPCVPEINGDQQQDGMLRWLEEHGRRLQSGVIKVREEDNVKSISLFPEVPPLCSVAVTNGVQVRASSVFIPEVSNLRAQPPAYWYAYSIRMSLVPEGCFLHGRHHSSCQLYWRHWIIRADDEVIDKVNGEAVIGKYPLLQAGEEEFVYESCSNFPTTSGSIEGSFTFIPGSLKDPKGSQFEVKVEEFPLKLPDYIF >A02p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2275359:2276511:-1 gene:A02p005240.1_BraROA transcript:A02p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRLLCLVLLASCLTHQAFGRGRNRPPREVSSYPSSSITVVGVVYCDTCSSNTFSRQSYFLQVLDWFSWYNFGLIQTGKPDLVFVSLLGVDVHVSCRFKASSPKTTEEVNISVNRTTNRSGVYKLEIPHVDGIDCVDGIAIASQCSAKLIKTSDSNIGCNVPVFQTATNEVSIKSKQDRVCIYSLSALSYKPSHRNTTLCSGGKRHHGRDKEEEEKVEKKFRDSKFFWPYLPPYWFPWPYSTLPPLPTLPPLPSFPFPFLPLANPNPALPAFDWKDPITWIPYLPRFPPGDHKP >A01p013750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6703214:6707893:1 gene:A01p013750.1_BraROA transcript:A01p013750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSNRVQVYHEDVRVGEMEIHALKEDDVMKERKKKVMAEVKMGIKISGFSQPSKRCTPLSVLTTISSSGLCFKLEATTAAHDPLSVFHLSCLRDQKTAVMSLGVEELHLVAMCSENINNDRPCFWAFTVAPGTYDSCLGMLNLRCLGIVFDLDETLVVANTLHTFEVKMEGLLRRMSSEVDPRRRAVMVAQMKRYQDDKNLLKQYVESDQVVENGEVIKAQSEIVPALSDNHQPLVRSLIRLQEKNIVLTRINPMKRDTSVLVRLRTSWEELRNYLTAKEQRKRFEVYVCTMADKEYALEMWRLLDPEGNLINANDLLARIVCVKSGFKKSLFNVFLNATCHPKMALVIDDRREVWDEKDQPRVHVVRAFAPYHSPKAEATATPVLCAAKNLACVVRSGFFKHFDDSLLPRIAEISYEDDVEDIPSPPDVSHYLVSEDVTSGLNGNKDPLSSDKMADAGVGRILKEAICGSSAALPAANTDPRIAAPVQQPIASASYVPAPVPVPVPVPAPVPVMQQAPQPSAIAFPSIQFQQPAIVATHLVPSEPSLQNSPASVEGEVPESELDLDTRRRLLILKHGQDPRDAAPSEPSFPKRPPVQAPPPRVQPRNGWFAAEEEMDPKTENPVGLLHEIAIKCGTKVDYKPGLVASTNMRFSIEAWFCGKKIGVGIGKSRREALQKAAEFSIQNLADIYLSRENGDAGPSHRDAGPLTNQPSAGDETAMPVATYTRLEGSIRHNGSIPTLRELCASEGWELSFQSQRPLHSDMVHTEEFHAKVAINGRVLGEGVGSTWEKARMNAAERALGNVGPLLQRQVSSRSFGGKSNKRLKPNFQRSMQRMASSGRSS >A07p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2866867:2867165:1 gene:A07p004450.1_BraROA transcript:A07p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTERTDSKGDGEFHQQKLVSSVERFDLQGFFLSSRSSLIYMHATKLGYFWKSRPYFF >A04p028030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16874782:16876720:1 gene:A04p028030.1_BraROA transcript:A04p028030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILRDHDADGWERGDFPIVCESCLGDNPYVRMTKSDYDKECKICTRPFTVFRWRPGRDARYKKTEVCQTCCKLKNVCQVCLLDLEYGLPVQARDTALNISTHDSIPKSDVNREFFAEEHDRKTRAGLDYESSFGKIRPNDTIRMLQRTTPYYKRNRAHICSFFIRGECTRGEECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMGTLETPDDQSIKTLYVGGLNARVLEQDIRDQFYAYGEIESIRILAEKACAFVTYTAREGAEKAAEELSNKVVVNGQRLKISWGRPQAPRTDPDGGGSQQQGGVAPHSGLLPKAVVSQQHNQPPPMQQYYLHPPPPQSHQDRPFYPSMDPQRMGAVTSSQDGGDNRMPPHGRYQQHQPYPPQPYGGYMQQPYQQYPPYHHGPPQAPHPYPQQPGPGSRPNPPPTSSVTAPPPESVSDGPSGSSPLPM >A10p016600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2965083:2965724:1 gene:A10p016600.1_BraROA transcript:A10p016600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISETSPKHCAKKGGININNRRKKLFFTFSTFFSGLLLIIFLIWLTLHPAKPEFSLTEADIYTLNLSSSATHLLNSSIQLTLFSKNPNKKVGIYYDKLLVYAAYRGQQITSEASLPPFYQSHEEINLLTSFLQGNELPVAQSFGYQIVRDRAAGKVIIGMKMDGKLRWKIGTFVSGAYRFNVNCVAIVAFGPNMTTDPLAPIQGTGCSTDI >A04p037800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21545543:21547601:1 gene:A04p037800.1_BraROA transcript:A04p037800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGSNSGQGLGPGQAESGGSSNESSSFSGGLMFGQRIYFEDGGGGSSSSGGSNRRVRGGGSGQSSQIPRCQVEGCGVDLTNAKGYYLRHRVCGMHSKTPRVIVAGIEQRFCQQCSRFHQLQEFDLEKRSCRRRLAGHNERRRKPQPASLSVLSSRYGRIAPSLYGNAESTMNGSFLGSQDMSWTSSRTLDTRVMRRPVAAPSWQINPMDVFSQGSVSGGGGISFSSPEIMDTKPESYKGIGGDSNCALSLLSNPHQPADNNNTWRTSSGFGPMTVTMAQPPPAPSQHQYLNPPWVFKDDDNNCPNDMSPVLNLARFTETDTTMGEFELSDHHHPQHQNRRQYMEDDNTRAYDSSSHHNNWSL >A09p064050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51758883:51763380:-1 gene:A09p064050.1_BraROA transcript:A09p064050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRREPRGGRSSLFDGIEEGGIRAASSYSHEINEHENERALEGLQDRVILLKRLSGDINEEVDTHNRMLDRMGNDMDSSRGILSGTMDRFKTVFETKSSRRMLTLVAAFVVQSTIFTRASVAILSSNGLKRFSFASSFSSNALYSSPLPKTKKRRFPIVSAVDINGVTIARNDVVRDDDATNNVPDSIFSKLGMQLHRRDKHPIGILKNAIYDYFDSNYAKQFEKFEDLSPIVTTKQNFDDVLVPADHVSRSLNDTYYVDPQTVLRCHTSAHQAELLREGHRRFLVTGDVYRRDSIDSTHYPVFHQMEGFCVFSPEDWNESGKDSTLYAAEDLKKCLEGLARHLFGGVEMRWVDTYFPFTEPSFELEIYFKEDWLEVLGCGVTEQRILKQSGLENNVAWAFGLGLERLAMVLFDIPDIRLFWSDDERFTSQFKKGELGVKFKPFSKYPPCYKDISFWISESFTENNFCEVVRGIAGDLVEEVKLIDSFTNKKGMTSHCYRIVFRSMERSLTDEEVNDLQSKVRDEVQRKLNVELR >A03p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14561285:14562079:-1 gene:A03p034510.1_BraROA transcript:A03p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDNQLEIKFRLTDGSDIGPKAFPDATTVSALKETVISQWPREKENGPKTVKEVKLISAGKVLDNNKTVKDYRNPVSNLVGAVTTMHVIIQPLLTEKEKKPKGDDPKMNKCVCTVM >A03p047290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22472219:22472564:1 gene:A03p047290.1_BraROA transcript:A03p047290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFQNILISFVFTIFFVTSNVHGTNNVADFGVEQKNTKCYGPCNQGAAGAAECDGFCRAKNIQSKGTCSSGFCCCVWQIKN >A02p047490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29628334:29635977:1 gene:A02p047490.1_BraROA transcript:A02p047490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFSAPYDTNETGVFWDFDECGIPDELSAAGVLQRMRHSLLHKGHRGPVSIQIYGDLTGLDFQSSDIKLNHFHSGEKREKMTKILEDMVSWSGENPEPSVGLLALGDVGDAGDDIVEVVELLKSQKNYRFMVLTPPPLPPPPPTVVIMMAPLPRETSRVTLSFQPLQSQNLIMAMFTGPYDTAATGVFWDMDECRNECEIPEELTAADVVPRIRQKLLDLGLRGPVSIRIYGDLTGLDFQSSGDVKLHHFHAGEKREKMTKILEDIVSWSGENPEPSVGILVLGHLGAADDADITEVIELLKTQKNYQFMLVTPESPPPPTVR >A02p007510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3184899:3185245:1 gene:A02p007510.1_BraROA transcript:A02p007510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKMCMMMMVMMVLMIMNCGLKPCNGMDTNVPTPPSLAGEEPETRPQDIAHCYQQCSLKCGSDGDCFVACLKKCRGTSTLF >A09p067620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53281812:53282368:1 gene:A09p067620.1_BraROA transcript:A09p067620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDEAKILLGFPPNSRPDPSQGFGSIDRLVKAAYRKKVWESHPDLFPDDQKLHAESMFKSISEAYSCLESGDVKGQHYSRSGEQ >A10g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5574593:5577931:1 gene:A10g502130.1_BraROA transcript:A10g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQRKNTKEKSPRPSTSQSSFKSSLNYFDECVSVQEKPNRWSNEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVRKSQKKSTSTRAPVAEPSFSISKKTEGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDRPYLESEFINDNEQANVELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLPDLQEHCEKSELVISLPDMFDKISSLDVIRFGLDKIKENCFSKSVFGNMINSFKIFEPDKFLDQQRFQNNLGISSEIILSFDQSLEQSKVFDHFEKYLELDMKQTDFCATKSFDSFVFKENSFDLNSSRHRLITDDSFASSLDLDDFLIKKMHEQNSLETETGFCELDFCDSVLQPDLLSFENDKTWNFLRSSCENFVDLSVADILVYNTFFEKCLESLIVVSQSELKLVCSDVDNDMHVLEMINVVAYLDKILVCNVYFDLHLDRLKNVQFVLGKDILICYLNKYLSCTFDPGLLVFILSIQERQVQPLRIESIARAQQSEFWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKTTNQGFTEAWNRMKSFTDEEVMNFTTRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEQKVFPWSFSCLNQKHCKDHELIASTVPKNVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSNFGKQLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVTDRTVPNASGWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRIHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFEFGRVELKIGHDTSKLATLDCPAFVLAQSAGHASGSNEPGRNLKGFSLVKV >A03p055970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24153937:24154449:-1 gene:A03p055970.1_BraROA transcript:A03p055970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSATMVGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFANQLVKWDVKLKEDLEVMLDKARAANERRYFVTLGMLCLKVRYFEQPIKKQEWKHRYF >A05p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20092314:20098300:-1 gene:A05p035480.1_BraROA transcript:A05p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTDIAEILCVKPKTEKRTKTMEEDVKKKKNKKTMKIEGEVVVMKKNLLDFKDAMASFLDRVHELLGRRVSLHLVSSLQPDPANEKRGRLGKAAHLEKWVTKIKTSVTAEETAFAVTFDWDESMGPPAAFVIKNHHHSQFYLKSLTLRNFPGGEGGPIHFVCNSWIYPSHRYRSDRVFFSNKAYLPNETPELLKELRKEELKNLRDNEKGGELKEWDRVYDYAYYNDLGAPDKGPDSARPVLGGSPDFPYPRRGKTGRKPTKSDPKSESRLALLNLNIYVPRDERFSHVKFSDFLAYALKSVTQVLVPEIASVCDKTINEFDSFEDVFHLYDGSTKLANGHTISKLRDVIPWEMFRELVRNDGERFLKFPLPDVLKESRSAWRTDEEFAREMLAGLNPVVISRLQEFPPKSNLDSTRYGNQHSSIREEHIKPHMNGLSVKEALGQNKLYILDHHDALMPYLTRINSTNTKTYATRTLLLLQEDGTLKPLAIELSLPHAQGESHGAVSKIFTPAEKGVEGSVWQLAKAYAAVNDSGYHQLISHWLKTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARHVLINSDGVLERTVFPGRYAMEMSSSIYKNWVFTDQALPKDLLKRGVAVENPSSDNGIELLIEDYPFAVDGLEIWSAIKTWVREYCTIYYKNDKAVQNDTEIQEWWNEVRTEGHGDLQHESWWPSMQTCDDLMEACTIIIWVASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEPGTEEYTELEENEEVAFLKTITPQLQTLLGISIIEILSMHSTDEIYLGQRDSPNWTVDDEPLEAFKRFGKSLELIENNIIQRNNDKKFKNRTGPVNIPYTLLYPNTTDYTREGGLTGKGIPNSVSI >A05p053180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30362478:30367047:-1 gene:A05p053180.1_BraROA transcript:A05p053180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARATSGPAYPERFYAAASYAGFDGSESSAKNVSSKFSNDTALLLYALYQQATVGPCNTPKPSAWRPVEQSKWRSWQGLGTMPSMEAMRLFVKILEEDDPTWYSRASKDIPDPVVDVQINQTTKDEPVVENGNSLSETKTISAENGGLAETQDKDVVSEDPNTVSVYNQWTAPQTSGQRPKVRYEHGAAVIQDKMYIYGGNHNGRYLGDLHVLDLKSWTWSRVETKVATESEETSPTLLSPCAGHSLIPWDNKLLSIGGHTKDHSESMQVKVFDTHTSTWSMLKTYGKPPVSRGGQSVTVVGKTLVIFGGQDAKRSLLNDLHVLDLETMTWDEIDALGVSPSPRSDHAAAVHAERYLLIFGGGSHATCFDDLHVLDLQTMEWSRPAQQGEVPTPRSGHAGVTIGENWFIVGGGDNKSGASESVVLNMSTLTWSVVTSVQGRVPLASEGLSLVVSSYNGEDVLVAFGGYNGRYNNEVNLLKPSHKSTLQPKTLEDPLPVSLSAVNNATTRDIESEVEVSQESRVREIVMDNVNPGSKVEGNNERIIETLKAEKEELELSLNKEKTQTFQLRQELADGESRNADLYKELHSVRSQLATEQSRCFKLEVDVAELRQKLQTLETLQKELELLQRQKAASEQAAMNAKRQSSGGVWGWLAGSPQEKDDSP >A10g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14067518:14069390:-1 gene:A10g505560.1_BraROA transcript:A10g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTDGRSYSPYFYKARLKQGSISVYHLNSFRHILEESNVYELNGFDVAKSNPTYRFDETPIQVRIPKECFRFRKYDQLMSLTNINTYFTERSTPHGMLATLKLDRAAASQRNLMHFYFDNDGGVSSNYLKITLSELNTHGFTASLERNQSSFALRSSIALTQHTDGAISHFRSATANCNVDSQLFHAMHVDNAVGVVRSSTPRVCPIQPDSDIGEELAEEKGRSPMKSPKD >A09g511980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35279567:35284939:-1 gene:A09g511980.1_BraROA transcript:A09g511980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEESPSLMRASIDPAIPTGHDGRVPNLKRPRSPLHERNSPPPGRQRTSPSNLSERDYRREGKRHTHSPPRDTRAYRPTQKDYPRDNDNSYRNNTRKEDVWRRLEILPRASSAYGNINSSRTSRRENPYPQKNRENKQPMPYNGRYSSNRQQATREWRPRSPPKIIPSTDMVPVSSRTPHMEQEGPSRAVSDSQRTISDQFGHLELGEIGKEDQPKSPIAETDEERLRRIKGKGHITDTPTSREREPGRIRSSTLIIREPATDTLDRQPIKTPHTAAPPPEHTDKNPNPLDMELDIDFDQELDITLTEEEIALVDSLAKDAELAEMDAEMMESDDLLDEIPDEAAEKIDAISQLSPTNVEVTTDMQEDLLAPTRAQTVTNPNRSKPHQAPPTLPSAKGYLKKHVPKSPDLKGAKAIIHKAHDDKEILTPTSAQPLGPRCSFDASWHQDDTLFGGGMVLTDKEGEMTFGSFTSNRGLTPLHAELHALLLGHEIHTPTEPPRYDL >A09p069320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54030919:54031255:1 gene:A09p069320.1_BraROA transcript:A09p069320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHYLYLEAFHSLKVAEYILNCLGDKRQSVDRVLVEETGENINRNLGSGLLDIPEILRQGHVRATQTLCLDFHHWYVSVGELPNLH >SC165g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:128129:131247:1 gene:SC165g500090.1_BraROA transcript:SC165g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVSPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVRSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPAS >A06p013710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6197449:6200086:-1 gene:A06p013710.1_BraROA transcript:A06p013710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSYSNARMANSFMYWNDCVDPDDLEAMWMDPAVRAEWIEVGETKGQKVHLSRDPDGQPYLTQTEMKAVADITVRRHFDSILDPEMICAIAELESDRKPLIMRYNKKTKETGLGMLQVYAKTAEWLAGGQGYQEYNVDDNPDLLHKPFINVYFAAAYLKWLTDYQNNQRSEEFVVRAYNGGTKKATHKSTLPYWKRYLVVKEALPSRKHVDAGPSSFHPTNPTSPGSSNLSLFVYLYTNFTYWDSRASPEDMEDMWNHPEVCKEWTKSKEERGKVRFSQDSEKRPYLSRGEMKAVAEIIVSEYFSTKGIKVPLVCAVADTVSMRFVNGTGKKVGILGVDYKTAAWLNTELGYRAYRVDSADDLTKPFVSMYFGVAYLVWLSEYEGRERSNQFIIQAYIKGPEHVDLEAASCPLWLKVEQALSYYEEPKRDTGGCIIL >A10p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14698119:14699758:-1 gene:A10p022220.1_BraROA transcript:A10p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQRPSFRFEIDNFSDKKAVITSKDFVAGGCEWYLAVHPKGNYNDQLCLFLYVANLESLRTGWKRSAKYYFLVLNDSNKELYKSSILGKESKLFCAENPARGTRKTLPLTKFQDKGFLEKDKLIIEVYINVVEAFDGEGEDITGFAAHVASVKKIFAETEYKNTLSNACSKLSDLAEVGVKLDWLKSMFDEVSFKTKNADDGADDESLVQQLEERIKNLELMVSGFKKDCSKSKSKMKKSHAADECRFQKLEEGVKNLELMEIGLKLDSLKSKLEEVSLENKISHDADESRAKLLEGRVKNIELMETASKLDSLKLKLEEIALERKKSYDADESRVRQLEERIKNIELMDLGFKLDCVNTKLDNADESRVQQFEERFKDLSGNVGFTLNCLNTKIEEVSLERKESDDVRFQQLEESVNNIELMVSSLEDELDKKKDKPSNDGFWLVD >A08p025690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16494409:16495917:-1 gene:A08p025690.1_BraROA transcript:A08p025690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHLQDPLNSHLNPGLTKQEEQADQEMMSLQALKITTTLAFPMVFKAALELGVFDTIAANGKDAWLSSSEIAFGLPTKPTNPEAPMLLDRMLRLLVSYSVLKCRTVETGENNLTGKIQMVYAAEPVCTLFLKHGHESGSLMSLFMVHHSQVFFETWTHLKDLIQEGKDTFISAHGMRIFEYISLNEQFACMFNHAMSESSTMIMKKILEVYRGFEDIKTLVDIGGGLGTTLNLVTSKYPDIKGINFDLDMVLAQAPLYQGVEHVAGDMFIEVPKGDAIFMKWILHDWADEDCVKILKNCWRSLPEKGKVIIVDIVTPIEPKHDDIFSNIVFSMDMLMLTHCSGGKERSFSQFEALATASGFLNCEIICIAFSHCVIEFHK >A01p028970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20677991:20679971:1 gene:A01p028970.1_BraROA transcript:A01p028970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEALAVAGISNDLVTRSWMASKIAYNTEHFCKEEEGELVYFSFKPSFSEKDWFAPENGSSFGETKMNRDQFPCMRSFSNDADATVNEAFLKNLDILISQRTSFRDDVMFTGHSSGGATAILATVWYLETYFTKKQSGGFPFPEPRCVTFGAPLVGDNVFKHALGRENWSRFFVNLVTRFDIVPRIMLAPKASTKQTLPYALYKLDDTASRNQENDQGIAGFFAAVMKDVEIASRQTGCELMIGDGGGNAFLETFSSFLELSPYRPAGTFVFSTGTRLVQVSNSDAIFQLLFYASQSSNEQELSLRLYESIQDHRSYQEMVDSMGTKEVNDLDMDHLALDGGESALSDLGLSTSDRKCLLAAYEAEKKRVDNQSKMDKERESKTEEKLDWIENVYKPRCLALAKGYYDSFKESPEDDDFTANVTRAELAGSFDKVFGLLKKRQLPDGFEGRSEWIELQIRYVKLVEPLDIANYHRHLKNEDTGPYMGKGRPNRYKHAQRLYEHKLLKAGRPAEEIKTSSLGSCFWAEVEELRGKEYDKVKVSKLEELLQGWIIDKEVDDEHIFLEGSTFRKWWHSLPELHKLCSLLRGRMG >A04p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12705695:12707556:-1 gene:A04p020820.1_BraROA transcript:A04p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKLINWAELPSELIYSILHRLSVPDRLGNARNVCRSWRRVCQDPLMWRKIEMRDMGEYKEAKDYYHAAEAMCRCAVDLSQGGLLEINIEYVGTDSLLAYIADRSSNLKQLVLTECNGITDVGLLGAVMKLKLLEHLELSYFYFMELDLESIGLSCPLLKTLKLNNVGCYYLEDESDDDALAIAKTMPGLHHLQLVGNRVRRFSLLSLARKFSPGWNLQSDDGTKRRDEAAEEKASGSESGLDCIDGDPVKGFASPLIVEKELRSSFVTDAVRHVVARFNEVVTKLLLEGAIDAIEANEVLLNQVIWVLGSFDLGHSTALSPKLSQIKAIIKPGDVTSHLLLI >A03p023270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9804985:9808523:1 gene:A03p023270.1_BraROA transcript:A03p023270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVNVAKQCLTTESSYALEEAVNVARRRGHSQTTSLHAVSALLSLPTSVLRDACARVRNSAYSPRLQFKALDLCLSVSLDRIQSGHQQPGSDDPPVSNSLMAAIKRSQAHQRRLPESFRLYQEMSQSSQSSSSLSCVKVELRQLILSILDDPVVSRVFGEAGFRSSELKLSIIRPIPHLFRYSSPRGQQQQPLFLCNVTGNHPEPELNPVRWGFSVSNRNLTGDSSDHRRISDVFTREKARNPLLVGVSAYSVLNDFFKSLENRTDGLMAVNIGSEISDQIKVKFDKTFIDARFRDLGKVAEQGSGPGLVLNYGDLRVFTDGEGNVSAASYIVGKVLELLRRSGRRVWLIGATANNDVYEKMVRKFPNVEKDWDLQLLTITNTLRSCSPHHKSSLMGSFVPFGGFFSTPFDMKLPFSGFNKEITEPVSSISDQTQSTLPPWLQMTTIPDLNQKSDPKVRLLFSSPTFNISHTHQIRFLGLQASSVDYVMLISTCIYIPSVQTKEGLESFCGNNSTSSASASTGSAKSVTTDLNLRMCPVTPGFGLKTRSASSACLDNPRDLNAESFKIIYQRLTNRVSGQDEAARVISCALSQPPKISTRRDVWLNLVGHDNVGKRRMSLVLAEIVYQSEHRFMPVDLGVADHGMSGCDDVMLLRGKTMVDHIFEVMCRNPFCVVFLENIDKADEKLQVSLSKAIETGKFMDSHGREVGIGNTTFVMTSSSVQDYGTVTTYSEEQLLRVKDMQVEIWIETASCLSSEKKRKLGLGETVETGKRLNRTTNGVLDLNLPAQETEETYQNSKLWLVNLKKHDSLIKVPFKPFDFEGLAERIKRILKETFAKCVRSDCLLEIDPKIMERLLAAIYFSDNRKDIIKELMEKVMAKVFLHVKERYEITSGCVVKLVGRDLDVLSEDEMDLFLVKSQQVKK >A08p020720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13952502:13953104:-1 gene:A08p020720.1_BraROA transcript:A08p020720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSVDLSENSLSGNLADTFQQLSLCYYLNLGSNVLEGEVPKWVGEMRSLESLDLSMNNGNSLTGKLPVWIFDDDSTGGVKKIQVEKKLKKAEFASKQQKSQVKGNIPKSAAPKAAKMGGGGRRLIL >A10p007590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000139.1:15175:22743:-1 gene:A10p007590.1_BraROA transcript:A10p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVGQVQHEDQNSPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHEFPSSDHTDRPDHNSPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHEFPSSDHTDRPDHILSNTVPAECSDLRVYQSVHGKDQHADMSSVHVSVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHADMSSVHGLVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHTDMCGQHADICTDGQSTDSLCVLTDRHGRPACANGRPVCTEQTAHVG >A06p029770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000092.1:77:1440:-1 gene:A06p029770.1_BraROA transcript:A06p029770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDMLKHEVSRYLQPKHADRHAGSCVSRRMHSIHTDRHLRERERKEVIDSEDRYSTEKASSVQSAILYDCDAEALSNSIRPSQSYSMMISRSSARYSAGSPKNCPEARGGSVRVQISLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVLSDQPAASRLEHCELVPVIFKDSFIAGGWTIWITLLVLRVLGHLGRTTGTMVSLVDSSV >A10g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5804420:5811246:1 gene:A10g502200.1_BraROA transcript:A10g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETRSAVFMMHKARELVINFNLKIHIRSNETTSLNTKENQTTIINFSETVLTLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSLQEKESAKEKGRSEAVLLNIVTHLEKLDRKFDSRLTQYDTKFRDFSQGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGRGCRGLGKRNNLAADLERNETELKKKQKQEEAELKRKKKQEDAELKKKQKKEEAELKKKKKQEEADLKKKKKQEEADSKKDIPASKRTRSDIAQPNLKPYPKIGKYLISQPIRLHKAAVKFPRTLKSILTMSSSSFTSGNYYRRRRNTERGTPKECWCGAPSDIFTSGSETNPGRLYYR >A06p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20450622:20451901:1 gene:A06p037780.1_BraROA transcript:A06p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT5G63880) UniProtKB/TrEMBL;Acc:F4KC57] MGNLFVKKPQITDVDRAILSLKTQRRKLGHYQQQLEKVIEAEKQAARDLIREHRKERALLALRKKRTQEELLKQVDQWVINVEQQLTDIELTSKQKAVFESLKQGSSAIKAIQSEVDLDDVQKLMDDTADAKAYQDELNAILGEKLSAEDEEEILAEFDNLESLLAVDEMPDVPTTEPQESENLHLPDVPTKKPIVSNAEITPAESATKTKVPEEPLPA >A03g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24303128:24303659:-1 gene:A03g506840.1_BraROA transcript:A03g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIKCNLFIVLSSLVRLRAKGLEKETVNHLCCYNETIDNKSQVESNGTVLSIDWKDVGAKKIESTLHDDLALKTWKI >A07p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19060611:19062916:1 gene:A07p035210.1_BraROA transcript:A07p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRKETKPYKEGSSTISVLSESSTPSKMEKYDRVVKKKEERTIDANEIRITSMGRARNYITYAMTLLQEKGSTEVVFKAMGRAINKTVNIVELIKRRIPGLHQNTSIGSTDITDTWEPKEEGLLPYQCPIPIEMVKPLGDIDYEGGEGSPGGRGRGRGRGRGRGRGGRGNAYVNVEYEDGGWERNQSYGRGRGRGRGRSSRSRGRGGYNGPQNEYDAPKDGGYGYDATHEHRGYDDHGGYDGPHQGRGGYNGRQGRGGYDGPRHGRGGYNDRQGRGGYDGPREGRGGYDGPHQGRGGYSGRQGRGGYDGPHQGHGGYDDSHQGPGGYDGPQGSGGYDAPPQGRGRGRGRGGRGVGGGFNNSSDGPTNQAVA >A01p045100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23960512:23962393:-1 gene:A01p045100.1_BraROA transcript:A01p045100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKDEAKRITIPPLFPRVHVNDTERGGLSQPFDGKTMSPYKHYILPSPTDKISDSPSTLSLSLPPPANNACLQTDRPEMNQLSPTYNTSPASNLEGKVDKKGMIYPSPRGSSVKPSSIKQNEYNLTNLDSLKVPVFRLPETDPKANTDLSLQFHSSCTSKSRGEAAGFKPLLNNLDIRELLLPLQCLEDESNNGSLSVMKTQLYRRRTPKTVPPREQEASDCSAIDSLSGMSAASNDVARVIGEKRFWKMRAYMISQQKIFATQVFELHRLIMVQKMVAKSPNLVLKSKINGGSKFKRPNTENQKPVTEAYPEHMKPKIPLPFISKELMTPIWQQQLLPPQGNQWLVPVMSPSEGLVYKPYAGPCPPPPSAFMVPIYGQDSPSRFPASTQFSHNCFPPRTTVLDQTNPFGQLQRWSSTSSHMTQAIPFSLKKSQESNDSEVHGSTASSPPEKHKFDVLPLFPTEPTHHTDEYEQKQQPMSRAIKAIPHNSTSASESAARIFRSIQEERRDSDHMIS >A08p004580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2677197:2677651:1 gene:A08p004580.1_BraROA transcript:A08p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIEAHKRIIWACSWNLTGHQFATSSRDKTVKIWSVEKDARIKQVLALPQFGSSVTAVAWTGSDHKDKSGCIAVGMESGPIELWNIKIKEIEDEAVNRLAWRPTEKSETNQSLLRLTSCWDDNCVRVFDF >A05g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28594621:28596432:1 gene:A05g509410.1_BraROA transcript:A05g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWFPANSASALSPPLLTPGDRRFLAPPDPPDPDPDNPLSLARFPPLNSPSSLTSKSSKTSRTLLQSCSVTAPKSSSVPPTESFNGKTSTSAISDPVLPRSGNTVPNFDNFKILPPKTSSPIHTNRASNPLPKLPPPSEAPQNANHNPKTVPNPNHVPVFQVPPFTHVPLQTKPTNTESSSSSVPPEKSSAASYTPHKSSAASPTPHKPPSPLHEPPVSTPTCLPPAVTPLPLIVQPPKMSHPPPPNDPPSATVQPLSLFVSPTSPPEQPKKRPRPCSSQPFPSFTDQLNFFSLPKSPIRPPTPLPSISFQTSFGPNPFD >A03p068540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30028079:30029037:-1 gene:A03p068540.1_BraROA transcript:A03p068540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIECPSRFCNGSRCLFYVFNPINSDANYEIFNGVVSVGSILKRVVPCGLTCWKDDCNWRRRCDLKVLECLTIPESSVSGGICAERGLLGVTTCATMLEERVRSYANQQNQDSLQLVDGTS >A08g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5291417:5292249:1 gene:A08g502430.1_BraROA transcript:A08g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYLVLAYGRRVSERAVAGTVVRPFKSFPSASPSRGRGEGFLRWRGGFFRSRFDSVRGRLLQLRRRRYSPGGGGCVSSAAVGSFLSGGGGLLSSVVAVLFPEGGGYYSSTLPAWYPVGGPDESAVCPMTFSVEDHRYGEVALEAFRGGDGQVEAVCDACRSEGADASTCSAASFLDACFQPTSFGLVWFGPRARFKCLDCSR >A03p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12005681:12007998:-1 gene:A03p028580.1_BraROA transcript:A03p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVRFEGSVSGHENGSPGQTELGSGFGNKQERSGFDGEDFWRSSKLSRTLTDGFSSSSAAAKTLSFHQGIPLLRSTSVDPRRQEQMLSFSPASDKSDVSPYLQYCRNSGYGLGGMMNTNSMHGSLLTGAKGPFSLTQWAELEQQALIYKYITANVPVPSSLLLSLKKSFFPYGSLPPNSFGWGSFHLGFSGGNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGLNGHNTNATAAASAASKAAAATTVVATRGSDNNNSLAAVGTHHHHAIPSMANSDRVQNAQGASVFPATMSLQSKESYQKQSSSPFEFGLISSDSLLNPSHKQPSYANSSKGFGSYLDFSNQAKNSNVDSWTEELKSDWTQLSMSIPMAPSSPVQDKLALSPLRLSREFDPAIHMGLGVNTEFLEPVKKANNWIPISWGNNNNSMGGPLGEVLNSTTNSPKFGSSPTGVLQKSTFGSLSNSSSGSSTVLGDNSNKNCDGKDPLGPTTLMNSSAPAPSM >A06p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5297173:5298132:-1 gene:A06p002150.1_BraROA transcript:A06p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTAMVDQTYKFLATAMVRWKTYKCCSVDLTAVPSNNSAPQGEHKGTFCFTDAYISFLLKNPGSQLFFMFVHSLGFMSVSKSTRDLSLPHANTFLPQLLSKMKRPLKNLEVAHLLIRFHLLASDACLLRSFYIYIFFFSKYFHLFSKSFF >A04p028330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17068388:17070662:1 gene:A04p028330.1_BraROA transcript:A04p028330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIRSNTFFTSLMILLALFGVAVGGTVHKVGDSSGWTMMGVNYEAWASSRTFQVGDSLVFEYNNGFHDVTEVTHNDFELCEPSKPLAKYQTGSDTISLTKPGYQNFICGFPSHCDIGQKLQILVLPASLVPVAAPVPGPVRSPTTFSSSLSPVNNVPQHQMGPPPTPHSSAATSSVWIGFSYFLLSLFILSNAFFTSLMILVALFGVSFGGTVHKVGDSSGWTMANVNYEAWASSKTFQVRRLFVTHNNFELCQPSKPLAIYQTGSDRISLTKPGYQNFMWCSWSLQNRTKTSNPRLSGLNGSCCGSISNGTQYQIGPSPAPHRAASISIVWNGLSIFFLLSLLILG >A10p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6626552:6627687:1 gene:A10p011040.1_BraROA transcript:A10p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYVLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVIWTSWKSSDEVFQIWKTSGTTYLLVIWKSSGLLGSLLTKSSGLPVKSSGLPKSLLTKSSELLGSRLDFLKVIWTSWKSSDKVFFHIKWSTSLSM >A02p053360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32462426:32463981:-1 gene:A02p053360.1_BraROA transcript:A02p053360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVRAVTHQDLVPNPKTTDLSSKTGIFVVVLTILFGLSCFVLCLYAEATRSQATWGSKTCVYNGSGKTPLLCGAIAFVGLAVAMVGLHMYLLIAVTTSSPLVLDTWDPDSVPAKTLTFQAAFFFVSTWLCFGVGEVLLLVSLSVESGHLKNWSKPKPSCLVIRQGLFSAAGVFSLLTVFLAAGLYLTALQAHRISKDLENTHREILEASVLYASPPRSPTNRMATVAREGPATVRDESTSLGYLVSPKQLPYIV >A06p036320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19716348:19718437:1 gene:A06p036320.1_BraROA transcript:A06p036320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSKQNMSVLLTKLGDRDTFTMAARELDLMARQIDPSSGNLQSFISVILSADTGDKPAVRKHCIHLLAVLSVSLPPNSLSPFLSKILARLTRRLRDPDSSIRSTCVAAVSAISSRTTKPPFASAFMKPLAEALFTEQEVNAQIGAALCLAAAIDAAEDPDPVRLGEVLLPRVEKLVKCKAFKAKSAGVVVIGSVIGAGGVAGGGGLKGLVDCLVSFLSSEDWAARKAAAEALGKLATVERNGLGEFKAKSLKIFETKRYDKVKAVRDVMNQMMEAWRQVPDLSEEVSPPRSNASSKGDASDGRYPLSASRVASTPGKPRTTPPGSSLATTARKRTNVKGSDQKKTSSVPSRVKSNARRRLDWKAGGEPLGEEYHDHNENTKEVSHSNHEKMQKLGSVSSSVTGNLPLSAANVVATGHHHVLSENQNSSNNCKGFEDMSLIRSQLVQIEQQQSNLMDLLQRFVGSSQNGMRALETRVHGLELAMDEISYDLAVSNGRMSNGSGRNGCCLIPPGSFIRSKFWKKPEQQQYSAPRMATYRNRNAQTMGTQDSRQRFNGAAGFIVNPLAEVRPEHGSAAFPHN >A07p019790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11788688:11790034:-1 gene:A07p019790.1_BraROA transcript:A07p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCCFTSDSEVMGGQSSSRSGKGRSDEGLIKFGFSLVKGKANHPMEDYHVANFINIQDHELGLFAIYDGHMGDTVPAYLQKHLFSSILKEGEFWVDPQKSILKAYEKTDQAILSNSSDLGRGGSTAVTAILINGRKLWIANVGDSRAVLYRGGKTMQMSTDHEPRAERSSIEDRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDIKHANVDSQTDILVLASDGIWKVMTNEEAMEIAKRVKDPQKAAKELTAEALRRESKDDISCVVVRFR >A07p040920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22107301:22115727:-1 gene:A07p040920.1_BraROA transcript:A07p040920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQISSDTILKTAAMIEEISNDILGKLDVTPSSNEFEDFVGIKDHIAEVILLMNLESKEVKMVGIWGTSGIGKTTIARALFCNISNQFQRSVFIDRAFISKSMEVYGRANPVDYNMKLRLRMNFLSEILDRKNMKIGAMEERLKHQKGTSKLLGISLNVDEIDELQVHETAFKGMRNLHFLEIYSNKVRVVNGDKLKLPKSFDWLPPKLKLLCWSGYPMRCMPSTLCTDRLVKLKMRNSKLERLWKGVMSLTCLIEMDLCGSHDLKEIPDLTTATNLETLNLQSCRSLVELPSSIRNLNKLIKLDMQFCKKLKTLPTGINLKSLDHINLSFCSQLRTFPKISTNISYLFLEETSVVEFPTNLHLNNLVKLHMSKVTTNKQWKMLQPLTHFMPMLSPTLTELYLFNIPSLVELPSSFRNLNKLRDLKISRCTNLETLPTGINLKSLESLDLTKCSRLMTFPNISTNISVLNLSYTAIEEVPWWVEIFSKLKNLNMECCSKLEYVHPNISKLPRLAVDFSHCEALNIADLSSRTSSSELITEASNSDTVSEESSSDKFIPKVGFINYFKFNQHVLLQQLSVGFKSMTFLGEAVPSYFTHHTTESSLTIPLLDTSLTQTFFRFKVCAVVVFDTMSKTGPSGLSIRVKCLFKGICGNIFDSSSEAHSFHTLEKDSRLFIFDCCVPLNKENALVSHHVDMGIQISGWQEDSTFRLTGWGIRICSSHEKGLADPESLPHVCKAGKDDMFSDECHKTEQGEECGGSDVEIEHNEKCGGSDAEMKGSNKTVQVCIKDETECGDNIVERSESRKGIGMTGRSSKPVRKPRRNRSMAEGGGRRIGSVKAAINMYGQRTTRTVSPQLDLPEAKSVAEDLHKSGRELGMYRESRKASDSAKAKAEAELNKAKKTVQELTLLIEQSNLRLKSVKKLKTDGNGNYAQIIRELEELKQELSKLKLDAAYVLKEKVAAEKEVMELGAKTEENLNLVESLKLEVDAANEEHVLVELAKMEALKECKEVEGERERERKEAFEELEKRKKRTKEMKKEIKRSKEYENVLAETLADIEMLETQLSLVKDMERKAPKNQRGKKDALTVLREVTEATEAKKEELASVKVEVLYLGGIMDALSKELVEVKQEAARFDKIIEKDDAMIERLNTKLLMAKGRLEAVSADEERISSLADNLICSLEKLKNDKEAAKKEEIELKEEAKIIKKTETGFGGKEKELLSKLDELEKAKHAESLALKKLESMVERTMETREMDSQSRSTITISRFEYEYLSGQARHAEETAEKKVEAAMAWVEALKASTKEIAMKTETLERESGKTMVEEERASFRMQRSLSIKRLVQNEIEKFKEESAEDKSSSLVVSSPKPVRRSVRLSGKFTPVQGGKTRRYSSGNRGTPSYFVIKKKKKKVPNLVKFFSRKRDKSSLEE >A10p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11242300:11244185:1 gene:A10p008230.1_BraROA transcript:A10p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:abscisic acid responsive elements-binding factor 2 [Source:Projected from Arabidopsis thaliana (AT1G45249) TAIR;Acc:AT1G45249] MKCMILMTSSGSRSNMNFKNNNNMGNEPPGDGGEGALTRQGSIYSLTFDEFQSSLGKDFGSMNMDELLKNIWTAEETQAMAVAASTSGVIPLAGEGLPLQRQGSLTLPRTLSTKTVDQVWKDLAKDGGGGTNLTQSQSQRQQTLGEVTLEEFLVRAGVVREEAQIAAKDANTGFSVQASPQVVPGLMGNLGAETVNHMQVQGSSLPLNVNGARSTYQQHQPIMPKQPGFGYGTHVGPGIRGGLMGLGDQSLTNNMGLVQGVVGAVSPVTPVSADGIGKTNGDSSSLSPSPYMFNGVRGRKSGTVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKEENQELQRKQAKIMEMQKNQEMEMMNIQGGAKKKLRRTESGPW >A09p016420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8618868:8619287:1 gene:A09p016420.1_BraROA transcript:A09p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHFVFKISSSLRTNKDINMSSHAKRLAVFIVLILAITSTDVSDATLRHIMNLRSNTGIKEGNEIKERELVEFHLDYARTGANQDHEPGKGRP >A09p001490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1095028:1097091:-1 gene:A09p001490.1_BraROA transcript:A09p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKLSANAHKMAVKISVFQSLVFLFYRFILRRNPKPKYQKCPSTLLQSHLSRDTLIFNLERSLLKSDSLFPYFMLVAFEAGGMIRSFILFILYPLITLMLSHEMGVKVMVMVSFFGIKKDGFRAGGAVLPKHFLEDVGLEMFKVLRKGGKRIVVSYDLPQVMIEGFLRDYLEVEVVVGREMKVVGGYYLGIMEDKTKHDLVFDELVRKERLNTGRVIGITSYNTSLHRYLFSQFCQEIYFVKRSDKRTWQTLPKSQYPKPLIFHDGRLAIRPTLMNTLALFMWGPFAVLAAAARLFVSLCIPYTLSIPILSFFGCRLTVKIDDVSSQKLNSSERKGCLFACNHRTLLDPLYVGFALKKKNIKTVTYSLSRVSEILAPIKTVRLTRDRVSDGQAMKKLLAEGDLVVCPEGTTCREPYLLRFSPLFAEISDVIVPVAVTSPATFFYGTTASGLKAFDPLFFLMDPYPTYTVQFLDPVPGVTCQDPEGKLKFEVANHVQCAIGKALDFECTYLTRKDKYLILAGNNGVVKKN >A09p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1464746:1466591:1 gene:A09p001940.1_BraROA transcript:A09p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERCLGPHRCRRIQRALRHLKVTVLCLVLTVVVLRGTIGAGKFGTPEQDLDEIRQHFQPSRKRAEPHRVLEEIQTGGDSSTSGDGGGGGGGGSNNYETFDINKIFVDEGEEAKPDPNKPYTLGPKISDWDEQRSDWLAKNPTFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKEYNLVMHGWNEMVYEEKNWIGLNTGSFLLRNNQWALDLLDTWAPMGPKGKIREEAGKVLTRELKGRPVFEADDQSAMVYLLATQRDTWGNKVYLENGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNLLEMKDELG >A07p000610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:617965:618192:-1 gene:A07p000610.1_BraROA transcript:A07p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTIVMVGTVESDPSDDYLKCYLNCALPCDDHDVDCLNLCKKKCSGIISHHGPGEPPAHNFQWYKSFYSFHLQF >A09g516780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49372828:49374032:1 gene:A09g516780.1_BraROA transcript:A09g516780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPNGKSPVSSVNDHEVMFFKDISRGPHYNPVKISQKLKVIITRRDGAVHVLRQGFSVSGKPEMLRKVVSSWAVDGKCNSKPWVVVSKAMMQLYYCKLVT >A08g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4483552:4485316:1 gene:A08g501880.1_BraROA transcript:A08g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDIDSRAAQLGIDWSQVNLDSIHLPPGEDFGIQSDDEGVYHDDQLEFDTGFGNIIVVDNLPVVPKAKFEKLENVLKKIYNQLGVIKENGLWMPVDPDTGVTLGYCFIEFNTPQEAQNAKEKTHGYKLDKSHIFAVNMFDDFDRLMNVKEEWEAPQTKPYVPGENLQKWLTDEKARDQLVIRSGPDTEVLWNDPRQKNTEPVHKRPYWTESYVQWSPLGTYLVTLHKQGAAVWGGADTFTRLMRYQHNMVKLVDFSPGEKYLVTYHSQEPSNPRDASKVEIKVFDVRTGRMMRDFKGSADEFSIGGPGGVAGASWPVFRWGGGKDDKYFAKLSKNTISVYETETFA >A01p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10494926:10496729:1 gene:A01p021560.1_BraROA transcript:A01p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEDTVTPFQILGGDAQVVQIMLKPQEKVIAKPGSMCYMSGSIEMENNYTPEQEVGVVQWVLGKSVSSVLLRNTGQNDGFVGIAAPSLARILPVNDLYLAMIFFLIVITNYDAYYTFLCVLVLYLKIDLAMFGGDILCQPDAFLCSVHDVKVVNSVYQRHRARNIAAAGSEVFLRQRLSGQGLAFITAGGSVVQKNLEVGEVLTIDVSCIAALTPSINFRINYHAAPVRRAVFGGDNVVTATLTGPGIVFIQSLPFHRLSQRIARSVTSPNMRENPRFLVQIGLFLFLAYVVIASSLILTEM >A01p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8826121:8828561:1 gene:A01p018120.1_BraROA transcript:A01p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQIVNTYPLSNYSFGTKEPKLEKDTSVADRLARMKINYMKEGMRTSVDAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVEGLKRKLTSKLGGNSAGLVPDWKVGECVATWWRPNFETMMYPYCPPHITKPKECKRLYVVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMISS >A08g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8053282:8057298:-1 gene:A08g504860.1_BraROA transcript:A08g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLQHLNSGPASNIISHEVTFIIYSLACHLRNATSKKIDAQGTAINSVDYGKELGFIGACHCGAGYESEYETEYSESIDTPTFPSIDSNVSTVTDDRNNTSFEVMHQVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSLADSGFHESFAVDTVITSANEEHTEEYNEDYWKERAIEMSLQDERLEAHKFTNTFRTSFDSVHSTSVDTHPLPAKQPLTSIDTHTGTSIDIHAAVKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKFNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPSNHVGVATTEINPVSHQPKGQASIDSTTETSIDRVTPTSIDRDNSTSIDRRYECGNCAFDMYGARKFIWEQMDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLNRGYNELFRCMVEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINNVYCPLNNVDWLSTKIELLQQDLDTIRKKDQQPATSINMCTFISLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLNAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYKAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFNNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDKTLAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTIESMQKELTDLSAYAYDIIGWHQVSIDNVQERLQSISKVLEKMDDK >A01p003150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1430862:1431668:1 gene:A01p003150.1_BraROA transcript:A01p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPEKMKVDGDTEKEKEDSSDEQWSDEESAMREIVLGLPALSISSATFGVSIAVDEEEEARLNEQAVVAAELVIAAAEEAVMKEKSDGKKKKVRRQRKTMKLNNDDEAGGPSKGGEAKKKPRKKTSEFTNLPRGPPVCNVCGRAFHSWKAVFGHLRSHKDRSYRGFLPPPRFSAPIRGVMIPGPNSAFVRVVARGGSSGGVVAAGGGGGGPGGGASGGEGGRGVGIVMDVDRVEEEKQEVTESGTAAKFDLNKSPPKDDDEEEDKTK >A03p025310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10660180:10661705:1 gene:A03p025310.1_BraROA transcript:A03p025310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDKPVYKLSSISQGIFILVTAKHLAREMEKKRGHVLAVPYPTQGHITPIRQFCKRLISKGLKTTLTLTTFVFNSIKPDPSGPVSIATISDGYDQGCESPYTIHEYLQNFKTFGSRTIADIIRKHQTSDSPITCMVYDAFIPWALDVAREFGLAAAPFFTQSCAVNYVYYLSYINHGSLKLPVEDLPFLELQDLPSFLSVSGSYPAFLEMVLQQFTNFKKADFVLINTFQELEPHEKELLAKVCHVLTVGPTVPSMYIDQRIKSDTDYDLNLFDSKDSTFSISWLNTRPQGSVVYVAFGSIAKLNNVQMEELASAVRNFSFMWVVRDSEEEKLPSGFLETLDKDKSLVLKWSPQLEVLSNKAIGCFLTHCGWNSTMEALAFGVPMVAMPQWTDQPMNAKYIQDVWKVGVSVKTDKESGIAKREEIEFSIKEVMEGEKSEEMKENAKKWRDLAIKSLSEGGSTDINIDAFVSKVLNK >A01p052460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29455378:29455805:-1 gene:A01p052460.1_BraROA transcript:A01p052460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNTRSHPKVPKSTSFPLLTFKVYAPPGIAAQPSPVTQTPQQEAVPSSSSANNLHPQRTVSFLAPPLNLLSPRGNHNPGTQILALLNNNNNGGGAAANQEPPSRPLDE >A04p030700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18204556:18209169:1 gene:A04p030700.1_BraROA transcript:A04p030700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVSSAGGLLAMLNEPQPSLKHHALSHLNNLVDRFWPEISTSVPIIESLYEDEEFDMHQRQLAALLVSKVFYYLGELNDSLSYALGAGPQFDVSEDTDYVHTLLAKAIDEYASLRSKAVESNDMVDIDPRLEAIVERMLEKCITDGKYQQAMGIAIECRRLDKLEEAIVKSDNVQGTLAYCINVSHSFVNRREYRHEVLTLLVKVYQQLPSPDYLSICQCLMFLDEPQGVASILEKLLRSESKDDALLALQIAFDLVENEHQAFLLSVRDRLPAPKTRIVETVQTVEITDAPAENPSGDVQMADGAPAPTPTPAPAPTIVHETDPVDATYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLSALGTADEEIYDDVKSVLYTDSAVAGEAAGISMGLLLVGTATEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGKFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVIGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFMSHAKPSLFEYPKPTTVPTANTAAKLPTAVLSTSVKAKARAKKDAEQKANAEKASGAEKPVSESGSGKDKEGDSMQVDSTATVEKKAAEPEAAFEILVNPARVVPAQEKYIKLLEDSRYVPVKLAPSGFVLLKDLREHEPEVLSLTDAPTSTASPAAAATQGTTASAMAVDDEPQPPQAFEYAT >A02p020720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9725509:9726343:-1 gene:A02p020720.1_BraROA transcript:A02p020720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMLSSATITSSPSHATMVAPFIGLKSSAAFPVTCKATTKITSIISNGGRVNCMKKKFETLSYLPDLTDVEIAKEVDYLIRNKWTPCIEFELEHAFVYREHGNIPGYYDGRYWTMWKLPLFGCTDSSQVLKEVQECKKEYPNAFIRIIGFDNNRQAQCISFIAYKPLSFGDA >A09p063800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51649272:51650569:1 gene:A09p063800.1_BraROA transcript:A09p063800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCYTPITTCSRNVIYIKRQLGTHLSQGSCKFRTYSLSSGVSVSNRQPCSWSVLINRSTVGGPKGKLEASFLSPEAKMSNMRNMYWCSRFAYTGVIVSLLVCCSSTPQSAHADASSKGKDCDHNNGKRVYKDYSVIGIPGDGRCLFRSVAHGFCLRSGKMAPSEKAQRELADELRARVAEEFINRRQETEWFVEGDFDTYVKQIQKPHVWGGEPELFMASHVLQMPITVYMKDEKAGGLITIAEYGQEYGKEDPIRVLYHGFGHYDALLIHECKAPTHKSKL >A09p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2854078:2854524:1 gene:A09p005230.1_BraROA transcript:A09p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative defensin-like protein 70 [Source:Projected from Arabidopsis thaliana (AT5G54225) UniProtKB/Swiss-Prot;Acc:P82792] MSIAFTLTVLLVVSSIHCQTIEIATGTFAQDEPSSTLCFNPCSDKLGDKECKTICLNKAYKDGSCIGFGIPPTSKYCCCTK >A02p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2606648:2608600:-1 gene:A02p006170.1_BraROA transcript:A02p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTHESIS POMOTING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT5G14530) UniProtKB/Swiss-Prot;Acc:Q9LYK6] MSLTELDDGLVRSMAIGAVFSDFGGKIRSVGFHRTDDLLVTSSEDDSLRLFDIANAKSRRQVKVTYHKKHGTDRVCFTHHPSSLICSSLYNLESTGESLRYLSMYDNRILRYFKGHKDRVVSLCMSPINDSFMSGSLDRSVRLWDLRVNACQGILHLRGRPAVAYDQQGLVFAIAMEGGAVKLFDSRCYDKGPFDTFLVGGDTAEVNDIKFSNDGKSMLLTTTNNNIYVLDAYHGEKKCGFSLEPSQGTSLEATFTPDGKYVLSGSGDGTLHAWNIENPSEVARWENNIGVASCLKWAPRRAMFVAASTVLTFWIPNDGEAPAPADPSADQQRPQ >A02p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2557233:2558868:-1 gene:A02p006030.1_BraROA transcript:A02p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEPSPVVGEETRTSKRIKKRKHIAMDDSSPSNLETSRKQIRTKTKKPKFLSLKLKLNTSHEIDESPVTTTNKKKQSKRRGGAEKKRVETVGGGEKEEDQYDTVAAYLFNSTTDNSTISSIHNLLPSSAADVDCGGGGRDMSPYDIKEHGSTSASSLLRTAMRKGASKEETTAERWVSYSEVVDEVMSRSGTPRCCGGDGNDGRTSLALKLDYEEIMEAWPDKGTLYVDGEPPQTVPDLHASTDVVTGGGEAGSLWTVPEMETTETLWRGHREASLLRYKEKRQSRLFSKRIRYQVRKLNAEKRPRVKGRFVKRDDL >A10p014160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4331679:4333255:-1 gene:A10p014160.1_BraROA transcript:A10p014160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEIKSKEVETSEKIRGSAESSQIKEVEVMVQKVDIGEEENIEEEIKVKENGWSEVSPAKAEEVKTVTLQSNTSVIEISASKFSVLMDEEEEGELLEEIQEKEEMETLESGSQEDDIIDQRVKEWEKLGEKREAEAGRYDVEKSTDVFGFYFYFLNAVYGVYTITSKSS >A08g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17123065:17125245:1 gene:A08g509000.1_BraROA transcript:A08g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYRWDPGIVGDVGQGIEFHYGKNKGILRWGSLSNLRGSDVGLGEWIQGILRKLGICASWIWILLINTMESYDYTGHISEVLNTRILICFKEIGGIIYGSEMEGNWCFLIYCGFDNIFFWKIGDLRFNQGITVAIFGINGGKYGRGEMENWIKYKKSWGSPLCCITLLNALGRTVSVDLDNSRVQVVVNAFQQLCFETTVDFKGGEFYEGEEVAISLRYEKLFGFCPICSSLCHKEEKCPLAKPEVKTSPARKRETGAGNGGWFEVGKHDDRARSYKGVVINGNQSHQHRERDHRDYYGKGKGKVVEENDSKWVKVAEKGNKGAFHNRGNYRGDGDGSRQRTSRREEPRMTGQDGRLKAAVGHTGDQRSQRGSRVEAQEEGEITNAEGTDKHLPSQNFQEELARTQATGTEVVSDPMDAENGLQMVQSLVENTTALEEDRVMDMDEIKAVFLEHGIDMDAADDLQDGSDGEFEKAVLELEQENGENVHAEEELATGEEEKLMEDGELAKRQGTRKRLFKTTIGTAASTKLRSASAMVSPRKRGASKPGTRHGEMGKQMEIKGTSNPKTGPQKP >A03p030200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12664737:12665894:1 gene:A03p030200.1_BraROA transcript:A03p030200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKATETSLDEVDRTVYASFRTAANSLSQLYTQSMNHQKLSFQAGERHGLEKLYQWIWRQQEGGSRVTPVDIINYIQNELECCTEEPPISPRAPPPQPTMHVTNSGLIASSGTSCPTAVPAIRSEQCENQAKNSVFSNALSSPIRRSLQNYQIPQGGYISGGTRSSELNRGSDSPSSFDSSMDMHAE >A09g514670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43728643:43731827:1 gene:A09g514670.1_BraROA transcript:A09g514670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTTLEWARLRGRSKTGAAKGRSDGQKKENRFQIVIIKLRIILGIWDLHRGSCWSKKDDGVSPCSKNVDANKDEEGMKFVEQPNVHIGRHPRNDAAQDGLWAPKEVRIDREGLGPFRMEDSVPTRKRGRPRKIPSIDADRLRSVTGVCRCGTLMHANQGTHSVREYTEEFLETTKRCKPKSAEGWCQGIKAELREEIQGKLLDSRSGSGGRKGTYRWVVAISSFKEEMEVEEDLRKRSIMDERTSGECTEPCKCEILVQIVHRPRLVQEYTKEFLDMTEKCKSKPAESGEDDREGDGSKGVVVKHVIYVTSAEPKEDPSEEILERSSAEKSRRQEALAVEDLSLSLSPRAASPSFLSPHRISPLSLSLLAVCSREWWWWPRGVVDLRSHEPPRNGWGVWEMNRNRIRTTEGLVGSMGKRGGRSVQKRQVRIEVPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDPTGTQQERGGLVRLSCVVTSRFSFRIERTISGNVDSKKGNAPETHGTRNGTHGDVGKIDMCVLNSAPWNPGWKWGGTGVSAFIYSQGRGICCYWCIHLMCRARTIPSKIMAVDFI >A05p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18424067:18425422:-1 gene:A05p031520.1_BraROA transcript:A05p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYIRVINITVIGVISWGLIFILVRRIFSNYSFDFSTRIVSTLHATVAVVLATLTIQDWPCPVYPIASTSSLQQMETMAFSLSYMIYDLICSHFDQVLSIDNAVHHSVCILGFVAGLCYRKCASEMVAAIWITEISSPFLHLREILKEIGYRDTDLNLAADVCFATIFSLARMVGGPYLVYVTMTADNPILIKAMALGLQLVSAFWFYKILKMMRYKIMRRSMPNTKSD >A06g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22006763:22009984:-1 gene:A06g507910.1_BraROA transcript:A06g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPPRETGITRRAVADLQAQIESLTAAVAALSTQHATPVFRQERNNQTAIDDEFEEDKNPLSRLRCQPLIRNNNNNDSYFDNKYEDLDIKVYDTRDNDNSYVVQLGGPIFDVSDTEEEEENFSEQNFDPIFDVSDQDDTENFSTHDVANEDVTEIAPIYDMFEEDEMNQVIVGKVEDESIKFNESVYAEEILTFSNEAFVKSNDIIPDFNLKDTSPLCQTMKETLGQSDNYFWKTNRSNQREDHMDVPKPELIPICDERAGNTFLDLQKKHMNYGAWRNNFNRHINRCVRQQWSTGSMSAILKTQRCLIGEEFVSILQQCVVTPVKQRNDQYWHLHRAHMRLLKKEEVEDCNDEKVGGDVYPTSDEDSSEQTIISLGLEIPLHHSLESILILNKVFLASIHVEIHKNELYMATLVSGRSLHAGESHSYVDVLFERNTTFLACWTNVIMVNWNINESSDSYLKNLIKENHAEVLTAIYDISFLRRKGSLESVFYWQVRHCGFHKLRIWDVDKLLKNLNDISTTATWRSYVTCVSSKYLWEAYSCVIWFHCNTIFLPLPSWIDFSTLLGAISQEVFPFQDPWNKVFQLLANYHLFHVKLCGLVQPPYIILWSLLKILLRSINGEINNHCQFLDFKTCEKIFEDVRRYACGVEWDWHFMKTDSFNLLLVNMQLGVVQSSRFFVDSFSHHVNQVGCSTIYLIIIQEEFTLKHRLWRMTVFFPLSLLLLGLCFDVNVGKLGVMRTTSFRFSYDDLMEIGVWCGEWFFRWVWPPSYLRGLVLMGIVDLYGAILPTRAFLQKVIIKEYLQLRDRMHFVVLFPREKTVMCFVNQCDKVLGAKVLEHLEFWVSVHDLHSTRRTRFLHGTRRTRFLHSTSYVIWLEQDECTCDQAPVTLSWKIKRTYEVVGGSEERDKNVSQMVLFNVWERRFPFRFEVESFKPGGT >A03p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15698834:15701354:1 gene:A03p037510.1_BraROA transcript:A03p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHTVRSPATHLHTGTSRNISPSHRNPNDAIRFSFRSPKLRRFISVSSSLREGNEHGKENSVRALEVKKIPEDSPLLPKPLSSNQLAEPVSNGSRVRVAYQGVRGAYSESAAEKAYPNCEAVPCEEFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHNLHIVGEVKLAVRHCLLANHGVKLDGLRRVLSHPQVLDQALAQCENTLTRLGLVREAVDDTAGAAKLIALEGLSDAAAVASAKAADIYGLSIVAEDDSDNVTRFLMLAREPIIPGTNRLFKTSIVFSLEEGPGVLFKALAVFALRQINLTKMESRPLRKTPLRASGGLKYFDYLFYVDFEASMADEVAQNALRHLEEFATFLRVLGSYPVDTTML >A05p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26568510:26571623:1 gene:A05p044230.1_BraROA transcript:A05p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLNDHQEFEQVEAIDDLLEDFWFFDNLLGRRSTILRYCHSDPYPFSPSSPSSSSTHPKPEVLNTGDSVLEKKLLEALTVEDSVPPPCLEKEEGGGETEKMKKITRQFSEKIRAQERTTYLQKKEPVVHREKGVRESTKKNRTGRSSCNNKSVPMGGSLQRTQTLPTYIGREGDRNEFDDQESDDSRMGYLIREAIASSSSGFTTPTKQNTPKMSSIPRHRPPRNSRSEETIQEMAVKSQGSKTLRKTLSSIDTKEIMTLKELDITEPEKKQEKDDEEQRRVVKSRSAVVVGQPIPVWVPNESRRDMKAQIKFWARTVASNDVNARDNKKTPTAKKMSVLQSHQCLFSFSLPHRLRTPRLISLYRPPESTSPLPSLSAFTRTRPDRIRVSAAEETSDVAEDVEDGPVELPPSPISPFSTTNSIFATSDDPSPLQLATSVMLTGAITVFLFRSIRRRAKRSKEMTFRSSGVKKSLKDEAMEKLKAMGSAPIEEVGGKSTTPSAAQAFLGAVSAGVIAVILYKFTTTIEAGLNRQSFSDNFSVRQITVTVRTIINGICYLATFVFGINAVGLLLYSGQLAFNEESDEAAMKATTETGDSSGNNNSEVNKSNEDQSTSD >A09p047180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40975424:40979060:1 gene:A09p047180.1_BraROA transcript:A09p047180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFERFDDMCDIRLKPKILRNLLSDYVPNEKQPLVDFQSLSKVVSTISTHKLLSEDQKLHAKSKSAVDEWVERLLALVSSDMPDKSWVGIVLIGVTCQECSSDRFFSSYSVWFNSLLSHVKNPESSRIVRVASCTSISDLLTRLSRFSNTKKDAVSHAAKVILPIIKLMEEDSSEALWEGIVNLLSTIVILFPAAFHSSYDTVEEAIASKIFSAKTSCSLLKKLAHFLALLPKANKGDTASWCLMMQKLLISINVHLDNFFQGLEEETTGKKAIQRLAPPGRDAPLPLGGQNGSLDDAAWNSEQLIVSRVSALMYCCSVMLTSSYKCKLNIPVASLISLVERMLAVNGSLPKAMSPFMTGIQQELVCAELPTLHSSALELLRATIKSIRSQLLPYAASVVRLVSSYFKKCLLPELRIKLYTITKTLLKSMGLGMAMQLANEVVSNASVDLDTKTVEGSDVVSSTNPRAVVKAGGKKRKHLTNTGVEAENAAFEVGIPHNHSSSPISLKIAALEALETLLTTGGALRSDSWRDRVDKLLMMTARNACEGRWANAETYHYQPNKSAADLVEFQVAALRAFLASLVSPSRARPAYLAEGLELFQTGKSEGEMKVAGFCAHALMSLEVVIHPRALPLEGLPSVSDQFPESNSLASLKHNTPNLNNGDNLFKEWTANVDVPSNNEILRNVESTLALQEAKRLKRGNDLAIVDSLSGQDHTNIVGSENVQQADVNKKVPESPKEPLGHVSERDDMVPEEVYRQVVCETQEGEGLAVKDSIMEEAVVVKKRESLDDESDDDSIPSLKADDYLSSDSDIES >A05p049480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28806322:28807499:1 gene:A05p049480.1_BraROA transcript:A05p049480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTHDSCPLVKNILLLDSEGKRVAVKYYSDDWATNAAKLSFEKYVFSKTSKTNARTEAEITLLDSNIIVYKFAQDLHFFVTGGDDENELVLSSVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGVVLETDPNVIAGKVAMQSTEASGSLSEQTLTQALATAREHLARSLLT >A03p023110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9748877:9750507:-1 gene:A03p023110.1_BraROA transcript:A03p023110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0-1 [Source:Projected from Arabidopsis thaliana (AT2G40010) UniProtKB/Swiss-Prot;Acc:O04204] MAVKATKAEKKIVYDSKLCQLLNEFPQILIVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVKLHAQKTGNDGFLNLMPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVESVYDNGSVFDPEVLNLTEDDLVEKFAAGVSLVSALSLAISYPTIAAAPHMFINAYKNVLAVALATEYSFPQAENVKEFLKDPSKFAVAAAAVAPVSGESGGAPVAAAVVEEAAEESDGDMGFDLFG >A06p035230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19042180:19045507:1 gene:A06p035230.1_BraROA transcript:A06p035230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPRVLYPRRFHASPSQYTAAAAPDLRDKLATLVDRNEKVKKAYHQLQSQIASGLAEAGEVFESLAIPLMKLVGLKTSEMESEGRHSTFIFNTERHHMDDTSQNGARSDDLNNQIRRSKEENYAAKVDSARKEIVHNHKGQLRQLVHMLRQIETQVNSHRGDIVQMLDDGRNSFQEFIQKSLYYLSSVHSRNDDTFPATVKLLRILFNNINELLGSVDTGVTDLMQALSKNMCNPMSKYVGNLAAEVKGGPCVQLMKVVNEMERANADTRRELEDARERIRLAEERKMEALSRLRKAEDQVQRMTSSARFLLPSSQKKQEEHSVNGKRICTEGSRESEEKLLWNLLSKRRKHQEPESPMGPKELIRQAGTKHNPLVQSRRMTRSQTRLSSPTGRPDALIPLGVSPSAAKLLATFIVYGSGAVIRACTQAYRQALANASKSGVAQEAMHSLKRGIRGLTEPEARQILGVTDKSSWGEVLKRYDNLFERNAKSGSFYLQSKVHRAKECLEAAYLKKP >A07p005820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1946883:1948422:1 gene:A07p005820.1_BraROA transcript:A07p005820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYTMAGSKGPNSYSQHSTYQRALLEVAKEKINEAISTKLNVNSASSRFNIADFGCSTGPNTFLAVQNIIDAVEEKYRKETQQNPDSNIEFQVLFNDHFKNDFNTLFQTLPPTKKYFVAGVPGSFFGRVLPRDSLHVGHCSYSLHWLSQVPKGIADRNSPAWNKDIHCTGFSEEVAEAYHDQFKIDMGSFLRARGEELVSGGLLFLLGSCLPDGIKMSETMKGMLLDFMGNCLHDVAKEGLIDQEELDSLNFPIYPAHVAEFKSVIEDSGCFTTEAFERISHANEELPLDPEFLVTSHKITFGGILETRFGKEAMEKTMERIEEKCQDILPQLANAKSGMQYFIMLRKK >A01p058880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33664172:33669201:-1 gene:A01p058880.1_BraROA transcript:A01p058880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRDGNRYIGLTGRYKLESGTCNVCSAPCSSCVHRNAGSVSEESPGENSHGVVASQCSFNEGDLLRSSRLNLVHDTSSEHSESQEVVRSSSDHQVKKSVIVESSTHSVIGMVGESGENIVLNKAEERNTSAMSESESADSEPQEVDVKICDTCGDAGREDLLAICSRCSDGAEHTYCMRVMLKKVPAGDWLCEECKFAEQAEKQKRDKESKRKQESEANLNTQSSSKRPIDRPEAAPDAKRQAFEAPTGSPKKPVLPRLPTFSRETSFKRLEKTTRKLAHHSSFNSHSSDDTESTRSTDSQVQSPKACAGSLFKSKSFNSSSSRPKVRPVDDVMPKEGLSRKLGRSMSTRCIDVGSSGCNDSRVQGSKQLKDRSTEASGVDQKLISRGNSSSSYANSARDLKSLQSDGKEGSLTKKARHLSRNRLEDIVASVGDTHTNENSKDAVGSRRRSSLKDLSSQKIQTAEPAETSCSSGSNLSTTRNISEDVNKGNRLRAAVDAALRKKPSFGKNRGLEQSDLPSVSNVDSSCDRALQTFPSKVLRDWPVGLQGGQEIAEYRKQSTLAGADAMAAPQSLEPAVHLHSVKPVIRDLPVGLQRGHPNLNTDKQTIVVNRKQSTLAGTDAIAAPQSVEPVVHLHSVKPVMRDLPVGLQGGHPNLLTDKQAIAVNRKQSTLTGADAMAAPQSVEPAVHLHSVKPVIRDFPVGLQRGHPNLQTDKQTIAVNRKQFPLASADAMAASQSVEPTVHFHSVKPVMSDLPGVDPSVLSTTSAIPEPEYIWQGEMEVRKSRNLSAMHCGMQGYLSTLASPKVAEVVNQFPVKVTLNEVPRLSTWPSQFQDIGAKEGHVALFFFAKDIVSYERSYKPLVDNMIQKDLALKGSLEGVELLIFASNQLPRNCQRWNMFFFLWGVFRGKNKKCSDPLKNKPLPASNVLPNMGRVFSTREGFYNENPSNRESLQSCMKEENAKEGEAGGGDSGAVEETEEGEIGSCPQLKDEKISGPRRVNSSDVNHNVDMDDLNSEGLCEGPANKKLKTGTGVETECNIFRRDTSGPRPREEENVIKKNPVATERIVFPLDLNDGKEEDTVMVDNNPRALGDDKNKQRPLGMVPNLELALGEDETTTTTGVLLPFMAGPSASEKHKSSNSQKAEEENAASLSLSLSFSSLEKEQQQQQRQQQNQRRVSGWEQKKQNVNTPMFLFRDFPDKSS >A08p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9548205:9550777:-1 gene:A08p014280.1_BraROA transcript:A08p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKQELPVSDKPLATVESPMTETIKKKKKKKSKHSEETQEVEVPQEVTNGEELSNKEKKKKKKRKREENEMENKKKKETDKVPEDSGVSNGGESEQKVVVTGKDVEEAKYAALTSFAESKLPENVLDCCKTFQKPSPIQSHSWPFLLDGRDLIGIAKTGSGKTLAFGIPAIMHMLKKNKKGKGTRNPTCLVLSPTRELAVQISDVLSEAGEPCGLKSICVYGGSSKRPQINAIRSGVDIVIGTPGRLRDLIDSNELRLSDVSFVVLDEADRMLDMGFEEPVRFILSKTNKGKWLRNQQLIATWPMEVHKLAQEFMDPNPVKVVIGSVDLAANHDVLQIIEVLDDRARDQRLVALLEKYHKSQKNRVLVFALYKVEADRLERFLQTRGWKAVSIHGNKAQSERTRSLALFKEGSCPLLVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAKQVVPADLLKFGTHVKKKESKLYGPHFKEIAADAPKAKKITFADSDDED >A01g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5357289:5359790:-1 gene:A01g501410.1_BraROA transcript:A01g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAPEFNQIPPKTLKLQAFHSLPSSSSCFRNLESQMELNFSSKALTPCFGVTPTTGTLLNPSKSLCLASHHPLPTVSFSLSSSPDALKLTTSRKVVAMSTGSSRDLEMSNLTALSPLDGRYWGKVKDLASSMSEFGLIYFRVLVEIKWLLKLSKIPQVTEVPSFSKEAEVYLQGIIDGFSMDDALEVKKIEKVTNHDVKAVEYFLKQKCESHPEIAKVLEFFHFACTSEDINNLSHGLMLQEAVCSVILPSMDELIKSISMMAKEFAYVPMLSRTHGQPASPTTLGKEMAIFAVRLSEERRYLAETKIKGKFAGAVGNYNAHISAYPDIDWPHVAEEFVTSLRLTFNPYVTQIEPHDYMARLFNTISQFNNILIDFDRDIWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELTFLSMKLPISRMQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIRKLQVNEARLKEDLDQTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNEESIREFIKGLDLPEEAKTQLLRLTPHTFVGAAASLALAVDDALQFGH >A10p021870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14558898:14562479:-1 gene:A10p021870.1_BraROA transcript:A10p021870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETO1-like 2 [Source:Projected from Arabidopsis thaliana (AT5G58550) TAIR;Acc:AT5G58550] MRNLKLFERFKSTQIHAFTSSQDSPSTSTNGSSSSPRMNFLRHPKPTSKSFSRSLLPHGFPTTDLLEPPLDSYLKPIDLVESLSNLYRRIESSSESETSMLYLEQYTVLRSLGDAKLLRRCLLNARRHAVDVPCKVVLSAWLRFERREHELVGVESMDCNGFAIECPKSSLSRGCDLNLVNEHCKCCEEEEIKVSRADEFSGLDEESDFSFCVGLEKAKCVRSRIASLSRPFEAMLYGSFVESRASEIDFSENGISVEAMVALNIYSRLKRVDLFRVETVFELLGLATKFCCDDLKSACESRLASSITDLDKALTFVDYALEERAELLLSACLQVFMRELPQSLYSSKVMRLFCSSEAKEGLSFLGSECLFMLYYFLSQVGMEERLSAEAMLVLLERTREFARANWQKAVALHQMGCVLFERKDYKAAQFHFRLASSLGHVYSLAGVSRTEYKQGKSHSAFKLMNYLISKHKPRGWMYQERSLYNVGDDEKLKDLATATELDPTLTFPYKYRAVMKFERKQVKEAFEEVDRLIQFKLTPDCLELRAWLFLAVGDKESCLRDIRAVLTLEPKYVVFGGRMRGDLVEALTAQCSEVDCWVKLYDRWSAVDDVGSLGVVHQMLQNDPSKNFLRFRQSLLLLRLNCQGAAMRCLRMAWNLAASEAERLVYEGWLLYDMSYVDEALTKAEKAISIQRSFEAFFLKAYVLAEKNLDPDESSYVAQVLEEALKCPSDGLRKGQALNNLGSIYIDCSMLDQAETAYKNALEIKHTRAHQGLARVYFLKNQRKEACEEMTKLINKAFSKAAAYEKRSEYCEREKAKEDLDMATTLDPLRTYPYRYRAAVLMDDQRETEAVEELSKAIAFRPELQTLHLRAAFHEATGKLSLAAQDCEAALCLDPNHTETLHLYSRSKDQASSIDNTIAGLD >A05p041450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25187923:25188636:1 gene:A05p041450.1_BraROA transcript:A05p041450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantothenoylcysteine decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G18030) UniProtKB/Swiss-Prot;Acc:Q9SWE5] MESGKRNREDMEVQTTHRKPRVLLAASGSVAAIKFGNLCHCFTEWAEVRAVVSKSSLPFLDRLSLPREVTLYTDDDEWSSWNKIGDPVLHIELRRWPDVLVIAPLSANTLGKIAGGLCDNLLTCIIRAWDYSKPLFVAPAMNTLMWNNPFTERHLLTLDELGATLIPPIKKRLACGDYGNGAMAEPSFIYSTVRLFWESQAHQQSGGTS >SC386g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000228.1:264:2295:1 gene:SC386g500010.1_BraROA transcript:SC386g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTGWGANCWGKKRLRRNYHPKILRDRISERKYSLGFKPNDRPARSLRSNKARKPKLGPLRIAKLSYPLGRYVAPSSSQSRRAIVRIGWSRLRSDRARAKLGRYVATDPPPRSSPLVGNPATSDQPSPTRSLRSDRAIVPLCRYVATELEPKLGRYVATERSSRSRPSDRSARSLRSDRARAKARSQRSDRAFVPLGRYVATELSQARSLRSDRAIVPLGRYVATERSSRSVATDRALVSLGRYIATGLEPKFGRWVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKIRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLKLGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRILVPTILFKITLILSLKCSDSLSFLHALLFINHSLESFLNLPFLQLVPPFHLSGFQFISHTSQ >A09p003760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2193630:2193971:1 gene:A09p003760.1_BraROA transcript:A09p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P2-3 [Source:Projected from Arabidopsis thaliana (AT3G28500) UniProtKB/Swiss-Prot;Acc:Q9LH85] MKVVAAFLLAKLGGNESPTKDDLKNIFESVGAEFDEVKTDLFFSLVKDHDLAELIAAGREKMAALSSGGPAVAMVAGAGGNAPSAAEPVAESKKVEEEKEESDDGEGMMSLFD >A03p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16913591:16914372:-1 gene:A03p040540.1_BraROA transcript:A03p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRINHLQIESQVHELLDYPVCTNQITSTIYQCSNDYTGEVNNDKENPYNCPYSGSKCTATGDTQWLLQHLRNDHHVEMHDGRSFSHRYVHHNPKHLHHATWMLTLLDCYGRQLCLYFEAFHLKKTPMYIAYMQFMGDEEEATSFSYTLEISGNGRKMTWQGVPRSIRDSHKTVRDSQDGLIITRKLALYFSADNSNSKELKLKISGCVWREH >A01p010630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5202542:5206064:-1 gene:A01p010630.1_BraROA transcript:A01p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome E [Source:Projected from Arabidopsis thaliana (AT4G18130) UniProtKB/Swiss-Prot;Acc:P42498] MGFESSSSAASNMEQPQQKSNTAQQYSVDAGLFADFDHSVYSGKSFNYSKSMISPPNNVPDEHITAYLSTIQRGGLVQPFGCLIAVQEPSFRILGLSDNCIDFLGLSLASTSQPNHFTVKGLIGIDARSLFTPSSGVSLAKAASFTEISLMNPVLVHSRTTTTASHKPFYAILHRIDAGIVIDLEPAKSSDPALTLAGAVQSQKLAVRAISRLQSLPGGDIGALCDTVVEDVQRLTGYDRVMVYQFHDDDHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCNATPVKVVQSEELKRSLCLVNSTLRAPHSCHTQYMANMGSIASLVLAIVTKTKNSSKLWGLVVGHHCSPRYVPFPLRYACEFLMQAFGLQLQMELQLASQLAEKKAMKTQTLLCDMLLRDSVSAIVTQSPGIMDLVKCDGAALYYKGRCWLVGVTPSESQVKELVDWLVENHGDESTGLTTDSLVDAGYPGAVSLGDKVCGVAAAGISLKDYLIWFRSNTASAIKWGGAKHHPKDKDDAGRMHPRSSFKAFLEVAKSRSLPWEVSEIDAIHSLRVIMRESFTISRPVVLSSGGNNGVVGGRDASELTSFVCEMVRVIETATAPIFGVDSNGCVNGWNNKTAEMTGLGAGEAMGKSLADEIVQEESRGALESVLSKALRGEEKKNVMLKLRKFGNKDSSSSDVCVLVNSCTSRDYTEKIVGVCFVGQDMTSEKAITDRFIRLQGDYKTIVQSLNPLIPPIFASDENARCSEWNAAMEKLTGWSKHEVIGRMLPGEVFGDLCKVKCQDTLTKFLISLYQGIAGGGNVPESSLVGFFSKEGKYIEASLTANKSTNSGGKVIGCFFFLQIINKESSSSSPEVKESAQSLNDKLQMETEEFRLESVLDTIISQVMIMLRERKSQLRVEVSQEIKTLPLYGDRGKLQLILADLLRNIVNHAPFPDSWVGIKISPGHKLAHDNNPYIHLQFRMIHPGKGLPSEMLSDMFETREGWVTPDGLGLKLSRKLLEQMNGRVSYVREDERCFFQVDLQVKTRLGVETGSSI >A03p000170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:222396:222732:-1 gene:A03p000170.1_BraROA transcript:A03p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFTVHTLWRKMNKRRYGESAVTAPVLVQRLDKAMRNQFSVIRRRGDRDYEDCMAMWFESRELG >A09p069700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54249792:54252084:1 gene:A09p069700.1_BraROA transcript:A09p069700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDHQQQKKEEEALPPGFRFHPTDEELISYYLVNKIADQNFTGKAIADVDLNKSEPWELPEKAKMGGKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEILNSTTSELVGMKKTLVFYRGRAPRGEKTSWVMHEYRLHSKSSYRTSKQDEWVVCRVLKKTEATKKYTSNCSSSTSHHHQSHTRASILSTNNNNPNYSSDLLQLPAHLQPHTSLNINQTIMANAVHLAELSRVFRASTSSTMDSAHQQLMSYSHMPVSGLNLNLGGGLVQPAPPAVSLEDVVAVSASFTGQNGFGNVEMSQCMDLYGYWPSY >A10p039360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21808523:21810778:-1 gene:A10p039360.1_BraROA transcript:A10p039360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLRVHPSLYNRRISFLFSPSSRNLSTASLSLNSNQTVTEPLAKSELESIVLKQYSHGKFYNLVQNAVALPSVLLTACQNLSSSAELTDRVSRRFSVDEMGREIREGRFDIPSCCVGFESESLVLPNLKLKVLIEAVRMVLEIVYDDRFATFSYGGRVGMGRHTAIRYLKNSVENPRWWFRVSFVREVFSDRNVDRLCVFVEEKINDCLLVEMIKKLFEFGVLRIELGGCDKGRGFPQECGLNSILINVYFDGVDKEIHDLRLKMKLKNPQEDATGSDSVFYKPVNVYAVRYLDEILLITSGSKMLTMELKKKVVDVLEERLDLRVDRVNTSIHSAVSEKISFLGMYLQAVPPSVLRPPMSEKAVRAMKKYERQKEVRRVEIRNAKERNRKTLGLKIFRHVLKKLKQSNGFKCEYEIENEVRDIFRTWGEEVMQEFMGSLEERWKWHWLLTRGDFLSLRHIREKLPQDIVDAYDEFQEQVDKHLSPTQARKELDDEERRVEEEEEQRYAERTVQDLTKLCMKVSAPEELVRKAVKLVGFTNNMGRPRPISHLLALEDSDIIKWYAGVGRKWLDFFCCCHNYKMVKIIVSYHLRFSCILTLAEKHRSTKREAIRHYTKDLKVSDHYGSEEVYFPLEREVKMMGDKNLSDPRPVDGTLSLLLIRLASDEPLHSCAASFCERSDTTMYRVHLLQNRLQINPLDEEKWVRGMGTIHSALNRKCLPLCSAHISDVYLGNMTLQDVDGSSFIDLK >A09g514560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43458788:43460525:1 gene:A09g514560.1_BraROA transcript:A09g514560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIYVKSGEWMSSCSEEWTFVVDKEKRGRMLFIVELSNRLVINSQRLKLTWGRSHIPKHGQYGWKYQQGSVAQSVLLTPPMQQQYYMHPAPPNQDKLYYPSMDPQRMGGSSSKWTFVVDKEKRGQMVTLETTTSLEKLKMMVYEDYGMDHNVVNVELVINSQRLKLTWGRSHIPKHGQYGWKYQQGSVAQSVLLTPPMQQQYYMHPAPPNQDKPYYPSMDPQRMGGSSSSENNIAYMMPPRQP >A06g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27284930:27286090:1 gene:A06g509470.1_BraROA transcript:A06g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLSDTPVPPVFVHRCLVTSYPYVSTNDPSSVDKAFPTVDVITCDRTIPPSSSIKHYKSSSAQSSSAVWYPSNVSFRSGWSMGDVSPSSFTAWARPMENIQDVSSSSSPAFVGKVWPIINFMVWVLLARVIRSSNGFIGILNRIFQRLMFYLSFLKSSISSSSSCEERRLPLYLLSVKGAKVSVSSPSSLFSLNTILLFCVAVSTGPEDANENTSGFLVGAGWSSTSQSKVTNSQVADFVVQAPLTHSSSASISLSSSHEDLSALFNGMQQVNVPPGARLLIIDPYQEESKPQVRKAVF >A10p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20353979:20355544:-1 gene:A10p035480.1_BraROA transcript:A10p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKMFFLFNKFQQGVGVLAKSTTFAKNPRQLQYEADINKLFMFTSYNRLGRDAEEADAEEIIEMAGKASLSEQQKQVQENIHYQLENFCASMDEILLRDNDKTEELKADSVTTADKPLVPETKPLKLAEVSKLLKDRIGYTLEVKPSLIPHKDAGQGCFIEGEADVGALLAFYPGVIYPPGYPNVDAQNSYLITRYDGMVINAQPWGRGGESRQVWNGSFTTPEVRTDAKAVEAVLEMRNPLAFGHFLNHPGKEMDPNVMVCPYDFPLSEKRMRAYIPNVALGDAHVLKTLVLVSTRALCNEELMLNYRLSNSERRPEWYTPVDEEEDGRRSN >A07g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8431444:8431842:1 gene:A07g504280.1_BraROA transcript:A07g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETTEKKCSESGRRGHGEEEVRGVGASKISTVVLFGVVVFGCLKLLHFVDELKSFKVSKTLRVQSTTYVRLESKSSGLRVLIMSCKDVFL >A02p055100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33357764:33359776:1 gene:A02p055100.1_BraROA transcript:A02p055100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLHLHLHRLSLPQSSTRFTTPPLPSSHLRRAAPSPTPLTTKSFPLSSTSELNSSKATTFRPPIVSKSPITCRFSQSDITPQLEVSKGGDQRKPQKRANGIFWIILINLGVYVADHFFQVRSIKSLYLYHNFPAWYQFVTATFCHASWNHLSSNLFFLYIFGKLVEEEEGNFGLWLSYLFTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIERVMEAAQASAGLSGTIYGGYSLQTVNHIAHLSGALVGVVLVWLLSKFPSETVDQDVQRSPKK >A03p041630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17377753:17378859:1 gene:A03p041630.1_BraROA transcript:A03p041630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGLGLEITELRLGLPGTEKMMKKRGFTEMIMTSSGSNSDQCESGVVSSGGDVEKVNESPVAKSQVVGWPPVCSYRRKNSCKEVSTTKVGLGYVKVSMDGVPYLRKMDLGSSQGYDDLAFALDKLFGFHGIGVALKDGDNCEYVTIYEDKDGDWMLAGDVPWGMFIESCKRLRIMKRSDATGFGLQPRGVDE >A05p024560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11951457:11957678:-1 gene:A05p024560.1_BraROA transcript:A05p024560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDELSLGQRRHSSIPTTICRSKIMNVAVEQGCKLEEHVQKLEEEKRKIQGSELELPLCLQMLNDAISYLKKETETDTQPLLKDFISLSKPFREEHDEELFKEKKFQLWRKNDHISNARFSDTLEIEEKSSNGLYMLLSPGMTTQKVETGLGLGLTSSSMVKGRRKLVASSSVPHPPPYLQQQALRKQRRCWTPELHRLFVDALQQLGGPGGIKRQIHLLVLEITVATPKQIREHMQEEGLTNDEVKSHLQKYRLHIRKSDSNLEKQSVVVLGFNLWNSSQEEEESGEGGETSKRNNSQSDSPQGPLQLPCTTTTTCGDSSMEDAEDAKSERRY >A01p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25600048:25602506:1 gene:A01p045270.1_BraROA transcript:A01p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVRSTLTPSRTPQSFFTRTVSAKTQAFASVSFLRTLPEFKGYPKQCSVVMSCQGNAQSQQQLSLDDLVTSNRKGEVLGTIKDSLSNCLSDTNLLETVPGLKSRIKGKVRDIYDAGDYVVLITTDRLSAFDRNLASIPFKGQVLNETSLWWFNNTQHITPNAIVSSPDRNVVIAKKCSVFPIEFVVRGYVTGSTDTSLWTVYKKGVRNYCGNALSDGLVKNQKLPANILTPTTKAEDHDVPISPAEIVEGGFMTQAEYDEASMKALSLFEFGQRVAKEHGLILVDTKYEFGKSSDGSILLIDEIHTPDSSRYWLAGSYEERFRKGLEPENVDKEFLRLWFKENCNPYEDEVLPAAPAELVTELAWRYIFLYETITGSRIDILPTQEPIHDRISRNTSQALSSLRQL >A04p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4400308:4402491:-1 gene:A04p013360.1_BraROA transcript:A04p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIDKPFEDAYYTHKLWMFFRETKEKEEYIRRIFCEARIKMRKRITLKKKSDNGQFVIPCTVKDPYTQYDPIPVKKSQTLSRRINDPGVIAACHCGLEYETDYSASIKTHTATSIDSCHQISTDRRHEESSDSSSDDWENDYYNSALAAYTRQNFPTEEYDEDYEEERATEYKAIINEENKFLHHSSWKRNVPSIDATSSPSIDTQPPQRNGKRAWTDIPDYPSIDTDLIGSWADDHHHESYAVETVVYAEDELHEGFTDEELLSRQIRNETDQHLTEITWGTTRTSHPIDSAIRPSIDTHHQQSINNNNTTSIDNRPIPKTTDPDGHTKAINGRTLHLSREDIAHILQTANGTENLFLHHRNNPEHKVTKDFYDTADSIDNSFIHKSCHPSRPSIGATILVLVDRHHEFGRRAYYLYGNRKDEQGCARGMDGRIINISKENIRRLLERASKDEPSYICLPEHATFFLTDQAVTRDLHQGRDQ >A08p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4417009:4421649:-1 gene:A08p007500.1_BraROA transcript:A08p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKSTNITYSLFFFFGIFWSFHIVIMSQTDMKFCSSYFLVDPTKASVLDVILLLFFPNLTNTGFIDSPPDTLKSVRRTFATRWIIVLAVLIQKILMLLRKPFASIGRFLTYWPNLLTANGGFFKLILHVLTGKLVKPEESSATYVSFVGCTDRRVEIDKNISVGTIEYKSMLSIMASKVSYENKSFITSVVENTWKMDFVSYYDFYNAFQNRNLTQAFVFKASSTNPNLIVVSFRGTEPFDVDDWCTDLDISWYELKNVGKVHAGFSKALGLQKNGWPKEIIPLGHQYAYYTIRQKLRDMFAKNKNLKFILTGHSLGGALAALFPAVLAIHGEDELLDKLEGVYTFGQPRIGDEVFGEFMKEVVRKHGIEYERFVYNNDIVPRIPFDDKVLFSFKHYGSCNYFNSLYKGKVREDAPNENYFNLLWLIPKLLNGAWEFIRSFIIRFWKGKDYKENWIMRSIRVFGIIFPGASNHLPYDYVNSTRLGGLSRSFAATTPEDILALIA >A08p029350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18203629:18208012:1 gene:A08p029350.1_BraROA transcript:A08p029350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MPENVSEDVILLHGDLDLKIVQARRLPNRDTFSERMRRCFKPCNSCIKPTTDDYDGEASSDDDDENIPGLPRNTSDPYVTVSVPHATLARTHVLKNASDPVWNRHFKVSVAHPLSYLKFKVKDYDVSGAQTIGTVRIPVLQIASGERISGWFPVLGGSGKPPKKETALRIDLKFTSFDKIQTNKTLGGVMGTYFPLRKGSQVRLYQDAHVMDGMLPEIRLDNGDVYQHGKCWEDICHAICEAHHMIYIVGWSVFHKVKLVREPTRELPRGGDLTLGELLKYKSEEGVRVLLLVWDDKSSRDKFGISTPGVMGTHDEETRKFFKHSSVKCILSPRYASNKLGLFKQQASLSLQINVVLTCHWHGWYLNQVVGTLFTHHQKCVLVDTQAVGSNRKVTAFIGGIDLCDGRYDTPEHRIFHDLDTVFKDDFHNPTFPSGAIAPRQPWHDMHCRLDGPAAYDVLINFEQRWRKATRWKEFNLKGKTLWLDDSLLRIGRISWILNPKFKYRIDGVLDVPEDDPVVYVSNEDDPENWHVQVFRSIDSGSVKGFPKCENEAEALHLQYDKRLVVDKSIQTAYIQIIRSAQHFIFIENQYFLGSSYAWPDYNDAGADNLIPMELALKITSKIRAKERFAVYVVIPMWPEGDPKSGPMQEILYWQSQTMQMMYDVIARELKSHQSNAHPLDYLNFYCLGKREQLPDDMPATNVSGVTDSYKFQRFMIYVHAKGMIVDDEYVLMGSANINQRSMAGTKDTEIAMGAYQPHHTWANKGKHPRGQVYGYRMSLWAEHLGKTGDEFVEPGDLKCVKNVNEIAERNWRKFIDSEFSELQGHLIKYPLHVDIDGNVTSLPGYDSFPDVGGKIIGDHSKAIPDTLTT >A10p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9199574:9199885:1 gene:A10p005160.1_BraROA transcript:A10p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYSSGGLRVGDSNLRSSSRTTAPPVCGLSSCCRLSEAVGFPVASRFEPPFSLEARGNRGLAPLFPFPWGIIASLSPVRT >SC292g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000138.1:4696:5326:-1 gene:SC292g500020.1_BraROA transcript:SC292g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADYIQCSLTLSLYLFQVIVSLFKYSVVYMLGAHCSCLGLSVGLDHTQMACNKKKKDSTSDFYQILKTIADEDTDL >A09p001270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:971044:973345:-1 gene:A09p001270.1_BraROA transcript:A09p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSDFSSAFPHPRAAALATTSTSSISISQSPAIKFHSTNVSPSSSLLLASSSSSRRCFTCRFDNNSPRFDSSDGDYYNETESDDCLTECLADGKTEDGVVIISVEKLEKSSRRIRSKVGIEASLDAVWSVLTDYEKLSEFIPGLVVSELVEKEGNRVRLFQMGQQSIALGLKFNAKAVLDCFEKELEILPHGRRREIDFKMVEGDFQLFEGKWSIEQLDKGEASDLQFKDFPTTLAYTVDVKPKMWLPVRLVEGRLCNEIKTNLLSVRDAAQKVIENVIHDL >A07p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1717870:1718890:-1 gene:A07p006340.1_BraROA transcript:A07p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRPLTPVKAEEDDARIMSGADKTELTPALEASSSALLDAKDVNPLTLVVKMIGNCGNVPNPLLMKRRTRRKQKAHCYGTSSGYRKDNIKTVAPAVRQNATSSAATESEKNPIWFTLVALQDQNTDAPLPQTPSRYLRVKYVHLFISLDSIYYLAMKLNLESENEVELYLRRLPLDSSMLLSDLLGNWIATASPQTITTRMGASGADYMVLNRRKEKYLH >A03p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4365523:4367382:1 gene:A03p010940.1_BraROA transcript:A03p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKQFILSFSFLLSLPIIFFIFIAPHVFPSPPTEPLIPISDEISDQSLFSSAAASTSLSHLSSGYPNPKLKIAFLFLTNSDLHFAPIWDRFFSSHPRSLYNVYVHADPFVNITRPQKGSVFANAFIANAKRTARASPTLISATRRLLATAFLDDPANTYFAVLSQYCVPLHSFSYVYTSLFESATFDKSDPDPSPRGVRVLFRTFIELISDEPRLWKRYNARGRYAMLPEVPFEKFRVGSQFFVMTRRHALLTIKDRVLWRKFKLPCYRSDACYPEEHYFPTLLNMKDPDGCTGYTLTQVNWTGTVKGHPYTYRPKEVVPELIKRLRRSSHSSSYLFARKFTPDCLKPLLAIADSEKVLVLSRLQASGMRKLE >A05p039230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23972725:23977987:-1 gene:A05p039230.1_BraROA transcript:A05p039230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPALLLLLLAFVSIIPSSRSHGEWDILTEQNFSSQIRLHPHILLFVTTPWCGESRSLKNEITQLVHTSDEYGSLKLMVVYRNSEKVLAQAIGATNEITILYYHHSVPYNYRGKLRALNILSSLRPYLASPPEELPLKHLKSPESLKAFLESSDKALILFEFCGWTSTLLSELKRNVTEDNLWHGNFSKKVETDRVLKLRGKNNQKVAVTDHAKWKPMCRLQSGFGRVPWLEDFSYVNDTAALQENDRANGGSGQTCNHEQFKQFSSFLSKLIAAAKEFSLPPERQKFGLITKRSLASPFTVGASDSWAAVLQLAGCPHCSKILKAGDDIQRLLKMENPIVSELEDNRQDHESSLPANKPSVILFVDRSSGSLETRRRSMKALCTFREVAAQHKASDIINWGNDIKSQNSVSQADEESGSVSLQKTARSFKTIKLENKVSFVIMDGDKNVALDTIASGMEGSSLQELLTNLVHRRKEKKLSSIAKDVGFRLLSDDVHIKILDALPSQAEVIPGQDTSSAEGSSESSLEPRKGDVQNKVGMSSKEKDKMKPSESGSSSQDDKEQVSTNRSEQLVMDKAGVYKTKNVEEEIKVLLNSESKEDLVHSFTGLFFFSDANYKLLRGLTGDVKIPSAVIIDPALQQHYVLQDELAFSYSSLVDSLHGYLNGSLSPYTRSETTIHKPKEATVPPFVNLDFHEADSIPRITVNRFSHMVQAWNQSSGEKAPCPLCEDVLVLFSNSWCGFCQRMELAVREVYRSLKDCKAILQRESKNKHKSAETPTNGENLKSPLIYLMDCTLNDCSLILNSINQREVYPSVVFFPAERNKVILYEGETSVTDITEFLDRHANNSREFFRIIPTLSGKGRKHSNKLDQSSSAVNNEVKEKDVDKLVEVVVSNRDPPEREVTQDQVSPQSPPMHSVKPAVPKVKIGTILVATERLGDSPPFANSKILILKAGRESGFMGVIFNKRLRWSSFPDLDGGQTAELLKNTILSLGGPVMDPEKPLLALSREGDPSTDLELSPGVYFLDHESVARRIQELKSRDVKPSDYWFFVGYSSWSYEQLFDEIGLGVWDVDNNQLDFAWP >A06p045600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24445226:24446848:-1 gene:A06p045600.1_BraROA transcript:A06p045600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GID1C [Source:Projected from Arabidopsis thaliana (AT5G27320) UniProtKB/TrEMBL;Acc:A0A178UKX0] MAGSDQVNLIESKTVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVNGVFSFDVIMDRQTNLLSRVYRPAYAGGDPPSFTDLQKPVDGEVVPVIVFFHGGSFVHSSANSAIYDTLCRRLVGLCGSVVVSVNYRRAPENRYPCAYDDGWTALNWVNSRAWLQSKKDSKVHIFLAGDSSGGNIAHNVAVRAVESGIGVLGNILLNPMFGGTERTESETRLDGKYFVTVRDRDWYWRAFLPEGEDREHPACSPFGPKSKSLEGLSFPKSLVVVAGLDLIQDWQLKYAEGLKRAGQEVKLLYLDQATIGFYLLPNNNHFHTVMEEIAAFVNAECQ >A07g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1218652:1226869:1 gene:A07g500590.1_BraROA transcript:A07g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELQVTNSFDASDVKINPSIPESDEYMKLFKLHILVKDNNNNTKMLLLDSEAQKVVGCMAKQIWDGSYNEMEDPELLPVEIAGAVGKTFHFGVQINKDNVSYGADTYKISKVWTLEELAKIEAEAAEADACETEEQESDEPVITMSSTKSSDKGVKIHATCKRPFFSRVQKLVVGQYIFIENFSLTAAAGNYRPTRHEYIILITSNTNVTNSLLQNDDNFLTLTTFPEIVNGNLDSKFLIDVIGHPIDIGNIQVVPVQGQVQITNAFEISSVEINPPGFILHDYIRLMPNDPTLTAAVPHVVKTIGNQRQPETWSLYPERTILEIIMSTKDETGELPVMLLDTIAEHILGVSAEVLLDGSLEEDSSVSIENAANTCFSSTPLSKRKVHNEIDDLSFTSKSRCSKIIK >A09p079940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58680634:58684411:-1 gene:A09p079940.1_BraROA transcript:A09p079940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLSSDRVCYVLCNFCRTTLAPKQHIASSVTRKEWGSSSRSSNHFSTTLSENVDREAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKAGNPAISHREAFSTAAKNWAHFPHIHFGLKLDGNKKGKQLDQTVAGHKSNGYF >A10p029550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18074321:18075545:1 gene:A10p029550.1_BraROA transcript:A10p029550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIB intron splicing facilitator CRS2-B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16140) UniProtKB/Swiss-Prot;Acc:Q9LF14] MFCSAYTPSCIYHFHVNKPVCCRKPRFRVCSSTSSESDRFKVEYTPWLIVGLGNPGNKYQGTRHNVGFEMIDKLARKEGVLMNTIQSKALIGIGAIEEVPILLAKPQTYMNFSGESVGSLAAHYQVPLRHILLIYDEMALPNGVLRLQPKGGQGYHNGVKSVMGNLDGRRNFPRLSIGIGHPPGNMDMKAFLLQKFSPSERKQIDEALEQGSEAVKTLVLSGFSGGISRFNLVQKYKFHKV >A09p010710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5434611:5434841:-1 gene:A09p010710.1_BraROA transcript:A09p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSLTTMVLLLVVLILIQESQIVDARPLKYLGISSIPKKTDDRGLNISSKLETEDHSLDAFRPTKPGNSPGIGH >A09p041130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000099.1:54679:54858:-1 gene:A09p041130.1_BraROA transcript:A09p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTAMMNHNEKREGETRHRGLSHTKNNMTDQIQFSCDTTRKMNAAAWRSSLVEAPLRF >A07p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14046985:14048743:1 gene:A07p024400.1_BraROA transcript:A07p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVIALTNLNDLELHNFLVDPNFDQFINLIRGDDQTIEHPPLDFDLGGPLHNSPCFIDDNQFIPTPVDDLFDELPDIDSNVAESFRSFEGESVVRASGEDDSNDGDDSSATTTNNDGSRKKKTDRSRTLISERKRRGRMKDKLYALRSLVPNITKMDKASIVGDAVAYVQELQSQAKKLKADIAGLEASLTSTGGYQEPAPVAQKSHTFRCINPPVSKKINQMDVIQVEEKEFYVRLVCNKGEGVAASLYKSLESLTSFQVQNSNLSSPSPDIYLLTYTLDGTCFEQSLNLPNLKLWITGSLLNQGFEFIKPFN >SC216g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000072.1:73690:78083:1 gene:SC216g500030.1_BraROA transcript:SC216g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSFQSEFPLRFYDENKWDSSRLPSTRYSDRDDILTRYSNLKPHPKLLGLFLLTLPPSLRSLSNLDRNVSCFASIEVTIETLRYKKKKPQRPIFSHGFRLISVKISITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRAIVPLGRYRPSDRPARSLRSDRDQAKARSLRNDRAIVPLGHYVATELSQARSLRSDRAIVPLGRYVATELEPSSRPSDRPARSLRSDRARAKARSLRSDRALVPLGRYRPSDRPARSLRSDRARAKARSLRSDQAIVPLGRYVATELSQAQSLRSDRALVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNL >A01p019760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9682659:9683558:1 gene:A01p019760.1_BraROA transcript:A01p019760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFQTKYPLRPITNVPRTHHRSSFLHVRVTCSATTTTTTKTQPNREKKLVVEKRFVSPPLSNDPTLQSTWTHRLWVAAGCTTLVASLAKSVIGGVGSHLWLEPALAGYAGYVLADLGSGVYHWAIDNYGDESTPLVGAQIEAFQGHHKWPWTITRRQFANNLHALARVITFTVLPLDLAFNDPVVHGFVSTFAFCIMFSQQFHAWAHGTKSKLPPLVVALQDMGVLVSRKQHGEHHRAPYNNNYCIVSGAWNKVLDESKAFEILEMVLFFKLGVRPRSWSEPNSEWTEETDLSSSQA >A03p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2981006:2983304:-1 gene:A03p007150.1_BraROA transcript:A03p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQHGFMFQQLHEDNAHHLPSPTSLPSCPPHLFYGGGGNYMMNRSMSFTGVSDHHHLTQKSPTTTNMNDQDQVGDEDNLSDDGSHMMLGEKKKRLNLEQVRALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLERDYDSLKKQFDALKSDNDSLQAHNKKLHAEVYFIFHHVVALKKQDLKVSGKIKRESAEASWSNNESTENNNNSSEGLKDLFPSTIRSVTTITTSAHIEHQMTRKGTCNMEGSYYLTIVDNNDSGNCRTGTLVDERGRVLESHIPKTKKKSPIREQI >A01g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4501067:4501694:1 gene:A01g501200.1_BraROA transcript:A01g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLPFLRHMKLNLFARLGLLMSCTGCSRKLDKDETSLRCIRCVNPNATGVINVELPQCLKDLGGQDFVFQLRVTPFNFTPSHHGEASATGSNMTGGEEKEPNPSDAGGKGSSRKRLRE >A03p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14777466:14778227:-1 gene:A03p035210.1_BraROA transcript:A03p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNRLLNSIDHDFIFNAHYPSARRCIFTYKKRRSLCELGVLAEATILDWFHKGTNSKSMQTFVKSPEPFVNWLEEADEEES >A01p059140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33891496:33893479:-1 gene:A01p059140.1_BraROA transcript:A01p059140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPTRIGLAGLAVMGQNLALNIASKGFPISVYNRTTSKVDETVERAKKEGNLPVYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSAYLEKGDCIVDGGNEWYENTERREKAVSENGFLYLGMGVSGGEEGARNGPSMMPGGSFEAYKNIEDILLKVAAQVRDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELHGVFTEWNKGELESFLVEITADIFGIKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVPSPTIESSLDARFLSGLKDERVQAAKVFKEGGFGDVLTDQTVDKKQLIDDVRKALYASKICSYAQGMNLIRAKSVEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNAELANLLVDPEFAKEIIERQSAWRRVVCLSINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDMEGSFHTEWFKIARQSKM >A01p004980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2141201:2142412:1 gene:A01p004980.1_BraROA transcript:A01p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADEETGEPSGGAAIRSRLSNRADPFLVVCRCFSFVTSLIAILCVVVNVLAAIRSFRDSHDLFDGIFRCYAVVIACFVVLAETEWGFILKFSKVLEYWAGRGMLQIFVAVMTRAFPDYLAQKKDLLLLQNIASYMLLACGLIYVISGVLCIGFLKRARQQKEISREQAVKDLEARDRPTQGRAGTIAPDASR >A03p036600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15334576:15336315:1 gene:A03p036600.1_BraROA transcript:A03p036600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATRVCSEVPGSSKSSLTESTSRTETINGSHEFKISGYSLAKGMGIGKYVASDTFMVGGYSWAIYFYPDGKSPEDNSVYVSLFIALASEGADVRALFELTLVDQSGNERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLESSDYLKDNCLLVRCCVGVVKSHTEGPRSYNIPVPVSDLGHQFGKLLESGKGVDVTFQVDGETFPAHTLVLAARSPVFRAQLFGPLKNQNTKRIDIEDMEAPIFKESCPCLLSELLEYVARLSEHSLTSTGQRKELYADGGDVNGRRVKQRLH >A07p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15624948:15626404:1 gene:A07p027340.1_BraROA transcript:A07p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTEPAQPQSQQMKSLSFSSQMSREDEEMARSALSAFRAKEDEIEKRKMEVRERVKAQLGRVEEETRRLANIREELENMADPMRKEVSLVRKKIDSVNKELKPLGATVQKKEREYKEALDTFNEKNREKVQLITKLMELVGESEKLRLKKLEELSKSIETE >A06p055030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28804900:28806592:-1 gene:A06p055030.1_BraROA transcript:A06p055030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQIQIFLIVSLVSSFSLSITLSRPLLDEVAMQKRHAEWMTEHGRVYADANEKNNRYAVFKRNVERIERLNDVQSGLTFKLAVNQFADLTNEEFRSMYTGFKGNSVLSSRTKPTSFRYQNVSSDALPVSVDWRKKGAVTPIKDQGLCGSCWAFSAVAAIEGVAQIKKGKLISLSEQELVDCDTNDGGCMGGLMDTAFNYTITIGGLTSESNYPYKSTNGTCNFNKTKQIATSIKGFEDVPANDEKALMKAVAHHPVSIGIAGGDIGFQFYSSGVFSGECTTHLDHGVTAVGYGRSKNGLKYWILKNSWGPKWGERGYMRIKKDIKPKHGQCGLAMNASYPTM >A01p020650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10108681:10109701:1 gene:A01p020650.1_BraROA transcript:A01p020650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGGVDAAWDATTRNCGIAGVIPLRETLAVQLAFYTMLSLVIFAELLCEYTTALTKIAAGIIHRRSNDHQRGIRIGCLSLPHPSSSPVPDFSTHLVDF >A06g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9399915:9401985:1 gene:A06g502870.1_BraROA transcript:A06g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTNPQDVLIHLRLLKRVEESRMLAHEIWPGEKQEAPTFKIAVASVYESDLHDELKSTISDKGMEILFGDTFRTRDGYYALDLFRVIASHDLEEFSNVLKAAITDIERANRDIDLGGLVIGENISSHVYQGTLHSKEVCVRELQTDRSLWQPSRFWKKMKLFSGLGHPNVVRCLGANTQGTDLKIITEYMHGGTLFHYLQRNHCVLTLSQRLKMAIDVCKGIEFLHANMIIHRNLNSMNLLLDENNVVKVDVAAALYLLWAGKNTTPELEAMLLRIPTPEQNNTKIRTWYRWTAPEAMNEEKYGDRADVYSFGLLLWHLITAKLPYDHLDNISPCLAAQRVIGGERPAIPENMNLKLKIIVENCWNEDPFFRPSISQVSTTLHTLLSTVNEPKHEPEPEAEFVSANPIPTESVYNISPYFAAS >SC254g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:103694:104481:-1 gene:SC254g500050.1_BraROA transcript:SC254g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKSQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISKRTVHGKGQHADMCGQHAEYSSITFSSSSLVAGDMGDLNGAPTQAEINAQLMANHAELQAALATVTEQLAHIAGRDRANVPRPRRRNQPVP >A05g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20540691:20546328:-1 gene:A05g507240.1_BraROA transcript:A05g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSQRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFEIHKNSLEKFISWVLICYVLVLISYLFLYMINLKSNMGLRGIMEISDSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYPPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A01p057480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32504110:32506551:1 gene:A01p057480.1_BraROA transcript:A01p057480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVFHSLLILLSFVAISHTQPLLAGSFPISPNFAAVFNFGDSNSDTGELTSGLGFRLPPPNGQSFVFKPPSTGRFCDGRLIVDFLMEAIGRPYLRPYLDSVSTQSYLRGCNFAAGGSTIQKANAASFSPFSFAVQVSQFVTFKFKVLQLIQQDKTLENLPSEDHFKNGLYMFDIGQNDIAGAIYGKTVDQAAAVIPTIIKTFQDGINRLYWEGGARNFWIHNTGPIGCLAQMVSIFGQEKSKVDEFGCVIGHNEAAKLFNLQLQGLVRNFTQQYRDANFTYLDIFSIKSDLIQNHSKYGFDQSIMVCCGTGGPPLNYNDQINCGSTGTSNGTTVTSNACNDSSKYVNWDGIHYTEAANRFVSQKILSGTYSQTVSS >A01p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6230135:6231823:1 gene:A01p012680.1_BraROA transcript:A01p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWNSKVVPRFKKIFEKNSAKKAAAAEACKTFDESKETINKEIEEKKTELQPKVVETYEATSAEVKALVRDPKEAGLKKNSAAVLKYLEALVGIEFPGSTAVKEAASSFGAGYVSGPVAFIFEKVCVILPEEVKTREVPVEEVKAEEPAKTEEPVKTEETSGEKEKEIVEETKKEEIVATSVVEEKKPEVEKEEEKKPVVEEVKKEEAAPAPAVVETPVTAPETTTPAPVAEPPKP >SC151g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:187524:193436:-1 gene:SC151g500040.1_BraROA transcript:SC151g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNVAKKGSSSASAYEDLIVPKMEFVPHSVNPAENEAWWVAHYGSLTPPKEKSFPVLIHRGVEKGDASRSTDEFLAIMRSFYHIPDAVEFRVPRRGECAKSPSEGYFTCYEAFVVRCRLWFPILEILVRVLDRFKVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKTFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIVVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPSLAADTGSDSEPDDQNPVEAPRAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFADLPPGFDAPPPTKESVRPRVVAEGSRIINGGLSLLGSAIEAGHREARVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFSSVGDLRECRGSVGSLWRTQADDYVFEEEMSLMKSGMNYHAHAEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDHSADAFGLDGRICIYRDWPLVALNPLPPYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMVRIEHLLRRAFVLVLDVLKIKRVIELRLFKTASVFVGANRRTGCKFLVVAFGQFMIIFTIFGPEEAADKSLNVFRPWASDLCRASTSDAFASVDDFNSSFPGLRGQSCSQDFAVGRGVSSGLVELAEGVFVIALIASPCVARGPALIRIDRIVMRPLEIFPLVMDVHVLTRIADIRCLVSRFPSLSAFTASELGLTFGQLLLFVPIGDFFFFRYWFFERGAFPSGSASGPSWMFVDILVGVVGDIARIQVNVFGFVILRILCRGRKIFRVLLFDGRFLARVLTGRSFPRESCSIEWGGEVETLPTDFGGSAGTDNLGSCRIHELILFFRPFLIGGEHLYKLLERRGVGLRVGRGYVRYWSVEIGAAASVKRSLHVIRVRQTVGTEIRTVDFRLNKETRKTLISQRTRISANYHTSSNQNTRITTLKYNKSKREQRRSYSEFAYERLQQGISLASRAVGEIPSSSNPKTA >A07p027290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15599809:15603713:1 gene:A07p027290.1_BraROA transcript:A07p027290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCEIVEEKDEVRLAKHYGKSVLGSSSKDLEWKQRECDIDKLFQSIPVRPSTRLLSSSFHNIATSASAGPSRTTSPSNRIAMKKPGTPQSPRVFGLSDSVSLKQALRDRCISKASEMAAQKRLSKSAAASPRVSEADRIKSLYIQVSNESSRRSKGKASLVEMPLMPQQDKPSSSRSVTQRFEEPSDTIYELSQAGTSLGLQRVEIKPLHKSNKFCLSSDSGDSEIELDEKVTSLEDDVKEIDKHVTSLPSDPSKIDNATELEEKTLSSTLDIEQKGKLDNAPNSVTEKSKTVRKVTRMIPRPKQQPKKKILVKKKLKIGIVSVTNTTKKDEESETSLDSTSKKLICQRCHCSLKSTSIDNPPSSYTTSHDPQLCSDSLSSISNNVGKEAHQVADENSSGSEAEIVVMKQAVTSSNNNGNSARDEKEAENNPTSSEKFEFSLSSKDSLGDYSRSTSMSEGSNISRFSCGNKPHMSMDVRWEAIKHVKLQYGSLGLRRFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKSPRAQAERDILKMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQKQLGRCFPEPAARFYVAEILLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLRSTSPPGNDPARVSGPYNTSSCIQPFCITDPSCQVSCFSPRLSSNQQQGGKPQRADHLSRIQQRLKRSLPQLVAEPTEARSNSFVGTHEYLAPEIIRGEGHGAAVDWWTFGVLLYELLYGKTPFKGHNNDETLANVVLQNLKFPDSPLVSFQAKDLIRGLLVKEPENRFGSEKGSAEIKRHPFFEGLNWALIRCAIPPELPDLYEFGGGPGSPGGNDDRYLECKAIGDHLEFELCWERHERLVVINKERKTRMSSKNLGAAPAAGSAGNTNGKLPVEENEEEEMWKVTVSRFQAREEEIERKKMTVKEKVQQRLGFAEEATRCLTQTLEELEIMGDPMRKEVGMVRKKIDMANRDIKSLAQSCQKKEKEYKETLEAFNEKNKEKTHLVSMLMELLAESERLRMKKLEEINKTVGTLQ >A07p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10873603:10874121:-1 gene:A07p018030.1_BraROA transcript:A07p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAMEMFPTKISKAVFISAVMLANGQSTLDLFNQKLGSNDLMQQAQIFLYANGKKTLQPPLISTDLCLKKIIFNQSPPKDIALASVYIRPIPFAPVGEKLHVFYIKTMKDYAVSVPLQEAMNTN >A03p011280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4533648:4536266:-1 gene:A03p011280.1_BraROA transcript:A03p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFLFMLISSTISIARSEVTPLRIFAKIPRNASEERQQVDDSTDSDLKIFYYDQNLDHFTFTPKSYMTFQQRYAIQSKHWAGANDNAPILAYLGEESSLETDLSVIGFLRDNGPRLKALLVYIEHRYYGTSMPFGSGKEALKNASTLGYLNSAQALADYAAILLHVKEKYSADHSPIIVIGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFQDTLPIHGYYYIVTKVFKETSESCYNTIRKSWEEIDRVAFKPNGLLILTKKFRICNTLNNTIELKNFLDTIYASAAQYNSNQYPVASLCEAINNGVNSENDLLGQIFAGVVYDRGNRSCYPISVQPTEDSIAWKWQTCSEIVLPIGHDEQDTMFQTEPFRMDIKIDGCKLQYGVPPRPHWVTAYYGIQDVKLILRRFGSNIIFSNGLLDPYSVGGELFLFINF >SC158g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:121000:121409:-1 gene:SC158g500010.1_BraROA transcript:SC158g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTDDRMDVPRFSLDSDGRYWPGQWMIESKTKDREKRSLADGICCWTCVSLNKMPRTDGRIDMDPALAGRMSLSRFGQGMEWIEGLHKEQ >A09p004790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2660304:2661791:-1 gene:A09p004790.1_BraROA transcript:A09p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIKKSKITGDIDVMEATEATSLGVRTRAAAKTLALKRLNSSSAPDSSCYLQLRSRRLEKPPSLVEPKQTPRQLKEPGSKIDLVNSSVAGSGDECFCGENSPEFQTRQSTRESTPCNFVEDLEIIVTPGSSTRSMRTPTRDSTVPTIGELEEFFAYAEQQQQRLFMEKYNFDIVNDVPLTGRYEWVQVSP >A09g512240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35816172:35816638:1 gene:A09g512240.1_BraROA transcript:A09g512240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFNFAEIRFCVLPHRTPSNIMTSQIPFSEQVVETRLLRFCEAQNVKKGGERMAVDMLLIDGELSRFIIPVITLSPSFKFGDASVAIRFTDQTVFLKIQKQLNLFRLRVSDSTIKNNSRC >A09g517090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50265204:50266507:1 gene:A09g517090.1_BraROA transcript:A09g517090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPPNCGFWSFGGQKCDKSSVPTILRSLSDHTRRRDRARAITTPSDLTVSGRSSENLTAILAWFNNSGSEDGGINKCVIERFDKEAAEMYKRSFKYVWVLEVPHCAILIIDSTTLVLKLGLAEVDWRQKRELLLEKKVKGVDVKEALRLQKENNFVISDVRPEAEYKDGHPPGAINVEMYRLIREWTPWDIARHLGSAFFGIFSGTE >A08g510780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25253463:25256984:1 gene:A08g510780.1_BraROA transcript:A08g510780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVILFIEDISDLKSNFSRCRICHEEEAESYFEAPCSCSGTLKFAHRDCIQRWCDEKGNTICEICLQEYKPGYTTTSKPSRFIEAAVTIRGASCCRYLALLFSVVLLIKHAFDVVYGANEYPFTIFTVFALKAIGILLPMLVIFRTVAAIQSSLRHQFLESEEDALSSEEEEDGLEEEEQQQHLA >A08p026860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17044131:17045727:1 gene:A08p026860.1_BraROA transcript:A08p026860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAIHLLGENSSERTKGKKRFDGEKQLSRKMAEEPQKASSSSSSLQQPSPDKKPEDAEIKPQAPDYGDSPKYPVLYPGRVPGSNPGQNDEQMNRGAGIYAVPVHQVGGYVSGLPSNYLIPLTYNVPTTRPSNENEAGGENQAQAGQGQQQQQPAHQRQVVVRRFEIAFQLDIFLILKLAAVIFLFNQDGSRQRLALLVIFATIIYLYQTGALAPFIRWLSQGMHRAAVPPPPHRPAARADNDPAAAMPLNQDAGPEGQENEAGDGNRANANENVGAGAGQQGNQWWGIVKEIQMIVFGFITSLLPGFHNID >A02p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:148221:156830:1 gene:A02p000240.1_BraROA transcript:A02p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G01400) UniProtKB/TrEMBL;Acc:Q9M033] MVGAIMAASSYSYSYSREKLQGLARSAKSATDLPPKLHRLRNLRRNLQGEASVFPAELLPLLFDLLSDQFGAARKFVVQILGEVGLNYVELLPEIVPLFIKSLQDDTPAVVRQLIASASALFPSTLHKFALQGLHSSELDELLQSSWSWMLKLKDEICSLAFKQGNSGVKLCAMKFVEALILLYTPDPSLSLDSQDFNISILRGGHPVLNIGDLSIEASQKLGSLLDQLRHPAAKSLNSSTIIFLINSLSSVAKKRPAYCGRILPVLLSLDAPSFLKGVHAAAANLALKTVFLSCLECTHPAAAPWKDRLIGALKEIEGGSRAEKAEDLFYTTNGSVQDKDCVEDTKVSVEENSLRASSAVTESNFGRKRSGSEYNIDLNGDALTGKRSRITPSVSGESTEGLNGNSVGSLPLVTSTSTGPSSSRGVSDTGPVQQLVAMFGALVAQGEKAIGSLEILISSISADLLADVVMANMHNILLNGSSYADDTDELVMNMSIVGSDAQIKYPPSFVAGVLSLSTAFPPVAALINPHTPKTENEGEELCVNHVDQPIFPAVDAVIASGLSASSVNEEGNIELPPNVDYRRNIESCIPGLDSSAQRYVLSEAPVTSVLGSKNVEAASKNQDTSASGELLLAVIPSVSVDKSEEFSPKATGIGSNSLVSSTATSLASAPQFVLPKISAPVVNLSDEEKDSLQKLVFSRIVEAYKQVSMSGGSQLRFSLLAHLGVEFPSELDPWKMLQEHILSDYLSHEGHELTVRVLYRLYGEAEAEQDFFSSTTAASAYESFLVAVAEALRDSFPPSDKSLSKLLGDSPFLPKSVLKLLESFCCPESSDKVEKDLPSGDRVTQGLSAVWSLILTRPGIRNDCLKIALQSAVHPLEEIRMKAIRLVANKLYSLSFITQQIEEFAKDKLFSVVSCISSERGGAETLSNDCVKPVSGGGMDSSLEATSVTEAQRCLSLYFALCTKKHALFVHVFSIYKDASDPVKQAIHRQIPILVRTMGSSSELLKIIADPPNGSENLLMQVLQTLTEAAKPSSELIHTIRKLFDTRIKDVEIIFPILPFMTRDDVLRVFPHMVNLPMEKFQVALSRVLQGPSQSGPVLSPSEVLIAIHSIDPARDGIPLKQITDACNTCFAQRQTFTQQVLASVLNQLVQQIPLPMLFMRTVLQAIGAFPALSDFILEILSRLVSKQIWKYPKLWVGFLKCAQSTQPQSYKVLLQLPPTQLGNALTKISSLRAPLAAHASQPDIQSSLPRSTLAVLGLVPDSQGTQTSQVQASETQESQVQASETQENQVQASETQESQVQASETQESQVQASETQESQMQASETQECQGKQQASESQEQTSPSQQVSVPLSHSETDHNQETSQVVASHSQSSPIATGRSETSQSQSSPIGAGQSEVSQTTQVSDSDPPPAPTSHTQTSDSQVSEGQRQPDNEKMEEDTATSENERSEVDKSKESSAEEEEEEE >A06p004390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1139566:1141698:1 gene:A06p004390.1_BraROA transcript:A06p004390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRLFFLLIVFLFQASCIFEISSALKEGKTCILNKNCDAGLHCETCLANNNLRPRCSRIQPINPISKVKGLPFNKYSWLTTHNSFARLGEVSKTGSVILAPTNQQDSITSQLANGVRGFMLDMYDFENDVWLCHSFDGTCFNFTAFQPAVNVLREIQVFLENNKDEVVTVIIEDYVKSPKGLTRVFDTAGLRKFMFPVTRMPKNGGDWPKLDDMIRQNQRLLVFTSDRSKEATEGIAYQWKYMVENQYGNGGLKAGVCPNRAQSAPMSDKSKSLVLANHFPDAPDFVVACKQNSAPLLESIKACYQAAGQRWPNFIAVDFYKRSDGGGAPQAVDVANGNLICGCDNFTACKANGQCE >A10p019410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13306176:13307567:1 gene:A10p019410.1_BraROA transcript:A10p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCRFSLGRPRFGSIKFKVPNSSQPFISQKRKEMEEEDQAEEEAKSLGFREEEDGEDDDGAKSIEEEEKKKHICCECGKRFKSGKALGGHKRIHVLEARKFSMVRPKMVAGAVGRSEERDDFEVDCCRGRRSALKDPPPEDVDAKDLLFLATTAEAVDLDAAETCDSRSVEEMMKKRKKKRKRLSEMEKESTSSHDHHHQLEVGAAEEGGGGAREKHVCVTCNKSFASYQALGGHRASHNKVRISENHQARANSEALLLGTEAMMTGLASAQGPNISLSIGNKGDHVCNICQKSFTTGQALGGHKRCHWPGPTSNEAATAPVPASNESATTAPTPLVACSSSQVTETVQEEKKLKRKFLEFDLNELPPNEE >A06p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19067231:19068291:-1 gene:A06p035250.1_BraROA transcript:A06p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF114 [Source:Projected from Arabidopsis thaliana (AT5G61890) UniProtKB/Swiss-Prot;Acc:Q9FH54] MPFGGDEPEEKEEAEEDENLFPVFSARSQHDMRVMVSALTQVIGNQQSISHDSPSVYNPQDPSQPVAPSHQDQGNSMRRRRYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAESAALAYDEAALKFKGSKAKLNFPERVNVAYNDQLSNSNYYSSNQIEPKNIPYYNQYYQDGNNTDMLSFSLTTSTTAATTSSSSGGSSRPQEEQDYARYWRFGDSSSSSQSGL >A02p060010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35787834:35789730:-1 gene:A02p060010.1_BraROA transcript:A02p060010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPYSVLFFFLLLSFSVNGVKLNETHRRILHQPLFPETPPPDAPDQPFFPENHARHALSSSFLLVPPQNPVRDSINNNVPVNNNSSPYRKLNSVKRSDRYRPSPELQPLPPLSKPSDYNSSDEECRETAFYTAFPRSKRTSPRSKFGSRSPEMKHVIIQLQRSDGASVWLQLSSKGTCENENRVLDPKKSQNIAILLRALNVTREEVSEALLDANPESLGAELLETLVKMAPTKEEEIKLREYSGDVSKLGTAERFLKTILDIPFAFKREASLELKASRLFLKLLEAVLMTGNRMNVGTNRGEAKASKLDTLLKLVDIKGVDGKTTLLHFVVQEITRSEVTTTATVDETTFHGNKDGFRKQGLQVVAGLSRDLANVKKSAGMDSDVLSGYVTKLETGLDKLRSFIKTETTTTTTSTPGKFFDSMKAFLKDAEEEIRKVKGEERKAMSMVKEVTEYFHGDAAKEEAHPLRIFMVVRDFLGVLDNVCKQVRTMQEMSTTMGSASARSFRISATASLPVLHRYKARREEEDTSSDDEHSSNSST >A09p016370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8605177:8606700:1 gene:A09p016370.1_BraROA transcript:A09p016370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSLSIATKWFLRRRVNPPPLSRPFSGNRRERLTTASLHSINFDDALSLFCEMLHSRPLPTILDFTRVLTAIAKTNKHHDAVVYLCRKMEALGISHDLYTFTILIHCLCRCSRLSLALSVLAKMTKLGIEPSVVTLGSLLNGFCRGNRLREALSLVDTMGCEPNVVVYNTVINGLCKNGEADKALEVLRLMEKKGMRGDSVTYNTLVNALCSSGRWSEAARLVRDMIKRRKIDPNVIFYSGMIHVFVKEGNLFEAVNLYKEMILRSVDPNVFTYNSLINGLCVDGRLGEAKRMFDSMSCSPDLVTYNTLIKGFCKSKRVEDGMRLLCEMAREGIVGDAFTYNTLIHGYCQAGKLSVALKVFSRMVDCGVAPDVVTYNVLLDCLCSKGKVEKAMVMVEEMEKREMYVDIVTYSIIIRGMCRSGKVKEAWCLFCSLALKGVKPDAIAYRTMISGLSREGRRREADKLCRKMKEDGIIMPIKCIHNDETLGDNHYTSSSLAEFIKVIHE >A05p000870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:165833:169799:1 gene:A05p000870.1_BraROA transcript:A05p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKIRNPAGESLPIRLVDLEEQLLLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQLGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEGAVWIRFSQAREAGDRL >SC166g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:79345:85750:1 gene:SC166g500030.1_BraROA transcript:SC166g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMEKYSRCQGSKKIVLFPREPVPFIFYFYFRDLVHLKCVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGNLFLLDLNLIICYHIISDRVLIDILKPNPCSASTMAGDQKGELSKKEKLFLEEFTASMDKACKDQLRKFRQDIQQQRKGRSSRDGYKKKEFDQMDINWKHAGLKYQIPSFHGKADPEAYVKWEEKIELIFSSQHYAEKKKIQMATAEFCGHALRWWNQLIKCRRLDGKEPVETWLKLRALMRREYVPRQYHKEVIQKQPETKLCSSLSVQKQPDSKRSSPSSNLVSSSKTSTYSFEDSIRKAISQAFRDVEKQFKQSKTISPSLEVQNQAPSSTASELKDAKPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIVFGDLLPSEASGMHVSSQQEKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKPGAYSRILIILGECSARSRTSWGNKELEADQNSLLLGHVKIWKPPDMQQLQHHYKDYQTMSGDGGFTGENGEVITGSGEELMFSSQIKEKPPDQHSLQQTPKQPTRGIYLNSKKNMKPDLLAVRTGQTVLSSTLFEKRAYSYQSINDESLAKLETQQANLGSCLAASLDIGAVRGSYLNHHKELISKIDCHGNLTHQGLTSDWNHVQSFSDERFKTSQSYLWRPGEHPKVIDHVFKNYFCIVYTDMMHFSLSKEPCADYDETLKYTRRNYKREEDKRFKPPDLDQDKHKGVPGFVLIKEAPPDAAYHPKPSKNLFGIRLLLFDKSSYTNLLYFIVCESGLRNASVVWRAQHKTDLRSNPFQEGGDDVIMDQLVDEDALAIPEAIFYQKPFSLFSLVLDELKCVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESVLKKLSCEDKSRKDLDWIM >A03p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12963760:12967868:1 gene:A03p030870.1_BraROA transcript:A03p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPTDFKELQIPGYVLKTLYVIGFFRDLVDALCPYISLPRFLDHEIPRPDPIRPETFTTVSLADKISPVVRFSDIQTDLEDCCTVCLSDFESDDNIRQLPNCRHVFHDHCLDRWIVDCRKMTCPICRDRFLPAEKYARAGPVWKQDSAASPPATGPAGRQPAPAPAVPAPVPDLLGDLMGLDNAAIVPVDEPTTSSGPPLPIVVPASTGQGLQISAQLTRRIGQVFYSMLFENNTQVVVDGFMIQFNENTFGLAAAGPLQIVPLQPGTSASTMLPTVVLQNMPAGPPSSLLQAAVKNNQQPTWRSLPDSNEVQREFPGITITSVESTIYLLAAFNMFLIAKRKNGNQDVIY >A05p042060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25458246:25460295:1 gene:A05p042060.1_BraROA transcript:A05p042060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIPMSPQLEQIHGEIRDHFRALANGFQRLDKIKDSTRQSKQLEELTDKMRDCKRLVKDFDRELKDEEATNPPELNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKIELFDMGAGPSAEPTAEDNVQVASAMSNQELVDAGVKRMDETDQAIQRSKQVVEQTLEVGTQTAANLKGQTDQMGRVVNHLDTIQFSIKKASQLVKEIGRQVATDKCIMMFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAQSRKLLYLRNPEYMGR >A06p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20038275:20039125:-1 gene:A06p036980.1_BraROA transcript:A06p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C1 [Source:Projected from Arabidopsis thaliana (AT5G63030) UniProtKB/Swiss-Prot;Acc:Q8L8T2] MGSMFSGNRLNKEEMEVVVNKAKEIVSVHPVVVFSKTYCGYCQRVKQLLTQLGATFKVLELDEMSDGGEIQSALSEWTGQSTVPNVFIKGKHIGGCDRVMESNKQGKLVPLLTEAGAISN >A06g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2123495:2124569:-1 gene:A06g500500.1_BraROA transcript:A06g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDKQNARPGNPKHSHRSCVEKKSLTLKRLNETVRFKKELEQKEGIRQSSCVLHKTNKFFQGRHIGNKRDVKVAIKTILEVDLIEVKGDRRKSVLFNAGRVAKGLRHLDEKYCKETWEILSSVVVM >A10g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1976322:1978711:1 gene:A10g500590.1_BraROA transcript:A10g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLLASPIIQRTGNALDAAEGISREAERRGCPASIVSNDEFDSVWQGDSPDSFKEFWRFLLQRNLGNSWLQRVRFAVFGLGDSGYQKYNISQMVQIERSGATTDVMKNYFIGSVLSGGGSVPSPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNMNGATIFLHNVRLGVTRQSNHIVSLKVLFKTQLQQSQVEHQLRREVEIQSHLRNPNILRLYGNFYDPKRVYLILEYAARGELYKELQKCKYFSERRAATVGAFLFLLLALIYCHGKHVIHIDIKPENLLIGSKIIK >A06p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:340085:340822:1 gene:A06p000480.1_BraROA transcript:A06p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMATVVAVEEEVTIVAVKETKMMIDVEEKVLTTPALGAWVLLYRWLCEARVAATRMAKLRVDGSQSFGVALRANQFLPKRGFMDYQRLPSRVAMLPMSSFSSFQAIKHFVNMVMSVVQLDVEEKTMMVAWKKRDGVVRPATTVAFYKKIREEKDKMEKKERSDKLQK >A01p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5372456:5374850:1 gene:A01p011040.1_BraROA transcript:A01p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Negative regulator of systemic acquired resistance SNI1 [Source:Projected from Arabidopsis thaliana (AT4G18470) UniProtKB/Swiss-Prot;Acc:Q9SWA6] MSKETKGNTSRAAMNTYGAGLEANTLAMLDSTGAKDNRDANEDRLQYLEAVRAASLVPVNGIPPTNKMYQAMFRILRFGRTLELVAASFHLLTQLHQRYPWVYMSDGKHELEIVDEAWSPFNFGSDVDSGEKDISVRSSLFQELIQNMNKGVDESEESVLKILGNMFLFKYLVHVLKLDFTPRNQVFEETMNWSLLKESSLNLLLASRRVNFKLLMKDCLSTMCSPFDADGKSTSLVELHNGMLSAMKELLVMIIELDASKKKADIEGITNRGDGVRTPALEIIVDELTYDEYLLSNFLQVFDDPKWKLEIVLQYLTKYIPKPSVRTRRSNTPQGEDLKTLNGILKTFSNGTNAKNITKKIGPVVVQILIGHGFLAQLTISNTNEGESITEICNSVITALTNLKRVDQKIEILPFGKEVLFTAEMVLKTKA >A09g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20301746:20302489:-1 gene:A09g506910.1_BraROA transcript:A09g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMTLKPLLASKLNVSGFSPMHLALQNNHIPLVRGFVALDSSLVRIKGRRRITPLHHVAQIGDAELLSGALVSLSFFHRRFVRRLCTLLWRTNSLWHLRFYWDGSREQTGRKSWTGRMKMVLKLLHRTVNVKAKNLDGKTAMDILQTHQSPCFPEARRLLHSVKEKLL >A02p023120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11062446:11065486:1 gene:A02p023120.1_BraROA transcript:A02p023120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYQAVPTATTSRGGTPTESADYVVTLDQIPRWSDVEQRSSSSLEGETGDPAHSNPRYANPLASSSSEAGGSGRNGMVSKFPVDHEINSKIYLWRGEPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEQCATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDNGLQSIAMDCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDQISAVVFCTTTSADTEIYKRLLPLYFPRDEHEEEVAISKLPADVGDENGETVIDERKIRIQALPNKPPVRSFPALVERSATDHALVRRNSNHLDSYLDPAFMSLIKDPDERRKEQWEKTAQAQSGFNFVKLLGFGDLGGPPLSPAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDTEGHPVMVVVGAHFLLRCLDLERFVLYVIKEFEPLIQKPYSIVYFHSAASLQVQPDLGWMKRLQQILGRKHQRNLQAIYVLHPTFHLKATIVTMQLFVDNVVWKKVVYADRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >A01p025140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20264875:20269983:-1 gene:A01p025140.1_BraROA transcript:A01p025140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YREKIQIRRGSLGSFANHSPKLEAHRLTIRPSSLFFLFLLSLSLSHALSIIYTKNASALSVPGGEGGLRRKEMEGGVSSAKSILEKPLKLLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVLSLKALFEPGDDSGAGILRKILVSHPPIPSRVTTPSTEPSNDLGACGQIPFQEDDGPCLRRDSPRSPEFSGGSAHYLADKDCHITLSPSRSPAETSALAGQMTIFYSGKVNVYDGVPPEKARSIMHFAANPIDFPDSGVFPSSRMISRPVSKEKMVEHPHYGLEKANASRDSDAEGQANRKVSLQRYREKRNERRRLFKTKKPPGVGSSSLEMYLNRNQPLMNAAAYSQNPSGGTGGEHQSPQNQTRSPNFSVDLNCDLNSEVPCTRRDKKADKEYIHSIRVVSTTKKEQRITMGEAVKDGREEVIQAWYMDDSQDDQRLPHHKDPKEFISLDKLAELGVLSWRLDADNYETDEELKKIRESRGYSYMDFCEVCPEKLPNYEEKVKSFFEEHLHTDEEIRYCVAGSGYFDVRDRNEAWIRVWVKKGGMIVLPAGIYHRFTVDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDNFVKKAINASA >A01p054610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30969967:30978658:-1 gene:A01p054610.1_BraROA transcript:A01p054610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKRSWTLSSLPPEIIEEILYKAPPESLLRSKPTCKQWHALITSRRFIYEHLRRSPQRFIRTNETVVHIMDPVTGRRSDSPLPKELYDVRVMVHCDGLMLCMRGNSQYRNTKLALWNPVLRKLAWIKPSTCFTTSDYYGMGYDGKKSRYDYKILRFTDRRYDDMHNSNNDDYYEDEAEVEIYECKTRSWRTLDAKVDWDVDITCKGVSVMGNMYWFAQKYWDAEKKHRNYILRFDFSAETFKDVCFAPPSRGDNYLACFYGDRLSLLQQDQETTSPIEVWVSSKLAGDGDVLFSKYFSVSRPNLPALLFHTDMAHPVYCIGKHKRVMAWCEGNVYDGDDKIPPTCVIFYEIDEGGVRRQLETERHYYGSGYSRTFLCGYVYVPSLVPLPRAPMDSPKRSWSLSTLPPELIEEILYRAPPESLIRAKPTCKQWYSLITSKRFIYNHLDRSRKRFIRTVGTVQVLDPVTRTRSETPLPKEFQRPYGVRTMVHCDGLLLCTCGYFNYRNTNLAIWNPVLKKITWIKPSTCFTTSDYYGIGYDIKKPRYEYKILRFTDHRYDHNVTYDEDGPEVEVYECKTNSWRTLDTEVDWQVDLSCKGAAVMGNMYWVACNHGEQYILGFDFSVERFKDVCFRPPPAFNNYLACYNGDRLSLLQQDEEEPWDIMVWVTNKLADVDVSFTKYFSVSRPDVPALLDHTDMANPVYFIGKQKRIIAWCEREVFGGDDEIPPTCIIFNEIDEGGVRTQLETERHCRARLMASSQRSWSLSSLPLDMIEEIFHRTPAESLLRSKPTCKKWYDLIKNKRFIYEHLRRSPGRSLRTDERRFLRIDQTVQIMEPVTRTRSEKPIPHELQPLENIKAMVHCDGLMLCMCSDMESGIVHLALWNPLTRHITLIQPSTRFTTSDYYGIGHGVNKYRDGYKILRFSDRRYDNYHHHHHSEVDFEIYYCETSSWRTLVDAKVDWNVDFTCHGVSVMGNMYWLAHRDVEEEEELESCIIGFDFTAEKFMDVCLCPSIFDYNYLSCFGGDRLSLLQQNHDEPSLIEVWVSSKLADDGGDVSFTKYFSVVSSDLPWLHLDRSEDASHPVYYIAKNKRIIAWCEGMMDDGDRLPVWIILYEIDEDGVRNQLETERHYEYDYVGTFLCGYVYVPSLKILLILSCHVYTFSFLVYSLKKKKKSQFEKKKTEMEKRTFLSLLCHFVVFIACTYPSSSILLNDRSFEISNLPSSRAEKLIRELNLFPKLDVNVIDVGDSPLASSEEVPSIVERSFRFPNIVSHSDDGASVEDLGHRAGYYKLPKSQGARMFYFFFESRKKKKDAPVVIWLTGGPGCSSELAMFYENGPFKIDKNMSLVWNEYGWDQVSNLLYVDQPVGTGFSYTTDKSDIRHDEKGVSDDLYDFLQAFFAEHPKLTDNDFYITGESYAGHYIPAFAARVHKGNKAKEGLHINLKAWIRHWKWAYKSCTPVPSLS >A07p024600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:14158910:14159305:-1 gene:A07p024600.1_BraROA transcript:A07p024600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGDPCEAKKKASLEPKAPTADDPDLVSPSGSDPCLSEEELITTTERESRGTITLSDEEIIESIYQSLLQIILSVRLQQGSAETWCLDDCKTPLSRVAKMVPDTCPGAPVQLAKKWRNNGSGRGRKLLF >A02p001490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:604235:608689:1 gene:A02p001490.1_BraROA transcript:A02p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNVNTSYQDKSKTAALNKTLLSFSSVDTRHSQKALSSPDLIGGTMGEEGKIIEFESARQTLLFWNMDDYPIPVDTTDDLGAVSSNMFEALHLMGFLGYMRMQVYSEQPNSEDWLNAEMSYAPKCKSYSAAVYEFPDIALYIIRLTTSMGPGPLNTPPPCCPPLFSVESLLEHARLLDGAKPRFKALLSDYMYVDDNYIDKEFLDIKEDLSKTVDFTERIPTVRGDRTAVFWDAEDYPFPLCSTPDEIYHSIASALVERGSSDKITIWAYLDDDKKGSWRDALLGGNKEWASRIYFLPGGDNSSRRDRMLNDIYLWVRDSSPRWNKRSHEASLVIFSDQFNDDSYYTHMLQKLSKRGYNLLLVTPTQDIKEPESPEWPGLLIDEGSYCFGRLTLLFWNMDDYPIPVGTSDDLGAVSSNMFEALHQMGFRGYMRMQVYSEQQRESYDKDWWMKSLISYVPKCKSYSADFYKLPDITLDIITLTSSVGPGPSNVALIAKPNGELLRVLRCLKSRGHDVLVIDTPPCCPDPLFSVESLLEHARLLDGAKPRFKALLSDYMYLDDIDEKDVEIQEDLSKTVDFSERIPTVKGIRTAVFWDAVDCPFPLSSSPDEIYHSISSALVERGSSDKITIWAYLDDDDKKVSLGGNKEWASRIHFLPGGDSRRNRMLNDIYLWVRDSPRSNRRSCDTNLLIFSDQFHDDAYFTDVLQQLSKKVYRLLLVTPTQDINEPASPAWPGLLIDEGAYCFRGT >A04p015240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9495337:9496101:-1 gene:A04p015240.1_BraROA transcript:A04p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENAKHGPRLSFSQDFCHYDSIPIEQRPLRTHSEPSTINFDFSIPGGVISGESSWSAEEFFSDGKILPTEIKKIPEKDGTGLYTNPIGDTKPVLEIGLTATGAISNTVPFHVPSEPCRPGPETNPIRNAHEIEEIEEDEERPTVNPCWGIKERSERLNPEIGLPLLSDNPTGSKYKQTETLKLEVTSSSNCSSQKPLLSKTSHGDYSNGSNGGGIKVNSFLDVFPGGSLFGLGSIIVGSGSDKNKKISVFCHW >A02g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4254114:4254850:-1 gene:A02g501390.1_BraROA transcript:A02g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARRWNPRFSPEELDSTVWGFPLEHRRGVFGCRILSSVVLMVARELRMRLGVWLGPGSAASVLWITGCVNKSGSRSFYKLRRGVMVRMRRAESLVKAFTATVKDSNLSLFSLCVSDASVLAICLVASLNLC >A09p078620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58095820:58097529:-1 gene:A09p078620.1_BraROA transcript:A09p078620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEVQLQPPDSQKLSDSAPLLATSPSSSSSSDHEINAEEDLESGGDSASAPCCRICLENDSELLEDELISPCMCKGTQQLVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVEPFEDNNSWRRKVKFRLFVARDVLLVFFAVQTVIAVMAGFAYMMDKDGEFRNSFNDDWDRILSKHPIPFYYCIGVVTFFVLTGFLGLVLHCSSLNGNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVVIFAILGLAYGFLATTMAIQRIWQRHYHILTKRELTKEYIVEDLHGCYTPPKLDAEHEGRLKMLKLM >SC203g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:89306:98034:-1 gene:SC203g500040.1_BraROA transcript:SC203g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLYDAGKEKLPDMIMEQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLGLLDLIVSYLILIDLRISIKIIDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEENNYLGKLSDGMRSLYDAGKEKLPDMIMEQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLDILMDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDGVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDLWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKHVLQQMVIGECSYSVYMGETVGDSADMRGMDTKRADECVTKKEWDELLEEQPLLIMRGGMDLNQGSRSITKRIQVSMIRRRHRK >A03g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1441786:1443557:1 gene:A03g500480.1_BraROA transcript:A03g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSYSSSNEQSYRQSHLKPHPISELLHNSKAARLTQTLALLELSEKEQLQAMPQDCPPFDRHPRMKDCGRTLGCIQPPYESHRRLSETPAPRPRSIQRRTVQTHFPAPERHYRFPE >A07p039010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20721049:20724923:-1 gene:A07p039010.1_BraROA transcript:A07p039010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSKNKISEEEKQLHGKPSRRKGGLITMPFIFANEMCEKMAVVGFHSNMISYLTTQLHLPLTKAANTLTNFAGTSSLTPLVGAFIADSFAGRFWTITFASIIYQIGMTVLTISAIIPTLRPPPCKGEEVCVVADTAQLSVLYIALLLGAIGSGGIRPCVVAFGADQFDESDPEQTTKPWNYFNWFYFIMGAAVLVAVTVLVYIQDNVGWGVGLGIPTLAMFLSVIAFVGGFRLYRHLRPSGSPFTRLIQVAVAAFHKRKLSMVSDHTLLYFNDEIDATISLDGILTHTKHMSFLDKAAIKTEEDNLKSGQIPNLWRLSTVHRVEELKSVIRMGPIGASGILLFTAYAQQGTFSLQQAKTMNRHLTKSFQIPAGSMSVFTTVAMLCTVVFYDRIFVKIARKFTGLERGITFLYRMGIGFVISLIATLIAGFVEIKRKQVALEHGLLDKPHTVIPISFMWLIPQYSLHGIAEAFMSIGSLEFFYDQAPESMRSTAMALFWMSISIGNYASTLLVTLVHTFSAKPDGSNWLPDKNLNQGRLEYFYWLITLLQAINFVYYLWCAKIYTYKPVQVHHSKKVNSPVSGELRLSKKNLVDA >A02p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26160794:26166303:-1 gene:A02p041790.1_BraROA transcript:A02p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTSVKTTVTEQARLSPFSRGSSHHDVFISSSCDGTRDTFVSHLSAALKRVNITVMEEDDKNKVPETRQYLPKKTRLGIERSKICVVVLSEDFASSKHSLTTLAEIIEWRHSKTGATVVPVFYGVDRSLVEQQIGKYGEAFSKHEASEPKDRVTEWRNALTEAASIKEGLHSNAESRGQHLPSHVKEKLDINRSITYNSQREESVFLEREDIESILLEASGLSFVVDPGAFQDMSNLRLLKIYSSDPERRPGLDLSMGLLYLPYELRLLHWENYALRTLPEEFDPDNLVELNMPYSQLEELWEESKNLNSLKTINLHHSEKLVDIQELRDAHYLQLVDLQGCTSLQIFPSLQLLNLSGCEKLKSFPEIPNRVENLQYLCLSGTAIEELHTSLSNMVCLKVLEVSKKVKPPFSLKDLKDLKVVER >A06p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19440571:19445888:1 gene:A06p035810.1_BraROA transcript:A06p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERL1 [Source:Projected from Arabidopsis thaliana (AT5G62230) UniProtKB/Swiss-Prot;Acc:C0LGW6] MKEMMQLMLVFMLLLLGVASPMNDEGKALMAIKGSFSNVVNMLLDWDDVHNSDFCSWRGVFCDNVSFSVVSLNLSNLNLGGEISPAVGDLRNLQSIDLQGNKLAGQIPDEIGNCASLVYLDFSDNLLYGDIPFSISKLKQLDTLNLKNNQLTGPLPATLTQIPNLKILDLAGNHITGEIPRLLYWNEVLQYFGLRGNMLTGTLSSDMCQFTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPQVIGLMQALAVLDLSDNELVGPIPPILGNLSFTGKLYLHGNKLTGSIPPELGNMSRLSYLQLNDNQLVGSIPPELGKLEQLFELNLANNRLVGPIPSNISSCAALNQFNVHGNLLNGSIPLAFRNLGSLTYLNLSSNNFKGKIPAELGHIINLDKLDLSGNSFSGSIPLTLGGLEHLLILNLSRNHLNGQLPAEFGNLRSIQMIDVSFSLLSGVIPTELGQLQNLNSLILNNNKLHGKIPDQLTNCFTLINLNVSFNNLTGIIPQMKNFSRFAPASFLGNPYLCGNWVGSICGPSLPKSRVFSKAVGICIVLGIITLLCMILIVVVKSKQQKEILKGSSSKQAEVSTKLVVLHMDMAIHTFDDIMRVTENFNKKFIIGYGASSTVYKCTLKTSRPIAIKRLYNQYQDNLREFETELETIGRIRHRNIVSLHGYALSSVGNLLFYDYMENGSLWDLLHDPEYARTSRLNEKSDIYSFGIVLLELLTGKKAVDNESNLHQLILAKADDNTVMEAVDPEVTVTCMDLGHIRKTFKLALLCTKRNPLERPTMLEVSRVLLSLLPSMQVAKKLPSPDPSKKPTNYGVREQQQERSEEGSQWFEQFHEVISKSSV >A04p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20714259:20715439:-1 gene:A04p035930.1_BraROA transcript:A04p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKSVSGSSSSSSFDHLFGPRVSSSSSSSTTGLFQSIFPPPSMGTQADLANPNGAAIYQPPGLGIPNERGERRKNKERKRYQNEETQPPCNLSSSIYYGGQDNYSSSTPPQSTTNPDAYKKDGDEDDSESASRGNWWEDITRSVCRNLNQVK >A05p009230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3799100:3799817:-1 gene:A05p009230.1_BraROA transcript:A05p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYREVISILMQRHIVKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEANFKLCKVRTIQFGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEASCSTSCLELFMFFLFASCY >A05p001020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27159:29607:1 gene:A05p001020.1_BraROA transcript:A05p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPASPINGSVSAPVLLSDSAAKDVMITWFRGEFAAANAIIDELCGHLMQVSESAGEEYEGVMAAIHRRRMNWIPLLQMQKYHPISQVTLQLQTATAAKINNNKLIMIDPVDSILDDDSPTSDITDSGSREEERVEEDAMTICCKHEEEECESRASSLIKQSKRFSAKEHQTNVVKGLKLYEHVFTETQLSKLSDYVNGLREAGETFVLFNKNTKGTKRELIQLGIPIFGNTTDEHSVAPIPTLLESVIDHLLQWRLIPEYKRPNGCVINFFDEEEHSQPFQKPPHVDQPISTLVLSESTMVFGHRFGVDSDGNFRGSLTLPLKEGSLLVMRGNSANMARHVMCPSLNKRVAITFFKLKPDSSSKAQAPLTMNHVTLWRQGNPAPPVLNPFNMVRAPLVMLAPAPKRLDAGTGVFLPWTPPPVSRKPTKHLPPRVQRLRLLSSAAKSVADREASSSPEIGVI >A06p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25554477:25556516:1 gene:A06p048140.1_BraROA transcript:A06p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT3G29400) UniProtKB/TrEMBL;Acc:Q9LIA2] MLGSEKSPKSMGEFEVDGEEKLIVAAEYLVQELRSNKSLTRNAKKVLGSLLSELSRVVIISDEIEQRLNLVCDKITAREVDETMIWDLGSDAGNEFLEAVSELRGLIERLDGTTEEEVSLRKAHDVLQTAMARLEDEFKHLLVENRLPFELEHAVEEDSFGTEDLILGRNSEDHIVVDLVRPEVISDLKSIAEAMIASGYDRECIQVCTTVRKEALDEFIYDHEVEKLSIEDVLKMDWATLNTNIKKWVRVMRNIVQVYLISEKSLNSKIFGELNEIFVDTVTAQVMQLLNFGEAVSFGPRQPEKLLRILEMYELVSELLPEIDALFSDHHLGLSVRTEYREVMRRLGDCARATFVEFKSAIASDVSSHPFPGGAVHPLTNYVMNYLMALTDFSQTLDSLLMEHEDVEDLAIPPSPPDVINQVEEEFTYDSPEKFVAMKRHFYSIASVLEANLQVKSKLYRDVSLRHIFLLNNIHYMTRKVLNSELKHIFGDRWNRKHTWKFQQQATEYERATWLPVLSFLKEDGSGFGSGSGSGSRNLRPRERFQGFNSAFEEVYKAQTGWLISDERLREDVRTKASMWVIQAYWTFYSRHKNSVSERYIKYSTDDLENLLLDLFAGSPKSLSNSYRR >A03p021590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9197980:9200045:-1 gene:A03p021590.1_BraROA transcript:A03p021590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEARVGVAVDGALNPATAVHSSVVDAGALSLPQKQPQSRFGTVENLLAGGIAGAFSKTCTAPLARLTILFQLQGMQSEAAVLGKPSLWREASRILNEEGFRAFWKGNLVTVAHRLPYSALNFYAYEKYNKFLYSNPVLQSYLGNARSSPFVHFVSGGLAGITAASATYPLDLVRTRLAAQRNAMYYQGIGHAFRTICREEGFLGLYKGLGATMLGVGPTLAINFASYESLKSFWLSRRPDDSTLIISLGCGSLAGVASSTATFPLDLVRRRMQVEGAGGRARVYKTGLFGTFKHIFRSEGIRGLYRGLLPEYFKVVPGVGITFMVYESLKMLLSPPAP >A02p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:419590:420241:1 gene:A02p000830.1_BraROA transcript:A02p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVVILLTYLLLVAPCFAIGSEHTNSDVYEIDYRGPETHNSRPPPETLHGKRPFIHHKTSAAGSAGAHVGGQSKMLSTLLDYSLIYLWHLVGEHKLERDFSKEEERDSEAVSESGSI >SC400g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000242.1:137:938:-1 gene:SC400g500010.1_BraROA transcript:SC400g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVLTWCHRCQNQYNGQSTGKGQHADMYGPHADMSFRHGSVHGKGQHADMFTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVPGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMISTRTVNGGRARHADMCGQHADMSSVHGSVHGSVHGTGQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHDDMSSVHGSVHGQSTGRASMLICA >A06p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2427273:2429931:1 gene:A06p007010.1_BraROA transcript:A06p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRAELRKKIYKTGVDADEARRRREDNLVEIRKNKREDSLLKKRREGMMLQQQQQPLGAGLDALQSAAAVEKRLEGIPMIVQGVYSEDPQAQLEATTQFRKLLSIERSPPIDEVIKAGVIPRFVEFLRRQDHPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPIFVELLSSASDDVREQAVWALGNVAGDSPNCRNLVLSCGALAPLLSQLNENSKLSMLRNATWTLSNFCRGKPPTPFEEVKPALPVLRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIQAGVCPRLVELLSHPSPTVLIPALRTVGNIVTGDDSQTQFIIDSGVLPHLYNLLTQNHKKSIKKEACWTISNITAGNKVQIEAVVGAGIVLPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIQYLVAQGCIKPLCDLLICPDPRIVTVCLEGLENILKIGEADKEMGLNGGVNLYAQIVEESDGLDKIENLQSHDNNEIYEKAVKILERYWAEEEEDEQILPDGVNENPQQGFSFGNNQTAAPPGGFKFG >A06g507100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19654376:19655077:1 gene:A06g507100.1_BraROA transcript:A06g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAARVKPALSTNPPSEVARLSSLPDCLIFQIFLNLPTKDVVQTSVLSTRLKTLWKDVHGLDLDTEDFNTHETFMSFVDNFLERNRGLSIHLFKVTYNSSYRPDLVIRWVDTAVRLKVEHLDVSDDLSGQDIMMNPTVYTCSSLVSLRIVGMILPSPERVSLPSLKDIVLIVVEYINPWALEKLISQCPVLENVSIDRIYGDGMPILRVLSQSLLSFMHYWDKNDDYEKDRIV >A09p063780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51637317:51640361:-1 gene:A09p063780.1_BraROA transcript:A09p063780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGAFGARSAAVGPCREPPGLESLHLGGGDGGFTALLELPPTQAVELLHFTDPSPSSSQAAAAGIGLDVSPPPPPLHAYGALTFPSNAALMERAARFSVMANEQQQNGNVSGETTTTSSVPSNSDRVKTEPGETDSSQLENNRCGKRKELDKKARREKINARMKLLQELVPGCDKIQGTALVLDEIINHVQSLQRQVEMLSMRLAAVNPRVDFNLDTLLASENGSLMDGSFNGTAMQLAWPHQVTETEQSFHHRQLQLQQPPQPQQWPYDGLIQPAWGREDDQGHGNEHNSLMAVSENLMVGSASLHPNQVKMEL >A02g510220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27318445:27323471:-1 gene:A02g510220.1_BraROA transcript:A02g510220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSLAVLGLLELGISPTALEPRLIPCCNAHTQIWNKIYFALFSISYFYRCYSRFPYLNENRQCEFRFPQFGARKGRVPYAAQSIQQSHTQSDMRAAARFTLPVSGRICGQRQANVRDFSRSLKKCSPPMKKRVAEHDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNKTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSEVSMTLGSIQLPVMAKEITKVVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKIDRSSAKSAPHKDEVKSSVNTNASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATPTAETIHFLATIVKIDRDLLGIRRNLDGIPEPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIQLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A07p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16008070:16009760:-1 gene:A07p028310.1_BraROA transcript:A07p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKEEAKQSEAEVQATAAKVFELIDSISKHKEYMDSKISEVKTRVEDVSEIYKASLKKHLGGSLESSIVPQTSASSVPSTIIQKKPDAPPSQNTSVLMETQKRADNGDPTDVKRARSDINHCDAATVDNRILRADIETLRTKVKMAEETVKRVTSEPFALHKNKHGHTIETGNPKVDKPYFLSEQLNRESIQNQFDTNPNIFETLPHWRHKH >A01p035560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16981319:16985479:1 gene:A01p035560.1_BraROA transcript:A01p035560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDSPGLKSLEALIGGSLPENLSKLSSTCLAIPANKDFHFLCNFDEFKLRIDEISGTSQCVLETIGGFCGKPMRYSGDDAYDWLVNLNDEVLQRIDLDLEDTMKKETDPVHGKANVSFHIATIKKPQEEYKILVNNANVPFEHVWLEKKENNLGFIHPLEKLSVIDFVDKDISEMKPVEPLSLEGIPFTLVEEINDLKDLAAKLSSVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDYIVDTFKLWDHIGTYLRDIFKDPKKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRVLKLERKSLEFLLKHYCGVAANKQYQNADWRIRPLPDVMTRYAREDTHYLLYIYDVMRVDLHTVAKEDEKPDSPLVEVYKRSYDVCMQLYEKELLTENSYLHINGVQAANFNAVQLAIVAGLCEWRDRIARADDESTGYVLPNKTLLEIAKEMPINVGKLRRLLKLKLPYIERNVDAVISVIRRSMQNAAAFEPVVQSLKTWHPGTVFENNIESTVEETCTEAVVASSLSSKKFLQVENDIGGVRTTVLYGSGKVSVDVSEEQSGGFGALPSKRKFGSENKANEEVKVSKSKPACVSKPAEVIILSDDDDEYDEETSEPEDAADSVSETPFKGLDASMNVKTFGPDIIVLDDDDSDDDDSDDDSETGDGREREVERMNMISEQQGKFMSLKPGFLNI >A02p049380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30632414:30638441:1 gene:A02p049380.1_BraROA transcript:A02p049380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSDLRCLGANQSDQSRATTSSHSQPERPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPFVKYFVSHGRQNNLFSREELVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYLNNQQSARATSPERLPQVTPSQSDQPERPAQVTRVLTRRDTKKRVGSDLLERLC >A10p008360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4241934:4242516:-1 gene:A10p008360.1_BraROA transcript:A10p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVGTEIHTVDFRLNKETRKTLIFQRTRISANYHTSSNQNTRITTTKYNKSRKREQRRSYSEFAYERLQQGISLGSRAVGEIPSSILSSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFPDLHNYLQNFRIYPRKLDIYPSLWAKRKPCCGLRAFG >A06p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18639610:18642280:1 gene:A06p034450.1_BraROA transcript:A06p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEFGIVYAVHIITSHFGSVASKVCECLLRRGPLSSREISRLAASDINHTKVKDILYLLIHHNCVQAFSIDPPDGSESKATVQYIALFRNIIHGARYNKFSKVVNEELGSQCSGLLDGLLSNGRLTLEQLIDRDRDSKKPMGSEVIRDSLQKLVAARFIERVPSPEPVLGNKDEGPAQKKRGAKASKIFKEPESLEERILEAATPVDAIRFPFIFEQDSSSTVADDDSNIPEGKRKQPEVDYSSGPSNEVIWRPNFEELIRRLRHKACVEIVKERRDEGCANVMKAMLEVGRSQEKKAKTDKSVRTPIFFSVPMSMGSIYEELIKTDAGREMTQARVEACLEQLSATSSYLPAFVIESDDSYIVDFKSIISVAQKDEMESVVMRRYGKEAFRMFRYLSQEDRFVETDKIADAALTEKKDTPQILLKMWRDGYLHMQKLAVTGVYMPFLLWKVNKLIVSRQMLDEMYHASLNLSLRLTHEKDSEKELLLLPMEKLEGALKERRNKYRAKSVLLTSASFKLDDAILLFHDF >A02p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25459154:25460169:-1 gene:A02p040250.1_BraROA transcript:A02p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVNLRGSAEQKFGNGVCKETLWKLVWDGDMIEIYRKLGAERSHAKKEVEKSLKPITPTGPAQATSQLPGDHDQNGLRRKESNHTGMETDAVLELAEEFQNLTDGEGKDMGQVEATEAAAAKKKTGLRKPLFSVAGGSNSKFVQVLMSPRKGGGARPVEEKGPSYPSYLNLKILCYGDGRDRNWFTCGCLVSGSSSGLSSLALLF >A06p019290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9409600:9411062:-1 gene:A06p019290.1_BraROA transcript:A06p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin-3 [Source:Projected from Arabidopsis thaliana (AT1G21310) UniProtKB/Swiss-Prot;Acc:Q9FS16] MGSPMASLVATLLVLTISLTFVSQSTANYFYSSPPPPVKHYQYKSPPPPVKHYSPPPVYHSPPPPKKHYEYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPLPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKNPPLLSPTPSLPLQISSSSIPLLEFSVTVRTRCTQEDKDKMETVIGDCNKRNLD >A08p008950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4575045:4575782:-1 gene:A08p008950.1_BraROA transcript:A08p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHSPPFSLSISVFLIVALFTATTALDPAPEDPIFELYMHDILGGSSPTARPITGLLGNIYNGQVPFAKQIGFVPPENGVAIPNANGAMPTVNGINGIPLGTGLSGTAFSGQNLNGIQTQLGPDGLSLGFGTITVIDDIITSGPDLGSQPLGKAQGVYVASSADGSTQMMAFTAMLEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAEVRPLIPAGQHFVDGAEMLLRIIVHLKY >A05g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6769679:6770180:-1 gene:A05g502070.1_BraROA transcript:A05g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCEHPFPHTLVEMSTEVGRELMDKKDAIAKASKENVLLQIINGKAHVKYSGGKFDALSLIIDGNYLFMRWMTI >A01p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9846527:9849618:1 gene:A01p020030.1_BraROA transcript:A01p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTSVVYVATLLPTLSGSTGTAMEAINVLPLLLISLFLTFLVMEATDIITANQTLKDGDTIVSKGGSFELGFFSPGGSRNRYLGIWYKKVSLQTVVWVANRDSPLYDLSGTLKVSANGSLRLFSGRDYIIWSSSSLEKPVVRNPIVQILDTGNLVVRNSGNDQDYIWQSLDYPGDTFLPGMKYGINFVTGINRFLTSWISPDNPSTGNYTNKMDPSGVPQFFLKKNSVDVFRAGPWNGLRFTGMPHLKPNPIYRYEFVFTEEEVYYTYKLENPSVITRMQLNPNGALQRYTWVDSLQSWNFYLSAQTDSCDLYKLCGSYGSCNINESPACRCLKGFVPKSPEAYYAGDWSGGCVREVKMGCGKGEEDFLKISKLKLPDTRGSWYDMRMDLNECKRVCLRNCSCSAYSPFDIRDRGSGCILWFGDLIDIREYNENGQDLYVRLATSEIAKYKNYGVKGKMRIMLIIVFSTALLLICLCIVLTFCNMRKRKKLATIETSHRDLDRVSSRKQEEEDAELPFLDLEAIAEATSGFSDENKLGQGGFGPVYKGTLSCGQEIAVKRLSRTSRQGIEEFKNEIKLIAKLQHRNLVKILGYCVEEDERMLIYDKLNILLLLKICFLSFCSGYMSPEYQIDGFFSLKSDVFSFGVLVLEIVSGRRNRGFCNQEHKLNLLGHLIDEALKESCTDVSEVLRAIHIGLLCVQQDPQDRPTMSVVVLMLSSDMLLLDPKEPGFYNERNLLYSDTTSINLEIPSKNFQTMSVIDPR >A10p020240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13736065:13740297:1 gene:A10p020240.1_BraROA transcript:A10p020240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein 1;3 [Source:Projected from Arabidopsis thaliana (AT5G56950) UniProtKB/Swiss-Prot;Acc:Q94K07] MSNDKDSFNVGDLTAALKDEDRAGLVNALKNKLQNLAGQHSDVLENLTPKIRRRVEVLREIQGKHDEIEAKFREERAALEAKYQKLYQPLYTKRYEIVNGAIEVEGAQEDVKMDQGEEKTAEEKGVPSFWLTALKNNDVISEEITERDEGALMYLKDIKWCKIEEPKGFKLEFFFDQNPYFKNTLLTKAYHMIDEDEPLLEKAIGTEIDWYPGKCLTQKILKKKPKKGAKNAKPITKTEDCESFFNFFSPPQVPDDDEDIDEDRAEELQNLMEQDYDIGKEAGLRSTMDNKGRGLLSASNSKMLCVLSEVPCKAKCLRSLKFRRAEERDTCPLKLNAEFHLFWCPFRLGFSGSTRSSSRAKLNLRFPWSCFISFLTIRRAISYRFILLNPPPRSTCSFIFFLTIPTLYRFILLNPPSTIYLECDLHARPWNLDYKKTGRVRHSRRRKAVAMEERRIKAMRDQPCPLHVPVKFFLLFKADTAEGAEIVVLYQLKFSCLVELLWV >A02p029300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14949331:14950363:-1 gene:A02p029300.1_BraROA transcript:A02p029300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTIFLLFFMMFLFRDYRHILVWLYVLEGCDGSILIKHAVNDDERFAAGNAGVGGFDVIDAAKSELERLCPGVVSCADIVALAARDAVVAAKGPLYNVLTGRRDGQTAEKSKAANLPDVEDSINTLKSKFREKGLSDLDLVLLSAGAHTIGTTACFFVRPRLDAKDPTINPVFFQVLRSKCPQGGDVNVRIPLDWESQFVFDDQIFRSIRDGKGVILSDSVLYQDNNMKQMIDSYLVTNGSSKASFAKDFAKAMVKMGSIGVKIGIKGEIRRICNATN >A03g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19139328:19141623:1 gene:A03g505370.1_BraROA transcript:A03g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLRLDEVANIVTDAGGVKQCSRKHAELWRPRGSKMLREYGFSSSSKVTRRNPQRTYVDRSAWSPPKPFEVASARKTWSSQDPRPPPHIDKITENLIRYQPVVSRINKWGTSTSRRPPQRVHRTDPEQKAPHRRRMETLCQAGSLRTWSLRWMNVTTRFMEVLKTFINKRQWSPSTKVWGMKRVSTAVPRPKKEAEPRRRRRNPPARMLPQAYQGPKQKYQKCESTCTKTLRARIIHGTRGIIALEQVKKSGYLGFHPHQHPLSLIVAFYKKQHAARKLDSDQLPSGRMRYLNRKNSGLRQASGPRTYWFPKNISGFKDHVSNSRPQGPEPTGSPKNDLRVLSLSKLSK >A09p046730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40616247:40617593:1 gene:A09p046730.1_BraROA transcript:A09p046730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-7 [Source:Projected from Arabidopsis thaliana (AT1G30500) UniProtKB/Swiss-Prot;Acc:Q84JP1] MASSVHDLSDKIETHDKQEHKDSQFQIQPPIPPGRNSAVYSEQLPHSMAPGHYPYPDPYYRSIFAPNPQAYPPRPYETGVHAHLMGVQQQCVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKLESQNKVVKSRKPYLHESRHLHAIRRPRGCGGRFLNAKKEEEHHEGNHLEEKSMAASGGTS >A03p041810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17472201:17495452:1 gene:A03p041810.1_BraROA transcript:A03p041810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLCTTLKPLSPFSPFVKQGNLNYTSALLTYRTSNSANSFLPKRGGTVAVHDAPDQVESSCRIPLTEVVAVRKKSAFWERSWSSRDVRNVVVLGGVHLLSLFAPLYFSWAAFRLFVCLHLTIGMCIALCYHRNLSHRSFDLPKWLEFIFAYGGILAFQGDPIEWVSNHRYHHKHCDTQRDPHSPTQGFWFGHFTWLFDSGSILKKCGGEENVNDLVREPFYRFLQRTLPLHLIAYGFLLYICGGMPYLVWGIGVATVVRLHGTLLVNSVCHTWGTRAWNTPDVSKNNWWAAIITIGEGWHNNHHAFEFSARVGLEWWQLDVTWCLICFLEAIGLATNVKSPTEAQKKIINSNALFTYHTPNSTNYMLSKRGGSVAHKKLTFVAVHDAPDQVESFSRIPFSEVVVAREKKPFWKRSWKLGEVFKLSRYVVVHLLSLWAPFHFSWAAFRLFFWLVIIKGICITLSYHRNLSHRSFDLPKWLEYLFAYGGVLAFQGDPIEWVSNHRYHHKYCETQRDPHSPIQGFWYSHVTWIFDTGSILKKCGGYENVSDLLRQPIYRFLQRTFGLHQIAFGLLLYFCGGMPFLAWGLFAIYGERELGIHLTSPKTTAIITFGEGWHNNHHAFEFSARHGLEWWQLDVTWYLIRFLKAIGLATNVKLPTEAQKRMAPTTLKPLSPFSSFVKQHKTSNNNAFSTYRTHTCTNSGFFKRGGAVAVPHKKYTFVGVHDAPDQVESSWGIPLSEVVVVRKKRAFWQRSWNYWDVIRLVQVAGVHLLSLLAPFYLSWLAFRVFLWIVAINGICITLCYHRNLSHRSFDLPKWLEYLFAYGGLLAFQGDPIEWVSNHRYHHKHCDTQRDPHSPTQGFWFSHMAWIFDSGYIHKKCGGQENANDLVRQPFYKFLQRTILLHEMAFALLLYICGRMPFLVWGIGVANVARYHGTFLVNSVCHTWGTRAWNTPDLSKNNLHGLEWWQLDFTWYLIKFLEAIGLATNVKLPSEAQKKTMACN >A05p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17376420:17378435:1 gene:A05p033500.1_BraROA transcript:A05p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALTSPPGVKVPSYLASSSSSLFSRSSISNSAEIAWYMGLELGKISIKRFADGEVYVQLKESVRGCDVFLVQPTCTPTNEILMELLIMVDACRRASAKKVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSISSKDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIVKGAALLHEEGACEVYACCTHAVFSPPAIERLSSGLLQEVIVTNTLPVAEKNYFPQLTILSVANLLGETIWRVHDDSSVSSIFL >A03p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14305229:14305865:1 gene:A03p033800.1_BraROA transcript:A03p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKAQLSVINAWKEQKITKVTNKTEKKLLEISSWEKKQTTKIESQLANTQRKMDSKKKEKAEKLRRNKAAVHAKAQEKKARVQTRRAQEILDAEDEAATFQATGQIPNKSSCSCF >A06p014440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6483202:6489067:1 gene:A06p014440.1_BraROA transcript:A06p014440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTYGSFKLMIILSFLSYSFVGSDCATTNIQYASALGDPGMKNDSLRVAIESWNQCNEVGEEAKNMGSPRMADCFDLDYSSLPVKINHKVDEVDNRLGVQNGTYGGINAGQNADIYAAQKEIYLGNKCQVTDDPNPWQFWMIMLKNGNTDTLAAICPENGKKAKPFPPTGRFPCFGKGCMNMPTMHHKYTSIVDQEGLMSGSFYGTWDLDTDQEDDSVVGNNSYYKVKWEKKVGGNESWVFHHLLKTSSKYPWLMLYLRADASRGFSGGYHYDTRGMMKMTLKSPDFKVRFKLEILKGGGSGSQFYLMDMGSCWKNDGSECDGDVTSDVTRYSEMIINPQSTAVCSPNRLGACPPQHAFPNGTKVHRTDKERFPYQAYHYYCVPGNARFAEAPYDVCDPYSNPQPQEILQILPHPVWEEFGYPTKKGQGWIGDPRTWELDVGKLSQSLHFYQDPGTEPVKRHWSSIDLGTEIYMSKNQIAEWTVSDFDIVVPKTEKRVIAKVSPFLPIEVFFLFSRASLAKSRAFFFFLSFRAVIMADGCALDTEKYSLLEDFNVDVEVEKQEFETFSLCFWVYLLDSTTYPSTIIRQVHSDMSFSAPFLVLDENKKMILLPLTLLHKEAPDPVNTASWTEVPNVSTTAEFPLQRWVHVGCEVSRNYMRLYICGKMVGEKLLTSVMTNGTNSDNVRRVSLFSVGGDGYSVQGFIHCGEVLPSTVHVNNHYTKDPPLWLSVEKPSTCEVDEDGVWSIVGEKASCGMIFSLDVVLSNAIGQPVRKDVQVVASLLYANSGTPVEKTSDLEAPLLVSYDGVEFSAEDKPCTLLNGCASLKLKISQHYSKCDKRLFCIKFEIPNKGSYPFLEAFTNQMRCISRTGGDSVTPKRLSPLVEGVPSSNGASDSSLSMKRIKLGEEKIPESEFEGGNGTSMAWGPQNQDEEEEEEEEDQSSTDSDNTEVRGSTGSRRYTISDSTIFKYCLGNLTERSLIMKEITNNAGDEEVSEFADQVSLYSGCSHHGYQIKMARKLIAEGTNAWILISRNYQNVHWDNVVIEIEEHFMRIAKCSSRSLTHQDFELLRRICGCYDYITQENFEKMWCWLFPVATSISRGLINGMWRSCSPKWIEGFVTKEEAEHSLQSQEPGTFILRFPTSRSWPHPDADMLLAEPELSRLGRIVRSI >A07p012740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7948277:7948597:-1 gene:A07p012740.1_BraROA transcript:A07p012740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRADENTGAVTAVLSYVESTKTVHRQKDQVAYHFINADKLVGFTLASSDGKTFQNFKVSSSAHISIVCPLGDTAKYKPRYV >A01p002620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1181517:1187580:1 gene:A01p002620.1_BraROA transcript:A01p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFHVLWHVINFFWYVFMYSPANLSLFRSPENLYSPSGFMDYWIAGFLVLTAGVLLLSWLWFRLTNSKTRNEDKQEESEKKKGMIPKGSLGWPVIGETLTFIACGYSSRPVSFMDKRKSLYGKVFKTNIIGTPIIISTDAEVNKVVLQNHGNTFVPAYPKSITELLGENSILSINGAHQKRLHTLISAFLRSPHLKERITRDIEASVALTLASWSQLPLVHVQDEIKKMTFEILVKLLMSISPGDDLDILKLEFQEFIKGLICIPIKFPGTRLYKSLKGLTFELTMQAKERLIKIVKKVVEERQEATTESTNCPANDAVDVLLRDVNDGGCSDKQSHPLDFVSGKIVEMMIPGEETMPTAMTLAVKFLSDNPVALAKLVEENMEMKRRKLESGKEYDWSDYMSLSFTQNVINETLRMANIINGVWRKALKDRINGTTNSNICFTPFGGGQRLCPGLELSKLEISIFLHQLVTRFSWTAEKDEIVSFPTVKMKRRLPIRVTPVERTSPISVEDH >A07p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19517493:19520330:-1 gene:A07p036330.1_BraROA transcript:A07p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIVDNALAVTKESVKTVTYESLNNIARCINGISALLLTLLPGKANILEGLHGWELRPTFRGPRLPRWMHNGVSSFNHFVHELSVDSDTSSSDYSSGEESDGALPASPSSKSSRLSWASASANPESHWTEWVTFLLWWLIFPLRILVWIPQYFLRLFYKRSSARAPTSPRRNQRSPRPRVSKTMSSKDHDVPNRATDRRRGVIEDLHLAIEICIEAIFDFFHRATHVILSPSEAFAKMSAWFSSRSSKESRDDVLDDEPVQTATLGDADPSLSERPTRLYNSMNTDTRTCQDVITELGYPYEAIRVVTSDGYVLLLERIPRRDARKAVYLQHGILDSSMGWVSNGVVGSPAFAAYDQGSSYDVFLGNFRGLVSRDHVNKNISSKEYWRYSINEHGTEDIPAMIEKIHEIKTSELKLIQPNTDEEIYQEEPYKLCAVCHSLGGAAILMYVITRKIKEKPHRLSRLILLSPAGFHEDSNLGFTIVEYIFLFVSPVLAHIVPAFYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMSYVVGGDSSNWVGVLGTPHYNMNDMPAVSFGVAKHLAQIKHTGKFKMFDYGSRTANMEVYGSPEPLDLGESYEFIDVPVDLVAGKKDKVIRPSMVRKHYKVMRDAGVDVSFNEFEYAHLDFTFSHREELLTYVMSRLLLVEVTPSQQRQSSQKGMKLKKKKKEGTVV >A09p007920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4123164:4125493:1 gene:A09p007920.1_BraROA transcript:A09p007920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLNGECKGGDGFIDRSRVRILLCDNDSKSLGDVFTLLSQCSYQVTSVKSARQVIDALNAEGPDIDIILAEIDLPMAKGMKMLRYITRDKDLRRIPVIMMSRQDEVPVVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNMLSYDFDLVGSDPSDPNTNSTNLFSDDTDDRSIRSTNPLRGGLSHQEKEFPVANGADGTATSAPSVTVIEPTMNHLPVSHHEPTERSTNPEKFSSVPKKSRLKIGESSAFFTYVKSTVLATNCQDPPHVNGNGSLHLHPGVVAEKLQVVASEAINNTKRTHRSRETEKNLQNGGATEELHGRSYQERNQVAVNRSKDSSQVAYPYYMHGVMSQVMMQSAAMMPQYSHHQHPHYPPNHLNGMTGVSYYHHHPMNTPLQHNQMSQNGQMSMVHHHPSSNEVRASKLDRREEALLKFRRKRNQRCFDKKIRYVNRKKLAERRPRVKGQFVRKMNGVNVDLNGQPDYDDEEEEEDEEEEENRDSSPQDDGQGT >A02p059710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35596732:35598124:1 gene:A02p059710.1_BraROA transcript:A02p059710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHSYPCCQTASVSRPPRLAFKITTAMSSETKQRNPRILCLHGFRTSGLILRSLITSKWPDTVLRNLDLDFLDGPFPATGKSDIERFYDPPYYEWYQASKGFREYRNFEECLDYVEDYMIKHGPFDGLLGFSQGAFLSAALPGMQEQGKALTKVPKVKFLVLISGGKIPGLRFGQPEAAVGAFSSPVRCPSLHFIGERDFLKTEGEVLVESFVEPVVIRHTSGHTIPKLEAEAEETVLSFFQRIRKMVSDEPSSVRSLM >A03p048460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21889993:21890530:1 gene:A03p048460.1_BraROA transcript:A03p048460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVSILFVASLLLITSSLASATISGGGGAAAPAPEIKVGPELDKWCGGKCVVRCKDAGMNDRCLKYCGICCKECKCVPSGTYGNKHECPCYRDKLSSKKTPKCP >A01p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:268777:269402:-1 gene:A01p000680.1_BraROA transcript:A01p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKAKEVVRLTEEENKDKEIRREEKKHEEEDTNLERRIDAIKAISEEQLETPVLDFFKENLPDVSIEVEDNGEIEFKWNHNTGDSSLGGYPLKWILYCSAFQTLVNGGQRLLHFGMTAPKTRRQPKPGEMMLSVHGTPLGVYEENLN >A04g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10951970:10952913:-1 gene:A04g505030.1_BraROA transcript:A04g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSTQEQIPKACKIADLLTFRDCFQGFTLHLLSVDVTVIFVLVFLVVVHTQSGGLRCLSMLRGDMCFDIHDFKDARKELDTTRAMMDQRQRNERSEKSVAASYRRERRPQTLKHTAPAASNRPTSHNLHRETHLGLARSPKKNRTGSTRRDLQPAMPSYGEGRLKIAASNPQPVTVYIHLTERSKEQSDMKRAPPPEKRHQVNKKAERSSEAYPSPHTQSANTKTQTTARLTWRKRR >A05p020640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9756618:9758096:-1 gene:A05p020640.1_BraROA transcript:A05p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WAG1 [Source:Projected from Arabidopsis thaliana (AT1G53700) UniProtKB/Swiss-Prot;Acc:Q9C8M5] MEDDGYYLETDLDFSFTSTATDRTFTSSSARSSLARSSLTLSFNDRLSTATTPSTTTSAAATTLHHRRQDPHWTAIRAATTLSSDGRLHLRHLKLVRLLGTGNLGRVFLCHLRDCPTPTAFALKVIDRDTLTAKKLSHVQTEAEILSMLDHPFLPTLYARIDASHYTCLLIDYCPNGDLHSLLRKQPGNRLPVSSVRFFAAEVLVALEYLHALGIVYRDLKPENILIREDGHIMLSDFDLCFKADVVPTFRSRRFRRVSTPPSSPRRLRRSRRGGCCFSTEVEYEREEIVAEFAAEPVTAFSKSCVGTHEYLAPELVAGNGHGSGVDWWAFGIFLHEMLHGTTPFKGATKEQTLRKIASSDDVAFPLELEEEGIREAKDLIQKLLVKDPMKRLGCARGAQDIKRHPFFEGIKWPLIRNYKPPEIRGLVKKSTKAHACHVTAVSSPRRRKWLWWALSNLLRSKSLKGSNSKIQSNNNYYHYVGKSYNASRKRV >A05p007260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2924604:2926277:1 gene:A05p007260.1_BraROA transcript:A05p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g46050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46050) UniProtKB/Swiss-Prot;Acc:O82363] MRFTFRSTSILTVHFKKYSPSSASKLSARHDHGLMIKRGDYSSLFLQNKLLQVYTKGRDFDDADKLFDEMTVRNIVTWNIMIHGAIHRDGDTKEKARMGFCYLRRALLSEVGLDHVSFIGLIRLCSDSTNVEAGIQLHSLVMKQGLGSDCFVSTTLVGFYGKCGYIAEARRVYEAVLSKDLVLWNALVSSYVLNGMVDEAFGSLKVMGSSQGDHFTFSSLLSVCRVEQGKQIHAIAFKLSFQFDIPVATALVDMYAKSNHMRDARECFESMVVRNVVSWNAMIVGYGQNGEGREAMRLLGEMRSENHLQPDELTFASVLSSCAKFSAVQEVKQVQAVVTKQGYDCFLSVANSLVTAYSKSGSLSEALVCFHSIKAPDLVSWTSVIGALAFHGFAEESLRMFETMLQTLHPDKITFLEVMSACSHGGLVQEGIRCFKLMTEVYKMEPEEEHYTCLIDLLGRAGFIDEALDVLRSMPIEPRADALAAFTGACNIHEKRESIKWGANKLLEIELSKPVNYSLLSKAYVSEGHWNQAATVRITERRNCNNPKTPGCSWLGD >A05g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21629847:21634079:1 gene:A05g507580.1_BraROA transcript:A05g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSGRVTRSQSASDREAIPKKIPREGKSRTRYEVMTTESEVDEPASTDQEEAASTEQDEAASTEPEFIVTTPTFPERLFARNCYPGKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGSQFRALFHLPVARCSNSAKLVHSLLSRQLVTMRLYELWFLFADKPLRFSLHEFGDITGLKCEPEREKVGNGSESIDATPGRMWKELFETEDEDVTVPDVLRMLEQPSLPEWKRLPLALIALVDGLLVCGHKLLRVTPAYVEMLEDTGSFLQYPWGREAFVSTLSRLTPPQPSDPSKMDKSLSVMRLRLKQQSTACYGFPLALQLFAFKAIPSLLEKIPEPNKTTSFLQEPEGCDSTNALLNFEDILLVETQREVIVTYSIPDEGGDPKWKKEIIDPRIDNLVRRMREGHEFKATDFRGGDSSLPPLKAAEKAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSMVPQNTAAGKTNRDNGHADPTGMEVPKKRRPVSGDGNDEAEIFGSDSKKHKKNNGDGFSDEETMRMHDNHCDGRTPNARFWEKVDSMAGEGPSFSKSAKIPEADVSTPIGPETVLKPAKPTLPEPLEVFSVDYQLFVLACEVYRNTDLFGLGEGGDGFPISGLNLLAEEVEKGTRSDNVYKDPQENTCRKLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLNRKPKYTPLADLEIAQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPTNWVSTEHISVLIGMLVRRHGRKYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYSFTGKTRRRNDKKGLLVDVDRVYAPMMWGKDHWVGLVINLTCRQVEILDCNISHNESDNEVNKHMAYLLRALPHVLAAFSPPSDNTIIQTDQFIFDGFTSSFLYS >A05p053850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31098876:31100451:-1 gene:A05p053850.1_BraROA transcript:A05p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVPILFFLLSTIYFPSVLASSSPVDFSVCNHEFELFRFDLDSKCPPSLHPAPPLQVDGETLDRLMGLNYDANGYMSVLFYASWCPFSRAVRPKFDMLSSMFPLIQHLAVEHSQALPSVFSRYGIHSLPSILIVNRTSKARYHGQKDLTSLIEFYEESTGLKPVQYVAEAEPTTSLDSTDGNLITWLRKGTSISEIFRQDPFLVLSLLFICLQMAILVFPIAESRMKALWASYVSNLNLERFGEISQLFSRALHMVDVRRLWLKLRLVKTRNFHERAKNAQAWASSLASVSLGQASSDQS >A09p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18791991:18806794:-1 gene:A09p030910.1_BraROA transcript:A09p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYFSLIIVLASSLLLVLQDLEQVHALGCSGSFLNSNSSYFQNRHSLFSTLASKVVANGGFYNASLGKNPNRVYALVLCARGYEQQACISCVEKVTQEIQTSCPNRMNSFHWDNDDGDHVSCLVRTSNQSTFKNFQLVPAVIYPSPLTMEPSKDMTLFSKQWEATVNRTVQAATEAKNISVLQYYSAVEAEFTEFPNVYMLMQCTPDITSQDCKICLEKSGTYFKKQFWGRQGGEVSRPSCVFRWDLYAFHGAFDNITRVPVLPGGQGQAQPPSKESCIKGNKGRSIGNGGIISIVVPALINILVFIGLIKLYTRRRQFNNIINEYSDGQLMLRFDLRMILMATNDFSSDNTLGQGGFGLENRFQGILPNGQEIAVKRLTKGSGQGDMEFKNEVSLLTRLQHRNLVKLLGFCNEGDEEVLVYEFVPNSSLDHFIFDEEKRSFLTWEVRCKIIEGIARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFNTDETRAETRRIAGTRGYMAPEYVNHGQISAKSDVYSFGVMLLEMISGERNKRFEGEGIAAFAWKRWVEGRPEIIIDPFLVENPSNEIIKLIQIGLLCVQENASKRPTMSSVIVWLRSETIKVPLPKAPAFTSNQSQSEDGIMSISNVCTELSSHVEADCEGSLFAVNSTYSENLNSLFSSLASNVTANDGFYNTSTGEGSNKVYGLALCGRGYKKQACVSCVEQAIQESQQRCPNRMKSFRWTTRDNDNVSCLVRYANHSVSAKLELFPPTLSDNPLNIEEPKSLKLFRREWEALTNLTMQSATSAPENSSVVLKFYSVVRAEFTEFANVYMMMQCTPDILSSECNKCLSQCVLNFQNNNWGSQGGAGRLPSCYFRWDLYFIPGSSENMTRVSMVSRATTLPQGDTVSQPENDKKGKRIGNGTIAIIVVPTVINVFVFVGLFIIFDQRRKAYNKNINECFDSDGQSMSRFDLGMILSATNEFSPENKLGQGGFGTVYKGILPNGQEIAVKRLVSGSGQGDTEFKNEVSLLTRLQHKNLVKLLGFCHEGDEEILVYELVPNSSLDHFIFDKAKRSLLTWEVRFKIIEGVARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFEADETRAETRRIAGTRGYMAPEYLNHGQISTKSDVFSFGVMLLEMISGERNNSFEGEGLAAFTWKRWVEGKPETVIDPLLMKNPRNEIIKLIQIGLLCVQENAAKRPTMSSVIVWLGSETIIIPLPRAPAFTRDRSQSENSNMSTNNDIFTDLSCR >A03p013040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5134838:5135542:1 gene:A03p013040.1_BraROA transcript:A03p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 5-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G57980) UniProtKB/Swiss-Prot;Acc:Q9FJL8] MSEGEDEITRVFKVRRTVLQMLKDRGYNIEESDIELKREDFVQNFCKAMNKVNKEALFVTADKGPNPEDKIYVFYPEGPKVGVPIIKKDVVMKMRDDKVTRGIIVVPQPITGAAKNAIIELNKILTIEVFEEAELVTNITEHKLINKYYVLDNQAKKELLQKYTVQDTQLPRILVSDPVARYYGLKRGQVVKIRRTDATSLDYYTYRYAV >A01p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5541322:5542195:1 gene:A01p011400.1_BraROA transcript:A01p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKTRVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A06p003250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4783224:4797109:1 gene:A06p003250.1_BraROA transcript:A06p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQVFYSLSSSILMLKLFTSHHVTSRLRNRSSHKLARRAFLTTLTDSKPTDTVSAKPCIDDCQTVVALPLLRKPLIPGFYMPLYVKDPKVLAALQESKSGQAPYAGAFLLKDDKYASTASSYYGFETVNILDKLKGKELLKKIYQVGTLAQILSIQGKQVILVGRKRLQITEMVNRNPLTVKAQHINDKPYDKDDEVIKATYIEVIGDSNYRKLAEFLLQKRRRNFTGLRAGIFDATDFNYQKLADFGAGICGANKHKIQEVLEELDIHKRLDLVKKLVEITKIREFHASIFPVTYATAEDKKESTGKTFEDKKEEYGSAEYVKQNRLSDTFRKRIEPIKEKIPKHVLEVIEEELANLDRKEYGFGSTDSIYSYLDWLTALPWGNCSDENFDVLRAEKILDEDHYGLHVVKERILEFIAVANLTGNPEGKIICLSGPPGVGKTSVARSVARALGRKFFRLAVGGLSDSSEIKGDRRVYIGATPGKMVQCLKEVGTENPLVLLDEIDKLGSSSRDPEGALLELLDPEQNAHFLDYFLDVPIDLSKVLFVCTANNIDRLPGPLLDRMEVIELAGYTAYEKMHIARDYLVKIVQRKCGMKPEQVDVSDAALLSLIENYCREAGVRNLQKQIEKIFRKIALKLVRQQASAKAAMVDLESSETAAEGSIELTNEYLRLADVLKVFEHAVGGGSTREESREVAEKFTIDESNLADYLGKPVFKGEKLYEQTPVGVVMGLAWTSMGGSPLYIETTFIEEGKGGLHITGHLGSVMKESAEIAHTVARRIMFEKEPDNLFFANSKLHLHVPEGATPKDGPSAGCTMITSLLSLAMKKPVRKDLAMTGEVTLTGRILPIGGLKEKTMAARRSQVKVIIFPEANRRDFEGLEESVKEGLDLFTSHHVASRFKNRSSHKLARRGFFSTLTDSKPTDTVSAKPCIDDCQTVVALPLLRKPLIPGFYMPLYVKDPKVLAALQESKSGQAPYAGAFLLKDDKYASTASSSSSFETVNILDSLKGKESLNKIHQVGTLAQILSIQGEQVILIGRKRLQITEMLSEDPLTVKTHHIKDKPYDKDDEVIIKATYFEVISMLRDVLETTSLWRDQVQTYTQDIGGSNYRKLAETILQKRRRKFTGFGAGIFEAIDVFYQKLTGFGAGVFDANDLNYKKLADFGAGISGANKHKIQEVLEELDVHKRLVLVKKQVEVDKIQVFHASIFPESTAKTVEDKQEESGSANYVEKTQPSDKFRERVYSKLILEEIPKHVVKVMEEKFEKLDRGEKDSRSTESIYNYLDWLTALPWGECSDDSFDVLRAEKILDEDHYGLRDVKERILEFIAVGTLTSYPQGKIICLSGPPGVGKTSIARSVARALNRKFFRIAVGGLSDSAEIKGERGIYIGAAPGKMVQCLKEVGTENPLVLLDEIDKLGKSSRDDPEGALLELLDPQQNTHFLDYFLDVTIDLSKVLFVCTANDTDKISGPLLDRMEVIELAGYTTDEKMHIARDYLLKNVKRKCGLKPEQVDVSDTALLSLIENYCREAGVRNIQKHIEKIFRKIALKLVRQQASALAAMTDLKSSETAAKGSIELTNEYLRLADVLKVFEYAVGGGPTREKSKEIALKLGRQQASTKAAKTDLKSYNEYLRLKELEYALAGGPMSDKSRAVPEKFTIDASNLADYVGKPVFRGEKIYEQTPVGVVMGLAWTSMGGSTLYIETTFVEEGEGKGGLHITGHLGKVMKESAEIAHTVARRIMFDKDPGNLFFANSKLHLHVPEGATPKDGPSAGCTMITSLLSLAMKKPVIKDLAMTGEVTLTGRILPIGGLKEKTMAARRSQVKVIIFPEANRRDFEDLEESFKEGLDVHFVHEYEQIFELAFGHDH >A06p014610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6530693:6533734:1 gene:A06p014610.1_BraROA transcript:A06p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDYWPSAFGMRTRIALEEKNIKFEHREQDLFNKSQILLEMNPVHKKIPVLIHNGKPVCESLIQVEYIDETWPGGNSLLPSDPYQRAQAKFWGDFIEKKVSGPTWVLWGGKGEEQEAGKKEFIEVLKTLETELGDKAYFGGETFGYVDIALIGFYCWFDAYEKFANFSIEAECPKVIAWAKRCLKRESVAKSLPDPDKITKYVPELKKRLVKNSLCSIRAKLDLKKMTDEVILLDYWPSMFGMGTTKIALAEKGVAYEYKETDPRVKTSLLIETNPIHKKIPVLIHKGKQICEPLIQLEYIDEVWSDTYPILPSDPYQKAQARFWGAFIDKKFYDPSWKVWGTTGEEQVTAKKELLEHFKTLETELGDKTYYGGDVFGFVDIALMGYYSWFKAIEKFGEFSIEAEFPKLTQWTKRCLERDSVVNSLADSDKIVEYAYVLRRKLGAE >A01p013000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6355772:6363893:1 gene:A01p013000.1_BraROA transcript:A01p013000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTFFSTILLSFTTIFLLISLPRSVSANQSNHTGFLQCLSLRLNDSNIVSKVIHTPNDSSFSSVLSLSIQNPRFSTPGTPKPVLILTPVQPSHVQSAVKCARRFGIHIRTRSGGHDYEGLSYATHKPFVILDLTNLRSITIDVDNRSVWVQTGATIEQSALKIIHRWQFVSDKVSDDLFVRVMLQRYKNMVRASFPGLYLGSVKSLLELVNREFPELGLEEKDCKEMSWIESVVWFAELGDVPIDALGKRTRASLAFKAKSDFVQEPIPETAISNMWRRLQEPEAELAQLIFTPFGGRMSEVGEYETPFPHREGNMYEIQYLNYWRGGGEEEKEKYMWWVERVYDEMSEFVARSPRGAYINLRDLDLGMYVGGKRSKYEEGKSWGVKYFKNNFERLVRVKTSVDPFDFFCDEQSIPPFSSVECTEQSNTIAKKASSLSLQLSTMLTTRPTSLCVGFFLLFLSLPLSTLSQPSMSSVYDSFLKCLSEKTKTPQSQIAKIVFSQSNPSYTSVLRAYIRNARFNKSSTPKPTIIITPLSESHVSAAVLCSKPLDFVFKIRSGGHDYDGLSYISDKPFFILDLSNLRDVSVDIAEQTAWISAGATLGEVYYRIWEKSKTLGFPAGVCPTVGVGGHLSGAGYGNMLRKFGLTADHFIDAKMVDVNGAVLDRKAMGEDLFWAISGGGGGSFGVVLGYKVKLVPVPATVTVFRVEQLMAAGAVDMVHKWQFVGPKTDKNLFMRMLIQPVTRNKVKTIRATVVALYLGKADDVVSLLDKELPELALKKENCTEMTWFQSALWWDNRVNATQTDPKVFLDRNLDSSSPGKRNYKEGEVYGRMYFGKNFDRLVKIKTAVDPGNFFRNEQSIPTLPIAKRTGVPEQGTAKRWSGAGGATVVASVLLHVI >A02p002390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:997556:1003532:-1 gene:A02p002390.1_BraROA transcript:A02p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQVPKSRKAGSSSKLKAANSSPASSTASSPILYQETSVDSHSSPTSSSVRSKAQYTGRVPQTASQSKENVTVTVRFRPLSPREIRRGEEIAWYADGETIVRNEHTQSIAYAYDRVFGPTTTTRQVYDVSAQHVVNGAMEGTNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFDIIQETPRREFLLRVSYLEIYNEVVNDLLNPVGQNLRIREDEQGAFIEGIKEEVVLSPAHALSLIAAGEEHRHIGSTSFNLLSSRSHTMFTLTIESSPLGGNNEGEAVHLSQLNLIDLAGSESSKAATSGLRRKEGSYINKSLLTLGTVISKLTDRKASHVPFRDSKLTRLLQSSLSGHGRVSLICTVTPASSCTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRQLKEELEELKQGIKPVSQLKDISEDNIVLLKQKLEEEEDAKAALLSRIQRLTKLILVSAKTPQTSRVSHRVVPRRRHSFGQEELIMHGQLAYLPYKRRDLMDEENLELYVSGEANPEIIDNDAFREEKKTRKHGLLNWLKLKKKDNSLGESSISDKSSAVKSNSTLSTPQGEGSNFHTETKLSEGSPLADQRLEPGEDIESLEDSSHEIETPEARRRTIDQMDILREQQKILSEEMALQSKSFKQLSEEATKAPENEEIKAEIINLNDDIKAKNDQIAELGKQILDFVMTSHDALDKSDIVQAVSEMRAQLNEKSFELEVKAADNRIIQEQLNQKTCECQALQEEVANLKQQLSDALELGDMRSITSHMQQNEEKVIQAQAFEIEELKLKAAELSELKEELELRNQKLAEESSYAKELASAAAVELRALSEEVASLMNRNERLAGDLAAAAHKSSPTPRGKTGNPRNGRKESPLVKRKEQESSLMELKRELSVIKEREVSFEAALVEKVQREAELQRTVEESKEREAYLENELANMWVLVAKLRRSQGDNSDISVSETRQTGHFGT >A09g512340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:36162668:36163714:1 gene:A09g512340.1_BraROA transcript:A09g512340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMKLIKKVLKTCGFIAVDTEFPGCLKETPIGATDETRYKNLKFNVERTKPIQLGFTLFERDGSIGGTWEVNFKDFDARTDACNEKSIEFLRRNGLNFKKIRQEGVGMEEFFKEFGQILKDVDNKLKWVSFDGSYDLAYLVQGLTGRKPLPETLEAFNKTIEETLGLTFDVKKIAVDCKGVSARYGLQRIADDLHIKRVGDAHHAGSDSELTARVFTDLIFSISKEQKRELEEEKQNEERVRRAMHIMHESEQKRKREEEEETEERMKRAMHIMHEAEEAFVMERRGYVTVQPRCGYLPVLHPPPRPVVFHPYPPQFAGYFVQPQRHIHPLFFTLKQLCVYINIVP >A08p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22488830:22490090:1 gene:A08p038960.1_BraROA transcript:A08p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKQRTPKVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVVAPAEKAAKFYPAEDVKKPLANRRKPKLTKLKASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVSIEKFDDKYFGKVAEKKTKKGEGEFFEADKEAKKEFPQEKKEDQKTVDSALIKSIEAVPELKTYLGARFSLSQGMKPHELVF >A07p051670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27397039:27400812:-1 gene:A07p051670.1_BraROA transcript:A07p051670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 6 [Source:Projected from Arabidopsis thaliana (AT1G79610) UniProtKB/Swiss-Prot;Acc:Q8RWU6] MSSSELQISPAIHDPQGQEKQQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANVSNTETSIRTWFNFHDEFFFLFLLPPIILYPLIEFASATKPFFSNFGAIVTFSVLGTFVASIVTGVLVYLGGVMFLMYRLPFVECLMFGSLISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSLVRSHSTGQNFFMVIVRFLETFVGSMSAGLLLLSASSFFFCLYFSFMGLELDLLLLSYPFLVLISYDTFLLHFILINELLALMQSTSSSMQGWMLTIFRTWSAASLCFFHISRKYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSTNSQRFVSAFFHLISSLAETFVFIYMGFDIAMEKHSWSHVGFIFFSILFIVIARAANVFGCGYLVNLARPAHRKIPMTHQKALWYSGLRGAMAFALALQSVHELPDGHGQTIFTATTAIVVVTVLLIGGSTGTMLEALEVVGDSRDTSLGDGFEVVNNRYMTRFDDEGSPSESGFRTKLREFHKSAKSFSELDRNYLTPFFTSNNGDYDEDDDINDDQHHEERIPFTRRGNFNNRGITF >A05g505850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:16691681:16692058:1 gene:A05g505850.1_BraROA transcript:A05g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPDDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTGRPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTSVLLLTANDLITRG >A03p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9395443:9395693:-1 gene:A03p022390.1_BraROA transcript:A03p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEVILAILLPPVGVFLRYGCGVEFWICLLLTLLGYIPGIIYAIYVLVG >SC234g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:135144:137808:1 gene:SC234g500060.1_BraROA transcript:SC234g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFNEQYHTLIGMIYEVLDREKRRTVEKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIKQIEESHKNEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQKDSTI >A09g518590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56776569:56777210:-1 gene:A09g518590.1_BraROA transcript:A09g518590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFFSCVEYRLGRESDSDPGDLEQAEKLRQVKAVLEEGRNFSGIYMKVQLKPLKWDGEGEEERPVEALMILKYGGILTHTGRKQTKELGRYNFL >A06p034000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22408842:22411244:1 gene:A06p034000.1_BraROA transcript:A06p034000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKIHFDESKEKKTSQLSRAICRIPPSRFNSALTKMGRYSYSQPSSSSASVDITSLLEAEAQIYADEAQSSFGNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDPGRRYFTCNNVVDGATHIWKWLDDAVLEEMRDFQTEIRRLKEAVAEREQKLLLLEKTLYDAGKETTRVKLMVCLLVVIGLVILVLHGVAAKASMGTVLSHVQWRKNQMPVDLESPEPFWLGSQTPDDSPSEISPECPSQIPPECPKENVVGEDEDRPVGVKAAKGASKKKKSGRDEKLSKLQGVLELKEKLSRNKVLDRLLAKKEPLSEIETTLKMKLMSEML >A09p064620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51996903:51998668:-1 gene:A09p064620.1_BraROA transcript:A09p064620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF5 [Source:Projected from Arabidopsis thaliana (AT3G59060) UniProtKB/Swiss-Prot;Acc:Q84LH8] MEQLFSDWNFEDNFHMSSNKRSVRPEDELVELLWRDGQVVLQSQVRREPSVQVQTHKHDQALRKPNNTYLENQETIRKHNENVQGDQETVSWIQYPPEDVVDPFESEFSSHFFSSVDHLDGPNHPKKPLTIKEAAKPEAKAMAPPKFWPPVVSVGPSHCGSNQSTNDHQVTHPPVSMSDRSKNVEERLDTSSGGSSGCSKETESGRSVSISRKRKHAMDTDQESMSQSDVRLMPTDDQTMGNKSSQRSGSTRRSRAAEVHNLSERRRRDRINERMKALQELIPHCSKMAMQSQMQMPQFPVMNRPAAQNHPGLVCQNPAVQFQMQAQNQMLSEQLARYMGGFPPMPATTQTQTVQQPMDMMRFGSQVGQQSQLSTPVTTDSLRMGKTG >A02p003620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1669593:1671770:-1 gene:A02p003620.1_BraROA transcript:A02p003620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLHHPLFSSAKSHRRKLPIRCFCLLIAAMSSILILLISLFLFASPSSSAAQIRLACKATRYPDQCLTSLSEPGRVPPDPSPSQIIHSAISASSQTLSTAQSKVKSIVDSSAGNLDRTNAANTCLQLLSYSEQRTRSTDQALTRGEIKNARAWLSAALVYQYDTWSALKYVNDTKQVGETMSFLDGLIHVTSNALSMMVSFDNFGDNVASWTPPRTERDGFWEKTGPGLGSEPNLGFPSGLKEDVTVCKTGKCGYKTVQEAVNAAPEDNGAVKFVIKISEGVYEETVRVPFEKRNVVFIGDGMGKTVITGSLNAGMRGITTYNTATVGVVGDGFMARDLTFQNTAGPDAHQAVAFRSDSDFSLLENCEFLGNQDTLYAHGLRQFYKKCRIQGNVDFIFGNSASVFQDCEILIAPRQLKPEKGEKNAVTAQGRVDPSQSTGFVFLNCLINGTEEYMKLYKAKPKVHKNYLGRPWKEFSRTVFIGCDLEALIIPDGWLPWTGDFALKTLYYAESKNTGSGSDRSKRVSWSSEIPDEHVNVYSVANFIQADEWALSG >A04p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18388832:18390194:1 gene:A04p031050.1_BraROA transcript:A04p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33270) UniProtKB/Swiss-Prot;Acc:O22779] MTCFLWNTAILFLCEIMATDTFIKLNPIFINPNRFNLRECSNLSPKSISSLSCISPRLISCSHVNPRTLIYGENDNILFPKKKIPSMIRCQTSLGIGRNQKWWEKELKPNMKSVTSPQDLVDSLLNAGDKLVVVDFFSPGCGGCKALHPKICKIAEKNPEVEFLQVNYEEQRSLCQSLHVHVLPFFRFYRGSSGRVCSFSCTNATINKFKEALEKHGREQCSIGKTKGLEEKELVAMATNKDLSFDYKPKNNEVNREIKENDTIIQRSPTSKEQDEKRSLVISPAGIV >A06p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10793418:10795077:-1 gene:A06p021720.1_BraROA transcript:A06p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTTHYDVCFTNYVSDYFRADILHLQNFACVFTSTVITKKNILRIKSRDANDDRVTSLMLNNLHYHSLLPKTNGTKEKQHTPITTKTSFYRKPSSAGPLPSQITMLSQPTLRSSHAPSLWPCPRSRSFFRGRGPRCLESCPQSKIFEKLMYNGRDVAVAPYGEYWRQMKSVCVLHLLSNKMARSFRDVREEEVSLMMEKIRTSSSLWVNLSELLSSLTNDVICRVALGRKYGAGTDFKELIDRFTKLLGVSSVGSYVPWLVWIDWICGLDGQLEKTRNYFDEFLERVVQHHVDGNGDRNDFVDVLLAIQREKNVGFEIDRTNIKAIILNIFVGGTDTSYTLMEWAMTEILLHPECLNILQEEYLKAVIKETLRLQPPLPLLVPHKSTQEVILRDYGIPAGTQVTINAWAIGREAATWGPDAENFRPERHLDSSVDFRGHDFELIPFGAGRRICLAISFAAVLNDLVLANLVHQLNWRLPAESNEVKTNVAESIGTVIHRMFPLYAIASSTT >A08p042840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23962454:23971294:1 gene:A08p042840.1_BraROA transcript:A08p042840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84C [Source:Projected from Arabidopsis thaliana (AT1G10180) UniProtKB/Swiss-Prot;Acc:Q9SY60] MFSPSHQELDHTSKAKVPFSWELKPGVSRKKNRSGRDQLQWTLTPPPCPHAEYSYKVLQSPLAVCPFTPSNMVKTSRSGLSSFRKKDVDPFLEAYRKCLENSPIRISSSMGRKVRADDQNCYATGNKNKSLLLWLWSKYSCKFRTDGWSTRVQSITKTIVFFRQTLSETPRKSVKERSIEKMESSEEEDDLPSIECITPQSKIDSVHQSLTEKGIRKLCCELLDLKDAVENMCGDMRTKYLAFLRISEEAVEMEHELVELRKHISSQGILVQDLMSGLGREMDEWKRSSGDADESEEVEEDPFPNELTDPKSEFLEKIDILLAEHKVDEALEAIDTEERNNPELKGAAEISSYKSAFIERKALLEDQLLRIAKQPSVSVTELKHALNGLIRLGKGPSAHQLLLKHYATSLHRRIEAFLPSSSSCPNTFPATLSKLVFSNISLAAKESASMFGDDDNPAYSNKVVQWAEREVEYLVRLVKENAAPSETVYALRAASVCLQDCLNYCKALEPQGLILSKLFLVLFRPYVEEVLELNFRRARKVVFDLNEADEGLESSSDFVAVLSEFAIASDTTMTDCSIRFMQIVQDILEQLTHLAVLHFGESVLARVLQLYDKYIDFLIKALPGHADEDGLPELQDHTILTRAETDSEQLALLGAAFTILDELLPKSLVKVWNLEVENNGGDGGDSSSSPSLISSSAPELKEWKRHMVQSFDKLRNYFCLQFVLSFIYSREGLTRLDALIYLTETPDDLHLPSLPFQALFSKLQQLAIIAGDVLLGKEKLQKILLARLTETVIIWLSNEQEFWSAFEDESTPLQPSGLQQLILDMNFTVEIARFAGYPFKVVQNHASVVINRAINIFSSRGINPQSSLPKAEWFTEAAKSAINRLLMGSDEASEAEEEYECEVEEGEEEDGHIVLPEIDDDDEHSESLETSSLSTMDSFESFASASMADLESPSFTDSERSLTIYTVSFLLALSIFFNTLSLSLAPEKSKSKSAVIDSGDCSLFPPSPISRQKHFVNSCTLIASSAATTGTGSGSVFVESEKNMSSQVRRDRPRSNPQQTWVPRGAANSVAAAVVNEPSLPPPANTDRTSESLDAGAAVSRPLRQRSGSARPSYNQHQRSNFAGPPAQPNRQRRNNAPGILPQRVASRTRPVNQGKRVVKEEENTVLTDASLPQLVQELQDKLVKSSIECMICYDMVGRSANIWSCSSCYSIFHMHCIKKWARAPTSVDLLAEKNQGDNWRCPGCQSVQLTSPREIFYRCFCGKRKDPPSDPYLTPHSCGEPCGKPLEREISAVAEMDKDDLCPHVCVLQCHPGPCPPCKAFAPPRSCPCGKKMIHTRCSERRSVLVCGQRCEKLLDCGRHRCERTCHVGPCDPCQVPVSATCFCKKKAETVICGEMNVKGELKADDGVYSCNFNCGKPLGCGNHFCSEVCHPGPCGDCELLPSRVKTCYCGKTRLEEQSRSSCLDPIPSCSNTCRKVLPCGLHTCNEVCHSGDCPPCLVKVNQKCRCGSSFRTVECYFTTSSETEKFVCAKSCGRKKNCGRHRCSERCCPLLNAKKNDLSGDWDPHACQLPCGKNLRCGQHSCESSCHSGHCPPCLEMIFTDLTCACGRTSIPPPLPCGTPVPSCQLPCSIPQPCGHSATHGCHFGDCPPCSAPVEKKCVGGHVVLRNIPCGLKDIRCNKICGKTRRCGLHACARTCHPEPCDGYNESEAVSRVTCGQTCGAPRRDCRHVCAALCHPSLPCPDSRCEFPVTITCSCGRLTATVPCDAGGESAGNLRVGSVNETSVLNKLPTPLQPVESSDNRIPLGQRKLSCDDECAKQERKRVLQDAFDITTPNLDSLHFSENSAMTEIISDIYRRDPKWVLAVEERFKFLVLGKARGSTSALKVHVFCPMQKDKLDTVRLLAERWKLAVSNGGWEPKKFIVVHVTQKSKPPTRIIGARGGAISVGGPHPPSFDPLVDMDPRLVVSLLDLPREANVSAVVLRFGGECELVWLNDKNALAVFHDPARAATAMRRLDHGSVYSGAVVVQNAAQSQSLGNNAWGKLPSSSSWDVQKANPWKKAVIQESDDSWGADDSPIGGSSTDTQASAWRSATSNAPIVTSANRWSVLEPEKPKTSALEPLVQSGESSSSEAAGKKLVEGSGEEVVDDWEKVCE >A09p009630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4952096:4953282:-1 gene:A09p009630.1_BraROA transcript:A09p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRISSIISTSLLNSSIHHASKPRFVTSSPLLQCRRSPILTQTPTFARSFQGIRAYSLLSLNDLRDNVPRKLKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNKFKLHFQPVGLGKIAKLINAGEIDSHELITMKTLKDVGAIGKQIEDGVRLMGRGADEIKWPLHFEVTVSRVTVRAKEVVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQQDRVDSIGRLPAPKKPIPFFSAEENKVESPVES >A09p064730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52052661:52054386:1 gene:A09p064730.1_BraROA transcript:A09p064730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASCDSDRVTRQVIKNVLAKLQKEGEGALVRNGITEIHQALLDPFVLLVDFSFSLTAGFADHPHRGFESVTYMLKGGIIHKYLKGNESTIKAGDVQWMTAGRGIIHSEFPEEEVNNGLQLWINLPSIHRMIEPKNLELSSLEIPRAEKDGVEVKVIAGDSLGIKSPYYTTTPIMFLDFTLKPGSQTHQTVPESWTAFAYILEGDEGVFGSLKSLAIQAHHVVVFGPGDLVSVWNKSTSRALRFLLIAGEPIGEPLVQCGPFVMSSQDEIDMAFEDYRNAKNGFELAKSS >A09p026730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15056635:15058218:1 gene:A09p026730.1_BraROA transcript:A09p026730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQASRLISRSVTAASSKSVTARAFSTELPSTIDSTFVESWKKVAPNMDPPQTPSSFMKPRPSNASSIPTKLTVNFVLPYASELSGKEVDMVIIPATTGQMGVLPGHVPTIAELKPGIMSVHEGTDIKKYFVSSGFAFLHANSVADIIAVEAVPLENIDASQVQKGLAEFTQKLASASTDLEKAEAQIGVEVHSAMNAALSG >A09p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3123798:3124933:-1 gene:A09p005770.1_BraROA transcript:A09p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVMSSGSSSVVQPEKCNDINVAVEESREKATENNNSGLGSDPQEVMSERRIENPSRGYSAVRTENGIMQHYTSETNKIFTCRFCNKRFSASQSLGGHMNAHKRELQWERKRKEMEQMEQEFHFQWMLSQYSQGDLSNDNNLGNISEPFKRICTGLYPSFNSGLMDMNMTVVPRMAPTGFFSGNTFTNGFFSGGLEPMPSYNNYPPMLPRNVPPFPPHRTTNLPSYWHPQENRVREEN >A03p022040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8848853:8852337:-1 gene:A03p022040.1_BraROA transcript:A03p022040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKKKKRNKKKKNKQNNSKRADGDAIPTEDGNHNGDADIALINQVPDSIELEPSSQQIIINADEPGVVDYTSPNSEAVLEETIKQLRDEIGSHLQKEAVFEETVRRLETENESHIQKEALLEERLEHLRTESEAHIQKQALLEERLEHLRTENEPHIEKEVQLEKMVADLRTQNEAHIEKEVQLEKTVADLRTQNEAHIEKEGLLEERLEHLKTENEAHIQSEALLEERLLHLRTENEAYIQKQAQLEERLLHLRTENETLKQNEVSEENSEKLEERHVQYKTKNDVLVHEMSSTEVKMRELLDERSTFSQKEASLEKKLQQLQHDEESSTAAAEKSSIEMISSLNNEIGTLRAQVMKLEESRSNLQEQNNSLVETVSSLQVQRENHDNNVKGASEEELNSQIEAACTLVEKLITENAELVEKVNELCIQLNQSQRAFASPPESLAIEVQKSDALEEIPIHDEMIRIDDSGDIETALLERNLSEETVPVSVNPNGEIDVESQVAVAGEAEEVSGGVPLVDAPLIGAPFRLVSFVARYVSGADLAEKKQFL >A10g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22062001:22062881:1 gene:A10g507190.1_BraROA transcript:A10g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLIHDFLHLFQPRFPPPHRHRLCSLSLFLSLIVLQRESSPLWESIARRRRDSPIGEKEHTDHFSIHIGALSQIYCNTVSSRVATL >A06p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25522148:25524329:-1 gene:A06p048070.1_BraROA transcript:A06p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFSIISVLFLFLLSWTSFTSTEAYDALDPTGNITIKWDIISWTADGYVAVVSIFNFQQYRHIQAPGWQLGWSWYKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKKTPTVVDLLPGTPYNQQISNCCRGGVISSWAQDPATAVSSFQISVGQSGTTNTTVRAPRNVTLKAPGPGYTCGPAKVVPPTKFISNDKRRKTQAMLTWNMTCTYSQFLAQKTPTCCVSLSAFYNKTIVPCPTCSCGCQNGTCVDPKIASVVPALSGKNNLQPLLQCTQHMCPIRVHWHVKTNYKEYWRVKVTITNFNYNMNYSQWNLVVQHPNFDNITQLFSFNYKPLSPYSGINDTAMLWGIKFYNDFLSQAGPLGNVQSELLFQKDPSTFTFEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNAASNLATSPFIILLITFFSVLILM >A01g501300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5033626:5033877:-1 gene:A01g501300.1_BraROA transcript:A01g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFTPPPPSRFFLSSIFKPAQLTSHRLCYLLLHQSPLPPPPSFTKINKLRFNAQKREGERETTGDGGEERDGGGGEERDSC >A06p041900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22550622:22552951:-1 gene:A06p041900.1_BraROA transcript:A06p041900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAATSSAKWAREFLLRRNPLGAIRNSSSAAPGAASSTPKVPHFSKKGRILTGATIGLAIAGGAYVSTADEATFCGWLFSATKVVNPLFALLDAEFAHKLAVTAAARGWVPREKRPDPQILGLEVWGRKFSNPIGLAAGFDKNAEATEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLREDGAIINRCGFNSEGIVVVAKRLGAQHGKRMLAETSGTSSSPSDEGKPGGKSGPGILGVNLGKNKTSEDAAADYVQGVHNLSQYADYLVINVSSPNTAGLRMLQGRKQLKDLVKKVQAARDEMQWGDDGPPPLLVKIAPDLSRGELEDIAAVALALHLDGLIISNTTVSRPDPVSNNPVATETGGLSGKPLFPLSTNMLREMYTLTRGKIPLIGCGGVSSGEDAYKKIRAGATLVQLYTGFAYGGPALIPQIKEELVSCLERDGFKSIQEAIGADHR >A02p012650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5545506:5546336:-1 gene:A02p012650.1_BraROA transcript:A02p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIIKLGIGLSLVFGFLLLALIAEVYYLLRWKKHKKRVISQESEEEKEEEQQQQTGYAKELIQLFCFKKPQSLHANNGGSEGEEVSRNQDLELGLMKHLEGGGGEEGFEAELMKLHNQRFLFTIMEETKADLESDDGKSRSRTRSLSDVPAGVNDSNTPGLASPTALKSSPLGSYSHHEFNPLFETEGELEFNKFFKSSSSPPPKFKFMRDAEEKLRRRMIEEAKRRGQKSELSVVSEGSFLKFMTPATVNREKKQSNQESVETVSFRTSSGTKS >A10p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2669818:2670113:1 gene:A10p017030.1_BraROA transcript:A10p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGHFYVAAEDLIPTAHPKQNLSCGLCYGLIIHAIQSYLSQIEIRRLDHFLQERNEAQDK >A09p006470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3402468:3405052:1 gene:A09p006470.1_BraROA transcript:A09p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLRMSYVFPWLWKVESRRLLWLLGLTFALIVTFQYVELPYSISSLFSSTKMPVSRNSTSLIGDRKHHNYHNLPPPMVPSFSQNNATLVDDSDKEVEVDKIFDKEAAFQPSLPTSNWSPVKENATAPAASVEAPAALPGLRPSPVKDNATVHLPSAKAPAALPGLNHSPLKQNATLPTTSKVHDKNSTKEDVGDASPVVRFVPDIKENAKTTDSGVMSIYEMSKQLRRNRISNNRLAKKPKWVTKPDLELLQAKHEIENAPIDDKDPILYAPLYHNVSIFKRSYEMMEKMLKVYVYKEGDKPIMHTPRLGGIYSSEGWFMKLIESNNKYVTKDATKAHLFYLPFSSQMLEETLYVKDSHSHRNLIKYLKGYIDFISIKYPFWNRTSGADHFLAACHDWAPSETRKHFSNSIRALCNSDVKEGFVFGKDTSLPETYVRDPKKPLSNIGGKSASKRPTLAFFAGQPDHGYVRPILLSYWANNKDPDLKIFGKLPRSKGNKNYRQFMKTSKYCICAKGYEVNSPRVVEAIFYDCVPVIISDNFVPPFFEALKWESFAVFVLEKDIPNLKKILMSISERRYKQLQMRVKRVQKHFLWHVKPEKYDMFHMILHSIWFNRVFQISV >A10p000540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:301202:303205:1 gene:A10p000540.1_BraROA transcript:A10p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSGSASSRSQEETRFKEEDDASPRRNRQDQNTIPIQYADQQQAELFRKLDSLKGHVLRGQDSPRASHHQPPPYYNPYPPYGMYPSPSNPPHGFPMHQSLYGHYPNQMLPRPPYPPQGGHYVDIGPDILDPQLHDPRFFPGTPSRYADVPVSHHGGGAQGHHHKRWPSDINSEMGSGAQGHHHTRRPSEVNSEMGGGAYARGYVQTAADSRRCHPLAGGAPFVACHNCFELLYLPRKKLLLSQAKQQQKLQCGACSEVFSFTIVDNKLVFSSSSALEESHRVPVEVEDRSAVIDDCPLKDEVHSDDEGGRSSVSSEPQKEVVKSVRRRAKDAKAPPPPPPENSNLLELFEYSNVNRAALTYGMSQLGYNKQVSFTKQESVASETDISYNGYYNNTEDDSRISNASKDERGRRNRKHRSDYNKTVSNDDQYDRQLEVWVNGHLIPEDQVISAEKQAGPVQAGNYWYDYRAGFWGVMGHPCLGIIPPFIEEFSRPMPDNCGAGNTGVFVNGRELHERDFELLSGRGLPRGKNRSYIVDISGRVLDGDSGEELKSLGKLAPTIEKVKHGFGMRVPRYLASST >A03g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28608631:28612344:1 gene:A03g508220.1_BraROA transcript:A03g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITACYLLSSVNMWMRIDHPQKISSIPYNLTKKTVTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYKLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTERVPMAEDGVDGIDEEDWHTFALSETPLTMPLTKPQTKAIPHEVPDYSVTKAARSKERLPTIPHSPGGIVITERGDPTRATRRQTCPTDREKNKRHVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVQRRLLFGNAGILDTDGGVGDSNSGSDHSEELPVHDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A04g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3148510:3152770:-1 gene:A04g501170.1_BraROA transcript:A04g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSCFLWSRSALRSKGSSQAELPGETIHCNQNLNPQGEAAILLSREGVLLANEDSLSSVKPVHTYLHHCMPVDLSANNTGRVTVRRPAVSKNSGVSYRSSQPKSGGLSILACLTQTCKRNKRLSLYTPNIGILHHLNSPDVSVNSPIIDTIKFPQASYEEMPFTLVVCKKKNKGSSRGSYSPPPPEELQQPLMKSKNHTNPNKGVFVYLKISTLFASVIFSSKASRTVNLRWVEKLLLLSQIRLLQCMSSEKMFPHHKIKELVMRKVLVPVDLRYLEVKKQSACSEEMIMKSKKLLRERESSLKQRGHHQIGC >A10p004740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8983871:8993838:-1 gene:A10p004740.1_BraROA transcript:A10p004740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 1 [Source:Projected from Arabidopsis thaliana (AT1G05570) UniProtKB/Swiss-Prot;Acc:Q9AUE0] MSQRRETGPSRPHRPIQRTQTLGSLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQRFYQHYYEKYIHALNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVPVPIKILQQQKKVEEKTQIYKPYNILPLDPDSQNQAIMRLPEIQAAVTALRNIRGLPWKAGHKKKIDEDILDWLQSMFGFQEDSVSNQREHLILLLANVHIRQYPRPEQEPKLDDRALTIVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGSVSPMTGEHVKPAYGGDDEAFLQKVVTPIYKTIAKEAKRSRDGKSKHSVWRNYDDLNEYFWSIRCFRLGWPMRADADFFCLTAEELRVENSEIKSNSGDRWMGKVNFVEIRSFWHIFRSFDRMWSFYILCLQAMIVIAWNGSGELSAIFEGDVFLKVLSIFITAAVLKLAQALLDIALSWKARHSMSPYVKLRYVLKAGAAAGWVIVMPVAYAYSWKNASGFALTIKNWFGGHSHNSPSLFIVAILIYLSPNMLSALLFLFPFIRRYLERSDFKIMMLMMWWSQPRLYIGRGMHESALSLFKYTMFWIVLLISKLAFSFYAEIKPLVGPTKDIMRIHISVYSWHEFFPHAKNNLGVVIALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLAMLRSRFQSIPGAFNDCLVPHDNSDDTKKRGFKATFSRKFDQLPSSKDKEAARFAQMWNKIISSFREEDLISDREMELLLVPYWSDPDLDLIRWPPFLLASKIPIALDMAKDSNGKDRELKKRLAVDSYMTCAVSECYASFKNLINYLVIGERERQVINDIFSKIDEHIEKETLITELNLSSLPDLYGQFVQLIEYLIQNREEDKDQIVIVLLNMLEVVTRDIMDEEVPSLLETAQNGAYVKYDVMTPLHQQRKYFSQLQFPIKRLHLLLTVKESAMDVPSNLEARRRLTFFSNSLFMDMPPAPKIRNMLSFSVLTPYFSEDVLFSIFGLEQQNEDGVSILFYLQKIFPDEWTNFLERVKCGSEEELRTKDELEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELLKGYKALELTSEEASKSGESLWAQCQALADMKFTFVVSCQQYSIHKRSGDQRAKDILRLMTTYPSIRVAYIDEVEQTHKESYKGTEEKIYYSALVKAAPQTKPMDSSESVQTLDQLIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLVKHGGVRFPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKIRFHYGHPDIFDRLFHLTRGGICKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEQGLSNQRAFRNNRPLEAALASQSFVQIGFLMALPMMMEIGLERGFHNALIEFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGIELMILLLVYQLFGQSYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPAEKSWESWWEKELEHLKHSGVRGIVLEIFLALRFFIFQYGLVYQLSIFKGKNQSFWVYGASWFVILFLLLIVKGLGMGRRRFSTSFQLLFRIIKGLVFLAFVTILITLLALPLITIKDLFICMLAFMPTGWGMLLIAQACKPLIQHLGVWSSVKTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSKNKE >A05p009610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4019168:4019617:1 gene:A05p009610.1_BraROA transcript:A05p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G37925) UniProtKB/Swiss-Prot;Acc:Q8SAA5] MLTSKSNVVVEAWNTTTTQTQVQTPHRPSLIHPTFYWSYNCEVLFHGWPGSSRSMYALALIFVFSLAFLAEWFTRCSDAAASIKPEADKVAKVAFRTGMYAVKSGFSYLVILAVVSFNGGVFISAILGHAFGFVVFRGRAFRNVGRVDG >A03p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1589031:1591594:1 gene:A03p003630.1_BraROA transcript:A03p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLKGSFELPRGQSSREGDVELGEQGAADQGLDDFFKKVQDIDKQYEKLNKLLKKLQAAHEESKAVTKAPAMKAIKKKMEKDVDEVGSIARFIKGKLEELDRENLANRQKPGCGKGSGVDRSRTATTLSLKKKLKDKMAEFQVLRENIQQEYREVVDRRVFTVTGQRADEDTIDELIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHDAVRDLEKKLLDLQQIFMDMAVLVDAQGEMLDNIESQVSSAVDHVQSGNTALQRAKSLQKNSRKWMCIAIIILLIVVAVIVVGVLKPWKDKKA >A07p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8567789:8569357:1 gene:A07p011670.1_BraROA transcript:A07p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRGQSSYRDRTDEFFGIVETLRRSIAPDAANNVPYGGGGGGRREDPRSAVANQSEFKKRAAVIGLAINQTSQKLSKLAQLAKRSSVFDDPTREIQELTAVIKQEISSLNSALIDLQAVRNSHNDERTISRDTTTHSATVVDDLKNRLMDTTKEFKDVLTLRTENMKIHEIRRQRFTSNPSKESTNPFVRQRPLASKPAATQPAPLPWASSSSSSSSSSQLVPRRQGEAESSPLLQQSQQQQQQMVPLQDTYMESRAEALHNVESTILELSNIFTQLATMVSQQGEIAIRIDQNMEDTLANVEGAQSQLARYLNSISSNRWLMIKIFFVLIAFLMVFLFFVA >A04p033700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19573934:19582960:-1 gene:A04p033700.1_BraROA transcript:A04p033700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPIRADSFAMKEEFAPGGGGEISSVKRMALDGGERSGISCKRIKTTLVNGFIVYTRTRRTKLNKVNEEDELKPTDEVAINDPIAESSCVNNTVVESFVERTAEEERLVTGSLAETNISESSCVKNTVVERSVERTAEEERLVTGSLAETNITESSCVKNTVVESFVERTAKDERLVTGSLAEIMADDKFKEVDTESGSGCSLVDVVIDDIQFEELLHEAIPVEILPEGSLDFEVAKDRTMGKRYLASKANRHGSLKRTKQMYKSLLRLKKVNNVVSKDAEVLAGSELDSEGLDEQNRSMSLAGISNVVVRKRPDTVRELFETGILDGVSVVYTGTAQSQGFGLRGIITDGGILCSCSSCDWANVVTTSQFEIHANKQYKRASQYICFENGKSLLDVLKICRNAPLHSLRAKVLDAVDSASKEKCFTCKRCKGVCPLSSLGHRGLLCLSCSEIENSQSSPTATWTPTSAPACITSLAKSRWKITRKRSESTLKSPLSISSLGNSTQEITRKALRQALVGKALSASTNISSHNKCRSEFNMLAHHSVTPKALKSVPLSVSSKKRSCRTTRRDQGLHKLVFEREGLPDGTELGYYARGQKLLGGHKMGAGIYCYCCKCEVSPSVFEAHAGWTSRRKPYFYIYTSNGVSLHEWAMTFSQGRKYSANENDDLCVICADGGNLLRCDSCPRAFHIECVSLPSIPRGNWHCKYCEKNSKTETIGEYNVNSSAPSQLEGVDHADQLAGRCIRVVKNMEAETNGCVLCRSGFGPRTIIICDQCEKEYHIGCLSSHNIVDLKVTAYNSIPSELPKGNWFCSMDCTRINSTLQKLLLGGAETLSGSSLEIIRMKQGITDVDSVSHLDIRWRLISGKVTSPESRMLLSQALAIFHDCFDPIVDPVSGRNLIPRMVYGKSMQGQDYGGICCAVLTVNATVVSAGLLRVFGREVAELPLVATRMCSREKGYFQLLFSCVEKLLSFLNVESIMVPAAEEAEPLWMNKFGFRKLAPEQLSKYVKVSYQMVRFKGASMLQKPVHSHQITDKKTEAEHNFDLKQPKESLKMDERVDEEKVTVDEKKINVILHGTGYQRTFIEWNDTGKSSGGTVNSYSFAVSAARFTAQNVSFRNTAPAPEPGAEGAQAVALRIERDQAAFYGCGFYSAQDTLLDNAGRHFFKDCFIQGSIDFIFGMGRSLYQDCTIRSIAKESTSGISGCITAQDRTSEAENTGFSFVNCKIYGTGKVWLGRAWRPYASVVFSNTYMSNIISAEGWNDMRDPNNDKKVYFGEHRCFGDGANQKGRVPYSKQLTDSHFTSISYIDGDEWLH >A04p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21127434:21129883:1 gene:A04p036830.1_BraROA transcript:A04p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT2G40760) UniProtKB/Swiss-Prot;Acc:Q1JPN0] MLRFYYHWRFPPPLAAARMLSSPPPTPHSQPSISSGVSNSISTTKNTKPNLLPTQSQPKTLSSSPLKSTVACSSSGPIRQNMTTVSQCFSTNPEAVESDKSSLLVVSFYKFADFPDHADLRKPLKDLCEELCVSGGIILAPEGINGSICGSRESVERVLAFIQSDVRLNGLRQVETPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSVSPIERVGTYVSPEEWNELISDPETVVIDVRNTYETRIGKFKGAVDPCTTAFRHFPSWVEDQFALKQEGNETQAKVEKNEDKAEKPKALPRIAMYCTGGIRCEKASSFLLSQGFEEVYHLKGGILKYLEQVPKTDSLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKQPISDEDMEAPEYESGVSCPYCFSEKSEEEKERARARQSQFEEWGVIGGPDKGRRPAAKPDSPRKSSAKLGSSI >A09p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14570552:14570964:-1 gene:A09p026530.1_BraROA transcript:A09p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGFPSNCGCGARITKFTSSTQENPGRLSFVDHLFKWVEEAILEELEDALPKVEVHETEIGKMKSDIEELMEVVMNNKIEIQKNKVVIKSLVVYACVISVAFGAYVLY >A04p014420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5498402:5499706:1 gene:A04p014420.1_BraROA transcript:A04p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTFLYVFLCNSVSLSSSLSLHFPLTSLRLTTTTNSSSSSLQTSLASRRNPSQSSSSSPPYNFRSNFKYSMALILSLPIGTPAQTQELVLDTGSQLSWIQCHRKKQKPTTSFDPSLSSSFSNLPCSHPFCKPRIPDFTLPTTCDSNRLCHYSYFYADGTYAEGNLVKEKFTFSKTQTTPPLILGCAAESTDERGILGMNLGRLSFISQAKISKFSYCIPTRSDRPGFTPTGSFYIGENPISRGFKYVSLLTFPQSQRMPNLDPLAYTVPLQGIRIGQKRLDIPASVFRPDAGGSGQTMVDSGSEFTHLVDVAYDKVRGEIVKRVGPRMKKDYVYGETADMCFDGNNPMEIGRLIGDLVFEFGRGVEILVERQRLLISVGGGVHCVGIGRSSMLGAASNIIGNVHQQNLWVEFDVINKRVGFIKADCSRSLP >A07p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19591048:19608997:1 gene:A07p036520.1_BraROA transcript:A07p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEEKKNTNYGTIFVYCFFCLVFIVEVVRFAKPYYNLQNLMETEAVLEEGLLDAGILPCNIRSSVSVPARNHKKLSATIREETRTRPPVTYCVKFESFDTMSKLVKDNGDKYESHPFSAGGYNWTFLVYPNADKPVGSGGYVSLYVKIDNSSFIENQNEVYAWIRFLTYKSTTDTYHEFYVTDAQRFHLFKQEYGMLNFLEIGYYQTPVHGFIFNGGQSVFGVDIVVSNPPLWEDVSYEENIPGPAIGWRIYNFSSGEHDSYTSNPFLLEEETDIQYVLILYDVCRVMKVYPNGVGTGKGNSLSIYLLSASNKKGYVKAKFRVINKTPSKNVEKQVEGWPNAEQNGWGVDKLISIADVQDPSKGFLVNDAIKVMGLDYSYTQPSDSEDYGLQNSADSRNSSTEMNIMLDQAEIEAARNQYPPQPEVEFGFPKECYCGREPLLATSYTRNDPGRRFYTCDNIDDGDCHVYKWWDVAVTEEIKALGTQYAQLSDKKQLQIHLKRKRKMFTEEKKKNTNYGSIFVSCFFCLVLVVGVVRFAKPYYNLQNLMETEAVMEQGLLDVGILPCNLKSSVSVPARNHQKLSTTVREETRTRPPNSYCVKFESFATMSKLVAGNGDKYESRPFSAGGYNWTFLIYPNVNKPVGSGGYVSLYVKIDNYSFIATQTEVYAWIKFLTYKSTTDTYHELHATEAQRFHLFKQEYGMLTFLEIGYYQNPAYGFIFNGGQSVFGVDIVVSKPPATWEDVAYEENIRDPVLDWRITNFSTRDKVSYTSDTFSSGGRNWVLKVYPNGAGSATGNSLSLYLLSASNEKGYVKAKFRVINQTPSKNVEKQVDGWPNAKENGWGVDTLIPLADIKDPSKAKNIILAGVKSVTLHDENVVELWDLSSNFVFSEEDIGKDRALASLQKLQELHNAIHAKNMYTEDKKKNTNYGSIFVYCFFGFVLVVGVVRYAKPYYNLQSLMETGAVIEEAFLDVEKSLPSASRSSVSVPARNHQKLSSTVNEETRTRPPISYCVKFESFSTMANLVKDNGEKYESRPFSAGGYNWTFLIYPNENKPEGSGGYISLYVKIDNSSLITYQNEVYAWIKFLIYKSNTDTSTECSTFLVFSISNIYPEYGYLFNGGQTVFGVDIVVSKPSGTWEDVSYEENILGGWPNAQENGWGFLVKDTIKIEVEILAFSRADAVSN >A01p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18196829:18199013:-1 gene:A01p028580.1_BraROA transcript:A01p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVPESKQSVSGKKAFRPSSSIRHTPQWPVSDVTSDLTIEVGSASFSLHKFPLVSRSGRIRKLLLESKDTKNTYHLNLPGVPGGSEAFELAAKFCYGVVLQFTSANIASLRCVAHYLEMTEELSEKNLEVRTEAYLKDSILNDISNSITVLHSIEKLLPVAEEISLVGRLVNAIAVNACKEQLVSGLLKLDQKFERLAPETEKPSDWWGRSLLILKLDFFQRVVSAMKSKGLNHEIISDILMSYARKSLQIIREPSLVKGSVILDSDPQKKQRIVLEVIVGLLPTQANKNSIPVSFLSTLLKTAIGSGTSVSCRSDLERRISHQLDQAILEDILIPANVGGAMYDTDSVQRIFSMFLNLDECDYEDDDDGDVVDESEMAMYDFEGPESPKQSSIFKVSKLMDSYLAEVALDSNLPPLKFIALAELLPDHARVVCDCLYRAIDIFLKVHPNMKDSERYRLCKTISCQKLSQDASSHAAQNERLPIQIAVQVLFYEQTRLKNTMTSGGGGSGACGSSQSQFFLFPGQFPNRSGSGMASGAISPRDNYASVRRENRELRLEVARMRMRLTDLEKDHVSMKREFVKPSRRTRYGLFRKLSRGLNKLNAIMLRFRRSQSVAGNGKHTEEKTNSEKRFMFQKRRCHSVS >A03g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2280163:2280820:-1 gene:A03g500730.1_BraROA transcript:A03g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFHLVFKRYQFNRRLQFTSHSFSEPRLYKLDNRYHLLQESSLFTELLIESMVQVCNVLSFFHLSSLNPYFPESQNRFQHKPCSFYKRCDQKQRLELHIHHGKYITMKSTQRQVKATKYDVENNNGTGNSAFMSLSDNPLATVVRFISINLLRFHSLTYLNVSVIRSLFEWLVM >A08g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10704377:10707073:1 gene:A08g506230.1_BraROA transcript:A08g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKVDKPKAEEKSGSVTESKENKNTACRNRGLLRGKQGHHRTSSQAVEKNEVTKVVKRSIPVVSAELNDESQANTMTPKIQDRKVVAKRRNGCHFCGKIGHSVAYCYARRNQVKRAWRLNLCFIEPKKYGCVWIAKRDLYPKFRRQTRHGLHFETDVSHKPVAEPVEEAICNFARIEVNEPEIINQASQKLNLKHGLSHLDREKHTADCVCNLCQSHLEKEERIKRKKGTSVRGDQRVTVYGGCNKKKTDTKLIGHVNQMRSIIPKASVAKTENLSRKDVTHRDESVTHESINGSLIYLTTRRSDLGLTTGIYTPWLAISRVSHQLVVKEISNHVKGILKLKLHYSFDTNMMMAETCDIPIVLSENGVIMIPVKVESVSSWIEELVTATRLRNSYSQQISVIPLHDHATHVNPGKYPLCEPVSFIRICNQVESGYGVTSRGRIVDLELDMLVH >A05p054700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31295954:31301085:-1 gene:A05p054700.1_BraROA transcript:A05p054700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPEKATWRYEADCLPYGLAKENREEEEKMGCVTSCFRVQDIDEYMNPNSSVYRNCPCIRCLARNFLNLYSSVFGRGEIRALPSSVQATTPSITSSSSHDNFLSEAFRSTPRPLPYDADPRHFRSLVSRREKGSSHSHEEAEPLRSDSDADSESFGCKWANNKSVISEKDPKEEYSSKSSLRIMKSKSASGNIWDFPLSEDEDACPTCLEEYTSENPKIVTKCSHHFHLGCIYEWMERSENCPVCGKFPLNCCESSSPLRVTGERIFVSKMDIGEIIGEVAAPVSIPTKSAIYVWGYNQSGQTGRKGQESLLRIPKQLPPELFGCPAGANSRWLDISCGREHTAAVASDGSLFTWGANEYGQLGDGTEVGRKHPKKVKQLQSEFVKFVSCGAFCTAAIAEPRDNDGTLSKSRLWVWGQNQGSNLPRLFSGAFPANTAIRQVSCGTAHVVALSEDGLLQAWGYNEQGQLGRGVTCEGLQAPRVITAYAKFLDEAPELVKITQVSCGEYHTAAVSETGEVYTWGLGSMGQLGHVSLQSGDKELIPRRVAGLDGVSMKEVACGGVHTCGLSLEGALYAWGGGQAGQLGLGPQSGFLFSVSSGSEMLLRNVPVLVIPTDVRLVACGHSHTLVYMREGRICGWGYNSYGQAANEKSSYAWYPSPVDWCVGQVRKLAAGGGHSAVLTDAFSLKELCEFQLADSVNLSNASEIQDVAFRMGSEALARLCERLREQFLDKDYPDGEEVY >A02g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9362410:9365521:-1 gene:A02g502850.1_BraROA transcript:A02g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRWERFTSLNGRRELRAEFLRCRSEDFHGKRCTMSMQMDEMCMVMCGAWLCGSDGKWEFVVDKTKMARMIPVHEALTIKEIESLVFAEFKKSEASFNLALSYWPPDSKDLATGIKTPPVLLTNDGALRYFFTHMKVTGSLNLFATFESLGYGTAAPDMDVFETPRCSAKQRQSGTKRKAVDLSSVGSKTNFINLDDFQLIEEVEKFEERLRSESNPTGGGDCNGWSEGIDNDYSGPEEIDERDIRPRGYDVEFWEPLIDGDLGGSDAVEVVFNDKEENGVAKLSEGSSSEPVGESSGGSGVKGEIPGPKKKSVPNKCGRCRVEGHNRTNCTVPI >A03p039120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16261213:16263873:-1 gene:A03p039120.1_BraROA transcript:A03p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPNPKKFPVLSYVLARLPSFTPAKSSSSAPAFDVEQPPPQSSIEIEMPHLAEPGVLASMTKAITDVAETRSVLRTLGPRPDHEAVDRARAKLNEIEGMLSESFEDIALTEAAGEDEIEKRRREMDQEKTWCESILKLDEVHGSYEKLLSEAEERLVRIYEFAEKKAKVEEGEGGVEEVEVNEEVVGILQEALANPVERVDLSGRKLSLLPEAFGRIQGLLVLNLSNNQLQAIPDSIAGLHGLVELDVSGNLLETLPDSIGLLSNLKILNVSTNKLTALPDSICRCGSLVVLDVSFNRLTYLPTNIGSELVNLEKLMIQYNKIRSLPSSIGEMRSLTYLDAHFNELHGLPDSFVLLTNLEYLNLSSNFSDLKDLPSSFGDLISLQKLDLSNNQIHALPDTFGTLESLVELNVDQNPLVVPPVEVVNEGVVAVKMYMGKRRITMLEEEERRRVEEEMEQANAGWLTRTTSKLKSYVSDVSEYLNPSSPRDPYLEREL >A09g518500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56421918:56422224:1 gene:A09g518500.1_BraROA transcript:A09g518500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFLCCDAFQLSQTSYFIVSSLLRFGDSHNVNKNGEFMGINLIFLDEKNFVIHGSSQLLAQVSYVLN >A01p009180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4418728:4420393:-1 gene:A01p009180.1_BraROA transcript:A01p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLVIGVWKASVMAIPASYYDELPLVLPKTALLQGSDGGCFWKVAMVKRRDEVYFGQGWSKFVEDNGLRDGDVLTFVYDGSRKDSKIIEPEVAQRVPRTRSKGKKRVVVQDSDDSFISEDSDSLSDSSYSPPNDDTLLDVTPKVANPRKKGELRSVNSTVGSTSNSSRSVSRKRPSTIQNPEVYLDDPNNVCFETTVKKRIYDLSVPSQTVRDYCLKFQDYVYYIDNHENGMLEARVATWQDYRVSIKKWERICERNGLKKGDRLLCELFRKEGLVYAVKIHVVTTTTS >A09p074260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56379160:56383577:1 gene:A09p074260.1_BraROA transcript:A09p074260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEGETISAKTGQTLAGGKGANGAKLLYPTYFVGRLPVWETTRTSQLGWGMSVEYIWITYVRSVTDEPTGHAVVMLQEDGQNSIIIVGGANMRGWPEKISDDELEVVRNAGVVLLQRELPDSFNIQVAKAVKKVGVPVILDVGGMDTPIPNELLDSIDILSPNETELRAGDTFTAAFAVAMVEGKSHEECLRFAAAAAFLCVQVKGAIPSMPVRTSVMKLLESIVSGADVTVPYLDVAGRTNLDSVGVGVVSRRGPRVTSLAVDGSTTGDDEIVYVPEIYPNVLFDMLGTGRSHFAVDFGTITSDVKDRFWTTCNPNFATGFIRDWSVGKEFKELILSYVATAEWDWLSLPRGKTIFGGLKAIVFTKVNQMGQDYSYSQPSSSSNSIDMSSLLEAEAKMYADVDRAEEEVGEPEGRPPGVKAAKAGIKKKKSGREEELGKLQGVLAVKEKVSRAKILDRLLAKKEPLTEMETTLKMKLMSEML >SC186g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:85854:88160:-1 gene:SC186g500060.1_BraROA transcript:SC186g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGFILPFYCNDKEELQDQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRELAMAFVESESLDSHPPPTPSVHGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEVRESSPYTSASNESLLQVGFELRVELVLCGSYSSACSLSIQDAQHIPSLHKPSTVLLIQTCCAHTLHNLIKTDPSDGWTGWDVRTLYGLEVRRTMAVPNALSLHHTSIFSLTPPKPPHDQSKSFLDLTSQDNSFRTLLKLD >A06p055000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28798240:28799598:1 gene:A06p055000.1_BraROA transcript:A06p055000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGQRRFSSAADILAGTSAARPRSFKQKEAEYEEDVEEESEEESEEESEDESDVKKKGHEALIEVDNPNRAKPKTLKARNLDASKTTELSRRERSSGSDSPTERRSGQEARRGKSSERRQESRCSQMRQVFTL >A02p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9188142:9188594:-1 gene:A02p019730.1_BraROA transcript:A02p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRIHENRAVKRSNCFHKNGHNVKCSTSNTPFMIIFACIQIVLSQIPNFHNLSWLSILAAVMSFSYASIGVGLSIAKVAGGGVHARTALTGVTVGVDVTGSDKVWRTFQAVGDIAFAYAYS >A04g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9251607:9253322:-1 gene:A04g504450.1_BraROA transcript:A04g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTYGYSFGASMIAKTIETSHCVADIARMDRDPNVGRRNQHESSPAPVDANQSPHLDREGGRNSETQEDGQDGTGL >A09g510740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33047306:33048284:1 gene:A09g510740.1_BraROA transcript:A09g510740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIISYAWLSNRANTRQELQVQLQEHAKFMCFAIDRYGEDYIDRFSASNIDRYGEDYIDRFVVRIDSTIDAKVDQPGNYTHLLAWFGIHRIGFFRQVWKSSKRDLEAAIFKARFHKEFLDIGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERN >A07p050080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26701601:26706234:-1 gene:A07p050080.1_BraROA transcript:A07p050080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAEGGAIASTMIQQTPRRSVRRKLVQSTLLPRKPDDAIESNRDRTCDAANKEDGEEGGDGDFGSSQGKKTRKQRTPKNNGAPKKMAKGKSPRKPTPKRNATKNGVVAGGDDDDQQTYVSPPVPNLRLEAKLRAEEDSRMSAGKQLHPFFSSRKGGKKNQEAAAENGSSRDQGKDQIGPIHVFERFQDGYRTIDWKNWTFVEHASTTGSSHQQIKFESLKLGLKEFDLIELPTLSHPVVCIIDDEDPEKCATQSEAVNLSNNGEDTADDSHELQSGLTRSSLWVDKYQPRSASEVCGNTEAVKLMNEWLCQWRERGFQASQDFLRSDPENSQDADYNCSESDSDTENTDCLKNVLLIIGPVGSGKSAAVYACTKEQGFKIIESNASECRSGTVVKQKFGEALESNSLSRYIELQPCMYMFECVYIHTICYGRSLEPLFNSCTDGNGVEDVIEVTPVANLKPLILFEDVDISFAEDRGLVSAIQEIAKKAKGPVVLTANDKNHGLPDNLERIEICFSLPSTEELFSHLSSVCAAEEVKVNPDSLQRLTISSGGDIRKAIMQLQFWIQSKSKRVRKAKNTGEPDRFDHEAGHVLLPKIIAQDFPSQLSQFVESEIAKSLSMAEESYDTVEVFVEEVENEKMLDRLWRRGIEKNTIEAKKAAMLRLNTCFEDCDELEDVPSELTDTSYQTLSLSRPNRRRKLNVVMSSDSEDEPLSDINEVSQSVDVSCVPESSYVPETLMDGEAELSPRAVSCGHFDGRVEASLSEDDDVQNSPSTEIHIKSFDCLMNTCEIIAQSSDGTMMEDCFKDYVESSQKMQQAADECSRIDFGMTIKAAQKPKLDTVQESWRKLCSRRADMKPYLDSEPVEAPQLLDITHQITNLISEADLTHSRCLNFVAMEPMMNASGDLDTSGLANVLEQMTSTVAQQGFSFFTNQIATTGSVPTSSATKQDYTLSSGGCLDMKPEPHINVSNCERMVQLSGILESVVPLRSLKGRAFHEYASFIGQISRADPSNVSGAKETTRRRRSRESRHYLSMDLSSEDIAFLGQHSTYRRNE >A06p032310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17242186:17244311:-1 gene:A06p032310.1_BraROA transcript:A06p032310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHQVVCYGSVPPSTPDVIEKGKEIRFGVRRRRLHPALSSSRSIIVTVEIHRRLRRDLVIVSVEICRHLRRNPSSFSITQPARRDYDNHSVLRIFNLSSRRSDQSSFALIGLENRRIEVLLASYSLSLIEVVDALEELCGESVWLGFYWVAWKTSLVSKLFFGARLQVKPALMWGDNSSIDSGASFFRWSMMVTSARFSCFVPSFLHQSALVFCCYALVLEDQEIRFLKCCGVWMVSLHGSLVRFSLVWLLVMFPLPGSSFNSFLEKEKHYLRWLAHPVMFAKKIGSKLLDVQMFVTVRIMGAVPVSNMNFYKLLRSNTHVVLYPGLYAEPALGLSPSSTGFRPSNLSKYFRHIFLGVFVV >A07p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23773665:23774979:-1 gene:A07p043460.1_BraROA transcript:A07p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT HYPOCOTYL IN WHITE LIGHT 1 [Source:Projected from Arabidopsis thaliana (AT1G69935) UniProtKB/Swiss-Prot;Acc:F4I3V6] MALSATTLSSSSLQLINVSRRFVSAAPFSLDSIFHLRRRVKRPLASYRGSQKVSKRAKKASRRVLPAAMSPRLVSFAVDGILLLGSLSITRAFLEVICGLGGTVFTVILLIRLFWTGASFFQNYGDSFGPNPL >A05p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1745158:1747040:-1 gene:A05p004450.1_BraROA transcript:A05p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVYVRGTIERYKKACSDAVNPPSVTEANTQYYQQESSKLRRQIRDIQNLNRHILGESLGSLNLKELKNLEGRLEKGIGRVRSKKVNNFHFLQSLYMLRLDLILDPYVLFFAQHEMLVAEIEYMQKREIELQNDNMYLRSKINERAGMQQQEASVIHQQGTVYESSSHQSEQYNRNYIPVNLLEPNQNSSDQNQPPLQLV >A06p057490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29902764:29906348:-1 gene:A06p057490.1_BraROA transcript:A06p057490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFPSFFLFVTTLFFSVFSLSLQASSPSQSLYREIHHLISFKNVLPDKNLLPDWSPDKNPCTFHGVTCKEDKVTSIDLSSKPLNVGFTAVASSLLSLAGLESLFLSNSHINGSISDFKCTASLTSLDLSMNSISGPVSTLSSFGSCIGLQHLNVSSNTLDFPGKVSGGLKLSSLEVLDLSSNSLSGANVVGWILSNGCTELKHLSVSGNKISGDVDVSRCVNLEFLDISSNNFSTSIPSLGDCSSLQHLDISGNKFSGDFSNAISSCTELKSLNISGNQFAGTIPPLPLKSLQYLSLAENNFTGEIPELLSGACGTLAGLDLSGNEFHGTVPPFLASCHLLESLVLSSNNFSGELPMDTLLKMKGLKVLDLSFNEFSGELPESLTNLSASLLTLDLSSNNFSGPILPNLCRSPKTTLRELYLQNNGFTGKIPATLSNCSELVSLHLSFNYLSGTIPSSLGSLSKLRDLKLWLNMLEGEIPQELMYVNTLETLILDFNYLTGEIPSGLSNCTNLNWISLSNNRLTGQIPRWIGRLESLAILKLSNNSFYGNIPAELGDCRSLIWLDLNTNYFNGTIPAEMFKQSGKIAVNFIAGKRYVYIKNDGMKKECHGAGNLLEFQGIRWEQLSRVSTRNPCNFTRVYKGHTSPTFDNNGSMMFLDMSHNMLSGFIPKEIGSMPYLFILNLGHNFISGSIPDEVGDLRGLNILDLSSNKLDGRIPQAMSALTMLTEIDLSNNLLSGPIPEMGQFETFSPVKFLNNSGLCGYPLPRCGPANADGYAHQRSHGRKPPSVAGSVAMGLLFSFVCIFGLVLVGREMRKRRRKKEAELEMYGEGHGNSGDRTANNTNWKLTGAKEALSISLAAFEKPLRKLTFADLLQATNGFHNDTMIGSGGFGDVYKAVLKDGSAVAIKKLIHVSGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEFMKYGSLEDVLHDPKKAGVKLTWSMRRKIAIGAARGLAFLHHTCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSRKGDVYSYGVVLLELLTGKRPTDSPDFGDNNLVGWVKQHAKLRIRDVFDPELLKEDPALEIELLQHLKVAVACLEDRAWKRPTILQVMAKLKEIQAGSGIDSQSTIGSIEDGGFSSVEMVDMSIKEVPEGKF >A02g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14836096:14838013:-1 gene:A02g504540.1_BraROA transcript:A02g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPMIPHSFNIHHPSQAITQTHTDQRQAYTNEIHIKPNLVPKPDSGLNTQYSNMGPGDLVFLLNIGNILSPYHKGQKKELSTDRGPQSFGSPRDSPQSFGSLPHYTVLVDRREPSQNPMPLSSMDWYGRIRIWTEPPRTSGSSSRTFGRTMALKNALTSSKQLQILHFLFLLHFLSLAQLGELGLYRYGQPLKWCYSIACVSSRMLPVACAATHGRLNVTMHDSSACQKPAPRPDVIQHGWSSCIATHGPLGVKTMPCRALGVMLHVRLHTAETCRATETLRCLDEGQHVLIPQIGPPRASRLICAILVFLVNFCPVINPEYFSAPVLIL >A06p002290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5250015:5251096:-1 gene:A06p002290.1_BraROA transcript:A06p002290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26.5 kDa heat shock protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52560) UniProtKB/Swiss-Prot;Acc:Q9SSQ8] MALARLALRNLQQKLSSTFMCPSGEKCLVGNRHSQSKLSRFMASSSGEQEEKKSTEVSVSEKKSPRRIFPRRRGRKSLWRNTDDHDYFAPALNGLFPPSLENALMQATENINRIFDNFDIRPSQLMGQVKEQDDCYMLRYEVPGLTKDDVRITVDNGILMIKGEHKAEEEEGSPEEDEHWSSRSYGYYNTSLSLPDDAKVEEIKAELKNGVLNVVIPRMEKPKKDVQEISVE >A01p010390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5078212:5079147:1 gene:A01p010390.1_BraROA transcript:A01p010390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSITHKDDQIVESKEITPISTPKNAYDSNSDELNDTDEEEVNVCDTCGVQGFTNKLAICDDCGVGAEHTYCMPEKLEDVPERWSCNDCVEMGESEFQKQNDLSTQTSSETVGLDLNIEPNLNLNPNIDLNLDPSIDLNVNPNQDLNLDMNLDPNLDLSVDNNLDLSLNCGSYYQSSSTSNFQAHTRMRASSNPLLGQGSLEEEEEDHCAKRRRVDTRLSL >A05p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5318656:5323452:1 gene:A05p012250.1_BraROA transcript:A05p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAATATATSVSARSGDILYGYLRRKTVAPFRFAQTKQQVYCKSLRPSFVAVRAMSESQTALKNQPQSSASSGKKQALISLSDKKDLATLGNGLQELGYTIVSTGGTASTLENAGVSVTKVETLTHFPEMATLVLFFFLLLENQLDGRVKTLHPNIHGGILARRDVEHHMEALNEHGIGTFDVVVVNLYPFYDKVTAPGGISFEDGIENIDIGGPAMIRAAAKNHKDVLIVVDSEDYQAVLEYLKGGQSDQQFRRKLAWKAFQHVAAYDSAVSEWLWKQTEGKEKFPPSFTVPLSLKSSLRYGENPHQKAAFYVDKSLAEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFENPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNVEVDEVLARELREFRSPTDGETRMFYEIVVAPKYTAKGLEVLKGKSKTLRILEAKKNDQGKLSLRQVGGGWLAQDSDDITPEDISFKAVSDKTPTESELADAKFAWLCVKHVKSNAIVIAKVGSLSSSLSIRLGSFMILILGLFMVKNNCMLGMGSGQPNRVESLRLAFKKAGEEAKGAALASDAFFPFAWKDAVEEACEKGIGAIAEPGGSIRDQDAIDCCNKYGVSLLFTNVAPVGTDVVWIYSITYGGGIVSGDSISCEFTIGDGCTAVLTTQSSTKVYKAIGSQCSEQTLEARIGRESLLVVVPDPVTCFSTARYYQKQNFRLVSDSNLVLVDWITSGRHANGEKWDFEFYKSINNVYLEDDKPLFLDTVLLEKRNIQSIAERMQDYHAIAMVILFGPKLRELQKQVQENVKNMMSEQLQISYGSRRHNPDSRARNGFMKPEFIASCSTFGPEGKGVVVRIASDSTESVYNFLRQQLGDLEPLLGQSPYA >A02p059380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35441710:35444267:1 gene:A02p059380.1_BraROA transcript:A02p059380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACGQLNLEEPTPIRGSRSVDCFEKLEQIGEGTYGQVYMAKDIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIHLKEIVTSPGRDRDDQGKPDNNKYKGGIYMVFEYMDHDLTGLADRPGLRFTVPQIKCYMKQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSYSHDHSGNLTNRVITLWYRPPELLLGATKYGPAIDMWSVGCIFAELLNGKPILPGKTESEQLNKIYELCGSPDENNWPGVTKMPWYNQMKSSRPLKRRVREVYRHFDRHALELLEKMLVLDPSQRISAKDALDAEYFWTDPLPCDPKSLPTYESSHEFQTKKKRQQMRHNEEAAKKQKLQHPQQQQHSRLPPQQHGVGQSHAAPHWPGGPNHPINNGPPPQLPAGGPSGHNYYQKARVGGAPGPNRYPPGGNQTGGYNNSQSRGGYSSGSYPPQGRGGAPYGGAGPRGPSGGYGAGPPNYSQGGGGQYGGSGRGQNPMGGARNQQYGWQP >A01p058970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33698757:33700460:1 gene:A01p058970.1_BraROA transcript:A01p058970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESMSPRPGSPCSPGFGVRKRKNSKNKRLGGSRNSSFDSRRDDPLHRVPGRMFLNGSSEVACIFTQQGKKGPNQDAMVVWESFGSRTDTVFCGVFDGHGPFGHMVAKRVRDNLPLKLSAYWEAKVPVEGSIKTVNNSANNNSEDAASFVSTEEEPRPEESTDSELFQTLKEAFLKAFKVMDRELKFHGSVDCFCSGTTAVTLIKQGEYLVVGNVGDSRAVMGTRDGENGLVAVQLTVDLKPNLPAEEERIKKCRGRVFALRDEPDVCRVWLPNCDSPGLAMARAFGDFCLKDFGLISVPEVSFRRLTEQDEFIVLATDGVWDVLSNEEVVKIVASAPSRSSAARALVETAVRAWRHKYPTSKVDDCAAVCLYLNSNGSNAISTASSFSKLEDGDEIDDGSGPSGGLGRSSTVRTGKEIALDESEAEKLIKEEDTEHGTEYSALEGVARVNTLLNLPRFVPGK >A06p035120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19003978:19005684:-1 gene:A06p035120.1_BraROA transcript:A06p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENRIMARCGGRSLISSLLLLLVALLTISPSHGFVKTEKKIKSASFLSPKLVMNPGSVANPFLFDIDFPRGHIGIKGFDAEVVDQDDNPVPLHQTYLHHWVVQPYYVRKGFKLSQRDMPRNHGFSRHLGSKPDYILVRNGGLCRNTVRHFFGLGSETRKTSTRVPDPYAIEIDNPEETPDGYEFKWLLNIHAIDTRGVVDKSGCTECRCDLYNVTIDEYGRAIKPDYKGGLYCCYDKTQCLLRNGFDSVEEKTRTLYLKYTVRWVDWDSSVVPAKVYILDVTDSWEPSHGSTGDSQEHFCHVEYEVKPCKTNGDGCVDVKKKSLMMPFNGYIVYGAAHQHAGGIGAALYREDGEGICTSMPKYGNGDEPGNEAGYIVGMSSCYPDEPVKVTYGETLTLEFNYSSAVGHTGVMGLFYILVAQQLPEQESSLPALFQAHTKSVSFLSFLAAMVVVVAVVVLIAAVVYRRQKREDGYQSLST >A01g504830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:14383962:14384189:1 gene:A01g504830.1_BraROA transcript:A01g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTVQRVVLNFPLDLPQNCLFMAFTPPWVLDWESDQLSVFFSGFFVFQCVEGSPGVPAKARTCLPYIGEGVVTS >A03p049480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21195423:21196431:1 gene:A03p049480.1_BraROA transcript:A03p049480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIELPGFRFHPTEEELLNYYLKNMVYGNISKVEVIGFLNIYRHDPWDLPHLSTIGEREWYFFVPRERKHGNGGRPSRTTEKGYWKATGSDRKIISLSEPKRMIGLKKTLVFYSGRAPGGSKTDWVMNEFRMPDNCTLPKDVVLCKVYRKATSLKVLEQRAEMGAKMNQTCPNSPLSSSDTISFVGKEEDMMMTSFPFPREAAMKEANNNNFMLQGHTEEKQRETEMKEPSSSLKLPCGVLPLPELQLPKQGFEWGQDQFLSISPWLQNLTPIVNLLNF >A01p035700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:16909230:16909424:1 gene:A01p035700.1_BraROA transcript:A01p035700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEINDSTRPPPPLAAAHGEEIEKESARRKRDREERDAASREKRRRDGVGRERRDSTARASGL >A02p006370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2695269:2703585:1 gene:A02p006370.1_BraROA transcript:A02p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT5G14880) UniProtKB/TrEMBL;Acc:A0A178UBD9] MDLERLSPRNQVKKESWWTVLTLAYQSLGVVYGDLATSPLYVYKSTFAEDIQHSETNEEIFGVLSLIFWTLTLIPLVKYVFIVLRADDNGEGGTFALYSLLCRHARISSLPNFQLADEDLSEYKKTSGDNPRNLKAKGWSLKNTLEKHKVLQNMLLVLALIGTCMVIGDGVLTPAISVFSAVSGLELSMSKQQHQYVEVPVVCAILILLFSLQHYGTHRLGFLFAPIVLAWLLCISTIGVYNIFHWNPHVYKALSPYYICKFLKKTGTRGWMSLGGILLCITEKIRWPVLAIAILAAVVGSQAIITGTFSIIKQCTSLGCFPKVKIVHTSSKMHGQIYIPEINWTLMLLCLAVTVGFRDTKHISNASGLAVITVMLVTTCLMSLVIVLCWRKSSLYALAFIFFFGTIESLYFSASLIKFLEGAWVPLALSFIFLLIMYVWHYGTVMRYDFDVQNKVSINWLLTLFGSSNLGIVRVRGIGVINTELVSGIPAIFSHFITNLPAFHQVVVFLCVKSVPVPHVKPEERFLVGRVGPKEYRLYRCIARYGYRDVHKDDVEFEQDLICSIAEFIRSDKAFDESPDRENETGSNERLTVVAASSSNLEGVQIYEDDGEQSEVTEAQAPSPRVKKRVRFVLPESSRIDRSAEEELTELTEAREAGMAFIMGHSYVRAKSGSSVMKKMAINFGYDFLRRNSRGPCYGLSTPHASTLEVEIVSGLVTNVASMLWKLLWSLQTSTTTTTTTKSGVSSRSMVKYESGYNIETVFDGSKLGIEPYAIEVSPNGEELIVLDSENSNIHKIAMPLSRYGKAKLVSGSQEGYTGHVDGKLKEAKMNRPRGLAIDDSGNIYVADTNNMAIRKISDDGVSTIAVGGRRSGGSKEEMMRLSNDFDLIYVSSTCSLLVVDRGNQMIREIQLHDHDCSHHEPETDLHLGTALLVAAAFFGYMLALLVRRVRSLFSSFRHDNKRHHVAKPNMTMAPYQRYPRPVRQPLIPPQHEPEKEEGFLGSLGKLVVKTGSSVSEMISGSRNVTPQDFQYHHQQQPNQWPPVQETFAIPEEDGPPGLEPRSGTNQDKPYLRVQGTKQNRSHYQDYDQYQNQQKRNVSDTGKCEDNREKNEFVFGAVQEQDGRREAMVIKAVDFNEAMNDQRNLRPRINYMGYSSHKNDIWPASILGRFFPTFREDRMRLNGGTPDLVCRHVSNISASMKNLPATTKIEKRLNDEYIQNQLGITQFQLLGGNIRVQGWREHRGRKDSKNRIQTLFLSQPMGLQSFPISEMDTTDDMHEAMFAKRGCCLFLPCLGSSQPSSHGGSVWWQRIRTVDKLEPDERWWMSGWSKMREWSEILAGPKWKTFIRRVGRSHCCGGVGDGGGGGNRTDHVDFRYDSWSYSLNFDDGKQTGHFEDEFPYRDYSMRFTSPSLPVSTKSSIDFDNDSYAPPLPLVK >A03p018640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7598203:7598703:-1 gene:A03p018640.1_BraROA transcript:A03p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNVEIITGTFIDAEVNESATVQELKEKIATEVKLSVKRLILVVEDEEESRRLVKDDEDEMKLIDLGVKEDSHMYLFFKHPDLVSKEERSQGRGDDASTEEISSEAESKRGNKEEYEEAKGEEEDIAMTNGEEEEKNGEETKDDDNVEDGKKKAREGENEMDIVS >A04g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4352508:4359315:-1 gene:A04g501820.1_BraROA transcript:A04g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSQVLRHFVLLCVKLHRTASCTSTPRSCYETQQVKCLTPRPNPLDQATSFYCGNSVKAWKVILLHFGELPTTKELLDKEGAFYCGNSVKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGVVEVSGYVCCLFFCGWVYLRFSGGNMDMRHESSGAVKIQEENKWVWPRWVMTALGSCEIWSNHVKGEPLMERAADGGQTARKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFDQEPGRREPSNQTGGAGRTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEEQVGPASSEEEQVEPASVQTEDQVQALRRSTMELNRVSKSKTKRIQSSGEVDEVQSSGEEQVGPASSEEEQVEPANFYCGNSVKAWKVILLHFGELPTTKELLDKEGAVWIRFPQAREAGDRL >A06p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9978337:9980109:-1 gene:A06p020360.1_BraROA transcript:A06p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRSIYVGNLPGDIRESEIEDLFYKYGRIVDIELKVPPRPPCYCFVEFEHTRDAEDAIDGRDGYNFDGCRLRVELAHGGRGQSSGDRRGGGGYRGGGGGYGGGGGGGGSARFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDSEGTYGVVDYTNYDDMKYAIRKLDDTEFRNPWARGYIRVTKYESSQSRSPSRSRSRSRGRGRSPSRSVSRSRSPRKDLSKSPRRSLSRSVSKSRSPSPDGKKSPPRAMSRSRSLSKSPAKVREGSE >A01g511170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31395102:31395573:-1 gene:A01g511170.1_BraROA transcript:A01g511170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLFGLGVVVRISLVLVVASWSRGFDGLGFTGECGGYVSLSRLAWIAPLGSYRFSGARCRTQPTMMWVVTSSIGSGEVISGWRLVVRSARLSVMELVGREAVLVSLYLPLGLPTGF >A08p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7567795:7569169:-1 gene:A08p009660.1_BraROA transcript:A08p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast envelope quinone oxidoreductase homolog [Source:Projected from Arabidopsis thaliana (AT4G13010) UniProtKB/Swiss-Prot;Acc:Q9SV68] MAEKLMHALQYESYGGGAAALKHVQVPVPSPKANEVLLKLEATSLNPVDWKIQKGMIRPFLPRKFPCIPATDVAGEVLEVGSGVKNFKAGDKVVSVLSHLTGGGLAEYAVASEKLTVKRPQEVGPAEAAALPVAGLTALQALTNPAGLKLDGTGKQANILVTAASGGVGHYAVQLAKLGNAHVTATCGARNIDFVKSLGADEVLDYKTPEGAALKSPSGKKYDSVIHCANGIPFSTFEPNLSENGKVIDITPGPSAMWTFAVKKITMSKKQLVPLLLIPKAENLEFMVNLVKEGKVKTVIDSKHPLSKAEDAWAKSIDGHATGKIIIEP >A08p040840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23173308:23174500:1 gene:A08p040840.1_BraROA transcript:A08p040840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNQILTRPFFNLSTNAEEIPSPSLSSFPISTVPRDLCYRYELWCIARAAGIRYCVVYCDVDEARCREWNKERSDRGEASYDDGVFEDLVRRFERPERRNRWDSPLFELYPYRDGIERSSPVILEAVTYLTKTVDSKTQDVRVLQPSIATQSARFSEANSLYELDRATQEVINAVVEQQGLGGVISRVTLGNELPPVEICRQVGLPELRRLRRTFVKLMGQASLSGPPLPTDADSAKRRFVDYLNREFGGQ >A10g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18389496:18391386:-1 gene:A10g506430.1_BraROA transcript:A10g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEDFMSEPFIVKTINDEETTLHDYQFDSNSQGNTSFSKTCFHGINALSGIGIISVPYALASGGWLSLIILFTIAITTLYCAILIKRCVDMDPIIRSYPDIGYKAFGNTGRFVVSIFMNLELYLVGTSFLILEGDNLNKLFSNIGFNFMDIEFGGKQMFIVLVALIILPSVWLDDMRILSYVSASGVCASVLILASIFCVGAFEGVGFKNNDSKIFRINGVTTSVSLYAFCYCAHPVFPTLYTSMKNKRQFSNVMVICFTICTFIYASVAILGYLMYGSNVESQITLNLPTGKLISKVAICTTLVNPIAKFALMVTPIMDAMRSRFSWFLPNKKAAGLILSTVLVASNVIVALLLPFFGDLMSLVGAFLSATASVILPCLCYLKISGKYRRLGFETLVLIGIIQIGIVVVITGTYQAVKDIFGRF >A01p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26162592:26163836:-1 gene:A01p046510.1_BraROA transcript:A01p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSLRCLVSPNLLNTKKKVSQRPHLLPVYSLSRKQEPSSSSSAAINGGGNSRTVKRLITLSPSEGKWNGSWNTHYNVSLRDLHLQDLVEDNGPTNPRVAVDLSVQRHASMGLSVDGRIITSFSRKCSICSSAYPRLIDTTFTVWILPSSRENRASTLPDIGGDDPSVIYVRPGYEANLDSLVQDTIRLTTYAKDICSDSCEKSEPTLHYVGETNTASVHKRWSRLLELKRKK >A06p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5052258:5053573:1 gene:A06p002640.1_BraROA transcript:A06p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSRNWFRDDKASVYNSEEKKLELKLGPPGEDEAGSSMIRNIKKEPKDKSILSLARNHFSSPSTTNKTTSQKRTAPGPVVGWPPVRSFRKNLTNGSSSKLGNESTSNGVVLKNQKCDDDNAREKPMGANRQGGLFVKINMHGVPIGRKVDLSAHNSYEQLSFTVDKLFRGLLAAQRESSSFGEEEKPITGLLDGNGEYTLTYEDNEGDKMLVGDVPWHMFVSSVKRLRVIKTSLISSALTYGNGKQEKMRS >A09p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43896266:43898557:1 gene:A09p049700.1_BraROA transcript:A09p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQAIQSRETGRTPSNSFANQLKSRRISSLQLSNRKDFVSPHRGSVNSLQVDLTEGRYLLSGASDGSAAVFDTQRATDSEASGLIAKHKCVFSVDKGHEHGHRFAVSSAVWYPIDTGLFVTGSFDHLIKVWDTNTAQAVVDFKMPGKVYRTAMSSLAMSHTLIAAGTEDVQVRLCDIASGAFSHTLSGHRDGVMSVEWSTSSEWVLYTGGCDGAIRFWDIRRAGCFRVLDQSVTQLGVRPPILKHTAVNSKVSAEKSSSGGKNRLKTLQSKHTGSQNMKGSSSAKASVEKSRQKRLHPGMLSTLDRATAHYGVVTGLKATNDGMYLLSAGSDSRVRLWDTQSGCNTLLNFETGRLQTSKAIQMATSDDPALLFVPCMKTVKAFGMWSGRTTLMFRGHYESLNTCCFNSTDQELYTSGADRQILVWSPGGSVEGEMDQDEEVAEDKDNWSD >A02g511560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30852778:30862755:1 gene:A02g511560.1_BraROA transcript:A02g511560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQATEDHHEVSYVNGQRWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPVAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMNDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDYMRSLCTTSRSDSSRATARSRSHDPGATSQSDLPRSLPIQSDPLERHTIVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQRSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSGLWTSTAWSDFSERLHEVAVHHIPERLIQNDCTKSLAFSHPETHIFDPGATFQSDVLKSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENYNFLGTFLQFEGTHQRQIIFYLWIIGEIHKNSIEKLKADSLIDHLPSLVRYLITQGLIPMPMNSLFP >A06g502060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7361001:7361441:-1 gene:A06g502060.1_BraROA transcript:A06g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEAEEAEEAEEAMEAEEAEEAEEEADKVVEKEGDKYTDEEKQMWALVVYKASEEMADGTTEVRRDGTNEVRTGFKLRCKQKIMMYGKPRGKKKPQRPQSQESAPVIARTPREKRKPQRLQSPYTQVKTEDIDGPKKKRKTKVK >A06p049910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26300514:26303780:-1 gene:A06p049910.1_BraROA transcript:A06p049910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAFPGGYYRNTFEAAPDESEGSGSSAQIDTEVTASDNSSTPARKCVKLSPDDEDPYGVQRQVISLYNMSQSERKDLIHRLKLELEQTKIVLKNAELQRLNTPSVSSTSARMGFNSSQKPSSRVSSSKRPSDFAMGPGKNVRHQPGAASRGWNRGSSGKFESSSKESIPSASNVILMKQCDTLLKKLWSHPHSWVFQAPVDVVKLNIPDYLTIIKHPMDLGTIKKNLASGVYSSPHEFAADVRLTFTNAMTYNPPGHDVHIMGDILSKLFEARWKAIEKKLPVTLPAVTVEPREEKRAAVFVPPAKKRKMASSPVRESVPEPVKALVMTAEERHRLGRQLESLLEELPAQIIDFLKKHSSNGGEIAEDEIEIDIDVLSDEVLFSLKKLLDEHIQDKEAKQSNVEPCEIELPKGSGPSSSLLQRGNEMADEYVGGNEPPISRSSSDSESGSSEDQSDDAKPIIQEGGSKIPETTNSEAQRDEDTRIDDLLNGSVYIFGVFITESNGAPEQMDISTQQKPSSDETDGQPDGNTLENPVSSEKKYRAALLKNKFADIILKAREKTLPQNSNKGDPEKLRKEREELELKKKKERARLQAEAEAAENARRQAEAEAAAEAAAESKRQREVEREAARQALLKMEKTVEINENSRFLEDLEMLRSSVPEQLLSSVDEISPERTLDALGSFNLGGMNPLEQLGLYMKQDDDEDEPEAPPTVPKLATDVEEGEID >A08g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18501185:18502469:-1 gene:A08g509380.1_BraROA transcript:A08g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECCAFLLLLLFICPLGFYLYTIEYGTKLSPDFYAHSCPQVEDIVIDVLATTIATETRMAASLMRLHYHDCFEGNYDMQGCDGSLLLDSIGGIVSEKNSVFNSQSVRGFEVIDQIKAQLEKECPGTVSCADVLTLAARDSSVLSGGPSWVVPLGRRDSINASLSGSNSNIPASNDSFKAILRKFNRHGLDVTDLVALSGSHTIGFSRCTSFRQRLYNQSGDGRPDITLEESFAANLRKSCPIAGGEENLSVLDMVTAAKFDNNYFINLIKNMGLLYSDQILFSGNDISRGLVITYAQDQEVFFLQFAESMIKMGNISPLMGSNGEIRKNCRKINS >A03p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8370968:8371636:-1 gene:A03p020420.1_BraROA transcript:A03p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWRDDSSVSDDAGNDSTDQPPRDSAADGSCSTTTVVRSNCKTEEVEPGKFVRKCDKTEEILRHCFGKPSEVVQSTTEHTEEDVTDQMVRGGSSPANQFEENPLNFPGLRSDLDDIERHFFSGMKSFFDAAEEMKSSLFDIMGDYDSNNTVRGIPPIQDHPKIDDENAATRQPFSSGEIDLSGLAKDV >A02p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2185075:2185936:-1 gene:A02p005060.1_BraROA transcript:A02p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATIGKVFDSVSGFFSGAASGSTDEFPLCDTDIISGCEKELAEAQKGQDEGLKKECIMRLSWALVHSKTHADIQRGISMLEASVVSDTSPMNLREKLYLLALGYYRSSDFSRSRECVERCLEVEPEWGQAQTLKTAIEDRIVKDGVIGVGIAVTAVGVVAGIAAALLR >A07p050630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26984093:26986465:-1 gene:A07p050630.1_BraROA transcript:A07p050630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVILLNFWPSMFGMRTMIALKEKEVKYEHREEDLINNKSALLLEMNPIYKKIPVLIHNGKPICESVIQVQYIDEIWSDKNPLLPKDPYQRAQALFWADFIDKKLYVCGRKTWATKGEEQEEAKKEFIGILKTLQSELGDKPYFGGDRFGFLDIVLIGFYSWFPAYQKFGNFSIEPECSKLMDWGKRCMERESVATSLPDPERVGGFILQLKKLYGIESCSFLIIQDLATMADEVILLDYWASPFGMRARIALREKGVKFECREENLRDKSPLLLQMNPVHKKIPVLIHNGKPVCESMNAVQYIDEVWSGKNSILPSDPYQRAQARFWIDFIDTKVYEPADKIWSTTGEVQETAKKDFIEALKILEAELGDKPYFGGDNFGLVDIAMTGYYSWFEPFEKCANFSIESECPTLMASAKRCLQRESVVNSIPNPDELFALALKLRKEYGV >A10g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11003546:11005060:1 gene:A10g504500.1_BraROA transcript:A10g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHLIEAPRAFVPLEGPPGFPLLFPELSKEDRKMAMLYISHADETERRARIQRVQQGIEENKTESSIRLTKITKELDKGKGHVFSYQELASDEILNVGIGQRSYSKLTLHEKEDGDTESSASHRSVCSEPAPPAGFRLGPSSGGRVSGTQGMSKSSRRRPSSWKRKTVAKLSTPIINPDLVPVSSSKAGSAKRKPSPLGRPVNAAIQWIVSVVVAEVS >A03g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15056266:15061563:1 gene:A03g504300.1_BraROA transcript:A03g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKLEASRCAIANVHSLICGFNLYKFCDLIIILQEVISMGSESDSIEEKAAARKEALRALRAAQELSESKEEGDGDDVAVVEEYLEIMFLKIRRFRTVKFEDPVAALPPAVEKKEDPFVNIAPKKPNWDLRRDVQKKLDKLERRTQKAMHKLTDVAGIAGYDFNICGNEADLINKVASDVMVVLGFTPSKDFDDFVGIEARIMEIKSKLILQSEEVKVIGIFGPTGIGKTTTARVLYKQLSTDFQFSTFLEDIRGSYKKPCGNDYQLKLHFQKNLLCQILNRKNIEVHHLGGAQEMLGDKKVLLVLDEVDNWWQLEEMAKQRRWVGPGSIIIITTEDRKLLKAFGLGIDHMYKMKYPTSDESLQIFCQHAFGQNYPDDGFESLAREVTWLAGDLPLGLRVMGSYLRGMSRDEWIEALPWLRSTLDREIESTLRFSYEALRDNEKTLFLHLACLFTCFKVDSVKRYFANSRLEVNHGLEVLDQKSLISTDYGFIYMHRLLEQMGREIVKKQAMENPAAPKFLTDTKEISDVLDEDTARGNVVGIMLCTSQKMQINKSAFQGMNNLQFLYFAPDTTTFTLEGLDCLPDKLILLHWPECPLRVWPSKFSGKFLVELIMPCSEFELLWEGIKPLPCLKKLNLSSSQNLKKIPDLSKATSLEELCLQECVSLLELTSSFRNATKLYRLDISGCKKIKDFPNVPDSIIELDLCKTGIKEVPPWIENLFRLRKLIMHGCRKLKTISPNISKLENLEYLALRNYGYCGFHNHEEKYDDGLYMYVNLFEAIIEWGPDFKRRWRLQSDLEVDYILPICLPEKAFTSPISLRLRGYGIKTIPDCITRLSGLIKLEVGECIELVALPPLPDSLLYLDAQGCESLKRIDSSSFQNPEICMNFAYCINLKQKARKLIQTSACKYAVLPGEEVPSHFTHRASSSSLTITSTPRPLPSSFRFKACILLSTVYDHLGDTSDDDEKEGENSLTRMSYSVRAKQNGLTVGCGSNQLLMPALYRPFRRIAPYRSDL >A02p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26610686:26611609:1 gene:A02p042380.1_BraROA transcript:A02p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKADDEYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLNVDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVETWLKELRNHTDPNIVVMLVGNKSDLRHLVAVQTDDAKSFAEKESLYFMETSALDSTNVENAFAEVLTQIHCIVSRKAMEAASESANVPSKGDKIDLGKDVSAVKKGGCCSN >A09p008740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4519822:4521306:1 gene:A09p008740.1_BraROA transcript:A09p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) UniProtKB/TrEMBL;Acc:Q9FM53] MMALTQLLPCSPQKLFLFSTFLHPSTPLESRRCSLTTKPSSKKLVPLSFDISCSSHKIVRNPSLDKHVVKQNRVRFVQKLKTLLLSKPKHYIPIQILYKCSSYLGIENPRTILSMIRRYPTIFQLFTTPTPHLPINATKSLSTLCVRMTPAASSLAMQELNLKSEIADKLATKLQKLLMLSSHRRLLLSKLVHIGPDLGFPPNFRSRLCNDYPDKFKTVETSYGRALELVSWDQELANQMPSSPEVDRGLIVDRPPKFKRLNLRRGLNLKRRHQDYLIKFRESPDVCPYKTSSECLASESVEAEKRACAVVREVLGLTVERRTLIDHLTHFRQEFALPNKLRGLIVRHPELFYVSVKGTRDSVFLVEAYNDNGDLLEKDELSLIRERLIDLVQEGKRIRRERRRKGSMEEYRNDDKRDEGIDDYHSVLEDDDEYEDGFENLFDSDDSGVEYHFDEEDDDEEEWVSSGESVEYWSRKLSSSGMSNDEVKSVIESW >A09p018800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9929565:9930668:1 gene:A09p018800.1_BraROA transcript:A09p018800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGKFFFLLLLCLNLLMSPKPAEAKIGIEPIGLCSKIPNCNQKCKEVKKTTIGGICYRRSPRDTEDTCGCFIIISYIAS >A01p006450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3261744:3265555:1 gene:A01p006450.1_BraROA transcript:A01p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITYILSVSLIRFRLIKILVLFMRFGCQGSFEDIYLKQSKTGKMIKNTPEWEVRVTNPCTCTGTDIVLTCVGFKSLTPIDRSQLSISGNECKMTNNLYGHSDFVFKYVWTKKLDIKMESGGIACS >A01g510930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30187125:30188105:-1 gene:A01g510930.1_BraROA transcript:A01g510930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEFYFNDASSFWELKQEEMFKNTFQSGFLSILYSLGSKPLQMWDKEVEDGHVKRCHDGDIQSNVLEVVGSNIQSNYITCPADLSATLGIKLPFLVLVVKNMKKYFSFEIQILDDKNVRRRFRASNFQSVTRVKPYICTMPLKMDEGWNQIQLNLPDLTRRAYGTNYAETLRVQVHANCRLRRIYFAHRLYSDEELPPEFKLYLPVQKA >A02g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9094252:9096724:1 gene:A02g502700.1_BraROA transcript:A02g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRLLPGNPDDFLEVQTTSRKSRRLPGSPDDFVRRLPDDFQTTSRRLTGKSSQKSSRSEKPAHQIQI >A02p041290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26038031:26038470:1 gene:A02p041290.1_BraROA transcript:A02p041290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKTWVTFCLAIILVSSLSNHNVLTSGAEMEKVQHKYTFCAKSLCTDSYSPRMCFVDCVSKGFLTGDCITPPNSPLRCCCANE >A06g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21866002:21872348:-1 gene:A06g507850.1_BraROA transcript:A06g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNEDKNKEEEKNTKKKWQKSYFDVLGICCSSEVPLIENILKSLDGVKEFSVIVPSRTVIVVHDNLLISPFQIAKALNQAKFEANVKVDGKTNFKNKWPSPFALASGILLLLSFLKFVYPPLRWIAVAAVAAGIYPILAKAVASIGRKRVDINILVIITVAATLAMQDYMEAAAVVFLFTIAEWLETRASYKATAVMQSLMSLAPQKAIIAETGEEVEVDEVKVNTIVAVKAGETIPIDGIVVDGNCEVDEKTLTGEAYPVPKQRDSTVWAGTINLNGYVSVKTTSLASDCVVAKMAKLVEEAQSSKTKSQRLIDKCSQYYTPAIILVSGGFAVVPAVMKLHNLNHWFHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKSADYLDTLSKIKITAFDKTGTITRGEFIVIEFRSLHSTKPGLLPEESSLSLSSDHSLVDYAKSVNVEPRTEEVEGYQNFPGEGIYGKIDGNDIYIGNKRIGSRAKISTVPEIEVDTNKGGKTVGYIYVGERLAGVFNLSDACRSGVAQAMKELKDLGIKTAMLTGDNQDAAMHAQEQLGNAMDVVHGELLPEDKSRIILEFKKEGPTAMVGDGVNDAPALATADIGISMGISGSALATQTGHIILMSNDIRRIPQAIRLARRARRKVVENLFISITLKVGILVLAFAGHPLIWAAVLADVGTCLLVILNSMLLLRDKDKTKNKKCYRASSTLLNGKKLEGGAEEELDLEAGLLTKSGQCNSGCCGDKKKQEKVKPSSKSSYTHRHSGCCGDKQQQDNVKTIVKESCCGEKNKIHMASFSSCKKSTHVKKGGSGCCDKKKEKMKETVAKRCCEEKEKNVEMQILGGQELIDLEKGLAGETCKSRCYGTKEKAAEAAYKVDCNSGSCQENETVKQRCPEKTCLDIETGDSKLVCYGETEGEVGEQSDLEVKNERECKSGCCSDERKQTEEITLASEEETTEILDCSSSVKQSCHESTCLACLKDLN >A09g513000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39593188:39594334:1 gene:A09g513000.1_BraROA transcript:A09g513000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYPRQPVKEKRSILRLTGRADISIWSSTDHGSYSFKSGYWLASNNSNAHMSSVSPLDQEIIHLKRKIWKLKTIPKIRLLQRRAVSGALAVADIIKLRGLVVHVECKLCNKKLESINHVLFESIPAQDILQTVNFPSATSPARNLGEKMKLALKIMSDTTVPENIRRAVPTKSTEPRVDIVIGVDNQEAIKALSNASAWALYRTLLDIIAGLGSNFHVATFKQESLKSNFIARKIAQSVLRDGEFLSYLALEGYACNAGLRVVL >A10g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7775523:7776742:1 gene:A10g502780.1_BraROA transcript:A10g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRLYLKEFERKFDMEKAVCNHGFFMMAPNVWDPKSKSLTRPLTLSNSSSVSVTISHPRTLSFLVIQVHGINNVSRVDEELILQQVGRMLRISAEDDRDVTEFQQLHEDAKKYGFGRIFRSPFLFEDMVKSILLSNMTWERTLGMASSLCLLQSKLADGTVSSQTNKKRKRVVKARKETSGNSPSAKEIASLDKDLINEHCKLGYRAKWIVKLAKMVESGKLNLEEMERRDMKAEEVSEKLNKLKGFGPFSTATVLMCIGYYHLVPSDTETLRLFREVHVNVECSKETLETAAQSFYDRFSPFQSLAYWFDLIQNYETKLGKLSELSQLDYKSVSGCSHMKQLKAD >A09p058790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49432406:49435998:1 gene:A09p058790.1_BraROA transcript:A09p058790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQADSSDSKGTKKDFSTAILERKKAANRLVVDEAINDDNSIVYLHPETMEKLQLFRGDTVLIKGKKRKDTVCIALPDDTCEEPKIRMNKVVRSNLRVRLGDVISLHQFPDVKYGNRVHVLPIDDTIEGVTGNIFDAYLKPYFLEAYRPVKKGDLFLVRGGMRSIEFKVIETDPAEYCVVAPNTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGTGKTLLARAVANETGAFFLCINGPEIMSKMAGESESNLRKAFKEAEKNAPSIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDSALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERASKDTHGYVGADLAALCTEAALQCIREKMDVIDIEDEEIDAEILNSMAVTNEHYLTALGNSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDDMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSCLRKSPVAKDVDLRALAKYTQGFSGADITEICQRSCKYAIRENIEKDIEKERKRAEAPEAMEEDEEEIAEIKAGHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPQATGAGAAATTAGGADPFATTGGAAAEDDDLYS >A04g501670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4033410:4033916:-1 gene:A04g501670.1_BraROA transcript:A04g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQVQASNNEENQVLSLGNICLLDGSWTASGRFSGCGWVWMDSRENIQLMGTRNFTRCESALHSEVEALRWAMENILQHSPCQSFGTDCKELIAMINDPQEWPSFATELEKIETLKICFPDFKINHVPRVRNQLSDFLAKTAKNFRRELLFIGCSIPVWLPRPPQA >A07p012870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7840689:7843096:1 gene:A07p012870.1_BraROA transcript:A07p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRGKERQNKISKLWSCPQAMKKSIEVISPKLDLENQDKQGLLTLRWFAVAGMALPPPLFFLCLSRYVDSSPTYPLFCWSYGEAWKTWMQSAFRVVLKRIEIFRSLRGWYSLLHLGVSSRSCLPLSWTSVFDYTMACVVLSFLAVSGDVSRREAQFHSVTASLDLLHPNIRACCSAVFDRRVSILLGCRCSSPPT >A08g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4080116:4081025:1 gene:A08g501610.1_BraROA transcript:A08g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRVIYTVGNWIRGSGQALDRIGSLLQGSHRLEEHRGVCNCVSIDSRGSTKNGVKTNDGVVLAVEKTITSPLLKPSSLEKIMETDDHICCAMTCVIADACNTC >A03p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2913072:2914548:1 gene:A03p006990.1_BraROA transcript:A03p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTLKDLNSLPLTEKMSDCKASLAKPCVGKMNGPLLPSSVALPPSVGESEKPEAEKATVEVEYIESENLDNVDDADAVLKSVLAGLDSKDWVSVCDALNNVRRLSIFHKEAMLHMLEKVIPLVVKSLKNPRSAVCKTACMTSADIFSAYNDHITDLLDSLLTQLLLKSSQDKRFVCEAAEKALTSMTKYVSPTLLLPKLQPCLKNRNPRIRAKASSCFSRSVPRLGVEGIKEYGIDKLVQAAASQLSDQLPESREAARIVLLELQTVYEKAHPLIKPETSSSSSPEEEQISEAEPITWENFCQSKLSALSAQAVLRVTNVLAMTAREGLVTTGSSSTS >A06g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28032572:28035084:1 gene:A06g509640.1_BraROA transcript:A06g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWTSWKSSDKVVWTFRKSSGLPGSRLDFQEVVWTSMKSYGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWTSCKVVWKSSELPKSLLAKSSELPGSLDDLQLGRHRLVLQLRKKTSRFNYIQTTYNSVIHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKVKSSTARRLPNSLAYIRLLQAHRITNESHPPIINQNLGFFSSLWRESERYDVFSSQEWKKKKDKSILGALRASNWLFMVVVVLMTMTIL >A02p052370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32055838:32059319:1 gene:A02p052370.1_BraROA transcript:A02p052370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPDVDFDGRVAQPSIPPINNVISTPSLNGETISMDPKTMSAVRAGNVNYLRDNYSYVRLAPRLVTNHGNTMLHLAASSGHASLVRYLINQCPSLLMKSNLMDEVVLHVAARTGHLDVMLNLVDFIIEISRNAVGVAKRIYFAKNKNQDTALHVALKEKHMLVASYLVSTEKDLSFVANSDGFSPLYLAIEAGQADLVTAMCHQSSDLRSKVGGRSIVHAALKAKRKDILTALLRKDASLIDLRDEGRTCLSFGASIGYYEGICYLLDKYLDMVYLSDDDGLFPIHMAAKYGHVKILEEILKRCPEALELLDKDGQNVLHVAARNGKLEPIKFILRIYKDKNKKKLVNEQDVDGNTPLHLATKNWHPKVVSMLTWDNRVDLTKTNNKGFTALDVAEDNIVSNYVFHQRLTWLALRSGGTPRSPTTNDRRIIMKLADGGRYKDQVNTLLLVATLVATMTFTAGLTLPGGYNGSAPNLGMAVLTKKTAFQVFLVCDTLAMYSSIIIIVALLWAQLGDISIILKAFYMALPFLGLALTSMSIAFMAGTYAAVSHVPLLGCFVLGIGVIFLLVLLLFLVPYVAPIGNIQSFLGHLLYYPYFLRLLAAGDNNSNND >A01g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5490380:5493988:1 gene:A01g501470.1_BraROA transcript:A01g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFEKPQEEEDHIEDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDFDSLVLINECLDLICETRKLDELRIEKLASDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTLFEPVVDWFGKHNCEFWANSKGHFCNLQSMGSETELSKVQGPVLQNPQNPPLERSIVLLSGLVDDDPGGYHGGFRARRQASPDLRARHRGGAEPGDGVRGESEHDLGFGGGAERGEEFVVACGGSWLRETTKKMKRPRFEIGLAAVSGSDVTTPLGTKRARAQRRDEPAREKVHVPVQYRLGGAGCDGRCGCDFEMLTGLFRFDPV >A04p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10143320:10146229:-1 gene:A04p016520.1_BraROA transcript:A04p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCMSTHSKSIRPWKRKGRRRFSKHISKVSDVISHPKIRRASDVGIQAAFDVYQNDAWYDSLSMFSDSDDEFISLHEADNIWSENGEVVQFEASSCIVDEKGNYEEYHKSYLKIDSGCKTEKFMSIGSYKDLNGLSVVTGNNKKKLLDHTVGSFKISKEQKRTSQEKTLKPSLSRLIPTVSFNDKTLHSPTSQKRKSAVYRLSFKRTSCDGEEVVEHRSSKSFLYRPKAGFTVPCLAKEKQQSSGTWCEIPPSSFKLRGETYFKDKRKTPAPNKCPYTPIGVDLFVCPKKIDHIAQYIELPTVKAESKLPALLIVNIQLPTYPAAMFLGDTNGEGMSIVLYFKLRDDYEKEISQQCQESIKKLVEDEMEKVKGFAKDNIVSFRERLKIIAGLVNPDDLSLNSTEKKLIQAYNEKPAQKQEELPEKVLCCIRLSKIDFVDHGQIPTLLIPDKGESLD >A01p005670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2949932:2959292:1 gene:A01p005670.1_BraROA transcript:A01p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTESKEKWEPLAPSKEAQEFHLSQRYHEGLLKLQAKDYEKARELLESILKDPIIANSKVETITNDNHLHHLRFLALKNLATVFLELGSSHYEDALNCYLQAIDIDAKDSVLWNHLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVSCLSVANLILRHWPSHARALHVKHSIEETDSAPFAPKGIDKLEPRHVRLKFLGKRKVSDKNQDKNAASKKLKKRVQVKLPEASWVALLDTLLGIVHPPCDTVGISADIPITIELDLSTEAVMQGPEKNNHCVEPDLSNASVKDCNIERESGGQVKEKESGFSEEHPQERRSTRLERLRNQKPEKAELECDNNKDPSSDILRYLETFVLESGFSGVPVGSHCLNKPDPVSEHAVVSNFVKETSENYGAYHMGHLLLEYIASKCEHLLSRDAALKVLELEKLTRHWGLDRKPECSLFLAELYYDLESKGLDIPESPSCMAEVTYHLSKIIESVSLDHAIESTPNPWEKNFPDSSSKSCEGDQTAKEVLDYNKRSFWARYFWLSARLSILEGKKSKALEELFRCLALLDKEGIGESPVLIQLPHCRRIRELNIDRVMHEINLLKIDVLLEKTVPEMMEKELYPECVNLLASLLFPDKDIWTASSLKMEEGISSTELSALEVLIKACQESKAIDVEVYMNCHRRKLQVLLESTGTGEPFLTSNTNLSENWNHLVAEEIKAILVCIAQVKNFLDQLDNSNNVVAPKDCIAGIQSMLLTVMSNIVRHFLSKRDSDSQIADDIEEEQKSCFLDAAIGFCRLQHLDSTISTKHQVELIICLHDLLAEYGLCCAGKNCAGEEGSFLRFAIKHLLAVDMKVKSSINSPDGLGHDMGKLCRNETKSFVADVHVERNENTKTDSKKDESEGKFSDKEKEELEQENKKIPEHTEEVAEEEKDELELLINNALDQCFFCLYGLNLRVDGSYQDELAAHENTSRGDYQTKEQCVDVFQYILPYAKASSRTGLVKLRRVLRAIKKHFSQPPDDLLVANVIDTFLDDPDLCEDKLSYEAGSEGFLETITKCVIHSKTLSEYKVSLLHSSDPYLDVYRNLYYFLAQSEEVIASDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWEKLGNIYDEASSFIRCWYCNSYSFQLVEWYEWFLIEVDLLLNDGSKHINVVGWRKNAALSQRVETSRRRSRRCLLMSLALANSPEQQSEIHELLALVYYDSLQSVVPSYDQRSVLPSKDATWRRFCENSMKHFKKAFAHRQDWSHAFYMGKLSEKLGHPYEISLSYYEQAMTLNPSAVDPVYRMHASRLKLLNACGKQNLEALKVLVSYCFDESIKDTAMTIIGTTTFGSSRQLEEGQDGNLEASYAKTGEGSIQMEGVWHMLYNDSLSALGTCVEGDLKHFHKARYMLAQGLYRRGGSSDLQRAKEELSFCFKSSRSSFTINMWEIDGMVKKGRRKTPGLAGNKKALEVNLPESSRKFITCIRKYLLFYLRLLEETGDVNTLERAFNSLRSDKRFALCVEDLVPVAIGRYINALVASMSQVESGGAKINPDSQLEKIFSLFIEQGSIWPDIRNFPETKDPETSERILYRFLHQYIVSLELDNKVETLETINEKIRKRFKNPKLSNSFSAKVGRHASLAWCRALITGLASITPLVSSADNQAINPSFDFLENRRVLCVDLKSGFWSSSFENPSESQMLEAKWGPVLSKIKNVLIANKVSEENLEMANSLLKGCYSYFRETASVTLPSEINLYFALPRLATAGKLLPGTEGVEVIDLSIPRKLLLWAYTLFHGHYGSISQVVKYMEENTKPKMKRGAATSSVATSVQSGGNNEPEAAPRHVTVMVSDSLGGDSCGSTSAPV >A08p035890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21133210:21135820:-1 gene:A08p035890.1_BraROA transcript:A08p035890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSDNKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIALEMTNSASAADCNIVPSLNFETHHAGESSISSAKVKAFEPCAARYTDYTPCHDQKRAMTFPRESMIYRERHCVPENEKLRCLVPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGEVFRFPGGGTQFPQGADKYIDQLASVIPMENGTVRTALDTGCGVASWGAYLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGSIKLPYPTRAFDMAHCSRYGMYLMEVDRVLRPGGYWILSGPPINWKINYKAWQRPREDLEEEQRKIEEAAKLLCWEKKYEHGEIAIWQKRVNDEACRSRQDDPRANFCKTDDVDDVWYKKMEACITPYPETSSSDEVAGGELQVFPDRLNAVPPRISSGSVSGVTADAYEDDNRQWKKHVKAYKRINGLLDTGRYRNIMDMNAGFGGFAAAIESQKLWVMNVVPTIAEKNRLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTLIKVKRIISGMRWDSKLVDHEDGPLVNEKVLIAVKQYWVTNSTASH >A04p040440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22109358:22110805:1 gene:A04p040440.1_BraROA transcript:A04p040440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGSCCAVSILTGMFMFKDPILNFALELPDTSIETRLQPPPLDAGEPSGRWRRVLRFSSSHLSRFRFVSTVYVSPPTWFSVSVNISAHAKFPPRRKLVLVSRRSWLSHYRSGSTGSDFSWGIPQPVEILAGFSSRFPSPSSCCYAHLPLDEYPPLVGVEAIHLLQVEPHKPDPPPSPHRNRKNRKSFSYLPTLCLISPSVGLRPEPMTHHSPNVSHPVTCRCTSTAVLSSFRRGQVSYLLGVFTKTDVQIWSYLSCAKSLLFTHLPVDSSSSTSSSLAAFSLEKQTTTSLLRSVSLPNIKWKCPSISISVLLSCVAVRLGPEDATGFVSAILRGEDWMLTSLVTISQLSGREGFIDAFELGLEFAVIFYEELSYLSAFVIVVYHFNQRGWFIPSVYCNRTS >A04p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19156642:19159503:1 gene:A04p032810.1_BraROA transcript:A04p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 13 [Source:Projected from Arabidopsis thaliana (AT2G35720) UniProtKB/Swiss-Prot;Acc:Q39079] MMGDEAAAAARTGPQNRELYALLNLSPEASDEEIRRAYRQWAQVYHPDKSPSPLMKEVAEENFKRICEAYEILSDETKRLIYDLYGMEGLSSGLELGPRLSKAEEIKEELERIKRREEEAKKMAHFMPTGSILFNLSVPSFLEGDGIMRGMVMASQVQSQLSKDDAVAIAGNLAANQRSGGGIGTVILRRQLSPVSSIEFVASTGLQSLIGMQTTRQLSIHSTATINISKSFSDGSINLTNTWTRQLSETSSGNIELALGMRSGITVGWKKRDENVSAGGDLKIETGGLGASARYTRKLSSKSHGRIAGRIGSNALEIEVGGGRKISEFSTVRMMYTIGLKGVFWKLELHRGGQKLIVPVLLSAYISPVFATGAFIVPTSLYFLLKKFVVKPYMQKREKRKALENTEKTYGKVREARASAEKAQQLLQNVATRKKNRQAETGGLIVTKALYGDAKAIEKRHEHLEEEVDSGVIDVTVPMNFLVSDSGELKLHEGVKKSGIMGFCDPCPDQPKQLYVAYTYNSRTFEAIVGDFEEMVIPQAGQ >A05g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3460164:3460703:1 gene:A05g500980.1_BraROA transcript:A05g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARPVPRRASTVESRPINPDQSLLNAAVFVESFTALLTCEGKPKGGRCRNTVEVRLLKFWEDRNVMKRGELMGVDMLIFA >A06g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13940450:13940696:-1 gene:A06g504420.1_BraROA transcript:A06g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICQGIAAVFVCDQPGGEAILVDQLVADRSRPGGYGQRTYSTTFLPAGLKV >A02p020960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9884204:9885694:-1 gene:A02p020960.1_BraROA transcript:A02p020960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNRQLELPVLPIIDPIKNALHHLIVARPCASGALDGFTGPSSKAGAIIQATRSLADFLVQIDDGESSPRPLMDPADERSHSKRQKEYFDMLQYTCDSEYGIPRRCSCGGRIIDEVRAKEEYDTLPGKRFFTCVNYEADGFHYRQPWVFGVQEQMERLLKRLEEAEEVMKWVPSLKNNIQTLEAGAKGLSDQVDRLTGEVYNLTVQVSVLEKLCFD >A04p022290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13441548:13442642:-1 gene:A04p022290.1_BraROA transcript:A04p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/TrEMBL;Acc:A0A178VRQ8] MECVVQGIIETQHVEALEILLQGLCGVQRERLRVHELTLKSVPNLGVVSSEVRLLCDLDQQPEPTWTVKHVGGAMRGAGADQISVMVRTMIESKVSKNALRMFYSLGYKLDHELLKVGFAFHFQRTAHISVSVSSVNKMPKIHAIDEAVPVTPGMQIVVVTAPATPENYSEVAAAVSSFCEFLAPLVHLSKPFISTGVVPTAAAAAASLMSDGGGTTL >A04g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7749774:7750080:1 gene:A04g503620.1_BraROA transcript:A04g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVTKFPKSYCDLFHHFRTTLLFYNLVHLELCIDSTGKWDLLTWMLESSQNRQRYMFD >A03g509360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30368259:30369578:1 gene:A03g509360.1_BraROA transcript:A03g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCLLFWHRTDVDKLLLLVEDVNREEESDDEDPLKRDDELKEIVDEYFELGEGDKFLRDYFLKPMCKEEDGKTKTQVIDEAEIELFEKDEDLVFGMDGNCKSTNGISMAVVDANAVMNEQSVTDLAENFVTVPEVLSEIRDARHRLKFIYFTIETMEPSHESLSRFIKFAKATGDLHTLSDVDLKLFALTHTFEAEVHGIKNLRDVPPPIQTVRVKRLPEKELPGWDFHVANLEEREALGNETEEKSNTTSKILLLRDTNMNIFPSENCYEVSYVASHTVDEEEEGGRRQKSPPKKTEVKLEGNMVVEGLDTSQGENDEEDGGKWRPAVSSSTHKYLFRKRTKWEHYNALAEQEIFKDQKADKAGYFTQDTNDQMSNDSKEKCSEKNDEELSSILKDMRLEEDSLKALQEGTEETSNGESDIEVEAEGIDVANLANTV >A06g502070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7361446:7362249:-1 gene:A06g502070.1_BraROA transcript:A06g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGWDDRDYGRAEGKENSEKAKEDKENSESGEEKDVVSGGENSKDGEKDKGNVEEEEEKENEADKTELGTREKDEVSEEDYDTEEEAEKRRVEADALWKSIISEETEYLEKEAEKVAKGTPTPPRGRPKRLAARKIVLTPPEEFLRGPTVTAPSPIETEKEAETVIEEEGEEMAVEAEESDEESLKEKNAEEMVEEEEGVEESPTEKIAEEMVEEEEGVEESPTEKIAEEMVEEEEGVEESPTEKIAEEMVEKEEAVEESPTEKKC >A07p011820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8464382:8465636:-1 gene:A07p011820.1_BraROA transcript:A07p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQGKIAAFSGDQTEALHLCDLLENASVADLEKVHREFRERLFFLSEHEFGSLLLMKFCARISDLNLEEPIIQLLADHIADLERMKMTLLNGIGSLFRTLNVRQLEPLMRLIAFNIINLSSDPRSLANFESMVQIARYDVQMCLIDMMRPCVLDIARDKNGNNALQSLIILHNGASDFLVNTMANNITSLSHHPYASFVIQKCLHLGSKRNVLFIIFELSTTGLLSLLYQRFGNYVLQSVVRRISVLNAEQCRELISEILSRRNELETHDSARKVFKTCDYVLKKM >A08p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10747592:10748630:-1 gene:A08p016570.1_BraROA transcript:A08p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNNMGPQPGMPRPPGNPQPGPFGNPFTGPASGFIRGGLGAYGERILGSSSEYVQSNITRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWARISEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLVGWFLQVMLLKVTLLSLGSAEAPLLDIVAYGGYAFAGLCLAGFAKIMWGYSYYALMPWTCLCTGVFLVKTMKRVLFAEVRSYDSSRHHYLLLFLALVQFPLLIWLGNISVNWLF >A09p016570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8701781:8702698:1 gene:A09p016570.1_BraROA transcript:A09p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNYHFQTNLSPKISEIRASLSQIILAGGPNTLDSVFSFLATSSATTSLDTLNPPPPQLGSSVYLHQRDLIESFHLQNRAISTPPPLFSSSFDHQSSPAAAFAAALAAGRTAKKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSELLGLGDSSKLTALKNAVDGKIQSICQRVRRERAKKSGKGSKDSSSSSPGSLSSPAATATAADSEDSYWNSKNSSPASVSISDEMPATTTAAAEEDMMMDTEGFLLARMPSFDPELIWEVLAN >A06p021320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10547102:10548253:-1 gene:A06p021320.1_BraROA transcript:A06p021320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma carbonic anhydrase-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G48680) UniProtKB/Swiss-Prot;Acc:Q9SMN1] MATSLARISRRCVTSSVSSNLIRRYLAAEAVAVATREAPKPKSEVTPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITLGFCSNVQERCVVHAAWSSPTGLPAETLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLEVEKFKKSLGIAV >A09p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24634885:24636201:1 gene:A09p036760.1_BraROA transcript:A09p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKFLHSFVFFGCRWPNAGQRDAMTMQEHPAHSQKLSPLAILSQKGSRIALRPSRTMLFLAPLLEAKNAPIARTREPLEGDDHRVGSRPHGHEHIRPLSRLGEVVHGDIAVDGDRLRRRRHQASEDPILRRNPANRPRIRTVPRVVGAAAAQPQSEVPDIQHIPNIPMCDHGDFQHVVLDALHAIWARVSHCRCVI >A07p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9687018:9688644:-1 gene:A07p015630.1_BraROA transcript:A07p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFIVDFGNGFIFIILCLISFLCYYFFFKKPNDSQDCDLPPSPPSLPIIGHLHLLLSLLVHKSLHKLSSKYGPILYLRVLNVPILLVSSASIAYEIFRAQDMNVSTRNLPTNEGSLFFGSSGLATASYGDYWKFIKKLITTKLLGPQALERSRGVRADEVNRFYLNLVDKATKKESVEIAEEAMKLISNSMCKMLMGKSDHAEKVRGLVAETDVLSKKFFLAAILRKPLSKLGISVFEKGLASISSRYNEVLEKSLVEYEEEHNQSCKTAEYKITRNHIKALYVDLFVASTDTSTNTIQWTMAEIFNNPKILARLREEIDSVVEKTRLIQETDLPNLPYLQAVVKEGLRLHPPVPLVLRSFQEGCKIGGFDVLEKTKLVVNSYAVMRDPDVWEYPEEFKPERFLPSSRSYQEEVLLKYIPFGSGRRGCPGSNLAYLSVETAIGVMVQCFDWKIEGDEVNMEEARGIL >A08p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3097469:3098033:-1 gene:A08p005380.1_BraROA transcript:A08p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPERSKRLHNFTLPYLRWGQQRFLRCVNLPSHSHHPSPSPDHATQRSSPINGAVTTARPWNLRTRGAACGEPGDGLPAMKRGIGEEESEKNEKLKFSVSLLKAEIEEDFSIIIGKRPPRRPKKRPRIVQKKLNTIFPGMWLSEEVTIDSYNVPEAVET >A10p022100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14657352:14658766:-1 gene:A10p022100.1_BraROA transcript:A10p022100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHDFVHNGQKVFEWDQTLEEVNLYITLPPNVHSKAFHCKIQSKHLEVGIKGNPPYLNHDLSAPVKTDCSFWTLEDDIMHITLQKREKGQTWASPILGQGQLDPYATDLEQKRLMLQRFQEENPGFDFSQAQFTGNCPDPSSFMGGIRSD >A01g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23908897:23912479:-1 gene:A01g508820.1_BraROA transcript:A01g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFAGLARGETFDDWIREMVVGPKFVVKSYPRFCTRGYAFTTQKRRRSSMTYDASVCSASGDDTRPRQRRSRGGTGSQSRGSSSHIQDSVSPHSSYHTSPSPLPAAVAPALAAAPAPAPPDPLRVMSVAELVRQPGRDHLPYLTPYPHGWGQTWFNRFENGISVWINRMMYSVLDKGHPTFTDFPTEKQHLWFRQFAIKFNWNSDDTLSIYHHFVHKVPKSMNDTVWKELCAHWDKEKTKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRIVTEENDGEPVDDLALMKREYTNKKTGQIDDGLVRDVVSLVQTQVLDEVSQLQTDDDNSTASTNLSRVRINEIVESSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMTAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28656506:28658254:-1 gene:A03g508250.1_BraROA transcript:A03g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIFFFLELMKIFRRNSDGYLSGRRNFLGIFSFNRANKPPNISRKLKLKILKEFRRKISPSEYSNDIFLGIFRGLSNELVVLGVSSEFDFLGIPSEISEDFRGKMNFRGVISEDFFRRYVVGIPLFRRHTDDFFPQYIAVFLQ >A07g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19805486:19811544:1 gene:A07g507370.1_BraROA transcript:A07g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGDFFKRALEKEELSRLIKEAEERKHSRNRRIWYTNGKVTKQRDLVIGEKVTIGRTEHGSDLPERPPGATSRSRCVPLLRATFLKRRHEVARMTLERPLRATYQGRSRSGATRWSDCTKSLAFSRPETHNSLSERPLAATQQGRSRSLERPVQSDREKSLAILVPRDKKSLSERPLAATQRGRSRSLERLVGATSRGRCASHFTRTYDFSRAFWSFHYARQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNYQHSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A05p020280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9564225:9566257:-1 gene:A05p020280.1_BraROA transcript:A05p020280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSYHDPRGFSPERLLEDLHIQTVEIQRLFNDNHRLAEHRVLLERELGSAKEELHRMNLIICDLRAQHDLQSREFGEIREADARATESDKEEAALLRGEVQKLNDIKRELSGDVEILRKDLLKLQSDNKQIPGLRAEVQDLKKELMHARGAIDYEKKEKFELMEQWQAMEHNMVSMARDVERLRAQLAAVDSRPWGYGGSYEMNFNNMNGSFRGSYGEHDSFLMSSERRVNLLSQPRNTGNQTASNRIEAAGTLECTRSVGQPQDGANGQTHVAVGDTAAVSAAVLHGLKELFGPLLQQSSAGGLASRATAVLQVQGCAGQERPSPLAPATGPLPSYWDALRRMRDLGTETFGGGTDRLATEDWWQVLERNFESSMCPVEYRKELAAHYLREEAHIWWENVVQGTPEGYVLNWYDFKDEFARRYFPEEAIDQMDKDFVELRQGTRTVREYEQEFHRLRKFVSRYVDEREHIEKFLRGLRVDIKNRCQVRRYVSMVDLVETAVLMERNIDVEVQQARASQAPKGTLKRTWDDQAADSGTEQTSMSGQRGRVHVRPCKTKGCFGCGRMGHIKRNCPEILGVSAHVESKKL >A06p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14283204:14284783:-1 gene:A06p026090.1_BraROA transcript:A06p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRPTEISNSSSPNRQRSHELSPWALSPQFRPRALSSPNRHSFDLELSHLFVLNLFDETHLFLFSSMFSVEAKIPVIENCLEVVATLEARNNTVYEAFKANNGSSNRSGVKLGRDYTRSLQARRLLQGYGFDGYMIKEETGCAMVCWQDRPLYSVSAWR >A10p040190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22172264:22176112:-1 gene:A10p040190.1_BraROA transcript:A10p040190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSSNPMVAEEERPMETSTDLMETTTTEAPEKKVRKAYTITKSRESWTEGEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAAHPYPQKASKNAQMSLHVSMSFPTQINNVPGYTSWDDDTSALLNIAVSEVILPKDELTTLYVIESNGSTSGGSPSASGIGSSSRTLSDSKTANQAPSMHGLPDFAEVYNFIGSVFDPDSKGRMKKLKEMDPINFETVLLLMRNLTVNLSNPDFEPAAHELLTSGLLQQMVVRDPWSRTKQVIYLLGELLAHNNCLSAIKCCLRLLSEENITDQEGNMAVLTVLKFSPAARMLQHQIGQSPARLGLTGPGSPSVQNLTPSRHGHPTSSSSSQSHHQQQQIQQPPNLPPSSAAASSSSSAAVSSSALLSLLPPLPRAQALLQQMAVLSSKLFDVSPNRALWLSAFRGSLPSFLTSHSLPPPPPLEIPNPASTKEILSQFNSLQTQLFEAVTELQEILDLQDAKQKLAREIKSKDSSLLAFANKLKEAERVLDMLVDDYADYLKPKRTKTEDEENDNESSPSVTTTVSSQLKLKDILAYAHKISYTTFAPPEFGAGQAPLRGALPPAPQDEQMRASQLYAFADLDIGLPKTVENIEKKVEALMEPPPPPESMNLSAIQGLLPPNIAVPSGWKPGMPVELPKDWRLPAPPPGWKPGDPVVLPPELVPAPRAQEQRPPQGLHRPPDVIHVREVQLDIMEDDSSEYSSDESSYDEE >A02p010970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4750864:4752624:1 gene:A02p010970.1_BraROA transcript:A02p010970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nitrilase/nitrile hydratase NIT4 [Source:Projected from Arabidopsis thaliana (AT5G22300) UniProtKB/Swiss-Prot;Acc:P46011] MSTHQQDMSLVTSTPPINNGNQIFPEIEMSSDSSSIVRATVVQACTIFYDTPATLDKAERLLAEAADNGSQLVVFPEAFIGGYPRGSSFELAIGARTAKGRDDFRKYLASAIDVPGPEVERLAEMARKYKVFLVMGVIEREGYTLYCSVLFFDSHGQFLGKHRKLMPTALERCIWGFGDGSTIPVFDTPIGKIGAAICWENRMPSLRTAMYAKGIEIYCAPTADARETWLASMTHIALEGGCFVLSANQFCRRKDYPPPPEYTFSGSEESLTPDSVVCAGGSSIISPLGIVLAGPNYEGEALISADLDLGDIARAKFDFDVVGHYSRPEVFSLNIKEHPRKAVSFTSKVTKDETVKM >A02p018240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8377012:8378538:-1 gene:A02p018240.1_BraROA transcript:A02p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLNRVRNEVTIPLLPKISHQEKLHVLPNYTTPLSLFANEAISIARISLPLVFTGLLLYFRSFVSLFFLGGLGDHTLAGGSLALAFANITGYSFFSGLTMGVESICSQAFGAKRYNLVMATIKRGIALLLFTSLPVFLLWINIDKVLKTLKQDEELVSEAHTFLLYSVPDLIAQSFLHPLRVYLRTQSKTLPLSICTAVASVLHLPITFFLVSYLGLGIKGIALSGVVSNINLVAFLFIYIAYLEDKVRSDGEGEVSEESCGDSVREWKKLLGLAIPSCVSVCLEWWCYEIMIVLCGLLVNPKATIASMGILIQITSLVYIFPNSLSFGVSTRVGNELGSNQPQRARRAAIVGLGLSIALGFTAFAFTVSVRNMWARLFTDDEEIIKLTLMVLPIVGLCELGNCPQTTGCGVLRGSARPRIGANINMAAFYVVGMPMGMVMTFCFGFGFKGLWLGMLAAQIVCVSGMMVATCRTNWELEAARARELTAVDGGRGGDDKDVEVGKVDY >SC229g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:52466:59825:-1 gene:SC229g500030.1_BraROA transcript:SC229g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGSCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRESGRRESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSKAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQGTQEEEGHHLCHEEGRLLPTFCGKSTACSKEGREDVPTHQMSVERTVDMQRKSITRRVMQCKPRPLQADHGVHKGSDTCNSPSTKNVETNVLCHCISSLGHSLVYRKCSMGHYAMRGVSCETLYGDSNTLVPG >A10g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13883450:13883862:1 gene:A10g505490.1_BraROA transcript:A10g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGFTSVGRANHYMSSLKADSIVKVDRFEVATCSSMYKITDHPFLIRFISLTIIDEVITCAPEINLQSRLDCSKSPSDCEHKPRTPRFGWENPLCPWLLLHQRNNSSRYPSPH >A04p015030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5834345:5836000:-1 gene:A04p015030.1_BraROA transcript:A04p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGPPRGIPLPPDRRSPSPPPLGRLPQSPTHLWARSPGPPLPESWPPGVPPTWSWPPSPPSPEFWPQDPPSSRSWTRGPPPVGTWPPCPPPPLRRWPSCPPPPGHWPPGPPPMGSWSHHPPPMGSWATDPPFFESWSPCSPYSGSSQLGPPSLGSWPPCPPPLENWLHDAPTPSSMPSGSSSGPPCHDFMPSGCPLGSRPLIRPPETIQPAPKLDEKAKPLTKPGSNDKTKPYDSEEVKSTSKSADSSNSGKQPANTDPPKLTLNQDDKAKPLTKHGSNDGTKRDTFDEVKPPTKSRDNSSLGTPLANTGPLNHVQKPDVQENLSTTPGENVKTEYPAKHGVSFELKPPTTLGDSSNSGTSPTSTNPPEPEPNIEDKGKPSIKHGGNDETKSPIKGDGFNEVKPIAKPGGSSTSGMPAANIGIPKVVSKPNDSEKNSAEVGGNDETKLGGSDEVKPPTKTGGYGNSGMLPTNTYPSKLAPKPYDKEKSLTILDGTDKTKSSTMHGGSNELKPPTNLGSKNETKPPTKLGGSDETKPLHWFLIRKSTQGKQ >A03p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17626820:17628098:1 gene:A03p042110.1_BraROA transcript:A03p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQVALIKQSLFDQGYLDEQFIELEELQDDANPNFVEEVATLYFKDSARLISNIEQALERGSFDFNRLDNYMHQFKGSSTSIGASKVKTECTMFREYCRVGNAEGWQDRRGPRRLHVGPSNTGTDQ >A04p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21428620:21437137:-1 gene:A04p037570.1_BraROA transcript:A04p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLTVKVLVGIVRSSVSLSEPMGTPEFPDLGKHCSVDICKQIDFLPFTCDRCLQVFCLDHRSYMKHTCPKGDREDVTVIICPLCTKGVRLNPNEDPNITWEKHVNTDCDPSNYEKATKKKKCPVPRCKEQLTFSNTIKCRDCNVDHCLKHRFGPDHTCPGPRKPEPPRFLSFMSSGSSKKEAKTITRPNKPSSSSRWSNLLSSAEAGITKLGNDISHKLQFSSSSSDGMVEVCPQCGAKFSSVTVLVEHVEKTHERNKKQQNHGKSHKRMSAVSGVISRQVLPVCGSLCILCPAFRARSRQPVKRYKKLISDIFPRNQEEGPNDRKIGKLCEYAAKNAVRMPKISESLEQRCYKELRNENFQSAKIVMCIYRKLLVTCKEQMPLYSSGFLRTVQALLDQTRQDEMQIVGCQSLFEFVNNQKDGSSLFNLEGFLPKLCQLALEGGDDDRSRSLRAAGLQALSAMIWLMGEYSHIPSDFDNVVSGVIENYGHPKKSTNPSDSGRKWVDEVLKNEGHVAHADYHINVPSWRTVVNEKGELNVKMEDSLDPSFWSKVCLHNMAKLGEEATTMRRILESLFRYFDEGYLWSTENSIAFPVLRDLQYLMEISGQRTHFLLSMLIKHLDHKSVLKQPSMQLNILEVTTSLAENAKVEHSAAIVSAISDIMRHLRKCMHSSLDEANLGPELANSNRMVSVALDKCLVQLTKKVGDAGPILDSMAMMLENISAVTDVARTTIAAVFRSAQIIASIPNLSYQNKATSQYHLAFPEALFHQLLQAMVHPDHKTRIGAHRIFSVVLVPTSVCPRPSSTATDLQKGMGLPRSLSRTASVFSSSAALFEKLRKDKFSSILTSDQSKNEMPEEEPVNNRGTILDKLEASYSQAYSTWNQPVTSVADNSVAHLNSDLDAVYIRLSSHQIGLLLSSIWAQSISPANTPDNYEAIANTYSLVLLFSRVKNSSHDALIRSFQMALSLRDISLMEGGPLPPSRRRSLFTLAASMVLFSAKAFNLFPLADFTKVALQGPMIDPFLSLVEDHKLKAVSTDQLPTVAYGCQEDDASALDTLSNISISTEHSRGTLVYEIVKSLEDMCNSEMDKMREQLLTEFMPDDACPLGTRFVEETQKSFQTDFGDVKPQKDAALFSHEDQDFVDVTETVTTNNPVTVAELPDLLSVNQILESVVETTRQVGRISFHTAADASYKEMTLHCENLLMGKQQKISSLLNSQLRHESSVNSSPRQHDEENRIATFHPMINSAFDTEVEVPLLGKDFDMKSPRTPVRMKSPRTPMRTIQTHCYSELQDNTEAYKLPASSPYDNFLKAAGC >A07p038080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20318411:20320108:1 gene:A07p038080.1_BraROA transcript:A07p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLPQSLNHLSSSFSFLFVSLGSPMANSVNFRLKLLIDVRRNKVVLAETEQDFVDVLISLLTIPMGNIARLLKSHTTVLGCYKNLNKSVEDMDIGHFETEACKTMRTDLRSTKDIHRKRLKMNMSSTNPSKFFVCPSFFKSDSYGHSAYSNFKNTRCSCGALMTYQIQVPEEEQVEKLIGNKEDGVFVNCRSSFIVTDDLKVTSNSIGVLMKVLNDRGYAGFSDLQETLIDVGFEEVRSLLGCLLSSEAALTCTFLKKTRMMRNLRMLSPPAPKNVKVCSVEVYVRKIDREILYAECNGDFVDSLLSFLVHPLELACSLFNDNTILGCVGNLCKSQCRGEASKSLLLPSIYSCSNNNLLDYGYQSTTYECLIRNSYSNCEVARSISRLPIAGEKAASLYPSNPKIKSGASSGYGTGFMKKNTKFIVSNDLTITPMNTSSTIGLLKKLQVDISDLEKYQINISKVELISILRASLISSSALTKGLSNLLVKKPKEEA >A05p040890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24943261:24945293:1 gene:A05p040890.1_BraROA transcript:A05p040890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGSPAFRFNMAELIFCVDKVMEMEGILLQHNVAMPSRHILEDLADKFSESVERKGKIVVQFKQIWNWFQNRRYALRARGNKAPGKLNVSSSMPPGVDSTNQMRNVLSVPGVMRSDSYKSYLEFEAKSARDGAWYDVHNFLAHRNLETGDPEVQVRFAGFEVGEDEWIKVKKHIRLRSHPCEASECVAVLAGDLVLCFQEGKDQALYFDAKVLDAQRRRHDIRGCRCRFLVRYSHDQSEEIVPLRKICRRPETDYRLQQLHSSANDFANSNHLQKPAPDAAAAPQSSASVPIVVPEKKDPSLISASATSVQPGSNAATVPAGSM >A05g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13768221:13769632:-1 gene:A05g504950.1_BraROA transcript:A05g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSALDKGHPTFTDFPPEKQHMWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKRMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIVTLGDRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQNSGTVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALEAQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20880566:20882290:-1 gene:A09p034500.1_BraROA transcript:A09p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSAQGQFQFSQNQNSSQKTKKNKTPAPESSSSVGGKPSPSGLPLPPKNIKDLQSNPGYENVDIFTYEEMKLATKQFRPDFILGEGGFGVVYKGVVDETVRPRYNSTKVAIKELNPEGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDDHRLLVYEYMSLGSLEKHLFRRVGCTLTWSKRVKIALHAAKGLAFLHGAERSVIYRDLKTANILLDECYNAKLADFGLAKDGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYGFGVLLLEMLLGKRAMDKSRPCREHNLVEWARPLLNHNKKLLRIIDPRMDGQYTTKALMKVADLAYQCLSQNPKGRPLMSHVVEVLETLKEDGNAQEEVMANLHSRGKSVTLYEAAPCDSSQGTRNVDGQRRSGRSKSEASVDVSALVSDSDPESTKV >A05p014840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6520425:6531912:-1 gene:A05p014840.1_BraROA transcript:A05p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLIAAALKSTYDTRSPGGVERCIDLLIRLKSMSLSVKDILYFSKSIFKLETLRRHRNPRIREVSHSLLTSLLKTLYSQGSDKSAGLNAVSLKRKEAKTGSLTNKRAKTNLLVSDQKQDHKTLAREPVVRRTETKKTDACMSVTTKPVTTTALPQQSRRDIKDGKVTTKTLIPPPRRVAACKSVPAKASRNPKTEEMVELFEAAKKAADVANAKGILSGKADALRCVEAISLLMKMNVTPKPNEPRRMIERLERLAKHKDRTICSAATALLQLWRQRIREEERKESSAIKNPRRVQRTCGQGFTRESTKAMKLIQTRCHKIRLKEGAMTSLMMATPFAGSHTHCKKTNNLSLQRAFKVTCMQTPLEELYNVKVERKVSQRRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYVEEGEVRVVPEGSERFMQFLAGDLVRYPKWLEADLFFNAPYRERYCFKAYANDQTLTEASYLTRNIDGIERCVDVLNRLKSASLSVNDIQRFSKSILRLETLRTHKSPKISEVSQSLFDSWLSTLYGQGRRNQSLEPKKKTETLLAKPEPDIKEYKDGSSSKVTTKTLLPPPRRAAACKNPNSGGETEEMVELFEPAKKAADVANAKGILSGKADALRCVEAISLLVKMNVTPKPNEPRRMIERLQVLTKHKDRAICNAASALLQIWRQRTREQERKAASTIDMILQKPRGVQQIRGQGFTREPTKTRKLVT >A03p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:899265:900373:-1 gene:A03p001800.1_BraROA transcript:A03p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETLIESAIRVLNTADPYEKARLGDSIAVKWLQGAISEPYDPTVDLPVLDRPARLTNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHIESWAVDLSWDIIARFGKQEKMPREFFTDFVRVAQDEGRHFTLLAARLEEIGSRYGAFPAHDGLWDSATATSQDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDNETADLLERVVYPEEITHCAAGVKWFKYLCARSKNPEVTVNSVEADDISEEIIQKFHSVVREHFRGPLKPPFNAEARKAAGFGPQWYEPLAVKESNA >A03p007990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3239725:3243060:-1 gene:A03p007990.1_BraROA transcript:A03p007990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSVTSPAASVNAVTQTSSHLPLPTTHSRLPRRVSFRLSAKPKLRFISKPSRSVVKAQSTKVGGDASSNASAPARTEGKDENSSKDSSSSTDLATEESISEFLTQVTTLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPESPAQYVMMQQPNQSSYVQSVAPPSAPAASPAPSTPASSPTPSPPTPAKSSLPTVKSPMAGTFYRSPGPGEPPFIKVGDKVQKGQVLCIVEAMKLMNEIESDQTGTVVDIVAEDGKPVSLDTPLFVVQPHFLEETDSLLLLSMDTIRGDLFFIIICSTLFFEISSLPDPSFYDYLRESGLPAGIVPKGVTNFSIDVKTGRFTVALPVPCDAKFENQFHFDYNISGVLSDGRIGNLSGVTQKELFLWFAVRGIHVDPVSSGLIHFDVGVADKQLSLSLFESPRDCTAAEYEHRSVDLSKPRDDDLKKQSYGDKQSIFGPPRNRWTVSS >A05g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18485430:18487190:1 gene:A05g506480.1_BraROA transcript:A05g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAVGRKVKSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSQFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A09p047100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40913764:40915422:1 gene:A09p047100.1_BraROA transcript:A09p047100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRMKMGLNVDPFFSIARRSMDVRIDAQDFSDVFGGPPRSVLTRKFSGDFSRSDCFYDEIFRPRGMFSCGTLPSSKSHGRNLPAFRIPSGGDGFYDGVFGGRSGTAKEGTKTQIPITKSRSNSSPPPAGTSDDAGISSFTSTLRPLNVPSRSHKRESKKQSFPVFPTSLSGQDLTPEKSDFCYRKPDFGGSRISSPETMTLDPHSFRRMDDFGPSSPASSPVSSFIREEEYETEEKHKTTGDCKVEQEDDEDEMSSYVIEINSDRFDRYRDGGSGGGNSDSNDMDEAIAWARERSQRPETKQTQEGLIDSRRSEEEEEEAKSEEEISTMEMEMKDEEIRIWLTGKETNIRLLLSTLHHVLWSNSNWQAIPLANLRDGSQVKKAYQKARLCLHPDKLQQRGGTSPLQKCVASRVFSILQEAWGVYLTNEGLSS >A04p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4303700:4308474:-1 gene:A04p013260.1_BraROA transcript:A04p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator STERILE APETALA [Source:Projected from Arabidopsis thaliana (AT5G35770) UniProtKB/Swiss-Prot;Acc:Q9FKH1] MSSSSSASDNGNSSGGLSSVAGRSGGVFEAPSPSRPRHSANDVWPEPFLESLAVQVAVDASLSTSPVDAAPALANVFRILLDEHDTIRIVYHVCTMWQAVSRSDHLWQLLSRQVWARTRLVHDTWRDEFIYRHRTARNFQTRSYVHVTLNFDPSDVDEPDSLSCRCLRLSDLYLAAGFADGTVRLFLLNNRLHVRTLRPPPRDRFGRFSRAVSGIIISDSRLVFATMDGDIHVAEINGVGSHTRTAFVGDIVNDGALVDFTGCGRYWVGLFAGVPGRAFHIWDCINEETTFVGGSLTDPEAVMGWHTLTELTMSLGRLRISGGETAVACTRWRIMVIDLRNQGVIIGEDEEQRRGLIVTGFDANDQAYVRMDSRGNAIVRRVRTQETVCEFRVSRAAQRRVMGCVNRLHALMCAGGVMRVWDVQRGGEYLYSFRERVGEVDAIVANDRHVAVASYSSTAVSTIHLWDFGAL >A01p013520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6598434:6602618:-1 gene:A01p013520.1_BraROA transcript:A01p013520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENDAIPDEFRCNRSDGKQWRCKRRALEGKKLCETHTSQLTQKRDREKAAASTKLARSGNGDEAAASSEMEANEGGIRDCELGKPKKRKRVVEEEDEAVRKLQLDLIRMALKREAEKNKKKKKKLTKKEKKKKKSKGFGGFVGEELTKVLPNGIMAISPPSPSRSDVSSSPCCDVKVGEEVISVSRRRFRSKNIDRLPFGKMQMVPFKGKVVNAKKRCHWCGTRGSEDLISCLSCEKEFFCVDCIEKRNKGSKEEVEKKCPVCCGSCRCKSCLATVSGVTECKKSRIRSDIDRVLHLHYAVCMLLPVLKEINSEDKVEVVNDTETKGRNLDERQIHSSELTSDDQQLCCDSAIVDSQKNCTCSSSVLRMSSDQDGSQGRLSRKVGLVKYSNGIESCKPPLSDAWDQSLKEALTECKLKDVKGGRKNLSFRSLYSLELTRKLEISAEEIVSCYELPEVLDRYLRCPFCPGREKQSGNSNDNHLKEASRRCEDVTGNFLYYPTAMDFQQNNLEHFQTHWSKGHPVIVRSVLKGGSSLNWDPVAMFCNYLRNSNRKTGNTTDCMDWFEVEIGVKQVFLGSLRGKAETNTCQERMKLDGWLSSSLFKEQFPNHYAEILRILPLPHYMDPRCGLLNMAADLPDSIQTPKLGPCLSISYRSGEDAKPDYLKKLGFETCDTVDILLHVTETLVSTKQICRIRKLMRNIGRVRSKNPEKVKESRFGTGKKQDRNNASYVQRDLSDDYSSSDSESSQLCLGSEFQVEERESSSDSCVEESLSNSCGARWDVFQTQDVSKLLEYMNNHSLELAPMGSTKTKASHPLLEQSYYLDECHKAKLKEEFDVEPWSFDQCVGEAVIVPAGCPYQNKKNKSCVNAVLNFLSPEHVAESVKRMEELNQLPQSVKTKANKIEVKKMAIHKVSEAIKEIRERTSSGSSAASRL >A03p070100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30800107:30802647:-1 gene:A03p070100.1_BraROA transcript:A03p070100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 18 [Source:Projected from Arabidopsis thaliana (AT4G36070) UniProtKB/TrEMBL;Acc:F4JNY4] MGLCFSSPKATRHGTNHPNPNPPPDIPKPQSQGKGREKVCNQNKKKTKNNKIQWRHVGGTLFGKRIDFGYARDFDNRYTIGKLLGHGQFGFTYAATDNNNEDRVAVKRIDKAKMTQPIEIEDVKREVKILQALGGHENVVGFHNVFEDKNYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTEENSSLKATDFGLSDFIKPGMKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTQNGIFNEVMRKKPDFETTPWPTISDGAKDFVKKLLVKEPRARLTAAQALSHSWVREGGEASEIPIDISVLENMRQFVKFSRLKQIALKALATTIDEDELDDLRDQFDAIDIDKNGSISLEEMRQALAKDLPWKLKDARVAEILQAIDSNTDGLVDFTEFVVATLHVNQLEEHDSDKWEQRSRAAFEKFDVDRDGFITPEELRLQTGLKGSIEPLLEEADIDEDGRISIHEFRRLLRSASLKPRTVKSPPGYQLSRKM >A09g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3617396:3619936:1 gene:A09g501040.1_BraROA transcript:A09g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMVFQSAAAPKPCLPIPRSTAALPCNLRRVSFVRASSSSLIDSVGDSVSRLERCFQLPFSGDSSTSSYSISSSPSAQMCPVMKGGKFGSVGAVTLEKGKLDTTQKKVESSPEIATGGGGGDIGKKINFGGGDGGDDDGDDDDYFDEFDDDDDGDEGGLFRRRMFLAEIFDRKFVDAVLNEWQKTMLDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTTRLISRALPQGLSRAFVGRMLADPSFLYRLLLEQAATVGCSVWWEVKTRKNRIKEEWDLALINVLTVSACNAAAVWLLAPSRSYGNTFRFDLQNTLQKLPNNIFETSYPLREFNLQKRIHSLFYKAAELSILGVATGAFQGSLSNFLAGKKKNRVSVTVPSVTTNALGYGAFLGLYANLRYQLLCGFERTMSSHFDVIGVALFFGTAVRIMNVQLGERSRQIWLGVEADPLAQSDGLLAKAYNRPSSEEGVAKPDSRWFISKNAIVSRLLGMKQHDSASDSPQPPKARRKRIVRKKVAAS >A09p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10134241:10148243:1 gene:A09p019190.1_BraROA transcript:A09p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFCFFASLLLLTMLLSFCYATITPTSPLLINQTLRSSNEVYELGFFSPNNTKNQYVGIWFKDTTPRVVVWVANREKPVTDSMANLSISSNGSLLLFDGKHGIVWSSGVTFASNRSHVELSDSGNLIVVDNISERTLWQSFDYLGDTLLQSSFLMYNLATGEKRFLTSWKSYNDPSPGDFVAQITPQVPSQGFIMKGSTPYWRSGPWAKTRFTGLPLMDESFTSPFSLHQDVNGSGYFSYSEKDYNLSRIVLTSEGSLEVFRHNGTTWEFNYQTPAHSCDSYDACGPFGLCVSSVPPKCKCFKGFEPKYTEEWKRGNWTGGCVRQTELLCERNSTSKDANVFYPVANVKPPDFYEFANSVDFEECYQICLHNCSCLVFSYISGIGCLVWNQELMDAVQFSVGGELLSIRLAPSELNGNKSKKTIIASTVSITVFVILGFAAFGFWRCRGEHNDVPGLDLFEINTIQTATNNFSLSNKIGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFLNEIVLISKLQHKNLVRVLGYCIKEEERILIYEFMLNKSLDTFLFGKKKVETDWPKRFNIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYLDNTRRVVGTLGYMAPEYAWTGAFSEKSDIYSFGVLLLEIVSGEKISRFSYDGKNLLAYAWEFWSENRGTDLLDQDVAGSCPPCEVGRCVQIGLLCVQHQPADRPNTLELLSMLTTTSDLPPPKQPTFVVHTRDDQSMPNALITVNEMTQSEIVLGDTTTQMGMTPSFFACFLLLTMFLSLSYEAITPTSPLSIGQTLSSSNGVYELGFFSPNNSQNQYVGIWLKDPVRRVVVWVANRESPVTDSTANLTISTNGSLLLYNGKHGVVWSTGENFASNEYSATLSDDGNLMVILDNVSRRIIWQSIDHLGDTMLPFSTLTYNLTTGEKRVLTSWKSYTDPSPGDFVGEVTPQVPPLCEARRRIGEAVHGLKQDRNSKPPRITTTSEGSLKIFHHNGTDWAVDYEAPLANSCDFYDVCGPFGLCVMSPSPKCKCFKGFVPKYTEEWKRGNWTGGCVRRTVLDCQGSSTGKAADVFHALANIKPPDFYIFSDTLDAEDCYQSCLQNCSCLAFAYINGIGCLEWNQDLMDAVQFSVGGETLSIRLAHSEFGGNKRKKTIVATSVSLTVFVILGFAAFGFWRCRVKHIAHISKDAWNNDLKQQDVPGLDFFEMNTILTATNNYSPSNKLGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHRNLVRVLGCCIEGEEKLLIYEFMVLRVDFYISTVTHALGAETHFNSNILLDEKMNPKISDFGLARLYQGTEYQDNTLRVVGTLAETHFNSNILLDEKMNPKISDFGLARLYQGTEYQDNTLRVVGTL >A01g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26810784:26813352:1 gene:A01g509830.1_BraROA transcript:A01g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKVDKPKVKEKPGSVTESKENKNTACRNRGLLRGKQGHHGTSSQAVEKNEVTKVVKRSISVVSAELNDESQANTMTPKIQDRKVVAKRRNGCHFCGKIGHSVAYCYARRNQVKRAWRLNLCFIEPKKYGCVWIAKRDLYPKFRRQTLHGLHLETDVSHKPVAEPVEEVICNFARIEVNEPEIINQASQKLNLKHGLSHLDREKHTADCVCNLCQSHLEKEERMKRKKGTSVRGDQGVTVYGGCNKKKTDTKLIGHVNQMRSIIPKASVAKTENLSWKDVTHRDESVTHESINGSLIYLTTRRSDLGLTTGIYTPWLAISRVSHQLVVKEISNHVKGILKLKLHYSFYTNMMMAGTCDIPIVLSENGVIMIPVKVESVSSWIEELVTATRLRNSYSQQISVIPLHDHATHVNPGKYPLCEPVSFIRICNQVESDYGVTSRGRI >A04p027420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16498360:16500162:-1 gene:A04p027420.1_BraROA transcript:A04p027420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSNGTTTKSPPMPSPLRNSKFLQSNMRILVTGGAGFIGSHLVDRLMQNEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLMVEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLMRLMEGDQTGPTNIGNPGEFTMVELAETVKELKKPDVEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLGVFKK >A09g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14967837:14969564:1 gene:A09g504910.1_BraROA transcript:A09g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRNHRLQPPETQTSHHPHPADPPDPPDPSSSLSPVQFPPLSSTPPKSRSELRRSHLSLTLVDSTKPLTTSPPTKATLPELAPRFGSFTEIESQITIPATGNPCSLVATQTASLSSMEELPPITTDPTSNPLKTSNHKLLVHTPVQNPDIPTNSNLPSNSLPSIVTPNLPPIFQPPPPSTPPVLPPPITPSNSISLPSSPSAFKPPLFFKSKTFIPPLPSMESPSDKPPKPSLKRCRASPSLSPPVPLKPSFQNSNPINPPPPLFPVI >A06p033840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18273333:18275765:-1 gene:A06p033840.1_BraROA transcript:A06p033840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK3 [Source:Projected from Arabidopsis thaliana (AT3G48260) UniProtKB/Swiss-Prot;Acc:Q9STK6] MRQDEENSEEEFVEIDPTGRYGRYNEVLGKGAFKQVYRAFDQLEGIEVAWNQVKLDDKLSSSEDLDRLYSEVHLLKTLKHKSIIKFYTSWIDHQHMTINLITEVFTSGNLRQYRKKHKCVDLRALKKWSRQILEGLVYLHSHDPPVIHRDLKCDNILINGNQGEVKIGDLGLAAILHRARSAHSVIGTPEFMAPELYEEDYNVLVDIYAFGMCLLELVTFEYPYVECTNAAQIYKKVTSGIKPASLAKVTDPQVKTFIEKCIAKVSERLSAKELLDDPFLKCYKEKTESVTSYKENGCNGRKIEDKPSDSAVGLLTVEGQRKDLNTIFLKLRITDSKGQIRNIHFPFNIETDTSFSVAIEMVEELDLTDDQDISTIAKMIDAEIHSHIADWIPSGLNGDYSTMQRCLSSPDSLRLDRFPSGRKVWSSPKAGDSRSPFAQRSNSKLSSPSKGRINDKEVGIVVEKLESLLRKQREEIEKMHRDQERVVCEFLKEFPPEICEEALLRLQAMDSDSLLC >A05p053360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30828377:30830907:-1 gene:A05p053360.1_BraROA transcript:A05p053360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGDGTRPTFFEMAAAQQLPSSLRAALTYSLGVFALRRSFLHRILDYEDEFFAALMLILEGHSLRTTDASFAESLYGLKRKSVRLRLRLCKGSGLEKRQRILSVVFLVVLPYFKSKLHGIYNKEREARLRESLWGAEDQGFDEADFFTGEEPVVSRGDSGDQELSVRRLSFTYQLLYLLDATGFYSLGLQALGVQVCRATGQELMDTSSRISKIRNHERERLRGPPWLKTVQGALLSCSYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERLTAPTVYPPPPPPPSPKVAKEGIPLPPDRTLCALCSQKRANLSVVTVSGFVFCYSCVFKYVSQYKRCPVTLIPASVDQIRSQTVSASVLNRWFGDGATRVYRLYTGRAKMTAKVGKTKSSVSP >A10p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6683092:6684550:-1 gene:A10p010910.1_BraROA transcript:A10p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSRNSILRQLSRKEGWRSASRRWTSGDSSTAFADDTSGGAGGYSSMEGLYGVYSGEDPAARRKRVMVVVDETSRSKHAMMWALTHLTNKGDLMTLLHVVSPHDEASSSLVQSLGSLCKACKPEVDVEALVIQGPKLATVLSQVKKLEVTVLVLGQKKSAPFISCLCGPSRSEELVNRCINGADCLTIGVRKQSNGVSGYLINTRWQKNFWLLA >A03p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9137647:9140913:1 gene:A03p021460.1_BraROA transcript:A03p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKQSSAAVLVSGNPGPVLYTPRFTSRVGSLPVNKTNSFTMANLQKGLTYSSSEKFNPVLACSSHEASPISEDKHIKGVSEIIVGVLGGGQLGRMLCQAASQMAIKVMILDPSKNCSASSLAYGHMVDSFDDSATVEEFAKRCGVLTVEIEHVDVETLEKLEKQGVDVQPKASTIRIIQDKYIQKVHFSRHGIPLPEFMEISDIEGAERAGELFGYPLMIKSKRLAYDGRGNAVANSQDALTSAVTALGGFSRGLYVEKWAPFVKELAVIVARGRDGSMVCYPVVETVHRDNICHIVKAPADVPWKINKLATDVAQKAVGSLEGAGVFAVELFLTDDGQSFNRSQILLNEVAPRPHNSGHQTIESCYTSQFEQHLRAVVGLPLGDPSMRTPASIMYNILGEDDGEAGFRLAHRLIARALSVPGASVHWYDKPEMRKQRKMGHITLVGQSIGVLEQRLQCILSEQTHQVHETPRVGIIMGSDSDLPIMKDAAKILDMFAVTYEVKIVSAHRTPEMMFSYASSAHSRGVQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRATRLDGVDSLLSIVQMPRGVPVATVAINNSTNAALLAIRMLGISDTDLVSRMSQYQEDMREENMVKGEKLERQGWESYLNQ >A06p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6720242:6723943:-1 gene:A06p015090.1_BraROA transcript:A06p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGDDAASSAAASASGPPPSLEWRFSQVFGERTAGEEVHEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKDNVGSRRDAEQMDYSVRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQPANGALFLLSTNDKTIKYWKVQEKKIKKISEMNIDPSKDPGSSSPLPSIANGVHADKPQDYLSKDFPFPPGGIPSLRLPLVTSLETSLVARCRRVYAHAHDYHINSISNSSDGETFISADDLRVNLWNLEVSNQSFNIVDVKPTNMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFSKNGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPRLCDLYENDSIFDKFECCLSGDGSRVATGSYSNLFRVFGASQGSTEAATLEASKNPMRRQIQAPARSSRSMTSVARRGSESPGSDANGNAHDFTTKLLHMAWHPTENSIACAAANSLYMYYG >A05p048530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28410095:28411134:-1 gene:A05p048530.1_BraROA transcript:A05p048530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTETGSVNLDSSLWPHASKSLPELLTEICQKAQRMLKLIKDGQPESTDIFLTFYQSLGESYNHLNQELLNGLLNFGFKPSSSDKNSILFGDLSSFVSESSPSLEFDLVSGVSYSSLKLNDEAEKKDQSAYMLADFFSDELRTALRDLEERNTLVGEIESKLSDSSDKIKTLERELDECHELLEVSEVEVSKLNEMLTEKADNGADLLLNTLRAELRSRDVQIEQMEEYLNQVCVKDTELLSDDESGTNKSVVEELKSRVEELENQVEKQRNVISEREEEKREAIRELCVSLDHYKSRYTELVISLSGNN >A04p025510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15436918:15440439:1 gene:A04p025510.1_BraROA transcript:A04p025510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPHPFSDCQEGNLLVCVVALGVDPLFLFIPVIDSPNFCFTFDKKLAAVVSAIRTFIDTFYVIHIIFNFITEFIAPRSQVSLRGELIVHSKAIRKRLFFFHFIVDICSVIPIPQVVVLILIHRSDSLVSQAILKWIILTQYIPRIIRIYPLLKEVTRASGTIAETKWVGAAFNLFLYMLHSHVFGAFWYVSSVEKKNKCWRLECAKISGCNVRHQYCARGHENNGRYLNTTCPLIDPDEIIGSTVFNFGMYTDALRSGIVESKPRDFPRKFFYCFWWGLRNISALGQNLKTSNSVGDIVFALIICVSGLLLFAVLIGNIQKYLQSTTIRLDEMEEKKRDTEKWMSNRMLPEYLKERIRRYENYKWRKTRGIEEEALLHSLPKDLRLETKRHLYLTLLNSVPWLNMMDDSWLLEALCDRVKSVFYSANSYIVKEGDPVAEMLIITKGSLKSMIGSSDITGYYDSSYLQAGDICGDLLFWVLDPHSSSSLPTSDRSVLTLTDVEGFILLHDDLKFVASHFNRFHSSRLRHMFYSAHWRLWAACFIQAAWREHYKRKLSRILHAKRDYNHIPQGTQLNIGAALYVSRFVSKALRNRQKNAANCSISPHMLPPIPHKPADPEYTVALQVYTISAQLLNSTWSLPLHSLLLQRLPLCVDQSQRSSDSTTTFTHLETSILNVFFSSMRCAAHHAFLPYEICILYTGTSAQCSHNH >A03p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19757833:19759404:1 gene:A03p046740.1_BraROA transcript:A03p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPIIRGCVPKKLLVYASKYTHEFEDSHGFGWKYDTEPSHDWSTLIANKNAELQRLTAIYKYMYVSEHQCLKSLFPSKYPNEVLPTAKGQKHLLKNIARRKHARGMMDVEDGEIVKEIERLRDEQRELELEIQRMNQRIEATEKRPEQMMAFLYKVVDDPDLLPRMMLEKERLVSDKKKRRVTVKSEEGAEEEERVFGVVSPSPSPPENSIGWVVPMQRQGTFGSYYNETGMVANSMINSTSSKSSSLTSTLSLPESVNGGCGSVQGETRDKEAATFGGVVESNPQTPPYPFSLFRGGF >A06g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15726001:15726742:1 gene:A06g505360.1_BraROA transcript:A06g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDSGSGNYYFCQAQVKARLCTAPFNNPRWQTPNNLESKFSRVGDNYRSIDEVASALPHAGLESSNLIVEVKSFGRKSLHYIGTINPYQQAISIIGKTSSVFNQDNLIPCYGFRYGK >A08p039230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22601991:22604622:1 gene:A08p039230.1_BraROA transcript:A08p039230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGDSSETDKKTMKKKRFHRHTPHQIQRLESAFNECQHPDEKQRMQLSRELGLAPRQIKFWFQNRRTQKKAQHERADNCALKEENDRIRCENIAIREALKHTICPTCGDAPSHEDSYFDEQKLRIENAHLREELERVSSIAAKFMGRPLSHLPPLLNQMPFQGGPSLDFDLIPGSCSSMAAPTLPSQPNLVLSEIDKSVMTNVAVTAMEELLRLTQTNEPLWIKNDGARDVLNLESYENMFPRASSRGGKNHNSRVEASRSSGIVSINAITLVDMLMDSVKSAELFPSVVASSKTLAVVSSGLRGNHGDALHLMLEELQVHSPLVPTREFSLLRYCQQIEHGTWAIVNVSYELPQFISHSRPYRVPSGCLIQDMSNGYCKVTWVEHVEISEQEPIHEMFKDSVREGLAFGAERWIATLQRMCERFAALMEPATSSMIPSPEGKRSIMKLAERMVSNFCLSVGTSNNTRSTVVSGMNEFGIRVTSYKSHHEPNGMVLCAATSFWLPVSPLIVFNFLKDERTRPQWDVLLNGSSVQEVAHIANGSHPGNCISVLRGFNASSSQNNMLILQESCVDSSGSLVVYTPLDLPALNMAMSGQDTSYIPILPSGFAISPDGSRSSQIPELKVEGGGSGGGGSLITVGFQIMVSSLQSGKLNMESMETVNNLISSTVHHIKTTLNCPSTA >A09p060400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50094257:50096072:-1 gene:A09p060400.1_BraROA transcript:A09p060400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNNVNGCFGDTKLTKVFVGGLAWDTNKEAMHDHFIKYGDILEAVIISDKLTRRSKGYGFVTFKDAEAAKRACEDSTPIINGRGANCNLASLGGRLRRSPTVASPQQGSKHVGRPMSGHVGNNQAQWYYPAGFAHQQHQQHHHQTIPFYGYPPSYVAPNMTFNQKVGYVGGTYMSGYYAQPQPQSLPLPQPQYYHHHHMYGGGRVMVGANPMMPLYTVYPYHQSHAIGFPQPSYTKPISGIYCHFILNLILKARYIWI >A05p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20063523:20064127:-1 gene:A05p035390.1_BraROA transcript:A05p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSDSPAMNKKKDSTVKKRDVTTKKNKKDALKKKRDAENKSEFMAKKRKLDRGVHGGPRQIQPSMLETVREKHISICFTSYNASYTHPNERNCVAVIEQGILWLRKRGSGTQSKEKRSGQRSCGSD >A05p025930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13918961:13920232:1 gene:A05p025930.1_BraROA transcript:A05p025930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 19 [Source:Projected from Arabidopsis thaliana (AT1G47603) TAIR;Acc:AT1G47603] MGFDTESPDRITQEGEEANVGVENQPRAAPNLTALDQPQTIKTRNWWIWIFVSSGLVVTGRVFSTLLLNFYFVQTGRDVCDDPKQFKGTWLQSMVQNAAFPFTAFFAFLWRSLSSNHRETITSSASSFGKLFLLYISLGVLFAAYSQLYAIGRTHCIFFFWIFTTQLIFTSMFTAIINKHKFNRWIILSVILSGVATGITSSEDAYNPCEGEGYKMSYGAWCGFFGTVAFSLSLCIMQLGFEKVIPKTESKVSSVMLMQTYASMIATLICLVGLFVSGEFRDIKEDFETFKKGKPLYVLTLTGLSLAWQVMSIGLVGLVCLVSTLFSNVVSFCATPLANILVVVAFRFMDDDIEWFKGGALLAGILGFASYAYSLYKTVKKRASQSETLRV >A05p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8907934:8909627:1 gene:A05p018990.1_BraROA transcript:A05p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAKTECGVSLIGDLSRTHLLVYLSSKETNPNSVMEDWEVVIESSGTNPKSLEEIEDGTQGMVTFDYFSIQNSNSNSNCVGRVDAIDEDGSVQSGSPGWIEPTSDAPYGPKLFSELWSDSSSDRLDDVNNDELGLEKSEEYSKSIAKEKHLDKHTEREEESPVSVQGKSVSGGGGEERVFVWWKIPIDVLKYCVFRVNPLWSFSMAAAVVGFVMLGRRLYHMKKSKSSTLQLKVLLDDKKVASHAARLNEAAISLVKRVPIIRPALPSSVVGMNQWSMMSLR >A04p032290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18854584:18858010:-1 gene:A04p032290.1_BraROA transcript:A04p032290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAESRIKEGKEDTNVGPPNIPRHPEVLARWDPAKARRPDIAEAPVFYPSLEEFEDTVAYIEKIRPSAEPYGICRIVPPLKWSPPFRLREKSIWEGIKFPTRVQDVELLQNRGPVKQKQKKKPKARKRKRSSSSKRQPSSVSSPEEEKVFGFNSGPDFTLEEFEKYARRFKESYFEMKEGNAGDTKWSPSMEEIEGEYWRIVEHAAADDDVEVYYGADLENKVLGSGFERGETSGWDLNNLRRLSGSLLSFERGDISGVLVPWVYVGMCFSTFCWHVEDHHLYSINYNHFGEPKVWYGVPGTHATSLEKAMRKHLPELFEETPDLLHGLVTQFSPSILKEEGVPVYRAVQRAGEYVLTFPRAYHAGFNSGFNCAEAVNVATVDWLSHGQNAVELLSDENKKTSVSHDKLLLGAAYEAVRSLSGDEGESGKSLEWRCFCDKNMTLTKAVETRLRMEEARIDALGGGFRLMKMEKYFDSNCERECAKCSYDLHLTASGCSKCSPGEYACTKHANELCSCEGNDRFVLLRHTVDELRSLVRALEGEPEHLKTWASMVREVFSEAQKREPRGFDLNLEFQVDGEFDDTSETRHDEAEDLKTWASKVKEPRDFDLNLEYQVDDEFDNTSDARHDAYMLNFAASVETINLGFLVFRDLWSNKHAIYPKGFKSRVKFYNVKDPMRMSYYISEVVDVGLLGPFFTVTLEEAHQDESFSAGSAQACWEMVLQKVNEEIKKRQERNIHTLESINGLQMFGFLSPSIIQAIEALDPNHRLVEYWNLRKQGGSLEV >A09p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:10079:10473:1 gene:A09p038950.1_BraROA transcript:A09p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPDRFSERSTKVKDSVEGLMVKPRWSAIILGRILTDAPVSHIEWGNSTPLTTHGIANFPGSLFFDNVLLLPLSISKVYIVCDPLLLGSMRKR >A04g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2473072:2473561:-1 gene:A04g500910.1_BraROA transcript:A04g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLIIIITLAFKRHNTTPSTIIITIAFKLHHKKQRKDSSSPLSTNKMTHYNKLFEVFYYPKITSWRFRVKIHKIYPFYSYVTSSGPFYKYVLADEEETKMEMTIYGNSDRF >A07g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18187062:18189026:1 gene:A07g507030.1_BraROA transcript:A07g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSVKNYNSDDTSDASNFGENSDAGHASYFRTINLRYFVAKPLEMLNEHIKFKELCLENGNPEAHYIEGLLQYFIHKERSTGLYHLRQSAIAKNSNGMYLYGLLMLAKGHYITGKRYLDKLQWNENLSLSDHCWKGIKNSLSAVPVRMRRQHYINMVNLEPRIDCHPDTMTEVCNNCYYYKRLNQFYRICTNSGRCTIIPSTTKITTRPPTMTHPPVPPSSPESPNTGESYDLSYLLDDPLDAVENYPELMEMCLRVNNPHANYIKGVHEYFGRNNVAQGLDHLKRSADGKCDVATYLYGLLMLSRGNMHEGRRYLSTLGWNTNMKRAEQCWTNVKKSLKRFHITMEDCYVENMFLLKPARRCHVNSFAKRCTRCFLYKQVMQFVDYI >A02p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6023509:6026557:-1 gene:A02p013820.1_BraROA transcript:A02p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSSTIQQTLTPEAATVLNQSISEAARRNHGQTTPLHVAATLLASPSGFLRRACIRSHPNSSHPLQCRALELCFSVALERLPTVTATPGNDPPISNALMAALKRAQAHQRRGYPEQQHQPLLAVKVELEQLVISILDDPSVSRVMREASFSSPAVKATIEKSLSNNNTTPSPSVLMTRNTYQQNSSKAQPGVSKNDDVERVMDILRRGKKKNPVLVGESEPGRVVREVFKRIESGEAVKNSKVVHLEEIGTDKAVRIRELDGLLETRITNSDPGGGCGGVILNLGDLKWLVEQPSSTVGGTAVAELRRLLEKHVGRLWFVGTATCETYLRCQVYHPSMENDWDLQAVSLAAKTPATGVFPRLGNSLGSSAQSFTPLKSFVPPNRTLKCCPECSQSYERELSEIDSLSHEVKTEVAQPKQLPQWLLSAKPKPKIEEVQKKWNEACVRLHPSFHNKTERIVPVPIPIPIPLTTSSYGPNMLLRQPFQPKFQPNRELRERVPLKPMSSLLPEQAKKKISPPGSPVQTDLVLGRTEASEKEGEVQVRDFLGCITSESVPNNDKISVSNLENSLDIDLFKKLLKGMTEKVWWQHDAASAVAATVSQCKLGNGKRRGVLSKGDVWLLFSGPDRVGKRKMVSALSSLVYRTSPITIQLGSRQDGGDVSHHHNNLRGKTVLDRIAETVKRSPFSVILLEDIDEADMLLRGSIRRAMDRGRIADSHGREISLGNVIFVMTASWHLSTKTCFLDSEAKLRDLASESWSLRLSMRGKRRASWLFGSEDERVTSKPKREHGSGLSFDLNQAADTITTDNTSDLTTDNDQEEQGFSGKLSLQCVPFAFHELVSRVDDAVAFRAVDFGAVRRRISDTLSERFAAVVGESLSMEVEDEALQRILSGVWLGRMEFEEWIEKVIVPVLSQLKARVSSSGSYGDRTVARLELDEGSGERCGGDVLPMSVAFAV >A10p027830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17433625:17435175:-1 gene:A10p027830.1_BraROA transcript:A10p027830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHLLVGPTPIKSCRRFSSAISGDLLPPSFNSCGRESRDLYHHRRRYRDGRSRYQRSRRKTTVISAAYSSSSWSSAGEQNHYVVLGIARNATQADVKRAYRLLARKFHPDVNKDSKAGELFKSIRCSYEVLSNEATRIQYDRSLKVQENSRFSRVKRHYSTPDTELEDAMKYCYNWSQKRRGSRYERAYGHYSTYPNSHFYSDPPEQEEEEEEEEETAQEQRDSFAKVLKTAFLSMFLLYTLGYLASLTFSTFTALLDKELDMGYKSGFMIAWILGGKGGILLTLCLTFASWLCGKASSSVVVVMVVAMWVGSNLARHAPLPQGALLTLLYMSIKLQVDSR >A06p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5633060:5636012:-1 gene:A06p012320.1_BraROA transcript:A06p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGFMSPSLASYREGGGSKGMSRRRPMRPSFDADNEFITLLHGSDPVRIELNRLENELRDKDRELSEAQAEIKALKLSERQREKAVEELTDELGKMSAKLKLIENLLETKNLEIRKINEEKKASMAAQFAAEASLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKSLDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENRIIDKMHRQKVAEVEKLMQSVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKILERELARAKVNANRVATVVANEWKDSNDQVMPVRQWLEERRFMQGEMQQLRDKLAIADRAAKSEAQLKEKFLLRLRVLEESLKKPSNRGTPGVRSSSNGPTRRQSLVGAETSPKYSSSNGSVAKSRPSSQPRSSTASASTVLKNARGTSSSFDGGTRSLDRNKVLMNRPGSKFPSNHQSSEGASRVESPSSIKVEESDDKSTDNDSVPGVLYDLLQKEVITLRKAAHEKDQSLRDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAVMGKEVAAMRVDKGHQDTKTRRPSSISKGSSNTAQLLSGRVSGRIGMTKST >A09g512010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35314405:35316600:-1 gene:A09g512010.1_BraROA transcript:A09g512010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKAGKPLKVKPDDDYRIHVSQASLDKGNKGERVLLYVTFDGKKLLMGTLSQENIPQISFNLVFEKEFELSHSSKGSVHFTGYKSPNIEKEDDSEDDGSDIEKRVDVDEDDSEDEDDSDDEQDESDDEEETHMKPEPSNKKRKNESAAPVSAKKAKSAVATTPQETGVFDCAQRLTDLLESHDPVTKKCLESFSKEEGEPSSFPNYLEKSTPLPEEAFFLPDWIIIDNIDLEAEKADVEKFFELYEIKVSGIHLDPDTGRQIKKQVALVQLVSSQDLEKAMSLSGRRLQSAANGKYSRVFCKRKKNSLRVFFLSGYDHKLTAEEIIQQVKEAFSSDKENSATVKTVVLPENASRRFCYLVMDNVTHLNLPDILAVSTVGGRRIKEFEKCNPIGSVFEPYL >A03p020130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8255413:8256443:1 gene:A03p020130.1_BraROA transcript:A03p020130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BPC7 [Source:Projected from Arabidopsis thaliana (AT2G35550) UniProtKB/TrEMBL;Acc:A0A178W000] MGLASSFVISSGFAKFHPNDSRKIKKKLFREGAILPLKQAIEMDPYLSRNHMKPATSTSKDTGLPTSNPLWFHSYYPVPRTTGIDLSQPPQAEPAELAMVPQVRLFPPPTRGYIHDVELKSSTMLSPSKALKPKPQSKKRSAPKTPKKTLSIPEIKREKKNPDINVVDISSFDVSGVPPPVCSCTGVPKVCYKWGMGGWQSSCCTISISTFPLPMSTTRPGTRLAGRKMSNGAYVKLLMRLAGEGYDLTCPVDLRNHWARHGTNKFVTIK >A07g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6662915:6667393:1 gene:A07g503400.1_BraROA transcript:A07g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLHRLHPFCAIKGGYQQLNSAKQNSPNNPVLMGRLFRLIMGLWCKSSTGVWNFKETPNSEGEALIINMTDSVDGIVERIRITLNLGILTPVVLTYQLPPWMLHPDGPTTPPITLVSNKDVKIMTSVTDYIEDAVLYVTSGPEHVAKYQFLCRTPFTIDEKTYLEDGVTEKEHRQAILDLVGGHPIVCSKHMLEIMFNEPQLLLVFRVALEIEMVYGLENDDDHNAEPPDNLTINESDFLCFEGAVPLSPNPLDNYNTQDEVLYGEPITIEDLQNSVPNFEATPMVHQGDTFDQEPLHVWENMAEDETYWDGMMEGERAFEVYIARSPLPTEEVIGLSLAHNRRVCAPQPETFIVIDDDDDNSYTGSTNGNNELDNIIALPPPVQIEPDSTEDNINSVTVLTRGEPSAAEKIIHTVDAPNNLHATSPTATGATTEPFLDLTLGVGIGNNRADPEPLSDGRDASSESEDGCGIETNNADSLYEGKVFKSRADFKQQIALYALRNKFRFRNARSTPAGMVLRCVSSSCKWRIYAVKMKNVEKYEIRRVISEHTCSVDERAGINHINNISCSNFPSNNKRGGMLVRRINDVGFEVKDKDGCSYHVNLATKSCSCYSFQKLLIPFSHAIASAIKEKVSIESLVSDFYTVENLSLVYGEDILPISNESNTSGPSTEVVGEAIEIFPPSSRRPPGRPRKSRILSTGEIRPVQRVGTQQSNLQSCNINATGNMRSWRFSDDEGV >A02p052600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32168842:32173984:-1 gene:A02p052600.1_BraROA transcript:A02p052600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCNEQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLICERCNAQPASVRCSDERVSLCQNCDWSGHNNDATSQHKRQSINCYSGCPSSEELASIWSFCMDLDFSSAGQSACEQEMGLMTIDEGTGEDKPGVQNVNLDQSGTSSALAKEIRVSEDDFCGNLMMDEVDLAFEKYDELFGTAYNSSKDLFEHGGIGSLFEKHEGSKAMQQPAGSNAASEDSFMTCRTEPIICFSSQPAHSNISFCVVMGDSNAGDFQDCGASSMQKLSREIITSQEETNASSHATTRNNAVLRYKEKKKARKFDKRVRYVSRKERADVRRRVKGRFVKSAQVTFFQLVLPALRSLFWSISFLMAHSADSVNPRDVCIVGVARTPMGGFLGSLSSLPATKLGSLAIAAALKRANVDPSLVQEVVFGNVLSANLGQAPARQAALGAGISNSVICTTVNKVCASGMKAVMIAAQSIQLGINDVVVAGGMESMSNTPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYNDCGMGSCAELCAEKFEITREQQDDYAVQSFERGIAAQEAGAFTWEIVPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALQLGLQVIAKVKGYGDAAQEPELFTTAPALAIPKAIAHAGLESSQVDYYEINEAFAVVALANQKLLGITSEKVNVNGGAVSLGHPLGCSGARILITLLGILKNRNGKYGVGGVCNGGGGASAIVLELV >A02g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2644472:2645451:-1 gene:A02g500850.1_BraROA transcript:A02g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHLVSVQDGISLLIRVDVSDNRTRDSMLKELIDIRNSILATEKICEQAGFTISRMIDSGEKEEDEEDIWEEDDGKVGSDPVKKVAPLPRVQNGEGFVLTIIKEERTEIPPCHAPLKKGGLCQRRDLRACPFHGPIVPRDDEENPIIKESSLEESQNQTSSSTTRISQEDDTPMDGTVSDSDPNQLARQKAKQALKNIREKDREELKKIAKRAKHAKVKEHKFSVLRDAALASIYRRGVR >A03p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13566625:13567923:-1 gene:A03p032030.1_BraROA transcript:A03p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLYRTRGWRVPYLLLSLSSVVLKALCLIPLVFLLLHNIKSSITKTLSYFQLKFSMTSSMASSSLSPATQVGSSRSAMLAMSRVMFVKPTNHQMVRKEKLGLRIACQATSIPADNVPDMEKRKLLNLLLVGALSLPTGFMLVPYATFFAPPGSGGGGGGTPAKDALGNDVVAAEWLKTHGPGDRTLTQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNKAENKFLCPCHGSQYNAQGRVVRGPAPLSLALAHADIDDSGKVLFVPWVETDFRTGDAPWWS >A03p068930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30195043:30195917:1 gene:A03p068930.1_BraROA transcript:A03p068930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWTEARALKASLRGEAIKHQVTVSSEELSRTSSAEDFSVECFLDFSEEGQEEELVSVTSSQEEQEQDCCIYSSQPCIFDQLPSFPDEYVEELEWVSRVVDDCSSPEVSLLFTQTHKTKASFSSSVPVKPRTKRSRNSLTRDRVWPLVSTKQHATGEHGRKKKQETAVVFQRRCSHCGTNNTPQWRTGPVGPKTLCNACGVRFKSGRLCPEYRPADSPTFSNEIHSNLHRKVMELRKSKVLVEETGEATTKSDQVKFAINMVDKT >A01p039370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14371678:14374270:-1 gene:A01p039370.1_BraROA transcript:A01p039370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDPVPKLLLQLISSAFQRCRLAEDLCRLSLLLLHQSAGNDPPITSISSNLTLFSLRRKSNLSSLNCLSTVSDTGIGCSLVEFQDLRCPREFNGANIWDGLLSLKTTCFSDNEVFCYHINLGECISNKRIKRQPSQPKNGAKFSGTEVSLSVFASMDALVAPIVTFFQKMLVLHLPNVTMDLVVEQGASPGTQTQYVFVMNGDQTPCFTASNLERLKSGLEDCVLRHGNCLEMMCEQCFSDREHLKVGSGTACPEENRKRPGGTMEVVIVISDLLETTRHCSRSCEGKTEVVYFDNFSPSPIPQVALSALKKIDWKSYGLILASVNDQEGHVFLEWENFPSYVQIQIALHWYHKKYPKRQKTEPGINLVKKGIKSALDDLKTKHEGFLLSSHSRKICSYVPDLARSLAGLIFSSTDMDFQGDCLSVLGFQPQEAEREAVEDYIQRKIVTVIGMNESKPQKDQEAAPFLFFEGGSETSYSEDEEIEGEYYSTSLE >A05p008990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3716977:3718200:1 gene:A05p008990.1_BraROA transcript:A05p008990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTRSQLNVSSPPPLPSPIPRARGSRSAANEILTEIIEKSIQVPELTLPESHSGGESCGARHLIPAEIDFRLLTSRREGSVDRLVRSAREFGAFRVSYHGISSEEMRSLVRESGRVFGVLEGRDTGFRRSVVGNRDEIVWVRSWKERMEWAREYIGPERYRCFSQEMENVADKLEGVARKLGQIMVENSRRQTDKRIQIGESVLSVYRYNHENVTEQSPPLPKETTEEMLHYTLSLHLPAKNCEFRVDSGKGGGPLSFHADPDTILVTFGRQLEEWSLGEFKCRQGEIIYHPDVYGSRTSFSVELKCMSLFLSHASTATTCKTFSLTHQIFTSLLLLFFFQFFWSYVSHTAT >A07p005830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1938342:1939268:-1 gene:A07p005830.1_BraROA transcript:A07p005830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSELGGSGGLVGSWLGQLSNDVNLLPINYINRRLVNIHTKKKSWDVIQKEYAMSALGSKCKDVILHLWKTHKLNDLSETLQDRLKNEKALCQTEFFTETCTKLNGSFVCEDAQIRAVSLAPFLVFLSRLVWHIFMLFLGSIYNFDELKNPHARHNNVTIILDDKLVQVFGP >SC141g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:161534:172541:-1 gene:SC141g500060.1_BraROA transcript:SC141g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEERQCNPFKLVPVRVSSLRGSVEAYMIQESKVATLEEEEAAKTQLIWCVRTLQPNKRLASFHLRLFFKAFGMEESKSKTLSVAVTLKGGTNYLLWSRLVKAAVGSKGLWSHISGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGNCMNSPLGYSLAASYIDRTDGYLSHRGNQSDGAHENEGSASPDQQGMRNEDETEERDQFEQTETTTDEVEIQEAAPLDPEGGNQGEPPVSEEVHDQEEHHDQGEEVEDQNQNLEALPKGPMTRSRSRKLTQVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPIRQEHAGSQETDNYYSRNRTEHNPTER >A06p053200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28094865:28097073:1 gene:A06p053200.1_BraROA transcript:A06p053200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase-like protein ZAR1 [Source:Projected from Arabidopsis thaliana (AT2G01210) UniProtKB/Swiss-Prot;Acc:Q9ZU46] MLPSLLIFFTLLSNYIPVNTLNDEGFALLTFKQSVHEDPTGSLTNWNSSDEDACSWNGVTCKELKVVSLSIPRKNLYGSLPSSLGFLSSLRHLNLRSNRLHGSLPTQLFELQGLQSLVLYGNSFDGSVPDEIGRLKLLQTLDLSENLFTGSLPSSLLQCNRLRTLDVSRNNFSGALPDGFGSAFVSLEKLDLAFNHFNGSIPSDVGNLSSLQGTADFSHNHFSGLIPSALGDLPEKVYIDLTFNNLSGPIPQTGALMNRGPTAFIGNVGLCGPPLKDLCRGDELGLNASYPFIPSNNRPDDDSDGDSETKQKSSSGLSKTAVVAIVLCDVIGICLVGLLFTYCYSKFCACNRDKDSKKRTAECLCFRREESETLSENVEHCDIVALDAQVAFNLEELLKASAFVLGKSGIGIVYKVVLENGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIASLRAYYWSVDEKLLIYDYVPNGNLATALHGKPGMLSVPPLTWSERLKVAKGIATGLVHLHEFSPKKYVHGDLKPSNILMGQDMEPKISDFGLARLANIAGGSSSPTVQSNRIIQTEERQQQQHHHKSISSEFTAHSSSGSYYQAPETLKTVKPSQKWDVYSYGVILLELIAGRSPVLDVGTSEIDLVRWIQVCIEEKKPLCDVLDPCLAPEVDKEDEIVAVLKVAISCVNSSPEKRPTMRHVSDTLDRLPMAGD >A05p048240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28281652:28282715:-1 gene:A05p048240.1_BraROA transcript:A05p048240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVNLEGKSYSCRHCKTSIALCDDVVSKSFQSRHGKAYLFSKVANVYAGKKEDRMMMTGMHTVVDIYCVKCGSYVGWRYEFAFEKNQKYKEGKSVLERYKVCGPDGNNYWVAAQEVEAGESDTDE >A02g512480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33832349:33832799:1 gene:A02g512480.1_BraROA transcript:A02g512480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNHVPPITLPPHIANIVAASVGCGGLTIPTFDQNEWIQGQSSIQQDIGAPDVIFRCRLARGVLRFEAETHELNMDPGYNGPLYALSRYTVRNHQPELQIVPPTIAFTQYWFEFL >A05p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:188944:189905:-1 gene:A05p000240.1_BraROA transcript:A05p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHGHTRTATDVLCVLTDSRGCPVCAGGHPRTSFQGKGQGAESKASVLICVLMDIHGRPVCADGHTRTATDVLCVLTDTHGHSPRGPKSPEQSTKRVSVLSSRTNVLICVLMDSHGRPVCADGHTQTHADTHGQLRTSYSPRGPKSPEQSTGRASVLSPTTNVLICVLMDSHRRHVCADELCQTHTDTHGPPQTSCVCWRTPTDVMCVLNRQPTWAKITRTVHGKGQRAESKDQRADMCTNGQPRTSCVC >A04g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19379163:19379760:-1 gene:A04g507700.1_BraROA transcript:A04g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLSGFDVIRSKNHFKLSVSVVAIRLYEFKFLAVANPIPFKFSKLEQVIVLTNTNVQLQLLFSVVEYSDISCQPPLLFQTSLVDNLNELFYNRLKTGVCQPRVMIATSNNPKFVGASNGS >A10g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8907418:8910291:1 gene:A10g503400.1_BraROA transcript:A10g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFVSCFSDLEDFWDDLPVSRLEVIWKLSRLLGSLLTKSFGLPGSRLDFQEVVWTSRKSSGLPGSHLAKSPFHNRSERFGFSDLEDFWDDLPVSRLEVIWKSSGLLGSLLTKSSGLPKSRLDFLLLTKSSKLPGSRLDFLKVVWTSWKSYDKVFFHIKWIDDLQLSHHRLVLQLKKKTSRFNYIQTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKKFRIKILGFFSSLWRENERYVVFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAIL >A02g512340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33484417:33486110:1 gene:A02g512340.1_BraROA transcript:A02g512340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILRMSLVMNLLSLLLSTAIVYSNQSTMPLRSFKMSENVTYDCIDIHKQPGLNHPYLKTHKIQMKPSLSRPELKMRTEKNETSNQNKIGCPNGTVPILRSTKEFIINSQMFAENNFHMLSADSPGTHIAGVRSSRGPFRGVKAYFSAYKLNVGKDQVSYSQIYVGSGSGSQVNYISAGWTINPGLYGDQRVWTFGFWKGKDGKGCYNTACSGFVQVSKVVPIVQPNDLKPGVPGFLDYFIHQDKNTGNWWITRFSKGAPSVDIGYWPKELFNLLDNGANMAGVGGVVQASRSGSSPPMGNGNFPNGGRLDSAIFTNIEVLNSNYEQRKMNSFHVDYLVDSEKCYGLKVGKKGFFYKNPLGFYFNYGGPGGNSCGV >A05g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4172023:4173036:1 gene:A05g501140.1_BraROA transcript:A05g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSHASRTDWLVVSALTLWKDGISRYSIHFSPLSKKLGFLIPAAIFGATANSVGSVHQIDDGLCSRRSKMDPAEEKRNSKRQIDYNNMLGFVADSEYGIPRKCPCGGRIIDEVRRNDEYDTNPGKRFFTCINHEADGFHYRQPWVIGVQEEIERLTKRVVEAEDVMRGMWKVTKQIETLEEQVQILSGQVADLEK >A05p049500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28813392:28824723:1 gene:A05p049500.1_BraROA transcript:A05p049500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISSSGGDRRRRRRSNTLPTAAPPPPPHPSLPPPPATEPPPNRIVFAAATPYPNPNQHYHQYPGYYPPPPGTMLPSPYDHHHHHYPPPPPPPHPYHHPHPWTAGGRYPYAGAMVPQPQPCVEHQKAVTIRNDVNLKKESLKLEPDPDCPGRFLVSFTFDATVSGRITVIFFAKESEECILTATKEDVLPPITMDFEVGLGQKFKQPSGTGIDFSLFEEAELFKTAEADVYPLAVKAEAAAAPGGDGGEEESVGSKNAQITQAVYEKDKGEIKIRVVKQILWVNETRYELQEIYGIGNSVDGDDDSADDANDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVHGNSGSGNNTEQGGTGEQEFFSALFFFPISWVSFSAPLMDDRDKALEEEHKDGDSAEIADEEQLWRRGIVSSRTPQDTGDDLMRRNASLTSSPIGKRVNFSPMPSPRIFQRGASLSPCSSSSRNRPNNNSLKSLIPKLTFKNKNSSNSNMDIEKAADLAFGASPPSSGNARERSTWTLSNILAPRLKKTESLPKKGPPLPFHRSRSVPAFNKDGSLRQSGVFRVIPTPNRTPTRNINKLDDTNVDGGEDVPEEEAVGELALAHKECTIKWFTIKGNRTCDVCKQEVQNLPVTLLRLQDSHFNLGAIEATHFRQVKIQTNTTFDDVPILVIVSMLAYFCFLEQLLLTKMKSGAIAISLPFSCVFGLLASMTSTTMVKKQYVWIYGTIQFGLVVFFSHIFFSLVHMQPVVSILLATVFGFGLTMSSATGIVEFSKWRRRRRTAELPSSSQVDPPPVQRPYQI >A10g505790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:14916796:14917098:-1 gene:A10g505790.1_BraROA transcript:A10g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFRYSVFVFMAITVIVLLPRHTPSATSSPYSRPEKFYLNCGSDSNINYGGWTFVGDMISGCNLVSLNSKGSEASNQSVPEIYGTVRIFRHPSSYKF >A01p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8696056:8697329:1 gene:A01p017840.1_BraROA transcript:A01p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLISTVPPRYVRSDLDKAEIDSDLTTEIPIINMNLLCSSTSMDSEIDKLDSACKEWGFFQANSSFLDKIKSEIQDFFNLPMTEKKNLWQRPGEIEGFGQAFVVSEEQKLDWGDMFFITMQPLHLRKPHLFPKLAPPFRDTLEKYSDEVKSIAKILLAKMARALEIKPEEMEYLFSDDLGQKLRMNYYPPCPEPDQVIGLTPHSDATGLTILLQVNEVEGLQIKKNGKWVSVKPLNHAFVVNIGDMLEMITNGTYKSIEHRGVVNSEKERLSMATFHNTGTGKEIGPLRSLVERQKGACFRTVTPEEYFKGLASRELDGKAFLDVWRI >A07p024670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14214682:14215930:1 gene:A07p024670.1_BraROA transcript:A07p024670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSASVHPVEAPPPAAAAPEATQAPRVRMEDIQGMPATLLGLTLRFFQFFFAAASLSVMASTNDFPSVSAFCYLVAATILQSLWSLALATVDVYAIMVKRSLQNRRLVSLFAIGDGVTSTMTFAAACASAGITVLIDNDLNSCSANHCVQFETSTALAFISWFAALPSFLFNFWSLASSSR >A05g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14492368:14492667:1 gene:A05g505240.1_BraROA transcript:A05g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A02p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26410938:26412116:-1 gene:A02p042110.1_BraROA transcript:A02p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQESSQCPFVAESIIQKCPFLRNINKPTSFSLSSLSFPVPVHEGSKGPIFEDGPGFDSAFKLFHGKDGIVPLSGHSSFRDDVEDETPRAAPQFNPLAGKVATISLSAFGPGGPFGFGPFSDKFKKQHKKQESGDSSKHEAVGDEWLKTGNCPIAKSYRAASKVMPLVAKALQPPPGMKFRCPAPIVAARAALSKTPLVKSLRPQPLPEKMLAIALMGMAANVPLGVWREHTIKFSPSWFVAVHAAVPFIAMLRKSVLMPKAAMALTIGASILGQVIGSRAERYRLKALAANTVAETSTVTAGDGYNEVSDGSGFAKGHCGAGEGVKEVYYNANVGESAKSTGICY >A08p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25173038:25176517:-1 gene:A08p046170.1_BraROA transcript:A08p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UVH6 [Source:Projected from Arabidopsis thaliana (AT1G03190) UniProtKB/TrEMBL;Acc:A0A178W4P7] MIFKIEDVTVYFPYDNIYPEQYEYMVELKRALDAKGHCLLEMPTGTGKTIALLSLITSYRLSRPDSPIKLVYCTRTVHEMEKTLGELKILHDYQVTHLGAQAKILALGLSSRKNLCVNPKVLAAENRDSVDAACRKRTASWVRALAAENPNVELCDYFESYEKAADNALLPPGVYTLEDLRAFGKNRGWCPYFLARHMVQFANVIVYSYQYLLDPKVAGIISKELQKESVIVFDEAHNIDNVCIEALSVSVRRVTLEGANRNLNKIRQEIDRFKATDAGRLRAEYNRLIEGLALRGDLSGTDQWLANPALPNDILKEAVPGNIRRAEHFVHVLRRLLQYLEGRLDTENVEKESPVSFVSSLNSQAGIEQKTLKFCYDRLHSLMMTLEITDTDEFLPIQTVCDFATLVGTYARGFSIIIEPYDERMPHIPDPILQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLSFNPVVSRSFKMSMTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLVEMVSVVPDGVVCFFVSYSYMDGIIATWNETGILKEITQQKLVFFETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVVMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMAIHIAREFLRKMAQPYDKTGTMGRKTLLTQEDLEKMAETGVQDMVY >A09p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18645501:18649093:1 gene:A09p030550.1_BraROA transcript:A09p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPSMFESHHMFDMTTKSTSDNDLGITGSREDEFETKSGTEVTTDNPSGEELQDPNQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRDLCLEPLQVKFWFQNKRTQMKAQHERHENQILKSDNDKLRAENNRYKEALSNATCPNCGGPAAIGEMSFDEQHLRIENVRLREEIDRISAIAAKYVGKPVGSSFAPLGIHAPSRSLELEVGNFGNQTTGFVGDMYGTGDILRSVSIPSDTDKPMIVELAVAAMEELVRMAQAGDPLWVSTGNSMDLLNEEEYFRTFPRGIGPKPLGLRTEASRESAVVIMNHINLVEILMDVNQWSCVFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHSDGSWAVVDVSLDSLRPNLISRTRRRPSGCLIQELPNGYSKVTWIEHMEVDDRSVHTMYKPLVHSGLAFGAKRWVSTLERQCERLASSMASNIPASDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWTTMSSTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRSEWDILSNGGMVQEMAHIANGREPGNCVSLLRVNSGNSSQSNMLILQESCTDASGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGSVGGGGDGNDQEVVSSSTTASGSCGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAALACDVGGGAP >A08p045320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24871823:24874165:1 gene:A08p045320.1_BraROA transcript:A08p045320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 54 [Source:Projected from Arabidopsis thaliana (AT5G40170) UniProtKB/Swiss-Prot;Acc:F4KHA2] MKLHLAVFSITCCVCVTSSPVPPRPDQVELLLAFKNEFPSRSCDPIWNTLDVVNHSPNISSWTKEGVSFDGVVFDNATGAVTELNLGGACISGTIQANSSLFRFQHLRYLRLFINHFDSSPLPSGFGRLASLEYLDLSQNGFIGEVPSSISNLSRLTSLDLSYNKLTGRFPHIHNLTLLSSIDLSYNQFSETIPSSLFTMPSLWYLDLRQNHFKDPLENMTSSPSSKLVHLDMAKNLFSSRILEPISKLPNLTHLDLSFQNTTTYTINFDFLSFKSLEYLDLSGNSVSVLNTSSKNLSFLILSSCNLTEFPTFIKTSRNLEVLDVSKNRLKGKVPEWLWKLPSLSHANLSHNSFSGSPKVLLNSSLSELDLSSNTFHGSFPIIPHTIKVMAASNNNFSGGIPLTLCQASQLRLLDLSHNSFSGSIHRCLTNVSVLKLRNNDLTGRLPDIDSRILVILDVGHNQISGKLPRSVVNCTSLKFLNVERNRISDTFPFWLNALAQLEVIVLRSNRFHGPISSPGISLSFTALSIMDISLNNFNGSLPPDYFANLSEPLVNSPPVKHWPEYRGDWHYYKYPTEPWYYPSIYLRSKGRNMELEKIPDRCAVIEFSGNSFGGEIPESIGFLKSLIVLDLSNNGFTGRIPSSLAKLTQLESLDLSRNQLSGRIPQEIRVLTFLSYTNMSHNRLTGQIPQGTQIGGQPKSSFEGNIDLCGLPLEETCFRETTGAPSTQRTQEPEVAKQEEVLDWKAAALGYGPGVLFGVAIGQAFATYKPALFYKLFRL >A03p006380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2704688:2709247:1 gene:A03p006380.1_BraROA transcript:A03p006380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIFL1 [Source:Projected from Arabidopsis thaliana (AT5G13750) UniProtKB/TrEMBL;Acc:A0A178UFA6] MKKKKYTECLLENNMYHEHCPGCKVDQMKKSRRGFPFSELLSVWIIVLCTALPISSLFPFLYFMISDFDIAKKEEDIGFYAGFVGCSFMLGRTLTSVIWGIMADRYGRKPVILIGTASVLAYAIEIFRDEYQGLALSAVSTAWGIGLIIGPAMGGFLAQPAKQYPSLFSEESVFGKFPYFLPCLVISCFALLVTIVSLRIPETLHNHKIADDASSHDESMKFLSHDPESHKVTERNEKNSLLKNWPLISSIIVYCILSLHDMAYTEVFSLWANSPRKYGGLGYTSADVGSVLAFSGFGLLVFQLSLYSYAERLLGPIVVTRISGSLGLVLLSSYPLIAKLSGLALTLTLNCASVAKNVLSGQDQRGAANGLAMTGMSLFKAIGPAAAGIIYSWSEKRQNATFLPGTQMVFFILNTVLALGVVLTFKPFLAETKQ >A07g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2943780:2944347:-1 gene:A07g501420.1_BraROA transcript:A07g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGNQPSTPSDSVDLSTSLLLGFSVIGTRKTSRSMVNSWESLYLSSMRRYCIDKHLILDLNFFYFLKGRCSKIFNSADGKITALKELQVREQNKLPSFCFGHIWRTGFECHELKSVVFEDVPEALEKWHSSGIKFTYIQVVVD >A07p041430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22381402:22384349:-1 gene:A07p041430.1_BraROA transcript:A07p041430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGRSIRYPDHELRGRQDSNSRFSRRDSVYSNESYEQGRVSNLRHEDMIRSGAVNGREAFVDRGPKRCGLSVSARSVDREPGELSSESGSDEFESVVKGNGDVKEVEDRAQSPVEKKRKFSPIVWDRDEKPLEATPLPPPPPLVKRSSQPPTVNCDGDSRFPPEQSNMREDPKQVGFLEVSAPTLSSPVEMSSSCVVEQSSNAAKDGKQEEGTHQEDEENMPTRHISSSRWAAGNSSPTDEGEIVELEIDKRRKKLLQGRLPNKSLTPEAGESVREGCRSSDSDERRHHSLPGSRDDFEEKSAVKGDYMEIDEEERRRENASDSLTETDSEDEYVRHETPEPAGPPLRSINMLQGCRSVDEFERLNKIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTALREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETMKQRFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLAKAPLFNGKTEFDQLDKIFRVLGTPNESIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPTLSDAGFDLLNKLLTYDPERRITVDAALKHEWFSEVPLPKSKDFMPTFPAQHAQDRRGRRMIKSPDPLEEQRRKDLTQTELGSGGLFG >A04p031770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18653492:18654865:-1 gene:A04p031770.1_BraROA transcript:A04p031770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSHSLILIYKYSSIYPHSSTTTNHNHQKALLFLQFSPFSKSKMTSIIVLVTLLTILFTSFRISQATSRTVTFHVPSMVDKHEQWMSRFSRVYRDELEKQMRRDVFKKNLKFIENFNEKANKSYKLSVNQFADWTNEEFLATHTGLKNITRISPSKVVDKTLSSRSWNVSDVAGESKDWRSEGAVTPVKYQGQCGCCWAFSSVAAVEGVTKIAHGKLVSLSEQQLLDCDREYDQGCNGGIMSDAFNYIIQNRGIASEKSYSYQGLDGRCRSNAIPAARISGFQSVPSNNERALLEAVSRQPVSVSMDASGDGFMHYSGGVYDGPCGTSSNHAVTFVGYGVSEDGTKYWLAKNSWGETWGENGYIRIRRDVAWPQGMCGVAQYAFYPVA >A01p009310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4502691:4503067:-1 gene:A01p009310.1_BraROA transcript:A01p009310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEIYRVADLGLAICFTHSSVLSDLDHMCLPIDADRFGFNLHEVLKPTMDSGVTSIKKKSEEGGGILISLMA >A03p033680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14262916:14265812:1 gene:A03p033680.1_BraROA transcript:A03p033680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYMETVVGFMIVMYVFETYLDLRQHTALKLPTLPKTLVGVISQEKFEKSRAYSLDKSHFHFVHEFVTILMDSAILFFGILPWFWKISGGFLPIVGLDPENEILHTLSFLAGLMTWSQITDLPFSLYSTFVIESRHGFNKQTIWMFIRDMIKGILLSVILAPPIVAAIIVIVQKGGPYLAIYLWAFMFILSLVMMTIYPVLIAPLFNKFTPLPDGDLREKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCQNENEIVAVIAHELGHWKLNHTTYSFIAVQHTVIPLQHLVSFGLNLVSRAFEFQADAFAVNLGYAKDLRPALVKLQEENLSAMNTDPLYSAYHYSHPPLVERLRAIDGQDKKTD >A05p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5783870:5788887:1 gene:A05p013290.1_BraROA transcript:A05p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITVNIYGLLFPVELDWITSMGCKRISLFLLPLLTLTILSGADKALASDKKPQVSHRNITGLAAAGGGKATLKGRKQKSGCNLFQGKWVFDASSYPLYDSSTCPFIDGQFDCLKFGRPDKKFLNYSWQPDSCTIPRFDGAAFLNQWRGKRVMFVGDSLSVNMWESLGCMIHASVPGITTKFLRRTPLSFLTFQEYGVILYLYRTPYLVDISKEKVGRVLNLGTIENGADVWKDVDILVFNSWHWWVNKGVKSQRWDFIRNGSSLIRDMNRLDAFNLGLTTWAQWVDQNVNTSQTRVFFQGISPTHYVGKEWNEPMKTCNGQMQPLTGSTYPGGSLPAASIVSRVLRSMKTPVYLLDITTLSQLRKDAHPSIYGVTGRTDCSHWCLPGLPDTWNQLLYAALSM >A03p022850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9634974:9637331:-1 gene:A03p022850.1_BraROA transcript:A03p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLTVAELGLSLLLIVLWGFISVVVFEAWRRRHSNVSVETVTTLEDPTTLKQVPCPHISDPAEKYLSLIVPAFNEEQRLPAALEETMDYLQGRASRDKSFSYEVVIVDDGSVDGTKRVAFDFVKKYSVDNIRFIPLGKNQGKGEAIRTGMMHSRGELLLMLDADGATKVTDLEKLENQIHAVAREESSIRDPALKHVDFRIGDVQVSAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLAAGSGIRDTQCGFKMFTRAAARRLFTNVHLKRWCFDVELVFLCKRFNIPMLEISVNWSEIPGSKVSLLSIPNMLWELALMSAGYRTGMWKIHHA >A05g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9321708:9322367:-1 gene:A05g503140.1_BraROA transcript:A05g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRVQRKDAYHGGDDTIGLVVSIQLGYVRSKKSSIQIVTRNIRILQETSQSSFPKKKLEFSSKVVMCASTEVLRMYIAWRQQVAGGDGIVGLVLGCLDERHREWHDAFDIHNFAM >A05g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5553136:5555832:-1 gene:A05g501560.1_BraROA transcript:A05g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLSSPLLAIIPDRSHSSCSDSTTHKGYVCRGTSCALTSPGFGSFRHQLYHQSSSVVEEELDPFSLVADELSLLSNKLRAMVVAEVPKLATAAEYFFKRGVEGKQFRPTILLLMATALNVRVPEALAAESADIVPSELRVRQRGIAEITEMIHVASLLHDDVLDDADTRRGVGSLNFVMGNKISVLAGDFLLSRACVALAALKNTEVVSLLATVVEHLVTGETMQMTSTTDQRHSMDYYMQKTYYKTASLISNSCKAIALLAGQSAEVAMLAFEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEFPQLRTVVDQLEKDPTNVDIALEYLGKSKGIQRTRELAIEHANLAAAAIGSLPETDDEDVKRSRRALIDLTHRVISRNK >A01p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1064150:1065924:1 gene:A01p002320.1_BraROA transcript:A01p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTLDDQNMAFISQLYPDIYTQIVPQQGEVKPPKRRRKKSKVAVASGDGSNCLFRKRKLTDEQVNMLEMSFGDEHKLESERKDKLAAELGLDPRQVAVWFQNRRARWKNKRLEEEYNKLKNSHDNVVVDKCRLESELLQLKEQLYDAEREIQRLAERIEGGSSNSPVSSSVSVEANETPFFGDYKVGDDGDDYNNLFYPVPENIYIDGAEWMNLYI >A10p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19693481:19697558:-1 gene:A10p033740.1_BraROA transcript:A10p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIRVKHMFLYSSILASNNNINKALSRLPRRGISVIAGNLSSSETKKKEKEKRKRRKEIEVARETAEAVVKKEKRRTRSSREYEIGDDEAPSSHVPVMLGEVMDVFSSVRLRSFVDCTLGAAGHSSSIIQSHSELNHFVGMDVDPVARKLAHFHIDSLMHPTSKASIVLKNFKYIKSAVADSHPELLDVGVDGILMDLGMSSMQVNNPERGFSVLQQGPLDMRMDPQASLTAEDIVNSWPESELGRILREYGEESNWHSLQTRIVKARLTGGLHSTGDLVDLIKRMSPPSRGGRQGWIKTATRVFQGLRIAVNDELKTLQNALYSSFDVLSPGGRLAVISFHSLEDRVVKQTFLDILGFQREETNAEMSVKPERQIEESIDKELKEKEAWIKQTVISSKGVILTKRPITPSEEEEKLNRRARSAKLRSLLFSPSRSYPPSSTPRSVSFLPPGSKSRSLPPLRSMSSHDDNDTASKETKLWGGRFEESVTEKVEKFTESISFDKVLYKQDIMGSKAHATMLAHQGLITDSDRDSILQGLDEIERKIERDEFEWRTDREDVHMNIEAALTDLIGEPAKKLHTARSRNDQVATDFRLWCRDAIDTIVVKIKNLQTALVQLALKNEGLIVPGYTHLQRAQPVLLPHVLLTYVEQLERDAGRYIDCRERLNVCPLGACALAGTGLPIDRFMTASALGFTEPMRNRFVPSYYFLFFNLARLLIYYVFFSSTSISIDAVSDRDFVLEFLYANSNTAIHLSRLGEEWVLWASEEFGFMTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTVLTLCKGLPLAYNRDFQEDKEPMFDSTKTIMGMIDVSAEFAQNVTFNEERIKRSLPAGHLDATTLADYLVKKGMPFRSSHDVVGKLVGVCVSRGCELQNLSLEEMKKLSPVFEEDVFGFLGVENSVNKFSSYGSTGSNCVAEQLGYWVNKLKITST >A01p012640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6202116:6203291:-1 gene:A01p012640.1_BraROA transcript:A01p012640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVLGFRDDSSFRDDSSQEDWHDFEVLGRGDEPKILIKKTSMLSDSERRISVDPKSLLSRNESFDMITSRPRDHQTKTKFISCSLPNSASTSPTQSWKNRTTEQVLDLMLVQNAAVSFGRSKSCGEGRACTPSLDFDMLLRKSRTGHHHYNHNHQQHHDDDNGFSSSNNTKSLSNKSSGNNSFFSKTESNKSNTNTANSKSINTFEDGFKCSALCLYLPGFGKGKPVRSSSRKGDSSITRTTTMTSTQSMARTVSMRDTTVISARASLEKFDCGSWTSSAMIHDDNVDLGGHFFDLPSELIKGGPGGNDQDDPVSAAFVFHKEPSLEKEIKGVLKTSGSKSRRSMESPLHVRFSTSSPVSYPTSPNHSITPRLLQATEDFTSFLEAQTV >A02p033710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18020403:18022185:1 gene:A02p033710.1_BraROA transcript:A02p033710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERCLGANRCRRIQRALRHLKVTVLCLVLTIVVLRGTIGAGKFGTPEQDLDEIRQHIYTSRKRAEPHRVLEEIQTGGDSSSSSSSSGGGGGGSNNYETFDINKIFVDEGEEEKPDPNKPYTLGPKISDWDEQRSDWLAKNPTFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKDYNLVMHGWNEMVYDEKNWIGLNTGSFLLRNNQWALDLLDTWAPMGPKGKIREEAGKVLTRELKGRPVFEADDQSAMVYLLATQRATWGNKVYLENGYYLHGYWGILVDKYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNPLEMKDELGLLHPAFKAVKVQQTNQV >A10p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13094903:13095527:1 gene:A10p018960.1_BraROA transcript:A10p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDKKKSSVPSSHVTLKVKSQDDVDVYFRIKRDVELRKMMEAFSHKVGKQMSAFVFLFDGIRIKPNQTPKELDLEEGDEIDALGHQTGGLSFCAIPELS >A02p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19396762:19397933:-1 gene:A02p035520.1_BraROA transcript:A02p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFSNQPFVIVACLFEKRKKGTTMSSEQNNSTSFPPTEPKLCDNGCGFFGSPSNMNLCSKCYRSLRAEEDHTAVAKAAVKNSLKLPSCSLIITPEQKQPLETKPASVVVTAEPSSVPIATGQEEAEPSKPARTNRCFSCNKKVGVMGFKCKCGSTFCGSHRYPEKHECSFDFKEVGRDAISKANPVIKADKVERI >A06g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15140571:15142518:1 gene:A06g505160.1_BraROA transcript:A06g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVCESPSLNSKSSCLIRRERSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKAFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFQVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIRILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPNGPFFWTSFTPRRVRKALKFVQPGPALDADTGGDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPKLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQTDDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSRDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A02p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10838018:10842453:-1 gene:A02p022680.1_BraROA transcript:A02p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWPKMEEIVQEDVCNHGEAYSSSRSEEEQGIEGMLAKLEMDSAYTSEKLLNLHVLLMHLLAWDNDLERVGTLDSSAASFEKALKFDLLCGILESEVKEVDEILDELEAQIVDASYKLSTCKHSNSIFMEGKLGENDESVENSGHGEDLRQKYALRPSDLRHKNALRMLEKSLARELELEKKLMEFQQNEEELKLKLHYTEEVSSRMEEASEFIWGQFLEAENSSEVLMGISKELVGRLQIIQFSQNGSAQRESELKAKLEDLTVQLEGKDLLVQNLEGTIAENREMVSEVLTLREQVKSAEEKLEKTEIELKSVNASKQEVLLHLAEMENANESIKESLFEAESRAESGEAKIKEVDAANLELTEELNFLKDADEKKTKKVSSLEKQVRELEFQLQNSKVSSEASQEQQNMLYTAIWDMETLIEDLKSKASKAESRTETVEEKCVVVSTANSVLNKEVTLLRQRAKSLEASLELANVEKEKNAQEITVRNKLLMDMVMQLSSERERIQEQLYSLAKENEKLRVNQCSMGSKYQRNGTYAGDKELPFNAESLQAGIHYKNAMFLEDERTREEPETDVEPEDHYASEKSSMNTEIRRAPKLRRILVLALAFVLSFILCFGVLSDSICSSLLYNCCFGFVSITNVLWCVGSYLGDSREMAKEEVNCLPPVAPIMDTYETNASCRRDNECIKHCPKGCKIVNCNFGTCFCSSLVDAREMAKEEVNCLCGKCRDGKKSCEAPIMDSYETDVSCRRDNECIKYCPKGFKIVNCSFGTCFCER >A01g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5242742:5243372:-1 gene:A01g501380.1_BraROA transcript:A01g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPALNSHTTAKSRRSLSVKHQLYLWLIPLKNAAGSKSSGSSLDDDVCDWGFVVRKKSRVDEVYPTGGEGSSCRELARVILKLGEVYERIEGAKQRMMVELEKQRMEAAKEIELQRMNMLMDMQMELERSKLAKRRTAASGKKKLKLDWSLFLLSNCEYM >A09p070740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54781643:54783195:-1 gene:A09p070740.1_BraROA transcript:A09p070740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTWNVVYVIIALVVVKISQWLWQWSNPNSKGSGKLPPGSMGFPIIGETFEFFRSSGLLEIPPFFQKRMLRYGPLFRTNILGSRTVISTDADVIYEIFRQENQSFIQSYPDIFVKVLGKDNVFFKTGDIHRHIKHATMHLIGSEGLKRKLIGFMNRTTREHLRWKATQGAFNLRHAASNLIVSYITPQMISNLKPETQEKLIDHFKTFNIEWFQSPFALSTWKTVYKVLRARKEAVKIITEALEKRKDSIEKQGDFLDTLLEEMKKEGSIFYKESIVSLLLNIGFVSRDSTSYATALTVNFISQNPRVLTELKREHEAIVQKRDDKEPGLGWDEYKNCMPFTRMVIYESLRLANLGTIIFRKAVKDVEVKGKLVVK >A07p012370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8164257:8165110:1 gene:A07p012370.1_BraROA transcript:A07p012370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAENGLLVVKDKEENGFFLEMRRLAYVAGPMVAVNSSAYFLQVISIMMVGHLGELFLSSTAIAVSFCSVTGFSLVFGLASGLETLCGQANGAKQFEKLGVHTYTGIFSLLLVCIPLSVLWSFMGDILLLVGQDPLVSQEAGKFATWLIPALFAYAILQPLVQFFQVQSLILPLVVSSVSAICCYLVLCWSLIFKFGLGRLGAAISISVSYCLNASVLGLYMVFSSKCSKSRATISMINVFKGMREFFRFGIPSVLNL >A09p011410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5758328:5760138:1 gene:A09p011410.1_BraROA transcript:A09p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKGKNGIMKPAWLDALYAEKFFVGCPYHETAKKNEKNVCCLDCCISLCPHCVPSHRYHRLLQVRRYVYHEVIRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPFIHCSLGCKVEFVMKRYRDITPFIKPCHTLTLGPDYIIPQDLHADDNMAAYETPRSTVVDGDDSMGWSSTSSELGDAATTTHVVRKKRTGFCFCAKSANSYKVVSEDPDDISNCINRRKGIPQRSPLC >A10p021310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14345058:14348057:1 gene:A10p021310.1_BraROA transcript:A10p021310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEESLRLAIAVSLLRSKIQNRLSSSSTSLCDAPSETDHLRWKQKNRRSSSSTSRCGAPPSETDALRWKQKAKERKKEIIRLREDLKDAESCDLFPANASCKCYFFDNLGEFSGRRIGEASEPRFNDALRRRFLRIGLVLSFDFLARIRGRRKSTRPSQRLRLSEPEYEDEAEQLRISIDFLLELSQAADSSVRLYCLSYFLVSNFCNWSHQAVDFILASLKKLISMGRNLGSVEESISFMITQLIARICTPFKGNEVKQLETSVGFYVQHLIRKLGSDPYIGQRAIFAISQRISILAENLLVMDPFDESFPEMDECMFILIQLVEFLIFVFEEWISSVLHARKAVAALEERNGLYLLFMDRVTGELAKRVVGVPRKLGVFIRKRRGFRYRRPHSTTRSASATLFPGSFHVTACLASSFLLSLVL >A03p035040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14734500:14737591:-1 gene:A03p035040.1_BraROA transcript:A03p035040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVGDLSLIGLSSVQEPLIKQKHTPENYSVLAAIPPFLFPALGTFLFGYEIGATSCATISIKSPTLSGISWYNLSSVDVGIITSGSLYGALIGSIVAFSIADIIGRRKGLITAAFLYLIGAIVTAVAPVFPVLIIGRVVYGIGVGLTMHAAPMYIAETAPSQIRGRMISLKEFSTVFGMVGGYGIGSLWVTVISGWRYMYATVIPIPVIMAIGMCWLPASPRWLLLRTLQGKGDVESFQEEAIKSLRRLRGSVVVDRAAEQVDEILAELSSVGEDKEATICELFQGKCLKALTIAGGLVLFQQITGQPSVLYYAPSILQTAGFSAATDATRISILLGLLKLAMTGVAIIVIDKLGRRPLLLGGVSGMVLSLFLMGSYYIFYNNVPAVAVAALLLYVGCYQLSFGPISWLMMSEIFPLKLRGRGISIAVLVNFGTNALVTFAFSPLKELLGAGILFCVFGVICVLSLFFIYFIVPETKGLTLEEIEAKCL >A01g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21836392:21838670:-1 gene:A01g507700.1_BraROA transcript:A01g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAYLYGLVILILLSVELENSILDALCSVAYLVLLVLVLVILFRCSVVLLLFNNPSSLLTNYLFFSGQTTMGQDYSYSQPSSSSEFDMTSLLLAEAEAYADEAESSYPIEEPVQYPLQPEADEGIPTTCYCGAEPVVETSYTPRDPGRRYFSCVNVDDGDCHIWKWWDVAIMEEMHDFQRQIRLLKDQFFETDQKVAKLEKTVGLLRKKNSGVAKGVCLLVMVITVMILCWKSFGGFKPQRLNSRLSCQSDADHPLNMNDTTGFSSNSKGRSHGVVKVVVPHVSQVTGSCVSV >A09p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10348592:10349063:1 gene:A09p019560.1_BraROA transcript:A09p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLHHPHLPQILILKFLWFIEPLKLTILGGSLLFEIMGAWRILLYVRPDDLHGSLLVNAYASFMTFKCVFLDDLHRSRPS >A04p017280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10595032:10597566:1 gene:A04p017280.1_BraROA transcript:A04p017280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKLGTGILSPPLPMSQSLIRAISSRKNQLGFGFLSRLSNSRHFHSQWPITAPKCSTHSSLGSSRRFSGNLIPELNRTCLVRQTNGFCSVSLNEVSDSNLVSEGETIDIEDVYKKPIDFTKIDAKLLPTVMIIGRPNVGKSALYNRLIRRREALVYNTPDDHVTRDIREGIAKLGDLRFNVLDSAGIETEVSSGTILGRTTSMTANVLARTQFAVLIIDVRAGLHPLDLEVGKWLRKHAPQIKPIVVMNKSESIGESLAEVSSEALALGFGEPTAISAETGLGMTALYEVLHPLLEDYMVQTLNDRCSQDDVTSEENLSEEDESKLPLQLAIVGRPNVGKSTLLNALLEEERVLVGPEAGLTRDAVRVQFEFQGRTVYMVDTAGWLERTERDKGPASLSIMQSRKSLMRAHIVALVLDAEEIIKSQRSMTHSEVVIARRAVEEGRGLVVIVNKMDCLRGKQNSEMYKKIKEAVPIEVQTVIPQITGIPVVFISALEGRGRLQVMNEVIGTYKRWCSRLSTGRLNRWLIKVMSRHSWKDFASQPKIKFFTQVKARPPTFVAFLTGKTQLLESDIRFLTKSLKDDFDLGGTPIRIIQRSVLRTSPSGKSSGGTANRTGGPARQRTTSDKRTVSV >A05p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2092573:2094024:1 gene:A05p005380.1_BraROA transcript:A05p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDISFPCTIMLFFIVFYLINSSNAMPSFNVQRYGARGDGRTDATEPFLTAWSLACESRARAMVYIPRGTYLVRNLVFWGPCKNIITFKIDGTLVAPENYWSIGSSGYWILFAKVNRISVYGGILDARGAGYWSCRKKGGHCPQGARSISFSWCDNVLLSGLTSLNSQNIHVTVHHSSNVRIQNIRIRAPSGSPNTDGIIVQASSGVTISGGVIGTGDDCIALNPGSKNIWIERLNCGPGHGISIGSLGEYANEEGVQNITVTSSIFTKTQNGVRIKSWGRPSNGFVRNVQFRNLVMRNVENPLIIDQNYCPSKKGCPNQSSGVKISGVTYANIKGTSATPVAMKLDCSGSNHCTGITLKNINLKYMRRSSASYCKNAHGRASGVMIPRNCM >A02p023390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11621401:11622355:1 gene:A02p023390.1_BraROA transcript:A02p023390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYGTNALLTLCPLPIGNYQCRLIEKLVQVLLWQQVSPLEEMQFCSPASDCNYTGVYKDLYCHYHANHRDTWDQRDGPLDAELFYHLFYSYGVKTMSFGLGEMDSIQKASFQTPSRASSVLLTISWISGRIEDENLYPPAWRRTGTRS >A04g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8333377:8333738:-1 gene:A04g503930.1_BraROA transcript:A04g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCIYPTSESELGFRLIRFWETISTAKGGLLIGLDLLLTGKQKWLCTTRNLPHQYHNKITSITSSPVCASLFGFQIHRIGHQTLPSSH >A07p011950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8394641:8396097:1 gene:A07p011950.1_BraROA transcript:A07p011950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKEEKPMEKTIPVFTVLKKGAILMILFVTNSHSEEEQILQVGRHPCCDIPLTHRSISSYHLQIRSLPSAQKLFVTDLSSRHGTWVRDHKVEPDACVEVKEGDVIRIGCSTRQYRLNWIPVSLAYAKDNLLLDRMIKAHNQKSQTDGHLDGSSVSSTDDEYFHTLSKVKNEPLTPKKISPSYLDASARNNISRGICSSSVFYKMKWTIVLDTSSLLDKESRQPLHLLQGLKGTHLVVPRTVLRDLNETKRTWSLLFRRRAEIASSALDWIQECIVDTKWWIQLQSLSDETKATPHSNGSTSEDQVLECALLYRNRNISEKLVLLTNDVTLKIKAMAEHVICETPHEFYQSLKNTSSERFMWPESLPRGRTWSHVHDHLVRERYTNTASGAATTAKGLKVIIAP >A06p008050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2784234:2786441:-1 gene:A06p008050.1_BraROA transcript:A06p008050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFSRILIMARGRAGEKEEGEKHIGLLKLAQTLSFLLIFMAGIIIGLAASSHIDRYFNSLPTTAFSSSSSAALQTVPDYSNCTVVHRDCAGGEDENHDDDAAEKRRDRDCWSVEGFVRPGNLSHGMSDDELFWRASMVPVKEEYPYERAPKVAFMFLTRGPLPMLPLWEKFFRGNEKYLSVYVHTPPGYDMNVSSGSAFYDRQIPSQKVEWGSPLLTDAEKRLLANALLDFSNERFILLSESCVPVYNFSTVYSYLINSAYSFVDSYDEPTRYGRGRYSRKMLPDIKLHHWRKGSQWFEVNRKLAIYIISDSKYYSLFKQFCRPACYPDEHYIPTFLNMFHGSVNANRSVTWVDWSIGGPHPATYGADNITEGFLQSIRKNETDCLYNEEPTSLCFLFARKFAPSALAPLMNLSSTVMGF >A03p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6547813:6554463:1 gene:A03p016460.1_BraROA transcript:A03p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAARLGSEEVMYPDRISNLPNDLLLRILSLAPVRTAMSTSLLSKRWKYVWKMMPRLVYDETCPYIGPLGFDLFCGVSLPLHEALKTLNLKLGKYTDSIDTLLFPNIRSNLLKMTINLNYFYSYYTPITFPNNINVFKTLLVLKLKGRIVLDVVDSPVCFPSLKILHLICVNFQCEESFTRLLSACPVLEDLFLQRLSSRGRFLFSMSVPSLQRLYITKECATYGSDEPRLEISTPCLKYLKIFDRRGHYNFLEDMPKLVEADVSVDVYKNEKLLRVLSSVEHLVICLYPSMVLDRTDNLIFNRLLHLELDVCNIFRSNLLLSLLKYFPNLKSLKLGRTYPKDSEDQLYCLVSEPSSVPNCLSFHLEILQWRVYGGTLDEREAAAYILKNAHHLKTARISLHTTGMDNEMSAVKLGTEDVTFSDMISNLPDDLLIRILSLLPISEAMNTSLLSKRWESLWKMMPVLKYVEKSCPTMTSQGFVEFCRRSLQLHEAPVLKTLTIKLQLQSVPLILSSLPETVFQKLVVLKLHMIRYLAFDDSPPRRYFSDGKPSVCFRSLKGLHLRSVSFSDEQYFCRLISACPLLEDVFFDTVRTCAPKTIFLPPPQKILEISTPCLKYLKIKNITGRLIFTKDMPNLVAATLEVDPSQTSDFLRILTSVEFLSIHLYANEVLLLADKISQRLLHLELCIYGKNSRNLLLHLLKYSPKLRVLKLQEIHELLMGPPLTDPCQLAYLEKFDDPPPSVCNPSSVPECLSFHLQTFKWRCYRGTMEEKEVVRYILQNARCLKTATIYVSSCRKKKERLKIKELKSMPKASTSCLLVPYLSFPLRFRSQTMKAARLGSEEVTYPDRISQLPDDLLLRILSLVPVRTAMSTSLLSKRWKPVWKMMPMLVYDETCPYIGSLGFDLFCGVSLPLHEALKTLNLKLGKYSDSIDTLLFPNIGSNLLDMTINLNYYYGYYTPIKFPNNLNVFKTLFVLKLQGKIVLDVVDSPVCFPSLKILHLTCVNFQCEESFTRLLSSCPVLEDLFLQRLCSGGRFLFNMSVPSLQRLSITSEPAYYGSDEPRLEISTPCLKYLKIFDRCGYYNFLEDMPKLVEADVSVDMSKNENLLRVLSSVEHLVICLYPSMVLDLTDSLIFNRLLHLELDVCNSFRSNLLLRLLKYFPNLQSLKLGCTYPKDTEGQLYCLVSEQGSVPKCLSFHLESIQWTGYGGTLDEREAAAYILKNAHRLKTATIRLHTTCMENGYLVGVRNLNGFSSGLAQAKVDLSKLLANNVEMETYEGNVITLEATS >A03p063900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27791236:27793825:1 gene:A03p063900.1_BraROA transcript:A03p063900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSKFVLINEPYGVVSDPMDLVSLIDRKVSLRMAPISGRHVGAVGLGFSRKVFLGINVELPGLPLHHSIHAEQFLVTNLMLNSEQHLTHLAVSSSDSVFHAPCGHCRQFLQEITEASIIQVLIKDPALGIQEFVTLKSLLPRHSNLLPDLLQARDNKLLLVSSEGCEDRLLLRTALAAANRSFAPYSKCPSGVALKDREGKVYRGWYIESAAYNPSLGPVQAALVDFVISGGTKFEDIVEAVLVEKRDAVVSQEKTAKMILETIADPKCAFKSQIMDRPSFLIHAEEAESAAKRHGVSVVNLLPLLVNPAKPLARPPISKFPVSAVGLGSSGRIFVGVNVEFPGLPLHHSIHAEQFLVTNLTLNSEPNLRHFSVSAAPCGHCRQFLQEIRDAPDIKILITDPNAFRDTVTDKENAVKDENDAVTEKEEDGYVRLESILPHRFGPNDLLERDVPLLLEPHDNRLTLLGVTNGHTDSDLKLTALAAANRSYAPYSRCPSGVALVDCEGRVYRGWYMESAAYNPSLGPVQAALVDFVANGGGGGFERIVGAVLVEKRDAVVRQEHTARMLLQVIAPKCDFEVFHC >A05p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:477908:479927:1 gene:A05p001890.1_BraROA transcript:A05p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKVVVSVASVLLLVGVAIGVVAIVNKSGNTNLSPQMKAVQGICQATADKASCVKTLEPVKSDDPNKLIKAFMLATQDALTKSSNFTDKAEGNMGSSISPNNKAVLDYCRKVFMYALEDLGTILEEMGEDLNTIGNKFDQLKQWLTGVYNYQTDCLDDIQEDDLRKTIAEGISSSKILTGNAIDIFHTVVSAMAKIDAKVDDFKNMTSGIFTPSAPANKEAAPVVDTPVADPDGPSRRLLEDLDDLGVPTWVSGADRKLMANAGRGRRGGGGAPRIRATYVVAKDGSGQFKSIQQAVNACPDKNAGRCIIHIKAGIYREQVIIPKKKNNIFMFGDGARKTVITYNRSVGLSSGTTTSTSGTVQVESEGFMAKWIGFKNTAGPNGHQAVAIRVNGDRAVIFNCRFDGYQDTLYVNNGRQFYRNCVVSGTVDFIFGKSATVIQNSLIVIRKGNKGQYNTVTADGNEKGLSMKIGIVIQNCRIVPDKKLAPERLTVESYLGRPWKQYSTTVVINTEIGDVIRPEGWRLWDGESFHKTCRYVEYNNRGPGANTNRRVNWAKVARSAGEINQFTVANWLAPVNWIQEANVPVTLGL >A03p067360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29636037:29638320:-1 gene:A03p067360.1_BraROA transcript:A03p067360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLTEEDAGLAMLEKLTSNVKQIQDAVLKEILTCDANTEYLRSFLHGSSDKELFKKNVPVGTYEDFKPYIERVVNGEPSEIISGKPITGFILTSGTSGGKQKLIPLNNKYLENARLLFDLRYLVLSKHVDGHNEGKGLHLIFLKPASKTPSGLPASYATTYFMKSDYYVKNLPSYWDTSSTSPTEIKFCPDNKQSLYCHLLCGLVLRDEVTRVSANFASILVQGITFLENFWKEMCSNIRSGQLSDWITDSCKGSVSMILGGPNPQLADIIEDICNQKSWKGIIPQLWPKTKYIECIVTGQMAQHVPLLEFYVNDLPLVSPNYASSEAMFGVNLNPLCKPQDVSYTFLPNMSYFEFIPVGEGKDTIVDLVNVKLGLYYELVVTNYAGLHRYRVGDVLQVTGFYNSAPQFKFIRRQNTVLSVYLEATTEEDLLKGVTRASQVLKSSDIMLRDFTCYPHVSDAPGHYVLYWELKGNNDDGISEIDTNMLVECCSVVEESLGALYKRYRSKERSIGALEIRVVQQGTFDALMEYFISQGASLAQYKTPRCIKSSEALQVLENRVLARFFSEKLP >A10p032070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19051279:19057248:-1 gene:A10p032070.1_BraROA transcript:A10p032070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVQKNSFPSRGSIFGSNHFFFTKDRVLQLLGANKPKRISKVKILQTPQLKSTVQSSTHSSSPHSDGESTEAPANNTPRRKKLEAKAVPGDRRGVVDSHADYGGRRRRSRSRRRDPPPHSDREAVKGAAADISSLAKIDENVEMMVENGVIPALVRYLESPWSLAINPNVPKSCDHKLEKDCAVSLGLIAAIQPGYQQLIVDAGAIVPTVKLLKRRGICLGCLEANAVIRRAADIITNIAHDNPRIKTNIRVEGGIPPLVELLNFPDVKVQRAAAGALRTVSFRNDENKNQIVELNALPTLVLMLQSEDPSMHGEAIGAIGNLVHSSPDIKKDVIRAGALQPVISLLSSTCLETQREAALLIGQFASPDSDCKVHIAQRGAITPLIKMLESSDEQVMEMSAFALGRLAQDTHNQAGIGQRGGIISLLNLLDVNTGSVQHNAAFALYGLADNEENLADFIKAGGIQRLQDDNFTVQPTRDCVVRTLKRLENKVHGPVLNQLLYLMRTTEKPIQMRIALALAHLCDPKDGKLIFIDNNGVEFLLELLYLSGMKQQKYSSRALFELARKATSFAPEDSAPSSPTQRVFLGAEFVNNPTLSDVTFLVDGKQFYAHKICLVASSDIFRAMFDGLYKERNAQNVEIPNITWEVFELMMRFIYTGRIDITKHLAQELLVAADQYLIEGLKRLCEYTIAQDICVDNIPLMYDLADTFNASALRRACTLYVLEHYTKLSSETWFPMFIKKIIPEIRTYITDILTRPVQASSTVITRLYPCYCSFAQLSNIKRPWKLDFSVIVKYKFLMVKAYRQEHVYKHPWERVSAASWRKFADPENKRILSHILEVDTLNRKLDTETGKLHTTRALTIHAPGPWFLHRIVGQDICHCVESTVVDGKSRSMQLITKNISLQKFIEVEERIRYDPHPENPSAWTVCSQETSIRIKPLSALASMAEKVEQKCAEKFMQNSAKGREVMERICRYMEAESARV >A10p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4967323:4968728:-1 gene:A10p009380.1_BraROA transcript:A10p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSNCASVEEETKESQSVVVKEDDADHHPYAFHVSGPRNVSSPNWRDLINSSWKDPNYKRTVMACFIQAAYLLELDRQENRDEQNALAPKWWIPFKYKLTQTLIDERDGSIFGALLEWDRSAAMADLVVIRPSGAPKAVLALRGTILKSLTMRRDIQDDLRFLAWESLKGSVRFNVALEALESVAKRYGSSNVCVVGHSLGAGFALQVGKALAKDGLFVDAHLFNPPSISFAMSLRNIGEKAGVAWKRLMSMLPPRNEPLIPNGCEEEAAPGSVSGGFRNWVPSFYGQNQKSSVDLRKWVPHLYVNDSDYICCHYTDQDGVNDKGEVNNDKENQSPVVKNTIPQAAAKLFVMSKGKQKFLEAHGLEQWWSENLELQSAIHSSRLITQQLKSLYSVK >A10g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17098488:17098875:1 gene:A10g506200.1_BraROA transcript:A10g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTTNLRNPLCHEVGDTGNLTCLRRDQNAVAKTETKPLRIREDKDDQKRERRRCSGDCMRRRAGGGELRQGHLECEEPPMKKVRMEVVWKRKGHDLL >A09p023860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13118454:13118864:1 gene:A09p023860.1_BraROA transcript:A09p023860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMECDRSSSSTSTETGAVLHHLTSSSTVTRRMYECTFCKRGFTNAQALGGHMNIHRRDRLNKVAKQQNDADVALSSARRCFHVASSDRGGYEQVESVVLRATTNLSLRIGSMVTRSENVVVEGDEIDLELRLGL >A01p002980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1363857:1365267:1 gene:A01p002980.1_BraROA transcript:A01p002980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTVDGRPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMSIMLIGNKCDLAHKRAVSKEEGEQFAKEHGLLFLEASARTAQNVEEAFIKTAGKILQNIQDGVFDVSNESSGIKVGYGRPQGAAGGRDGAIAQGGGCCG >A03p057290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24844855:24846029:-1 gene:A03p057290.1_BraROA transcript:A03p057290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGRLGRSSTRYGGPATVFTGPVRKWKKKWVHVSPSTKKLNHSSSSSAASDAANGSHLLFFKWAPLSQTGNGNEDGKSESLSPSEDTVAVTVAEDPPRRRFKYVPIALLEEQKNEVTEIEEDDKVEEEEQKKEIEQDASAAPEPSEKKAQVAEKPDMNDVPMEDNQEEGKTVRQDLNESTKDSGLNLNANDVDSENNPKGAEPLEER >A06g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14947272:14948539:-1 gene:A06g505050.1_BraROA transcript:A06g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTTLTNQISPDPHVSFFIVQVSSSHPPLAFFEAQKIGNSEATEAGDEDGTENDETDVYVGLSDREAIEAGDED >A06p041610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22400688:22401125:1 gene:A06p041610.1_BraROA transcript:A06p041610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAAQVNPPSVAMMLDDEEDKMFRDALTESGKEYAAFLDSWPTLSDYTKPSYEGLENAIKQKEAETISKTESSRDRSSTVNRK >A08p001420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:848592:849536:-1 gene:A08p001420.1_BraROA transcript:A08p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSITRPRLLFTFKVEDKLLIFSSTQPQNVGGDNCSLVATRYKDFPKHFPTENRDDLSNGLACLHGPGRGRRVPIVCNPVTGEFIDLAKVKAAGTERSYIGYDPIKKKCKVLFLTSYGRHSHVVTFGAKKQRSTIECKRHTGQYGEICINAVLYYGAYFRKSKFDRKEYIACYDFMFEKFSFIERDWEMYSVSLFNYKGKLGAYKFDDNWAKKKLVLWVLEDAEKHKWSKSIFVLSHLYNEKIGHKCYIVGITSAGEIVFMPVGHVNPNFHLFFYNMERDTCTTVNIKRFEEFKHHSLHITTYLDYVENMMPL >A09p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5609400:5611563:1 gene:A09p011140.1_BraROA transcript:A09p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVAVEDVKREVQILKALSGHENVVQFYNAFDDDDYVYIVMELCEGGELLDRILSKKDSRYSEKDAAVVVRQMLKVAGECHLHGLVHRDMKPENFLFKSAKLDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRNKPDFRRKPWSTISDSAKDFIKKLLVKDPRARLTAAQALSHAWVREGGNATDIPVDISVLNNLRQFVRYSRLKQFALRALASTLDEAEISDLRDQFDAIDVDKNGVISLEEMRQALAKDLPWKLKESRVAEILEAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQLRSRAAFEKFDIDKDGYITPEELRMHTGLRGSIDPLLDEADIDRDGKISLHEFRRLLRTASISSPRVPSTAGHRNPQ >A01p047840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27057232:27057861:-1 gene:A01p047840.1_BraROA transcript:A01p047840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKQSLAENLDTSLWKLLPHDVVEVILECVPVKPLLRFRSVSKKWILTIDSSGFKERQLIRRRQLRGPDVLIMRLSYDRPVRHEGRGRKVVLSAASSSRRRIGWNVSYTCGMFCAGSCDGLVCVYCLCVDGIVGNPATGWHRSFPLSNYQGLLIQRFKREGSDFP >A02p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11845017:11846617:-1 gene:A02p023710.1_BraROA transcript:A02p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLQTLCLPLLFRTLFMVGFVTLLMIDVFVLQNNNEVDKTKEITTAATMNNSIIHAKGVKEDLVDGSKHGDLSYVASKRKVPRGPDPIHNRFFTGFLFQLSLPINKSFHVYESRKFKTTTGKRISTVKAWRRSRENERSICWQNRFCKCNAPRFSFPFIWNRIF >A05p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:362939:364634:-1 gene:A05p001640.1_BraROA transcript:A05p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF724 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G26540) UniProtKB/Swiss-Prot;Acc:Q9FZD9] MKNLDKEDEKFSIAKDCEVVVSCEEEGYIGAWYRAILEETPTRSGRKKLRVRYTTLLEEDCSTPFTETVEERFIRPVPPEDLSVVLEEGSVVDADHNDGWWKGVIIKKMEEDDKFLVYFDSPPDMIQFEKKQLRPHFDWTGSKWVRSLNKVSEEQYSKENSHKRKRKRKKTHNLNLDKTEAMVAGTSNTIFDGDYDQTLSSWILGVKASNGSDKSKELAHDETRASEDTTMVLPFAKRSPIWKAVESMEVYKTAKQSPHFIPLLETREEFREGLAVGGMVNFSSLLERVNNLQPHTPKSTLARLKECFAELEKYGFDVTTPISRINMLFSLTRKQVRTEDRVKDNAKKMKREVRKRQKLEQDMKAVEFKILELQSQKADLKQKKKKDASEVQLSSFM >A09p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:657407:659815:-1 gene:A09p002760.1_BraROA transcript:A09p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEAGHADVIGWFEHVSEKASKAQSETLRRILELNSRVEYLRKWLGAVDVEEMDDDTLETLFTSLVPILFTGSPLPSLHRFYPIKEGGRILEFIYAGKEFKTPGGLTVGTATTHYYSSKEFKTKQETTKSFTCSPREVISGGDFGQCTYCHLLLGLHFSKQVEFVASAFSYTIVQAFSLFEDTWRDICADIKEGSLSSRITLPRMRKAVLALIRPNPSLASRIEEVCTELESNMGWLGLIPKLWPNAKYVSSIMTGSMLPYLTKLRHYAGGLPLVSADYGSTESWIGVNVDPQLPPEDVSFAVIPTFSYFEFIPLYRQQTHQQDLCSEGDFVEEKPVPLSQVKLGQEYELVLTTFTGLYRYRLGDVVEVTGFHNGTPKLSFIYRRKLILTINIDKNTEKDLQRVVDKASQLLSRTTHAEVVDFTSHADVKARPGRYIIYWEIRGEAADKALEDCCREMDAGFVDHGYVVSRRMKSIGPLELRVVERGTFGKVAERCVGKCGGLNQFKTPRCTTNSVMLDILDGSTVKRFSSSAYN >A08p043700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24285702:24286453:1 gene:A08p043700.1_BraROA transcript:A08p043700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQGKSSSSGSEMQVTWEDQQNINTFSRLNNRFHELEDDIKFAKEKCDNLEDAGNELILSDEEMVRFQIGEVFAHMPREEVETKIEEMKEATFKSLEKLQQEKESIVSQMAELKKVLYAKFKDSINLEED >A08p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18908156:18908728:1 gene:A08p030890.1_BraROA transcript:A08p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILCLKMALCSKMSSCLLMLLILNSTHFSLMANGRPEPNSREFIKRGDHDQKMVMRGLIGSSPPRCERVRCHLCGHCEAIQVPTNHQTKLHSPSSSFSSSEITNLDYIRGEDTTNYKPMSWKCKCGNSIYNP >A01p014410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7005055:7006056:-1 gene:A01p014410.1_BraROA transcript:A01p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTSIRGKPPPETLSPCGSQRRRSSCDSNSPEFEFWRLTNSSFLQTESDLLSADELFHDGVLLPLHLLSIKSEPQPDPNVSECEPDPSPSTDALITEQKTEPEPELGSELTREPTVSKRWRDIFKKTEHKPVGKKEKVKDNKKKEKKSGSGPGSGSGSGAELNINIWPFSRSRSAGNNVTRPRMSFGAPTTRKVSSAPCSRSNSTGESKSRKWPSSPGRNGVHLGRNSPVWQVRRGSGAPTGKTISESVGRVSGKREVPEARRGKTGIESNKAKVINLNVPMCIGYRSRLSCRNDQSGSNNIGSDDNIANVNNPNPNGLFGFRNLFVKKVH >A09p071390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55111942:55112317:-1 gene:A09p071390.1_BraROA transcript:A09p071390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNPSLDRKVYVRKKEQVIGYEYDPRDEGMIVKGLKWKLEEIYFYNVKMAEYNGKLLLLYVQAYLCKKKIKGDNLVCNGRIG >A09g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14064899:14066118:-1 gene:A09g504600.1_BraROA transcript:A09g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLVYGLISTPVYLMTRPLFFCISACMFCLRSALVTTFVSADIVSSAIWFNLSMIWRAVWGTVWGSVLLFTFPIRFLFSIPRERLLEQSLYQLRYELESLELNRKEIEENLRAAIKEYRLMEQELDELEDEHDGAITRIKKLEAELQELKEENIKLKEACGNEYLSMKGKNKAEEEPSEVSSTPKPKNIPYGSKEEAEFTSVESPLYPFAKATIPKDEELTPRVLGLERNVAVSRSVFSAMLALVVGVVMYGAKEQELCTPLIGALFTVVGISLRSVVQFFSTVKNKPALDAVALMSLNWFIVGTLTYPTLPRIARVVVPRVLSTAGSVLALVRGGSVPSPPEIVNYFS >A09p082660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59772351:59777274:1 gene:A09p082660.1_BraROA transcript:A09p082660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 1D [Source:Projected from Arabidopsis thaliana (AT1G03080) UniProtKB/Swiss-Prot;Acc:F4HZB5] MAAVANFNTKRYSWWWDSHNPKNSKWLQDNLTDMDSKVKQMIKVIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRHAQQTMAEAFPNQDHTMMFGDESLVGSSAEEFDPESYPHIRAPVYPSELQKDASSHLSTVKRNIAFMEDPQSVYNGKGLKIGKARSAKVVSESERASKAEAEVVALKEALSKVQAEKETNLAQFDQSLERLVNLESEVSRAQEDSRGFNERATRAESEVKTLRETLRKLEVEKGDSFLQYQQCLRNIADLEERISLAQKEAGEAEGEILSLKQSLARSETEKEAALVQYRQCLEAISNLEERLRKAEEDARLINQRAEDADGEVESLKEKVSKLTEENEAYELQYQQCLETIADLKLKLFHAQEETQRLSAKLKVVEEKCVVLERSNQDLQSEVDGLLEKLGDQSHELTEKQEEMGKLWTTVQEEHLLFVEAETAFQTLQHLHSQSQEELSSLALELQKRSQIVKEMEARNNGLQEEVQEAKEENKCLSELNLSSAASIKILQEEVSRLRGEIKELEGEVELRVDQRNALQQEIYCLKEELSQIGKKHQSLQEENSKLNEVKERESIEKTALLEKLELMVQKNLVLENSISDLSCELETIRGKLKTLEEAFAEEKNMLVSRLESATENSKKLSEENRLLENSLSDANAELEELKSDLKRLEDSCHLLSDDKSSLVSERESLLSQMEIMRKSIEELEKEQAELKVKVLELATERECSLQKIEELGVCLDAKDRDYASFVQLSESQMNGMKSKIHHLKDENQRKEREYHDAHVEIIVLQKCLQEWLEKSSSLVAENQKIKEACQLLEKLVSELEQENIGKQVQIESSINCIKILRAWIFQVLMKLEVIPCVDSCDENSQDQKNMHDILDRLDEMQTMLHENQQSAIENLVLVEFLRQLKLEAVGIAAGKKLLEKELESYRRQLSLSQDMNGELTTKVNQGVDREEALKVEVEDLRRQVNDLSKSTMQLEEEKRELEEDISLLLSETIYQSSLILLLEDVVLEKLSGAVKLNEHLEMLSFVKSKLEEEVRKFGDQLKSTETENVQLKCLLENTDTELANVKDQLVQKEKELLEAMLLISTVQNEKFELSKAVEGLECKYKEVKAIEEDKDKQLSKEKNEVELWESRASTLFGDLQISVVRETLLEGLVRELEEAYKSLEERSTLKDVEVEQLKGRVNNLEEANKGQSDLMSKYSQAIILLKESLESLESHIDMPREFENGPAKDTASMVDNNEGFLELQEMYLRINAIEEAVTEKLAVQELKTASRSGSLRRQNNEIFSEESEMITKDIVLDQVSNCSSYGISKRDIVKTEDDHSLEVKPQNHQKGKSMSEESLVVDKLEVSDRFTDPNKRKVLERLDSDLQKLSKLHIAVEDLKSKVEREEKGKEEYETVKGQINEAEEALEKLLSINKKLVTKVVSGFEISDGSKSSMDLDEDENSRRRRISEQARRGSEKIGRLQLEIQRLQFLLLKLEGEREDRVKAKVADSKTTTRTLLKDYIYGGVRGERRKRMKKRFAFCGCVQQPPPSP >A06p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16450227:16451994:-1 gene:A06p028300.1_BraROA transcript:A06p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSVPPPPVVSPGPSIGPSSERETTEPSVTDGNKKKKSVPDSSASAASQPRTETDGPPKKKKRKEKKKMKSVEGQSEPIEDTESRETVAHEGSSRDAATRAIVELSDSPIVPPEKKKKSSRGHDASTPATKTLSAVPPTTVEGGSASEERRIEFHDHVEFKYVGNTPLSYAPSECAELVRQIRGGRKDMPAVKDLIFKDSYVDTTRTKILSDGSMNYVVEYNSALKETISKLNQSDRLLRAKDTALNRKTSEFKAAIDKVAEEQSRLLAKKKAQKEKFVEKFGVLKNKFKTAGEKIRGLEREKDAWKREKTALEEKMTSRSIVVNSRRRDRCRAKLSGRRNVSKALKESGTDIPQETIDLFAEQEKKFEAEAKRLAVGGIPEELLCLSPLHLRSPFLNENVLARIDPYGSNAGLIDAGTERSNEPTGRELGESSVQGRVVVSGVERPEDATAPLVLDPAPSMANLVMSEESLVLILGVRDTNPTLPLGVEETGSEPVDLLELSDSSA >A03p034070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14413321:14414479:1 gene:A03p034070.1_BraROA transcript:A03p034070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSRVRGGVSPLSRLVAAARRSFGSSAAVAVDYDSDEEYVYGGEPRLDLERSGRERGVQWVVMGAPGAWRHVFAERLSSLLEVSHISMGSLVRQQLSPTSSLYKQIASAVNEGKHVPKGLVFALLSKRLEEGYARGESGFILDGIPRTLIQAETLDQIAQIDLVLNLKCSEEHRAALSETPLPRQEFLGSSILHSPVAIKSRRTESLSVYAEEVKPLEDYYRKQRKLLDFHVGGAATSAETWQGLLSALHLKQANSQKLTL >A07p045750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24791597:24793236:-1 gene:A07p045750.1_BraROA transcript:A07p045750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPYKNKNLVLQSHHSMSTGQAPEKSNFNRRCSLLSRYLKEKGSFGDIDIGLARNSDLELAGKTDHRAQQNAIKKANISESRPFKLTQKQLSVGEISTTSRGKAIDVVNLSEPRNVREPKNSQLTIFFGSKVIVYNEFPEDKAKEIIEAAKEANPVAVDSKKTQNHMNLDINISNKSNVVIPDLNEPTSSGNNDDHQTKEQHQVVERIARRASLHRFFAKRKDRAVARAPYQVNQNGGHVPPKPQMVGPSVEAGQHSRQPATPSKPQRHNDMSMEVDEEERCSKDLELKL >A07g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16955844:16965223:1 gene:A07g506750.1_BraROA transcript:A07g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVDQLIKSNQNHVFIMEESPQDKRTIDATSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPVAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMNDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLKLMDSGKIERLECPIISEQPLSMANLEIDFVLQMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLGYNCEGWDKESAARYNRLLAAEILPTRFTHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIVDTPREGSSLLARLITSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRTEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHLCVDPRAPIPDENAARDDVEDITPPADGAYDLEDLTDVTDDHAYRQHSLEAASEVRMSRRRKEQGAHAKNQRALQLEKRDFRGTGEQPATPAAAIQTESGDGRRMQRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A10p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3039688:3041207:1 gene:A10p016460.1_BraROA transcript:A10p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53580) UniProtKB/Swiss-Prot;Acc:Q56Y42] MAFTLSTTKTFTNINCSNTTSFKPLKLPLFWPWQKVKMGPLSVSPMGFGTWAWGNQLLWGYQTSMDYQLQQAFEMALENGINLFDTADSYGTGRLNGQSERLLGQFIKQSQALKGKQSEVVIATKFAAYPWRLTSGQFVNACSASLDRLQIDQLGIGQLHWSTANYAPLQELALWDGLVAMYEKGLVRAVGVSNYGPQQLVKIHDYLKTRGVPLCSAQVQFSLLSYGKDQQEIKRVCDELGIRLISYSPLGLGMLTGKYSSSELPTGPRSLLFGQILPGLDPLLVALREIAEKRGKTMPQVAINWCICKGTVPIPGIKSVRHVEDNLGAMGWRLTNDEQLQLEYAAQESPRSMIQNIFQTR >A10g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9514626:9516038:1 gene:A10g503750.1_BraROA transcript:A10g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKATVALDRSVQLKAFDETKTGVKGLVEAGISEIPAIFRAPPATIKTPTPPSSSQFTIPTIDLQGGSTDSTSRRSLVEKIGDAAERWGFFQVINHGIPLDVLERMKEGVREFHELDPEVRKGFYSRDPSNKLVYSSNFDLYSSPAANWRDTLGCYTAPDPPRPEDLPAACGEVMIEYSKEVMKVGKMLFELLSEALGLNTNHLKDMDCTNSLLLLGHYYPPCPQPDLTLGLTKHSDNSFLTVLLQDNVGGLQVLHDQYWVDVPPVPGALVINVGDLLQLITNGKFISVEHRVLANGAGPRISVACFFSSYLMANPRVYGPIKELLSEENPPIYRDTTITEYSKFYRSKGFDGTSGLLYLKI >A05p023200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11229258:11233235:-1 gene:A05p023200.1_BraROA transcript:A05p023200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFDLKEWMDQRIDPESNFISEVFLGGINTFLHFACNQADYEERQTLLCSCARCKNVKKRDAKVVSRHLFLYGFKGNYYVWTSHREKFYTVGESSGANHSTGEEKMWENPTWNAHEDHYQVATDESHRLLLFQVSPSPIPSLTISRLPITSLKMNSPRVPGTTAAPPPMPPGATGPASNHAASSSRSNSYPQMTLNAMLNSPARLAQPHLHPDKINGALLFGIDPCVNSFIRATWQAYYVGPWKSWRKLPDERRESWWQTFVQNFYWEPDLDSHLSLLKLFYVMFNKILRLRGGMFMDSAVPNTGSKAPSSCVPNGLALNLELEMRVGGLETSLQSVRQDVSEVKQDVSEMKQDFASTRDEINQLLQTLRPQQAPAEQTSAQPQAPSTQP >A07p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23035935:23039233:-1 gene:A07p042550.1_BraROA transcript:A07p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTGESSITCPPDVVESLSESIEAAKYLLEITQESNGPESTTDLTSIEAGFQGVVKQIGETLQSIPEAIIDEEEYIGVVVQSLSNEMQNANIGDGSKSEILQNIQQKISQRHSHEELVSEEQIETDLYPSDPEVSYASYLSESQPDMPSQSTYVSSQRKYGDLSESQMSEIPDIPSQSTIVSSSQRKYGTLSESQSQMSEIPEISSQSTNVSSRQRKYGTLSESLSMLPQVTQFMEPPYQAFICPLTKDVMEDPVTTETGVTCERQAVTEWFDKFGDPDEISCPVTGQKLTTGLSPNLVLKTIIGEWKVRNEAARIKVAHAALSLGGSESMVIDALRDLQMTCEGKEYNKVKVREAGIIQLLDRYLTYRSKDVRYELLQLLKTLADEDTDEGKEMIVNTLAMSCLIKFLGSSHQTVRHAALALLLELSKSGHACVKIGNATGGILMLVTSKYNEESDAFASEAADQILRNLEKFPHNIKQMAESGLLEPLLIHLAEGSEETQVVMAAYLVEIDIGHEKKTNVAEKACPALIKLVQSENIEARRAAFKALAHISLFHPNKQILVEVGIIKIMVEEIFTKRMFSDLMNSRNEAATILANILESGVEHETFEVNTTGHTLGSDYFVYNIIQMLKNSSPDNLNIDLIRILLSLSKSPRAMATIVSVIKETDASFAMIELINNPHEELGVGALKLLIALTPFIGHTLSERLCKTRGQPENLIQCPAEANLITEKHAVSAKLLAKLPHQNLTLNLALVNESIVSEILHAIHLIQRSGTRTSRYATDFLEGLVGILVRFTTTLYEPQMMYLAKNHDLTSVFADLLMKTSSDEVQRLSATGLENLSSTTMTLSRPPQVRNKNFMESLSMPRSFSLRSSKKKQVETCAIHRGVCSAKATFCLVEANAVTKLLACLQSDKTEVVESALSAICTLLDDKVDVEQSLNMLSGMNAVELIINAVKEHKKESLLQKAFWMIDKFLIRGGQRYAFGISQDRMLSGMLVSAFHRGDRNTRQMAENILRRLDKMPSFSVYMTEKSDI >A10p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6516207:6518460:-1 gene:A10p011170.1_BraROA transcript:A10p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CH1 [Source:Projected from Arabidopsis thaliana (AT1G44446) UniProtKB/TrEMBL;Acc:A0A178W6L1] MNAAVFTSSALSLPISFCKTRSSQLTRKKGVKGEFRVFAVFGEDSGLVEKKSQWGHLFDVEDPRSKTPPYKGKFMDVNQALEVARFDIQYLDWRARQDLLTIMLLHDKVVDVLNPLAREYKSIGTVKKELAGLQEELAKAHQQVHISEARVSTALEKLAHMEELVNDRLLPVRVATESDRPSYSTSVQDLDREKTNIGGKSLNVSGPVQPYSPHLKNFWYPVAFTADLKHDTMVPIDCFEQPWVIFRGEDGKPGCVRNTCAHRACPLDLGSVNNGRIQCPYHGWEYSTDGKCTKMPSTKLLNVKIKSIPCLEQDGMVWVWPGDEPPSPTLPCLQPPSGFVIHAELVMDLPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFKPPCIVLSTIGISKPGKLEGKSTEQCATHLHQLHVCLPSSRNKTRLLYRMSLDFAPILKNLPFMEHLWRHFAEQVLNEDLRLVLGQQERMLNGANIWNLPVAYDKLGVRYRLWRNAVDRGDDKLPFSG >A02g502080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6559428:6559646:1 gene:A02g502080.1_BraROA transcript:A02g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L31-3 [Source:Projected from Arabidopsis thaliana (AT5G56710) UniProtKB/Swiss-Prot;Acc:P51420] MGTKDVRVDVKLNKQIWSRGIRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIDEED >A07p000810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:461036:464270:-1 gene:A07p000810.1_BraROA transcript:A07p000810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAPPSLAFLCIQSLKLQLLQGDNPIPDVYELPSELLDGVIAHLPALALHNFQTHMPFKCWDNYEAGDDCLTLTSGRKRPRNDVLGSSWKLLFKVRWPELVNSVEPSADWQQLYWEKHLQNCVDEAAEVAMRPTFSGRISSIHVSDKILRYICHEEHTNCQKCVCTELSFHFQTFGPYLRCLRLLNVLCVTETCELLRTSKLKTLVLCWIRSEKHVEPSCKLLSQNRETLTSLEFIHCKLSSSFISAICASLHEKDIHTSGIQRFYIKASSFDIDPLAAPPAFISFLNSVRSLQSVHFCDSHLDRHIARMIFSTLLDSSSGLSTLDISENNVGWLSTFTWRSVTSSLSSGKSLRSLCKLNLSGNELNKYDAENLSHALRHMPGLESLDLSGNPIEDSGIRSLISYFKKNPDSPLADLNLENCELSCCGVIEFLDTLSTVEKPLKFLSVADNALGSEVAEAIMNSLILSIESLDISSIGLGPVGFLELGKRLVKGVKKLMSINISKNRGGLETARFLSKLIPLAPKLVSVDASYNLMPPESLLMLCDSLRSAKGDLKRLDMTGNICISSETDHSSLLGEFQHNGEPIFVLPSSSTSHVPYDDEP >A03p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7071463:7072865:-1 gene:A03p017530.1_BraROA transcript:A03p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNAVSPHKRGRVVRWACKPTISDVPACVARRHWYLISIANNGCLAPVDKSHGISRLGLGSLDIGFEDGLKLVKLRGEAMQAAADAAKSAMVSIIGLDSEKVQQLCDAANQEVDEADKVQIANYLCPGNYAVSGGLKGIEVRLAVAAAFHTSFMEPAVSRLEAALAETEIRSPRIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVKTLLSKGIKSSYELGPGKVIAGIFKRVDKSATVENISA >A06p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22879685:22885925:-1 gene:A06p042550.1_BraROA transcript:A06p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acidic endochitinase [Source:Projected from Arabidopsis thaliana (AT5G24090) UniProtKB/Swiss-Prot;Acc:P19172] MSDIKFLKPVLSFFFFINCCFCKPSHPSRGIAIYWGQNGFEGSLSSTCATGRYAYVNIAFLVKFGNGQTPELNLAGHCNPSANTCTHFGAQVKTCQRRGIKVMLSLGGAIGNYSIGSREDAKMVADYLWNNFLGGKSSARPLGDAVLDGIDFNIELGSPQHWDDLVSHRGRKVYITGAPQCPFPDDLMGSALKTRLFDYVWVMFYNNPPCQYTSGDTQSLFDSWKTWTTSVTAQKIFLGLPAAPEAAGGGYIPADVLVSQILPTVKNSRKYGGVMLWSKFWDDKNGYSSSIVARVSVQVGLATEPNISLGSKLSASKPNRVWVSANGTFAIGFTRFKPTDQFLLSIWFAQLPGDPTIVWSPNRNSPVTKEAVLELEATGNLVLSDQTTTIWASNTSNHGVESAVMSESGNFVLLGTQVTTSPAIWQSFSQPADTLLPNQPLTVSLELTSNPSPSRHGHYSLKMLQQHTSLSLGLTYNINLDPHANYSYWSGPEISNVTGDVTAVLDDTGSFKIVYGESSTGAVYVYKNPVDENRSYNSSSNPRLTKNRILRRLVLENNGNLRLYRWDNDMNGSSQWVPEWAAVSNPCDIAGICGNGVCNLDRTKKNADCLCLPGSVKLPDQENNKLCSDNSSLVQECERHINRNGTFKISTVQETNYYFSERSIIANYSDIGNVSKCGSMCLSDCKCVASVYGLDDEKPYCWILRSLNFGGFKDPGSTLFVKTRANESYPFNNHDDKDSKSHKSHGLRQKVLVIPIVVGMLVLVALLGMLLYYNVDRKRTLKRAVKNSLILCDSPVSFTYRDLQNATNNFSQLLGSGGFGTVYKGRVAGETLVAVKRLDRVLSHGEREFITEVNTIGSMHHMNLVRLCGYCSEDSHRSFTVNTMTTSQSYLSSSRSHATCSYSSMSPR >A10p004030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1967876:1968889:1 gene:A10p004030.1_BraROA transcript:A10p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 18 [Source:Projected from Arabidopsis thaliana (AT1G05100) UniProtKB/Swiss-Prot;Acc:Q9ZVP5] MNWTREKTIGRGSSATVYAATSQDSGETIAVKSAEFHQSEFLQREAHILSSLNSPYVIGYRGCEVTKEPLATYNLLMEYAPYGTLADVAAKNGGCIDDARVVSYTRQILLGLEYVHNSKGIAHCDVKASNVLVGENGEAKIADFGCAKRVEPEVTEPVRGTPAFMAPEVARGERQGKESDIWALGCTVIEMVTGSHPWSGGDYTHPVSVLYRVGYMGESPELPSSLSEQAKDFLGKCLRREAKERWTATQLLNHPFVTTKQSTEPELVTGLVTNSPTSVTDQMFWRSVEEEEDQERPSWWECHEGRIGVLSWIGQAVVDPTWDMGGEDWITVRRNND >A02p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10339725:10340516:1 gene:A02p021930.1_BraROA transcript:A02p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEVSCSEAPGSGTIPRNSFSYDLDSTDGEVRLDSTLLDSGSEFDFCFGSSCSVQDVSPADELFSDGKILPVQIKKNSAPEKKIMRLKELLLNPDSDFEDKPKGLFLQFKRSISLNYDKSRNSIRSLHFLSRSNSTGSAVNAKHNFLPKESSNPHKTHNLPKQTSLRRSSSLSSSLPYKKQPAKNSFVNGNGGIRVSPVLNFPPPAFISNVADGFFSIGSLCNGNMNRKTIL >A08p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1210204:1211073:1 gene:A08p002120.1_BraROA transcript:A08p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEECTEREREREREGGETDKGELMGLIWASSYFIGLIKSSEGALADDPIKVVDGIQLQCKLAINGKTWKPLGQDVAAGPGPMGGGYGAGSGPYSLCLHGPCVLMYSSLESVLWEGEDALFVVIT >A07p044790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24365570:24367320:-1 gene:A07p044790.1_BraROA transcript:A07p044790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFFLVLLSSPILTRNVEANPNYREALSKSLLFFQGQRSGRLPRDQQVSWRVSSGLSDGSTAHVHPETVLHVDLTGGYYDAGDNIKFNFPMAFTTTMLSWSTLEYGKRMGPELKNARENIRWGTDYLLKCARATPGKLYVGVGDPNVDHKCWERPEDMDTPRTVYSVSSSNPGSDVAAETAAALAAASMVFRKADPKYSRLLLATAKNVMQFAIQYRGAYSDSLSSSVCPFYCSYSGYKDELMWGAAWLLRATNNPYYENLIKSLGGGDQPDIFSWDNKYAGAYVLLSRRALLNKDSNFEQYKQAAENFMCKILPNSPSSSTQYTQGGLMYKLPQSNLQYVTAITFLLTTYAKYMKAMRHTFDCGSSVIVPNALLTVSKQQVDYILGENPIKMSYMVGFGQSFPKRIHHRASSLPSHALLSKPLGCNGGFQSFYSQNPNPNVLTGAIVGGPNQSDGYPDQRDDYSHSEPATYINAAFVGPLAYFASGRSA >A09p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35515430:35516927:1 gene:A09p043590.1_BraROA transcript:A09p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32550) UniProtKB/TrEMBL;Acc:F4IE99] MALVFHCTSCTWLQRKTFPINRRYSPNIRREATTCEYRIPVEISSPADRGALAVPSHKVTVHDRQRGVVHEFEVPEDQYILHSAESQNINLPFACRHGCCTSCAVRVKSGDLRQPQALGISAELKSQGYALLCVGFPTSDLEVETQDEDELGCHIRNRSFLALPRRIRTVSLKFLSERASPANQRILLRKAHLHRSSTENPNQVLPS >A08p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20740361:20747748:-1 gene:A08p035060.1_BraROA transcript:A08p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLNNSSSLLQPKSGSTTRLNPSSLLKPCPNPTRVSFSGKSRGHVATKASIEMAHSNSTPAAVVNSSNKQKGPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKSKNPRGVLISPGPGTPQDSGISLQTVLELGPRVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSMVHYDEKGEEGLFSGLSNPFLVGRYHSLVIEKDTFPSDELEVTAWTEDGLVMAARHRKHKHIQGVQFHPESIITTEGKTIVRNFIKLVEKKEAEKLT >A03p000180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:225788:226363:1 gene:A03p000180.1_BraROA transcript:A03p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNGSDRHASLMSKQDMATFSDKIPSTKQRLSSQAPACPSFRIYYYDGAAGSIPFEWESHPGTPKHKHSSSELPPLPLTPPPCHLSFSSEQIRRGSRKPIKKILTLIHTRLLWLSSGGHKKNKKVMKLSASSPSLSERVLIDENEYHLFKSHTKGKVIRRFSSFDSSIDHYPIRRSQSPSCFGIRGCFIW >A01g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25311909:25313640:-1 gene:A01g509350.1_BraROA transcript:A01g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNVGFGFSFISSLNSSSNILHPTLPLCSIKSSSASSYVTGRMYPINWHGCNYEHRRIASSPSISPESLILRIFSPTETFEFPDYTFTKQEKKEKHAEIKSGLDEADVLIRKMNLEKDSKQVPSLDANQSTCEELMEPGMVDVHAVSVDQSGGFVMSKEKIDQSSDRMGDQQKTNPHAHTKLQSVDDAIDKRKRVLTSDHYVKKNDKRIVGSGIVALILAIILIIS >A04p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8676913:8678438:-1 gene:A04p007780.1_BraROA transcript:A04p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMRVSRFWVLVLVSCFFCKSKGAIVPALIMFGDSIVDVGNNNNLLSIVKSNFPPYGRDFTNQTPTGRFCNGKLAVDFSAEYLGFSSYPPAFLNREARNEDFLIGANFASASSGYYDATSVPFGAIPLTRQLSYYRTYQNRVRSMIGRERARTMFSKSIHILSAGSSDFLQNYYINPLLNILNTPEQFSDTLMRSYSEFIQNLYELGARRIGVISLPPMGCLPAAITLFGAGNKSCVERLNNDAVMFNTKLESTTQTLMKRHSGLKLVAFNAYQPLMDIITNPYDNGFFETKRACCGTGTIETSFLCNSLSLGTCSNATGYVFWDGFHPTEAVYELLAGQLLSQGISLIS >A05p003550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1305137:1306556:-1 gene:A05p003550.1_BraROA transcript:A05p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLSEIGSTKMFDGYNKRYKHNSETLGCSMTFSIYFPPSSHRSPVLYWLSGLTCTDENFIIKSGAQRAASAHGIALVVPDTSPRGLNIEGEADSYDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSENFPQLDTARASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPINCPWGQKAFTNYLGDNKAAWEEYDATCLISKFNNLSATILIDQGENDQFYPDQLLPNKFEEACKKVNAPLLVRLQPGYDHSYYFIATFIEDHISHHVQALEL >A05g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17193520:17194399:-1 gene:A05g506000.1_BraROA transcript:A05g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFCWSYTRNCQYTVKSGYWVAQNLLKTEEEKEVLEPSITKLQAFAWTLKAPKKICHLIWKLLTGHMDLGHPLPNSLDVDGSEWTVVGIFNSWGHGIFLRESALHMEVEALRWAIENMLQHSSCQNFGTDCKELIAMIKEPHA >A03p011620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4643981:4644702:1 gene:A03p011620.1_BraROA transcript:A03p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase isoenzyme 6 homolog [Source:Projected from Arabidopsis thaliana (AT5G60340) UniProtKB/Swiss-Prot;Acc:Q9FJI1] MAGANSGTRRQKPNILITGTPGTGKTTTASALAEATSFKHICVGDLVKDKNLHDGWDDQFESHVINEDLVCDELEDIMQGGGNIVDYHGCDFFPERWFDLVVVLQTENSILYDRLTRRGYSGTKLSNNIECEIFQVMLEEASDSYEEEIVTAMQSDTIEDIDDNVASLTEWIQSWRP >A08g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9432378:9434934:-1 gene:A08g505620.1_BraROA transcript:A08g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYDWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A09p056380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47961160:47964587:1 gene:A09p056380.1_BraROA transcript:A09p056380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGKGRGNKRTSTSSYASTITMVVFVALCVVGVWMLSTNSFIPSQITQATTRTVIAETERSDVSASSNGNEEPEPTKPESDEHPTFQDNPGKLPDDAVKSEDEQQKSAKEKTNSKAGDEGERQNQKQETETQQNNEKENKKNDEGQVKEVVKEFEKEQKEQREEDAGSQLGNNKGTQEQENVQGKDMQDVKQGQDQDSNTDVTYTDATKEELPLEVGKRYASQKSKDQENGQQQQQQEDQNSKNEENGQQQLDDQNSKNEENGQQQQQEDQNSKNQENGQQQQQEGQNSKNEENGQQQQQQQQQQEDQNLGKEESGQQNMENNNMVNDENVKEQKNMKDENGQQDEQSTTKEENVEQQQAEQKDEKKQEGAGASGFGSGIPKESAESQKSWKSQATESKDEKQRQTSESNNADSIMTGKAWELCNATAAYDYIPCLDNEEAIKKLTSRGHFEHRERHCPEDPPTCLVSLPEGYKESIKWPESRDKIWYHNVPHTKLAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIDFLQQSVKNIAWGKSTRVVLDVGCGVASFGGFLFERDVIAMSLAPKDEHEAQVQFALERKIPAISAVMGTKRLPFPSRVFDLIHCARCRVPWHNDGGMLLLELNRMLRPGGYFVWSATPVYQKLEEDVQIWKEMSALTKSMCWELVTINKDKLNGIGAAIYQKPTTNECYEKRKHKKPPMCKNNDDSNAAWYVPLQACMHKVPTNVVERGSKWPVSWPRRLQTPPYWLNSSQMGIYGKPAPLDFTTDYEHWKHVVSKVYMKEMGISWSNVRNVMDMRAVYGGFAAALKDLQVWVMNVVNINSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKARCNLVPVMAEVDRIVRPGGKLIVRDEANVIREIENMLKSLHWDVHLTFSKHQEGILSAQKGFWRPDTSQTST >A03p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3958702:3962921:-1 gene:A03p009940.1_BraROA transcript:A03p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1P [Source:Projected from Arabidopsis thaliana (AT5G19660) UniProtKB/TrEMBL;Acc:A0A178UNR0] MKMLLAEASSSACRSSILVVLLSVFLFWRLRLNPQNLTPSESEIATHANYIIRFKHYEPAETHRIYLESEVRSGGWGWIERDNPAAKYPTDFGVLWIEESGREAVVGEIERLAMVKDVSVEFRYQRVLLGGSFRDGEKRPGKIFTSMSFEGGADHSSNATSSRRLLAQKTQVTSMFGADVLWKKGYTGAKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQNSECLGFASDTEIYAFRVFTDNQVSYTSWFLDAFNYAIATDMDVLNLSIGGPDYLDLPFVEKYRVLEVLINFEQVWEITASNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYDDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPEASRKNLLNPASMKQALVEGAAKLSGPNMYEQGAGRVDLLESYEILKSYHPRASIFPSILDYNDCPYSWPFCRQPLYAGAMPVIFNTTILNGMGVIGYIEGPPTWHAANEEGNLLSIHFKYPDVIWPWTGYLAIHMQIKEEGAQFTGEIEGNVTVKVYSPPAPGETGHRRSTCTLQLKLKVIPTPPRAKRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNYHIMFNMLRDAGYYIETLGSPLTCFDAQQYGTLLMVDLEDEYFPEEIEKLRYDVINTGLGLIVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNNLLASFGIAFGDKILNGDFSIDGEQSRYASGTNIVRFPAGGFMHSFPLLDSSESGATQNLLLTGSSKEDPAVLGLLSIGDGRVGVYGDSNCLDSSHMVTNCYWLLKKMLDFTSSNIKDPVLFSKFSKRYSPVTTDEKQLPSRRTDVNFSIYSSVIGKELICQGDSRFEVWGTKGYNLHVRGRNRRLPGYRGIDLGRGLNSTVENTRPARYRSTREEGELSSSRSKYLGGLFNRDEIDMSFLVATRWIVPAGVAASGVLVLLSIWRIRQKKRRRRRASGSNRLA >A08p020010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13625831:13626121:-1 gene:A08p020010.1_BraROA transcript:A08p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFAESVEIVFRDIHGNPIFDVYNDEDRIQDEDKLDDRESNNQSDEAHYQQIGFLLTDDEMEGGCDGEVVGDGSEKEGPDLPFVAFGEHDPRLS >A05p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8924278:8925960:-1 gene:A05p019020.1_BraROA transcript:A05p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAFFLFTLLVFSSSSCSAIWLQHPHRQGNNLPSPYQSINFIKLIKAGHVKLYDADPESLTLLSQTNLYVTIAVPNHQITSLSANQTTADDWVKTNILPYYPQTQIRFVLVGNEILSVKDRNITANVVPAMRKIVNSLRAHGIHNIKVGTPLAMDSLRSTFPPSNSTFREDIALPLMLPLLKFLNGTNSYFFINLQPYFRWSKNPNHTTLDFALFQGNSTYTDPHTGLVYHNLVDQMLDSVIFAMTKLGYPYIRIAISETGWPHSGDIDETGANVFNAATYNRNLIKKMTAIPPIGTPARPGSPIPTFVFSLFNENKKPGSGTQRHWGILHPDGTPIYDIDFTGQKPLTGFNPLPKPTNNVPYKGQVWCVLVEGANETELEEALRMACARSNTTCAALAPGRECYEPVSVYWHASYALNSYWAQFRSQNVQCYFNGLAHETTTNPGESFIYFSPKLDINKPLYSHGSCDSVRVFVEYIVNNKAFSQEMIAASSRALLCEEERLKEI >A07p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4015977:4017247:-1 gene:A07p002150.1_BraROA transcript:A07p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTRRRTYTAETPALLFSEALTVKPNIQPLPVPRNSINHVSEKKCIKGLWNINIPSELLQEILSRLSLRSNIQASAVCKTWCEAAVSVRKLQPRPWLFYQLRGLENGNYILLDPSRSQAYEFSFPALKGSVLSYSKDGWLLVKKTHALSYLVFLFNPFTGKRIFLPKVSLTSGYCLAFSAAPTSSSCLVISCNYTSIPSYIMINTWRPGETAWTTHRFKNQLPGGGLNDCVVSNGMFYCLSTCGYLGVFDPPRATWNILPARPCLAFPQVDITRRMLMTEHEGDIFVMFTSRDKNPSVFKLNLKRMAWEKKGELGGLTVFASQPSSLTRASFSVKERNRLYPSRNGHLGVYFSLGGDENISSCPPSSNYFSNRIAWVFPPHDNVSL >A10p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13342683:13351275:-1 gene:A10p019490.1_BraROA transcript:A10p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPHDHPLPSSPSFVHLTSTSSHELAQSHPHSFSIRDYAYSNRKNNIKSNWPFSPKSLQLLSTHGVTDPLPPFQITASSSSGKQIVSHVQRGRHLAKLGLYQTIVKTRQGVCSQSKIVENGLFTNTSVSKAKEEIVLVAATSNNHHKSKTCGRGMVKCKEATSCVGIESIMASKTCPICKTFSSASNTTLNAHIDQCISVDSSPVISKPNKPRVNQPLSVDSAVPLVTSKPSKPRGKPLMKVKTMVDIYATAKECTLEELDKRNGTNWAVISSYTNRVVADKSEVSKKRKVAPVGVGPVYVDAKGQKLRIITEFSEKKTSSTTRWREQQEDGSNEKKSSSQGSKENSKSSRKRRQGKKHHKYLKLNNSHKTNESEVPECQRGVSGEGSSTGHRRIFNQRMLATRVSVSKKLNEKGDKVYALQDQPSEDDDDDDSWSGGDHVVLRGTALSALDKQKLRGEVSGRNKTMFGSKRAQRVRMSEKEETSLAGAHLNTVRLKKSLSSIQEDKHPPGKNVREVTDASPRATSLRKLLPPFVANGWRRLSLPVELKRARLDLSDEEEEETGRWESEMTQERELSDDDYVSGDNGERNEVVHRSNPLTFSGYNEYEYEDDDDEESSEEEEGANVLDKTDEFYQSDTPPSNETIPSERAYLYNSEGVENMVYEEGDDVRFVSEVGKGSLYVEVDTIPIPGPPGSFIPSPRGMSYDEHLGNSSVITSQLQSSMDQLDRHSSESPVSAFSNFAAARLSFPAELFSMDVPSSYSTTPVSFSVPSHPEKMTVDKAITPPSFRNNDGEPCCCQRKERISEGVTLNHQPSHLLQRRDAASSSSMAMNLTKPLTCLDPNHPFEQSPPYMIQQEFDLHSKFSNKANVNGAAVVPPSPSNSVLRLMGKDLMVMNQGEASLASLKPTPQFLDPPPLSAGAGLYLNTGLYLRNSLEPTHQTQTQASAFRNSFDHVSGDRTPKQKVKLNVTEEKLLNLMLKYNGRKPRAETKLKSAVQLQDLLDATRMLVPRTRLDRESDSDPEDLEHAEKLRQVKAVIEEALYTVFGGVSGRAGSNRLTISEKVFSMAPTITTTTTYTSIPIPTGDVISRSIHNLTSAISRRRPWSELVFSGAFCFPERFSSLPLRSRTNLRYFSVNYSLIIGTCAAFALVTASPVALVVVGTIIALWLLLHFFREDPLLLWGFQVGDRTVVCFLILASVWALWFTSSAVSLAVGVSVGMLLCTVHSLIRNSDELFLEEDDAITGGLIGSNRR >A03g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19909085:19912890:-1 gene:A03g505640.1_BraROA transcript:A03g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEYRNPRSSVPHHHHQHQHQMRTTVPPPPSPHPSPPVPSHAESDQSTSELRALDCNLTSLCDHIQSEGFGSGSFSDVVVKAMGSTYHLHRLILSRSSYFRTMLQGPWKEASSPVVNLLVDDKNVNGDAISVALAYLYGHHPKLDDDNAFRVLAAASFLDLQDLCAICTDFIISELRTSNFLAYQVFAESQDYGIHGERVKNACWGYLCQSGAVELREMLPKLSAQTLCALLTSDELWVPSEEKRFELALYAFIANGAPSNSEHSSCFERGTSFPVDSVVSKGKNVVDEFSFRSLDRKLGRLDLEDDLRDASDDVSVPLAEGATDFQRGVFGSNLVFQQSANPQTSFGRVCTSVVEKTEGSGVAIKGPSEEAYHLSNDSWLSGADSRNSPVSAIANDWRNGGVSALTWGGRVVGTKQVTGCIKGKWGLTEEEYNAFVNTFEGGSLLYCHMSFEVLLNARKQLEELGFPCKAVNDGLWLQMLLSQRVQEVAANTCKKCCLISIACACKQGFGLSHGAPFNNYYCQDNVQNNNMMGGIETMYVTESSQAEENGIFKPVRISVRGQHIDGLAGIGCEATFVPPPAWPPTPFVYSRVPINRNGQPPLASDGSEGRIGQSEENLKDGLTALVGLSQGTSGVGNYTRGDLNEGGRSSGSTVGMSEPKEHSVGIERENASCTISLDTRTPLCHFPPFRFGVEFEDVHRLANGHVEHSPEFFYAGSLWKISIQAFNDEDPQGRRTIGLFLHRRKAEILDSLRKVHVYIDPRDKVTARYQLVCPSKREVMLFGDFKQRGTLLPKAPKGWGWRTALPFDELSELLQNGALRVAAVVQLV >A04g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12670892:12673989:1 gene:A04g506100.1_BraROA transcript:A04g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRGNGKKKETRSMGDEDEEPLSPMARVFQLPDNDCCVITIIVSIFTVRCGASWIKTRVNVEDHVIVADVDMNEIGEDGESFVEDYISRLTMLPLNKSKPLWDIHILNVKTSDAEAVCVIRSHHSLGDGTSLMSLLLACTSHEDTVTTIPPQKGRKMVDKDKGSRILRSDSKTPLKGDTGVENNQKKFCHRVVPIDDIKLIKEPMNMTINDVLLGITQAALSRYLEQFPGKIRLRAGVFVNLRPDTGIQAKSTMDRKKNSLQPALLYSNTAFILDILGAKASAIIFNRLVSNITTFISNMIGPKEEISFHGHPIAYIAPSVYGHAHALSIHFLSYAEKMVISIGVDPTAVPNPHKLCDRGLSESDKSSSLGKRITLV >A03p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3569789:3573178:1 gene:A03p008900.1_BraROA transcript:A03p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDKKSTAEDLQKVSADIRSGIWKQMSEAGTKYIPSNTFAHYDQVLDTTAMLGAVPPRYGYTGGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVEKSFDLLSLLPKILPVYKEVITELKAAGATWIQLDEPVLVMDLEGHKLQAFTGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTLDLVKAGFPEGKYLFAGVVDGRNIWANDFAASLSTLEALEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWMAFAAQKVVEVNALAKALAGQKDEALFSANAAALASRRSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKVSEEDYVKAMKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKAMTVFWSAMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDSTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSSEEIADRVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLASAK >A08p043840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24358224:24360977:1 gene:A08p043840.1_BraROA transcript:A08p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTQNKFKPFHLFLFSLLTLTPSAFSEIFFEEHFEGGWKSRWVLSDWKRNEGKAGTFKHTAGKWPGDPDNKGIQTYNDAKHYAISAKIQEFSNKNRTLVVQYSVKIEQDIECGGAYIKLLSGYVNQKQFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPIKKDLQCETDKLNHFYTFILRPDASYSVLVDNKEREFGSMYTDWDILPPRKIKVKNAKKPVDWDDREYIDDPDDVKPEGYDSIPREIRDQKAEEPEDWDEEENGPWEAPKIPNPAYKGPWKAKKIKNPNYKGKWKNPWIDNPGMLFNVLFAFCGLDYFLLMLISLSFSVFFCCLAEFEDDPDLYVLKPIKYIGIEVWQWNIKQKESRKILMRVKAGSIFDNILITDDPQYARTMVDDYFDQHRESEKELFAEAEKERKAREEEESRKAREEGERRRKERDHRYGDRRRRYKRPNPRDYMDDYHVSGRAVRHSGGHFWH >A06p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4384029:4384979:1 gene:A06p011720.1_BraROA transcript:A06p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNGYGVEVTGLSPAVTEKDLVEFFSFSGAIEHIDIVKSGEQACTAYVMFKDSYSQETAVLLTGATILDQRVCITRWGQHHQEFDFWNATPRSFEDEANSHPPHPQRGEFNAGEAVTKAQEVVKTMLATGFVLGKDALTKAKAFDESHGVSAAAAARVSQLDQRFGLADKIFAGVEAVRLTDQKYHVSDKAKSAVSATGRTAAAAASSVVNSSYFSSGALWLSGALERAAKAASDLGSRGPRQ >A03g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15299933:15300790:1 gene:A03g504340.1_BraROA transcript:A03g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCTLRTKTKGESLLYCRGDGELLLLYAICNSWYNLVKEVGDATFGNVWRAVNKLTGEVLSCFSREIKSSTLFVFDFRNLMSEIGAFKCLSCMHQRGYFHSDLRPAAHQSYLNILSMNHVYVFLSDAENLLVSKDVIKMTDIGQAERSIRVHHTQCMSRHAGTRNLKYQLESYVYTSKVG >A08p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15245251:15247650:-1 gene:A08p023160.1_BraROA transcript:A08p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFHARSNSVPSMQHPQAAHVDEQLTRLRSSEAASSSSSSSIYQRLSNLQDLHDSLDKMLRLSITNKALSQVQVEKLLDGSLRILDLCNVAKDALSQMKEGLKEIQSILRRKRGDLSAEVKKYLATRKFLKKSFQKVVKNLKVSQNKESTDKSLVVFGEAEAITVGVFERMFCFMSGSKACGKWSLVTKLMSQTKSTCEDEANEFTRLDFVFESGKLLNMEDVQLLESCIQDLEDGTESLSNFPSRQHPQAAHVDEQLNRLRSSETASSSSSSSIRQRLSNLQDLHDSLDKMLRLSITQQTLSQEQVEMILDGSVKILDLCNVSKDGLSQMKESLKEIQSILRRKRGDLSAEIKKYLASRKFIKKSFQKVLKNLKTGQNKNKESLAVFREAEAVTVTLFESMFSFISGPKSCGKWSLVSKMMSQNKVACEANEFTRVDLEFQSEKSLKIEDVQNLDSCIQDLEDGIESLSKSLIK >A03p069290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30474700:30475486:1 gene:A03p069290.1_BraROA transcript:A03p069290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFSLEFEELTRGLATGLVPFPYDMSTLGLRSRPAWVYFWFPSHKASMLFPQFQDLLTNHYRTSLSTLKGPIPIRRVFWSSCRFLVNLALIPIVGIVKSHVQLYFIRLVRYCPLWALEACPHGFTFGFLHKRPHTIRVGHLFIY >A03p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2938295:2944546:-1 gene:A03p007050.1_BraROA transcript:A03p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRDDVYSSGSQFKRPLPSSRGESYVQSPVPGDGGVRSQKLTTDDALSYLKDVKEMFQDQRDKYDMFLEVMKDFKAQRTDTSGVIARVKELFKGHNNLIFGFNTFLPKGFEITLDEVEEETPPKKTVEFEEAISFVNKIKKRFQNDEGVYKSFLEILNMYRKDDKDITEVYNEVSALFEDHLDLLEEFTRFLPESLAAHSAAQLIRSQAQRYHDRGSGPPLVRRMLMEKDRRRERAVASRGDRDHSVDRSDQNDDKAMVKMHREPKKRVDKENRERRSRDLDDGEAEQDNLHHFSEKRKSSRRTEGFEAYSGPASHSEKNNLKSMYNQAFVFCEKVKERLCSQDDYQTFLKCLNIFSNGIIQRKELQNLVSDLLGKFPDLMDEFNQFFERCESNDGFQHLAGVMSKKSLSSEEHLSRPVKGEEKETETEREHKRDLDAAKEKERSNDKYMGKSIQELDLSDCERCTPSYRLLPSDYPIPSVRHRQKLGAAVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVGSAAKSAEELLNNIVEKKISIEGSFRVEDHFTALNLRCIERLYGDHGLDVTDLIRKNPAAALPVILTRLKQKQEEWTKCREDFNAVWADVYAKNHYKSLDHRSFYFKQQDSKNLSAKALVTEIKDLKEKSQEEDDVLLSVAAGHRQPIISHFEYEYLDKTIHEDLFKLVQFSCEEICSTKEQIGKVLRLWKSFLEMMLGVPTRAKGSNSVEDVVETKHHGALTSGEVNVSSDSTNLVSRQLKFAANGDEYASSGVSKHGAISLLKRDSSAKENCKDGDPANKDVATCSAVKLQKDQENGNGADKRSGDVDDRVATFPNGVENSIGKVGSGNSSGSRGILSKPGDAIENTDTIVIANGLLPDASKANSNYDEPGGPSNIEKEEGELSPIGDSEDNFVVYEDRGLKSTAKPEHSVEAEGENDDDADDEDGDGDGDDASEGGEDASGTESIGDECSQDGNGMEEEGEHDEIDGKAESQGEAEGMDSHLIEEDNRLLPLSERVLLSVKPLSKHVAAAALLDERQKDSRVFYGNDDFYVLFRLHRVLYERILSAKTYCTGSELNRRNTKDTSSPDPYARFMSALFSLLNGSAESSKFEDECRAIIGNQSYVLFTLEKLIYKLVKQLQAVVADDMDNKLLQLYEYEKSRKPGRVIDSVYYENARILLHEENIYRLECSSSPSRLSIQLMDSIIEKPEAYAVSMDPTFASYLQKEFLSNSSGKKVVPQAIVLKRNMRGYSGLDDLAVACKAMEGVEVINGLECKMSCSSYKISYVLDTEDFFHRKKKKQKKPSHEKSSSEQRKLYRVERFHKFLSASR >A08g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10188275:10191745:-1 gene:A08g505940.1_BraROA transcript:A08g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYRKALRKDIFTRITFRKNVHAGLYGLSDIDFVVTDFDLNRVLLPMAFCMDVEGRLRVVISFPQCVNLRDFLKTFKYWQRDKFWDLVSGCLILCLEMLDTSALVLGQDLGLLLVLEGAMTNSSYVSRFSFILIPYRFKVRDRFSAYTTCMRYYPCVRCTLVISTRWLSLFRTLRCFYRGRKFRQRTNLHASAMSRDAEDLLFFRMPRFVLEMFAGLKIFRDVARLTTNVRSQNCCSCLDANSFIYDRGIMIEVSMFRIALEALLRIFPYMPHIMVKVAWSYSWSVTESQNVFHRFFERILMTHSFLERIGQPEVDLANPREESAPFNVHDATSISSFLRRKCFRFFSVTYLISRRNFSNETGFLKPEKASILWLIVEFQFDIEVFEVWEYTSIVYVPTPPPLFFYERKRLNRYFEGLCTFLLLMFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDRFSTFGEFRSVCKIWMNNYGTIYRDRKNRFATIHLGFTFPKVLWQPQSNFQRKFQRRFDRDLKENTKLEVRASRSKRRSLAESYLFLSLKGCNVASRGSDRLLRSEWKQARKSPTCFRRKISTETPIETKRKAFR >A07p046870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25240804:25249620:-1 gene:A07p046870.1_BraROA transcript:A07p046870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) UniProtKB/Swiss-Prot;Acc:Q8LPF0] MAKARKPKNDEAPGAKPSENSGAKVLHQKLCLSIDFKKRRIHGYVSLPLSPSDSPPQNRARERDSRTNLNFRIVSCSYTELEVSVPDIGIVGLHAENLVIESVLVDGEPTVFEYYPHHGNAEPESNWSSVSDPASAADAAAMDYVGVLKKEDTANLLINCCKPSSKDLSEQLDNVALENGSQSSGEAKQNVKLIRINYWIEKTESGIHFDENIVHTDNQMRRARCWFPCKDDEYNRCSFNLEFTVPHNYVAVSVGKLLYQIMSKEDTTQKTYVYELAIPIAPRWVSLVAGQLEILPDQTNFLISNLCLPHDLPRLRNTMEFFHEAYSYYEDYLSAKFPFGFYKQVFLPPEIVVCSSALGASLSVFSSHVLYDERVIDQIIDTRIKLASALAKQWFGFYIVPESPNDDWLLDGLAGFLTDMFIKQFLGNNEARYRRYKANSAVCKADDSGAMCLSASSSCKDLFGTHSIGMHGKIRSWKSGAVLQMLEKQMGSESFRKILQKIISRAKDPSNAIRSLSTKERWVASCGCPVLRIGLSYNKRKNIVEMAALRECTASLDARLSVGATDSENRDVDVGWPGIMSIRVYELDGMSDHPKLPMAGDRWQLLELPCHSKLAAKRYQKPKKGGKPDGTDENVDAVAPLDNKTSIESPLAWIKADPEMEYIAEVHLHQPLQMWVNQLEKDGDVVGQAQAIASLEALKQHSFSVVNALNNILTDSKVFWRIRIGAAFALAKTASEENDWAGLQHLIKFYKSRRFDAEIGLPKPNDFRDFPEYFVLEAIPHTIAMVRGADGKSPREAVEFILQLLKYNDNSGNPYSDVFWLAVLVQSIGDLEFGQQSLTFLAPLLKRIDRLLQFDRLMPSYSGVLTISCIRTLAQTALKLSDSISFEYICKLLEPFRKPDTILQVRIEASRALLDIEYESKGISSALSLFMKYVVEESSLRGQVKLCVHTMRLCQIAVGCDSDDCVDTVSLLDLLHLFKSHVVFNNEFLRHHLFCIFQILAGRPPTLFGVPKEKPLQLVDVEACIEPQNVFSVPGAEPGEPSLLALENANGKSLDVAPFGAPARSEEMFMPTAPEMKFSEPVAASLHETEHLEPHMEDQNQPLHENPIVHEIPSDGEAPTEELAKAEENLPTKEPQKEPDVLPVVNEMKTVIRIKVRPSGATSRAEGSARTVERSQGIVVRRDIERRQTSSASVDAPQRISADARMEEVNSCHDVGSRMTASIGSVKLPTEGDISGKELQCTAESGKTSTSQKADNDNQEVAAPSLLPQDNNMGNESQQKYGSLQTLSVGKESEKKKDKEKKKEKKRKREDPVYLEKKRLKKEKKQKEKEMSKLLTSSSDPATIKIETIPEAKPEGLSDKPKAEPSSAPTEARPSTKIRIKLKSKAFNNP >A09p075080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56710486:56711535:-1 gene:A09p075080.1_BraROA transcript:A09p075080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15710) UniProtKB/Swiss-Prot;Acc:Q9LMR3] MLLHFSPTKPLFSPPNLRRNPPISPPRSLRIRAIDAAQIFDYETQLKSEYRKSSALKIAVLGFGNFGQFLSKTLIRHGHDLITHSRSDYSSAASSIGARFFHNPHDLCEQHPDVVLLCTSILSTESVLRSFPFQRLRRSTLFVDVLSVKEFPKTLFLKYLPKEFDILCTHPMFGPESGKHSWSGLPFVYDKVRIGDESSRHERCDKFLKVFESEGCRMVEMSCEEHDKHAAGSQFVTHTMGRVLEKFGNALEQLERLDMAFESIKKELFGRLHQVYRKQMFGGGGEGKKTEQKLLNDGVVRVKEESSSSSSS >A08p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20916366:20921043:-1 gene:A08p035450.1_BraROA transcript:A08p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein decapping 5 [Source:Projected from Arabidopsis thaliana (AT1G26110) UniProtKB/Swiss-Prot;Acc:Q9C658] MATDNTGAKSSSSSADSYVGSLISLTSKSEIRYEGILYNINTDESSIGLQNVRSFGTEGRKKDGPQVPPSEKVYEYILFRGTDIKDLQVKASPPVQPPAPPTLNNDPAIIQSHYPSPIPTSSGLPPAVSSSMPDISSHNGQPGQHGMGFQNSMPLYQPGGNLAPWGASPQPPMYWQGYYTPPPNGLPQLHQQSLIRPPHGLPMPGSLPQPIPYPNFNAPPPAGSSNLQGSSLPEPPSSLFPYSSSSQALAPSSLPFTGLPMTLSSGLQSTLQSAPSPSLASEMAPPLFSNKAPIAAPPTLSQDTNLLPFSLPTTRATDTSAGLPLVTDPISVPQATPLASAPDSEVSSSISQDKPKPLLVTPGQLLQSGSASVSLSPPSNNAGKDDEVVQVSSSAALEQSASVTSEAQPPILPLPSSARPTHKPNGHSFPTYNGYRGRGRGRGRGAGRSHQVMKFTEDFDFTAMNEKFNKDEVWGHLGKSTNGDGDDDFPIVDEPELPKVEVKPVYNKDDFFDSLSSNSGDRDSQNARPRFSELRKLDTETFGEFSRFRGGRGGRGGYGRNGHSRGGYGGRGYGGYNGCGGGGGGYGYGGRGQGRGRDNRMGSASLVLFARLSGLVIAVLVVYWALLLVPHQGLTYSTLHPLLMVIGFILVSGEAILIHRWLPGSRKTKKAVHLWLQGMALVSAVFGIWTKFQYQSGVFSNFYSLHSWMGLLSVSLFAAQWVTGFMSFWHRGEVRTTRTTFLPWHVFLGLYTYGLAIATAETGLLEKLTFLQTKKNVPRRCHESTVVNALGLGLVLLSGVVITAAILPKYQNSHSGNEKLVYSSQDRPKCLTS >A05p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18552033:18552950:-1 gene:A05p031310.1_BraROA transcript:A05p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSHEIMSTHTLQAQGQRSTVALPEKIKAHRECRPGTKSKNIAPYGRGTKVIGKTDRGARQHPGTSMFQKGENQFHKLRVSRGRSPQTTMFQEDKFQNLRASGGYLTKSTRNCRTTHPTKVLLPRSCPIDLEGIPNGPTEATGVISTTAHIQSTLRVVNLRRTECNVVLRRIVRDYKFLHDVHRYLRVVRRRIGLHASTKGLVPLEEGMRKIAVFTRLLSSTELLHPKVRV >A06p040230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21774565:21775477:1 gene:A06p040230.1_BraROA transcript:A06p040230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVLIHHPAENKVERIYWSVTASDVMKSNPGHYVAVVVTSPTLRNEKGSPLKQLKLLRPDDTLLIGHVYRLFFSSSVMLLTLLVILRAEVLKEFATKKCVKLGKLLKEGGGLELKKKMKKHRKKADQDHNGRVNPNSDLDPKEDVSNDDTVAGDISGNGFMRRSYGGGRGGGGWRPALHSIPELGSS >A05p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19401627:19402743:1 gene:A05p034510.1_BraROA transcript:A05p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSRVFGGCRTLMAKATTNAAAAASGGAAKEGKGILKTVPVSQTLANFAGESELSRATAVKKVWEHIKGNNLQNPENRKQIICDDKLKTIFGDKDTVGFTEIQSSCPRIFLSLSDLVM >A07p025120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14507662:14509628:1 gene:A07p025120.1_BraROA transcript:A07p025120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSMSCGVRPEHHGIFASVQCGDIVTVRRVMMTDPSLLNQATPYDRHSVLHVAAASGQIEILSLLLERFTNPDTLNRHKQTPLMLAAMYGRISCVKKLAQVGANVLMFDSVNRRTCLHYAAYYGHADCVQAILSAAQSSPVAVHWGFARFVNIRDDKGATPLHLAARQRRPECVNVLLDSGSLVCASTSLYGSPGSTPLHLAARSGSIDCVRKLLAWGADRLQRDASGRIPYVVAMKHKHGACGALLNPSSAEPLVWPSPLKFISELNEEAKLLLEQALMDANREREKTILKGTAFSLPSPSYSDNASDDNMSEMSDSEMCCICFEQACTIEVKDCGHQMCAQCTLALCCHNKPNPTTSTVTPPVCPFCRSVISRLVIAQNNNNNNDNSKSQDEVVDREAGDVSSSKLRKHRKSMNLGEESSSFMGLSSIGSFGKITGRGSGRIAADNELMDKPIL >A08p008160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:41131:41395:1 gene:A08p008160.1_BraROA transcript:A08p008160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQCPRRLQVLSSSNKVATLEEEEAAKTQQECCISKKRQIWCVRTLQPNKRLGERGRDQDQAVRRVQGVGRMKRP >A02g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23985458:23987177:-1 gene:A02g508920.1_BraROA transcript:A02g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNITKSETVQKVPVPTVFKGAITRQRAKVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGESSEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQHGPIPNQRAGLQPDDYGEEEEEE >A07p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12785143:12792707:1 gene:A07p021770.1_BraROA transcript:A07p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSAQSGVGGFTFAFLSSSVCCLSDYGAHLRYARFSGLGFGSGVCSAREEFDSDGFSAFSGLSVRRDGLLLFGFGSSLPRSLEFQAATEGDSRFLAASSITEESRGSRLWLPDALLQCLSAFSSPLLVSLVCVSLKATAFEENASVLDWCYISVGTGGGFSSTDISFSVLDSFRRSGGSHQSLAVMVPRLVTLTSQHHLWFRLDFGGGVRVIIVQVRVSRTTRLLFARASLKDDIARETRSSEASYTGNDPGRPRHPWQHGESLFPGLEMSGGSGGPKAQEGELFVAVAVKGMIGDKLGGGGSRRAVRWAVDNLLPKADRFVMIHVIPTITTIPTPTGERLPLKEVEERLVEMYVREVKQEHESVFVPFLKMCKRSSRSKVETLLLEYDDPAKALLRFIFKSGVNSLVMGSFTPSMFTRITKGPGVPLTVLRYAPETCEVYIVCKDRITTKSMNPLINAGPSTGPKAAASARGYLKDGAASFHTVQSQNLSDHRETIEPGTRRSVSAKELRSTVYSQLKTPPSSKDTSTKVSEIVRRRGASDIPHLNYLEFDQTTQPQPNLENIDEGPPATSKKAKKVDIEAEVNRLKKELENTVTKYKQTCEQLTSTKYKVQVLARECSEDAQRMNSAVEKEELQRKTAVLEKERYMKAVKEVETAKALLAREFCQRQIAQVNALRTYLEKKKVIDQLLGTDQRYRKYTVGEIITATEGFSPEKVIGEGGYGKVYHCSLDSTPAAVKAVRLDTPEKKQEFLKEVEVLSQLRHPHLVLLLGACPENGCLVYEYLENGSLEEYIFHQKNKPPLPWFIRFRVVFEVACGLAFLHSSKPEPIVHRDLKPGNILLNRNYVSKIADVGLAKLVTDVAPDNITTYRHSLLAGTLHYIDPEYHRTGTIRPKSDLYAFGIIILQLLTARKPNGLVHAVENAVQKGTLTEMLDKSVTDWPLAETEELARIGLKCAEFRCRDRPDLKEEVIPVLKRLVETANSKIKKEQCNVRAPSHYFCPILRELMEEPEIAADGFTYEKKAILAWFEKHNVSPVTRQKLDHFKLTPNNTLRSAIRDWKSRVRFSNAFIDASC >A06g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21914095:21915409:1 gene:A06g507860.1_BraROA transcript:A06g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKDPSTPPPVIGKIGPYTVFMTPPATPKPPESPAAVSQKAILQPPVLPPPQQFKSVASSSEQDSSVLGFLKNAATKVQNGKDRDKKKSRIEDKKKNCNFDILVCLMVATAHSSVDDHLVRWFGLNQSKYQWALDEYYQDKGSLELCWRRREAEHVRHGQEDRVAEAVVEEEVLVQEEDSYVGTEPGGDNRRREDGGRYRGGGEEEGFSIGGGGGVCWCSGDVDDCWCGGGGGVEPRVAHPPRCP >A10g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18455513:18456525:-1 gene:A10g506480.1_BraROA transcript:A10g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWWDPGDLGVGGVFSSRVGFGLAGSKVVLILRWFGLKRDKGIRERFRNHGILGDLLAILILIKKVSQSREVSGIFVWVFGCEVSQKILFCQSLPGIVMVNFFHRCYALPWSYYIRILGSLLLGNVGVSNNGEGTRKRLKISVLHFDNFALIKTYSKTSVDGIGIEWYVSKDYTVAFYGYGLWWLATWNWCSVTISQVAAFSLELG >A05p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:835491:838466:-1 gene:A05p002470.1_BraROA transcript:A05p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 3 [Source:Projected from Arabidopsis thaliana (AT2G46430) UniProtKB/Swiss-Prot;Acc:Q9SKD7] MEMMNLKRNTFVKFTENEDSWNRPSVTSVIKKTVRRSFEKGSEKIRTFKQQPLTFHSQKKNENKKKIIRVMNPNDSYLQNWNKIFLLLCVVALAFDPLFFFIPVVDPDRFCLKLDKKLEAVACVFRTFIDAFYLVHMLFQFNTGFIAPSSRGFGRGELVQSSKKIAVRYLKSYFIIDVLSILPIPQVVVLAVVPSMSRPASLVTKELLKWAIFCQYVPRIARIYPLFKEVTRTSGLVTETAWAGAALNLFLYMLASHVFGSFWYLISIERKDRCWRETCAKIEGCVHGNLYCSGGEDNSQYLIGSCPLMDPEEIKNSTVFNFGIFAEALQSGVVESMNFPKKFFYCFWWGLRNLSAVGQNLKTSDFEGEIIFAIIICISGLVLFALLIGNMQKYLQSTTVRIEEMRVKRRDAEQWMSHRMLPDDLRKRVREYEQYKWQETRGVEEEALLSSLPKDLRKEIKRHLCLNLLKKVPWFKAMDDRLLDALCARLNTVLYTENSYIVREGEPVEDMVFIMRGKLISTTTYGGQTGFFNIAHLEAGDFCGDLLTWALDPNTSHLPISTRTVQAETEVEGFVLSAEDLKFFSTQYRRLHSKQLRHTYRQDLPIGKECYSVQWQTWAACFIQAAWKRYCRRKLSRVLREEEERLQNTLQTTDDSGGNKLNLGAAIYASRFASHALRNVRANAAARSSMLPHMLSLLPQKPADPEFPMDET >A01p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6627571:6629317:1 gene:A01p013560.1_BraROA transcript:A01p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTEEKPASDGRGGWGFFKIPFRNSSGRGNAASSAATSPFPSSSSSTSSHLHNHHHHHNNHHHHHQLGYNGPHGDGSGQNQHPTPSPSVSSVAKSFLPTKRRLKLDPSEKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEPPENNEKPMDQKSRVKFKIMSLKVKGPMDYVPELFDEQKDDVSKEQILRVIFLDPERPNPALEKLKRQLAEADAAVEERKKPPEETGPKMIGEGLVIDEWKERRERYLAQQQGEGVDSV >A02p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9016140:9017978:1 gene:A02p019560.1_BraROA transcript:A02p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65410) UniProtKB/Swiss-Prot;Acc:Q9AT00] MLSFSSTSLLRPSLHFHASSHQSVPMSRRSISPFRRKLFCCSTATKFDSVSKSGGGGLREPENDSDVLIECRDVYKSFGDKHILKGVSFKGEVYIRGKKRAGLISDEEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSKMSENQISELVTQTLAAVGLKGVENRLPSELSGGMKKRVALARSLIFDTTKEVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHLTGEEDSLGKPGKIASYLVVTHQHSTIQRAVDRLLFLYEGKIVWQGMTHEFTTSTNPIVQQFATGSLDGPIRY >A09p062830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51211335:51213019:-1 gene:A09p062830.1_BraROA transcript:A09p062830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLGGQTRNGASKTKIVCTLGPASRSVEMIEKLLRAGMNVARFNFSHGSHEYHQETRDNLRTAMQNTGILCAVMLDTKGPEIRTGFLKEGKPIELIQGQEITISIDYTIKGDSNVISMSYKKLAEDVNPGDVVLCSDGTISLTVLSCDTYLGLVRCRCENSAVLGERKNVNLPGIVVDLPTLTEKDKEDILQWGVPNKINIIALSFVRKGSDLDEVRDFLGVHAKSIMLVSKVENQGVANVDEIMEKTDAFIVARGDLGMEIPTWKIFRAQKKMIEKANALGKPIVTATQMLESMTKAPRPTRAEATDVANAVLDGTDCVMLSGETAAGAHPRLPGGGVYLDYEAVPLPLSTIESEAASDFLTAWKLNAKAIVVVTKGGYTAELVAKYRPSVPILTVVDQECPEAVASVASRGLVYRGIVPVIATGGSTEEKVRFAVEVAKKKEICKGGDLVVTFRMINGSSVVETLLVD >A04p012070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6088445:6089993:-1 gene:A04p012070.1_BraROA transcript:A04p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYEHCETVGRTMNVVNLDPAAEIFNYPVAMDIRELVSLEDVMEELGLGPNGALMYCMEYLEDSLHDWVDEELENYRDDDYLIFDCPGQIELFTHVPVLKNFVAHLQQKNFNVCVVYLLDSQFITDVTKFISGCMSSLSAMIQLELPHINILSKMDLLQDKSNIDNYLDPEPRTLLAELNQKMGPRYAKLNKALIEMVGEYGMVNFIPLDIRKERSIQYVLSQIDVCIQFGEDADVKIKDEDEDFGDDQ >A04p030930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18341444:18342902:1 gene:A04p030930.1_BraROA transcript:A04p030930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLIPCISPLFLFYFFLAISSTQTNAFHDGQKVFDVRNYGARGDGKTNTYNALAFTKAWNDACQWSGGRSTVYIPAGKFYLDQITFSGPCKRHVTFTIRGTLLAPRILYADKRAEWIAFRYVDNLTVNGGGILDGQGSYSWRHLNDCDKNPNCRALAMNIGFSFVRSARVNGLRSINSKMGHFNLYAVENFNITRVKITAPGDSPNTDGIKIGRSKDMHISNVSIGTGDDCVAILDGTTNLDISNVRCGPGHGISVGSIGRFKEEKSIEGITVRNSVLKGTMNGLRIKTWAKSASENSVSKFLFEDIQMINVRNPIVIDQQYCPHNLCDSPGKYNSHVQIKDVKYTSIWGTSATQAALMLQCSKAFPCQGVELSDINLVYKGRNGSVTATCENVGGWVYGKIVPGDCRMR >A04p027890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16835059:16836731:-1 gene:A04p027890.1_BraROA transcript:A04p027890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylsterol monooxygenase 2-1 [Source:Projected from Arabidopsis thaliana (AT2G29390) UniProtKB/Swiss-Prot;Acc:Q9ZW22] MDSLVESGWQVYTMLLSKCAYACICLVRLILVCGVVHRIGLLWPLRACCFRSGSISNIITALEFLLEALVYVWHMNFVDFQLACIGSFIVHETVFFLSGLPYIFLERTGLLSTYKIQTKHNTPEAQGKCIAWLLFYHSCVNFPLMLFSYRVFKFMGMRSSFPLPSWKVVSAQILFFFIIEDFVFYWGHRILHTKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATFIGPALTGPHLITLWLWLVLRVIETVEAHCGYHFPWSPSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKALKET >A06p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23919139:23921836:-1 gene:A06p044550.1_BraROA transcript:A06p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEQLIGYIKDYKRVFDLDMFPTHLGTPEHPARTCTLEQSTGAICWGAAYCFRGGPEKEKLAMEYLERRECEYDSKTVVEFYTVSVTARSPGVRPGLSKERYGYSCAHENGKGGMSNKLLSEFCVPLLCSFTSTPDIVSNRYYLGPAPLEEMAMQIVTASGPCGNNREYLFKLEKAMHDIEHEEEFVIELANAVRKQLDLPKEVKALLKPVVSTLQRVFTS >A09p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18761533:18763568:1 gene:A09p030790.1_BraROA transcript:A09p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIVRFYTKSKMPRLRWASDLHHYFVYVVNQLGGERKATPKKIVQAMGVKSLTLSHVKSHLQMYRNKKRRDSVQAERRMRQEMRWRQSQQHLQIYERLRDATEFMQNQRRLSDNKEKTIAFLKPSNKTMEVGHADDGVVAAGYGANVRLDSTGVLKGEEKLSLGLTLGLNY >A04p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19237803:19240425:1 gene:A04p033050.1_BraROA transcript:A04p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGHLVLWSELIDFNSMVLAAESRIKEEKEDTNVGPPNIPRHPEVLARWDPAKARRPDIAEAPVFYPSLEEFEDTVAYIEKIRPSAEPYGICRIVPPLKWSPPFRLREKSIWEGIKFPTRVQDVELLQNRGPVKQKQKKKPKVRKRKRSSSSKRPPSSVPASVSSPEEEEAFGFNSGPDFTLEEFEKYARCFKESYFESKEGNAPSVEETEGEYWRIVEQATDDVEVYYGADLESKVLGSGFERGETSGWNLNNLPRLSGSLLSFERGDISGVLVPWVYVGMCFSTFCWHVEDHHLYSINYNHFGEPKVWYGVPGTHATALEKAMRKHLPDLFEETPDLLHGLVTQFSPSILKDEGVPVYRAVQRAGEYVLTFPRAYHAGFNSGFNCAEAVNVATVDWLSHGQNAVELLSDENKKTSVSHDKLLLGAAYEAVRSLSGGGGESGKSLAWRSFCGKNGTLTKAVETRLRMEEGRIKALGSGFNLIKMEKDFDSNCERDCVKCCYDLHLTASGCNKCSPGEYACTKHANELCSCEGNDRFVLLRHTVDELRSLVRALEGEAEHLKTWASKVKEPRGFDLNLEYQVDGEFDNTSDARHDASMLNFAAYVQSSTGTIIRN >A05p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:595920:599462:1 gene:A05p002030.1_BraROA transcript:A05p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSLTSFVALPSPIKPSPSSRRPSVRCRLTESTAFEVVSGESDRAIASSLTELQNGGGWKVEPEQLPPPTAEDGGEGEAEERISSVHVPREKYINVSKSDLVNAVVTTLLDSQDGDADIFLLLATCLDSILHAEHKKVLEQMRNDFVATQSLEKVNIEEESSTSGREIDSDEEVNSKAEPESVVNGYEGLSFPLADGFDIWNFLISTGKQAKRRSAESVSAATRFQRSFIQLLDSAGFEELSARDLALTSALNTDYLLTLPVYVDWNKTSESNAIVFRRGYATEKQKGLLLVEKLDYIQSVVLRGIFSTISKPLRKVGKLINKALSEAAQTQEIQDLSERVKVWLKELSLFKESYLDLAQTSDKFLEGESLSDSVLPMRLAAKRAVSRYEGLLTPVGPRERLFRKLLAWIGFISPGYETPFQLPNDSNASEPYLRPISLSRMTLGDIWKPASKKACGNDMWKRIKTSISILLSPSTLQEPAFEELILLYTTDAGEKGDKNEDETRSSLQLEIFERIPIPDLPVIFPHRKLYFRIIDTVRLDIASILGLTAYFVNYKFENISSSPSAFFLDVIAVTALVIYATRVVLGYKQTWDRYQYKESILTYAIILQAGKNQVLHNAMSYQGVQDRCERFLYDNFKIKVEMRVEKAISTLVRLGLVTETLIDGKTKLQAVPCPQAYVSLKEIWNGLLG >A03p003980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1711463:1712729:1 gene:A03p003980.1_BraROA transcript:A03p003980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPSSQILLRSRNQHNVQPFLLPRSSPKTPFFVSSSPSLRQHSTPTSASKNPSETFTPATMTENKKQPEKKHLSEEEEAEEEVEEDMLWIQEKALNLVEFTGTVAQAIPGPRVGDTKLPWMLAVPLTYAVTTLVTTAVKTVNKLSSPKAQRKKLVNQNAMICKSIDELLQREGTVSSFELKALEEKTEFNMEEILRKYIHYALNEKPFNPDLVASLIHLRRASGLNESQIPGVLNEISRSIVKEKGPVVMNKQGFTEKGFKRKLAVQTLFGKIYYLSELPDFCLKDNSLVVKEIFGVT >A05g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30738907:30739825:1 gene:A05g510080.1_BraROA transcript:A05g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTCSSSRPQHLLFFSFLFFFIPFLSLAQPITVNIDSSIWNFPSNTTCLSPQQSNFSRSLFSNNLNRLVSLIPSRHSNTYNFYNFLVGDQELVEAIGLCNRVLTRVDCLNCISQAAVNLTTTYCPAHREAYVRATKCMFRYSDKPILGKLETSPVLEAAKPNDAAGDKDEFIRLQSELLNRLRQEAAAGGVKRKYAQGSGTGPKPNTTFFAAVQCTPDLSEKDCNNCLNYGFGNATKGRLGLRWFCPSCSFQIETNLRFFLIESEYESDLPRNPRPEQKNKVLYI >A02p015900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7021678:7024458:-1 gene:A02p015900.1_BraROA transcript:A02p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02020) UniProtKB/Swiss-Prot;Acc:Q9S702] MAASVQLCGVRTSGLAFNSKSIEFGSKGLNLLAPVFTRDINHSCRKNRTLRVTCEARSAELLERKDTETFKLNRTEIKLTCVMKFGGSSVASAERMKEVANLILGFPDEKPVVVLSAMGKTTNNLLMAGEKAVCCGVTNINTIEELSYIKELHIRTAHELGVGTAVIAKHLEGLEQLLNGIAMMKELTLRTRDYLVSFGECMSTRLFAAYLNKIGHKARQYDAFEMGIITTDNFTNADVLEATYPAVSKRLLGDWTKEHAIPVVTGFLGKGWRSCAVTTLGRGGSDLTATTIGKALGLREVQVWKDVDGVLTCDPNIYRRAQPVPHLTFDEAAQLAYFGAQVLHPLSMRPASEGNIPVRVKNSYNPNAPGTLITRSRDMSKAVLTSIVLKRNVTMLDITSNRMLGQYGFLSKVLSTFEKMGIPVDVVATSEVSVSLTLDPSKFCSKELIQQASFIWEINHVVEELEKIATVNLLQHRSIISLIGNFQRSSSFILEKGFRVLRTNGINVQMISQGASKVNISLIVNDDEAEHCVKALHSAFFETGTSKAVPQIGRLATTPLPCRENLLVQPDLRDLQVV >A01p022590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11121924:11122424:1 gene:A01p022590.1_BraROA transcript:A01p022590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKREGKRTREEQEHVMAMKDPPPAFNACFTLFTHSINFLLTTNTPSLSSLLLHSINISYHLDLISQYSHSRNREVMPCSVRSGFKMVFCFVFLLLSSNIGCANARRLGLHKHHHKIHHKVASLVQDVVNGGGRKRLLGGVETGGEVVVMDYPQPHRKPPIHNEKA >A09p053410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46383659:46386132:1 gene:A09p053410.1_BraROA transcript:A09p053410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEYESLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGMIFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCVIRGERDGILVPVPQYPLYSATISLLGGSLVPYYLDESENWGLDVNNLRQSVAQARSQGISVRAMVIINPGNPTGQCLSEANLREILRFCHSEKLVLLGDEVYQQNIYQDERPFISSKKVLMDMGSPFSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNFPPRVVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISYDQFARESKGILESLRRRAKIMTDGFNSCKNVVCNFTEGAMYSFPQIKLPPGALQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMDSFKKFNDEFMTQYENSFGYSRM >A09p078470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58064933:58075294:-1 gene:A09p078470.1_BraROA transcript:A09p078470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTRRQVFFVLSVLAFTMPFSAGVTNLRDVSAINNLYITLGAPSLSHWLAFGGDPCGEKWQGVVCDSSNITEIRIPGMKVGGGLSDTLADFSSIQLMDFSNNHISGTIPQALPSTIRNLSLSSNRFTGNIPFTLSFLSDLSELSLGNNLLSGEIPDYFQQLSKLTKLDLSSNVLEGRLPPSMGDLAVLKILYLQDNKLIGTLDVIEDLFLTDLNVANNLFSGPIPPNLLKVPHFQKDGTPFNTSIITPPPPAVVPPPPTTPHRSPPPVTHIPPGSSVPPAPFAPFVPLQPQPPPPSPPLVWSPPSDNGGGDPWNSVSGQPTLQISPPSGSGSGKFWSTQRIILVISSVAVIVLVSGLCVTLWRCYRNKKYNRYIPEARKDYQRPYFNKPPSQPTTPFMAKVSREPMVKPYDGYGAGDRLYGYPTPPRAEESRRAMPPTSYYNVNITQKPQQQPPRRFQSNDAAATKRTAHFPPGLNTSSSATVFTVASLQQYTNSFSEEHIIGEGSLGNVYKAVFPHGKFLAVRKLSNTINRTQSDGDFLNLVSNVLKLKRGNILEFLGYCNEYGQRLLVYEYCPNGSLQDALHLDRKLNKKLTWNVRMNIALGAAKALQFLHEVCQPPVVHQNFKSSKVLLDGKLSVRVADSGLAYMLPPRPTSQMAGYAAPEIEYGSYTCQSDVYSLGVVMLELLTGSRPFDRTRRRDQTLAQWAIPRLHDIDALTRMADPSLHGAYSVKSLSRFADIISRSLQMEPGFRPPVSEIVQDLQQEFLAHSANVNCLTIGKKTSRFLLTGGDDCKVNLWSIGKSTSLTSLCGHTSPIDSVTFNSEEALVLAGASSGVIKLWNLQEAKVVRGFTGHISNCSALEFHPFGQYLASGSSDANLKIWDIRKKGCIQTYKGHTRGISTVKFTPDGRWLVSGGLDNVVKIWDITAGKLLHEFKFHDGPIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEATGVRSIAFHPDGRTLFCGLDDSLKVYSWEPVICRDSVEMGWSTLGDLCINENKFVGCSYYRNSVGIWVSDISKLEPYGAGSEDENECMVKRFSVLDEQASERKGSGSRGSSSPDYETKEIKNIYVDWAIRASEKQNAYDKSSRMHSVVDSDSGEESSHSRSESVASSKTNPGMMLRPAHVRKTLAKFEESASVQYGTRKKSSQDIEEETQTRNAEDSTIKGIMYKFEKALSSEPPTDESNRLLHKPPRVQRSSYNNNHNESRRAMSVDSATLNDSKGGLEYSGRNVEDTNDQHSSTKTERVVSPEKPGDEQTIKVVSGRTRSLVERFERGEKITHTEEADNTNAVEQDPDKTSRQTGEAPVVVSTRRARSTPARVMPIVLNMKSDEPPSTQPARTSSVPVILNQSTNDEPFVSLTQSRTSPARTLPLTLNQATHIVLSRRPRRTSSARVRPMSLSQAVSMTSHECSVTSTRPDRTSPARVTQMLATPPTVIDQVADMAQDETRVSSQTDSDITENLMQTHEEFLSTIQSRLTKLQIVRHFWERNDVKGAIGALRKLTDQSVQADVISILTDKIEILTLDMFSQLVPVLTSLLGSRTERPVNVSLDMLLKLVAVFGTVIRLTVTAPRIVGVDLHADERIQICQVCSAGLHKIQRILPILARRGGLITRKAQELNLVLQQT >A04p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:68616:72802:1 gene:A04p001330.1_BraROA transcript:A04p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAKAPNQMHLGQSGQCGQYGSKGAGDEGAVVVPESKQRWLSIKVDMMLVASSSKTGSGSSSTSKIVALPQKPVETKQGYSDAPVAKQLKIRTITTFFTRHQTFNWFFISFIKYRFTFGFLTDGKGWLSYWGTCGCALLLALSDVLEGFVHTLHKVGKAEIQYEGFDRLVVSFEEVPIRSCGQYVRYSPELSSDDLSQDWCVRMRFRLDCSSSNGKQDGEDKV >A08g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6377713:6380404:-1 gene:A08g503580.1_BraROA transcript:A08g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWVDSIWQDHNLDTWYQGFYAARLRFYLGIKKGICGSLWKAKIWFEWQRVIFFIKTKSPTDSVIVFNNHRLGSNRGWGLWLFWEMKLDSINLQRYERGDEYLLNEDMEIWERYNMMIRASPWCIILSLCYIKGVVINGKTGQHNNERDSKWVKAGERGSRRPPNNYGNYRGPGEGSRFKTSSREDVRNGATDVGTAAQESHNRLSSEQSIGDQGQRVTPQKGREEGEIKSNGEAAGASVEFQLELARMQAEGTEVIMEAIDEERGLLTVQGMIEAQDDLAEDIGMEMEALNATMLEEGEEAEKAAEALTEHAHIQEEEDQVIGDVNFNKESTVGNMVARQGHRKRLFKPTISTAWSNKMRMASALFFPRKKVGAKVGTRNGDGNKPPKNKGPSNPKQGMGVTIMVLALLFSIMIWNKNGLLSSRCPPDVYMFVRHGREILFILEGKYGRVWRSSIDLFFTAFTSFGIREVIGVSWGVLDVNKGIWMISLGLGWFDYESVLLSWQNVRNSIYLWLLDQTRRLKMRGVWWSVDSANGILCYGIIWCLLSCYNEKH >A06p020760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10217556:10222470:-1 gene:A06p020760.1_BraROA transcript:A06p020760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRNPSPIKAFLKITGSSQLLFASLSDAQLTPTFYDTSCPNVTNIVRDTIVDELRSDPRIAASILRLHFHDCFVNGCDASILLDNSTSFRTEKDAGGETKSARGFPVIDTMKAAVESACPSTVSCADMLTIAAQQSVTLAGGPSWRVPLGRRDSLQAFFDLANVSLPPPFLTLPQLKAMFINVGLDRPSDLVALSGGHTFGKNQCLFIMDRLYNFNKTGLPDPTFNTTYLQTVRGLCPLNGNLRALVDLDLCTPTVFDNEYYVNLKEQKGLIQTDQELFSSSNATDTIPLVKAYADDTQTFFNAFVEAMNRMGNIKTLTGTEGEIRLNCRVVNSNSRLHDAVEIVDFVSM >A01p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2083263:2083978:1 gene:A01p004830.1_BraROA transcript:A01p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSEWSSGCESGWTLYLDHSVSSSPSSSWLRDSNGFDNRRRSKDSWSQNYVHQEEEEDLSMISDASSGPRNICEEGSVKILNNVSPKIQSKRENKRRDYEKMNSLLDDTASSHMLEKSSVGGNKIEQTFPESTLDYSQGFSATHFQVSLSLFTQ >A07p031970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17618790:17620684:-1 gene:A07p031970.1_BraROA transcript:A07p031970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLGFYILCLLLGQDLADDVTQNLCFANRLSDFLPPPYSNISDSMLCTPLWNTFVLRYSENRENVMTIILSALYTTGWVGVGFSRDGRMVGSSAMVGWISKKGHAKIKQYYLQGTERDQVVPDQGELQLQKVPPVVALHGAMIYLAFQVKFTVKVPRRAVILAFSSAYPSKLGRLSKHDDKITVIVDFSKANGVTSSIQTTASSEKTRHGVIAILGWGFLLPLGAILARYLRHRDPLWYYLHICIQFTGFIFGLAAVILGIQLYNRIQPDIPAHRGIGIFLLILSILQVLAFFARPHKDTKMRRYWSWYHHWIGRVSLSFGAVNIVLGIRMANSEEDGWRIGYGFVLSVTLLAFLVLEIFRIRGSIGSPSSHTPPSFETHPSSTSV >A07p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7904032:7904899:-1 gene:A07p012800.1_BraROA transcript:A07p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLRLTLKDFSEDFRNTSRQEFLEDFWKTLRRLPKKYSNVFYARKRLTKSSGSLQEVFRKSSGSLPKFSAQSYTNCGYKTFGRLFETLGRLLADFLRSLLMYFMLEDFSRSLQEAFQSLMPKVVQRNDVKWRPSLSMLRDDI >A01p017240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8521587:8521994:-1 gene:A01p017240.1_BraROA transcript:A01p017240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >A09p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:287051:289759:-1 gene:A09p000490.1_BraROA transcript:A09p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESLLACAAEKPKPCRESLVSKGMPTRKLEITAISAEGLLEGRKPVKKNAFVAFEIAGNHCSGAIRTRIDEVGGDYPVWEDKLETEFALPEEKNKESFMYVGVYCQVSGKDKHVGTARVPIKDFTGGYAPEGFLHCLSYRLWDEYGKRNGIVNFSARIVKAGSSKIGAFAGLDQHYARCYWEFSKARLRYKVGRIEQLETSDQAKARGANTIIPRKLVQVLTPSTASEGNLGPDPVHLLAIKEVKMELEKCSTVQKWPELWPKRLVSVKPQSVSADTKTSKKDTEKWSEIVSDVYLERLAVNWSSVRNVMDMNAGFGGQLGSDSESRVNGSSCVERIEFVNPLYTLSHSLYKERYISSVVPQAESVSAHSLSLQFPTTQEVSLLLLHSDIFLHISLTSDSNFSTSPSS >A07p049250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26183115:26186041:-1 gene:A07p049250.1_BraROA transcript:A07p049250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTEMEEQDVASEDKTLTLDSDLHLPDAPIADVDQSEKKISSDGGQEESSSTPQVAVESVKKWKTWLLTDSEVDEAGRPEDQQAFIKEVEAYNKENFLDFKAPKFYGQPLNCLKLWRAVIKLGGYDVVTASKLWRQVGESFNPPKYGSTCTTVSWTFRIFYEKPSNNLYFMMILYSYFQAQSLLEYEKHLRQNGDLNLPGLALLPPAGLEKEAISHQGSGSGRARRDAAARAMQGWHSQRLLESGDVTEPIVKDKGLSSTPKQKNLKNIGVHKQKTPTGMDLVLSHETDKQSIAEVIDVGPPADWVKITVRETKDCFEIFALIPGLLREEVRVQSDPAGRLVIAGQPEQLDNPWGITPFKKVVNFPARIDPLHTSAVVSLHGRLFVRVPFEQ >SC122g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:466009:468473:1 gene:SC122g500230.1_BraROA transcript:SC122g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSLRSDRALARARSLRSDRAGRSLGRYVATELWLELGRYKCKDVEQEKDCEKRVFVRESLRRARRSEDGVRASLGASCRERGMVGCSLWLVDPSQGEAFPVLVHRGVEEVDASRTTDEFLATMRSFYHIPDVVEFRVPCRRECANNPPEGYFTCYEAFIVRCRLWFPIPEILVQVLDRFEVAISQLTPLAIQHLIGILNLSYEHGLSVSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARMQGEMLERDAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16642490:16643421:-1 gene:A01g505620.1_BraROA transcript:A01g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRLIVRSTVQECGLARFTRIDGSSQNRLYGSPTPFLVAIYYTRVWTRQIYSVLHHHCVFITLNCLKHQRFFTESALRSSYPIPRCRYYCTRVWIHLIFCYYITIASPSRYSVSSIDDSSQSRVDSPNLFDTTSSLRFHQITPSQALTVLDIVSYVTRSRELLSSTKIHRLFTFKTHLFGFSTSLSTSLCSFEYML >A06p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8843183:8846503:1 gene:A06p018150.1_BraROA transcript:A06p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSAFEERLQYPTARRDESIVEEYHGVRIADPYRWLEDPEAEEVKDFVEKQVNLSDSVLKTCETKEKLHEKITKLIDHPRYDTPFKRGNSYFYFHNTGLQAQSVLYIQDDLDSEAEVLLDPNTLSDDGTVSLNSLAVSEDGKYMAYGLCSSGSDWVTIKVMKIEDKTVEPDTLSWVKFSGITWTHNGLGFFYSRYPAPQEGEKIDAGTETNSNLYHELYYHFLGTEQSEDVLCWRDQDNPKHMFGSKVTDDGKYLIMTIEEGCDPVNKVYHCDLSSLPKGLEGYRGSKSLLPFIKLIDTFEAQYIAIANDETLFTFFTNKDAPKYKLVRVDLKEPSTWTDVIPEHEKDVLSTACVVNGNQLVVSYMSDVKHILQIRDLKYGSLLHTLPVDIGSVGGVFARRKDTTFFFRFTSFLTPGVIYKCDLSNESPLVTVFREIDVPGFDRTAFQVTQVFYPSKDGTKIPMFIVARKEIELDGSHPCLLYAYGGFSASMTPFFSATRIVLSRHLGAVFCFANIRGGGEYGEEWHKAGALGNKQNCFDDFIAGAEYLVSAGYTQPRKLCIEGSSNGGILIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSEFGCSDKEEEFHWLIKYSPLHNVKRPWEQKRDGFFQYPSTMLLTADHDDRVVPLHTFKLLATMQYELGLSLENTPQTNPIIARIEVKAGHGAGRPTQKIIDEAADRYGFMAKMVDATWLD >A08p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16332382:16334636:-1 gene:A08p025320.1_BraROA transcript:A08p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTELSTTRFRFKPDLDMRLFLDRFRKVYFTMDPESVIMVADGSEPALVNSGLTMESVGIEVNGFASGETLDATSEIQNENSGDSSTLDAIEHSKEVAAEGTQVENVDEPKCIKGQKAQRKLKNEKISGGKSAPSSVHIKKKKERNGADAKVAASNGSVAPGAHTTKSLKSTPLNGREAQVTEHGKQEAALAESTAGDKVKAKAQKKQVHETSEDDTQSSSNSPQAEDGKPRKVGALPNYGFSFKCDQRAEKRREFYVKLEEKTHAKEEEINSMQAKSKETQEAELRKLRKSLNFKATPMPSFYQEPQPPKTELKKIPPTRPKSPKLGRKKTDSEETQTPRLGRLSLDEKASKDNSASKGIVPTVDHKKQPLRKSLPRLPSQKTALPDGKPAATSAKVKPERKKPEKDAETSHLTEEEAQVTVSLRMDEERAETIEVSEVVAIEH >A09g515070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44767922:44774642:-1 gene:A09g515070.1_BraROA transcript:A09g515070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHYGEVHSHLLSSTHAPLQVIQGETAIPGLHQVNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISKKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRAAPSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPACHLLSSTHAPLQVIQGETAIPGLHQSSESGVSVLGRSCIPRATSSFRESYLA >A01p056200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33031264:33037464:1 gene:A01p056200.1_BraROA transcript:A01p056200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATVDVGIGLMLSLLENETLLMSGVHSEIEMKKEVLIIKSFLEDTHKQDGNGSTITTATTTQLFPTFAANTKDVAYQVSFPQVYVDYTLHSSEARKGECLDSFHSESMKRGVKTEEVADGYFGRSKVFKMHDVIKEIALSISKAERLCGKEMRSGTVSRTNLPSILVCTKFELPPILRLLRSLDLEGSGINKLPDFWLTLFNLKYLNLSKTKVKELLRDFHRLINLETLNTKHSKRGSQLQKNAIHYIQTLPKLVWLSLYNAHMGTRLCFDEGFENLKILDKVQMQHLTEVVIEDGVMFGLQKLYVKARRVLESVQKGIENLVNLQELHLSHVSDQLVDLWGRGCSQVEGSRTFKRHPRDREIKIVSSAGEETERERKNTMPELSPAKLDRASSSSSSSSSDRTSVKIEEIEGGRCSAVVNGSEEVESKPDPAVASIADDAVSESSGKKLKLLNRIATVKHDGTVEFDVPADAIPQPIPVDREEESSRNGVCPDESIDGEEYLQYIPPMQIVMLIVGTRGDVQPFVAIAKRLQEYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAECPSEIQIQRKQMKDIINSLLPACKEPDPDSGNSFKADAIIANPPAYGHTHVAEALRIPIHVFFTMPWTPTSEFPHPLSRVKQPAGYRLSYHIVDSLIWLGIRDMIKDLRKKKLKLRPVSYLSGTQGSGSNIPHGYMWSPNLVPKPKDWGPQIDVVGFCFLDLASNYEPPAELVEWLEAGDKPIYIGFGSLPVQEPEKMTEIIVEALERTKQRGIINKGWGGLGNLKEPKDFVYLLDNVPHDWLFPRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPAPIPVDEFSLHKLEDAINFMLDEKVKSSAETLAKEMKDEDGVAGAVKAFFKHLPSTQPISPDQIPEPSGLLSFRR >A05p021030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9946830:9951001:-1 gene:A05p021030.1_BraROA transcript:A05p021030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRQGFRRNRFSKTSRCITNRYPVSDHRCSNDERRQFRRFRSSIVVLPRSPSESQVLSSLRYILILEVDMRCNACWRELQGRAISTTCGTEDASKILSNDGACPICDQVLSKSLMKPVDTNPNEEWMAMAGISPQILMKSAYRSVMFYISQRDLEMQYKMNRVVAQCRQKCEGMQANFNEKMEQDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKGTAIQPANNFYPRQQEPEFFSNPPFSMMENREPTPKGSKDEIWPARQNNSNQGPFDISNDLTAIPTDLGNRRAGGGHQTFGGGGNSNPQSTLRNLILSPIKRSQVSRSRPQLFTL >A04p040360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22780135:22781748:-1 gene:A04p040360.1_BraROA transcript:A04p040360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKAVRKSNVTTIHSRKLCVSKMSRAQDPPRGFFPFGNPFRMLSPKGSDLSPWLLSLLNNFELLLAERLKKLMPKSKSDILTLSWMKLAMESLCETHTNINTLITDLQLPVSDWEEKWVDVYLDVSVKLLDLCNAFSSELTRLNQGDLYLKCVLHSLQSGSGEKKYLQARSSLDSWRQHVNANNARIENCRAVLDSLVKSLSLPKVKNSDKGKVLMRAFYGVKVQTVYICSVFTAAWSDSTSDLFDLPVSDKPLWAKVFADVQSVVNGEIREMLSSGRSTILKELEAVDASVEKLYPMVQDGVDPVEVESLKELGTQAEKLSQGLDQLLEEVDSFFKMTLSGRDVLLCNLRSSDSFSGNAVGEI >A08p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20472131:20473388:1 gene:A08p034380.1_BraROA transcript:A08p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLDRWEKDPFFAAAEEVQESADRMESAYRSWIKRGSSNLDEFQRAVKSSYDNRLNDETRDRHREFTFVMETQVAKIEKSLKEADDGKGTPRWVRLDEEDRNELALFLTGPSESEKKQGHRRVASAAPEIGAWNIAVSEDGLLQKSSGEPPVRPPRKVPSVSGFLNFMEPGSKNCVRKWKALDRQGDSDAVLLLPIQANQVRSPNKSCMECEEDCYEKQLHGWYGAIQRQLQRSQYRMRYSKTVQATIWILLLLFLIVVVATHAM >A05p041520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25219777:25224793:-1 gene:A05p041520.1_BraROA transcript:A05p041520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHASNLWVLLGLGIAGILLAAKKLKKTIREDFGAFIDKLLLLPPPQPAPPKAPHPLTGLSFAISDVFDVTGYVTGFGHPDWVRTHEAASSTCPVVSTLVEGGATCVGKTVVDELSFSISGENKHYESPTNPAAHDRIPGGACSGAAVAVATNAVDFALGIDTIGGVRVPAGYCGVLGFKSSHGAISNTGIIPVSSSLDSVGWFARDPNTLRRVGHVLLHLPFATQRNPRQIIVADDYFQLLKIPVDRITQVVTKSAEKLFGKQSLKHQSLENYFESKVPSLKEFTRTKAIANTKVPTSRLLANVMQLLQRHEFLQNHGDWINTVKPAIGPVISSQVCDNAELTNEEIENLNTIRNQTRVAINSLLKDDGVLVIPTMPTLPPKLGSKEIISEDYQNRASSLLSIASISGCCQVTVPLGHHEKCPVSVSFIARHGGDRFLLDTVQTMYASLQENSSIVADPKSSKKTISQEESAEIAKEKGNQAFKEKQWQKAIGLYSEAIKLSENNATYYSNRAAAYLEIGSFLLAEEDCTKAITLDKKNVKAYLRRGTAREMLGEYKEAMDDFRHALVLEPNNKRASLSAERLRKAFYLDTLCESLGRKWNQNITVVTEEMTTTGSLMNNLLGLLRIRIKRGVNLAVRDINSSDPYVVVKMGKQKLKTRVINKDVNPEWNEDLTLSVTDPTLTVLLTVYDHDMFSKDDKMGDAEFEIKPYIEALKMHLDGLPSGTIVTTVQPCRRNCLAEESKVTWVDGKLVQDLVLRLRHVECGEVEAQLQWIDLPGSKGL >A05p037730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21434162:21437361:1 gene:A05p037730.1_BraROA transcript:A05p037730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 4 [Source:Projected from Arabidopsis thaliana (AT3G20660) UniProtKB/Swiss-Prot;Acc:Q9LHQ6] MESPEDNNNNVRQPLLDSPAKKAADEVERLCIDDMLQRYCGEFGRWQMKHFVLTCLAWALEAFHTMVMIFADQEPNWRCVGSDCPVGSSYCDMDPGSWEWTEGKGSSTVSEWGLVCGEKYKIGLVQALFFAGCMIGAGVFGHLSDSKLGRKGSLTVVCIINAIFGIATAFSPNYWVYVALRFLTGFSTGGVGLTAFVLATEPVGPSKRGVAGMSTFYFFSTGIALLSGIAYVFRSWRELFIVSSLPSLFFLLIVIPFISESPRWYLVRGRVDEAMELMHTIAKTNGRHIPAGVTLSLDDEEDKEGERNNVVEGSLKDVLNSPLTRIRLILAIAISFTVSIVYYGLSLNVGNLKTNLYLNVFLNAVTEMPAFAITAVLLDRYGRKPLTIGTQWFSCVFCITGFVVGGVGPWKTVRMVSGVLGIFGMAGTYNLLFIYIAELFPTVVRNAALGCATQAAQMGAILAPFVVVLGEGLPFGVFAVCGLVGGGLAFYLPETLNKPMYDTMFGMHEAESNRGRDQVC >A09p043330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35364402:35366197:1 gene:A09p043330.1_BraROA transcript:A09p043330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 11 [Source:Projected from Arabidopsis thaliana (AT1G32790) UniProtKB/TrEMBL;Acc:F4IEC7] MAVVESGVAADSGAVVQPTSQDSDDQNHQSSRIEVGEEEGLYSKIGAHPGRSEGSDGGESYKREMRELQELFSKLNPMAAEFVPPSLSKQPNAAFFSNNGFPAAGNAPLEVNAYGHDTGGFRRKKSFGQGKRRMNARTSMAQRDDVIRRTVYVSDLDQQVTEEQLAGLFVNCGQVVDCRICGDPNSVLRFAFIEFTDEEGAMTALNLSGTMLGFYPVKVLPSKTAIAPVNPTFLPRTEDEREMCARTIYCTNIDKKVTQSDVKIFFESFCGEVLRLRLLGDYQHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRSPRHPMH >A02g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16454535:16454811:1 gene:A02g505550.1_BraROA transcript:A02g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASLDAFASAGRGLERRMQDCGGKREKFGCSSTTCDALCKNLTRQNQTHFFNKCFTWRKAIH >A05p020370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9613421:9614234:-1 gene:A05p020370.1_BraROA transcript:A05p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDDTVAGPAPESGLGKLRKLHSLSTVRSPLSSITKANNNVCGLGKLKMDPGLVPDSPTGSSINPGTPLTPGTPCDNFGPFSAEKVPSAGEADAASLTSYEWIVINACALDR >A09g516440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48616680:48620967:1 gene:A09g516440.1_BraROA transcript:A09g516440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRFETSELQASFMMSTPLWSNSWILCNAADSAGNIQIQHVAGIMYVALPKVEMNQPGSLVDVEVVGDGFFSALSSSLPSGEPPLMVNGAIRDLFVSSGRLIQTQITQGLEVEETKQVVITGHSTGGALAALTALWLLSQPSPPPFRLLCISFGSPLLGNKSLSSSVTRSRLAHKFCHVVSVHDHVPRGNDDRFWPFGSYLFCSDSGGLCLDNADSVRGMFRILNSTGTPNIEEHQRYGHYVSTLSHQFLISRTFRGGRISDNSYEAGVALAVESLGFSNDQPSGVSVKECIETATTISRAPILRSSELAIELGNVVPYRLEIQWYKDSCEASPKKLGYYDNFKNFSNQRELRVNMSRAKLAKFWDGVFEMVEKNELPFDFHLGKKWVYASQFYQLLAEPLDIAYFYKYTYSRTTGHYMKTGNRPKRYEVIDKWWKARGEPHKEKRARTRYASTTQDTCFWAKLEEAKECLDDLTCESSDAQKQTLLRKKIYEFERYSATLVKMKEVSEDVLATNSSYNVWVKKLSEFKSKRSNGVIDESDAMET >A06p050820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26725117:26728060:-1 gene:A06p050820.1_BraROA transcript:A06p050820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSGTPGLQYHNIPEQPASPPVKRQKRQCFGDSTTPGEFPLAANPSIVLHVLTECRLDPRDLANLEATCSFFSQPANFAPDCSLSLSELAALDMCNKRVIFKPMNEEEREEMKRRCGGSWKLVLRFLLAGEACCRREKSQAVAGPGHSIAVTSKGEVYTFGYNNSGQLGHGHTDEEARILPVRSLQGIRIIQAAAGAGRTMLISDSGNVYSCGKDSFGEAEYGGQGSKEVTTPQLVTSLKNIFVVQAAIGNFFTAVLSREGKVYTFSWGNDGRLGHQTEANDVEPRPLLGALENVPVVQIAAGYCYLLALACLPNGSMSVYSVGCGLGGKLGHGSKTDEKFPRVIEQFQALNIQPRVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEGLSHVKAVHVATGDYTTFVVSEDGDVYSFGCGESASLGHHIAADEQGNRNGNVLSPAVVTSLKQVKEKMVQISLTNSIYWNAHTFALSESGKLFAFGAGDKGQLGAELGRDQTERSDKKAGKLRFTMLWGHFGRVCTKGGNITLLLLLFVAVAVSAVDKPSTITSGAPATAPTNVATAATPGAVAGSMGSDSSNANYPPPQLPSGNDATATVGFAYFVAAAMVGSFFFLRDLI >A04p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3115855:3120686:1 gene:A04p005980.1_BraROA transcript:A04p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVAAESQEISLGGGCESPTLGELLKDLEDGHRKKDSGEDASVHHVLDLASPETRPVPFLLSFNNLCYDVKGKAASVKTLLNDVSGEVCDGDILAVLGASGAGKSTLIDALAGRVSSLRGTVTLNGEKLLKSQLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVEALINQLGLRNAADTIIGNEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLKRIARSGSMVIMSIHQPSARIIDLLDRLIILSRGKSVFSGSPTSLPQFLSDFGHPVPGKENITEFALDLVRELEGSTKGTEELVEFNEKWQQNQSPRATPMTTPYKALSLKESITTSVSRGKLVSGSTSSNPISMETVSYANTPLVETYILSKRYMKNWSRTPELIITRLATVLVTGLILATIYWRLDNTPRGAQERIAFFSFAMSTMFYTCADNLPVFIHERYIFLRETTHNAYRTYSYVISHVLVSLPQLLALSIAFAVTTFWTVGLSGGLESFLYYCLIIYAAFWSGSSFVTFISGLIPNVMISFMVTISYLSYCLLMGGFFINRDRIPGYWIWFHYISLMKYPYEAVLINEFDDPSRCFVRGVQVFDGTLFAKVPDAIKVKMFDTLGNSLGTKITESTCLRTGPDLLLQQGISQLSKWDCLWITFAWGIFFRILFYLSLLFGNKNKRTSVC >A02p053520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32556653:32569245:-1 gene:A02p053520.1_BraROA transcript:A02p053520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSLRAGFPTCSVCLGGRVSHGKDSEHGIRALYTHRVTTSSPFSIPTSLGRGKRLTTKEVSILRLWRNYNQESGNTIEMVFVFITTFFKTQNHTWSIEVQILRLWRNYNQESGNTIEMGQNFMLQFVNSSSKNLKVVQLFKVYDAIGDYRTSSHPYKIGFFHATFVAKPNDFPSEVPEKYLADYTEIPGGKADNNRLVDVIGQIVNFGSLENKMIKGKNNMRLLIELGDQKFINLSLYYTDRYAKQVYDYSMSNMSTMIICVSSLLLKSGKYSMVCWYCYICRAKFFVLNEKRTIREIIDSILNLGGRVGHGKDSGHGTRAFFTHEETTLPFSIPTSLSRRKRLTTKEVLAALSLMKNTLGSKVSLPNQSDKSLKRTDQTTLVSGTDIQGKLSSDVVASTSKPQTSSSGLVGMKKTLGSQASLLLCSACMQIIQSDKSQSDKSLKGSSDQDQTTLVSHIDIQDQTTLVSLIDIQEKLSSDIVSSTSKPHQGLSNEALHRISPSEISNDLSSDPSPDLSHLSTNLSSDLSADFNRITPPDLSADLSAADLSSDKQGGPRRIPGHQFGSCGVDTCLSEQDAPWGRIRWNTSTAWSKQTYYTPDGPEYSQHMIVGQKRPVLFMSPSPYLRLAELREGSQVSVEIQSDKSLKGTEQDQTTLVSGIDIQEKSSSDVVASTSKPQTPSPSLVGMKKTLGSQVSLLRCSTCMQIIQSDKSLKGSSDQDQTTLVSQIYSRLEKEEAEIATI >A05p012220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5293592:5301528:1 gene:A05p012220.1_BraROA transcript:A05p012220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NAP1 [Source:Projected from Arabidopsis thaliana (AT2G35110) UniProtKB/Swiss-Prot;Acc:Q5S2C4] MANSRQYYPSQDESASPTSVRSREWEGPSRWTEYLGPEMASSVSSRSSKHTTSDGHVQSSAASTKALNIQWVVQMIEVAEGLMAKMYRLNQILEYPDPVGHVFSEAFWKAGVFPNHPRICTLLSKKFPENFTKSQLERIDKFSLDSLQDGAELHLQSLEPWIQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCAFVRVNLFAEKIPRKMLLQVYNLLHALSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPSIFLSADTRKLRNEGFLSPYHPRFPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDIALVVLKENLVVTLFRDEYIMLHEDYQLYVLPRVLESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALQLCDTIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVLWYFQHAGIVSSRSKAARVIPVDIDPNDPTIGFLLDGMDRLCCLVRKYIAAARGYALSYLSSSAGRIRYLMGTPGIVALDLDPTLKGLFQRIVQHLETIPKTQGENVSAITCDLSEFRKDWLSILMIVTSSRSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDEIESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLSVASSFPECASLIIPEEVTKFGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALESQLLPEQAAAYLNNASRISAPSMKSPRVVGGGGFTLPGHESYPENNKSIKMLEAAIQRLTNLCSILNDMEPICVINHVFVLREYMRECILANFKRRFVTALQTDNDLQRPSVLESLIRRHMSIVHLAEQHVSMDLTQGIREILLTEAFSGPVSSLHLFEKPAEQQNTGSAVEVVCNWYMDNIIKDVSGAGILFAPRHKYFKSARPVGGYFAESVTDLKELQAFVRIFGGYGVDRLDRMMKVHTAALVNCIETSLRSNRELIEAAAASMHSGDRGERDASIRQIVDLDTVIGFCIEAGEALAFDELLAEASGAVLEDNAALIHSMISGIVEHIPEEVPEKKEIRRIRGVANGNGVSVDHDSEWVRLILEEVGGANDNSWSLLPYFFASFMSSNAWNTTGFNIETGGFSNNIHCLARCISAVIAGSEYVRLQREYQQQHQSLSNGHQSSENLDSEFQPRVTAEASIKSSMLLFVKFAASIVLDSWNEANRSHLVAKLIFLDQLCESSPFLPRSSLESHVPYTILRSIYTQYYSNTPSTPLATASPHHSPSVSLIHASPSMKNATTPRGSGSGSSTAAGADSGYFKGSSSSVYSQEHYNEPETGTSRNNENKSKQRGSSRRSGPLDYSTSHKGGSGSNSTGPSPLPRFAVSRSGPISYKQHN >A09p069400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54078938:54081197:-1 gene:A09p069400.1_BraROA transcript:A09p069400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDVSRSLWLAQNPSKRWGELFFLFYTPFWLTLSLGIIVPYKLYETFTELEYLLAALVSAVPAFLIPMLVIGKADRDLCWKDRYWVKANLWIIIFSYVGNYFLTHYFFQVLGASYTFPAWKMNNVPHSTFFMAHVCFLFYHVASNITLRRLRHSISDLPDSLRWCFEAAWILAFSYFIAYLETVSIVNFPYYEFVDRNAMYRVGCLFYALYFIVSFPMFFRMDEKSSDKWDLSRVAVDALAASMLVERWLFRPIWGVKDKPAREIPFALYGIGK >A01p046130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000150.1:12775:13571:1 gene:A01p046130.1_BraROA transcript:A01p046130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGHLQVLVFLYALLLFSAESRKTQLFDTESSADDGAEHENYGDKVDARDIPLLYLETKIQNAPVGSPQRQEAQKNLLEEINHRKQIDQNIIEILRLSLKKTDVLDLLTSTRTTGQPVVDDWDCYKTLVKSFKNQCGAKMEYDMKYAGALANICNMGVDVKKSVAAIEEACAH >A06p002110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5319167:5322334:1 gene:A06p002110.1_BraROA transcript:A06p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNLLPLIFFSSLLPSSPVTLAAFSELGYSTVYADSTPASFGEYREDQPSPKISYDRLIEVRRNCKSVLSSASELNIDSISRDLRKAKKSLSFRNGDWSQPPGGDSPILPFRSTNSSTKPLNLVSFRVTDLDLPHRTKRYVGVNGVLLLAISTFGDLASRGAREFELWPSHTQLKINFQGVYVENDNDQERVLCMLGETMLPSRDAESPSDPWKWVKDHEAPPLLQDDQIRLILRYPKAFTLTTRVIQGEVKSLNQKPNLKFFDKISISSQLSNSAQYSFVSDELVSKACDKNITISTITGIDVYKGKGFCNLLQRVSYNAPFTVLPNWKCNGTDEFCRKLGPFASDGDIKSTDGGFKDVSLYMQNIHCQETAAKSDANAVTKVSAVFRAVHPSENLYLSGRRSGLDNMTVTAEGVWKPSSGQLCMVACRRGEADGCNTRVCLYIPTTFSIRQRSILVGTFSCLNAEKNQTQSFSALSFEKLVDPVDMQNYFQSSSVTHPFYSYSKTDEAGSILERNQEFSFATIIKKSVMKFPKLEDSEDSLSSLSLLAEDLTFHTPAFNEGKTLMTNFGMDVLSLGPLFGLFWRSSNASIDEQTTPYKTKDQYTEKQLLLNVSAQISLTSGTFSKIFLEGLYDEHTGRMYLVGCRDVRASWEVLSASGDLESGLDCLMDVVVSYPPIKSRWLADPTAKVSISSRRPDDDPLYFKPLKLKTTPIFYRRQREDILSRAGVEGILRVLTLTFSIGCITSQLFYFGTSTDSVPFVSLVMLGVQAIGYSLPLITGAEALFKRKASASGTAYEKPSYDLQRSQWFNVIDYTVKLLVMVCFLLTLRLCQKVWKSRVRLLTRTTPQEPHKVPSDRRVLLVSLFLHALGYILALVLHPARTERFTQVYGSYTPGATNWWQTETEEYIGLVQDFFLLPQVIANFIWQIDSKQPLRKLYYLGITLVRLFPHVYDYIVGSVPDPYFIGEEHEFVNPNLDFFSKFGDVAIPVTAVLLAVVVFVQQRWDYDKLSQALSFGRFRILPSRSVKYERVMSESEMVSRVSVNGNHSDEE >A03p023980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10132280:10133679:-1 gene:A03p023980.1_BraROA transcript:A03p023980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLGNYCFVMIIVLVLGKEVRSQSLKNGYYSSSCPRAESIVRSTVESHFDSDPTISPGLLRLHFHDCFVQGCDGSVLIKGKKAEQAALANGGLRGFEVIDDAKAQLELECPGVVSCADILALAARDAVDLSSGPSWRVPTGRKDGRISLASEASNLPSPFDSVAVQKQKFEAKGLDAHDLVTLLGAHTIGQTDCLFFRYRLYNYTVTGNSDPTISPSFLTQLKTLCPPNGDPSKRVALDIGSPSKFDVSFFKNLRDGNGILESDQRLWSDSETNDVVRKYASTIRGLLGLRFDKEFGQAMVKMSSIEVKTDVDGEVRKVCSKINY >A09g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23910678:23912671:-1 gene:A09g508540.1_BraROA transcript:A09g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQTSVTPTTPIKRSRKKKELATMRNLLKQQKERNELLKKIQAAFESQKNDDSSFLLPDLNIPLDNNNSS >A03p064780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28226393:28229175:1 gene:A03p064780.1_BraROA transcript:A03p064780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLITIQQTLTPEAATVLNQSIAEATRRNHGHTTPLHVAATLLSSSSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTTTTTTTSSPSQTQEPPPLLSNALTAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKSAIEQSRFGNSRTVNQSAIGFGYRPVPVPVNRNPYLNPRFQQNEHSGQRSTDEEAKRVVEIMTRTRKRNPVLVGDSEPRALVKEILGKIENGDGPLRNFQVIRLEKEELASSSTRFGEISGLVETRLGDSELTGGVVLDLGDLKWLAANGGGGGAVAEMRKLLERYKGRLCFIGTATCETYLRCQVYYPSMENDCDLQAIPIAAKSPLPTMFQRFGSSNIISTESISPTRSLQIPTGKMNCCSRCLQSYQNDVAKLEKSLTENNRSVLPQWLQNAKADDVGDKKFTKGQEIVELQKKWNDLCLRLHPKPNSRSSDITPPGSPVGTDLVLGRSNRLVSSPDKKTRDSFDIDLFKKLLKGLAKSVWWQHDAATSVASAITERKHGNGKSKGDIWLMFTGPDKTGKAKMAAALSDLVSGSQPITISLGSGSGSSTDDGLNLRGKTALDRLAETVRRNPFAVIVMEDIDEADVLLRNNVKLAMERGRICDSYGREVSLGNVIIILTVNSSLGLAENAAPIDEARLESLVSKGWKLRLSVSKTRKRKPNWLYSDQAKQRKEICFDLNEEAAEFDSSSDVTVEHDQDDDSSFVHKLVALADDAIVFRPVDFGLIKSKTAESLKKRFSNAEGLAVEIEDDALERIAGAIWLSKISLEEWLDEAMGSSLNGFKSRVSSVEDSVVRIELEDDVNDRVSGGYLPSSVRTLVV >A07p031600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17435156:17437569:1 gene:A07p031600.1_BraROA transcript:A07p031600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLTSIASGRGFVSSDNVGETETPRSKPNHIREEIEETTREDSVSKTEDSDSLPKEMGIGDDDKDKDGGIIKGNGTESGRIITTTKKGLNDQRDKTISYRAEHVIGTGSFGVVFQAKCLETEEKVAIKKVLQDKRYKNRELQIMRMLDHPNVVDLKHSFFSTTEKDELYLNLVLEYVPETIYRASRSYTKMNQHMPLIYIQLYTYQICRAMNYLHRVVGVCHRDIKPQNLLVNNVTHEVKVCDFGSAKMLIPGEPNISYICSRYYRAPELIFGVTEYTTAIDMWSVGCVMAELFLGHPLFPGETSVDQLVEIIKILGTPAREEIRNMNPRYNDFKFPQIKAQPWHKIFRRQVSPEAMDLASRLLQYSPNLRCSALEACAHPFFDDLRDPRASLPNGRALPPLFDFTAQELAGASVELRHRLIPEHARK >A09p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17757032:17759601:-1 gene:A09p029840.1_BraROA transcript:A09p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITRPKPIIESLTYNLIQRCSAGGTPKGKAKLKTGQPLKRNKLSTKKGGGGKTPAASGDGEEAVKGKGRISDEKQKLYEQCLNAPCPVRSLTPKEAEREAQREKLGLISKDKQRDMEIQKKGGAAAMGINTDEPMRIGTAGLDYVSLGIFSADELVKYKVTAEDGERLAKENSKVLMREHRERRAAETVLLNMKKAAIEALPEKLKMAALEPDLTPFPANRGMATLTPPIEGYLEKVMDAAKKSSIDSIFRMEQNRD >A06p053740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28275579:28276308:-1 gene:A06p053740.1_BraROA transcript:A06p053740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACNRFMNRSSVSSLRSAVRSALHKSPIGTGTPPSASSAGFRIPSKPAASPRFSFSRCPSELGCVQSLLPLHSTVAAARLTSCLSVTSRSSRALSQGT >A10p000960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:491433:493033:-1 gene:A10p000960.1_BraROA transcript:A10p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSGSDSVGGGGVVRRYYDEEEVFGPTKPTSKSNRGVLNDKNLRIEVPFANRRVTDGESRLRRFTMANSTPGSYLRDERPHTLSSKDSVYWDSNEDIGTPSAPPIMDIGEDDNIAELEKEIEHIEDEICREAGVESHHQQLNIGCIAGDTVSHLYPEFSESARETQTEEAAQIEDISSDELNCHSIRLTTLFRNLQRKRFEMRNLDVEGFLSAQAAIDAIKGSMFALMPSPARWRTNSLFYVEIRTDLVNTVNEWNRQAGSPTPPGNVSENERRTGLYDYQVCSLLYLKQRLNMINILRQETWKDNIEAGNI >A07p013350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7524611:7526758:1 gene:A07p013350.1_BraROA transcript:A07p013350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g23330 [Source:Projected from Arabidopsis thaliana (AT3G23330) UniProtKB/Swiss-Prot;Acc:Q9LW63] MSSSSSSSSKALIKTLIKNPTRIRSKHQAKQLHAQFLRTQSLSHTSASVVISIYTNLKLLHEALLLFHTLESPPVLAWKSVIRCFTDQSLFSRALSSFVDMRASGRCPDHNVFPSVLKSCTMMSDLRLGESVHGYVVRLGLDCDLYTCNALMNMYAKLQGMGSRISAGKVFDEMPQRILDGETMSNALSSGIDSVRKVFELMPRKDVVSWNTIIAGYAQSGMYEDALRMVREMGNEDIKPDAFTLSSVLPIFSEYVDVKRGKEIHGYVIRKGIDADVYIGSSLVDMYAKSARIEDSERVFSHLLRRDSISYNSLVAGYVQNGRYNEALKLFRQMVTVKVRPGPVAFSSVLPACAHLSTLHLGKQLHGYVLRGGYSDNIFIDSALVDMYSKCGSIKAARKIFDRMNVHDEVSWTAIIMGHALHGHGHEAVSLFEEMKLQGVKPNHVAFVAVLTACSHVGLVDEAWGYFNSMTEVYGLNQELEHYAAVADLLGRAGKLEEAYDFISNMRVEPTGSVWSTLLSSCSVHKNLELAEKVAEKIFAVDSENMGACVLMCNMYASNGRWKEMAKLRLRMKKLGMRKKPACSWIEFKDKTHGFISGDRSHSSMERINEFLEAVMEQMEKEGYVADTSGVLHDVDEEHKRELLFGHSERLAVAFGIINTEPGTTIRVTKNIRICRDCHVAIKFISKITEREIIVRDNSRFHHFNRGSCSCGDYW >A09p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10042768:10047562:1 gene:A09p019020.1_BraROA transcript:A09p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTQKNLDISKNSPKTCQKSKTTPNIKMRNNLVPLTLSFLAITILLTPSMAEPEPSFIFPIHQLLNKTSSWLDFPNKFNQPKIELTASTLIAVVLSFLAATISSAGGIGGGGLYVPIMTIVAGLDLKTASSFSAFMVTGGSIANVGCNLFVRNPKAGGKTMIDFDLALLLEPCMLLGVSVGVICNLVFPNWLITSLFAVFLAWSTVKTFGNGLYYWRLESEMVKVREASMVGEEDEKMESVKLPLLVDYERPKRFPWMKLGVLVVIWLSYFAVYLLRGNKYGEGIISIEPCGMTYWLLSSTQIPLTLFFTLWICFSDNVQGNQCSENHVSVKDVEDLTSNNGGRSNKCMFPMMALLAGVLGGVFGIGGGMLISPLLLQVGIAPEVTAATCSFMVLFSSTMSAIQYLLLGMEHTGTASLFAIVCFVASLVGLMVVQKVITQYGRASIIVFSVGIVMALSIVLMTSYGALDIWNDFISGSYMGFKLPC >A03p054500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23404619:23407649:-1 gene:A03p054500.1_BraROA transcript:A03p054500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGKRPERFWPSIVMNKWLNIKPKVYDFSEDEVDTENESEDDVCSVKNVSNACCVADEDSHGGRQADHGNKISDGGVRGYQRKHRRGKSETLRVQYINTKDIRVTVATWNVAGKRPSDDLDIDDWLSTDNPSDIYIIGFQEVVPLNAGNVFGAEDRGPIPRWESIIRRTLNKPQKESVNDQSSSNNNVLHRSHSAPSSPVLAQQANSIIADVMVENLAADHSLDLATDEFIDAATALPSLEPVGNPDMDWPERALDENPQIVGSEGKLRRVLSSNAMLGFKLPENPTGVSRFASDARNLKRSRSFETLKLSWNDIKEENDNTSSSSSLSEAEEAAKAMSDDSLDGESSSDEGDKIRNTYSLSEDLVKECRKVKDCQKYVRIVSKQMVGIYVSVWIRRRLRRHVNNLKVSPVGVGLMGYMGNKGSVSISMTLYQSRMCFVCSHLTSGQKEGAEQRRNADVYEIIRRTRFSSVLDTDQPRTIPCHDQVFWFGDLNYRLNMSDSEVRKLVAQKRWDELKNSDQLIRELRRGHVFDGWREGPIKFPPTYKYEFDSDRYAGENLKEGEKKRAPAWCDRILWLGKGIRQECYKRSEIRMSDHRPVTSIFNVGVEVFDQRKLQRALHVNNAAASAVHPEPAFSV >A08p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24273481:24275838:1 gene:A08p043660.1_BraROA transcript:A08p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPLLDIQPRTLKFVVDLKKQSTCVVQLTNTTHLFVAFKVKTTSPKKYCVRPNVGVVAPKSTCEFSVSAFGLIELYVTAVIMQAFKEPPPDMACKDKFLIQSTAVPEETTDEDITASMFSKAEGKHIEENKLRVTLVMASDSPELSPIKGALKQESVFEDSIPKDRLHGQSETLPPQYESEIVKEPPRMVGHDELKQPRKGVMDFIENDIKATKGGGYDTSKMAKETESDPIKSHKDEDAGRGIKPTHDLGTTTNMAMDLSGDQGFANGKTSAKSVTYPEEPKIHRDRDVVSQQKTDAQNSKALDEYKLVKDIEEMKLKVNALESKLKQADSTISKLMEERSISSQHRESLQQELAEMRMKKIVKEVHIGFPLLFVCVVAFISIVIGYCLRT >A02p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28918247:28923335:1 gene:A02p046250.1_BraROA transcript:A02p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDTTKVGLVRVNSDYADLDVAIVKATNHVECPPKDRHLRKIFAATAVTRARADVAYCIHALSRRLHKTRNWTVALKTLIVIHRLLREGDSTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDTEAERLPKATPGQDKGYSRTRDLDGEELLVQLPALQQLLYRLIGCRPEGAANHNHVIQYALALVLKESFKVYCAINDGIINLIDKFFEMPKHEAMSSLEIYKRAGQQARSLSEFYEACKGLELARNFQFPVLREPPQSFLSTMEEYIKEAPRAVDAPAEPLLLTYRPDDGLPDEDPEPSHEEREVVLPSDDVVLVSEDTEPSPPPPPPSASTQSQNIIDIDDLLGLNSGGPDASAIENQNARALAIIPTDDNPATPRFGHANDYDPSGWELALVTTPSNDISAATDRQLGGGLDTLTLNSLYDDGAYIASQRPVYGAPAPNPFEVHHDPFASSSNGIQTPQQPAVNNPFGAYQPTYHHQEQQQLQLALPNPTANNNPFGDFGEFPVNPVSQQPNTSGFGDFAVNQPNNNPFHSTVNPFICSNTVGKSSSICSKSVAGLEDFHPQNFSYIIIQHAAEQYSFFPCTVFDVTMENGVDLQQTPTDEHPPQLQDLPFSLPPYPRMIMEAIEASNGCNKTAIVKHIESTQITLPPSHLTLLNYHLNRMKQSGRIVIVKNNYTKPDPNAPPKCGRGRPPKPKPEGENKGTTGRPRGRPPKK >A02p048120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:29941523:29942407:1 gene:A02p048120.1_BraROA transcript:A02p048120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 73B3 [Source:Projected from Arabidopsis thaliana (AT4G34131) UniProtKB/Swiss-Prot;Acc:Q8W491] MGSNPKRLHVMFFPFMAHGHMIPTLDMAKLFSSRGAKSTILTTPLNSKILQKHIDTFKNLNPSLEIEIQVLDFPCVQLGLPEGCENADFLTLNNNDDRQDMITKFFLSTRFFKGQLENLLETMRPDCLIADMFFPWATQAAEKFNVPRLVFHGFGYFPLCFAYRIKLHKPQQRVTSSCEPFVIAHLPGSIVMTQEQINDCDEGTEMGKFMVEVKESEVESSGVVVNSFYELEPDYADYYKSVVANRAWHIGPLSDVNREFGEKAERGKKASVVALCTIRNSQRNRIFDITRHVS >A05p005730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2215309:2215488:1 gene:A05p005730.1_BraROA transcript:A05p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKFFGMFALSNLMYEKQEDNIDTFQDKLLGPIEHDYKSDGLTTEIEVFTYYRRTLKM >A05p036000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20364331:20366709:-1 gene:A05p036000.1_BraROA transcript:A05p036000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVLEVDINGEETIFLNKQIICKYSGTLKKLLGKSTCSSSGNLKVIFNDFPGGGESFELLSRFCYNNCEWTVMPSNVVFLHCAAKFMEVTKVLVQTEKCMEEIRYWAWPEVLLSLKQCQELETSSEAESLAVKLMDALVEKLCLAIEASPSSAACSPSPDSSLFRFSCDSKSTESFKNSSSRITWWFDEVLVLSPGLVKTFLKLMVLRKFDNVTITRFLFYYQKVRFCSASSNEKREILETTVDTLYVLDRSCVPYKSLFGVLRLALGLNINKSVMNKLEVMIGQQLEQATLDNLLVPSPSKSSHLYYVNLVLRFAKAFLDGGKRGSSQMKKVARLIDQYVAEVAPDPCLKPSKFLSLLTLVPDSARESHEDIYRAIDMYLEAHAGLTNGERLNLIRALSYEKLSVQSRAHMSRNTKFQEIETLDDEQQQEQKQHVLRVEKVETSGENEKLKEHIEGIQWRVMELERACLKMQTQMEVIKKRTKSTSRGSNRSLPKLCS >A02p058290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35008743:35014816:-1 gene:A02p058290.1_BraROA transcript:A02p058290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQDRSSRRSLTVTTSSLHGKNKSMDISERRLDTGRRSLNISRSTLGLTGGERTVKRLRLSKALTVPATTTIYEACKRMASRKVDALLLTDSNEMLCGILTDKDIATRVISQEINVEETPVSKVMTRNPMFVLSETLAVEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVERSWGTNTSVPNNFIETLRDRMFRPSLSTLIPDDSKVLKVSPDDTVLTVAKKMVEFQLSCAVVMVEDKLRGIFTSKDILMRVVAENLPPSETTVEQVMTENPESTSVDTPIVEALHIMHEGKFLHLPVTDKEGDVVAVVDVIHVTHAAVATAGTTAGIGNEETNTMMQKFWDSAMELSPNEEDEDTRSESSLKVASEAETGRSLPLANTFSFKIEDKNHRMHRFISDTRSLTEVVTAILQRVGSDIDPDNLPQILYEDEDHDKVLLASDSDLQAAIDHAKSIGWKSLRLYLDDSKGGKGSRRRRAIVSGEAMEYVHTDAWAAAYSGVAAGAALVAGLGFMAYLKRAGQKKTQIEQGLCHGDISYKFSKLVDKFFDMNILASSSDETASKFLSNLPSRGFLSSTVVSSNPGSFRVYICEHDTSPPEGQQIRTNQQNILIRSLSLKKQKGDSSSKKRPANKALDNRSSAKRPTNVSRLGLCPVLQGLGVPFSPGARGNRELSPLIPLLWTIDATLLPSLTVEKLRALLKEKGLPTKGRKASQKHLTLYSILKNIVFHYVMLLPDELIARLKDAN >A09g509420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:27752733:27753368:-1 gene:A09g509420.1_BraROA transcript:A09g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSQKQVSGDLTAKKSNGKAVVSSAEPNSGDLTARKSSGKAVDSSVEPINRAGFTKVSPVSAVPGDPKSKKLNGKAVVSSAVPISGDLTAKKPNGKDVDSSAEPIKRAGHTIISPVPVVSGDPKSKQKTGKAVVSSAEAIRRTGNAGGSCADVVSGKLTPKKLKGKAVDSSSVEVMFFKDVKFGPQRAEVSSDPFLGGSERTHEVTHWY >A06p053540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28208360:28208974:-1 gene:A06p053540.1_BraROA transcript:A06p053540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSMQLSEPNQTNKTANGGEEECTVREQDRFMPIANVIRIMRRILPAHAKISDDSKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYRELEGDRGVGYNTGSVGMTSGMVVKRPNGAMAEYGAYGVVPGMHMAPYYYRQQNGYGYSGNEPDSKMGGPSAANGSRVELFPSQQHKY >A03p046160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19444357:19445162:1 gene:A03p046160.1_BraROA transcript:A03p046160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGTSLF >A02p054070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32904361:32907732:-1 gene:A02p054070.1_BraROA transcript:A02p054070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQLPEICSDGVSPCESKEYSAEDHDPDAVEESEDSVTSGSQQVSPNGGPTLPILQKIIDLSSLIKVLKDEHALVSNQVKEMKNCSFVEPEVSKALQLLTTELGTLKRQYLEESSERKRLYNENIELKGNIRVFCRCRPLNQADIANGCASVVEFDASHENELQLLSTDSSKKHFKFDHVFKPEDGQETVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRSSESRCRLMKFELSVSMLEVYNEKIRDLLVDNSSHPPKKLEVKQSAEGTQEVPGLVEAQVFNTDEVWDLLKRGYCVRSVGSTAANEQSSRSHCLLRATVKGESLINGQRTRSHLWLVDLAGSERVGKVEVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHMLQNSLGGDCKTLMFVQISPSSADQGETLCSLNFASRVRGIESGPARKQADVSELLKLKQMTEKLKHEEKETKKLQDNVQSLQLRLTAREHICKGLQDKVRDLEFQLAEERKTRIKQETRALSTASSSSSTTTSRQLPTITEKKPPLAPPRSMRMPLRRITNFMPQQPSQGPSAKRFSDATSKENNNNSLRRSSSMDINTLMKPRRSSIAFRPAPPPSAIASGNNKTLQPRRRVSIATLRTEPSSSYMNMATPSRPAFRGGGGDPRRGRYSKLFSPDHNLVTPNAMKGSRFKKSPLGGGGPRHPTVVALQKKAVVWSPLKFKNRRPSFIAMRASSSTATDLVRREQ >A03p044380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18526852:18530245:-1 gene:A03p044380.1_BraROA transcript:A03p044380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQSAMRMVEGDHHMKNWQQASRFGSHDMAVEDLAFLMKRSRLDNASGDHIPSRSGSAPPSMEGSFTALRNLLKQQEGSSSSEVLSKAIESYDSEEEIRRDPAYVAYYLSNVNLNPRLPPPLISRENQHLLSTTASWDGMGIRSSLHSSRTALSTHREEPEDEGSLVEQQPYASLANMIQRPHSAEDIHAISSSIASERLHESDISSLSMDAIASEDTLASQNSTNAQNERTKNNLSLFGASPSSMRNQEKQQHSQGRRMPPPSYQVQATSPQQTMMHNLPKTATTPMYTSTSAYMTSLSPFYNQSSGMYLPQYSYSGYPQYMSGYQSHEGTLPMPYDISPTSSGYNNNARLLPGGGHNTPSLVDPFQWQYYQQPQAAAYSPSFQSSSELHSNPLSPSYGMQIPRHMGNYFAVPPGVRVMPQYQGSPLASPVMPSSPVSGGMMGKFGRRSETRYHQQGTSRSTGIYPGGWHGGNVDDYKRHSFLDELKSPNARKLELSDITGRVVEFSVDQHGSRFIQQKLEHCSDEEKASVFIEVLPQASKLMTDVFGNYVIQKFIEHGTPSQREELVKQLAGQMVSLSLQMYGCRVIQKALEVIDADQKTELIRELDGNVMKCVRDQNGNHVIQMCIESMPADRIGFVIAAFRGQVATLSTHPYGCRVIQRILEHCSDGEETRCVIDEILESAFALAHDQYGNYVTQHVLERGKPDERRKIIEKLTGNVVQMSQHKYASNVVEKCLEHGDGTERELLIEEIMGKSEEDNHLLAMMKDQYANYVVQKVLEIKSEASEEGTEG >A05p001730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30359863:30360634:1 gene:A05p001730.1_BraROA transcript:A05p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSDGEKKEESLIETEPMETSTGVDTPLLGKRKLEETDDQEDSDEEEVDGDEEEEEEEEGSDFYWEKDSFDGREYHPSDDSEYSDEDLQEKARYYNRTVIETKGFFESSGKLPLYTWSGIAVVSHRDLETKMYGGITGREFVGDMACECVEKHNRRNNKNVKFEHVLRANFDPGAKTKYYITFAARESDSPDAPLVEYQAKVVWSAGITYPIFCRPAPPPN >A08g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8374755:8387400:-1 gene:A08g505080.1_BraROA transcript:A08g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREQEKNMENPDTVQKVRAMAASDRTDGPCGRTEALDGSLDLAASPLLVSIRPRDTSLDLAGHNQTANQDTGRLDGSRVTKGCELPKGVSDQGLRTSRGTRTKRYEDQEVLRTEGVHVPNGAYGVGAARSGRSLSLPDWACGLLGRWIQAQGRIRLQLGRLHLDSIRLDGLVFGTIRTVRVFCLSILDCLSDSKSRGGWLKDIGCGRQELRSLLVKPRSREGSVSERLCNVWLDDARDELVIVYETIKKLCVGSHIQTRLTNGSSFVERPDSGVTGTSSVLSPRTSVLICVLMDSHGRSVCADGHTRTATDVLLGVRQHTQDVRGCPCVSVSINRTSVGVHQYTYQHVGRWTQHADPSLGLFGTSVGVRQHTRYVCVCPSEHTGRPWLSVCVRQHTKDVRGCQYTYQHVGPWTQHADPSHGLFGTSVAVRVCPCVSVSTHMTTVAVHQYTYQHVGPWTQHADPSHGLFGTSVCVRHHTQDVRSCLCVSVSTHRTSVAVHQYTYQQAGPWIQHAGPSRGLFGLFWPTWAVCSVYTGRPWVSASTHRTSVAVCGCPSAHTGRPWLSLCIRVCLSAHTGRLWLSISTHISTLVLGLSTLALPMDCSGDFGPRGLSVQYTQDVRGCSSAHTGRLCVSVITHRKSVAVRVCPSAHTGRPWLSTGTHISTLVLGLSTLTLPVDFSGDFGSLGLSVQYTQDVCGCPPAHIGRPWLSVCVRLCLLAHTRRPWLSISTHISTLVLGLSTLTLPLDCLGDFWPTWAVCSVHTRRTWVSASTHRTFVAVRGCPSAHIGRPCVSVSTHKTSVCVRVCPSAHTGRLWLSISTHISTLVHGLSTLTLPVDCSGDFGPRGLSVQYTKGCPWVSTRTHRCPVLSVCVCLCPSVSVNTHSLWLSVFVRVCPSAHTRRLWLSISTHISTLVLGLSMLTLPVDCSGDFGPRGLSVQYTQDIRVCPPAHTGRPWLSVCVRVCPSVSVSTHRTSVAVHQYTYQHDVRGCPPAHTGRPWLSVSTHRTSMAVRVCLCVSVCIRQHTQDVCGCPSAHTGRPCVSISTHKRLRHSVCVRVCPSSHIGRPWLSISTHISTLVLGLSDVPQSTDHYMEPAQHGVQDDVRAVHQEPYQAHWSLGLSNVDTFPRTVWVISGTTWSVLLDSQGLMWVSDPYTQGRPVAVRGVCVVWSVCVRQHTHDTSVAVNQVHISARWSLDSARGPLSPTHRRFRGGSACTPNRTVWLSRAAVRHPPPHGAST >A09p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43599928:43600654:1 gene:A09p049220.1_BraROA transcript:A09p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLISSSPPSSLVLASKLHQFTARIKSTEISVRPQFSPVRRSRTLTAKPCCFNLPQEPMLSEALKEPIAFMGGMFAGLLRLDLNEEPLKEWVMRTVEASGITEEEVVDADGMASNEEESPQQIEIE >A02p003980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1527955:1528374:1 gene:A02p003980.1_BraROA transcript:A02p003980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSVQVFLIMALFATVVLAQAPAPTPTATPPPPVATPPPVATPPPVATPPPAATPAPATTPPPAATPAPATTPPSAAPSPSDAPSASPPAPEGPASSPSGLSPGPSEDAPAPSAAFSNKAFIAGTVFAAVMYTAVLA >A03p057230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24806694:24817962:-1 gene:A03p057230.1_BraROA transcript:A03p057230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVVDALPPPPIIPPIVEPVQVKTEVVEKKNPRVPMARLGFGLKGQKIPLLTNHFKVNVANLHEYFHQYSVRVHTTHTTVMFISSNDGPMQADNAEREYGFSPVKVLKITEYGGEVGELEQMKLFLEKLTCLELVKISVHAITDKEKARITSDLLMLPRSSMCKLHIKFCEKARPKLNTERRLLTAMEEVVEGKEFSFPREEEKVLSFWTQIDAFKTQLRRTEHLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPIENLIDKKFGIKLKSQVLEMGIDNYNEECRSVVDLYVEEWEKVITRSGRWIDFKKDYKTMDLSFMESVWWVFAQLFDKNLIYKGFKVMPYSTGLKTPLSSSEAKGTYKKVSDPEAMVTFPVIGDQDNAAFVAWTTTPWTLPCNLALCVNPEFVYLKVRNKHNGRVYVVAEPRLSALPSFANAAADTKKANKGGDSAAADSYEILEKFDGASLVGKKYEPLFDYFSDFSSEAFRVVADDYVTDDSGTGIVHCAPAFGEDDYRVCLENKIIEQGEGLVVAVDGDGLFTERITHFFGRYVKDADKDIIEAVKAKGRLVKTGSFTHEYPFCPRSDTPLLNRALPSWFMRVDEQLKEQLLENTKETHWVPSHVKDKRFHNWLENARDWCISRSRFWGTPLPIWISDDGEEVVVMDSVDKLEKLSGVKVFDLHRHHIDQITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGDFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSEKNYPPPTEVIDEYGADAVRLYLINSPVVRAEPLRFRKEGVLSVVKDVFLPWYNAYRFLVQNAKRLEIEGSGPFVPTDLATLRSSNVLDQWIHSATQSLVCFVRQEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEDDCHIALSTLYNVLLTSCKVMTPFTPFFTETLYQNLRKACEGSEESIHYCSFPEADGTRVEKRIEQSVTRMMTVIDLARNIRERHKLPLKTPLKEITVVHPDADFLDDITGKLREYVLEELNVRSLVTCNDTLKYASLKAEPDFSVLGKRLGKSMRLIAKEVKEMSQQDILSFEEAGKVTIAGHTLVLTDIKIVRVFKRPDGLKDTEIDANGDGDVLVLLDLRPDDSLYEAGVAREIVNRVQKLRKKSGLEPTDVVEVYIESLDKDESVLQQVLSSQEQYIKDTIGSSLLPPTLMPSHAVILSDESFQNVSKLSFKISLARPALKFN >A10p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18731645:18733277:1 gene:A10p031290.1_BraROA transcript:A10p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKTSSLIPSFLYSSSPRSILEKSPSPAARSSPAATMVSRKSFLIASPTEPGKGIEMYSPAFYAACTFGGVLSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEFAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGMSDGFPKFVKSEGYGGLYKGLAPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKHECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGMVGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVTPAPVIAAAEA >A02g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1305540:1306423:-1 gene:A02g500380.1_BraROA transcript:A02g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSPSIKDKSENNEEGVLPTGLVKSTNGFKAQRRFDYFKLWPHTLPSLVELRSWLLLLRAGFITVASGTAAALLFSEGLVKRCTISLKVLVSVWTNVVTGLQVPKTYLKNKLRQQVKANAGFRTQMKKLKTEEENKRDRERTQRRLTAQNLFMYLKRAEE >A05g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24853565:24853895:-1 gene:A05g508530.1_BraROA transcript:A05g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMFETRVCALVGGREKRPYQDGHGIRFVTVRHVPVVAGETRPFSDVSAPGGGDVLRVCFSR >A02p053030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32320489:32322447:-1 gene:A02p053030.1_BraROA transcript:A02p053030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDLKNLLRHVIVSSSIALPMAVSSSSCIHIHLGMPKAHFTQRTCLSRNPPVNITQRKPNITWKPSGEARRRCVSTSLNLGASSCKCTCLASLADFDAVAGSGWVPIGDQVLLMTSVFLTYMAGVIPLRNSGYSSKKNTVEEESPDLGTTSESSGRDTEFDGDLESVWDVVKGKLSDSLDAIKRESTLGSRVLKPKPPQGKPPLSLYAISERPQLYLLLSCFQKLEQETNKISNTISSDEWKVSFNDIVSEAYQGACTAWLKRELCVEDTDSDTAMTPLLIKMLNEKEAIFDKIRKSGKEDLFAEFLYFHRFGSLRKASCYDLSLFRTHGVAILEDLMITLADGVASIYLELISVDSKFSDEMNGGGGLDICSLSSRALQKLRNEVALYQWLHQNMEAVVSMYEDRFDLYILQTQVINNPDGGGDTKSHSWWRKAKAASSSPLRYSVISDFSLPVKRTKELKALSGWRYYFSLFLELSDIGMPIIRVVVDKVSSVISFFLVTLIGRSVGLILTGIRQSLRWK >A06g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14570831:14572530:-1 gene:A06g504870.1_BraROA transcript:A06g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08g501010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2580674:2581312:1 gene:A08g501010.1_BraROA transcript:A08g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNACTATPRAPHGWLHVQDTCRTPQLLPDVRLHDWSSCKAPHILTHVDQHVSVACVATSRAWPIHLVLHMAGYMSRTHAGRHHSSQMSGCMTGAHARRHTSSHMSINMLRLHASRHLVLGRSTSCFYMSSCMCSFHARRHLELLLTLSWLDSCHHVLIPSDQATSSFSVSLRDFDPSCEFLTRDQSRIFFRSHSDSLNIFNKLQMMSDIL >A10p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16624880:16627390:1 gene:A10p026070.1_BraROA transcript:A10p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 90, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20300) UniProtKB/Swiss-Prot;Acc:Q6S5G3] MKGFIDWVFDLSNSMASSSRPLLGTAPFSLDHEAHDSHSQAPALPEPVARSEPPCSTSGDVETHPPVSQQQTPLESLHQSSLDLNDKKHNPLAKIGDLQVQFLRLVQRFGQSQNNILVSKVLYRVHLAMLIRAEESELKTVKLKQDKAKTLAKEQESSGVPELDFSLRILVLGKTGVGKSATINSILGQSKSETDAFQPATDRIEEVNGTVSGVKVTFIDTPGLHQPSPSSARKNRKTLLSIKRYIKKRPPDVVLYLDRLDTIDLRYSDYPLLSLITEVLGAALWLNTILVMTHSSVASEGRNMNYESYVGQRVDVVQHYIHQAVSDTKLENPVLLVENHPSCRKNHAGECVLPNGLVWKPQFMFLCVCTKVLGDVQSLLRFRDSIGLGQASGTRTASLPHLLSVFLRRRLSSGGDEAEREIDELMDSELEEEEEYDQLPAIRILGKARFEKLSKSQKREYLDELDYRETLYLKKQLKEECRRRKDEKLNEEEEEKSDQAAVPLPEMAGPDSFDSDFPSHRYRSIATSDQWLVRPVYDPQGWDHDVGFDGINIETAVKVKKNLFASANGQVSRDKQRFTIHSETNAAYTTDSRIQTFSVAADVQSSGDDLVYTFHGGTKLNTFKHNTTDLGVGLTSFGGKYYLAGKIEDSLLVGKRVKLTVNAGQMNGSGETAHGGSFEACIRGRDYPVRNEQIGVTVTALSFKKELVLNWGLQTQVRATRDTNVDVSVNMNNRKMGKINVKLNSSEHWEIALISAFTLFKALVRRKKAVSEITNENEEEL >A02p040370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25532104:25534686:1 gene:A02p040370.1_BraROA transcript:A02p040370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNQDQPHRPVPVHVTNSDQNPNNLPNFLLSVRLKYVKLGYHYLISNALYILLLPLLAATLANLSSFSLNDLTLLYNHLRFHFLSATIATALLISLSTAYFTTRPRRVFLLDFSCYKPDPSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMEEARKEAETVMFGAIDAVLEKTGIKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSTENITQNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRSRSKYQLIHTVRTHKGADDNAFGCVYQREDSNAEETGKVGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKVKKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWKALRTVDPVENSNPWSDEIHEFPVAVPRITPVTSS >A06p044340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23838323:23840587:-1 gene:A06p044340.1_BraROA transcript:A06p044340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFLTFAILCGLYNEAYGKSSIDIDMKLKALNKPALKTIKSEDGDIIDCVDIYKQHAFDHPALRNHKIQMKPSVVFGTTKTTIPNNGTSKPITSQIWSKSGTCPKGTVPVRRVSREDIMRASSPSHFGRKTPRRYDFLDNALKHKGNFNITAERIRQPRPKDRSEAILIAIGYNYLGAQSDINVWNPPGVQFNDYSSSQIWLLAGLSDKFETIEAGWVVNPHVFGDSRTRLFTYWTKDSYATTGCTNLLCSGFVQTTTRFALGAAVEPVSTPSSEQYHITVSMYLDPNTLNWWLTCGDNVIGYWPGNLFTYLKHSATAVQWGGEVYSTNVRKKPHTKTTMGSGRWPSYLYGEACFHTNVRIKDYSLQIKYPPYLSEYSDEYDCYSTKLNRETYRAEPVFFFGGPGQNRFCP >A03g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28479545:28485475:-1 gene:A03g508150.1_BraROA transcript:A03g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRSGTQRLRGVAPGGRSHARFVQWSPFYLFRAPNNLMCSK >A05p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24857133:24858974:1 gene:A05p040670.1_BraROA transcript:A05p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTSNRIRTRSGISESHSNMERSSSDQVNDVDWISNLPDDVLLVILSRLSTEEAVRTSVMSKRWEHVWKHIPHLILDMTRIVNSKEPIYGSNRVATLMTKIIDNHRGHLESCAIDHYSFQSVNGMLNTWIQALTCVRHTRVLTLTHHCPHPCVPMDLEDFNRFRDISPNVMSHPSLRSLSLGFYNLENSLPFSNCSNLQTLKLSCIVAEVGVFNRVLAFCSSLEVLVLDIKFSKKNGDPLKIENKKLKLLLVACCRNADGIRVSAASLEILAITYRYFRRDEFFLTAPQLQFNRNFWVAGEYMPHISYNISKEKKSIGHEEFVNLSGDFLIPTASLSVRVDLMNPTEVERLRQVLRLWTRKMIELEIIFKDNNAPREESDSWKNNNNFPNAEFRVNTVWMHNFSGSEEEFALASLLIRQHMVVSKMMIKLTLFPASKKSKIEAAVAKLQALQTEDQPDITIKCF >A02p046780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29248728:29253414:1 gene:A02p046780.1_BraROA transcript:A02p046780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGRRTRVPQPASGRRELLFVGAARLSRVPSTRVEDADASSWRMHVNDYGVTTVKVVSSVAVLLSSPSGSSLTAVRRNQVSPSFVLKPSSRSPRRGRALPRRGKLSVVLSASAVNGIDSASVLSNVQLKDVVASLFELWNLMDTPQEERTKFGRVTYLVRSSESKITEPGILSTETIEQVSAEVDCLSKLKSSKMKELDMNRDNAGRAMVDNLIKKTLVCENDAQKLFLYDGVRLVNILEDYIPTRKQQEEDKKRYRKRQDLLLTQRESIYGSKPSPRRSSSFRKPSGYIINNGNGSVPPTPRRSSVGKATPDLLLTPRSYSGHHRQNGYFKEVRRLTPTPLNFVAIQKEDTVSSTYLHIDL >A03p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12828153:12829457:1 gene:A03p030550.1_BraROA transcript:A03p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTFSIPKPLVDFGNKPMILHQIEALKAAGVTEVVLAINHQQPEVMLNFVKEYEKKLEMKITFSQETEPLGTAGPLALARDKLIDESGKPFFVLNSDVICEYPLLEMIEFHKNHGAEATIMVTKVDDPSKYGVVVMEKEGEEETRVESFVEKPKYFVGDKINAGIYLLNPSVLDMIELRRTSIEKEIFPKIASEKKLYAMVLPGFWMDIGQPKDYLTGQRMYLRYLRKNAPEELLASSGDHVIGNVIADETAVIGERCLIGPDVVIGPGCVIESGVRLFGCTVMRGSRIKEHACVSDSIVGWDSTVGSWARVANITVLGKDVRVADAEVYSSGASIQEQII >A06p009290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3264283:3266894:-1 gene:A06p009290.1_BraROA transcript:A06p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAAGSTMTLKDFHGGSIPSDLALPSAPGVVPKVTTDRAFVDRAPWGGRPDQRTRPSSSHTLRNFDDKSLFLPHTANIGRNFSEDERRPLDGHSAPRRMVSDDVFRVANSRLEVKADSGLTGRHGGWSAAAGTVDGGSSVSGTNPNVWAARKEVPVVVANDEVGRSSWNTQPPVSNLVHSSAIEQVSSGRWQTKLLVPSQMGFDVVKHSEMESRGYKGNSHVVNQGDGTHGTYVERGLVAEDGIQGGRKFAREYEKLPGPTYLDAKEVKAVPHPNYSDVRPAGHFVQPSATSEAVERPKLNLLPRTKPIESVEKSVIDGKLENGASNLIQRETGYVAQKNMNVSKPGLSADEIPNKPVERPKLNLKPVAQLLEKPEVKTEKERNAVFGGARPRELVLKERGIDETEHHKLEQQLERTALNPIERVPVPEHAVQRPVSSPRDLRTTRKFDQKDVRSVSDVARSETQRRNWRDNDVKNPRQQPQAQEKTRHPSPETWRKPVPQKPESPDGTGHRPGKAASPLELAQAYSDTKSGTGSSSFNVSRNNQTQQPFSRLVGSTTTKPKHINGY >A06g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5166898:5167593:1 gene:A06g501370.1_BraROA transcript:A06g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMPFQLFKTCYAENNPNTIYVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTEAIFYGDAQYFRRIPRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYLCQCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFRDFEPISLFRDTSKW >A04p023350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14160817:14163764:1 gene:A04p023350.1_BraROA transcript:A04p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAWPWKKKSMEKTVVESNGEIEKVLADKIELENRLKSLNDKLTSVEAESNKHKTETQEAIIGWEKTKAESASLKKKLEEALNEKHMSDERSAHTDAGLKECMQQLRFVRDEQERRMHDALTKASHEHERRLMVIKTELADTSKKLAEAEGENTQLSKALLAKSKTVEDLITERERIRADNIALVSSLESKEKENVTLRYEVRVVEKELELRNEEREFSRRTAEASHKLHLENVKKVAKLEQECQRLRVLVRKRLPGPAAMSKMRSEVEMLGRGRVNGSTNGAMISNLTEQLCLLEEENKTLRDALNKKVNELQFSRSMYSRTACRLLEFEESSKGTTNIEPSRSSNVSHEVSLASLSEFENDDKVSCSDSWASALQRGTSLVRTPKASEMKLMDDFAEMEKLASTVPGSSPIFSSDSVSASGPVENESNEDIKSDSTSKVPQSLHTVLKAITEHKSITQRNTDEVLEEIRQALSGVKQTETLTVEESAPDTECEISKSIRRIVEVIEGVSLKDERLSGYTARVLQWKTTELSSVMQRFLQTCYDLMDRKADVKKFAEELSSVVEWMVNHCFSLQDVSSMREEIKKQFEWDESRSGSEVDIGLFGLISEADELKKTDDQLIEEEAKDKTASVSKNELKLEEKQTMRTELEITAASEKLAECQETILNLGKQLKALTNSKETGLLPDNLTPDFTTALPSQETKPEKRLTTQRSSLLDQMKAEDQDNGDYKEEEEKPQAVDKMGKGGSSVYSETIEALEQILLSDKKSKGSESNCFAVVPQKKSSGGKSLWRKLLGRKKKSKTIKLPNPFAT >A07p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19030732:19032704:1 gene:A07p035130.1_BraROA transcript:A07p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDENKHGVVGPMNPRNGQTRRALSNINKNIIRAPLYPCAVNKRPLSQKNGVCHKKIPPVPVHRPITRKFAAQLAENKPQIHKQQEGIEMEEEEEEESVMDIDSSDKNNPLAVVEYINDIYDFYKNNECRSCVPPNYMENQPDINERMRGILVDWLIEVHYKFELMEETLYLTINLIDRFLAVTQHVPRKKLQLVGVTAMLLACKYEEVSVPVVDDLIVISDKAYTRREVLDMEKLMANTLQFNFCLPTPYVFIRRFLKAAQSDKKVELLSFFIIEPCLVEYEMLQYAPSQLAASAIYTAQSTLKGFEEWSKTCEFYTGYTEEKLMECSRKMVGLHHKAGTGKLTGVYRKYNTSKFGYASRTEPAGFLLL >SC203g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:35754:37038:1 gene:SC203g500010.1_BraROA transcript:SC203g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCAYLGRGSTDGTDLYGSVRTETTRGNTTWPFEMADKKKSGREASKGNQEDPLWRQATNESKDGADWLLWRMDRLALAVKPKGATTVNMLAPLELIHTHNLRSLKISYGIRASSKLSPCRLKQEEAVCSIRLFNTSRGRRVHPGVQKLSAAVPYHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWSAH >A03p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11336634:11337492:1 gene:A03p027190.1_BraROA transcript:A03p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSNSHSIVKSFSLSCKHEKSRVDSWIRTVLESGFLELHLKIVSTQCIESQLFTSNTLVQLTLYGGFCFDGTLTPPRSGVFFPNLKTLNLVSVWFWDDNMFEFLISGYPFLDVLLLHYGASDGLGLSSSIGVSNPSLKRLTVSYHFLAPDVEVFRTPSLGLVHKVTERCGDACVCIAKKRKKKMEEEELCCLSACQVKVLNISGYGGSRRELKQMRHFLGNLKCLVTVKVGVKAKKTTMLTITTRESPVLLLSFP >A10p030820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18579395:18579988:1 gene:A10p030820.1_BraROA transcript:A10p030820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENPHRVSRKHNGGTHCQNDAVYEESRRQGHHIHGDKVKCSGKRCRSWAAAAIADCVALCCCPCAIINFLTLTFVKVPWMIGRRCLGRSRNKKKKRKLHMRQDRGKFICEDVFEMAGGDEKCGGGVGCCGGGDYDDHRFVVERDGSLTKEEDKTTTSSRGDDESRISARVEAERVWLELYQIGHLGFGRVSFTGIQ >A03p068520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30006632:30011154:1 gene:A03p068520.1_BraROA transcript:A03p068520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPIASTTAMKVEVLPVLTLLMLPEELILSCLVRVPRLYYPTLTLSFCKTHSLLARTESCLYVWFQFQNDENTHWFTLYRNPYQTVDDNSQTNKLGGYKLVPIPSLDSPPSAMSGVAAVGSDIYAVGGSIDGVSSSSVFVMDTHYHTWCKAPRGWGKLDYTNWMEVFDTKIRTWEFMPSLGSESFDYENMALEGSIYVRSNEKGETFKLNKVRWRAADLALTRGWSYNWKRKWREVKRLKGRLPMSSKNDHYRLADYGGNLVFLWEELVHILRMFKTKRAKERRYVEQLNGVTLCLQYTKQENLLMSSTTIASTTAMKVEVPPVLTLLMLPEELILSCLVRVPRLYYPTLTLVSKSFRSLINSPELCKTRSLLARTESCLYVWFQFQNDENTHWFTLYRNPYQTVDDNSQTNKLGGYKLVPIPSLDSPPSAMSGVAAVGSDIYAIGGSIDGVSSSSVFVMDTHYHTWCKAPSMHVARVSPSASVLDGKIYVTSGGGWGKLDNTNWMEVFDTKTRTWEFMPSPGSESFDYENMALEGSIYVRSNEKGETFKLNKGRWRAADLALTSGWTYNWKRQWREVKRLKGRLPMSSKNDHYRLADYGGNLVFLWEELVHTKNVQNKTLVWCAEISFKRRQRTEISGTVEWCDVVFIYTKQGDLMHVIATTA >A06g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11734547:11735483:1 gene:A06g503780.1_BraROA transcript:A06g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWTSSLSIWEKPNRVLLLSHHLRSSWLLHRRLSTRFMVIDISVIIWTILSICTRRSMGLWRMMDMRLFSQVECYSPVLESLVRHYSIDGFLLHMMHVCIFSRGSRFEHTQFLHQKAPWPEDIKGLMHSPFSVLKSVWDGSRCNRWIASNDLLREKWRLLTRTESHKKDLTSSRTKWRKASESVSSMNVFGSIVSLLYLFNVHFSNMFIEIYVSCNLLH >A06g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6101401:6103966:1 gene:A06g501600.1_BraROA transcript:A06g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSLDISCDQTLNHTCGCLFGDGNYIHTMEANLKALEKAMQELEERRDDLRRRVVIEEDKGLQRLSQVQGWFSRKCITSCNYGKKVLNMLKEVEGLLAKGVFEVVAEKVPVPKVEKKHIQTTVGLDSMVDKAWDRLMKDDKRTLGLYGMGGVGKTTLLACINNRFFQVVNGFDVVMWVVVSKDLQNEGVQEQILRRLCLDKEWKQETENERASRIKNILNRKKFVLLLDDLWSEIDLNKVGVPPPTGENGSKLVFTTRSKEVCKDMEVDDMMEVVCLSKNEAWELFQQKGGDNPIKSHHDVLPLARKIAEKCCGLPLALCVIGKAMACKETVQEWHHAINVLSSSSHEFPGMEEKILAILKFSYDSLKDEKVKMCFLYCSLFPEDYEIGKEKLIGYWIHEGFLDENINEDGAKNQGYDIIGSLVHSHLLMHGVLTLTVKMHDVIREMALWIASKSKETFCARPGAHLRHIPKDIKWELVRKMSLMSNQITEISCCAKCPKLSTLLLQNNKLVEISGEFFRFMPALVILDLSRNFSLSGLPEEISNLDGLKKLRRLVELNLEFTRELESVSGIATSVPNLQVLKLFCSRVCVDDILMKEIQILEHLSILTATVEDVMILRSIQEADRLARSIRSLCLSNMSAPVVVLNTIALGRLERFTIWNSKISEIKIDCESNGSLQCPNSPGFKQLSAVHVARLEGPSSIEEILNREKGMSIINAHPNSVVVPFEKLELLRASDLDELKSICWSALDVPNLRQFYSQGCPKLPEANTESFRHVERVESV >A08p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1851562:1852151:-1 gene:A08p003310.1_BraROA transcript:A08p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYTKSADPTEREARTERMRLAEEKGEMEETAIQMARTALSASAERQRRANEETNVEETTERVPATLRLGPASHRLSTSGGKNTAASGSQTHERLPASLRLGPVPPHILSQEGDDTAQEPLSGERLSATLRLGPMRPSPPSREKNAEAGVIAKRKPGRPPGKKAAEKTNQDQAPETKRRRETAS >A03g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10962509:10963697:-1 gene:A03g503340.1_BraROA transcript:A03g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANDGLDANQRWISDITRISSPRWSRRIQHQQAVIETAMLNEKVRTKDLQIQKMEKETSKLGKTRKRWILLNSCLKISWKWVNMMRCQSLKLYISANIETNEMVVQYQRHQSWHEHSTRRPRAEFLGIQKSHKTVFPGLEFGSRVSRNDYVPSYGSLILGFRASEVTTPLELS >A04g501920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4589077:4589343:-1 gene:A04g501920.1_BraROA transcript:A04g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTEGGARRSGSDEAVVRRRMTAETISGGGACNSRAHRGETSGGSSGFVRTPIAAWSVATASSRREEHDGGSACARFATVRKLWPMY >A01p015510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7580265:7582522:1 gene:A01p015510.1_BraROA transcript:A01p015510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMSNRVIGFLNILTLVSSIVIIGSALWMGKSKTTCEHFLQKPLLVLGVAIMVLSLAGLIGACCDVAWVLWVYLFFMVFTIVALMGLTLFGFIVTSHGGGVGVTGRVYKEFKLEEYHPWLKTRVMDANYWLTIKTCLLSSLTCSKLSLWTPIDYLQKDLTPLQSGCCKPPTSCVYNTETPIQQESDCYRWNNAATVLCYDCDSCRAGVLETVRRDWHKLSIGNVVIVLFLIAIYCVGCCAFKNAKRPQYYGFPYGRYGMSKSRPGWEQSWSRWWHGRDRYY >A06p003990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:978821:980556:1 gene:A06p003990.1_BraROA transcript:A06p003990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVQPSSSPLRTSEGDEKYANVKWEELGFSLIPTDCMYVAKCRPGESFTEGKIVPYGDISISPCCPILNYGQGLFEGLKAYRTEDDRILIFRPHENALRMQDGAERLCMSSPSVEFFVEAVKQTVLANKKWVPPPGKGALYIRPLLIGSGAILGVAPAPEYTFLIYASPVGDYHKASSGLNLKVDHKSHRAHSGGTGGVKSCTNYSPVVKSLVEAKSSGFSDVLFLDAATGRNIEEASACNIFIVKGNIVSTPPTSGTILPGITRKSVSELARDIGYQVQERDVSVDELLEADEVFCTGTAVVVKAVETVTFHDKKVKYRTGEEALSKKLHSMLTSIQTGLVEDTKGWMVDIDPCQG >A09p041490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:23532696:23534030:1 gene:A09p041490.1_BraROA transcript:A09p041490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVRFSMNALGSRTIRDCLRSFAFTFLSRLLIMNSIPPDLCYEIFSRLSTKTIARCRCVSKLWRSILRSADFTELFLTKSSARPSLLFALKRATNEFHFYSSPQIHIQNEKSSLAAYCKLKLPDDMQLIFYSHASGLFCFRYEPISKKDEHTAHVICNPSTGETVFLPKRRTGHKSFLGFDPIDKVFKVVSPIAGRSPYGCIHNILTLGTGEMRWRKIQCSLSHCPDSSGGICINGALYYLATGRDAASYVVCFDVRSENFKFIQADFKLYKARSLINYKGKLGVIIWTGHNSGYLGGEIGLNRTHELHIRVLEDVVKHDWSEYAYTLPDDKFGYTGRRVSFVSVAGVTATGEIVLYCDFGAIFYFHPERNTIQRVQIQGFENHDRVYAFVNHVDDLTLNIKPHRVQQDLLTFDMNYHQLQQHVPRFEKINKFAALSLLEDE >A07p028250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15985244:15988385:-1 gene:A07p028250.1_BraROA transcript:A07p028250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDWDDEELTNMIWGDEGETGDHIVPFKVRNRKEQGDESKAVKPAEQKTTHLHDSKLGGNSSGQNIECMSSWPDSSVSNARKADPRPGSSETQLSKCLAEPEPARYDSTRSGAFLETVLFYLEKASELAKGPDIFHSTDESKEQGDFDEYGWANIGSFDDLDRMFSSDVPIFGDGTLSGADELWSSSKDASKPLPSILDSQDLGLDIRTEFEQQENQQFPLTGETNGGPSSQSAPRVRVTPKAEQYHEHKRQSSVDDQPYQQNKMMKFSEMLGTHEAGASQDPYGQRTLSRRKFVNQFGPSRSSMMGVNLQSESQGSGTSHYPHMPNQYMATSGFGNPYSTVPVVSAFQRPDVNKNQLMHPSYNPASAISANMVTDAAARPSTMTPQEKLEKLRRRQQMQAMLAIQRQQQQFRHQVPVADQSITQLVDKTSLQGLTAIPSFDPNSSLELDDSGNFAAAVDNPSEFSVLYRLQDVVAKLDMETRTCIRDSLFRLADSAGQRHHTSDTPHSNKTSQDDKEVIPQEKSRYRYAGMLDTETVTNPTDRTVAHLLFHRPFDMSAAKHTEGLESPSSSKMGTEVKGSFPSTRERRMNKQKAKEEDVPVGSVALGYASNSGSSSTVGERFRFAVLEKSLRKSAA >A04p021670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13111752:13114780:-1 gene:A04p021670.1_BraROA transcript:A04p021670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAILGFLQNNDEIAHSGYFAAEHNLDHEELKNVIKSLQAFRYIEAKVLKREFYGLTDEGKKYAAEGSPEFHFFSAVPEEGTISMDDLQRILDPFVFDVGSKQAPKKKWVAMGQQVSRTVQHVEDKVKEMLLQIQQGLELDQESLKSLKYRKLIILTEKWSGYSEVKKGANYAPERRSLATDLTRENLQNWQELDFKEYNVNAKGQPVDSGHLHPLLKVRKQFKDIFCQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPSTTRELPEDYVARVKQVHESGGFGSRGYNCEWKREEANKNLLRTHTTAVSSRMLYELAKGPFTPKKYFSIDRVFRNEAVDKTHLAEFHQIEGVVCDRGLTLGDLIGVLQEFFSRLGMSELRFKPAYNPYTEPSMEIFSYHAGLEKWVEIGNSGMFRPEMLRPMGLPEDVRVIAWGLSLERPTMILYECDNIRELFGHKVDLKKVKENPICRIGIESI >A08g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2579181:2580332:-1 gene:A08g501000.1_BraROA transcript:A08g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYFTEKASSVQSVIFYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVLIHEFRSVEVLLDTPPGCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSSRPWGFGQVLSDQPAASRLEHCELVPVIFKDSFIAGGWTIWITLLVLRVLGHIGRTTGTMVSLVESCLVRIWNFIFRLGSRLSLCCVSVPTCFLGLVPSGFKETPYSLDREDSERRDHGLWLMTRRTVGYRAVTRRTVGRGRLKVPSSGLCGREIGYCR >A02g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25443778:25444647:1 gene:A02g509580.1_BraROA transcript:A02g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSTDVSHAPEFTYSNVLPKASHLWILSGGSCGSSLIIFSIHKDQDQMNIPFLSVERKSISSLSVNNDQVSQNNFSSDFSDKTKSEIPNYSELNGIVEFGFISKKAKKKISHSIPIDSIASESVHTTFIYRRKSSEILKYGTLKADSIVQKEDMIEYRGVQKFKTKYEMKVDRFFFIPEEVHILPESSAIMKLSRRVDPSGEKKKGLNPKYFWGISIFRTRQIIYPDIVAS >A04g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10235769:10236148:-1 gene:A04g504770.1_BraROA transcript:A04g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIRKTLIEHAEKLRQVKAVLEERGNFSGIYMKVQLKPLKWDGEGEE >A05p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21089337:21101314:-1 gene:A05p037170.1_BraROA transcript:A05p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEAKSRNRIHLPEDLLVEILSRVPDASLARFLSTSKEWNSLIKTEERLRKKSLVVMLIDGRVYFARLDLHGITDDNVVKVKSQFSLNDYPLSIRDIFHCDGLLLCTTMDERLVVWNPCSGETSRIIKPLNSKYSFDVYALGKSSCNNEYKILRVHHHGDGWMSPCLVKYEIYDFTSNSWRVVGEIRGWTFPGPWRHGTSVDGNTYWLSFDFSQDRQRPKNTLRYFDYSTERFGLVSLPGDPLSYHPFALSVTREEQNLCLLTSCVKLLDIGVWMAIKIKGTGDMSWSKLLTVKRTSSDQFFKLCKGVSFLADRKNKVIVHPIKDVNFRNFLHIVGEDKYRKVNLCAVGYALPVSCDPTLVQIQQGSLGLGTWKEPITNTVLKLSENSLLKKMLKSRLLLKVMKKRRRFLMSEKEKKSRNTIYLPEDLIVEILSRVPRTSLARFRSTSKGWNALIEKDERLAKNSSLVVMLIYRKVYLVRLDLHGIQDDNIVKVISQFSLNDPLSTYSLKEVDIHNVFHCDGLLLCTTMDGRLVVWNPCSGETSRIITPLQPYNTSDTYALGQSSCNNEYKILRVHHGHDGRGYGQPCLVRYEIYDFTSISWRVVGETRDWSIPRIWRHGTSVNGNTYWLTYTYSQDSPTGMGNTLRCFDFSTERFGCVSLPSDPLSYNVFALSVTREDQNLCLLTSRDEVHDIDIWMATNIKSTGDMSWTKIIKVERTHPREFLGLRTEMTFLVDRDHKVLLHPTKYKNFSNCLHIVGKDKYIQVDLHDVGSKRSLPVSNDPTLVQIQQGSSGVDKWKAPIIKGGRSLTTEKVKKRRNTLYLPEYLLVEILSRVPETSLARFQSTSKGWNALIKRDGRLVNNSLFVMKSVYATFFTAMVYCYAPPLTKD >A03p027270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11368421:11369557:1 gene:A03p027270.1_BraROA transcript:A03p027270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMTALVTGGASGVGYAIVEELASFGARIHVCDISETFLNQSLSEWEKKGFQVSGSICDVTSRPQRETLIQKVAALFDGKLNILVNNVGVLRGKPTTEYVAEDFTFHISTNLEPAFHFSQLSHPLLKASGYGSIIFISSVAGIVSVDCGSIYGLTKGALNQLTRNLACEWAKDGIRSNAVSPNVIRTPLSQSYLDNVGYKEGLFNRTPLGRAAEPNDVASLVVFLCLPAASYITGQTICVDGGFTVNGFSYQPHA >A01g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9569309:9573399:1 gene:A01g502960.1_BraROA transcript:A01g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPKIGLICFFPVEGTLSIIILSEYLLTYTFSSGMGSEAVSKPVMHNDDDDNKPLVFKKSVVIPSTVKDGDSEDDTPLRSIISIAPEQKINGNKGLDRSSRIIRHEESDDDEAPISSRLQKKKHVNEISSSDEKKHLVKKLQNGSKLESERPKVSGKRLLEKDSSEDQSSMKKHKASSSTAKQDSAKAESLSGKRKAVVSTNETDDDDDDDDVPISKRIKTDSSSNIKTPPTKPTVTKQSSTSSTRKQNVTRVASPLPKKRSKRSKKNSDYAKSSKSLRSGDGKKKWTTLVHNGVTFPPPYEPHGSKILYKGKPVNLSPEQEEVATMFAVMRETDYYNNPLFRKNFWSDWRKLLGKKHVIQTLDDCDFTPIYEWHLEKKQKNKEKKEKKQEQEEKYMWAIIDGVKEKIGNYKVEPPGLFRGRGEHPKMGKFKRRIHPHDITLNIGKDAPIPECPIPGERWKEIKHDNTVSWLAMWNDPVILKKDKYVGLSASSSQKGQSDKDKYENARKLKDHIESIREAYTKNFTSKDVLKRQIAVATYLIDKLALRAGTDKDDDEADTVGCCTLKVDHVECIHPNQLKFDFLGKDSIRYENTVEVEPPVYKAIGQFKAGKSNSDDLFDEIDANKLNAHLKELMPGLTAKVFRTYNASITLDKTLRRETKDGVDVNQKKIVYDQANKEVAIICNHQRAVTKSHGAQVKKLKDKIDELKEGLKQLKTNLKRAKKGEHPLEGSDGKKTRNITPEAWEKKITQQKMKAEKMERDMQTKEDLKTVALGTSKINYMDPRITVAWCKRNEVPIEKMFTKTLQEKFTWAMDVEPDFRF >A03p052100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20222750:20225863:-1 gene:A03p052100.1_BraROA transcript:A03p052100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHVACPITCRKICDCLLGFPRNLYRKEVKDVFLNDIHSLQNFLPDPFDAGGVFKDGTVQIHVPRDVVAVGEDSEVKVEASTQGKRNVVLLKKKKVAEDSTANPDSDNLMVPVTEINGEDHDHHGASITCHMCYMVEVGKSERAKMLSCKCCGKKYHRNCLKSWAQHRDLFNWSSWACPSCRTCEGCGTSGDPKKLMFCKRCDDAYHCDCQQPRHKTVTSGPYLCHKHTKCYSCRSKVPGNGQSLRWFLGHTCCDACGRLFVKGNYCPVCLKVYRDSEATPMVCCDFCQRWVHCTCDGISDERYMQFQVDGHLQYKCSTCRGESYQIKDLEDAAQEIWKRKDNDEKELIASLRASALRKTGGASLINQTGSVERKVAEKVMVSGEEEKPLRVVRIKSSRHQDSDNEKHSTEPNPVKAKKLVIKSIDPRKAEVTNLMSCDVSKHASKSNGKHGKLESEETASEQQRSLLGKCNDEKRVSQDEVDTCKVRGDINGGQQGELQRDSRPLLKLKIKKHNPESQEQREAPRIVYERSKSRKGHRSKRKRGSPPTDEKSGFNEDEDVSLSREESLLDASWILKKLGEDAKGKKVQIHDASDDSWEKGVVSEVEGDGDGGASKLTVRLENGEVKTVELGKQGVRFIPQEQKRTRT >A06g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11785718:11786675:1 gene:A06g503820.1_BraROA transcript:A06g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNKVMQVVLVILLLMKSVMPSLEPQGCLLYGDAGLLIADMPNEEVEKVSSLSCSPLFYKATVKDLCCIHLSLGFPVSSKFFFWLAFPDTTSGTHFYFGNECLTGQSFLAAFTSSKYGDVQKIETVTLAELNNYVLNSPPQSGGPNNTSSSNFCSQEISDAQEDPLHLRDPTQEFMSYKQRLRSH >A03p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18121160:18124181:-1 gene:A03p043300.1_BraROA transcript:A03p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEKKCSDEVKKRIRKRNRGKKNEQQRAEEEEETHLVEENADEVHNKKENKVKKVKSQGGDKTEEEVEAKEEEEETKMVVVGKGIMTNETFESLELSEQTFEAIKTMGFEHMTQIQAGSIPPLLEGKDVLGAARTGSGKTLAFLIPAVELLFKERFSPRNGTGVIVICPTRELAIQTKNVAEELLKHHSLTVSMVIGGNNRRTEAQRIANGSNLLIATPGRLLDHLQHTKGFIYKHLKCLVIDEADRILEENFEEDMNKILKILPKTRQTALFSATQTSKVQDLARVSLTSPVLVDVDDGRRKVTNEGLEQGYCVVPSEKRLLLLISFLKKNLNKKIMVFFSTCKSVQFHAEIMKLINVESCDIHGGLDQNRRTKTFFDFMKAEKGILLCTDVAARGLDIPSVDWIIQYDPPDKPTEYIHRVGRTARGEGAKGKALLVLIPEELQFIRYLKAAKVPVKELEFNEKKLLNVRSALEKYVANDYNLNKIAKEAYRAYIAAYNSHSLKDIFNVHRLDLQAVALSFCFSSPPKVHLNIESGAGKVRKARNQQGRNGFSPYSPYGKGKSTPKEA >A07g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15167640:15169852:-1 gene:A07g506340.1_BraROA transcript:A07g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKTISRSLFEFKRVPLYGVEDDRRWKMMSPRYPSLLFHHELKSKRIKKIKSKTYHRLKNKDLKNSSLGALIDPEMAKEEAMRQEAKRVEERVTLKHKNTGKWAKRMLSRGLNVKYDGTKAAIAKQLQMNANLSRKMNSMRDGSSSDVRDDEEELRDSSDEDTPSRLIAKAKEKTLKALEDDELPNVVLMSLPFMKFDDDVAGNPAHKTTFDVAMFASGSWKKMTGSKNTESKKASKKTRAPIPQAQDKKIFDICRKGNLCGSFSSRAYKPSFVGDDVLDEFEKDKD >A01p055540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31426358:31429401:-1 gene:A01p055540.1_BraROA transcript:A01p055540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMHRAPLISSSSSSSSSSSNSFVSRLLLLLTLLPVSLACLAFILQWRGGGLADPASASVISSTSVPGGNSDLNHEVFPGMETVSSVSPKAHQSSSDCSNLARSSSPSFPYYGDWKFGVDSNLKPKICITTSTSAGLDQILPWMFYHKVLGVSTFFLFVEGKAATPSISKVLESIPGVKVIYRTKDLEEKQAKRDCSIVVVSSTTDQFLFCFISRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIYPAGAREYSLRRLLLDVPPNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGMYKEATRNNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPNEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSVVIQALKESGVFSSVVSSASSNLSKKQFLASMHKSNSSKTTESEVLTSKDKESQGISARHLLGTESAVPPLSPPGMEHARFVTED >A09g510480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31779562:31788347:1 gene:A09g510480.1_BraROA transcript:A09g510480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAEAWRVPLVPHPVLYLLRWRLSLEAKEGSFELMVQDGGHELKEKEVGDDLDSQFQQQSWPVSQNAKGINLVPCCSQEVFSAHHLSKTRGRLEPLCGAMGRYLCVEAGLRSTGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGRLLPTFCGKSTANYLLWSRMVKTAIGGRGLWSHCLTDAPKLSKTAGSNESSADGESGSKSVSEEKWEQEDLKSLVYRKCSMGHYAMRSVSCETLYDDSNTLVPG >A01p004280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1833351:1835991:1 gene:A01p004280.1_BraROA transcript:A01p004280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLIKRLEAAVTRLEGISSTGGGGVTTLSRGGDFSAGTDAAASSDPSILAYEDLISQCVGRALSAAEKIGGPVLDVTKIVAEAFATQKDLLVRIKQTQKPDMAGLAGFLKPLNDVTMKADAMTQGRRSDFFNHLKAASDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEYRNKDANHVEWAKALKELYLPGLRDYVKSHYALGPVWNASGKPASAPPAKGPPGAPAPPPAPVFSSESSKPSSSSNQKQGMSAVFQQLSSGAVTSGLRKVTDDMKTKNRADRSGAVSSIEKETRTTKPAFSKTGPPKLELQMGRKWAVENQIGKKDLVISDCDAKQSVYVFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAAFEIVNCTNVEVQCQGSAPTVSVDNTTGCQLYLNKDSLETAITTAKSSEINVMVPGTSPDGDWVEHALPQQYNHVFTEGKFETTPVSHSGA >A06p046260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24759182:24759519:1 gene:A06p046260.1_BraROA transcript:A06p046260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKRSKDVVMSDIPTFSASEEPQSHSEQPDLSVYYCWSPCRNNRIHWLDRLSVLLCGDADHITWAHGQGRLLS >A01p051760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29014444:29018296:-1 gene:A01p051760.1_BraROA transcript:A01p051760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSGSSGRPIGRPPFTPTQWQELEHQALIYKYMVSGVPVPPDLIFSIRRSLDSSLVSRLLPHQPLGWGCYQMGFGRKQDPEPGRCRRTDGKKWRCSREAHPDSKYCEKHMHRGRNRAKKSIDQSQTAAPLTSSSLSFPNNIPSPTLSSSTTTTYSVSSSSPLAEAYSNSNRIGAGSSNSRDYFNNHSFDYPYPSTPPKQQQQQTLSHVSALSLHQNTFTPQLDVLASATDHKDFRYFQGIGDRVGVGERTFFPEASRSFQDSPYHHHQQPLATVVDDPYDCTTDHKFDHHHTYSSSSQHDRHNDQDHRQQQCFVLGADMFNKPTRTVLENTSRQDHLNQEEEEKDSSDTKKSLHHFFGEEWTQNKNSSDSWLDLSSHSRLDTGM >A08p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20533269:20536696:1 gene:A08p034510.1_BraROA transcript:A08p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EX2 [Source:Projected from Arabidopsis thaliana (AT1G27510) UniProtKB/TrEMBL;Acc:A0A178WE62] MASTNPCLIGQRISVPQFHLLFNSKPPNHELSTNKRSNFCVSIASSTSNRNPGKSYITCPRRNCAAVDGPETSSSKDKWDWDRWSRHFDEIEEIDGVVSLLKVQLEEAIEREDFGEAAKLKAAIGEATVSDAVAEIMCHLQTAIREERYHDASKLCRQTGSGLVGWWVCYPQDSEEPFGRIVRITPGVGRFIGRSYSPRQLVTAAAGTPLFEIFVVNDTDGEYVMQVVYLQHAKQKPSIPESSYVSSQQSSNASAESPSILDAGGSEVKVDKKKDKLLNAEEPTEEGIQNVIKFLKDKIPGLKLMKVMDIELPDEEIVGSDDDLVELVGEVIEEAGSADEEEDDDDSSEEIASMDRADNGKDLNPKVFIGGVLHSTEDFSIDDELVRVTADIMDNERDSFTLHVPGRRKSDVDTRKDRVSKEQVTALAAQGLSDLMPPEVAKAFWGSERPSLKVSRNVREIVKLAISQAQKGNRLSEYTRFNRIITPESDLDPFDGLYVGAFGPYGTEIVQLKRKYGNWNDAEESDSSDIEFFEYVEAVKLTGDPNVPAGQVTFRARIGKGSRMRDRGMYPEEMGVLASYMGEGRIADFGFKNPRWVGGQLLKLNGKGMGPYVTGGDLGFLYVGPEKSFMVVFNRLRLPE >A03p031370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13224671:13226067:1 gene:A03p031370.1_BraROA transcript:A03p031370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLRKSNTRIRKMVRISTLYLIIIISVFSDFFASRTAISKSILQHDADGVKIRATVTVSKNGFGNFSRINDAVAMAPNNSNGDEGFFHIKIMEGEYEEYIKIDQNKRYLMMSGAGINRTIITGNHSYEDGWGIDVSATFIASGSHFICKHLTIRNTAGPTKGQALALMCSGDLSVFYNCSIEGYQDTLWVRSGKQFYRECDVYGTIDFIFGNAVVVLQKCNLYARRPSKGNGNEITAQGRTNPKDQSGIVIQGCAIKPTHDLARSNYTVKTYLAGWDKSSGEALRTLYFAEYNNYGTGSNTTNRVTWPSYHIISATIASNFTVSSFIDGDSWIPQTKVPYIGGLVP >A08p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22470054:22472556:-1 gene:A08p038910.1_BraROA transcript:A08p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTVSLVTIPETGVTLHIDPTKEGSLPDANMDGSSRKKPKRRKKKKKEEEALCVEEKTLDVVEKTTEEDQLHPPKNPLSNIRIQEDSSHQGQDSCEKPEDSQSGAVDMSSSERKKKRRKKKKSNDINALRESGVDTSSLVTMECDARHSSEAQGSKLKTTKEKNGDGTVDKQKGADAEADDTVSETQNPKVKKKKRRKTKTTEVREAVEDTLATSMESGPVECMETASVCEVKSKTRKRKKKKTSLSDQETADMEVCDPSENTLATSMESRPVECMGTASVCEVKSKKRKRKKKKTSLSDQETADMEVCDPSENTLPGTIESASVECLLDHSNKEVLENCDKNAGQELVAEKETKDEIGEPKQKRKKKKKKESCELSEEVSEPKQKKKKKKKKKSCEDDKTDNMDVEKKDDVSVPRSERELESDGIKLGTSLSSSVLIPDNGVASQETGDVPRCSCKGQSTRKLVVFDLNGILADIARGNTGKCVPDGKISLRSVFKRPFVATFLDFCFEKFDVGIWSSRRIGLNYMTNIVMGRHAKNLLFSFDQRICITTKFKTLGNTTKPLFLKNLRTVWNRFGTCLSCGKRKYDETNTLLVDDSPDKALCNPPHTGIFPFPYQYTDREDSALGPDGELMKYMERLVDAENVQKFVEKNPMGQSAITETHESWSFYSRVIKAHKS >A07p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13696463:13698945:-1 gene:A07p023600.1_BraROA transcript:A07p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSRIDEDKALQLCRERKKFVQQALDGRCLLAAAHVSYVQSLRSTGTALRRFAETEVPVESSLYTSTSATPEQALALTEKSVSHLSYSPPLSSPPPPSSSPFQVNHMKFRGFSSKKVEEKPPVTVVATVSVTSSSSVLRSMSMEKMESSTPFEESSSTPPPWDYFGLSHPIDSQFSSPHGHVSSSVKGEDEETREVEEEEEEEEEDGENFSFQEREYSNGSDDEFDEPTSDTLVRSFENFNRVRQREGAESEKSKTPELSPPVTPLAAAAATPLKKTPNHSENRLPPPRDFLSSMKEVEMLFVKASETGKEVPRMLEANKLHFRPIAQSNQSGTGASSLFKTCLSCGEDPKDVPEEEAAPNTMKYLTWHRTESSRSSSSLNPLGGMNSDDVEELNTNLFENIGMIAGSHASTLDRLYAWERKLYDEVKGSQAVRREYDDKCKILRELESEGKGSKIIDKTRSVVKDLHSRIRVAIHRIDSISRRIEELRDNELQPQLEELIQGLSRMWEVMFECHKAQFQLISACHRRGNIKLNMQSELHRQVTSHLESELSALASSLTKWITGQRSYIKAIHEWLEKCVVLPRPSKRKRRAHQQPVLRNLGPPIYATCAIWLEKLEALPAKEVSSSIKALASDVARFLPRQEKKNRSKKQNDHMLRDETLEDCGPGFDRFRTSLEGFAGQLNRFAESSVEMYEELKQRIQEAKINYEQWKKACSQGN >A09p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1161110:1162822:1 gene:A09p001610.1_BraROA transcript:A09p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYVEKKHWWLRNKKIVDKYMKEAKNLIASQDPNDVESALSLLESALSVSPRYELALELKARSLLFLRRFKDVADMLHDYIPSLKFSGEDSGIGSSESSRESVSLLNELPSHGGESGDSSFKCFSVSDLKKKVMAGLSKNCNEQGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLATAALRRQSISLSDDSFILFSSSSDGGGSSPPSSARFLTESDHVLSHIKLLLRRRAAALAALDAGLYSESIRHFSKILDSRRAAPQGFLAECFMHRASAYRSAGRIAESVADCNKTLALDPSCLRALETRAALLESVRCFPDSLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCVLTSRIQQLKERIANGGIGNVDYYALMGIRRDCSRSELDRAYLLLNLKHKPERSMSFIDRFELTEDEEELDSVKDRARMSTLLLYRLIQKGYSVVTSDLKQRRVAGTDTPIRAGAANNNVNVVKGVFCRDLTVVGSLIARTGFNQPIPVKYEALSC >A07p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15675671:15679628:1 gene:A07p027490.1_BraROA transcript:A07p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQPESSDPKGAKRDFSTAILEKKKAVNRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTVLLKGKKRKDTVCIALADDTCDEPKIRMNKVVRSNLRVRLGDVISIHQCPDVKYGKRVHILPLDDTIEGITGNIFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPDEYCVVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEGEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERVSKDTHGYVGADLAALCTEGALQCIREKMDVIDLEDEEIDAEILNSMAVTNEHFQTALGNSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRYQIFKSCLRKSPVAKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERKKAETPEAMEEDEEEIAEIKASHFEESMKFARRSVSDADIRKYQAFAQTLQQSRGIGSEFRFPDATGTGGAAAMAGGADPFATTGGAAEDDDLYS >A03p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7906884:7909882:1 gene:A03p019400.1_BraROA transcript:A03p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKFSTRSSGMWKFPIRCHLRCYCGPLFEGFEMMWGSQRRISVPPPEDDANLLTFLTSRAKIVPEHIHSDELASILRYIDGPYLESFTAALKEKATTKEADKSIGRQLCDYAIKFCYYFPNSALSSDQVETESGSSAMYPSDSDATSHGLGLAVEDEDEFEEEDEPNLAEVKEEPHLVEVKEELKLVEVKEELVEVKEEPVEVKEEPKDLVKEANQEYKDQDVEVSRAMTCDLENYDKQENDMLDFAFALTELHVLLSH >A03p023250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9793449:9796050:1 gene:A03p023250.1_BraROA transcript:A03p023250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKKTESYDNGSYNYKMFKCFNRKFKINEVQPTDDVRDAFCQFSVGGSGRGAGGDGDSSDDGVMGAEQLCSFLDDNQVNSATTVAEAQRLIDEVIRRRHHVTRFTRHGLDLDDFFNFLFYDDLNPPITPNVHQDMSAPLSHYFIYTGHNSYLTGNQLSSDCSEVPVIKALQRGVRVIELDLWPNSTGTDINVLHGRTLTTPVPLITCLKSIRDHAFSSSPYPVIITLEDHLTADLQAKVAEMATQIFGQMLYYPESESLEEFPSPASLLHRIIISTKPPKEYLESRNPIGKQKENGNVSPSSEEETPGTEEIQTLESILFYQDCDNKSDSDQEEEEASEDQKPAYKRLITIHAGKPKGTVKEEMKVVVDKVRRLSLSEQELDRTCSSNSQDVVRFTQKNLLRIYPKGTRFNSSNYKPLIGWTHGAQMIAFNMQGYGISLWLMHGMFRANGGCGYVKKPNFLMKKGFHDEVFDPKKKLHVKVYMGDGWRLDFSHTHFDTYSPPDFYTKVFIVGVPADNAKRKTRVIEDNWYPIWDEEFSFPLTVPELALLRIEVREYDMSDKDDFGGQTCLPVSELRPGIRSVPLYDKKGEKMKSVRLLMRFIFE >A03g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2388198:2390031:-1 gene:A03g500790.1_BraROA transcript:A03g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRSCLQLFPVVRSRDYREVSEWHDAPSVVEPEDLKDQATFWDKLKAAAEKKVGEVEAERFCKAFEKLHKKLVYEELDPEAAKRYLLNS >A01p055620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31857002:31859758:1 gene:A01p055620.1_BraROA transcript:A01p055620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHADDADDYSRPTGETYHAEKALPSGDFYTGQWRDNLPHGHGKYLWTDGCMYVGEWHRGKTMGKGRFSWPSGATYEGDFKNGYMDGKGTYIDSSGDLYRGSWVMNLRHGQGTKSYVNGDCYDGEWRRGLQDGHGRYQWKNENHYIGQWKNGMMNGNGTMIWSNGNRYDGSWEDSAPKGNGTFRWSDGSFYVGVWSKDPKEQNGTYYPSTSTGNFDWQPQQVFYVDLSECVVCTCQRIPVLPSQKMPVWYGSSSEQSSSGNRTKSSERPRRRSVDGRVSNAEMELRNNGSGYLQVDDTESNRSPLGPLRIQPAKKQGQTISKGHKNYDLMLNLQLGIRHSVGRPAPATSLDLKASAFDPKEKLWTKFPSEGSKYTPPHQSCEFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAETKVLIRMLPAYYNHVRACENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCTGHSIHRRFDLKGSSHGRLTTKPESEIDPNTTLKDLDLNFLFRLQKNWFQEFCRQVDKDCEFLEQERIMDYSLLVGLHFRESSCNNSATPTSGAITPTGDNRPSRGEMDRFLLDASKLASMQLGINMPARVERTVRRSDAENQLVGEPTGEFYDVILYFGVIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSRRFRDFIFRIFVEDT >A05p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5144187:5145197:1 gene:A05p011750.1_BraROA transcript:A05p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAFEGWNQWLEDPCLFWRPGDYNYQTPKHDPKKAIYTPEQEQERFQEEVENSEGFDIDFDSFRCVFNYHRAYLDANEFVDEPDTTGDLLVRLSKEALDGSNATYKTDFEFVSVVKANFHYSAGFMFLITFEVRDPYDGLIKPFQARVRHLKHTFTEHVFCRPKPNAGVEYYGTAKTDFEKDTKKQRLE >A01g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15190430:15193868:-1 gene:A01g505160.1_BraROA transcript:A01g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCSVHSSSVPTKSAPLAGLLAHSAEAAESQLISARRTVRALGRWSGSGRPGAWVGLVTDPKPNQKGRRDASGRKGTTLGRWFPFASKSCLVKYSEKNVERKKERKREFRPRERPIVVVLCSGDSDRLRTNSGQEWEIKTRRRAWRTLTWFRRYAMGRGSIRPNGRSMRPHRGSARFLSPIRLSLSVSIRFSSLLSGLRLTRPIGSRPKVGSGKGVRWAIEPDSIGRSHLDFYPVRRIGLWGRFGSVSVFCLSILDYLSDSKSRGGWLNDLGYGRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVERPGFGSYSFHESFAVDTVINSPNEDYWKERAIEMSLRDERLGTHKFTNTFPTSFDAVYSTSVVTHPRPAKQRLISIDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNILPSTSTEKSMKSNHLKNTSSAEITLPSIDATQSTSIDTTLNPNLSISKLNDYANIDYGFLTPDQFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKRQASIDGTTETSIDRVTPTSIDRDDPTSIDRRYEFGNRAFDMYGARKFTWEQRDEYGKKALSKLEDVLLEPPRAASRKSRTYLKRTRYLPDLMGDTMDLGSYSRRVFIDVGNGKGSSGTEWFVKNYPTRNLKFEMYKIKTVNDEMSLESENMGMTEWLKENVKEEEYVVMKAEAEVVEEMMRSKSIKMVDELFLECKPKGLGLRGRKMQSKSGKAYWECLALYGKLRDEGVAVHQWWG >A01p004520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1932093:1933394:-1 gene:A01p004520.1_BraROA transcript:A01p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 16 [Source:Projected from Arabidopsis thaliana (AT4G34250) UniProtKB/Swiss-Prot;Acc:Q9SYZ0] MFTISLILGWILYIMNRPQTVYLVDFSCYLPPSHLKVSVKKIMSHVRVIREQGMWAKTGEHDYLMDFVEKILERSGLGQETYIPEGLHCLPLEQTMAGSRKETTDVILGAVDNVFRNTGVSPSEIGILVVNSSTFNPTPSLATIIVNKYKLRDNIKSLNLGGMGCSAGVIAIDVAKSLLQVHRNTYALVVSTENITQNLYLGNNKSMLVTNCLFRVGGAAVLLSNMSKDQKRAKYKLVHTVRVHTGSDDRSYGCALQEDDEDGLVGVSLSKDLPMVAARTLKINIATLGPLVLPIREKLRFFVTFVRKKFFDPKIKHYMPDFKLAFEHFCIHAGGRALIDELEKNLHLSPLHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKKGDRIWQIALGSGFKCNSSVWVALRNVKPSTNNPWEDCLHKYPVEIDI >A09p013380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6864282:6866270:1 gene:A09p013380.1_BraROA transcript:A09p013380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 11 [Source:Projected from Arabidopsis thaliana (AT2G18700) UniProtKB/Swiss-Prot;Acc:Q9ZV48] MLPESWKDQLSLVSADDYQIMGNRIPNAVTKLPGLETGDGDGGNGGAWVSKPRRIVVSNQLPLRAHRDISSNKWCFEFDNDSLYLQLKDGFPPETEVVYVGSLNADVSPSEQEDVSQYLLEKFQCVPTFLPSDLLNKYYHGFCKHYLWPIFHYLLPMTQAQGSLFDRSHWKAYTKVNKIFADKISEVLNPDEDYVWIHDYHLMILPTFLRKRFHRIKLGFFLHSPFPSSEIYRTLPVRDEILKGFLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGYIGLEYFGRTVSIKILPVGIHMGQIEEIKVAEETAEKVKGLRERFKEKIVMLGVDDLDMFKGISLKFWAMGQLLEQNKELRGKVVLVQITNPARSSGKDVQDVETQIHLIADEVNNKFGRPGSGYKPIVFVNGPVSTLDKVAYYAISECVVVNAVRDGMNLVPYKYTVTRQGSPVLDEALGFGAGDVRKSVIIVSEFIGCSPSLSGAIRVNPWNIDAVTDAMSSAITMSDKEKNLRHQKHHKYISSHDVAYWSRSYDQDLQRACKDHYNKRFWGVGLGLGFRVVALDPNFRKLGVETIVPAYKKTSSRLILLDYDGTMMDQDTLDKKPSDDLISLLNRLCDDPNNLVFIVSGRGKDPLSKWFGSCANLGLSAEHGYFTRYYFY >A10p037810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21272880:21274200:1 gene:A10p037810.1_BraROA transcript:A10p037810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEGLKLMAEQWSNQGIEYLQKIPPFQLYAAIGLLLLTTVLLLSFRLVRRTKSNTVLLSGLSGSGKTVLFYQLRDGSSHQGSVTSMEPNEGTFVFHSEHAKKGKIKHVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKKKIPVLLCCNKTDKLTAHTKEFIKKQMEKEIEKLRASRSAVSTADIANDYTIGIEGEVFSFSHCCNRVTVAEASGLNGETVQVQDFIREYI >A04p029990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17843438:17863118:1 gene:A04p029990.1_BraROA transcript:A04p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNAQNHLLSHLRVVSCTFTCLSSFLLQSSKHQTFDFVSSMAAISTSSPPSLRSLRSASSDSSPALIPLTRVSFPAKISHLSGNKSPNRDNETGKRTERIIRSVDKMVSDAPLTTPESKVKKHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNRDKALFTIVVSGTERVLQQVIEQLQKLVNVLKVEDISSEPQVERELMLVKVNAHPESRAQIMWLVDTFRARIVDIAEHALTIEVTGDPGKMIAVERNLRKFQIREIVRTGKIALRREKMGATAPFWRFSAASYPDLKEQAPASVLRGSKKGAVIPPTEKTTGGDVYPVEQASDLMVHRVLDAHWGLLTEEDTSGLRSHTLSLLVNDVPGVLNLVTGVFSRRGYNIQSLAVGHAETEGISRITTVVPATDESVSKLVQQLYKLVDVHEVHDLTHMPFAERELMLIKIAVNAAARRDVLDIASIFRAKAVDVSDHTITLQLTGDLDKMVALQRLLEPYGICEVARTGRVALARESGVDSKYLRGYSFPLTVLPKNSFIKYFASQPKVLPERETAMSESRLHLHLHFLSLLLLCYVSPSSFFNLNIDYSSYVACHPNQTQALTEFMNEFDSSQCNLSDPYNGVWCDNSTGAVTMLRLQACLSGTLKPNSSLFRLHHLRYLALIQNNFISATIPSEFGNLSRLEALSLRNNSFVGQVPSSFNSLSLLSVLELSLNELTGSFPLVRNLTKLSALSLAANHFYGTLNPKSTSLFELRHLRYLDLSQNNFTSSLPSEFGNLNRLEILDLSSNEFFGQVPPTISNLTSLEELNLHHNQLTGSFSLVQNLTMLSVIAINHNHFSGAIPSSLFTMPFLSYLDMGDNDLTDSVEVYNSSSTLSRLEYLSLGNNHFEGKIIEPISKLISVKILDLSFLNTSYPIDVSLFSTLKDLVDLDLSGNSISPASLGSNLDIPINLEILLLRGCGLKEFPNILKILEKLENIDLSDNIIKGKVPKWLWKLPRLNTVFLSNNSFNGLEGPVDVLVNSSVKNLFMEQNYFEGAVPILPLSINNFAATINRFTGRIPLSICNCRSLTHLWLPYNNLTGPIPQCLSNLTVLNLRKNNLEGSIREAFYVGASLQTLDVGHNRLTGKLPRSLQNCSSLEFLAVDHNIIKDKFPFWLKALPNLQVLILSSNKFYGSISPPGQGPLGFPELRIFEIADNKFTGSLPPRYFVNWKASSLMMNEDGGLYMVYTKRTSGRLSYMDIEAIDLKYKGLSMEQGKVLTSYATIDFSGNRIEGQIPESIGLLKALIALNLSNNAFTGHIPLSFSNLRKLESLDLSSNQLSGTIPSGLGSLSFLAYINVSHNQLKGEIPQGTQITGQAKSSFEGNAGLCGLPLQETCFGTDAPPTQQPKEEDQEEEQVLNWKGVVTGYGLGVLLGLAIAQAIASYKSEWLVKIIGPNKRLSL >A02p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10641016:10644052:1 gene:A02p022390.1_BraROA transcript:A02p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTVTHVTTINPTNTNIHGPAIDEVDGLIRVYKDGHVERFQLVPCVGPSLPLDLAVACSDVHIDELTNVWARLYVPTVTKSLSVSSSKLPLLVYFHGGGFCVGSASWSCYHEFLARLSASSRCIIMSVNYRLAPENPLPAAYEDGVNAILWLKKTRNDNLWSKLCDFGKIFLTGDSAGGNIANHVAARLQAVDALIQPLKIEGTILIQPFFGGEARTESERRVENNMKSSVLTLAASDAWWRLALPRGANREHPYCKPAKSKTRTLVCVAEMDVLMDREMEMCYEIDNEEMIKRVVYKGVGHAFQILGKSQLAHTMTLEMLCHIDDFIHQCCYECERYVTSGAKPLFFLDYFATSRLDVDLHRRGQSDCALLGGETAEMPDFYAGGEYDLSGFAVGTVKKDSVMNGKNIVDILAGILVEENSSQVISITGMGRSWPEYKVTEEELQEKVDDEMEEMCKQMIKHCRGLPLALKVLGGLLAQQYTLHDWKRVYENSGSHIVGGTSFNDSSVYNGEVENILNILKSRELCTSAVPEEWRG >A01p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22133273:22134839:1 gene:A01p041350.1_BraROA transcript:A01p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate/phosphate translocator 2 [Source:Projected from Arabidopsis thaliana (AT1G61800) TAIR;Acc:AT1G61800] MISSVKPTPSSFSATSTGARRSIPTKLHFSPLHIIKSCRNQSSNVSYQKPLHISSAQNFSSFKREVRVEAYEADRSRPLDINIELPDEQSAQKLKIGISFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLVSWASRIAEAPKTDLDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFFLGETFPLPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMMSLLILTPFAIAVEGPKMWAAGWENAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVASIIIFHTPVQPVNALGAAIAILGTFLYSQAKM >A04g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16106266:16108654:1 gene:A04g506950.1_BraROA transcript:A04g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANALSSASVLCSSRQRKLSGGTQQKGERVSYKKANRRFSVRANVKEISFDQSSRAALQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKHGLLSVTSGANPVSLKRGIDKTVQALIEELEKRARPVKGGSDIKAVATISAGNDELVGTMIADAIDKVGPDGIDRGYISPQFVTNPEKLLVEFENARVLITDQKITAIKDIIPILEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGVLNVVAVKAPGFGERRKAMLQDIAILTGAEYQALDMGLLVENTTIDQLGIARKVTISKDSTTLIADAASKDELQARISQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGATLVHLSTVIPAIKEKLEDADERLGADIVQKALVAPAALIAQNAGIEGEVVVEKIMFSEWEIGYNAMTDTYENLLEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVDKPKPKAPAAAAPQGLMV >A10p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17292481:17299028:1 gene:A10p027490.1_BraROA transcript:A10p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GFS12 [Source:Projected from Arabidopsis thaliana (AT5G18525) UniProtKB/Swiss-Prot;Acc:F4JY12] MRGEVCFDCLQQRIKSDFSDQIVFSYGLSDSPLPFGSSAVVKVSDSSEEGVSASDFDSSSSQFVLEYLRKEEHGCLVNYVEGLGNSNDDVDCDENLICSHFKATNGRELGLGTEDISCESSGSFPSRRALAALLPIAQISKCCSASHLQKLAFSFLSECPEDYILASLNRLIGGESSAQETESFLRLLGLPLLEEESDLPGLRHPNLSPVLGVLTSSDCLVSVLPKPPFTLENILYYSPNALKSEWHRSFIVYQLLSALAHLHGLGIPHGDIRPSNIFLSESLWSWLKIYSKPDLGSGDANSSASRKSLCVEGCYYSGLYADLKISSHLDWQTIFDKWWKGEASNFEYLLFLNKLAGRRWGDHTFHPVMPWVIDFSKKPEKDSDSGWRDLRKSKWRLAKGDEQLDFTYSTFEIPHHVSDECLSELAVCSYKARRLPLSVLRKAVRSVYEPNEYPSDMQRLYDWTPDECIPEFYCDSRIFCSLHPSMSDLAVPPWASSPEEFIRLHRDALESPHVSSRLHHWIDITFGYKMSGQAAITAKNVMLSSSEAAVPRSVGRRQLFFRPHPVRLRFSREKEQSRNEQEMHTFHGFGVDNKRSVILEADEYLEETEEASAFSEHASHLSPQYHLYQNLDESPLHESSSENTNKADTSLSGTSRNKGVPSDICLNYLLEHIDVRDEVSIEPQELLLWRQDFCLGKAIAEDIFSIGCVLAELYLMRPLFNSVSLATYLEGGDLPELIKELPPLTKVLVEACIERDWRRRPSAKSLLNSPYFSATVKSAYLFAAPLHLLAKGGTRLYYAASFAKQGALKAMGSFVAEMCAAYCLPLVTTLLSDNECEWAYVLIKEFTKYLTPVAVQRLVLPSIQKILLTTGYSHLKVSLLQDSFVRELWTRIGKRVYLEMIHPLVISNLYNSPDKISASAASVLLIGSSEELGAPVTVHQTILPLINYFGKGIRTDGIEVLVRIGRLLGVNYIVKQMMPLLEHVVCFCIDLSSMKKPEPVHSWCSLALTDCLITLDGLVALIPDELLIHELTKGRLCLHVRVLMQKNLELRVLQFAATSLMSICQRIGQELTALHVLPQLKELFDEFAFSEKSTDVSDSLNWKIRTTERNSHPESPIKSRMDLVLLLYPSFASLLGMEKLRQGCPTWLLLEQYLLKHHNWKWEYTGRSSRYNMETKPVLSKGPASKHTPKTLLNGSGRVVPQSQGLRNSNHLKPHIHDPVEGQEEVLSPLVHEPWSWFPSPVACWDGLDIGRFGNPKDEQRWKIRASVLSSAHAHRGALRSLVVSEDECTVFTSGIDPGFKGSVQKWELASLSCVSGYHAHEEVVNDISILSSTGKIASCDGTIHVWNSQTGKLIHLFSESPADQDQDQASSYLSSNNNSNQSNRHVSHGLSNGIFDGNMYTCMHYLEYMDQLVVGTGSGALRFIDLARGQKLQLWSGESFESGSTSLVSALCSGGSHTKQGDGASVSPSWIAAGFSSGQCKLFDLRKGGVISSWRAHDGYVTKLAAPESHLLVSSSLDKTLRIWDLRKSWTPEPFVVKGHNDGVSGFSIWGKDVISISRNNIGVFSLSKSQDEDEQQQQQRIIPQKLYMAEKGGRVRSDLSTICVLPFSRLFIVGAHDGYLRICC >A01p053580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29985297:29988689:1 gene:A01p053580.1_BraROA transcript:A01p053580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLVTRKYEVKLTVRRLERWDLAREPENERLTVEIRWKGPKATLGSLRRPSVKRDFTKEAAAESDVVSWEEEELFQSVCSLTSYKEDDTLFYPWEIAFSLFTNGMKQGQKNKAPLVGTASLNLSEYARVTDQREFEINIPLTLSACIASEPHPLLCVSLSLFELRTTPETSDSQTGIVPVPSPPPPHQTEKEDVSAIKAGLRKVKIFVSTRKAKKACHREEAEEGRFSSFESSESLDLSEEASDECKEDLMSVRKSFSYGPLSYANGVGSSLNRGKKVSEEDEDWVYYSHRKSDVGGGGGGGGCCSDVEDASAGLVYETSSSSLLQRRSILPWRKRKLSFRSPKAKGEPLLKKDNGEEGGDDIDYDRRQLSSDEALVRSKTDEDSSSANPQQSSFLEFGDDSFAIGSWEEKEVVSRDGHMKLQTSVFLASIDQRSERAAGESACTALVAVIADWFQKNGNLMPVKSQFDSLIREGSLEWRNLCENETYMQQFPDKHFDLDTVLQAKIRSLTVVPGKSFVGFFHPEGMINEGSFEFLQGAMSFDSIWDEIINLDDDECVYIVSWNDHFFVLKVENEAYYIIDTLGERLYEGCDQAYILKFDDKTVIHKNLQEEESESVSEPEVVCSGKESCKEYIKSFLAAIPIRELQEDIKKGLASTAPVHQRLQIEFHYTKMSTSTDVVAV >A02p056380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34053810:34075470:1 gene:A02p056380.1_BraROA transcript:A02p056380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRVGGKVVDKVDLCRKKRSAWRLDVWPFAILYATWLTTIVPSIDFTDALIVFGGLLASHILVLLFTMWSVDFKCFVQFSKVNSISQADACKVTPAKFSGSKEVVPLHFRSQMTGSSSSGDTEEIFFDFRKQRFMYSKELGAFSKLPYPTKETFGHYLKCTGHGTEAKVATATEKWGRNVFDYPQPTFQKLLKENCTEPFFVFQVFCVGLWCLDEFWYYSVFTLFMLLMFESTMAKSRLKTLTDLRRVRVDSQTVMVYRCGRWAKLLGTDLLPGDVVSIGRPSTHTGGEDKTVPADMLLLVGSAIVNEAILTGESTPQWKVAIAGEGSDKKLSIKRDKNHVLFGGTKILQHSPDKTFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLVKGLEDPTRSKYKLLLGCSIIITSVIPPELPMELSIAVNTSLLALARRGIFCTEPFRIPFAGKVDLCCFDKTGTLTSDDMEFRGVGGLADGEEAETDMSKVPVRTLEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKALPKRGNGNSVQIMQRYHFASHLKRMSVIVCIQQEYFVFVKGAPETIQDRLVDVPAAYIETYKRYTRQGSRVLALAFKRLPDMTASEVRDMDRDAVENDLTFAGFAVFNCPIRSDSATVLLELKNSSHDLVMITGDQALTACHVASKVHIVSNPVLILSQSRPGAEYKWMSPDEKEIIPYSDKEIETLAETHDLCIGGDIIEMLQATSATVRVIPFVKVFARVAPQQKELILTTFKAVGRGTLMCGDGTNDVGALKQAHVGVALLNTVTPSESSKDDPKSKSKKPKQPSEPASKTAIQNGEGSSKAKVPPQNRHLTAAELQRQKLKKMMDELNSDEGDGRSAPVVKLGDASMASPFTAKHASVAPVTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVLTAAFFLFISHARPLQTLSAERPHPSVFSVYLFLSLLGQFAVHITFLIYSVKEAEKHMPEECIEPDATFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIRENKPFFYALIAGAGFFTVIASDLFRDLNDSLKLVPLPEGMRDKLLLWALLMFVICYSWERMLRWAFPGKIPSWKHKQRSVTANLEKKKKTVWFTIPLAAKPSGSLYLWVLNRLVLLDQRHYSHFLVSLCKMSEKKLRPIKLNRYTMMGEDNSSSRNALVKVKLEKDKHVSSGSSSKAIVTVKLEKEEEEEGFHHVTRSVLKRKQLSQSLDNNSLVAVKSENYTFEKQRTTRWSTNRVDSAEQAMEDVLKEVGASFEKPISRGELRSIARKRIGDTGLLDHLLRHIDGNVTPGGADRFRRCYNTEGAMQYWLESADLLKVKCESGVPDPNWVPPPWWKLQGVIKLEPGDCEPSFNLKDAIDQMKSDIKELVADVAHIKRESGVPDTDLIPLSQWKIKRSAHESPLSQSSTVSSKLREEIDKMKSDIKNLVSKPKLPDHADANEKRFTECMKWKVETDKKIAEISNSLTSTQSMVKELASWKDKVEHQLVGISHSQNNLQANGSKSPHNWEHLLHSTNLDDFTVNGFDPWDVEADLTDVLPPNARKSSFQDHMWFEEQSVLNSEMQRTERGDSRSSNQDKAELTPGSSVTAGPRSDIDDPTILSQETLKELVSWKAKAEQQLMEMSDAIKCPDDPDAHEKFQRVKTSYEVLKDEKGRKLFDELLRIQLVRLGVVMKRRGTGSGGVDGKRKEDRSGAGVQLDKERGLKVSWDTTGEGYTAGRLREVFENFGGVEDVVIRSTKKKCSALIVMATKDEAVEATRTLCGDLSNPLLVVPLQRGQTYFVTAKKSVEPQSNIVGTGYQAYEDQVMEKLRKEMSDSQTIASESRVRWVLPYQTKNLKDDYFLGRVLGQGQFGTTFLCSHKETGQKLACKSIPKRTLLCQEDCDQVLREIQIMHHLSEYPNVVRIQETYEDDTSVHLVMELCEGGELFDRIAQKGHYSERDAAKVIKTIVSVVEACHSLGVMHRDLKPENFLFSSSDEDASLKSTDFGVSVFCEPGTTFSELVGSAYYVAPEVLLKHYGRECDVWSAGVILYVLLCGFAPFDAGTDNGIFREILQGKLDFETDPWPSISESAKDLTMKMLESDPKKRLTAYQVLCHPWIVDDTVAPDKPLDFAVVSRLKRFSAINKLKKMALRVVAEKLSEEEIGGLKELFKMIDTDNSGTITFEELKDCIRRVGSELVESEIQELLQAADVDGSGTIDYGEFLAATIHLNKLEREENLVNAFSFFDKDSSGCITMDELQQAWKQFGIKDSNLDKMIKDIDQDNDGQINYGEFVAMMRKGNGNCNWNQAFNSYQTVILPVIRGYTAGRLREVFGGVEDVVVRSTKKKCSALIVMATKAEAVRTRKTMASESRTRWVLPYKTKNLKDDYLLGRVLGQGQYGTTFLCTHNETGQKLACKSIPKRKLLRQEHFDRVLREIQIMHHLSENPNVVRIQSTYEDATSVHLVMELCEGGELFERIAKKGHHSEREAAKVTKTIVAVIEACHSLGVMHRDLKPENFLFSSCDEDALLKSIDFGLSVFCKPGTTFSKLVGSAYYVAPEVLRRHYGRECDVWSAGVILYMLLCGFPPFNAGTERGIFRKILQGKLDFETDPWPSISESAKDLIKKMLESDPKRRLTAHQVLCHPWIVDDTVAPDKPLGFAVVSRLKRFSAMNKLKKMALRVVAERLSEEEIGGLKELFKMIDTDNSGTITFEELKDSVRCVGSELVESEIQQLLQAADVDESGTIDYGEFLAATIHLNKLEREENLVAAFSFFDKDASGCITVDELQQAWKQFGIKDSNLDKMIKDIDQDCDGRIDYGEFVAMMRKGNGGSDGISRRTMRNTQLWNFLDRTFCFCFKTKKVKTLKTMASESRTRWVLPYKTKNLRDDYVLGRVLGQGQYGTTSLCTHKETGQKLACKTIPKRKLLCQEDYDDVLREIQIMHHLSEYPNVVRIQETYEDETSVHLVMELCEGGELFDRIAEKGHYSEREAAKVIKTIVSVVEACHSLGVVHRDLKPENFLFSSTDEDSSLKSTDFGLSVFFKPGATFTDLVGSAYYVAPEVLNRHYGRECDVWSAGVILYILLCGFPPFNAGTKYGIFRKIRQGKVEFETSPWPSISESAKDLIGKMLESNPQKRLTAHQVLCHPWIVDDTVAPDKPLDFAVVSRLKRFSAMNKLKKTALRVVAERLSEEEIGGLKELFKMIDTDNSGTITFEELKDSVRRVGSELVESEIKELLEAADVDESGTIDYGEFLAATIHLNKLEREENLVNAFSFFDKDSSGCITMDELQQAWKQFGIKDSHLDEMIKDIDHDNDGQINYGEFVAMMRKGNDNVGISRRTMWNTLNFENPLPDESNELS >A01g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20050200:20052872:-1 gene:A01g506850.1_BraROA transcript:A01g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKGEEISLSYGFSLDMKCIIESSPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNTQASDTFASTVPLNANPVILSTVQSEKQSLLYEGVSTVPLNVLPDFSPVHIGLSPTTRGAGDIKLSTSTYPENIDELSCPPPATKKKSGRPSTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A07p024480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14086301:14088121:1 gene:A07p024480.1_BraROA transcript:A07p024480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTAENEATTVHITSPQILTASAEDQVCDVEMLPVPEGYMTTTTTSHDADTILDQEFVVPFPDPASTLDIHGSAGSHQGVQGTCSMPSSSEQLAFRAASSVNHSEAVHENGVQPPNISHFGSTPLANNPTMGQNPPRHLFSDPYEYELEKIRIEEENLKKSVDETTSQLMAELDRKMAEARSEFDKESQEFDAKVMEVETRMGLVRTGSLLGNAFVSKCSERSASNDLAAVRAAMISQHSTQQQQAVQTNTHMSSTAPPRVRPHQESTRLSTSAPPRPSVIAVDDDPVPNSSAPLPHLPRRPMTQPSPMSQQTAQAAHTHVNSTAPPPPPVTAVGPQAPNHLRPLARFPQPSPTSHQQAVAQSNTNANSSTPLPRPSAITEGLPLHSPLSNTPRPRPRPVISNMTPPSSSSPPVRGPAPHIVRASAPHLARRRAPHLRQYGSFPAPTFAAAAATTQPRRSVQEQEQQQQQEKSNGGLVNLSDED >A06p030610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000059.1:4515:5169:-1 gene:A06p030610.1_BraROA transcript:A06p030610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKTAQRDLKHDSRPILRFPDPKPVNRSTVYAWPTRKDKCQVSADKYGSFEDNCYFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVPTVWR >SC308g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000153.1:5270:6880:1 gene:SC308g500010.1_BraROA transcript:SC308g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHDTLVSRSNDLTAHTDVCGCLWLSVSTHRISVAVRVCPSAHTGHSWLSISTHIRTLVLGLSMLAFPVDCSGDFGPRGLSVQYTQDIYGCPSAHTGRPCVSVSTHGTSVAVRVCLCVSVSTHKTSVAVHQYKYQHVCPWTQHVDPSRGLFGTSVAVRGCLRLSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGLFWPTWAICSVHTGRPWVSTSKHPYVPVASVYGCPSAHRMSVTLTIPVDCSGDFGPRGLSVQYTKDVAHTGHSWLSISTHISTLVLGLTTLAFSVDCLGDFGPRGLSVQYTKDVRGCPLAHTGRPWLSMAVCQHTQDVCGCPCVTMCVRQHTQDVCGCPPAHIGRLWVSVSTPGRPCVSVSTHMTFVAVCVCPCVSVNTHRTFAAVHQYTYQHSGPWTQHADPSRGLFG >A02g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16600301:16600880:1 gene:A02g505680.1_BraROA transcript:A02g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTLNKGYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMVCIAFV >A05p050150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29008564:29011956:-1 gene:A05p050150.1_BraROA transcript:A05p050150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS1 [Source:Projected from Arabidopsis thaliana (AT3G08970) UniProtKB/TrEMBL;Acc:A0A178VJB6] MVRTQLVVSVVLVSTLLLLNTEAKTVDPYKVLGVSRDAKPREIQKAFHKQSLKYHPDKNKNKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGQPGFGSGFPGGNGGGYSYSSGGGQGGGFNFGGPGGWQNMGGGGGGGGGGGGSKSFSFSFGGGDGGSSGSSFGFGMDDIFSMFGGGGGGAKGRDQFGGFGGFGGGSSKAESRSRSGSVATIKTVSSQVYKKEVVDQGMTWLLLSYLPSQRGTQYHDSVIEEVAKSLQGALKVGRINCETESSLCKELGVVPRRAPRLFVYSYTSSGKATLAEYTEELVAKKVKSFCQEHLPRFSKRVDLNTFDVSAVSSQGIPKVMLLSTKKDTPVIWRVLSGLYNGRFVFYNTEVHDTSDPKVKNLGVDAFPAIVGWLSNGEKQVLKTGITVKNLKTAVQEIGKLLEGFEKKNKKASSNSQSGQGKSEPVEKIHLLSRTNFDSICGEKVPVCIIGAFRSPQGKEKLHSILSKVSQKSLSRRQASGTGSQDTVSYSLVDAAKQSSFLSSFDKSEFKASDKLLIAYKPKRGKFATFKGDMTMEEAEKFVAAVLNGDIQFTKTRQKPQINKSKTLILLLHIVATSGVLFSSSSRIRFADGYMRVAEHHILVDRPS >A05p004000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1553005:1554911:1 gene:A05p004000.1_BraROA transcript:A05p004000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTESGGSSTESSSLSGGLMFGQRIYFEDAGGGTGSSSSGGSNRRVRGSGSGPSGQIPRCQVEGCGMDLTNAKGYYTRHRVCGMHSKTPKVIVAGIEQRFHQLPEFDLEKRSCRRRLAGHNERRRKPQPASLSVLSSRYGRITPSLYGNGETTMNGSFLGSQEMGWNSARTLDTRVMRRPPSWQINPMNVFSQGSVSGGGGGGISFSSPEIMDTKPESYKGIGSDSNCALSLLSNPHQPHDNNNNNTWRTSSGFGPMTVTMAQPPPAPSQQHQYLNPPWVFKDDDNSCPNDMSPVLNLGRFTETEISGGTTLGEFELSDHHHQNRRQYMESENTRAYGSSSHHNNWSL >A03p069180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30397046:30398218:-1 gene:A03p069180.1_BraROA transcript:A03p069180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDEDVVDLPRFFKVFLPETASDSMAIPKSFNEHLQDPLPQTAKLQGIGGGVWTVSFKKIRGGAYFTSGWSKFAEDHELKHGEFLTFVYDGSHTFEVSVFGRSECKEIRAVVETVNLSDANSDEEEEEEDSSVVAADSNEGDDPSFHAGEDDEVSQSINPVDSDDTVSVAEGFPNLEVESNPCFTTILKKRIYDLLIPAAVVKEHGLTFCDRIKYIDGEGILDGAILNCSYYGISFKGWGRICRRNRLKENDTVHCEMLHIRKKVHSIKITITRG >A05p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16004520:16006455:-1 gene:A05p030870.1_BraROA transcript:A05p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase 5-2 [Source:Projected from Arabidopsis thaliana (AT1G35620) UniProtKB/Swiss-Prot;Acc:Q94F09] MRSLGLMYWWISFLALSISLSASSDDQFTIDGTVLELTDSNFESAISTFDCVFVDFYAPWCGHCKRLNPELDAAAPILAKLKQPIIIAKLNADKYSRLARKLEIDAFPTLMLYNHGVPMEYYGPRKADLLVRYLKKFVAPDVAVLESNSHVKDFVEDSGTSFPVFIGFGLNQSLISGLGRKYKKKAWFAVAKDASEDVMVSYDFDKAPALVAQHPAYNEHSVFYGPFEDGFLEEFVKQNFLPLILPINHDTLKLLKDDERKMVLTIVEDETHESMGKLIKALRAAAHANRDLVFGYVGVEQFEEFADSFHADKKAKLPKIVVWDGDEEYEQVNGIETVSHEEDHLTQVSRFLEGYREGKTEKKRIKGPSFMGFINSMIGIRSLYIIVFLVAVIMMLRSLGQVEEPARVRTAASDGQATSVLEGETSEHKPRDKED >A09g515630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46107213:46112743:1 gene:A09g515630.1_BraROA transcript:A09g515630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLREVAPMLGSSNGHLFTSFELQITSCGTPVPNRDSCRSGLVLASPSDETASDFVQSLQRVALARERPW >A07p051900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27483114:27484564:-1 gene:A07p051900.1_BraROA transcript:A07p051900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQECRDLMKPDRIPPPTVFSMSLFLKDDSIQIREVWSDNLQEEMDLIREVVDDFPYVAMDTEFPGIVVRPVGTFKSNADYHYETLKLNVNILNIIQLGLTFSNEQGNLPTCGTDNKYCIWQFNFREFDLDSDIFAVDSIDLLKQSGIDFAKNTREGIESRRFAELLMSSGIVLNGNVHWVTFHSGYDFGYLLKLLTCQNLPDSQTSFFELINVYFPTVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLKENFFVGPLQKYAGVLYGLGVENGQVAL >A03g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7804366:7804747:-1 gene:A03g502430.1_BraROA transcript:A03g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKKTEDLAKQVLDEIGVGVASQLSSVPKGRIGTKNRSSSCYYYQQDELEKRLASLRKI >A03p044440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18562827:18564628:1 gene:A03p044440.1_BraROA transcript:A03p044440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHYTNIIYVVFLLFCLLITSSSAQSLIRQPTDDLSTILQQKNGAQPNSILGEAHYLNKDDLEIYSRDYKGSPSNLVTGMRDRPPMSYSLRMESFNTLLQSSETERYESRPFPVGGYNWSLIVYPNGNRQDSGSGFISLYVAIDNSTLVSSHQEVFADLRFYVFKRTERKFFTVQDTNVWRYNIFKTMWGFPRVLPLDTFRNPSNGYLFNGDHCEFGVDVTIHTPFQRSELFTVARNFPNPRFTWNIQRFSTLLGETYFSDVFSIGGRNWNIQVHPSGAVTGEGRALSMYLLLNANERFRPYEKIYVRAQLRVLNQRASSQWRTIERPIDHWFTGPGLGWGYDEFVPLADLRDPERGYLVNDKLMVQVEMEAISSTRYFPS >A09p070640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54736158:54741650:1 gene:A09p070640.1_BraROA transcript:A09p070640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGTKAGEQKKRPLPEIKADSDGEDLSTRHTRPKRAAACTNFKEKSVRISEKSATVETKQHQTVEDEVVALHFTASPQGGGDEPRQTRRLTDFILHDEYGVPQPVAMVEIGDIFVTGVILPSGECDDKEKGKGVKCDGFGRVENWSISGYDDGYPVVWFSTELADYDCGKPATSYKKMYDYFYQKAFASVEVYKRVAKSAGGDSGVSLDELLVAVSRSMSLESKCFSTDFLVIRNFLIRQGEFIYNQLAGLDETAKKDEASFAEIPVLVALRDENSRLDNGAVIQMEGRPSNGVLRIDGVSEAAKCEALTSDQEMVDEDQRYARIMQDEEDRKTMQRPKRKNGAALASNKGYVKTTEDEIAGDYPLPAYYKNFKDEADELIVADDYEVNYEDLPRRMLHNWALYNSDSRFISLELLPMKPCADIDVTIFGSGLMAEDGGFWFGLDDPDTSTSGQPKKDLEGMPVFLSQIKEWMIEWGCSTISISIRTDVAWYRLGKPSKQYAPWFEPVLKTARVTIGIFGLLEEQTRMSKLSFEDVTKRVSEFQKNDKAFISSDRLAVNRFLVGHGQIILQMFSEFPKKDIQRCSFVSDLARKRAERHNTRWTIKKKKILFKVNCNPRAGMAPVVSKRKAMQATTTRLINRIWGEFYSNYSPEEPVQAVGAENEEEEVEEEGEIEEDDAEETVPEPVEEVEKSHTPLKKIRGVSGKTESSWSGESLGKTSAGEPLYRQALVGEEIVAVGGAVVLEDDGNEVIYFVEYMFEKSNNCKMLHGRLLQRGCDTVLGNAANERELFLTNECKTVSLKEIKGTVSFEIRSRPWGHQFRKENTTADKLDRARAEERKTKDLPTEYFCKSLYSPERGGFFSLPLNDMGCASGTCSSCRIREDEEKKTEIKLNSSKTGFSCNGVDYSVDDYVYVKPEDIDGSKEANGRFKSGRNIGLRPFVVCQILEIIVLKESRKASFEVKVRRLYRPEDVSDEKAYASDIQELYYSEDTDVLQPEALEGRCEVRKKIDMPSRREYPISDNIFFCEQIYDPSKGSLKQLPVNIKPKYTTVKDEALLRKRKGKGLVTETDSVTDKPEEVSKETRLATLDIFAGCGGLSQGLEQAGVSATKWAIEYEEPAGKAFRKNHPETTVFVDNCNVILRAIMEKCGDQDECISTKEANELAEKLDEDQKRTLPLPGQVDFINGGPPCQGFSGMNRFNQSSWSKVQCEMILAFLSFADYFRPRYFLLENVRTFVSFNKGQTFQLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAAAPDEVLPEWPEPMHYKAQPISWFQKEIRGDNIALTDHICKEMNELNLIRCKKIPKRPGADWRDLPEEKVTLSKGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDSYVFQGNIIHKHRQIGNAVPPPLAFALGRKLKEAVQLKVTKTN >A01p042470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25506967:25509394:1 gene:A01p042470.1_BraROA transcript:A01p042470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSRPAASLYGSGPRSRAYSLARSARKQSSFVVHIDEELPVFHVLAFFAASDGIVLENLAARFLKDVQVPEARAFYGFQIAMENIHSGTVLYAEKYGLGQSQMFSVLISLQSLNISLRKRMKTSLTKINNVFIEMPTLQLLETFIKDLQEKDRLINAIETTPCISNKAKWFLKWIQSNTIVFCCEAVCFCMSPKNLLLGKLLKADCMYKHCMSLTASMPSSGLRREVLCQVRKRLYGNREPLWTLLSINSLLYFFAVCCRSSFL >A09p024930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13861131:13863405:-1 gene:A09p024930.1_BraROA transcript:A09p024930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLERIDPSQEIEGPRPGDSTEPITTNIQGAVRVDIHIALPNTSSPSQIFTPGREDYLNLCVPLYQAALKGKWKDAKVIIDKNNHIVRAAVTRNQETTLHIAAATKHKTFVKHLLKEMSKSDLALKNKDDNTAICFAAAAGTKKIAEMMVDKNEDLPMIRGNGKVTPLYMAALFGHREMVLYLYDKTDFERLGASELVDLFHAIIGADIYDVALRMFEWKQSLATSQNSSREIALRLMARKPTAIGRERQLNIFKRLANSSLFFEDKMMALAHQLVERMWKLVVKEPEPIVSELLRTPTRLLFDAASSGNVEFLVILIRAYPDLLWKVDEKNQSLFHIAALNRHESIFNIIYELGSIKDLIAAYKEVSTRNNMLHLVASLPPPGRLQIVSGAALQMQRELLWFKAVKKIVPHSYIKAKNSKGEVAQDLFTEQHKELRKEGEKWMKDTATSCMLVSTLIATVVFAAPFTVPGGINDTTGFPIFKNKLWFNVFLLSDAVALFSSSISIVIFLSILTSRYAEDDFLVSLPSRLMLGLLALFVSINSMVISFSATLFLIPDWSFAWNLTLLICLAFIISLSFALLHVKLWFDTLRSAYWSKYLFQSRSRRLYL >A10p024910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16069228:16070862:1 gene:A10p024910.1_BraROA transcript:A10p024910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRGCKIRKRGCSSSSSSSLARRNRFKRAIFAGKRASLEDGGGSGTPVKSISAAKTPVLLPFSPENPPLGQSQKRCVSARKLAATLWEISDGNTEPHVSNEDCLRNKKPPRNRRKTSTEIPFPDFPLKSSHPVSHERILLRDDTVRRRSKIPQKLQVVEYKTIGTNSVTTRFKNINEGLTTSKELVKVLKKIGHLGDDHKTASNRLISALVYELDRARSSLKHLMSEFNAEEAEKRRLVEKLQEEAVSERKLRLRTEKMNMRLGRELEEAKETERKMKEEMEREKRAKDVLEEVCDELARGIGDDKKEMEKEREMMHIADVLREERVQMKLTEARHEFEEKHAAVERLKKELRRVVEGEEEEKGSSGIRRVLEMIDGSDDDEEEEEEEEEESDLKSIELNMESGSKWGYVESRRDGRRESRFVGPSEDDDDHVEKRSVIVDNGERDESLKTLREYIVSNARFIGSSSSEQWNHRHLPNVEFV >A06p038790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20847946:20849189:-1 gene:A06p038790.1_BraROA transcript:A06p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIFLECILLIICIIIKTEGLKVRGYLYNAAVHIISRLHSVKSSPSLPWPLINFGWALQLSFLTPYVQLLSIPHKWLSLIWLCGSVSSMIVQPITASLVKAAGVEIESYWPMVFTKMAEKRNFTDFILNITGGGAPVATAGGGGAAVAAPAKEEKKDEPTRENDVDLRLA >A05p003220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1161261:1163216:1 gene:A05p003220.1_BraROA transcript:A05p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g41080 [Source:Projected from Arabidopsis thaliana (AT2G41080) UniProtKB/Swiss-Prot;Acc:Q8S9M4] MQHQVSSFLRPLSSDSSTTIASLCSKRNFREAFRRFGLNIFSNTSLFTPFIKSLAFTQSLPSTKQLHCLLVVSGFSSDKFICNHLMTLYSKLGAFPSAVALYDRMNKKHYMSSNIIINGYVKEGDLVSARKVFDEMSGRRKVTTWNAMIAGLVQYECNEEGLRLFREMHELGFSPDEYTLGSVFSGCAGLRSVFIGQQVHCHVVKYGFEMDSIVSSSLAHMYMRNWKLRDGQSVIRSMPVRTLVAWNTLLSGYAQEGCPETVLCEYKKMKISGYRPDQITFVTVLSSCSDLAIRGQGQQVHAEAVKIGASSVVAVVSSLISMYSKCGCLEDAAKAFSERGDEDEVMWSSMISAYGFHGRGEEAIKLFNTMVEETEMEINEVTFLNLLYACSHSGLRDKGLELFDMMVEKYGFKPSLRHYTCVVDLLGRAGDLDQAEAKIRSMPMKPDAVIWKTLLSACSVHKNAETAQRAFEEILKIDPNDSSCYVLLANVHASAKRWRDVSEVRKSMRDRDVKKEPGVSWFEHKGEVYRFKMGDRSQSQSKEIYSYLKELTLEMKVKGYKPDTASVLHDMDDEEKESDLVQHSEKLAVAFALMVLPEGVPIRIIKNLRVCGDCHVAFKYISVIKNREITLRDGSRFHHFVNGKCSCDDYW >A09p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7609890:7611416:1 gene:A09p014470.1_BraROA transcript:A09p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHLLLLRLVRPKPNYTLRSFPFHSTRTLPHDTLQRRVARAGDPSASILRVLEGWLDEGNPVKTSELHSIVKMLRKFSRFSHALQISDWMSEHRVHDISEGDIAIRLDLIAKVNGLGEADKFFEKIPIERRNYHLYGALLNCYATKKVLHKADQLFHEMKDLGFLRGCLPYNVMLNLYIRCGKHALLEKLLREMDDGNVKPDIFTVNTRLHAYSLVSDVEGMEKFLTRCESDPGLDLDLDWRTYADAANAYIKAGSTEKAMEMLRRSEQLVSPQKRKHAYEVLMSFYGAAGEKQEVYRLWALYKELGGFFNTGYISVISALFKAGDIEGVEKIMGEWEAGHSLFDVRIPHLLITGYCKKGMMDKAEEVAKMVLQETSTWERLALGYKMAGEMEKAVERWKKAIEVSKPGWRPHMVVLMSCVDYVEGQRDMEGLRKILRLLSERGHISYDQLLYDMKEAGGLSWKIVDAMGSSQVQH >A02g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20976790:20979420:1 gene:A02g507460.1_BraROA transcript:A02g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEEVRKVKFVKTTSDNIEKTTTENVESTGTAKTTEIVDSREKTTDVSTEVTTDVSTEKTTDVSTEKTTDVSAEKTSEDARESTAEITEPSDVALETAPATMNKGPAGPSPPAPPATPAIGTESEEEENEEIPSSGDEENQKAGSREEENDHDDRSDDSSQENEDAEKEQEEADEKEETEGSGEGNGDREGNGDGEGKDNENEDDNVNPPEPGNPTEPELLAFEAIPKLGIAFRETVVGAGRDCPRMCKSYFKRNGMTGVSLSVINKELGNTTVTLPVNCGRTRVGIKKIDKKVDQLDGRLAPLEEFVKEAQGKVVEVEEAESQGKGKRKKTQKSVGKGKKQKTK >A09p004490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2551761:2552219:-1 gene:A09p004490.1_BraROA transcript:A09p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRIGMVEKSNNKRQRVNLVPKFSINDNHDVLVEILRRLDGPSLCSAACVCRLWSAVARNDSIWEELCFRQVSPRPSLSIRSVVSALGGYRRLYFLCIRPFLARLPKILWSQDQLQLSLSLYCVHYYERIYVGAAPPSSLMFLRMPVNVV >A07g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6353095:6355084:-1 gene:A07g503210.1_BraROA transcript:A07g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTLLAFFTRQSAVIVLIIGSCSLLHHEFMCWWNQELISIFGTSMDRILLSKYIPSALNCWSRLKSNKSSVSGFDQSVLHEVINGASDKGITPLHVAAVKGHIDITLGFGSFCCSGLTAYHGDSEKFASIKFVVSKKPDGLYPVVSGTCNVAKVLLHSRRVFSSVTSLAEARHLALIWAIESMASHRLNKVIFETEDEDLVGAVKRPRAWPSYRAYAMEIKTALSNMPEWELEVCHHGYEVAVVCCKGRGCLAERFVCRRTINLDCMEIKTALSNMPEWELEVVSRKSNMSTFLIERSVTMDMRLPSYVARGEVA >A03g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25155471:25156014:1 gene:A03g507180.1_BraROA transcript:A03g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFYLLIRNPAVALPPSRCGTQTTRISICLCVPLSTLMRGLEAAGIGELSTVHDLETERRICIDTGAQRREG >A08g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5273970:5274467:1 gene:A08g502410.1_BraROA transcript:A08g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHIVFCLIFAAKCVDIFSVILQEPPFNEDMPRLQIETFTILLRIFCLKRSSRKRVSKEAWEGFTTASDAYLIAEQQIWAAVDPYAKN >A04p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1805600:1807440:-1 gene:A04p003630.1_BraROA transcript:A04p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYSNFFTSWFKPNPFHNYLNSSSNPSSPLPPPSSFFLIPHPGDLRRPPPTTPPSPPLREALPLLSLSPANKQQNHHDLIQEPPSTSMDVDYGHHHHQVDHNLDEDDHDVTVALSIGLPSPSTRDMASLLMMSSSSSSSRTTNHHENINDKKDPHNDYHHGSAGGGEDDDEDSAGGDGGRRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQVNKLLKLSQSLYGWIKLRVENGRSCRFWTDNWSPYGDLRSYLGINGDSGLGIPLDATLASLHRGNHWRIPPARSENLVNIHVLLTATTLTDSQDYYEWEVDGKKSSTYSTGIVYEQLCDAGTLVSWLYSVWNKGGIPRHNFLAWLFVLNRCPTKDRILGWGLQTSPTCVLCNCFPESRNHLFFDCHYAWSIWGTLASRCGFQPERSWDCVLGQLQAQSRNSLTGTLLRLCWQACIYWTWTERNGRLHRQTFRTPESVSRTLVRQITDRISSLRDSNPAVASSLMQQWLA >A10p006250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9626046:9626555:-1 gene:A10p006250.1_BraROA transcript:A10p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRSITAGEILQANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDSSLPEKKRRRKDGHNRPKKILINKPSADAGEVKEDDECAKLCEKYLEEVVSSRSSGKEHRHRRRHSRSASVSTWRPHLDSISEDVN >SC178g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:186195:186719:1 gene:SC178g500090.1_BraROA transcript:SC178g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A03p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2160129:2165313:-1 gene:A03p005180.1_BraROA transcript:A03p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSGIKLLSLRMEDAPRDEGLEFKWGKKRRVGGKKKDVQFYESFTFDGDEYSLYDSVLVGDANGSDSHELFVAKIIKIWEHTNKRAKNPRQVKLLWFFRPSEISPHLLEGVPDVLANELFLASGEGPGLANVNPLETICGKCSVVCTSKDQRNPQPTDEEIKSADFVFRRAFDVGNSRVVDTIDDKVAGVDVTFLFNRAGSKQEATNVQKIQEDMNGSLDSLKLNSLPACVSVPETEDNSIESSDCRERSYGGEEGKEKGLSKLAEERSIKDSSVQESSAHGKEHDACEASGSRGNHCDGSKAQGSEVKKQFTKEKSLPAEARSSDTCDASESRVKHSDSEKPQANDVKKPLTKQKSMSGGERYSKEANGLDDRPQKKQKLDGCDTDAFKRPRDISSDVKRDTEAFKRPRDKVTGDEVPPEKLPSLVKKKRDLGVSVSEGRDAKTAKKPSFDGKLLKRAEDETLGDDYERGYQVIEVKQKPDAVKSKWFRPLPWEESMREAEKEERLVLLQNLDPTYTSDEVQNILYSALNEQSTARMIERTSVTISHTGEALVIFNSRQSAERAIKRLDKGCLLLSSGRPLVAAFAKINPPGKPSSFYGHTKLQKTQMRRETRDGVTTSRGSQPNTLEYVMAMEWYLHRARSEHAFQTVIKRQLETETCTSLLIMSSKPMAGEMKPGGGAFALNPNAASYVPLSNRVDHADVEGFVFANPTTQEISFAGVQVSMPPEKSSDMAYKQIRDDDLDLEMDIDMDIEYLLVTFSGLSQESITDVYLANSGDLEATIEMLTQLEIYSDETQESLPETLDIGDHFESGPSTSKAAAHKKAATEVVASPAPVIPNATVSA >A09p054570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46953638:46955953:1 gene:A09p054570.1_BraROA transcript:A09p054570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFFSELRLYMHPVTESHLRVDTTRGEKLRINFDVTFPALQCSIISIDTMDISGERHLDVRHDIFKRRLDSHGNVIEAKQGGIGHTKIEKPLQKHGGRLEDNEKYCGSCFGAEETDDACCNSCEEVREAYRKKGWGLSDPESIDQCQREGYVQKVKDEEGEGCNVHGFVEVNKVAGNFHFVPGQSFHQSGFQFHDMIMFQQGNYNISHKVNRLAFGDFFPGVVNPLDGVQWNQDKQNGVYQYFIKVVPTIYTDVHGKTIQSNQFSVTEHFQNAEAGRMQSPPGVFFYYDLSPIKVIFEEQHVEFLHFLTNVCAIVGGIFTVSGIVDSFIYHGQRAIKKKMEIGKFN >A10p030000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18215106:18216172:-1 gene:A10p030000.1_BraROA transcript:A10p030000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSIHNAYLGIRIAPSASQKLSLKPIAHISPPTKLKPSSQPSISCSTLSPGQIPARVSGIFASSSPNFSHEPPESDSPSLGKKKLRVVVKPLDKPRVVLKFVWMQKDIGVALDQTVPGFGTIPLSPYYFWPRKDAWEELKTLLESKPWISELHRVFLLNQATDIINLWQSSGGDLLS >SC261g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000110.1:8516:13079:1 gene:SC261g500020.1_BraROA transcript:SC261g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFIFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSKSFTTRNQIGSLTLHETGHALLDLRVWIVTHLLHLQFMASILYHQLQRPLLSSSPLGAKLLTPSSLEPDVFFSWTRRLGTRIARPCPYTSASKENHHQDRKRTG >A07p032240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17729661:17732559:-1 gene:A07p032240.1_BraROA transcript:A07p032240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADNLEWVAYGSCGHREVCSTCVVRLRFVLCDPRCCICKTESPLIFVTKALGDYTRTINDFSTFPTAPKEGRVAGGFWYHEDTQAFFDDLDQYRMIKAMCRLSCSVCDKAEEEQGPGPRHRLRIKSVEQLKGHLYHKHKLNMCNLCLEGRKIFIGEQKLYTRAQLNQHIHTGDSEVDGSESERGGFAGHPMCEFCRNPFYGDNELYTHMTTEHYTCHICQRSQTGKYEYYKNYDDLELHFRRDHFLCEDDSCLSKKFIVFPNESELKRHNAIEHGGRMSRAQRTAALQACDASCLIPTSFRYSRGNDQESRRGRPRSFRREPGGGDDNYNRAVNAALRLSEAEYSRQEPPPPSSAPPPGFSENNNRDMDDGDPLIQPMESLSTTDMEPSSRYLQAVGSSGGGGSRLQESAFPPLAGQPRSGQNLEGLPTNTLAARLRRQTNRTPSATAIASPSQAWPAVNRASNTSSGGNPSSGWPAIGRAPVQASSSSAQSRSHARVAQPRPPNSQAARNGNMIPHSSSAPNLSDTRSLHSEFPPVSAAARKTPSSTITQGTSNSQPPPPDVQSANKSLVEQMRSALGHDEELFMTFKDVSGQYRQGSIDATTYLEYVKGYGLSHLVIDLARLCPDPRRQKELIDAHTASLRNNAAKENGPAAAPGQSSSSQAQESKKNKGKAVKVADPKEAVADNFMETVRRLQSSQNFHEEEEEEVISKDKNAYRSDKGKSKVESTSTGSKQQRKKTSKFHRVRLGDGSMAALLNVNSSYHEPHEPESKDDNNNSSQNQTGVRGVWRKGGAQLFS >A08p018540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12763708:12766498:-1 gene:A08p018540.1_BraROA transcript:A08p018540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MDAEKASNDNNGHVEHERCPVEEVALVVPETDDPTLPVMTFRAWFLGLSSCVLLIFLNTFFTYRTQPLTISAILMQIAVLPIGKFMARTLPTTSHRLMGWEWSLNPGPFNIKEHVIITIFANCGVAYGGGDAYSIGAITVMKAYYKQSLSFICGLFIVLTTQILGYGWAGILRRYLVDPVDMWWPSNLAQVSLFRALHEKEHKSKGLTRMQFFLVALGASFLYYALPGYLFPILTFFSWVCWAWPNSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSILNVGVGFIMFIYIIVPVCYWKFDTFDARKFPIFSNQLFTSAGQKYDTTKILTPRFDLDINAYNNYGKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWRQTWSAVKTVKLDIHGKLMQRYKQVPEWWFYVLLVGSVALSLLMSFVWKESVQLPWWGMLFAFAMAFIVTLPIGVIQATTNQQPGYDIIGQFIIGYILPGKPIANLIFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLGVAWWMLESIQDICDIEGDHPNSPWTCPKYRVTFDASVIWGLIGPKRLFGAGGMYRNLVWLFLIGAVLPVPVWAMSKIFPDKKWIPLINIPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFNYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNAGHDLKWWGTEVDHCPLASCPTAPGIIAKGCPVF >A03p032580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13786420:13790866:1 gene:A03p032580.1_BraROA transcript:A03p032580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKVFGHPASAPTMRVLLTLHEKNLDFEFVHVDLMGGEHKKEAFLARNPFGQVPAFEDGDLKLFESRAITQYIAHRYEGQGTNLLPADSKNIAHYAIMAIGMQVEAHQFEPVAAKLVSEQVFKLKKGLTTDQAVVAEEEAKLAKVLDVYEARLKEFKYLAGIKVFGHAASISTRRVLLTLHEKNLDFELPFGKVPAFEDGDLKLFESRAITQYIAHRYEGQGTNLLQPDSKNLAQYAIMAMGMQVEAHQFDPLASKLAWEHVFKLIYGLTTDQAVVAEEEAKLAKVLDVYEARLKEFKYLAGDTFTLTDLHHIPAVQYLLETPTKKLFTERPHVNKWVAEITKRPASQKILQ >A04g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20201501:20202680:1 gene:A04g507900.1_BraROA transcript:A04g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKANKIKKLIKKEEKHRLASSVRRRRPASSLPRVKHRDALSSIPLLSLSILHDTAEDQGLGLLRVSDWKMALIMRLGLEVKEGLLLWWLSRGMTENLFRWKFVFFSLLVGAKKGCFFTKDFLQMRNVIISSTWHYQSINHLLDYAFCLFENLVMMIMECFETAKRKLEKSMVADNDSEDEFCREIELLARLHHRHLVALKGFCAKKNE >A01p036870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16207716:16220298:1 gene:A01p036870.1_BraROA transcript:A01p036870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGPEHIARRRARGRVVEVAEIITGVPTHYKKDESYRSIGLALGEVDSVDVDNGRVRVRINVDEPLQFKRKAGYANGDVISVTLNDEELHRYCYTCKRISHEEGTCPELSLEQRESNRIARLKQKEKEELAAREAFSVPIRGFETHARIDSQARFHRTYDLDRKPLDSHMHKSDCFKTEQKQNPDHDDLGFRISGKIESLARTVWNRLDHNYAGKVPRDRERYHPYQNDLRADFRYTKRITETPIKQGRYGDSASSSSWRVKGSSPQNQNRVQERSREWRRPNPPSRSNRSPDSQRTISEPHRILRSDPPRRRIGQYSSYEPRLEWQPVRVATRSREEQHQEANEHTNEQEMERETEEDRRRRIKGKAIARNPGDKEGNGFFDGGASGTLKINEPLPNKVTVPKEIPVTQGNTIHNIQSTQSQERGLAAQKTPTSSSPEQAEKRDSQSPISERLKIGLMGKHSSAQDTDLLTEEEINQIADQYASVDFDMDEDMLNEDDLLDEELEENTVIPETQEFEMQSNLPQREEDRAGRDVRKEKEKERLTTKTPRPAASKEQELTKKAQKDMRPPISINKHRGTRSPDTKGAAASKKLAIRGPYSKKTSSRKSCRGRGDCATRGKDLGATTEILKSTHYKGRGRNTEEGASQILERATHLLRSCCLPILRFFLDRSHLLHSSMLLWVKITGVPTHYKKDESYRSIGLALGEVDSVDVDNGRVRVRINVDEPLQFKRKAGYANGDVISVTLNDEELHRYCYTCKRISHEEEKEELAAREAFSVPIRGFETHARIDSQARFHRTYDLDRKPLDSHMHRSDCFKTEQKQNPDHDDLGFRISGKRESLARTVWNRLDHNYAGKVPRDRERYHPYQNDLRADSRYTKRITETPIKQGRYGDSASSSSWRVKGSSPQNQNRVQERSREWRRPNPPSRSNRSPDSQRTISEPHRILRSDPPRRRTGQYSSYEPRLEWQPVRVATRSREEQHQEANEHTNEQEMERETEEDRRRRIKGKAIARNPGDKEGNGFFDGGASGTLKINEPLPNKVTVPKEIPVTQGNTIHNIQSTQSQERGLAAQKTPTSSSPEQAEKRDSQSPISERLKIGLMGKHSSAQDTDLLTEEEINQMADQYASVDFDMDEDMLNEDDLLDEELEENTVIPETQEFEMQSNLPQREEDRAGRDVRKEKENERLTTKTPRPAASKEQELTKKAQKDMRPPISINKHRGTRSPDTKGAAASKKLAIRGQWDEGFISEVIQAEDIPRIMAIKISKTGRTDGYCWKHTKSGHYTVAQLGEDITEEDESSTQRYQSLLSSHTRTDRRYTCQVDGLWAASDEWMGMGFVILEAEEEILQGQSCTHRVQSPIQAEAEGIIWEMKEARARDLDDINFASDCQQLVNLVNKDEVWPALSTELDEIKDLLSKFQSVVLTFLPRSCNIRADSLAKGGRSRAYCSAVVNSLVPFLPALEARHEEPE >A05p005830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2252448:2254600:1 gene:A05p005830.1_BraROA transcript:A05p005830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEEEASYSMNDICSNDHNIDDNNNNNTNLKQHEGRAWGTCEELLLACAVKRHGFCDWDSVAAEVRTRTSLSSVFLSADDCRHKYRDLQRRFKESADGDNDATAEEEEGGNDIPWLEELRSLRVAELRREVEQYDVSILSLQLKVKKLEEERDGGEKLDLVEEGERKGERSENDGGEKAEESERENRSMNESNSTGSGEKTVGEDEPGQTRGEDVDPDPVNAAEEGSGASDSGELGESGTSGRKWKRKRRKDSDGEIRSAASESQPLIRLVDLIRSHPRGSLFERRVRSQESKDYKSLVKQHLDIETIQRKLTQGSYDSSKLTFYRDLQLLFANVIVFFPSSSSESMAAHELRAIVSEEMRKESGKSSPRLLKASAGMSSIKAEAAETSEQKSSAPLVVCKRRRFVSAKAKASPSSSSFSQKEETKEEILSEENENVETGGRSSKRTANSTKTGKGKNKQKEAEEAKTEKKVVVSSDKKKSVADFLKRIKKSSPQKEDKDQNKSKKESKPKPRELRSNNVGKKKKAEVENAATPVKRAPGRPPQKKTVEAVATASGKRGRESGSTGKDNKQPKKRSRR >A07p010990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6870971:6873007:1 gene:A07p010990.1_BraROA transcript:A07p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWADEEEGKRATEFTAPPRKLVHFDASLPTWSLGGCLILIRFGANDIAFGVDTKCPQLLEPVEDVLHEVINGASDRGITLLRVSALKGHIETVQLLLDWELLLLRFASIKLVVLKKPDGLYPVVSGASNVTKIGSLEVIKGELTLDGTTRVTRIKKEDNSCPSFAYPYNLRNRGDPSSVIE >A01g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1583245:1584314:-1 gene:A01g500370.1_BraROA transcript:A01g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLHSSSVCITYVYPIRMSTTVVNDMHQPGLGLDELEQLEHPGYTSQEVMLLETNRDLKRKLEESDAALDQSLCGASSSAEHSQQQQQQGMSSYQAHFSMQELVFFRPLQG >A09p028370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17080562:17083238:1 gene:A09p028370.1_BraROA transcript:A09p028370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTATQPPQGQPPPVAEKLNPELVQLLNLDSVKTRADSLFKAISRILEDFDAYGRTNTSPKWQDILGQYSMVNLELFNIVEEVKKVSKAFVVLPKNVNAENAQILPVMLSSKLLPEMEADDNVKREQLLQGVQNLPVPMQIEKLKERMNLIAQACENAEKTLADTRKAYGFGARQGPSMLPTMDKVQVAKIQEQESMLRAAVNDGAGTRLPPDQRQITTALPPHLVDVLFVNDAGKNALPVASNNINSQGNMMQVSGTQFMGRSAASPSNASFDTTRSPLPYSNSPQSAGMLNAPSPQQQQQLQQQQRSKLMQLPQHQQQLLAQQQQLRQSSMQQSQMPSLHDLQAQQKFQSLHGQQHQMPYSQSMGHQQYQARQLSGGHIQHGMSQGQLNPAMLNRQLNQFSGGANSAMFTSAQGSPSSQMIPNMSSMQSQTLNPRMQQYGVSGTNAQRGHASQMLGDQMFNNSGMMQTQQSQQPQQQQQQQQQQQGAYGNMQTNQQNLQPNMLQNPQQRHQNPQ >A08g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16368798:16369426:-1 gene:A08g508770.1_BraROA transcript:A08g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNNRTASPPLWGARVTFCSYGIQSNCFVYGRDAMLREWRAGSFDTVLVDGKELHNIRDYDDHLRLGRNSRALSDRNSSEKAASPDANYL >A08g503830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6512246:6512485:1 gene:A08g503830.1_BraROA transcript:A08g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKLSRNQYVYVANPAEQAERYEEMVQFMEQFVTEENTVEERNLLSITYKDVKGSISAAWRIVSSIEHKEASPLGLV >A02g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12393991:12395018:-1 gene:A02g503710.1_BraROA transcript:A02g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDGSLRFFFLHLDAHLGMNLFVTFQAKPVTQPASQVDENPLPFTTPNQPIKHDLNHFRPPSTASSKIPSFSLFGDDDLLHDPPPRVPVDASNSLHSPTTFPSSPKGPKITRFSLHEETLSCGDEMLEQMFKEDPDNIPDSWVLDDDEETASESSQPQDTDGVPPAGYDHDFWDPFLEEHLGGPNKGSEGQASPHQTKEEEAIQAMNKPQESDHTAKTMKR >A10p038310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21435856:21439134:-1 gene:A10p038310.1_BraROA transcript:A10p038310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALSAFSPVDLHSMNFLARACDLVCYRFLNIIRIQITVRIAADCWNLKRKSKWYEVLFYDFVVLAASIVSKSGKVLVSRQYVDMSRIRIEGLLAAFPKLVPEYSMSLDEDGIGRAAFELIFAFDEVISLGHKESVTVAQVKQYCEMESHEEKLHKLVMQSKINDTKDVMKRKANEIDKSKIEKTRGEKGGFSSMSSMGSRLDSSFSDMSISHGSGGGFGSGPAFGMLSDVEPINTKAKDRSRSSVTAAPKSSGMKLGKSGKNQLMESLKAEGEDIIEDVKPTGQTRAAVAAPTDPFTLTVEEKLNVALRRDGGISSFDMQGTLSLQILNQEDGFVQVQIETGGNPDILFKTHPNINRELFNSESILGLKRPDQPFPTGQGGDGVGLLRWRMQRADESMVPLTINCWPSVSGNETYVSIEYEASSMFDLTNVIISVPLPALRDAPIVKQCDGDWRYDSRNSVVEWSILLIDNSNRSGSMEFVVPPVDSSVFFPISVQFAATTTYSGLKVNGMIPLRGGGGAAPRVLQRTQLITQNYQVV >A03p005530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2313198:2313707:-1 gene:A03p005530.1_BraROA transcript:A03p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLSRNLADLFDFGGWLVNPSRAADSGLVYDACAKDYLHFPCASGYDHASIKNIATRMEYECPSPLMSMLDLNLPSITILFHKEDVTVIRTVTNVGAVNSVYKVNVIRQATQLQFHGIHRSQSQFHLLIWELDLDRHFSQGHHLNLLGLNCLCISTIEFGISSTKSFV >A08p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22125329:22126113:-1 gene:A08p038170.1_BraROA transcript:A08p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A09p041440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23426112:23430306:1 gene:A09p041440.1_BraROA transcript:A09p041440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPTWEKHPKEHALKHQRIFGVAVPLHTAKAPKRIVRRFVSGSIWGRANREKKPKKHALKHQRMFGVAVPLHTAEAPKRIVRRFVPTRKKSPKEHAQKHQRMFGVAVPLHTAEAPKRIVRRCVSGSIWGR >A02g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5462749:5467151:1 gene:A02g501660.1_BraROA transcript:A02g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAHTKGNSSDTQKIDELTAKVDQLLKNNHGHIFNMEQATSEQIQNQNQRQPQSNQQVVPANGNSQPDELNGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLATHIRKIDVQLAQTVENVKRQQETLPGRTDKNPRTEHCNAIEQPFVETVLGAEENTEQPASSAVTAPDESAETPPSRVYVPKVPYPIPPRHLMDPVSEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGLELHWMGDDQLEQKRQQTVQFGDFHAEQS >A03g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27231949:27233893:1 gene:A03g507720.1_BraROA transcript:A03g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFFKSQNHLCSLSGERRRRRPRRPILFQYKVTCEMNKKIMRRNDKISSGCVYAQHTDLTTHQYYHRAPYDTTQSSKLQTKTLLTSEHLKDQRSPSPPHPKARGTREQAEGHDRPFQQRVDRHGVPFGNRISTSLHPPGPRNKLAPNSFYQKSSRTAQRQMDHTLNNYASPPYTRRRHNNHEEKHGDGSSGESKRKSPVLQWRAKSPLLAQEVTPPSAPFQPPRQSVGRNLEAVDFPSIHEMQVREEVMEEIREATLQYINCPDPMESAARKQRVLQSEMNGEVEEAATRILQASTSAGMARTETLLIADASMEAAQTDTAADIPLMPTRKRGRPPKPSERRTTVRVSPKIYSGMGSKKRNLARLQASPGAA >A01g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4104784:4105950:1 gene:A01g501060.1_BraROA transcript:A01g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLRIKRTESVMRWRYVSGWSHICFWWGEGVTEAIEGRIVIVVVEGTEMEEELRDMKAHKAYISMVDFVAEAQQGIPKLCPCGSITKETVDEEDTYDYLPGKRYFICKDFENDGLHFRQPWVTGVTEEVERLKLRVHEHEKLLRECEALKAQVAMLVKRVTELELLH >A03g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7142597:7144299:-1 gene:A03g502170.1_BraROA transcript:A03g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTNRLHRLRSHKQTKFIRYNSEEVTSIKWEFINMTEQEEDLISRMYRLVGNRWDLIAGRVAGRSASEIERYWIMKNNDYFSNK >A03p043610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18254461:18255949:1 gene:A03p043610.1_BraROA transcript:A03p043610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVKAKKGGGGGITKVCQLSPQLDKFIGTSQIARTEPGQRYMAHLSESASLRPVTQVLNLTLRGTYISFGTDGFECNVPTFYGSQCVCFSSRHKQIIRPDTESDELDENDKKPKKEGCVLLTPDPLPPSDALVKFFGDGESSLSRLVQRLWEYIKQNELQDPSDISVSELITSHFIKTKH >A03p049730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21322508:21328152:1 gene:A03p049730.1_BraROA transcript:A03p049730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 17, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G23050) UniProtKB/Swiss-Prot;Acc:F4KBF3] MAYKSLDSITRSDLKALGISDDISYKLLRDLQNIIRNHGSATPPPERWIEISRRILHPDLPFSFHQMMYYGCYKDYGPDPPAWLPDPKVASLTNVGGLLERRGREFLGDDSYKDPISSFSSFQDFSVSNPEVYWRTILDELNILFSVPPKCVLEKDTSGDNPGGSWLPGAYLNPARSCLSSGSKRRSDDDVVIRWRNEGSDDLAVNNMTLLELRSQVWLAAHALNALDLEEESAIAIDMPMNVESVIIYLAIVLAGHVVVSIADSFSPREISTRLKISKAKAVFTQDEIIRGDKSIPLYRRVVDAEAPLAIVIPARGSFVRMNLRENDLSWNEFLGKAGNMRGVEYIAVEKPAGACTNILFSSGTTGDPKAIPWTNISPLKSAADAWCHLDVHQGDVVAWPTNLGWMMGPWLVYASLINGACMALYNGSPLGPAFAKFVQDAEVTMLGVIPSIVRTWQNSNSTSGYDWSRIRCFGSTGEASNVDEYLWLMSRAHYKPVIEYCGGTEIGGSFVTGSLLQPQSLAAFSTATMGCKLFILGEDGNPLPPEAEGVGELALYPHVFGGSSTLLNGNHYNVYFKGMPTFQGQILRRHGDLFERTSKGYYRAHGRADDTMNLGGIKVGSIEIERVCNSVDDSVLETAAIGVPPPSGGPEQLVIAVVFKNPELRNQDMNLLKKSFNSEIQKKLNPLFKVSSVATLPSLPRTATNKVMRRVLRQQLTQTGDNLETRKRRRFDPTEEPCRLLFFSQALQYLLHSSLLRSFISYGIKINHCHYLGTFREASNPLGNVPTFPRTRVWDPYKRLGISPYASEEEIWASRNFLLEQYAGHERSQESIEGAFEKLLMSSFVKRKKTKINLKTRLKKKVDESPPWLKALLDFVEMPPMDTVFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACVYFLNEKTKSLGRACLIGFGALTVGWFCGSLVIPMIPTAFINPTWTLELLTSLVAYVFLFLSCTFLK >A05p002700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:953221:956556:-1 gene:A05p002700.1_BraROA transcript:A05p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 6 [Source:Projected from Arabidopsis thaliana (AT2G46240) UniProtKB/Swiss-Prot;Acc:O82345] MMPMYMNSPQGGYCCYQGFGSYPHHVTMDASSHSPSPCYGSCVHGNIPPYAPYWPPCYYPSQAPYHTCWMNHPGFHPQTPPCYVHQPFPVGYQHGFESEKDVTGKNRCTKCSAPKNGTGVVIEEHEPETEKRRDNGEAVNDVRSTNYPYPIVWIPHENARNQEHGSHNQPPAQIKAPESTKVQKADPKSWNGCFPLDENTIRSLIQNQDRNKLQNGELPFDINKLKSLLQGQGQGQTQKTKVPGQLQYPIFWIPSQGKKEDVEASEKKECGKEGIDLESVSSDRLNNGKIAQCDKGNLEGLSDAVEKRLVRNIPVESYKEPTSIPDKPLENHLPEPTEKIVKTEPAEDTRKEQRSSPPKASRLPPVCLRVDPLPKRKSGGSKSLSPPRRKEQTLMSEETKVASPLSSKKVETRTIPESCNVKCEEANKEKKSEECLKPSGTNKDSDSTKSDLQGLKCEIVEPCETKEKPAKKTFSEEESARIIQSRFRGYDVRRWEPIKKLKEIATVQKQMGDVKKRVQALELSADQHTEGKEIVVLGEMVMSLLLKLDSVQGLHPSIRDYRKSLARELSDMQENIDSLKKISVEKEQVDTTSQTSDPLVNLEERQLAEENKMVSDSNAEKVCPASSEEHLTSLKNTTDVAEAEEVTGLSETLATDPKPASETGICEADSTTIPEKIEAPEAMLPINPSSADGNEMTVTNKEDKVMVKKLEEPLPELQQEVETTLGSENTSEVSETVANGSENGDRKRDDDSVLSSEKIEAVKTVQPVSSSSADANEMTVTNIDEDKVTVEKLEDPLQELPQVVEATGGSGFEGVDEVSETVASASENEDGKGEDDYVLPSEENEAVETVVPVNSSSADADEMTVTEVVEDQVMVEKLEEPQVVETTDEQAFEGLGNGTEVSEAVADVSETEDRKGDDDSVLPSEKDVELSDLPVGVIDDEVQLLTQDSLSSPGEEKTVVDTETASKEGTNVDHSFTGISQESKLGMSEETKKLMEENQRFKETVETLVKAGREQLEVISKLTGRVKSLEKKLSQKKRTHVGRRKPNRGKQTTKPMAASCTDAVM >A03p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7760235:7761554:-1 gene:A03p019030.1_BraROA transcript:A03p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGTLVDMLDRASEVKTFNEMKMGVKGLVEAGMTKIPRIFHNPLASVTTPKPPSTVRIPTIDLRGGVFDSEVTRQSVVAKVKEAMEKFGFFQAINHGIPLHVMEEMEAGIRGFHGQDPEARKMFYSRDKTKKVKYNSNVDLYDSPAASWRDTLSLFLAPDVPKAEDLPEICGEIILEYSQGVMKLAELIFELLSESLGLSCNHLKELDCAKGLLMLCHCYPPCPEPDLTLGATQHTDRSFVTILLQDHIGGLQVLHDGYWIDVPPTPGALILNTGDLLQASCCSQLKIKRFYIIQLITNDKFVSVEHRVLANGRDEPRTSVASFFVHPSSSSRVYGPIKELLSEQNPPKYRDTTAEASNHYVPRKGVGNASLSHLRI >A02g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17926660:17928139:1 gene:A02g506290.1_BraROA transcript:A02g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTNSMSVRPRKILSGPIPKVRRSDESPPLQRRRSFPFPLKQLLSLSMVLMVTPFPSRFRPPPDPPPTDLPPWSLCKSRPFKARFLIVPPEPPEPPDVPLLLAPLLQTLESSINPVVFLPRCTSPVPVAVASPLRFFASTIGLTGTVFVSFGVCVSTAWCRFQHSSSFQLEPQFIFAETSSLLVKLSKGIVSVSSWNKSYLYESCLVLGVSCLEMSSLPLNEDIALPLNLILPQFEDVASDRSLPLYEDVASDLLSLPLYEDVTLCSPPLVPQYGVGTRTFVLLALVSMVSGTDASKNGGLGWCIHGMDEAHDSQSSARILYVVSTLAAEALMSQVVLPSASSAGFSKLQEIWDSIVFFSALHSGMDLNEIAGCLLTNLATLFSPLSFNFYQCTALHLTVAIAMEDELMGTTSLNVTTKYLQLCCVRELKGTVNLIY >A10p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4900708:4904541:-1 gene:A10p009470.1_BraROA transcript:A10p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIVCGGKRSLFETSSPPLSKKLCSLSPSRFSSSLLLDHLTAIFPDMDTHVSFSIPKVSNFVVGWQLHLESANQKPELSLVQEEAKVAVRGAGGSSGKEEVLNMEMPSSFSKPSLDSPLSLDGAEAERRLREAEERLREAMAELQRRQRSAARGSHGDLCDHADVSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARGQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFTGSYHALRCCFRKWRKKETPLNSVLAGSIAGLSVLALDDSNQRRTLALYLLARLGQAAYNSAKSKNKFHLWGSHWRHGDSLLFSLACSQVMYAFIMRPETLPKSYREFIQKTGPVARPVYQAVRECCRGGPIDVASLSAYISSKNEASDVKVQEFASIIPCSAIHPHTNSCLAQNANAMSATFKKTFPLYFSLTFVPYVVLHLQKFMASPYRTSWNAIRDSVRSTSFLSAFVGIFQAFICAHRKVASKDHKLVYWFAGGVAALSVMLEKKPRRSELALYVLPRAGDSLWEILVNRHILPNIKNAEVAVFCGCMGGIMYYLEHEPDTLAPFLRGLIRRFLASQISNPSSKISQSSSYMYLQTLDALEKPKAPENREGETQKAEEKYNLEAIPGL >A05g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6565432:6567911:1 gene:A05g501950.1_BraROA transcript:A05g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRRGKRKENPTEEEGPRVKFAKTGSGENVEKTTEEGETRAVEIVESTAKTTDESTVKTTDESTAKTTDESTAKTTDVSMEMTQTTDGSTEKTRKDSSENTAEMTEPFNVVAEAAPTTLNKGPGDEENEETASGDEENEETASGDEVNEKTASGDEVNESSEEEQENPDGENASSNQDHEDSEEEQANGEREEEANENGNPPEPQSIQLGDVMKLVKRTLKLMRTVDKKVDQLDGRLAPLEEFVKEAQAKAVEEEAPAQEKAKKQKRRKK >A03p058070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25197215:25197823:1 gene:A03p058070.1_BraROA transcript:A03p058070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVRADMAPGIPLDPQNIKAIDIAITKDQTSGNWGLYVSNEEIGFWPSSRFKESSGTGVEWGGEVYSPLSYIRDISIIDENYKADNTVKNTESYTDDSHGYKVRDSTETWWKVGHVVVYGGPGKI >A02p019120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8850577:8850909:-1 gene:A02p019120.1_BraROA transcript:A02p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHPSRSVSVALLLILLAFFSRKFHTEGHIDLQISMEKSIGGSLRRIPRSRYSPIQNKRDPSRKQKITSREP >A02p017320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7807764:7808508:-1 gene:A02p017320.1_BraROA transcript:A02p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLYFTHQNARDKALALFTEATSGVLLCTDVAARGLDIPGSDYVVQYDPPQDPKVFIHRFGRTARFGRQGRSIVFLLPKEKDYVEFMRLRKVPLQKRKCSENSSDVIPIIRSVAMEDRAVWEKGKTAFVSFIRAYKKLMQTRRRFHRERA >A04p003440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1690790:1691323:-1 gene:A04p003440.1_BraROA transcript:A04p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIFKKTRSSSSTLATHKQSYSITKTKPKIRIIHIYAPEIIKTDVANFRELVQSLTGKPEDHGVSKTKPRRGTRGQVQDMINKEKLREAERCDDQGFCSNSEMEEMSMTWHGGNNCGESSGGFLNGFGDFGGFIQELGEFPYLPLSSMDASASSNSSCSSHLHGGSIFSDSHHQF >A02p003340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1388680:1389755:-1 gene:A02p003340.1_BraROA transcript:A02p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSAALPLLLLFLFVSSLSVNGNTAQSVECSAMIMTLTKCLPFVTIGSQVEKPETACCSVIKTVLDTKAECLCEGLKSSAAAGINLNLTKAGTLPDACQLKAPPMPACALFAKPPASAPAPVPAARPLNGSGPGSNSAPAPSPSHSNHGSSISVLSLAISGALVIMFTRI >A09p083530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60137212:60139289:1 gene:A09p083530.1_BraROA transcript:A09p083530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NASESKRKSRVRMSMYDAAFLNTELSKPTSIFGLRLWVVIGILLGSLIVIALFLLSLCLTSRRKNRKPRACSASAAVATPPISKEIKEIVQAPAPGEIQVDIGKVEHRVVFSGRVSSGESRGTASASETASFSGSGNVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYRGVLNDGTKVAVKNLLNNRGQAEKEFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYDFVDNGNLEQWIHGDVGDVSPLSWDIRINIILGMAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLGSDSSYVTTRVMGTFGYVAPEYACTGMLNEKSDIYSFGILIMEIITGRNPVDYSRPQGETNLVEWLKTMVGNRRSEEVVDPKIAEPPSSKALKRVLLVALRCVDPDANKRPKMGHIIHMLEAEDSLYRDERRTTRDHGTTRDKQETAATESGESGSRHHQQKLR >A09p051900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45607612:45608428:1 gene:A09p051900.1_BraROA transcript:A09p051900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSIKTCESGAGQVTVLYTIRRSGTDNSVKNHWHVIMARKYREHSSAYRRRKLMVNNPLKPHLPNHRSFNCPSFISTNHYFTQPFHEFNLTHHLVNHATMTADQNHLVLPFHCFQRYESTEAPTVVSMFGNQMMIEDNVGATSEALYNFPYVDSMNQEKGVPNEAMNLIGMEVVDEEVAEKAKQQPHFFDFLGLGTA >A07p000750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:529767:530487:1 gene:A07p000750.1_BraROA transcript:A07p000750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABG3e [Source:Projected from Arabidopsis thaliana (AT1G49300) UniProtKB/Swiss-Prot;Acc:Q9XI98] MTPKLQRFQSLGVAFYRGAVFCVLVYDVNSAKSFEDLNNWREEFLIQASPSDPENFPFIVIGNKINVDGGNSRVVLEKEARAWCASKGNIPYYETSAKEGTNV >A03p011110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4452134:4462711:-1 gene:A03p011110.1_BraROA transcript:A03p011110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLIIVAILVCLVCYIYRTLKPPPSRICGTPRGPPVTARRVKLSDGRHLAYRESGVDRDNAKYKIIVVHGFGSSKHMDFPISKGLVEELGLYFVFFDRAGYGQSDPHPSRTIKSEAYDIQELADKLNLGPKFYVIGVSLGAYSVYSCLKYIPHRLAGAVLVVPFVSYWWTKVPQNMLSEALKLLPETDQWTFRVAHYVPWLLYWWLTQKLFTSSSVISGGKALRSNKDLVIIKKKQENPNPLMKKARQQGDHECLHRDMIAGFTTWEFDPTELENPFEEGVGSVHMWQGMEDKMIPREINQYISKRLPWIKYHEVPGYGHLLNAEEEKCEDIIKALLSLTKGTMLASGNNLSRGTVGLSSDTPNLSQVLTLEPIRLGNQSYTRSGELRRVLGVPTREASSEDTSFGMSHPKPSPPVATEELKQFKESVQDTSREAGDLAKKLSESIFKLEKYAETLSSKKRRRSDISPGERMDAANLDKMRNQVPRAQESMAQRSEERKKMLGLNKRARTPVGDVRGDGRVSTLARQQVIERGSDSPPSVPGETVRIEEKIRRLPVGGEGWETRMKRKRSVATLGNRVMNPDQRVMQPKPTVDSKLRSCDTQNFRLKSSTGVSGINRLESSFEPDSPGMGGLPRNELETVSLARDRSVLAEQRLMAKGNNKRNLQDDGPTNSSTSILKGNVARAPRTATVMGVDSSSKVEPPSGVLQGSSAHAMAQWVGQRPKNSRTRRTNVVPPIIKHAESKFSPQGFATSEFSPRASPGTTGPLSVVDSSSLKMKREPKNASSPYGFSESEDSGAGDNKTRERALASGDLFTTPKTGSPLKKNKMQTSHKGGGAWKQGKSESVSSLTTPGFHPMIVKSENLTLEKPLHNVKIASDKNRSKYGRPPAKKVKDRKPSTRRASNSISTPDFTGESDDDRDDIFAAANSARKAANLACSGKFWKKMDHIFAAINADDMQNIKDQLNFAEELDESLSEAILDGYNVMGIKLPKAPHRPGEGIVDYSGPALSCISDLSFERLDMRKLNESTPLYKRVLSALIEEDDGEEVVQFTGGKNLSLHYASDDSHSGSCTYIDTEFRERDRMEFEVESSGDFQTPKSCLFDRFSNERSVVYNPFRNGSMSTSVHSNEQWIGDDYVSHSDAALGGEAYSNSLGQLQARDVNIPNFPVSDTQYQLMSLDERLLLELQSIGVFPEAMPDLAEETMSTGVMELKEGIYQQIRNKKKKLEKLNITIQKGKDDEKRKIEQLAMDQLVETAHKKRMASRGNKAFKAHKVTRQAASAFIRRTLARCRKFEETGLSCFADPALQDILFSSPSNDAKSSENGGSGTASNTLNEPSNHQAEAKGSVSSTKRREALVDDVIGCASSKVTTSIDSAVLSGGGKREEGLRNKNKPKPKEKNENQSRSTHPTGPASRGRGGNAGDGGAGVDEEAPIDFSKLAFHDLEEIDEQADIGNWFEGLQDIDTAGLDEGFVSSSCLVHRISHSPPPFSSPSLQHLRKLPKMSKLQSEAVREAISSMITHCKETKPRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGNLDMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPNRVF >A04g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17547422:17550931:1 gene:A04g507250.1_BraROA transcript:A04g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCRGWHYQSNHTSDEDGRIIMIWKDHVKMRVLSQSRQMITCELSIPGSPSFIYSAVIVRPLLSRTNSYLVEQAASYSCCKKKLDRCLCNSNVITSFPHASATFLPPEMSDHAPCLIDLAYQLPRAGTKPFKFQNYLTKHPSFTEVVRDAWFEAEKCCCQPCVIVLETEKYKEKRESERNSPFVATCAGLSEAEVTAIVASTGMPNAYLPMRYLAEGHHSARVGWEQVTLTKEQGGLGVKDLYTWNRACILKLIWMLFFRPDSVWVCWFKEVVLKGSLSNCWSVNTSASNSWLANKLIKSRDLVFPLIKRRLGNGLDTRFWYDNWSPFGNLSNFLSATTRRLGIPKDATVGSLFTGSRWTLPPARTENQLEAQIYLTTISLSTAEDSYEWEVNGKSCEKFRTGDIYTYIIGTRQTVAWAPVVWCSFGIPRQSFLTWLVVLDRCPTRDRLIRWGLQGIDPACLLCNSHAETRNHLYFDCSFSRRLWTVISTRCQLQLTTNWDSILLCLQQLSGNRDLRRLTLLAFQACIYCLWNERNTRLHHQTFRSTDTLLNLIDRQVRNKIQSLRGTNGRACSAMMQLWFLHS >A06g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8776202:8782715:1 gene:A06g502670.1_BraROA transcript:A06g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAEHGSEVPQRRHEVAPKHPPRATCPERRPEVARISHPSQSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPSSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYQPRNNQQGSYQPQQNPSFGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVIIGRAEHGSEVPQRRHEVAPKHPPERRPEVARISHPSQSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRSLLRIVSRLKVDSLIDHLPSLVRYLITQGLIPMPMSSLFP >A10g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8050905:8051832:1 gene:A10g503080.1_BraROA transcript:A10g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFREMINSTEGQKRKGQTNIGGSCDSTSISGDDQNNQQTRDGTDAGENVDNTPSVNISAVNADGNTAALEEFKKMFTAFTKNLKERDKIIGVTPPINTNAPIVYNSRLFNPKKQYLIVSSSGQGLISYGTRT >A01p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21957428:21957816:1 gene:A01p041110.1_BraROA transcript:A01p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAIFLACCILSSLLPSHFSQGEELSVTTGQIKPWCPSKKQAFSGSCSNDGAQQCVNDLLNTWYPYVRLGPISCTCTSQSNNMRLCSCPNMICK >A09p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39796765:39797931:1 gene:A09p045270.1_BraROA transcript:A09p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNLVLNPRSNKSLTQSLPKSTARFVCSDDKSATQQQSMKAFSAAVALSSILLSAPMPAVADISGLTPCKESKQFAKREKQQIKKLQSSLKLYAPESAPALALNAQIEKTKRRFDNYGKYGLLCGADGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDEKKPAMKEIIIDVPLASRLIFRGFIWPVAAYRALLNGDLIAKDV >A09p008070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4185153:4186301:1 gene:A09p008070.1_BraROA transcript:A09p008070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQLTEPDPPEPPDPPSSPVRTSNRSFSPVKTLILCKSHIINGVSFLDRSVFSKRFSISSFHLLLLSSASESFMSLDLNMKFSQISVYSASGVLWLFISSLILVLRSSSTSVPVAGLLVPGLGSSNGFITAECSLFLWVSLSPVAVTVCFTSQLVNLVVASCTGCSALITTSCFIHLPTIQVVSLRFSNLFTGVVLIVLECCPGLSLVLVRPFTAVCSLFTALCSSACAILKYFCILLWQLDGVMSDISIPWVLFVDIYCSLSSSMECVPLLISSSTLSGFVSGSKTFKIIDTSDIEVLIKGSSKWCSIAYVCVAISRIVNCALASVSISGIISLNVVFNSQGLLSLCSLVVETRGPLHAISCLSVLYASIFLCFIVIVV >A09p012970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6607979:6610289:1 gene:A09p012970.1_BraROA transcript:A09p012970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine protease EDA2 [Source:Projected from Arabidopsis thaliana (AT2G18080) UniProtKB/Swiss-Prot;Acc:Q1PF50] MSSDLGFILITTVTAFLSYSTSALLHSGSASHGVSPRDYYLTTDAHWFNQTLDHYSPHDHRKFRQRYYEYLDNFRAPDGPVFMIICGEGPCSGIAKDYISEALNVKLNRSSDNPWFFFGVSYPGALSAWFRLKFPHLTCGSLASSAVVHAVYEFSAFDQQIGESADQECKAALQETNKLLEIGLKVNRKAVKALFNATELHVDADFLYLTADAAVMAFQYGNSDKLCVPLVEAKENGGDLVETYSKYVRDHCMKVWGLHVRPYNRKHLRNTVVTADSTYRIWWFQVCTELAYFQVAPANNSVRSQQINTEYHLNLCKSLFGKGTYPDVEATNLYYGGHKIAATKIIFTNGSQDPWRHASKQTSSPELPSYIMDCHNCGHGTDLRGCPQSPMVIEGKSNNCSSPDVVNKVRQQMVEHIDLWLSECRGSTRSSK >A09g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22442699:22443500:-1 gene:A09g507850.1_BraROA transcript:A09g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRNYNLQYLNSGPSSKLPACVSLPSSTSATASARPIILPRASLSLSIRSAYMSLSLYRQPHSYLIVILHVPETLVSKATFINLLFKFIPLSISPRYSLGLQVYTTPAQHLDSTWSSPLNSTSASESMSATTSGQTSYIFKVQ >A09g514190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42763800:42764203:1 gene:A09g514190.1_BraROA transcript:A09g514190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECLARNTPHCLMYLSISLSRYIASLCLFLSSNIQPVLDYHKPIRTHLLDALLIPLLNPDLAHTSQTVPLCPIFAQTPNVFRWLRKDVSGRSHPYRSLQSVRPSVQPTLTLHHRPFPPKLL >A04p018870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11248971:11249903:-1 gene:A04p018870.1_BraROA transcript:A04p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDYGKQREASACDDVTDQDKTVVSRIMQRFRPIAPKPPVGESSDDTKSCRFLRRNRRFKRKYVRVRNKNISSGRSNNNKDMICSNKKACGDGEKIKTDLDEEIGGEDKSDIVTLQLLPDKDKDHQAGKDNTDPSDMDPRTSLNISSSLDRTVVESWLTVECVNNTCTELGGYHKLQLSRMNEGEEIVMRMLYFDTCPWLVSDGSNRVYWINRAYRNMLGDPKAEVTIKVWLVVATDLMEEMSCMVESYGAVTCRVRVRYDTSTWRNEKSHSESEVKMTVPCDVWRMGSSGGLAWRLDVESALTLGRYN >A03p053740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23066235:23068598:1 gene:A03p053740.1_BraROA transcript:A03p053740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHLISFFIIIIISLFVKASKSDDGFCSAPSITESDEKTKPIYWKVTNPTLSPSHLQDLPGYTRSVYKRDHALITPESHVFSPLPDWTNTLGAYLITPSMGSHFVMYFAKMKEMSSSDLPPKDTERLVFVVDGAVTLTNTSSSSTKLTVDSYAYLPPNFYHSLDCVESATLVVFERRYERLGSHTTELIVGSTDKKPLLETPGEVFELRKLLPVSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDVIWMAPFVPQWYAALGKNRSRYLLYKDVNRNPL >A09p061310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:50584983:50585264:-1 gene:A09p061310.1_BraROA transcript:A09p061310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGNRKNDEASVFRMPLHYPRYSKRRLPRHARVETGHNFIRLRFARVETGQNFIRLHRQVRLCYKSFPLDFNSQCKALSRQVIASSLKKYIK >A05p009920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4151659:4152036:1 gene:A05p009920.1_BraROA transcript:A05p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR12 [Source:Projected from Arabidopsis thaliana (AT2G37610) UniProtKB/Swiss-Prot;Acc:O80930] MSKITSLMQQEVTLGEVSETREDAFSDNNHVLDICRKRKRSDEEEEQELVEEDNDGFKTPTRPENRIPEVRECPPAPRKGETEYLAMRRGNTMWCRRRLSFSPENAVGSFITDLQWMSTSMTIKK >A06p010870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4032390:4033664:1 gene:A06p010870.1_BraROA transcript:A06p010870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHSYSIFLAIFMSIILQPSITSSQSHLCRSSCGDIPINYPFGIDDGCGSPYYRHMLICSDNNTKLELRTPSGKYPVKSISYSDPHLLVSDPFMWNCQDRDNFRPTRSFSIDSSTHFTVSPQNDYLFFNCDSEKVIVEPKPLFCERFPDRCDSSCDSSSYLCRHLPECGSALGSRVSCCSYYPKATQSLRLMLQNCATYTSVYWRSTGAGNAPYDQFPEYGIRVDFEFPVTTKCLLCQDTTKGGGVCGFDTRTQSFLCLCKQGNATTHCNDPVLVKHKHIGAVAGTVTAVSAAGAIGVGGGVFWYLRKVRAKAPVTCGVQSNENRIF >A06g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27093309:27093899:-1 gene:A06g509340.1_BraROA transcript:A06g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRFNQSGDQMFDSLLPCLHLTLFEGFSSSSSHVPVFLRDDDDDDAFELTYSSLLPLFRLSSSDLYIFSSNNIWLT >A01p023460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11606824:11608509:-1 gene:A01p023460.1_BraROA transcript:A01p023460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQIFQGEPDNYDGVTVTITEPMDASKIFQGEPDNYDGVTVTIAEPMDAAVFTEKLRASLSHWRQEGKKGIWINLPIRFANLVEAAEGFRYHHAEPDYLMLVSWIPNTRDTIPANASHVVGVGALVLNKNTGEVLVVQEKSGYFRNKNVWKLPTGVVNEGEDICDGVAREVEEETGIVADFVEVLSFRQSHKAFLNKKSDLFFLCALTPRSYEIIEQKSEILEAKWMPIKKIRRPAIEPEPRDVQVHGKYLPEEV >A04p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11429858:11431785:1 gene:A04p019130.1_BraROA transcript:A04p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTVLVADVPSLPDSVYGLSEGLDMSKPTSLKMPGFSVIGHRGNGMNVLQSSDRRTRGFKENSILSFNSAAKFPIDFIEFDVQVTKDDCPVIFHDDFIYSQENGIVNESRVTDLSLSEFLLYGPQKEAEKIGKTLMRKSKEGQVLKWDVDSDDPLCTLQEAFERVEQSLGFNIELKFDDQIVYEREFLVHVLRTVLQVVFDYAKDRPVIFSSFQPDAAQLVRELQSTYPVYFLTDAGNQMYKDERRNSLEEAIKVCLEGKLQGIVSEVKGVFRNPSAIAKIKESNLSLLTYGKLNNVGEAVYMQYVMGIDGVIVDFVEEISESVTLMMIRPPSPSSPLPSSASKDDVAAIARPEFSQKEIDFLLKLLSQLIQH >A01p011050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5379649:5380826:-1 gene:A01p011050.1_BraROA transcript:A01p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLASCAFPSSHTTLLRNKLSPFLFRRYRSHQSLQLHRRNFQIRAMRAVIQRVSSSSVTVDGRIVSDIGPGLLVLIGIHESDTDADADYICRKVLNMRLFTNESTGRGWDQNVMQRGYGVLLVSQFTLYGFMKGNKPDFHVAMPPEKAKPFYASLVERFQKAYKPDAVKDGVFGAMMKVNLVNDGPVTMQLESPQSSKNETKASTES >A09p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1433610:1435382:1 gene:A09p001870.1_BraROA transcript:A09p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRAMGLNLNPILRQELANLDKDTESRKTAMKALKSYVKDLDSKAIPSFLAQVSETKETNSLSGEYTISLYEILARVHGPNIVPQIDTIMSTIVKTLASSAGSFPLQQACSKVVPAIARYGIDPTDQEENKKRVIIHSLCKPLSDSLLCSQESLASGSALCLKALVDCDNWRFASDEMVNKVCQNVVVALDANSNQTHLHMGLVMALAKRNPLIVEAYARLLIHTGVRILGFGVKEGNSQKRLSAVQMINFLMKCLDPRSIYSEVELIVKEMERCQSDQMAYVRGAAYEAMVTSKRIAGELEGKMEKKGSCRSVTGSNFGGRKCSPDDNESLSPESQTLGGSFSGGYDSPVESSPRSSCNFDGRSVNRKLWRSNGVVDISLKDGLFSGDTTVSDSPLVPYDHCDNEFEGFVMGSLRNRRMQNTTPTSPQRQCCSRNMNAENFNIYSTPRKLISSLQYPDDMGLDHSDIQSPMPSRQNTKLRKQSSQTPVKQAMETILSSSTVMLSEETTPQSHMIMSSKKKKNMRYAKLMFAISFFVVVLFTSVVMFSQDDDVVYYSVPT >A09p075410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56811855:56817475:-1 gene:A09p075410.1_BraROA transcript:A09p075410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKQAVTVRDLVEEAKKRIVILVICVVGLSYLLSLTSSSVWVNLPAAACLIILLRYFSFDIEMKRKAAAYSNKPSSLSGPSLSKPPELPKAAPRSDWRSKVNSQVVEDALDRFTRHLVSEWVLDLWYSRITPDKQGPEELVFIINHVLGELSRRFRNVNLIDLLTRDIIDIICRHVELFRECRAKIERKQRRSLSFEERDSELRRVMATNDKLHPALFSPESEHKVLQHIMDRLISLTFRPEDMHCAFFHYTVRELLACCVMRPVLNLANPRKNATDKQHVADLAKDPLLSMDTRSSRSWESLPLTSKIVDDSKYLQGHRGGEGWGDILEKMSQRKTETLAPEHLESVWAKGRNYKKKEGEKVVERVPSRLSINDGDNARPKNVNENTVNARGSSQPKVVDSHLSSYSSAEEDEETKSSHSSTSEDEETVTGLNSPGTRVWDGRTNKNPSVSRIHHPLENSGRRFKQTSKGHERYEKASRHQSSRKRSKRSGLILGDDDSDDSEHDSLARSYSGISATSSASYNSMPESDLLSAPRSSLLVDSFAKLRCEVMGANIVKSSSKMFAVYSISVTDDIFLNLQIILLYFAQKLLQLPRISGSIEVWDFLSVDSQTYAFSSSFSIIETLTVKPARKTSTVPTSIANMTEATPAPLPSRENLSSENGKSGQHVRNNVMVDDLKSKVKAPGNDHTKTSDADVRNSKENGGLKVGNQADAMALPGLPTEWVPPKLTLPLLDLVDVVLQLQEGGWIRRKAFWVAKQILQLGMGDALDDWVLEKIRLLRRGTVVASGIQRVEQILWPDGIFMTKHPKRKQQSSTSDEEQQQEAERRAKFVHELMIEKAPATIVSLVGQNEYKQCAEDLYFFLQSSVCLKQLAFDLLELLLLSAFPEMEKAFKQLHEEKHLFAIVQKERHERQKKTKDKMMKPTAKSIERVGRFLRKSLGSIKSTICFGKYHKLPNNNTALLSPFSCSLHRSCPQDSQTEETYSVFSSESTAVAETRDESLINKVQHKKKPKKKKVAEPLEEAKRRGEMLAQKMKDFNMVDLRDVEHASDVQEALRCYSSIRSPVYLDIVDNFFTDMYNEFSDPLTTTSSIKGSRRKAGSFRL >A01p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21633484:21639309:-1 gene:A01p040570.1_BraROA transcript:A01p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSSHLERHIHHGRASQSDLSERPTEVAPSQSDQSRATTSSHSQPEPPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPFVKYFVSHGRQDNLFSREELSIHNASSELATQKLINRHFSPKRLKVDSLIDRLPSLVRYLITQGLIPMPMSQEELCFINNNGSWYKKEPTFSTTTTNSNPIQPTTRVVIRLETTSKAAISLSKTPRLTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTRHIHPGRASQSDLSERPTEVAPSQSDQSRATTSSHSQPEPPAQVTRVLTRRDTKKRVGSDLLERLC >A05p053640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31043575:31045328:1 gene:A05p053640.1_BraROA transcript:A05p053640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMYYQNQHQGKSILSSSRMHLPSERHPFLRGGNSPGDSGLILSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTIMKVMGIPGLTLYHLKSHLQKYRLSKNLNGQANSGLNKIGMMTMIEEKSPDADEIQSESLSIGPQPNKNSPIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLSPAGIEAAKVQLSELVSKVSAEYPNTSFLDPKEFQNLCPQQMQTAYPQDSCLTSSEGAQKNPKMLGLRTYLGDSTSEQKEVIEEPLFQRMELTWNEGLRGNPYLSTMVTDAEQRVSYSERSPATLTMGVGMHGHRGHQQGSNEYNKDERFNDKSEDHKLETQSTKTELDLNTHVENCCTTRPKQFDLNGFSWN >A02p035910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20496625:20497852:-1 gene:A02p035910.1_BraROA transcript:A02p035910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid hydroperoxide glutathione peroxidase 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11600) UniProtKB/Swiss-Prot;Acc:O48646] MLRSSFRLLYITRTNLLVRASPSSLSRLPSKFSSAKPLVSSHHQIPLSTTGAKLSRSEHSMAASSEPKSIYDFTVKDAKGNDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYQKYKDHGFEILAFPCNQFGNQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGDSAAPIYKFLKSSKGGLFGDGIKWNFAKFLVDKDGNVVDRYAPTTSPLSIEKDLKKLLGVTA >A04p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1083803:1084816:-1 gene:A04p002270.1_BraROA transcript:A04p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQKAMATLPKLIRAMRNESPKHHNLVLPSLRRAFSLYDQINLIDNVPEDQLRFQEFDETSFTVNGVKYDGSLLSVGNLLMSWSPRSFSEITTDSLSIFQTVRPIPELLIIGCGRYNHPVNPQVRQFVKSIGMKLETLDSRNAASTYNILNEEGRIVAAALLPYGVTS >A06p014590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6526320:6528079:-1 gene:A06p014590.1_BraROA transcript:A06p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKGISLSQSNTFHPNIKFNRSNPPPINIVNPTLRVPRRSLRVLSLSVDPSANQNAKPTVNPHAPPLVVVGSANADIYVEIERLPKEGETISAKTGQTLAGGKGANQAACGAKLDYPTYFVGRLGEDAHGKLIAGALGGGSEGCGVRLDHVKSVKDEPTGHAVVMLQSDGQNSIIIVGGANMRGWPEKMSDDELEVVRNAGVVLLQREIPDSINVQVAKAVKKAGVPVILDVGGMDTPIPSELYDSVDILSPNETELSRLTGMPTETFEQISQAVAKCHKLGVKQVLMKLGSKGSALFIEGEKPIQQSIIPAAQVVDTTGAGDTFTAAFAVAMVEGKSHEECLRFAAAAASLCVQVKGAIPSMPDRTSVLKLLQSST >SC141g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:228938:235949:1 gene:SC141g500080.1_BraROA transcript:SC141g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVKSSGEVDEVQSSGEVDEVQSSREDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYINLFLLDLNLIICYHIISDLVLFELLKPDPCSASTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVQNKSSKHKWYKEEEAGRGQQSYKQPAHTSSRPHQASRTPKSNIHSSYNQIVTKPQIYVFTGEGDYLKWERTIEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSEHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAATIQEVQTESSMEKEKSGTEQECSLSLPQSELNFNNSCDELTCLKPVQPSSLVSVSQVAKEDSAEKEPEQSTQGEELEQQKNLQSETIHEPLSYDLQKHCKEFDMVTSVPELFVVVTTQDVKRFGLEKVKDFCVSNSVYDRMLKSFKELEPDKLFDQKYFQYGLFFEDILVYNTFFDKPVAQLKLEFTDSEYGGIMLFCALDILVYNTFFDMITHLTCPKQAEKGTGKERGYNDQSIHDESLAKLEMHQSNHGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHDQIFSNERVMGSTRRVILCLLCLNFSEFRTSQSYLWRPGEHAKVTNHVFKSSLIDYTDMMHLFLPKESCADYMEALKHTKGKNKHEEDKRFKPPDLSLERHQDVNCFILIKEAPPDAAYKPKPRKDNFGIRLLLYDDFACVNLSCFNVSGLSNASGVRKAKRISPFYLIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYIKHHPRISLEEQVRPASSEEEQVEPARHEVYLVGVSVHLREREVEGRWTSEDSGIWRRFGSGSDLLEIRLRRALSIQPWTGCKAESM >A03p038210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15951566:15954932:1 gene:A03p038210.1_BraROA transcript:A03p038210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICFKPWRRSPSRSIKPTIIDELEFSLEQLRVATDGFSAGKIVSEHSEKVPNIVYKGRLKNGRKIAVKRFQRHFWPDSSKFIEASQAVGRCRSEYMANLIGYCSKGHERLLVAEYMPNGTLAKHLFHWEKRPMKWEMRLKVALHTARALEYCSSRGIDLYHDLNTYRILFDKVGNPRLSCFGLMKSSKEGKSYSTNLAFAPPEYMRLGTLLPESVIFSFGTLLLDLMSGRHIPPNHALDMFRGKNYLVLMDSALDGQYSDEDRTELIHLATRCLRFEPDERPRIKFLTLALSRLEKRVELFPNIKVDKNLTLPISYTKPARKKKPLRLTPFGEACWRVDLSCIHELLEKLGYGEDVVVTNEFSFEMWTGRMQENTDYKKHGDAAFRAKDFETAIEFYTEFMSGATVVSPTVLTRRCLCYLMSDMFSEALTDAMQAQLASPECSTALYLQAACLLKLGMVAEAKEALRHGSSLESF >A10p031360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18756921:18758183:-1 gene:A10p031360.1_BraROA transcript:A10p031360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGTPSSLDEIRKAQRADGPAGILAIGTANPANHVIQAEYPDYYFRITNSEHMTDLKEKFKRMCDKSTIRKRHMHLTEEFLKENPDMCAYMAPSLDARQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFSDGAAALIVGSDADTSAGEKPIFEMVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLDEAFKPLGISDWNSLFWIAHPGGPAILDEVEKKLGLKAEKMRATRHVLSEYGNMSSACVLFILDEMRRKSAEDGVATTGEGLEWGVLFGFGPGLTVETVVLHSVPV >A08p000470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:252322:253686:-1 gene:A08p000470.1_BraROA transcript:A08p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCRPCRAYSLRRPNRPYGRPNIKRKIIRRLPEMEMEMRIPMHVVTEEVLRRMPAKSLLRFNWSEMPIPMHVVTNEILTRLPAKSLMRFKCVSKLWSSLISSPYFRDRFLTVPTRQRPHLFMCLQDVNDHSSSVTLSLVPDANSDDTPSSSSSFVVDLTIPRMRGGYICQNLRGFMCYDLWQKPRIFNPATRQLVTLPPAFNPNTTKGTVSYYFGHDPITNQYKVVCSVGVRLTDTQEVISHHRVFVLKPGGGGSWRKAYRLPPPDFIPHIAAIGGVCINGVIYYLGWTAADSCMLVSFHIRSHDFKMIQVPLPEELPPPAKMKNVCLVEYGGKVTVVDQTSLRDKGRLDLWALEDAANQKWSSKRLIVKPSQLEFVRNTELVVRGTSRNGKVFLIPTDLVSPFQILCYDLQSNHMIKIDIKGVPDHWFSKDKSTVEVMLMDHSETLMSLMNA >A05p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2936379:2938368:1 gene:A05p007310.1_BraROA transcript:A05p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSNCCISVRPYTAKQPSFHRLHHPISSSLSSGRITLHGGDGSVSSFRLRDLVFVVNPQGANGRTAKEWKKLLPYLRTRLGKDCNIKEYLTSGPSHAIDITREAIRDGADAVIAVGGDGTLHEVVNGFFWEGKPVSNLNGEASHSAALGLIPLGTGSDFARTFGWDNDPCEAVERIARGVRSSVDVGVIDHKGSDLHYFINVADVHLSAKAGFYASKYKKFGSLCYVIGALQAFMGHHNRDMRIKVNGGEWEIYPQVTALCVGNAKYFGGGMKITPNAVPGNGNLEVVVLQDFKWYDFILKLHTLYNGTHLSVNNVSSRSVQSIEIEEVSESGSIYVQSDGEHLGFLPRKFQVLPGAIDMIIS >A01p058150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33220655:33221595:-1 gene:A01p058150.1_BraROA transcript:A01p058150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPMGRGGFRGRGGRDGGGGRFGGGGGRFGGGGGRFGGGGRFGGFRDEGPPEEVVEVATFVHACEGDAVTKLSQEKIPYFNAPIYLQNKTQIGKVDEIFGAINESLFSIKMMEGIVATSYAPGDKFFIDPAKLLPLARFLPQPKGQSAGGRGGRGGRGGFSRGRGGPPSRGRGGFRGRGPPGGGRGFPSRGGGRGGFRGRGRGF >A10p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9288176:9290560:-1 gene:A10p005350.1_BraROA transcript:A10p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAERLRQFLLTSANSLGIKDDWIIPCADLLEIVAKERDGLHNYIKFKCVATSDSPSLFYGLVFTKAPLDENMPALIIPTNLLVWEGSLDMDKEDKSAVSETYTLLDQYPVNVVMVEGSISEEYKGYFLSREITAVQNMKRRLQRYPSLGYSCTALRCEKTIESLNDPINEKPLMFLDGCGSLTILLKGSSTAELKLMKRMLKTGYNQFRNELLSSDYFLVALPPSKIIPWEMDGGQDEVVTIREEEVSSYIAYSLQQVHDESSESRPKSSVFCQHRDSFHELRSKCNMTESQYISSLSRCDTWEAKGGKSGALFAKSRDTRLIIKEINQAEFESFAKFGPMYFEYMKEANKTFLTKIYGVYKVTLGQAKFLMVMENLNFDRRIAMQYDLKGLVHGRLAPDSAQVRLDQNFLNDMKRLRLHLNPYLKQDLQTVIRNDTAFLSGINVMDYSLLVGVDMENHELVCGIIDYLAPYSLKKKLETVGKSLLLLGKETRPTVIRPSEYKKRFVDFMVKQFLGEIDLRTKVKELEQQLRKERDARLDAEKRLEELNKKKPIRSRSF >A10p018080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12696302:12710352:1 gene:A10p018080.1_BraROA transcript:A10p018080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNKTPSLPIYSLFLGLLWFDYFPGLEAATGKLGSVPGVYVFGDSLVDAGNNNFLAFSVSKANYPHNGVDFPGKRPTGRFSNGKNAADAIAEKFGLPLPPPYLSLRGLFKEKRRKSAAVTGVNFASGGAGIFNSSDQKLGQAIPLSHQVNHWLSIHRELTNQLGPAETQIHLSKSLFTVVIGSNDLFDYFGSFKLRRRNNPQQYTQLMADKLKEQLKRIHDTGARRFLVVGVAQIGCTPGKREKNSAIHECDEEANTWCSLYNEALVKMLQQLKQELQSSITYSYFDNFKSLHDIISNPARYGFTDVTSACCGNGKLNADTPCLPITRYCSDRTKYLFWDRYGHPTEAAARTFIDLMLSDDSQYSSPLTLSQLNMPKKKMRSLVIWLLYLGLHWFDSFPGLEAATGKLASIPGLYVFGDSLVDAGNNNYLPISIAKANYPRNGVDFPKRKATGRFSNGKNAADFIVNDWLSIHNEVTGKLRPAEAQVHLSKSLFIVVIGSNDLFDYYGSFKTREQNNPQQYTQSMADKLKEQLKRIHETGARRFLVVGVAQIGCIPGNRDTDSDLHECDEEANRSCSLYNEALVKMLQQLKQELQNSMRYSYFDNFKSLQDINSNPTRFGFTEVTSACCGSGKLNAGSHCQPISKFCPDRTKYLFWDRFGHPTEAASRTFVDLMLSNDLQYSSPLTLTQLASS >A06p003320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4748903:4752816:1 gene:A06p003320.1_BraROA transcript:A06p003320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSTYLAVTTQTSVVVDNSSDDDFLQIDFNVSFPALSCEFATFEVSDVLSTNRLNLTKTIKKVPIDPHLRDTGEEYHPTPDSDLINHGDEHHDDNTYAAIPLSGGTFDKISHKFPILVVNFYAPWCYWSSRLRPSWEKAAEITRQKYGPENDGRVLLGSVDCTEEPTLCTKYHIQGYPSIRIFHNGSDLRGDDGHQEHDSYHGNRDTESLVKMVEELLRPIKKFDGTTNHAASRIRKAPVSGGCRIEGYVRAKKVPGELVISAVSGSHSFDASRMNMTHFVNHLSFGRLISDRLLTDMKRLLPYLGLSHDRLNGKWFVNEGKFAANVTIEHYLQVVKTEVVSRRFGQEHSVIEEYEYTAHSSVAHGYYYPVAKFHFDLSPMQVLISENPKSFSHFITNVCAIIGGVFTVAGILDSIFQSTYGIMKKVELGKNF >A08p012480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11459223:11459570:1 gene:A08p012480.1_BraROA transcript:A08p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGDSGGDPLLEPLPELLCFCDPPPKLFFFFEPLPELLFFFDPPPELLFVRVNQERAQPKTVIKAQDQMKPQPHQPSSILSVLSPCGAEEIITVSPELSHNNNSFAPLLLSCVG >A02g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8022227:8024143:1 gene:A02g502440.1_BraROA transcript:A02g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPYLSAMLAAQLGLASGGGPSTAVPRAGEIPPSDAANTGGGRKRKRGNSGVEESAGEVSGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAKEEEEETQPEEEVPEAEVSRERDEASLNAAVLDGSDEDSGDSPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGTSARGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVVRESSVRASELSALNDRESDRED >A07p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11934869:11936224:1 gene:A07p020120.1_BraROA transcript:A07p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAKLTRTQSSLLRSPSTVRSSFHIFSLISDDVPHQKQDLEAGEKEEKQRRYPRKPFGSSPRTGLTRINPGLAFTMVSLSFLSLSSFFFFVVFSKTDELLTSENLLLALIFVAVALFLASKNKTLLNQTKLAITRGFQSKNRSKPVQWYIGDTETKPEKATKRFVKEGVQFYSNGDFYEGEFHKGKCNGSGVYYYFVRGRYEGDWVDGRYDGHGIESWARGSRYKGQYRHGLRHGYGVYRFYTGDCYAGEWLNGQSHGFGVQSCADGSSYVGESRFGVKHGLGSYHFRNGDKYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQGYGAYSFRTGDAKSGEWDSGNLVTYLHSTSEPVRRAIQAARETAKKAAENRRRVDEQVSRAVAAANKAATAARVAAVKAVQNQMDGKFCQS >A09p012260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6254883:6255773:1 gene:A09p012260.1_BraROA transcript:A09p012260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 23 [Source:Projected from Arabidopsis thaliana (AT2G16770) UniProtKB/Swiss-Prot;Acc:Q8GTS2] MDDGELEFPPSSSSMDSFLEELLKDSHACTHTHTCNPPGPENTHTHTCLHVHTKILPAAQSDDKETTDDTSESSGKRSKKRPSGNREAVRKYREKKKAKAASLEDEVLRLRAVNNQLMKRLQGQAALEAEVTRLKCLLVDIRGRIEGEIGAFPYQKPQPFSYRMQPCNMPCDVDDLYCLQNGNSGEGILMNGQGLSGCEFDQLQCLGDQNLAGCSNGNGTFNVDASGANKSKGETQHLRIYLVEVGHVQLKQFEVSSSIMYYYHKAIVIIFQ >A03g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26755663:26756418:-1 gene:A03g507550.1_BraROA transcript:A03g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSQISLLILLRYYDDPACVLRKIDLEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWNGEGKEERHVEALMIRKYGGVLTHAGRRAW >A03p064370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27981348:27983565:-1 gene:A03p064370.1_BraROA transcript:A03p064370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKRWSVGNLASLPGARHRAPARRRLWIIMALSLIIMTLSLITMLAIMAYMYPHHSKRACYMISSRGCKALADWLPPSLREYSDDEIAARVVIREIMSNAPVIRRDSKIAFMFLTPGTLPFERLWDIFFQGHEGKFSVYIHASKERPVHQSHYFANREIRSDEVVWGRISMVDAERRLLANALRDPANQQFVLLSESCVPLRSFEYMYNYLMYSNLSYVDCFDDPGQHGSGRHMDHMLPEIPKKYFRKGAQWFTMKRQHAIVIMADSLYYSRFRDYCGPGIENNKNCIADEHYLPTFFHMLDPGGISNWSVTQVDWSERQWHPKTYMPEDVTHELLNNLTSADTVAHVTSVGMGEELWMPCMWNGIKRPCFLFGRKFHPDTLDKLLDLFSNYTRSVSWHL >A04p006750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3590139:3591527:-1 gene:A04p006750.1_BraROA transcript:A04p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCLVGGAFIIVLIALGVWAIISCIPPPSKICGTPGGPPITAPRIRLSDGRYLAYEEHGVSRQNATFKIIFIHAFATFRRDAVIANRVRPGFLEKNGIYVVSYDRPGYGESDPHSRRSEKTLAHDIQQLADQLQLGSKFYVVGYSMGGQAVWGVLKYIPHRLAGATLLCPVTNSWWPSFPDSLTWELWNKQTKTERFAMLITHHTPWLLYWWNHQKLFQTSAVMQSSPTIFSPQDMALLPKLAVRVSYKNQTTQQGVHESLERDLIVGFGKWGFDPMKIENPFPKGEGSVHLWQGDDDRLVPIQLQRIIAQKLSWIKYHEIPGAGHLFPNADGVAETILKELLPIPQAS >A08p001150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:686437:687682:-1 gene:A08p001150.1_BraROA transcript:A08p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEGPKLFTNKPKKKDIIAQLKHVEANGTTVVPPSNPAAAAAASYTMGGGAPTPPPPPKESFARRYKYMWPLLLTVNLAVGGYLFFRTKKKDIEEPASEEIAAKSGSVAAAPVTIEKPVSSAVVADPVVVKAREPIPEKQQRELFKWMLEEKRKVKPQNAEEKKRIDEEKAILKQFIGSKTIPTL >A07p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14731226:14732887:1 gene:A07p025660.1_BraROA transcript:A07p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALTWTALSPPPLPAMVARMTTTSKMVALRRMNLRKDRVCVRATAVSSGGGVVEAVELAEIGEKSKKWKWKGEYSINYFVKSSPEEVTPASQTVLLVHGFGASIPHWRRNINALSKHHTVYAIDLLGFGASEKPPGFSYTMESWAELILNFLEEVVQKPTVLIGNSVGSLACVIAASEESQRDLVRGLVLLNCAGGMNNKAVFDDWRIKLLMPLLLLIDFLLKQRGIASALFNRVKDRHDSENLKNILTNVYGNKDNVDDTLVEIIAGPANSEGALDAFVSILTGPPGPNPITLIPEITKPVLVLWGDQDGLTPLDGPVGKYFTSLPGQLPNFNLYVLEGVGHCPQDDRPDLVHERLLPWLAQLSST >A06g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21390760:21391318:1 gene:A06g507670.1_BraROA transcript:A06g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPSSPFKIPGGWRLPQLCVVGKVLGRWRLTQLCVAGSCSEGSEGDLSWLEERGVLCKLVMVCAAVMFLGCLLERWRMPVTVMQVPVMPVRRVLSDVGAPGGGDVADLGPELGRIACCRE >A08p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1479535:1480292:1 gene:A08p002670.1_BraROA transcript:A08p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSSLLLAGSSAKNWEARNVKRRGVRMVVYMLPLPLRDASVIPTLLSDQSVSAISSRTEEASFCFYFPEAVGEHAFDRSERLGGNGCERKPDGAGGVTLSSGELKWLADCLAIFFSSEDWAARKAAAEALGKLATVERNDLSSIRSFVSISFVWMCYFILGYKKNKGYGRGDEQENYEWVGFDSKGW >A09p081650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59357188:59362149:1 gene:A09p081650.1_BraROA transcript:A09p081650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLALVVLLGVVGVSVASPYENPFRKSQSNPGTMGNLDLDYYRYKCPQMEDIVRRVTIQYVSPKPTLAAALLRMHFHDCFVRGCDGSILIKSPNNDAERDAPPNLTLKGYEVVDAVKSALEIACPGVVSCADVLALVARDAVLVIRGPWWPVPLGRRDGRISRISEANLPSPFANVDTLKKNFSDKGLNTKDLVVLSGAHTIGVSSCGLISSRIYNFTGRGDFDPAMNPNYVMELKKRCQPTDVKTIVDMDPGSVDKFDSHYFDAVDQRKGLFISDSAQVFKIIDRQVRDIILGQRHQRKFSGLMQDWLAFEQILECLKTIVSLQSCFINMKIHILAKKISDSALLNDWETKLYIKTQVWTRGASFNRDFAESMVKLGFVGILTGDQGEVRSRCDLVKRETAMALKNLLALVVLLGVVGVSFGGDLYEGYYRYNNCPQMEDIVRNVTYHYIYKDPTLAAALLRMHFHDCFVRGCDGSVLIKSPNNDAERDAIPNLSLRGYEVVDTVKSVLESECPGVVSCADILALVARDAVLAIKGPWWPVPLGRRDGRVSNISEANLPSPFANVTTLKKNFADKGLNTKDLVVLSGAHTIGVSSCGLISSRIYNFTGKGDSDPAMNPNYVAELKKRCQPTDVTTSVDMDPTSVDKFDSHYFNAVAEKKGLFISDSTLLDDWETNLYIQKQVLLNGFYFNKDFSDSMVKLGFVGVLTGDQGEIRNQCDRVN >A03g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18189237:18189509:-1 gene:A03g505130.1_BraROA transcript:A03g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQLIHTITANLLAKSVVLAHAIVAQSAGAGATIDSKANAGEKQHERGRLWFVVINNLFRS >A03g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14826449:14826782:1 gene:A03g504200.1_BraROA transcript:A03g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRRSRLCVESTHHLESVWGWFQWLEMSEKLTAEYSARGLRRVKQRRIRRIRWLCLQGRSMEYRRNKTRSMNLGRTLEKKR >A06p038360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20660488:20664486:1 gene:A06p038360.1_BraROA transcript:A06p038360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G64390) UniProtKB/TrEMBL;Acc:F4KDM9] MERNNYHYSTEKRSRAVDPGSGFGSSKRAKTHHAQLLSPLVVPVGHASFRLLSPISQVGAVIGKSGSVIKQLQQSTGAKIRVEEPPVGSPDRVITIIAQVDSTSRVKLGVDSNGSTEAERKEEDEVEVSKAQAALIRVFEILAAEADSSTVVCRLLTESSHAGAVIGKGGQMVGRIRKETGCKIAIRTENLPICADRDDEMVEIEGNVNAVKKALVSVSRCLQDCQDVKKIRTVGNRPFEKETIQESSHRPLETIIQESLLRRSVEDYDYRTRGADMFPRGTLARPSDVIPHDALHHRHIDGVSQGTLRRHIEEDRQDALLRQIEAERHDALRRHIDLGPREVLYRPSDGVRGDVFRQHREVDTSSHDSLGRPYEMVQRDAIRGMQFERDAFGRTIETIPQETLRRPSGDFLAHRSSTLDTHPHSITTSALMTNAVTLKPHQPEVEAENQDVVFKILCSIENAGGVIGTGGKVIRTLHSESGAFISVGNTIADCEERLITVTAPESPDRQSSPAQKAILLVFTRLFELATKKIVDNGSRMSITARLVVPTSQIGCLLGKGGAVVSEMRKATGAAIQILKAEQNPKCISENDQVVQITGEFPNVREAIFHVTSRLRDSLFSKSRKSSVTKSNPTLTTERIHRGQSDNPLSVGSHQSFGHPPTISTSLNRRSDDSFLSGSQLSANYLRPAGTDPYTRPEDPVPDRFNPSGGYSPNFGRRSTMDHNDISHHLTETASRMWASPPPAALRGLSDANGGLSSARPGHVLGSGHKSAIVTNTTVEIRVPENAISFVYGEHGNNLEQLRQISGARVIVHEPQLGTSDRIIVISGTPDQTQSAQNLLHAFILKGETLLSKRYNLN >A08p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23089650:23091457:1 gene:A08p040540.1_BraROA transcript:A08p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAFLNIGGYLFVFCLSLLATLTPHVGANVAVFDNYWTQRQGDALKQTIASFDPNPLNVTDHLNYHVALAVDATESTNSTRRELSQVRNGRKLKRSGKCVAYNPIDRCWRCNGDWEKNRKKLADCVLGFGRRTTGGKDGPIYVVNDASDDDLINPKPGTLRHAVTRDGPLWIIFGSSMIINLQQELIITSDKTIDGRGARVYIMEGAGLTLQFVNNVIIHNIYVKHVVPKSGGLIRDSEQHIGLRTVSDGDGISLFGATNVWIDHVSMTRCSDGMIDAILGSTAITISNSHFTDHEEVMLFGANDKHVIDKKMQITVAFNHFGKRLDQRMPRCRFGTIHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPNEQAKQITKREYTPYVDWKMWNWQSEGDYFLNGAYFVQSGKQNAWSPKPVNPVPKKFAIRPQPGTMVRKLTMDAGALGCKPRTPC >A10g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7864205:7868997:1 gene:A10g502910.1_BraROA transcript:A10g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNCWKSSGRLKFQSSAPFLSNGDFEGDKTKTLPWSCSAVIFADLKSRLPNSAAGMLFLISVTAWGHIFSDHIFSDNIFSNCLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVISQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLMQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSSETPAAGSEGMTHQQIEKSLKDISDAINLGIGTCLKELKLLADRMVAVEKKVGITNREGSSDDRQLTTTSNPPKPVEEPGCALMNMNHFFKHTVNSKLQSESVNGVKAGQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPYDKMKSKELTAWVQKDPSHKLPLKKKPRRCPSRFYQVLRTPLEWLTDHQMDAFINLLRQRYQNHPEHFRSDRMCFLDHVFSRQWRVSYPDFKSDAPDANEGLGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPKELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGTFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPMCHEWENQDNGRLGDVNRSG >A08p001450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:863269:864930:-1 gene:A08p001450.1_BraROA transcript:A08p001450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/calcium exchanger 4 [Source:Projected from Arabidopsis thaliana (AT1G54115) UniProtKB/Swiss-Prot;Acc:Q9SYG9] MIETYSGDTTAICSGLHQHIGYTDQCQFLKSNPLCYPDSFFDYLSFFYCTCQDFKILGYIALGVWLVALFYLLGNTAADYFCPSLEKLSKLLRLPPTVAGVTLLPLGNGAPDVFASIAAFVGSDKGEVGLNSVLGGAVFVTCVVAGVVSLCVADKEVKIDKKCFIRDLCFFLFTLVALLVILTVGRVSVGIAAAFVSIYVLYASLVAANEIIRKHSKRLKLDSFTPLLPIQGSVFSPPSGGEEDVYSPLLELDTEEGPPKLHDSLPQWMWATNVAIYSAKANVHDEERPPWGWSEDGGEVETSLCYKITYFLETPLTVPRRLTIPLIEEDSWSKTYAVASVSLAPLLLAFLWSSLQAYFIGIAVGSILGFLAYRNTEHDRPPQRYLIPWVLGGFIMSIVWFYMIANELVALLVTFGGIYGINPSILGLTVLAWGNSMGDLVSNIALSMNGGDGVQIALSGCYAGPMFNTLVGLGVSMLLGAWSKRPESYIIPEDNSLFYTLGFLILGLLWALVMLPRNEMRPNKVMGIGLIALYLIFVTFRLSSAMGFIPWAA >A10p033450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:19578472:19578990:1 gene:A10p033450.1_BraROA transcript:A10p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLGICSIAGSAYNKLPDSSQLSDFMEKVKAKYTRPCWFGRPWSRTISTTQDQQVLTAVGINDIYKVNIPRHEESLQIFCKYAFGQNSPIEGFEVLAGEVTKLAGALPLALRVLGSYFRGMAMYEWEELLPKLRTRLDDSIESTLKFSYDTLDDEDKDLFLHIVSLLNHI >A04p038450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21890148:21893508:-1 gene:A04p038450.1_BraROA transcript:A04p038450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLVRLMKNGMAECSVCRSRSIKAIARAYDNRKIRVSSKQRALNVFLVVGDCMLVGLQPVLVYMSKVDGKFNFSPISVNFLTEIAKVMFAFVMLLIQARHQKVGEKPLLSFSTFVQAARNNVLLAVPAGLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGATTMAVPVAMGAYICTFIFVTVPSFASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILATVIYKGPGSFDILQGHSRATMFLILNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHVLTMNFLLGISIVFISMHQFFSPLAKVKDEQQNGNLEIVNAKDGHRAKDSFINMAAGANEETTHRVESDDREPLLPR >A06g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30087553:30089479:1 gene:A06g509960.1_BraROA transcript:A06g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIEPLVGIIGCYMLILVESFSRWFSSTAPELSSTVRELSSTATELSSMAIKLYVGGDGALSHSDLLFECVGFSNTLLIKLVVSDFRFLCLYVFNLNDPRCKRKEPQSRRVQSRAIQTGALERE >A09p030810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18766424:18766868:1 gene:A09p030810.1_BraROA transcript:A09p030810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGIDLINPMIHIIPEIGRDITPIKNKGRWCIRLEKIDDRERSGTNSMPPHPSSNHRHNREWAPDSQSGSRFRRYDREQGRHMHQRTHTEWRPIKDKPEGESRKDTTTLVPKTFEMEEERKRKL >A02p042350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26561171:26563380:-1 gene:A02p042350.1_BraROA transcript:A02p042350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKRFLAKPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNNHHLSICNGCNSEIEDGLSINALGAIWHCQCLCCLHCHKPIAVDEISNSERKYHKLCYKEHCRPNCYVCRKKIPSSKKGIKYHKHPFWEDKYCPSHDDDGTAQCCSCERLQACGTEYIMLADNRRLCLECKESAVMDSYECKSLHFEIREFFKGLNMKVEKVFPLILVRKQALNKAEEEKTNGVVTRGICLSEEKMVTRVSRGRNKQLVGMAREESQRVVREPKVIAILILYGLPRLLTGYILAHEMMHAYLRLKGYRNLNTILEEGICQVLGHMWLESHRCSTNNATTSASSSSRITPSAAMSKIGDQSGFEKSLVEFCINQIETDESPVYGDGFRKVTEMMVSNHYNLKDTLKWIDIASKALLTGRENNSKSNI >A09p022190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12233864:12234953:1 gene:A09p022190.1_BraROA transcript:A09p022190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKVSLVIFLSLVGLYSVTAKTQKCGCAPNLCCSQFGSCGTNDAYCGAGCRAGPCRSNIRTPNGGGSVSSIVTQQFFNNIIQKAGSGCAGKTFYTHDSFINAANNFPNFGNSVTRREIATMFAHYSQGTGHFCYIQAINGTLRRDKCQEPQRQMPCHPPGIGYFVRGERLNIDLLRQRELVRSNPTLGFKTSLLFWMNSVRPVLNQGFGATIRAINGTECNGGNLAAVNERIRYYRDYCGQLGVDPGSNLSC >A02p016480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7401284:7401742:1 gene:A02p016480.1_BraROA transcript:A02p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIAFVTSRLIRRSAAADQRRHSAGDHGNRRGKKGPFATRDKPMPEEPKKEPVVLSKRDKRIGVLRLDKARLEDRNNHWMKGYENLVSLLADCRCDVQRGILHGKIRSVAEKVDENTKTLNKVEWELVSLGEVIGDILHVYMFLAFISCTF >A09p068720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53747965:53752074:-1 gene:A09p068720.1_BraROA transcript:A09p068720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 2 [Source:Projected from Arabidopsis thaliana (AT2G26260) UniProtKB/Swiss-Prot;Acc:Q67ZE1] MTPESSDAERWCVVTGGRGFAARHLVEMLVRYEMFLVRIADLAPAIQLDPQEQSGVLGEAMRSGRVQYVSADLRDKSQVVKAFKGAEVVFHMAAPDSSINNHQLQYSVNVQGTKNVIDACVNTGVKRLIYTSSPSVVFDGVHGILNGSESMAYPSKHNDSYSATKAEGEALIMDANGCNGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGNNLYDFTYVENVAHAHVCAERALASGGDVSSKASGQAYFITNMEPIKFWEFMSQLLEGLGYERPSIKIPAFVMMPIAYVVELIYKLLGPYGMKVPQLTPSRVRLLSCSRTFDCTKAKDRLGYAPVVPLQEGIRRTIDSFSHLTAGSQSKREGLSKASRILGGGKVADTLLWKDLKQTLTAVLILVSIYYNFVATGSTIITALSKALLVSSVFLFLHGILPEKIFGYTIEKIPASKFHVSKDTSHHLSLSVVTSWNTTVKALKSLCQGNDWSFFLKVVFVLLIMSFAGAVSLHSIFVIGLPIAFIVFLVYEKKEQEIDSVMLGFKSFACKRKNEVSEKLFGSKKYD >A09p040560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22844764:22846655:1 gene:A09p040560.1_BraROA transcript:A09p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTHNHGEEISADTYATVMRHQFNLEKEVKQCEISLTIVVSIDRRDIASPAAFDINILTSVDIHSGLEQNLTSNTKLDTTACLGAWYIWDQILQTSLEGRIRTRLGEQPLRLDIGQKEVNMTWWQPPLTLDSWKPSLFIIFGASTRDDHRARPSVDIEEQISIDVHIRTSIDSEAHIKLVWSQPT >A06p050610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26608290:26611034:-1 gene:A06p050610.1_BraROA transcript:A06p050610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSAGSRSSSSPLRVGDEDSSSPHVHDHSPNGGDDEDGRPRHRPIWSVSGFHSLLPFLGDDLRVSPQKNKISLLLILILAVVSLISVYGIVNHLNAPYLCKKDGIVLNCPHVKESPSPWENPLSATTSWKPCAERRIGGVSDLLPENETNGYVFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVRDIPDWFTDKAELFSSIRRTVKNIPKYAAAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLSEIEQMADSLVSRMRNRTGNPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRQKEWPRRFKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEDLAGKEELASFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >A02g503690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12365625:12366449:-1 gene:A02g503690.1_BraROA transcript:A02g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTREGIEEFKINLLNKMHDEIREELNKMAQYWKDHASTWRQKMETWKTKMNTRDGRANGDTASQPAKTEMRTWKTEMETAKTVRRVKRSSQLAKHIVKVATDTQVHEESSRHATSPLKGSAMSSRKHQQARPVLVRRQHLSAQTRKQRRHSRSSKPKTEVRSTKPPEHGRLPRSRFLQWRLRLSSHMLCSCVKVVWSLAYQDVINRKRPFDRGKVRIMEKLFSKIGMNFGDKVLLEGGVLMRVKWLTKVNQWFGVRRRDIIIVPKLMELSFH >A07g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3556731:3559188:-1 gene:A07g501730.1_BraROA transcript:A07g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKEPFWLVNVCITTKHVILQFSFDNPEPTIKLNLHLISSTFSLSDTNRALLPPARTARGGAYRRPEAPPSWFFVSRWLPLHLPALLRYARRPGLGFDLGEALRCRGVSSLVFSQLFLGPRRGGRAVVCGCSRLFGARVEIDVLAKISPGVLSPHSGSLVVHYFRCKVSLRLGRGYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERRYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVAPRLLSARFLFYLRAFWSFHYAPKVCAGGSHYHSGEFVGLSTQGLVLELSGLLAVAISSASSPLNFLFTVPSPSSRFLQHQFQPSVLGDYGRVVVVLESFTATS >A09g516260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48051975:48052711:1 gene:A09g516260.1_BraROA transcript:A09g516260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTKFPSETVLTSEPKTFRVDSALKDMAIVMKQQDRAKEAIESLRVICSDQTQESLDNILLDLYKRCGRLDDQITLLKHKLFLTQKGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQRDNFVEAEDAYRRALSVAHDNNKMCNLGICLMKQGRIDEAKATLRRVIRI >A03g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10391636:10393573:-1 gene:A03g503210.1_BraROA transcript:A03g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEGKDQEEEDEAILPGFRFHPTDEELLGFYLRRKVENKPIKLELIKQIDIYKFDPWDLPRVSSVGEKEWYFFCMRGRKYKNSVRPNRVTGSGFWKATGIDKPVYSNFNCIGLKKTLVYYLGSAGKGSKTDWMMHEFRLPSTTKTDSTAQQAEVWTLCRIFKRVTHHRNPTIIQQNRKPVITLTDSCSKTSSLDSDHTSHRVVDSLPHKLHEPQTQNPYWNQLTTLGFNQPTYTCHDNNFLSFLNINGGDFIGDSASWDELRSVIDGNTKH >A10p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5164897:5166717:1 gene:A10p009050.1_BraROA transcript:A10p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT5G50800) UniProtKB/TrEMBL;Acc:A0A178UJY5] MALPHNVWAFVFGIMGNIISFVVFLAPVPTFVRICKKKSTEGFQSLPYLSALFSALLWIYYAMQKDGSGFLLITINAVGCFIETIYIVLFITYANKKTRISTLKVLGLLNFLGFAAIVLVCELLTKGSTREKVLGGICVGFSVIVFAAPLSIMRVVIRTRSVEFMPFSLSLFLTLSAVTWLFYGLAIKDFYVALPNVLGAFLGAVQMILYIIFKYYKTPVAEKTEKSKTVTDHSIDMTKLTTVMPTPVSDTAVHPPLAIHDVPESQIQETEVKNQNMTSLKDQNNKDLENQNQL >A05p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6316949:6320091:1 gene:A05p014470.1_BraROA transcript:A05p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEETKCVFSLTSLQIGDMQSYISDLSIFLGNKSKKMYIFVDNQPWSNPGIRSAHLWQIVYRRSPFANKGREEKKKQNQEDEDEEEKKPKENNKKTDNLKKWFSLIDATTFSNKKLPAKKLDETFYGFIVFEVEWANVRGISYLNELQTDTSLAIEAKMMRRWEFESIDEAATNMFQWFSGSKSERPCLREYLDPSKGDVFHDASVDFPKTSPVDDEVETDSPCCSRSVFSVDHSIADYDENDPHTPPLTGPYKRRRVSKAITNGVELDYMEETPRRKDNSFDHWESHVPDGDETIIEATQYKDVLVLVRFGDRDLPFKLREVIMSDIRLLTLLEANLPSWVLFLQSYPCLCHLYRPWMCLLARALYVMISVITVVIGFYDLYKNVPVLKATASRLCGPLFDWVETWDMVSRIKYLGTMLFLHNVQKAVRWGLTMARGMQSFVSLLVMPLANPLLEVLGLLLPLWNSLAETVLSLVSVVWIVVESGCNLVGDVVEVVLLPIWLVVSVVLNIINTVLLPLFWILWEVLYAPIRVVAALSNGLALSFSYVFDVLGDLWRYMSSLVQFASDSQAAVKTYEVSMWRTLWNDLFSHVFRAVRSILNGFVAFFAACNKHRLSIYNHIQDFIKRLRGRTSRAESKDSKHDRSKNHQRTGDDTRRKLHLA >A05g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13802872:13805935:-1 gene:A05g504960.1_BraROA transcript:A05g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLDDLLVSLPDDLLMMRQLHAVYGEWLLKDCRWDFVVDNVKGARIIFFGEGSTHAELLAIAQEDFNLDMSTKSVEITYSLPGEMMPAPDTPPIHVTKFREEDDEADECFEDDDDLVEDENHDGEEDNGEEDDGEEDDGEEDDGEEDAGISIVAEADENGEDYSVYGKVEDEDEEDDDMCFEDIKKIEGGRSNGNSIYVNHSFVSKDALLSELRLTAVRFKFSFRIYKSTKTLLVTTCPVSGCQWKRLAQRRHCTPKYVGRLFIDRVGIIDGLNPQHITDAMKNMLGMTLDYTTSYRALLYTQTLDTKRIYILVLDNTLRNTHAFLRRSNIWFIDAQNARKLAIQNHNVRNDVDDLQVSHTEGRPVSRPGFILPEDLQVSRPVSSLPEDLHVSRPVSRPGCFLPEDLQVSCPVFSLPEDLHVSRPEGRPVSRPWFFLLEDLQVSRPVFSLPEDLQVSRLEDLQVSRPEDLQVSRPGGRPVSRPMFSLCIKNLCYELICVYFFVKLHYQTNLRRSCPLYYPKGYKRSLHKRLSRLLIVKSSNIPDDLTVSLLRRK >A03g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25980948:25986806:1 gene:A03g507290.1_BraROA transcript:A03g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKKTIVPARGPTFVNPSSPSQCSLLDSIPGSSPAPPPHTSSAPYSSPSTDSVPQTWADKAKLSTDKSLKRMSTTSTSLSPEGIPRVSIPDEVFQRGALLHKDFIVGRFFGRVPSFKTIQNVLNYLWGKGNRLEIHLIQATRSMLVRIPSDFIREKVLKKRIWYVDTAMFHVAQWSDGDVADTSLLESIQIWAHLKGVPFDLMTNEGLSWITDAIGFPKEMDDWTKNLQSLSVAHVKVEVDATKPLPSVLELVRKSGATFRVDVEYPWLPPTCSHCKQLGHIIKDCLKITRKWVPIQREKDPEKNANSSLPVLATVFEPALVNPTPPTDVPSSSSGPALPSSPYQIISFGSGLELPSPLAHPTSIFPPSIPVVMDIDTPPDLSSFPPLPSSIPPSSPSPPLPHSNHLVPPSSPPSPVSSYPQNYVLALAATVMPISSIPPPPKPLLLPPLTQPPPSSPPSSPVVAVSSQFPPPSYSFRTFHAKKPDWESPKRKYKLSSKPPLISPENQIDYSNPFAPLSNLSLSNPQSALDQSIMCTKMFFWNVRGINDTDKHRPFAQWLSVYQPFIGALLETHIKETNLNQIMATLCPGWNYLSNHNTDEDGRVIIIWKPSVTVQEIHQTRQSITCKVGLQTGQSFFFSAIYASNEREERLDLWNGLLEVQQTYYLEDRSWIIGGDLNQITHFAEHSSPNVDHLTADMLELKDFLLDLGVEDLRFQGNAHTWTNKRPENPITKKLDRALVNNNWISSFPSSVATFLAHEFSDHSPCLIDTACPLPSSGTKRFKFFNHLTSHPTFTSSVEAEWALAGSKAYDLSSLGFKLKSLKRPLKSLHKENFSDIQKRAKEQGGLGLRNLEGWNAACAIKMIWLIFFAEGSIWASWFIQEMLQGNLQLFWVINTRQKHSWLVKKLLEFRPLVFSWFRQRVGNGETCYFWSGNWSPFGKLSDFLETSGSLRYPIPKEATLAELWENGAWILPNARSDKQVEVISYLSTLALNDNVDTLEWWPGNQPHMRFSTVPWYKEVWFSGGIPKHKFLTWLMIRNRCPTRDRLLTWGLQTDPQCLFCNSADESIAHSEKCRFRTTSHWNSILAQLQSTALNKHQRSLLLLGWQATLYILWSERNNRLHRAQFSSSDGIQKKITLTVKNRISSLRSDRPAFSSALMQLWFFT >A03g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31743310:31743950:-1 gene:A03g509900.1_BraROA transcript:A03g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNWNNIVVQAVKQSIYFKVYKPTVSEVQILRSELRKNKILAQEPQLVYLIRLELRQATGPCTQIFNTDAGYANQRQIQLSDENRGPAGRGTTEPDSNPTGQLDSPSSTGG >A09p022080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12149793:12152132:-1 gene:A09p022080.1_BraROA transcript:A09p022080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g56570 [Source:Projected from Arabidopsis thaliana (AT1G56570) UniProtKB/Swiss-Prot;Acc:Q9FXA9] MGFTKLVRSNAFKPIPNFVRSSIRNACVESDQNTESPYKPRKHHILATNLILSYFEKGLVEEARSLFDEMPERDVVAWTAMIKGYASSIHNARAWECFRGMMRQGTNPNEFTLSSVLTSCRDMKVLAYGRLVHGVVIKLGMEGSIYVDNALMNMYATCSATMDAACLIFRFIKVKNEVTWTTLITGFTHLGDGAGGLKMYKQMLLENEDVTPHCITIAVKASASIDSVITGKQIHSSVVKRGFHSNLPVMNSILDLYCRCGYLTEAKRYFHEMVDRDLITWNTLISELERSDSSEALLMFQRFESQQGFVPNCYTFTSLVAACANIAALNCGQQLHGRIYRRGFNKNVELANALIDMYAKCGDVPDSERVFGEIAERRNLVSWTSMMIGYGSHGYGAEAVELFDEMVNVGIRPDRIVFMAVLSACRHAGLVERGLKYFNAMESEYGIEPDRDIYNCVVDLLGRAGRIGEAYELVDTMPFKPDESTWGAILGACKAHKHTGLISRLAARRVMELRPRMMGTYVMLSYIYAAERKWGDYARVRKMMRMMGNKKEAGVSWILVENKVCSFAVSDKACPCAVSVYSVLGLVIEETREADFSLVQEART >A07p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25044664:25047443:-1 gene:A07p046420.1_BraROA transcript:A07p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASKPTDSGGNRRKPTSIGDVSVYVPGLRIPKPVEFSQSLGDQLPKTLVERLTALRTRIVVMANQEGPTITRTRRKTQHGGSTLADLHQALEDYLPVLLGLTKDGSQLQFKVQFNWINQEDEEEETAMPNVWYEVLSVLHLMAMLQMSQANLLLLPRGSTDGYHPKVSEENRRASIDVFLRAAGYLDCAVKHVLPQFSAEQRRSLPVDLAEGALRALCLQALGQGVDIQLGIAIGSSKATLAVKRRLSCEMVKYWQQAQDNLTNLPLANGWGEKHMLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADECFKESKKASEAFNASSPTSRIMETAPTLPDFALALKPDEYQLPSVDASWSEDRTKSSSSHITGNQR >A05g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8951553:8953696:-1 gene:A05g502920.1_BraROA transcript:A05g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIMFFSEFELPLKNPVVRVKVISKWKDASAIIRGETQMLMGDEKGNTVLGTIVDEIMIRNECIMFEGEWYEIHGFKLMYNFRRFRVTTNRFHVFTGENTIINNVPARTDCNYYGFKEFKTILRGLAHPMYSVDVYGAMVSVGDLEHVGAPGGPMIPKMRFSLVNPGYKHLNCVAYGRNAVEIDAYWNYTRANVVLCVLSFWQIERLQGRFTFITNIEGCSRIEFEPNIPEIIAFRFHQMLIDVLQQAFEGIMRRTSQNIINLTNLIASHFLNRLFTLWKTSNLRLLLLIRFGTGIRIAQRDKSEDRFGIVCDGDKRNSRFGNGKYGKN >A09g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15117731:15118537:1 gene:A09g504960.1_BraROA transcript:A09g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKYTKSLGELSRAFHQTPFGWEIDELLQRVFVYFSQIKDNLPLVAYKQNNAERLAGVAPASRSRATFSVSDGTNASDLGVSLQQVALNIGSDFSTSLWKVAPGPNMCVSGCENTSDIVQSLWMSRSGMWCTATSCSRSEKSFQAVLVQRPL >A03p028160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11774461:11776437:-1 gene:A03p028160.1_BraROA transcript:A03p028160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 4 [Source:Projected from Arabidopsis thaliana (AT2G26410) UniProtKB/TrEMBL;Acc:F4IUJ7] MGKGWLACVSAPCLPTGKDKKHQKQEKEKKKWFGKQKSRESIEFSLEETTPVDPSSSSITRPSPPPLPDFVPEPLLPPPSPPPPLFADLAPQPLLPPPSSPPPPPPFPPYANNKCYDASKEAKTRQALALASAVAAEAALVAAHAAVEVTRLTLDTSTRQIEESKEEAAAIKIQNAYRCYKARHTLRMLRGMVRLKTLLQGKYVKRQMNAMLSSMQTLTRLQTQIQARRNRLSEENKARHTLIQQKGHQKEGQNQNLIIAGDFDSSNKSKAQIKAQFANRKEASVRRERALAYAYSHQQTWRNSTKHPHQTLMDADTPHWGWSWLDRWMASRPWEPISNDDQASVKRENSIKTSPARSKEPKSVSRKANQSEGVNRRHSIGGGSAESLDSSLSRRSSFGNTETEKSKASVETTSNMTTNPQPLKKPKGSVGTAKNMVNTQAMKSKDSVGTRYLANTQALNSKVSVGQPSNLGSQKKVVSDKNKLPQMVMPKKRRSSSISLASTKKVSDSDKATTRAANEEKKRRNGSTG >A09g518360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55764106:55765157:-1 gene:A09g518360.1_BraROA transcript:A09g518360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHSGSQPPQKAVPRLATVGWRTMLIFNLGLAAFIFAKKREKDIDVGEKMGVKKGSKGAKKSVVNTEAEMKVAETDKAKIPEAAVVDKEEAKPIPKHDDPLFEFADAAADELVFQGAASEPVKVARKPIPEDEQRELFKWILEEKRKTEPKDRKDKKRIDEEKAVLKQFIRAERVPKLLPDDSVDSSLRDWDKFFSK >A07p039370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20900223:20926819:1 gene:A07p039370.1_BraROA transcript:A07p039370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKEASSGLQTLNIAKNLSSEFTKPSALINPLSAGHRYKLCPRPNLRGRCTVTASKFDFDWIAKERVKKIKVKGIITAKQGLLPSVGFTDLLGISLLVELISAETDPRTLMEKDPVKDHARRLVIDAHGEDQYECVFDMPEDFGAVGAIRVLNEAHREIFLKEMKLELPDGPVTFTCNSWVASKSEDPTKRTFFSNKSYLPLQTPEPLKQLRKEELETLQGKNRKHSGEFEKFERVYDYDVYNDVGDPEKDPELARPVIGGLSHPYPRRCKTGRKPSRKYPSIETRKGEFYVPRDEEFTTIKGATFTGKAVLAALPAVFPQIEAALVDPNMPFPHFKSIEDLFEEGIELPKDAGLFPMIPRLVKAAAEADDILQFESPILLDKDRFSWIRDDEFARQTLAGLNPYCIQLVQEWPLKSKLDPAVYGDPNSLITSEIVEREIKGVMSFDEALENKRLFMLDYHDLLLPYVNKVRELDDSTLYASRALFFLSDDSTLRPVAIELTRPQDVNKPQWRQVFTPGYDATSCWLWSLAKTHAISHDAGYHQLISHWLRTHCCMEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINARARQSLVNAGGIIESCFWPGKYSLELSSDVYDKLWRFDREGLPADLISRGLAVEDETAEHGVRLTIPDYPFANDGLMLWDALKEWVTDYVKHYYPDAEQVRSDEELKEWWNEVKNIGHGDKKNEPWWPDLKTQDDLIGVVTTIAWVASGHHAAVNFGQYGYGGYFPNRPTTARTKMPVEEPTEEVLKEFYDEPEKTMLKTFPSKKQATKVMLTLDLLSAHSPDEEYLGENPEASWAHEPIIYAAYERFKGKLQYLEGVIDERNVNVSLKNRTGAGVVKYELLKPISEPGVTGMGVPYKASSSLQTLNIAKSLSSEFTKPSALINPLSAGHRYKLCPRPNLRGRCTVTASKFDIDWIPKERVKKIKVKGIITAKQGLLPSVGVTDLLGVSLLVELISAETDPRTLMEKDPVKDHARRLVIDAHGEDQYECVFDMPEDFGAVGAIRVLNEAHREIFLKEMKLELPDGPVTFTCDSWVASKSEDPTKRTFFSNKSYLPLQTPEPLKQLRKEELETLQGKNRKRDGEFKKFERVYDYDVYNDVGDPEKDPELARPVMGGLSHPYPRRCKTGRKPSRKYPSIETRKGDFYVPRDEEWSTVKGTAFTGTTILAALPAVFPQIEAALVDPNMPFPHFKSIEDLFEEGIELPKNAGIFSLIPRLVKTVAEADDILQFDSPILLDTDRFSWIRDDEFARQTLAGLNPLCIELVQEWPLKSKLDPAVYGDPNSLITSEIVEREIKGVMSFDEALENKRLFMLDYHDLLLPYVNKVRELDDSTLYASRTLFFLNDDSTLRPVAIELTRPQDVNSPQWRQLFTPGYDATSCWLWSLAKTHAITHDAGYHQLISHWLRTHCCMEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINARGRQSLVNAGGIIESCFWPGKYSLELSSDVYDKQWRFDREGLPADLISRGLAVEDETAEYGVRLTIPDYPFANDGLMLWDALKEWITDYVNHYYPDAEQVMLDEELQGWWSEVRNIGHGDKKNEPWWPVLKTQDDLIEVVTTIAWVASGHHAAVNFGQYGYGGYFPNRPTTSRIKMPVEEPTEEELKEFYKDPEKTMLKTFPSKKQATKLMLTLDLLSTHSPDEEYLGENAEASWVHEPVIYAAYERFKGKLQYLEGVIDERNASSSLQTLNIAKSLSSEFTKPSALVNPLSAGHRYQLFPRPNLRGRCTVTASKFDIDWIAKDNVKKIKVKGIITAKQGLLPSVGVTDLLGVSLLVELISAETDPRTLMEKDPVKDNARRVLLDAHGEDQYECVFDMPEDFGPVGAIRVLNQDLKEIFLKEMKLELPDGSVTFTFNSWVAPKSEDPTKRTFFSNKSYLPLKTPEPLKQLRKQELETLQGKNRERAGEFEKFERVYDYDVYNDLGNPDKDPELARPILGGLSHPYPRRCKTGRKPCDKDPSAETRKALEFYVPRDEEFTTVKGAQFTGTAVLAALPAVFPQIEAALVDPNMPFPHFKSIEDLFEEGIELPKDAGLFPVIPRLVKAAAEADDILQFESPSLLDKDRFSWIRDDEFARQTLAGLNPYCIQLVQEWPLKSKLDPAVYGDPNSLITSEIVEREIKGVMSFDEALENKRLFMLDYHDLLLPYVNKVRALDDSTLYASRALFFLSDDSTLRPVAIELTRPQDVNRPQWRQVFTPGYDATSCWLWSLAKTHAEDSLQYGAIHYSGKQTTKCDASYL >A03p064450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28031861:28033526:-1 gene:A03p064450.1_BraROA transcript:A03p064450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLILDSTKDDEPDPYTRGHGGIDFKRRTIELDTKRIKLQIWDTAGQERFRTITTAYYRWAMGILLVYDVTDESSFNNIRNWICNIEQHASDNVNKILVGNKADMDESKRSAKTNLNVEEVFFSIAKDIKQRLPDTDSRAEDEKKCITSSFCDMLFIAPGDSPTYIQAPNGGSGGHLDQPSHVRSLT >A03p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17413612:17414219:1 gene:A03p041720.1_BraROA transcript:A03p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCTHLNFQRRDSCQRCGDFRSGASGVSGLDFGGFRGRAMSAFGFTTGSDVRPGDWYCTVGSCGTHNFASRSTCFKCGTFKDESTGGGGGGVGGPAVFDTDLMRSRVSGNAGRSSWKSGDWICTRIGCNEHNFASRMECFRCNAPRDFSNGSFF >A09p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12102050:12102957:-1 gene:A09p021980.1_BraROA transcript:A09p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPHSDSFSFFFVIFISLAVLSHRHPSATAAAGCQAPPVIFNFGDSNSDTGGLVAGLGYLVGLPNGRSFFRRSTGRLCDGRLLIDFLSQSETRRRKVDSHVHVFDRPAINGHVSRQESTFFLRKPAKRHDVSTARQTLDETVKPNSHRKAHADKSSKTGGSARSTT >A09p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6065476:6068486:-1 gene:A09p012020.1_BraROA transcript:A09p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNTNPNDRINLDLSLGIGPHAKDPVEQHGKFMELLRSVSDSTQNAQPSPPLPPLHTMPPGYYNLTYPQNAAGQLLLPPITNQGGVGALETPRPGTRLGRPPGGHHARRNSSKAAATVEENVEKDIIPPYPWATTRPARIHNLRYLYVNNINVIFGQVHCKPCESTQTIKYNLTEKFGELYRYIYDNKEVLRHRASKVWSCPKLTPCCSCKNGMKPVIGENKEEINWLFLLLGQMLGCCTLDQLSSSHATYLVYDSYRNRAGLMAFKFRVYVFQAAIYHSIWSERNGILNHPHSSFSSWTIERSERMGMISGLPDQMLLEILSWLPTTQVVATMLLSKQWKFLWRQVPKLYYNYSEHEGRDFSEFVSRSLQSQVAPSFKSLKLSVSPYCDSRDVKNWIDLAVSRFVLELEIDLTAAQNPMITALPKSMYTCETLSCLRLKALVLDDIPEDYPICLSSLNYLYISVSIQVSADKFIGKLSAGAPLLKKTVVQGPVYGDEFLDSMTSYSELRSFRTCLSEWGPTVDSYFNKLEHLCMCTCSSGWWDLLINFLQRSPILRQLQLIKSCNSRPLSSWNQPGFTSTTHVPQCLSTTLQTLEWRDYAETEFDMPVVSFLLKNATRLTEAKIFPESAAGPIEKLRIRTGLAKLSRGSPLCHLNLGN >A07p021320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12470599:12471208:-1 gene:A07p021320.1_BraROA transcript:A07p021320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEFTQVRPARRRQEQQNGGGGSMRNNEGRDMRGAKIMTGSRVKEARNGSAVEIIKVSNRFGGLGEEGETEKLEEEVGRDGANKENENTINLSSIGSSRMFGKDVSFAAKEGNEKHMSIKVVSSLVLGKSELTRNALSMAVKIG >A01p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7660375:7662847:-1 gene:A01p015660.1_BraROA transcript:A01p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQEALTSLLQALNIKKSDLEDLITRPFIQTRGTDHLVFLDLISLKNPILGQRLIKRVQDGMIFLVLLGSVDGSVEDVDFKPRLLSRKPRLFPGNKLIVSPQEIQEQRYFSPVQVSTEYADVLAMAESNENTRNRLGVDKNSDCSLTCFSLLCNIMKRFEMMINPPPPRVFISFGEKQLKMNLVSSLKTEFESNEISVYIEDETKERIKESKVAIVVFSDKYPESPQCLDELVEIKKLMDAGEITPFPIFYKLKDVPRLAQSVKQLKGCFRNRLLKIEQEVHKTVNRDNVNSILDTEARIWDWRQAISSISSRPGLSNENSSDPVFFTDVVTKVKELFEFKAIPEKSSSYIITNQVIEEKPIMHRQKTAPAIPTVKSLDDDLFYSLPSFLQALDLEITDLEGFTEMHNGLISLSLKRHTNLVCLNLGSLEKLVHFRCSDSFEFLSQGFGLNHPGISRFEEPSRVLVIESSQPQQRFDNVLSSHLKITNIT >A04g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6153651:6153906:-1 gene:A04g502600.1_BraROA transcript:A04g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMHDGCTHGKLLEMTQENYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >SC117g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:9022:9467:1 gene:SC117g500010.1_BraROA transcript:SC117g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDAPDVLSSDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFVLGFGDIRKLCVRSNQN >A01g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3793345:3795512:-1 gene:A01g500960.1_BraROA transcript:A01g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVLPDWTELTPECLLDIFSRLSIGQLWNGPMLVCKTWTKLCHDPSLNITLDLEAEFLSSEDSNHWWSPEFEEKVDSTIRSVVDRSKGGLKEIRVRHCTDQSLSYVAERCPNLEVLGVTYSPKITVESMRKIASNCTKLIELDISCSYEISGYCIELVGTSCKNIHILKRNLMRPLEITRLKRQCIYVKHLELRFSTLTDRALSKLCKQCSNLEYLDLFGCSYLTSDCITTSTSTTFFFIPDQMGSRVHPDWTELPPECLLGIFSRLSMGQRRNGQML >A06g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19052352:19055507:-1 gene:A06g506850.1_BraROA transcript:A06g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKSVAATATSTPARNPDGGRLGDLESTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAVRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEANRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGKGRKRKRGNSGVEESAWEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGPEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSLTLASPREEIPAITGAGAVQIGTSPRGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAMARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRQGNEEVARESSVRASELSALNDRESDRED >A06p055870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29124624:29125536:-1 gene:A06p055870.1_BraROA transcript:A06p055870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLKSLVTSAVTVGVTEARARIFGHMLNPTGQRSPHKILRKKLFGDKVAEWYPYDIKNEDPNVLARGEKERLSKLEMLKRRDKGPPKKGHGRRAAKRNK >A09p051640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45473091:45474449:1 gene:A09p051640.1_BraROA transcript:A09p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFKERKFVCKFCSKRFACGKSLGGHIRTHMNKENSADSDEDEHNKLRIDENGGQASYGLRENPKKNKKFVVQRDMMALKHQHQQQLLYCRECGKGFTSSKALCGHMACHSEREKIVMDSQSDTEASSSPFRRRSKRAVNHHHNHKDDAFVDGGGIMDRSDSSASSDADEIEPEQEETALSLMMLSRDSSFKKAHNLVVNSLAESSDNNSVILETKSSSGEQLKILNVKNVEEFCKKDKLGGVDNGDVLYDSDNSDSGYFRNGPKKLDSDVSVDGFLKNNAFSNKSAMGFNSYTPKQEKSLNRFRNEWSGSGSGSGRSSTKYDLRQSKRGFPCYGRKKIKYEFTESVYESGDQLSLETDSCADTNRSIKKIHNSKPPMVKKPTGGVKKKSKGHECPICFRVFKSGQALGGHKRSHFIGSQDHRTLVIQQHHQVAHDMHTLIDLNLPAPIDE >A01g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9220456:9221431:-1 gene:A01g502750.1_BraROA transcript:A01g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLPPRCVVIETVALSPYLCALLRLLVVFVQLLRGQDGAQTSPLRRMDGQRHRSRRSANHHHHRRREPSRLPRRHPRYQHRRQVPEAIREVRHRDLHGEGHQGRFLLEAVQALHRFENCARRRADAVIISTGAVVKRLSFAGSGEGADGFWNGGISACAVCDSATPNFRNKPLVVIGGGNSAEETRQWRRRDTFRASKIMQQRKACWWFEGWVCGAKPGTTKTSVVGVFVAGDGKIRAIGRPLQLQELWFKEPILAF >A10g503600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9282699:9282926:1 gene:A10g503600.1_BraROA transcript:A10g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKSGSDFGRPMETLLESLLKYNALEVFQTTSKRSSRRLPGSLPDDFKEIFQMTSKKSSDWVFFHIKWSLSLSL >A02p055170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33413445:33415478:1 gene:A02p055170.1_BraROA transcript:A02p055170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' kappa isoform [Source:Projected from Arabidopsis thaliana (AT5G25510) UniProtKB/Swiss-Prot;Acc:Q93YV6] MAMFKQFLSKLPRKSSSKPDSTSDPSGSSVVRSNSVKRMSSAVFPSSVVAGIEPLVPFKDVPTSEKLNLFVSKLSLCCVTFDFSDQTKNSLEKDVKRQTLLELLDFVSSSSSSSVRFTEPAILAMCKMSAVNLFRVFPPSYRERNDSDDNDPTFEPAWPHLQIVYDLLLKFITSPCLDAKLAKKYLDHGFILRLLELFDSEDPRERECLKTILHRVYGKFMVHRPFIRKAMSNIFSSFVFEAEKRGGGGGIAELLEIFGSIVSGFALPLKEEHKIFLWRVLIPLHKAKSVGGYFHQLSYCVTQFIDKEPKLGSVVIKGLLKFWPVTNSQKEVMFLGEVEEIVEVMSLVEFQKVMVPLFLRIAACVNSCHFQVSERALFLWNNDQIVNLIAQNRQAILPIMFAALEKNAESHWNQSVLNLTLNVRKMFCEMDEALFMSCHARFQEDEAEQCSAEEKRKETWARLEKAASMKPVTGKTAVLVTPLATSIAC >A06p042680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22940961:22945724:1 gene:A06p042680.1_BraROA transcript:A06p042680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGSGEATTMYENMWDLTPDTDMLKELPENYSFETALADLIDNSLQAVWSCSPGNRRLISVDVLEDRISVFDSGPGMDSSKENSIAKWGKIGASIHRAHKSKAIGGKPPYLMPFFGMFGYGGAYACMHLGMRTLVSSKTKQSKKVFTLQLNKEALIGNRSTSGKNWKVDGGMRDPLEEEMKLSPHGSFTKVEIFEWNCKIPEIYQLQCRLKDIYFPYIQYDEVSKTGRTLRPVEFQVHGEDLTEIVGGEVATTNLNSKGEEFWFQIRFCEKRKGTSQEANARLKFVYFPIVHGKESIDIIMESLEKEGYKVSESFQAFGRVSVRRLGRLLPEVPWVSIPFMERGARATTLQKCCQRVKCFVDLDAGFVPTPSKTDLASQNLFSVALKNFGSKSKEKDNDVSMVIHREGKSLAYGQVEKGYEEWVLKMHKSYDEEDALGEDEATVIFDSLDKKALCISPDCEAVRVHKVMNRKSKSWERGQKIKIMKGACAGVHKNDVYATIDYFLIENFEDETGGDARIICRQINFSEEEGCMLSTIKGISKLEIRKSSSFPISIIDTEKCVLIDDNEWNRKLVKQKEKDPSRIDLLDEKDCRTLKFNGETTIGASVCAGQTPPQQIVAVVRPASYTSSKMSKKLDQKHIVKVDGEMLMEVELQGTEMKSRVKNAKPLYSDRCFPTNRGGLHGLYIFQLESKIPHLFKKAGTYIFSFSVIPFTSVPSLEVRLKARPEFEVPVYKTEANLDRGILKLKNMVVETDALDDIRPDYEATLEICSKDELFSVSVACIGKPSALNFL >A07g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12967706:12968956:-1 gene:A07g505700.1_BraROA transcript:A07g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFTSTSSSSPIEEQRNRFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSLFGGGGGHPFEGSNSRGRRQRRGEDVVHPLKVSLEDLISGQRRSSRFLGRHCARSKGFDVWSFDDLRWMPGIWNEGFRRTMKTLPTLKAVPEVGLALKRKAMTSMKRSD >A01p049180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27638835:27640784:1 gene:A01p049180.1_BraROA transcript:A01p049180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g16890, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16890) UniProtKB/Swiss-Prot;Acc:Q9LSQ2] MRGGFASSPYRAAAAAAAKPSESLNPKPLFPSGKPTNPIDQRHISQIIRRKDWFLILNQSLTSHRINLNPRFIISLLQNQDNPLHSLRVYLWISNTTYAKDQSLKTVLGNALFRKGPLLLSKELLKEVRDSGFRISDELMCVLIGSWGKLGLAKYCNDVFAEISLLGMKPSTRLYNAVIDALVKSNSLDLAYLKFHQMRGDGCEPDRFTYNILVHGVCKKGVVDEAVRLVKQMEKEGNYRANVFTYTILIDGFLRVGRVDEALKQFETMQARKMSPNEATVRTLVHGVFRCLPKCEAFEVLLGFMEKETILQRVGYDTVLCCLSSNSMAKETAMFLRKTGYVPDSLTFNAAMSCLLKGFDLVDTCGIFDCFVKRGVKPGFNGYLAVVQALLNAHRFSEGELYLKQMGVHGLISSVYDYNAVIDSLCKARRTERAAMYLTEMQGRGISPSLVTFNTLLSGYSSRGDVKKVREVVEKLLDHGCRPDVITFSSVINCLCRAKEIKDAFECFKEMLEWGIEPNEITYNILIRASCSVGDIGRSVKLFAEMKEKGLSPDVYAYNAVIQSFCRMRKVKKGEELFETMLRVGLKPDNYTYSCLIKALSECGRESEAREVFSLMERHGCVPDSYTKRVVEELGLRMSGLERETVSAS >A06p008960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3140917:3143609:1 gene:A06p008960.1_BraROA transcript:A06p008960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGLPAMKSIKSLKSFVKRRNNPQDVGKSGWRVKPFLLLMCTALLIFWYKTTNIQFEETELEEADYPFDMAVESEPVDEKLKGLPRGILQPRSDLELKPLWSSNSLRAKGVEMTNRNLLAVPVGIKQKGNVDAMVKKFRPANFTVVLFHYDGNMDKWWDLEWSSKAIHIVAQNQTKWWFAKRFLHPDVVSIYDYVFLWDEDLGVENFTPERYLKIVKAEGLEISQPALDRNSTEIHHKITLRSRTKKFHRRVYINRGTKKCSNTSEDPPCTGFVEGMAPVFSRAAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRKMKVGIVDREYIFHQGIQTLGESVPSDKKTRTRDVRTNRHGHTTFDSRTSIRRQSTWELQRFEERWKKAVEEDKNWIDPFSSSSKKKQKSNSISNRRLKRGNTHRVKHKRSQETSTA >A09g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1714532:1714799:1 gene:A09g500370.1_BraROA transcript:A09g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPNRFRNRSKPVGRITRFLKKGSKTRSRFTWPPSSTLLKKDKQEVDDHSQASSFGD >A02g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17568482:17569846:-1 gene:A02g506150.1_BraROA transcript:A02g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTADLPDIQLSPMRVGTSQEGTQVLDRVLEIDRGKKDDILDLEKVGVEGRSEGEVSKEKPLEISDREKTTEGSVHTPISSERVSWVGVVQGEEGEISDVQNGEKVEETQVQVLQSQSSSPVESKEKIEETPEVEKNEEWLKISPGRASRSPSQQRDLQFGQVSLLTKSRFSVLEDEEEEVSETESERKSMEENKEKEEVRVSRQVLPRDSKMNHRYLRDKG >A03p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15315976:15316501:-1 gene:A03p036550.1_BraROA transcript:A03p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLELDDDVFFADISKQINLLITDEDEQNPISLSSSVFQGLLRENYQTSATPFKMYHEQNYIARESKGTGVFIPRCSQPRRKQHNHPRQKKQGSAGSFISKQQFSHHVYDNNYTTLNNNQERVTLHHAPSTNSRRAYRDAASLFT >A09p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11128138:11129773:-1 gene:A09p018410.1_BraROA transcript:A09p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSLSHVIHSIFPSFHGPDVRRDFLSHLHNVFARKQITMFDDQKMERCQTIGPVLIQAIRQAKASIVVLSRNYASSSCCLDELLEILKCKEDLGQIVMPIFYDVDPSDIRKQKGDFGVAFKKTCEGIAEEKKRRWIEALTCVATIVGEHSRNWNDEAAMLEKISTVMLEKLKMEKLWEMFRSHDIDRNGFINEQELRYSMTRDGGKVTDEEVRMIIKAADVDSDGRISHNEFAKFIETDNIEKVSMFGKFATDVTLSWDEKKEMIQWFRLLDVNQNGFITAADYQKYILTNYGKKVTDEDAHNFIKAFDVDCDGQVSYDEFVKLTR >A09g517010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50020936:50022645:1 gene:A09g517010.1_BraROA transcript:A09g517010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFLEPSSSTVYEAVVSLSICSLFTSDAIDIRIKELSNCEEVYHVLEFQKKEDGSPKRIRAENIPGLKGRRLDPGSVGAHSQCKIMLMRGLSKSQLMPSMSLIIMTSLKIPHRQIAKRVESEEYYVTFDMFVADARRMFNNCRTYNSPDTIYYKCATSQVGNTSSSPVWC >A07p024030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13864123:13864773:1 gene:A07p024030.1_BraROA transcript:A07p024030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLAALSGKASPTSADIKQILGSVGCESEDSQIELLLKEVSGKDLCDLIAAGREKLASVPSGGGGVAMAAAPSAGGGGGAPAAEAKKEEKKEEKEESDDDMGFSLFE >A10p012980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5481140:5482735:1 gene:A10p012980.1_BraROA transcript:A10p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT5G50300) TAIR;Acc:AT5G50300] MGRETKVCKSWNEIKKHLNDTVSKSIVGRYFKLEARKSNFTTELRAATATFLTMAYIITVNATILADSGATCSFHDCSTDSGSSSPKPSCVLGSNQGYEECLTRVKKDLVVATSLSAMVGSLAMGLLANLPFGLAPGMGANAYIAYDVVGFRGSGSISYHTAMAIVLLEGCAFLAVSALGLRGRLARLIPQTVRLACAVGIGMFIAFVGLQMNQGIGLVGPDKSTLVTLTACSETDPVTGACLGGKMESPTFWLAVVGFLITSYGLMKNVKGSMIYGIVFVTVVSWFRNTQVTTFPHTPLGDSNYNYFTKVVDFHKIQSTLGAISFTEFRKSEVWVAFATLFYVDLLGTTGVLYTMAEIGGFVEEDGKFEGEYTAYLVDAGSSVVGSALGVTTTATFVESSAGLKEGGKTGLTAVIIGMYFLVSMFLTPLVTNVPRWAVGPSLVMVGVMMMGVVKDIRWGETKEAVTAFITILLMPLTYSIANGIIAGIGIYLALSMYDIVSGFVTWLNGVRKRVMREHNQVFSDATVEIV >A09p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19951928:19953704:-1 gene:A09p033000.1_BraROA transcript:A09p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G11400) UniProtKB/Swiss-Prot;Acc:Q9LDD4] MAGWSSFNSTSCSYIDVEVEVSDECEERLKRLFDQALLIFLEEEGNNRPLPAVIGEGKTVDLFKLFVLVREGGGFDSVSRKGLWDSVAGRLGLDCSVSPSLRLVYSKYLDRMEKWAVEKSRIVNWDDGDSKKKVCYGGLLHELGDGFKGLLENGKCPKRNRAMSFGCRHVEESGSEFHSPRKRFKECEDDDDEEVGTSCAVLSDDSEEEGLVKQETLQGMLKWLTLVALCPHDPSIGVIPHCSKWKDYTGSACWIQVTRAKNALLVQNSSFLGNQTMHPSMYEDDRSRSTGRLRYSIRRPNLSKPQCSESPVSLTKSGSSHCRELISVCESTTDLVAGTSGATELQVFSNAVKERNKPEIPRRYVAVGRHYQARVDEWTGGSGLDSDTKWLGTRLWPLESREVVDRGLGKGRPDCCSCEIRTSGSVECTRFHIAEKRMELKRDLGDVFFHWRFNQMGEEVSLRWTEREEKMFKKLMVSDSQSFWENAAKCFRGKKREQLVSYYFNVFLINRRRYQNRVTPRNVDSDDEGTFGCVGNSFGRDAVTLIGSDIMICSQNRQCNDFD >A09p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3956145:3957833:-1 gene:A09p007580.1_BraROA transcript:A09p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFVTTSSFCTVLDSGLRLKSSKLVPLSNQQRRRSLGSRGGVKVEAYYGLKTPPYPLDALEPYMSQRTLEVHWGKHHRGYVDNLNKQLGKDDRLYGYTMEELIKATYNNGNPLPEFNNAAQVYNHDFFWESMQPGGGDVPIKGVLEQIEKDFGSFTNFREKFTNAALTQFGSGWVWLVLKREERRLEVVKTSNAINPLVWDDIPIINLDVWEHSYYLDYKNERAKYINMFLNHLVSWNAAMSRMARAEAFVNLGEPTIPIA >A03p041270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17254777:17259344:1 gene:A03p041270.1_BraROA transcript:A03p041270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIEKMASIDAQLRQLAPAKVSEDDKLIEYDALLLDRFLDILQDLHGEDLRETVQELYELSAEYEGKREPKKLEELGKVLTSLDAGDSIVISKAFSHMLNLANLAEEVQIAYRRRIKKLKKGDFVDESSAATESDIEETFKRLVSDLGKSPEEIFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKHELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWNGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYNQIENLMFELSMWRCTDEFRAKADEIHRNSRKDAAKHYIEFWKTIPPTEPYRVILGDVRDKLYHTRERSRQLLSNGISDIPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGTLLDFLRQVSTFGLSLVRLDIRQESERHTDVLDAITKHLDGSSYRDWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFKVISELPSDCFGAYIISMATSPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAAVSRLFSLDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWELYKAQEELVKVAKKYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTVNGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMNPPVSPKPEWRALLDAMAVVATEEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKYAIKKDVRNLHMLQDMYKHWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSKDLWAFGEKLRTNFEETKNLILQTAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDQNYNVTLRPHISKEIMQSSKSAQELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >A03p042390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17757775:17759068:1 gene:A03p042390.1_BraROA transcript:A03p042390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNRGFGRVKEERKSLSFFKIFHSADLSSESMRGIPYDFMKNISKEDFSCKMVIRTKRGRSWEVDISMNPRFYYMEKSGWNQFVSDNALGANEFVTFTHNGLMCFNVNIYKESGKEIVLTHTTTPFSGIKNEEGERSYKDVKKEDESMGGGVGHVEIGESSRGAALRKKKAEKHKKRKKVKYGVPRFKITITKSYLRSLPIPTFFEKRYLKSESKVYKIHHSEGDGSWEVLSLVHQAHIVLSRGWVKLAREYPLAIGDRCTFHLVKPTEFVLTSKKAKAIEITISD >A06g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24154910:24155451:-1 gene:A06g508550.1_BraROA transcript:A06g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNVGEAIVGTWKQDGPGGFFRGTVATLCREVPLYVVGMGLYAEFKKAWETIAVGAVSGGIAAVVTPFDVMKTRMTTATPRRPISMVVFSIRPLGLFKGAVPRFFWVAPLGAMNLGYELAKKAMQKNEEVVMADQLGQKKLC >A07g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5186113:5187135:1 gene:A07g502470.1_BraROA transcript:A07g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVRGVGAVCVYDQPGDEATLVKQMVSDRILPEYHIDLISESSGVALLEPSRFIRHETDEQERLLLG >A08g502520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5440751:5441233:1 gene:A08g502520.1_BraROA transcript:A08g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAEKGLITLQGMMEKQDYMAEDIDMELEAINATILESGVEVEAEEEFQTLSEEEAEQASEVQHRYVHTQEEEELVSGDADADKDMAAGAVVTRQSNRKRLAKPPISTAGSNKMRMASALLSPRKRAAAKVGTRHGDSGKPTESKGPSNPKPVNLKF >A03p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4626970:4627355:1 gene:A03p011580.1_BraROA transcript:A03p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTLSGNYGFPLCISGIAQQLSLSKEMADHDKRRKGMKKRSRSDESRSHGGEEMGVERFHELWLQEMAESEDFRDLVALLEDLGSWSFSSYTAKAP >A03p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6510548:6511661:1 gene:A03p016380.1_BraROA transcript:A03p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLKPPGGMVTRGGVCLAHLCVTVVVLVRLQLGLTVRGVFYFLLPCELWRSVYSETSFFSPCCRRVSELVVMARGVLQRRGVEDSRLWLLRGGAATSLSTKCSLLGVSSSSVDQSTVRHIPVPRSSVTSYSEDDMGIPGIRGNEENLTSPWSSSKVENIYVVPKIIKSELAECAGLVGGRS >A07p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22066420:22068387:1 gene:A07p040850.1_BraROA transcript:A07p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKD [Source:Projected from Arabidopsis thaliana (AT1G66750) UniProtKB/TrEMBL;Acc:A0A178WBE6] MSKSGGNQPVDRYLRRQVLGEGTYGVVYKATDTKTGKTVAVKKIRLGNQKEGVNFTALREIKLLKELNHPHIVELIDAFPHDGSLHLVFEYMQTDLEAVIRDRNIFLSPGDIKSYMLMTLKGLAYCHKKWVLHRDMKPNNLLIGENGLLKLADFGLARVFGSPNRRFTHQVFATWYRAPELLFGSRQYGAGVDVWAAGCIFAELLLRRPFLPGSTEIDQLGKIFQAFGTPTQSQWSDMIYLPEYMEFSYTPAPPLRTIFPMASDDALDLLSKMFIYDPRQRITIQQALDHRYFSSSPSPTEPGKLQIPASKGDALEPKASEQNNQHGNSPAVLSPPGKMRRVMGPEG >A04p018400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11069430:11071365:1 gene:A04p018400.1_BraROA transcript:A04p018400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVENMSSVPASEDTEFGFKRPEMYSTNIANSITSYGRHVFVLYKTPEAWISHVEEEGLPQRFATLLKDRKSDLLVQSKLNVCEGGGSDGDVLIFPDMVRYNPDSAGKISGNWYGYVTPDDVPELLDQHIAKGEIIQRIWRGQMGLSEGVAEKEHEQRVVPNGNPVVENFTGGCCQGANGSVSCCQNENPKPEPVKKEGKCAIWFQPLDKEEMYLGAAVVGAVATIAMIGYTFFKRSG >A06g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5653573:5654583:-1 gene:A06g501500.1_BraROA transcript:A06g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILPGGSDEGETSIEQAGREGERRFYEKTEETYQAVSSNQEVGSFVGGINARGGSSSEMKQSRLKTYVMSFDGETLQRYATIRSREAVGIIERHTEALFGRPEIVITPQGIDSSKDEHIQISFKGPKRLLLEAVTFGSFLWDVESHRRSFGQGKRRVNKRTSLAHKEDVIKRTVYVSDID >A01p029060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20704782:20705032:-1 gene:A01p029060.1_BraROA transcript:A01p029060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYLVFFCYHIIVYVNIEACTFLIELQLSIPYLNRESGLSTWEAVAGYTLSG >A10p020850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14099656:14101019:-1 gene:A10p020850.1_BraROA transcript:A10p020850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTPRSQGRKLIIPSFFFIIALCVLAFINEIRFGSLLSFGRCALSNVPMTNGSSETPLLSSSSSGGDEIRILVGILTLPDQYPRRHFLRMIYGTQAIPNGVKIDVKFVFCNLTKEDQKVLVALEIMRYDDIIILNCNENMNKGKTYTYFSSLPDLFNETDSPNPPYHYIMKADDDIYIRLENLVASLKPLPREDLYYGYVIPCQSMDPFVHYMSGMGYLVSWDIAVWLKDSEIPKKHLEGPEDKVFGDWMKEGRRGKNRFNAKWSMYNFPEPPTKCTHELWPDTIAVHLLKNQEKWIRTLNYFNVTSNLKPSKLYHIP >A06p019370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9496721:9498739:1 gene:A06p019370.1_BraROA transcript:A06p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTLQFCTTNTISIYIYICVYAPDVLIKERRKRTGREMKRKRYEMEKKKTELQTTIEELSLITITKPVDDTEITHIPLRPLLSFCNLIIQVLDKIGPTMAVLRQDIDQNIQRLEKLCETDPCVYSNLVEILKKERNEGTYKMVASCSRALLWLTRTMDFSVGLLRLLSKEMSSKMEELVEECYIATLKPHHGWIAAAAFKVCLKLVPDNNTFMDAIGAKDQSYETLREDIDTLSSLLTPILKEISILLEQFGLNRLKSM >A09g514990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44639354:44647034:1 gene:A09g514990.1_BraROA transcript:A09g514990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDEPLYPIALLIDELKNDDIQLRLNSIRRLSTISRALGEERTRKELIPFLSENSDDDDEVLLAMAEELGVFIPYVGGLEHAHVLLPPLESLCTVEETSVREKAVDSLCKIGSQMRESDLVDSFVPLVKRLAAGEWFAARVSACGLFHVAYQGCTDVLKTELRSSYSQLCKDDMPMVRRAAASNLGKFATTVESSYLVGEIMTMFDDLTKDEQDSVRLLAVEGCAALGKSLEPQECVARILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDSTRTDLVPAYVGLLRDNEAEVRIAAAGKVTKFCQLLNPELVIQHILPCVKELSTDSSQHVRSALASVIMGMAPILGKVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWLQDKVYSIREAAANNLKRLAEEFGSEWAMQHIVPQVLDMVTNPHYLHRMMVLRAISLMAPVMGSEITCSKFLPVVVEASKDRVPNVKFNVAKLMQSLIPIVDQSVVEKTIRQCLVDLSEDPDVDVRYFANQALRSIDGAAVAQS >A09p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4117655:4120518:1 gene:A09p007910.1_BraROA transcript:A09p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERYRHLLLLLIVSLFFIKTHSSFTPSDNYLINCGSSAETKLPDGRTFKSDQQSVSFLQTEEDIKTSVDTIPVSDTLPLYLTARIFPGKATYSFYISRPGRHWIRLHFYPLPHPLYNLTDSVFSVTTDTTVLLHDFSATNPSSVVFKEYLVYASEKLSLYFKPHKGSIAFINAVEIISVPDELVPDSASSVPQSPDFKGLSSFSLQVSHRLNIGGDQVSSKIDPLSRTWLSDKPYNSFPEGTRNVTVDPKTITYPEGGATELIAPNPVYASAAEMADAQTSEPNFNLSWSVSVDSGHDYFIRLHFCDVVSKSLNELVFNVFINKFIAISGLDLSTKTNALGTAYYADFVLNASAITNGSILVQVEIMKLNNAAGSLDGLFGVDGKYRGPSIGMSSKKLAIAGIGFVMGLTAFFGVVVLLVRWQRRPKDWQKQNSFSSWLLPLHAGHSSFMSSKGGSTTSRKMSIFGSKKSKSNGFSSFFSNQGLGRYFPFTELQTATQNFDEKSVIGVGGFGKVYIGEIDGGTQVAIKRGNQSSEQGINEFQTEIQMLSKLRHRHLVSLIGFCDENKEMILVYEYMSNGPLRDHLYGSKENDPNPIPTLSWKQRLEICIGSARGLHYLHTGAAQGIIHRDVKTTNILLDENLVAKVSDFGLSKDAPMEQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPVINPQLPREQVNLAEYAMNLHRKGKLETIIDPKIVGTISKGSLRKFVEAAEKCLAEYGVDRPGMGDVLWNLEYALQLQEASAQVDLSEDESTMNIQVDFSGEEMQSPPHPLL >A06g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8053151:8053711:1 gene:A06g502350.1_BraROA transcript:A06g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDSKRGMFCIHVTENNFSVFKAKLISSHQLQDVESSKVPVDHRWTKAKRKEQLSRSNVSLGKHDDDLCKSLGEAEGNQNCSTSNISEKLLWSETTGHSLIVANVLEIEVVRVIWDVLRDVV >A06p056350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29352029:29352768:1 gene:A06p056350.1_BraROA transcript:A06p056350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNALSLSLSLPRDDETPLIKYIRFVGSEDSGLFFGVWRASLTIISFHQHKHSRRRPPPRQRKMTYIDSDLDRKEEKAKDKEGRKNDSLP >A09p063670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51619598:51628919:1 gene:A09p063670.1_BraROA transcript:A09p063670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAICVDRFVWYKGTIENRTVLIKKYNEESIMMIADNIYRDIAVSSMMSSQKNVLKLLGCCLEFPSPVLVCEYPENGALTGKYAGEGIKPLSWNVRLKIAREIADAVTYLHTQFPRIIIHRDLKLNNIFLDENWTAKLTSFSLSIPIPEGESGVEDMVVGTTPHVEPEYTATGFVTENVDVYSLGSMMLSLLTGKSWFNHHPDEDDSYKLLHDYVEECLRQGMFTKLIDPSMGDNVPDHSRVQMEAFVELALRCIGLRPGEDKPRMIDLLGCCLEFEYLVLVCEYAERIPYNTPNLVMLIKMAKEIAVALSYLHTAFTRTMVHMDIQPSNIFLDSNGTAKLRKRGVVDRCRGDERLFEYEYSVMNLRVSDRVCKFVEEGRIFEILDQKLFGVEQERRRMEAVIMLSLRCTGHRGTSLQLNSHQAWNKRNSLIQILSESGTPEYSPKTGRSSSTVKVRLLRFWETRNVRRGGELMGVHILLLDSQSTMMPATVSVNSLATHQPNLEAGSVYSLTGFDVTRCNQNYRLSDSPLLVRFSDSTSFKKHRDPPQDENHVMATIKMENDMSVTMSLFDAQAVKIHNQLEKMGVDPRVVVATSVNPKMVGGKQPV >A08p040880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23189307:23196596:1 gene:A08p040880.1_BraROA transcript:A08p040880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRRLSEDRRNPSELTQPPPEGPSSGVLVIHDQHSQIQSTCCFGSCEVVECNHSGLPLTQNLKLAVLFNSGGDDSTNDPIVFIPVLDKPLSSNCYYAIRRRGKYSGEASTSAKEEDIVSCCLCLTQVPEAKPKQLDPYDIYQQFEIHQKKPSSRYYHATSVAPDGVPPWFLKKKEWTVSYSRSQEFELRDDAKGLSKELRGKLPALGESVVVGKWYVPFIFVKERDAKDQIKRSVYHSMTLEQRWEEVFSYENDKSENGDVVVDVEVEDEVVKLGGQEITRGVNENGFVWFGVGDRKIGLRSVVVERMKWEEERFGWRSKGEQERAMVVKRLEEKPKDGSFWKSYHCYVLIESFVLKRMDESLVLTYEFRHADKLKSKWSELVGATHLSEYQKNTSNLTQSLPEGPNSGVLVIQDEESKPTCCFGSCYDGELKGLPIPQNAKLTVTYRTGTNDNRRSYHDPVLFIPVPGQPLSLNRYYVIKRRGKHSGGASASAKEEDRVPCCFCFSYVPEAKPQEADPYDIYQQFEIHNRRASSGYYTATSVAPNGIPPEFLKRKYWTVGYSNSQDFGLTDDAKGINDKLRSELPKDVNTSVVVGKWYVPFIFVKEGDAKNQIKTSTYYRMTLKQRWEEVYSCSYNEEASEVVVDVEVETEVVKLDGEVTNLRETSADGVVWFSVLRDERQDKKIGLGSVVVERIKWEAERFGWLNKGDGVRSSIKRSERFEGGSSNWKSYKCYVLVESFELKRMDGSLVLTYEFTHVDKLKSKWMYVTRHLSECQRYYSNLTQSEGPNSGVLVIQDEESKPTCCFGSCYDAKLKGLPFPQNAELAVIYSKGNGQSKHTVSYPVLFIPVPGQPLSLNRYYVIKRRGKHS >A05p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24377028:24382857:1 gene:A05p039880.1_BraROA transcript:A05p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 25 [Source:Projected from Arabidopsis thaliana (AT3G19210) UniProtKB/Swiss-Prot;Acc:Q0PCS3] MEEEDEVVISSSDSEDSSDSYEEESQDSEGEYENSDENPDCEDLAAVSPPSDADRKSKNVNDLLRGNLVVQRQPLLPRVLSVSEGAAVCRKPFKPPCSHGYNTTGQLSRRLSARKRFVPWGSSSPVVVVLPTKLNESTTIERDEEEEVVSLPPEVEPLVLWQLEESDDATKIAVHPLLVRFLRPHQREGVQFMFDCVSGLHGSENINGCILADDMGLGKTLQSITLLYTLLCQGFDGTPMVKKAIIVTPTSLVSNWEAEIKKWVGDRIQLIALCESTRDDVLSGIDSFTRPRSALQVLIISYETFRMHSSKFGQTGSCDLLICDEAHRLKNDQTLTNKALASLTCKRRVLLSGTPMQNDLEEFFAMVNFTNPGSLGDASHFRHYFEAPIICGREPTATEEEKNLAAERSAELSSKVNQFILRRTNALLSNHLPPKIIEVVCCKMTTLQSTLYNHFISSKNLKRALADNAKQTKVLAYITALKKLCNHPKLIYDTIKSKSPGTIGFEDCLEFFPAEMFSGRSGAWTGGDGAWVELSGKMHVLSRLLANLRRNTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGSTSISKRQKLVNRLNDPTKDEFAFLLSSKAGGCGLNLIGANRLVLFDPDWNPANDKQAAARVWRDGQKKRVYVYRFLSTGTIEEKVYQRQMSKEGLQKVIQHEQTDNSTRQGNLLSTEDLRDLFSFHGDVTSEIHGKMSCSRCQNDASGTENTEEGNENNLDDSACQTDQEDIGGFANDAGCLHSLKISERQVGTPLEEDLASWGHHSTSKSVPDMILQASAGDEVTFVFTNQVDGKLIPIKSNPSPKPEVTETGRNRNQAVSNRGFNKPQQRPREPLQPLSPNETNKRVKLSTYKRLHCTSNTDGAQMQMPLQRPNQVSVNHDDDFV >A09p060410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50097473:50099877:-1 gene:A09p060410.1_BraROA transcript:A09p060410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPVRCLLNSISRYLHLVACQTIRYSPIQTRIGNVVHLLKLLKPFLVEVVDCKTPPDDGCLNSACEDLDSVVNQAREFLEDWSPKLSKLFGVFHCELLLEKVQTCSLEITRILLQLSQSSAQEKTLTEHMNNALQNQTDDNDDLDSIIQMMGLVSNQDLLKESIAVEKEQMDQLIDLVSCIREHMLKTQFLEVAKGISTPPYFRCPLSTELMVDPVIVASGQTFDRTSIKTWLDNGLAVCPRTRQVLTHQELIPNYTVKAMIESWLEANNITLAVNSGGDDSSVANNMGSNDFNRTESFRFSLRSSSFTSRSSVEAGNGFEKVKINVPASLSKELEIFELSSQEQSYTHSRSESVCSVVSSVDYVPSVTSETQSLPVNHQSYSEMSSGTMMTSHTIKLVEDLKNGSNKEKTDAAAEIRRLTINSVENRVHFGRCGAITPLLSLLYSEEKLTQEQAVTALLNLSLSEVNKGMIVEAGAIEPLVHVLKAGNDRAKENSAATLFSLSVVQVNRERIGQCNAAIHALVSLLGKGTLRGKKDAASALFNLSITHENKARIVQAKAVKYLVDMLDPGLEMVDKAVALLANLSGVGEGRQEIVREGGVPLLVETVDSGSGRGKENAASVLLQLCLNSPKFCTLVLQEGAIPPLVALSQSGTQRAKEKAQQLLSHFRNQRDARMKKGRS >A05g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:601599:603653:-1 gene:A05g500150.1_BraROA transcript:A05g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRLLKKVLKEHEESKLQNHHDEEDEDEEQLGGSRGRSSINPFDLLNEGDEDPESEKETEIDDEKKDEEADTVQLPSKNKSKKKKKKKNKETRANVVVKAETTFDETLEALSLNANSKQEELQETKPSPSSSRPVLEIDPKYLNLENELRRMYGSKVVRSLESSTQAGGPGPSRQVRGGGRRGGVHHITKTVLITPMENWARWDRSFSMDFLETKDGYNYFRYTHSSSYEQAQRAFQAAQNLHDLNGVASVLINNPYHIDSLITMADYFKFAGDHQMSADAIGKCLYGLERAWHPMFTPFNGTSRLKYSHDANKPFFTALFAHMRNMDRRGCHRSALEVCKLLLSLDTDNPVGALFCVDYFALRAEEYAWLEEFSEEYQSENSLWLFPNFSYSLAIARVYLEKTEESSLDSSKSSSLDLMMQALKLHPTVLKKLVDKVPLKDQAWGKMLKHYYFRSDQSKNPSLDHLISIYVERNYLIWRLPDVQKLLKSAAELVIKQLDDKESDAEDFLSVKEEAFPAKHNEYSHLSIHDFSDSVPTLPPDNLQNFVADPRMGGGEQVAAGGGGGGHHQQQQAPPVRDVANRNPLAVFLESMLPWVNLGEGDDDADHQPDNNG >A10p036060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20590244:20591266:1 gene:A10p036060.1_BraROA transcript:A10p036060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo-specific protein ATS3 [Source:Projected from Arabidopsis thaliana (AT5G07190) UniProtKB/Swiss-Prot;Acc:Q9LYP6] MTLPSCFLFLSFIFVTHAFDLSLIQMETGTCPYTVVVMTSCLSPESTRDQISITFGDADGNQVHAERLGGSVKGTGSLGKCSTDTFQVRGQCLTSPVCSLSIKRDGPDGWVPESIEIYAQGSKSVKFDFSKSVPKNTWYGQDHCNTTGPPSSPGLPPPAFPPETPKLPPPPHPRPSAASRGGGDGESAFLAFAIATAVAFAAMVR >A03p035920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15047270:15049166:1 gene:A03p035920.1_BraROA transcript:A03p035920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSHKSSQMRVLGEIAETPFVISQLSPNSPATGGGFIGGWVGKCHGFLHNTVLVIAAILFVAYLAYEAKKSLAKLSNRRSYIMIAYYGCLWLVSLLNLAWCCLQAWECTPGKEVVWNVLTLFTTSGMLFLEVSLVAFLFQGNYASGSEALTRTFLISGLVIGLDLLLKLLLAGIYGMIFFMYNSKWRERLPARPAFYKYITCMLALNGLSLFACTLAANGAHFGLWLYGITSVCYHAFYLPFLYEEDLNLENVYYSEMKDAGFFDADWE >A07g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8053806:8054477:1 gene:A07g504130.1_BraROA transcript:A07g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYTAGHKVLLSKSKNKELRNRRTEKPTNKISGRRRPVVSKRRVSKGEAKEMSQTEEVNGGKGQSLLLVLVF >A02p059510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35532168:35533203:1 gene:A02p059510.1_BraROA transcript:A02p059510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSSMQSSSGGGGGEQEEYDSRADQSISALFNNNNATTAGQTQLDSLIANYLNTGWSTDNPLWSTTTMKPTDGSTPPVFFTNPLQQDLRIVSNTDTSSPISSVPTDKKNGLAVTTRIPKKRSRVSRRAPTTILTTDTSNFRAMVQEFTGSPSNPFAGLSSSSSPFPRSRSDLFGPSSPSSSSRPLKPFPHKITPPPSTSHRYLTPPSTYYHQGHLLNLNMQTIANPFFNNHVDVGTNFGGLHNIIASSSSSMAQPTLNTMHGLDKNSDTDNDPLRSINGEEQYMVQRSDGYTPPVASGSENNLAAVRNKDI >A08g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9541434:9542912:-1 gene:A08g505680.1_BraROA transcript:A08g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEVEVSRERNDAGEVDGGERSETSLNAARPDGSEEDSGESPLLMRRHNDEVGDEVRSPIPAFPREGTPVLTGEGAVQIGTSSRGSAVLRRVPGTNFPDKVSFHYEGPAPLAYVPEKCGEFLRQLRGRAKPLTAVKDLIFGSEYEEAARAKLLGDSAMNVVIDKYDTALKGVSNELELAKKKYAEKEEASARQLSASKANVERLNGMVTRAIARRYELKANLVASRGGSSAAFEGETPNRETNAAEDDAPVLVLSDTSAEGSRRGNEEAVARESSVRALELSALMIARATERISFACMLFLLSPIGLVVVAFRTFAFEAFI >A03g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14703918:14706145:-1 gene:A03g504140.1_BraROA transcript:A03g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFPCFSTPRNKKSSSSDEANNNEVKLHERRQSDEAEQSEETTLKIFSFRELATATKNFRQECLLGEGGFGRVYKGTLKSTGQVVAVKQLDKHGLHGNKEFQTEVLSLGQLDHPNLVKLVGYCADGDQRLLVYDYISGGTLEDNLQEPKPNREPMDWTMRMQIAYGAAQGLEYLHDKVDPPVIYRDLKASNILLDDDLCPKLCDFGLHKLGPGTGDKMMALSSRVMGTYGYSAPEYTRGGTLTTKSDVYSFGVVLLELITGRKALDTTKPNDEQNLVSWAQPIFRDPKRYPDMVDPILKKKFSERGLNQAVAIASMCVQEEPTARPLISDVMVALSFLSMSTEDGIPTAVPMLSFKDKSMSIALSRHDSNLVSPTPEVATEDDKSSTSSDEESSVDNEKEMVNKKKKKKLEEEDSLTESDDGSDSNSDDEHEKDQESQPPKPVDEKNQAQSLKIKYRYSWEDVDINEGRLSSKSSQKSNDGGSISSGYESNKDHDDLPKEKEEKEEDHTHLEHMQSSKTDDSQSVYFDDDDDSGDDNEEVSLHRVKSEVEVGSFEDDSGASLHHTES >A07p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:92322:93292:1 gene:A07p001540.1_BraROA transcript:A07p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVGSALTRFVGAFFLVIFLLADVVRCEECTRTCIAQNCDTLSIRYGKYCGIGHSGCPGEEPCDDLDACCMVHDSCVGAKGMTNISCHKKFQKCVNRLSKSIKQSKNKKVGFSKQCPYSVVIPTVNQGMDIGIMFSQLGNDMRTEL >A09p071710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55245576:55251232:-1 gene:A09p071710.1_BraROA transcript:A09p071710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLMEDEEFSIREVVLPSLIPVVPEPELEREDGERRRGRDVIIAVDHGPNSKHAFDWALVHFCRLADTIHLVHAVSSVKNDVVYEMSQALMEKLAVEAFQVAMVKSVARVVEGEAGKVICKEAERLKPAAVIMGTRGRSLVRSVLQGSVSEYCFHNCKSAPVIIVPGKAEAGDESIAFDRTLSVCKSPSMAEFAELEAQDGVRMPWNIIPVATTKDQPIDSEIPVSAIYTPLAPSTPLLPYAPLRCRTCRSVLNPYSVVDFSASTWGCPFCFNRNPFPSNYSSISDTNLPPELFPNSTTVEYLSSSSSSSSPPPVFLFVVDTCLFSDELDFLRSSLFQAVDLIPDASVVGLIAFDSLVRVYELGFPHCTKSYFFHGNKDCSKDQLLDQLSFFVKSPKPSSGVIAGVRDGLSAEDIARFLPPASDCQFTLHSVLEELGSSQWPAAPDHRPGRCTGVALRIAACLLGACFPGSAARIMAFVGGPSTLGPGAIVSRELSEPIRSHKDIGKDSAPCYQEAFEFYEKLAKQLVHQGHVLDVFASSVDQVGIAEMKVAVEQTGGFVVLAESFGHSVFRDSLKRVFQSGENDLGLSSCGIFEINCSKGVKVQGVIGPCASLEKKGPLCSDTGIGQGHTSAWKMCGLDKSTSVCLVFEIAKRETADVVLQSQINQFYFHYQHSNGQTRLRVTTLSRRWELTNGFDQEAAAVVMARLISFKMETQPEFNPQRWADKALVNLCSRFGEYQKGNASSFNLPSQLSNFPQFVFHLRRSQFVQVFNNSPDETAYFRMILNRENVSNSIVMIQPSLVSFTFNSQPEPIPLDVASIAADRILLLDAYFTLVIFHGATIAQWRKAGYHHQPEHQALVHLLQSPRDYSDTIINERFPIPRLVICDQYGSQARFLLAKLNPSSDGNGPFSGGSNVFTDDVSLSVFLDHLRRLIDIDSQLAERKEREAEKLGKRRDFRIQEEMDSQKEKEPKAAVSTTSSCRKYVNDDNATFLANLKDHFNEFLNASMDEHKTCFKNTIDKIFGRSKEVETKEVESRPPL >A07p043320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23682125:23683287:-1 gene:A07p043320.1_BraROA transcript:A07p043320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLGCVQVDQSTVAIKETFGKFDDVLEPGCHCLPWCLGSQVAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAESAQDAFYKLSNTRNQIQAYVFDVIRASVPKLDLDSTFEQKNDIAKTVESELEKAMSHYGYEIVQTLIVDIEPDVHVKRAMNEINAASRMREAASEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRNSVLAFSESVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFIPHGPGAVKDIASQIRDGLLQGNAAAE >A07p017460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10585052:10586530:-1 gene:A07p017460.1_BraROA transcript:A07p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRPVTLSKTKKKGREHKECIVNGIREAVEKYSSVYVFSFENMRNIKFKEFRQQFRHNGRFFLGSNKVMQVALGRSASDEICPGIFKASKLLHGDAGLLVTDMPKEEVESLFNAYEDSDFSRTGSTAVETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGTVELLSDFVVCEEGKPLSPESSRILRLLGIKLATFKLNLVCRWSPSDFELYREGLDLSDVETS >A10p003000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1551812:1552114:-1 gene:A10p003000.1_BraROA transcript:A10p003000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTARNISGGGNRKSSRLQRRAPPPLKINPSEANWKVPIPLLSPTESPPPKPQMVTKRDEQRWGKEVEKPPIFKKWQHPAAPFYYQPAPSSNKPFAWPN >A02g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26490386:26490876:1 gene:A02g509900.1_BraROA transcript:A02g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTGNSQRPSLSIRCQSINTKEPKSSCNILDNASNLFTNMLSGGSLGSMPTAEGAVSDLFGKPLFLSLYALQENKDIVMKFSVTIVKNS >A07g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13132454:13134872:-1 gene:A07g505770.1_BraROA transcript:A07g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDAEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11898620:11899748:-1 gene:A03g503550.1_BraROA transcript:A03g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAGIALPRRRRDSSSSTLFSFFVYKFSLYLCICFIPCPLFLFVHKKPWQLHRRTQVNRALEPDLATSLSIWLLLSTVHHRGISYPTVAQCLLDPKSATSLVVTTATSALTTVAPPPVTALPLETWDFLGSVCGFTGVSLGALVGHPTLPKSTHVSSHSSWPDLATNYSHRLSPTLASCLAGLFVTIYTPDIEAAPLSQGFYGAKLHRLNLFLLISSIDGSSQGRLCGSRPPFLVAGTTVQECGFARSVRYHFTAASPSYYAVSSIDGSSQSQLCDFQPGAAIFIRGSRMSCSSRTPIHRVFTDALRPLFTRAKISPSAEALTYAQLSFQNMTLGNYYLPFENAVTLTLFEG >A10p033360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19542826:19550086:-1 gene:A10p033360.1_BraROA transcript:A10p033360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRSVVQVFSDAAISNATTTCAPFVTMSSRPLIAFPLTKQYRGGRARGRGFGQGGRSFSDRPYNDAGGHQFVTGDSHFQSVHDANFEFRHGNRGVSSSSSIDPRRFNQVQQPPFNQNYQLRPPPPQAQWRPNHPPSGQSYSACPPPPQFYQNQMSRPPPQRSFRQRPRSKPSDYREWEYAKTVPSPGTEKFVVLSYNILADYLANDHWRNLYFHIPRNMLSWGWRKNKIVFELGLWSADIMCLQEVDKFQDLEEELKLRGYSGIWKMRTGNAVDGCAIFWRSNRFKLVQEESIQFNQLGLRDNVAQICVLEALLNSHAKENEASPSESCSHRVVVCNIHVLYNPKRGDFKLGQVRTLLERAHAVSKLWDDAPVVLCGDFNCTPKSHLYNFISEGKLDLSGVARNKVSGQESAEIRPPRPEIYTRFQSDNKSPQGQVQPQDSNSIANARMDNNSNMDVGKTPSIRNTSELPCGDTVLAGHKATSSSVRVLPGEDLSSGCNLGGQNGQPDDAGDLSLAEGLSSVTISDPELPHTSNANDDSREKLSASSVISATEHSPEEIVSNAQNDSSSLSTKVDTSAEMKLDDLTLDEAGVLAQEEEGIGEDGETFLAKLHDKNEDLSQTGGLVDELSLKSSSEGFDYGKITYNPASWTPMEIAAATGDPERSTVEHALELKSTYSEIEGKANTRDENGEPVVTSYHRCFMGTVDYIWRSEGLQTVRVLAPIPKQAMQWTPGFPTPKWGSDHIALVSELAFCGSKSKIPCMFFFNFSLLSYFDPRSFLLFMGSTSIGYQSGISARFDDRNLNLRADATLSSLPCKVDFSRKSFFSATASTHNKECSNRARVCSLPNTDEKLETPILDSIETPSQLKNLTVKELKVLADEIRTELLSVLWKTRRSVKPSLAAIELTLALHYVFRAPVDKILWDAVEQTYAHKVLTRRWSSIPSRQNNGVTGVTSRLESEYDSFGTGHGCNSISAGLGLAVARDIKGKRDRIVAVIDSVTITAGQAYEAMSNAGYLDSNMIVILNDNRHSLHPNMTDGSKASISALSSFMSKIQSSQIFRRFRELAKRDAETANIVVKDRRTYSDCFAEALVKEAEKDRDIVVVHAGIEMDPSLVTFQERFPDRFFNVGMAEQHAVTFSAGLSSGGLKPFCIIPSAFLQRAYDQWRPIVLPDGYIEEASPNEQLALAGLTGHHIAATALSLLGRTREALLLMS >A10p016280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3137848:3139744:-1 gene:A10p016280.1_BraROA transcript:A10p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADSGLMLRYMQNCSPDIQQFEDLFKPYKLSDAEMNNAFAESSNMHEYDIGEEGDLFKAPDPILEEPILAVDPLSAALTMISCGEDSSQGLCELPDLDLGSLQSGQQLLDKAFYECEQDLMMKSAMESTFSDVLDIKNISDVLDVKNVSDVLDIKNVSVVTAIDEKQDMQKSVSSGNLSSMDWSQAQQEAVVIQNFPDFAQLDFSSDYGMRRAFSEGDIQKLGTGLVPSPLDRIIVSCTSEDRREKLSRYRNKKSRRNFGRKIKYACRKALADSQPRIRGRFAKTEERK >A10p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2508688:2515578:1 gene:A10p017300.1_BraROA transcript:A10p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTVKNSCDRVVAAVEDVSDLWPTVKGLFEEHQPLKRALLTNNRTRNPVLVHNLPVEFILTTDARLRSRSPQEQFLFWFREPYATLLLVTCEDLDEFKNILKPRLKLIAQNDEREWFIVFVSKAHPSNDQATKSVKKVYAKLEVDFSSKKRERCCKLDIHGPNANFWEDLELKITECIRNTLDRRVLFYEDEIRKLTEQRFMPIWNFCNFFILKESLAFIFEMAHLHEDALREYDELELCYLETVNMPGKQREFGGFDSEDDKASLLKPGSKPLTQIVQDDSFREFDFRQYLFACQSRLLFKLNRPSEVSSRGYSFVISFAKALTLHESVLPFCLREVWVITACLALLDATASHHHDGIVAPDIEKEFYRLQGDLYSLARVKFMRLGYLIGYGTDIEKSPLNSACLSMLPWPKPAVWPSLPPDASSEVLEKEKTILQATSRTKHFGIQRKPLPLEPSLLLRVANRRRASLSTGNIPEIFDGRPGFTEGSGLEASPITPSSLKVQAPPMSRTNSSPGNFESPLDRPMRLAEIFVAAEHALRLTISDHDLLKALSSTQDFEHKYLNLTKGAAENYHRSWWKRHGVVLDGEIAAVCFKHGKYDLAANSYEKVCALYAGERWQDLLAEVLPNLAECQKNLNDHAGYMSSCVRLLSLDKGLFSPKERQAFQIEVVNLAHSEMENPVPLDVSSLITFSGSTGPPLQLCDGDPGTLSVTVWSGFPDDITLDSLSLTLVATNNTDEGGQALKSSAATVLKPGRNTITFALPPQKPGSYVLGVVTGQIGRLRFRSHSFSKGGPADTDDFMSYEKPTRPILKVSKPRALVDLASAVSSALLINEAQWIGIIVHPINYSLKGAILHIDTGPGLKIEDSYGIEMERYVETDCDAGTSKAEVSVEDSPVSPKQDSEVLNLCDGKIVFSEWASNVSSIMWVPVRALSEKLARGSSSVTPLKQDILEGMRTVALKLEFGVHHNQIFERTVAAHFTDPFDVTTRVVNKCNDGTMVMQVMLHSLVKANLIVLDAWLDLQEGFFHGKRDGRPTSTFFPLVVSPGSRAAVVFSISLDKTMSSGKDLQLPESILNIKYEINGDRTAGAHKPVDADHSGSDSERRALVFKSAIVLQRPVLDPCLTVGFLPLPSDGLRVGKLITMQWRVERLKELKGSEAVEHDEVLYEVNANSENWMIAGRKRGHVSLSEEKGSRVVISILCVPLVAGYVRPPQLSLPNVEEENVRSNPPGPHLVCVLPPLLSSSYCVPVK >A01p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3284005:3286040:-1 gene:A01p006510.1_BraROA transcript:A01p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTSAAAKTLVKDEWVTTAMTDDEMVVELLIRLKHAGTVVSEDPSTNLPPLRWGVRQRRSRSSRFGVVSLKKDVDSARGSPKTPLSWSGGGSESPSADGFEDTSLQASCSTSTGSGSKAFPTNEITSSFSKRLKIKKKSSSELKYQENLKLKERTDLEKEIASLRETFDEQNVRNQRLKRIKLDLNSGRVKNETLADLTPKPQGESKSRRAEDNKTASLENKDSFFFLPDLNMVPTEDEILYGTS >A09p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10504506:10505462:1 gene:A09p019710.1_BraROA transcript:A09p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSVTFTVLLLVLLMASTEILKIEAMNIKARCLPQGCKNATFSEECGPEPFTGSNNDCCHCCVAKYGREAVCKGVIEGPDKHCHCYKERMPSTGCKNATFSEECGPEPFTGSNNDCCHCCIARYGRNAVCKGVVELPDKHCHCYKER >A07p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21231676:21237057:1 gene:A07p039940.1_BraROA transcript:A07p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) UniProtKB/Swiss-Prot;Acc:Q9S777] MITATLQEPQIHQPVDTTTPPTDAPPTPPRIFRSKLPDIDIPNHLPLHTYCFQKLSSVSDKPCLIVGSTGKSYTYDETHLICRRVAAGLHKMGIRKGDVIMILLQNSAEFVFSFMGASMIGAVSTTANPFYTSQEIHKQVKSSGAKLIITHSHYVDKLRNLDGETRIGEDLTVITTEENPPPENCLPFSTLLTDDETTSLDAVDVGGDDAAALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGDNPNLYLKPYDVVLCVLPLFHIYSLNSVLLNSIRSGATVLLMHKFEIGALLDLIQRHKVTVAALVPPLVIALAKNPTVNSYDLSSVRLVLSGAAPLGKDLEDSLGRRLPQAVLGQGYGMTEAGPVLSMSLGFAKEPTPSKSGSCGTVVRNAELKVVHLETRLSLGYNQPGEICIRGQQIMKEYLNDPEATSATIDEEGWLHTGDIGYVDEDDEIFIVDRLKEVPPAELEALLINHHSIADAAVVPQRDEVAGEVPVAFVVRSNGNVITEEDIKEYIAKQVVFYKRLHKVFFVPSIPKSPSGKILRKDLKAKLC >A02p017710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7996488:7999481:1 gene:A02p017710.1_BraROA transcript:A02p017710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLLYAKTQRIVLLIDLNPLLLTPTSEQYLAVVISAAEKLLSFPPLSASLFSFKFFISSLSSLLSSSKLSALSIPSSKLSFDLPGPTLASLRRAIDAVKRCELRSTSNSAARGVNVAASLRQIVYDYAWEPVVRDPEIGLIPGFTDGGVDVVRSNLVLMFSPISRDLNWVSEFLDVKSGDECLRELGLFKSKLTEVFDCVNDLFGGRDIHLSWIDVRFGERSELGLKSGFFDSGVRELGWGHCSTDSVVYGSSIVPFGLIYPTIGVSPKLSTSRKFTAQVSLEIADIDGKPMECKCGELEFSSSEISSGKRCGEFVNLGTASEESLTEEFCNRITKLSIKALRMCDDLIELERYTSGTFVVHEVSQDSDQNLEEESGFWAGRVFQILEKETGEKVVKRSSPVWQILLSYLYREGYSALVSLTSSNGSSRTGILKPFTFSSALIYVFDNEVSPQTVDHEDSSKMVSCGENRRKLSRKILNSLHDISWEEFCRSVKGYGQIDLEDVYFSKFSNSKKYKFLKCWIKQIRKPRGCSLSVASSCDAQKDVEADPVVRKHNSSEETEKAISLPGSEEEIALSGNRLSVRQENDTSVIASESSEVFFASLPSKIKKGIESEDIDLAALAERLVKSCLLHSSQRLEKDYSCESGTLLSVTEELTKMLLKEPKDLVAKFKKKHSSSTDSEQKSEEASPTIRYELQILFRMEILRSEIGLGNEESVTQKFAKQICMLLEAIQCKLDGGFFSNWSLDKYVDKIIKARYHHILGEAVSIIYTEMDLLMFSDEDLADSFINNEDSSQSGRENIHRNGKSHHRSQRNKDVPGSSKKNLLKKESRECREARKVVEAQEMRERARRFSSFTSWMPDLCRVWAPKQTKNSKDKADQQKRLAKRKNEHRSVEYDRVCETPVTADNKRTRTDEYECGTLPRSSVPKALFQDDDS >A09g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18699310:18699728:1 gene:A09g506240.1_BraROA transcript:A09g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCVLSPKSMSDQVVRVIVIQKTLIEHAEKLRQVKSVLEEQRTFWNGAWRKFLRDIQEGSTEAAEVGW >A01p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7953149:7955240:1 gene:A01p016050.1_BraROA transcript:A01p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 6 [Source:Projected from Arabidopsis thaliana (AT4G23800) UniProtKB/Swiss-Prot;Acc:Q9SUP7] MATSADPAPTKKSRNSRKALKQKNEIATTETPPSPVSAKAKSAKSFEKDLLEMQAMMEKMKIEKDKTEELLKEKDEILRRKEEELVTRDAEQEKLKMELKKLQKMKEFKPIMTFACGQSLTQAEQEKVNKKKKKKDCPEMKRPSSSYILWCKEQREEVKKQNPEADFKETANILGAKWKSLSAEDKKPYEERYKVEKEAYLQVIAKEKREREAMKLLDDEQKQKTAMDLLDQYLQFVQEGEQDIKKKSKKEKDPLKPKHPISAFLVYANERRAALREENKNVVEVAKMTGEEWKKLSDKEKAPYEEVAKKNKETYLQAMEEYKRTKEEEAMSQKKEEEELLKLHKQEALQLLKKKEKTDNLIKKEKETKKKKNENVDPNKPKKPASSFILFSKDARKALTEERPGTSNSTVTALISVKWKELGEEEKQSYNNKAAKLMEAYKKELEDYNKSAAATTSS >A09g515680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46259491:46265169:1 gene:A09g515680.1_BraROA transcript:A09g515680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEVARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHSLLRIVSRLKVDSLIDHLPSLVRYLITQGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNRLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A04p018040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10885208:10885906:-1 gene:A04p018040.1_BraROA transcript:A04p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDDYTASFSPSFSSYANDGLVETAERVRLECSGEYNNNDDDDGFEFVNIRSDYEAEASFSGDCDLVFPVFNQAVISKPSAVKSDESSRPAVTTRLRDLFRRDREDSSSSSSDEEKELEGVSSEMYCPWTPGKSSNGGWRKSKSTGSSSSSRRWRIRDLLKRSYSEGKQSLSFLNSNSRNRVDEASKKEKVSSAHEKFYLKKKAKKEEEKRKSYLPYKQFGLFFFNVHHR >A07p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6447864:6450081:1 gene:A07p010300.1_BraROA transcript:A07p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAAIGSLKVPSSSSSSSSSNHTRRLSSSSPRKTLSFSSSSLTGEKLNPTQEIIISNLPRGNERRTPSIVSPKASVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDDEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPFIASMGIYVVSKNVMLDLLRDQFPGANDFGSEVIPGATDLGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVIGLRSCISEGAIIEDTLLMGADYYETDADRTLLAAKGSIPIGIGRDSHIKRAIIDKNARIGDNVKIINTDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >A01p005630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2934879:2935460:1 gene:A01p005630.1_BraROA transcript:A01p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHEMDVCSPATTKKLSRLAKLILYTIQKVSDASRHKLQTTLDPQLLAKRGKTLRKSLNEAVSTSHSHITCRPSDHDDVRSSFISPVPLQLDYEFSCSSTPPRRSYASTTTDGRRSGSRKPLINKRQRHEYIRYNTLPKIHRERHGAAAVFPDVASSVGTMDSCHVDRAAEEFIQRFHRELRLQRWMMAQEV >A07p044990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24455152:24456399:1 gene:A07p044990.1_BraROA transcript:A07p044990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVREQAMISTDASEVLPQHKKRSKKTNEKEASPSWSSLPDAVALSCLARLTKSDHVAVSLVCKRHRSLVAAPELCITRWLIGCTEASQYVCLHIFPNPNPSWFVLTETRRLRPIPSNPYQAPESSSFVVVDWGIYVLGGIINRCPTSEVWFLDCFSHTWSRVPSMNMPRASASASLVDGKIYVFGGCGGDDSNSSNWAEVFDPKTQTWRTFVTPNMSHSIDQSAVIQGKKVYTVDEEDQSFYFLPSECPSWTSGKRDTTPGYRSDWCAIGELLYCRGTRGRILWCEPDELDWKEVKGLAELQESFCGSRHVMDYGKKVYEPCKPTKTDIKYDISKLCCNSAGNIVIFWNTQLEYPEGLELRSAEISLERHVEGEIWGKIEWSGVIFKVAKNTNLTKRFLCFINSFLAFFLSYD >A03p059710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25911723:25916978:-1 gene:A03p059710.1_BraROA transcript:A03p059710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNGESSSSQPPQFQPQQQNANEQDPEHHSGNADPRSRGGNTVFKSGPLYISSKGLGWTSWKKRWFILTRTSLVFFRSDPSAVQQRGGEVNLTLGGIDLNSSGSVVVKADKKLLTVLFPDGRDGRAFTLKADTMEDLHEWKAALEHALTQAPSASHVMGQNGIFRNDQSDAPAGVDEHRDEAPARPTVLGRPVLLALEDVDGTPSFLEKALRFVEDHGVNTEGILRQAADVDDVEHRIREYEQGKNEFSPTEDAHVIADCLKYFLRELPSSPVPASCCNALLEACRTLRGNRVNAMREAICESFPEPNRRLLQRILMMMQLVASNKNVNRMNTNAVAACMAPLLLRPLLAGDCNIENDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYDSIFGEGSLSAGLYSDSEESGSETEEGTDDGDYDDEDYDGTQGSDDYTDEEEGFENESDRSYSESEASAETPHDHKARPSIQITEITSSESTPKGSTQPKVPKKLLSSSKRSSLPRHEHARKDENVLVKGSDSAQVKAVLGVSKAEDKNSSTTLSSAPGGSKRLWGRAHGRKNLSMESIDFTLEVDEDDADIERLESTKSELQNRIADEVKNNAVLQASLERRKKALYVRRQALENDVERLQEQLQQEIDRKSALESGLNMSKGNQTIPETTDEKLKKDLQEVAQAEADIVNLEHKVDDLENRLGQQDVKGSGSPHGGSRESRRSPEHNAKMKEKQKDTEAASGNVLQDGQGSARENEIEKIQDPRSKSSQQTSKLAGMSKRSGTKGEGNTTTTTSALSKLTMRLNFLKERRSQIANELSNMDKGKGSGQPSPSSEQNQSVKETERETGSKSNQNQDSESSKLQSPHVLDRGRSDNGGDRGKGGNQPSTTPRTLSR >A05p049690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28878588:28881364:-1 gene:A05p049690.1_BraROA transcript:A05p049690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTTCLERLSLALRTALACLIVSLTTLYGPKPLKNLAMFPAFSYLTTILIWLSDAEPTYGEVLKCCVDVSYATFQTAAIVLVSVLVVGPASLGISLVAPVAVAVASFIVAFPASTSLLTKRIAFGQIVLVYVTFAVFNGEVAHVFMLPVHVAASTALGAIASLLAVLLPFPRLAHSQMTKGCKLYAENALERLNLFVEVIMARDNTTAQVLITKAASLSSAARHTLKSIKIHHERLAWERPDTRFLKKKQNHQGEKPQATEFLMRGMEIALGSFSSFPLGMSRDEVTNLLEAPRTHIAHEPASTLKPEDRLTCLPEAGSLSTTSLPVYFFRYCVELFRGDVSSVRQDSKPRVDELSRSKTVLDVLSVWMAKERFVFAFKCSISLGLAVLFGILYNKKNGYWSGLTVAISLVSGRQATLTVANSRLQGTAMGSVYGLLCCAIFQRLEEFRFLPLLPWIAITVFMRHSKVYGQPGGVTSAIAALLILGRRNYGAPTDFAITRIVEASIGLLCFVLGEVLVTPARASTLARAELKHCLDALLECIGSLVLCSEQKNMQLSDLRSKQAKLSSHVEALERLTSEALREPNVPFLKPLNEVSYKKVLVSLSKVSDLCLYVCDGLTNLSGAHPWDQAITHDLKSFQEKLHSSVKCLEEMASTKTQARLQKELQKRKICHDVEAGTASNDNYSNMEMGPSQDDAERFSVSFVKLLKEVTEKTSGSTAEEVVKSETTLCLSSLGFCISRLMQETVCIMTEITHTT >A09g514370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42931349:42933690:1 gene:A09g514370.1_BraROA transcript:A09g514370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPRNIATPTPSRQSHEPLIHSYSITAREQVKIEKLTFIGFRDNQARTDDFHHVKLLFGTEEIRERGKGPNDFERIERGASGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >A03p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9321426:9325073:-1 gene:A03p022150.1_BraROA transcript:A03p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGVGGGGGGGGKRRWKVLVIGVLVLVILSMLVPLAFLLGLHNSFHSPGIVTVQPSSPFERSRINATKHSQRDLSNRVDQVLQKINPVLPKKIDINVVPRDMNRTSISDSKNRGLPVSPAVVANPSPANKTITEVSHKGGQGAMVNADETQRTCQVKYGSYCLWREENKEPMKDAKVKHMKDLLFVARAYYPSIAKMPSQSKLTRDMKQNIQEFERILIVSSADADLPPQVDKKFEKMEAVISKAKSFPVDCNNVDKKLRQILDMTEDEASFHMKQSVFLYQLAVHTMPKSLHCLSMRLTVEYFKSGSVDTEDSEKFSDPSLLHFVVISDNILASSVVINSTVLHARESKNFVFHVLTNEQNYFAMKQWFIRNPCKQAAIQVLNIEKLELDNSDVKLSLPAEFRVSFLSGDNLASQRKRTHYLSLFSQSHYLLPKIFYKLKKVVILDHDVVVQRDLSPLWELDMEGKVNGAVKECSVRLGQLKSLKGESFDANACLWMSGLNVIDLARWRELGVSETYQKFYKQQMRGGGESREAIALQASLLTFQDKVYTLDDKWALSGLGYDYYINTQTIKNAATLHYNGNMKPWLELGIPQYKTYWRKHLNREDRFLSDCNVNP >A02p044620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28117804:28119347:-1 gene:A02p044620.1_BraROA transcript:A02p044620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGENQLISIVPDELKFLFELEKQSYCDLKVANKTEDYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTIVPPHTDVDDLPQDTFTKDSGKTLTECKLKVSYISPSTTQRSSESGATNGDGNGSETISTIQRLKEERDAAVKQTQQLQHELEMAKRRRNQSNSGLSLKLAALVGLIGLIIGFILKLTLASPT >A06p027640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16206585:16207318:1 gene:A06p027640.1_BraROA transcript:A06p027640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAHPTVPIRITKQCTGSTRVRPEWSFGWNHDQKNKLTVPELVFPDHLDILRTIVEPDLAWVVKYPKTDMHSHPANHPQLILVVSQHTTFFLWWLVLDRGYIKSHSASLDDHFNPSQFQKCHLPSRSYQTPS >A06p023560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11680899:11681813:1 gene:A06p023560.1_BraROA transcript:A06p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46640) UniProtKB/TrEMBL;Acc:F4J959] MGEEVRMSDYDVSGEGDRVLEWEMGLPSDDDLTSLSYSLIPPNLAMAFSITPEKSRTMEDVSRASETTFSSLRSGSSGLNTSSSNNNSVAAEEEDRVGSSSPGSDSKKQKTSGDGVAAAAEEGDSGTEDPTGKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLTTEGPSSSDKLFSSTPVPPQSFQDIGGGNGQGNVSAAVPYGGQPMMQMPVYAHHMGMQGYHDPYHQNLQHHHGAGGFEANPYMMQQNKFGSMASYPPVGGRSAHEN >A05p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5861140:5861892:-1 gene:A05p013420.1_BraROA transcript:A05p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPTASNTTENSQQRPPRQRNGPPPPRRQGRNPPPQTRARYQQTTQGLQEKKKPVFVKVDQLKPGTSGHTLTVKVVDQNPVPQKPGAASSHLRPVRIAECLVGDETASILFTARNDQVDLMKPGTTVNLRNAKIDMFKGSMRLAVDKWGRIEVTEPADITVKEDNNLSLVEYELVNVE >A02p056980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34306874:34311478:-1 gene:A02p056980.1_BraROA transcript:A02p056980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNGSGSETGSETKLPPSSSSSTEKSVTNYKKNNTKPCKICGSNEDDDDVRKCYKPHMWWLCEVCRTLPGVVEVKPEDSNETVLPNDSVSSSSSRVDARNSGNETSATNQPSQSEAHTTSPEASSTASINTSPEKKQADSNAPSDSESSNYCAKVLFKAVPDVWLCEECRTSRGVIFINHDEASESNQQSDS >A04p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19873046:19875691:-1 gene:A04p034250.1_BraROA transcript:A04p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYGMVTGKAGKSGFGSASTAEDVTQSIDANHLTAIITGGTSGIGLEAARVLGMRGAHVIIAARNTKAANESKEMILKMNPNARIDYLQLDLSSIKSVRSFVHQFLTLNLPLNILINNAGVMFCPFQLTEDGIESQFATNHIGHFLLTNLLLDKMKSSARESGIEGRIVNLSSVAHTYTYTEGIKFDSINEPDTYSEKKAYGQSKLANLLHSNALSRKLQEEGVNITVNSVHPGLVTTNLFRHSGLGMSVFKAMSFFLWKNIPQGAATTCYVALHPSLKGVTGKYLADCNITTPSKFATDKSLADELWDFSIKLIDSLPN >A01p045820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25865877:25869982:1 gene:A01p045820.1_BraROA transcript:A01p045820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEVKTGMKIPWSARYSLTLLILLSSVLLLCNGQDYGTPTEDGAGGGEPPPEMARCNGIFMSYSFGSREREYPHVKNVTAQSWAFKSSAMIVNAGKEELTGWQMFIGFRHKELIVSATGASPLDGDYPLDASNGTTFVGSPNTDLKTSILTAGDFTQISTNIEITGTLFGVAKGVTPMPRTLKLINDGWECPAAKRKGGSMNVCCKRNPKFKVKTGPKTKFAPRRHGDLNIVYDVLQSFGSNYLAQVTIDNENPLGRLDRWNLTWEWTRGEIINTMRGAYTYKRDPSECLYSRAGQYYKDLDFSQVMNCQKKPAISDLPPERKDDNVTGKLPFCCKNGTLLPPLMDPSKSRSMFQLQVFKLPPDLNRTALYPPQHWKIDGVLNPQYKCGPPVRVDPSQFPDSSGLPAVTYAIASWQVVCNITKPKAQASRCCVSFSAFYNSSAIPCNTCACGCNDIDTTTCNADRNPLLLPPDALLVPFDNRTLKAKAWAKQNHMPIPKKLPCPDNCGVSINWHVNTDYRDGWTARLTVFNWRDFAFEDWFVAVEMGKAGPGYENVYSFNGTRVPPNNRTVMFQGLRDMNYLVGQVNGTHPLRDPPVPGKQQSVISFKKKNIKGLNIPEGDGFPTKLFFNGEECELPKHFPKKSSGHRHGISVLMSLFGVLMLLLNEESEGADVERSFTKKMMLRGLTLVCLLMIVNKAYAREFMVGGAKGWTVPSGSQVYSQWAEESRFQVGDSLLFVYQPNQDSVFQVTRDAYDSCNTDAPTAKFADGKTSFALTHSGPYYFISGNKDNCNKNEKLVVIVMADRNGKTTSSSSPPSQAPAPSGEAAPSPPITSNLEPPATTPTRETPNNAASSFSSFVSALLGAALASTLFLH >A01p039850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14089712:14101221:1 gene:A01p039850.1_BraROA transcript:A01p039850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2B [Source:Projected from Arabidopsis thaliana (AT1G60940) UniProtKB/Swiss-Prot;Acc:Q9C958] MEKYELVKDIGSGNFGVARLMRVKDSKELVAMKYIERGPKIDENVAREIINHRSLRHPNIIRFKEMDQEEMGKSFLVASLIMTFELFVPIFFFSQARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTMQRILAVQYKIPDYVHISQDCKHILSRIFVANPLKRITIAEIKKHPWFLKNLPRELTEIAQAAYYRKENPTFSLQSVEEIMKIVEEAKTPPPVSRSIGAFGWGGEEDAEEVSEEVVEEDEEDEYDKTVKQVHASGEIRITLTDATLVNHQDETERESSEFEKNQKRYQDLIASFPHANGWRPKATLIQYGGHWIVQPLLEGWLHAQDFFQARPIDFFVCSYPKSGTTWLKALAFAIANRSCSDHSSNPLLKSNPHDLVPFIEGELSLVPQVDDVANDNGNTLFSTHIPYGLLPESILKSGCKMVYIWRDPKDTFVSMWNFFQKERSDNGPLNTLEESFDKLCRGLSLYGPYLDHVMSYWNAYQENPDQILFLKYETIRADTLPYVKRLAEFMGYGFTAEEEKKGFETLKNLEANKGEKDREGTEGRECWDKLE >A04p037290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21318157:21319121:1 gene:A04p037290.1_BraROA transcript:A04p037290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFINQAKQYAAARPNYPIQLFEFIASKTPCHDLAWDVGTGSGQASRSLAGIYKNVIATDTSSKQLEFAAKLPNVRYELTPPVMSLSEIEQLVAPESSVDLVTVAQALHWFDLPTFYSNVKHVLKKPDGVFAAWCYTNPEVNAAVDEVFRRFYKEKLGPHWDKARRLVEDGYKGIEFPFEKIMDESTESQTFPVRFVTEREMGIEEFLTYLRSSSAYQTAKDKGVELLTAEMEGEFVGSWKEDGEEKKVVTFPIYLLIGRVGGDRV >A10p039950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22073521:22077977:-1 gene:A10p039950.1_BraROA transcript:A10p039950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-insensitive protein 2 [Source:Projected from Arabidopsis thaliana (AT5G03280) UniProtKB/Swiss-Prot;Acc:Q9S814] MEGEAVSVRPPQQGFVQRVVPALLPVLLVSVGYIDPGKWVANIEGGARFGYDLVAVTLLFNLAAILCQYVAARISVATGRNLAQICNEEYDKWTCMFLGVQAEFSAILLDLTMVLGVAHALNLLLGVELSTGVFLAAMDAFFFPVFASFLENGMANTVSICSAGLVLFLYVSGVLLSQSEIPFSINGVLTRLNGESTFALMGLLGASVAPHNFYIHSYFAGGSTSSSDADKSSLCQDHLFAIFCVFSGLSVVNYVLMNAAANVFHSTGLVVLTFQDAMSLMEQVFGSPLIPLVFLMLLFFSSQITALAWAFGGEVVLHDFLKIEIPSWLHRATIRILAVAPALYCVWTCGADGIYQLLIFTQVLVAMMLPSAAIQLFRIASSRQIMGVHKISQVGEFLALATLLGFLGLNVVFVVEMVFGNSDWAGGLRWNTLTGTSVQYTTLLVSSCASLCLMLWLAATPLKSASNLVEAQIWNMDVQNALSYPSVQEEETGKVETRQDEEESAVQQLESRVKDQLDTTSVTSSVYDLPDNILMTDQKIRSSPLEESKLDVKVSASQLTSFGEISDVKEQTVLQSTVINEVTDDKNLVVETKMAKIEPTSPVEKTVSMENNIKFIAKDVEGVSWETEEATKAASPASNFPAVVSDGPPSFRSLRSEEGGSGTGSLSRLQGLGRAARRHLSAILDEFWGHLYDFHGQLVAEARAKKLDQLFGADQKSPSPVKVDSFVRDNTSSGYCMSPTTKGLESQMNSSLYDSLKQQRTPGSIDSLYGLQRGSSPSSSPLVNRMQMLTAYGNTPNNNNAYELSERRYSSLRAPSSSESREHQQPATIHGYQIKSYVDNLAKERLEALQSRGEIPTSRSMALGSLSYTQQLALALKQKSQNGLTPGPAPGFENFAGSRNVSRQSERSYYGVPSSGNTDSLRGGYRDAYTLPQSATTGTGSLWSRQPFEQFGVAERNGAVGEEVRNRSAPINIDNNNNASTVDAEAKLLQSFRNCILKLIKLEGSEWLFGQSDGVDEELIDKVAAREKFIYEAETREAGHMGEPQVSSVPNCGDGCVWRADLIVSFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGVIEPAFAKLRTPMTPCFCLQIPASHQRASPPSASNGMLPPAARPAKGKCTTAVTLLDLIKDVEISISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPGGMSQDGPGSRKSLNAAASAYGPMA >A06p011430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4212309:4216871:1 gene:A06p011430.1_BraROA transcript:A06p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWQQAYMDYDYLKTLLKEIIRFKLRTNNAPTRGGAKNHQGGGLNRKMTLYRAFSGLVSTPGRHRRGNPHDVEEGIQLTGTTTTSGPILVNNTADRGYETTFLMAAEEGGEYELVFFRRLDDEFNKVNKFYKEKVDEVLKEAVVLNKQMDALIAFRVKVENPAGWGWDERAVEITRLASDIATSAAAISASTPAGAKSMKVRSQAHMEAIQEGGSSKAGQLEDDEEEEAQAEIVASVSTGASDVSTTRMRAVRPAPLDILDRVTINNTKETPRSTIKRVLQVSKNTDLKFSRENLMKVEEKLRHAFIVFYQKLRLLKSYSFLNVLAFSKLLKKYDKITSRDATKPYMKVVDSSYLGSSDEVVRLMERVEATFIKHFANANRTKGMNILRPQAKRERHRLTFSTGFTAGCVFSLIVALAAIIRTRNLLQEEGQKQYMNTMFPLYSFFGFIVLHIIMYAANIYYWRRYKVNYSFIFGFKQGTELGYRQVLLVGFSIGVFALLCVLANLDMEANPKTKDYKTFTELLPLFLLLAMFVVLILPFNFFYRSSRFFFLTCLFHCLAAPLYKVTLPDFFLGDQLTSQVQALRSIEFYICYYGWGDFRHRQNTCNKSSVYNTFLFIVAVIPYASRLLQCLRRLFEEKNPEQGWNGLKYFLTIVAVCLRTAYSIQKGQIAWRVLAAISSAAAAVFSTYWDFIHDWGLLNRTSKNRWLRDKLLIPQKKVYFIAMILNVLLRFAWIQTILDFNFSFMHRQTMVTVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDD >A09g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23416402:23417293:-1 gene:A09g508280.1_BraROA transcript:A09g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDQLKIKAKSSTPSLTAQIYDGENKWVTKDEILYAGETQNLSRNIFRSKVQKVNISIVIRRVKTAGWKWEENKQI >A07g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4866069:4866563:1 gene:A07g502270.1_BraROA transcript:A07g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKMELGNECNYFSWFDEEDGTEWQRRALLQARDEIQEKDKVIEQLQKTISEMKSHLEKKKTGKGGGGNDENEEDDIVRMFEELYA >A03p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17798810:17799705:1 gene:A03p042550.1_BraROA transcript:A03p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLQGSLPPELANNVVRLYRECLRRATFIGKQQHNTELVVGMVRQQFKKHMNETDPEKIQKLKDDAARGLINHMLFESEKLTGRKVSQRS >A02p056510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34087167:34090970:1 gene:A02p056510.1_BraROA transcript:A02p056510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSGVNRTPSLKVAIPQGSQQSLRRLGLCSQIATGGSQQSSPVVFPEKRSKKVKASSRRGEISTDHPEVKPKADEHRIDIGGGGDEKSDLLGSLVYSGKLLLVKRGKDATSATDVFNKKAVDARLTRRALVWGSNVLQLDDVVSLTYNVGLKHFTVHGYPVGKGCFTKPKRSRKDFRFIAPTVEEAVQWVASFADQQCFINCLPHPLVSKKQGSSELFSVPIDTPPELVFRCKSAPKMLVILNPRSGHGRSIKVFNDVVEPIFKLAGIKMEVVKTNKAGHARELASTVDISLCSDGIICVGGDGIINEVLNGLLTRSNQKEGVSIPIGIVPAGSDNSLVWTVLGVRDPISAALSIVKGGLTATDVFAVEWIHTGVVHFGMTVSYYGFVSDVLELSEKYQKRFGPMRYLVAGFLKFMCLPKYSYEVEYLPAQKEDAEGKTSLEKEVVDVQDLYTDVMRRSSKEGLPRASSLSSIDSIMTPGAGELDTCSSTHASAEPSEYVRGIDPKMKRMSSGRRDMAAEPEVIHSQGQSTTPNWPRTRSKSRTDKAWMGLTSVQDPPPNRCSWGNTGAHDREDISSTVSDPGPIWDAGPKWDTEPSAWDVENSIELPGPPEDIETGLRKQTMTPRFENKWVARKGHFLGIMVCNHACRTVQSSQVVAPNSEHDDGTMDMLLVHGCGRLRLIRFFILLQTGRHLSLPYVECVKVKSVKIKAGKQTHDSCGIDGELFALNGEVISSMLPEQCRLIGNAPERH >A07g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11213428:11213995:1 gene:A07g505200.1_BraROA transcript:A07g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRVMGDKDISYDFKASVDEQKPALKQLLKHQNAAKPTTKASGMEKRSSTNTRGVKSNVRAEKQQKEVSRSGAGPVEKPRLQKNPKAGVLMPKLGGTLLIRTQVLFQYTYLTKRICSIGVRIVIIGPVLV >A07p045010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24472759:24474840:1 gene:A07p045010.1_BraROA transcript:A07p045010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLIQPSKSLFTSSIHSSGDVRDCIHFKPSEKASQFQFHRALSPSPFRSFAARRAYGIRFCSRDGVSDVRNVAEVEEEEIELLNRPNPQKPDEEEDKEKPDDDEILEPFLKFFKHGEREEEGEGDEESEETERLTVEYYDPKPGEFVVGVVVSGNENKLDVSIGADMLGTMLTKEILPLYDKELDYLLCDLKYDAEEFLVHGKMGIVKDEEDDGVEVMEFARQGRPVVEVGTVIFAEVLGRTLSGRPLLSSRRYFRRIAWHRVRQIKQINEPIEVKITEWNTGGLLTRIEGLRGFIPKQELVKRVNSFTELKENVGRRLVVQITRLNEDKNDLILSEKTAWEKRYLREGTLLEGTVAKILPYGAQVKLGDSSRSGLLHISNITRRRIGSVSDVLQVDESLKVLVVKSLFPDKISLSTADLESEPGLFITNREKVFSEAEEMAQKYRAKMPTVTTRSEISTDHPPITSSFPQGKDEEIYANWDWFKFESQ >A04p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19623556:19625417:-1 gene:A04p033770.1_BraROA transcript:A04p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR10 [Source:Projected from Arabidopsis thaliana (AT2G36840) UniProtKB/Swiss-Prot;Acc:Q9SJM1] MGILSDDVVIIRQSEKEGDPSVITINCPDKTGLGCDLCRILLFFGLNIVRGDVSTDGRWCYLVFWVIGKPNTRWNLLKTRLVEASPAFAWAFGISRCYLSESESQPPDLFLLKLACSDRTGLLYDVTEVLYKLEINIEKVKISTTPDGKVMDLFFVTDTRELLGTEKRRDEVYEYLRDAIGDAMMSYDIELVSSEVTARSPASSSFAETLFSSLEEHPSGLQTSSSVSIAVDNSLSRAHTLIQITCQDHKGLLYDIMRTFKDFNIQISYGRFTIKRGKNCEIDLFIVQSDGRKILDSSKQDALVSRLRAELQQPLRVVMMNRGPDTELLVTNPVELSGKGRPQVFYDIALALKKINTCIFSAEIGRHLTGDREWEVYKVLINEEDSLPVSRSKIEEQVWSTLMGWE >A10p001120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:589974:592716:-1 gene:A10p001120.1_BraROA transcript:A10p001120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYHHNRSSSSRRSIVQRSRFILPCFSSSPLSLFVVLAAAVPFPIYFSGLLSSLSGRNNKAASTMRVNSNIASTNITCTTFNVLAPIYKRVDQQNQSIRESQFPALWFTRNQKILDLLLNQRSSVISLQEVWVGNEELVNMYHDRLASAGYTTFQLARTNARGDGLLTAIHKDYFKLVNYRELLFNDFGDRVAQLLHVKSIFPFPLNENQDVQQEVLIVNTHLLFPHDSSLSLARLHQVYKILEYLEAYQKENKLTHMPIILCGDWNGSKRGHVYKFLRSQGFISSYDVAHQYTDSDAHRWVSHRNHRGNICGVDFIWLCNPTSSNSRKPLRTSWVEAVFSIIKYQVQKASIAEDKAFAFLGENNHSDSLTYSGFCQALQKVNLTGMPHGLSFQETKELWDRADVDGNGVFDYEELKKMWNMTVMVQSENCKGSMMESKKEEGEDKEEEEEAIGLKVKKAVLFPEEAEKGMWPENYNLSDHACLTVQFSPVKVLCS >A01p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1332631:1333106:1 gene:A01p002890.1_BraROA transcript:A01p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYEVDEKKQAAADVLFSYSKFAMACIGNQTRPTDMRLHLMKEISGLPTSLKRREPSRAATSPDPLGESSSSGTARLDKVDSFRAL >A02p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25098111:25098702:-1 gene:A02p039780.1_BraROA transcript:A02p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRWREWWKTMAFPARRIWNRFTVRVGFRHSGLLRLQNDVSSCEYEDIHIMWNLLHKIDDPTPIRGARIQQRIQQRKKACWNLFDSYLCQRF >A03p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22990213:22991939:-1 gene:A03p053630.1_BraROA transcript:A03p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLIGISNSISLSRGISFSGTTTENADQSSNSLNGKTKLSAMTNYGDNSYLAPVVCGSSKMGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHRNHKNRPSRRATWKKNNSLSLRRYR >A06p043750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23535863:23537160:1 gene:A06p043750.1_BraROA transcript:A06p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDDWTKSAMRDGEVVAELLVKLKKAKVRPVLFSCPTLRWGNRQPRSRKEAESARCSPSTPLSWSASSSPSAYLDGHEATAGSFPVGSRSKDTASLRERGDIKNKNLKRMKLNTQISTSLSECNLVENEDGNFLLPDLNLMPCEEATLYDISIVR >A08g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10059356:10064326:1 gene:A08g505830.1_BraROA transcript:A08g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEPVARGIGPLRPVAGRAWARDFTSRKEAGLAGEACRGTGPKAGKPTVIRGRGAKTIRVRQSSFFFFDVASVLPSELPNSTDRTCMLLDKKTNKIRCFPVLDTAFSPDQSLETLQTLKPCKEHCPTKLATWSSSSPLRQLQDIREEIMFINDFPKMVAGAKGDCVPTAKDCTTRFPARVHTPPGRVVSSFSRYSSFKDLRAGETMGSRSQSEDQSTFDPNYSPPNTVDFATQEVLAALAAEAEVGDHIASQEAGVTQADGKQKGSRKRLISLVDDTDDSDVEISQPTQKTKPRRQTSFGTATGKPMLQSTIDGGVGSSAQVCSKGKSVPMKSVIRGGRRKSPTKPKKKKVSPTQSQKKKEKWSLEQPAASRNVMLHSFLVQPAGRLVRACEIMRYGTGPAFSGPQPARDGTAWTREMLSPRRVGTGLDGTTCLTKLNT >A02g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15628890:15629160:1 gene:A02g504900.1_BraROA transcript:A02g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPDINVRMIGILVDWLTEVHYKFELMEETLYLTINLIDRFVAVTQHVPRKKLQLVGVTALLLA >A01p057260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32424122:32426196:-1 gene:A01p057260.1_BraROA transcript:A01p057260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVEDTSSFEEDQLASMSTEDIVRATRLLENEIRILKEDAQRTNLECDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDSLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFEKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >A08g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5739210:5739938:1 gene:A08g502840.1_BraROA transcript:A08g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEFAEKHPHPSSLLYVKIDQPHEPDIDRQRETDIDRPPSLPIDRLIPLTHRVRLPSIDTNLPEPTTNPSDTTPEPMQVDEATEGRVLRKRKEKTPKNIKREANEKNMDGFTKRVLRILVEKQFDEVYFTYRLWMFFKETKETKEDIRRMFHHVRERMKLRITLKKKSDPGKFVIPCLVKGIEFLYALCDTGASVSILPKVMADQLGLKIEPNASSSIFFFILQIR >A08p040970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23240736:23242317:-1 gene:A08p040970.1_BraROA transcript:A08p040970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLSNMDYKQKQERCGQYIEALEEERRKIHVFQRELPLCLDLVTQAIEACKRELPGTATENMYGQSECSEQTTGECTPVLEQFLTIKDSSTSNEEEELTDEHGNHDPDNDSEDKNMKSDWLKSVQLWNQPDPLLPKEEGTQEKMVDTVVKKDESMKKEAMANGGERRKREAEKDGGRKQRRCWSSQLHRRFLNALQHLGGPHVATPKQIKELMKIDGLTNDEVKSHLQKYRLHTRRPSQTVSSNGNSQTQHLVVVEGIWVPKSDHSTGRTTGGATTSGTTTRSTTGIYGAMAAPPLPQWPSPSNFRPSIIVEEKGSGSPSEEVVVRCSSPAMSSSTRNHYVKNI >A07p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7431957:7432817:1 gene:A07p018210.1_BraROA transcript:A07p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVSISTSDTIYQRFEEGKIYHIRYFYLLPNNQQYMLTVKPYIININETTIITLIQENIQPIPSYIFRPQRYHQLISLANATNFLPDVVGRICLIQKIDLYNHYTDSKITIGLRLDRSKLVSLTLWDKEASNFRELNHIYTRKNQIVIITSIIPRLHEDRASTLTTILVSYNASKRGINCYLKPHSKRHQSTFKNFTLPIPTSIK >A01g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21495218:21499480:-1 gene:A01g507540.1_BraROA transcript:A01g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRMIRLLRIQPMDAAAGARVLLRVRKPMRRKKTAQADAAFKRKEKAEAKKKAAEEKKKEAEAKKTEATAKKKVAEAKKKVAELKKQSQARSTYKKVTPPRDGVTRCNVQPDVEDSSLADITDEVVAEQNEFAPKSDVENSELVRSAIIKEFWEKYVRIKMSSSSAVSRNSYRRRSNVERETPKQCWCGEPCYISTSGTFTNPGRLYYCGGKGYNKVRFGSYFACSVDECLVEEVDDIKSLISGMNKDISEFRVNVALLKKEIE >A09p072420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55531942:55533259:1 gene:A09p072420.1_BraROA transcript:A09p072420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQSSDDIMRFLDGMASSDDGTLYRTSSIETKIRQATKEALKQVKSKGLHCVCLRPVAGGCRSCLRGEISRHLRDVAGYDCVISKSKWRSSQDIPAGEHEYIEIMDRSDSKKGEMRVVIELSFRAEFEIAKCGEDYKRLISRLPEVYVGKTDRLRSLIKILCIAGKKCLRDNKMHMGPWRKHKYMQAKWLGTCDRSNSLEAAVSETTEPENWVPRAKPRVSMLNYDGLLGSLSTGMGRHAVVTVV >A08p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7760560:7762327:-1 gene:A08p010170.1_BraROA transcript:A08p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALESDQSFAMAEKFDILSEGFDPTAVAPEPLPLPVTNGTGADQEEENLKKTKVVINGGGEREMVLGRNVHTTSLAVTEPESNDEFTGDKEAYMASVLARYRKTLVERTKYHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRPFEVGVLDWFARLWEIERDDYWGYITNCGTEGNLHGILVGREVFPDGILYASSESHYSVFKAARMYRMECEKVDTLISGEIDCDDFRRKLLANKDKPAILNVNIGTTVKGAVDDLDLVIKTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFNKPIGSVSVSGHKFVGCPMPCGVQITRMKHIKVLSNNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLREAGISAMLNELSSTVVFERPKEEEFVRRWQLACQGDIAHVVVMPSVTVEKLDHFLKDLVEHRLVWYEDGSKPPCLVKDVGINNCICPAHK >A09g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6493851:6496268:1 gene:A09g501790.1_BraROA transcript:A09g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRSLHLTSCYLVGEFPNSVFLIPNLQSIILDRNPDLRGSLPVFHRNNSLQVLSLLKTSFSGTIPDSIGNLKHLVSLRLAVSNFAGRIPSSLGELSNLSSLSLYRNHFTGEVPSSIGNLKQLISFNVFSNQLTGNFPSALLNLTKLRSIYLDSNQFTGSLSPNIGQLSKLEGLSAFGNSFTRAVPSSLLQISSLTVLELDDYQLSDLVGFENVSLFSNLEYLYFESNNFRFSSPVDLNVFSSLKQLEGLELSGIPLSTANITSDSDFSSNFQTLYLSDCNITEFPEFIRDQRNLRFLYLSNNNIKGQVPDWLWRLQELQILDLSHNSQSGFDGSLKAVPGSHIEKLNLNYLDLSNNNIKGQVPDWLWRLQALQILDLSHNSLSGFDGSLKAVPGRVLMVMERILTVYTAIDFSGNKIQGQVPESIGLLKELHALNLSRNAFTGHIPLCLSNITALESLDLSQNKLCGEIPPKLGDLSSLEWINVSYNQLVGSIPQGTQFQRQTCSSYEGNPGLFGPSLKDICRETTSPGSEPPVSSEEEEEEEEGSFSWVAAGLGFAPGVVFGFTIGYIMKNLGRNKH >A01p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6051902:6052929:-1 gene:A01p012380.1_BraROA transcript:A01p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQARHMNIFSPQLLSNRVNFKQDMNHGEFITGETLTVDPLSNAAAKPSFNKSESGLTYNFNSFNVVPPPRKRPRVSQYLDSDAHFASAVKLGSGPFGSPSSLINAELVSHIQKQQQLEIDRFVAQQTEKLRIEIEARQQTQTQMLASAVQNALAMKLKEKDDEILRMRNLNCVLHERVKSIFVENQIWRDIAQTNEAQANNLRTNLDQVLAQIKTLPTAVENDVESSCGSCVEGGEAITAVSGGCKRCGEREASVLVLPCRHLCLCTVCGSALLRTCPVCDSVMNASVHVNMSS >A06p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15702021:15704460:-1 gene:A06p024000.1_BraROA transcript:A06p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPGWPLGELDCVFGPTRPFGELDDLFGPTRPFGEIRLSEDLSNLSRGLIRLSGMLLPMAFYIGPLSALSLYSMFVLDENVRKFCFSEFHERWNTNEETYFGISYLSISCLEMLETSVMSLGQDLGLFLVLGCAMTNSAYVSCYSFNLIPYRFKVRDRFSSYTTCMRYYPCVGCLRAISTRWLGLFRTLRILPFLSLREDYVFEKMTIWSSKKVFLSRKIFRKNLFFKHLRRLAMLKIDFSFACSCFVKFWPSEIYFVHFRGCLPLSDGAMVSQILWLGLNDVFTQIAKDVVGQELDHGTFVLTLRKTKPKRFDTEPVRSQFQDDCLLGCDRGNERQKQASRRAVNRDLLDCNAKR >A01g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16128608:16134515:1 gene:A01g505380.1_BraROA transcript:A01g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDCALHLRKLSPPAFPFPASSSGAQPLLHRRSAFSPPDHLSLSAGKLLSLSNSGQLSASLSLTVVLSDSCGEKDFKVLICLPFSPQESERTTVRERLRVGRNLRERGVFRWRERGGLVERRLSAGGAKAEHRRSWPERGTLAERAFSGGEHNRADNVLEI >A06p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6083014:6083894:-1 gene:A06p013410.1_BraROA transcript:A06p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSGAVLSGLGSSFLAGGKRSAAALGSGVGAGAARVGRRTLIVAAAAAQPKKSWIPAVKGGGNFLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPRAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANYTGDQGYPGGRFFDPLGLAGKSRDGVYEPDREKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >A02g512840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35179295:35180983:1 gene:A02g512840.1_BraROA transcript:A02g512840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FISQSQTSLIPCHSNFLEKVTTEKENGSVAATLAISILPSSRLLVFAHRLYQGFKQIWCENVLLSVNCWIRSVMAQPRYTRIDNTRRPASSYCSTVTIVVFVALCLVGIWMMTSSSLGPDQSVDVVPLDNKDGIKNQMTPPEDAAGETPKEEKKGDGDESSSKEEKTKEESTSSGETKSETEGLKDNPEEENPDSNEKQTKPETEDNESGEDGENQKKFEADGIEKKSSDDETGNEGAETKPEKENSKTNVEQESQPKNETSGGAQLELVNETIAQNGSFSTQATESKKEKEAQKSSGDQIDFKWKVCNTTAGPDYIPCLDNVLAIRSLKSTKHYEHRERHCPDSPPTCLVPLPEGYKRPIEWPKSREKVC >A04p024860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15056568:15058564:-1 gene:A04p024860.1_BraROA transcript:A04p024860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGTVCNIQIQQRRSAFGRRTLPLLRSCSVGAYNRSVVVGLSAHHFRERGLRDKRRWSIQRALHLEALADSLGSRSKLCLFFLWGFVMFNISTLFYCFVKGDGQNQWILTFVPTKVAVSTSLLSKRWEFLWTWVPKLEFVDNKYESYLAIKDFINKNLPLLKAPVIESFLLKCYYSFFQPEEITQWVATTVSRRIVELDIDCVYCWSGEPCLLLPSSLFTCESLVTLKLNGEMILLDVPRTVSLPSLKTLHLGCVTYSKEDTFRLLLSYCPVLEDLVIRRSGRDKAKAIVVISPSLQRLTLPLDGGTSSTDEFVIVTPSLKYFNVEDYNARYSYFVAHMPKVEEADITVDEYLEMVFESITSVKRLSLCVLFDFEERYMYHDGIFFSQLEHLKLGISFDYWSKLLFRLLRDAPKLRVLKLYVYSGGRFKKYEPISWNNDPSAVPTCVLESLETFEFADYRGMQEERDFVSFIIKNARHLKSSTITPCSRMETCSSTDSSDD >A09p022310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12291056:12291408:1 gene:A09p022310.1_BraROA transcript:A09p022310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLLKEAVLKKRALGEELGKFFKIICGEKEEGKAKMSVEEKINITYIHFFLIANQTTPRILAATVKLISEKLRVMRERAIIRTEKDACLTWEDYKSMTFTHL >SC127g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:154837:155614:1 gene:SC127g500080.1_BraROA transcript:SC127g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVYGSVHGSVHGHKRISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVGSVHGQSTGRASMLICVYTDQYTDQYTDSPREGPAC >A07p012570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8069420:8069773:1 gene:A07p012570.1_BraROA transcript:A07p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 24 [Source:Projected from Arabidopsis thaliana (AT3G23805) UniProtKB/Swiss-Prot;Acc:Q9LK37] MSRSLAFVYLSILFLQTHLSISIEVPVSSVNGEIDAMLDRKGVVGEEGEEMMPSDISRRVMMMRKQYISYATLRRDMVPCQRPGASYYACRSGQANSYSRGCNIITRCARDTSDIKT >A05g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23665890:23669604:1 gene:A05g508150.1_BraROA transcript:A05g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKIEALDTHVMTLDTQVSQTTEAMKIQEALVKGKAVESERYQVDDILDNDFGKVDEHEKLEEEPFLVESSMSIGSSHWCRLTPSAEHRSTFLAEHRSTLSFGHRSTPTEEYVGIVRIQRHSELSAGHPHPPIPYSFKTDDIDRHHHDVIDRQQERSTERHQQASSDRQLPMKCQVRLLDLDAHCLNATWNPSQISICLRTAEKNNQQPAYAPGQEQLTLAETCFVESVDRRHQPSVGRHQMDGHEPVMERQATKERISIEKRKKSRKPYIPNHLRREVQKVKLNGFHKRVKRVPKDMSFEVAYHKYGHGNLFLESRETYKYIELLFNKVSCKSKRALKNEQDPGKFLIPFNIMAIDTAKLLGLKIESSQDSFTFVDNSKANSTCMIKNVKVEIGECIITEDFHVVDNKSGKTSLLPFGRAFMATVGGGAGGGGGGGGGGAICDLKKNKMCLINVDEIVFYDPVEKKKSEEFISCIEMFEDIAPPANSNREPAKPASPSLSASVNTLQISKQTGTKKSKSGGRTKKMKKKKKWNEDSDFMSLVPSQCKEESLEYRVRCRGGPELFTKVRVLCYSKLRLNGQASARTFVSNINKMRKRYTQTCFGACSCTFWKTSVWMKTLKWCDCADILTQDRYVG >A06p052940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27957560:27958328:1 gene:A06p052940.1_BraROA transcript:A06p052940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRPLGLLTIHVKRGINLAIRDHRSSDPYVVITVADQTLKTRVVKKNCNPVWNEEMTVAIKDPNVPIRLTVFDWDKFTGDDKMGDANLDIQPYLESLKMGMELLRLPNGCAIKRVQPSRHNCLSDESSIVWNNGKITQDMILRLNNVECGEIEVMLEWHEGAGCRGITSKGVGGGSSST >A05p018470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8524512:8530871:1 gene:A05p018470.1_BraROA transcript:A05p018470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSSTSVPDDPISGQLKVSHTGWKLASIIITPSREEFASEINVTFRNKGAARAITFSPTEKDNLYNSPENGQVVGALQGMENGDSTTMVDQQNETMVKCDVLMDYLLGEELEAMEEDRRRLERSLILSLRRLCRLTLCDPGKVVDGSNGDVAVDHYHRYKEDIELIGALGFSAYRFSISWSRIFPDGLGTKVNEEGIAFYNNIINSLLEKGIQPFVTLYHWDLPSHLQESIGGWTNRKIVDYFGLYAEACFANFGDRVKHWITLNEPLQTSVNGHCIGIFAPGRNEKPLIEPYLVSHHQVLAHATAVSVYRSKYKESQGGQIGLSVDCEWAEANSEKMEDKVAAACWFLDPLFFGDYPASMRQKLGDNLPTFTPEEKEFMLQNSWDFLGINHYTSRIIAHVSNNEAESDFYKAQELERNVEWEDGEPIGDRAASDWLYIVPWGIRKTLNYVSEKYNHPSIFITENGMDDEDDGSASIHDMLDDKRRVAYFKSYLANVAEAIKDGVDIKGYFAWSLLDNFEWAQGFTKRFGLVYVDYKNGLTRHPKSSAYWFMKFLKGDEDNKGKKE >A04g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15118741:15119770:-1 gene:A04g506710.1_BraROA transcript:A04g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMATSLGYLDFGFNLWHRLGFFSGVDGCMGLMGDFRTIFFDSTPVWFNLGQNRVPRICYLTACRMEFVGKRREYGCELQSVLKNIGGWELQSVSRGVNKVAFMIARSVTMEQRMQSYVSQAEQVWLRNAVAVDRSLI >A07p030100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16816865:16824391:1 gene:A07p030100.1_BraROA transcript:A07p030100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGMAKSKGSFCITLSSEIYHTPPEREKQNHFSTLLLNPEEQAIINSFKFELRRFGVIRISNLVSSEILLLAPLIEGSYGMAENIMVDSHVWVEDPDVAWIDGVVVDIKADQATVKTNDDREVIANLSKLYIKDDEAPSEGVEDMTRLSYLHEPAVLENLATRYGLNEIYTYTGNILIAVNPFQGLPHLYDAEVMEKYKEASFKELSPHVFAIGGIAYREMINEGRNKCILVSGESGSGKTETTKVLMRYLAYFGGHTAGEGRTVENQVLESNPVLEAFGNAKTVKNNNSSCFELDGVNDAEEYLATRKAMDVVGISEQEQDAIFRVVAAILHLGNIEFCKGEDADSSSLKDEQSKFHLQMTSELLMCDSHSLEDALCKRIMVTPEEVIKRSLDPLGAAVSRDGLAKTIYSRLFDWLVNKINISIGQDSNSRRLIGVLDIYGFESFKANSFEQFCINYTNEKLQQHFNQHVFKMEQGEYEKEEIDWSYVEFVDNQEVVDLIEKKPGGIIALLDEACMLPKSTPETFSEKLYQTFRDHKRFIKPKLTRSDFTLVHYAGDVRYQSDQFLDKNKDYVVAEHQDMLNASKCSFVSGLFPPLPKESSKSKFSSIGARFKLQLQQLMETLNHTEPHYIRCVKPNNLLQPTVFDNANVLHQLRSGGVLEAIRVKCAGYPTNRTFIEFLNRFIILAPEILKGEYEADVACKWILEKKGLTGYQIGKSKVFLRAGQMAELDAHRTRVLGEAARMIQGQVRTRLTRERYVLMRRASVQIQANWRRNIAGNICKHMRREEAAIKIQKNLRRQVAKKEYGQTKSSAVTLQSGVRTMVARHEFRFKLKSKAATVIQAYWRGYSAISDYKKLKKASLVYQNRLRGRIARKQLGQSNQADKKKETEHEREVELSNQVEEAVDMSCVLHSEPSDDAETGDEHYPKIFIRADSGLDKSFVMPSEQSGDDEIEHERQTKHSILTEDGTEKSIVLESEKPYNNFSVVSHITNPIRDTEVESLTAEVEMLKALLVVEKQRADISERKCAEARELGERRRKRLEETERRVYQLQDSLNRLLYSMSDQFSQLKSILRSPSMSSPMATVPVVRDDLADSSENSEASSSDSDFTFPAPSTSSADNSNLQVIVQDLSTTEAKGTENDKERGFEDYF >A06g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20157424:20159134:-1 gene:A06g507260.1_BraROA transcript:A06g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKNPKPQASGRSPTGSANSSSSLRSSAKSSAPGSPESAAKQAHSTEAASPSGDSTTQVLQLDSKQQAPDFPRSELPFSCTGTEAVENQIKLPLLTEITEVEDQSKLQELSAATVETNNTATAQEHMLPLTQPVPDANPPQDAMKIQASFSCPRINTERTKEKRNNTEKTKEKRNRKALIQSQLPIISGTEIVPLTSGPGPKPDAARSRKPGPTPSKQKKVTTQWVRTENQASLPVAIHDTIGCSSSQTPSYVRPPATEHDKAMLCVDLRANLFDGVQKSRVQLSASSTSDSELERIATLQLSQTERISY >A09p053490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46409859:46416719:-1 gene:A09p053490.1_BraROA transcript:A09p053490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQRIVAAPSSSRSYQFHPARAAIVDLFNLYLGRGTRQNPDEPIRDPPNKSQKRVHAPVRDLPPRNDQFIADFEKLQTQFNDPDQFRAITESVVISMVLQCSNHAPRAEFLLFTLRTLCRIGYVNWDTFLPSLLSSVSAAEASLSQAATTAATSSQSLVPVGVSSGNEPTAFSKSLDNVQQIDMRNSNQRVRAAAVNSLRQLSCKIILIGVEFNLKPATHSEIFQCMMSWLVNWDKSDDSPGKTWRSEKSLAEWLRSCLEVIWLLVDVGKSKIPFYELLRSGMQFVENIPDDEALFALVMEIHRRRDAMAMHMLMLDQHLHCPTFGTHRISSQPTANVSAEAVAHLRYSPITYPSVLGEPLSGEDLAMSVPQGSLDWERAVRCIKHAIRTTPSPEWWKRVLVVTPCYRPSAQAGPIPGAVFTSEMICEAIIDRIVELLKLTNSDANCWQEWLVFSDIFFFLIKSGCTDFVDFIDKLVLRLNGDDNHILRTNHVTWLLAQIIRVELVMTALNSDPKKAETTRKILSFHREDRTDPNNPQSVLLDFVSSCQNLRIWSLSATTRAYLNNEQLLKGKQIDEWWRSQGERMMDYMNLDDRSIGMFWVVSYTMAQPACETVINWLSSAGVAELLPGVTPNERTMMMQEVSPLPMSLLSGFSMNLCLKLALQMEEALFVSQLVVPSIAMVETYTRLLLISPHSMFRSHFTQIAQRNASLLSKPSVSLLVLEILNYRLLPLYRYQGKSKSLLYDVTKILSALKAKRGDHRVFRLAENLCMNLILSLRDFFSVKRDQKGPTDFTETLSRITIMTLAITIKTRGIADADHMVYLQTMLEQVLATSQHTWSEKTLRHFPSLLRDALIGRVDKRGLSIQAWQQAETTVLNQCTQLLSPAAEPAYVTTYLSHSFPQHRQYLCAGACLLMQGNPDKINSANLARVLREVTPDEVTANIYTFVDVLLHNVHLDIQRGHGLEEILNKNDANLAFFFWTHEMLPLDIFLPALIDRDDDPHALIIAKRILERPELLQRINVFCVNRGNPEHWLCTQVFKRNELQKALGNHLAWKDNRHPTFFDDIAARLLPVIPLVVYRLIENNAMDAADKILTAYSNFLAYHPFRFTFVRDILAYFYGHLPGQLVVKILRVLGLSKIPFSESFPQYITHTGPATCPPLDYFANLLLNLVNNVIPPLSSSSNCSSRSGSMADILNTSSRPPHGKTPGASQPGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPVSPAQIVSSLVQIIVNIQSTLIQSGNGFHGAANGVGQGSSVLPTSPSGGSTDSMGASRSSCMNTASFVSRSGYTCQQLSCLLIQACGLLLAQLPPEFHTQLYVEASRVTRETWWLSDGKRSQGEVDSAVGYALMDPTWAAQDNTSTAIGNVVALLHAFFSNLPQEWLDGTHLIIKNIRPVTSVAMLRVAFRIMGPLLPRLANAHALFNKTLALLLSTMVDVFGKNSQTPVPVEASQIADLIDFLHHVVHYEGQGGAVQSSSKPRADTLALIGRAADSLRPDVQHLLSHLRTDPNSSIYAAAHQNTAKSNTS >A03p020860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8580036:8580563:-1 gene:A03p020860.1_BraROA transcript:A03p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMTLSLTTPIFCSSFSSTYRFGSCTPSHRAAELSPISAVKAPKKIKKIGSEISSLTLKESRILADYVQDKFGVSILFSATAAAAAVFSPPLEDGDDVTATVEEQTKFDMVINDVAIGHRIEVAKAMRALSVPLSQVTELTKDFPKIFMEGVTKDEAEEAKRQLEEAGATVSIV >A07p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19905713:19916999:-1 gene:A07p037210.1_BraROA transcript:A07p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.11 [Source:Projected from Arabidopsis thaliana (AT1G72130) UniProtKB/Swiss-Prot;Acc:Q8RX67] MAINDATVRFSSGGWKSARLIIFVEMAERFAFFGISSNLITYLTGPMGESTAVAAANVNAWIGTISFLPLLWAFVADAFLGRFRTIIISFSLYILGLGLLSFSAMVWSHSPNQLHATLFFVSLYLIAIGQGGYKPCIKVFGADQFDENDVNESKAKSSYFNWLMFGNCVSISTTLFISTYIQEKVSWSLGFGIQCVSMLLALFLFLLGTKTYRFSTTRGGKNNPFARVGRVFMEAVKNRRQDHLSLGNASESLPLLPHQSSEQFRFLNRAAISCDLAEIEETKAVLRLVPIWMSCLVYAIVNAQASTFFIKQGATMDRSISPGFLVPSATFQSFINISIVIFIPIYDRVLVPAARSFTQIPSGITMLQRIGTGIFLSIIAMVVAALVETKRLQTAKDDLTIPMSVWWLVPQYVIYGVADVFTMAGLQEFFYDQVPSELRSVGMALNLSIFGAGNFLSSFMISVIDRVTSQSGQTSWFDNNLNKGHLDYFYWLLASLSLIVVEMAERFAYFGVSSNLITYLTGPLGQPTAAAAANVNVWIGTMAFLPLLWAFVADGCLGRFRTIIISSSLYILGLGSLSFSAMIPSHSEDSDQLKVTLFFCSLFLIAIGQSGYKPCAKVFGADQFDSNDLKERKAKSSYFNWLMFGSCISITTTRLVSTYIQENVSWSLGFGIQCVSMLLALLLFLLGTTSYRFTVEREGKKNPFARIGRVFMEAIKNRRQHNSDIANSNETLLLLAKQSSEKFRFLDRAAISCELAEIEEAKSVLRLVPIWITCLVYAIVYAQSPTFFTKQGAKMDRSISPGLLVPAAALQCFINISVIVFIPVYDCLFVPITRSFTHIPSGITMLQRIGTGIFLSVIAMVVAALVETKRLQTARDDLTIPMSVWWLVPQYVLYGVADGFTMAGLQEFFYDQVPSELRSVGMAMNLSIYGAGNFLSSFMISVIDRATSQSGQTSWFDNDLNKAHLDYFYWLLASLSFIGVEMAERFAYYGISTNLITYLTGPLGESTASAAANVNAWSGTVSFLPLIWAFIADAFLGRFRTIIISSSLYVMGLGLLTFSTMIHSQELQVTLFFCSLYLVAIGQGGYKPCIKVFGADQFDGNDIKEAKAKSSFFDWLMFGNCITILTSQLVSSYIQENLSWSLGFGIPSVSMLLALFLFLLGTTSYRVITERGGKKNPFARISRVFMEALKNRKQTDVDNLKENLLLLPHQDSKEYRFLDRAAVSCDLDEIEEAKAMLRLVPIWMTCLVFAIVYAQSYTFFTKQGATMNRSISPELLVPAASLHGVTSLTIIVFIPLYDHLRVPMGKLFTHNSSGITMLQRIGTGIFLSILAMVVAALVEIKRLQAAKDDVTVPISVWWLIPQYIFIGLSDVFTRIGLQELFYDQVPCELRSLGMALNLSIYGVGNFLSSFMISVIDKVTSHSGQTSWFDNDLNEGHLDYFYWLLACLSSIALAFYLWFAKSTKL >A01p019120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9267401:9269252:-1 gene:A01p019120.1_BraROA transcript:A01p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSNTFAVMGECVDCSTNHKKRSKAELDRETFLEEDVENKDFLQTNFQERSSYSRGGLSERVAARAGFKVPSINTESNLWSLNISSPGVSPATLLESPVFLSNALLSPTTGKLSSLPSDHKAKDEFFDPTLIGLEHDDSQPYERTHDGGSRDSMAPADDGYNWRKYGQKLVKGSEYPRSYYKCTQPNCEVKKKVERSREGHITEIIYAKTHNHPKPPLNRRSGIGSSGTCNDMQIDGTEQQGLAGTNENIEWTSPVRNYGSMQVQNRTQFGYGDAAFFKDEDEEDRTSHMSDSMGYYGEADESEPKRRLEIKRTIQGGLPTQTHNNHVHYPVPHSISEGLVTASSSLFDFQTPMRPPWAGFSVYGGETELLGLSISGLAIGQERFSMPATGDPAGLMLQLPAEPKLEQVSQQELGFSRSSLIYRDTSSLPQI >A10p035120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20251048:20252609:-1 gene:A10p035120.1_BraROA transcript:A10p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase/flavanone 3-hydroxylase [Source:Projected from Arabidopsis thaliana (AT5G08640) UniProtKB/Swiss-Prot;Acc:Q96330] MEIERVQDISSSSLHTEAIPLEFIRSEKEQPAITTFRGPVPAIPVVDLSDPDEESVARAVVKASEEWGIFQVVNHGIPTELIKRLQEVGRTFFELPSAEKESVAKPVDAKDIEGYGTKLQKEVEGKKAWVDHLFHRIWPPSCVNYSFWPKNPPEYREVNEEYALHVKKLSETLLGILSEGLGLRREALREGLGGDLAEYMMKINYYPPCPRPDLALGVPAHTDLSGITLLVPNEVPGLQVFKDDHWFDAEYIPSAVIVHIGDQILRLSNGRYKNVLHRTTVDKDRTRMSWPVFLEPHREMIVGPLPELISDDNPPKYKPFAFKDYSYRKLNKLPLD >A10p017800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2285640:2286762:1 gene:A10p017800.1_BraROA transcript:A10p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNKAFMTSKHETATFSRDQTPEESSWTMYFEDFFESSSSIVDVGEFSSSSVSDAMSFVPTKKTLHVSKQEASNSSNKFKTKRTRNREIPFGRHHDLEDTASSPSRSPNVYSMMTSLDDNARHGGGVVGENTNNVVKGESAVHNQVGLSVDLKQKGLCLVPMSMVTKFLG >A10p032900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:19335848:19336588:-1 gene:A10p032900.1_BraROA transcript:A10p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKPQVYIPANRPVYRPQPYSRHHHHQSRPSCRRVCCCCCFWSILIFLLLALMAAIAATAVYVIYHPRPPSFSVPSLRISRLNLTTASDTSVSHLSSFFNFTLLSENPNQHLTFSYNPFAVTVKSVKSGETLANGTVPAFFSDNKNKTTFRGVIATSTSARELDPEEARRLKSDLTRARVGLEIEMRTKVKMQMGKVKSEGVEIKVTCRGFEGTVPKGKTPTVATSKQSKCKSDLSVKVWKWSF >A09p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6463346:6464554:1 gene:A09p012660.1_BraROA transcript:A09p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDTSTGPVPAPVLIFGGFVTISSGSIPAPSLISGVLLRSTLFTTRRVLDETGNEEVVVSCTKSECADVTFNYLFITLPLSRNAVKPNSSDTATQLLVLISVSM >A07p016000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9878944:9880311:-1 gene:A07p016000.1_BraROA transcript:A07p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLMKLGTLLVKTVSKPLASQLKHQAKVHPQFRQFIINFAQRNHRITTQMQRRIYGHATDVEIRPLDEEKAVQAAVDLIGELFIFAFAFHGLLMVHLCEDNYQGGGSLPKKIWIFFPSQDVGGGVVVFEVQRSSRSETRKEEARKQELEELRIKDEEMEKKMADLQSRLAEVEQLAKARGLTGMFKLRQQPNTTGSENPADTSGQSSSSLS >A07p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15814521:15816683:-1 gene:A07p027810.1_BraROA transcript:A07p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STAEHGDWVVSEGRVGPRPAVQGPRPTQIFIQRCRSIPSPLKKKPKKKYIQNLLRVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIGKDIKQRLADTDARAEPHTITINQSDQGAAGTSQATQKSACCG >A08p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7331441:7334303:1 gene:A08p009260.1_BraROA transcript:A08p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVKEEEKNEKIIRSLLKLAHNKRCINCNSLGPQYVCTSFWTFVCTNCSGIHREFTHRVKSISMAKFTSQEVSALKEGGNQHAKDIYFKGLDQHMLSASDGSNVERLRDFIRQVYVNKRYTNEKNDDKPPRGPMGDSETRSPPYDDVYDRRYSGRSSPGGKSPGFDQANRKSPCRPEIINDWRREDRFGGKRKTEEESPHSPEQASPPVSRPVREILGDSFIPLRVIEPPKPQVNQNSDTSPIAKPAASSSSLSSTNESPPEVKLETAISLIDFDADPEPPAPSVAIQAPISATTQPASANNGNWASFGAAPSAMSSNASQSPPSGGNTVDSLLSQLTAASAVPVQMSSGPVHLGHSTSQIFAQPLNGHSREQVVSAPSFQPLQGVPPGGLQSSEVKPSGRTELPADLFTVTYPSYHAAAPGWHAGPPHGMHYGMQQYNNTVQPFQNVPQQGKSVNPFDFSSEPPTQTQPETMLPSMASLQSALPPSAMMPSQGAHSHFSIHSQVSGHPSAMPPSFISPHTPGNMPPRHLSPIGNMGAPYETQQTYQNFGSPFASAVSSNSPPSFSSGGNPFG >A03g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:316725:317596:1 gene:A03g500110.1_BraROA transcript:A03g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNFIGRVISYVANELIVNGLSNSHAFQRFAVRTSKRIENISKMAAESKEKVAQHMEELSKNSDTFKKP >A10p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18613562:18614001:-1 gene:A10p030910.1_BraROA transcript:A10p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVQLSYPFIAHLSGVSRSILLSFAYILVNVFSVSAITGMVILQNRAVRGYCSAVFNALFGMSTNIWMAIATRFMLGSFNCLLGTVKVDTINS >A03p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16220666:16224268:1 gene:A03p038950.1_BraROA transcript:A03p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTFTRKRSSTSMATSSPMNPHFFKPLLLGFHSHLNIPVAFFSKHFEQTQNHGNAVVRLRSDASDVTWEVKMDGRRLTQGWQKFAASHDLRVGDIVIFRHDGDFLLHVSFFGPSCCEIRYNHDDDDDDDDDDSSFVACVTASNLSTDMVHLPMDFSRYNGLTNRNCEIILLNEESKQWRLLMRHHKASGHVCIRSGWKRFCYENRRRANDFLTFKLVRNGATPVLQLCSSSSSSTTRPCRFVILTLTPYSLKSSTLRLPMKFVKANGIENARKITLVDRHGNKKTTSLKQFDKYGRLSLGKEWKEFCEVNKVKTGESFKLELIKEEDTGTHLLKFCSKNIPIAFYSKHIKGTTNEGYVNEVVKLRSDASDLTWEVKMDGRRLTHGWEKFATDHDLKVGDIVIFRHDGDLLFHVTPFGPSCCEIQYNDDDDDGDDDDDDEKYHQQHTKEAGSSSDNSCFVARVTESNLKKDALFLPQHFSRANGFVNRECEIILMNEDREPWTLLMKYYKTNGYVYIRHGWRSFCQANRKRANDVLTFKLVQTGTKPVLQLRASVYNRGSSSSSSTSQDRFVTLTLKQYQLKSCKLCLPVPFVMANGIKNVREIILVDRHGVRRTTSLKPDDKYGRMRLGEGCIKFCIASGVKTGESFRLELIKEKEEDTVNHLLKFSSKI >A01p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5381129:5385615:1 gene:A01p011060.1_BraROA transcript:A01p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWKPGTEKPSFVEDEEGGIVLTSNNHSSSSSSSFGYANIEKQRQRLPVYKYRTEILYLVENHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRVIACTQPRRLAVQSVSARVAEEMGVNLGDEVGYTIRFEDHTTSGVTSVKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEAKAMFNFFNTSKKRQAPEGSTQGPKLEPAILSVEGRGFSVKIHYVEEPVSDYIRSVVSTILLINEREPPGDVLVFLTGQDDIETAIKLLAEEAHSNQKNSSGLLTLPLYSGLSRAEQELIFTPTPRGKRKVILSTNIAETSLTLEARLLGVVYVIDSGFSKQKFYNPISDIESLVVAPISKASARQRSGRAGRVRPGKCYRLYTEDYFLKEMPGEGIPEMQRSNLVSTVIQLKALGIDNILGFDWPSPPSPQAMIRALEVLYSLQILDDDAKLTSPTGFQVAELPLDPMISKMILASNELGCSDEIITIAAVLSIQSVWVIARGVQKEQDEAKLRFAAAEGDHITFLNVYKGFLESKKSSQWCYKNFLNYQSMKKVVEIRDQLKRIARRLGITLKSCDGDIDAVRKAVTAGFFANACRLEPHSNGVFKTIRGSEEVYIHPSSVLFRVNPKWVVYQSIVSTERQYMRNVVTINPSWLTEAAPHFYQNRQNNM >A09p065770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52463972:52464969:-1 gene:A09p065770.1_BraROA transcript:A09p065770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRDNGWHKASHGKWMMQQHQPQQHQPSMKQVMSIIAERDAAIQERNLAISEKKAAVAERDMAFLQRDTAIAERNNAIMERDSALAALQYRDSSMSTSRQHQPHIHHMLQVTENAYETRETETSPPPPTKPKRGRKAKEPKAAAASKRGPKTQRKVKKENEDDLTKLMFDEEATGSKSDWRGQETVGLNRVVYDETTMPPPVCSCTGVLRQCYKWGNGGWQSSCCTTTLSMHPLPALPNKKHARVGGRKMSGSAFSKLLSRLAAEGHHDLSNPVDLKDHWAKHGTNRYITIK >A06p014040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6337702:6339226:-1 gene:A06p014040.1_BraROA transcript:A06p014040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGHSHGAVSPCAACKLLRRKCVEDCVFAPYFPAKEPYKFAIVHKIFGASNVNKMLQVLSENHRSDAVNSIVYEANARVQDPVYGCVGIISSLQRQLETLQTQLAFAQAELVHMKTLHRIDTKPPPYMASGISFPANKDLSNDVDMAFAYENGAGESLWSC >A02p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8819678:8820599:1 gene:A02p019030.1_BraROA transcript:A02p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSGLPHFTADDVFRSFGSPEEPPQVSSSMCKAVLPTGITVTVRKIELQGKKRGVVLKVLTQMGNARHVNLLRLLGFCYNNHLVYVLYDNNNLHTGTLAEKMSGVAKGLCFLNHECYPAIPHGDVKSSNVLFNDDNNTEPCLGEFGFKYMLHLNKGLVSSDQMNDVIRAEQQKDVYSFGELVLEILSNGKLRDAGRLVHNKPKDVLLREVYAENENGFEQQVKRVVEVALLCISSNQCDRPCMEDALRFLSEPHSSSRLQTVLNYT >A03p050270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:21612673:21613647:-1 gene:A03p050270.1_BraROA transcript:A03p050270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMEEPSKIMRRSIHTFLKHYDRATTAAALVLPFSAALLLSQPFFSSSSSSLHAKLNMLFRGAGFSSSLDFFNILSLKLSQTLSSSLFTLPFSITFLLFSKAYIIKLLSNSHGDSSLYYFRLLRTYICNSFFLLSANASAFALFFVAFNLIESFGLSSRNFYTLFSLSSAIIYSIILANAFVISNLALVSSTSSSSGGYTTILKACLLIQGRTSTALALSLPTNLCLAGVEALFQYRVVSSYYKGDRGITSIALEGAFIAYLYALFLVLDTIVNYLFYQSCVKNDEDQRISREDEYSIKIQISETENTKICIKGPKSFQEIL >A09g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3733416:3734223:-1 gene:A09g501100.1_BraROA transcript:A09g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTIRTGKTPCRAEFFIETRTKPDGSFVCEEAKTRAEALTTLLNQNSHGTSNVAATLDDEFSQVFGPEHPGRVRCVGRGPTPSKLVRCCTATRQEVENSEMVVGLQTQVKELSNQVKGMSTFIQQIIGTSTGEQARAWAASFAVAFANIPNPPFTNIPTPSNPNQVNSMF >A09p035260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21188400:21189238:-1 gene:A09p035260.1_BraROA transcript:A09p035260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEVSTPNSQSLDSLLYSRAYWVTEGVNALLKKGKPQGHEVTVLSAFLVSSPSQGLLQSLFELEVMSRCSQPIRCSSFNHDGSIYAYASCYDWNKGAENHNPATAKSSIFLHMPQESEVKAKLRVASGRK >A07p047340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25439735:25441747:1 gene:A07p047340.1_BraROA transcript:A07p047340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFALKSFTGLRQSPTDQITFVSNVPSSLSRSQRRTSLKVTASRASPKLANRSLRVAVIGGGPAGGAAAETLAQGGIETILIERKMDNCKPCGGAIPLCMVGEFNLPLDIIDRRVTKMKMISPSNIAVDIGRTLKEHEYIGMVRREVLDQYLRERAEKSGATVINGLFLKMDLPENWDSPYVLHYTEYDGKTGATGQKKTMEVDAVIGADGANSRVAKSIGAGDYDYAIAFQERIRIPDDKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSKRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMIDESDLRKYLEKWDKTYLPTYRVLDVLQKVFYRSNPAREAFVEMCGDEYVQKMTFDSYLYKRVAPGSPLEDLKLAVNTIGSVFRANALRREIEKLNV >A05g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24408428:24409928:1 gene:A05g508380.1_BraROA transcript:A05g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTNVCVSLFDSLALAFHSKLDGNGRDPRFVLATGINPKTVAVNHQCKFLTSHCELSKLRCVGIVLEPPCPRRVDGINIDPEPNWNFDSLLSEIESAEKKLNVFSKFPQPFTQTTLRMGRRGGGFVMHVSEDEIESDVDEESDEEEEEKDHSQICTKGKHFACDELYLSKMGLAESAPYEVINDDRTEVKEDIKSQVSVAETEMLQEIETFRSAIARTEKYKETRKEVEHKLDFQYQRKV >A02p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10697329:10697918:1 gene:A02p022470.1_BraROA transcript:A02p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT1G68760) UniProtKB/Swiss-Prot;Acc:Q9CA40] MFSLNKIKTPLLKRKSRQGAFPLRPKKMETVGEATPRVAVVVFVVKGNSVLLGKRRSSIGNSTFALPGGHLEFGESFEGCAAREVMEETGLEIEKMKLLTVTNNVFKEAPKPSHYVTVFMRATLVDPNQDPENMEPEKCEGWDWYDWFNLPTPLFWPLEKMVNSGFNPFSD >A03g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26661407:26663585:1 gene:A03g507530.1_BraROA transcript:A03g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSGLTFKLHPLVMLNISDHFTRVKTQLNPPSSSCAAGNTPAAPAATFPQNFRVYGCVIGAQRGRTVEIFNSFELVFDPTTDSLDRAFLEKKQELCKFLKLAILFIDWVADCSCGCLDQKVFPGFYILGWYSTGSEANESDMHIHKALMDINESPVYVLLNPAINHAQKDLPVTIYESEFHVIDGIPQSIFVDASYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLFQYLVAMQKGDIPCDNSLLRQAAGLLRSLPAAHSEKFNDNVLMEYNDKLLMSYLAMITNCTSNMNEVVDKVKTAYVKKSRTGGSRIPFM >A03p033560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14212671:14214864:1 gene:A03p033560.1_BraROA transcript:A03p033560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKSTESAAVSTIVNLAEEAKLAREGVKAPSHAVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHNIKYSGTVQGLKYIWRTEGVRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYMYRQQTGNENAQLTPVLRLGAGATAGIIAMSATYPMDMVRGRLTVQTANSPYQYRGIAHALSTVLREEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLVKDNPFGLVENNELTIITRLSCGAIAGTVGQTIAYPLDVIRRRMQMVGWKDASSVVTGEGRSKLEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEFRISD >A07p031950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17604700:17607662:-1 gene:A07p031950.1_BraROA transcript:A07p031950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin-1-like protein [Source:Projected from Arabidopsis thaliana (AT3G61710) UniProtKB/Swiss-Prot;Acc:Q9M367] MRKEENNNHQTDNKSRDLPKWVCQKCQHSLTIVGVDSYAGKFCNDSPPSAAMQGSSIHGANSVLGSTRMDNSFVVLPRHKPQAQGIPPRPRGASSPQPHDATHSGKAMEESFVVVYKSESASDSGAASQNTLSSVEVGQNGPLHSNTSGFNSSINVLNRAFDIARTQTQVEQPLCLECMRVLSDKLEKEVEDVTRDVEAYEACVQRLEGETQDVLSEADFLREKRQIEEEERKLVAAIEESERQNAEVNRQLKELESKGNRFNELEDRYWQEFNNFQCQLIAHQEERDAILAKIEVSQAHLELLNKTNVLIDAFPIRYDGDFGTINNFRLGRLPKAPVEWDEINAAWGQACLLLHTMCNYFRPKFQCRVKIQPMGSYPRIVDSTNSTYELFGPVNLFWSTRYDKAMTLYLICLKDFADFANAKDQENNIPPEKCLKLPFKIENDKVESYSITQSFNKQENWTKALKYTLCNLKWALYWFVGNTNFQPLSATVSLPSDVSAAGSLYAKRGPGSNNPSGKNSRN >A03p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5270924:5273526:1 gene:A03p013400.1_BraROA transcript:A03p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGSLSKIWRFDSNVGPEEDMDPSSFQGVVCTEFPKKKGLSVSERRELIHALSKQPEEASELLNSWSRDEIMKIICAEMGKERKYTGLNKPKLIENLLNLVSRPLGETSCLDRKSSRRKRQKTTTSYIICCENLACRAALGSEDTFCRRCSCCVCQNFDDNKDPSLWIACEGCGLSCHLECAFKEDGYGIGCNDDVRGLDGRFHCVFCGKDNDLLGCWRKQVKVAKETRRVDVLCYRVSLGQKMLRGTRRYQNLLELMDEAVKKLEGDVGPLVSWEMKMARGIVNRFDSGSQVQKLCSLAMEALDKMVSPPSESVSGQGDKMSVRVEEIQPRSVNVRLDSEEPSSSSQNRITGVKDDGEEAGNMQRHLTNSSSGLCSSNPSLAEDESNHVSKSCCKENSDNNNAEHCSAGEVESEIEEERVLKRKVNEIEGRDLFVITPCKRDTFKGKQGGNKRSKSRTSTKKPETNVAENGVGGDKDLGHVVKTIRCLEQEGHIDKSFRERFLTWYSLRATHREVKAVKVFVETFKDDLSSLGQQLVDTFSECIQSKRSSTTGVVPAGICLKFWH >A02g511500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30642188:30643981:1 gene:A02g511500.1_BraROA transcript:A02g511500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIARKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASIEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDQNPVEAPTAVPESSSCKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPILDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGLGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVEYGNLKNDFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSECAHAEALIPPINKKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A05g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29230415:29235348:1 gene:A05g509660.1_BraROA transcript:A05g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGDLNSVPAIGIRPIPFLFIFKDLTHVFSMDPIGREILSMAFPAALALASDPVASLIDTAFIGRLGAVELAAVGVSIAIFQQASRITIFPIVSVTTSFVAEEDTLEKIKEEEEEANKAKNVHANTLAIQDSLEKGVTSTTSNNKNQPQQTPVDVKLTSDTKSNNGNKANKKGKKTIKSASTAMIIGLTLGLVQAIVLIFSSKVLIGIMGVKPNSAVLSPAQKYLTIRALGAPALLLSLSMQGIFRGFKDTKTPLYATVASDGLNIILDPIFIFVLRLGVSGAAIAHVISQYFMLLILLIRLAKEVNLMPPKFGDLQFGKFIRNGGLMLARTIAVTFCQTLAASMAARLGTTPMAAFQICLQVWLTSSMLADGLAVAGQAILACNFAEKDYNKVTAAASRVIQTLPVPVIEAPFARPLSDAGGVMARRRRPSKTLCLSLLLSSIRSSSLVSSVSLSWSENIVTVSFSGGSRSMFSVSSSAHRLTLTVMCLVGLRSVRISSRFIVIAPVRALIVSHAVDGSPLRPSLKVSRDDVVKPFGSLSTGVVIFWGVPHFSSVTVSHNHRDSPMLCNCNSGLTAEKALTFEVVTSQNPRFMKHRSDLPPSPLVMMYAFLLSHRGGDLLRRASPRRLRFISEDRRSAPTLTPSSVPLNRRCSLSGDPSSCQRQRNSIAQASVRSGPEDAADFVSTIFRGADWISTSLFNVTKFQPSGTAVNLTHSSFAMNSLSLYLKGFSKSIVCVMSLVLGLGLSVFVGLGLYFGSGVFSKDPAVIHLITIGIPFVAATQPINSLTFVLDGVNFGASDFAYTAYSMVGVAAVSIAAIIYMAKVNGFVGIWIALTIYMGLRAITGIGRMATGTGPWKFLRGRSPSSS >A09p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2484393:2488877:1 gene:A09p004320.1_BraROA transcript:A09p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDGKLWKAVIMMSIINIALSGVNVMFKKMLNQGVNRMVAATYRLAAGTLFLTPLAIFLERHNRPKLTGSILCSLFLSALLGTSLVQYFFLIGLQYTSSTFALAFSNMVPSITFAMALVFRQETLNIKNNIGRAKVLGTMICICGALVLTLYKGGSLTPQNAQAETQTSNSPTTAVTQKWAMGSVMLIISILIWSSWFIVQAKICRKYPYQYTSTAILSFFGVIQSALLSLISERSISMWVVKEKFQVLALLYSGIVGSGLCYVGMSWCLQQRGPVFTSSFIPLIQVFAAFFSFSFLHEQIYCGSLELQTKEINSNSAASAKISNSAASATALLIQRFNSLPAIFALEIFKLESSIFVSLACSFSVIANKVLIFLAELRLLSLLGAAMSVSSHDSCYGV >A06p023460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11715451:11716826:1 gene:A06p023460.1_BraROA transcript:A06p023460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLDPVAELETLKTQVDHSGTGEAGSPRKENEHHGEHSHHKKSLFSKMKDKAKKLQHSLSGKRRHDEEGGDATMSPPFGKLEDHQVREAGGYATLSPRDKSKDHKEREVEEEEDPEYLGAPMYESKKAPEELKETARQHPRETPVITETNVLSVLPSKHNAEQYTNQEAISPSKTVTEAVTETLAPAYAKVSEATHAITKKIQDMAFPESTEAEPKTNDVSEINTAGTNQPAGFNTKVWDKGVSVKEYISEKFEPGEDDKALSRVITKAISPRRASSEAAAFGGATNMVAASNSADNKAPLLTNTNEIVEEENHGKMLQPN >A05p008860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3658492:3661405:-1 gene:A05p008860.1_BraROA transcript:A05p008860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEKEKIVGTCLLGGAAFAVGASFLHLFLNGDLPLGLGLGTCVLSPFRKRKPVRVYMDGCFDMMHYGHCNALRQARVLGDQLVVGVVSDEEIIANKGPPVTPLHERMIMVKAVKWVDEVISDAPYAITEEFMKKLFDEYQIDYIIHGDDPCVLPDGTDAYAHAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSVSDSHNRSSLQRQFSHGHDSPRFKDGVSSAGTRVSHFLPTSRRIVQFSNGKGPGPDARIVYIDGAFDLFHAGHVEILRRARELGDFLLVGIHNDQTVSAKRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDTITTFDISLVVHGTVAESDDFQREEENPYAVPISMGIFQVLESPLDITTSTIIRRIVANHEAYQASCYSCSFILKRNLKKEASEKKYYEQKAFVSGD >A01p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21719546:21722537:-1 gene:A01p040660.1_BraROA transcript:A01p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFVCLLLLVMLLSFTYAAITPTSPLSIGQTLSSSDGVYELGFFSPNNTQNQYVGIWFKGIVPRVVVWVANREKPVTDSTANLAINSKGSLLLFSGKDGIVWSSGETFASNGSHAELSDSGNLIVVGKVSGRTIWQSFDHLGDTLLHSSYLAYNLVTGEKRVLTSWKSYTDPSPGDFVGEITPQVPSQGFIMRGLRPYWRSGPWAKTRFTGVPLMDESYTSTFSLHQDVNGSGYLSFFQRNYKISRIILTPEGSMKIFRYNGTNWELYYEAPLANSCYIYGVCGPFGLCVSSVPPKCKCFKGFVPKSIEEWKRGNWTSGCVRRTELLCQGNSTGEDVNVFHPVANIKPPDSYEFANSLNAEECYQSCFHNCSCLAFSYISGIGCLVWNHDLMDAVQFSAGGELLSIRLASSELDGNKRKKTIVASTVSLTLFVILGLASFGFWRCRLEDNAHILKDAWRNELKPQDVPGLDFFEMNTIQTATNNFSLSNKLGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHRNLVRILGCCIEGEERLLIYEFMLNKSLDTFIFGRFETKQQAWETWGENGGTDLLDQGVAYSCRPLEVERCVHIGLLCVQHQPADRPNTLELLSMLTTTSDLPSPKQPTFVAQTRNEEFMAMGLTTVSKMTDSVILGR >A01p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4525171:4527422:1 gene:A01p009350.1_BraROA transcript:A01p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKACPPYSSSAQHLGIFNSTNNGDPSNHIVAVEFDTFQNQEFGDIDSNHVGIDINSLQSEIASSAGYYKEDGTFKNMSLAKRKPIQAWVEYDSSRKQLNVTLHPPHLPKPKIPLLSLTKDLSPYLLESICWTFKLNGEASNLDISRLPKLPDDDNQENGLKRILAISLSLTGFTVLVFLAISLTLFLKRKKLMEVLEDWEVQFGPHRFAYKDLYVATKGFKNSELLGKGGFGKVYKGTLLTSKIDIAVKKVSHDSRQGMREFVAEIATIGRLRHANLVRLLGYCRRKGELYLVYDCMPKGSLDKFLYNKQEQSLAWSRRFKVIKDVAPGLCYLHQQWVQVIIHRDIKPANILLDESMNAKLGDFGLAKLCDHGIDPQTSNVAGTFGYISPELSRTGKASTSSDVFAFGVLMLEIACGRRPVLPRASSPSQMVLTDWVLDCWEDELLQVVDERLKHDDNTYLEEQITMILKLGLLCSHPVAAIRPSMSSVVQFLDGGVAQLPPNLFDIVKAREIVGGTEAFGEAAKSLRSPVRSPW >A09p060450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50140884:50143140:1 gene:A09p060450.1_BraROA transcript:A09p060450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVVGEKGSFSGKDYQDPPPEPLFDATELGKWSFYRALIAEFIATLLFLYVTVMTVIGYKSQTDPALNPDQCAGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVTLLRAVMYMVAQCLGAICGVALVKSFQSSYYTRYGGGANGLSNGYSVGTGVAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAIIYNKDQAWDDHWIFWAGPFAGAAIAAIYHQFVLRAGAVKALGSFRSQSRV >A01g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15188413:15190094:1 gene:A01g505150.1_BraROA transcript:A01g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLCGRARRFVSATSVYSNGCLQRLQQTGSNRFQLGEAKVIRLLPRRTNMVQELGSREDFLQENRDTETSYDFLGEMRQRFLRFKRQKYLPQIEKFQALAVAQSPKVMVIGCADSRVCPSYVLGFQPGEAFTIRNVANLITPIQNGPTETNSALEFAVTTLQVENIIVMGHSNCGGIAALMNHQNHLEQPSSLVERWVMNGKAAKLRTQEASSHLSFDEQCRNCEKESIKDSVMNLITYPWIRDRVKSGEVKIHGCYYNLSDCSLEKWRLSSDKNSNEFYVSDKEIWN >A05p021530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10295792:10297595:1 gene:A05p021530.1_BraROA transcript:A05p021530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVVEIAPPLFPSGSRTRKPRKAGIPEVIDVEHYEFRNGGLVSNNNNANLVDKKNKGKSIQDGSLYDHLADEEVVMSTTQPWGFPSSSSKRSRRSSSASSSRPRAAVEVAVSSAQANFLSDFKRFDTVDNFSKHHYASQGNASKQHSRTWVKKIQADWKILENDLPETISVRACESRMDLMRAVIVGAEGTPYHEGLFFFDIHFPDTYPSVPPMVHYHSGGLRINPNLYNCGKVCLSLLGTWNGNAREKWLPQESTMLQLLVSIQALILNQKPYFNEPAYGRTKGTPSGEAHSKFYSENVYVLSLRTMVYSMRKPPKHFEEFVRSHYFERAHDIVRASNAYIDGAPVGSIVKGGVQDIEESSESGSMKFRTEVATFMKTVVEELVKLGVKELEDKLKPPPNAEGSNKSNCKRSLSSR >A02g511340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30136379:30137168:1 gene:A02g511340.1_BraROA transcript:A02g511340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQKSSFLSKIVLVHNSKVITYTVIDPLLRLNMHIHQNRFMGSAQKKTSKDHVQSANLEERIRFKMCKEI >A10p017990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12635244:12638206:-1 gene:A10p017990.1_BraROA transcript:A10p017990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 32 [Source:Projected from Arabidopsis thaliana (AT5G54910) UniProtKB/Swiss-Prot;Acc:Q9FFT9] MGRPKKTRGMKKQMRLDEAEEVIFLEQWIESQKPDSGSNPLALGPLPKDAKIGKLEDGENGAVFSRYAGVRRFDQLPISDKTKRGLKEAKFVEMVDIQRAALPHALCGRDILGAARTGSGKTLAFVIPVLEKLHKERWGPEDGVGCIIISPTRELAAQTFSVLNKVGKFHKFSAGLLIGGREGVDVEKERVNLMNVLVCAPGRLLQHMDETPNFECSNLKILILDEADRVLDSAFKGQLDPIISQLPKRRQTLLFSATQTKKVKDLARLSLRDPEYISVHAESVTATPTTLTQTVIIVPVEKKLDMLWSFIKSHLNYRILVFLSTKKQVKFVHEAFNKLRPGIPLKSLHGKMSQEKRMGVYSQFIERQSVLFCTDVLARGLDFDKLVDWVVQVDCPEDVASYIHRVGRTARFNASGKSLLFLTPSEEKMVERLQEARIPVKVTKANSDKLQEVSRLLASLLVNFPDLQTVAQRAFITYLRSIHKRRDKEIFDVTKLSIEDFSASLGLPFTPRIRFANLKTKKKGVFESSIALEPENDDEDNVVAPTRVVKKDLLGEDLEEEDFPLRPNEEGKGVEKSNKDEGVPMPGTRVSKNKKLKISQHRPSGSRVKFDEEGNPVAPLAIVAATTETEVALDEEARKDYYKKLGEQLRKVDHEDKKVEREKRREKRMKEKIKRKQGEMEEEEEEEGHEGSASSEEETGRKRKRAKKMYFDDNGDGDEEKEGGKINTDAISIAELEEMALKLITQS >A09p075430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56818045:56819786:1 gene:A09p075430.1_BraROA transcript:A09p075430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTVEEKTAGYEAIALPEPAPRQLPKNEDPSLVKRNRRMLGNLLGTLERFRKEDKQLSGTDAYARRSAVLQKAEQKAREESERLRMQERENMTEKRRRDLTLRARVAAKAEQKELELLFLRWCEEKKKRSNFIRATDADQRKEQTFLEWKAAKRQEVSEYQKEVEERYLSNVEKELERWQNARKARKANNEAMNLQETMDKELETHRMEHGLKKRKIPGAGGEDEEDEVEDISGGEDDITIDDLLQEGGDGNVKEVATDTAEAVEGDITL >A01p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16873502:16879686:-1 gene:A01p035750.1_BraROA transcript:A01p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTSRMGRDGSSSSAREGPKALGTKLAVYAKSDRIQISVNNDKPLQFERTVGFPNGDTGRVNFLYVGLHRYCFTCKMISHDENSCPELTEEQREHKRLQRLTANNHGSQRQLLPPGADYDRRSNGKRPRSPTLELSRSHLPGYEVDQSRKRGVEHRKYGSPQRSGDNATGRNKPRSPRDSPLRQAVWNRLERQAPLHRTRGNVSNSDYLYPGRKYGVKDQYHENAEGGRSSKRTRSFKDSRMQEWRPRESQTPNINRSQNTRDDRAYLPRATSYENQTPRRVEQDREDSQRTISEQPGAMVSRENPRSGHLVLHKNETEEEKRRRLKGKGIMNAADRTPLSKDKAAASSAILIGRNTIIIREPTDGISSQQNPQGSYQRRDSRVIRRLEEGEIQNVEFLPSLSILPSHGNNLTAHVTTDVGENGMLTEEQANLFPMTEEEEAEVDKMVSESDGVMMDENMMENDDLLVDEPGFNAEKIDAISQLSPMNIQEDFTEERGVKNHEQTDVEMVHVPNKTTENRGGHYQNRLELSSEGLEPTRGPLKKKLATNSEEGDEWLVAQEVTKVAKERERPQSMEQEEINRLHKPRCQVDASWAINQSTFGGGLILEMEDGSTFTGSLGSRQVPSPLHAEFRTLLWAMSYTLRMSYNKMHFESDCLQM >A01g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26201107:26206571:1 gene:A01g509620.1_BraROA transcript:A01g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDRAWFVRFESQRILLRKNFVLVIFYENYISSFTISFGNTISEDFRVRSVKMSSKKKIARKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDATSVEESCIPLFRRLPNDRPFINPFAPFPEDIISRVRKALKFVQPGPALDADTGSDSEPDDQNPAEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSSSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKVERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGDSGLVILLSVFGQVWPFEFGFCLGLDGRICIYRDWPLVALNPLPLYAVYFRFKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLNVFRLAKIADIRCLVSGFPSPSAFTASELGLPFGQLLLFVPIGDFFFFRH >A02g512810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35101732:35106986:1 gene:A02g512810.1_BraROA transcript:A02g512810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRVASCWILVAMVVVLSTASAGGDTAEKGVTYDGRSLIIDGQRKLLFSGSIHYPRSTPEMWPSLIKKTKEGGIDVIQTYVFWNLHEPKLGQYDFSGRNDLVKFIKEIRSQGLYVCLRIGPFVEAEWNYGGLPFWLRDVPGMVYRTDNEPFKFHMQRFTSKIVNLMKSEGLYASQGGPIILSQIENEYGSVEAGFHEKGASYVKWAAQMAVGLQTGVPWIMCKQPDAPDPVINTCNGMRCGETFPGPNSPNKPKMWTEDWTSLTSSSYFITGYYDQAPLDEYGLLRQPKYGHLKELHAAIKSSANPLLHGKQTVLSLGPMQQAYVFEDENSGCVAFLVNNDARKAIQMQFRNNAYSLLPKSIGILQDCKTLIHETAKVNVAKNMRVTTPVQVFNVPDKWEVFRESIPTFSDTPLKTNALLEHTNLTKDRTDYLWYTLRFESSSPCANPSLYIESLGHVVHVFVNNALAGSGHGSRNITVVKLQVPVSLINGQNNISILSGMVGLPDSGAYMERKSYGLTKAQISCGETNAIDLSGSQWGHLVGLLGDKVRLHQWANLKSVKRSTNDAGLIKNCPLAWYKTMFDEPNGDGPVGLNMGSMGKGEVWVNGQSIGRYWVSFLTPSGHPSQSIYHIPRAFLKPSGNLLVVFEEEGGDPLGISLNTISVIGSNQAQSQLS >A09p071670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55227422:55228175:1 gene:A09p071670.1_BraROA transcript:A09p071670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDVEYRCFVGGLAWATDDRALETAFSQFGDVLDSKIINDRETGRSRGFGFVTFKDEKSMKDAIEGMNGQDLDGRSITVNEAQSRGSGGGGGGRGGGGGGYRSGGGGGYGGGGGGYGGGGGRREGGYSGGGGGGYSSRGGGGGGGYGGRRDGGEGGGYGGSGGGGW >A01p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8271289:8275701:1 gene:A01p016780.1_BraROA transcript:A01p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTTEKCEDDIDNHNSEMVVVRKVGKYELGRTIGEGTFAKVKFAQNTETGESVAMKIVDRNTILKRKMVDQIKREISIMKLVRHPCVVRLYEVLASRTKIYIILEYITGGELFDKIVSYLATQLNSLQGVTILKTTCGTPNYVAPEVLSHKGYNGAVADIDNADFSCPSYFALGAKALIRRILDPNPETRITIAEIRKDEWFVKDYTPVQVIDYEHVNLDDVYAAFDDPEEQKEAQVGTGDTGPLTLNAFDLIILSQGLNLATLFDRGKDSMKHQTRFISHKPANVVLSSMEVVSQSMGFKTHIRNYKMRVEGLSANKSSHFSVILEVFKVAPSFLMVDIQNAAGDAEEYLKFYKTFCGKLDDIIWKPPDASVRNRVTKTKSKRR >A06g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9128252:9128740:-1 gene:A06g502800.1_BraROA transcript:A06g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLPIQFLKTGIRNSIFESLRLGRSSQSIASGLLRFWDSLNFMKDSEFMGITVLFLNEKVDFVIQGFIPAGRANHYMPSLKAGSIVKVDCFEVTRYSSMHKITDHTFLTHFITATIIDESSRVFLRSISSHD >A02p043020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26997860:26998743:1 gene:A02p043020.1_BraROA transcript:A02p043020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLKKEYLDLVLVPFGLIIVLSYHLFLLYRILYFPYHTIIGFMNIDKTIWAKKDELGCALTVLSSSISASTFMASIALTLSSLIGAWIGSSPVNMTVFTGHFVYGDTSSITMVIKYTSLLVCFLVGFCCFVQSTRCFLHANYLITTPGDDIPPEMVTRAVLRGGNFWSLGLRTLYLALDLLLWLFGPIPMFVNSVLMVVCLYYLDTNSVVQPLYHRTFEAEQIVKRMRGVLPDPLTFKCN >A02p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2272817:2275003:1 gene:A02p005230.1_BraROA transcript:A02p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSHIRPKKPLLVIGSMINSGSSHPKPSGYSSEPKRDSRNYDDRRSNNWPLKKSRTIVDSNNGNKTVVVEPDTPKLSRQYWKAGDDNEDEHVPYCRNDAKVKVNPQFLHANATSHKCALGALVEVLDNSLDEDVTQSRYVDRIPDMGLENGKHRHGISFSESVSGNEAGELQKVKEESAKHVAKLQRQKAQLESQLKESKCKIQDFEKRHKLKDDSEKLVAVLINQLKQSTAKIKDLEKMQNVNDDESAKQVAELQRQKALLKEESKKVKDESTKQVAELQRQKALLKEESKKVKDESTKQVAELQRQKELLEIESDKLKQSEAKNQDLEYKLKNAAEDFFQERAHRDSTEHNLKNKLREAFSTIHTLTSKVNRLESEKR >A09p068790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53783037:53788993:-1 gene:A09p068790.1_BraROA transcript:A09p068790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLMKVRKLSSFIAGKRPREDDNDPSSPSPLSWLPDELVLQCLLHVPKTYDLNLSYVSKTLRSLVRSPELLRLRSSLPKNSIYVYFRGKKTLKPKQYWFTLRPILSSKREIEYQLIPVSNFPSHPFMYNSSAVAVGSEIFFVGGLSEPSSDLWILDTRSGEFAQGPSMKVARTCDSVVGVINGKIYMIGGCLNKKIQVEVYDPKKRSWTVGEGPDERFRLRLMTTQLTASLGWNVYSAETAKINVYNARQGGRLETVKMPRGKPNNVVWCMCVVADLLYVYYFREGLMWLDAKSKIWKRVVGVGCNDLKALDVKFHDAVMAEYNGKLAILWPEAEGIHLVKPKIRIRCALIALDWVGDGMRGRIEWSGTVAEMPDNYSLYKIFVVSG >A03p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6433921:6436200:1 gene:A03p016150.1_BraROA transcript:A03p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNPNPADGSSLDPNAMTVVPAIGPFAAVADAAETVSSGEDLSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTGEHLPPPRPKRKAAHPYPQKAHKNAQPQVPGSFKSAAPEPIDPSSYMFRPESSSMLMTSPTTPAAPAAPWTNNVQTISFTPLPKAGAGANNNCSSSSENTPKPRSNRDTTEQANPGHSLRVLPDFAQVYSFIGSVFDPYASNHLQKLKKMDPIDVETVLLLMRNLSINLSSPDFEDHRRLLSAYDIGSEAATDPGGGSKTLNKDPPEIST >A10g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20860253:20860650:-1 gene:A10g506920.1_BraROA transcript:A10g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSPIASEPQHETKLPEATQLPFNQIGVPIEFVLVPAAGHGPETEEEEQEGKQEIAKELHHLISEPQHEIKLQEATKPASQQSVSLCLFQQQHTIRVMIRYFLKRDEERTRRRQTKDCELRT >A08p004460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2609969:2611926:-1 gene:A08p004460.1_BraROA transcript:A08p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDQLIENRNINGSIDPSNPNSINVSWTLKSEDTGVVDGSLTAETRSKPPREHDSSVPCKVAVGALLSERGKEHQPTLPVARSLLSDALRIDPTNRKAWYYLGLVHKYDERIADATDCFQSMLEESDPIESFSTNL >A04p026650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16037217:16039132:-1 gene:A04p026650.1_BraROA transcript:A04p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-apiose/UDP-D-xylose synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G27860) UniProtKB/Swiss-Prot;Acc:Q9ZUY6] MANGAGRVDLDGKPIKPMTICMIGAGGFIGSHLCEKLLTETPHKVLALDVYNDKIKHLLEPDTAEWKDRIQFHRINIKHDSRLEGLVKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEIYGKTIGSFLPKDHPLREDPSFYVLKEDVSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGEGAIESPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKATSKPVAS >A05p051780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31564274:31565416:-1 gene:A05p051780.1_BraROA transcript:A05p051780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVIEFIKEMQQLVQVLESKKRRKTLNRPSSPYDHQTVEPSILAATPNATTRMPFSQIENVMTTSTFKEVGACSNSHHANVEAKISGSNVVLRVVSWRNEGQLVKIISVLEKLSFQVLHLNISCMEESVLYFFVVKIGLECHLSLEELTLEVQKSFVPEAIV >A05g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29200855:29208841:1 gene:A05g509620.1_BraROA transcript:A05g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNAPITLKEVLTLPSIGINQQFITFTNVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDYLQMFNIEAKAKLKSHQMPDQVEFWKWITPKMLGLVTQYSVYHWSIEGDSEPVKMFDRATKLENNQIINYKCSPNEKWLVLIGITPGPPERPQLVKGNMQLFSVDQQQTQSLDAHAASFAQFKVPGNENPSTLISFATKSFNAGQITSNVHVIELGALPGKASFAKKKADLSFLPDFADDFPVAMQISNKFSLIYVITKLGLLFVYDLETASPIYRNRISTDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGPLKNLELAVNLAKRGNLPGADDLVVKRFKELFDQTKYKEAAELAAESPQGILRTPDTVSKFQSVPVQAGQTPPLLQYFGTLLTKGKLNSYESLELSRLVVNQNKKNLLKNWLEEDKLECSEELGDLVKVKYTPDYLVLLQTILRTDPQGAGEFAVKMSQMKGGCPLDINTITDLFLQRNLVREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVAEAILAGSTLSSDWAMECMKELLIVNPRGNLQIIVQDPEVHFKYIEASAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYINNMLRYIEGYVQKVNPGSAPLVVGQLLDDECPEDFIKGLIISVRSLLPVETLVEECEKRNRLRLLTQFLEHLVTEGSQDVHVHNALVLQNSDFSGNVNLQNLLILTAVKADPSRVMDYINRLENFDGTVVGELAVEAQLFEEAFAIFKKFNLNVEAVNVLLDNVKSIERAAEFALRVEEDSVWSQVAKAQLREGLVSEAIESFIRAEDATHFLEVIRVAEDANVYDDMVSYLLMVRNKVKEVKVDSELLYAYAKIGRLGEVEEFILMPNVANLQNVGDRMYDEAMYEAAKIVYAFVSNWGKLAVTLVKLQQFQGAVDAARKASSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEFYQNKGFFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLIEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYAEFDNAATTVMNHSPEAWEHVQFKDIVGKVANVEVYYKAVHFYLLEHPDIINDLLNVLALRLDHARVVDIMRKAGHLRLIKPYMVAVQSNNVTAVNEALNEIYVEEEDYERLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGKWKQSIALSKKDNLYKDCMETASQSGDHDLAEQLLVYFIEQGKNECFATCLFVCYDLIRPDVALELAWINNIIDLAFPYLLQVMREYTGKVDEIIKDKLEAQKEMKAKEQEEKEVMSQQNMYAQLLPNALPAPQMLLALPAPPMQMGGMPPMPMGGMPPFGMPPMGGY >A09p063400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51500164:51501084:1 gene:A09p063400.1_BraROA transcript:A09p063400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVPIDRSVEKEETAKRRVVEENNHCTTARLYYHPPSDGHHHRGVTDLIGGGVTGVSGQDSKGLVGGLGAGTVASCGVKSSQVYEDARDLLLFSVV >A07g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24060433:24061235:1 gene:A07g508720.1_BraROA transcript:A07g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREWDPGTRGVTGISVHQYGELEATHKGFKGLESTDLIEITGDLIQKGELGIEGFWCFLEVWSRNLQGMILNQKGEVAALECKEKIYGSVFLRVWKVWMGTRPSSPPASVRSVKRQLVMEKEQNSRRRRVSQTPNLMPPNLRSIMTTQEVEGLCEQLAYETLWFLVLAWMWWWFSWSFSYGFKTPEAMSARWFYYRGATVFGLIKPSTDITCVYGGV >A06g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20931611:20933155:-1 gene:A06g507450.1_BraROA transcript:A06g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEKPILRLLFCSMFEDFRSDIFQIDLVVGWFFRNSSHESFISVVGSFLHHDEAVIRSCWRNPVRGSASGSFVALMQNIWSDAPMVEVQQEYERRVGAVRMKRQKEFVESLIEEVVEGQVQGQLEVASEEDEDHAILEWESRRYDDVDIRQYGSFQDDQTMEVSDTCLGKRSREELNEESIDKFPVGKMRMSAEFNALR >A09p077950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57804030:57809020:1 gene:A09p077950.1_BraROA transcript:A09p077950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDILLYGDEISFYIVTSDNHVEITLTAIVNFSIENQIVKMKLPCELVEEILYRVPPLSLLRCKTVCKQWNTLLNHLSLVRPQLLIWTGPKIYSVGVSLKDDPKIDIRELPVDNPYLKNNNNPIPYNFLPSDGLLFCVPRWGNINRVVVWNPWLRQTRLIVPQENCFTFGGIGYDSGRPEKGYKVFGYRFLDRKLNNGKVQVYRRLAIYKFETNEWKYIDSMNEDESSQIGIGISFDKNVSLNGNLYWIAYNVKTGEYLIRCFDFSKETIKLFCVLPWKDDSSDVPILSAYRRDRFSVLKQFNETNKIEIWVTENKISGDGANVVWMKFMTVSIPFDKDFCPSYFIDNNTYGKSLVMCCKDEDKNACIYVVKGNASTKIPIGFDVYEIMANIRVQKGPESPRTEVGEIDTRAPFQSVKAAVSLFGEVVSRQRSTPRRSRLSSESVCDKETQLMLAHKQFLKIKQKLDNAEITRSRALSDLSNAKKTMEELTNKLEAVNKSKQTAIDTKETVQQREEQLEHDKSHGSPPHHHELDVAREQYLSTTVELDAAKQQLNKIRQSFDSAMDLKATALNQAAEAKRALQVNSAKVSELSKEIGDMKDAIHQLKLAATQNLEEYANIVKEKDDLRECYKTAVEEAEKKLLVLRKEYHPELSRNLEAKLIETTSEIEVLREEMKKAHESEMNTVKIITNELNEATMKLQEAADEEGSLRSVVNSLRMELEEMRREREELQQREAERLEDEERKKVEALKEESLKLEEMKQEALVARNEAEEMNRKIGSLKKETDSAMVAAEEAEKRLELVISKVEEAKAAEEKVREEMKMISQKQESKKQDEESSGSKIKITVQEFESLKRGAGETETAIEKKLAGIAAELEEMNTRKAEADNKLGASLKAIEEMKHATDLAQKAADSAEAAKSVVESELKRWRQKENEDDSTEAAMMLRTQRWEHLTTLRSVRSHSIQTGTLRNAYEFFCERGFSSGLTSDRNLSYKEKLRSGIVGIKKDDAGGLCKKGSLSEARLLLRKMEEDGIAPDSGPYNTLIRAHLRDGDVSISVELIEEMKRCGFSADASTVKIVMDMLSDGRLDRSFLDMLS >A09g511360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34009660:34028012:-1 gene:A09g511360.1_BraROA transcript:A09g511360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGDAPVRANDLKTSVSWSKQKIRRKEAKESWTSGPDAGQGLEAAHSARWIWKGVHILALSSSQLGGVLESWPVITDHGQLEETREQLNGAGSQLGAASGQLRDEKDGEAEPSETGNRADPNVQRDGRTGPVDQMAEPSMKEVLDAIKVMGSQMRAMTQVFTPVDVCGCPPAHTGRPWLFVSTHRTSVAVCVCPCVSVSTHKTFVAVRVCPSAHTGRPWLSISTHTSTLVLPVDCLGHFGPRGLSVQYTQDVRQHTQDVCVFPSAHTGRPCVSVSTHRTSVAICVCPSAHTGRPWLSISTHICTLVLGLSTLTLSVDCSGDFGPRGLSVKYTQDVRGCPPAHTGRPWLSVSTHWTSVAVRVCPSHTHDVCGCPSVHISARWPFPWTVRVILATWAVSHTGRPCVSVSTHRTSVCVHVCPSAHTGRPWLSISTHICTLVLGLSTLTLPVDCSGDFGPRGVSVKYTQDVRGCLPAHTGRPWVSASTHRTSVDIHQHIKDVCGCPCVSVSTHMTFVAVHHALALPVDCSGDFGPRGLSVQYTHDVCGSPSAHTGRPCVSVSTHWTSVAVRVCVHVCPSAHTGCPWLSISTHISMLVLGRSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRPWLSVSTHRTSVAVHVCPSAHTGRPWLSISTHISTLVLGLSTLALPMDCLGDFGPCGLSHTQNVHGCPSEHISARVLRLSTLALPVDCSSDFGQRGLSVQYTQDVFGCPSAHRGRPCVSFNTHRTSVAVRVCPSAHRGRLWLSVCTQRTSVAVCVCPSTHTSRPRLSISTHISTLVLGLSTLTLLVDCSGDFGPRGLSVQYTQDVCGCPPAHKGSPWLSVCLRVCPSVSVSTHRTSVAFHQYPYQHVGPWTQHAGPSRGLFIGCPPAHTGHPWLSVCVRMCPPAHTGRPWLSMSTHISTLVLGLSMMALPVDCSGDFGPGVLSVCVRQHIVTLISEYLCGKELEIWCPESGSMAQRTPLPDPALGLDPIGRVSLKPNPEGLATVLVRSDHPSNRPVSRLARMGTIGQELPPFGHLHPFALSDWVWGRPQAQPTPQAFWTQPTALPKDPAAHGLMAGPPRPPLTQTRTIGLKPGQSLPRAGRATRPLRPSYRLTQHTNRSGSSPKTNPSNRIESRCDRPMESGSMAQRTPLPDPALGLDPIGRVSLKPNPEGLATGQTLRLYLGLDKP >A04p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22158840:22160022:-1 gene:A04p039160.1_BraROA transcript:A04p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVVVPESVLKKIKREEEWALAKKQEAEAAKKKSVETRKLIFKRAEHYAKEYAEKDNELIRLKREAKLKGGFYVDPEAKLLFIVRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVTYGYPNLKTVKELIYKRGYGKLNHQRIALTDNSIVSEGLGKHNIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELVRRMN >A02p012700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5575529:5576537:1 gene:A02p012700.1_BraROA transcript:A02p012700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQASLLLQKQLKGTPQKFNMHPVDGFSAGLVDEKNIFEWSVTIIGPPDTLYEGGFFNAIMTFPQNYPNSPPSVRFISDMWHPNVYSDGRVCISILHPPGDDPSGYELASERWMPVHTVESIMLSIISMLSGPNDESPANVEAAKEWREKRDEFKKKVSRCVRKSQEML >A04g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10313991:10315177:1 gene:A04g504850.1_BraROA transcript:A04g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYLLRIGGLFIENDELVVETQSRGRWFVLCQRPKPNQEWSTSKNTNDNLLIPIPIPSTDSHSAFMFSKFVAVGSNIYCIRSLTTEIMFLDCRFHTWHEAPNILWAQTYPFLNVLDGKISVARPQREYDGCQMLVHLLSMSKWRTMLERWREEIWCAEIALERRNEHEMWGKIEWFGKVPLDTELGNIVVATV >A07p031890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17568393:17569334:1 gene:A07p031890.1_BraROA transcript:A07p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFVDLLLKSSEEDLKQWPHSFEFRLRVSLAIDGDLTLISRVRNINGKPFSFSFAYHTYLSVSDISEVRVEGLETLDYLDNLSKRELLTEQGDAITFESEIDRTYLRSPKVVAVLDHERKRTYVIGKEGLPDTVVWNPWEKKSKTMTDFGDDEYKSMLCVDGAALERPITLKPGEEWTGKLMLTAVKSSFCFDQLELQSKGF >A02p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:847452:848589:-1 gene:A02p002030.1_BraROA transcript:A02p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTELCLHPRALASSITFKSGLSNRKVSFLRVGYAQKRRFSCSLSNAEDVAASKDDGRGKDGALVKMCGITSARDAAMAVEAGADFIGMIIWPHSKRSISLSVAKDISRVAREGGAKPVGVFVEDDEGTILRAADEADLELVQLHGNGSRAAFAGLVRQRRVIYVLNASEEGKLLNEVPEDCHLADWILVDSATGGSGKGFNWAQFKLPSIRSRNGWLLAGGINPTNVSEALSILHPDGIDVSSGICGTDGIKKDQSKISSFITAVRSVQY >A01g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19899470:19900746:1 gene:A01g506790.1_BraROA transcript:A01g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPFCFLTNTTCNNGSIPYFYYRFGSRSLFSGGSNTSSAALCSLECEAKEEELMGIDMLLLNEKGVLRFVGVIKSTFSDHEQSAQCIMVDLQDVTELAVFDAEMSKLTNVHAAEVGDIIGAGVGGPLEAEIPPFTKKLLSGDEPSNEMDGIPSNQTRHPIR >A07p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19239672:19241401:-1 gene:A07p035580.1_BraROA transcript:A07p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQPSQPPQSNDSDDSSSASVPGSIVSSTTIEAPRITELSNVSSPPSKIPLRPRKIRKLSPNNDARPSPKGKPSQPPRIHAKSLTCEGELEAAITHLRSVDPLLASLIDAHPPPKYESFNTPFLALIRSILYQQLAAKAGNSIYTRFVSLCGGENGVVPENVLPLTPQELRQIGVSGRKASYLHDLARKYQNGILSDSGIVSMDDKSLFTMLTMVNGIGSWSVHMFMINSLHRPDVLPVNDLGVRKGVQMLYDLPELPRPSQMEGICEKWRPYRSVGSWYMWRLIEAKGTPSNAGAVSSGADLAFPQLEDMQQQHQQQQSQLLDPLSVFSIGAWGQT >A10g503670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9365287:9365529:1 gene:A10g503670.1_BraROA transcript:A10g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNIWGCLVFLLLLSVHQCRSLVVQERLSGSSRVMKIRSELFERLKELNAKLEGEGVVFGNTLDSKRLSPGGPDPNHH >A03p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16926373:16929348:1 gene:A03p040570.1_BraROA transcript:A03p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEIDQRCLLSHPALTHHNLSMDCNFVIPLGCSTCGGKYTMREQDNILIYCTTCGVEFHNGCDERPRRITHPYHLQHPLTLFYRDPETGTISNIIPDANPCKPHINDQEPDTSDQEKYKFVDIVPTKSDIIFDKCTWCGKDFEGVWFYRCLICSFCLDLPCAKSLPPLTITNPKGHHHSLIFLPRPLLVPCDACGLVNGPEPSYACFQCSYMAHQSCIDLPRVIKITRHPHRLNYIPYRSPLSSLCRVCYKKVDVKYGQYSCDREGCVYVAHSRCATHDTVWDKKELEWEPEESRDDEEDITPFKNLGDGFIKHFGHDHPLKLKKHKGVVDIEKLCEACVYPIIVSDQFYDCEECDYSLHEVCASLPKKLDHALNNHTLFLDPSPQNEYSYMTCHVCSRVTTGFRYIRHNYPTRGGPYSFIDVRCVSVPEYFTHKSHDDHLVFISTITSNKDCKRCKNTCSGSHLECPECEFALCYACATIPHELHYKYDKHPLTLCYGQKGSAGDIYWCEVCEKLLDSTEWFYTCNQCCTTVHLQCLFGSSYFMKPGSTFRLPHYRKSAEVIRNSSNSRQLCYTCGNLCTASIYYEGYDRFYKGLLFTYKNRDVSRVPICSLHCLTVLMKRLLQVFQKPKSEGIWLNCHVLKDVLAKCSCGDYW >A04p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6915965:6916825:1 gene:A04p011060.1_BraROA transcript:A04p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNLINQKKKKKKKKKKREKSQRERDRERAAARAGGKGKNADDGLTPEQRRERDGKALQEKAAKKAAQAAAAAGSGGGAGGKGTAKK >A05p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24220511:24223672:-1 gene:A05p039620.1_BraROA transcript:A05p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTEN2A [Source:Projected from Arabidopsis thaliana (AT3G19420) UniProtKB/TrEMBL;Acc:A0A178V9M6] MSSESQNLPATVTPDAHPPPVAVEAGNDDSPKGVASKLSASGISTWAKSLKVPQPFASTSDVDNSEKSAFAKFTSGLGLRLSPKSPQPDETTDGASSSAQPGLFGAITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNQMEEVINFLETQHKGKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIHLITSFCQSAYSWLKEDIENVVVVHCKAGMARTGLMICSLLLYLKFFPTAEECMDFYNQKRCVDGKGLVLPSQIRYVKYFERILTYFNGENQPGRKCMLRGFRLHRCPYWIRPSITISDHNGVLFTTKKHLRTKDLSPEDFWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMMENRVILKTSELDGFDKRKLPSPGFMVEVVLADIDSTIPPKPSSEPASKAPEETSAANSSPAEGVTPVPAPKKETENPDKDDVFSDNEADSTGPTKTTSSVSSQTPEAKQSSDETSGLARATEKVSISGNKGSSSSQPVQGTVSKAEASEKPTGSGVNVPSSESSEFKVMAADASVFSFGDEDEFDSD >A02g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22377051:22381457:1 gene:A02g508100.1_BraROA transcript:A02g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHQHPVAEVMPVLLKSGPSASREEAAEKRKPRRSMQHSARRSMEIPDRKFFSEAVATRSRRFDYMVDKMIEDHEKGIITSLSQISISQIMDFAYSEQDEDIGIPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVKDDEYHVSGEQSKVEEADTKDPTSASINSSNSESIDIRTSKTIEVADISDTSSSSIDTLTITSIVTPTSSSIDPDIANSTQESIDESSCDLTSDVDKVTLKDFLELEEWLRQKLDDQPASGKCLENSLKADDIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPDCIARYPPDCIDRHPCLDELSGYMIEPELVGRKEHTSGASHLAVLENLRLPLCEEEAVGICKRVKRIHDPVKFVVPYEVFEAESPIPPDKSMELSSYGGVFDDNKYVEASQRELRFRDEVDNCPAEVPSSDINRTKSIDTIISSSIDTGQIPSIDTRRESEQNEYELCGNIFYGDTTTLSDKSGGKKWRNWKKKKRTNEGSQLSLIPHFSDDARKSRVRLHKSVGKKGRNWKKRKRTKGGSQLPLTPYFSDSIRKSRVRSKCFSHPYAKLKALFIAEMIDKGEGMRKKIRGRRVSLEIDDG >A01p017720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8642764:8644192:1 gene:A01p017720.1_BraROA transcript:A01p017720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP23.6-MITO [Source:Projected from Arabidopsis thaliana (AT4G25200) UniProtKB/TrEMBL;Acc:A0A178USN5] MLQKDVFDPFSPTRSISQVLNLMDQFMENPLLSATRGMGASGARRGWDIKEKDDALYLRIDMPGLSREDVKLALENDTLVIRGEGKDVDDGGEEGGETGNRRFTSRIGLPEKVYKTDEIKAEMKNGVLKVVVPKMKEEERNDVRQIEIN >A08p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12604101:12604646:1 gene:A08p018180.1_BraROA transcript:A08p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGQTSIDDTTDTSSDESIEETMNTSLLESIDTIEPEVEHPFDHIEKLEDLMEGTYNYCKLFSFSLVGDARRSLDQLPA >A02g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9753044:9753331:-1 gene:A02g502940.1_BraROA transcript:A02g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSASTMVVSASTVVVSTSRSRLKKLDGRGLGVNNGGLDFVNGSLARWRRLNKLTCGVLGWWSPPQR >A06g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23012890:23019444:1 gene:A06g508170.1_BraROA transcript:A06g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQKWTVVREKHHEDRGHGKMCGKEATGVSGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGDDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQIMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVADVKGISQLRLNQDMMETRVKELAGNSWRSAPSGERCVLVRISVGESGTVTGRADGPGAGRFDQVGLRLGTGSGQASREEKGRNRQWAVMGRLWDGFGKKGCDWLVTVRPGGWGQFKSSSPSHFCHSGRFLLNLTQRETQRKFKRERETQTLDWPI >A05p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3565579:3568212:-1 gene:A05p008630.1_BraROA transcript:A05p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductins 2 [Source:Projected from Arabidopsis thaliana (AT2G38960) UniProtKB/TrEMBL;Acc:F4IU09] MADTDVGNVNAKEKRSPKRLILLIGAVAVVAVAVFLNTQNSSIFDCNCRKAEKQKYIGMVEDCCCDYETVNKLNTEVLHPLLQDLVKTPFYRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPEPFKKALSKDNPVCQEGKPQGAVDRTVDTRAFTGWTVTDNPWTSDDETDNGEMTYVNLLLNPERYTGYIGPSARRIWDAIYSENCPKYTSEQSCQEEKILYKLVSGLHSSITVHIASDYLLDEAKNLWGQNLTLLYDRVLRYPDRVRNLYFTFLFVLRAVTKAENYLVEAEYETGKDNVIEDLKTKSLMKQLVSDPKTRAACPLPFDEAKLWKGQRGPELKQQIQKQFRNISAIMDCVGCEKCRLWGKLQILGLGTALKILFTVNGEENLHHNLELQRNEVIALMNLLNRLSESVRFVHEMSPVAERIAEDGKTKPNHNRT >A03p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5160137:5160700:1 gene:A03p013170.1_BraROA transcript:A03p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKRTPLSTRKKPKRTNIRLVRNVVAYLKSDAYLFAPLFSKFSPLPPQIQMPPPSHSESLKTEVAVKKNKKRLSEKVKEYLNSDCHMYRPMISLPKPGSSLKGTLQITNLVTMEVSASSATVREDNNNYRNLRSDIAEHAMHNGRISIPKPALVILEGKRT >A09p052580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45984895:45991242:-1 gene:A09p052580.1_BraROA transcript:A09p052580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGASVANNIGGEGEKFAVGNIYSVKVITGDEFRGIVMAYDPIPNFVGSKPRAGHSKNTRMVNASFITGLSYLGKTEDPLLTDNCCVNLDSLRAKEALAIRQAEAEAERLGVGVTAEAQSIFDSLSKTLPVQWENSDILVMKEVRVRSPYLSDCVFGGTDAANNRVKKVASERRRSVKSPERVCYSATNPRRRLELIGQGEYVSRTETTPLRPTHLTQTKTRLKPTVVCSHLPHCGKERRAHGTRGEQLIQRFTSFCLKSVCVCVGITTTTTVMNCLSHHARSYISLGLLKRSSYVTSKIHPCFYMPSKLCLNPASVVSPLGLSRAHCYSSRSKSAKSKMLTAVSAADKEKDAFFVVRKGDVIGIYKDLNDCQAQVGSSVFDPPVSVYKGYSLPKDAEEYLSSVGMKNPLYCFGASDLREDMFGSLTPCLFQEPASSKVKVSEEEDTSEMKSKDMKPDIPSASTSSVFDQLKPSSVTSDETCFIEFDGASKGNPGLSGAAAVLKTEDGSLICKVRQGLGIATNNAAEYHGLILGLRHAIERGYKKIKVKGDSKLICMQIKGKWKVNNEVLSKLHEEAKQLTNECISFEISHVLRNLNSAADEQANLAVRLPGNVKRISRMLTKALRILKPRSILGGSLHRRPVYSSSPSPRLTPGAVSRTTAGAAEIDADDAVAYSDPTESPTAMPIMMQAHLQPRVVVYDGVCPLCHGGVKWIIKADKYRKIKFCCLQSKTAEPYLTVSGVTKEDVEKRFLFIEGLGSYHQASTAALRVVSYLPLPYSALKAFTIVPTPLRDSVYDYVANNRYNWFGKAEDCLVLKEKELLERFIDRDELIDRC >A02p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1354752:1357137:-1 gene:A02p003230.1_BraROA transcript:A02p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLSSLLRSSSARSAAKFGSRNPRLPSPSPARRAAPFGDILGRVAEYSTSSPANSAAAGPAKDEGKKKSYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A03g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13724659:13725514:1 gene:A03g503910.1_BraROA transcript:A03g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCCWNLSKQKSGEDKTLSTFGLMDPPTSPAAQNPSSLHSDSIISSLLSFPASTPFSIACSFDRELEKALASASDDASVQDRLLNRTIQLASLLLDSTERCFRKRASAHNSVAWVLPPELTVKVFSKLDTKSLMQVAACCTLFNKCAMDRSSYAHIDLTTADNEVVCTMIHRAGKELRSDIHFQVPADSINI >A03p028900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12166463:12168345:1 gene:A03p028900.1_BraROA transcript:A03p028900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRSFFCLTLILCLSFHLLHRLSASQFEGFDPEDDDDASDDSSNLHHSLPPPTITQSHSSLPDPQPSPHDLTSDLLPEPQSDPSSTPFDFWDEDEFEGLPETSDSPPTAENATETADPETTTTSTAQDATTPSMMKKKKSYAIEIACVCFLTALGINYFLGKRENERLALAWAAKFASKDTIFHKNFSLLGVGDGEESPLLLKEALNVFKFYASGRRYCHGLLATMELKSRHDLISRAFNLLVPCKDEITFEVYMNEEAMDHVVFAMAKKKKAKSMQKEVKDLQRFAGIVSPPAGRKWVSEELSVVSESKEVAGDMITDTVLDQVFGDKAMEKYGKNFMSMHISDQHPGKHRKMMLFKFSLPDAKHMDDIVRLVALIPYYIDLVGRYRLSTQARNKTETGRQKAAEEAYKELQNARQEALQKKKAERKKLMEEAEAKLSAEVIRKKEVKERARQVKKAMPKIKMSRGH >A01p049830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27971849:27977804:-1 gene:A01p049830.1_BraROA transcript:A01p049830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLARFQGPSLDHLCKSVLGYEMPKSPGRCVYEAVASMKLVLAIVELRIDTSVPLLPDEMFKRLLPVEKNLFFLKLRLNEMFKGKKKYYLTSYVGLGEESLWWGRVINSIFNVELKSLKRRQIHSFKLMDLSKKSTKDSSSLQIRGGSSPALPSPHVSKGKQIVQGHGDVFTHFISLPLAIYPELKQKVEAFRNYILGDNKDKKPSKFQTTLDEMEIEKSMFVSPNSLHLTVVMLKLENKEAVDAAQDILKSVSANVMHTLDNRPVLIRLKGLDCMNGSLDKTRVLYAPVEEVGGDGRLLRACSILLSRRAYFYACLFDIIIDAFVNVGFAGKDAKSRLKLHVTMMNATYRRDKSKGMTFDGREIHKEFGRTDWGEYLIREAQISKRFWYDANGYFHCCDLCKDLSKQNLSLGNHGGSSTDHSSPHVSKGKQIVQVYRESYTHFISFPLAIHPELKEKVETFRNSILGDNKDKKPLKFQSTLDEMGIENRMFISPNSLHLTVVMLRLVNKEAVDAAQDILKSISARVMYALDNRPVFIRLKGLSSMNGSLEKTRVLYAPVEEVGDEGRLLRACRILLSPKLILFTHVPLV >A09p055060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47189946:47193791:-1 gene:A09p055060.1_BraROA transcript:A09p055060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNAVEPPKKYTTHPPPPHSLSSLPDDIVLSCLARVPRSYHLNISWVSKHLKALVRSPELNLLRSTLPKSSLHVCFEEEEDHEDDENHSSFHWFTLKETSTTATTTEYGLVPNPTPFPPHPKYGSSTVAVGSKIFFIGGFTEPSKDLWILDTRSGNMTQGPSMTVARKQPKAAVGVIDGKIYVIGGRDFSFSNGRFHEEVQVEVFDPESETWELAGQERVRKFSRCSASVEGKVFMVEYTRTSVYNPREGEGERMVHMVSKTLAEGGRKDRLKNVTVGCVCVVEDVLFAFFNPTGLMWFDTKLYVWRKLVGRDGKELPFILRADAMGEYEGRLVVLYMLAEKEVHNPVAKSVRCIFVSLDRAGDMICGTIDWSGIVATVPFLTSSINATMPLSYDADEPPKKYSTHPLPPPSLSSLPDDIVLRCLALVPRSYHLSLSWVSKNLRSLVRSPELRGLRSIPQKSSLNACFQEYVSRCGASVEGKLYMVEYEETSVYNPRECEGERMVHMVSQRLEKGGRKDRLNDTVDCVCVVDDVLFAFVRWNGIMWFDTKLSVWRRLVGRDGKQLPFVLHETAMAEHDGRLEVLWDGLRGENEVDNTYTKNV >A03g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20278067:20283870:-1 gene:A03g505740.1_BraROA transcript:A03g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDGGQRGNRRKSLRHNKNVGVDVGGSISPRRSSRNRTTSPEEEATGRAKRLSKQPANGFPLALQLVAFRCVPSLAAFVGGDDAVTIMQYPEPAMPQHAGLSVVHIRKAEHDPLLIVEPMMEISGDHDDRWGLWDDETYDKKVDYMVQLLKDGHIFEKENWLGGDALDHLFVYEEKPKSPKRKRNVAAQQEPIRKQRRISGFFRRGGSNSVDTEKFAALEGRVNECFVEVEKLKSVCEKQGRTIKILKQRLKATIQKKYRRNPDTTTSLAPEHNADVCGELDFPEGGNLDGFPGPDEQRGVAEAEHAENAPVEVTADGISQVEQGCLDDLVGAVMRKAGVLSELGEAKGQGGVILLESIPGPPMVGMSDLSVAPTQAGVSCEDSAKRSGLVEEFMVVSGENKDKVEKPMVVDKVVAEFPVPVPVIMVDSIPAAGLTGKPVGGSAAPPIVDEIDVDKNSDQEQEVVPNSTGEANIVVYNNKQLYTKALQDEIDGDKKSDQEQAVVPKSAGKANVVVRDDEIGSGDDLTYEDAAEVKEGSRTVVLSDSPTEVAPKHVPVADEEELAALLLAKSPLALQEMVPLNEDVDYPFFERVLQANPKAYDICQVAGLMHVDAGGRDLDNEFFLQLATPGVWVNSTHMEVLMQYSERRYGLGVHLEGGMFLAPWFTAHMQGKGRSFKAARRKTGVAGDAKITNYLTRPRQRWGMEVDRLYTPMIWEGTHWVGLCISLTEWTIYVFDPYPQGKTMEQVEELLEPVSTMLPYWLINGRVVFTSVQTSVLVCRLYIGANFGMTTKRWRKSFIVHEDVVVCTSLGDSDGVECTSLGDLLVSGGYT >A04p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1996163:1998794:-1 gene:A04p004040.1_BraROA transcript:A04p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQRTSPVTKSEKPPTEQKPTIASSEDFHLSPPKLRVIFVISSLLCSLYLYLLCFHYNVDGELKRPILINAGLSLVGFFVTLKLIPVAARYVLRRNMFGFDINKRGTPQGDVKVPESLGIVVGIVFLIVAIIFQFFNFTEDSLWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSFATLPLLMAYAGHTTIVIPKPLVSYVGLEILDLGWIYKLYMALLAVFCTNSINIHAGLNGLEIGQTVVIAAAILIHNVMQIGASVDTEFRQAHAFSIYLTQPLMATSLAMLAYNWYPSAVFVGDTYTVFAGMTMAVAGILGHFSETLLIFFLPQVLNFLLSLPQLAGIVKCPRHRLPKFDPATGLLTGTKDGTLVNVYLRIFGRKSEKSLCIHLLVFQALACAFCFLLRHFLAGWYK >A04p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:704005:705474:-1 gene:A04p001500.1_BraROA transcript:A04p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETPRYAVVTGANKGIGFEICRQLANNGIRVVLTSRDEKRGLEAVETLRRETEVSEQTLVFHQLDVTDPASITSLAQFVKAQFGKLDILINNAGVSGVIIDIDAMRAGKEKVDFNWEENIPENDYDLAKECLNINYYGPKRMCEALIPLLELSDSPRIINVSSFMGQLKNLLNEWAKGILSDAENLTEERIDEVIDKLLNEFKDGVIKTKDWSKVMAAYVVSKASLNGYTRILAKKHPEIRVNSVCPGVVRTDMTFNIGDFSVEEGASCPVRLALLPYQETPSGCFFNRKQLSEF >A09p013180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6732449:6733991:-1 gene:A09p013180.1_BraROA transcript:A09p013180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYQTNANFSMLYDQDHPHNYDYDDLSSSTSVDCTLSLGTPSTRLDEHHRFSSANSNNISGDFFFHGGSPKTTTSYKKSGSEHNLPRRCASCDTTSTPLWRNGPKGPKSLCNACGIRFKKEERRAAARNSITSGGGSSVAEVPAENLIRDVKQVYPSRSVLYRGGLVIERVTANLFRAVFKMLAQTCTTEYIGLRARHLFIKPSATSSFMNV >A08g510130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21891449:21898559:1 gene:A08g510130.1_BraROA transcript:A08g510130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFEETLSSNFKTQTVIDDDNELGLMAVRLANAAAFPMVLKASLELGVFDTLYAEAARTDAFLSPSEIASRLPTTPRNPEAPVLLDRMLRLLASYSMVKCDKVGKGERVYRAEPICRFFLKDNIQDIGSLAAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFKDVDVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALVQAPTYPGVEHVAGDMFVEVPKGDAMILKRILHDWTDEDCIKILKNCWKSLPENGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSGGKERSRAEFEALAVASGFTNCKFVCQAYHCWIIEFCKENAGAVSLVPPWPPDVCVQIRDPRPVSLWSEVSPASVLFPEVAPAQAEVAIPLAEEVSPSPVVPSSSKSTRSIVRSLNKSKEETQPLSKSKDLQEDASPPQGKLITRSSSLPSPSVHSEKSVTSAAVNGWTRVASKSSPPKSLAFSGKSKEGDILVTSSQFDSEEVLISEAQQVIRNRLAAAEADFPPFSSKKDKKYFRKIQRQAVMKECETEGGVPGLALVGVASVSVNSVHGSVSVGR >A06g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18713240:18714608:-1 gene:A06g506740.1_BraROA transcript:A06g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKNEEVPPGSHSLLSSQQRKRKRRADNNESENTQPCGEASNNITSVPLRKAFTRVLNDISNIPTNLRSSTVSIGTNPANDSGNVKDQNALAKRLKSNSYKFMSQSSNQTTVSNSVGGSNNNKATLKRKRSTSIDVTEHTPSSYSSKKKCSKPGVLADITNTLPSMLGTPSTSGSCISKISTKGKGKVVAEDNKLKSNTRTKRCRKTLEQQFDGCVVDYSSCEDDEDQAFQYDYEEESELYKDQVYDCSSEESDTSNNETINCNPISKDSDANQRSPDVLSKEGKQEINTQRTFSCAKMVKRTSSSAQMMKPTSSRKPISSANCITTEQHHHYI >A01p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6477320:6483859:1 gene:A01p013240.1_BraROA transcript:A01p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21065 [Source:Projected from Arabidopsis thaliana (AT4G21065) UniProtKB/Swiss-Prot;Acc:A8MQA3] MLDKCITLLQSYGLSSLKKLKQVHAFSIRHGVSITDPEMGKHLIFYLVSLPSPPPMSYAHKIFYTIEEPFNVFIWNTLIRGYAETCDSVSALSLFREMRASGLVEPDTHTYPFLLKAVAKMADVRLGETIHSVVIRSGFGSLIFAQNSLLHLYGNCGDVAGAYKVFDEMPVKDLVAWNSVINGFAENGKPNEALGLYSEMMGSKGIKPDGFTIVGLLSACAKIGALALGKRVHVFMIKVGLTGNLHSCNVLLDFYARCGRVEEAKTLFSEMVEKNSVSWTSLIVGLAVNGFGKEAIELFKDMESKEGLLPCEITFVGILYACSHCGMVDEGFEYFRRMREVYKIEPRIEHFGCMVDLLARAGEVKKAYEYIKAMPMHPNVVIWRTLLGACTVHGDSDLAELARIKILQLEPNHSGDYVLLSNMYASEQRWSDVQKIRSKMLEDGVRKVPGHSLVEVGNRVHEFLMGDKSHPQSEQIYAKLKEMSDRLRVEGYVPQISSVYVDVEEEEKENALVYHSEKIAIAFMLISTPERSPIRVVKNLRVCADCHLAIKLVSKVYEREIVVRDRSSSSKETQKENRIHCLTYQIDSFHLTIESRRWETQVTWRRWEENSSVLSEKEKHVGDASIEKANDKKHQGSSKSRISKKRGFTKNKEKDLDPPGPIVMKPSSQTKKRVQLLQNQASENFTKSTESFESAEKLKDYTEETVIRLNEHTSLNKVENMAPFFWLRDEDDEESLSQPAESDPFLDVTPVDVPSFSDLKDSDHDSPSKAVEQERPNPGDMFDSEMFEWTQRPSSPEILPSPVKAKAVGKDETRKNLSNGALPNKKRKAETARKKVAKRLVEVSKEDYMEPSAGASEKQETAETSGTSTRKDENVKAKRATRNKGQTSRVQAGVTTHVEAEGKQGTKRKRSSVKVSLDPPVAESNELSLGTEDVEKEDQELTHGSADTQPTEKYSLRKRRKSSASSSPKYSPGITEKKTSEKRSKVVSCSIPRRVTQPGSKKILSVELNQVGDRQDSTNKKKLSVDKGTHTMQVSEKRSTMNKPSLGDNALLRRCDGPPINEFTCAFCQTSEDTEASGEMAHYHRSEPVSADFSGGSKVIHVHKNCAEWAPNVYFNNLTAVNLDAELTRSRRITCSSCGLKGAALGCYNASCKSSFHVTCAKLIPECRWDNKNFVMLCPSDAFCKLPCEETSPKGRKHKRTPPKGPQRSQPNQVSEKPDISELQSKPFHGLSKKLVLCCSGLTDEEKSVISEFAELSGVTISRKWEPRVTHIIASINDNGACKRTLKFMMGVLEGKWILSIDWIKACMNNREYVTEEPYEISIDVHGTRQGPYIGRQRALDKEPKLFNGLKFYIMGDFELAYKGYLQDMIVAAGGTILRRRPIADDDSEASTIIVFNVEPSKQKTLTQRRYDAEALAKSSTARAASSSWVLDSIAGCQILDLI >A07p043290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23665457:23666281:-1 gene:A07p043290.1_BraROA transcript:A07p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFRRLQQDPPAGISGAPQDNNILLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRRVKDIVEQSWTAD >A01p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1216330:1217651:1 gene:A01p002650.1_BraROA transcript:A01p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMFSLGGNNNNNNNGYEEEENQQQQNTNWAWYRSNTNTNNITPGSSQWQIPPEQQLLMHHHQSQPQQQSLDLYPGHQIDVSDVATSSRSITISCRDCGNQAKKDCTHMRCRTCCKSRGFDCSTHVRSTWIPVARRRERQQQVQISTSGGSGNGGGGSSIAKRHRDTTRPGTSSFRSPSDSAGLEMGEASFPPEVSSDALFQCVKMSGVDDGEDDGQYAYQTTVNIGGHLFKGILYDQGPESSYVSGGSGGSDHQSSSAGGGNPINTPAMADGGGGSSTMFVDPNSSGYYSSNMATMFVPPGTQFYQNPPRS >A06p000540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:302485:303719:1 gene:A06p000540.1_BraROA transcript:A06p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDF5 [Source:Projected from Arabidopsis thaliana (AT1G55370) UniProtKB/TrEMBL;Acc:A0A178W3N4] MALSHSTVVSHGYTLPLCRYSKLHLLSQPSYLPLHFNKNVKRLESFHLPPPSAAAAASSYFPIDVEYLKREFSGHGATFEDIGETCVAKLKLDNGSSANVMLTRGMVTSYKVKVWHGGKVELLHTWVEQEEQEEVVIRGGVSSAFSSSDDTEEWSLHGITGDSENCVQVELRRSDKKIKEIEMKQIITLRGDTLSIELCVANKGVSPVSINGCSLVSYLTVSTPEATYAVGLEGSDFVDKTPFLPRFGLVQGEEEEEDKYGLSGEEESNYKQLSEEMSRIYTLAPSSFTIIDRGRRNSVVVGREGFEEVYMYSPGSKLESYTKSAYVCIGPSSLLNPISLDPGCVWRGVLHIHNPNS >A09p001970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1474143:1476460:-1 gene:A09p001970.1_BraROA transcript:A09p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKVFGNATSPSTRRVLLALHEKNLDFELVNIDLKDGEHKKEPFLSRNPFGKVPAFEDGDLKLFESRAITQYIAHRYESQGTNLLPADSKNPAHYAIMTIGMEVEAHQFDPVASKLVWEQVIKNFVGLTTDQAIVAEEEAKLAKVLDVYEARLKEFKYLAGETFTLTDLHHIPVVQYLLGTPTKKLFTERPRVNEWVAEVTKRPASQKILKYHH >A01g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2903044:2903523:-1 gene:A01g500780.1_BraROA transcript:A01g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFRTLNSTLCVLSPKSMSDQVVRVIVIQKILIEHAEKLRQVKTVLEEGGNFSRIYRKVQLKPLKWDGEDEK >A09g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29247985:29248490:1 gene:A09g510070.1_BraROA transcript:A09g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A06p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4296673:4297746:-1 gene:A06p011550.1_BraROA transcript:A06p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETGGVIPTIDLEEVVSDKILNQKIREASERWGCFRVMNHGVSLSLMSDMKKTIMDLFERPHEVKVRNTDVLLGIGYRAPYDINPYYETFGLYDMASPQAVNTFCDQLDASADQREIMVKYAKSTDVLAKDLARRLAESYGLAETDFFKGWPSQLRINKYHFKPEAVGKLGFHLHTDSGFLTILQADENVGGLEAMDNASGKFFPISPLPNTLAIILGDMATIWSNGRLCNVKHRVQCNEATERFSIASFLLGPTTDLEPPSEFVDAEHPRLYKPISHEGIRNIRTIKKLVDGEALKLITYEC >A02p004390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1907341:1908447:-1 gene:A02p004390.1_BraROA transcript:A02p004390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLEAISAAIRVVPNFPKKGIMFQDITTLLLDHKAFKHTIDIFVDRYKDMQISVVAGVEARGFMFGPSIALAIGAKFVPLRKPGKLPGKVISESYELEYGHDRLEMHVDAVKPLERVIIIDDLVATGGTLSAAISLMESQGAEVVECACVIGLPEVKGQHKLKGKPLYVLVEPSGLDEFC >A09p048710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43270518:43272364:-1 gene:A09p048710.1_BraROA transcript:A09p048710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAVLQRDKSHAGTRLLHRRSLFFLSLHRNAFRLGMSSAQDPFYIVKEEIQDSIDKLQSAFHKWERISPGMGDQVHATKELLANCGSIEWQVDELEKAITVAAKDPALYGIDEAELERRRRWTSNARTQVRNVKTGVLAGKGNAGVGNASEVRLELMRMPNSSEANRYDQYGGRDDDGFVQSESDRQMLLIKQQDEELDELSKSVERIGGVGLTIHDELVAQERIIDELGTEMDSTKNRLDFVQKKVGMVMKKAGAKGQMMMICFLLVLFIILFVLVFLT >A07p004540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2792488:2798138:-1 gene:A07p004540.1_BraROA transcript:A07p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEDADRCIKYLNQSVLEGRYITVERSRRKRPRTPTPGHYLGLKNDRDHGGRDRDSGRHYGRDDYRDRRSARRSPLRGRRDYSPPPSRERSRRDRSYSPYGSPERRRHHRGSRFFALVIFMLVYVDLKLNVLAEIGAPPPHFGRFRFSRSSVVDLVAPMKNTDESESSGSRAVVASPSHENPRHYRMKLDVFGEVLQRLQESSYEEASLPDFEDQLWHHFNRLPARYALDVKVERAEDVLTHQRLLKLAEDPATRPVFEVRDVQVSPRNSADSDPALEDDAQSSSKPHGKGILATPTFGSAAYFEAITQGSKIVEDDDSSVNATLATRPMHEITFSTIDKPKLLSQLTSLLSELGLNIQEAHAFSTVDGFSLDVFVVDGWSHEETDGLKDALGKEILKLKDQLGSRQKAISFFERDNSSNYLIPACIEIPTDGTDEWEIDVKQLQIEKKVASGSYGDLHRGTYCSQEVAIKFLKPERVNAEMLREFSQEVYIMRKIRHKNIVQFLGACTLSPTLCIIPYAYLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLQRCWNQDPKDRPEFEEIIEMLQQIMIEVGDEDPADKDKHCLGFLQAAFRKPRY >A10p025550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16382818:16387118:-1 gene:A10p025550.1_BraROA transcript:A10p025550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKRAQALAMSDEMVIQFSSNSSNQSDHSLPDKLAKLEARLTGKTASSAKPLQLSVWSSPSTAAAGSSEASISDSDDENTGDFLIRANTKKRHKVQHSTVVELAEDSVELKPQEAASDGRKNEAETKTGLDVTVSKKKQGRARASSTGRGRGSKAINDVTKSQSLVSAQLNVSDHKDLGPDGQLRNGEGSRHDDDVSSLRAKITVLEEELCESRQDSSEHQNLIRKLENELKDLKDQEQQVKQKTTKVISDLLISVSKSERQEARTKVKHDSLRLGSVGVLRTGTIIAETWEDGKMLKDLNAQLKQLLETKEVIERQRKLLKKRQNGDKSDGTDSESGAQEEDIIPDEIYKSRLASIKREEEVVLRERERYELEKGQLIKEMKRIRDEDGSRFNHFPVLNCRYALLNLLGKGGFSEVYKAYDLVNHRYVACKLHGLNAQWSEEKKQSYIRHAMREYDIHKDLVHHHIVRLWDIFRIDLDTFCTVLEYCSGKDLDAVLKATPNLPEKEAKIVIVQIVRGLVYLNKRSQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDDAGSQGMELTSQGAGTYWYLPPECFELSKTPMISSKVDVWSVGVLFYQMLFKKRPFGHDQSQERILREDTIIKAKKVEFPAKPAISNEAKDLIRRCLTYNQADRPDVLTLAQDPYLSYTKK >A08p025900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16611264:16613081:-1 gene:A08p025900.1_BraROA transcript:A08p025900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLDAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVSANLIRMLAYNNKNMLQTGLIVGGWDKYEGGKIYGIPLGGTVVEQPFAIGGSGSSYLYGFFDQAWKENMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDILNAAGPEPMAM >A07g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18022798:18023608:1 gene:A07g506990.1_BraROA transcript:A07g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIATSHCLADSIFSLGNHCLQLSDRKRIYVKLKITSDLRIFGVTISVIEVFEESEGRKHGECSLLDLATVLEFKKKLCEANSVSESHVPDSLLERLISGTIEFPPACAIVGGILAQEVIKAVSGKGDPVKNFFYYDAQDGRHLQLFYLLTTES >A01p051540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28912224:28914159:1 gene:A01p051540.1_BraROA transcript:A01p051540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPPPSSRRVTSEFLWPDLKKNKGKASKKRSDFFDLDDEFEADFQGFEDDDASFDCEDDDEDDDVFAKVKPFVFTATTKPVASPPTATGSVSGKKIIESGGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGSREWLGTFDTAEEAARAYDAAARRIRGSKAKVNFPEESNNNRSVSQKRPSAKKLVAKPNQSPALVQQPKSQYCNNSFGDVSFVEEKPQMYNNQFGLTNSYNNHYFGSDQGSNSFDCSEFGWSDHGPKTPEISSMLVGNNQAPFTEETNAAKKLKPSSDDGTSNQSDDLMAYLDNALWESPSPLEVEAMLGVDAVAVTQGEENPMDLWSLDDINYMLEGDFAVEEGTVQVTTWSLLHRLLSYMNVF >A10p001150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:595235:601200:-1 gene:A10p001150.1_BraROA transcript:A10p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN1 [Source:Projected from Arabidopsis thaliana (AT1G02310) UniProtKB/TrEMBL;Acc:A0A178WL81] MLNTVPFFLFLLLFLVGNYRISVAEKAGFVGRNGTQFVLNGERVYLNGFNAYWMMTTAADTASKGRTTVTTALRQASTVGMNVARIWGFNEGDYLPLQISPGSYSEDVFKGLDFVVYEAGRYNIKLIISLVNNFEDFGGRKKYVEWAGLDEPDEFYTNSVVKQFYKNHVKTVLTRKNTITGRAYKEDPTIFSWELINEPRCNDSSGSTILQNWVKEMAGYVKSIDSNHLLEIGLEGFYGESKPERTVYNPGGAVLTGTDFISNNQIPEIDFATIHIYPDSWLPLQSSRTGEQDEFVDNWIGAHIQDCDSIIKKPLLITEFGKSSKFPGFSIEERNKFFKSVYDVIYDSARTGGSCTGGVFWQLTTNRTGLLGDGYEVFMQQGPNTTAKLIAEQSIKLKNLKCPPLVTHSADMNSLTVVVMGKGGVGKSSTVNSLIGEQVVRVSPFQAEGLRPVMVSRTMGGFTINIIDTPGLVEAGYVNHQALELIKGTIDVLLYVDRLDVYRVDELDKQVVQAITQTFGKEIWCKTLLVLTHAQFSPPDDLSYETFSSKRSDSLLKTIRAGSKMRKQQFEDSAIEVVYAENSGRCSKNDKDEKALPNGEAWIPNLVKAITDVATNQKKAIHVDKKMVDGSYSDDKGKKLIPLIIAAQWLVVKMIQGAIRNDIKTSGKPL >A03p018920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7719376:7721472:1 gene:A03p018920.1_BraROA transcript:A03p018920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVFRREGRRLLPSIAAHPIAPIRSPLSSDQEEGPLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQGRAENSRGLWQPFTALLGDNPSIDVKKSVVVTLSSDKGLCGGINSTVVKVSRALYKLNAGPEKDVKFVIVGEKAKAIMFRDSKNDISLTVTELNKNPLNYAQVSVLADDILKNVEFDALRIVYNKFHSVVAFLPTVATVLSPEIIEKESEVGGKLGELDSYEIEGGETKGEILQNLAEFQFSCVMFNAVLENACSEMGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEAAK >A01p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10762793:10763858:1 gene:A01p022150.1_BraROA transcript:A01p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT4 [Source:Projected from Arabidopsis thaliana (AT4G16780) UniProtKB/Swiss-Prot;Acc:Q05466] MMFEKDDLGLSLGLNLPKKQMNLKSNPSVSLTPSSSSFGLLRRSSFNESFNSSVPYSDSSRVETRTFIRGIDVNQPPSTAEYGDEDAGVSSPNSTVSSSTGKRSEREEDTDPQGSRGISDDEDGDNSRKKLRLSKDQSAILEETFKDHSTLNPKQKQALAKQLGLRARQVEVWFQNRRARTKLKQTEVDCEFLRRCCENLTEENRRLQKEVTELRSLKLSPQFYMHMNPPTTLTMCPSCEHVSVPPPPPQVAASGHHQRSLPVNPWAPATRVSHGLTFDAFRPRS >A09p029320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17528910:17531691:-1 gene:A09p029320.1_BraROA transcript:A09p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G25660) UniProtKB/Swiss-Prot;Acc:Q9LI77] MKDEFGGFLIEREHISCLQIRVLFFWVDGSVWKMQLRQDAAGASTSTKLLSPSEGFGLLLFSGLDLTVSLLIISYLLPPQSLPLNPISRRKVSPPIYFSGVPPELILVIQLKLEVNIPLAMLSTLQPPRSLTLLPFRRFHGSKTIASLASSSPTLDASSVSPPQSQILTTRRSLLSGETTASEIAKSYLSRIRLTEPQLKCFLHVSENVLKEAQEIDQRISRGEEVGPLAGVVIGVKDNICTEGMPSTAASRILEHYRPPFDATAVKKIKEMGGIVVGKTNMDEFGMGSTTEASAFQVTANPWDLTRVPGGSSGGSAAAVAARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGSTVADAGMLLHAVSGYDRFDSTSSKQDVPEFDTQFLSMDSFESKPLKGVRVGLIRETLEEGVDSGVRSATQEAASHLEALGCVVTEVSLPSFSLGLPAYYVIASSESSSNLSRYDGVRYGNQVMADELNKLYECSRGQGFGGEVKMRILMGTYALSAGYYDAYYKRAQQVRTLIRKDFKAALDHNDILISPAAPSAAYKIGEKKDDPLAMYAGDIMTVNVNLAGLPAIEKLLKVGHIFEQTLKGSDFVPPTLPNVA >A05p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24787450:24790931:1 gene:A05p040510.1_BraROA transcript:A05p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPAAAVATSATGDTRRRLSASISEAICKRRFRRNSKSGGRSDMVKPFNILNFPTQDKNSSCCCSVFQIVKVLLCILLSATLFTIIYSPEAYHHSLSHSSRWIWRRQDPRYVSDLEISWDDVTKTIESVQEGRTIGVLNFDTKEIRRWRDVAKTKDNEDDENVVELDLEHADKNVTWDALYPEWIDEEQEKDVPVCPNLPKIKVPTRRLDLVVVKLPCRKEGNWSRDVARLHLQMAAATVAVSAKGFFRGHVMFVSRCFPIPNLFRCKDLVSRRGNVWLYKPNLDTLRDKLQLPIGSCELSLPLGIKERPTLGNPKRETYATILHSAHVYVCGAIAAAQSIRQSGSTRDLVILVDENISGYHRSGLEAAGWQIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYNKIIFIDADLLILRNIDFLFSMPEISATGNNGTLFNSGVMVIEPCNCTFQLLMEHINEIESYNGGDQGYLNEVFTWWHRIPKHMNFLKHFWVGDEDDVRRKKTDHGLCYRDYDCNFNSDIFVEFATDIAHRRWWMVHDAMPQELHQFCYLRSKQKAQLEYDRRQAEAANYADGHWKIRVKDPRFKICIDKLCNWKSMLRHWGESNWTDYESFVPTPPAITAVRKTSLPGHNL >A09g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13096475:13096801:-1 gene:A09g504200.1_BraROA transcript:A09g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENIFTKSLAVKPCSNLNRTTKYRLSKGNIHVSNSATDKLEYGNQITDKPSSIDTRRPSMHTARSLHSDRARAKLGHYVATERPSRSVAT >A01p020080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9869081:9870247:-1 gene:A01p020080.1_BraROA transcript:A01p020080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSFMSTDQNSSLSFMSTDQNSVRNPNELLRNTYQLVNGEIRTEPPKKSRGRKPGSKTGQQNQKKPTLRGMGVAKLERVIAEEEKKKTVVAGGEGDTSAASPNANRLLPVLPDRGVVLQGFPSYGGGPTNTSLGGYTRSRFLCGGGAGSGQIVIDPVCSPWGFVETSTHELSSIPNPQMYNASSNHCDTCFKKKRLDGDQNVVRSNGGGFSKYTMMIPPPPPMNGYDDQRSQGFFYDQRIARSAPVSASMNPYFNEATNLTGSTEEFGSLNPRNGTRGVKEYEFFPGKYDDFQGKSFTVATSVGDCSPNTSSTIDLSLKL >A07p049180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26156172:26158120:1 gene:A07p049180.1_BraROA transcript:A07p049180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQEENIGIQGKVVAVTGGLGLVGSAVCLELLRRGALQVRSLDCRKTSPWFDRLKEWGVHCINGDVVSIEDVEEALDGVDCVIHLASYGGSGKEMIQTRRIEEVNVEGTRNVLETCVKKGITKVVYLSSNGVVFGEKEIENGDETLPCVSSNQYVGPYDQTKSVAEQLVLKNNGRIVESGHGSLLSTCAIRCPLVYGPGEEKYFDRIISDARLGLLLFKIGDPNSKIDWINVDNIVLALMLATTGLMSKYSKVAGKAYFVSDSSPINFFEFLQPLLKNLDYDLPKSSLSIPLAVSLGNICKAIYIMLSPLLNQRWIPQPLILPPEVYKVGVTNYYSIQKAKEELGYEPKTHPEEAMTETITYFKDKKRREVDGPSIYAWLFCVIGLPSILSVAWLPDIGPIPFLRVIALFIFRSMLALRIASGIVVTAHVSEAFYALWLARRVDPRNASAWFWRTLLLATFSLRLLWKRRGKEVEQTAIREGLLTDSMSV >A06p050450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26527187:26531197:-1 gene:A06p050450.1_BraROA transcript:A06p050450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIKSSPLLAMLIGFIIIAAAISTISVEGRKHHVKRIKPKHRRHSRDTPTGSPAPAPYPSTHDGVFDILSFGAKGDGISDDSKALIGAWKAACKVVGGKVEIPAGKEFMVKAVTLQGPCKEETVVQIEGTLVAPTKTGSWPKSSLFQWLNFKWVSHVTIQGSGTLDARGYNWWNLDNYQTQKNKYIPPMKPTALRFYSSGNVTVRDISIVNSPLCHLKFDDSDGVKINNITISSPENSPNTDGIHLQNTRNVEIQHSNIACGDDCVSIQTGSSNVHIHHISCGPGHGISIGSLGKDETVACVSDIIVEDVSIQNTLAGVRIKTWQGGLGVVKNLTFSNIQVTDVQVPIVIDQYYCDKSKCKNQTSAVSISDVKYNNIVGSFTAQPVRIACSNNVPCMDVDLMDIRLRPSGGIRGLQTHQQQHALCWNSYGKTQGPLVPSSIGYCLKKSNIDGYYPKRFIASSHEKLCPL >A09g513610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41349401:41354164:1 gene:A09g513610.1_BraROA transcript:A09g513610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGLGVVLCIDSSSLSWRGVLQRGLDVAPQREYRMMVWHGLYLDLIIHCLQNANEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKMSKGKGIALERDRSKSPTVEELHHHLAKGVSWVPTRFADPKMMEELGIENDVRTMLQHMKMESFYSMAYPTYENLLLSQWKDYFMSFKEIGAMMGIEDNEDQTLPRFKKLPTGVWRVISGNQHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRLLYRAVRDNVTPEQLEEFEETDKMKFPTTDIFKRFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNRKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGARGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHDAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A10p015870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3385127:3385426:-1 gene:A10p015870.1_BraROA transcript:A10p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRCRITPRRAWDETVTQMQALLPQKAKRLLTLLSWQETLYWIQNERNSRMHTNTFRSVDAFFTIIHRQIRNKTQSFRDSKPTLYSAMFQRWIDIAP >A07p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13482884:13484401:-1 gene:A07p023240.1_BraROA transcript:A07p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLNILIVALSLIAFSPLCLSSKAYGSGGYLFPQFYDHSCPKAQEIVQSIVAKAFAHDPRMPASLLRLHFHDCFVKGCDASILLDNSGTIISEKRSNPNRNSARGFEVIEEIKHALEQECPETVSCADILALAARDSTVITGGPSWEVPLGRRDARGASLSGSNNDIPAPNNTFQTILTKFKRQGLNLVDLVSLSGSHTIGNSRCTSFRQRLYNQSGNGKPDLTLNQYYASVLRKQCPRSGGDQNLFFLDLVTPFKFDNHYFKNLIMYKGLLSSDEVLFTKNRESKELVELYAENQEAFFEQFAKSMVKMGNISPLTGARGEIRRICRRVNHAAY >A10p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21465121:21467128:1 gene:A10p038390.1_BraROA transcript:A10p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 36 [Source:Projected from Arabidopsis thaliana (AT5G04920) UniProtKB/Swiss-Prot;Acc:Q9FF81] MASESRIGSDGLFNTAEVTTSGRPVLRRNEVECFLLSSVDLDSEDDPPRFASLRSGNLILTTHRLIWIPSSQPNASSLPSSLPLSSITHIFSHKKSIKSMFHSPRIRFQVDSVVVVTVVFRGKGDFDGFLTKLWECWRGRAWEEDEKSESEASSGSGAGTVAQGLYGNDGTVRMVGLAGILRKEQEQWESTDKSLQDAFQDLNALMSKAKEMVSLAEKMRQKLLSAPSSQNGGGDDDEMGSKEEMQQWMLSVGIISPVTKESAGALYHQELSRQLADFVRIPLEQAGGMISLTDMYYHFNRARGTELISPDDLWQACNLWEKFDVPVMLRKFDSGVKVIQNKSHSDEEVMSRIRMLVTKTETLRTGVTASDAALTLKIAPAMAKEHLLSAETKGLLCRDMSPDGLRFYFNLFPEIDPTDLHLVKDFGTYGEWVKSH >A08p027780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17475217:17478319:-1 gene:A08p027780.1_BraROA transcript:A08p027780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMEKYKPVMTLVLLQFTAAGVALFTKAAFMEGLSPAVFVVYRQAIATLFICPISFFFTWRKPSKPSLGVRGFWWVAFTAILGVAVNQNAYFAGIDLSSSSMASATTNLVKIAVHCPDHMYTTSWTCFMATIASFLMALALGNTDLHSWKLDSSLKLSCCVYSGLQLAVFFFLQAWCVSRKGPVFSALFNPLSTVIVTFFGVLCLKEKMYLGSLLGALAIILGLYIVLWGKSEDYQEEATELKLQDEHTNLSQLLIGDKAFRSSELLEPLLM >A06p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4535135:4538136:1 gene:A06p003760.1_BraROA transcript:A06p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVAFAAAAVVAACSVAAVMVRRRVKSRRKWRSVVEILKELEEGCDTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVHELPKGTEKGTYYALHLGGTYFRILRVHLGGERSYLDVQDVERHPIPSHLMNSTSEVLFNFLAFSMERFIEKEGNESNSQGVKRELAFTFSFPVKHSSISSGVLIKWTKGFEISEMVGKDIAECLQVALNRRGLDMHVAALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERIDAIIKSQGLLTTSGSMVVNMEWGNFWSSHLPRTSYDIDLDAESSNSNDMGFEKMISGMYLGDIVRRVILRMSQESDIFGPSSSLLSEPYALRTNSVSAMHEDDTPDLQEVARILKDLGVSEVPLKVRKLVVKVCDVVTRRAGRLAAAGIAGILKKIGRDGSGGITSGRSRSEIQMQKRTVVAVEGGLYMNYSMFREYMEEALVEILGEEVSQYVVVKAMEDGSSIGSALLVASLQS >A09g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12648196:12648675:-1 gene:A09g504050.1_BraROA transcript:A09g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLRKHEFEQIGDAQRPRQVAPARRSGLRERPRWVAARGRCERSLRERFRVSENQNGERLLPVALVRSLQASGATSARRSGRSLRVALDESLRDVVHSDLMPSLREVAPGSARPKTTLITSFELQMHPNVSRNSMWYSNT >A09p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21217632:21218891:-1 gene:A09p035330.1_BraROA transcript:A09p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTTVTNESSVGPYVLLLPRTLSSSSTSIGDFEAPKASNSCSSEMIFFENANSALHNHLEAIEAGDEDGTENDETDVYVGISDKDTIEAGDED >A05p002140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:652816:654171:1 gene:A05p002140.1_BraROA transcript:A05p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSNGRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNIISTEDVTVREVVTIIGEASQDEGWVVCRIFKKKNLHKTLSSPIGGAYLSGGGDMARATSSSQMFNDDTIEQFLEIMGRSCKEELNLDPFMKLPNLESPNSQTVNNNYHINSPDTNHDIHVSNVVDTSFVTSWAALDRLVASQLNGPISYSIATVDESRVDQDHLAFPSINRSGSYHAGLMQEYTPEMELWNTTTSSLLSSSDSFRHVSNGSG >A05p012740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5569997:5575567:-1 gene:A05p012740.1_BraROA transcript:A05p012740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRDARNSFVYDPKDSKWETDKRLNMLFKWEGGCVVDDVLCYCDIRGGKVLRAYDPRKISWVVVKGLERLVAEGRFSESYYTGSCRGKLVLFFSKDRRIKGIRCAQISLERRHHQGRSEIWGKIDWCDDVLFLSDDLFVTKTLDVKEGAMQSLIPSLPEEIIVDILARVGSCYYPKLSLVSKHFRSLVTSHELYARRSLLGCTEHSLYVVLCNRENGNYQLYALRQKANGNHSLVLIPSLPKLPRKGGFVAVGSRIYVFGRFKSVPQNAISIDCRYQTVHPLPSMNVTMSVSVADIIDGNIYLTGYCSNPTKMVMMVFNTETEMWEPKKTTPETMRGYLWACECVVMAGKMYMSDPSYNFVYDPKESKWETDKMLNMFKWRKARVVDDVLYYYDSGWGVLRAYDPKGSSWVVVNGLEELLADARFSDWSYTGSYGGKLAMIFTKTTGRTKVIRCAEISLERRRQGKEIWGKVEWCDDVLVGGDFYVKKTLDVIV >A09g514920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44461228:44463258:1 gene:A09g514920.1_BraROA transcript:A09g514920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDGFSAPPSSTDASPPCTNLSLTSDLVAAPLPTSSPQFPPSSSPELPVSTPSTVPEGPNGTVPCVSDCVNGTGPHGSDVVTCDNQSPPDTGATASASHDSGISATMEKLLRFVPSLGSWAKPLYFKPSATPPEPSTPSGYDPAIVGIQLAVIEAATELKADGTLRFPWAARLSPQSRNLYRVATPTYRLDGTPEVYIPSKVLRLGPGNKDEYIIGKFHRCSLPPGGLVHAVQREKDTSSSADIYLNNPLINGASLTAVSAHDIPLLHQMKELNVPSVVSAAEISQSLQSDLEVAPPLFTVSSDVSVDCQSTSNNTLSPLVDSQSTPITAAIMDSVPSNIINKEVQTPSIVDILTTSLQVSEFESPSRFTVLEEVDEVESEHMSSLSLTRGGRETKPPIKFQNLEWKTMQGKGKRGRCGRGSSH >A02p048890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30377260:30378744:1 gene:A02p048890.1_BraROA transcript:A02p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTFCLFFNSLSLSFLFVFGSKKMYAMKEEDCLQNFHNLQDYQDQFLLHHHPQILPWSSLPSFDPTYFPSNPTRYPDSVPYFNRRASSSSSFDYTDGFVSPPSMDHHHHPNHLRVLSEALGPIMRRGTSFGFDDEIMGKLSAQEVMDAKALAASKSHSEAERRRRERINTHLAKLRSILPNTTKTDKASLLAEVIQHMKELKRQTSLITDTSQIPTECDDLTVDSAYNDEEGNLMIRVSFCCEDRTELMHDIINALKSLRLRIHKAEIATVGGRVKNVLFLSREDDDEYRRNFDGVDADKDDEEKRYNRASSIEEALKAVIDKCVNNNDDNNNLDKSSSGSIKRQRTSKMVNRCYN >A01p055520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:31423182:31424198:-1 gene:A01p055520.1_BraROA transcript:A01p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G08640) UniProtKB/Swiss-Prot;Acc:Q9C9Z2] MAAIARLHLSAKSNPNLPPPRAINLSRDPRITLSFPRSGSVCSLHTNFSSPNLPIPCAGGGGGGGGNFGNPPSGGGGGGGEEEGSSSSSWGPIGMFIEGWRSRVSADPQFPFKVLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFMLMYLLAPTSATAGVSQRLPGIFKNCPSGHMFEQGGFTVMNRFGTLVYKGMVFATVGLAAGLVGTAISNGLIMLRKKMDPGFETPNKPPPTVLNSLTWATHMGVSANVRYQTLNGVEFLLARAMPPVVFKTSVFVLRCVNNVVGGMSFVLLARMTGSQSVEGKSEVGVEEEKTEVHVKVKDV >A03p070040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30777532:30780001:-1 gene:A03p070040.1_BraROA transcript:A03p070040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/dehydration-associated protein At4g35985, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35985) UniProtKB/Swiss-Prot;Acc:F4JNX2] MESSPTPKLYPTIDTSTTTAPPPISSSSSSSTNNLYPSLDVDDLVNNIFPDQTTTASAPPVSTEEVILTIPGAILHLIDKSYSVELAVGELQILRLVQGDITVAVFARVADEIQWPLTKDAPAVKVDESHYFFSLRPVNESGSDHPTDNNDILNYGLTIASKGQEPLLEKLDEILADYSSFTEEKVETAMDLTAAKETSPSELTGKRKKMVEKQCTAYWTTLAPNVEDYSGVAAKMIAAGSGQLIKGILWCGDVTMDRLVWGNDFMKRKLTKAEKEREVSPATLRRLKRVRKMTKMTEKVAKGVLSGAVKVSGFFTSSVVNSAAGKKLFGLLPGEMVLATLDGFNKVCDAVEVAGKNVMKTTSTVTTEIVDHKYGAKTAEATNAGLGAAGHALGTAWTVFKLRQVLNPKSAVKPSSLATTVIKTAAKERKKGKKSSK >A03p021820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8946493:8949865:-1 gene:A03p021820.1_BraROA transcript:A03p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNLFTTMRTLKFIEGCKATALNPPPPPPPPSTTERAGEKLFQHLHDHLRVNSFRSKSTRTHPPPNQNAAVSKDSLLPYGLPNTDLLEPQIDPSLKFVDLIDKLAEVYRRIHNCTQFEKADAFLEQCAIFRGLSDPKLFRRSLRSARQHAVNVHTKVVLASWLRYERREDELIGTTSMDCCERNLECPKASLVSGYDPERVYDPCLCSGYNADVDVEECSTSEVDYDMSFCIGDEEVPCVRCKIASLSIPFKAMLYGGFRETKRNTINFTRNGISVEGMRAVEMFSRVRRVEMFPPNVVLELLTLANQFCCDELKAACDVFLAGLVSNFDDAVLLIEYGLEEAAYLLVAACLQVFLRELPNSIHNPNVVKILCSVEGRERLTLVGHASFTLYLFLSQIAMEEDMKSNTTVMVLECLVENAVESWQRQLACHQLGVVMLERKEYKDAQRWFNSAAKAGHVYSLVGVARSKFKLGHRYSAYKTMNSLISGCSATGWMYQERSLYRIGEEKVLDIDIATDLDPTLTFPYKFRAVSLVEESQFGAAVAELNKILRFKVSPDCLEMRAWISIAMKNFEGALKDIRALLTLEPNFLMFNKKIHGDHMVELLRSLVQRRNQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRHLRLARNHSKSEHERLVYEGWILYDTGHREEALAKAEESVSIQRSFEAYFLKAYALADSTLDPESSKYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDCGKLDLAADCYKNALTIKHTRAHQGLARVYHLKSQRKDAYNEMTKLIEKAQNNASAFEKRSEYCDREVAQRDLVMATQLDPLRTYPYRYRAAVLMDDHKEIEAIEELSKAIAFKPDLQLLHLRAAFFASMRETTDAIRDCEAALSIDPCHKDTINLYHKVREPQS >A10p036480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20761487:20762943:1 gene:A10p036480.1_BraROA transcript:A10p036480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGKESSPVTPLKKRRASWSELWLNHHNHHSLDFSSKLQPLTPPIPKSKTLFLPDLTLLLPDLTLLAIITKVPSPYRKTLSLVCKRWLRLHGGLVRSLKVSDWEFLASGRLVWRFPNLDTVDLVSACFVSPGVLVRGSYQSLSFFEESLLSVETVDGGLRTLASACSSLRRLAVANASELGLLSVAEACCTLQELDLHKCSDTVLLGVGAFENLQILRLVVGDVDGLLLVSDIGLMILAQGCKRLVRLELVGCGGGFNGVKEIGECCQMLEELTVCDHKMEPGWLGGIGYCENLKTLRLVSCKKIDLDLGLGEGLSRSCPALERLHLEKCQLRDKDTVKALFKVCEAAREIVFKDCWGLDNDVFSLAMAFGRVKLLYVEGCSLLTTSGLESVILQWHELEHLKVVSCKNVKDSEISPLMSALFWDLVELQWRPDTRSHLFSSLAGAGIGGKGAKFFKKT >A03p038180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15946093:15948948:1 gene:A03p038180.1_BraROA transcript:A03p038180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKFPLMGLLMLLTIVVSPATADGPVCPPSTKLSRASFPEGFLFGTATAAYQVEGAVNETCRGPALWDIYCKRYPARCNNDNGDVAVDFFHRYKDDIQLMKNLNTDAFRMSIAWPRIFPHGRKEKGVSQAGVQFYHDVIDELIKNGITPFVTVYHWDTPQDLEDEYGGFLSERIVKDFREYADFVFQEYGGKVKHWITFNEPWVFSHAGYDVGKKAPGRCSKYVKEECQEGRSGFEAYLVTHNLLNSHAEAVEAFRQCEKCKGGKIGIAHSPAWFEPHDLADSQDGASIDRALQFILGWHLDTTIYGDYPQIMKDIVGHRLPKFTDAQKAKLKNSADFVGLNYYTSVFSNHLEKPDPSKPRWMQDSLINWESKNAQNFSIGSKPFTAALPVYARGFRSLLKYIKDKYANPEIMIMENGYGEELGATDSVEVGTADHNRKYYIQRHLLSLNEAICIDKVNVTGYFVWSLMDNFEWQDGYKNRFGLYYVDFKNNLARHVKESGKYYKEFLSQGVRPSMIKKDEL >A06p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19698232:19699973:-1 gene:A06p036300.1_BraROA transcript:A06p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTEKSVSSVASGNSINSKLRYPLRSALRSKEGKPPVPDFSGSSAPRRGRVASAVSQSTTVLDLSAKKSVDRAKPPRRMSIPNKASSNSSVRSVSSSVTSLSETKAKRPVGSARSLNVTPVSSVMRSGVRRKVEDLSSSTYWLTHIKLAESVAKHSISLGFFKLALHAGCEPLDKMKEELKSYAARNNMDGLADAMKELSELYGISEESKQAQKVSETSSVVAEETTGSLKNDDDVQSSLSTPVASIITSEIMKEDVSQDSAVIEEAKEEEVSENIPQERTRRSLDVINVNQADVPEVVQESEDGAPMVTVVQPSEKKRANRKETVSKNSLKTKKAVATNSVNPRAVPENKDNKSQKKPERITKPRTKKVQEETKKSTKKPAAKEGEEVKSLKHMNKMENKENSVVVGAGEEIQV >A01p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25792549:25794969:1 gene:A01p045720.1_BraROA transcript:A01p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIALGNESLVGSLTPSNKKSYKVTNRIQEGKKPLYAVVFNFLDSRFFNVFVTAGGNKITLYNCLEDGAISALQSYSDEDKEESFYTVSWACGVKGNPFVAAGGVKGIIRVIDVNNETIHKSLVGHGDSVNEIRTQPMKPQLVITASKDESVRLWNVETGICILIFAGAGGHRYEVLSVDFHPTEIYRFASCGMDTTIKIWSMKEFWTYVEKSYTWTDDPSKFPTKFVQFPVFTASVHTNYVDCNRWFGDFILSKSVDNEILLWEPQLKENSPGEGTSDVLQRYPVPMSDIWFIKFSCDFQLSSLAIGNQEGKIYVWDLKSCPPVLITKLSHNQSKCVIRQTAMSVDGSTILAACEDGTIWRWDVITK >A10g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19986353:19989162:-1 gene:A10g506850.1_BraROA transcript:A10g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSKKLKELKSIIRTFSKGNYSGIEKRVSEAFDVLTHCQRVLLSSPTPQAGLEEKKAYEKWSLLAKAEESFYHQRSHVTWLDKGDSNTPFYHRFVRARNSINQILFLKDDLGNIIDTKEGIMNHALEYYENLLGWLLRPARSPKAEQLQILLCTLPLPARSLAPDVYKWCVNDLSLAKFTTTFGDWLSSSDSTCPTTLRRLAAQATIYKLWSERNNRLHNATSSTPQRIFKNLDRLIRNSIFARKERRKFRGLMQVWLKHS >A08p017550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12195001:12195473:1 gene:A08p017550.1_BraROA transcript:A08p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRFSSSADSGRPPPPLVIFLPPSPLLFLLSSVFFLDALCTCATARCRYGASDPDLRRSKVEEWICGSFGQLEWLWFRSGVRSGFLASSVSLLCHLCLIFSIRVRKFAWLKALRVPQTMEMQCFSARLG >A06p015360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6878923:6881203:-1 gene:A06p015360.1_BraROA transcript:A06p015360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFASTPKDTGGNRRKPTSIGEVSVYVPGLRVPRPVEFSQAVGDQLPKTLVERLTALRTRIVVMANQEGPTITRTRRKTQHGGSTLSDLHQALVDYLPVLLELTKDGSHLQFKVHFIWVNQEDEEEETAMSNIWYEVLSVLHLMAMLQMSQANLLLLPRGFSDGHNHPKVSEENRRASIDIFLKAAGYLECAVKNVLPQFSAEQRRSLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSSKATLAVKRRLACEMVKYWQQAQDNLMNLPLANGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADECFKESKKASEAFNASSPTSRTPPLFGTMKYLTEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEYQLPLVEST >A09p009730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5005834:5006949:1 gene:A09p009730.1_BraROA transcript:A09p009730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQNPNPNFTFLSDENFISPFMDNFDFTNLMFEVDEGGNNGLVQEENSPPTSIVSSETLTCDSSGSGSAVMTLSKKDSTFDCINRGIKDAETKEPPSHRVAFRTRSKMDVMDDGYKWRKYGKKSVKNNINKRNYYKCSSEGCMVKKRIERDGKDAAYVITTYEGVHNHEIPSHVYYSDMVSSYDHNNWNQHSLLQSIQHISPPS >A08g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8156025:8157785:-1 gene:A08g504930.1_BraROA transcript:A08g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKTKLLNDGDDDLVVFAPLGCGKPTLATKLCHDEEIKEKFTYIFFCVVLSVLPLGPLYRLYSITEVTKHQKDSEAVDGLRKLIAEVKEDGPILLCWMMCGLEQIPFFRSFRLTYRISRFWISRKHEDLIQKRSKSKRSMMMQIHNVTIFCMLEMTLTASFIYTTCEDYPGLVATLDHETGVWSKVVAMEEGSSAKFYAAVVSLDGGYNAQFEQTPGRLSLRKKIEAQMRVETSCGRKQNQSRKKDYPCVLWLD >A05p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3861795:3862885:-1 gene:A05p009330.1_BraROA transcript:A05p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQLHQLHYLNKHHHQLHPQSQTPELASPATGDRFPQWSLEETKELIAIRGELDQTFMETKRNKLLWEVVSNKMRDKNFLRSPEQCKCKWKNLVTRFKGCETMDEEIARQQFPFYDDMQIIFASRMQRMLWAESEGGGGTSGATRKRSHSEQFSSDEEEENVNEELVGISNESKTLNPKKNIAKKRKGGISNSSGGANNNVREVLDEFMRHQMRMENEWRERWEAREKERAEKEEEWRRKMEELEKERMAMERMWRDREEQRRSREELRAEKRDSLINTLLAKLTRDGSL >A03g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25067975:25069135:-1 gene:A03g507160.1_BraROA transcript:A03g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVERVENLAKSGIKSIPKEYIRPKEELESINDVFQEEKKEVGPQVPTMDLQNIESEDETIREKCIEELKKASMDWGVMHLVNHGVPFDLMERVKRAGEEFFGLPVEEKENGQLEWEDYFFHLVYPEDKRDLSLWPKTPSDYIEATSEYAKCLRLLATKVFKALSVGLGLEPDRLEKEVGGLEELLLQKKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVIAKCVPDSIVMHIGDTLEILSNGKFKSILHRGLVNKEKVRISWAVFCEPPKDKIVLKPLPEMVSAETPAKFPPRTFAQHIEHKLFRRNEQEELVPETKD >A05p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1580210:1580702:1 gene:A05p004100.1_BraROA transcript:A05p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPNSFLLINPCAHSLVIKKPVKAASIGVNRGSKPAVVQVTCRKKDMHPEFHEDAKVYCNGELVMTTGGTKKEYVVDVWSGNHPFYLGNRSALMVDADQVEKFRKRFAGLSEIMEIPVLKGEIVLPTKKSKGAGKGKKK >A05p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3991307:3994648:-1 gene:A05p009520.1_BraROA transcript:A05p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPLSFQFLLSLLLTRAVLSSSEYLIGVGSYDITGPAADVNMMGYANSEQIASGIHFRLRARAFIVAEPQGNRVAFVNLDACMASQIVTIKVLERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVTYIVTSLGFVRQSFDVLVDGIEQAIMQAHQSLRPGSVFVNKGDLLDAGVNRSPSSYLNNPAAERSKYKYNVDKEMTLLKFVDSKLGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFQSGQKIPRRVSTIVSDLSQNHSRLLDIAASYKSSRGQTLDAKVRVRKASFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGERQFKMAVGLFNKATEKLEGKIGYQHEYVDFSNLEVTVPKAGGGSETVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGKAFWRLVRNVLTTPGPDQIQCQKPKPILLDTGEMKTPYDWAPSILPVQIFRIGQLIILSVPGEFTTMAGRRLRDAVKSFLISLDSKQFGNNLHVVIAGLTNTYSQYIATFEEYEVQRYEGASTLYGPHTLTAYIQEFKKLATALVNGQTLPSGPQPPDLLDNQISLLSPVVVDSTPIGVSFGDVKSDVPPKSTFVRGQQVNATFWSGCPRNDLMTEGSFAVVETLRGEKWVPVYDDDDFSVKFKWSRPGKLSPESQATVEWRIPESAVAGVYRLRHYGASKSLVGSITSFSGSSSAFVVV >A05p013670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5948498:5950043:-1 gene:A05p013670.1_BraROA transcript:A05p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEILPPVTTTTVKFLEKKPLVATLLALSLVMIIWNLPPYYHNLISTAARPCSAASPISLTTTTTILSSTENFTASLAASTPSDPNKRVFKPFGNAAALFVLMGAYRGGPTTFAVVGLASKPIHVFGKPWFKCEWLSTNGTSLRAKAVKILPDWGYGRVYTVVVVNCTFPSNPNSDNSGGKLMLNAYYNESPKLFERFTTLEESAGSYDESRFSPPYPYEYLYCGSSLYGNVSSSRMREWMAYHAWFFGDRSHFVFHDAGGVSPEVREVLDPWIRAGRVTLQDIRDQSDYDGYYYNQFLIVNDCLHKYRHAANWTFFFDVDEYIYLPDGNTLESVLNEFSGYTQFTIEQNPMSNVLCLDDSSQDYPRQWGFEKMLFRESRTNIRRDRKYAIQAKNAFATGVHMSENVVGKTLHKTERKIRYYHYHNTITVHEELCREMLPVSAKDNVTFYKKLPYVYDDKMKKLVNTVKEFEEKKLGTEAVKNFS >A03p004810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2023030:2025735:1 gene:A03p004810.1_BraROA transcript:A03p004810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGVWRCGICTYDNDESMNVCDICGAIRHDTVAASMKQKEKQYMSEQNPLKKERDRSETSSQGRHAHIGGVKSSKSLPKAKADTSHETTSSSKNMEASESLTSTMNKMSLTGETETSRDIKSRSAKSKSNHKPEEWMLLDKESDTLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKQMHKFEKEAKLQGKGSFAYAWALDESAEERERGITMTVAVAYFNTKRHHVVLLDSPGHKDFVPNMIAGATQADAAILVVDASIGAFEAGFDNLKGQTREHARVLRGFGVEQVIVAVNKMDIVAYSKERFDLIKQHVGSFLQSCRFKESSLTWIPLSAMENQNLVSAPSESRLSSWYQGPCLLDAVDSVNSPGRDVSKPLLMPICDVVRSNSHGQVSACGKLEAGAVRSGSKIMVMPSGEQGTVRFLERDSQGCTIARAGDNVAIALQGIDANQVMAGGVLCHPDYPVSVATHLELMVLVLEGATPILLGSQLEFHVHHAKEAATVVKLVAMLDPKTGEPTKKSPRCLTAKQSAMLEVSLHYPVCVETFSESRALGRVFLRSSGRTVAMGKITRIIQDS >A08g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6805146:6807179:1 gene:A08g504210.1_BraROA transcript:A08g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVSDPLSIIQSFLNSILMRAHLMLPYISTTTHQAIGFGITLPSDSQASSASSLSYYMEARCQLSIITTLAGKEYYDNSEQNKQPNRCRVLAENFAVEKERINAVSKIRFVGDIVDTDSNCLTVHETKLKVSTYGLACFEKHEFVFYDMLDEEVSKDEVSRDILRLMQHTYRNQGFQLFLSFFEIHGGKRYDLLKERKSVEKHVVILSWLLCT >A07p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24965826:24966258:-1 gene:A07p046170.1_BraROA transcript:A07p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFSSRGVQTMNTMFVKPMLRKSIHKKSASHDLVRETVKTDGAGCAGEEMKTTRGFSIAGDTSSSESSWVPHEATGIYYPKGQEKVMQDVPPPPAGSNVDELVNWFS >A08p006660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3834636:3835268:-1 gene:A08p006660.1_BraROA transcript:A08p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DWY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G47580) UniProtKB/Swiss-Prot;Acc:P0C7R1] MALESAFSASFCSFPFPKAITIERETLSFRRIITSGAKGIAGEGEVQNLKISTGVKRVFKKEKAETQAHNSGRVTSRRLSGSVLEDKQEISGQKKAILDRSKAVVKLKSLGKEVREAGYVPETKYVLHDIDEEAKERALMHHSERLAIAFGLINTPPGTTIRVMKNLRICGDCHNFIKVLSSIEGREFIVRDNKRFHHFRDGSCSCGDYW >A02p034090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18492046:18492990:-1 gene:A02p034090.1_BraROA transcript:A02p034090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHTQKSSNSVGTKIRTVDFRLNKQGKKTLNFLEVPDYLPEPTKKLILFRIRVRTLRSLQKMIKALAARSVNEFRMIDNPGRFKDDIGAVIWLFPGSELDMRGDRFSIFREFKSVCKIWLNSYGTIYRDRKNRLRLSSLDYPPRF >A01p024510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12163192:12165041:1 gene:A01p024510.1_BraROA transcript:A01p024510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGQVMCVLLMCYIFGHIITSHNCGFIEARISSKFGDLEIEKKLRTINKPAVKIIKTIHGEKYGCVDFFKQPAFDHPSMKNHTYHYKMRPISHSEEKRKRETNNTGFGYLWENGVGCPIGTVPIRRITKDDILGLNSLEDIYTPRSSYNTSTVGTSDPYYDQHHFAVGRTPNKGMVFNGATMELCITAPKVKPSQFSSARLHIQMGDDFIQMGITVNPLLYKDDQPRLFVYTKAGGQQCYNHQCDVGMISVRQDYPMGLSMLPASERGAKTSYFSSFGLIKDKANGNWWFEFGTDAEEIGFWPSNLFRQSSGNYVEWGGEVFTASLPGPQMGYGIFPFQQVRYDAYVKRVAILDNNYNFDTKVDYMESFSDDNRGYQVIDFVKSEFQDAGHIIYYGGPGLDH >A07p022340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13072918:13074905:1 gene:A07p022340.1_BraROA transcript:A07p022340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor TOE3 [Source:Projected from Arabidopsis thaliana (AT5G67180) UniProtKB/Swiss-Prot;Acc:Q9FH95] MWNLNDSPDHPEESDGRWKRAGDVPISMRSSTTCLLSVPPVTRNFFPSQIMEQEVPGISGSGITRNHCLVRSDPSGSGRPENLELEITPPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGVDADINFNIEDYVEDLKQMSSLTKEEFMHVIRRQSTGFPRGSSKYRGVTLHKCGRWEARLGQFLNKKYVYLGLFDTEMEAARAYDKAAIKSNGKDAVTNFDPKVYEEQHNSPEKQWDDHNLDLSLSESNSEEFGTKSETASMRNGFRDEERLLGSHLSLAMTTTATVGSEKPLDGGGKRVGMAASSGFSPRFPPWNHHTPRTFHFFRP >A03p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1744825:1751200:1 gene:A03p004040.1_BraROA transcript:A03p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVASQIPASFGHELRARLRCRLVKTYDQIMDSGCENRHFFKIEEVPELIVDVTTLNFNGSSPTTATEEENKTNSLYYSLPLLLAFSDSSIGETFSHLNLRSCSAAMATLEKDLMFLILQFLDEKKHKELVHRLESESGCYFNMRYFEELVAQGKWDEMEKYLFGFTKIGDNQQSMMIFFEIRKHKYLEALDKRDHAKALDILRKDLKVFELETYNKDLFKEMSLLLTMDDFRANPKISTYGDTTSERGNLFRGIEKLIVANPLLRDKLQFPVLGTSRLRTLIGQSLKWQHHLCKNPMPNPDIDTLFVDHTCDQPIAVSAEYLKPVPSSRISPALSEWTDTYASSGPIGWGSPNNPAAILMGPQTPFGHMGYQTADSNNPLKRSRPSGTSQEVGNISPVPYSGQPHGRNTISLDEFPKVVVTTLAQGSPVTSMDFHPFQQILLLVGTIGGDVFLWDVVARQMISEKGFEVWKLYACSDELQASFNDDERASVNHVAWSPDGSLIGVAYSKNIVHIYSFDGGNDIRNHLEIEAHTGSVNHLAFSYPNEQLSVVTCGDDRLIKVWDAFTGARRFTFEGHEAPVLSVCPDQKKIIQIVQVILSTATDGKIKGWSYDDVGASVTYDAPGHSSTRMAFSSDRARLFSCGTNKEGESFLVEWKDGKGSIKRTYQGLGQRAVGIVQFDTTKNRFLAAGDESTIKIWDMNNTNLLKTIHADGGLPASPCVRFNKEGTLLAVSTSNHGVRILATDDGLKFLKTAENRTLVTKVPGGGGGGFGSSSANAGITMADQSTSFAAMKKNEVRTLPLGKPRTSNVSNEGSTTWKVTEITEPSQCYSLILPDKVTDTKVSRLIYTNSGSGVLALASNAEHKLWKWQKSDPNLDGKATTNAHPVLWRPNSGIIMINKTSDTNPEEAIPCLALSKNDSYLVSASGREISLFKMATFETAATYMSPPPAATFLAFLPSDYNIIGIGFKDSSIRIYNLQTDEGKAILLNGHTKRITGLAFSLALNILVSSGADSQVCVWSMAGWEKRSSMYLNAPKGRSMPAVSDTRVQFHQNEIHLLVVNETQIAIYDAQKLDCLNTWFLREATGRRITSGTYSGDSKSIFVSFEDGTVNVLTASNLRLRCRINPTAYLPSNPSLRVLVIAAHPSESDQFAVGLSNGHVCVVEPSESEGRWGTSPPGST >A04p015040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12816937:12818171:-1 gene:A04p015040.1_BraROA transcript:A04p015040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDKGRVDLHYYDGTGSEIDERKQKEGFSIKNLLDLRRQCRKFGKSRWSRQIESEKSLVSFRIGEFSSIFFQSLDLDGTILVLIKTKSKDLCLSRYSVMTGVRWSLPWWNLELRRCCVRGSVIYLLPPVLYTYIYSFIRASKHEGIVCVLWSRDGTRKTMWPVSSWVDEVLNNFLNFMVLLRIMGHEGHILEGDLRWSGHGTLNEYAGEQVTLCVSYSQTLLMFGTCLHVGERDITVSKALGFDSVGSTGCIFYQFERECNGKQWILEGKNITFI >SC147g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000030.1:270550:271607:-1 gene:SC147g500020.1_BraROA transcript:SC147g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIIAVRDLLRNGPFFWTSFTPKRIRKALRFMHPGPASVADAGSDSEPDDQDPVVAPAAMPESSSWKGKDIDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFAGLPPGFDAPPPAKESARPKVIAEGSRIINGGLNLLGSAIEVSHREAMVYLFKAEKAERDLACVQGEMLEREPQLTRDHARAVRKAERKGKREIVEVMKTRASQFQDEYGNLKNTFTSVGDFRECRGSVESLWRTQADDYVFQEEMSLMKSGMNERAHAEALIPSIDERIQGFWDSIPVSPDTEEVPAGFPDGGEEVDRPADAFGDSLSGDFDFGL >A01g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9065102:9066046:1 gene:A01g502690.1_BraROA transcript:A01g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSTLSSLVNTSTTNSWLANKLIKMRGEVYTWIQLRVGNGVNCRFWTDNWSALGSLQGYFAAGSASRQGIPLTATLSDLNRNGSWTLPRPRSEEMVQAQIALTMVTLGEEEDSYEWVVTGTHTVKLFKRLILLCWKGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A09p030040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17850785:17857832:-1 gene:A09p030040.1_BraROA transcript:A09p030040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 7 [Source:Projected from Arabidopsis thaliana (AT4G05160) UniProtKB/Swiss-Prot;Acc:Q9M0X9] MENSGYGRDGIYRSLRPTLVLPEDPNTSLVSFLFANTSSCPSKPALVDSDTGDSLSFSQLKSAVSRLAHGFLRLGIRKNDVVMIFAPNSHQFPLCFLAVTAIGGVFTTVNPSYTVNEVSKQVKDCNPKIIISVQELLDKVNTFDLPIVLLGQSDLDSSSKILTLNNVMDLSEPVSDLPCVVVKQSDTAALLYSSGTTGMSKGVELTHGNFIATALMVTMDQDLMGEYHGVFLCFLPMFHVFGLSVVTYAQLQRGNAVVSMAKFDLERLLKNIEKYRVTQLWVVPPVFLALAKQSVVKKYDLSSLKYIGSGAAPLGKDSMEECARNIPNVVLMQGYAMTETCGIVSMEDPRRGKRNSGSAGMLASGIEAQVVSVESGKPQPPNQQGEIWVRGPNMMKGYLNNPEATKETIDENGWVHTGDLGYFNEDGNLFIVERLKELIKCNGFQVAPAELEGLLVSHPELLDAIVIPFPDVYAGEVPIAFVVRSPNTSITEEDIQKFIAKQVAPYKRLRRVSFVSSVPKSLAGKLLRRELREQVRSKILYEDPRRGKRNSGSAGTLASGIEAQVVSVKSGQPQPPNQQGEIWVRGPIMMNGIEMVGYIPEILDTLTRMVINLFIVERIKELIKCNGFQVAPAELEGLLVSHPELLDAIVIPFPDVYAGEVPIAFVARSPKSLITEEDIQKLTLSSLSLRSEQEMEKSGYGRDGIYRSLRPPLLLPKDPNTSLVSFLFRNSSSSYPSKPAIIDSDSGVSLSFSDLKSSVARLARGFLRLGIRQNDVVLIFAPNSYQFPLCFLAVSAIGAVFTTANPLYTTNEVSKQIKDSNPKLIISVEQLFDKVKDFNLPVVLLGSSNESLQPNSKILSFKNVMELSDQVSDLPVVEIKQTDTAALLYSSGTTGISKGVELTHGNLIAASLMVTMDQDLMGEYHGVFLCFLPMFHVFGLAVIAYSQLQRGNALVSMARFELELLLKNIEKYRVTQLWVVPPVFLALAKQSVVKKYDLSSLKYIGSGAAPLGKDLMEECGKNIHNVVLMQGYGMTETCGIVSVEDPRLGKRNSGSAGMLAPGIEAQIVSVESGKPQPPNQQGEIWVRGPNMMKGYFNNPQATKETIDRKGWVHTGDLGYFNEDGNLFVVDRLKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPLPDEEAGEVPIAFVVRSPNSSITEEDIQKFIAKQVAPYKRLRRVSFISTVPKSAAGKILRRELVQQVRSKM >A01g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10755263:10758156:1 gene:A01g503390.1_BraROA transcript:A01g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLNFLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSHLEVVWKSSGFPKSRLDFLSRLDFLKVVWSCLLKWNPSFNNVFQDTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSTLTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAQRITNGSHPPIIVSFYDSMNHKNFRIKILVFFNSLWRESERYVVFSSQEWKKKKSKSILGALRASNWLFMVVVVLMTMAIL >A07g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6340566:6344778:1 gene:A07g503190.1_BraROA transcript:A07g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELGFGFRGGERAKKMDSGEGGREVPLNPNYLCSPRLSYRTTLSLSPPRHWLILRRTMTADIGHMLETDQTIPKDKRLRLALIMIVDGVLISHKQVAKPTLHYVRMVDNLEDFFNFPWGRESFLKTITCMKPPTDAANPVAALAQVLQQKTYRLTGFPLALQLVAFKAIPLLAAKIPAPHYNLTLLNLEEDHLPPHGSIHLEDFLTVEVNPQGGETDDERVCYMENLIASGNKFKPTDWPGGDTSNPEFVFIPAVQTVHRKQTVPKKHPLKVNKAPTKGPSSSRKQRRISNYFKADAAARAPTNEWLEAKIREHESLLAQLQSDNRRLKLKLKKRHRKPGCKLTSMTPTLRKGKSKFTQDQDMSPQETNNGQRPASNPTQADNNTTNDETQQVTTSSQPSGHDQLSDGYVENSTQSQDPQSPALSNSRETTIAQMLQEIINSRQPKQGASSQQATKVLPPSCRPQEPIHSFSRSPTPTPISPVESQYNAQRFSAGTNEITTDAADLIISSVIGSIEPAETTSTLQCPHQASKPNQNPLHQTQTTNQNPSTDITPNSSPDKQSTHTKTKLQITHNTPKQPSQTHEIQVSSAPSRLYPSTQSALLISSKPNRINEVRKPASMKTPSQLGFIAHTSTVNAFASQATSNHTTTSSTSVQAHSGTLLEATSDLLCVSDSSPSKPKPDHSPSVQEQELARLLKNSPTVPATLLFPPIQPQLWEFFHKTLHNHKDILHINPYTTAFTNSSLIKLATPSQWTDSTQMEVLMYMTGVSHKNVLAKENSLFVTPWLTSYIQKKWRQFNAAVDKDMFRWDS >A07p042840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23201146:23202943:-1 gene:A07p042840.1_BraROA transcript:A07p042840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRAVAALLRRNQLLNSSKPSSSPPCLWKRSTIGSQASPFLNFRCFSAFPSPISIYNNDSDSGSGDVYQSYDFGTKEEEDRGKIPIKAYFLSTRFVLTALNVFETIKSYGFGSIDLKGMQADNLCNVVPPTSRSTNSIALRFSDSSSGIPTMDERESVSSCRFMVVFQYGSAVLFNVDDNDVEAYLDIVRRHASGLLTEMRKDDYAVKEKPLLTEEMKGGPDYIVLKTLDTNSIRIIGSVLGQSIALDYFVSQVDKLVEEFAGINRAMEKTGTFTMHRKKLFQLVGKANSNLADVILRVGLFDRSEIAWREARYALIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVMQNRRSDLLEWCIIFLLTLENILSIYEIVRESTGGSL >A06g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13865329:13869926:1 gene:A06g504390.1_BraROA transcript:A06g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGQWKKHLTAGDKKFQSTSRSSAVGNGEHASTFNLSKLWHRLKGADSNDKGSSGNGQALRHNWKKIVTLQICFPEFSIIHVSRARNQFLNFLAKTFSFFHRKLLLIGCFIPRQHKSEAGWDLRRTGSKHDGIEPRRENPKLGNNPNFAHQNESRKIFGFNHGRNMPEGLKLRKSAEKLEVSQCMSSGCGVNHLISCRSPQARGVGTHGSSTCGLTCESMRCRCICNRSMLIEMWVRHTGCHQPEGDWLLSSINRHAHLHISTHPNHFRSSFREFPRSVTTPVLSRCLEEFLFELRVVQGRPFRSIALAFQRHQFEVNQHPIAEVMPVLLESCQYASREEAVEKRNNASRAYLMTNLGPEVTTYYQYIPGRP >A06p056890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29600444:29605681:-1 gene:A06p056890.1_BraROA transcript:A06p056890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTCLLLIVWFLLCIPGSLHLVRAQNLTRAITDPDEAEALNSIFAAWKILRLREWNTSSELCSGPAIDDSIKIDDPAYNPFIKCDCTFNSSTICRITALKVFGKDAVGPIPPQLWTLIYLENLNLAQNYLTGSISPAIGNLTRMEWLTFGINALSGPFPKEIGLLTNLKSLGFGVNKFSGSIPPEIGNCTKLMKIYLGNFELKGGLPSSFANLVELEDLWIVDLDVTGRIPDFIGSWTKLLTMRIHGTGWSGPIPSSFSNLTSLNELRLGDISNGGSSLDFIKDMKSLRILVLRNNNLTGTIPSDIGEFSNLEQVDLSFNQLHGPIPASLFNLNQLTYLFLGNNTLNGSLPTQKSQGLSDIDVSYNDLSGSLPPWVSLPNLKLNLVANNFTLKGHDKRILQGLKCLQKNFPCNRGKGIYSEFSINCGGPEMRSNGEVFEKEDEDLGTASFFVSDVQRWAVSSVGFYSARRNNVWIINTLNAELYKSARHSSSSLRYYGLGLENGGYTVTLQFAEIVITDSYSWKGLGTRRFDIYIQGRLVEKDFDIRRTAGGNTIQAVQRKYKTNVSENYLEIHLFWAGKGTAGVPIMGTYGPLITSVSAKPDFRPTVGNMPPSKEKYSTGTIVGVIVGLGLVSIIAGVAIFMIRKRNKRYTDDAELLSMDIKPYTFSYSELKNATQDFNPSSKLGEGGFGSVYKGKLNDGREIAVKVLSVGSQHGKGQFVAEIVAISAVMHRNLVQLYGCCYEGDHRLLVYEYLPNGSLDQALFGGGKTLYLDWSTRFEICMGVARGLAYLHEEASVRIVHRDVKAGNILLDSSLVPKVSDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVYAFGIVALELVSGRKNTNVNLDNEKKYLLQWAWNLHQDGREAELIDQELTEFNMEEVKRVIGIALLCTYSSHSLRPPMSRVVAMLSGDVEVSKITSQLGYLTDWRFDESSSSSFSAFQTKDTGTSGSFSTGFVTPKDGNFKQLGVKIKEGR >A02p031800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16678239:16679459:-1 gene:A02p031800.1_BraROA transcript:A02p031800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSNLSSIQGIMKAKRNDNNVRSNVFGGGEGLPVSVFGEELEERKRKGDETEEMKSEFIKSYNTEELGEMLRRYRPEGKKEEGWFSLQELNQRLVELREVEEEAAQGTRKGLPFDDLRFGIQEKKEAEARKSLAFQNVDLFSVFSDTPKYLLEPPKEELVQTYFHPDNMSSAEKMKIELAKVREEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLIAMVHRWKKLLKYMRRTDWDSYCLSLSKLGLRDNPDYKF >A07g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3194425:3195055:-1 gene:A07g501580.1_BraROA transcript:A07g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPADYIQCSLTLSLYLFQVIVSLFKYSVVYMLGAHCSCLGLSVGLDHTQMACNKKKKDSTSDFYQILKTIADEDTDL >A02p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6007518:6014373:1 gene:A02p013760.1_BraROA transcript:A02p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT32 [Source:Projected from Arabidopsis thaliana (AT5G57740) UniProtKB/TrEMBL;Acc:A0A178UH83] MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLVESGVDINLRNYRGQTALMQACQHGHWEVVLILILFGANIHRSDYLNGGTALHLAALNGHPRCIRILLSEYIPSLPNCWSLLKNSKSSVSGFDPSVIQEVINRAADGGITPLHVAALNGHVETVQLLLDLGASLTQVTVEDGTTIDLIGAGSTPLHYASCGGNTQCCQLLIDKGASLAAINSNGWTPLMVARSWHRNCLEEVLNPTTEQPLSQLPKVPSPFLCLPLMSIVKIAQECGWRGDDCLTPCRDPCAVCLERKCTVAADGCGHEFCTNCALYLSTTNITSSKTSQATPGSVPCPLCRYGIVSFTKLPHTIPTTTATSSRTSISLSFCTCSSSDVLDTGALLTDPHYSCKPVVSRTGSQSVGSSSFRSLSCRFPPSLCLGGSDVDEPQSRLMNGSYSRSGLGSRRSTSEVEGKRSWFCALNHCVTTGGRCGHEFCTNCALYLSTTNITSSKTSQATPGSVPCPLCRYGIVSFTKLPHTIPTTTATSSRTSISLSFCTCSSSDVLNTGALLTDPHYSCKPVVSRTGSQSVGSSSSFRSLSCRFPPSLCLGGSDVDEPQSRLMNGSYSRSGLGSRRSTSEVEGKRY >A02g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18262790:18269041:-1 gene:A02g506460.1_BraROA transcript:A02g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRDLSKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMETTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVCIDAASVEESCIPLFRRLPNDRPFINPFAPFPGDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTNESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAELDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTLVGDFRECRGLVGSLWRTRADDYVFEEEMSLMNSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFADGGEEVDRPADAFVILLSVFGRVWPFEFGFRLGLDGRICIYRDWPLVALNPLPLYAISCFEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLSVFRRVLKLLPEALQRVASRPVLLPRLCNWSWSVLGIVAKIADIRCLVSGFPNLSAFTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDIFVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTRRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLERRGVGLRVGRGYVRYWSVEIGAAASIKRSLHVIRRETVGTKIHTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKREKSRSYSESAYELLPSAPRLATELEPKLGRYVATGLEPKFGRCVAIEPFRTSTRHRSMHSRQTFECYLPKTVASSVHVSRYSNSSIKLRGLETAENS >A04p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6866921:6868631:1 gene:A04p011160.1_BraROA transcript:A04p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSTPYLIFSSIIDFSSLFSRFIFSRTYRRDPPSPSKSTVSVEIRRLRRDPPSLSHATGDESGLQRIATQSLQMLIHVQDSQLEYKHLVTPTHPDLDDMDFYIVVQLVNKDIKRRKANGNEDLWLSFCSI >A07g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3535187:3535449:1 gene:A07g501700.1_BraROA transcript:A07g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQVPADGVLISGHSLAIDESSITGESKIVHKDQKSPFLMSGCKVADGVHNVMVCLWSY >A09p008670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4475417:4476494:1 gene:A09p008670.1_BraROA transcript:A09p008670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVETATTVIQRPDGKLERVYSTVTASEVLSLILATTSLFSSPLTYLTVAVSALLESNYFDLLIVSCSPMSVGSSVRKGSHQFICSASLLLTMCVCFYLNIELMKGLRAKKSEKMKKIHGEVSVAEEEISPLTLRSESAFDKYIQISVHEKQRETMNAIATNKLRAWQPSLQSISEFIALCVSFHF >A06p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21694675:21695265:1 gene:A06p040120.1_BraROA transcript:A06p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVTAASPSRSRPPPDPPPSFAVFVVVFGASPLKPKPSDDSPLAIVQPSTAFEGFVSDITIFPEYRGYISTFSSIGIEFR >A07g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11070415:11078752:-1 gene:A07g505120.1_BraROA transcript:A07g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEVIFAIDFEICNLRRLKMMRQLHAVYGEWLLKDECWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADKIYVNQSFASKDALLSELRLTAVRRRFSLRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSILDRIAQRKRCTPKYIGRLFIDRVGIIDGDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSKPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A09p072370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55518296:55519279:1 gene:A09p072370.1_BraROA transcript:A09p072370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRREMLGCCKVYISEARNKAALEAIERSAKTFPPAAIVNKFEDAAYGRVGYTLVSALDHEGLSSSSPLKSAVFAMVKTALDAINLESHCGSHPRLGVVDHICFHPLYETSIDQVSSVATSLARDIGSILRVPTYLYGAAHEEECTLDSIRRKLGYFKANREGHEWAGGLELEVVPVKPDAGPQEVSKAKGVVAIGACGWVSNYNVPVMSTDLKAVKRIARKASERGGGLASVQTMALVHGEGVIEVACNLLNPSQVGGDEVQGLIERLGGEEGLIVGKGYYTDYTADQIAQRYKELLIS >A05p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2424076:2426363:1 gene:A05p006160.1_BraROA transcript:A05p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNPLMEAARKGDVEFLLRSTESDPTLLEVIIINGQETPLHVASMYGHLSFVKEMLNLREDLGRELNKDGFTPLHIAASMGHVEIVKELLEKLSGEICLIKGKERKIPLHYAAMRGRVCVLDELVSANPQSLEEVTAREETVLHLAVRFCQFDGFVALLECLKDFDKLCVLNKQDHGGNTVLHLAVQKRQFEVIDLLLGSRGCSNTLPRDFIEVNSLNSNGLTPLDVLLNFGGEPEESEIHQLLLQAGAVRSIDHNTTQPATTTTSEESLMTHKQWLDYFKHKKDKVSPNEIRNVLLVIAILIATTTYQAALSPPGGIWQEDYYCIGRYCQESETKGNKTKPVYYAGTTIMGSKSWISYGIFIFLNSVGFFTSIELISLLTKGLPFYLELQVSLTALALTYGFAMAALSPNFGLGLFFMVISVVLPFAVAKIPSLIRKLAKKTRIYPLRRTATTTIS >A08p030400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18639097:18641324:-1 gene:A08p030400.1_BraROA transcript:A08p030400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGILKSAKEEKKKKMVSQGNETLASRRRSNKEKQMDLLQDVDKLKRKLRQEENVHRALERAFTRPLGALPRLPSYLPRHTLELLAEVAVLEEEVVRLEEQVVSFRQGLYQEAVYISSKTNGLNEKHQRSKSMSQHELKSMITPPKKHQQSLSPSRSISSRKLFSSDQTVNDKQASPKPNVSSVVTKPVDVRGKENQTSSNGLKDKKDKESPEKRIMKPLIKLDDRLADQDKTHECVSESVQSGTAANRVSEDLLKCLVSIFLRISSSKDIVLDPYRNCSEWRTRELGEYKNLCSVDASSIDLGRRINALFLIHRLKFLLNKLSVVNLDGLSHQQKLAFWINTYNSCVMNGFLEHGIPETPEMVVALMQKAIIVVGGHSLNAITIEHFILRLPYHLKFNCPKTATHEEMKAHSTFGLEWSEPLVTFALSCGSWSSPAVRIYTATSVEKELEAAKRDYLQASVWISKRNKLMIPKVLDWYLLDFAKDVESLLDWVCLQLPDKLREEALKCVERKNEESLMELVQVVPYDFSFRLLLHQ >A08p007430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4384509:4385695:-1 gene:A08p007430.1_BraROA transcript:A08p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45474) UniProtKB/Swiss-Prot;Acc:Q9C639] MSVFLRGGIAGGFHLRSRDSSAVITKRRISSVGAVTGRSVNPAAAIEQRATWLPELVHARFAMLGVAGILLTDVIFKLLRTTGISNLPVWYEAGATKFDFASTKTLIVVQFLLMGFAETKRYMDFVSPGSQAAEGTFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHDWKLKEIKNGRLAMMAMLGFFVQASVTHTGPIDNLVEHLSNPWHKTIIQTLFTSAS >A10p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14967536:14968817:-1 gene:A10p022760.1_BraROA transcript:A10p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGSAGTGSSPRWNPTKEQITLLENLYKQGIRTPSADQIQQITSRLRAHGHIEGKNVFYWFQNHKARQRQKQKQDRIASFNRLLHKTSLGCVNPYYLHQVRDHHNQHGSVYRQDLHSNKVMLPSGGYEERTTTDHKKHVSDITTTTARMSMSSSSLRFDRFALGNHGYFSEGINVNTNGLKTLPLFPLQPLDATNDSGVGNSSFSLRHDSPVTYCGDDGGRGQPFIDFFSSGSSRFDNSGNGL >A08g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5285888:5288700:-1 gene:A08g502420.1_BraROA transcript:A08g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKNGTILEAVPVNNLGKWKTATQMSTLTILIVSRDRNVEWLSASGVGLLYVSGGLSVKMFEAEQRRLFSQFEVQEFCDNLVEGVVKALKDISKIHKKSTSTRAPVAEPSIFISEEPKEVMESLITCEDECDLPSPKPDLMFDIDNEETNGLTCFEPEHPSSLVLVSHVFEEEPLDYPHQGPRIDTKRPLDDGLGPIFDEEDELGPTFDEKAPSMTSINMENHLCFDLGTTPTSLPTGSQEHLFEPDKLSDQKRFQNGNNIHSDLVLSFDQFLKHSKGFDHLEKSLELGLQQLVFCARKSFDSFVFKENSFDLSFHKHEMITGDVFASTCALDKLMIFKTLLEQKSPRVETDFCDSVLKLDILYIVVYNTFFEKHIEPLISDSQSEFTLLCSDFEKDRHVLKKFSIMLYLDTILICNAYFDVNFERLKRVLHVLGKETLISYSSKYMSCTYDSGILVSVLTIQDKQVQSQRNVKNKSIDRAYQPEIWRCIYSRKMASKLQGKEGNDAPRIIDPGQDVVTMAEPDDSSTKDKPGWINGEHTDLIPAGETEDELEPAEENMHELKPAEVRVYELSELSDTSLELNELSDTEDGADLVAGRNGPFSAQRKIHNKFNLGRFCTKFDQTFSQSISSSFSSRIPRGNQQGSLGRAWKK >A05p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:943373:945637:-1 gene:A05p002670.1_BraROA transcript:A05p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNDADLFDPKTEMDSNFSRHGSSSSSSEGDFGFAFNDSNFSDRLLRIEIMGGASSDSTSDVGEGGCTSIADWARHRKRRREDNNKKDNGDDDASSEPNWGMDQSTVVNVKELHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRISAQEEGALMELLNFVYSNSLTVTTAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPDSALLYLDLPSSVLMAEAVQPLTDAAKQFLASRFKDITKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARGQYSSLEDRREILGSRLALYIRFPYMTCRKLKKVLTCSDFDHEVASKQVLEALFFKAEAPHRQRILAAEGSDSTNRRFIERAYKYRPVKVVEFELPRPQCVVYLDLKREECTGLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGAVSFGVDYEFAAREKPSQDYACKYKGNYTFTGGKAVGYRNLFGIPWTSFIAEDSQYFIDGILHLRAELTIKRTDLH >A08p040640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23108049:23112897:1 gene:A08p040640.1_BraROA transcript:A08p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKGWFILKLLMFQGLFISHSQEQEDFDFFYLVLQWPGAYCDTKRSCCYPTSGKPAADFGIHGLWPNYKDGTYPSNCNPDSEFDKSQITDLVSSLKKTWPTLACPSNEGFKFWKHEWEKHGTCSESVMDQHEYFENSLKLRDRANLLQALTNSGIKPDDRFYDLEKIRKAIKDEIGFTPGIECNKDPERNDQLHQIYICVDTSGTEFIKCPILPRERCPSRLQFANSSTLSMVSCVLMFLILHHTKESEAVEVCSFTDQLPGKCGNDGSNKCVNAMQKKALLPEVKVRCACFDHPTVILENYKFSAWKTLFVILREWKTLRKYIDVILLSSLYICVSRKVCADPKLLQLRFCCLPLPFTFLTPSAPSPSLSREIHRADQRVLCFGLKLKLKLKYFIYNVGLRFVSNSPLKIMAKTNGIIPTIDLGEVSDKILNQQIREASERWGCFRVINHGVPLSLMSDMKMTVMDLFERPHEVKVRNTDVLLGSGYRAPNEINPYYEALGLYDMASPQAVNTFCDQLEASADQREIMVKYAKAIDGLAKDLARRLAESYGLAETNFFKGWPSQFRINKYHFQPEAVGKLGVQLHTDSGFLTILQDDENVDGLEAMDHSSGTFFPISPLPNTLAINLGDMAAIWSNGRLCNVKHRVQCNEATKRFSIASFLLGPMDTDLEPPSEFVDAEHPRLYKAISHDGVRNIRMTTKLHDGEALKLITYEE >A09p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36055217:36056683:1 gene:A09p044040.1_BraROA transcript:A09p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLSFPAISPLPSASSGASFRHRSLSPRLFKPCVKCSYAEAAPIDIVADVRSERVVVLGGNGFVGSAICKEAISKGIEVVSVSRSGRPSLQDSWLDQVTWVTGDVFYLNWDEVLLGATAVVSTIGGFGNEEQMKRINGEANVIAVNAAKDFGVPKFVLITVHDYNLPPFVLSSGYFTGKRSAEAELLSKYPNSGKKIDPAHLLDFGVVLRPGFIYGKRKVNGFEVPLDLVGEPLDKIYDAAERFIRPLRSLPASDLILAPPVKVDDLALAVINALKDDDIFGIFTIEQIKEAAAEMRALSY >A10p026510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16805541:16805983:1 gene:A10p026510.1_BraROA transcript:A10p026510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLVLPGVSIWEAFSIGTTDEIDMSFLVATRWIVPAGVAASDNIASFFQYLSKSYSAAKHMGESGKRGVGGEGHLGLIDQPNI >A10p005460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9328599:9328850:1 gene:A10p005460.1_BraROA transcript:A10p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRIWVCVVLLILLELTSVHQCRSLVVEERLSGSSRVMKIRRELSQRLKELNARLEGEGKILGNTLDSKRLSPGGPDPRHH >A04p032460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18983515:18985500:-1 gene:A04p032460.1_BraROA transcript:A04p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLALCLCVYLLFTQGAEGSINDFDCVEIYKQPAFQHPLLKNHKIQETFSSYEDHEKSDNYEKKEHCPKGTVPIFRQRNGSESFHLNTSDHLGQHFATMETSMLDGSIYRGAEAEISVHSLNLQDNQYSKGQIWLENGYLGQLNSIQAGWAVHARLYGDSVTREMAMVKLGATTHNVLDISTGNWGLKLDNEVIGYWPKELFTELNKGASQVIYGGNTFMSTAEISPPMGNGYFPVADFLKTAHFKNVFIIDSNYKRAYIDEKKLRLYADYVECFRVTYLGYIGSNGMCFSYGGPGGRCSY >A04p034690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20025049:20026458:1 gene:A04p034690.1_BraROA transcript:A04p034690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQRASKELVKRDMLDPPRYLQRAEDRRGKALSFGVLDWQQLEKRKDSASEGTKNASSYTGSLELDLATGVVKRLDLNGRQDDVLGQCSSPVNVMEMNQKSSEKASLSVTSKRERHASPPNRRFSFSQMRRSFSSKESSSSGPALSSTSNASAKSGPLTFNNSAITKPNGHSRTRSGPVMKHKNVTLQDPSKPSNTRSHALLQFTLRKGISLYQFVVDNNVLAATMKSLDSSRKSYTLYSVKEVKNKSGNWLGRNRNDHPFVHTIIGQMKTNADSATHRTESVLFGVETNEELAAAIVQTRNTTQKQNTTTTVILPSGAHTLPKDSNAPLKLIDRWESGGSCDCGGWDIGCKLRVLSNDHHTKCKPFSSFQLFGDQERDEPVFKMVRHDDELHSVEFGSSVSLLEAFFIALAVSSHQNWCEEEEAVLKRVTSTKYASNPPVSPIGRV >A02p014530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6442845:6443984:1 gene:A02p014530.1_BraROA transcript:A02p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSTAASWLTPTTLFLLLNLMIGTIVITSRIGSSGSRKHHQHHDGFGSGHAPSPLARAPSIIDRVKSINFHLYKFPHPETDLFSTTTNHHEVIGSDLHVYPGPNPAPLQRAPSLLDRVKSINMSSYFKFPHDVTGSDPHSHSHSHPDPNPTPLQRAPSLLDRVRSINMSHFKFPQYTHEENESATHTEPTRFENISTRMGRVDPIDISKFRIPEEDQPTGTRFDNQINPPGLTRAPSILERVKSIKLSSFYRTDPDTNPDQSPDPDSITHEDHKPVRSKSESKKPVKKKKKATGKMTKSASEKSGFGFAGNSEEAESVESVERRRPDTTRVERSTSFGDGEDGVDAKASDFINKFKQQLKLQRLDSILRYKEMLKAN >A02p004070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1489954:1494056:-1 gene:A02p004070.1_BraROA transcript:A02p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPESNPDPDPISSARNELLALRAIHRRRSCEERFSQSNFATPLVFVKADEPEEELVIAKRGLWRCAICTYDNDESMHVCDICGVIRHPVPGGNKTISNSAVEGRCKEPMVSKLAKSLFESNSLKKGVLYQPEHKNLVLAQGALPRISRGNIHDLHKAFSSKNSSVSSIAPFKFDAPSPDDLVSNGLKSSRTGPKDSMKNKEKQDSAEHNQTSSQGRHDNVGVGGIQSFKSLPKAKADKSKETSSSSKNMEVSESLTSTMNNMSLTGETETSRDVKIRSAKSKSNHKPEEWMMLDKESDALSQLNLAIVGHVDSGKSTLSGRLLHLLGRISQKQMHKFEKEAKSQGKGSFAYAWALDESAEERERGITMTVAVAYFNSKRHHVVLLDSPGHKDFVPNMIAGATQADAAILVIDASIGAFEAGFDNLKGQTREHARVLRGFGVEQVIVAVNKMDIVGYSKERFELIKQHVGSFLHSCRFKESSLTWIPLSAMENQNLVAAPSESRLSSWYQGPCLLDAVDSVKSPDRDVSKPLLMPICDVVRSSSGQVSACGKLEAGAVRSGSKVMVMPSGDQGTVKSLERDSQGCSIARAGDNVAIALQGIDANQVMAGGVLCHPDYPVSVATRLELMVLVLEGATPILLGSQLEFHVHHAKEAATVVKLVAMLDPKTGEATKKSPRCLTAKQSAMLEVSLQYPVCVETFSESRALGRVFLRSSGRTVAMGKVTRIIQDS >A03p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9435601:9436592:-1 gene:A03p022470.1_BraROA transcript:A03p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTPLGNGDDGAIPISFDQAKPLWLLSPTVSVSQTPSSKSVVSPEIVVREDRVEDWWEVAETHCTSFFPGHSFPLDLVLRVDRLMAMIMGFSVPPGCLPEGYV >A07g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11942754:11945190:-1 gene:A07g505400.1_BraROA transcript:A07g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLAST >A10p026990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17033047:17034950:-1 gene:A10p026990.1_BraROA transcript:A10p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIEGVFTTKIDAEQGKVTVSGNVDPSVLIKKLLKSGKHAEIWGAPKGNNTNQNQPNLANQLKGMQIDHGGKGGGGGGGGNNNKGQKGGGGGGGGGGGGGGGGGGPPKMILPQLTPQQMQQLSPQQLQQLQQLQQMKAFQDLKLPPPQLKGPGPGSVPMNKNPQNPNQKAVKFDVPEDDDDDFSDDDEFDDDELDDDEFDDHRPPNKMKPMMGGGGGNMMMPNMMMMPNAQNNGGGGPGPAGGKIVGKGGPGGVPFPVQMPGGGGGNGGMKGGPPGGGGGGNVGNPNQGGGKNGGKNGGGPPLDVKNGGGGGGPNGNNKGGGQMIGGPNGGKKGGAGGGGGGGGGGGPMGGGLPPGFRPLGGGNGGPQTMNMPMGGPIGSLPSMGGGPGPMGNNMQAVQGLPAMGPGGGGGGSAAGAPPGYFQGHAGSGGGQDSMPGNPYLQQQQQQQQQQYLAAVMNQQRAMGNERFQPMMYARPPPEVNYLPPQPHQQHPYPYPYPYPYPPHGGDQYSNYFNDENTSSCNIM >A07p013590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7386115:7386531:1 gene:A07p013590.1_BraROA transcript:A07p013590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF095 [Source:Projected from Arabidopsis thaliana (AT3G23220) UniProtKB/Swiss-Prot;Acc:Q9LTC6] MEHRASNTNQVKYRGVRKRPWGKYAAEIRDSANHGARVWLGTFNTGEDAARAYDRAAFSMRGHKAILNFPHEYPMMRDGSSGGGENAVASSSSEFSERDGGGGKEVIEFEYLDDSLLEELLECGESYNQDVWYNATVN >A08g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18101158:18101519:-1 gene:A08g509250.1_BraROA transcript:A08g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERVPAQSGETAEESSDVERRAAAAVASTLESEEPDNGGGYSARSLMKSSSISGSKCIGIQSKTDKEDTIAEEGDGESVVELTERVASLCNY >A01p038650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14722791:14724356:1 gene:A01p038650.1_BraROA transcript:A01p038650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKKPTSFTFEIDNLSEKKGLISSPTFLSGNYEWFVNVYPNGYHIDERLSLHLQVANPESLPLGWKKQASYSFALLNQSGKELYRTPESCKLFRAQFTGWGSPKAFTLQKLQDMGYLENNKLILKVDVKVIEAVDEGAVTGKDMLDVRGFKVLYSQLPLVNKLFRKHPDIAVNFKLKNQSVKTTYMNLLLGLIEKLDKPSPSFTEIELSNAQSELIDLTEAGFELDWLKKKLDELSLEKKRGLTDGSRVQEIEEHIKNLNLELEKEIEKSATCAAEVLLLEQTVSDLRVELSKEKKKSATSATEVLLLEQMVLDLRVELSKEKDKSATPNDLLEGVVSWEILDYADIYTNEKGEE >A09p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8837744:8846686:1 gene:A09p016990.1_BraROA transcript:A09p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAGQVRTELNKWVSDQTNGLIKDLLPPGSVDNKTEKVYGNALYFKGAWEDKFSKSKTKDRDFHLLNGTSVSVPFMSSGHDRQYVQRCGGFKVLVLPFRQGLDINRSFSMYFYLPDKKDGLDNLVNKMTSTPGFVDNHTPTCRVKLGDFAIPKFKISFGFEASSAFEGSLVSEALYHKACVEIDEEGAEAAAATMFPVPRSITKASVDFVADHPFLFLIRENTTGTVLFAGQICDPSQMSSKMKTQKLSTSSETADLPVTKIIASPSLSKKELQKAMKKQNDADLVLTGKVIASKARNSNFVFSPASLNSVLTIAAASSATEERKAVFNETATVAFADGKANGGPKITAINGVWVEQSLPVDHSHKNLLVNVFKATFTQVDFRSKAEQVRRELNKWTSDQTNGLIKDLLPLGSVRSNTDRVYGNALYFKGTWEDKFSKSETKDRDFHLLNDASVSVPFMRSSKRQYVQRFDGFKVLKLPFQRGLDIKRSFSMYFYLPDENDGLDNLVKKMTSTPGFVDNHTPTCRVKLDDFAIPKFKISFGFEASKALYHKACVEIDEEGAEAAAASFCERHSYTPNRNRFVADHPFLFLIREDTTGTMKEKVGKSKVADLAIQETNPKKKQKLCASQVTSSPSLSKIDLAEAMKKQKDVSMFLAGKVISTLAKTSNLVFSLASINAVLIMAANRPEEEETLRSFILSFLRSSSTDELNAIDSIDAIGKMESIAMQDSTDSIPESDLNQEVEADSVSQLEVFEKEVSTFYLEPSLRVDQRQSMLLQNISSQLIVNGSGCASVWFAPKIEESFILDVELVVELIQKSVEFVDLVAPQLLDQLLLRVWSKMKQRKCQKDWTFKYKVKVFVKEKREQPRVKQEKLLISWKFNSQTKSVRQSTEISVTSLYTNEKKRFISLAGRFHKRAVEQDQVVNVVAEVVLRSWLRSLRNNIKAFWRDVVEIKLSYNGKVMCDFMGIESDLITLGFFCFMGEKFSTLQHKVWYVMMVKNIDQSFESVMMNHLRSKVPYWRLARLGLLEMLSLVAQVDVVLWFTTYQYKSVSGYIVELQNEEGFVLKNMWRASLVFGLWKSVSASMEFSGSVSDSENARYLHEVEEKSLQLNEKLEEKRCFQCHGRDCFARSGCLSSETDWPQEPQSQLFGFRFW >A04p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4661279:4663267:1 gene:A04p013830.1_BraROA transcript:A04p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTSHAPLFRLLFLLLSVVGLVGASGPYIHTPPSGSCRGGIAKQDSSEVLARRSPPCRRPRLQNP >A01p000170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:74148:74444:-1 gene:A01p000170.1_BraROA transcript:A01p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPRVLQSSKQILRQAKLFSSSSSSSIDVPKGYLAVYIGERKMKRFVVPISYLKEPSFQNLLRRAEEEYGYDHPMGGLTIPCSEETFINLASRLN >A05p055430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32793258:32801744:1 gene:A05p055430.1_BraROA transcript:A05p055430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFQLNPKPFSTDPPADPLKRVSLIPRSAEQKLTDNQGERRPVAAKTHEDGEQSDGHHVAPPLKTVEPTKVMDHETEKKGSITPNTAHHPHSSEDIVDANSRHSLVPRSLGDNSLGGRFGPGKKQAFHRNGRPMFSLSDDRVMADRVLKTHSPDMIFFDVKSLLSVVDDIFKSHVPSIDSSAPKPSLVFKDYADHVSFETFADLIDQISCEIECKCLHGGESSHGMKTTGLHLDSRNTTTFSVLSMVSKYRWDAKLVLILAALAVKYGVFLLLAETYATNQLTKSLALIKQLPSIFSRQNALHQRLDKTRVLMQDMVDLTTTIIHIHQLPPHHITPAFTDHVPTAVYWIVRCVLICASHISGASGFKQDQIMSFMEVSEIHENSERLRKINAYLLEQLKKSHLTIEDGIMEEEYQELIQTFTTIIHVDVVPPLIRLLRPIDFLYHGDGASKRRVGINVLTQKHVLLLVSDLENIEKELYILESLYTEAWQQSFEILWVPVQDFWTEADDAKFESLHSNMKWYVLGEPRRLRRSAVRFMRQWWGFKNRPILIALDPKGQVMSTNAFPMVWIWQPFAHPFTTSRERDLWGEQEWNLEFLIDGTDPHSLNQLVEGKYICLYGGEDMQWIRNFTSLWRSVAKAANIQLEMVYVGKRNPKKGIQPIINTIREDNLSHTLPDLFQIWFFWTRIESMWESKQRMLKAHGTKEKDLVLQEIVAMLGFGGEGDGWGLVSKTSDLMVRAKGNLFSNALAEYNEWEVNIPAHGFLKALNDHLLMRIPPHHCTRFMLPETAGIIPNEVECTECRRTMEKYYLYQCCLEMESLIKSQHAQKQAGYSSKTSGTEIIPATTGLTMSSDESMMLKLVQQTHSPDAREVQVRGLLSLVEDILDRATLDSDDSNASMLPLPTDDKLMQSSMMSVLDSVSYAIDRVACEIAYKSLTGSDAHEITMSVFEHLSSFHWDGKLVLTLAAFALNYGEFWLLVQFYSKNQLAKSLAMLKLVPVQNRVTLESVSQGLNDLIREMKSVTACVVELSELPGRYITLDDPQLSRIISTIPIAVYWTIRSIVACISQINMITAMGHEMMNTQMDSWETSMLANKLKNIHDHLAETLRLCYRHIEKQRSSESLKMLHSLFDTTHIDNMKILTALIHPKNHTMPLQDGLTKRKVHLDVLRRKTVLLLISDLNILQDELSIFEQIYTESRSSLLGADGKSHMPYEVVWVPIVDPIEDYERSPSLQKKFEALRAPMPWYTVDSPKLVERHVVEFMRERWHFMNKPILVVLDPQGNEASLNALHMIWIWGTEAFPFTRAREEELWRRETFTLNLIVDGIDAVIFNWINPGNYIFLYGGDDLDWIRRFTMAAKATAKDSNVKLEMAYVGKRNHSHREQIRRISEAVRAENLSHSWAEPALMWFFWARLESMLYSKIQLGKSDDHDEVMQGIKKILSYDKLGGWALLSKGAEIVMITHGVIERTVTVYDRTWKTHVPTKGYSKAMYDHHHDEVLRETGHPCAHFDFHITARSGRIPEKMNCFECHRPMEKYMSFACCHDEKLLDQDENYNF >A01p055510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31421908:31422902:-1 gene:A01p055510.1_BraROA transcript:A01p055510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPASAKTNELIQALAFMNQSSNSLWSRIAVRKCSRSKFREGRCKINQRMQEQAAENGIIPHLMLFVMSDSPLKQYALPPLCGGYSIAICLDNYNRKVEQALLKNDAIHKLVNFFQSCPGRLFVHILIEELREGQSSGGQVLVADGNIFPQSTSHQQSLKD >A07p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10406556:10408620:-1 gene:A07p017120.1_BraROA transcript:A07p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEMSASEIAAAKQKKVDDWLPITSSRKAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVTLMILSWLITLYTLWQMVEMHEIVPGKRLDRYHELGQEAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGKSLKKVHELLCPDCKDIRTTFWIMIFASVHFVISHLPNFNNSISGISLAAAVMSLTYSTIAWAASVHKGVKPDVDYSYRASTTPGKVFNFLNAMGDVAFAYAGHNVVLEIQATIPSTPEVPSKVPMWRGVVVAYIVVAICYFPVAFLGYWIFGNSVDDNILMTLEKPVWLVAMANLFVVVHVIGSYQIFAMPVFDMMETVLVKKLNFDPSFTLRFITRSLYVAFTMFIAICIPFFGGLLGFFGGFAFAPTTYYLPCIIWLILKKPKRFGLSWTINWFCIIVGVILTILAPIGGLRTIIINASTYKFFS >A07p022870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13308419:13309787:-1 gene:A07p022870.1_BraROA transcript:A07p022870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNVLGTQVYPYTTQTHGQCIIVNQIDGSSSGEGSKPVKRRRRRRSKGSSATSEDDMTAIGVMLRKRKLTDEQVNMLEFSFENEHKLESGRKEKIAAELGLDPRQVAVWFQNRRARWKNKKLEEEYAKLKSQHDTVVLGQCQLESQVLKLSEQLSEAQNEIRKLSERLAQETLTNSPSSPRSVEANGAPIDFEFPPDINYNIPFYTSDNSYLQNMEYWNGLYV >A07p011470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8673947:8674207:1 gene:A07p011470.1_BraROA transcript:A07p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHLGSSPAWNLKPSTLLYTAAPPPPTISYCISPNAGASPLRLPVNSGSHLVTRTGHGQIWYFLGLAHYLVAENPSSTPSHPSP >A05p040980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24974787:24977533:-1 gene:A05p040980.1_BraROA transcript:A05p040980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPPPPPPPPPERSKRLHNFTLPYLRWGQQRFLRCVKLPSSSSSSPSPDRANHGSPSLPGAGGGGESAQPKVSALGNGDAAAAARPWNLRTRRAACNEPGGGDDPTTTTTTTRMIITNEIGGVKRGGEGGGDGSEKLKFSVCLLREEIEEDFTALIGKKPPRRPKKRPRLVQKQMNTLFPGLWLGEEVTAGSYDGPDQDSFYNMSKVSDSFLSLSLGVSSFLFLHNKVSFQSSLHCISLFLIVSLTKHDRLFRKTPAKRTIMIFAESSHQRISFAGDLGQSDKALTIEQQQQPSGVVRRDTTLLDSEFEFHTSRSFDSSPADEIFADGMILPFQATSSMPKRRYKYELPPIVSSIPPPPPPPPPLPSPQQSRKYCQKETPVAETSSKSFWSFSRSSSLNCDIKKSLICSFPRLTRSISTGSVMNSKRAMLRDVDKHSSLRHGGAPRPQADPSSCPPSSCYSSYQFRPQKQSGKNSCSSRGGSFRITPVIGGPSPSGLGSILRLSKDKKTKNTK >A08p008090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5308363:5309988:1 gene:A08p008090.1_BraROA transcript:A08p008090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEGHESDVLISPSRFSVLALERQGEVGNDEKGEDSSDEKGEDSSDEKGEDVNVDLDIEEGELVAKEEDLKAKDSNKIARFRTGTSLKLSKQIPARSKDLKSIPPNSGSGNC >A09g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28984086:28985786:-1 gene:A09g509990.1_BraROA transcript:A09g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A02p012300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5381121:5382464:-1 gene:A02p012300.1_BraROA transcript:A02p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAACLPLTSSELVSSSHPVSDQQDRGLIVMYRQGGISLSPLPVGLGLWQRRAYHGLKKGSENVQILGPYSLGEHRRSGGSSVKVSGCQLPIRRAGTAPLTASLLPEFGAWVERVSMLEVLLYGMAVLLMASLWRLGPWGDSTGGALTVRRRNMRGSWTHRHREVPSNLISCHLCPPEFRAFSI >A03p061400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26685353:26687833:-1 gene:A03p061400.1_BraROA transcript:A03p061400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSLGGGGGGGGGGGDGGGGGRFMTYPPPLSVPPSAPQSPNFSGGLRSQPSFLVEQEKYLSPKILRVTTLLENALTQSRFDHPSPLSSSGGIFQNSRADMNGGWPSQFPSERSLSSSPAPNWLNSPGSSSGLIVKRSIRVDIPVDQYPNYNFVGRLLGPRGNSLKRVEASSECRVLIRGRGSIKDPVKEEMMRGKPGYEHLNEQLHILVEAELPIEIVDARLMLAREILADLLTPVEETHDFYKKQQLRELALLNGSLREEGSPMSGSVSPYNSLGMKRAKTRD >A05p039950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24427625:24430041:1 gene:A05p039950.1_BraROA transcript:A05p039950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNGSDSTPTTPPVPQTTPPPPQQWQQHQQWMMQYPGAAAMMMMQHQQQHMMMYPHQYVPYNHGGHYHHHPPHLQYAPYHQQHHNHHHQKPPHERGGSGEDVKTLWVGDLLHWMDETYLHTCFSHTGEVSSVKVIRNKQTLQSEGYGFVEFLTRAAAEEVLQSFSGSIMPNSEQAFRLNWASFSTGEKRAVENGPELSIFVGDLSPDVTDSMLQELFAERYPSVKSAKVVIDSNTGRSKGYGFVRFGDESERSRALTEMNGALCSNRQMRVGVATPKRAVANQQQHSSQAVIVAGGHGANGFMTHGSQSDSAYYSLSKMCNLKAAAIGFDRKSADDAIQSLNGTVIGKNTVRLSWGRTPNKQWRGDNGGYSRGQGYNNGGYANHHDSNNYPAET >A02g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22877638:22878419:1 gene:A02g508470.1_BraROA transcript:A02g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSRFRKPNEDGMFREPSRDRRITKLESSHRSIPTTVGSPLDGAHRSLPPQRSRLLPWRRARSLRDASLHLGLSSHLVSDVTTPPISSPDLLIASASPGGFSTVDVICSIAKSCGAKVVLITAQLERGSCVKHTTDVCYVPAQTMASDGGGAAEKG >A02g512270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33198107:33200317:-1 gene:A02g512270.1_BraROA transcript:A02g512270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIFRRRFAGTEESSVHIDRSFNLAIESAGPRSLGLGFHRLFLLSLSTGTSAVVVYSRPSRLSSFSGWKPSPPPSPTDTLLAKTGPLSEAEEIVKNKLLAQYF >A03p050290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21617833:21618461:1 gene:A03p050290.1_BraROA transcript:A03p050290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITESDDDLLVFPPINHENLYTDGFEMEREPSSTPSSSSSFDSDGRYEFNRKPQCHPLETDGKSPPSRWTTFLSYYSELEASIIQRWWKLLLARVLPRFRTMVSCLCSFSETLRSFYPVIVVVIWWWMRNRARRRGETEAHLRESIKERDEVVAKLLHQIAQMNELLIEKKHSN >A09g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8515046:8515680:-1 gene:A09g502560.1_BraROA transcript:A09g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSLSSVTVLVMILLVQVSSTQYKVGDLDSWGIPTDAKVYTKWPKSHSFKIGDSLLFLYPPSEDSMIQVTASNFKSCNTKDPILYMNDGNSLFNLTQNGTFYFTSGHPGHCQKYQKLIVSVGTYSAEADALSPSSSSADADAPSYQNAFGSIPLSQKSSSSSLLFSTVVASLACAVVVVGALM >SC132g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:153160:154310:1 gene:SC132g500130.1_BraROA transcript:SC132g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQALVVVATKSCSLLFDLYLRIHMNRTLMIAATKSRSNSFCWNPYEASLNGCSHQGRNRERKGDKSTQGFTFQTCLKNSIPCIPSPKTSSCVKFSVGGQLWFLQTISASVSVCWRDGPAANGELARTLLLLEQRH >A09p081400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59251049:59256849:-1 gene:A09p081400.1_BraROA transcript:A09p081400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX2 [Source:Projected from Arabidopsis thaliana (AT1G05830) UniProtKB/Swiss-Prot;Acc:P0CB22] MASVPEEEEEDTQIKPELHDQSDLPLRYASLERVYSVSSSSSSSLCCVNNANNAMISSKVKAEHAFELRRPEIVRVYSRRRRRLPEEDTKKKMKKRRIGNSELMKLGVDSTTLSVSTTPILRGCRVNANKQNGSSKRKGKKKLIQASPTAKKWIRLSYDGVDPTTFIGLQCKASGLALWWLCVFWPLDADWYTGSIIGYNVENKHHTIKYGDGDVEELALRREMIRYLVSREEMERLDLKFGSNDVAVGGQDYDEMVVLAASFEGCQDFEPRDIIWAKLTVKQAVSFLQGLLSRSPLKCKQPRFEQAMEEAKMYLKEFKLPGRMDQLQKVADTDCSERTNSGEEDSSNSGDEYTKNGEVWLRPAELGNCLYTIGDLRIINLGRIVTDSEFFKDSHHTWPEGYTAMRKFISLKDPGASAMYKMEVLRDAESKTRPVFRVTTNSGEQFKGDTPSACWNKIYSRIKKIQSASDSPDMLGDGLHESGTDMFGFSNPEVDKLVQGLLQSRPTSKVSQRKFSSGKYQDHPTGYRPVRVDWKDIDKCNVCHMDEEYENNLFLQCDKCRMMVHTRCYGQLELRDGFLWLCNLCRPGAVDIPPRCCLCPVVGGAMKPTTDGRWAHLACAIWIPETCLSDVKKMEPIDGVNKVNKDRWKLLCSICGVSYGACIQCSNSSCRVAYHPLCARAAGLCVELADEDRLFLLSMEEDDADQCIRLLSFCKRHRQTSNNHLETEYMIKLVHNTAKYVPPPNPSGCARTEPYNYLGRRGRKEPEALAGASSKRLFVENQPYIVGGYSRHEFSTYERIRGSKVSQIITPSKILSMAEKYRYMKETYRKRLAFGKSGIHGFGIFAKLPHRAGDMVIEYSGELVRPSIADKREHLIYNSMVGAGTYMFRIDNERVIDATRTGSIAHLINHSCEPNCYSRVIRVNDDDHIIIFAKRDVAKWEELTYDYRFFSIDERLACYCGFPRCRGVVNDAEAQEQQANIYATPCELKEWTEA >A09p019230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10201622:10202990:1 gene:A09p019230.1_BraROA transcript:A09p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLMTIKAVLISTGVASVGILLKVSVPVAVDFSVSQAPIMWSSLLSWLKPPYLYILTNGIIITIVASSKYYRSTSHHDEEDDGIVYGGGGDYKLQTELTVHDQGSPRMLEVKDSDLDRQFEFVVSNPPETVTAVMYKEGEEEKIKDVVEMVEDEDGTESELKSVTTMESSDLIGSGGGEDVMLPTEISKGNHMPPRMTESENIPPAEKPLVSSRFGHRKSVKASQEGGRSMLRVTKPKRNETLENTWKMITEGKSTTLTKQLYRRSDTFSRGDSGHSGEARPVYKKSETFRDRTNFYQSQETVASEKAKGKVRKEPSLSQDELNRRVEAFIKKFNEDMKLQRMESLRQYQEITGRGV >A03p054530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23435422:23436077:-1 gene:A03p054530.1_BraROA transcript:A03p054530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSKLTHGADFYCFKHNIEPKWEDPICANGGKWTMNFPKEKSDKSWLYTLLALIGEQFDHGDEICGAVVNIRGKQERISIWTKNASNEATQVSIGRQWKEFLDYNNSIGFIIHEDAKKLDRNAKSAYTA >A09p032400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19666805:19669680:-1 gene:A09p032400.1_BraROA transcript:A09p032400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNQEAIATFISITGASDAVAAQKLQEHRGDLNQAINAYFIEGDRNAVPEAPVNVPEDDAMDIDDDDVIPPAAQSLLNAAGGPFSLLDSDFARSIFDRDPLMPRPPSFVSHPREVRQIPIEVKDSTGPSEPSPDAPTIEDVTETAHVIAPAAQGTVIIDEESDDDVPFAPTRRTRHVVPAPNNIQDYREVEEEMIRAAIEASKMEAEGLSSPLPEERPPSHMGDDDDIAKAVTMSLKSAEEETLRNQVFKASTSEIGTSETAAAQGSESTQALNGRLAAPSAPFEDDSDDVDDEPLYRHRPRRVASGTPAPPSPDRSRSRSPEGDNPVDSGNRFPSEWGGISSEEHDEAVMLEAAMFGGIPETGYNHRPFLPPQQWAPPRPPSPSLTAQRLIREQQDDEYLASLQADRDRELQSIRDAEARQLEEEAARKAFLEEEKKKEEEAQRKLEEEQELERQLKAKEASLPKEPQADEENAITLLVRMPDGTRHGRRFLRSDKLQSLFDFIDIARVVKPRTYRLVRPYPRHAFGDGESESTLNDLGLSSKQEALFLELI >A08p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20928799:20930583:1 gene:A08p035500.1_BraROA transcript:A08p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFNQTVYLKIALVAVGKQSHFLLRLSSSMNLLKTIHHHQSTLTRFYRFATTHSFASSSSSLLSLSPQRPRISLVSTSNRSCFALSSISSVSRASFSGNQEDGKKEEAEEGDEVVYQKTLRLVECAMFAAVTGLVYFLSNSLAIENYFGCFFALPIVISSIRWNIAGGRKTMVATVMLLFILSGPVKALTYFLMHGLLGLAIGSLWRMKASWRLSIFLCTMVRALGLIGYVLTSSFLIRENILAVITINIHASLSYVFTAMGLNLMPSMSLIYMIFGTVWILCAVAAYPLLNLPHKTWNEIFLEAAGLVRQSNMMAFTGSTQKR >A07p033240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18172448:18173461:1 gene:A07p033240.1_BraROA transcript:A07p033240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRGSWVGFATRLKQYRIRRLCTKGENGGNKPEKTESSVVVVSRYDETYKKLDKLDFVTAAKILFTEPPKKNKFGLDWHVVQFIIVCLPSLAVYLVAQYARRKMKIMDAELGEKKRKEEEKKEKEEAEKRALEEAEATKSQEGLMEMKKRLGKIEETIKGIVLEAKKPSGNGPTKTQDDQSTKLPPKEESKPSKEHKDNVQKQGENQANAGSA >A08p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2068611:2071921:1 gene:A08p003680.1_BraROA transcript:A08p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNEQTMIKRSKWQYPQVGLPTPRILQLPRRQSVRRSAAKGKTTTPSSSSSSSYSSSTQKDQRVKLEVLFHQERSFDRGGGPVVMDEGRRREKVAEGRELGGASSNEVDEAKWRFQTEMLRSECNLLRIEKEIALKKMERRKKRMEKTLRSAVNTLLSGKQRISEGKKESNVLEDEISYLIEKLNELKSPKVNKDMQEARNFRHNFDKKASVLKRELEKLDEGEVCGQGIQKMAEASFLVNSNNGNIDKMEALSILSKTIDLQDVSVQDKYTKKDCSRHCKAVMRKIADQVRAEADQWSQMQEMLGQVRNEMEELQSCRDFWQNRALESDSQIQNLHSSVEGWRKKALSSEAKFKNIQAEVCGLQEEIKRLRKEGNKLEPEKNKLPTESEKRVLICRLKENRHSNNGDWSKYSEGRTAKPSCSRQPLREIKNGPGLPHIYDLARFRTGHDIFIIMQALSSEAKLKNIQAEVCGLQEEIKRLRKEGNKLEPEKNKLPTESEKRVLICRLKENRHSNNGDWSKYSEGRTAKPSCSRQPLREIKNGSVAVTRQRNTTTS >A04g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11690567:11697390:-1 gene:A04g505510.1_BraROA transcript:A04g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDFTLPSGETIEVEFTYENLQKHCFRCYSLGHEKDACPLVEESRERDRSPHRLSTSQKNTMASLDENRRKYEERRNGKSNQNRQMRESSSTFSKTNYYEDRRTDPRHNSRRNQSYEPFTSEYRRGREDYNLGRSFSRESGARTGINPRNSDFPSPIPHSTDLRRALSRRDEGEVSAEQVSSGRRPIKERLMLADNTHSTDLRRSLTVRDNGGGSGGPSLADRPPVKQRLSLPSNGKALRMNQGTSTGSSHLQDIVIQYFEEIMEPPRLSNNRPSGSRPPGTLHSPMEQISPIRSLSEDRRHVTLRLGPQPVENQQNSPIQAGLSDGQGIVTRSVAKRKEGKAPPKKRYNPSPVVIFAEDSTFEVFSLDLDCFVDLDKDDESGRRRRFRTETVDLEDRDSESERRRWI >A07p030220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16876546:16879644:1 gene:A07p030220.1_BraROA transcript:A07p030220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal isocitrate dehydrogenase [NADP] [Source:Projected from Arabidopsis thaliana (AT1G54340) UniProtKB/Swiss-Prot;Acc:Q9SLK0] LDRRTAGQICLDSSRQSRAADSWLITEQSRRRAQSTRSSREEAKMEFEKIKVANPIVEMDGDEMTRVIWKFIKDKLILPYLELDIKYFDLGLPNRDSTDDKVTTQSAEATLKYNVAIKCATITPDETRVREFGLKKMWRSPNGTIRNILNGTVFREPIICRNIPRIVPGWTKPICIGRHAFGDQYRATDIIVNEPGKLKLIFEPSGSSRKTEFEVFNFTGAGGVALAMYNTDESIRSFAESSMYTAYQKKWPLYLSTKNTILKTYDGRFKDIFQEVYETNWRSKFEAAGIWYEHRLIDDMVAYAMKSEGGYVWACKNYDGDVQSDFLAQGYGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDSNASLLNFTEKLEAACMGTVESGKMTKDLALLIHGSKVKRDDYVNTEEFIDAVAWELRKRLLGSSRL >A06p005150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1504916:1507173:1 gene:A06p005150.1_BraROA transcript:A06p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQEEEVEQWEALDLGDSELPSFLRPCKRKSPSRPSLQPTTPRLNPNHQTLGRCSSSLRDRFLEDSYSRSLIPGPAWTVQVAIRRKMNKDPRSFDEQGEPIPTQEFICKAAEEPDWDDKDFSVDPWVSAVDYIRREGLLSNGGKAIGTPLSEIKSVCCSWGKVDQVVAIVKTCTPNGLGDIMVTLKDPAGTIDASVHRKVISESEFGRDIRVGAVVILNKVAVCAPSRSSRYLNITLKNISKVITKDTPVLPNESHFETSAKNPVPVNENEEDLRMRPKVYPVEQGTTQGIMNNLRRNVRESSEATTDVEMEETNPTEESNSWQKGVAKNQFQARMDLTHLGKHDSSSQTGIAASRTTSNTREQQLQEDVATGTDTADDIRPAKKISRSPEPQSGELESVKGNSDEVTSGSKVNKSQPMASSSLVPQWTDEQLEELFDFD >A06g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8112928:8115095:1 gene:A06g502410.1_BraROA transcript:A06g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAERVQPLADCAHPLASHACSWGKITPSFSINMGSSLSILFIQFQSKILREIVEREFPAKAWPIQPPIKYGIPRAGEEHGEPCHGSEGTWWAMAASDRANGPCDRTAALFGSLNLTASPLLVYIRSFLFFLIIHEGLCWFSPWDTSLDLAGHNQTANLDTGRLDGRFESLHVLGLNGWPSPKRHELPKVTSYQGLGTRTKSYQGPKGCMFQTVPVETGRESQGLVGRLAYRIGLVAYSAIGSRPKAGSGRSHIDSIRLDGLVFGMIQTVCVVCLSILDCLSDSKSRGGWLNDLGYSRQELRMVLVKPRSREGSVNDARDEVVIVYETIKKLCAGSHSQVDSQIVGLCSPHTRSQLIQSHGEAGKSKSGKTQKSKRTAGQSSQAAADGTNLSGLPTDPAATNTGDVLPTDQANLTGTQPEGQQHQESDQEVESLNTNRDGDQREQRADGTANVPAALSREDLLEAMKVMGNQVAAMSRLFTPLVNSSVGQATPELWLHLLLR >A06p019960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9742652:9744550:1 gene:A06p019960.1_BraROA transcript:A06p019960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSTILDLCLSKRRLLLPSSRIDLITKRFHISRHDSVESTEIVNGSGYERKQEDELVEKIYRILRNYHSRVPKLELALNESSIDLQPGLIVQVLDRCGDAGNLGYRFFLWASNQPSYRHSYQVCKSMVKILSKMRQFGAVWALLDEMKNQNPHLIEAELFVVLMRRFASANMAKKAVEVLDEMPKYGIEPDEFVFGSLVDALCKNGCVKDASTLLEDMRERFPPNLRCFTSLLYGWCREGKLIEAKNVLVQMKEAGLEPDIVVFTNLLSGYAHAGKMADAYDLMKDMRRRGFEPNANCYTVLIQALCKMEKRMDEAMRVFVEMERFGCEADIVTYTALISGFCKWGMIDKGYSVLADMRKKGVVPSQVTYMQIMVAHEKKEQFEECLELIEKMKERGCHPDLLIYNVVIRLACKLGEVKEAVRLWSEMEATNGLSPGADTFVIMINGFTSQGYLVEACDHFKEMVSRGIFSAPQYGTLKTLLNTLVRDDRVEMAKDVWSCISNKSSSCELNVAAWTIWIHALLARGHVKEACSYCLEMMDMDLMPQPDTYVKLMKGLNKLYNRTIAGEITEKVMKMASEREMSFKMYKRRGEEDLIEKAKPKGNKEGKKKGTGDRNQHKWGGERSRAKAF >A03p034630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14600600:14602626:1 gene:A03p034630.1_BraROA transcript:A03p034630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVPTSEEDPFLAVVRFTSQLAWADAGPEAAEPEITRLCREAEESIVAGKWLELASLMVTSAELVSSKISEKDLECTYTIICSLVKNANSAEDVLEMVKAISSKVVQQPSDKASLRLKILFNLYNLVDHPYARFQVYMKALTLAVEGKVAEYIVPSFEKIDSFLKEWSIDTKDQRQLFLAIANVLRENKSLVKESLKFLTKYLATFSNEDALDEAKEEAVRAVIEFVKASSIFQCDLLDLPAVAQLEKDAKYAPVYQLLKIFLTQRLNAYMEFQAANAECLQTYGLVDEECVTKMRLLSLVDLASDESGKIPYTSIKDTLQVKEEEVELWIVKAITAKLIDCKMDQMNQVVIVRQVASFGSKQWQSLRTKLATWRDNIGNVISTIESNKVSEEGSQASSASAATIQGLGVR >A09p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14320948:14324208:-1 gene:A09p025650.1_BraROA transcript:A09p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRVASSRVQKDSNGDIGEHLRNHIHLTNSIHLKNHMHNNNKHGPVSTDRSLLMRDLVLLQRSRSLRDPSSNRNSPSSNGVVHKEGTRRRRRRSVDQNVAKKSGLRLSSSSPVLNFGTSKVTPSDERCDESYANEVYSVASVKSKDMANEANIKTLSDQLNEVLGDDSDDVVSSNVPARGNGPRRRKFRGARRAGRAVTVRDNVVGNKSEMSLAEKYEEEEGTQREQDMTRAVPRNGCGLPFNWSRIHHRGRTFLDIAGRSLSCGISDPKGRKRLTDMSISSASSSSFAKPDRKELPLLVDSGDNEGWQHDYSGELGVFADDLLKNGEKSSSRNARRHHQSFTQKYAPRTFQDVVGQSLVVQALSNAVAKQRVGLLYVFHGPNGTGKTSCARVFARALNCHSPEHSKPCGVCSSCVSYDNGKSRNIREMGPVNSFDFENLNISQKDRRHHHHHKVFIFEDCDAMSTACWNALSKVVDRAPSRVVFILVCSNLDALPHIVVSRCQRFFFPKLKDADIIGSLQRIASKEEIDIDKDALKLVASRSDGSLRDAEMTLEQLSLLGTRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRMIMETGVEPLALMSQLATVITDILAGSYDFAKDQHKRKFFRRQPLCKEDMEKLRQALKTLSESEKQLRVSNDKITWLTAALLQLAPDQRYLLPPGSSADASFNPSPSPCKDAAGEVRGRSGEGFSSVNRPSVEDIWLAVVENVRVNGLREFLYREGKIFSISIGSAPTVQLMFNSPVTRITAENFRDHILRAFEAVLGAPVTLEIRIESKKDQENVGGRSEIIELEDESESEVTREANENKNQSIVRGKVSLAQVIKQGEGSSWSKRKALLIAEKLEHENLRLESRSRSLICWKALRSVRRKVNKTFAMAIEDENQKGAFAFVVEACLEWEMLTIEIF >A08p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21665167:21668319:-1 gene:A08p037100.1_BraROA transcript:A08p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G21980) UniProtKB/Swiss-Prot;Acc:Q56YP2] MMQESLHSEDVEEEVLSSIRRDDSLPLAKPPPIMTRSRSQVATRRVTPTPPPLEIEKPLPNGDLYIGSFSGGFPHGSGKYLWSDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFTGADGDTYRGTWAADRKHGHGQKRYANGDFYEGTWRRNLQDGRGRYVWRNGNQYTGEWRGGVISGKGLLVWPNGDRYEGLWENGVPRGSGVFTCVDGSSCVGAWDRSNVMRSFFDGVEKSGLVVAATRKRSSVDSGGGSLGGEKVFPRICIWESDGEAGDITCDIIDNVEASMIYRERASVDRVRQFKKNPCWFNGEAKKAGETISKGHKKYDLMLNLQLGIRYSVGKHASVVRELKQADFDPKEKFWTRFPPEGTKTTPPHQSVDFRWKDYCPLVFRRLRDHFQVDPAEYMLAICGNEALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPSYYKHVCQYENSLVTRFYGVHCVKPVGGQKTRFIVMGNLFCSEYRIQRRFDLKGSSHGRSTAKPEGEIDETTTLKDLDLNFAFRLQRNWYQELMKQIKRDCEFLEAERIMDYSLLVGVHFRDDSTGEKMGLSPFVLRSGRIDSYQNEKFMRGCRFLEAELQDMDRILAGRKPSIRLGANMPARAERMARRSDFDQYTSGGGANYPSHGEMYEVVLYFGVIDILQDYDITKKIEHAYKSLQADPASISAVDPKLYSKRFRDFISRIFIEDG >A08p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11580016:11582392:-1 gene:A08p012820.1_BraROA transcript:A08p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPWTMCPIDIPNRESLEPQIKKINNRCRLRLLEFLKQTMKPEFEEIMGAMNALGDICGTKVSDNYTGPLCGNWRGCAKCSYENIIGIETLFPEKMELSFWLLILQGKMKKKDERVDCVLDMIQRKHDCSNHVWGVKEATSSEFEETDKEEGQDQAADTEIGENSHVAESVDGTTDVSGRHKKFETETTQLRTASDRTEQFETVVTDMLGKIVTESIIDTGPNTSKKDTAPSKKKLNTDDSCVNLPLVNLTQSLAIDLRIQKTKPEDSLDVLEPPKSLKMSAMRLDDREIDLTGKDDPDHCLVFVRKKDYKKMQDWQDT >A07p046220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24978902:24980731:-1 gene:A07p046220.1_BraROA transcript:A07p046220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWSSSSKGRSNLERFLLGVTPKPPSFSLPQQQGKEETEYFRLGDLWDCYDELSAYGFESQVDLNNGETVMQYYVPYLSAIQIHTNKPALMSRSLNEVVLESESSECWSDSESEKLLSRSMSNDSSKTWDAVSEDSVFDPDGTVLLRDRLGYLDFKYIERDPPYKRVPLTDKINELAEKYPGLMTLRSVDMSPASWMAVAWYPIYHIPSCKNEKDLTTGFLTYHTLSSSFQDKVVEGDSSNNKVMEETECCVVNKRIPLPPFGLAAYKMQGDLWGKTGFDQDRLVYLQSAADSWLKQLNVDHHDYNFFINSNF >A04p011020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6931976:6932835:-1 gene:A04p011020.1_BraROA transcript:A04p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALNPLTMDQRARIKNEQHQIKHIDNLHRCRPELAPGRRHQLNRRLNAFSTAAIDDTDATQHRKSPLAATAGSSTHLTYWLKTSPRAKRSLRVIHGADKTGKAHLDALAEPEEQKSTTTQQHKKQQIKRYATPKHPDRAQIDSSQLLSENLNRKTIQRQSRARARRWGAEAPEKQTKQENDGRDDALRAGTCAHTTAGYRSNHFTQSNLRELGGEKLYFFFVIDNFGYSITTWFLRGNWVR >A05g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16178275:16178530:-1 gene:A05g505770.1_BraROA transcript:A05g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKIENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A08g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10251577:10251887:-1 gene:A08g505960.1_BraROA transcript:A08g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGDCRVVMEVSMAFVGGVCYAASWSSEVSSHLLTYLSGVGGWWLCQAQAWVGVGALSHVWNTIFTVQINRS >A02g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5851550:5853068:-1 gene:A02g501860.1_BraROA transcript:A02g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRWPWEEMTTMAVGRDEDDGRGKRWSSDDGRWMSFSSFSFHHRRWPFDVRQATMLLDDVFSASSSSASVSAPSPFGAAPASGSAPLFGSSSSLFSAPSSAAGSSSPLFATSSSSATTTQTSLVVASTTGTSTTVAAPVAGAPKLPSEITGKTVEEEWNTELEERTGSFRKQANAIAEWDKRILQNRDVFLRLEEEVDTIIPNIPRDGPRRLVKNAVRDWSATFRTASRAGQVRRVPPKDKPAAVRDGTGRESPIDISIGIVKN >A06p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23197354:23200024:-1 gene:A06p043110.1_BraROA transcript:A06p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSREGSPDWLRSFQAPTTSLLSLSSPDDSPPRESETISSLPVPDDGDAVTIVDKESSESLSKNDSKTKLVTKQVSIEQVFSRRKKKNADATVNLEEKENGSKVDGEEGSSKHKDVKEGDDYVWLVSSDSEPPSSEPMSQQVTMATEKDEDFAIQDKDGEPAVKKAPKKKSPKKKANSGHQSPKKENSEQEILKTEDKDTDDTVAEEVTKDKNVKPSSGSSSRLPLVLSEKVNRTKVLVECEGDSIDLSGDMGAVGRVVVSDTTEDVYLDLKGMLFPLSFFMHLLMFKMILYFFPAQHVIEIQFMIGVSSLTVYHFMFQEPYINQPSFHPERFALDLAFQVNVGQSEAKIEAIMNDFIQLTPQSNVYEAETMMEGTLEGFSFESDDESNKNGKTASKPADQSGGPAEVTNANGKAKAKGENVAGKKRGRPSKEKQQPAKKARSSAPKKTKPKK >A09p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8778402:8781455:1 gene:A09p016780.1_BraROA transcript:A09p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64150) UniProtKB/Swiss-Prot;Acc:Q94AX5] MLSLNSLLRLPFPNPSPPNSSSSSSRRCVSACPIPVGFSVRCISRRLRRNEYRRCFQRNASCYLDEKSESEEKERNLDLLDEPSVAHPTQRVLKLLAVSGSVALLGTDPAFAVSLIPQSFVTSLGDLGDISSGFASAFLLIFFSELGDKTFFIAALLAARNNAATVFAGTFGALGIMTIISVVLGRTFHYVDEILPFRFGETDLPIDDIAAVCLLVYFGVSTLADAISDDGLKAEEEQKEAELAVSELSGNGAGLAAAANTIISTFALVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGAATLLAVLGGSLLGNFLSEKAIAYVGGVLFLVFAAVTVSEIIT >A08p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17759180:17760039:1 gene:A08p028310.1_BraROA transcript:A08p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit e2 [Source:Projected from Arabidopsis thaliana (AT4G26710) UniProtKB/Swiss-Prot;Acc:Q9SZ13] MAFLVTSVIFAVVGIIASIFTRICFNQGPSTNLLHFTLVITATVCCWMMWAIVYIAQMKPLIVPILSEVE >A09p069720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54258718:54271597:1 gene:A09p069720.1_BraROA transcript:A09p069720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAKSNSVGIKEGGGSTGVVAVAIDKDKSSQHALKWAVDHLLQRGQSVILVHVKLRPSPLNNASSLHASSAKMSQDSSLVCRDPEGASKEIFLPFRCFCTRKDIQCKDVLLEEYDVAKALVEYANQAAVEVLVVGSSNKGGFLRFNKPADVPGTITKTAPDFCTVYVISKGKISTMRSASRSAPNIAPLRTPIQPPSLRPPQPVPSTATNMRADRRSFETQQRRSTEDRRSVEDQQRRSMEDLQRRSMEDQSDSFRSPFTRRGNGRSYGDLSVPESDISFVSSGRASIDRIFPNLYDNNDPNRTPPRLSNYSDMDYGPSLESSNYGRRSLDVSSPTDLSTGSFESERFSSASAMDDVESEMRRLKLELKQTMEMYSTACKEALTAKQKATELQRWKLEEERKLEEARLAEEAALAIAEKEKAKSKAAMEAAEAAQRIAELESKKRVNAEMKALKESDEKTKALNALANSDVRYRKYSIEEIEHATEFFAEKYKIGEGGYGPVYKCYLDHTPVAVKILRADAAQGMSQFQQEVEAKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIIFLQLITAKPPMGLTHYVERALEKGTLPDLLDPAVPDWPVEDTAEFAKLALQCAELRRKDRPDLAKVILPVLNRLRTLADESTESLLVINSPGPSPNSSQTSVKLEQMSGASISNIKQTNRLL >A07p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10494334:10494589:-1 gene:A07p017300.1_BraROA transcript:A07p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCIHGKLFEMTQEDYDLDNKIEKMANTPLIPVTNNRQVRNLIELSKTHFVRLCVSGMRQIH >A07p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6697485:6699098:1 gene:A07p010610.1_BraROA transcript:A07p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKEREEERLCRSRSKIGPIAVDLKTARVTRLPARSTHQEALPQRKKEADGNETSPSSKFDSLPFDLKMAIVSRMDAKSLMKFRCQSPRFIVALGNGVCNEPEEKLTFFFSFRESSCSSSLVPNLEMAIPVGLSSIRESFASLHGFLTVGVHGGLMVCNPSTEQVIKLHSSTRFVGYDPIEGQHKALFVESRDHRSSVHPHLDHKVLTLGGGSWRLIEGTPGPYRPISVGVCVNGVIYYGARNSADFRNPVMVCFDVRTEKLSFIQAHAPLVKWGKYSIFIDYNGKLASIVRYPYDRFNSFDLWILEDPEKHEWSKQHCVFPSSVWDSVWGLEMSFPGTNKDGEIIMAPTTLSPEIGPFYIFYYNVKTQNVRRVRLLGIGDNKEFRRSYGFLKQRHCFVRIAPQHREHIQQEILQSVLRGARGSTFRSSLKRKFIFSGALFIGVCLLHQNSLLPRLAKTTLL >A08g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2419342:2421929:-1 gene:A08g500950.1_BraROA transcript:A08g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVPDGCTHGELHEMALEDYGLDKNIEKVELTYSLLDVILQQMAPDTPPMHVTNDRQFSGGEGRSLSFKDNIYVGQSFASKAELVSKLKSVAVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGPKTFWVTKYSKTHTCSVADRMAQRKHFTPKYVARLFIERRIDAPNTDDTSPANEEEAHNTDDTTPANEEGADKARKCLPPEVKRGRGRQKKLRWQSWLEISRMRGNQPRKRHKDYSFSQCKQPGHTRPNCPERRLLL >A03p068240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29904838:29905917:-1 gene:A03p068240.1_BraROA transcript:A03p068240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSEVNIIYLEMDRDAEASGESQSESTLSNSLESFLTRNECGGDWTNEKHNSYLDSLENSFVRQLYSLLGREEETRRTSRTHHVQSNSHTSTHQFTLLQNGCRQKLNVGKERAYLEISSEYTIGTADQGNVLCKEEIKNSGDKAFTRTLKRNSLGHEYPAQCTAEVSGQNFREEVEERGCNSGVSRKRRREANYDDSSLNDQVVP >A09g514830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44146817:44147630:1 gene:A09g514830.1_BraROA transcript:A09g514830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDYRRMKLTNEKLRGNKTSLLDHYYTSWKGVCWGFHGRENEMIEDSKYIGKENRERFLILTSFSRRVSNVLSIPSDPLIPCEWFFRPPGSGFLFVNPSSLKNTTPPKLLKLLKPVVE >A06p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23112562:23115356:-1 gene:A06p042980.1_BraROA transcript:A06p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVPENADVMKMDSSGQSQDKNNVLEADTKPPCSDDHKSPESNSSVETSNPTKDQNSEGTLKSEISHLDVKFSKLNPMAKEYVPHSLAQAHSGFVSNMVWLNNMQTIPAVENGHFDTRRGNFGQGKGWTSRETSLAQNTDVIRRTVYVSDIDQQATEEQLARLFLSFGQIVDCRICGDSNSILRFAFIEFTDEEGARSALTISGTLFGSHPIKVLPSKTAIAPVNPTFLPRSEEEREKCVKTVYCTNIDKEVTQRELKDFFRTACGEIQYLRLLGDHHHQTRIAFIEFTLAESAISALNCTGVVLGGLRVRVSPSKTPVRPHPNDLN >A05p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6601103:6601830:1 gene:A05p014960.1_BraROA transcript:A05p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIGGILVCLVIVGLDVAAAILGIQAEVAQNQVKHMRLWLFECREPSQDAYRLGLGAAAVLVMAHVLINLVGGCLCICSQDEFQRSSSTKQISMACLVLTWIVFAVGFGALVIGTMSNNKSRSSCGFTHHHYLSIGGILCFLHALFCVAYYVSATAAKDEAAK >A06p041240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22208982:22211838:-1 gene:A06p041240.1_BraROA transcript:A06p041240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFSCRITFVGLILLLVSSWGLDRCEGLGEFGFEFHHRFSDQVLGVLPGDGLPHRDSSKYYRVMAHRDRLIRGRRLATQDESLVTFADGNETVRVNGLGFLHYANVTVGTPSSWFLVALDTGSDLFWLPCDCTSCVRELKAPGGSSLELNIYSPNASSTSSKVPCNSTSCKRGDRCASPNSNCPYQIRYLSNGTSSTGVLVEDVLHLVSNEKNSKAIPARITLGCGQVQTGVFHDGAAPNGLFGLGLDDISVPSVLAKEGIAANSFSMCFGKDGAGRISFGDKGSVDQRETPLNIRQPHPTYNITVAQISVGGNTDDLEFDAVFDSGTSFTYLTDAAYALISESFNSQAMDKRYQTDSKLPFEYCYALSPSKDSIEYPAVNLTMKGGSSYHVYHPLVVFPMKDTDVYCLAIMKIEDISIIGQNFMTGYRIIFDREKLTLGWKESDCEFLITRYTGETSARTLPSNRSSSLARPPASSFAPEATNIPSQRPSTSSSSYYSFSLSLSFFYFSILAIF >A01p009710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4721145:4723629:-1 gene:A01p009710.1_BraROA transcript:A01p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cysteine-rich receptor-like protein kinase 43 [Source:Projected from Arabidopsis thaliana (AT4G28670) UniProtKB/Swiss-Prot;Acc:Q9M0G5] MASTLLIASFAVFLTLTLTLPSPSTSTEVSRLDVLGWVCNNGSVPDQEAYRRSYQINIDRTRDDMRNLKFGTHEDGVPPQKMYFLSQCVSDLSPDECSLCWSRATDLLFNCFPSPGGRFYLDGCFVRADNYSFYREPVTRQDSKICGGDESSSSREFKGLVKEVTKSIVDTAPYSQGFSVVARKSVHGLTAYGLGICRQTLDEELCQLCLADGALSATSCSPATEAFVMNAGCYLRYSNYTFYNERELLSMSLTKEHVLRILVISMVCVLAIASGFWCGKCIYLGASSKKKLKEKESKSVSNNSNLMCFKYSTLEKATNNFNESCKLGVGGYGEVFKGTLSDGREIAIKRLHISGNKTREEIHNEIDVISRCQHKNLVRLIGCCFTNMNSFIIYEFLANSSLDHILFNPEKKKELEWKKRRAIILGTAEGLEYLHEACKIIHRDIKASNILLDLKYKPKISDFGLAKFYPEGGKDIPSSSPSPSPIAGTLGYMAPEYISKGILSNKIDAYSFGVLVLEITSGFRNNKFRSDNSLETLVTQVWKCYASDKMEEMIDKDMEEESDKTEVKRVMQIGLLCTQESPQLRPTMSKVIEMINSTDLVLPTPTKPPFLHDSM >A01p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5083258:5086520:1 gene:A01p010400.1_BraROA transcript:A01p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMKSSVHPGDENKGVVAENLSSVGEKDFSEEAIVVDDNNELNDMEEEVNVCDTCGVLGFKNKLAICHNCGVGAEHTYCMAVKLEDVSENGLAMTVLKMLVLQKKKSNYCMVVKVDVPPEEWICYDCTEDRDGVPEGEETSSMERRVESYIDFLKVITTIIQRIYTQKKLFLTEEASSQADLLNRRSRPRMGRSRPRMGLDLNKEPNPDFEEDPNIGL >A05p036040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20386567:20387753:-1 gene:A05p036040.1_BraROA transcript:A05p036040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 38 [Source:Projected from Arabidopsis thaliana (AT3G22060) UniProtKB/Swiss-Prot;Acc:Q9LRJ9] MSSSSSSKRSVSLSLLALAIQLLFIHSVLSQSQDNAFLYHKCSDIEGNFTSRSPYESNLNSLFPRLSYSVPSSGFAALSTGNKPDNVNGLGLCRGDASPSDCRTCLATAIPELRKRCPNNKAGIIWYDNCLVKYSSTNFFGKIDYENRFYLYNVNNVSNPASFNTQTKAILTELTKKATAGGHQKLFATGEKSLGEKKLYGLVQCTRDLRSESCKACLDGIIGELPNCCDGKEGGRVVGGSCNVRYEIYPFVKIV >A04g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11779242:11780945:-1 gene:A04g505550.1_BraROA transcript:A04g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDMKARKDYYNMLHAVSDAQQGIPQFCPCGSLTKEVVDEDDTYDYLPGKRYFICREFENDGMHFRQPWVTGMQQEVERFKKIFNEQEKLKRECEALKEQVKMMHLRLNELESSHSKASLPVMRRHFPTRNAGGSVTEPQERPIGVKAAKAAGKRKKLGKEEELGQLKDLMETKKQISDQSLLASLFAKTDPLTEMEIALKMKLMSQML >A02p005970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2544581:2546947:1 gene:A02p005970.1_BraROA transcript:A02p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVITCFHTKCVSLPARSIPLSRWRNRNLVSSIPRRSLCVSASSSDTLVAGGSPKEEDTRSKVSSKGDDSEDLKLWMDKKGMPPCKVLLKERPAHDQKHKPIHYVAASEDLQKGDVAFSVPNSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSVWYPYIRELDRQRGRGQLDVESPLLWSEAELEYLTGSPTKAEVLERAEGIKREYSELDTVWFMAGSLFQQYPFDIPTEAFSFEIFKQAFVAIQSCVVHLQNVSLARRFALVPLGPPLLAYCSNCKAMLTAVDDAVELVVDRAYKAGDPIVAWCVCDPKCFPCGPQPNAKLLLNYGFVDEDNPYDRIIVEAALSTDDPQYQDKRLVAQRNGKLSQQVFQVRVGKEREAVQDMLPYLRLGYMSDPAEMQSVISSQGPVCSMSPCMERAVLDQLADYFMRRLSRYPTTLKEDDAMLADPSLNPRKRVATRLVRLEKKMLAACLVATVDFLNELPDTSISPCPALYAPSLK >A03p045650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19185496:19185914:1 gene:A03p045650.1_BraROA transcript:A03p045650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNSFFSPLKKLWCRVNSAQKKRRGIYILYEDVKSCPCEDVHVLWSILVESHPHTLQPKQ >A02p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15154370:15157949:-1 gene:A02p029840.1_BraROA transcript:A02p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive exonuclease DIS3L2 [Source:Projected from Arabidopsis thaliana (AT1G77680) UniProtKB/Swiss-Prot;Acc:Q0WPN0] MKKSVVSAEQQSAKAGERIENGDKDKKKKRRGSRRSKNTSGCVVEDIHVESSDGKAKDNNPNELTRASNVAFNSMPYSSASPLVPSAEVSKQLLSKSCPHPRDCEQSSIMDADLFQQVDECVSLRNIFSSHWSLDAVNQALEKGEVYKALFRVNAHNRSEAYCKIDGVPTDILINGIAGQNRAVEGDIVAVKLDPLSLWPKMKGFVTENVAKPEETNCPPEKDERLSHLERGEIDAQQNKCSVIGQGAKNCVSRNSVPLLDSCSLGEQKGNGTAVEKLCVILSSFPNKRPTGQVVAVVEKSLVRDSIVGLLDVKRWIHYKEANARTSKSLSDDDYIQLMPADPRFTKLIVPFHALPGGIRARLESMDPTLEAELVAAQIVDWSEGSQFPLAQITHMFGRGSELEPQISAILYQNSVCDSEFSHGSLASLPRAPWEVPEEEVQRRKDLRDLCVFTIDPSTATDLDDALSVQSLPGGFLRVGVHIADVSYFVLPDTALDTEAQFRSTSVYMLRRKIPMLPPSLSDNVGSLNPGVDRLAFSIFLDLNREGVVTDRWIGRTVIKSCCKLSYDHAQDIIDEKHDVAANSWPALHGPFEWSDVVRSIKQLSEISTNLRQKRFRNGALQLENSKPSFLFDEHGVPYDFVLYPTKASNHLVEEFMLLANMTAAEVISRAYPVSALLRRHPEPNLRKLKEFEGFCAKHGMELDSSSSGRFHESLEKITETLKDDAVFVDILNNYAMKPMQSASYFCTGNLKDCVAEWGHYALAVPLYTHFTSPLRRYPDIVVHRAVAAALEAEECFLKQKQISVRSCFTGVHFDKDAVESMEGKEALSVAGLKHGVPSTERVSEIAAYCNERKLASRRVKDACDKLYTWCVFPCEARVMNLGPMFMTIYISKLGIERRIYYDRIEGLCADWLEATSTLILDKLEFKRGGRGYLKPLKEVAYLVSPSDTCVAKCSAMSVTDTTEQREEVVPAVFPLTVQVFSTIPVALHAVGGDDGPLDIGARLYMSSYYR >A03p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5130996:5134327:1 gene:A03p013030.1_BraROA transcript:A03p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASNGSCAVSSSSASAVFRKIEFHPARKPFNGFSNGKSDFKIETLNPSSSSANKQAFFSSQSFKKRDGSDLLENGLDRELTFNRTIRKIGAGLENLGNTCFLNSVLQCLTYTEPLAAYLQDVGHERRCNVAGFCALCAMQKHVRTALQATGKIVAPKYLVSNLRCVSRNFRNCRQEDAHEYMINLLECMHKCSLPSGVSSESSDAYRSSLVHKIFGGSLRSRVKCAQCSHSSDKFDPFLDLSLDISKADSLQRALTRFTAVELLDDGAKVYQCERCKQKVKATKELTVSKAPYVLTVHLKRFEAHRSEKIDKKVEFASALDMKPFVSGPYCRTCVEQEGNLKYTLYGVLVHYGRSIHSGHYACFVRTSSGMWYSLDDNRVRHQCCTFFGNDQVSGSTVMKASCFNGLGANGRAPLRSCDQGAPAVFNQKDLNAKETQKDPLSSVDAKEILKRENGAAPLKSCGLSATAVLSQKDSNSKENLQKELPLSQANGEGSLVKEKMKAACATLPGKASPLLDGSRNAQILVNLPTSVASKDEQTLNTARKTRKGKTKTQQVGLKLFKLALGVRKKMKQKRGRSSAVKVIPEELRSKKGATDQERSTSEITSEVASGLPGKDNIVSVHNERNMNSNGNMLLGSATGDHQERANQNGAVLASDQQPPLRSSDLSKASQIAKRKRESSKDEQIVLQKDEPTILTRGLPETVVAKWDEEVSASKKMGNNEGTKIGYVADEWDEEYDRGKKKKIRIKEDMYKGPNPFQMIASRKQTDTKKKWTQRMNTAKTGLRI >SC141g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:7885:12476:-1 gene:SC141g500010.1_BraROA transcript:SC141g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHRSGRSEKLEEENEWVVSRVVKTALKSCGIWSDHIKVEPLKVRAAEESQTASLEKIHVKVEPLKEVAAEEGQTARLKVHEAKGVILEWKHGNGEWYQLVGRLKCLWSELDVLRPSTSDPKVIQDRQEQGVVFNLLVDGICKLVQHVCEKNKRSTQWKGGTSCKRRRLRKLSKVWFMMRRPWREVSESDDLRHMMGLKGIKDVVHQMVRGECSYSAYMGETVEDRGVLTEQEKGDGADDHITRKEWRVGNGTESGEQEQNREDSGLHDQDTSQEIENNVQSSGEVDEVQSSGEEQVGPVSSEEEQVEPASLPWIILDRRSTPKRSLDQGGAVWIRSGHSWKGKATLQPVQACEASQPTSQP >A03p033200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14051375:14052657:1 gene:A03p033200.1_BraROA transcript:A03p033200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASLLRQEEEEEHHCSLSRLSVCCNYDGDDADGEPSDSDVKLVGGGEKMELLEFSDSDNGSTGCLSLPATPPRLRRRQGGTVSSPVSGDKAYASENEARKEKHGSNNNQRRRRRRLRPEYPPWVDSMRRSYVDEQSGYGGGVVVVTRPIGGGRPLCMDLGEVKACQDLGFEIEPGQVSYTGSTMDTSSGGNSPNHRISSPGDDPKEVKARLKAWAHAVAFVSTTHHHQPPNSL >A05g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8598306:8605246:1 gene:A05g502830.1_BraROA transcript:A05g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRALFGRRGGPNLPISISSSSDSSPPSTPTPLPTASFDATPSGSSFETDPSEGSYNQTPVHMPLSPDPYFMDIEVDVVHDSPVHGDHYAAPASPAAHIPPAPTAPIPAAQPQPAPTDPAIVALLELMAEMVNLQHQALNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVQSQPQPHSPMPRGRGGRAFRGGRSGDPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGERGHYTISCPHTHLAQPVVTSAQPVVPVNPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISVQLQAYHGEEDQLRPSSPLVHLVSDCPYRTFDNDGDANSLVSVNLRRETLKSFGRKERPTSGSILLSLQQKKIGLFTQNNQRTVLLLEQPIDCSSPPYTDPPPAIFSKQTTKSLILNRFKCTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHTETLADLGIDEDVFETLHAIGIAPLCYTSHELYPDLVRQMLATATITYEGSDAPSYANCSFSFMADGEYCRLSLDKLNEIYEMAAEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKELTSKVTNGELQTLYTGIEDEIRASGSGIPIQRVKTNPGFNFITMICERRQCLMHGSKKKDRSGSLLTPLFKHFDIDLTKYSVNKEVQYLDIRYLMACHIMRDEETYSFYDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDEDMDDVEDITPEADPSYDLGELADVTDDQAYRRWMVDSQRKNNSLMRRILHLVTGGCIGGSAQRQSTTDRPPRSHRPGKEPMGTGPFSEEVHRSRNRRSFDPAESGESD >A09p011450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5776327:5777924:-1 gene:A09p011450.1_BraROA transcript:A09p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMNGPGRHHLFVPGPVNIPEQVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFMFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQKRLNFNVDVVESEWGQGANLQVLASKLSQDQNHTIKAICIVHNETATGVTNDISAVRTILDHYKHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEATKTSKSLKVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWISNTVTAVVVPPNIDSTEIVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPIVLGSGVAAASTYLQHHIPLIPSRI >A03p008890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3560323:3564798:1 gene:A03p008890.1_BraROA transcript:A03p008890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDRSELRVHLRRSFDIMIKTSYRWICDHPFLLGFVAFLYLLHRTCPLLFSALVVASPVLVCTLVLLGTILSFGEPNVPEIEKEKPETVYEAAPFRTEVSRDPFSTVVERGGGGGESFNVERFLGREKEEEDVVLDGKDVADGLVENLLSEVDDDGRPFDYRPLVDETLDEVKRGGTHVRFEERAFVVDVDKKRDGVIGTEQSLDAMMDESKEDQMDVSPVSPWRPMRHEEDEDDDADDSLDSGSDGAESSSPDPSMTDIIPMLDELHPLLHMENPGRGAADLDGSDAASEGPHRSSSDEGMESDVDSESNGEEGDNENEEEEEEEDEDEEEEEEEKKEEKDDESKSAIKWTEADQKNVMDLGTLELERNQRLENLIARRRARHNMRMMAEKNLIDFDSAEIPVNMPPISTARNPFDLPYDPYDDMDIPGSAPSIMFARKNPFDSPFDPNEEKTDVKGEGFEEELSSQQPKDQVFRRHESFSVGPSMLGGPRHDRLRPFFVLERLANEGTSYYPYERQLSEVSESKVSSVPDTESVCTILEDDEKKVDEQDADRDTEKASDRDEEKMHSASDHDDEEKSRSGSDRDEEKSHSSEDSDSDEQGDSRNLHHDVAEIVLGSPEAHHEESNVTDGETSDKSKHDNEEDSSDSDSSLSETEEETGEPSENEATMVTEEKVDLHEESEASSFGGGLEIHVPRGVDDDDDYHRDHDVAPAFITARPSLEDLAAHDLSVDDHHVEPVYDTSPRSGSRFPSFSSVSSDYKPDLPEKSVEEVHENADNEGENAEIHSTPGETERSTREVGESSSHVAGEANLHIGELHEEVKEVSSGMLEQHLPVEHTCSPDVVHDIAETSVNRSVSEGIMHEEEDKQKDEAILQTFNADIPIDSYSTLSSGAVEYAETHSFNDEDVQQSEQDSVQSSVSDAKEEAHPNQTMDIEVDSVNASTQNVGSEETTPYESDRELTWSDKSVVEQSSPGPGEDQAPARAAPVSVVFSRNITFHEYHDAPEDTADLSCLTSDTSSSPAESPEYKTPMVGEGSRAEFFQETVYDELDHVVERLDQLTDLHAISETPPEVINEEADEIKEIDEGLLSELDTIGDFSVKEVVTDSEPGPSSIGSETANELTEALPVLEARSVEDIESAFQQINEGSEVEDVILPSTVQDQLAQENYGTESDLTVVEATSKDDLDTAMNQAVEESMGKQPKSPEPEGGSGDVKSAVETESSGSSVEERSLDETNVHLNNTPVKEEKKEEEESRLKEEGTTSDVSSIETRSLEEIPKPSEPKEEMAMEVISERVVNPTENVTVSDEVVTEEAKAETSSNADAIVQSHDSKETPESSGESIAEQKEKTEKKKEKKKKEKKSKSNSSSSSSSSSSSSSDSD >A03p043570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18228149:18231238:-1 gene:A03p043570.1_BraROA transcript:A03p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen-specific leucine-rich repeat extensin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G19020) UniProtKB/Swiss-Prot;Acc:Q9LJ64] MNRRTMEKLYGCFLLLFFFIIFTIIHSTTALTDEEASFLTKRQLLTLPENDNLLDDIEYEVDADLKFANNRLKRAYIALQAWKKAIYSDPFNTSSNWVGTNVCSYKGVFCAPALDDPNMMVVAGIDMNHADIAGYLPHELGLLTDVALFHINSNRFCGIIPKSLSKLTLMYEFDVSNNRFVGPFPIVALSWPSLKFLDVRYNDFEGKLPPEIFDKDLDAIFLNNNRFESIIPETIGKSTASVVTFAHNKFSGCIPKTIGKMKNLNEIMFIGNNLSGCFPNEIGSLNNVTVFDASKNGFVGSLPSSLSLLDNVEQMDFSYNKLTGFVTDSICKLPKLSNFTFSYNFFNGEAQSCVPGTSQDKQFDDTSNCLQNRPQQKSAKECLPVVSRPVDCSKDKCAVGGGGGGGSNPTPNPPKTPEHKPNTPKLEEPSKPNPKESPKPQQPSPEPETEPSKPKEPKPETPKHDSPKPEQPKPKHESPKQESPKKQPPKQEESHEPDMTKPEESPKHEQSPKTETPKIRSPPMEPPVTDDPYDASPIKKRRPQPPSTEETPQSSPVHSPPPPLVFSPPPPVHSPPPPPVHSPPPPVFSPPPPPIYPPPPPVYSPPPPPPVLSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPPPVFSPPPPAPIYSPPPPPPVHSPPPPPVHSPPPPMYSPPPPVHSPPPPVYIPPPSSPINSPPPAFSPPPEPATPLPPATSPMINAPTPSSEVKKESSPAQAPTPDSKTVEAPSESDHSPVFKSSPAPAPSSEIEEESSPVQAPTPYSKTIETPSESDHSPVFKSSPAPAPSSEIEEESSPVQAPTPYSKTIEAPSESDHSPVFKSSPAPTPSSKIEEESSPAQAPTPDSETVEAPSESDHSPVFKSSPAPTPSTEIEEDSSPVQAPTPNSKTVEAPSEYDQSPVLNSAPAPTPISQPNHTPTPLSQPDISPVPSEEQLEAPSPSTQEVKPPVTTSTPPKNNDGGDDDFILPPNIGFQYASPPPPMFPGY >A03p054010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23177298:23178971:1 gene:A03p054010.1_BraROA transcript:A03p054010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRNSTVSGVLSRSNQTELTEPPPLSRSNVPANPVSLPPYRSNIIILFDAMPVFLVIFISQSVQSPLRNINSPFGFHGAVNLSSDSNKRFVYDVGAYNSDDDKADQNGMGVFLNEMAAMMNLCIVRHRSIPILIINILARVSICLLCNLRKPTAVVLLKRVTTEVKTLMGAVLIRRDAEADLNSALRPVEIIGNLASIEKAEKLINEVIAQSEGEGIPVLFVRGAPEQIWIKVRNDGIRETIKNMQTKSRARIQVVLSIYDLK >A09p008850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4550086:4550562:-1 gene:A09p008850.1_BraROA transcript:A09p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15-6 [Source:Projected from Arabidopsis thaliana (AT5G63070) UniProtKB/Swiss-Prot;Acc:Q9FML6] MTTTNEPEFATAVAVATKNRTFKKFSFRGFNVEALLKMSTYDLSKLFNARVRRRFYRGLKKKPLILIKKLRKAKKEAKDEKPELVKTHLRNMIIVPEMIGSVVGVHNGKKFNEVVIKPEMIGHYLAEFSMTCKKVDHYRPRICGCGCYRNSTRFIPLR >A09p078890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58199854:58200774:1 gene:A09p078890.1_BraROA transcript:A09p078890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVQVIDRRLVLKHEFWTKTKPPRLCVHRPQTDLITKSSLLPNQKHMAEATPALRKPVFTKVNELRPGTNGHSLNVKVISTKTVMQRGGGGRPSGPQARQMRIAECLVGDETGIIIFTARNDQVDLMKEGKVVTLRNAKIDMYKGSMRLAVDRWGRVEVAEEATDISVKEDNNLSLIEYELVSVEA >A06p051890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27462381:27464306:1 gene:A06p051890.1_BraROA transcript:A06p051890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKFQGAQQLPLVSSSSFSATNQGTSLSIFAGVGGMIGLSTESSHMVVNVDGLMPPVPSPPVNAEVENIREESTVVVNDKAIDISEDEEDQENEPLIASAECRICQDECPIKTLESPCACSGSLKYAHRKCVQRWCNEKGNIICEICHQPYQPGYTAPPPPPQPEETTIDIGGGWTISGLDLHDPRLLPIAEAERRYLESEYVEYTASSASGAAFCRSAALILMALLLLRHALTITSDDGEDEDDPSNILSLVLLRAAGFLLPCYIMAWAISILQHRRQRQEAAALATQFALVLQSGQPRTVHFTVAPEPPSPSMATATTSTQQPEEPV >A03g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14154430:14156250:1 gene:A03g504000.1_BraROA transcript:A03g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRFSRSEKGKMISVPHNQTKRKPVIIPASNTEALIEDNKVRVRINGLRPLDMTLDTVLPSGGTKKVELHFEKLEKHCFSCHSLTHEDSDCPQNRAPRNHRSDYKGINHAQTLESLDAHRKAKDDRKEEKNRSAVQPRRDVGYQAARSSIDTRRYGNNSKSPPRVSHLQSRHNRSSLGASKDSRHYAHFHENPLASHRISRSQRPPQDRINVHSRLGDRVWVEKSSNSQVSHTPPPKPPREALITSQEVSSLSRRPMSQRLEAPEVRETINEPNPSRGSERLSALQRIESPINRPSLPLSGNLHVSSQDRLPALQRLALPRERVPLLQNGVANSDSGRLQEVEIQYLEDTFPTNLLDNSGNPSSSRPPARERLSLPQVSPIRSLSEDRRQLELTVPHLEMSEEADPSYQLPTESTRMKKGKVTTTKAAGKRKAMEKLQPIPKRRVVKSPRQVASTKIRRVTKTQNSPRRKLMADSIAARRAGI >A04g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15219614:15220453:-1 gene:A04g506740.1_BraROA transcript:A04g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKNHPWPPIGALVYNWREEPCESRFDDLVNAVSSGFVVTDILISMFLVMAIFEKLIEHLLSQIPLLVGYFGYGVLVRFSGVASKLGYQSPKASIGLAKRDITLPQHGKKEYEPNLGLSIIKKENGSANSIKENRESNTNK >A02g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25313059:25316274:1 gene:A02g509550.1_BraROA transcript:A02g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLVRVVVGDWQRLTHGTWRLDINHVDVKYDLVLKENETYEELVAMVRGEYPIHHTEPVALTYDFPEWMKVPGDYTTPPVDILDDKDVELFMAVRMDFANLTLCVTYENVDVVRYSQMWREEFGLTEDGTDVVPPKPIPWRGFRSGGYLQVSEERLMTICSKVVDEVSSESDSSDEMEVFTPDAEGMIRLEEVPTEQQSDAGLTLGIAINNDVAYGSTDKGKGIMTELGMGGGPMFSLQMWSGSGDASEDADQNPEGAYAGHDVNAGDEGDEEMAKYDNLYVVQVFVDRDPFKVHMSLHALANKYRYFVRKSEPGKVVLECSGVNCQWRVYAAKLAGCARFEIKTLESSHQCTVDEREEFKRHATASVVGGIMRSKYVGTGSGPRPAALREMMRMNHSVPISYWTASYSGSIKPVEQANIAVYKFGVDRGDGHLLPPATRPPPPPPLPWLLVLSSAVGPAAGVEERTITRSRVRCISEGKDED >A02p043780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27572489:27573823:-1 gene:A02p043780.1_BraROA transcript:A02p043780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPKSHHHSLSLFPRPLLVPCDACGLINQSDPSYVCFQCSYIVHESCINLPRVIKITRHQHRLSYTPFLSHAIWSCRICHKTVDNKYAQYSCNHEGCSYVAHSKCATHLEVWNEKELEWEPEEPDDSENVAPFKKIGVGLIEHFCHEHHLRLEKYKDHADKTCQACVLPIDSRDFYNCMQCDFSLHEVCANLPRKLDHALHKHSLILDTSQEIYDDVICSVCLRKFSGFWYKCIENDCVCMFSGVYRIDVRCISLPDYFTHKSHKHPLCLPICELRRNKVKCNACKKGGWPSHLHCTTCDFDLCYQCATYPSEVRYKHDEHPLTLCYGETVDAKYWCEICEKELDSTKWFYTCNKCCVTVHLDCMFGFFVRMKPGFTFGYNGLMVRVLGNTSSTRPICDGCEQRCPAHVYYKVYWRRYKLASCSLDCLNQVLKGRIGKQELFP >A07p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14625929:14632112:1 gene:A07p025370.1_BraROA transcript:A07p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSAGFPGSFDNFDFNYDDVFYLDDQALLEIPSPFPPPPPPAPPHPDPYSHAADAESDFSDSVLKYISQVLMEEDMEEKPCMFHDALSLQVAEKSLYEALGQKYPDHSERLAHSPDGSSPGYASSTTSSDWSFDCLENSRRPSWLHSPISNNFVFQSTRSNPKPSGGSNVALKSSFNNDLVSNMFNDSELAFQFNRGKEEASKFLPKSSQLVIDVESYIPPNDDPKEHHSVLPYRSTGKKKHWREDEHLLSEERSKKQSAVYVDEAELSEMFDKILLFGRPKEQPLCILNDNFIKETAEDSSSSYKGDTTQQKHAASGNSYMKETPDLRTLLVSCAQAVSSNDRKMAEDLLRQVRQHSSSHGDGTERLAHYLADSLEARLAGTGTQIYTALSSKKTSASDMLKAYQTYISVCPFKKTAIIFANHSIMHLAPTDAKTIHIIDFGISYGFQWPPLIHRLAWRRGGSCKLRITGIELPQRGFRPAEGVNETGERLARYCQRYNVPFEYNGIAQKWETIKLEDLKLREGEFVAVNSLFRFRNLLDETVAVHSPRDAVLKLIKKIKPNVFIPAVLSGCYNAPFFVTRFREVLFHYSSLFDMCDTSLPRGDPMRVMFEKEFYGREIMNVVACEGSERVERPESYKQWQARAMRAGFRQLTLEKELVQKLKLMVESGYRSKEFDVDQDGNWLLQGWKGRIVYASCTLYLDESLFLDSSPSFDFDRNPSFLADPTEEPDENDSSTTLLRYVTQILMEESAGDKQSMFYDSLALRKTEEMLQQVITDSKAHSATSGSIGSSSSSWSSSSVPVHEIVVKSMFSDAESDLQFRRGLEEARKFLPNSDQWVFNLEKPAVREVIKEERVKKSHQREVLDLEEQVRSSKHSATNVEDVEVTDMFDKVLLLDGQCDPQTLSENKHQQTKKKKKSQVIDFRTLLTHCAQAISTGDKTTALDLLLQIRQDSSPMGDASQRLAHCFANALEARLRGSPVIQTYYNAITSLKETASDILKAYRVYLSSSPFVTLMYFFSFRMILDAAKDAEVLHIVDFGILYGFQWPMFIQYMSGRKDVPRKLRITGIELPQRGLRPAERIEETGRRLAEYCKRFNVPFEYKAIASQHWETIRLDEFNIRPGEVLAVNAGLRLKTLQDETGGEETCPRDAVLKLIRKMNPDVFVHAIVNGSFNAPFFISRFKEAVYHYYALFDMFDSTLPRDNQERIRFEREFYGREAMNVIACEEGDRVERPETYRQWQVRMVRAGFKQKPVRDEIVELFREKLKKWRYHKDFVVDENSKWLLQGWKGRTLYASSCWVPA >A06p026160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14204487:14205684:-1 gene:A06p026160.1_BraROA transcript:A06p026160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U27 [Source:Projected from Arabidopsis thaliana (AT3G43800) UniProtKB/Swiss-Prot;Acc:Q9LZG7] MSEEKVVVLNFWPSMFGARVIMALEEKEIKFEYKEEDVFGDKTDLLLQSNPVHKKIPVLIHNGKPVCESNIILEYIDEIWNNDKTLRLLPSDPYEKAKCRFWADLIDKKVFDAGRRTWTKKGKEQEEAKREFIETLKVLETELGEKVYFGGNETVSMVDLVLISYYPWFHTWETIGGFSLEDHTPKLMDWVRRCLARPAISKSLPDRLKILGRVSQIIKLHEFFYGY >A10p029950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18201399:18202372:1 gene:A10p029950.1_BraROA transcript:A10p029950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTSNEVAGFAVGALLLGATIAAPKVDAFIAAAQRRSLGMCTKCGDVKNVACGRCKGTGSIKSGGIFGFGEPEPSSNARSATCDNCKGRGCFPCPECSKS >A01p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1709003:1714689:1 gene:A01p003940.1_BraROA transcript:A01p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein MRL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34830) UniProtKB/Swiss-Prot;Acc:Q0WLC6] MEVTSSFISTTTSSSKYLTLTSYSPVILPASSLRSIRTDFLGCCHTLRPPHHHHHLRTRAGKRKTSRSSPRLVVRASIDSGLILVVVAVTAFSAIAFAYCQNSFRKRKLSDKVSQVSDKTSQLSDKVSNLSDKVIQLSDKVAESPETLHGGKISSTESQQESQHLDVHEGNPVEVNGSFRKKVEEEAHQIQENANETVASSSITRGFSKKVEESANKSEEEEAHQVQEAAVMEYDPFVAKEVAAEESQFAVAGVSTIASEHSSIDESLSSSMSNGHVAVESATLGTQAPEEQMVMENGFSQAVVGVHSVASPHVVVDDDTHALENKYNGLAQKPVDYSIFTESKREEIHTFYGSNHSSPTSSRLTSVKAVSPTLTSPATDSLLLDHKNGGVIDTQFSGPSSNQATGYVEEENLVGHGNGGLSYKRKDVRRDWEVPDDGKKHVVHQTDESMPEFPSQILNSNGRSLETTDAYYRLLRDGRLMDCVNVLEDLEERDLLDMDKIYHASFFKLCKKKRAVKEAFRFTKLIPNPTMSTFNMLMSVCASSQDIEGARGVLQLVQENAMMADCKLYTTLISSCAKSGKVDAMFEVFHQMANSGVEPNLHTFGALIDGCARAGQVAKAFGAYGILRSKNVKPDRVVFNALISACGQSGAVDRAFDVLAEMKAETHPIDPDHITVGALMKACFNAGQVERAKEVYKMIHQYGIKGTPEVYTIAVNSCSKSGDWAFACSIYNDMKEKGVFFSALIDVAGHAKMLDEAFGILQDAKSQGIRLGTITYSSLMGACCNAKNWKKALELYEKIKSIKLRPTVSTMNALITALCEGGQLPKAMEYLDEIKTLGLTPNTITYSMLMLASERKDDFEVSFKLLSRAKEDGVSPNFIMCRCITSLCKRRFEKSCAAGEPVVSFKSGRPQIENKWTSMALMVYRETISGGTVPTTEVVSQVLGCLQLPHDADLRDRLVSNLGNVSSQKQHNIFPLVDGFGEYDPRAFSLLEEATSLGVLPSMSFNKVPLFFDTTELPKNVAEVYLLTIFKGLKHRLAAGAKIPHINLIISIEEKEITTPEGEKTIDLAGRVGQDISALLRRLGIPYHRKDAKLRINGVALKNWFQPKLDSPFSTKPGDLRSSQVPLGNQITRQQRSIRLGNLSLE >A08p036920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21594197:21595815:1 gene:A08p036920.1_BraROA transcript:A08p036920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A5 [Source:Projected from Arabidopsis thaliana (AT1G22370) UniProtKB/Swiss-Prot;Acc:Q9LMF0] MASHAVSNGQKPHVICVPFPAQGHINPMLKVAKLLHAKGFHVTFVNNVYNHNRLLRSRGPNALDGVPSFRFESIPDGLPETDGDRTQDVPFLCESTMKNCLAPFKELLQRINARDDVPPVSCIVSDGLMTFTLDAAEELSVPNIIFRTTSACGFLAYLYFDRLVEKGLCPIKDESCLDREIDWIPTMKNLRLKDIPSFIRATSRDDIMLNFFLHEVDRVKRASAIILNTFDDLEHDTIQAIQYITPPVYSIGPLHLVVNRDIDKDSEIGRMGSNLWREDTKCVDWLDTKAPNSVVYVNFGSITVMSAKHLVEFAWGLAATGKDFLWVIRPDLVDGKLAVVPPEFLTETADRRMIATWCPQEKVLSHPAIGGFLTHSGWNSTLESLCCGVPMVCWPFFAEQQTNCKFCRDEWEVGMEIGGDVKREEVDAVVRELMDGEKGNKMREKAEKWRLLAEEATEPKRGSSELNFETVVDKVLLGRG >A08g509220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17987490:17987696:1 gene:A08g509220.1_BraROA transcript:A08g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQVSNGINDNRKDPMKSRRRYRGERDAETEAEQLHREDREPSETKAGYTRQIDRDIPKSTVTEKER >SC203g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:279966:288152:-1 gene:SC203g500120.1_BraROA transcript:SC203g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVRQHTHDVRGCPSAHIGHPWLSVCVCVGQLAHKGRPWLSISTHISTLVLGLSTLTIPVDCSGDFGPHGLSVQYTQDVCGCPSAHTGRLWLSVCVRQHTQDVRGCPSVHISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGNHQHTQDVCGCLSAHTRRLLLSVCIRVCLWVSASTHRTSVAVRQHTQDFRVSTLRPSLGLLGDSGARGLFVQYTWKFCVGDRQPQQESVAVRQHTPVRLWLFRVCPCVDIVAVHQYPLSTWPLPVTVRVIWPRGLSIHYTHAVMGVLQHTKDIATKQDPVRGLVPKYKYQHVVHWTLHADPSRAHTMASWCPSVHILARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTHDVCGCPSAHTGHPWLSICVRVCKSAHTGRPWLSISTHISTLVLGLSTLTIPVDCSGDFSPRGLSVQYTQDVSHTGCPWLSISTHISPLVLGLSTLAFPVDCLGDFGPRGLFVQYTQDVHGYPPAHTGCLWLFVSTHKTSVAVRVCSCVSVGVRQHTQDVRGCPLAHIGRPCVSVSTHMTYVAVRVCPSAHTGHLWLSIRSSWVSASTHRTSVAVRQHTQYVCGCPCVPVWTSVAIRVRVDIRGCPSAHTGRLWLSNSTHISTLVLGLSTLALPVDCLGDFGPRGLPVQYTQDVRGCPPAHTGLSVRVCPSTHTGRPWLSISTHISVLVLGLSTLALPVDCSGDFGPRGLCVQFIQDVRGCPSAYTGRPFLSISTHKTSVAVCVCSSAHIGRPWLFINTHIITLVLGLSTLTIPVDYSGDFGPRGVFVQYTQDFRGYPPAHTQDDVRGCPSAHIGLPCVSVSTHMTSVAVRVCPCVSVSTRMTSVAVHQYTFSTLVLGLSTLTLPWTVWVILAHVGCLFSTHRKFVGAASTHRTSVAVRQHTQYVCGCPCVSVWTSVPVHQYTYQHAGPSRGLFGTHMTSVAVRVCPCVSVSTNRTSVAVHQYTYQHVGPWTRHADPSRGLFGTFMAVRVCPSAHTGRLWLSISTYISALVLGLNTLVLGLSMLALPVDCLGDFGPHGLSVQYTRDVRGTHKTYVAVYQYTYQHAGPWTQHAALPVDCSGDFGACGLSVQYTQDVLGCPSAHTGLHISARWSLDSARSLPVDCSGDFCPRGLSVQYTQDVRGFPPAHIGCPLLSVAVSQHTQNVRGCPCVSVYVRQHTQDVRRCPSVHISARWSLDSARWPFPWTVWVILAHVGCLFSTHKMFVGVRQHTQDHTQDVGGCPTVHISARWSLDSARWPFPWTVWHTQDVRGYPCVSMCVCVCPPEHTGRPWLSISTHISTLVLGLSTLALPWTVRVILAHVGYLFSRHRTSVEVRHYTQDVCVYPSADRGRLCLSVCVGVCPSAHRGHPWLSVSTHISTVLGLSMLTLPVDCSGDFGPHGLSVQYTQDVRGCPPAHTGRPWLSVNTHRTFVAVRVCPSAHIGRPWLSISTYISALVLGLNTLVLGLSPLAYPLDCLGDIGPRGLSVQYTRDVRGCPPAHTRCSWLSVSTHRTSMAVLVCPCVSVSTHRTYVAVYQYPYQQAGPWTLHAALPMDCSGDFGPRGLSVQYTKDVLGFPSAHTGRPWLSVCVRVCPCVSVSTHRTSMAVYQYTYQHAGPWTQHAALPVDCSGNFGPRGLSVQYTQAVRGCPSVHTGRPWLSVCVRVCPSAPIGLPWLYISTHISTLVMSLILDRIGRTGHGAGRRPSQLTGAGGLAQSAGNSWGSAPSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDPISMKDHKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >A08p033240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20001873:20009720:-1 gene:A08p033240.1_BraROA transcript:A08p033240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKKLLKMAKKWQQRAALHRKRISFQRSSITTSSPATEKGCFVVYTVDKTHFSFPISFLSNSVFQELLKISEEEFGLQAGGPITLPFDSVFLEYLIKLIERQMDGDTEKALLISVSSARCSLHCSLQQQEQQSSTNQQLLIMMNPKKLMKMAKKWQQRAALSRKRISFQRSSTTTTSTAVEKGCFVVYTADNARFAFPLSYLSNPVFQEILKISEEEFGLPSSGPITLPFDSVFLEYLIKLIERRIDGDTERALLMSISSARCSLPCSLQQQEHLLKLIKMAKKWQQRAALHRRRISFHRSKLLKISEEEFGLSAGGPITLPFDSVFVEYLIKLVERRMDGDTEKALLVSISSARCSTLHCSLELQEQQLLKLMKMAKKWQQRAALHRKRISFQRSNAATSSTATEKGCFVVYTADKTRFAFPLSYLSNSVFQELLKISEEEFGLPAGGPITLPFDSVFMDYLIKLIERRMDGDTEKALLMSISSARYTKGQMAGEQMKPVASLLLVLNFCMYVIVLGIGGWAMNRAIDHGFEIGPDLKLPAHFSPIYFPMGNAATGFFVVFALLAGVVGAASTISGLSHIRSWTAGSLPAAAAAATIAWTLTVLAMGFAWKEIELHVRNARLRTMEAFLIILSVTQLLYIAAVHGVRRPA >A09g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19793765:19808300:-1 gene:A09g506710.1_BraROA transcript:A09g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRRLLAKANDSKVGSLILMAVVFGSCLANGEYLGGGRGLSGSSGAVFDITKFGAVGDGATNTFKAFLKAWIQVCDSPVPATLLVPAGQYLAGPVIFAGPCKSRVTVEVQGTIIATTSGYATPEWFLFERVNDVLLTGTGTFNSKGEDIWKEGCGKKTNCNLPPTSLKFRNLKNLEVSGITSVNSKAFHMFLVKTEFVNIHNIKLLAPAESPNTDGIHLSNADHVSITNSKMATGDDCVSIGRGSNNVTIQGIICGPGHGISIGSLGKYKKEEDVSGIHVSNCTMIETDNGLRIKTWGGSDPSKAADIKFENIQMQSVKNPIIIDQNYGSRGGDSQVAVSDVLFSNIRGTTITHNVVQLNCSKSVPCAGVNVVDVNLNYVGKKGKKQSASGGLVGAICDNAKAFLKAWIQVCDSPVPATLLVPAGQYLAGPVIFAGPCKSRVTVEVQGTIIATTSGYATPEWFLFERVNDVLLTGTGTFNSKGEDIWKEGCGKKTNCNLPPTSLKFRNLKNLEVSGITSVNSKAFHMFLVKTEFVNIHNIKLLAPAESPNTDGIHLSNADHVSITNSKMATGDDCVSIGRGSNNVTIQGIICGPGHGISIGSLGKYKKEEDVSGIHVSNCTMIETDNGLRIKTWGGSDPSKAADIKFENIQMQSVKNPIIIDQNYGSRGGAFLKAWIQVCDSPVPATLLVPAGQYLAGPVIFAGPCKSRVTVEVQGTIIATTSGYATPEWFLFERVNDVLLTGTGTFNGKVLDHNRSNITTH >A01p011740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5682807:5691603:-1 gene:A01p011740.1_BraROA transcript:A01p011740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLASKSLLTSRSLLASRCITSLSSWKRRFTSQSTSSTEVSVPVVDDLIVISDKAYTRREVLDMEKLMANTLQFNFCLPTPYVFMSRFLKAAQSDKKVELLSFFIIELCLVEYEMLQYVPSDVPGKKQESQLEKVANGMAEEIISYETHCGTACRGVAWERFSLEELRASMACVGGKSSLLRLRNLRNRTDSSADENNQVVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLAYYKKKPQDYQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKHHRITMAAFNIQEALMWKEKIESVIDQHQESQVPNGQQYVSFEYKSGMDSGRTASSSDHESQFSAPEDEDGSRRSLMRRTTIGNGPPESVLDWTKEFDAELANQNSDNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEVFELVMSMDGTRYEWDCSFQYGSLVEEVDGHTAVLYHRLLLDWFPMQVILIPTEVVWPRDLCYVRYWRRNDDGSYVVLFRSREHDNCGPQPGCVRAHLESGGYNIAPLKPRNGRPRTQVQHLIQIDLKGWGAGYLPAFQQHCLLQMLNSVAGKLFHAFFPLVASGVIHGVVLMAGLREWFSQTDERGVHTRIPVMVNMASSSLSLSKSGRSVHQSAFSIDQTNSANRNSVLLDEDSDDDDEFQIAESEQEPETSKTETDVKKTEEEPAHNIDLSCFSGNLKRNENENARNCWRTSDGSNFKVRSKSFCDDKRKIPAGKHLMDLVAVDWFKDSKRIDHVARRKGCAAQVAAEKGLFSMVVNVQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRLKLIPQVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPTYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANTPEELPERLIGAVRVSHIELSSAIYFSLHHKPLISSDSSRLELINKRLCELGRGGIGVVYKTSLQEGRHVAVKKLTDSGLIKSQEEFEREMRKLGKLMHKNIIEIKGYYWTQSLQLLSYEFVSGEAYTDISMGTTLKFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYAEDDVMVLSETVREGLEEGRVEEFVDGRLRANFPAEEAVPVLKLGLVCGS >A02p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5763716:5767363:1 gene:A02p013170.1_BraROA transcript:A02p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVILAALLFLLLLALLVFLLHFYSYSDQEFSAAQRRRRRRRTVTRTTIITPIPLGGFYGGVSVDATSDDKGLDASVISSIPLFVYEDADEKEEKDEECVIRLGLWEVGDFGRKLRICGHGFHVECIDMWLSSHSTCPLCRSPVLPVSDQDNLKPTANGVEAEEVEVRLQLFQAGGEENVSVDDLKTGVDGVVGEREVIIEVLDEEINVGGIRDQRHQHLVR >A01p058220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33200285:33201365:-1 gene:A01p058220.1_BraROA transcript:A01p058220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTDQLPRIKTSLNRDIEQVLIVGYNGLDDKDKTIFLHIACLFEGKRVDCVTQFLGNSGLDIKYGLGVLVERALISILSDKLIIMHRLLRQMGREIVRKHSIQLSMRCRFLMGACYMYHVVANNIGTGAVLGTTKVSLTNEKALSGMHDHQCIRFCRHMVDKGSKLAKLVWDGISVLVLSMFWLLRCAFLSIMSMPTAAFEHPFWKLKKRLSHLKPSKEVNCCVDVARS >A06p034240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18525260:18525577:-1 gene:A06p034240.1_BraROA transcript:A06p034240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKAISPKFRLFIRFSLGHRLLPFTSPSQKIFLLYTGCLSIVVPLALSPSH >A03g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16272429:16274977:-1 gene:A03g504530.1_BraROA transcript:A03g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSRLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIIWYSSSTNLKVFQIWKTSRTTYLLVVWKSSGSHLEVVWKSSELPKSRLDFLLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSKDDLTFSRLRLQMSKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIINQNLGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09p008700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4486773:4487381:-1 gene:A09p008700.1_BraROA transcript:A09p008700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANAGTLTNFEVLDLLNSRGASNDTTRVIAPISTSEYKVYDYLMETPACTQTQEIITNFSDQCKYFKLAKAEILNIINIRPSSTVNLAPILEEPSERGIDKKAKEGILKLVEALLPPPPIVEARGENEEEETEEGEQS >A05p035320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:20033612:20034061:-1 gene:A05p035320.1_BraROA transcript:A05p035320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin like protein [Source:Projected from Arabidopsis thaliana (AT3G22480) UniProtKB/TrEMBL;Acc:Q56X68] MASVREPPNEQAVLNIYEAMRSELSQIYSNITDLEMQVSEHSLVINAIQPLDQSRKCFRMIGGVLVERTVREVLPAVQRNKEGLEEVVRKLYETLEKKKKDMTEFEAKYQIRLRKQDDPNKEEGDKKKEGNAQGVLVGAAAAAAASSSQ >A08p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1031646:1035009:1 gene:A08p001720.1_BraROA transcript:A08p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFYRLLGSTSDHQIFLGRASRERDSDALNDMKHHHKLTVALCLIWASSILYGEMFAFWVPSLFTCSWPHHHLLKSDGVESDVKFTKVAIVTDPQLMDKTSFRLSSKTLALEVAQFYTDVNMRRSFFQSVLPFKPDVVLFLGDYFDGGPFLPEEEWYESLSRFKHVFGMNSQGQAGDVPTFYISGNHDIGYSRVASHKLDVISRYEKAFGSRNRRFMIGSTEFISIDAQAIDGNPQKELALEVWKFVQNVSSDAKSHPRVLLTHIPLYRPDQTPCGPHRASSVIDQRLWRHFKDQEVMYQNYITAESSKKLLELIKPTLVLSGHDHDQCTLTHKSEAGSVTEHTLGTISWQQGNLYPSFMLLSVPNAIHQNSSDQDNMLHTQLCFLPRQLFIYIWYLSLFVVTLLALLLWPNHGVSFLNNAADTISNVMKLSFLSDVTKEKNEDENCEYEMVWDAEGSMHLVKKVLQAPVKRQSDKSHVERGNAVMRSAARKNDIENVMDSNVGAGVSDPLMRSASKSRTKLVIQRVIRTIMMSIVIAAFNVPIYMMLLFKDWVEK >A06g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10660147:10660783:-1 gene:A06g503500.1_BraROA transcript:A06g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNFKSIVLSNSDEPDDEPHIKLKLLTRRIYHRKPFVDPISDAPTLAETIHGADLSVPDPRRLQLPVQNRASSRSHYRQLEFPINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKTKVILILRT >A07p046830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25228258:25229011:-1 gene:A07p046830.1_BraROA transcript:A07p046830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILFITLLISLSSISLQLVFAQVPGTTTATCSSMLLSLAPCGPFVQGFVQLPAQPCCDGLNQIYSQQPTCLCLFLNNTSTLSPAFPINQTLALQLPPLCNVPANASSCSSPGGEAPSDSSSVSPPPSSSTSSPVSPSAKNNSSVAGTPVAQLAPRPTSLMGLGYDLRSSGSKSKIQLIILALAVILPGTLFI >A02p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18954190:18954603:1 gene:A02p034870.1_BraROA transcript:A02p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFIQGHDTLVSSPAFSLLSWVFACVPKMRRKPRTCSSVVETYHRVVHNSPCTSMKLPFYTHLPLRLSWPLGMNSGHLPSLLTICVCSQALKDALGDNITDQKPPESRS >A06p048970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25905707:25907546:1 gene:A06p048970.1_BraROA transcript:A06p048970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHKTTALKKAYAEIILNTAKESAARVMLSDRKSARFHDDLSQTKEEALRLLVRLKHMIDAKTIEGDVISSNQQRQIDVLEAQLHEAEDIITDLRSEVRWVRDRLEKAKAFESLEEEEETVSVHPEVVVESVNPSSLHDVECENEEKQFDGLSMVDYLQAYESQERNVVSEEEEETVSAHKVDPEVLVESSNPNQEDRDECEDDESLEKAKAYESQERNLVDMDEEMVPVQKVDQKVVVESLKPNLEVADTCKIHDEKQFNGLGVASLKENDDVCESELDGDIRIKKLELSRNGCTQRIHALERISSSSADEEEQQGTTDKDSEESLSSGKAGTLENTRCLVLALRASSAEVVTLPSNALGIKKARKLRGRRKRRFGKPKAKSVRPQSQLIKPCQSQSNVTSSKTSTSDGEYSIETHLSVESEEVDDLKTCKELKEHLQLKRTLDSDVLVSCEGEEESVVPCTKMASESKVTAVVSDQISESPPRANVNRLLKYTFQRKRKRGSADDSNNLPRKHQEDKAQDQIESTLSK >A09p062850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51220092:51223167:1 gene:A09p062850.1_BraROA transcript:A09p062850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSCVLKAWEVTMRKTQQAKKRANSIFGTVPVALQTDDDATTTTEENDDHESSTNTSSVGELYQEERVLPNGDYYTGQWYDSFPHGHGKYLWTDGCMYIGEWYNGKTMGKGKFGWPSGAMYEGEFKSGYMDGTGTYTGPSGDTYKGQWVMNLKHGHGIKSFANGDVYDGEWRRGLQEAQGKYRWRDGSYYIGEWKNGTISGKGTFVWSTDGDRYDGFWDDGFPTGNGTFKWDDGSFYVGHWSKDPEEMNGTYYPSGNEGALDWDPKDVFDNLSEYKICSGERLPVLPSQKKLSVWNSSKRVEKPRRISVDGRVSVGLDRAFEKMNMWGSESGEGAADIDSTTRRDLDAEIMRLEAEGLIQSLRPSPVPMRLPKAGKKQGETISKGHRNYELMLNLQLGIRHAVGKQAQVVSLDLKHSAFDPKEKLWTRFPPEGTKYTPPHQSSEFKWKDYCPLVFWSLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLRMLAAYYNHVRAFENTLVIRFYGLHCVKLTGTIQKKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPESEINSNTILKDLDLNFIFRLQKAWFQEFIRQVDKDCEFLEQERIMDYSLLVGIHFREASVAGELIPSGARTPIGEFEDETAPRLSRVDVDQLLSDPTRWASIRLGGNMPARAERTMRRSDCEFQLVGEPTGEYYEVVMIFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRLYSRRFRDFIFKVFTEDD >A09g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5079843:5082771:-1 gene:A09g501450.1_BraROA transcript:A09g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSNNLESSGSRLEVVWTSLKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFVVWKSCGSRLDFLKVIWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGKVKLLKLSIDDLTFSRIRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSLLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7599658:7603042:-1 gene:A03g502380.1_BraROA transcript:A03g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCVMISGVTMGLMFLCVSGFWVLLTEGAGRESFLRNSSFSSRPSSVNVGALFTYDSFIGRAAKPAFMVAIEDVNADQNILRGTKLNIVFHDSNCSGFVGTMGALQVMENKVVAAIGPQSSGIGHLISHVANELHVPLLSFAATDPTLSSLQYPYFLRTTQNDYFQMNAVVDFVSYCRWREVVAIFVDDEYGRNGISVLGDALAKKRAKISYKAAFRPGADNSSLRDLLVSVNLMESRIFVVHVNPDSGLNVFSVAKSLGMMGSGYVWIATDWLLTALDSRLDPKTMDLLQGVVAFRHYTPESNEKRRFKARWKSLRSKESSGGDDGFNSYAMYAYDTVWLVARALDVFFSQGNRVTFSSDPNLRKTNGTNIKFSALSVFNEGERFLQVIHEMYYTGLTGQIRFDSEKTRINPAYDVVNINSRGPQRVGYWSNHTGFSVEPPETFYSKPPNTSVEHQRLNEIIWPGGVTKPPRGWVFPDNGESLKIGVPNRVSYKNYASEEKNQLGVKGYCIDIFEAAVELLPYPVPRTYILYGDGKRNPSYDNLVNEVASNNFDVAVGDITIVTNRTKFVDFTQPFMESGLVVVAPVKGAKTSPWSFLKPFTVEMWAVTGLLFLFVGAIIWILEHRFNEEFRGPPRRQIITVFWFSFSTMFFSHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLTSRIEGMDSLITSSEPIGVQDGTFAYKYLVNELNIAPSRIIPLKNEQDYLSALQLGPRGGGVAAIVDELPYIKALLSNSNCEFRTVGQEFTRTGWGFAFQRDSPLAVDMSTAILQLSEEGKLEKIRKKWLTYSHECSVQIADTENYKLSVQSFWGLFLICGIVWFIALTLFCWKVFWQCQGLRPEEESDELRVSEEASSSRSGRSLRAGSFKDLIKVVDKREVEIKEILKQKSSKKLKASQSSAETP >A02p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3769754:3776674:-1 gene:A02p009050.1_BraROA transcript:A02p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKLCFLSIFTAMILTSQAQYLLPITKHEPTQQFYTTLDIGTTVKSPINLLLDLGTNLTWLNCHQLQSLTSLHTIACDSDSCKVIPGNGCNENSCLYQQPNPLGSENITGRVVQDAASFSTTDGGNLLSQVSLRIFTFSCAYNLQGVSPPVDGVLSLSPGSSSFTKQVTSDFNVIPKLALCLPSYGTGRFYVAGVYYIIPPFDNSTNQIPKTLTPMRTDSGDYLISVQSIYVDGTPLTLNPNLLLGGVGAKLSTVVPYTVLHTDIYNALAQSFTLKAEAMGISKVTSVAPFKDCFDAQNVAGKDMTGPNVPKIEFGLPGKTGEVTWGFYGANTVVKVSEMVMCLAFYDGGMNPNDWIVIGTHQLQEYLLEFDLSTSTFSSINIETSIVHLHHNNQKKKTNKKRNMGGLMRLAVFLSMFAAITLSSQSQYLLPITKDEPTKQFYTTLDIDSAAKSPVNLLLDLQTSLTWLNCRKLKSLSLLRLVSCQSSICKSIPGNGCDRKTCLYRQPTPLGVTPVVTTGRVVQDRATVSKASLPRFTFSCAEEKRLQGLAPQLAGVLGLSPGQFPFWRQVTSAFNIIPKFALCLPSSGTGRFYIGGANYYRIPPFNGGSNPVPMTLTPLKNIGSGNYLLYVQSIYVGGSPLSLSPSLLEGGAKLSTVVPYTVLQTDIYNALARSFTQKATKIGMFEAPGHAPFTDCFDEGASSRNKRGLKNVPVIEIGVPGRGGEVKWSFQGENTVVRVMETVICLAFVDGGKNPKESMVIGTHQLQDYMIDFDFSTTLMAFSDSLLLHNTSCSTWPSRK >A04p003840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1920216:1924854:-1 gene:A04p003840.1_BraROA transcript:A04p003840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISSTKETKNSGRGMGFLFVFFPDHNNDDSPSPSPSPATTLFRSRSSRLLLSIAQSTISICILLLLLTLFLFTLSTFEPSSGFPAVSPRRFLLTRDVAGGARGFINRRNRYALQGMGTLFLRGTKSMHDLIVAHIPSDTTEDDLRLFVRLLHRSGVTSRSDVVLLFNSQRFNKLIEEENISFSKLVNLYRNSNQTETDSVWGFNLTRFTKNQLTKKDTSEPIWGKKTHRANNNESDELTHGSVVGFDVAELDPENSLSGFMDHVPVALRRWACYPMLLGRLRRSFKHVMLVDAKISLILGDPLTRVRNRSPESVLFFSASKHSSNKINPAVIIGGAKGIRRLSSAMHTEIVRAMMQQQQQHKRKSSVSESGVLSQLVGNVHMRKGFEVVGPSEVIAEASSTRNSAALSLKSRDIVQRGNSNHFDITATIMKRICSSELDSSVNTKNQNTEPKPTHDRAVFVNNDVVIVFFENQTPLAHFDLTELDPENSLSGFMDYVLVTLRRWAYPMLMGGVRPNFKQGRLDESMSHLAK >A09p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11253018:11253831:1 gene:A09p021080.1_BraROA transcript:A09p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQQIYRKLVMKRNQGSEDLDSEKKPLLQSLHLPNARTFVSLISKETGPQILVDESWPCGLSVINGYDSPQSLSAVEDHYLVSFLIIFFIDTTVKTTSLRSLSAVEDRYLVRLSNFLAGPFLIISFIDTTADKHCEDHKPQEQP >A01p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10817129:10818602:1 gene:A01p022030.1_BraROA transcript:A01p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASGSRVSDQSPSPSPPRSQSATFMEDDVELLLPRYDPSSQPGKREKSRLRSAENVIHFIPLLLLLCVVILWLFSHSVSFQANLLRFSTYTNRRYFASFRQIL >A05p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17664604:17665467:-1 gene:A05p032970.1_BraROA transcript:A05p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIDKKNLCFFRVSLSRVTTSTENSGGAISDQELPSSYLTPSTSPLSQPPSISTFPPQVAIVSLSSMASSSDMRKDSLRLYEVGKTQFRI >A07g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8337249:8338445:1 gene:A07g504250.1_BraROA transcript:A07g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGDGRIIGKSYDARYGRCYAPYEQRTPYHWKEKYKKMNVSHNSGKKLASTIVTPSRSTLPNDANVTVRSHDAARTITFSPMEKTKQQFPKGDDLIMDALQDMDIAGSVIGGSD >A10p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13122947:13124923:-1 gene:A10p019020.1_BraROA transcript:A10p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDSLFGKDARKFLKRKDSDAAEAGRALEELRSSLYNELKTSEGAKRQQQRFCGPVVAMSFNFVVAVGIILANKLVMGRVGFNFPIFLTLIHYAVAWILLAFFKSLSLLPMSPPSKTTPFSSLFSLGAVMAFASGLANTSLKHNSVGFYQMAKIAVTPTIVLAEFVLFKKTISSTKVMALAVVSLGVAIATVTDLEFNLFGALVAVAWIIPSAINKILWSNLQQQANWTALALMWKTTPFTVFFLLALMPWLDPPGVLLFKWDWGNSSAILVSALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYVIFGSDPGFISICGAVAALAGMSVYTWLNLPGKSIDYSSSKLLPKQNTAVSKPKADSDDVGRESGVALLSVELDLSKTTTANIV >A07g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15306493:15309135:-1 gene:A07g506380.1_BraROA transcript:A07g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEAKMMQKIVADVSKNLNVTLSRDCDKVVGLRSHLRKVHSLLCLECDEVKMIGIWGPELYITNSLAVLHLGGTGSVLGISFDMSKISEFSISRRAFARMRNLQFLRFYMNKPGMHKKVSLLDDMEYLPSLKLLDWNSYPRKRFLQNSDQSVSSSTVCNSASSRSYGEESRLLLVNLKKIDLSYSFKLKEIPNLSETSNLEILRLVFCKSLVELPSSISNLHKLKKLIMKGCKKLQVIPTNINLASLDEVDMSNCSSLTTFPDISSNIKHLNVRNTKIREVPPTIGRHWSSLTWLHIGSRNLMTLSYVPESLRKLDLRNSHFITIPDCVISLPFVQSLIIQNCRKLVSLQGLPPSLWCLDATDCRSLTSVLHSFGDPCASFNYRNCVMLEEEPRRRIIQQWDYNYLCLPGKEVPINFTHKATGNSIGVPMSSASSRFKACLLLSPVKGYPLFDITCRLRSKEGILLNEVEYWISGLSPKFLTEHLLIFCGDLFQTDKCHELDITTSEILFEFSCRDNDDKIIECGVHILREEGESSSSAMD >A05g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11350792:11351141:-1 gene:A05g504090.1_BraROA transcript:A05g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSSFEGSSVVFGLTAEEDLFTVLEEAASPRGIELSVAVTPTFSSPSSRGEGIIQKKSTYLLNQHSEI >A05p015240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6740338:6742036:-1 gene:A05p015240.1_BraROA transcript:A05p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGGGGAASAQNEAIDRRERLRRLALETIDIAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAQEAPTQPQPHKRTVSVRRTVKIGRPGYRVTKQYDPELKQRSLLFQIEYPEIEDNIKPKHRVMSSFEQKVQPYDKKYQYLLFAAEPYETIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQVYFKPNKPDPFKPQSTVESNGLLPPPPPPPQTQPPPPPPSGSLPPPPPPMANGGASYPPPPPPPSGGYM >A07p001340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:199491:200564:1 gene:A07p001340.1_BraROA transcript:A07p001340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGETHRAYPTVQIPPWPLNDDLTAVDIYGSPDGGNSMLEALAALQRYLPSNEPDPDSDPELSGPDSAIDTYSCDHFRMYEFKVRRCTRGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPEFRKGGCKKGDACEFSHGVFECWLHPARYRTQPCKDGGNCRRRVCFFAHSPDQIRVLPNQSPDRVDSFDGVSPIRRAFQFSISPTSGSPPVSPRDDSESCSLFSRSLGSGSVNDVVACMRHLQLNKVKSLPSSYNNQVGCYGSGFGSPRGSLLGPGFRSLPNTPSRPEIGYMDIWDNGLEEEPAMERVESGRELRAKMFEKLSKENCMDRVYPDPYQGSGEAPDVGWVSELVM >A10p001030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:536310:537371:-1 gene:A10p001030.1_BraROA transcript:A10p001030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVGLRFLPTDEELVDHYLRLKNHGGSNTSPVDQVISTINICNFDPWELPRHSSMESKDQVWYFFGRKEKRYTRGERQIRKTKSGFWKKTGVTMDIKRKRSAHREKIGEKRVLVFHSSGSKTNWIMHEYDAACLSPTQNMTYTICKVHKKGEAREISSPGSGIDAHSLSLVTHMNNSGGESSPAASEKPKNAHQLSGFSDKKQETELEEAIHGAFDNLSSYDWKYLLDDDEQSKTVAMQKSLTGFLDWAYINLSSI >A05p040530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24794435:24795990:1 gene:A05p040530.1_BraROA transcript:A05p040530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSKTLMDYFKPPKRHKASSFPAVSASGGSHGSDSAAKSPPRLTVADDSSSLTPEEISRSELNKSVAKSKRNLALCSEKVTKAKAEGSCYVPLTELLVEESWLKALPGELDKPYAKTLSCFLEREIIADSKGSPVYPPQHLVFNALNTTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGEKLPSSLLNIFKELQKDVGCSIPRHGNLQKWAVQGVLLLNAVLTVRSKQPNSHAKKGWEQFTDAVIRNISQQKEGVVFLLWGKYAQEKSKLIDGNKHHILTAAHPSGLSAHRGFFNCRHFSRANQLLEQMGTPPIDWQL >A01p007630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3750649:3752818:-1 gene:A01p007630.1_BraROA transcript:A01p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRKSGVTRPDSELASKCRDELPVKLEIAEDDLEEAHGPLNKRSKLWSSGTSSSSMAPAKYNPLDEPSPLGLSLRKSPSLLDLIQMRLTQKAADAVASGVKKESKCLAAASAGATLAPGSIEKLKASNFPATVLKIGKWEYKSRYEGDLVAKCYFAKHKLVWEVLEQGLKSKIEIQWSDIVGLQAKCPEDGPGTLTLLLSRQPLFFRETNPQPRKHTLWQATSDFTDGQASMYRLHFLQCAQGIMNKHLEKLVQCDHRLFHLSREPEIKMDSLCFDARPSIFEDPSESKGYPYGNLNLSTGPSISGTHNLASPVGAQSSSEHMYLSHEAPSPSSVIDARGNEGTGGVEAVNSRNTTDCGQMGAPGLRQSMSLSDFLAVLCDSDNTMHPNQVENAAGVHPSMSVSDLVAFLSTDSSQLKVPGLQQSISVSDFVGLLSDSAGGNHPEHLEKFESLKQQLLSDNIQFDAPDEKSLMPRVNSLFNLLYKDPNVAANSQLNTETSVGLKSELNDLNGTVSANNNNRVLDPASSSKPQGGMLRKDSFSDLLLHLPRITSLPKFLSNISEEDGDAYK >A02p015510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6862404:6864281:-1 gene:A02p015510.1_BraROA transcript:A02p015510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPFTPSVSFLCFWVLLGLGTSGLLQNDDIGIESARYISWEDLSVAEDVRRERSLKHDNGVSREYMAPSSAEDGSRVIVVEKNGRGDSVTVQGAVDMVPDSNSERVKIYILPGIYREKVNVPITKPYISFIGSQKYVGKTVISWSDKASDRYSNGSEIGTYRTATVTVDSDFFCATAITIQNTVVAVPGDQGNQAAALRIKGDKAMLYSVRILGSQDTLDDESGSHYFLKCYIEGNVDFIFGNAKSLYRDCYIRSNAKRFGSIAAHHRDRENEDTGFSFVNCTISGTGNVTLGRAWGNYARIIYSNCYIADVITPAGWDDWSDPARDSEVLFGEYNCTGKGADRTGRVPWSKSLTQDEAKPFLGMEFISGDQWLRL >A03p061160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26522678:26532000:-1 gene:A03p061160.1_BraROA transcript:A03p061160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFRYKAWVFLWVLCCSSLICSVKATVTYDHKAVIINGQRRILLSGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGQYYFEERYDLVKFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKFVPDMAFRTDNEPFKAAMQKFTEKIVGMMKQEKLFETQGGPIILSQNEYGPVEWEIGAPGKAYTKWAAQMAEGLSTDNKPKMWTENWTGWYPEFGGAVPYRPAEDIAFSVARFIHNGTNFDRTAGQFIATSYDYDAPLDEYGLPRELKYSHLTKLHKVIKLCEPALVSVDPTVTWLGDKLEAHVFKSNSSCAAFLSNYNDSSAARVSFWGSTYDLPPWSVSILPDCKTEYYNTAKVRTPSIHMKMVPTNRKLSWGSYNEEIPSPNDNDNGTFSQDGLVEQISMTRDKTDYLWYLADVEVSDDEKFLTTGEDPLLTIESSGHALSVFVNGQLAGTAYGSLENPKLTFSQKIKLHAGVNKLALLSIAAGLPNGGVHYETWNTGVLGPVTLTGVNSGTWDMSKWKWSYKIGTKGESLSLHTEGSTVEWKEGSLVATKQPLTWYKATFDTPAGNEPLALDMNTMGKGQVWINGKNIGRHWPAYTVRGNCERCSYAGTFTEKKCLSNCGEASQRWYHVPRSWLKPSANLIVVLEELGGDPNGISLVIRTGKQ >A07g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10381214:10382372:-1 gene:A07g504880.1_BraROA transcript:A07g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDVPVAQIGVQMSFFDSLRLDSPPVILLLIRKLNLSRYWSSMPMSLILLHIKLNAFAKPKSVSSPVKILTFINASSNFHTTLLWKLEHEHQSELLKCLQEIVQIFVHASVHYCLSDFRHPPLANFTQQGGNGTIQGMTCPELRVLRRSSLISLEWCTSEQQPMRFWTVTAPPLQAPLSPT >A09g514680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43733221:43733746:-1 gene:A09g514680.1_BraROA transcript:A09g514680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVTFKLSKFLARIRRKLNSAYWTAGEVQVTNAFDALLILFNPELPEALALTNVYDSKQLDIPSFFCPFFVKAT >A07p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15104975:15105734:1 gene:A07p026430.1_BraROA transcript:A07p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSILITVLAVVISFAKAYDPSPLQDFCVAIDDPKNGVFVNGKFCKDPKQAKAEDFFYSGLNKAGKTNNDVKSNVTTVNVDQIPGLNTMGISLVRIDYAPYGQNPPHTHPRATEILVLLKGTLYVGFVSSNQDNNRLFAKVLQPGDVFVFPIGMIHFQVNIGKNPAVAFAGLSSQNAGVITIADTVFGSNPPINPEVLAMAFQLDVNVVKDLEAKFKN >A01p031140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13387040:13388095:1 gene:A01p031140.1_BraROA transcript:A01p031140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEVDQEASSLEPGHEVVCGTKGKEIEMALGAEGEGLMIKKKTHDGSLVLNRSGSRGSSTGGSDRDAVLVIPLQQGLCHDYTCRNRRWLSMQSSRGRMVMFWSLVCISHGGEKHSVVHILWGRKAHLKEREALGSVLGRAEAVAEVLDGRETQAGSLGIFQ >A05g503170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9331748:9332077:1 gene:A05g503170.1_BraROA transcript:A05g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKQVKGGESKREQFVECTNRVCRVSSEIHIGEPGRLSPRERTRFPYRYTKESPERHRLRFVKTLQFKLIKNHPQKVFMEFYKVKGRKMLIRNRYSQPSTVDNHEKEA >A08g510460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23687825:23689335:1 gene:A08g510460.1_BraROA transcript:A08g510460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVTNEDAIVAVAAVEGTVRVNFSLALESKADITVSKDGSGKFTTVKEAVASAPENSKTRHTILVKRGTYLENVIIGKNKTNLTILGEGSNLTTITGSWNHVDGKGTYDSATLGILILVYTISAVDGEGFMAQDICIENTAGPTKEQAVALRVSANKAVIYRCRIDAYQDTLYAHIERQFYRECYITGTVDFICGQATAVFQNCDIVARKPLQGQQNMITAQQCQTQSRDTWFSFHKCKIRAAQDLIPVKETVKTFLGRPWGDYATVVFMKSAIDDLIDPAGWAPWDNDERRLSTLFFGEYQNSGSGAGTVKRITWKGFKVITDPKEAEQFTVEKLLQGESWIRSTGVPYEERL >A06p001490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:644559:645412:1 gene:A06p001490.1_BraROA transcript:A06p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKDKERVASPTSYGTFQGVPTYPPPLHPRPPQHHPVSGFPQPSQPPRATHHDLSVHQYIQEHQTVPGYDVAEGRHGRQERLPCCGIGIGWFLFITGFLLGSIPWYIGVFVLVCAKINPREKPGYIACAIAAVLATVAIVFGFMGGREVWS >A03g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5944641:5945535:1 gene:A03g501870.1_BraROA transcript:A03g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRSGSSVIAASKSENPVVVAAKLQAPSPKYNSLVNHIRNHLPELFPSLNKVVFLDDDIVIQTDLLPLWDIDMDGKFNGAVETCRGEDKFVMSKKFKKLKVRPEFVAAGNFTSRVDSFPRPCPDHRSVWHMLGLGYQETTSFSDLLFISMEELSLGLI >A07p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14576232:14577152:-1 gene:A07p025250.1_BraROA transcript:A07p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 16-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G28900) UniProtKB/Swiss-Prot;Acc:Q9ZV24] MPSSTFSGTVSSPKLSVAVDMGNPFLNLTVDGFLKIGAVGVTKSLVEDTYKAIDKGSVSKSTLEHALKKMCKEGFYWGSVAGVYVGTEYGIERIRGTRDWKNAMLAGAATGAVISAATNKGKDKVVIDAIMGGALATASQFLNNHYFY >A08p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:240301:243488:1 gene:A08p000430.1_BraROA transcript:A08p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRELSRLIRDHGDEQVWITHYSSKHQILLVGEGDFSFSCSLATRFRSASNICASSLDSYDEVVRKYKKARSNLETLKRLGASLLHGVDATKLQLHPHLNCRRFDRIIFNFPHAGFHGKETDSSLIKKHRELVFGFLHSASHMVRADGEVHVSHKNKPPFCHWKLEELASKCSLALTQCVAFEKSDYPGYENKRGDGSRCDMPFLLGECSTFKFRVSRVAKEIYAEKLKGREMKEQESKWQRPALTFGLSYHQNHNLRQVHDPLVQSRQRTSPLFPYQEHRCSQFEDAIVSSIRATQSPATFNHVRFQENSKQVRYTERSSRDFPFQPSLHPQEERYFFECSSRFEGVPLDIYVRRMQSTLTQTSFPHLYTGESPERRRQEPLFQSSNRLNGVSHEIYNGERGRSMLTSHSFPHPYTGESQEGSLTQNHNDLSMENDHLQRIVLKMDMSESEKSIKKAMKIASGASGVRSVSIQGQNDQLVIVGEGIDTAELTRELRKKVCHTTIVTVQAAPPPPPPPQQQQKQPVQPYLMEHNNEMAPARRCICEIPNSGYCGFCRSMRETPYQMVASPYPPPVMYGGYREDPDNCRIM >A06g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19649166:19652027:1 gene:A06g507090.1_BraROA transcript:A06g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVLSHVFLTNLSLLQVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFVVWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLTSSELPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIVKITSALTRRLPGKSSTARRLPGKSSTARRLPNFLAYIRLLQAHRITNESHPLIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKNGKSILGALRASNWLFMVVVVLMTMAIL >A02p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13026384:13028402:-1 gene:A02p026810.1_BraROA transcript:A02p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHLNDRRRIKRKNLKLFSKGFIFFEQTCVTDLAMSVADIRMENEPDDLASDNVAEIDVSDEEIDAEDLERRMWKDRVRLKRIKERTKSSSQAKETPKKISDQAQRKKMSRAQDGILKYMLKLMEVCKVRGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEEECNNNAERRKNMVNSDGEYDVDGTEEASGSVTATSQPVREKHRRRKRPRSREEEEQPEAEQRNILPDMNHVDAPMLEHDINGAHHHEEGVLEQNIALGPEENGLEVMVPEFDNNYTYIEPVNGQAMMPVDERTMLYGPNPNQELQFGSGYNFYNPSAVFVNNQEEDLIHMQKEMNITSNNGFEESVGVLQPHGLDGNEDGITGRDLPLQFQSDNNILSPFINDLAAFDSSTFYSGFDSYGAFDDDFSWFGA >A01p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11902452:11905607:-1 gene:A01p024140.1_BraROA transcript:A01p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINDIGVAAAINVVTAIAFLLAFAILRIQPVNDRVYFPKWYLKGLRTSSIQTGGFGSKFINLDFRSYVRFLNWMPEALKMPEPELVHHAGLDSVVYLRIYLLGLKIFFPIACVAFTAMVPVNWTNKGLDRLKHTNISYSDIDKLSLSNIPNGSGSRFWVHLCMAYAITFWTCFMLKREYQNIALMRLQFLANDERRPNQFTVLVRNIPTDPHESICELVEHFFKVNHPDHYLTYQAVHDSTKLSELVQKRKQMQNLLDYNINKHMRTQSKRPIIKMGFLGCCGEQVDGIKYYTSMVESLTREISEEKQRLRTGTKSIVPAAFVSFKSRWGAAVCAQTEQSRDPTEWLTEWAAEPRDIYYDNLALPYVDLKIRRIIVAIAYFFLTFFFMIPIAFVQSLANIEGIEKAFPFLKPLIEVKFFKSIIQGFLPGIALKIFLMFLPRILMQMSKFEGFVSTSSLERRAASRFYMFQFINVFLGSIVTGTAFQQLNRFLNQSANDIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVRTENDREEATDAGTIGFNTGEPQIQLYFLLGLVYAAVSPILLPFIILFFALAYVVYRHQVINVYNQNYESAGKFWPDVHRRVVTALIVSQLLLMGLLSTKQASKSTPFLLVLPVLTIGFHMHCKCRYQPAFEAMIKDTLERTREPNLNLKAFFRNAYAHPEFRVGEDLELEMAVEKPNKTPELVATKRGSWRNTPLPSSKHSCPYSP >A03g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16883738:16885198:-1 gene:A03g504730.1_BraROA transcript:A03g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVLPHMRQGSLYTLASFYGSRSKGGEESTSNEDGEKEDVYQFGVILLQIITGKVMAAASSELGSLKLQLENGLREEPSVLRSLADPSTVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISYDQFVRESKGILESLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIKLPPKAIQAAKQAGKFPDVFYCLKLLEATGISTGVSSEDNDSACRRRDA >A03g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30339880:30343430:-1 gene:A03g509320.1_BraROA transcript:A03g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKDSGTTVTVRDLFYNQPVRQKYMRSSPKKVLESITKCGFQIALVHSDVSFSVLDIESDEELFQANPSASAFSLLMRDAGTEALNSLCKVDVTDGMLNVSGYISGPRDSFKALQFVSKGPIHKLLNNFAASFDCTDDWKITDGLQTGRRNRLQSNPGYILCITCPRHLYEFSFEPSKTNVEFKRMQIMWELNNIVSGRTNLVIGMGLKVKKKKRFVKPDDLECLTQPCNASHMHLNGSILDDVSYDHLKEPEKIMITASDLKASAGCSIVHSETRDEDGGEDFSSEENLDPVKSTTKWRHNCPSPQVAKESHELHDQDSVLDICSGFLHLRSDESLVPESINRQSLEDAKHAADERIRLEELRKKVRASILEPQAHVTTFPVFLSLDIIVLLSTDKKMKLQVLAGEARTVTYLSADQELVLPEMGYQLLQSYSDQIRDWGWICSINAEGSTSIIQRKPTPITLNAVPSILGVNLSDVDLLEFLQQLSDADGSSTIPPSVLRVLNSKACRGAIVFGDSLLPSECSLIIEGLKQTSLCFQCAHERPTTVPLVDLKALHKQIARLEPRQPWHGFERREITLDRAKSRVDEAKS >A05p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1824946:1827020:-1 gene:A05p004730.1_BraROA transcript:A05p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGSKDHQDEDETTLPGFRFHPTDEELLEYYLRRKVENKPIKLELIKQVDIYKYDPWDLPRVSSVGEKEWYFFCMRGRKYRNSVRPNRVTSSGFWKATGIDKPVYSSLDCVGLKKSLVYYLGSAGKGTKTDWMMHEFRLPSTTKTDSPVQQAEVWTLCRIFKRVTHQRNPTIVQSNRKPVITLADSCSKTSSLDSDHTSHRVVDSLSHKLHEPHLQLQPQTQNPYWNQLTRFGFSQPTPYTCHDNSFLSFENINGGDFIGDSASWDELRSVIDGNTKH >A04p000500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:298257:298565:-1 gene:A04p000500.1_BraROA transcript:A04p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVMRMSSEKGVVIFTKDSCCLCYAVQILFRDLRVQPTIHEIDNDPDCREIEKALVRLGCTNAVPAVFVSGKLVGSTNEVMSLHLSGSLVPLIKPYQSFHN >A05p047780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28074388:28077936:1 gene:A05p047780.1_BraROA transcript:A05p047780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREICEYRERLDETLSSPDLTNEQTLKTLIRQQLYQECTVDVLDQRVSDLSSILEKLRSASAKDQDLSQSTNEASRGDWKVKHDHEDCRVMYREGLEGSPFHTLLVEGGQSLHFQHSESYKLKVCKRKRSMNKYKRMKVPWPMANREAVLQFFLFEYFKDGLVIILLNSISASEVENFDKKGVYEAADAVRVDLVGGVAIQKVSSERSYLRYISEIDIKLDLVPPSLINLVSRQLLGNGFKLFKKTIGSVAKSDDYRRVLADPLYTMIRQALYSTDEICQTNVFHSQDESGCKRDDHEIEEEECGDDEDEDENKSVSSSSSGEEDESYIGKTYNGKTLFCISPEVKQALGTLEKVITMVRKSRTDNNNNNKTSSTSLEEEEEEASSSSPKLHLERAEIVSSSKVCTQSPNTEVLDEASLTHYHHNNNNNNNRRSGSSSFAREGNKIAPTTTPEVTRITISQATTLFNQTEENSDDKPSGLNGVKSSVLQRKRKPRCFGIRLAILLIVFGIFMFLVLNHVKVEVDAQYIPPCRYFKGKTGRCGPDGSKKCENEMKSPRTSQTFARCDCQNIQISRQDGYGCTCFTKLPCNG >A06g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13104557:13106368:1 gene:A06g504190.1_BraROA transcript:A06g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDSSGEEPELTPPPPPPLLSSDFMSSVIARLAHQEEVQKTTNDQLAAIVNALSAPTGNSQPFRHHLFNTNPPTPTDGRTTNPADPAETLHATNDKNNRKNGLLYVVDENGKKWNTFHQETDPPSESPRATATAAVAQDDDGDASADEDQPAVRQRIEVIRAQPEPSSDEESNLEEALDRSDLRTLLKRKITPTNSETPGPSDLRVELNAKRTKHALSQGSSPASTGDSPVVDLRDQLNARMDDL >A07p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13678178:13679858:-1 gene:A07p023550.1_BraROA transcript:A07p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHAGMAVAGLAADGRQIVARAKSEARSYESVYGDAVPVKELSERVASYVHLCTLYWWLRPFGCGVILGGYDKDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCKEGVIEVAKIIYKLHDEAKDKAFELEMSWICEESKREHQKVPDDLLEEAKTAAKTALEEMDAD >A07g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27132363:27133956:1 gene:A07g509220.1_BraROA transcript:A07g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >A02g512390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33514992:33515749:1 gene:A02g512390.1_BraROA transcript:A02g512390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRSDNRFQAHYNSSGMHSVVIFLRAADANKISETSTVSGSGYNKISDGSGFAKGNCGAGEGVREIYYNVIV >A10g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3975795:3976896:1 gene:A10g501390.1_BraROA transcript:A10g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFELLVLLSGLLPNPVLETSVLSICLNTAGTVWMIPFGLSGAASTRVSNELGAGNPRVAKLAVRVVICIAIAESIVIGLVLILIRNIWGMAYSSEPEVVTYVASLMPILALGNFLDSLQCVLSGVARGCGWQKIGAFINLGSYYFVGVPSGLLLGFHFHVGGRGLWLGIICALVVQVICLSLVTVFTNWDEEAKKATKRVESSSGVRDAPPMDP >A09g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18394467:18396311:1 gene:A09g506040.1_BraROA transcript:A09g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITVRHRGGGHKRLYRKIDFRRNTKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAISSSTFRKPYALEEACTVWEGVLIDQKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRTKHLLRKIEKLNTKAEKEIIITWSRASTIIPTMIGHTIAIHNGREHLPVYIIDLMVGHKLGEFSPTINFRGHAKNDNRSRR >A06g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10833448:10834478:1 gene:A06g503590.1_BraROA transcript:A06g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSSVRLADLNYGLFFHLKGEKDIHIPQLCHRYGKCAEGTMTEEDVVLRESSNKIYGMRKYKSFPFPKSEEHVLMNQSGHQKKGVYLMWKGKNNNYESKVTINVWEPKIQQQYEFTFSQIWLLSDLFRKYLNSIEAGWQISQIYIVTS >A09p073230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55914655:55918447:-1 gene:A09p073230.1_BraROA transcript:A09p073230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDIVPDRSSPGNHRSPADDDASPVAGTKIPAELRRTRPSRACTVRAQQRLQEQQAAERKVRPLKKECKRREEEVEEEDDDDEEEEEEEEDENHQRQCVGGGGSSGKSKIVTSLVPPPEPSQMPRWNLRSMWELASVLNFLHVFRPLLKINAEFSAEEFETALLNPNDTLSDIHIPLLKAIPPVTRTALTRDTWVTVLCRKIRDCWHWVAEGDLPIIAAQGREIEVYKSLDPAIRVVILKALCDIRVEQEDIRSYIENSLKTGVHVSAFRKDRVGGDSHGVNFWYEDDPLVGHRLYREIRKPEVVKVRTKGSKILPNVTYQWETVATNFDEFQDISEKLNSSSSRIEISLGKKLTRDMLPEIEKEHKRKEKLLKKQHRQALLLDNYLVVDGLGAGRSLRDRKPVRYTFDDYDRSINEAIKITKKKDPSPEEPFLHRRESARLDALANGSSISSAHLTEPVNDTASAGSSDFADSDEFDEQRDESMDRRQETVRRQRPQRYSATDFVETVSDNDAGFESDDDIVGEAVYDEEYLRKRKRKKISSGSEGEEENGDEEYKWDEDNAEYEEEEEEEEDDEDSQSSSEEDSDEPRRGKKMPRREAKSRSRSRSNDYRTGLRRSKRATRIDYQQYEFSESDKEVTGVAKRKRLVEPDEHSDESGNGNFTMGSEDSEEKANDHESESPDEEVEEETREVNDNAEKTNGTEDNNQVNKSNGTDQEEVEGAVGKMHYLDLNELAPVSGFDDGPSTALKDDDKTDNL >A01p013570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6629858:6631705:1 gene:A01p013570.1_BraROA transcript:A01p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN/FHY [Source:Projected from Arabidopsis thaliana (AT4G21470) UniProtKB/TrEMBL;Acc:A0A178V341] MSMSNSLKKLSSCVLIDLDGTLINTDGVVSDILRKYLSKYGKQWDGREALKIVGKTPLEAATTIVEDYGLPCGVDEFNSEFYPLFSAQMDKIKSLPGANRLIRHLNGHGVPMALASNSSRANIESKISYHQGWKDCFSVIIGSDEVSKGKPSPDLFLEAARKLNKDPGECLVIEDSVPGVLAGKAAGASVIAVPSLPKQTHLYTSADEVINSLLDLKPEKWGLPPFQDWIENTLPIDPWRIGGPVIKGFGRGSKVLGIPTANLSTKDYAEELVEHPSGVYFGWAGLATRGVFKMVMSIGWNPYFNNTEKTIEPWLLHDFTEDFYGEELRLIIVGYIRPEANFPSLESLVAKIHEDRQVAEKALDLPSYAKFKDDPYLTK >A09p048780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43318432:43321622:-1 gene:A09p048780.1_BraROA transcript:A09p048780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFLFLILPTVYSLNQEGFILQQVKHSLDDPLSSLASWNPQDDSPCRWSGVYCGGDFSSVTSIDLSGAKLSGPFPSVICHLSKLSDLSLYDNDINSTLPLDIGACKSLQTLDLSQNLLTGELPHTLADLPFLTSLDLTGNNFSGDIPASFGRFENLEVLSLVYNLLDGAIPPFLGNITSLKMLNLSYNPFTPGRIPPELGNLTSLEVLWLTECRLVGEIPDSLGRLTELVDLDLALNDLVGPIPRSLRGLKSVVQIELYNNSLTGAIPPELGELKSLRLFDASMNQLTGSIPEELCRVALESLNLYENNLEGEVPESLASSPNLYELRLFGNRFTGELPRDLGRNSQLKWLDVSQNEFSGELPPELCGKGELEELLIIHNSFSGPLPESLGDCRSLTRVRLAHNRFSGQVPAGFWGLPHVYLLELVNNSFSGEVSKTIGGASNLSQLILTNNEFTGSLPKEIGSLDNLNQLSASGNKFSGSLPDSLMNLGELGTLDLHGNRFTGELSPKIKSWKKLNQLNLAGNWFSGQIPVEIGNLSVLNYLDLSGNLFSGNIPVSLQSLKLNQLNLSYNRLTGELPPSLAKEMYKNSFLGNPGLCGDIKGLCGSGDEAKNKGYVWLLRSIFVLAVMVFVAGLAWFYFKYKTFKKERAVERSKWTLMSFHKLGFSEHEILESVDEDNVIGAGASGKVYKVVLTNGETVAVKRLWTGSVKETGDTDPEKGERRGVKDEAFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLIHSSKGGTLGWQTRFKIILDAAEGLSYLHHDCVPPIVHRDVKSNNILIDGDYGARVADFGVAKVVDLTGKAPKSMSGIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILEIVTRKRPVAPELGEKDLVKWVCSTLDQKGVEHVIDPKLDSCFKEEISKILNVGLLCTSPLPINRPSMRRVVKMLQEIGGGDDESHNKTKDGKLTPYYNEEASDQGSIA >A07p001400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:144047:144890:1 gene:A07p001400.1_BraROA transcript:A07p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNDQQKCSFVEHRNYKIVYRRYASLFFMVGVDDDENELAILEFIHLLVETMDKHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKANILSPIQLMDKAH >A05g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29239549:29240311:1 gene:A05g509680.1_BraROA transcript:A05g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGIEMLVRRVSAIPQHSIPSSFHLLPRFCSASSASPSSKLFIGVRIAYDKGSGRSRGFGFVDFAEKDDALSAKHAMDGKGLFGRPLRIGFALQKVRGGPVVVQRFGKPNSDREKKVFK >A02p010050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4320783:4324632:-1 gene:A02p010050.1_BraROA transcript:A02p010050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MARLSLRPNNRLFSSPLQTHNHPFLSLSLYTITPPSFPHLKSRVKCSASGTERVRESKKLPNSPVKEDLPNPGSDSKALSTETGIEQNWPPWKNIPERYKLIGATSLAFVICNMDKVNLSIAIIPMSHQFGWSSSVAGLVQSSFFWGYALSQLPGGWLSKIFGGRKVLEIGVFTWSFATALVPLLAGFMPGLIFSRILVGIGEGVSPSAATDLIARHVPNLDEETIPVGERSRAVGFVFGGLSLGSVLGLLLAPPIIQTFNWESVFYLFGLLGIGWFIGFQFLGEEEVSHRGDETTTSHKPENTTREELGNSLKEIPWKSFFKSSAVWAMIYTHFCGSWGHYTCLSWLPTYFSEALSLNLRDAAWVSILPPLASIFVTSLASQFADYLISNGVETTTVRKICQTIAFVAPAICMTLSSVDIGLPPWEIAGILTAGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWTMSLFAPSIFFYLTGTVVWLAFASSKPQKFTKDHDS >A09p058530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49291940:49293517:-1 gene:A09p058530.1_BraROA transcript:A09p058530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTSKFADELIANAAYIGTPGKGILAADESTGTIGKRLASINVENVETNRRALRELLFTAPGALPCLSGVILFEETLYQKSSDGKLFVDILKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGERCKKYYEAGARFAKWRAVLKIGENEPSEHSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIHKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSAKVAPEVIAEHTVRALQRTVPAAVPAIVFLSGGQSEEEATKNLNAMNQLKTKKPWSLSFSFGRALQQSTLKTWAGKEENVKAAQEALYVRCKANSEATLGTYKGDAKLGDGAAESLHVKDYKY >A05p017970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8198717:8199451:1 gene:A05p017970.1_BraROA transcript:A05p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMIMEKIREHLYLSEDVDVSDAPRKVEKGRSFYEDFSLRGIRVNRVDPGFISCSFKVPLRLTDREGYLANGAIANLVDEVGGAVVYVEGLPMNVSVDMSIAFLSKAKLGEELEITSRVMGERGGYKGTIVVVRNKMTGEIIAEGRHSLFGRQPSKL >A08p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25138762:25140173:1 gene:A08p046040.1_BraROA transcript:A08p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQAKENELKRKEQELKRREDAIARTNLIRLFLAAGVVIEEKNWPEFFPLIHNDIPNEIPLHLQKIQYVAFTTLLGLVGCLLWNFVAVTVAWIKGEGPTIWLMSIIYFIAGVPGAYVLWYRPLYRATRTDSALKFGAFFFFYVFHIAFCGFAAVAPPVIFQGKSLTGFLPALELLTTNAAVGIMYFIGAGFFCIETLLNIWVIQQVYAYFRGSGKAAEMKREAAKSTLMRAL >A09g518850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57708995:57715834:-1 gene:A09g518850.1_BraROA transcript:A09g518850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEMKQGEFGGWVFTYVSDGLARGKTFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDGSSELEDPLQPSTSGNLSAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRDGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGCRSRSAAPSSAPPPYVDPEVLTVQLKDKDDRISALETQMAAQQAGYETQKRLNEQMKEMMKRMYPNEVFPNIQDP >A10p002750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1423733:1424023:-1 gene:A10p002750.1_BraROA transcript:A10p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM9-1 [Source:Projected from Arabidopsis thaliana (AT1G04070) UniProtKB/Swiss-Prot;Acc:O64497] MAPERKGAGKSGGIDSSILAKIINHDVASKGRRAACGAVYVSKKLLRSTGKAAWIAGTTFLILVVPLFIQAERDQMLGEIEFQQASILGPPPPGAL >A03p034400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14526550:14526930:1 gene:A03p034400.1_BraROA transcript:A03p034400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFVLLVVALIVFLHVSEAHRSINSNELVLENNLNKAKGLIEEDLKKKEKNIKKLETNVSILSKSEIMLKDLEEAYKNGKTIEPSRKDIKRLNKEAKQEPEELRYVTIIKCILKDLGLNGGEC >A10p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16894935:16896530:-1 gene:A10p026680.1_BraROA transcript:A10p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGEGKVVCVTGASGYIASWLVKFLLSRGYTVKASVRDPSDPKKTQHLVSLDGAKERLHLFKADLLEEGSFDSAIDGCEGVFHTASPFYHDVKDPQAELIDPAVKGTLNVLNSCTKASSVKRVVVTSSMAAVAYNGKPRTPEVTVDETWFSDPEVCKTSKMWYVLSKTLAEDAAWKFAKEKDLDIVTINPAMVIGPLLQPTLNTSAAAILNFINGAKTFSNSTFGWVNVKDVANAHIQAYEIPSANGRYCMVERVLHHSEIVNILRQLYPNLQLPESCADENPFVPTYEVSKEKIKSIGIDYIPLEVSIKETVESLKEKGFINVRASI >A04p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11495428:11498934:1 gene:A04p019180.1_BraROA transcript:A04p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSGRWRSEKNKIKIVFKLQFHATLVSELKGEGLTISLVPGDVGKPTGKTEKAVVLDGYCRWESPVYETVKFVQDVKTGKVNQRIYHFILSTTGSTKSGLVGETSIDFSDYVDAIKTCNVSLPLQNSISKALLHVSIQKQLQNVDLQRVVKESSRSLDLNSQLSTEADESLKCDSLEEGPFGKASRIAELRRRASIESDSTLSSFDSVSEVDTLGELGTRGDLIQQNQPTIMHHHHSVTNVYHEEPHVSESEWSGSSDQGISTDDSMNSSSSDTIPPRDKTRTTSSGDDVDKLKAELVALARRADFSELELQSLRKQIVKETKRSQDLLREVTCLKQERDSKVRNKLQCEGKDTQVLLEELDYEKDLNSSLRIQLQKTQESNTELILAVQDLEAMLGQRRKRAAEEPRRRSCTSETDEDEDQKALDEIVKGHMDAKEAHVLERRITDLYNEIEIHKRDKDDLEIQVEQLALDYEILKQENHDISYKLEQSQVHEQLKMQYECSPSIVNVNELESQIEGLEAKLKKQSEDFSKSLCRVKELETQMEDELEKQALVFEADIEAVTRAKVEQEKRAIEAEEALRKSRWKNASVAGKIQDEFKRISEQMSNTLAANEKMTKKAMVETRDLRVQKRHLEELLMSAKDELRANKVEYEAKLDEMERMLEGLVEKSKNLESEKRKQEDVNADLTQVITRLKDEIEILRVELKGTKDELSSTIAEMKKESESLEEELQRIKDEKEAVVTLLESELETARGTCDDLKHSLSKNESEIEKHRSNKERIILLEEHIKLEENALEASSNMFIEKEKELNSRIEELETELNKRSLNSLQTGESLQGPEAGIALQNREVLSSNKSDVLEDLVNEVALLKEQNGEMEMELKEMQERYSEISVRFAEVEGERQRLVMTVRNLKNAKRS >A07g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5196886:5197268:1 gene:A07g502480.1_BraROA transcript:A07g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSRSLVTSRARAQFLITRFSPETQNLAKPCPFGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVLIPSRRFLESSTLFLLDPATSEDLVSKVP >A09p016030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8335809:8340154:1 gene:A09p016030.1_BraROA transcript:A09p016030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPVINQDMILEILSRFPASDIEKSRLLNKECNKRSYESWFLNLNRDRTNSISGYFAQYNGGGDNYQTSFVYEKTGSQNNGVSIDFLPPGKVKIEACDASHGIFLCVNETGPSVTEYIVCKPTTKQYQIIPNPTMQTFAGSFGLAVNRLNPFRFKILRLSSLPGILNRNHRTFVSEVFDSDSFSWKRIKNLRLPRKDGLIPSKPVHASRFLHWLSRDDNVIRFCLKTETWSFFKTPNFGVSPRLVRYEGKLGVSRWWMTSGEGLNRLWVLKSSFEKSWVKVKDIKGVGIGENVLWTPSNDMVTLSSWDRLCLYNVNTQKLNMIHMKKERTNYVWFPFRSDYERVRSRFEIVYVLLRFDYSNNLSSTIYKPLMEQFRQIGEVLGSLNALMVLQDDILINQRQCCLLLEIFSLAFTTVAEDIRQNLKLEEKHSKWRALEQPLRELYRVFKEGEMYVRNCMSNKDWWGKVINFHQNKDCVEFHIHNLFCYFPAVIEAIETAGEISGLDPSEMDRRRVVFSRKYDREWNDPKLFQWRFGKQYLVPKDICSRFEHSWREDRWNLVEALQEKRKSKSDEIGKTEKRLADFLLKKLTGLEQFNGRLFPSSILVGSKDYQVRRRLGGGGQYKEIQWLGDSFVLRHFFGDLEPLDAEISSLLSLCHSNILQYLCGFYDEEKKECSLVMELMHKDLKSYMKENCGPRRRYLFSVPVVIDIILQIARGMEYLHSNEIFHGDLNPMNILLKERSHTEGYFHAKISGFGLNSVKTFTRASSRPTTPAPVIWYAPEVLTEMEQDLKGITVPRSKFTHKADVYSFAMVCFELITGKVPFEDSHLQGDEMGKNIRRGDRPLFPFPSPKYLVSLIKRCWHSEPSQRPTFSSICRILRYIKKFLVVNPDQGHIQIQTPLVDCWDLEARFLRKFSIETGSHAESVMQIPFQLYSYRVAEKEKMSPNLNKEESSDTGGESASESVSDPPTTPKYTKSLCLDAISEYSESDTRSVYSEAPTKKISSAIKKSGDMAKLRRNSSTGLRSTGSSPVKPRPAPNVTLPLSPFGRNSKARKDTRLPLSPMSPLGHGRRRHLSGPASDSELT >A04p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17951459:17955777:1 gene:A04p030260.1_BraROA transcript:A04p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSEMTETTTAQAPETVTAVATETEMSRERDGEEEEKIRRQKKLEEALEAKSLRRIISAYLNYPEAAEEDLKKWERSYRKLSPAHKALVPHYALKFQRLRRCISTNSYFIFNMLQAFEPPIDLSQDLDGYEDSNLDCAPHEKYVLDERHDSSCQPALTNNSTHEEESKSLHDSELQKKDTNGPSPNNYSEDARNNDDRYDCDGGHLNHDHANASLSSHDWLDPSLQTHVPLVDVDKVRCIIRNIVRDWAAEGQRERDQCYKPILEELDSLFPDRQKQSTPPSCLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNYAQVPNEWTIYPWIHSNCNSLSDNDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNESCQAGMWDAVVTCFFIDTAHNIIEYIETISKILKDGGVWINLGPLLYHFADTYGHDNEMSIELSLEDVKRVASQYGFEIEKERTIETTYTTNPRSMMQNRYYTAFWTMRKKCAITT >A03p066350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29320360:29322166:1 gene:A03p066350.1_BraROA transcript:A03p066350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVASASAKMTTVKDEWVAAAMTDDQMVVELLLRLKHAGTVAAENPATNLAPMRWGIRQRRSRSSRLGGGVTLKKDVDSVRGSPKTPLSWSGGSGSGGASASPSAEDTSRQASCSTSTGSGSKAFPTNEITSCFPKRLKNKKSSSELKHEENLKLKERLHLEKEIASLRATFDQQNVMNQRLKRIKLDLNSGHVKNETPVDLIRKSQGESKPCRIEGKTASSESLFFLPDLNITPSEDELLYGTS >A06p049610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26190109:26192377:1 gene:A06p049610.1_BraROA transcript:A06p049610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase D6PKL3 [Source:Projected from Arabidopsis thaliana (AT3G27580) UniProtKB/Swiss-Prot;Acc:Q05999] HRTPSMDPSIDHASSSSKPRITQAKSKPSVTSRSIDSRATKKQEPPLTATETSPEVYEQHSVSSHITQKTKHKKTKQPEPRFYPSPTNTFYTAPLYTEAKQSFSDCASTLNVGGIDLEKMGVLTYRGSTGSDESSSSGLSNSAGYKPHRANNDKRWVAIQEVRSRVGSSLEAKDFKLVKRLGGGDIGTVYLAELIGTGETFAVKVMEKAAIAARKKLVRAQTEREILQSLDHPFLPTLYSHFETENHSCLVMEFCPGGDLHSLRQKQPGKYFPEHAARFYVAEVLLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTLVRFAATTTLESKPSSYCIQPSCIVQPDCIQPVCFTPRFLSKSKNKKKLNESARQVRPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFRGDVNRATLFNVVGQPLRFPEHPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSINWALIRCTNPPQVPQPVKIMDNGQSQGHGCSRSRQGDGKPPTVVVDVKPSGNYLEIDFF >A01p056240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30676200:30677947:1 gene:A01p056240.1_BraROA transcript:A01p056240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06960) UniProtKB/Swiss-Prot;Acc:Q9M903] MNRLRWVGEGDIWELDMSTPITLEATARAVSNDPLPLGLSRGTRLSRPKQAEFFHRFMATPLIPSFSPLAGGLSLQKVLTFPFPNNWFVSLLGQFDVHRFVSEVKKTEAFVRGSASRLNTLGKHLKDKSLYALGFCSELLLTPEDTLLLTYDTYKCDPNKNPRAKAFPLHTLTAEAVWPGLYVDKDGEYWDVPLSMAIDLASLPAESGLSYHLCLHHHSGRPKKFNCDDDVEVEVEAPPPASLLPGLSLKSAVSYRANMDLWRGITPKLEACKPYDIFLSSPHVSVSGIIGSVMTAAFGENSIRTKLEKDSEGVGGFSLHLPSVNSGFMADALGRASLTAQYGSFQKLFFDLTRFHARLDFPHGLRFLTGATSVAQELLNSRQPSLEAFQRICPEVAVSLQQQIVGPFSLRAESGIRIDLKNGSNPLTIHNTVFAVEYALQVLASAKAVAWYSPKQREFMIELRFYET >A10p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16441818:16445199:1 gene:A10p025680.1_BraROA transcript:A10p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGVLTRVHSQRERLNETLVAQRNEVLALLSRVEAKGKGILQQNQIVAEFEALPEETQKKIEGGAFFDLLKTTQEAIVLPPWVALAVRPRPGVWEYIRVNLHALVVEELTPAEFLHFKEELVDGVKNGDFTLELDFEPFNASVPRPTLPKYIGDGVEFLNRHLSAKLFHDKESLLPLLKFLRLHSHQGKTLMLNERVQNLNSLQHILRKAEEYLAGLSPETPYEDFEAKFEEIGLERGWGNNAERVLDMIRLLLDLLEAPDPCTLENFLGRVPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRAMETEMLQRIQQQGLNITPRILILTRLLPDAVGTTCGERLERVDGSEYCDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDAAVELAKELKGKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLDDKYHFSCQFTADLFAMNHTDFIITSTLQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLTKFHPEIEELLYSNVENEEHLCVLKDKKKPILFTMARLDRVKNLSGLVEWYGKNKRLRELVNLVVVGGDRRKESKDNEEKAEMKKMYDLIEEYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGFHIDPYHGDQAADTLADFFTKCKEDPSHWDQISKGGLQRIEEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESHRYLEMFYALKYRPLAQAVPLAQE >A03p034390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14525039:14525638:1 gene:A03p034390.1_BraROA transcript:A03p034390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPTLELNINSASDLENVNHITKMNVYAVVTLSGDKKIQKAKTGVDRYGGSNPNWNHAVKFSVNERLAGEGRLTLVVGLFSSRLLGNKDIGEVEVPLGYLLPSTNGNGNGHEMKFVTYQVRTPSEKMKGSLTFSYRFGGTPIISGPAYQQVPASWASPSQHGYGPHCYMPQPPPPPHNEEIRLQKAGLVVSALSLFRE >SC179g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:540445:544865:1 gene:SC179g500250.1_BraROA transcript:SC179g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSGALSVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGSVLISFCSRFDLFIFSSSTMAGDQKGEFTKEEKLLIEAMTNHLNQTMGKMMKAKMEELRQEVRQERPRATGQNHESRRSERRQRREHEGRGSHKHKFADQKLKIPPFHGNADPAAYVEWEEKMELIFDYQSYAEVKKVQLTTAEFCGYASSWWKQLVSSRRHYGKEPVATWLKLRALMRHKYVPRQYHKEVLRKQSEAKPCSANSVQEQQGRIRSRSTGVISLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIQQTGKHSKWPPDHQDIVNSAKHIGLVKFCELLISDWTGRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQIKSGDGDFIRVNSEVITGVGGKLMFSSQNKEKPPDGLSQHQSPNKSARGNYLDSKKRMKPDLLSIGTGQTVLSARIFEKRGYSNDQSIQNGYLAKLEMQQPNLGSCLATNFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHDQSFSNERVMDSTRRVILCLLCLNFSEFRTSQSYLWRPGEHAKVTNHVFKSSFIYYTDMMHLFLPKESCADYMEALKHAKRKNKREEDKRLSNASGVRKAKWISPFYLIEPVSDNADQRGLQGNTDLRTNLFEVGGDDVIMESTKAGSMNLNLKSFLSLVFRLPREPAPFNEFEKWSLNLLSKPFGSFLSCRDLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFD >A08p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22212471:22215669:1 gene:A08p038300.1_BraROA transcript:A08p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) UniProtKB/TrEMBL;Acc:F4HP86] MDHLSLCPLVVLLLCLVILALSKIFKTVIQDQEKSTADIPPGSHGFPVVGETLQFMLSVNSGKGFYEFVRRRRIRYGSCFRTSLFGETHVFLSTTESARAVLNNESGMFTKRYIKSIAALVGDRSLLCASQHHHKILRSRFINLFSKKSTALMVRHFDELVVDALSGWEHHGTVVLLTDLLQITFKAMCKMLISLENEEELGSLQRDVGFVCEAMLAFPLNLPWTRFHKGIMARGRVMEVLEKIIRERRNETNSHNNNYREDFRQQLLAVDSDGSASSSDHSTKLTDAEIKDNILTMIIAGQDTTASALTWMVKYLGENQKVLNILIEEQTQLAKQASHKPFLELDDLTEMTYASKMVKESLRMASVVPWFPRLVLQDCEMEGYKINKGWNINIDARSIHLDPNVYSEPHKFNPSRFDEEAKANSFLAFGMGGRTCLGLNMAKAMMVVFLHRFITTYRWEVVDGDPSIEKWTLFARLKSGYPIRVSRRL >A06p041760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22468874:22471112:-1 gene:A06p041760.1_BraROA transcript:A06p041760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVKRKLDDELSSPWFYTVCTMGGMLSAGTTHLAITPLDVLKVNMQVNPVKYNSIPSGFSTLLREHGRSYLWRGWSGKLLGYGVQGGCRFGLYEYFKTLYSNVLPNNNRTSIYFLSSASAQIFADMALCPFEAIKVRVQTQPLFAKGLLDGFPRVYRNEGLAGFHRGLFPLWCRNLPFSMVMFSTFEQSVEFIYKNIIQKRKQDCSKSQQLGVTCLAGYTAGAVGTIISNPADVVVSSLYNNKAKNVLQAVRNIGLVGLFTRSLPVRITIVGPVITLQWFFYDAIKVLSGFPTSGGVEKPVDATKLAV >A03p008770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3513373:3518068:-1 gene:A03p008770.1_BraROA transcript:A03p008770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTPLDSNTQNMEGAGVKNDAGEADTAVETVGGSGDEGGRGGTIPGEIGDDRRTEDVEEKEDEEEEEEGKEDGSKVAEEFYEVEAIRHKRVHKGEVQYLIKWKGWPETDNTWEPLENLQSLSASIDAFEKRLKKADKKRKSQYGGSNSQSKKKQQQPLTSTPPDASERTDPTLNELRGPPVINSDGAGSSQEGGEGIGSEGDNARTNGLLEGKRKEKSGVRGAKRRKSIAKKYMIPDETTTSNNQPTTATDQNETPNLDIVRIIKPVEVITSITNNVQDSLVTFSVQRADGEEVTVDNKFLRAHNHHLLLDFYEQHITYNSEKIQQIYKRNRVAWKVRLGSADVSSVSIKRAELFMQPTHVCLITLEEKKLEKNNFVTRSWCNKIFIFPSQLCDKRCFSSLQCLITLEENKLEKKMGEDQNNHNDKGIFHHLAGFAAGHYPPHGNHGYGHHGYGAPHPYPPPPPPHGYPPAAYPPHGGYPPAGYPPAGYPPAGYPPAGYPPHGYPGPSHSGHHHGGMGAMLAGGAAMAAAAAGAHHMSHHGHYGHHHGHGFGHGYHGHGKFKHGKFKHGKFGKHGMFGGKHKGKFFKKWK >A05g510410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32095368:32097784:-1 gene:A05g510410.1_BraROA transcript:A05g510410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSLPPGFRFHPTDEELITYYLKRKINGLEIELEVIAEVDLYKCEPWDLPGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSWRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDENECEPSAYGMQDAYALCRVFKKIVIEAKPRDQHRSYIHAMSNVSGNSSFDPCSDLEISSTTHQVQNAFRPQIGNGRFNSNASNQNWSQYYGSSYPPFPTPYKVNNEIECSMLQHNISLPPLRIENSAVSNYDFYNTSTTHNNNHGVLEDFTFAESNSNHYNNTVGDQVIHVGNYDEPLIVSNNYMNQGYIEEQKIISTFDDNNQDLGFHGDNTNINIDIDDFFSFDMYNEENVNRVEANKEDVNINEAVDSSGFEVVEEEIRVNNHMLISAYQTTKILYHQVVPSHTLKVHINLINHNVEDRILFIEEDNDSWVQRAEKITKIKLNLVSLIAQQYYKYLTIFF >A03p060550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26235235:26236731:-1 gene:A03p060550.1_BraROA transcript:A03p060550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCITKSPQEEHTPKLDLGNIQTPLIFNPSMLNLQATIPNQFIWPDDEKPCTEAPELDVPLIDLQNFLSASSSPSATLEASRLISEACSKHGFFLVVNHGISEELISDAHECMARFYDMPPSEKQKVHRKPGESCGYASSFTGRFSTKLPWKETLSFRFCDDKNSPNTVQDYFCDALGHEFLPLGKVYQEYCEEMSSLSLKIMELLGLSLGVSRDYFREFFEENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDHVNGLQVFVDNQWRSISPNPKAFVVNIGDTFMALSNNIYKSCLHRAVVNSQSERKSLAFFLCPKKDRVVKPPRELLDSNTPRRYPDFTWSMLLEFTQKHYRADMNTLQAFSDWLTKKPIK >A03g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2864784:2865917:-1 gene:A03g500930.1_BraROA transcript:A03g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRRKPGDRSNSVSLSPLQRVKRDTGGSYENFSATKSKEIYRVADPSLTVSFLTESVLSPLTTTTSPALSLFPQTGSGSTHMWISKLT >A09p073780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56139870:56142555:1 gene:A09p073780.1_BraROA transcript:A09p073780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNHPEDEPSLSVVIQNTSSVKQDDLFLCHKMSPGSCFLLPHGTRVYNKLIEFIKKEYWKRGYEEVISPNIYNMKLWETSKDAASYKENLFTFDIDKQEFGLKSINGPGHCLMFEHRVRSYRELPIRLAEFGVLHLNEASEALSGLTHTRRFQQDDAHIFCTKEQVKKEVKDVLDFVDYVYTKFGFTYELKLLTRPEENTKDLQRWEESENDLVEALQEFGKPFTVVNRGEGALCGPKIDITLSDAMKRKFQCASIQLDFQLPDHFKLRYSPSDEEKKENVMIHSKVLGTEDKEKGVRPVMIHRTVLGSFERMLAILLNHYKGKWPFWISPRQVIVCSSSNNENHRSYAEEVRRQIHEAGYHVDVDTTDRNISEKVGEAEIAQYNYILVVGDEEVATRQVTALLRDSSCSDRSKVPMMSVDALLDVFKLRIVKFL >A09p079750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58606740:58607907:-1 gene:A09p079750.1_BraROA transcript:A09p079750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVAVLNSSEGVKGTIFFTQEGDGATTVTGTVSGLKPGPHGFHVHALGDTTNGCMSTGPHFNPDGKTHGAPEDANRHAGDLGNIIVGDDGTATFTITDSQIPLTGPNSIVGRAVVVHAERDDLGKGGHELSLSTGNAGGRVACGIIGLQG >A06g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3494125:3494501:-1 gene:A06g500880.1_BraROA transcript:A06g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLFLYVDHVVRVIVIQKTLIEHAEKLRQVKAVLEEGRNFSGIYRKVQLKPLKWDGEGEE >A06p028420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:496237:496564:1 gene:A06p028420.1_BraROA transcript:A06p028420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPALTFVGSKSLDCPPSPSPSVHGHHLDENFSWTRRLGVWSARPLHTPLLPRRITIWTDREQDEEPRTHTPWLQPSSSCRN >A05p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20957583:20959186:1 gene:A05p036940.1_BraROA transcript:A05p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRCDMEEATKKKKMTAIGSAIEELSVLSIAKTTIVTTKTETTNIISLPLKPLLSFCKLIVQVLDKIGPTMAVLRQDIDQNIQRLEKMWETDPIVYSNLVEILRKEAKEGSSKKPKSCSRAAVWLTRAMDFTLALLQRLVKDMSQNMQQAVEESYNLTIKPWHGWISCAAFKVALKLVPNNNTFINVLAGKDESYQMVQDDIRSLISLLIPLLSQLHSILELHEVHKLKST >A06p055880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29128901:29132702:1 gene:A06p055880.1_BraROA transcript:A06p055880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSLLVLFFLCFLVGSGSGQPGQSNDLQTLLELKKSLVANPKEETVLRSWNSDDPNYCNWTGVTCGGREVIGLNLSGLNLTGSISPSIGRFNNLIDLDLSSNSLVGPIPAALSNLSSSLETLHLFSNLLSGEIPSQLGSLVNLKSLKIGNNDLAGSIPETLGNLANLQTLGLAACRLTGPIPSQLGRLVQMQYLYLRHNYLEGPIPLELGNCANLVTFSAEANRLNGSLPAELSRLGNLESLNLANNSLSGEIPSQLGDLRSLNHLYLIGNKLQGSIPKTLTELKNLRILDLSKNSLTGGIHEEFWNMNQLEYLVLEYNPLSGSLPKSLCSNNTNLKLLLLSETQLSGEIPAEISKCRSLQELNLSNNTLTGLIPDSLFHLVELTVLYINNCSLRGTLSPFISNLTNLQEFGPSHNALEGKLPKEIGFLSKLERLLLHDNRFSGQIPVEIGNCTSLQEIDMYGNHFSGEIPSWIGRLKDLTWLHLRENEFSGNIPATLGNCQQLTLLDLADNHLTGSIPSSFGFLTALDQLHLYNNSLQGNLPSSLMNLKKLTRINFSNNTLNGSISPLCGSSSYLSFDLTDNRFEGDVPLELGKSPSLNRLRLGKNQFTGRIPWTLGKINALSLLDISSNSLTGIIPVELGLCTNLTLIDLSNNFLSGVIPPWLGKLPFLGEFKLSSNQLTGSLPSEIFNLSNLLVLSLDGNSLNGSIPQEIGNLEALNVLNLDKNQISGQLPSAIGKLSKLYELRLSRNSLIGDIPVEIGQLQDLQSALDLSYNNFTGHIPPTISTLHKLESLDLSHNHLVGDVPGPIGDMKSLGYLNLSYNNLTGRLKKPFYKWHADAFVGNADLCGSPLSPCKRAGSKQQGLSSKTVVIISALSSLAAIALTVLVVVLFCKQGHDLLNSTFSSNSSPSSQAPLFRNGAAKTDIKWEDIMEATHRLDDEFMIGSGGSGKVYKADLKNGETIAVKKILWKDDLMSNKSFNREVKTLGTIRHRHLVKLMGYCTCKEEGLNLLIYEYMENGSVWDWLHEKKKQVLGWETRLKIALGLAQGVEYLHFDCAPPIVHRDIKTSNVLLDSNMEAHLGDFGLAKILTEESNDTNTGSHSLFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMEIVTGKKPTDEVFDEETNMVRWVETCLEMPPGSRAREKLIDSELKPLLPCEEAAAYQLLEIAIQCTKTYPRERPSSRQACDCLLNVFNNRAASYGEMQTGSEK >A08g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9878991:9881931:-1 gene:A08g505760.1_BraROA transcript:A08g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08p013050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11647241:11647528:1 gene:A08p013050.1_BraROA transcript:A08p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNYDLAKELEMEKEKENKLKEFVIENKLDKKWWNIPVEGLSIVELKQRHQAFVNLSSNLFGKDSHWLGKDGGGSSSDPSRRGYCDDGKTEPCE >A04g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2977101:2979038:-1 gene:A04g501100.1_BraROA transcript:A04g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDYRLRFGFIFVDLNLDKQIPHGAKRLRSSSTGRSSSSVFGRSIAPNASPVWMPPKASLKPPLDYPYKDRDNRYYGDVPLVRSRHKGYQREASAVRDEVCDEIASDSAVENGNDSKDPQKEATMLQKGVLRTNCIDCLDRTNVAQYAYDNPLAEDLMGVYETMGDTLALQYGGSAAHNKAWSVESGYSVTRLFRTLQRYYSNAYMDAEKQDAINLFLGYFQPQLDKPALWEMGSDQHYNAARFLASSVPEISRSTMKRSLSESSILSEGSSTVAGRHGLGEKDEDFKGLSDSAPGIISTSKTTAMIAGSFSAPPATLEDIGLDEILENDCFCFNDDRRGGDQCTCAAFDMDWVSLSGASCEDVSYRRSTVVRSFETIPESTKIE >A06g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7998577:7999570:-1 gene:A06g502290.1_BraROA transcript:A06g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPLASQPYKLPSSARLPISTFRSPKFLCLASSSSPALSSSTKSVSFSLYPLVSFAKYLLLPIVFLGLVCLFGYGSMFCR >A10g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11367859:11371968:1 gene:A10g504670.1_BraROA transcript:A10g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDKVTKVEKSLAKLKPRVSASELTTIRLTGLDLLFDGICGWVEFIPNNSLPLINQSSTKRLSLFTRAEQKEVNRAKSMKQLPDLSSIVDGRIGAKKGASGSKVGPSEPEVAATTPVATEQASAGGSSQQNNLKKKKKDGDAQRESNEGRNIEPSGTEGSSKKGGKKRKAGDPPTDDVPKKKKMKKKDSSLPRPSSVCEEELQALVPEAIPEVGTSDDDDETVALRRRRREKRAVDEGSRRAFSGDQGISETPRKSSDSEGRRDRLLNESPALIAEGSEGNPGRWVQLIKGGPDQLPPVRDLVFKDEYEHAASSSIKNQGDWNVLVEKYDTALKRAKEQIRAGEEAKKKSEEALRVAIRDKNDAIAREKTLRKAFDETRTADAVEFHLCKQSMKDPETVVDKLWKDKALLEKARAAESLKYAEEMNRLRKSRKYEVTHERIRVMIAMIAKAEKCFHRISLCEDLRDKYDDARCLHSQAFGTRKCLEQIKDWGIEIPQETINFFAGQEKYYEEEAARLEVKEIPVEDLRLSPLVLESRFLIEDIWRQIDPFGSNVDLIDSEVAVALRTPLVDRTPRSEDLAKEPAMSAVSATQNADRDVDPAERTSAGAVAPKDGAVPTIVLIDSPAKASKNASSSTSSSEDPVKEGDVPTGHPMEVTAANADPPAPTKFGRISGPGEEDDGGSKDLPVGNE >A03p016180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6440279:6440954:1 gene:A03p016180.1_BraROA transcript:A03p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEEERKSHVRRGLWKPEEDMILRNCVESHGEGNWADISRRSGLKRGGKSCRLRWKNYLRPNIKRGGMSPQEQDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKSTSRKQNATESVEAPTDKTVMSTGVRHSHGEQGEGEEGTTNWMEETNCLAYDDHIGSPLPLISHYTDTLVFDPCFAFMDCFPLL >A04g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5225173:5225540:-1 gene:A04g502130.1_BraROA transcript:A04g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRGSSLMLLKVLEFPLEILEVLGSIWDQKGSGKCCLGEQSTRAGVSPRCKTSRQPSILLERVSHVAPVPRSGALLA >A03p069850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30694855:30697559:1 gene:A03p069850.1_BraROA transcript:A03p069850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMMIIKNQLRSISLPSKSHPSTTGIEEALSKVKAINTTTGSSESILMALASLEELYSCTEEFLKIGSTQRVMSSSDASEFMEEMIDGSLRLMDTCSVSRDLMVETHEHVRGVQSCVRRKKIAGGNDQLDVAISGYVGFRKNMRKEAKKLIGSLKKIDGGSCPCSGVSNSQQDESLVAVIDAMRREVSVSVSVLKSFLEFLSGRQSNIKTKLTSVLMKKKDLREETKNELESLDSAICCSRDDLQNKLEEVELSIDGFEKHLEGLFRRLHKAYKSRCVSLPVRSHPSVGRIQEVVTKVRALGSSSLESRTMVRDGLSGLTELYRCLSEDLFKSSSETQQALLNSGLMDKLLEVSLKYLEVCGGAKDSASRIKKSVVELQSALRRSKKGGEFSLEMRPDTSLLL >A10g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3766416:3768056:1 gene:A10g501320.1_BraROA transcript:A10g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLHDSHWRALCLEPDKNKQCNLAICLMRMGRIQEAKSLIDAVRDSSAEIEFGDEPFTKSYDRAVEMLAEVESKDPEDGLSDKFYAGCSFANRTMKENKAPRNANRNHSHVPPSPESVRQTEMRQQRFVGILSLLYIVAFLAKNKSECLQEEWRVLEKSLEAALDVDQTIFVTVLW >A09g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27742766:27744200:-1 gene:A09g509410.1_BraROA transcript:A09g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLMSNGPVMKLYLWDTAATDFCLKFKAQENTPSVILVTTVNPKRFGGALTISSLSSSRVFLDLDVQPTRDYLTWLGSNSEVANMINAEIVAKAETVTIGELFTYIKQEGSKVAWFECTATIDDVGHGSAWYYIACGGCKTKVTKGPTTLMCKKCGKAEVAGVAEYLTNLSVYDNNDHACFVLLCDAGHDLTGKLASELVERYFEANESVGDDAVVPVPQALIDTIGQTRTFVVKVSKHNLEGKTQALTVTKVLPLEVPVLEDVLDENVVEEPADGRDDAADVTVKRSSDGIESGETKRARCG >A03p055060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23720924:23722432:1 gene:A03p055060.1_BraROA transcript:A03p055060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLQEMPAAVVDGHDQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVRLKHCFFSTTSKDELFLNLVMEYVPESLYRVLKHYSTANQRMPLVYVKLYMYQIFRGLAYVHNVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKQLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPHYTDFRFPQIKAHPWHKIFHKRMPPEAIDFASRLLQYSPSLRCTALEACAHPFFDELREANARLPNGRPFPPLFNFKQEVAGASPELVNKLIPDHIKRQLGLSFLNQSGT >A05g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30184356:30185126:1 gene:A05g509990.1_BraROA transcript:A05g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATFIDILLAILLPPLGVFLRYGCGVEFWICLVLTLLGNNRFVVFSSLSVTDSSFLSSEFYRCCVLHCYYTISLNPSFV >A07p047830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25603794:25606732:-1 gene:A07p047830.1_BraROA transcript:A07p047830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLPNSPPLLRHPAGDDDVDIDFRDVFGGPPKRRSKVIETTGRHSFSEIRRRDVIVDNSALILRDEKPVFGEDPSSIRRRFTADDFFDDIFRVNESSSSSSPQRKVKNEGETFGSSLPGSRILSPARPIPHKVESPPGTSFPAQFSLPAKLIKGTEMPTFGSAARSLSRNKEAASSSPLSRTSSSADMVNSTAKPDSDGYVAPKVVNGKVRQFHFSIYKWPNKGVPVAMWGTSRLSSMAKSEETTPSDLRPTSVEVEGQSSQNRPGVQKEEEMSEQAFSSNVSKAPDEANVKPQHSFLDVKDERQGEEIELEKEERKGKSKAKSMRSHAGDSRSKKKPQGSRSSVDSPMPDNTSSYASASSAPEVGKDGAKGKVMDFVKIFSQGASAGAGGEPLGQSSRWRAKEVPVTDTNKDDAKAKETAKVPDQQKTSTPVTPAMDQDQKPSQATQKKVADSSKPSGVTEQEEKQEPSTAHVTSEDIDEPFHVNFLVEDITQDENKMEETKNNAEEFQNIDAKIRKWSSGKSGNIRSLLSTLQYILWPGCGWKAVPLMDMIEGNAVRKSYQRALLILHPDKLQQKGASANQKYMAEKVFELLQEAWDHFNTLGPV >A09p035310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21204432:21210054:-1 gene:A09p035310.1_BraROA transcript:A09p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved telomere maintenance component 1 [Source:Projected from Arabidopsis thaliana (AT4G09680) UniProtKB/TrEMBL;Acc:F4JKR3] MENATLITISDLVNEGRPITGASSLFYSSSPHPQSSRKFLAPLNYPAVIVGTLALPSETLKCPNRYCFRFTDGVSTICCDIIGFEIRAIGSTIRVLSWNFIPTSHHGGGVLEIIKWRFVEEMLSRCSFPLVPPPPRISVENGGGKSRYSVRGVLESVSPVSLVPLGSDGSVNVPVKGFLVKVMACECKECRRKDVLDSVDCSHCFDKAVFVYFCGSVAASWHPAIVKLVGRNIALSGMKKKLVYISKCDSLLVFVTTENSLLHSPWISMKDEYLKSVVDGRGNCGSYLGFVNGFYMKGKLVELDEDVWLLLTDQIHNRSHSIRTGSLIFVRNVHFVNTRFSWGKVLILGACCKTSITVEKFSPFETSWSFSCLLDSCLQTSLSRFVESLSFPARFWTLLVSSCFQNFGRMPSDKESLRSCQEDELTKMYAESRIPPSMFQPRCGLFTEFCMHESCGCNSEARDCNLKLVMPISSFVHHYKVLLDELLSRIKKDDTQFIASNCLIQKRYCHTNVKILKSEDIGVILLGRLKVSPSGILQLQDRTSSINVLMPDLLSDRNSCRIYEVSDYNLIMEIPESMRHISFLQKPLHCKILLDPTSMDSDNALTVPFSLSFGAASCRTALVDQSIDWRHDLNEFKGGRFHVFRVTHKFPILKKGLPGMPDCTSVFIEAVIIPWELVCTVTEEDAAAPQFDESKTSQEEHPRKRCKTNNSLGNERVLSVPHEISCDMTVRCASSHWSAVAATLSNLKEKKSGNMRSVKRVLLEFIPKCKNYNGLQIGGFYLMKHDIDDSFCFGRSSISNNDKINIRPESRLWSLEFSFDEVSTQDGSMDVYPLVSSQPSPAVEKRDVSCPQRFSDVSLLLPYDAKALFSVYLKDLDELNKPVAVEKDGDNTQGEVIMHAEPSQPPLSNSLFPEGNLATFTGDVVAVEAVDSSVISSYCIHVRVDYQIVKIFGPLRRHSYLTGFGPGVNATFYRILGTREESRFLLSSASFVKIKSRKALNGPALDKPTHQTAIGLPKIRPQESSGHKDNRQINFVCKVLSVHLLVLQVESDDPSENKCGENIDIPLAGFVVDDGSSTYLCWTSRERAFTFLRLHEELPEDAIDVDQWIRSDSSRSTTAYHLEKIVWVHKRIVIKVNGSQNDALFQNLTIDVASQQLLAESEDNFLKWLILNATSGPMWDVTASSMDMKMIQHVEREQCVEMEASGLTRRNVWGNEICQVDTLVRAWSILQGLLIT >A06p017230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7710439:7712255:1 gene:A06p017230.1_BraROA transcript:A06p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEALPNSHEAPPVVLGLQPAALIDNVAPVDWSLLDQIPGDRGGSIPVQKDELEHILKELDTHVTAAPLKKMAGGSVANTVRGLSVGFGVATGIIGAYGDDEQGQLFVSNMGFSGVSISRLRKKKGSTGQCVCLVDDSGNRTMRPCLSSAVKIQADELSKDDFTGSKWLVLRYAVLNLEVIKAAIGFAKQEGLSVSLDLASFEMVRNYRSELRQLLESGNIDLCFANEDEAAELLRGEEEAGPEAALEFLSRHCNWAVVTLGSKGCIAKHDKEVVQVPAIGETIATDATGAGDLFASGFLYGLIKGLPLEECCKMGSCSGGSVIRALGGEVTPENWQWMHKQLQLKSLPVPDIRN >A09p007450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3912475:3913533:-1 gene:A09p007450.1_BraROA transcript:A09p007450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLDHITSLTLIMDFATGIVSLATHGDHGLFLLLYWQIKKHIKGGPDHEGGIFTVEAPLHASNVQVVDPVTGRPCKVGVKYLEDGTKVRVARGTGTSGSIIPRPEILKIRTTPRPTTAGPKDTPMEFVWEQTYDAKTGKGMPDL >SC313g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000158.1:28345:37591:-1 gene:SC313g500020.1_BraROA transcript:SC313g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTDLVQPWSLKKRGWRLVKMGKESFGKLWVME >A02g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4569977:4571097:-1 gene:A02g501540.1_BraROA transcript:A02g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQGAEELESLNHNRVGDVFDFPGGALNNQLSELNPPFLQIKDPNTILTAVLGLGFIDAGRSSHGLLSPDPLSRGSMRKDNKPTMVSHEIAVSCNISYGQAVLNGL >A02p056540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34096444:34098161:1 gene:A02p056540.1_BraROA transcript:A02p056540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGSSKSTAPKARKRVEAESKPETTNNNNINTLLRAKDGSAFAKCEGCNKNVAVALISMHDCSLDAKIRVNLEAQVVETQTEAKKKPVERKKSTSDEPKAKRLRKAKDDSKKKSSSSSNKPKRPLTAFFIFMADFRKTFKEENPDAGVKDVAKQGGEKWKSLDEEEKKVYLDKAAELKAEYNKSLESSNDADEEEADDADEKQSDEAEEKQADDEQAKENEAEKKEAEGKEEEDEILDDY >A09p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19133402:19134289:1 gene:A09p031630.1_BraROA transcript:A09p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDHTLLQILSIIINEGLEEPLSYPDFVRKAHIRKHGTFIDERAKALVLEVEQAVEEMIHSALTAGTTTSKRRVLNQGYIKLVQTRKGTIYGLGSVQFKKRCPSESVPATLKRSLDMEMRPQASNPPASTAQPTQAQRQSQSQAQPQGQGQAPSPAQDQSQAPGDSQPQHLQLRISHIN >A01p050370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28376719:28382761:-1 gene:A01p050370.1_BraROA transcript:A01p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5b-1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G15640) UniProtKB/Swiss-Prot;Acc:Q9LW15] MWRRIVSSHLKTLASAASPRRSIATRPTTVTPVGFYLSANRSAYSSVIPRHFSSESVETVVKKKVEDVMPIATGHEKEELEAELEGRRLLDLDFAEGSFGTKEAPAIVKSYYDKRIVGCPGGEGGKFTSSSLYNGIDSKFLSLIVALIEYFIYMYLTKAALHFIYQRLFWLEKGKSLECPVCTQYFELEVVGSGGPPDGHVYQFVTMWRRIVSSHLKSLAADVAAASPRRSIATTTARPVGFHLAANRSAVSSSSFVTPRHFSSESVESVAKKKVEDVMPIATGHEKEELEAELEGRRLLDIDFPEGPFGTKESPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKSFECPVCTQYFELEVVGPGGPPDGHGDEDDHH >A04p017800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10761503:10762468:-1 gene:A04p017800.1_BraROA transcript:A04p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 63 [Source:Projected from Arabidopsis thaliana (AT5G40150) UniProtKB/Swiss-Prot;Acc:Q9FL16] MAKLIIVLFFINFSLLSSTAKSRLTTDFYSKSCPRFLDIVRDTITNKQITTPTTAAAALRLFFHDCFPNGCDASVLVSSTAFITAERDSSINLSLPGDGFDVVIRAKTALELACPNTVSCSDILAVAVRDLLVTVGGPYYEISLGRRDSRVSRSSLLLADSLPLPSSPISKLVEQFTSRGFSVQEMVALSGAHTIGFSHCKEFTNRVARVNDTGYNPRFADALKKACANYRNDPTISVFNDVMTPNKFDNMYFQNIPKGLGLLESDHGLYSDPRTRPFVELYASNQARFFKDFAGAMQKLSVYGVLTGRRGEIRRRCDAIN >A08p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14585188:14589788:-1 gene:A08p021860.1_BraROA transcript:A08p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFNETYKTLLYKQIKNTENSVPQTPFSFHVTAVVEEVELPLIDVSRLINGAKKEREKCKQDIASASREWGFFQVINHGISMDVLEKMRQEQIRVFREPFDKKSKSEKFSTGSYRWGTPSATCVRQLSWSEAFHVPMTDISDNKYFTTLSSTMEKFASESEALAYSLAEVLAEKSGRKSSFFKKTCVRNTCYLRMNRYPPCPNPSEVYGLMPHTDSDFLTILYQDQVGGLQLIKDNRWIAVKPNPRALIINIGDLFQAWSNGMYKSVEHRVMTNPTVERFSTAYFLCPSYDAVIECSGDCPAYRNFSFGEFRQQVQEDVKKLGYKVGLPRFINDIY >A01p015340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7467263:7470059:-1 gene:A01p015340.1_BraROA transcript:A01p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSQAGGAYTVPSGHVSSKIRTTSVSGCVRNLRMSEAYVSSYSRTLSTKSRSKRGHQLIVAASPPTEEAVVATEPLTREDLIAYLASGCKSKDKWRIGTEHEKFGFEVNTLRPMKYEQIAELLNSIAERFEWEKVLEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGMGFQPKWRREDIPIMPKGRYDIMRNYMPKVGSLGLDMMLRTCTVQVNLDFSSEADMIRKFRVGLALQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFAYRNNKYVDCTGMTFRQFLAGKLPCLPGELPTYNDWENHLTTIFPEVRLKRYMEMRGADGGPWRRLCALPAFWVGLFYDEDVLQAALDLTADWTPAEREMLRNKVPVTGLKTPFRDGLLKHVAEDVLKLAKDGLERRGYKEAGFLNAVTEVVRTGVTPAENLLEMYNGEWGQSVDPVFQELLY >A04g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7760419:7760954:1 gene:A04g503630.1_BraROA transcript:A04g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRFFSSPLTSALPELWFGVVKLFVVTVDVPFPGGGGSYSSVAVGPCLREVEATSAPPSSVLSPEGEGSLSLASPVLGVSVSSNEISIGSMMLSEVKTKCGREELVRMEALRFFELEVCGGVALGDDSRR >A04p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17442968:17444802:1 gene:A04p029120.1_BraROA transcript:A04p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEEMSKALNATTPGFPDIPSTIVRATIVQASTVYNDTPKTIEKAEKFIAEAASDGAQLVVFPEAFIAGYPRGYRFGIGVGVHNEAGRDCFRRYHASAIVVPGPEVDKLAEIARKYKVYLVMGAMEKDGYTLYCTALFFSSEGRFLGKHRKVMPTSLERCIWGFGDGSTIPVYDTPLGKLGAAICWENRMPLYRTSLYGKGIELYCAPTADGSKEWQSSMMHIAIEGGCFVLSACQFCLRKDFPDHADYLFTDWYPDQHQEAIVSQGGSVIISPLGKILAGPNFESEGLITADLDLGDVARAKLYFDVVGHYSRPEIFNLTVNETPKKPVTFVSKSVKAEDDSEPQDK >A02p024780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12634203:12634750:-1 gene:A02p024780.1_BraROA transcript:A02p024780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVRISVTDAEATDSSSDEDTEEPCRETTTTAQVRRRRGKRLVKEIVIDPPDSDDNRDACKTRFKIRIPAEFLKATAEGGKKKFRGVRQRPWGKWAAEIRCGRALKGRRDRLWLGTFDTAEEAALAYDNAAVQLIGPHAPTNFSFPAESQELVVLN >A02p010950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4744938:4746619:-1 gene:A02p010950.1_BraROA transcript:A02p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAMGGFKDTAASVEAATVFPGFKFSPTDVELISYYLKRKMDGLERSVEVIPETDIYNFEPWDLPEKSIVKSDTEWFFFCARGKKYPHGSQNRRATKMGYWKATGKERDVKSSSQVIGTKRTLVFHIGRAPKGERTEWLMHEYCMKGVSMDDALVVCRLRRNKEFHTSTSQKAPEPDLPTEKHMVMQNGGAASSGSLTDWDSMVDFYLAGESGEELLNEMAETSKNLQVQTEDDFFADILKDEIINVDEAVTTGNIANEVPTLESASKAIRVLPLPSMIDKQMASLLEERPSEQKKCKGSSGTDQLSSCFVGIYSIKTVNRARWDVIICLVALIVMLFCLE >A09p011730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5948002:5951455:-1 gene:A09p011730.1_BraROA transcript:A09p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILFIESKSNLESTLNKRSIFIFSFSFEKHASLNSIPKFSEKLNKAEATMSGALFRNAAAQLIRRNSAASDPFVKTGTRLQSRPYLRSNQLPGRPKQSRISPPSSSGFCSSSSSSVGLVGWYLGMVKSRPVLTKGITSSLIYIAADLSSQTITKEKASSESYDLVRTARMGAYGLLILGPVQHQWFGFMSRLFPKQDFITSFKKVAMGQTVYGPTIMVIFLSFNALLQGEGGSDILARLKRDLLPVMLNCVMYWPVCDFITFKFFPVHLQPLVSNSFSYVWSIYMTYMGNLTNDAARLIRRSAAAEDPFGKTSLALSNLRRLQSRPQFLGRGKQTGLPPPPPSSSVGFVGWYLGMVKSRPVLTKSVTSSLIYIAADLSSQTIVKKSSDSYDLVRTARMGGYGLCVLGPTLHCWFNFMSRLLPRQDFITTFKKMAMGNIIYGPVMMVTFFSLNALLQGEGGSDIVARLKRDFLPAMQNCVMYWPVCDFITFKFFPVHLQPLVSNGFSYLWTIYMTYMGNRKKPDAISS >A09p074060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56263804:56264770:-1 gene:A09p074060.1_BraROA transcript:A09p074060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF4-L4 [Source:Projected from Arabidopsis thaliana (AT1G17455) UniProtKB/TrEMBL;Acc:A0A178WKX5] MILYLIKREDFDLVGKKPNMEGGVVSGFGDRHTNVDGKVLQSFQKSFVDVQDILDQNRLLINEINQNHESNQPENLSRNVGLIKELNNNIRRVASLYGDLSHSFSRSVDASSDGDSSGTLGIINQKRFRSG >A02p033330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17725712:17729164:-1 gene:A02p033330.1_BraROA transcript:A02p033330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 69 [Source:Projected from Arabidopsis thaliana (AT4G01550) UniProtKB/Swiss-Prot;Acc:Q9M126] MENNLVGYRFSPTGEELINHYLKNKILGKSWLVDHAISEVNICRYEPWFLPSLSKLESKDLVWYFFSPKEYTSAKKNVTKRTTPSGYWKATGVDRKIKDRRGNGVEIGIKKTLVYYEGRVPNGVWTPWFLHEYHITSLPLNQRTYVICQVMYKGDDGDSLYGNNSNEPSSSMVSDSNPVKFINTSPEVEQQGQEDSMSMYDLLIPLNQQVDLSPYDVFNPNKSFTDNNYYPQTPYGDDYWNGLLDYNGGNFVDVFRNQELTMQENQSNNRPKRPLTGIIVDDSCSDSDAESISATSYRGTSSPGDSDGSVDEILSLRKGSSKDIITSINTNARESRFTRRTIPSKQEVKEGKSKVNDDASMDKKALSPILKTEKKGWFITEEAIQRNHKNAPYIYFMNMIIGLILLVAVIGNIIWFYEASENEPADEV >A08p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3854293:3856218:1 gene:A08p006680.1_BraROA transcript:A08p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDLHLIDTVFGVWITLAIERFSSHAPSRSILPGVFLTAAKVEILIGVSISSPSSGSVRCRSLLICSLCNIIDSAHMADIMGKGILYEDDDEPIKLTDHDVSQNINEFKLSLIGKILNPKKQSVEKLLQKMPVQWGMEDRITANDLGNRKFLLNFTTKEELNSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLREIGSRLGHVHQDTIELIEGRMLLNFDSRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCPLIQRQGVFARVQLQENRPQQFSKPLVKKEPNALHSKALVGPNLKQSSYATGRHANEGRSYDLNNPREAYKGHADRVIRRRDKPSWRKKYGGAREEAKPYDRYIGATWREKKSQPQSRHDGNVVRDRLVRVSLDRADGPDDHQRQRASSLPRESVKSVQAECEVPPLQSPARPSPDQRSLGRATGTRRIASTIVTPSRGDGLDGNVTKRLKRTPRSLAFDTLTEQDPKPTTENDQVIEALDDMDITEQLDDVLMDSEMQDDDLMGVELA >A09p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24285090:24286608:1 gene:A09p036280.1_BraROA transcript:A09p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALNKSGNDCGAYSLKFIECHLLGLDFYLQMTRSCSIECPHFSLQSVLRRKRLNSFEEKRGLGFFLCESWESSNKITMTSSSTSSARFPRISTHGVPTRCWCGEGITTFGSLTAENRYRRFYRCEVARDVTKKTENHLFKWIDEALIEEIRMVDAKHGSVAKGITMFEERVMEKVKCEMVRVEHEMSKILKEKVDLEIARVAQEMKQKLKIATVAMVVVGAIVGIWTSLTV >A06p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22637204:22639556:1 gene:A06p042110.1_BraROA transcript:A06p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLCHMMISVLKRIRLVIGYISQSAAFEMPRQAGTSEDESSGWKQVDEAPTKHVKFREPPSKIVVDEAEGQSDTKNQPYVPAFDAPSSSNSPILSPVREEPSSSPSEGGDDDIDGPLPAIEDLQISGEPYPGHELQACGYSVNGTTSCNFEWVCHLEDGSVNYIDGAKQPNYLVTADDVDLYLAIEVQPLDDRNRKGELVKVFANENRKITCHPEMQSQIEKNLHSGHASYKVSVSTGFLDIWEEATLSIKREGYSIKCINNDIIAAEKFSSSNAVTIPFGQPEEFVIVASDGSEYSLRVDNGSADLSCSRDTIVLTLRLFNMRSLQRKKGKRRGFLFHK >A09p021440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11532604:11550640:-1 gene:A09p021440.1_BraROA transcript:A09p021440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTVTDTTLPKQEETVTESITEFERNQKRYQELIATFPHEKGWRPKNPLIKYGGHWLIKPRIEGCLYAQEFFQARPSDLLLCSYPKTGTTWLKALIFAISNRSRFNDSTNPLLKRNPHELVPYIEIEFAFFLHIDVINNKENTLFATHLPHGLLPESVSRSSCTMVYIWRDPKDTFVSMWIFYKKQKTQDGPLNSLEESFDMFCRGFMGYGFTAEEDKEGIVDKVVNLCSFDTLKNLESNQGEKNMEIRPSSFANSAFFRKGEIGDWQNYLIPEMAARIDGLMVEKLKGSGLLEWKGEVGDWQNYPTLEMAARIDGLVHYLSPMGLLTVTDTTLPNQEETVTESTEFERNQKRYQELIATFPHEKGWRPKNPLIQYGGHWLMQPRAEGCMYAQEFFQARPSDLLLCSYPKTGTTWLKALTFAIRNRSHFNDSTNPLLKRNPHELVPYIEIEFAFFPHIDVINNKENTLFATHLPHGLLPESVSRSSCKMVYIWRDPKDTFISMWIFYKKQKTQDGPLNSLEESFDMFCRGLSSNGPYLDHVLTYWKAYQENPDQIFFLKYEKMRADPLLYVKRLAEFMGYGFTAEEEKEGIVDKVVNLCSFDTLKNLEPNQGEKNMENRPSSFANSAFFRKGEIGDWQNYLTREMAARIDGLMSVLVDVESPFKPDVFKGKVALITGGGSRISFEISSQFGKHGATVAIMGRRKQVLDAAVSDFRSLGIQPIFTASQLEQIAEILVWLLLDRGLQGLSLLLQESLISVNESFKEEEWVSSCKNIANSLASRVPRDMNCLRIVESVAGVDARSKHLRRERREFDELALMSINLKEKSCNLFRKYMMLVLSENWLLSSKLVEEKPVLRDMWAVFLRNCFCQINSTDLRPFASKVRTKASYLLQGCRSD >A06p004770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1319820:1320110:1 gene:A06p004770.1_BraROA transcript:A06p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLE11 [Source:Projected from Arabidopsis thaliana (AT1G49005) UniProtKB/TrEMBL;Acc:A0A178W8Y5] MKKHPKPCSFLFHISILSVLFVFLLVSCAYTTSYKRKAGTGLGQKRILASNFDFTPFFKKTDRTQRLRRSSAEKKTNSWYNDEDRIVPSGPNPLHH >A05p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2752735:2766255:-1 gene:A05p006820.1_BraROA transcript:A05p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEACH domain-containing protein C2 [Source:Projected from Arabidopsis thaliana (AT2G45540) UniProtKB/Swiss-Prot;Acc:F4IG73] MEEDDDRKLAEASGHHPIEAFDAALQGVSSAGGDFKDDDFEQVSLGDQEKSANVSHGDVKEPGSALNSDHEFGGTEGVAYHLSGTQEAYDLMPMDDVESERLSSDGPEREASYSMTQSVAESGYSPVHSPQKPKPKSTTSNVSPELLHLVDSAIMGKPESLEKLKNVVSGVESFDSGEDPESTAFLVVDSLIGTMGGVESFEEDEDSNPPSVMLNSRAAIVSGELIPWLPCLGDSLHVMSPRTRMVRGLLVILRSCTRNRAMCSTAGLLGVLLRSVKEIISKDADMKWNSAAVLLLCIQHLAGHSLSVDDLHMWLEVTKTTLTTAWSSPLMLALEKVMSGKESRGPACTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNAATAAAAIAAAAAAKSGKTSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGRKSSLHFTHAFKPQCWYFIGLEHSGKQGLLGKAESELRLYVDGSLYESRPFDFPRISKPLSFCCIGTNPPPTMAGLQRRRRQCPLFAEVGPVYIFKEPIGPERMARLASRGGDVLPCFGNGAGLPWLATNEHVRNSADENSLLDAEIGGYIHLLYHPCLLSGRFCPDASLSGATGTLRRPAEVLGQVYVATRMKPVESFWALAHGGPMSLLPLTVSNVNKDSLEPCPGNNPLSLSTITLAAPVFRIISVAIQHPGNNEELCRNQGPEILARILSYLLHSLASLDRKHDGVGEEELVAAIVSLCQSQKINHVLKVQLFRTLLLDLKIWSLCNYGLQKKLLSSLQDMVFTEAKAMRDADAIQLLLDGCRRCYWMISEQDSVTSFPLDGNTRQMGEVNALIDELLVIIELLMGAASPSLAADDLRRLLGFIIDSPQPNQVARVLHLIYRLVVQPNSARATMFAEVFITSGGIETLLVLLQREAKTGEGNVLAGKSETRSFSDQSEKRQFNESGSVKQLESDPLDNEINIDLCGPDGNSVEDDNIGSLNELESIQQEKEDKSAPVICDSDSASVSNFKNTERISSEIGGISLSISADNARNNVYNVDNSDAVVVGIIRLIGALISSGHLTFDLGGRSDVTSNILGSGLHENGGTMFEDKVALLLFALLKAFQAAPNRLMTDNVYTTLLGASINASSTEDGLNFYDSGHRFEHSQLLLVLLRSLPFASKNLQSRALQDLLFLACSHPENRSSLTTMEEWPEWILEILITNYEKDAGKHSASAGSSEVEDMIHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEQRIRREESLPIFKRRLFGGLLDFAARELQAQTQVIAAAAAGVAAEGLAPKDAKAGADNAAQLSVFLVENAIVILMLVEDHLRLQSKQTCAANAVDASPSPLSFVSPLKNRTSTLTAIGESPEVPSSRASVSSDSGKVPLDILASMADASGQISAVAMERLTAAVAAEPYESVSCAFVSYGSCAMDLAEGWKYRSRLWYGVGFPSKNSCFGGGGSGSDSWKSTLEKDSHGNWIELPLVKKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGMASLYQLLDSDQPFLCMLRMVLLSMREEDYGEDNMLMRNVSLERSSGNSISLDSGSQMSMRQSRSALLWSVLSPILNMPISDSKRQRVLVTACVLYSEVWHAISRDRKPLRKHYIEAIVPPFIAVLRRWRPLLAGIHELATADGMNPLVADDRALAADALPVEGALSMITPEWAAAFASPPAAMALAMIAAGAAGWEAPPPPTPSHLRRDSSMLERKSAKLQTFSSFQKPLEATKNNIPPRPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNISDMERLQRWNSSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNMQRSEIDRRLQDDILAANRLSLGGRGWRKLIRYLVEMRCFFGPFGDELCSPERVFWKLDSMESFSRMRQCIRRNYSGTDHLGAAANYDDQADTKSDNASKGSPSDPPVLAADVISMEIAYEDDEHGEGDHLDVKVNAEEHRRENEDRIAGSHEHASRTSAGTSDPRPSNDPELARDSSVAAAGFVPSELDERILLEFPTSMVRPLRVVKGTFQITTRRINFIVDNSESQNMTDHSDGSQLGGQEKDRSWPMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGNTEGRKNAYRAIVQARPPYLNNIYLATQRPEQLLRRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWIISDNSSESLDFSNPSTFRDLSKPIGALNPERLKKFQERYSSFEDPVIPKFHYGSHYSSAGAVLYYLARVEPFTTLSIQLQGGKFDHADRMFSDIPATWNGVLEDMSDVKELVPEMFYLPEVLTNENSIDFGTTQLGEKLDAVKLPPWAKNPVDFVHKQRKALESEHVSAHLHEWIDLIFGYKQRGKEAIMANNVFFYITYEGTVDIDKITDPVQQRATQDQIAYFGQTPSQLLTIPHMKRMPLKDVLHMQTIFRNPKEIKPYGVQNPERCNLPASAIQASSDSVVIVDMNVPAARVAQHKWQPNTPDGQGTPFLFHHGKATATSTSGGSLMRMFKGPASSGTDDWQFPQAQAFATSGIRSSSVVAITSDGEIITGGHADNSIKLVSSDGAKTLETAFGHCAPVTCLALSPDNNFLVTGSRDCTVLLWRIHKAFISRTSVSEPSTGSGAPSSANNTNLANTLANKGKKCRIEGPIQVLRGHRREIVSCCVSSDQGVVVSSSESSDVLLHSIRKGRLIRRLVGVTANSLCISSDGVIMAWSSSEGSISVFTINGVLIAKAKLPFSCTISCMEMSMDGQNALIGMNSCSSMDYSSSNEDGKEIERLDVSSPSICFLNLYTLQVFHVLKLGQGQDITALALNVDNTNLLVSTEDKQLIIFTDPALSLKVVDQMLKLGWE >A10p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:276552:277998:1 gene:A10p000500.1_BraROA transcript:A10p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKLDLHDDNKAKQKALKTVSTLPGIDSIAMDMKEKKLTVIGTVDPVNVVSKLRKYWPMTDIILVGPAKEPEKEKKEEPKKEGGGEPPKKEGEAPKEEGKKEGEAQKKEEEKKEGGDKKEGEKKDQPQPQPLTQPPPPDHVLELVKAYKAYNPHLTTYYYAQSIEENPNACVIC >A05p043330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26153740:26156064:1 gene:A05p043330.1_BraROA transcript:A05p043330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLRLSPLRLGSFKSSPPRGSPTFRRVHSGRTPRREVKANGGALQWFRSNRLIYWLLLITLWTYLGFYVQSRWAHDDDNKVEFLRFGGKLREDVLHVEQNTRVGSVVDKTTHLVVDGTNVVHVDVNKRMHVALAKKEDATPRRSLSARRRRRRKAGRSSRSKTQKVRKVVEDLNEQDPELPKTNVTYGKLFGPFGSIEDRILEWSPQKRSGTCDRKSDFKRLVWSRRFVLLFHELSMTGAPISMMELASELLSCGATVYAVVLSRRGGLLQELIRRRIKVVEDKGELSFKTAMKADLVVAGSAVCATWIDQYMDHFPAGGSQVAWWVMENRREYFDRAKPVLDRVKLLIFLSEVQSKQWLTWCEEEHIKLRSQPVIVPLSVNDELAFVAGISSSLNTPTLTTEMMTAKRQALRESVRKEFGLTDKDMLVMSLSSINPTKGQLLLLESAALVLEKEKEPEQVANGTQSKIKNLNGVKKEKISLSVRHRLRGSSRKMRIKSPALDNPSVLSATGKRKLLFSANLTEKQDLKLLLGSVGSKSNKVAYVKEMLNFLSKNGNLSNSVVWTLATTRVASLYSAADVYVTNSQGIGETFGRVTIEAMAYGVPVLGTDAGGTKEIVEHNVTGLLHPVGRLGNKVLAQNLLFLLRNPSTRLQLGSEGRKKVEKMYMKQHMYKRFVDVLVKCMRP >A01p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25660636:25662365:1 gene:A01p045390.1_BraROA transcript:A01p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPVGAEKKRVKRSSGSASTAARDSGSDAPPRKKGVKKDLFQLFAEKVRDHKGLESRWAVMEQARVEYFRGKDFVSFIKNHPECKEVLEEDKDLDTEDIANVLLEKNLLVRCDRVTKTLRPGKKKLSTWPAHLEIFREDQAFSETDAFFAWTFEKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLIVLYSCASILLMILSLLFVRAVAFGAMWILLGKRVWFFPNILAEEATLKELFRFWPKKDEEEPPKWTSRLFYTVVAVVVVMLLRRHAPDEAARARYQRRMSNIIDDVLEWSPKLALSGLMENQPPVNITEAASNSSDAASPDYTEEADLDETQGEEEAEDWTNSNIKT >A06p055320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28911834:28913750:-1 gene:A06p055320.1_BraROA transcript:A06p055320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMCLMIIIVAVAITMVASDPIAPCYFIFGDSLVDNGNNNQLNSLARANYFPYGIDFSQGPTGRFSNGKTTVDVITELLGFDDYITPYAAARGEDILRGVNYASAAAGIREETGRQLGGRIAFAGQVANHVNTVSQVVNILGDEDQASSYLSKCIYSIGLGSNDYLNNYFMPTFYSTGNQFSPESYSDDLIARYTEQLRILYNNGARKFALIGVGAIGCSPNELAQNSRDGRTCDERINSANRIFNSKLISIVDSFNQNTPDAKFTYINAYGIFQDIVANPARYGFTVTNAGCCGVGRNNGQITCLPGQAPCLNRNEYVFWDAFHPGEAANVIIGTRSFRREAASDAHPYDIQQLATL >A05p049070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28606211:28610963:-1 gene:A05p049070.1_BraROA transcript:A05p049070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLHEFHLASRRAEEAASRRFQAVQWLQSIVGQLGISDQPSEKEFVSCLRNGLILCNAINKIHPGAVSKVVESYSHLQSFNREYQLPQAYQYFENVRNFLVALEQLRLPGFEASDLEKDNLEAGSVSKVVDCILGLKGYHECKMTSSGYKHVKTPTFQLSATKVLPVSASKASRQLERNDCADGESDQLKVIAKLVADHIFNSKENIDENLISLENGSENSTVNFQKIISRFPELQSIFKNFLNEGTPKPSDVKPMPLEELPVNEDDKCRTSLLHTTSYNHKRLLKAQENELAVLKTLFIQTKQDFKEFQAHQQRDLMELGNQMQEMSSAAQGYYKVVEENRKLYNMVQDLKGNIRVFCRVRPIFNSEMKGVIDYIGKDGSLFVLDPSKPQKDARKTFQFNQVFAPTATQDDVFRETQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPPGRSATEMGINYLALNDLFLICDKRKDMITYEICVQMVEIYNEQVRDLLAENSSCTKYPFMLKLLIFWFVYLYNDLPSVVILDYISTLDIRTCSSEDGGLSLPDATMHSVNSTMDVLRLMEAGEVNRAVSSTSMNNRSSRSHSIFMVHVRGKDTSGGTIRSCLHLVDLAGSERVDKSEVTGDRLKEAQYINKSLSCLGDVIYALAQKNSHIPYRNSKLTLLLQDALGGQAKTLMFAHLSPEEDSFGETVSTLKFAQRVSTVELGVARAHKETREVMHLKEQIENLKKALGSGDWNSVSCSGVKEIKSPLSRPMATTERTPPRLRRLSIENCSNAKANLEDRKGVKSPLASRRAQRLSIEGPRSCKKEENSKGDPTMEVQQVKSPLSPPVSSYRTRAVKVDGRTSIRQLQLLQTPVKEDPRNEIQFISVDSRTNGKSSHIRKSLRTIGKLINGSEKRKENIPTNPRSPLGVSNNFSGVKSPHTTNAKTLRRQSLTGVMPPGPERSRRSSIGGNPIENGESGVRTAKTPPPVRSSSKIGKKRT >A07g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5094648:5095080:-1 gene:A07g502420.1_BraROA transcript:A07g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRRESSARKLCLCRLYAEIRQAWTNKNPGRRFYGCPRYKEKNGCNCFKWFDVEDGTEWQKMALIEARDEIQEKSRVIEQLNQTIAELTINLERIQQEEEIVRDFQNLYV >A02g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18892985:18894911:1 gene:A02g506760.1_BraROA transcript:A02g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPFAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDVDTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A09p079470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58497838:58500046:-1 gene:A09p079470.1_BraROA transcript:A09p079470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFELFRLSKAAQDNNTSPPVDEVVELVWENGQVSTQSRTKNIPPPHQGAASRPREVGNSSKTTIMDEIPMSVPSLMTGLSQDDDFVPWINHHHPHQSLDGYCSEFLQEEEMALFQRRNNSNESAPPPPAAASSSQYNGFQSYSLYGTDRAREPLVTTSNKPGLINFSHFLRPATLGKSPNNNADRSKEKSPQSPPKVFQTKVLGAKEVLNESVASAVPKDSQKACLVSEDSGRKEQESEKAVVCSSVGSGNSLDGASESPSLSVKRKHSDVQDIDCHSEDVEGESGDGRKEAAPSRTGTGSKRSRSAEVHNQSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKSLQLQVQIMSMASGYYMPPVMFPPGMGHYPAAAAAMAMGMGMPYAMGLPDMSRASPSVNHGPQFQVPGMQQPVGMAIPRVSGGGFFTGSSAMEMNKSEDGSTRNLSGGNKDQTTTENNNGLRPIKRKQTSSDQFCGSS >A10g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11271309:11271780:-1 gene:A10g504630.1_BraROA transcript:A10g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSVSIDVCDGVSIGPRNGVVSPNVEQVQKKTLRSLIQIVHGAASLLTWSMYIEEGEVPSEQVKVLYVAAWDGGCRSMEDECLWSTVVSEYRSTGLVSGSTVVEQNRATNGCCCQSMRSALLCGLNAPNLQYLVRIVVGFPCCF >A06g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9533874:9535609:-1 gene:A06g502960.1_BraROA transcript:A06g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFGLVTRKTLVILDQSRKKKSPDEERRLLSRRSEGESRDDRRLFPRRTTVIFLRRLNKMANPHEPHFFKPLLPGFHSGVHIEGKTNQKAWKLRSDASDQTWEVIQEGRTLTRGWKDFTTAHDLQIGDFVIFKHEGNMVFHVTPFGPSCCEIQYTHPHIIKEEADACDADDNEIRGTGVMSSFSFDYCFLAEVTASNLKADKLYLPKRATSSTALNKQCQEMILVNKEGNLWTASLRFSESGGMYYITRGWGKFCRDNRCDIGDLFVFNLVGDGKSTPLLCVCPESKECFELLSKHLSRKRGESSPLPCLRRDIASSSRVN >A05p005520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2125785:2127266:-1 gene:A05p005520.1_BraROA transcript:A05p005520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLLPRNKKLLSFLTRHHPILKTLLQHPPPISHYATQSSKFPEYEMPTVTWGVIQGKKEKLVNRVKVCDHLQTLGVITDELETIELPSTLDVISERLEFLHKLGLTIDDVNEYPLMLGCSVRKNLIPVLAYLEKIGISRSKLGEFVKNYPQVLHASVVVELAPVVKFLRGLDVEKQDLGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYLLGMRVGTMIKPLVDYLISIGLPKKIVARMLEKRAYVIGYSLEETVKPNVECLISFGVRREMLPLVIAQYPQILGLPVKAKMSTQQYFFSLKLKVDPEGFARVVEKMPQVVSLKQNVIMKPVEFLLGRGFRVEDVARMVVRCPQILCSRVELMKNGYYFYKTEMGRPMKELVEYPEYFTYGLESRIKPRYQKLQGKGIRSSLNWFLNCSDQRFEERLEGNFIDVDSEGPVFDMGGKLDMPGDGGGEVVSDEDDDESDDDEVLYRRTLTL >A09g512950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39239360:39241633:-1 gene:A09g512950.1_BraROA transcript:A09g512950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQSITYRLQLYRPRRQQTAAFEKLRERGVEEEWRRSGGGAAGGATNPIQIRPNPSYVGCRFCTKFLRRSILEDSNQTNQARSLRNYCAYTPSGCYVATNDQARAKARSLHSDRVFVPLGRYVATELSQARSLRSNRDRAKARSLRSDRVLAPLGRYVATELEPKLSRYIATERSSRSVATDRARAKARSLRSDRTRAKVRSLCSDRTLPNIDRHQSMHSRQTIKCYLPKTVASSVYVSAILNHRSNFANYKPRKVRSLSKKFVVNVSSRKTAQRDLKHDSRPILRFLTKSPINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDCDSIRFSRLRFTRTRNLADSSRAQAYTL >A02p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5389127:5390746:1 gene:A02p012320.1_BraROA transcript:A02p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFVKSYLFAYNFLQASSWTISLLSIVSSVLSNKTINGGAYSAAGYLISVIQAAAVLEVLHGAIGIVPSGFLSPLMQWSGRTHFILAIVGQINEVFTLGSALLVQDSPWLAITLVAWCIGEMIRYPHYALTCLGRCPYWLTYLRYTGFIIIYPTGLVGELLIMYKALPHVKERNLYANFFSVFPFSYYQFLLAVLLVYPFLWLKLYLQLFKQRKSKLGKAEKHHGKRKRM >A05p054990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32496059:32497009:1 gene:A05p054990.1_BraROA transcript:A05p054990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFGNITIDTPSVTHLYYSDLVPSSYLAVNLNSLVEANLDLVVTVGHYYNSREGDNITSNPTDLFKGMRNVQIMNLLSQDSLEIFYLFRGAIPVYENLFHLSIITESTDCWSGLVYLLNHCPNLETLTIKGTLHYDKYDYICNCVSGYSFLLSCPVKVVKITEYGGTADELLQLKYILEKLSRLERLEVSIWGTDDMKFQKAKDLLMLPRASTKCKIKIIN >A02g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9843601:9844187:-1 gene:A02g502950.1_BraROA transcript:A02g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSRFPGITNKFLYHKMQVTSPLAIVNAISTKPFTIYFANIVYLPNSIPFGQLLIYTVKLFSFELCELDNVPFGLDWIGLRLHRSKMVRLTTWDNEAANFRELNRISTRKNQIVIITSIIPRLHEGNKLNKKFMSN >A08p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16458374:16460173:-1 gene:A08p025580.1_BraROA transcript:A08p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSSLDISLNLNTNAFDRSFPAKLPKEEESASELREELNRVYSENKKIKEMLETVCEKYYALHHHLEKLQSRKSPGIDHIEQPTKKRKQDPEDFLGFPNGKTENSSSNQDHHHQQYEQKNQLLSCKRPVTDSFNKVKVSTVYFPADFSDTSLTVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAEDSSILVATYEGTHNHLGPNASEGDATSQGGSSTVTLDMVHVGQRLDLEKNERGTMQEVLVQQMASSLTNDSNFTAALAAAITGRLMEQSRT >A05g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27643195:27644283:-1 gene:A05g509200.1_BraROA transcript:A05g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSANKGLELNGRDAEILISSSTLRIHAKPREVALDEGLELNGGIRNSNIISRMVVEGYDTSPRREDVEEALKNHFASRGIKLMHVSVPVDYKCRNRRRALIYVNGECEAEALKLDGSYVGGLVSKKKSNVGGRILTITAYPFDDNSLEHLFAPTSVIDEYRQHTLKVRGFDTSLSLNDIEKMLLRVFPGSDCFPLCDGSVLLYLRGQYSMDEALKLSGGSVEGFKFAVTEVLPETVIETGISLATARSFGFCG >A05g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23603970:23604240:1 gene:A05g508110.1_BraROA transcript:A05g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTPLQLVQSSIEKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAGTNLSCVVVWM >A02g511730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31637357:31643721:1 gene:A02g511730.1_BraROA transcript:A02g511730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRISAGAEKIPVKCNSMSSLVTRRWRTKTFPARIRTWRLLSVKSISTNPKTLVTDSEQVASSIKHHSEFTPLFSPEKFELPKAFFATAQSVRDALIVNWNATYEYYNRVNPKQAYYLSMEFLQEAASDAASERRVLRPPIKPAGRALSNAVGNLGLTGAYGDALKSLGFDLESVAGQEPDPALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQIITKDGQEEAAEDWLELSNPWEIVRNDVSYPVKFYGKVVFGSDGRKRWIGGEDVVAVAYDVPIPGYKTKTTINLRLWSTKAPSGDFDLSSYNSGKHTEAAEALFNAEKICYVLYPGDESNEGKALRLKQQYTLCSASLQDIVARFETRSGGRSVNWEEFPEKVAVQMNDTHPTLCIPELMRILMDLKGLSWEDAWRITHRTVAYTNHTVLPEALEKWSLELMEKLLPRHVEIIEKIDEELVSTIVSEYGTQNPDLLKEKLKAMRILENVELPSAFADVIVKPESKPAIAEKTVDQTKDSKEDAQTVVKKEVEEEETAGVIAEVIPEPPKMVRMANLAVVGGHAVNGVAEIHSEIVKTDVFNDFVKLWPDKFQNKTNGVTPRRWIRFCNPYLSDIITNWIGTEDWVLNAEKLAELRKFADDEDLQSEWRAAKKKNKLKVVSLIKERTGYTVNPDAMFDIQIKRIHEYKRQLLNILGIVYRYKKMKEMSASERKKAFVPRVCIFGGKAFATYVQAKRIVKFITDVGSTINHDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISLSLSHRHVWCSGWIPLAGTLDLPRGDIDPGSALADLEFPFCRSVIVEAVMARGGWTMLAPPVLHLVWLLKPFVCGVECVSLLGVGAWCLVSNPSRVSAQGRRWWRSVGAYTKLLAGRVVRVSAWSCVCVLRRLQLPLSSMAELVVPLMMLSVLLSHQQGVVLKRNETVLGSLVLGSRRRLGPVFESSWSPSAGTEDPKFGLSSLQPDLSGGSELPDLHGFGDPAPPQSAVRASCPVKIQVLGKLSRSSSSDDVTRSPTSEETSTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGEENFFLFGAKADEIVSLRKERAEGKFVPDPSFEEVKQFVRSGVFGSNNYDELIGSLEGNEGFGRADYFLVGKDFPSYVECQERVDKAYRDQKRWTRMSILNTAGSSKFSSDRTIHEYAKDIWNIKQVELP >A01p059850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34059037:34059945:1 gene:A01p059850.1_BraROA transcript:A01p059850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLNTLTNTLLSISKLRETRNIVKSSSGFVCRKTTTDDSVQLPRRMMTLGFAVSIALSGTFGGNNVSLAQDNNGFWIDGPLPTPPIYNNIVNEQTGTRSFLKKGVYVADIGTKGRTYRVKKYAFDLLAMEDLIGPDTLNYVKKYLRLKSTFLFYDFDNLISAAASQDKQPLTDLANRLFDNFEKLEDAAKTKNLAETESCYKDTKFLLQEVMTRMA >A07p020540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12097411:12099457:1 gene:A07p020540.1_BraROA transcript:A07p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G21380) UniProtKB/Swiss-Prot;Acc:Q9LPL6] MANNGAACAERATNDMLIGPDWAINIELCDIINLDPSQAKEAVKVLKKRLGSKNSKVQILALYALETLSKNCGESVFQLIVDRGILPDMVKIVKKKPDLSVREKILSLLDTWQEAFGGSGGRFPQYYNAYNELRSAGVEFPPRTESSVPFFTPPQTQPIVAQAVAASDEDAAIQASLQSDDASSLSVEEIQSAQGSVDILTDMLGALDPSHPEGLKEELIVDLVEQCRTYQRRVMTLVNTTSDEELLCQGLALNDNLQRVLQQHDDKAKGNFVPPATAPAPIPLVSINHDDDDDESDDDFAQLSHRSKRESARVSFNPVLPPPPPTMRPVHVESGGSMDFLSGDVYKPQATSEILKPSHSSDRDSSAPIFDEPAPRSKSPEQLPRAPWDPEGTRVFSPPMSVRTKHNNNVPQHSSSGSDSSYDDLVGKSRNLSLNPTASAAAAATPPKKDDKPEDILFKDLVDFAKNRKSSSSSSSKPF >A08g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4165619:4169072:-1 gene:A08g501690.1_BraROA transcript:A08g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIEAKQETFRSRFESERKDGGRYVATDSLTGRYVANGSKPRSVLLVFVVKSQRKLRLRRNKKHFEEDSKENAKEEPFEDLQPRSVLLVFVVKSQRKLRLRRNEKRFDEDSKDNPKEDLSEASQVATLTGRYVASGSKPRRVPLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQRPSSVRARSLRSDRAVCVLGRYVATEQRVRARSLRSDQAVCVLGRYVATEQRVRARSLRSDRAACVLGRYVATEPSTEQRVRARSLRSDRAVCVLGLYVATELCNRFVVFPFSAINVGVFQRFFWENKFYPSEMFSENVFWEESRACFSALPVAEALAIGAVSAASLCFIYPNIRQTVGTEIRTVDFRLNKETRKTLISQRSRISSRANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRIDFGLNLVKGCLRTPFEDQAERSSIDRAGPEIELPGRVRLRIPNLKSSPSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFEGFDENARTGVVLTFGKVQSLHRYTATELWLEPGRFVATERDERSRPSGTIARSLRSDRALARARSLRSDRAGRSLGRYRPSGTNARSLRSDRALARARSLRSDRAGRSLGRCVATELGSGSVAT >A10p038420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21470880:21476043:-1 gene:A10p038420.1_BraROA transcript:A10p038420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTKRISFFLWQATKLPTRSLPRNPSLCRSYHIVGAPISSGDVVKGLSYGQIRRRFIGYTAEQFSDDEYECEFEEHKASSSVANVDEWKWKLGILLANDSEREIVSRDKRDRRDYEQISNLAKRMGLYSEIYGKVVVASKVPLPNYRPDLDDKRPQREVVLPLSLQRRVEGLLQEHLDRQQLNSGKANESEADSQPPKQTEELPDESSDAFLDGSVMEKVLQRRSMRMRNMQRAWQESPEGRTMLEFRKSLPSFKDKERLLQAIARNQVIVVSGETGCGKTTQLPQYILESEIESGRGAFCNIICTQPRRISAMAVAERVSAERGEPLGETVGFKVRLEGMRGKNTQLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLIIVLKELLPRRPDLRLVLMSATLNAELFSNYFGGAPTIHIPGFTHPVKAHFLEDVLEMTGYKLTSFNQVDDYGQEKTWKTQKQLMPRKRKNQITSLVEEALSKSTFESYSSRTRDSLSSWMPDCVGFNLIEAVLCHICRKERPGAVLVFLTGWDDISSLRDQIKAHPLLGDPNRVLLLMCHGSMATAEQRLIFERAPPNIRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRLLPGECYHLYPKCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIAGFLSAALQAPEPLTVQNAIGFLKMIGALDEKENLTDLGKLLSILPVDPKLGKMLIMGAIFHCFDPILTIVSGLSVRDPFLLPQEKKDLALSAKLRFSAKDYSDHMALVRAFEGWKNAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNYILKEAGLVHDDSALNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVSLYANSVNSRFPTIPYPWLVFGEKVKVNAVLIRDSTGVPDSSLILFGGALSTGVQVGHLKMLDGYIDFFMDPNLADSYVKLKEELNKLLQKKANPSVDIHKEGKYLMLAVQELVAGDQCEGRFVFGRDTKRPSQPQLAGENNKLSKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPQRNKTLAEKDAAVEALAWLTHTSDNSTDQYSGDADSPPDVTDNMLKLLGGKRRRRSKGK >A01p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27862555:27863918:1 gene:A01p049650.1_BraROA transcript:A01p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCPRNRELCPKLLDLIPQARQWYHEENNNTDQEKKLELRLGPPGGYDEDRPATKKNTETRNNNIKKEAEDKSIFSPSKNHFSPSNRTNLPHISHKRTAPGPVVGWPPVRSFRKNLATTSSSKLVNESSHIGQINKNGDGVKQVEPKREGMFVKINMDSVPIGRKIDLNAYNSYEQLSFGVDKLFRGLLAAQRDTSGGEGEEKPIIGLLDGKGEFTLTYEDNEGDKMLVGDVPWQMFVSSVKRLRVIKSSEISSALRFGCSKHEKMRN >A01g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23938905:23939801:-1 gene:A01g508830.1_BraROA transcript:A01g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLCAKLYDKYTTFKKFSSFQNWTRLIGNKKTSSLPLFQPQTQHLRSENQILKENMERLRNEIAEIRHIYQGRGAFGVSKVSCGRRTKEQETLSEQVEKIKELISEGVPHYNKNQSGRTRKTPQVTT >A01g510480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28834820:28835846:1 gene:A01g510480.1_BraROA transcript:A01g510480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSHASGGEWLLLSASMRKKGGDTREPSTPSRFRRYFSIKSITFSLLHTLRSVLSRLTMDPAEERRHSKRQQDHINMLGFVSDSEYGIPKRCPCGGRIIDEVRRKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEEIERLTKRVVEAEEVMLGSSNLCKLIDRLEDQVKMLSEQVDDLTVQVATLEKVCFE >A08p010540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8670851:8672473:-1 gene:A08p010540.1_BraROA transcript:A08p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVIFTVVVITIFSFGVSVDDKCSACNAVAEELESQLLKEKPRNHLDLRNRLNSKGQREGKVIDYRMSDLRVVDLLDGLCDRMQDYTLQKVESKNREWVKVESFDNLTSNVLLLKLLIEEDSGINKQEAKAHANDISTYCGRLLEETEDELAEVIKNGALKVGDARKVLCQTLSNHCSKSSETDSEDEDDDDADEL >A04p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000169.1:4022:5381:1 gene:A04p018440.1_BraROA transcript:A04p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSFNIFNKNFTRNETESSLTNDNLAYLQKDHIDCFNDNLGQSSVNGWSNSSIMTSNSVSNPQSLMSVMPNQNYNHFGRSFVCTQNLPTFNSPITEIPPRYPFIDIDLSATTKGNFNTGAWDQSEDTNLRKLVELYGTKNWKKIANMLGTRIGKQCRERWHNHLRHGIKKSAWTEEEDRILVEAHKVFGNQWAKIALKLCGRTENAIKNRWNGTKRRMHQKRMKRSDKNANPPQNVILARYIRHVTNKNESPNTKETDCTKDDKHENAFDGEMDLSLDVTTQTTEPLASMSTTSSYVPEPATTFSWDDYFTYICESMDDIHMLMQGLD >A01g511490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33233920:33236255:1 gene:A01g511490.1_BraROA transcript:A01g511490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPLPPPSQTAVTSSMQHIPQKIHQLQEGISIRPIIVCIRNVWDIKKHQTDSTRTSIGFMCYDHNGQLLEGRVTGDIQPNDSKNLTEGDSYEFSRFYVIHNSRQRKLTQLSYYIQIGQRTTALNVTLDGPMFPVHSLSPQKYTNLLRLASTPTYLPDVVGQIVIIQKIKLDHPELNIDATIGLRLNRSTIVKLILCDQQAADFSILQSKKNRKFKVMIITSVIPKLIQGKLILHSSPATVFYFNKSIDYIKHFKRRIRDYAKTCSTE >A08p003840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2187681:2189253:1 gene:A08p003840.1_BraROA transcript:A08p003840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQLKDEMLFQKVNHNDVESIKSHCHEGAGLEWADKEGRTPLILACAEAELCDVAETLKVARAKGYSDTVRAIESRICLFSGWMRELYGPSFLELCSPDQLLSRKVWVVIVPTDSRNPLKLELVVYASLQDAQPRMVMPLWKANLKEPKSDQSDASVMIVCNSSRLKKQRKRAYISHARSWAQVDRQMRLKLAAATKGDIKHLNSFCEACKGTPQPMNPPILLKTTENIISNELTPSVPLPRAVTVET >A01p029240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20802656:20803039:1 gene:A01p029240.1_BraROA transcript:A01p029240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYYCSYVFVTMSLFDSQAVSFHNKLEVFQVDPRVVVVTSTHKIVGDGQHALRAPLMDVFKGMLRGGQGWAPPRKRKIWCQNCQIKHQRMHYYADSTDT >A02g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22682768:22692481:1 gene:A02g508300.1_BraROA transcript:A02g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKTVTTNLTFKTSEEENKSFSEDLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLSRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVPLGRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARALGRYVATELTRLARSTLALGRYVAIRRSDRAQPSSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSVDKYGSFEDNCEDRENGISPFLCYDGLRAEDCDSIRFSRLRVARTRNLADSSRAQAYTLLKTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPAPEKWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLSRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVPLGRYVATELSQARSLRSDRAIVPLGRYVTTELKRFARSLHSDRARAKKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTFNKVEYFVLIYDKSAHFKITLPNLILVT >A06p057670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29975888:29982032:-1 gene:A06p057670.1_BraROA transcript:A06p057670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRTRSSSPFSNNRPTSPSSSTSSSHLSNRLVPRSSSLPNSGGGIGSRSMTTSRTFSDYGPIGSESFGTGSSLPYPSEELLGEPVEETISSERDSISVTVRFRPLSDREYQRGDEVAWYPDGDTMVRHEYNPLTAYAFDKVFGPQANTMDVYDVAARPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQESPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHVLSFIAAGEEHRHVGSNNFNLMSSRSHTLFTLMIESSATGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSSNEETHNTLKFASRAKSIEIYASRNQIIDEKSLIKKYQREISILKLELDQLRRGMLVGVSHEELMSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTKNSRTGYLGDVPTHQRSLSAGNDDKFASLLLDNDNLGSPSSTLAPVSDGSLGFNHRRSSSKLNGENSPGAEITQGVMTPDEIDLLVEQVKMLAGEVAFSMSTLKRLVDQSVNDPENSQTQIQDLEREIQEKQGQMRALEQLIIESGEASIANASLVEMQQKVMSLMTQCNEKSFELEIKSADNCILQEQLQKKCTENKELHEKVNLLEQRLKAVSSEKSASSGEYADELKRKIQSQEIKNEKLKLEHVQIVEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSLQNTKLEKELAAARDLAQTRNPMNGVNSRKYNDGARSGIKGRISSGRSSGDEFHSRSLDLDDLKMELKARKQREAVLESALSEKEFIEEEYRKKAEEAKRREEALENDLANMWVLVAKLKKDNGAPSEVNGTATDPAREIEKNQSNTVLKERQVSSAPRQPEVVVVAKTEETPKEEPLVARLKARMQEMKEKEMKSQANGDSNSHICKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >A01p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1706899:1708254:-1 gene:A01p003930.1_BraROA transcript:A01p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDATELGSEKKPNPGKATILAIGKAFPHQLVMQEYLVDGYFKTTNCDDPELKQKLTRLCKTTTVKTRYVVMSEEILTKYPELAIEGGSTVKQRLDICNDAVTEMAVEASKACIKKWGRSISDITHLVYVSSSEARLPGGDLYLAKGLGLSPETHRVLLYFVGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPDPISEKPLFELHTAIQNFLPDTEKTIDGRLTEEGINFTLSRELPQIIEDNVESFCKKLIGKAGLTPKDYNQMFWAVHPGGPAILNRMEKRLNLSPEKLSPSRRALMDYGNASSNSIVYVLEYMLEESRKARNMKEVENEWGLILAFGPGVTFEGIVARNLDV >A04p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1869185:1871431:-1 gene:A04p003750.1_BraROA transcript:A04p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYSIAKKKKKTEIFFLNSIQKAFVFLSLFIFELRLSCVFLESIEKASMGGSPALFVDHLENGHTNGCLLKSQDTSVQIGDRSYVIGGSHEENPLFLGVQIHDKITNKWSSPTVLGTGPKPCKAYSAIVLKQGRILVIKKDSASDDSIWFLEVDSPFVREQRKLLGKEVVAWSKGVRGNAEKPIVISGPSGVGKGTLITMLMKEFPSMFGFSVSHTTRSPRCMEKNGVHYHFTEKNVMEKEINDGKFLEFASVHGNLYGTSIESVEVVTDSGKRCILDIDVQGARSVKASSLDAMFIFVCPPSMKELEDRLRARGTETEEQIQKRLRNAEAEIKAGKSSGIFGHILYNDNLEECYKSLKDLLGINDDAPVNGLEAVEGINLPKEHTATKMEDKILIQETGEATKNNMIVLDLSSINGGAPGRTRGIGLDTVNSS >A08p003090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1759036:1760401:1 gene:A08p003090.1_BraROA transcript:A08p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKYGSIKKKGCIVLSIIIVIILIACGSCGICAPHLPPELIYQTPQPQQDIETGQEKGLMFKDIKEERCDKRSCQICLEEYEDDHEITRLKKCRLTEKRSCPICRCYVSHESLWKHLNESHPMELTVFLVLMLIVCYYCGRNDHMGSPLEPIHQTPQQDIETGRQLPLTKVILFKDIKVEEGGGGGCGKSSCPICLEEYDDDHEITKLNKCGHVFHRFCIDSWLSNDPRRSCPNCRGTV >A04g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3319582:3320088:1 gene:A04g501230.1_BraROA transcript:A04g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYLKVEKSRAMTEIDERMKKKRKIQEESGSNAEPAQVFPPRVVCQFRQKTAIKNEVSQSKPGLSTDVLASVSILFSAVLKRLRRGM >A10p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:344368:348697:-1 gene:A10p000640.1_BraROA transcript:A10p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNNNNSSSVWNEDDKAIVASLLGKKALDYLLSNSVPNANLLMTVGSDDNLQNKLSDLVETPNSSNFSWNYAIFWQVSRSKSGDLVLCWGDGSCREPKDGERSEMMRMLSMGREEETHQTLRKRVLQKLHALFGGLDDEEDSCALVLDRVTDTEMFLLASMYFSFPRGQGGPGKCFHSSQPVWLSDLVNSGSDYCVRSFLAKSAGIQTVVLVPTDIGVVELGSTSCLPHSDESLSSIRLSFSSPPPPVRLPVVVANHNDDNSSSKIFGKDLHSSSGFLHQQQHRQFREKLTVRKMDDNRVPKRLDGNNNRFMFSNPITTMTTSTWIPPEAPTREDFKFLPLQQTSSSSHRLLPPAQMQIDFSGASSRPSDNNSDGGGGGGGGADWSDLVGGVDESGGDNRPRKRGRRPANGRAEALNHVEAERQRREKLNQRFYALRSVVPNISKMDKASLLGDAVSYINELYAKLKVMEAEREKLGYSSNPLICLEPPAVNVQTAGEDVAVTVNCALDSHPASRIFHAFEEAKVEVINAKLEVPQDVVLHTFVIKSEEVTKEKLISALSREQSGSVQSRTSSASACNVQKLPPGPPRWPILGNLLQLGPLPHRDMAALCSKYGPLVYLRLGNVDAITTNDPETIREILFRQDDVFASRPKTLAAVHLAYGCGDVALAPMGPHWKRMRRICMEHLLTTKRLESFTAQRAQEAQYLIQDVCKRAECGKPINLREVLGAFSMNNVTRMLLGKQFFGPGSVVGAKEAQEFMHITHKLFRLLGVIYLGDYLPFWRWVDPYGCEKEMRDVEKRVDKFHTKIIEEHRRAKREKEDKNIEGDMDFVDVLLSLPGENGKEHMDDIEIKALIQDMIAAATDTSAVTNEWAMAEVIKQPRVMRKIQEELDNVVGSNRMVNETDLVHLNYLRCVVRETFRMHPAGPFLIPHESVRPTTINGYYIPAKTRVFINTHGLGRNTSVWTTDIEEFRPERHWPVDGSGRVEISHGPDYKILPFSAGKRKCPGAPLGVTMVLMALARLFHCFDWTTPEDIDTVEVYGMTMPKAKPLWALAKPRLAAHLYT >A04p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17324484:17326009:1 gene:A04p028920.1_BraROA transcript:A04p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWICCGRISGGDSDLSNDEQHLKTQWQQQQQPDAANQKLKPQPATKPDAPKEALPIEVPPLSVEEVKEKTDNFGSKSLIGEGSYGRVYYATLNDGKAVALKKLDVAPEAETNAEFLSQVSMVSRLKHDNLIQLVGYCVDEDLRVLAYEFATMGSLHDVLHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKVQPPVIHRDVRSSNVLLFEDYQAKIADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKSVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKPPAPAAPVPEA >A09g513870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41989390:41991243:1 gene:A09g513870.1_BraROA transcript:A09g513870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRERSVKRSSKKKIAKKGSSSASAYKELIVPKMECVPHSVHPAENEAWWVAHYGSMTPPKEKSFPVLNHRGVEKEDSSRSTDEFLAIMRSFYHIPDAVEFRVPYPGECANSPPRGLLHLLRGVRSALSLMVPNPRNPRPSVGPFRGRDKPVDSPRNSASYWDPYPELQAWPFPFQVHFEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRIRKALRFVHPGPASVADTGSDSEPDEQNPAVAPAAVPESSSWKGKDIDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPSPAKESARPKVVAEGSRIINGGLNLLGSAIEASYREAMVYRFKSEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIIEVMKTRASQFHVEYGFLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMNERAHAEALIPLIDERIQGFWDSVPVSPDTEKVPTGFHDGGEEVYRPADAFGASLSGDFDFGL >A07p050910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27092579:27094744:1 gene:A07p050910.1_BraROA transcript:A07p050910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G78680) UniProtKB/Swiss-Prot;Acc:O65355] MWRFVWSLLLLFVVCKDTIVMAKSAAILLPSQTGVDDSVCSAPDPSLNYKPVIGILTHPGDGATGRLNNDTNASYIAASYVKLAEAGGARVIPLIYNEPEELLFKKLELVNGVIFTGGWAKKGLYFDVVKKIFTRALERNDGGEHFPVYAICLGFELLTMIISQNTDIFEKVDAKNAASSLQFVENVSTQGTVFQRFPPELLKKLTTDCLVMQNHQFGISPENFEGNSALSRFFKIVTTCLDGNRKAYVSTVQSQTYPVTGFQWHPEKNAFEWGSSKIPHSEDAVHVTQLIANYLVGEARKSQNRPSPEKVLSNLIYNYKPTYCGYEGRGYDEVYIFTQQRSLL >A07p047870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25618347:25622187:1 gene:A07p047870.1_BraROA transcript:A07p047870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFPSPPMGSGQSPEALMEQVQAQLQQAYAEELIETLRGKCFDKCVTKPGSSLSSGESSCVSRCVDRYIEAMGIVSRSLFSQQQLPSYSAPTHEDLSLSLSISLPIPSIVSTTGYALFFSFLSLKILILHTSVLFKMFRRKTTSQAEQENNEAALREAKIKELKTLIGELSGRSSLYCSDPCLKRYLEARNWNVGKAKKMLEETLKWRSTFKPEEIRWDEVSGEGETGKVYKAGFHDRSGRTVLILRPGLQNTKSLENQMKHLVYLIENAIMNLPEDQEQMSWLIDFTDWSLSTSVPIKSARETINILQNHYPERLAVAFLYNPPRLFEAFWKIVKYFIDAKTFIKVKFVYPKNPESVELMSSFFDEENLPTEFGGKALLQYNHEEFSKQMNQDDVKTADFWGLVHSNNNHQQQSSSGFSGAEIAPEPIQTNT >A10p012870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6796513:6800419:1 gene:A10p012870.1_BraROA transcript:A10p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSAVLLWEQSSGCSAWLLHVKTLQNGICWTQFWSRPKWAPIKDMDRDMPRPTTRIELEERVTEVAMIAQQEAEIAELARRSRSRLAIKEGEETRRSTYSFLIELVLHFGAVCGEDKRKTSSLRNRSKFPKRDYGPQPNNMTHSFGNQRHRPCWIQLASRSNPGATGTSPTQRILLIGTLSEDRPTPINQTTIPEQTRAGFGTIPETDHPPTSNSISIQTDFTNSSSPNPRGTDRTLRNDDNPNRPDSRLDQAEKELAEYRAANARERNRASLDPLRATSKVQSTRLFGTKNPKRPIRTIHGREFGTVPTAGHRPPNTPIQFHNGSTERQGEPRTRTSHLNHSVPKNRTPSTTRTFHQVGLDNLAEQTRRHEPRNPINGQHSYSINNSPQKSSTYDLSKYCAFHDRKGHSTKECRAALGNQNENKKTNEEAVEEEEPVTPKSNRKTKVPTNKRGGQIEQESPSSPPPAPKKRVEMISWGQNSKATNKIKSQTERKIRFKIAVAIRTLVKTDEDAPPPQVMKYHQNARPPSVRFSNFKRKNKMNKIRGLLSIRFRKNTECRPSIAICLEGRGNRINSQLTNNQDPDQVLGRGKLHQQARQN >A06p046970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25010571:25012646:1 gene:A06p046970.1_BraROA transcript:A06p046970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLKKMKSNNTNSTRSNLMKDSELFHVIHKVPCGDTPYVKAKHAQLIEKNPEMAIVWFWKAINTGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRPRCSKNSQDSLDNVLIDLYKKCGRMEEQVELLKRKLRQIYQGETFNGKPTKTARSHGKKFQVTVQQEIARLLGNLGWAYMQQAKYLSAEAVYRKAQMVEPDANKSCNLAMCLIKQGRFEEGRSVLDDVLESRVLGADDCKTRQRADELLSELEASLPLRRDAEMEDVLGNILDDDFVLGLEQITTTSFRSKRLPIFEQISSFRNQLVC >SC179g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:119872:124136:1 gene:SC179g500050.1_BraROA transcript:SC179g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYESKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLCVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPKMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERRTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESEFHQCPIFAPTSNVLKWLTGELSGCLGPYGSVRSVQPVPKFAHSPKYFSKSLLDLALSLHHTSIFSLTPPKPSHDQSNHLREARTRPDHLQNTSEADQHFTHGLPSS >A07p016470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10148372:10149251:-1 gene:A07p016470.1_BraROA transcript:A07p016470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDMDTVSKYLERSMQNCSLSNQIMSFEDGFGVTDESEGDHIPIIDRTLELNSHIPVPYHLEQCLDLKTGEVYYVNRNSGMRVKEDPRKLVSSSYADQFSGDSDVTVFSEEVSSYCESEESSSESSREIYKEEVLVVAGCKACFMYFMVPKLFTDCPKCEAQLLHF >A06p056990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29641096:29641720:1 gene:A06p056990.1_BraROA transcript:A06p056990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPIKAIDNLHPCIANHQKTGRGCIGSISFLWNLKHVLALVCYNIESGLARCSQEKCG >A04p032840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19171339:19174616:-1 gene:A04p032840.1_BraROA transcript:A04p032840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G35740) UniProtKB/Swiss-Prot;Acc:Q9ZQP6] MVERGIAKTEEIRFTEVWRTTWETPYIMRLALSAGIGGLLFGYDTGVIAGALLYIREEFQVVDDKRWLQEMIVSMTVAGAIVGAAVGGWYNDRFGRKTSILIADVLFMVGAVVMALAPAPWVIIVGRVLVGFGVGMASMTSPLYISEMSPARIRGALVSTNGLLITGGQFLSYLINLAFIHTPGTWRWMLGVSAVPAIIQFCLMLTLPESPRWLYRNDMKAESRDVLERIYPAEEVEAEIAALKESVMAEKADEDIIGHTFYAKLKGALSNPVVRHGLAAGITVQVAQQFVGINTVMYYSPTILQFAGYASNKTAMALSLITSGLNALGSIVSMMLVDRYGRRKLMIISMFGIISCLVILAAVFSETSKQAPKIDTRDSISFAPNGTCHAFAPYIASKASPSNWNCMNCLRSHCGFCSNKAQEYAPGACIVLSTDMKSLCHSKGRTYFKDGCPSKYGYLAIIFLGLYIIAYAPGMGTVPWIVNSEIYPLRYRGLAGGIAAVSNWSSNLIVSETFLTLTHEVGSSGTFLLFAVSSATGLVFIWMLVPETKGLQFEEVEKLLEDGIRPSLFRRMSRVKENYNVPNIRKLTNTSLVVAKHIEENYRKPKKDDVE >A06p054980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28790957:28795684:-1 gene:A06p054980.1_BraROA transcript:A06p054980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFSRGAKDSPSSSASPSPRSYPSSSAATGPPRPIRLVYCDEKGKFRMDPEAVATLLLVKEPIGVVSVCGRARQGKSFILNQGTYSTQIFSLAVLLSSMFVYNQMGGIDEASLDRLSLVTQMTKHIRVKASEGTSSRSELGQFSPIFVWLLRDFYLDLEEDNRKITPRDYLEIALRPVQGGGGDIAAKNEHNWESMLTRAMVLQIRDSIRALFPDRECFTLVRPLTNEKDLQRLDQIPLKDLRGEFAAGLDAFTKFVFEKTRPKQLGGTVMTGPVLAAITQSYLDALNNGAVPTITSAWQNVEETECRRAYDSGIEAYLAAFDQSKAPEEGALREEHEEAVRKALAMFNANAVGAGIARKRYEDLLHKDLKKKFADYKRNAFMEADLRCTSAIQSMEKQLRAACHASNANMDNVVKVLEARLSDYEASCHGPGKWQKLSVFLQQSLEGPIYDLTKRLIDNIAIEKNSLAVKFRSVEDAMKHLKQQLDDSERYKLEYQKRYDESNIDKKKLEDIYRERITKLQGENSSLNERCSTLVKTLESKQEEIKEWKRKYDQFVLKQKAVEDQLKSDMEVLRTRSTTSEARLSAAREQAKSAQEETEEWKRKYDYAVGEARSALQKAASVQERSGKETQLREDALREEFTLTLTEKDEEIKEKTTKIEKAEQSLTVLRSELKAAESKIRSFDVETASLKLELREMIDRLDSANTKALTYEKEANKLEQEKIRLEQKYRSDFERFDEVQERCKTAEIEAKRATELADKARADAVTSQKEKSESQSLAMERLAQIERAQRQIENLERQKTDLEDELHRLRVSEMEAVSKVTVLEARVGEREKEIESLLKLTNEQRAHNVKSLEKLLDEERTAHIAANRRAEALSLELQAAQATVDNLQQELAQARLKETALDNKIRAASSSRGKRSRMEDDVDMDIGETSDRILRTNKRSRSTRGDDNGGYEDGVSVSRGDQDTQNQQDEEEEEAEDYRKLTVQNLKHELTKYDCGHLILNKGHQSKKEILALYEAHVLPKKALEKEERKRQ >A09p031390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18963477:18964773:1 gene:A09p031390.1_BraROA transcript:A09p031390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDRA [Source:Projected from Arabidopsis thaliana (AT4G05530) UniProtKB/Swiss-Prot;Acc:Q9S9W2] MEGKKIGRRLEGKVAIVTASTQGIGFGIIERFGLEGASVVVSSRKQANVEEAVEKLKSKGIDAYGIVCHVSNAQHRRNLVEKTVQKYGKIDILVCNAAANPSTDPILSTKEAVLDKLWEINVKSSILLLQDMAPHLEKGSSVIFITSIAGFQPQGSMAMYGVTKTALLGLTKALAAEMGPDTRVNAVAPGFVPTHFASFITENSQVRESIEEKTLLNRLGTTGDMAAAAAFLASDDSSYITGETLVVAGGMPSRL >A01g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21376614:21377144:1 gene:A01g507430.1_BraROA transcript:A01g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLDTMIMKESFKVMLGEIVPELFMSYIQYLLSTLGVVYAAAQLSRPVVPRLQRSINRLRACVTEHIFGGVFGLLVGSECAPR >A02p004580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1990318:1991244:-1 gene:A02p004580.1_BraROA transcript:A02p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRANQKPKPNAPPRPLFSCGFFRRCTQSVLSPTSPHQQPRRKPATTSSSSSSSTSTSQSFTQWRFPHHVDQTPSTAPPPPAPARPPPLPVATTLQETYQIAELHLASVSESDKLLALQLLERVVVPDPPSDPTCPPGLMRGLVSCLRGNRIVTAKYVTKILLALCLAEGNRRVAVEAGAARAVVETAAGLENSAVERALAALELMCTTAEGAAEVRAHAMTVPAMVAVMARLAGRGREYAISILAVVYGRGGAFSGEEITVAPAEEVARAVALALEGECTARGRRKGAHLLKTLEEYGRLDLSQNGT >A07p035900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19341909:19344398:-1 gene:A07p035900.1_BraROA transcript:A07p035900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAKQISNLSSTARSFFLGGTRSGAADGNSCTSADDESSVLRRQQNRNEAVLTGKRASTLAAGLAGNVLPVEAKAEHFHRPSLLPQHVSSPVLPVKPDSVNHVSVVVEEDAVAPIGIGTVNFLSDIANYKIPLSDGAEAVGLSKSCMAADSSRPITSVKPSNVKVIRREDLSKVYPKEAERSGLRQPSSDVAGKPFEPQDLQTTTNVSGKRKSMPQRTNVDSGGCDFNAHSSDDRIMKFPAEGFSKPSREMMRVTTPRQQQHCNSGYVVENVSNILRRFKWGPAAEEALHNVGLRVDAYQANQVLKQMDNYTNALGFFYWLKRQPGFKHDGHTYTTMVGNLGRAKQFGEINKLLHEMVRNGCQPNTVTYNRLIHSYGRANYLREAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDIAMDMYQRMQAAGLSPDTFTYSVIINCLGKAGHLPAAHRLFCEMVGQGCTPNLVTFNIMIALHAKARNYQSALKLYRDMKGAGFQPDKVTYSIVMEVLGHCGYLEEAEAVFTEMQRKNWVPDEPVYGLLVDLWGKAGNVDKAWQWYQAMLHAGLRPNVPTCNSLLSTFLRVHRLSEAYNLLQSMLALGLQSSLQTYTLLLSCCTDARSKFDLGFCGQLMAVSGHPAHMFLLKMPPAGTDGQNVREHVSNFLDYMHSEDRESKRGLMDAVVDFLHKSGLKEEAGSVWEVAAFKNVYPDALREKSCSYWLINLHVMSEGTAVTALSRTLAWFRKQMLVSGECPSRIDIVTGWGRRSRVTGTSMVRQAVEELLNMFNFPFFTENGNSGCFVGCGEPLKKWLLESSYVERMHLL >A01p047980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27049418:27052861:-1 gene:A01p047980.1_BraROA transcript:A01p047980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSMPQDASHHRKLTQPQRLATRMFFKPLPNVRQPETVATIMISYNFKDIGFAPYETNGIGSEPRTQSPVNLKKTLFTLVASIVCRLAFGIDIHKSSRTVSENPDVVDVMVDLTKKQDKDEDSFKLTTYHFKGIVSIGDLAIGLFVYWVVRSRFNSEALKLGSGFDKHWKIYNHLCSEALKLQRQFLKSSGITFAFHLLTSSSFPGIFSSLCFFEVNTALSLRRSVSCCLFSRSDHQLSVPPVLLLQWVKYSSVSRASLLFLEERQCTEKLSICLES >A09p083840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60253700:60255668:-1 gene:A09p083840.1_BraROA transcript:A09p083840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEQKQAEIIDELVRRASTCNGEALLPIIIEATSHPSLFAFSEILALPNVAQLEGSTHSVYVDVLRLFAHGTWADYKCNASRIPHLSPDQILKLKQLTVLTLAESNKVLPYDTLMAELDVTNVRQLEDFLINDCMYAGIVRGKLDQLKRCFEVPFAAGRDLRPGQLGNMLHTLSNWTSYPPYVWSDLVSYLHCGQGDIDSRGHKEIFGEPSGVMDYEEDRIRPKRRRHPVTR >A10g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8409245:8414894:1 gene:A10g503200.1_BraROA transcript:A10g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEVARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHSIHNASSELATQKLINRHFPPKSSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A02p007220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3046639:3050232:-1 gene:A02p007220.1_BraROA transcript:A02p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSNNKISRDDLKPGDHIYAWRKAYIYSHHGIYIGDGKVIHFTRGDGPAMRTGTFVDKIIVSSMTNHGGYNPCPNCEKRSNTRGVISSCLDCFLSGRNLHLFKYNVSLTTFMSQLRGGTCTIAPSDPSHEVISRAKFLILRNGFGDYHLFKNNCEDFAIYCKTSLVVGKSYVLGRNGQANTVGLAACVARMLTPLVRNVIRLFSDVGMRKDAIKVPVESLVAWRNKDDTTVTRKRRLQHKNKHNADAAANAAAYHITTACFIGVNRTTQRSDAAAAASSCVNETNKRVVLLAPEGTRFGNKNNNKINFCSNNKFKEKDRERKANSLKAKSDLSFVRRRRKKMGLLSNKISRDELKAGDHIYSWRSYIYTHHGIYVGDGKVIHFTRGGGLEIGTGTILDKIIVSSVPHHGDNPCPDCGDQTNLNGVISSCLDCFLSGGNLYLFDYNVSKAIFLAKQRGGTCTIAASDPPEDVVARAKFLLLRNGFGEYHLLDNNCEDFAIYCKTGLLVLSVTKSGSSSQVNSVCAAGGVVTLTLRALGVGTSGSLATATSPALTVSASTSALSTTLGAVATGVGAVALTGYGNYCISRLAYDVGVRKDVRKVPVEELVALMAVIQGKSESDDNNNKIESKLN >A01g510920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30173184:30177742:-1 gene:A01g510920.1_BraROA transcript:A01g510920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQPPVTPPIDPNGKRSEATLLDLCEKVLSLEGSVCDEALKLFTETKRILSANMANIGSGTREEVERFWFAFILYSVKMLTVRKQVGGQSVSGDNGFNLCQILRALKLNIVDFFKELPQFVVKAGPVLCELYGADWENRLQAKELQANFVHLSLLSKYYKRGYREFFLTYDANAEKTSANSASYLPDSYRFGWLLFLALRNHAFSRFKDLVTCTNGLVSVLAILIIHVPCRFRNFNIQDSSRFVKKGDKDVDLVASLCKIYDASEDELRKIMNKANCLIETILKKKPSPASGCKSDTLDNINPDGLTYFEDLLDESSISTSLTTLEKDYDDTVCNKGELDERVFINEEDSLLGSGSLSAGAVNLTGAKRKIDSLSSPARTFISPLSPHKSPAAKSTVTATPVSTAMTTAKWLRTVICPLPPKPSPGLELFLKSCDRDITNDVTRRAHVILEAIFPNSSLGDQCAGGSLQPVNLMDDIWAQQRRLEAVKLYYRVLEAMCKAEAQILHVNNLNSLLTNERFHRCMLACSAELVLATHKSITMLFPAVLERTGITAFDLSKVIESFIRHEDSLPRELRRHLNSLEERLLESMVWERGSSMYNSLIVAKAPLGLEINRLGLLAEPMPSLDAIAALINFSEGSSNHASSVQKAEACPGQNGDIKSPKRLCTDYRSILVERSSFASPVKERLLALGNVKSKTLPPPLQSAFATLPFRIIVYSPTRPNPGGGGETCAETGINIFFTKINKLAAVRINGMVERLQLSQQIRESVYCLFQRVLAQRTSLLFNRHIDQIILCCFYGVAKISQMSLTFREIIYNYRKQPQCKPLVFRSVFVDSSQGRRQGRVGPDHVDIITFYNDVFIPAVKPLLVEIVPVKKGQAVEANNNPEGHCPGSPKVSVFPSVPDMSPKKVSAVHNVYVSPLRGSKMDALISHSSKSYYACVGESTHAYQSPSKDLSAINNRLNNSNNSSNRKRTLKFDVEAGLVSDSMVGNSLSLQNQNQNETDASNQNQNGSDASSSGGAPFKTEPQN >A02p009680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4083610:4084518:-1 gene:A02p009680.1_BraROA transcript:A02p009680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHIVPGFFFFALGLWHLFNHIKVFSLHPKSYVSCTWFPLSKPRYLEPLVIIIASSLSISVELFLGQKNHQPFDPNDGTIPSNHLHNFEHSCISITFITYAAFAIIFDKTRPVAHRDFINLIGALAFAQQLFLFHFHSSDHTGVEGHYHLLLQLVVFVSLVTTLLGIALPSSFLVSFVRSLSISFQGIWLMSMACMLWTPSLVPKDCFLHIEEGKHTIRCSSVKGLHRALSLVNIQFSLFLVGVTIFAMWFYIFLQRIYGEKIEYSELRTKESKDIEIMMTMVEVHDTESRNGVKSLA >A08g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20066457:20067917:-1 gene:A08g509660.1_BraROA transcript:A08g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLRNVSQQLKRFKAAFVRKDYNSCSDLLSQLKVLLTNIKLVSHCLRILKGHETSGIVSGESVVEKAVGLEKDDEVANGDSSMEKLIKSLYTTNSGRSNQAPAVDLESEQPTASASSTGDAGKIALGDDEANQPCSVADEKIPTPT >A07g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2787017:2788159:1 gene:A07g501320.1_BraROA transcript:A07g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQGLTIELISPYRALSTRGGRGKDGSVVIDNQSLDTQLNLATDFAGSLESISMVRSVGMYCILLFFCSLFSSSFLLAPYLLKTLI >A10g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21533275:21536013:-1 gene:A10g507070.1_BraROA transcript:A10g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFIFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKILWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPFSRLAVDDLPGSRLVNAETTSKKSRRLPGSSDDFARRLLGSSDDFQTTLQEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFDFQTTSRRLTGKSSQKSSRSEKPAHQIQI >A09g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6909467:6918445:-1 gene:A09g501920.1_BraROA transcript:A09g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGRDGAILLFNGSDPNPVSIWTVMKGGSISFLERDDKSLLPLAYVNGSYEYVVFDPSSDETHERSAEGLAGNEETALGLVDKVDVDGARVRVYVNADEPLQFERRAGFANGDVIRVTLKYEDLHRHCFTCKRISHEEGTCQELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKDTTTLRERRAPRETRCQVDASWTQEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A03p033320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14111809:14113649:-1 gene:A03p033320.1_BraROA transcript:A03p033320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIDGKWAPLIMMTVIHMINGMVNALIKKVLDGGINHMVIATYRLGISTFFLLPIAYFWERKTRPKLTISISCQLFISALFGASLVLYFYLLGLSYTSATLGSAFTAIMPALTFIMALIFRFEKLNMKTKVGYCIVLGTMISLGGALVLTMYQGIPLTNSQEQASTLNHLTKHAHWTKGCIFLFISVMFFSSWMLIQAKVNVNYPCPYSSTVILSAFGTLQCALLSLIKTRNVEEWILKDRLTIITIIIAGVVAQGMCTVGISWCIKQRGPVFTSAFTPVMLMSATLFDFLIFHRMIYLGSIIGSVVVVIGLYVFLWSKSKQIDDCEINKLPTNTVEEGKEEEDHTNVNKLGNLLVIPMTP >A07p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5829675:5831341:1 gene:A07p009470.1_BraROA transcript:A07p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIPK [Source:Projected from Arabidopsis thaliana (AT2G05940) UniProtKB/Swiss-Prot;Acc:Q9ZUF4] MAVKKKISWRSLVASCLGDPDIIMAPPQKPKRKDDVIKKQSSFQRLSVLDLSNPSSNTLSEDLSISLAGSDLHKFTLGELKVITQCFSSTNFLGEGGFGPVHKGFVDDKLRPGLKAQPVAVKLLDLEGLQGHREWLTEVMFLGQLKHKNLVKLIGYCCEEEHRTLVYEFMPRGSLENQLFRRYSASLPWSTRMKIAHGAATGLQFLHEAENPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTQGYAAPEYIMTGHLTARSDVYSFGVVLLELLTGRRSVDKKRSSREQNLVDWARPMLKDARKLDRIMDPRLEGQYSETGAKKAAALAYLCLSHRPKNRPCMSTVVSILNDLKDYNDIPMGTFTYTVPNTPEKSVSDNREDEGRVRESNKARKSHHHRSSPTANSPRTKSPTAKSPGGGNHRTTLRNGLNSPMRNEAGGERY >A01p006910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3489620:3491653:1 gene:A01p006910.1_BraROA transcript:A01p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT4G31540) UniProtKB/TrEMBL;Acc:Q7XYW9] MAEPSGVDRGIQSLVSARNSLKSSLEKSKSIGSALERTGPRFDEIEQRLPSLEAAVRPIRADREALVAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPKNDLSGYLSVLKRLEEALRFLGENCGLAIQWLEDIVEYLEDHSVADEKYLSSLKKSLRLLREFQQEKAKLDGGLKDAALDKLENEFRRLLQDNSVPLPMASPSSLGEQQPCIAPSQLPVTVIHKLQAILGRLRANNRLEKCVSVYVEVRSSNVRASLQALDLDYLDITVTEFNDVQSIEGYISQWGNHLEFAVKHLFEAEFKLCNEVFERFGSSLWLDCFSKIAAQAGMLAFLQFGKTVTDSKKDPIKLLKLLDIFTSLNKLRADFNRLFGGAACIEIQNFTRDLIKKLIDGGAEIFSELLPQVEIQKQIPPPSDGGVPRLVSFVTDYCNKLIGDKYKSTLTQVLLIHKSWRSERFQENQLMVEVLRIIKMIEQNMDAWMKAYPDQTLSHFFGMNNHYHLYKNLKGTRIGDQLGDSWLKEHDQYKEYYATVFLRDSWGKLPSHLSREGLIIFSGGHATARDLVKKRLKAFNDAFDEMYKKQASWVVPEKDLRDRVCQQIVQAVVPVYRSYMQNYGPLVEKDASSSKYVRYTVVALEKMLSSLYMPKPMRYGSFKGTPPSEKLKNEVDLRRTTSAVV >A03p024270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10256497:10258417:1 gene:A03p024270.1_BraROA transcript:A03p024270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSPYYSHYNLPSIIAPPLPPGLAPIPINPIHPVYLPTQSSTGDVRTLFVAGLPEDVKPREIYNLFREFPGYETSHLRISDGSKPFAFAVFSDLQSAVAVMNALNGMVFDLEKHSTLYIDLAKSNPKSKRSRTGKFWILSASCVDDGRESLKKTKPWSNTTESGFGSFHTPGMSSSAHNTIGFSPAQRSSALLESTFFLLLGNAALDYVSLLHRLFHIICLEVQGVANVAGRAMSSPKSNNAAEPCPTLFIANLGPNCTESELVQVFSRCRGFLKLKIQGTYGTHVAFVDFQDVSCSSEALHALQGTVLYSSLTGEGLRLQYPSLLLYLCLCLCFFSCETLRLPKVQLNKQYQICEITDGNAQED >A04p015200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9476352:9476915:-1 gene:A04p015200.1_BraROA transcript:A04p015200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTNRGSLISSHSLHHSEERVPLRSESDQSCLNSSPEFDFCLRQNSKQRFSHADELFSNWKNLSRTASAKASKKNEITSTESKLETLAVKSDTRDSDSDDPSFGCGFWLVRSKSVGYSMRNKKTSSSSEYHRSNSDPQKKKKKSLQKMNSTEIRASRSMNSSALNVPLADMFCLGPVFSGSRDRKI >A06p037940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20500448:20502291:-1 gene:A06p037940.1_BraROA transcript:A06p037940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYNQRNQQPSFIADHFHSLDQVITSLREAGLESSNLILGIDFTKSNEWTGRHSFNRKSLHAIGKRQNPYEQAISIIGRTLSPFDEDDLIPCFGFGDVTTRDQYIFSFYPENKSCDGFENAVKRYREIVPHLKLSGPTSFAPIINAAIDIVEQNNMQYHVLVIIADGQVTRNPDLPYGRLSPQEEATMNSIVAASHYPLSIVLVGVGDGPWDTMKQFDDNIPHREFDNFQFVNFTEIMSEHKDAAKKEAAFALAALMEIPFQYKATLSLDRKQVRASRLHHKPLPPPPEVIERDNAVRAVPNPVTETAEKSERTAPITQPVCPICLTKPKDMAFSCGHTTCKECGVVVKTCPMCRQPITTRIRLYT >A05g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13290483:13292737:1 gene:A05g504780.1_BraROA transcript:A05g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFGPGGLSVQYTHDVRGCPSAHTGVRRCLSAHTGRPWLSVCVRQQPQDVRGCPSVHISACWSLDSARWPIPWTVRVILAHVACLFSIDRTSVGVRQHTQDVRVCPSAHTGRPWLSVCVRQHTQDVRGWPSVHISALHKGRPLVSASTQDVRGCPCVSVCVCLCPSAHTGRPLLSISTHISMLVLELSTLALPMDCLGDFWPTWAVCSVHTGCPWVSASTQRTSVAVCGCPSAHTGRLWRSVCVRVSPSAHTGCPWVSVSTHRTSVCVCQYTQDVRGCPCVSVCVRQHTQDVCGCPFSTHISMLVLGLRTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGSPWLSVCVCVCPSVSVSTHITAVAVHQYTYQHHTQDVRGCPSAHTGRPCVSVSTHRHTRTATDVCGRPSVSVCVRQHTQDVCGCPSVLGLSTLALPVDCLGDFGPRGLSVQYTQDVYGCPPAHTGHLWLSVAVRRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPHGLSVQYTKDVRGCLPAHTGRPWLSVCVVYVRQHTQDVRGCPSVHISARWPFPWTVRVILAHMGCLFSTHRASVSVRQHTQDICGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSCGLFG >A10g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22120558:22122483:-1 gene:A10g507230.1_BraROA transcript:A10g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFIFFFENDIFTLSPSSSSSNYKIVIVINTTTTMNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAESIHAIHKTDHKQNYYRSFIYKDKLGFHLSGQDQTTFKKSRRLLRSPDDFQEVQTTLPEDF >A01g510430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28652779:28658416:-1 gene:A01g510430.1_BraROA transcript:A01g510430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A07p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27694833:27701650:-1 gene:A07p052490.1_BraROA transcript:A07p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSENDHVMSEIHLGCPPTTIGPFISRFTFSSYPLLLDPSSMIDKDGDLLLPRRRRTSPSSHGHGHGHGSSFTVTIQHSITSTLPDVGLQASSCLSALFSLCFFFSPMLSLLIASTTPSSLQVWKSQLVLSDFVLHNMSLLNGLVCLELGAGTGLLGILLARVAKAVFLTDHGDQILGNCLRNVELNSSLFHPQAIVNVRDLNWMSEWPLQVTHADPKPFCWSFQDFELVKSASFIFAADVIYSDDLTIALFSMLKRLMSLGCDKVLYLGLEKRYNFSLDDLNVVANGYACFRRYIKEDALCDHSDKKSFFVGNRIDLKQIPQYTQGYDRGEDVELWEIRYVRLKGWVGQSGSPKRRENHKRAAFQEIFERKKDGSLQASMSSFHSRPTLVRSFTWTKLSRLSKNKCNALLESPTGTGKTLGKTLCLLCATLAWRKSLGSFSTRAHIISQERFTRNICTLQLLDQQCVSKVFEGKFMRRLRLHLTFLLSACISEAQECVRLASARNDESATLRILLYLEVKRGALTVRLLLKLQELISQVPIPEKDEGFTKPGPYIYEMLKSLKITHETAPQLIGTIEEAAVLLDEEKQRTATSAGSKLGIIVDMLKLIFRENGSNHADDYRVHVQELEQNSTDVIKGKVSRTLSWWCFNPGVTMQDIAKKGVGSIILTSGTLSPMDSLAQELELEFPVRLENPHVISSNQLWAGVVSTGPSGCVLNYCYSNRDVPEYKQELGNVLLVSVDFSRVVPDGLLVFFPSYNLMDRCIAFWKDGSDSSSMTTWERICELKKLVIEPKDSYLFPAAMQDFSEKVQDKSKFPVRYFFAVCRGKVSEGLDSLTALAELLSLLGYLMPGLALRGHLVGRSCCTAFNFFAATCSGVNNPLVRQVKLKREFLDEQSGLANVKFRRSTRLSGSMWCQEAARAVNQKIGRVIRHRHDYGAIIFCDERFEQRSQQSKISVWIRPHVKCYSSRYGDVISDLARFFQTERSNIPPARIVTEQEHNIGNNSYVKDVGLVRNESDGNFVKWKGLTILKRKGKMPRIVEKRCEVVDLDCDNCEKEICETETFTSSDTIGLIKKRKVPESQGSASLSKEEWDDNNQASKCICIF >A10p024820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16023061:16023670:-1 gene:A10p024820.1_BraROA transcript:A10p024820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRVQFLENKTLLVTGASGFLSKVFVERVLSLQPNVKKLYLLVRASDNKSAEQRLHNEVFEKDLFKVLRKNIGDESLNALVSEKVVPVPGDVTLNNMGVSDSNLLQDMMQETDIVVHAAATTRFDERL >A10p030710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18530835:18532256:-1 gene:A10p030710.1_BraROA transcript:A10p030710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANTLQDLSSLPVTEKMSECKASLTNKPCVGKFNGKSEDKPLPSSLVVETEKPEGEKASVEVEYIESQNLNDVDDADAVLKSVLAGLDSKDWITVCDALNNVRRLSIFHKDAMLHLLEKVIPLVVNSLKNPRSAVCKTACMTSADIFSAYNDHITDLLDSLLTQLLLKSSQDKRFVCEAADKALISMTKYVSPTLLLPKLQPCLKNRNPRIRAKASLCFSRSVPRLGVEGITEYGIDKLVQAAASQLSDQLPESREAARTVLLELQTVYEKAQPLIKPETASSPEEEQQTLEAEPITWEIFCQSKLSALSAQAVLRVTNVVAVTARESLVTTGSSSSSQL >A01g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:81970:82839:1 gene:A01g500010.1_BraROA transcript:A01g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLCQKKFIPSLFCIMTIDKHVLSISTKFKSLEFSYEAGQVRSGHLIVSAEFVFFELPRKWKPWREYGFHCYHEDLKNLLILSKSIREVLKSYIFHNLSFLKPSWSRAINWKTLSFLKHRFIIVGPRLRGKSNSLGGIAYLEMMMMMIYVDGWVQEAKCLV >A10p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3487759:3489298:-1 gene:A10p015730.1_BraROA transcript:A10p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIWTIFCDSFFSLSTSGCPAVSRGKASDAPFTPRVLGDFSSKEQFWRLAPMAEVHTKFDRRMGSERTLRKYNFIENIMAGSSSQVSPLDMEGQVEEDKDDDDDHIILEIEEHRGAGSIFGQYVSFIGINNLFLSLVEELDVSQIVYPGNSSALKWSRP >A03p019390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7903794:7905721:-1 gene:A03p019390.1_BraROA transcript:A03p019390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGVEGNTFVVTGGLGYVGAALCLELVRRGARQVRSFDLRNSSPWSDDLRNSGVRCIQGDVTQKQDVDKALDGADCVLHLASYGMSGKEMLQFGRCDEVNINGTCNVLEAVFKHEITRLVYVSTYNVVFGGKEIINGNESLPYYPLDDHVDAYGRSKSIAEQLVLKSNGRPFKNGGKKLYTCAVRPAAIYGPGEDRHLPRIVNLAKMGLLLFKTGEPSVKTDWIYVENLVLAIILASMGLLDDIPGREGEPVAAGQPYFFLRPFLRSLDYDLPKFTISVPVAVTLGKIFQGVYTVLYPWLSKSWLPQPLILPAEVYKVGVTHYFSYLKAKEELGYVPFKSSKEGMAATISYWQERKQRSLDGPTIFTWLAVILGMSALFAAGWLPEVGPVPFIRALSLFFFRTMTMVRAVFIISVGLHVGEGIYAWSLAKRVDPDNAMGWFWQTSALGFFSMRFLLKRAKDHHQA >A09p059190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49590196:49597264:-1 gene:A09p059190.1_BraROA transcript:A09p059190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNKSPLKSSICVYNNPLMQSSVHREKPSSIAMILETQRIVDKRPRKRPRLAWDAAPPPPPPTVFHPPLYYGQEFASGVAPSFVYPNMFFNGFPRQGSPPWRPDDKDGHFVFVVGDTLTPRYQILSKMGEGTFGQVLECFDNKNKEAVAIKVIRSVNKYREAAMIEIDVLQRLTRHDVGGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYIKIPDYKFLSRPTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHNYIVSTRHYRAPEVILGVGWNYPCDLWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPPHMVLRADRRSERYFRRGAKLHWPEGATSRDSLKAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRYDPAARLKAREALNHPFFTRSREQSIPFNPNPGPFLYNHKNYHVIHNFMYRNEKKRGKGLCTLISCVCYFCFLGFGLPPPRLQLHHQPAHLPFTHTSSSFPKQSSLSIRPNPSFKYPSLQASSSSKPQNFILIPLQKSAPFRFLKSTCITLTTAAALLSLKPPAIAAPVRPPTTMEEKEKALEEQLAAHPHDVDTLRSLMEAKFRSGEFTDAIKLTDRLIKLEPDEPKWPVQKAKCFLYSGDKESAKSVYQEILAKDPLRPEAHHGLCMAYSDEGLDWKKSREYNRGSNAELQKGE >A07p045080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24487208:24489020:1 gene:A07p045080.1_BraROA transcript:A07p045080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALGLLRRMPPKQSETALSALLSLLPQHSSDLLSQVDLPLQVLRDAESRKDFILCEYNRDADSYRSPWSNKHHPPLEDALYPSSELRKLEVEANDIFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKSGHGRRGCLEEGAWDAIHVIQVGPEEEEMAQYCLTSTIMLSLTTDDEPSGKFGLSGSIRRQMKMELAVAEGHLCNMGRMIEELEGKLRNSLDQVYFGKTREMVCTLRPPAEIVQMRLPDS >A03p047550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22372530:22374313:-1 gene:A03p047550.1_BraROA transcript:A03p047550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT2G04880) UniProtKB/Swiss-Prot;Acc:Q9SI37] MAEVGKVLVSDNSSETKKAPDVVVVASPDKMEATPVATETEQCSAEIPESTDSKKLLQPVPASVSEEAAVASEKAPKIPESGTVLTLQSGSEGSSSPFIREKVMEDGYNWRKYGQKLVKGNEFVRSYYRCTHPNCKAKKQLERSPGGQIVDTVYFGEHDHPKPLGGGGAAVPIKKSSGSSSVQTHQPPKVHGGGLHLSVVPMADEVKTDVSPSSRIKSDITHKDNVSPASKRRKKGGSIEQIPMERPNNESRNVVHTQTLFDIVNDGYRWRKYGQKSVKGSPYPSGKDPEVNKTPQSSALITKEEHHLKKKAKSNGLEKSLDQGPVLDAKPMEEIKERSEVTKDQAAKTKSDANTSRTMESEEEQKPKEESGQS >A06p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17039661:17041041:1 gene:A06p032020.1_BraROA transcript:A06p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNGKMKFKYEDDDDGYGDPSCVESKIEPNYPIMDDSEEDYVDEGFDSAEPNQGKVDVNLKNVLSGLIAIVTGSNKQPPPSSSSSNQNVSFLGSSVYIPSAPPLLEPNYSVYKDLLEAEPPHWLPDSATTTCMHCSSPFTAITCGRHHCRFCGGIFCRNCSRGRCLMPTRFRERNPQRVCDSCYERLDPLQGVLINSISNAVQVAKHDVVDWTCARGWLNLPIGLSMEDEIYKASNTLRGYSQVATSDPEKSIPLALLTRAHGLAILTVAKAGAFLSYNLGTGLVISRRSDGSWSAPSALLSLGLGWGALVGGELMDFIIVLHDLKAVKTFCSRMHFSLGAGCSAAAGPLGRVLEADLRAGDRGSGLCYTYSRCKGAFVGVSLEGNVVTTRRDTNVNFYGDPYLSTSDILLGMVDQPKAAQPLYTALNDLYAGLRQ >A01p056040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31571852:31573248:-1 gene:A01p056040.1_BraROA transcript:A01p056040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCLSNQASLSFLPTRSRRQRGDRSGFVLPAMRKVQYRPMVMVATAGQNSYWPGSSLNAPLKPRTSQGRFLIRLLLNKRHLFHYAAADELRLLADNREAALARMSLSSGSDEASLHRRIAQLMERYCKTAVQDIMYMLILYKYSEIGVSLAPKLSRCIHNGRLETWPKKDRELESIHSCDALELIKEHVNAVIGLRANSCLKEKTQIQKLHLTKVYVASILCGYFLKSASLRHQLECSLPDLHGSGYVNGPILVSTKEHLRHYITGFDPETMQRCAKPRTVEARSLIKKQCLALFGMEDSDETILTSYLSLKRLVLEAVAFGTFLWDTELYVDGAYKLNENAEEQENRSI >A01p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2079199:2081030:1 gene:A01p004820.1_BraROA transcript:A01p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLLYEQGHIRHIVSTSRFFPSSSSSTLTSRDEDTERERERSKMMRFIITCLVIALSVYVAYHGLEYDHGFIRLTTRSPGNRTGVKAPEKSPFDDNDDLMTWYMEDDSDHQSYSLHYDFYQDSCPTAERIVTIGIREVYAAKPSVAPSLIRLLFHDCFIEGCDASVLLDADESLTSEKEASPNQSLKGFDVIEWIKSELEKVCPGVVSCADVLVLAAREAVLMAGGPFYPLETGRRDSVVAFKEIAERELPSPQASISVILARFGTRGFNERETVSLFGAHSIGITHCTFFEDRLYNFSGTGKPDPELDTGFQQELKTNCPYSALAPSPSTGVGPSIPASDYGGVSSAGGSDGVVDLSYNNEGGEMNFGTRYYRRLMQKKGLMYADQQLTGREETEMWVRAYASDTQLFRRDFAMSMMKLSNYHVLTGPLGQVRTTCSKEICVTQLNSLVPK >A05g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20404450:20409658:-1 gene:A05g507220.1_BraROA transcript:A05g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIRLRRICQRYKSRIIWYDQCLLEITSYDFFGKINYDNNFCMSNVKKLGDKFTFTSVCNTLMDNKTTLATSNVHYTEPTALFYVGDTRFKGARFVGRRLGAVLLAIQLLLISSELPLNTTNEYLNHKCLVSEGKYKPGSEYERHLNNIIKMFYSGSYRGFYLSGMNNDNAILQCRADSYGTKCHDCFATALAKLRRKCPWYKGMIIWYNQCLLAITTTDAIGKIDYDNNFCMSNAKKLGGDKSDFTRTWKTLMDNLTTLATTTRGKDEYTMFSAGETLYKGDKMYGMVQCTYDLSLRACKECLVFNSVRFQDCLSDTRGARFVGGTCTFRFEFYPFLSKPVLNI >A04p030110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17889340:17891250:1 gene:A04p030110.1_BraROA transcript:A04p030110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTGNAHLFLRPLILAILSLLLLASPFVSSVDIDSSDLKALQVIETELGVNGQRSSPSSSDANPCGRGGVSCERRPSDATGGYVLRVTRLVHRSRSLTGTISPVIGTLWELKELTLSNNKLVGGVPLDVLNCKKLEVLDVRNNRFSGQIPANFSGFIRLRILDLSSNKFSGNLNFLRNLPNLESLSVANNIFSGKIPELVMSFHNLRFFDFSGNRLLESPVPVMSKIKISPYQTRHILAETPSTNSTKKPNNTTTTTKATASPPKDKKKKKKKKKNKRKIVVAWILGFVVVGIGGIISGVIFRLILKARRGPEKPLSPTIFSLLIKRAEDLAFLENEESLASLKLIGRGGCREVFKAKLPGSNGKIIAVKRVTQPCRSATELVDEEPRFLNRYMLQIRSEIITVGHIRHRNLLPLLAHVPRLEYHFLVYEYMKNGSLQDILTDVSAGNKELTWPARHKLALGIAAGLEYLHMESKPRIIHRDLKPANVLLDDDMEARITDFGLAKAMPDAVTHITTSKLSGTVGYIAPEYHQTLKFTDKCDIYSFGVILGVLVIGKLPSDEFFQHTDEMSLIKWMRKVLTSENPSLAIDPKLMEQGFDEQMLLVLKIACYCTLDDPKQRPNSRDVKTMLSQIKH >A08p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8011050:8011609:-1 gene:A08p009840.1_BraROA transcript:A08p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIESMTSHHLHQVFFETEAMDLVGVVLRPKAWPAFRYQRIELKKALEKINEWSLSGAAVRLNRCAAKVAESSTGFRRVQRPALAYSVTMEMRYQSYVARGVPGWLCDMLEDDKQGR >A08p036230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21302739:21303198:1 gene:A08p036230.1_BraROA transcript:A08p036230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALHGVSSGKFDIKSPADKFFTSFTDDIDSTFDIISKEKITESVGWEKRTVTLNMCGNLVSDSYNTFKATITVTPKEDETDGSRVVWTVEYEKVRHDIGDPMWIIDILINYLKETDEYLCM >A02p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7173251:7175026:-1 gene:A02p016090.1_BraROA transcript:A02p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENANWISDLIDADYGSFTIQGPGFSWPVHQPLAVSSNSSAGVDGSAGNSEASKEPGSKKRARCESSSATSSKACREKQRRDRLNDKFMELSVILEPGNPPKTDKAAILVDAVRMVTQLRGEAQKLKDTNSGLQDKIKELKTEKNELRDEKQRLKTEKEKLEQQLKAMNAPQPSFFPAPPMMPTAFASAAQGQAPGNKMVPFISYPGVAMWQFMPPASVDTSQDHVLRPPVA >A06g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23313402:23315868:1 gene:A06g508240.1_BraROA transcript:A06g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMAFQSVVAPKPLSPLKPSLPIPRPIATLPCKLRRSCSIRASSSSSLIDSVGDSVSGLERCLQLQFSGGSGTSSSGSASPSAQMCPEMKGGKFGSVGAVTLEKGKLDMTQKKVESTPELFDRKFVDAVLNEWQKTMLDLPAGLRQAYEMGLVSSAQMVKFLAINARPTTTRFISRALPQGLSRAFVGRMLADPSFLYRLLLEQAATVGCSVWWEVKTRKDRIKEEWDLALINVLTVSACNAAAVWLLAPCRSYGNTFRFDLQNTLQKLPNNVFEMSYPLREFDLQKRIHSLFYKAAELSILGVATGTLQGSLSNFLAAKKKNRVSVTVPSITTNALGYGAFLGLYANLRYQLLCGFERAMCNHFDVIGVALFFGTAMRIMNVQLGERSRQVWLGVEADPLAQSDDLLAKAYNRPSEEAAAAGKPASRWFISKNAIVSGLLGMKQQDSASDSPPPKARRKRIVRKKVAAPSAS >A09p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3583860:3584623:1 gene:A09p006840.1_BraROA transcript:A09p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMAGGPTFSIEDSEYGSDLTATMRDKASSSSSSFDTVNDDGGGLSRVGSGIWSGQTADYSSESSSIGTPGDSEEEEEESEDDDDSSKEIGLRGLASMRSLEDSLPNKRGLSNHYKGKSKSFGNLGEIGSVKEVPKQENPLNKRRRLQICNKLARRSFYSWQNPKSMPLLPVNEDDDDDDDEEEGDDEERGGARGGVIARNSSFKNRAFKSRSCFALSDLQEEEDE >A05g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23547726:23548642:1 gene:A05g508030.1_BraROA transcript:A05g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAGGAVLGLLAVEIAPEGILGSDPCNERSNARVGSREDNNLSAFGVSGPSSAASRMRGNQEEPRRMPVQYTPSSYQVQATSPQQMTYPRMGGSGTHDMMQNLPRIATGEVHSSFQSPHGLTSPPMYTSTAAYMTSLSPFYNHNFQSSGMYLPQYGYSGYPPASGVVPQYMSGYPSHEATTTPSLVDPFHLLHRTLLSCYSTKMKVNDFSNPLF >A06p008100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2810171:2810796:1 gene:A06p008100.1_BraROA transcript:A06p008100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQTSNKIMVEANWLLAIIPFHPTNRICRKSGVKGEIVNKHAFSRHTSTQMGSSCYPAVELKKWHTCVILRSHCPKNDFQPVQDLELLATHPGYYSAKMLNDTFFYYGGPDHS >A09p069860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54342249:54344574:1 gene:A09p069860.1_BraROA transcript:A09p069860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLGLTQPKPTDHPKISFSAKDIDVTEWKGDLLVVGVTEKDSTRDSSSKFENPILNKLDAHSSGLLALVSSEEDFNGKPGQSTVLRLPGLGSKRIGLIGLGKSAAFQSLGEAVATVAKASQSSSVGVALASHETESKLSSASALASGVVLGLFEDGRFKSESKKPSLSSVDIIGFGTGPELEKKLKYAEDVSYGVIFGRELTNSPANVLTPAVLADEAVKVASSYSDVFTANILNEEQCRELKMGSYLAVAAASANPPFFIHLVYRPSSGDVKTKLALVGKGLTFDSGGYNIKAGPGSSIELMKFDMGGSAAVLGAAKAIGEIKPPGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVIALGTSMAGIYTPNDELATEVIAASEKSGEKLWRMPLEESYWEMMKSGCADMVNTGGRAGGSITAALFLKQFVSEKVQWMHIDMAGPVWNEKKKSGTGFGVATLVEWVQTNSSA >A01g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25130463:25130703:-1 gene:A01g509250.1_BraROA transcript:A01g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETKKRQLVVSDIVLIGEIGGTAEEDAAALIKESGTEKPVIVVLLDSLHHR >A03p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1346206:1362825:1 gene:A03p003020.1_BraROA transcript:A03p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPTTMLTFIELAYKSSEFSLESKHTMDKITTTLLFSLFALLLTASLSKDLCHKDDENALLKIKKSLNNPYTIISWDPKDDCCTWVSVECGDATVDHRVISLDISNDDVSAQIPPEVGDLSYLQTLIFRKLPNLTGEIKPTIAKLKYLRFLWLSWTNLTGPVPEFLSQLKDLEYINLSFNDLSGSIPGSLSLLPKLGILELSRNKLTGSIPESFGAFKGVVPPELFLSHNQLSGSIPKSLGNLDFHRIDFSHNKLEGDASMMFGAKKTSWSVDLSRNKLQFDISKVKVATTVNNLDLNHNKITGSIPVQWTELTLQSFNVSYNRLCGRIPQGGDLQIFDAYAYVHNKCLCGAPLQSCNTTILLLLLFALLLTTSLSKDLCHKDDKNTLLKIKKAMNDPYTIISWDPKDDCCTWYSVECGNANRVTSLDLSDDDVSAQIPPEVGDLPYLQYLTFRKLPNLTGEIPPTIAKLKYLKSLWLSWNSLTGPVPEFLSQLKNLEYINLSFNKLSGSIPGSLSLLPKLDFLELSRNKLTGPIPESFGSFKRAVYGIYLSHNQLSGSIPESFGSFKGVMYALFLSHNQLSGSIPKSLENLDINQIDLSRNKLEGDASMLFGAQKTTHNIDLSRNMFQFNISMVKVSKTVNFLHLNHNGLTGTIPIQWTQLDLQIFNVSYNRLCGRIPQGGELQRFDAYAYLHNKCLCGAPLQSLASFPRPPSDPPDLCFKFALRSNPPDPPVPPDPPPDILSVMGFLQLYNLWATVGFPHKFSDPKLCLTISDGGLASDKDLFFPDGTVFVLTPLYQVSSDSLPYASECGWIISVWVELVLLDLRFSVLVPTVSVAFGYAIVAFVGTFVVCVWSITAVCRCCPFTAVCRFTSTFALMAFEMTWHSLLMWQFGVKVLKLCILSANLVCLGSLCPPYSFKECFILPHRSLVISEIVIGSIVLKMVLFVAEAKMSIVSRFDGVNCLTSLTMEAFIPPLYCFDQECQFEEVFWSDLPFSEKTVVERVISPLSTQTQTQHTMEGKTTTLLLLLFTLLLTTSLSKDLCHKDDKNTLLKIKKAMNDPYTIISWDPKDDCCTWYAVECGNASINHRVTSLDISNDDVSAQIPPEVGDLPYLEYLIFHKLPNLTGEIPPTITKLKYLRYLWLSWNNLSGPVPELLSQLKNLEYINLSFNKLSGSIPGSLSLLPKLEFLELSRNKLTGSIPESFGSFKGVVYALYLSHNQLSGSIPKSLGNLDINQIDLSRNKLEGDASMLFGAKKTTQHIDLSRNMFQFNISKVKVAKTVNFLDLNHNSLTGSIPVQWTQLDLQTFNVSYNRLCGRIPQGGDLQRFDAYAYLHNKCLCDAPLQSCKNNFFDELSLLLLLLLQVSEMGCCSLDCFVYFVLSIALAFMAVSTTLPPPPDSNITKPNVRPLSHRDPLSLNASQALRQSNFKAIATLLRISPEIFLSSSSPNTTLFAIDDSSLFNTSSLPPLFLKQLLQYHTLPLRLPMKDLLQKPQGTCLPTLLRHKSVQISTVDKESRTAEVNHVMISHPDMFLGDSLVIHGVLGPFSPLQPHMDHIPHSSLCQSDRNKTILEEEEEAVPVKIDWTRIIQLLSSNGFVPFAIGLHSVLNRIISDQHKNLTGVTILATPSLVSLSSASPLLYEVMRRHIIAQRLTNKELASMPDKALLKTLDPYQDLIITRTSVVNSSQSQRLMISGVEIIAPDMFSSSNFVIHGISHTL >A01p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6989179:6990410:-1 gene:A01p014340.1_BraROA transcript:A01p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G22150) UniProtKB/Swiss-Prot;Acc:Q9SUG6] MSSKDKKPSKPSGGRTSGIRTLSDLNRRSGPDSDSDSDGPQEYYTGGEKSGMLVQDPTKEPKHDDVDEIFNQARQLGAVEGPLERPSSSRSFTGTGRLLSGESVPTALQQPEPVIHNIVFWSNGFTVDDGPLRKLDDPENAPFLDSIRKSECPKELEPADKRAPVHVNLMRRDEKCPEREKPKVAFQGVGRTLGGASSSTPPNLSNPTDLAAVPSPSQSLVVDETLPSTSIQLRLADGTRLVAKFNNHHTVNDIRAFIDFSRPGNPINYSLQVMGFPPKLLTDPFQTIDQAGLANSVVIQKF >A07g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3487498:3489735:1 gene:A07g501690.1_BraROA transcript:A07g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLIIYLFCKFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLEVVWTSWKSSGLLGSLLTKSSGLPGSRLDFQEVDWTSRKSYGLSGSRLDFQEVRRLPGSHLDFQEVRRLPGSHLDFMEVDLLFLDMFFRSGFNMQVFHIWKTSGTSYLLLTKSSGLPRSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWSPSLRLIIQSSTRRLKCKSSRIYEV >A09p046820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40701327:40713118:-1 gene:A09p046820.1_BraROA transcript:A09p046820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEALAWYCKPVAQGFWEKTVDGAFGAYTPCVVNTLVMLVSQIVLLGLCLYRIWIIFRNAKAQLYVLRNKYYNCVLGILACYCGAEPVLRLVMRISLFSMDEETYLPPFEVASLTVEAFAWLSMLSLVGLETKRYVKEFRWYVRFGVVYVLVADAVLLDLVLPLNNSLNRTALYLCISSRCAQVLFGVMLLVYIPELDPYQGYHTLNNESLDNVEYDVLPEGENICPERRAGFFTGIFFDWITPLMQLGYRKTLNEKDVWQLDKWDQTETLIKRFQRCWIEESRRSKPWLLRALNKSLGGRFWFGGIFKIGHDLSQFVGPLILSQLLRAMQEDDPAWVGYVYAFLIFVGVTLGVLCQSQYFQHVGRAAFRLRSTMVAAVFHKSLRLTHEARKNFTSGKVTNMITADASALQLIVEQLHGLWSAPFRIIMSIILLYQQLGVASLFGALILFLLIPLQTLVINKMRKLTKEGLQWTDKRVGITNEILASMDTVKCYAWEKSFESRIEGIRNEELSWFRKAQLLSAFNSFILNSTPVVVTVVSFGVFVLLGGDLTPARAFSSLSLFSVLRSPLNMLPNLLSQAVNANVSLQRIEDLLLSEERVLAQNPPIQPGAPAISIKNGYFSWDSKTTKPTLSNINLEIPVGSLVAIVGGTGEGKTSLVSAMLGELSHGETSNVVIRGSIAYVPQVSWIFNATVRENIIFGSDFERERYWRAIDVTALQHDLDLLPGRDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVAQQVFDSCMKEELKGKTRILITNQLHLLPMMDRIILVSEGMIKEEGTFEELSGNGSLFQKLMENAGKTDATQEMNKFDDEKIPTVNVEIKLGSTKQGEDGRSVLVKKEERESGIISWNVLTRYKNAVGGLWVVLILLACYVTTEALRLTSCTWLSFWTDQSTSKSYSTGFYIVMFALFGFGQVAVTFTNSFWLLTSSLHAAKTLHDAMLNSILRAPMLFFDTNPTGRVINRFSKDIGDIDRIVANLMNMFMSQLWQLLSTFALIGAVSTISLWSIMPLLILFYAAYLYYQNTSREVRRMDSVARSPIYAQFGEALNGLSSIRAYKAYDRMAKINGKSVDNNIRFTLANTTCNRWLTIRLETLGGVMIWLTATFAVMRSSNTENQAGFASTMGLLLSYTLNITTLMSGVLRQASKAENSLNSVERVGNYIDLPSEGAEIIESNRPVPGWPSRGSITFEDVHLRYRPGLPIVLHGLSFSVSPNEKVGVVGRTGAGKSSVLNALFRIVEVEKGRIMIDDYDVAKFGLTDLRRVLSIIPQSPVLFSGTVRFNIDPFDEHNDADLWEALQRAHMKDVIARNPLGLDTEVSEGGENFSVGQRQLLSLVRALLRRSKILVLDEATASVDVRTDTLIQRTIREEFKSCTMLVIAHRLNTIIDCDKILVLSSGQALEYDSPQELLSRDTSAFFGMVHSTGLANAQHLCNLVFGGREVNGRRQEGFKSAKDSGGDEFSGEIGRRCRVVRRDPTKLRRDSTKLRRDSTKLRREARSPVNSMNSGELGKLRRTRRSPANSVMYSELGDLLANSAIFDELDETPSKTERAIVMEISEEEDDSVWTEHKAEAGTYGQLLGSKEHDLNPHGTASFLSRFTETICIGGRHALKVAVMLIFVSRFISASNPNNVETVPSPNGTRMQFRYEIPETCRYTSFLGDGMIWKGMALLFSVSIIKKEETLLSLGLFAQKQYKA >A10g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7869997:7876962:1 gene:A10g502920.1_BraROA transcript:A10g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTRSPLGQQASVQHPDYVEFTTFDEDIQPEPEPDLTEPDIQDMISNITKSETVQEVPVPTVFKGAITRQRAKVKLADEPSLKQDELKGAEPVKEKQASIPVQIPWLIRSVHPDHPCVRSAPSLLLGNASLVYLARYNSTAYMGEASEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQHGPIPNQRAGLQPDDYGEEEEEEGNITKSETVQEVPVPTVFKGAITRQRAKVKLADEPSLKQDELKGAEPVKEKQASKNPRALKLELASFSLPFPVPIPWLIRSVHPDHP >A09p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15022106:15023639:1 gene:A09p025870.1_BraROA transcript:A09p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCYKKVYEAFERMAYYGISSNLVIYMTTKLHQGTVKLSNNVTNWVGTVYLTPILGAYVADAHLGRYRTFVISSTIYFLGMLVLTLSVSIPGLKPPECSMANAEDCEKASVLQLAVFFGALYTLAIGTGGTKANISTIGADQFDETDPKEKIQKMSFFNWWMFSIFFGTLFANTVLVYVQDNVGWGWGYGIPTLGLAISIFVFLLGTPFYRHKLPTGSPFIKMTRVIVASFRKANAPMARDHTQLHELPSMEYERKGTFPIQSTKSLRFLDRASLKTGTTDQWNLCTITEVEETKQMLNMLPAMFATFVPSAMVAQVNTLSQSQFSSPLSYMIESLSR >A07p012450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8130885:8134415:-1 gene:A07p012450.1_BraROA transcript:A07p012450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAGKPFWMKHAEDAKIKDDGEKDAAAKAAFDATFKGVDQQTPLIEPAPSSAAPESSPESDSDSDDESDYLSRKPIGPVDPSKSTASGAGIGGGTACAPSTFIVVTKDSDGRKVPNGGALIRVKVSPGVGVGGTDQEGVVKDVGDGSYCVTYVVPKRGNYMVSVECNGVAIMGSPFPVFFSQGSSSTGLIGSAPASYSNLINQTMPNMPNYTGSVSGAFPGMLGMVPGISLGPSGGAILPGVGASLGEVCREYLNGRCANTMCKLNHPPQNLLMTAIAATTSMGNLSQVPMAPSAAAMAAAQAIVAAQTLQAHASQMQAQARSSKGSLGSPEKEVTGEALKKFLQVSNLSPLLTTEQLKQLFSFCGTVVNCTITDSKDLAYIEYGKTEEATAALALNNMEVCGRPLNVEIAKSLPRKPSSDNSSSSSLPMMMQQAVAMQQMQFQQAILMQQAMATQQAANRAATMKSATELAAARAAEISRKLNPDGVVNDEKEDDKKPRSPSNSPARSRSKSKSPISYRRRRRSPTYSPPFRRPRSHRSRSPFRFHRRSTYEERRRSYRDSRDISESRRYGRSDEQHPSRSRRSRSVSPKKRKSKQDDSELSRHRRDSSSRGDKKSSRTGSRSPRRDKETKSTPRDDEEPKHQRRARSRSRSVEDSADKKDEARDEELKHHKNRARSRSREDRKRTRASSRSSDDTERKHRVRSRSRSLEINNGSHEEVDGAEDNDDNMKEERRGRSRSLETKHRSSRRNEVDDDKKTGSRRRRSRSKSVEGKRSHHTKETQSRDRKSKRRSGRRSRSVSSEGRHRRERRSSPDEKKSSRQKGHSRSRSREKRNSSRDKRSKRHERLRSASPGDDKGRGDRSSPTDTTEFLLGVGLYKNGMVLLNLRTCKFNLHKEEDQERVYGRLHYEIWPLQGVHGLHILPAEVSHDSYFSQYVLPRWLSPLT >A04p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13247874:13251617:1 gene:A04p021950.1_BraROA transcript:A04p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGEEERRSRREDEEKEVYYALLNSPCSAFHKTVQAILKCLGLESLSISPSSSSSSSSSSSSSSSSEEEDHETEIVQETGYMAMVTRLVRRRPRPAYSSGRPVFISTTYMMCSASNPEQPPPHKDNHLLSLPEDIVLSCLARVPRNCNLNLTHVSKTLRTFVSSPELNRLRSLLHKSSLYVCFYEINDNGTWTLTHRLLTLEKTTTEYRLVLFRCHPYPFMSGYLAVSVGSEIYFLWNSSADLWILDTRSGKFSQGPSMKPGFRSEAAGLIDGKIYVICRCYIFGGVDRKKINVEVLDPKSKIWKSEGQEKVQPRMWCDNMVRFASLERKVYMVEAGLISVYNPRKGEGERMDQMVSTRLAESNPREGRRKEKLDEAVSWVCVVENVLYACYCSSGLMWFDTKLNVWRRVVSRAEEVCHFGEKQAVAEYQGKLAVFEFVKHDLVDNTKSVKMFLFSFLTVGEKILGTIEWSGIVATVPYDSQFLHCFGM >A01p007920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3836147:3836641:1 gene:A01p007920.1_BraROA transcript:A01p007920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSNSSSLVLITLILATSCLVSESRIARKDLGLDLGGIGIGIGTGIGIGLGGGGSGSGAGAGSGSGGGGGSSSSSSSSSSSSSSSGGGGGDAGSEAGSYAGSRAGSGSGGSSGSGRGRGGGGGGGHGGGGGGGGGQGGGGGSGNGGGYGEGGGYGGGYGEGGD >A10p006600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9777316:9780360:-1 gene:A10p006600.1_BraROA transcript:A10p006600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVNTARECLTEEAARALDDAVAIARRRSHAQTTSLHAVSALLATPSSLLREVCVSRAARSTPYSSRLQFRALELCVGVSLDRLPSSKSSGEEDPPVSNSLMAAIKRSQANQRRHPEAYHHLHQMSHGGCQTTVLKVELKYFVLSILDDPIVNRVFCDAGFRSSDIKLDVLHPPVTTQFSRGRCLPPLFLCNLPSSDPNNRVGGSSDENCRRIGQVLCRKERRNPVLVGNCADEALKTFADAINSGKKLEFLPPEINGLSIISIEKEISEVGSRANEEILLKLDELVNDSKSTGVMFNLGELKVFLNGETSSGDAWVKLVLKLSDLLKRQSKKLWFIGCASSNETYTKLMDRFPKIDEDWDLHVLPITSSKLPKSSLMGSFVPFGGFFSSTSDYKVSLSGGTVNQPLPRCHLCNEKCLQEVAAVVKAGSSLSVADQSSEKLPSWLRAAETQLDKGPTKSTKAVDSTNALASQTTALQKKWDNICQSIHQTPPFPKLNFQTVSSPQGPVQTEKSVGSSISNPKHKEEDLTKTSVTLGSPLSSVTTDLGLGVTYASKETHTTPSEKPLLVTLNYSSLDDFKSLRESLFRKVPWQTEAVNAISQLICERRNRTRSGAWLALLGPDRVGKKKVALALSEILFKDQANCISVDFGGEHCYVDDKFRGKTVVDYVTGEVSKKPHSVVLLENVEKAEFPDQMRLSEGVSSGKLRDSHGRVVSMKNVIVVATCGTVKEKEQVEFTEERVLSARRWKLQIKVVDRAKAGVNKRKHEGDTELRAEKVQRSYLDLNLPVDETETEEAKAWFDGFIEKLDGKVTFKPVDFDVLAKSIQEKIVSHFKMCFGTERQLEIDNEVIVQILAASWSSLSGEEERNVVDQWIRTVLAPSFAEARQKYGSNPEFAVKLVASRELAARVELPEKVDVI >A05p023970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:11624140:11624325:-1 gene:A05p023970.1_BraROA transcript:A05p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIEELELTPLTQPSLEMKESWVLKPTMAWRSLVCVLHHSDNPVEDSASRPQRSTINERQ >A06p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21921866:21924412:-1 gene:A06p040540.1_BraROA transcript:A06p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRYMERTNSMREKRKLEEEDDNNNQQPERKRPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALAKLGPARVSERSSPKRIEGIGGRNLQLQFRSRLSVPLFTGGKIEGEQGAAIHVVLLDSTTGRVLTTGPEASAKLDVVVLDGDFNNEDDEGWSEEEFESHLVKERQGKRPLLTGDVQVTLKEGVGTLGELIFTDNSSWIRCRKFRLGLKVSSGYCEGMRVREAKTEAFTVKDHRGELYKKHYPPALDDEVWRLEKIGKDGAFHKKLNKAGIYNVKEFLRLMVKDAQKLRTILGSGMSNRMWETLAEHSKTCVLSEMLYVYYPEDSVGVVFNNIYEFSGLISGKQYYPADSLSDSQKVYVDGLVRKAYENWDQVIEYDSKSLMNFNQVNKVDALDYSMPVSVPSQPSTSYSASVYSQSQGTSFPAQSQLADTTSYMHFGNSSYAPPQDQLINNTHESQIMINSNGGERLALGNRGGGDGFLSEEEIRARSNEMLENDDMQQLLRLFCMSGGGGGGGGPQTPMNMGEDGLGFQSFGQSSMDGYGEDRSQPGKPVVGWLKIKAAMRWGFFIRRKAAQRRAQIVELDDDQ >A08g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7373995:7378062:1 gene:A08g504480.1_BraROA transcript:A08g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLCIYRYYNLQHLNSGPASNIISNQVTFIIYSLNGGKHELSLLRSSGDSIEGYTRMHGLVSYRRFGRARSLRSDRALARAWSLRSDQAGRTLGRCVATERGAFSRCVTTFFELSSDVSFFLRKVFRKKESISKKYLSEKFSFSSSDVLNVNFVVTVFDPNNIQTCHIYLRHLHLRSVASIGKEQMDEVRAKLDVVDELFKKQVCSAEREVADTPLGTQVRKLETQVIQTGETIKRQEAFAREPGADKGKHHVNAIIDDDFWQVVRNKKLEEGDFEIQSSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSKSSVKSTEYNASESDVDRHNTPPIDIQAPLTYRVRLPSIDNDYINALRPPPKPLANPPERKPNPLNQNQFKKNKNLKGEEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTWADSGYHESFVVDIVITSPNEEHTEEYDEDYWKERAIEMSLQDERLSFQDERLETYKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTHKGTSSIFAPQLKFRSRRIFSLQLEKSMKSNHLKNTSSTEITLRSIDATVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANRPDNLFSQQRGTPDVIQTDPNNHA >A10p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1304121:1307983:-1 gene:A10p002490.1_BraROA transcript:A10p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATEEPIGSAATAIVDQTYEFLAPRWFDFVNGETEDEARRAELWFASALSCAPSREYLRLIARSLASVPRIKGRRCFKVETMCNFNEEEEEDKPIKDKEPSEPVAATIASQPETDIISEAKKEEGNTTEASTIKPTHSRSKDKTPKQIENKENIPPSRTEACTPKPPLQSSHGGKSMDLKKQQSARKIASLLRNPSALRPKNQSQSSSQLKGTNQKSVKRVTSPKNIAGTTSLIQDNQAIKKQKLDDGKSRQILNPKPTTLLHKTRQGLVNTGFNVCPSVTKQTPKENRKVYVREQVAPFMSTAELMKKFQTSTRDLSLPHANPSLPQAAAAFLVQNRTKLTLTRPKEPEFVTSQRARPVRVKSSAELEEEMLAKIPKFKARPVNKKILAAPALPAPQRSTPQLPEFQEFHLETMARASQHAETSSIASTEVSKQHNDCRPHLTAPKSPVLQTMLRARPTKAKTTAELEQEELEKAPKFKAKPLNKKIFESKGEMGIFCNMKKHITIPQEFHFATDERISQPCPVVDIFDKLSLTSDSCHEKPLPRNTAPNPFNLRTEERGAEKEKKFVMEITQKLIGDERARVPKANPYPYTTDYPVVPPKPEPKQCTKPEPFQLESLLRHEEEMRREREERMRMEREEAQKRLFKAQPVIKEDPIPVPEKVRKPLTEIQEFNLHVEHRAVERADFDQKIKEKENQYKRYREESEAAKMVEEERFLKQMRKTMVPHARPVPNFNKPFLPQKSNKEITKPKSPNLRVIKRTERRTMMAPPPTVSAATSASAGQMR >A09p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2559856:2562644:-1 gene:A09p004500.1_BraROA transcript:A09p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSADSVNPRDVCIVGVARTPMGGFLGSLSSLPATKLGSVAITAALKRATVDPSLVQEVVFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKAVVLAAQSIQLGINDVVVAGGMESMSNTPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYNDCGMGSCAELCAEKFQITREQQDDYAVQSFERGIAAQEAGAFTWEIVPVEVSGGRGRPSTIVDKDEGLGKVSYVSCGSVSSVSSGRLVRFDAAKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALQLGLQVLAKIKGYGDAAQEPEFFTTAPALAIPKAIAHAGLESSQVDYYEINEAFAVVALANQKLLGIGPVSLLTSSESTKPRLLNQLTQVFSFGIQQEKVNVNGGAVSLGHPLGCSGARILITLLGILKKRNGKYGVGGVCNGGGGASALVLELVGELYRRMSRIYLSSNFQESVIAIQV >A10g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6362122:6366261:1 gene:A10g502300.1_BraROA transcript:A10g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNADNVQTPLNGGSDTNIHTPAVDVSAANTPANAEALEKFKKMFATYEKMSEEQDKLVSTLTKQVETLTARTRAIRPRGTTKIRGKRLDFATPLDRSGVTRERPSGQNPCEKSPIEKGNSESPLPPAKDSEDNKAERIDLDPSDVSNDTDEDVDRHPRRTRSRSARESSPFDKLMTEEEEIVYWNEQEELAEKQTELARSKRRQARKSTDEMSDIRDLRDYITKTLVEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFLLSRGHSTTNCKVLGARLATKLLAGELSDVTSAGGLTVGVKNGHDGIITRKTSEIAFLKKIFLQRSIFEGSKQTNQARSLRNYHTYTLSGRYVATNDQALVPLGRYIATELEPKLGRYVATELEPKLGRYVATEFGQAGSLCSDRASISLGRYVATEPTGQVPKFGRCVATELFRNVETTLVHALSSNLQCFLPKTVASSVYFFRYSKSSIKLCGLIPGKVRSLSKEIVVNASSQKMAQGT >A01p015580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7609285:7612126:1 gene:A01p015580.1_BraROA transcript:A01p015580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYIKNNFFLVFMIVLLFVVPSYARLSMMVTKGEIESICNKKYVDSTLCFEVLKPNPTIAKLDFTGLANFLINYTSRNVSDVLKEVKLYEGNTTDLQTIKLCEEMYDLSLFWDDHALKDLAAKDYDGVNSNVGGTLENMVTCNEELSTMKPVPQSLIAKNNVIKNLSEGEIESICNKKDVDSTLCFEVLKPNPTIAKLDFTGLANFMINYTSRNVSDVLKEIKLYEGNTTDLQTIKLCEEMYNLSLIWDDHALKALGAKDYDSVNFNVGGTLGNMITCNEELSTMEPVPQSLIAKNTVIKNLSNIVLTILECFIRKGSIYCKAEIESICNRKDVDSTLCFEVLKPNPKLDFIGLAIFLINYTSRNTIKLCKEMYESSLYRDDVALKAFAAKDYDTVNINAGVASDNMITCNEELSTLKPVPQYLITKNTVIENLSTLF >A03p034980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:7626:8732:-1 gene:A03p034980.1_BraROA transcript:A03p034980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPWRSRGGKRPNQLTISDAETKTPAAQFRSSRIELVQSPRVPLTPRAASSICRRLENATAREEHVECFKIVSKIKNLVRESGETNKKCLLQNGVVSALTSCFQRFSSTREEQARLLEEVLSVLTYWLPLSRTEGFTKMGTTASLNRLVRFLNATDAKTRQNAAVCIREVIAVDKKYVYALTDIEGACEGLIKTIRDSVSTKASLMAIYRAVSCDDKIAAKFVKLGLVALIAEMIMNNAEKSVCERCLVVLNVICDNEQGREDVLRNALIVPLLVKKILRVSDLATQCSVSILWKLWRKNGEDHVLLEALQVGAFEKLLVVLQVGCEEKTKERASELLRNLNRCRNEIEKTNCLDSSMRLKNVKKSF >A09g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23659521:23665924:-1 gene:A09g508430.1_BraROA transcript:A09g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKVFFSDLKTGRCSSVVEARLLRFWEARNVKRGGELMWMDLLMVDVNSTVMQVTISAGRLPQFREMLRAGTMFSVSGFDVSRCAQNFRLTDSSLMIRFNESTSFQELTEPDSTLPDEAFRFRNHSELIGLANTNTQLPDIIGEILSVKSTVCDPPEEKNRVMVTLKLDSDETVTLSFFDAQAVAFHKQLETMRVDPKVMVITSINPKIVGGRLFLNATSGTHVYFDKKTRAGDALFYKLVARDTGLPSAAPLLRSYAKVETLTIADLSSFIVSAESQEIDFLCTGKVVRVDTDKGWCYVACSKCSKKLQRTESAFTCGVCNNPHAVGALRYRVEMAISDDTAEGTFVWFDGALTKLHSIRASEAAQMLSEDGVNPEDTRLPPFIADMEGKTYTFQVRVTAFNFTEHHKTFTITRIAEDHGRLTVDGVGNDGDGGDDDDNLNIKTPPAADDQGGTGKARKKTDAGTSNMGARKEAKYLYGVLLMATGMINKGKKILSKLTDAIGLDSVERSWENVQASLSHLTVEMKDKTRKRDLDPGASDPRSNNERSLGAYGGTACDRASMGVSSTGTHNELTLLTDEFGYGDQMAIESRLARRARMAIRSSKRTQSSSIASTQPMKKRKVGRPMIISASPTPASVPSDAHPPDTPYAVSSRIARQLRLSIRRQKKTARLTASASETGTKGHKPCDKWDIVSCPSCGALLWNAEATGVQTNRDAKQFSLCCQRGRVRLPP >A05g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6784729:6786712:1 gene:A05g502090.1_BraROA transcript:A05g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFASGRTTWHDKMAEPAYTVASDSENTGEEKSSSSPSLPEIAVGIDIGTSQCSIAVWNGSQVHILRNTRNQKLIKSFVTFKDEVPAGGVSNQLAHEQEMLTGAAVFNMKRLIGRVDTDPVVHASKSLPFLVQTLDIGVRPFIAALVNNAWRSTTPEEVLAIFLVELRLMAESQLKRAVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQMTSHDNMGSGSERVAVIFNMGAGYCDVAVTATAGGVSQIKALAGSAVGGEDILQNTMRHVAPRPDKEGSGSLRVATQDAIHRLSKQESVQVEVDLGDGDVVSKVLDRLEFEEVNKKVFEECERLVVQCLRDAKVDVDDLDDVIMVGGCSYIPKVRAIVSNVCKKEEIYKEVNPLEAPVRGAALEGAVTSGIHDPFGSFRPLDYTSYSSCGWSES >A03p024820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10445729:10449599:1 gene:A03p024820.1_BraROA transcript:A03p024820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAWSHARGQWVIAMLALLVGSAIATEPYYYSSPPPPYEYKSPPPPVKSPPPPYEYKSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYQSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYNSPPPPVKSPPPPYYYHSPPPPMKFPPPPYVYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPLKSPPPPYYYHSPPPPAKSPPPPYYYYSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYSSPPPPKSYPPPYYYSSPPPPPKSYSPPYYYSSPPPPMSYPQPHPQPHPLVFKVVGKVYCYRCYDWTYPKKSHDKKHLKGAVVEVTCKAGDKTVKAYGKTKINGKYAITVKGYNYRKYGGEVCTAKLHSPPKGSPCNIPTSYHLGNKGAKLHVKSKTKYEVVLYAKSFAYAPKKPYGECHKPAPYHPPYYYKSPPPPSPVYYYKSPPPPTPTYVYKSPPPPTPAYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPMYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTHTPTPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPLPYYYHSPPPPVKSPPPPYYYQSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPMKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKFPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVNSPPPPYYYHSPPPPVKSPPPPASVKSPPPTTAILLPFTTPTGQISSTTLLLPFTTSTGEIATTAILLPLTTPTGQISSSTILLSLTAPSNEISSTTICLPFTTSTHEISSSTILLSLTTSTSKVSTTTTILLPFTTSSSKISSSTILLPFTTSSGEVSTTAIPLQLSTTTSKISPTSSIHLRLSSTSYPLLGSSNSTKFPHYSSFLFENEIKEGSMSKRSELNKDCRVETRNNASLTTIHFTSSSSMSLIFHLCIIFFASSSSLFPRPF >A09p060300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50043658:50046448:-1 gene:A09p060300.1_BraROA transcript:A09p060300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPKLTTTISSSPSLQILCRKLPTAINLPSSPTSRSLPKTLSHLSSLRLRAASVSNHRYYSSSSSSRRFSVRAQNDNGADSDRHYDFDLFTIGAGSGGVRASRFATSYGASAAVCELPFSTISSETAGGVGGTCVLRGCVPKKLLVYASKYTHEFEDSQGFGWKYDTEPSHDWSTLIANKNAELQRLTGIYKNILNNANVKLIEGRGKVIDPHTVDVDGKIYTSRNILIAVGGRPFIPDIPGREFAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLGSEVHVFIRQKKVLRGFDEDVRDFVGEQMSLRGIEFHTEESPEAIIKAGDGSFSLKTSKGTADGFSHVMFATGRKPNTKNLGLENVGVKLAKNGAIEVDEYSRTSVPSIFAVGDVTDRINLTPVALMEGMALAKTLFQNDPTKPDYRAVPCAVFSQPPIGTVGLTEEQAIEQYGDIDVFTSNFKPLKATLSGLPDRVFMKLIVCANTNKVLGVHMCGEDSPEIIQGFGVAVKAGLTKADFDATVGVHPTAAEEFVTMRTPTRKIRKESSEGKASVEAKTAV >A06p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6146886:6148800:-1 gene:A06p013600.1_BraROA transcript:A06p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP8 [Source:Projected from Arabidopsis thaliana (AT1G16070) UniProtKB/TrEMBL;Acc:A0A178WHW0] MAGSRKENDENKENVETVSRSVSVKKGEDKENVSPENSSASVETKKQDLALKSRSMKGDSKFPNEVTNFKSFSTGGRTALKQTSLQVCMQLNGDEVDKGMKTWTSVDSEHSSSLKVWEFSDSEAAPASSWSTLPNRALLCKTLPLDVGRCTCLIVKEQSPEGLRDGSVYSLYTHEGRGRKDRKLAVAYHRRRKGKSVFRIAQNVKGLLCSSDESYVGSMTANLMGSKYYIWDKGVRVGSVGKIVKPLLSVVIFTPTIATWTGSYRRMRALLPKQQPVQKNNSKQVQQASKLPLDWLENKDQVQELCSRIPHYNKISKQHELDFRDRGRTSLKIQSSVKNFQLTLTENSRQTILQMGRVDKAKYVIDFRYPFSGYQAFCICLASIDSKLCCTV >A08g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15365630:15370005:-1 gene:A08g508390.1_BraROA transcript:A08g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSFQSEFLLRFYDENKLDSSRLPSTRYSDRDDILTRYSNLKPHLKLLGLFLLTLPPSLRSLSNLDRNVSCFASIEVTIETLRYKKKNPQRPIFSHGFRLISVKISITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSATDKLEYGNQSADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEHPSRSRPSDHPARSLRSGRARAKARSLRSDRARAKARSLHSDRAIVPLGRYVATELEPKLGRYVATERSSCSVATDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTIASSVYVSRHSNSSIKLRGLETAENSINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAE >A04p010100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7393976:7395712:-1 gene:A04p010100.1_BraROA transcript:A04p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G14147) UniProtKB/TrEMBL;Acc:F4JUL8] MANPLRLYLACIKNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEEMQKQKLIDFIIQFMEASLSPNPMCLPVFFTDIEKEISELKISVNTRGRLVATEFLKQFM >A08g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13542289:13543820:1 gene:A08g507640.1_BraROA transcript:A08g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIHVDNHVINLRAEKPPRVQPQTRNKEVVSPLHATATRYQTATCSSYAKSWEKTKGVIMEAWDEEDKDAYGWIKKDSTTWIGDNRFY >A07p005290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2259876:2261439:1 gene:A07p005290.1_BraROA transcript:A07p005290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKIVVGGLPPAFSLFPSLNKTFTFHQILVLIITFLAYASFHASRKPPSIVKSVLGPSVNEQSNSPIDNGWAPFNGTEGTQRLGELDLAFLSSYALGMYFAGHLGDRIDLRLFLVFGMMGSGILTVVFGLGYWMNVHLLGFYMTVQIVCGLFQSIGWPCVVSVVGNWCGKEKRGLIMGVWNSHTSVGNIVGSVIASSVLDSGWGWSFALPGGLVIVSGLVVFMCLVVSPHDLGFEEPGKEIEMSLAAETVVVEEDKEDDDVGLLETVNLDDDDDSLSAIGFLEAWKLPGVAPFAFCLFFSKLVAYTFLYWLPYYLRHQAVAGVYISHKTAGILSTVFDIGGVFGGISAGFISDKIKARALTSIAFLSLSIPVLIMYRVYGSVSMFINIGLMFISGLLVNGPYALITTAVAADLGTQDSIKGNGRALATVTAIIDGTGSVGAALGPLLAGYISSRGWNSVFFMLIVSIFFAGLFLVRLAKAEIKEMSSSGELIASSGP >A05p013310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5797620:5798967:1 gene:A05p013310.1_BraROA transcript:A05p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQILGSVSRLATEKLSSSSRCPSRQIFTASQRNAGGNHSEALPGKHIEWASLGSVRNSRFASGFSPLKPKPLDSIMDLDRAKTKSPEELTSIWDDYHLGRGHIGITMKAQLYRLLEQRAAECRYFVIPLWRGNGYITMFAQVEAPHMIFTGLEDYKARGTEAAPYLTSTFYTELSETKDLVFIRGDVVFTSKLTDEEAKWLMETAQSFYLNDTRYKLLERFNKHTHEFEFKDVLQALDMPIL >A01p022680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11168813:11169223:-1 gene:A01p022680.1_BraROA transcript:A01p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNRKPFLDPKPIILNPTAPLHSLEVRERDTCQDPHCEGHISPGRYVLIETPSEGHVSKDSKPHHFTGMQPPSPQSSEIHHKSTTASAGSKTKRDQISTLEPKTVETIYLETPVYALRYITINPKVNKDIQIES >A10g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2199453:2200962:1 gene:A10g500680.1_BraROA transcript:A10g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKTLFFASNGFSYTYTARALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAKSRGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMHHWCEVSLKLTCKLGPILNPSLRRGAVASLFKRVLKLRQSTLGCEKMKATLSRRLLV >A06p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15936140:15936948:-1 gene:A06p027490.1_BraROA transcript:A06p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITEFLPKEYGYVVLVLVFYCFLNLWMGAQVGRARKRYNVQFPTLYAIESENKDAKLFNCVQRGHQNSLEMMPMYFILMILGGLKHPCICTGLGLLYNISRFFYFKGYSTGDPMKRLTIGKYGFLGLLGLMICTISFGVTLIRG >A03g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19887134:19888494:1 gene:A03g505610.1_BraROA transcript:A03g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSNVPKFGNWEGEENVPYTAYFEKARKGRAPGGRVTNPNDPEYNSDSQSQAPSRTRPEEVDPVRKSREGTRSREESELKQFGGGGGGDGSGSSNEKRQGRSSQNNSYDKSPLHKNSYDGTGRTKPKPNLRADESPEKVTVVPKFGDWDENNPASADGYTHIFNKVREERSTGAANVSGSSRTPTHPNSRNSPSSSSKCCCFGFGGK >A09p059810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49839221:49842620:-1 gene:A09p059810.1_BraROA transcript:A09p059810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 28 [Source:Projected from Arabidopsis thaliana (AT3G54100) UniProtKB/Swiss-Prot;Acc:Q9M393] MSVAGAINPLPAAPVPAGPTTTTRRRVDVVSERPLNPADFCSTVNLSTGNESAELDGGDTSGQDAASSEGSSSTGSHYHHDHYHQFHNHPAIHYLFLRKFRSPFSQGGGGGGGSGTVVGQGFRSGKNVGRRILGLLMALVVASVFLSVYLIGGVRVVDHARLSEFVVVRSLRDDLSMAQREVGESQASSQPMRVLEKLPIPEIWQKPESGQYRQCVARPKNKTRLGQKTNGYLIVHANGGLNQMRTGICDMVAVAKIMNATLVLPLLDHESFWTDPSTFKDIFDWRNFMNVLKHDVDIVEYLPPEYADLKPLLKAPVSWSKASYYRSEMLPLLKRYKVLKFMLTDSRLANNGLPPSIQRLRCRANYKALVYTKEIEDLGKVLVNRLRNNSEPYIALHLRYEKDMLAFTGCSHNLTSEEAEDLRIMRYNVKHWKEKEIDSIERRIQGGCPMSPREAAIFLKAMGYPSSTTVYIVAGEIYGSKSMDAFRAEYPNVFSHSTLATEEELEPFSPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKSINPDRLNFVRLIDHFDHGFISWEEFASEVKRLNRHRTGAPYARQPAALPRLEENFYANPQPDCICNKSYSRSSGKKSSLRTETKTWKKSALR >A10p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2146718:2147340:1 gene:A10p004410.1_BraROA transcript:A10p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVQDKQVKFLTQAGQMQNLPIYPRRLILKSSQIVFLTNKDGRHPCNSSLNTHLKVNWKTNLCIGQKTSMSEKKIDVIVSTSEIICLAHISLLQATRISNKSDPPRIVSFNGSMNHKKFRI >A02p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:782808:784444:1 gene:A02p001830.1_BraROA transcript:A02p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHLHQVEDDNGSYITIRTLNFSKQPLPSSSPLCRRCFTIVVPMAKKKEKVVDSGLGTIKSMVHQHSEFFDTLVELIPARFYLPDETERKWFPGLSKAQKARAKRKTTENLKKARRDRLDPEKSSLTTLDLLKQKIEKEKKKLSNEAAEDDNDDDESEEETDGRKAKSDSVTYEELRQRLHRKIDELKGGRGGSERPRSHEKRKKIVPNKRKREKTVEEEEDKAKLDVEEAAKDLTYGYVKIDDDEEHGKGTKKRRLSKSRELERALKLEAAKKDPEKGEVIAKKHSWQAATSRAAGIKVHDDPKLLKQSIHKEKKRQEKNAEKWKERVEGQQKVRVEKQQKRSGNIAERIEQNKQRKIAKREKKLMRPGFEGRKEGVINDGK >A02g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19284714:19285595:-1 gene:A02g506860.1_BraROA transcript:A02g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFGMRLRTKLKECLPLHYKVDIRVSPGSHADEHSVQIRAEGLRLNRRVGIEYLAVWLTRSGSVPRYNLMEDAAPAEISRVQNWQWIRYEVELDGDGLGVRVNKELFERVVEEEMERIEKEVGKDKFKKGMYKDNCKKFTKQCTASELDDFLTLAVYDHIVAHYPNNVSRP >A03g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31586873:31588304:1 gene:A03g509860.1_BraROA transcript:A03g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLMLRRDLRPRVSGRPKETPADGFRFEFSRELPLACYPEDCARLLQLVKGGPDQLPSVGDLFFKDEHEHAACSSVKVILAKSSLLCSDQIHGDWNVLVEKYDSALRRAREQIREGEEAKKKTEEALRASARDRADAIAREKVLRKAFDETRTSDAAELQMCKESMKNLEFAVDKLGKEKDDLEKVRAAESLRHTEEMNRLQKSHRYEVTHERIRVLIAMIAKAEKRFHWISLREDQRDKYDDARCLHSQTFGTRKCLEQIKALEKHYEEEAARLEVKEIPAEDLRLSPLVLESRFLIEEIWHQLDPFGSNVGLIDSEAAIALRSPLVDRDPRSEDLMKEHAQTAVSSNQRTDQDIDPAKQTSAGAVVPKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKENDVPAEVPAANVDTPAPTKFGRVSGPGERDDGGSKDPHVVDK >A01p055330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31245456:31247418:1 gene:A01p055330.1_BraROA transcript:A01p055330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHDRDEELSLFLEMRRREKEHRGESLLTGSDNVSINGALTTAVSAALSGISETVSSQRYPLRRTAAENFLYSENEKSDYDWLLTPPGTPQFEKESHRSVMNQSDAPNSRPTVLKSRLGNCGEEMISRNNDKTQMSSASGPSSVSGLRRPSSSCSSRSTSRPSTPTTRSTSRPSTPTRRSTTTNTSTTRPVTTTRASTSRSSTPTSGPTLTAARATTSTTAPRTTTSTGSARSATPTRSKTQPSSAPSKKPLSRPATPTRRPSTPTGPSIVSSKAPSRGTSPAPTVKSSRPQKPPEMPGFSLEAPPNLRTTLSDRPVSARGRPGVASAPGSRSSSIERGSGGMGHSRKQSCSPSRGHAPIGSTNGSLPGARVRGKAYNDSFSPVAMGNKMVERVVNMRKLGPPRLTENGGGRGTVKSNSAFNTLGYGRNLSKSSIDMALRHMDIRRGMTGNLRPLVTKVPASAMYSVRSRSTSVTNSPVATSSTISSSELMSVDNINILCLDGNDDLLSERSFS >A02p003690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1647060:1649471:1 gene:A02p003690.1_BraROA transcript:A02p003690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFCKFITIIFTVCVVQCRETVRNPNSVKVNDKIIYDCVDIYKQPSLSHPLLQNHKIQLKPSFSISKPKDKSKSKIQSNKIIECSDGTVPILKHTNVSVTNAQHWAKKHFSPFTIDSHGTHIAGVRALPYQGPYHGVTALMSVHDLNISVDQASYTNIYVGSGVRDKVNFLQTGWMVNPALFGDSRTWSYGFWKGVNGTGCYNTICPGFIQVSRTDPLSIPIPYPRKGDRAIYISILQDKKTGNWWAIHVNYHAPDLNIGYWPKEVFDLIGTNADMVGVLGVVQASPSGKSPPMGNGHLPTKHEKESARIENVEIIDSQFKAIGSRKYKLEKLLDSNKCYGLRAREDLPLHDLFTYGGPGGDSCGI >A09p067010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52975696:52977183:-1 gene:A09p067010.1_BraROA transcript:A09p067010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNPEGLRLDGRRFNEMRQIVAEVGVVSRADGSAVFEMGNTKVIAAVYGPREIQNKSQQKNGHALVLCEYSMAHFSTGDRRRQKNDRRSTELSLVIRQTMEACILTELLPHSQIDIFLQVLQADGGTRSACINAATLALADAGIPMRDLAVSCSAGYLNSTPLLDLNYVEDSAGGADVTVGILPKLDKVTLLQMDAKLPMETFETVFALASEGCKAIAERVREVLQENTKQLEYRRAA >A05g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14924046:14932645:1 gene:A05g505400.1_BraROA transcript:A05g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYRKFSISWKGARFQGPNSEFLLAGTWSVLLSGTRGSGSCLEAGGNDTGIFLPLISRFCHRSRGITCALKSTGVAHSQQAPLRQDPVPLKPELILNPDGGTGTLMLSCVQAGEVLARGILGPVRLGSVDLKRKVPRQGSSKYIRGTVHFLAITSKLGRGLYGIGRDWDGIPESLNPIDLYRTCVKHLQNLADAGLTHLHLLPTYQFGDVDDDKENWKYIDTSVLEGLPPDSAEAQARITEIKNDDGFNWGYNHVLWGVPKGSYASDPTGPCALNFIGLNVVLDVVYNHLHANGPHDKDSVLDKIVPGYDLRRNNDGFIENSTCVNNTASEHYMVDRLIRDDLLNWVVNYKVDGFRFDLMGHIMKDTMVSLSPTPLRETDGVDGSRIYIYGEGWNFGEVANNGRGVNASQFSLSGNGIGRFLMTVYEMQLLVDPFGHPLQQGFVTDNKIAYTFFHTNNKHHITCYIPHVSVSNLGVEPLKFPYHQNIVYLLRVSYFSFLGQPNGHDHGPSVIS >A09p007210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3809374:3809652:-1 gene:A09p007210.1_BraROA transcript:A09p007210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSCEVSPEAETYAVSSWCRMSFYEADFGWGFPVWVAPGSVDKTQVVLMDAKDGEGVEAWVTLPETDMAEFEHDDELLVYATPSPSVRTK >A02p007810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3277106:3277540:1 gene:A02p007810.1_BraROA transcript:A02p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFHHHGLHLHPRISIATSPDCNRLEKSLHDVLLSMRFGLTRDLRLKRPSFAYYSVSREQQPIIMATKSDKTSTEVKDKVVEEKKEKDKDKKEEVSLPPPPEKPEAGDCCGSGCVRCVWDVYYDELEEYNKLTASITGDTKSN >A05g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10287126:10288204:-1 gene:A05g503520.1_BraROA transcript:A05g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAERKGYGFAYDLNPKRFHELVSPIYLLSQNHRICQLLILYHGWGFAFDKEKGGRVLAVELTSSFKDLRTTAFEDFGIDQNDVELELSYLPMELISTIDCPPVIIWNDRQVKNFLTYVREKASSRLCVSISPVNANNNNIELDKEQSNASGRERREPPSFSPGDGIGSSSESSTDGEDECNLNALKEGEDADLSGKEEDRGKSVRFSLNRNEFRGFNPFLFKMKIIITTNNNN >A03p056270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24328526:24328866:1 gene:A03p056270.1_BraROA transcript:A03p056270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETPFRAREKLLEKQRLFQSIQRHTYLKGPMDKVTSVAIPLALAASSLYMIGTGIYNMSNGIGKKE >A07p050310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26811990:26814056:-1 gene:A07p050310.1_BraROA transcript:A07p050310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL66 [Source:Projected from Arabidopsis thaliana (AT1G77980) UniProtKB/Swiss-Prot;Acc:Q1PFC2] MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALLMFSPSDRLSLFSGKTRVEDVFTRFINLPDQERENALTFTDQSRRPDFQSKEYLLRTLQQLKTENDIALQLTNPSAINSDVQELEQEVYRLQQQLHMAEEELRKYEPDPSRFTTMEEYEASEKQLIETLTHVTQRREHILSDQLSSYETSAMQHQNMGGPFVNDVAGGWLAENGPIQTQLFDASANSAIYETLLQGSSSSSNQNNNMSECNVTNHNGDMFQEWAQAYTSTTGLNPSALFPPMQHQHGVVNPNIKESDIPVITREAPADHEVSDYDIRMPQLSSQ >SC161g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:238575:250874:-1 gene:SC161g500040.1_BraROA transcript:SC161g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQEVSHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSGEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRKRPYPSPFIHPRPLQEPGRTGPSNKTGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLEYQETSHLSCVPHQSTNLDTDRHPIALRKRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A10p005990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9533442:9534538:1 gene:A10p005990.1_BraROA transcript:A10p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSACFLHQSALASSAARSSSSSPSQRYVSLSKPVQIVCKAQQTHEDDNSAVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKKNTDFTPYSGDGFQVQVPAKWNPSREVEYPGQVLRYEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVNYLLGKQAYFGETASEGGFDNNAVATANILETNVSDVGGKPYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGANKFVEKAATSFSVA >A07g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26740451:26743529:-1 gene:A07g509170.1_BraROA transcript:A07g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGKSTFASDSNSEKPKGVEADSLPGPIKPIGAPYVSSGLTIGDPHSKTAKGQTSVSSGLTKPIGKNLNGTIIHTTKTGVSSGVRGKAAVSSGVKGKAIVSDVGEVMAFKDVKFGPNQGELRFRLIHFWEARNVLTKVIIGLEMLLIDQEETVIQGFIPAGRIETYLPHMEAGGIYRLNSFYGSKNKTLYRVADPSVTITFSSTSVLSDLEDSLVCIPEDRFRFRGYEEFDAACDLKGDLYDYVGHIKLVNGQVLSDSIVIDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAAFDFSGKIKASGGTARVILVTTLNPKRFGGALTLSTMTSSRVFLDSDVQATRDYLTWLNSNLAVANRVDADVVTKTETVTIGELFSFMKQEAAKVAWFECIATIGDVAHGSAWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVAQYLARISVYYNDDHASFVLLGDAGQELTGKKASELVESYFEANEDVGDDHLVPVPQALIDSIGQTHKFIVKVSNHNLTGKTQSLTVTKVLTPEVPELQGNLVGNVILPDAHEPLQKGVAEDGPSTRFEESDGQGVKRTADNVEAEDPKRAKCG >A03p040010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16666059:16667164:-1 gene:A03p040010.1_BraROA transcript:A03p040010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLNPLCSPNVAGDFLYRDMMHPLEANSFVYNNQIRYGNVPAATTPFNPTAECQTSLLNPTYNISPVDYLVHQSIKPTIHSVDSSVTFNSENNGNNVDFLRHGSSSSLRKRRREESVLVSPMPSQKRSTDPLMFLGQDLSSNVQQHSFDIDRLISNHVEIMRLEIEEKRRTQGRKIMEAIQQGLMKTLRAKDEEINHIQKLNLYLEEKVKSLCVENQVWRDVAQSNEATVNALRSNLQQVLADVERREEPTAADDTQSCCGSNDEGDSKEKWRLVGEAQDTMTRTMCRSCGKGEASVLLLPCRHMCLCSVCGSSVYTCPVCKSPKNASLHVNLSS >A06g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10158543:10159018:-1 gene:A06g503250.1_BraROA transcript:A06g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLIFRTMNSTLCILSPKSMSGRESDSDPEDLEHAVKLRQVKAVLEEVLEERTFWNGAGRKFLRDIQEGSTEAAEVG >A09g512980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39559298:39585111:1 gene:A09g512980.1_BraROA transcript:A09g512980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAVRGCQSAHTGLPCVSVSTHMTSVAVHQYTYQHAGPWTQHAGPSWGLLGDFGPRGLSVQYTQDVRGCPPAHTGRPGLSMAVCSTHRTSVTVHDVRGCPCVSVCVRQHRQDVRGCSSVYISARWSLDLARWPFSWTVRVILAHVGCLFSTQRMSVGVHQHTQDVRACPCNLSAHRGRPWLSVCFRVCPSVNTGRPWLSISTQISTLVLGLSMLTLPVDCSGEFGPRGLSVQYTKDVRGGPPAHTGRLWLYVAVRQHTQDVHGCPCVFMCVYGCPPAYTGRSWLSVAVCQHTQDHTQDVRGYPSVHISARWSLDSACWPFPRTVRVISAHVGCLFSRHRTSVGVRQHTQDVRGCLSAHTGHPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTMALPGDCLCKTQSRPPRPRLMPHVARPAHAPSLLDLAHSLAQRPNSPWPHGRTSQPNTDLDPDHQPKTRTVRLAEGLSWSELNLASLSWPSYSSTSSSYRLTRPSCLLAYIRLSLSFLMFLTFFLEPWPSRQILRLAGTFPRTMAVLTNPFQDWGRDSFGTSVGVRQHTQDVWGCPWMSVSTHRTSMTVRVCPSAHTGRPWVSVSTHRTFVCVRQHTHDVRGCPCVSVCVRQHTQDVRGCSSVYISTRWSLDLARWPFSWTVWVILAHVGSLFSTLRTSVGVRQHTQDVRGCPWLSVSTHRTSVAVRVCPCLSVRRHRTSVAVHQYTYQHVVPRISVDESGTVTGRADGPGAGRFDQIGLRLGTGSGQASREEKGRNRQWAVMGRLWDGFGTAVGDSLSRPLSLISAILVDFYSLSHRENTEKIQERKRDTNLGLADLIQEIVLECSWCVWACDQEDDLRQKDKEKAKEKEKEVTPGDRTPKDQKWTVVREKHHEDRGHGKMCGDWVDYENCVIIVAYCATCELMRFYLNTSMPLTLCLSHDTLVYWSNDLTGASPRTMARPNDPISDREHDTLGDFGPSGLSVKYTHDVCGCPPAHTGRPWLSASTHRTSVAVRQHTQDVCGCPCVSMGVRQHTQDVRGCSSAHTGRLCVSLGTHRTSVCVCQHRQDVRVCSCVSVSTQRTCVAVHQYTYQHAGPWTHQVYPSRGLFG >A04g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18110415:18110916:-1 gene:A04g507390.1_BraROA transcript:A04g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFPMLFGVRTDIHAPNHHCKRKLKEKDWPVLDSELNEIHAVSKEFSELSIAYIPRALKLRMNSLAKGVRSRASQSAFVNPFAPSWLAPQASMRMSKKRIKLKVKLNISMNKFIRSPYLCGCLYRTNNFFRQL >A07g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26712894:26713268:-1 gene:A07g509150.1_BraROA transcript:A07g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVKSGEWKLLLHWRISRESYEDYGVDHNVLNTEFSYLMLFLSVDTKPLTGFPFYYSGESFFVDEEEEVALVLDEA >A09p078020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57855154:57858047:-1 gene:A09p078020.1_BraROA transcript:A09p078020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLDHGNLFTVIMVPGLLSISSFLCFTLSSIQAWLSFTTLDVIAMDYASPWINVQSWQNTLNMCFALLNYPGRLNSYHHPVPGSRFQNTKNENIEVGITLFVLYQKRKEDIVFERTRVAYIWRTCQLIKADESDLGDHIKVDPKLKFENPKLREAYIALQSWKQTIFSDPFNFTANWNGSDVCSYNGIYCAPSPNSYPKTRVVAGIDLNHADMAGYLPAELGLLSDLALFHLNSNRFCGEVPLTFNRMKLLHELDLSNNRFVGKFPSVVLSLPSLKFLDLRFNDFEGMIPSKLFDRKLDAIFLNHNRFRFGIPDNMGNSPVSALVLADNDLGGCIPGSIGQMGKTLNELILSNDNLTGCLPPQIGNLKNVTVFDISSNTLRGLLPSSIGNMKSLEELHVANNGFSGLIPPRICQLPNLENFTYASNFFTGRAPICAALSVTEALVNGSMNCIAGMASQRSVKECLSLLARPVDCSTFGCFNIFSPPPPTFKMAPAVRMLPPPIYVYTSPPRPSSKMSPTVRAYSPPPPASPSPPPPYVYSSPPPPPYIYSSPPPPSPPPPCPESSPPPPVVFYAPVTPSPPPPSPVYYAPETQSPPSPIYYPWETSSPPPPSPVYYPWETPSPPPPTEYYYSPSQSPPPAKGCKDSHPPQASPSYEPAPEYSYTTPPPPSYPDTTLPPIPSVSYASPPYY >A03p028040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11712753:11723857:1 gene:A03p028040.1_BraROA transcript:A03p028040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMEDYGFEYSDDEPEEQDVDIENQYYSAKGRVEAEPEDALSEFAMVVKMEPDKAEGFKALKQTVKIYYRLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNTGLLQEFYQTTLKALEEAKNERLWFKTNLKLCNIWFDIGEYRRMTKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQIYTETKDNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMTERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKNNRRTIMDDPFIRNYMEDLLKKVRTQVLLKLIKPYTKIKIPFISKELNVPENDVTELLVSLILDSRIDGHIDEINRYLLRGDSANGRKLHKAVDKWNTQLND >A03p064160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27897740:27898969:-1 gene:A03p064160.1_BraROA transcript:A03p064160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDEVEPTKKKKKTVPDELPSMFSSLPDEIIENILARVSRWKYPSLSLVSKRFHSLLSSMDIYKARSQIGSNETCLYIWLKLPGHPCASWFSLRTKPNNQNRTKRRKGKISFKRDSSVMSVVPIPSYSSTDSNFPELSYIKTVGPEIYIIGGSYNKEPSSSVRIFDCRNHTWRDAPNMTVARENAQTVLVDEKIYVMGGCDIDKYYANWIEIFDVRTQAWTALPGPGADDEDELRNHLRKNNSKYYIVNVFKGKFYLAADEKEYSYEPKNENSSFVSGPVQIWGKIGGDIICGCTGSGHLMWSGFENEGIEWSGIKGLEELREHPTRGLETGSKFGQLLVMWDPYPPSVSSSSIKRNSKIRYAEISLESRRNGREMWGKVECVDVLTFPVESYEWFDCVAAS >A01p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7967919:7971996:-1 gene:A01p016090.1_BraROA transcript:A01p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 4 [Source:Projected from Arabidopsis thaliana (AT4G23850) UniProtKB/Swiss-Prot;Acc:Q9T0A0] MTQQLEKFIFQVEEGREGSDGRPSVGPVYRSVFAKDGFPEPIEGMDSCWDVFRMSVEKYPNNPMLGRREIVDGKPGKYVWQTYQEVYDIVIKLGNSLRSCGVEEQAKCGIYGANSPEWIISMEACNAHGLYCVPLYDTLGAGAVEFIITHSEVSIVFVEENKISELFKTCPKATEYMKSELFLFVFLPFSLLTFVANDRLCVVINTAVVSFGGVTREQKEAAETFGLVIYAWDEFLKLGEGKQFDLPIKKKSDICTIMYTSGTTGDPKGVMISNESIVTLIAGVIRLLKSANEALTVKDVYLSYLPLAHIFDRVIEECFIQHGAAIGFWRGDVKLLIEDLGELKPTIFCAVPRVLDRVYSGLQQKLAAGGFFKKFMFDSAFSFKYGHMKKGQSHVEASPLCDKLVFSKVKQGLGGNVRIILSGAAPLASHVESFLRVVACCHVLQGYGLTESCAGTFVSLPDRLDMLGTVGPPVPNVDIRLESVPEMEYDALASTPRGEICIRGKTLFSGYYKREDLTKEVLIDGWLHTGDVGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYGEVQAVDSVWVYGNSFESFLIAVANPNQHILEKWAAENGVSGDYNALCQNAKAKEFILGELVKMGKEKKMKGFEIIKAVHLDPVPFDMERDLLTPTYKKKRPQLLKHYQSVIDEMYKTTNESLASRK >A08g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12434901:12437418:-1 gene:A08g507150.1_BraROA transcript:A08g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTPIYYYLHDVPSMRSSRRIGGEIFCINRHCISHLRFTKSFFFAYYSYRFRFRFVMSTPRVFFSDLKSGKCSSAVEARLLRFWEAKNVKRGGELMWVDMLLIDVNATMMQATIYANRLSRFRSKLAAGTMYTVSGFDVARCAQNFRLTDSPLLIRFNDSTAFDELIEPVSPLPEEGFRFRNQSELIGLANTSTQLPGEITAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSFFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKSGEDYFYRLVARDNGVPSAAPLLKGYAKVETLTISELISFVATAQSQDIDFVCTGRVVRLDVDKGWCYVACARCSKKLQRTVSALECVRCNNPNAVGVLRYRLELAIADNTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDMMVPPFISDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDDNNLGDGGHVPVRGDSVEGSSGPDKKADGTPADAAVEKRSRPSTSAAKKARVV >A01p037860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15125216:15127745:-1 gene:A01p037860.1_BraROA transcript:A01p037860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMKERSRKGGFVAILVLVFCCFFENMTGISIAVSPYEPATSYISGRFFVLHNKYEMLKSLPSYNEYHIRLNLATVQVWQTIRSRCRDGFITLSIKSVHFFISKLMQYRYSISLVTPVYHSWTSRIIFWVTLTRSVSSMSNDTAL >A02g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16970585:16972414:-1 gene:A02g505830.1_BraROA transcript:A02g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELQDMKARKAHYDMLHFVADAQQGIPKLCPCGSITKESVDEEDTYDYLPGKRYFICKDFENDGMHFRQPWVMGVQQEVERLKIRVHEHEKLLRECDELKAQVRMLLRRVSDLERVVKLKTMYQMKTKDAVSSLFIHGFFVYVLLCI >A07p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12528760:12529789:1 gene:A07p021420.1_BraROA transcript:A07p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRPVCLLAPVLHPNMRVNDLINQESQEWDVEWPVHGLIKILGSSQVLKVEDEKEMRHGHLQHRSAGVKGLDEQLREGSTYGNTKLYSARVCHAFRSRSTEMGDVEYASVLVMSKLQNGLQGFDLHD >A08p024300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15843617:15860048:1 gene:A08p024300.1_BraROA transcript:A08p024300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLLQLLALWSFSLSRCESTFVPYDYSATVEASNCLDKPLKPQYNGGIIVNPDLRDGPQAWLPFGNAKIEFKDIGHDKFVVARERKQPHDSVSQKVHLEKGRLYTFSAWLQVNNGKAPVSAVFKTNGEYKHAGSVIAESKCWSMLKGGLTVDESGPGELYFESNDTRVEIWVDSVSLQPFTQEEWKSHQDHNIHKERKRTVRIRVVNSKGEPVQKASLSIEQRKLGFPFGCEVEKNILGNHAYQSWFTKRFTVTTFANEMKWYSTEVVRGKEDYSIADKMFRFFKKHGVAVRGHNIVWSDPKYQTKWLNSLSGREFYNAVKQRVSSVASRYKGQLEGWDVVNENLHFSYFEKKMGPKASRNIFKMAQAFDPTTTKFINEYNTLEEPRDLDSSPAKFLKKLRELKSIVVRGNISLGIGLESHFKTPNIPFMRSALDILGATDLPIWLTEVDVEAPKNVQAKYFEQVLREGHAHPRVKGIVTWAGYSPTGCYRMCLTDGNFKNLPTGDVVDKLLHEWGGFRRQTKGLTDADGFFEASLFHGDYDFKIDHPLTNSKASHSFKLVSDVSSNTQPSSFCLGNPNKPQYNGGIIVNPDLQNGSQGWSQLGNAKVDFTEFGGNKFVIARGRNRSYDSVSQKVYLEKGLLYTFSAWLQVSQGNAPVRAVFKKNGEYKLAGSVIAESKCWSMLKGGLTVDESGPADLLFESEDTSAEIWVDSVSLQPFTQEEWNSHHEQSINMKRKGAVKIRAVNSAGEPVPNATISILQNKLGFPFGCAVESNILGNQAYQDWFTKRFTVTTFGNEMKWYSTEVVRGKEDYSTADAMVKLFQQHGIAIRGHNIIWDNPIYQPSWVKALSVSDLYNAVKRRVFSVVTRYKGQLAGWDVVNENLHFSFLESKFGPKASYNVFAQAHALDPTTTVFMNEYNTLEQPGDPVATPARYLQKLRELQSIHVAGNIPLGIGLQSHFSTPNIPYMRSALDTLAATGLPIWLTEVDVAAPPNVQANYFEQILREGHAHPQVKGMVTWAGYNPKGCYRMCLTDGNFRNLPTGDVVDKLLREWGGLSGQTTGLTDADGFFEASLFHGDYNLDISHPLTNSTASHSFKLTSEDSNPSPSVFRSEDTTAEIWVDSVSLQPFTQEEWSSHHKQSIDITRKGAVRIRAVNSAGEPVPNATISILQNRLGFPFGCSAGSNILGNQAFQDWFTKRFTVTVFENEMKWYSTEVVRGKEDYSTADAMVRLFKQHGIAIRGHHIILDDPKYQPSWVTALNGPDLYNAVKRRVDSVVSRYKGQLASWDVVNENLHFSFFENKMGPKVSYNVFEQAHAVDPTTTMFMNEFNTLEQPGDAVSSPARYLQKLRELQSIRVPGNIPLGIGLESHFFNTPNIPYMRSALDTLGATGLPIWLTEVDVAAPPNVQANYFEQVLREGHAHPKVTGMVTWSGYNPRGCFRMCLTDGNFRNLPTGDVVDKLLREWGGLRGKTTGLTDADGYFEASLFHGDYDLSIAHPLTNCTASHSFKLTSDDYHPSPTVVQKSGLIWEAHLHPAVEGITFTDKDFNDIETGDVIDKLLKAWTKKLQRRDVSLLHRHYDVNVINKSSTIYKELVYGPHV >A05p024450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11921348:11923285:1 gene:A05p024450.1_BraROA transcript:A05p024450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYSLLFLSLLQLQCTLSTGNNHIIHRKSLEIISGSGVGGIPPPLPSPQPKPEECPPPCPPRPPPPQPEECPPPPPPPCPPPRPPPPQPEECPPPPPPPCPPPRPPTPQPEECPPPPPPPCPPPRPPPPQPEECPPPPPPPCPPPPQPKPEECPPPPPLPPSPPPPPPPSPPPTPSPKTPPLPPPESIHSPPKAPPLPPSEPSRSPPKPPKSPPPPQLTFAGPLLKKVYPVLQAFKKLVEVDPKNILASWNGSDICGKYRGLECAMFPGTKYQAVASVQFNGFNFSGKNLRLDNFLDKLDTVTIFHANSNNFLGSVPKVSNLKYLFELDLSNNKLTGEFPASVLKATNLTFLDLRFNTFSGSVPRQVFNLDLDVLFINNNNLVQKLPLNLGSITALYLTFANNRFTGPIPASIGNIKYLQEVLFLNNQLTGCLPYQIGKLNRATVFDVGYNNLTGPIPYSFGCLDKMEQFNLARNKFFGTIPEIVCEISSLKNLSLSYNFFTQVGPKCRNLIKRNILDVRMNCILDLPNQKTPLECANFFMRKHTCPNSKSMFRIPCGKNPNRVRLDQEQLEDEQAQASSPVSYWALNPDRIQNR >A03g507690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27153759:27156437:-1 gene:A03g507690.1_BraROA transcript:A03g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFGESVARIEGSDGFVVGFVGGAIEFYERCEDYGSYGNRGVSRDDANKTMQRDPLVTPNDKATAERLDSVEKQIATIGEDSSAVMGIVTVPVFPDVDLRQWISWMEHYFARKGLTDFEKLHMAYGFIVDEAETYISGIDSLRPIRSWKHMKETLLWQFGADDDPEKIRMKASYDRGHKAFLEWEADKRRRSQLCSGDAGVITFTAESTSYNAIVHETGVVRNCSLSDLIQPALDSETVHERDLVIHTVPAAEVSVQSETIFEKDVFAETGHEDELSTEKEVEQVSKSLCIAAQEERLVAEMALKGPDLVKTMALTTLDSVSVSSADYLVPRCLSPAIMLQKVSGAETEDAVVMVTEDTFFPLEHNMVLLQEHKFVQQICSSPPVLVVSDLVAFLGGTMIIDAENVEVACVHQLCDLKFLPSQTEQKTCVKTWMFKFKPLNLLRVLLQLGSNYITEETDRSKLLAVTTRHDFKDLIQLFLCDLIYKVKHKWRVKNLELVSASEFLVAVAICSHVTDKADVGAEHTWGINWSLFMEDRLWQNFKRQSMHCWKVCVFVWMERLRVGSGNVLLDSLFSPCLELYHTCLKVKGIRLMLQLQMQHMLQASQDLLVGVREFQVKHKWRFKSASVWSKGSSHESFSILLISPSLWASLSLRDGVYTRIRVCGLLVGVLLWWFTTASESDLINKYVRKEKEMTGHSILWKVLSYHLLKERRAVLLMLLKRQMLQTLIQERLTWKKCYKTWRFKYKARLKQVQVRLLQVVLSHWRSSGFVWHRWRSKERGRRVERDMLKSIKAYRLAVLSSQRSYRLSAKRRVGSFHTSLWASLFSKEEVLIDIKYVYTDLARAELTWLRGKDKAKLQTAPEGLLLETYEAARDKAMSIRGEDEEERRML >A04g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4481420:4481816:-1 gene:A04g501870.1_BraROA transcript:A04g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQFLKSREPFGMAHGGNFSGIYMKVQLKPLKWDGECEEERLVEALMILKYGGVLTRAGRKEVFTYSTI >A07p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17716008:17721214:-1 gene:A07p032210.1_BraROA transcript:A07p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 21 [Source:Projected from Arabidopsis thaliana (AT3G62150) UniProtKB/Swiss-Prot;Acc:Q9M1Q9] MDGIMESEEGLKVDSPNRTEAETSNSKTPEEEVKTEAVLKEEKKKTDEKKKKEEDDEKTKTVPFYKLFAFADSFDIILMILGTIGAVGNGLGFPIMTILFGDVIDVFGQNQNSSDVSDKIAKVALKFVYLGLGTLVAALLQVSGWMISGERQAGRIRSLYLKTILRQDIAFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKAIQLISTFIGGFVIAFAEGWLLTLVMVSSIPLLVISGAALAIVISKMASRGQTSYAKAAVVVEQTVGSIRTVASFTGEKQAISSYNKHLVSAYRAGVFEGASTGIGLGTLNIVIFCTYALAVWYGGKMILEKGYTGGQVLIIIFAVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIKRKPEIDASDTTGKVLDDVRGDIELRDVDFSYPARPEEQIFSGFSLSISSGSTVALVGQSGSGKSTVVSLIERFYDPQSGEVRIDGVNLKEFQLKWIRSKIGLVSQEPILFTSSIKENIAYGKEDATVEEIRKATELANASKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHQGKIVEKGSHSELLRDPEGAYSQLIRLQEDNKKSEDSTEEQKISMESMKRSSLRKSSLSRSLSKRSPSFSMFGFPAGIDATNETKPEIKEDETVHKKVSFLRVAALNKPEIPMLILGSIAAVLNGVILPIFGILISSVIKAFFKPPEQLKSDTSFWALIFMLLGVASMVVYPAQTIFFSIAGCKLVQRIRSMCFEKVIHMEVGWFDETENSSGAIGARLSADAATVRGLVGDALAQTVQNLASVTAGVVIAFVASWQLAFIVLAMLPLIGLNGYIYMKFMVGFSADAKRMYEEASQVANDAVGSIRTVASFCAEERVMKMYKKKCEGPMKTGIRQGIVSGIGFGVSFFVLFASYAASFYAGARLVDDGKTTFDAVFRVFFALTMAAVAISQSSSLSPDSSKASNAAASIFAVIDRESKIDPSDESGRVLDDVKGDIELRHVSFKYPSRPDVQIFQDLCLSIRAGKTIALVGESGSGKSTVIALLQRFYDPDSGQITLDGVEIKTLQLKWLRQQTGLVSQEPVLFNETIRANIAYGKGGDASETEIISAAELSNAHGFISGLQQGYETMVGERGVQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLISIKDGVYASLVQLHLSAST >A06p044350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23847358:23848963:1 gene:A06p044350.1_BraROA transcript:A06p044350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRLVVIGRLWNLTFSDSWSLHFQHAKLKFTYNGHRYPPFIARLLHSSLSQKFRSFNRSRIITLIIQTSISEMLSRAVQLEEGKELLVTGGRTGLNKAFPIKLLLLLVFFLAFTVAFIIITVSTIKYYGINSVVTSVTSGFVPCGAEPNVLDKWIKPPLALMHNMSDEELLWLASFMPLMKDYPFTRVPKIAFMFLTLGPLPFAPLWERLLKGHEKHYSVYIHSQVSSSVEFPASSVFYRRQIPSQVAEWGRMTICDAERRLLANALLDISNEWFVLLSESCIPLYNFTTIYGYISKSKHSFMGSFDDPSPYGRGRYDGNMSPEVSIEQWRKGSQWFEVNRELAVSIVKDTLYYPKFKEFCTPACYVDEHYFPTMLMIEKPAALANRSLTWVDWSRGGAHPATFGEPDINEDFFAKIMKGDNCTYNGESTSMCSLFARKFSPSALEPLIQIAPKILSF >A02p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5509473:5510279:-1 gene:A02p012600.1_BraROA transcript:A02p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAMDYLGIDLLCATNSLRHGHFPAKDCLFPLITKLLSYFLVAASMTVKLPQIMKIVDKKSVRGLSVTAFELEVVGYTISLAYCLHKKLPFSAFGEIAFLLIQALILMGCIYYFSKPLSVGAWVRVAVYFALAPALFAGKIDALVFEALYASKHLIFLSARVPQIWKNFRGKSTGQLSFLTCLMNLGGSMARVFTSVQVNAPFSMLLGIVLAVFTNGIIMSQILLYRVKEEKQVDAKKML >A07p004720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2660455:2663624:1 gene:A07p004720.1_BraROA transcript:A07p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGLSVSKRILKEVVEIQIDKINNTCRRTILETVKGVLEDEYEEVLKDPVFGPILAIRELFPFISHIGNNDVVDNVEFIREDEKNDERVEEDVEVEDVTKEPSVVAKEPTVVAEEPIVRLRMAYQFPKRILEEGAEIQIDKINNTYRRTILDTVKGVLEDEYEEVLKDPVFGPILAIRELFPFISHTRNNDVVDNVEFIWEDEKNDERVEEDVEVEDVTKEPSVVAEEPAVVAKGGKQSLLIQTFIEGLFTSSFNSLKELVQKDIQERFDKSPDPSAAKGKGKGKAAESLPPPTVHIASASKKDVQNSEDDMIDFLKNMSQSSNI >A03p022430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9411235:9414498:1 gene:A03p022430.1_BraROA transcript:A03p022430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGGNTSSKSGNVDKFLSPPPGFEGCSKSVKVEKLLSPPPGFEGVNRSSKSGNVDKFLSPPPGFEGVNRSSKSGNVDKFLSPPPGFESQARFVLRKVQEKTNGFRPGDADSFQKLVNSRPWIVHDHTTPSSGVLKPTKPQVHPRRLPRVSKKVVLEEAPVFNPTEKEYNDTLSYIASLRDISEPHGICCVVPPPSWDPPCLLGERKIWEGSRFFTQVQIFDGVQTEDPKIKKETDADSDDDDTFHKVKFCRTELGNEYTLETFKNFADSYLESHFRVKEKVLASMYSSPSVDAWPTVADVEKEFRKLLENPFVELGVLYGNNIDTKTFGSGFPLSGSSESCKYKTSGWNLNNTAKLPGSLLTFDDCESVCVPRLSVGMCLSSQLWKSEKERLYSLCYLHTGAPRVWYSVAGCHRYKFKAFMESFVPKVSGEQSKRSYDSVMAMSPYALHMEGIPVTRCVQNRGQYVILFPGTYYSAVDCGFNCLEIANFATLDWLPHKEIDALHNQEKKRKSLLSYDKVLFGAASEAVKCLKEYSLTKKETSGNMRWFNACGKEGMFSNTVKSRVKKEKSRVQYLSGPLKPQRMKESFDDVSKRACCVCFVDLHLSAVQCSCSADRYSCLSHMKNLCACPYDKKSFLYRYTIDELNILAEALEQRKLSCMFKWGNIDGNYCASPAIRSSQPGGDKGKTTDEVMQDVETGGKEQMKARGKVRSIIEIMNVKEENDNESGPVDPKPLF >A05g510390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32092481:32093025:1 gene:A05g510390.1_BraROA transcript:A05g510390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQEQMESLMLGEERRRGNCVRDADEGFNSPSSFPNSPDDSDRRSSSSFRRGLSKHYKGKSQSFTSLSAALTVGALAKPENPFNVKLKQRRGNTHCRRLSGCGGASEQNLGVHDAFHSGNGRPPRLSGNRAPPRAQTLSAAHISALLTRT >A04p033920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19697076:19698816:-1 gene:A04p033920.1_BraROA transcript:A04p033920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLYSLYFSCSYSHPLSLRSRRLSVPLSMKSPCVPLYFKNTHRFIKSAISDQYHEKDERNEISGTLGEKENQGTMPKHVAIILDGNRRWAKKNGLKIAEGQEAGCRRALDMTEDFFIEGINTVSLFAFSTENWRRPQDEVDYWMVIFEFFAKMMIPQAHRQKIKVTVIGKRENIPASLRDAILEVEEATKSYEGKHLIMALDYSGRLDILQACKSLAEKAKNGLIQLEDIDEKLMENELMTKCSEFPIPDLLIRTGGEQRISNFFLWQSAYTELYFPTVLWPEFGKTEYLEALTWYQQRERRFGLRV >A02g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16407131:16410942:1 gene:A02g505480.1_BraROA transcript:A02g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFTVGNGRLARQQRLHLIRTRRAATSQHQNIPNEEQTLLPLQAENINVVNTRATRAMRMKKMNSKKVCTPYVWIKRLAGQPNRIRRNPLLTPSTLSLLFLLHGCFSFIPRSLHLLHLQTCLHIPPTSSLSFELNSQSISLSIFASSAASRFIRNIAVTDDFNIEEEGNIISDEAPPPPQQEQSFSADLKLFVGNLSFKSIVLSSLSSSKAHETLRWLRGFGFVTISSVSEVKAASQQFNVYTWTAQHIMINRSTTVSLSVFDAQADQLKQNILAIGVAKVIVATSINPKFVGGNIRCGKGRLYLDATSGIHFYFDHEVAASQRLFQELYSKPEKDTTSAKQYHGVKKLEKVSLGELNNYILESPPQVLEFLCKAKIASLETTNGWYRVELLVEAGDDKSLFVAFDSAMTKLTGIRAAEVVME >A04g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6491104:6491916:1 gene:A04g502870.1_BraROA transcript:A04g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTSDRITLLNDVKPFKSTWKVEVKVLHSWTQRSNYPGGDSLQFILADKTSRETTRDSGMSKNAGAEHNFSKSDPLNEDLLSNMDGYDDLEFDSSSQESFDSDTSDHEQSILLEPEIVNQSERVMKLAAMFKKTFSEVKKKVKPISPKEDG >A01p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14697778:14698283:-1 gene:A01p038610.1_BraROA transcript:A01p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRNETGHIRPCHIRESYRRRKLQGKVTQRTVPRLFRQIHSCLLNMIYCNDPDPNEVIKNKRGSWERIINYSLLGVFR >A09p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17829373:17831269:-1 gene:A09p029960.1_BraROA transcript:A09p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-3-O-acylglucosamine N-acyltransferase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G05210) UniProtKB/Swiss-Prot;Acc:F4JGP6] MATNLRRLFSVSAQGVLLKKKLPGSFSSCRNLCVCSGQTTESITVTSSPCDGLETSLGGDADKGFLRWRNGGGTYHSSAVIDSSALVEFGAVVHEKAILGAEVHIGSNTVVGSSVKIDHSTKIGYNVSVSNCSIGELCVIHNGVCIGQDGFGFYVDEQGNMVKKPQALNVKIGNRVEIGANTCIDRGSWRETVIGDDTKIDNLVQIGHNVVIGKSCLLCGQVGIAGSAEIGDYVVLGGRVAVRDHVSIVSKVRLAANSCATKSITEPGDFGGFPAVPIHQWRRQIVEAKILSKRKP >A08p029460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:18249141:18250211:-1 gene:A08p029460.1_BraROA transcript:A08p029460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVEWSGLPEELVDEIAGRLFSKVELHRVRSICKPWRSASSIHKRYPKRHDRNRVRVLSPLSNINSCLLSPAAFFRVFLSSCRNKGWLIKTQDVSETRKKLLHPLSRVPMDSSQQTLDLLEYTVTEIHQSYDVTKSISYNFARVVLMDKFVFGVNDKKEIWWCNSEESNDGNNNVWTRVSDEEAEYFSDIIVHKGQIYALDLNSAIWWISLSELKIFQYGPSTPMDYYEFDDCKDKRLVEFCGELCIIHRFCKTFRVRRVNVERTTGFKVYKMNMELVEWVEVKSLGDNAFVMATDSCFSVVASDYYGCLEDAIYFTEKKDVNNVSVFKLGEGTVTKLVESFENCFHMFYPPFV >A04p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8989990:8991371:1 gene:A04p007250.1_BraROA transcript:A04p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETANTSSSVEKNYELPDGQVITIGSERFRCPEVLYQPSMIGMENAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A07p043500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23786382:23787408:-1 gene:A07p043500.1_BraROA transcript:A07p043500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSPLYLIILLAYAAAISLSSSLARSHEQNNGDEEMRSGGRRILLGFKETPKGSNVAFECSPSGPCVSCNSSEKRKEKYRCSETGYRIPFKCREVRGGGGEASSHKKDAEETQQNDQSNVSNDDEEVIDASVKQRNLRDDSSSPASKAKKSQSYKTYRSCVPSSDEERVSVLGFEAVMLGLLLVSGSFVYYRKKQTVPMAGGVSTGRSQSNSRF >A05p050160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29012769:29019035:1 gene:A05p050160.1_BraROA transcript:A05p050160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDLPAMYSLLANSMSGDENVRRPAEAALAQSESRPGFCSCLMEVIASKDLVSHVDVRLMASVYFKNSINRYWKSRRNASGISNEEKIHLRQKLLSHLREENYKIAEMLAVLISKIARFDYPKEWPDLFSVLAQQLHSADVLASHRIFMILFRTLKELSTKRLTADQKNFAEISSQLFDFSWHLWQTDVQTILRGFSSMAQSYGSNSAEQHHDELFLTCERWFLCLKIVRQLIISGFQSDAKSIQEIKQVKEVSPVLLNAAQSFLPYYSSFHNRDPKFWEFVKKACVKLMKVLAAIQSRHPYSFGDKCVLPVVVDFCLNKITDPEQASLPFEEFFIQCMVMVKSVLECKEYKPSLTGRVMNENGVTFEERKKNASNTVSGIVSSLLPNERIVLLCNILVRRYFVLTASDLEEWYQNPESFHHEQDMIQWSEKLRPCAEALYMVLFENYSQLLGPIVVSILQEAMNNCPPSVTEITPALLLKDAAYAATAYVYYELSNYLNFRDWFNGALSLELSNDHPNRRIIHRKVAMILGHWVSEIKDDTKREVYCSLIKLLQDNDLAVKLAASRSLCLHVEDANFSEQSFRDLLPICWESCFILVEEVQEFDSKVQVLNLISVLIGHVSEVIPYAQKLIQFFQKVWEESSGESLLQIQLLVALRNFVIALGYQSPICYSILLPILQKGIDINSPDALNLLEDSMALWETTLSYAPMMVPQLLACFPYMVEIIERSFDHLQVAVSIMESYIILNGGEFLNMHASSVAKILDLIVGNVNDKGLLSILPVIDILVQCFPVEVPPLINSCLQKLVIICLSGGDDRDPAKTAVKASSAAILARILVMNTTYLAQLTSEPSLSLLLQQAGVTIEDNVLICLTDIWLDKVDHATPMQKKTFGLALSIILTLRMPQVLDKLDQILSTCTSVILGGDKDLTEEESSGEMSSGRSQGEEAPPSKELRKSQIRVSDPVYQISLENSMRENLQTCSTLHGDAFNSAISRMHPSELAQVKQALKLP >A07p040020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21250084:21257741:-1 gene:A07p040020.1_BraROA transcript:A07p040020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVVSDEEGEDEYENDGFIVGDEEEEEEEEDDEEEEKKNSDEERQKKKKKRRKMDEDLDEDDYLLLQDNDVKFQKRKFKRLKKAQREREGGQGGSSDDEFDRSGGAGRSAEDKIKDNLFDDVDDLPDDVGDEEELAVEEDVVGSEDEMADFIVDEDGNGQPRRGDHRKKRYRQGSDMSAIHDANEIFGDVGELLSLRKKGLASSERMERRLEDEFEPTILSEKYMTGKDDEIRQVDIPERMQISEDSTGSPPVDELSIEEESNWIYGQLTSMLKDPDGLYVLGGQGFSVSKDDIAKFLELHHVQKLEIPFIAMYRKEQCRSLLDSSDISDLNTEKKPEAKWHKVLWMIQDLDKKWLLLRKRKTALHGYYTKRFEEESRRVYDENRLNLNQYLFESVMKSLQVAETEREVDDVDSKFNLHFPAGEVGVDEGQYKRPKRKSQYSVCSKAGLWEVANKFGYSAEQLGLALSLEKLVDELEDAKETPEEMAMNFMCAMFENSQAVLKGARHMAAVEISCEPSVKKYVRGIYLENAVVSTSPTAEGNGIIDSYHQFAGVKWLREKPLSKFEGAQWLLIQKAEEEKLLQVTFKLPENYMNRLVSDCYEHYLSVGVSKYAQLWNEQRKLILEDALHAFILPSMEKEARNLLTSRAKSRLLSEYGEALWNKVSAGPYQKKEMDISSDEEAAPRVMACCWGPGKPPNTFVMLDSSGEVLDVLYAGSLTLRSQNVNDQQRKKNDQDRVLKFMMDHQPHVVALGAVNLACTRLKDDIYEVIFQMVEDKPRDVGQMDDLTIVYVDESLPRLYENSRISGEQLPQQSGIVKRAVALGRYLQNPLVMAATLCGPGREILSWKLHPLEGFLQVDEKYGMVEQVMVDITNQVGIDINLAASHEWLFSPLQFISGLGPRKAASLQRSLVRAGSIFVRKDLIMHGLGKKVFVNAAGFLRIRRSGLAASSSQFIDLLDDTRIHPESYGLAQELAKDIYDQDVRGDSNDDEDAIEMAIEHVRDRPGSLRKVVLEEYLASKNRENKKETYSNIMRELSCGFQDWRIPFKDPTPDEEFYMNSGETEDTIAEGRIVQATVRRLQSGRAICVLDSGLTGMLTKEDFADDGRDIVELSDRLKEGEILTCKIKSIQKVRYQVFLICKESEMRNNRHQRNQNLDPYYHEDRNSLQIEKEKARKEKELVKKHFKSRMIVHPRFQNITADQATEYLSDKEFGESIVRPSSRGLNYLTLTLKIYDGVYAHKEIVEGGKESKDITSLQRIGKTLTIGEDTFEDLDEVMDRYVDPLVSHLKTMLNYRKFRKGTKSEVDELLRMEKSENPARIVYCFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFKFRKRMFESIDKLVAYFQRHIDDPLQETVPSIRSVAAMVPMRSPGDRGSSGGGSWGGNSDRSSGPRPGRGGEYRNGGGRGDGHPSGAPRPFGGRGRGRGRRDNNSEREDGNGDWGNNNTGSGDGGWGSSGGGGWGSESGGKKSDGAGGWGSESGGGGGGGWGNESGGKKSSEDGGWGKEASGKKSGEDGGWGNSGGGGWSILYNQSVPHKKCVVDHHSHEKIRRRRNQIIAFALDTGSSSSVPGGGGGEEMNENRTGLGSTRLGRIAIALGRQLFVKINSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKKKKPSSSSSSGKLQSFVVFMNFWKAGVCLGLFVDAFKLGS >A04p040280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22707597:22708100:1 gene:A04p040280.1_BraROA transcript:A04p040280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQRHKDDEEEAQIPKTEFETSSEVESDKKAERSTTKLDKQAIPKKSPNLTKEEKTIKDSFFYCCQNFFTLPEMIDYMKVNHGLPKTTVTNVFRELLTGRNGESYLRASQRRALQRSSNGTTSSTVGSSSSTK >A09p018100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9426229:9428792:1 gene:A09p018100.1_BraROA transcript:A09p018100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62750) UniProtKB/Swiss-Prot;Acc:Q9SI75] MAADALRISSSSSLVCNLNGSQRRPVLTPLSHHRSTFLGLPPRASLSHLLGKARIGLDSSKLSHRRKQFSVFAAAEGEGKRAVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWDKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVLQIPIGAEDSFKGVVDLVRMKAIVWSGEELGAKFNYEDIPADLEELAQEYRAAMMELIVDLDDEVMENYLEGVEPDEATVKRLVRKGTITGKFVPILCGSAFKNKGVQPLLDAVVDYLPSPVEVPPMNGTDPENPEVTIVRKPDDEEPFAGLAFKIMSDPFVGSLTFVRVYSGKLTAGSYVLNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLSDPESPVVLERMDFPDPVIKVAIEPKTKADIDKMATGLIKLAQEDPSFHFSRDEEMNQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVAEVKYTHKKQSGGQGQFADITVRFEPLEAGSGYEFKSEIKGGAVPREYIPGVMKGLEECMGSGVLAGFPVVDVRACLVDGSYHDVDSSVLAFQLAARGAFREGMRKAGPRMLEPIMRVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSSKDQEVAA >A05p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1385763:1389809:-1 gene:A05p003730.1_BraROA transcript:A05p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRYAPAKSQIREIRRFSEPKSLDFSTWVSENLSRIVVISLSIVTILALFFLYNTTDTASLLCFQTQSTQSLQPLTRPQIKWNSIQVLPDKTSPYSTFVTEKWIVVSVTNYPTEELKGLVKIRGWQVLAVGNSLTPKDWSLKGSIFLSLDAQAELGYRVLDHLPYDSFVRKSVGYLFAIQHGANKIYDADDRGEVIGGDLGKCFDVELVSEPMLQYSHEDPNRTVVNPYIHFGQRSVWPRGLPLENVGEINHEEFYTEVFGGKQFIQQGVSNGLPDVDSVFYFTRKTTLEGFDVRFDEHAPKVALPQGVMVPVNSFNTLYHSSAFWGLMLPVSVSSMASDVLRGYWGQRLLWELGGYVAVYPPTAHRFDRIEAYPFAEEKDLHVNVGRLIKFLIAWRSEKHSLFEKILDLSFAMAEEGFWTEQELKFTSAWLQDLITVGYQQPRLMSLELDRPRANIGHGDRKEFVPRKLPSVHLGVEETGTVSTEIGNLIRWRKNFGNVVLVMFCNGPVERTALEWRLLYGRVFKTVVILSSRKNSDLYVEEAKLDHIYNSAEGFLFVEDDTVLNYWNLLQADKTKIWTTDKVSKSWTSVNPTGKSDWFSVQAELVKRTVSTMPAHFQVNYKEAAKNSLDALTVCSSEVFYVPKRLVTDFVDLVDIVGDMDLHYKVALPMFFMSMDSPLNFDPVLGSMVYKKKTSSFNSSLSLYSAQAPAVHPWSISSEQDFIKLVGQMAEGDPLLMEFILHLKLEPLARVAESVTMEEEKRRQICSNCDRPKPICICHVIPSEPIATKTEILILHHPHESRHKLNTTPLLVKSLTNITTISGRRLRHHHISASLPKPSRTIYLFPPSPSSPAVTLSDFKSQNRTTSDPPPPVRLIVFDATWKHAKEMVKASEEVLREAGAVRVCLDAGIDESVSGGSIYDSELFLRMEPVGGCVSTAEAVARCLGALEVDGEEIERKLISVLKEMVRLQSEFLKPMRPRPKLLKKRLQIQQEEE >A08p019450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13259145:13260313:1 gene:A08p019450.1_BraROA transcript:A08p019450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLFPQALHMIPTSQPSKKLGFSSFLSCAPSMNPPRLSVSRLSLNHSVSKSSFSLDAKARREVLVRAEGEGEGEGEAEEAVDESNVEEVVEAKAARKARVKLGDVMGILNQKAIEVSEKVRPVPEIRTGDIVEIKLEVPENRRRLSIYKGIVMSRQNAGIHTTIRIRRIIAGIGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK >A09g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11141100:11142735:-1 gene:A09g503550.1_BraROA transcript:A09g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSLSHVIHSIFPSFHGPDVRRDFLSHLHNVFARKQITMFDDQKMERCQTIGPVLIQAIRQAKASIVVLSRNYASSSCCLDELLEILKCKEDLGQIVMPIFYDVDPSDIRKQKGDFGVAFKKTCEGIAEEKKRRWIEALTCVATIVGEHSRNWNDEAAMLEKISTVMLEKLKMEKLWEMFRSHDIDRNGFINEQELRYSMTRDGGKVTDEEVRMIIKAADVDSDGRISHNEFAKFIETDNIEKVSMFGKFATDVTLSWDEKKEMIQWFRLLDVNQNGFITAADYQKYILTNYGKKVTDEDAHNFIKAFDVDCDGQVSYDEFVKLTR >A08p023760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15620197:15623564:-1 gene:A08p023760.1_BraROA transcript:A08p023760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRKLLPLASPPTPKKDDHESSDDSNSFQIDYSFANEYKGPLIANLPRADPVEIDQIPTALPVSFSSLSRGVSYPVVQPLVKVTKKKKKNGFVDSAACPSVVLKPHHVVSGSSASSSEVTLDVDVEDSDGEDSSIGGNGNRVRFVVPPSQGSEGDESSYYYDGEESVTPTPRAERKGKKGSCYRCLMGNRFTEKEVCIVCHAKYCFNCVRRAMGAMPEGRKCVSCIGLGIDESNRRSLGKCSRMLKRVLTDSELKQVMSDEMSCRANQMPSRLIAVNGKPLNEDELFMLQNCPHPPKKLKPGDYWYDKVAGYWGKVGEKPCHIISPDMKIGGSNIKKEASNGDTEICINNREITKTELMMLKVAGVHCEGNPHFWVNPDGTYLEEGQNRVLGNIWSKNRAKLACAMFSLPFLPTSSAVEPNVEPISNKKRLNKLLLIGNDTCGATTIYKQARSLYGIPFSEDDRERIKFIIQTNLYAYLAMVLEAHKRFEEEMNNNHYTDQTGDAITAITVSSISPRLKHFADWLLKEKEDGNLMIFPASSRENAQTVADLWRVPAIQDTYKLLRDTFPRNAVYFLERILEVSRSEYEPSDMDILQAEGLSSMEGLSCVDFSFPSTTQEVSLDTDDQHDPNMKYQLIRLNPRRHGENWKWLEMFEDADLVIFCVSLTDYGDYIEDGAGVLVNKMIANKQLFERMVTHPSLANKRFLLVLTKFDLLEEKIEEVPLRTCEWFKDFNPLISQNQTSRHNPPMAQRAFHYVGYQFKRLHDSLVGPFSMRGRTFRPKLFVSQVSLESDTVDNAMKYAREILKWHVEETSMIQETSTISIDASLSS >A01g510270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28104367:28106157:1 gene:A01g510270.1_BraROA transcript:A01g510270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEVQTTLPEDF >A05g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17434590:17441043:1 gene:A05g506110.1_BraROA transcript:A05g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLLSLFGVITGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGAIRVTIPTACGFDISYFLFLFIILRCFGEKKPDLRDGDLRFCYFVKGEGHLSRFREEPPEPYYFISVIFHSLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRKTDTTMDELTAKVDQLLKNNQGHVFNMEQPTAGHIQNQNQRQPHSNLHAVPAIGNSQPDELKGLGMMMQQLLQGQQVQDKALNQVTTEMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNFRTEHCNVIEQPFAETVLGAEEDTEQSASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIIDDLGIADVEQKLKVVPEKEHGDKGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPTACGFDISYFLFLFIILRCFGEKKPDLRVGDLRFCYFVKGEGHLSRFREEPPEPYYFISVIFHVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A10p013110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5471671:5474455:1 gene:A10p013110.1_BraROA transcript:A10p013110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFDFKEIQEKISDSFRPWQRSFQFWVRATNIYTGYKVCQLRVSLFKDAKKQEQMWESQHEQAADKIYFMCSDLGGFFLKELGQTIDEIFETFDEKPLGSASIAQVHKARVKGNKMDVVVKVQHPGIERLMMTDIRNLQLFALYVQRTDIKFDLYSITKEMETQIGYEFDFKREANAMERIRHFLYENNKKSPVIVPRVLRDTVTKKVLVMEYINGIPILSLGDEMARRGINPHGKVAEAAKQNILNSLSRAYGQMILKSGFFHADPHPGNILICNGPEASHILLVALLDYGQVKELPDNLRLGYANLVIDIADNNASRVAQSFRELGLHTVAKCENEQQELLRLAQTLFDTKMPAGQTVLQPFADDSSIKKIAVEAFPEELFSVLRTVVLLRGLSVGMGVNYSCAEQWRSMAEEALLASGRLTRDVKGTSRRRASLRSLRAGR >A05g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22543660:22544789:1 gene:A05g507830.1_BraROA transcript:A05g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHSLFYTTGRLECFVLLGSDVMESGWISQTCPVDLSESEYKSCEVLLYTHSTESSRITVNCSCDTEQDDEDTMMGSHPGDRVTACSVRCSILEYLMEMMVIFISLLGSISLGSFPGL >A02p018870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8722269:8723378:-1 gene:A02p018870.1_BraROA transcript:A02p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKALINVHRIIRSTAVVRQSSVTPSAAPPIFQNGVDLGARFFCSSPQSFDIDLSNEEKKRITINRLLYRSKQRGFLELDLVLGNWVEENVNSMDETAVKSLIHVLDLENPDLWKWLTAQEQPPEIVSSNPVFLALHKKVMTNLNKHAAPETRAAAGQPWVKGWDDFKRGRDAPISGNQ >A03p040500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16894655:16897316:-1 gene:A03p040500.1_BraROA transcript:A03p040500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLTRIAIVNSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKTAFLSEELCIGCGICVKKCPFEAIQIINLPKDLEKDTIHRYNSNGFKLHRLPVPRPGQVLGLVGTNGIGKSTALQILAGKIKPNFGRYNNPPDWHEILAHFRGSELQSYFIRLVEEKLKTAMKPQHVDAIKKVAKGTLGTVLEKLDEKGMMAEICDAMDLNHLLDREATQVSGGELQRFAIAAVCLKKADIYVFDEPSNFLDVRQRLKAADVIRSLLKHDNYVIVVEHDLSVLDYLSDFVCCLYGKPTAYGVVTLPFSVREGINVFLAGFVPTENLRFRDESLTFKVSDTPQESDGEVKSYARYKYPNMSKKLGDFKLDVMEGEFTDSQIIVMLGENGTGKTTFIRMLAGAFPSEEGVESEMPEFNVSYKPQGNDSKRECTVRQLLHDRIRDAYTHPQFMSDVMKPLRIEELLDQAVNKLSGGERQRVAITLCLGKPADIYLLDEPSAHLDSEQRITASKVIKRFILHAKKTAFVVEHDFMMATYLADKVIVYEGQPGVKCTAHSPQSLSSGMNLFLSHLNITFRRDPTNFRPRINKLESTKDREQKLAGSYYFLDD >A07p020810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12264596:12266200:1 gene:A07p020810.1_BraROA transcript:A07p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase B2-2 [Source:Projected from Arabidopsis thaliana (AT1G20930) UniProtKB/Swiss-Prot;Acc:Q8LG64] MRCVYIPFASSLFESHIHKNIILREREREMENNGVKSAASSAMEAFEKLEKVGEGTYGKVYRAREKATGLIVALKKTRLHEDEEGVPPTTLREISILRMLARDPHIVRLMDVKQGLNKEGKTVLYLVFEYVDTDLKKFIRSFKQAGQNIPQNTVKSLMYQLCKGMAFCHGHGVLHRDLKPHNLLMDRQKMTLKIADLGLARAFTLPMKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVNKQAIFAGDSELQQLLSIFRLLGTPNEQVWPGVSKLKDWHEYPQWKPLSLSTAVPNLDESGLDLLSKMLEYEPVKRISAKKAMEHPYFDDLPDKSSL >A03p001820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:903934:906499:-1 gene:A03p001820.1_BraROA transcript:A03p001820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVGMRRTTRVFGVVKAADGARVLRSGRRIWPNNDEPKAKRAHDAVDPDWDCKGDKVFAEKQQHDKGEDFTVVKRRRVRTEAVGDGKSVDTKFGIVYNRKRKRLCDQSSGGSSDLKFYRRRTRRLCGPVIALTVDWSCEDCWLSTVFGLVMRYLRREELSLRTLASFFLSQPINDDFADHGVRFLEEPTSLSSRGVCKFFGGVDCLPLLSADFAAIPRFFMDMHLTLFIRDAQRSFAFVRRSLYLLNNPVVEETDSESELVSSPPCNLRRASNAQYRGNLGFHSIQKRRRSLRRRRVRNLSHSGHKLYNGTSVFELSWRNRTSAAAVSTRRLRSSVLNNSNEISVVPKPLTKEELDSLRCSVNILVLGSDRCTREEGFSAVLEFVSSKEWFVVIKKDGEIRYKLKARKTMRPCSCNRFTHSVVWVGDNGWKLEFCERRDWLGFKEIYKECYERNVLEQSAKVIPIPGVTEVCGYAENIDALPSFSMPVPYISVKEDEVSRAMARSTAIYDMDSEDEEWLERQNEAMLGGEDEKSSQQLHQDTFELMIDGFEKYYFHNPADDLLDEKAASVASLSYLGRQDVVEAVYDYWARKRKQRKAPLLRIFQGHQVKKTPLFFKPVFRKRRSFKRQGSQLHGKSKQSSLLSVKAAKLEAWEGQNVFLRVEKAKALADTSMEIAMAKRRRAQVLAENADLAVYKAMLARRIAQAVKVVADSSGEVADASLFLN >A03p064420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28003771:28008959:-1 gene:A03p064420.1_BraROA transcript:A03p064420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITCKTKSDRLKKTFRDQISDPLVYLCDEIALVTCKYYWMDINGDALNSYPILKQKLSCRIFRFSRNQEKGFLEQNLQELVSDLLLLFKGLLHLLEMINVMDPMKSGSEKGLDPQLWHACAGGMVRMPPMNSKVFYFPQGHAENAYDHVDFKNLPIPPMVLCRVLAIKYMADPESDEVFAKLRLIPLKDDDHDYGDGQEGNGFETNSEKTPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGDVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFMRAESGDLCVGIRRAKRGGIGNGPEYSPGWNPIGGSCGYSSLLREDESNSLRRSNCSLADRKGKVAAESVIEAATLAINGRGFEVVYYPRASTSEFCVKALDARAAMRIPWCSGMRFKMAFETEDSSRISWFMGTVAWDEPDLLQNVKRVNPWLVELVSNVHPIPLTSFSPPRKKMRLPQHPDYNNLINSIPVPSFPSNPLIRSNPLSSVLDNVPVGLQGARHNAHQYYGLSSSDLHHYYLNRPPPPPQPSALPLSQPLGLRNIDSRNEKGFCFLTMGTTPCNDDTESKKSHIVLFGKLILPEEQISEKGSTDTANTSGGSKLSSSEEGSPCSNKAHDAAGLETGHCKVFMESDDVGRTLDLSVLGSYEELSRKLSDMFGIQKAEMLSSVLYRDASGAIKYAGNEPFSEFLKTARRLTIVTEQGSESVVV >A02g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18181032:18185653:1 gene:A02g506410.1_BraROA transcript:A02g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESAPLAAEPTKLAKLIRLGPVIWRALWRDSRLSREEKGKDIADSSSPAKDADGGVLDEFELIHRDALRDTENLSLSQRLLVANAHRQFREEEERKVEDEEDVEGGGRLEDDVGSGPEAPRAVVRPRRRARRGVGSDRLEHPLAIRSTRYDRIDCRPVIYHPGGIFERLPRLPSEVLRDPRVQSWENLLSFAVDWESRLPCVVGPRKSRLSLFTRKQQKLLNKAREMEGVPDLSALLKERLQLLSKKSAPVNPSGATGSRDAETSGDRGGSKEGASNSREEGVSIEAPAPIPKKKKKDKKTTEKPSDEISPLLSASLATSSEGQRIKKKKKRTRDEATSRDEEATEGDVILAERPKKKTKKKAAGTEPGSSVVVPTQTDAVREDETTPDVPLENKRNALTQRSGSGSEPAGGEKSVPGSSTSRGPRLEGSLPKKGRVEYPDRVEFLYDEKTPLILNPLRCAELTRQIHGGTRELPQLGDLFFRNEYIDAAALRARSDGSMNFLVERYDTTLKQTMAQLGAADKLAATRLKVIEKVRAELKQSNEKAAKEKEVLRVKFEELENKLKADRAAKKELVREKAVVPEESAHKESPEKEDLEEIPEKSSPTTDEGIEKTGVEDPVVVSDSSSGDQGEEGDGDAGEISQPCPSEEEKTDDVIEGDAASSPPGVEPLASTRPEESATPIAENPVETSVAQPLNGNDEDQRSDFFRTCNLYISVFNLRSRSSECSNLENKGLIPRVKGSLVCFPSDDRVAG >A05g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5909996:5910520:-1 gene:A05g501690.1_BraROA transcript:A05g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A03p045280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18934983:18936129:1 gene:A03p045280.1_BraROA transcript:A03p045280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTFSCNSYEQNHAPFDRHDNDTDIDDPDHDHHDGVQQEESGWTTYLEDFSNQYRTHPEDNDHQDKSSCSILDASPSLVSDAATDAFSGRSFPVNFPVKLKFGKARTKKICEDDSLEDTASSPVNSPKVSQIEHIQTPPRKHEDYVSSSFVMGNMSGMGDHQIQIQEGDEQKLTMMRNLREGNNSNSNNMDLRARGLCVVPISMLGNFNGRF >A09p033730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20356553:20357132:-1 gene:A09p033730.1_BraROA transcript:A09p033730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRAFFDCYGVWDGAVMVKLTVDPRGMSLFRWCRLAADWRIFAVSFSRVIRLDLVQLASVCGSWWVSPTRFCSENSDLLVLFLLFRSPMLSVLTCASLWCWWVHGCARFGAFIFLMVSFVPSQTLGCLSSVMVRVVCFRLWFFFLWSLSQCTLCFLALMDLGIGVGWSSMVHSVACGT >A07p006370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1711981:1714098:1 gene:A07p006370.1_BraROA transcript:A07p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHVTLVFVFGSVQGGEVGLRGVQGSRDVTIEGRAFAGCVGRDQLLAGLITVLIKIVMLPGRSGLVRPRMLRGCSNGGIRAWLRYRAFVGGFSNVLSSKKESQTTTLGNWHVNCLGLVSGVGNRQGGSRLRMRNVERLRRVSQVSGVAGYDGSSESSSSSESESDSNFENENVVESTESSHVTVSSEFEEAWVLEEIEEKSVHLVGMNFFQGMEAISQASSDSFNFQYGYGNELWEAMEINSTVAVNIVDISSRETSPWIPIPAWSQAFSFGGSIDFSLESIGQSFDPHYEYHYSPMPIVSSPANPEAGIEARKVDMEVQQGTEREVQMMEGTQNGMTRTSGALGGQVEKGGTSGGPASNVKDSRDIPTGKECNVCGAGDYHTWACTRIRSQPDLNAYLICSSCEAHGHFVADFPMTSVIRAVPISPHLQREDRTVETLAILGTLVIELMGAK >A07p036690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19670646:19672214:1 gene:A07p036690.1_BraROA transcript:A07p036690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDWAKHRIYLKAQTPPPPLASFLLSPSCHSFLLIFFLLLIPFDARRTLQIENKMNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRVGVNSIPGIEEVNIFKDDVVIQFINPKVQASVAANTWAVSGAPQTKKLQDILPQIISQLGTDNLDNLKKLAEQFQKQAPGGGADVPATIQEEDEDDVPELVAGETFETPAAQEAAKATA >A02p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17953651:17959893:-1 gene:A02p033620.1_BraROA transcript:A02p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MQGDSSPLIRPINRAVVHRICSGQVILDLSSAIKELVENSLDAGATSIEINLRDYGEDYFQVIDNGCGISPSNFKVLALKHHTSKLEDFTDLQSLTTFGFRGEALSSLCALGNLTVETRTKKEQVATLLTFDRSGLLVAEKKTARQIGTTVTVRKLFSNLPVRSKEFKRNIRKEYGKLVSLLNAYALIGKGVRFVCSNTTEKTPKSVVLSTQGKGSLKDNIITVFGMSTFTSLQPVSICISDDCRVEGFLSKPGQGTGRNLADRQYFFINGRPVDMPKVSKLVNELYKDTSSRKYPVAILDFVLPGGACDLNVTPDKRKVFFSDETSVMASLKEGLNEIYSSSNASYTVNRLEDNSVRPDKAGVSSLQEKSNLLPKGIVLDVSSKTRDGKAIEKEISSSREAELDNSSTLKVFKFDIKTRGTKLGKGSLSVHDESLSVTHLNKTDSKGLPDVNVIEKVTDGNKDLNNRTSFAQSTLNTFVTVGKRKHESICTLLSETPVLRNPPPVCRVEKGKFEVRALSARCTMEGDQVGGMAVSKQDVTPNEVDSEIANLTSPTNHTDNVERHKREHEKPLCFEEPTRTEGDTERILENDPHCSQPLRPVATVLGSSAHSAGPKMFSTLNFSFQNLRKRRLERLSRLQSTGYVSKSLNTPRPKKCFAAATLELSQPDDEERKARALAAATSELERLFRKEDFRKMQVLGQFNLGFIIAKLDRDLFIVDQHAADEKFNFEHLARSTVMNQQPLLQPLTLELSAEEEVTILMHMDVIRENGFLLDENPSAPPGRHFRLRAVPYSKKITFGVEDLKDLISTLGDNHGECSVISSYRTSKTDSVCPSRVRAMLASRACRSSVMIGDPLRKNEMQKIVEHLADLESPWNCPHGRPTMRHLVDLTSLLTLPDDDNDDDGADISFILISWSGVGYLRESHPPLPIKNLIFASPFHFFSLSLGFFFYKLHPLNMSRHPEVKWAETTDKIFLTVVLADSKETKVNLLPEGVFDFSAKAGPDNHAYELKLELHDKVNVDESKINIGVRSIFCIIEKAEPERWNKLIRGGKAPHYVKIDWDKWVDEDDEGSAGAGDMDMGGMGGMDFSSLAGMGGMGGMPGMGGMGGMPGLEGLGGMGGLGGMGGMGGMEEFEDSDDEEETAKPGDKKDEAVKEEAKPVDVK >A09p009980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5115721:5116260:1 gene:A09p009980.1_BraROA transcript:A09p009980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFPRLPRWLCGGGGGNAKKESKGSPPSLKKTIKSESSCSTTVKKVKRGWIGRGGEEEKMGNVVFPEPDDPEWSIGWVEPHGPGFKSEDDTGGGGFVVLVPCYKKVMDGSGNQILNGFFSPAPGKSLWEEYGTVAVVYKEALSWRIGKDQD >A10p001200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:637196:639782:1 gene:A10p001200.1_BraROA transcript:A10p001200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoporphyrinogen oxidase [Source:Projected from Arabidopsis thaliana (AT4G01690) UniProtKB/TrEMBL;Acc:A0A178UVN5] MDLSLLRPQPFLSPFSNPFPRSRPYKPLNLRCSVSGGSVVGSSTIEGGGGGKTVTADCVIVGGGISGLCIAQALVTKHPDAAKNVMVTEAKDRVGGNIITREEQGFLWEEGPNSFQPSDPMLTMVVDSGLKDDLVLGDPTAPRFVLWNGKLRPVPSKLTDLPFFDLMSIGGKIRAGFGAIGIRPSPPGREESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPAKLSMKAAFGKVWKLEENGGSIIGGAFKAIQARNKAPKTTRDPRLPKPKGQTVGSFRKGLTMLPEAISARLGDKVKVSWKLSSITKLASGEYSLTYETPEGIVTVQSKSVVMTVPSHVASSLLRPLSDSAAEALSKLYYPPVAAVSISYPKEAIRSECLIDGELKGFGQLHPRTQKVETLGTIYSSSLFPNRAPPGRVLLLNYIGGATNTGILSKSEGELVEAVDRDLRKMLIKPSSTDPLVLGVKVWPQAIPQFLIGHIDLVDAAKASLSSSGHEGLFLGGNYVAGVALGRCVEGAYETATQVNDFMSRYAYK >A09g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22835902:22837998:-1 gene:A09g508010.1_BraROA transcript:A09g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPDLPPEPPRLETSSSSFPPFTAARNRRKTVMQRIRRHRPRRNRSSDEAVRASRSYDDRARGLDAPPPEIVSAVVHHRPPPQLRRCRKSPPWSPLANFPVRRRRPPPSRPVTDTGKPVGYIKSISGQGLTGLTFDQRVDFSANLDQFQTDFCMPDCMRGYGQSVDRLDRSLVWSIKRLRAVTPSTLSELLFGLALSGSMDYGVASHTSLSDSPIAHPSLFPFSGFSPDVQQLGDVKVRQFSQELSVSSVIFEVIQEWICDVSATSPLQAIVPRVLLWRLCDVRYEPLLALCGRWSYIWYVASCGSVDRSEMCQFG >A08p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14262497:14264725:-1 gene:A08p021230.1_BraROA transcript:A08p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKETLSFRRRDMTKLSEYQDKIQERLAITPTLPPLSSSSHIPKIVSFYKVVGKVIYPIGYGADPTGRQDSSDAILAALTVAFKLQTGLHMMPHVADLGGIVIDLQGGNDKIGKPLRFPSSGGGNLVVKGGTFRASNVFPGNRHLIELVPPRSGIFFEDMTFRDILFDSSFRGGGILVINSARIRITDCYFLHFTTQGVNVKGGHETYISNSFFGQHSTVGGDKKEPSFSGTGIDLSSTDNAITDVVIFSAGIGISLSGEANMVTGVHCYNKATWFGGIGILVKSHLTRIDNCYLDYTGIVIEDPVHVHVTSALFIGDANIVLRSVHGKISGLNIVNNMFRSKSRKNFPIVKVKGNFHEIDQLVIDQNNVSGMMLKSTIRKSKVYGNGTRWVVDFSHVLVFPNRINHYQHSFLVRSGQIVASAVTEVSNNVVVVETDRVVAGTVSVIVHQ >A03g508910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29628542:29629789:-1 gene:A03g508910.1_BraROA transcript:A03g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKQRLVTCLSSMKSYLKVLCIIVTVFVLLQICSFQITKHSLSLPPSLLTYLKHQPDQTSDNKTAYLVEKLRESVTFLPLKDYRFSNKPLEGHTWFMSSLFDNQTKGEAQYQEFPSDSSKGRLLCLKGIDKHDGSWNYYALAWPEAIPTNAVLQKGLTFVSYNQYDYYNLWHGLSTMVPFVSWSLRNRCERPQKWVLYHWGELRFGMGHWLSEILTATYNQEPEFTRFIDEDNPVCFEKAVVMRHDQAGMSRERRMEAFDHVRCKARSYCNITTTKPRIGMTLLLRTGARAFRNESVVINVFKRECKRVDGCELNVSYSDNLTFCQQVELMKKTDVLVSPHGAQLTNLFLMDRNSSVMEFFPKGWLKLAGVGQRVFQWGANWSGMRHEGTWILLGKHVNFLIRIGDVCRSIRTL >A01p058380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33126228:33129524:1 gene:A01p058380.1_BraROA transcript:A01p058380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive beta-glucosidase 25 [Source:Projected from Arabidopsis thaliana (AT3G03640) UniProtKB/Swiss-Prot;Acc:O82772] MALKAILFLGLLLALISSPTTVDGGSVCPKASTFGRGSFPDGFLFGATTSAFQHEGAPEEGGRGLSIWDSFTHKHSEKNNNLDGKLGVDFYHHYKEDVQLLKKLNMDAFRFSISWSRIFPHGKKDKGVSETGVKFYNDLINELIANGVTPVVTLFQWDVPQALEDEYDGFLSDLILEDFREFAKFAFNEYGDRVKHWVTINEPYEFSLGGYGTGEKAPGRCSKYVNEKCVAGDSGHEVYTVSHNLLLAHAEAVEEFRKCAKCKDGKIGIVQSPMWFEPYEKKSSEEIVKRAMDFTLGWHLEPITHGDYPQIMKDSIGARLPSFTDEQKDKLKGSYDFVGANYYTSAFVAHVEDVDQEKPSWEADSRFKLHLQNPDGYKIGSQPATAKYPVCADGLRKVLKYIKENYNDPEIIITGNGYKETLGEKDALPDALSDSNRKYYHMRHLMALHGAVCEDKVNVKGYFVWSLMDGLEWEDGNKTRSGLYYVDYANNMGRHEKQSAKWLSKLLEKAPIQSKVDLESRKEL >A03p040230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16764373:16765520:-1 gene:A03p040230.1_BraROA transcript:A03p040230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQFSSVSLLYAIEIADATQNIDFTWSRTISSHSLTIKTENIKNEEHDHHHQQVKIDLAGSSFWGKKGLKSLEANHTKVDVYWDFRKAKFSTFPEPSSGFYVSLVSQNAVVLTIGDLKNEAMQRTKKKPSATEAALVSKQEHVHGKRVFYTRTAFGGESSRRENEVVIETSLSGPNDPEMFITVDGVPAIRIMNLNWRFRGNEVVTTKSNNRHALCQAQDVEHLLGKEQAPALDASDKHKDS >A08p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17753673:17754459:-1 gene:A08p028300.1_BraROA transcript:A08p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLDHFQFDSTKMDYSEIDPSYDQKPPYLTRDQEHVIMASALRQVISKAGSGTASSSNFEALQTLDAVPCSLCGITGCYGCAFPQHREINKEKKHRGVRKRPSGKWSAEIWDPSARERRWLGTFPTAEMAAGAYDDAAAGLVRRKASRGGTRNGKEAYTKTTVEGD >A08p035180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20781543:20783300:-1 gene:A08p035180.1_BraROA transcript:A08p035180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHGSSSFDYRSIREAACNAGAGATAGAIAATFVCPLDVIKTRLQVLGLPEAPASGKRGSVIITSLQNIVKNEGFRGMYRGLSPTIIALLPNWAVYFSVYGKLKEVLQSSDGTLSVGANMVAAAGAGASTSIATNPLWVVKTRLMTQGIRPGVVPYKSVMSAFSRICQEEGFRGLYSGLLPSLAGISHVAIQFPVYEKIKQYMAKIVSDQSFVKFSDNTSVENLSPGSVAIASSIAKVVASVLTYPHEVIRAKLQEQGQMRNSENKYSGVIDCVKKVFRSEGIPGMYRGCATNLLRTTPSAVITFTTYEMMLRFFRQVVPPETNMSDDDEKKSLVGQPGEGEEERDSALRESQTQANKITSPIPLGSK >A02g513130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36002489:36004357:1 gene:A02g513130.1_BraROA transcript:A02g513130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDHLYKESSMNFLGKFRGNSEKTWFLGIFSFNRVNQAAKYFAKIELMISEEIPTDIVNISEEIPTDNFRRTPHFIRSSPTFFPISLRRTLSFPCDFEVYPSGYSDDIFLGIFRGNSDELVVLGISSEIHFLGIPSEISEEFPRKNEISRSYFRGLFSSVCRRNSVIPTTYRRFFLSVCRCFLVVNYPARCTNYKVVLISD >A08g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14924528:14926634:-1 gene:A08g508210.1_BraROA transcript:A08g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVWTTYISGRLLNNLHGRRIFQSSTSYRTFNFTNVRFNLTGSFTGHIKAIFFTISQFYETLAPFLSNGDFEGDKTKTLPWSCSAVIFAGNLSDYDESLLILLFPSICRSVTAWGHIFSDHIFSDNIFSNCLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRKRLGYLAIYARYIEGKKFSSATSASLAKLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSLLLLAYKGGKRQRKFFKTAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMEC >A08p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4501210:4504494:-1 gene:A08p009080.1_BraROA transcript:A08p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVISSSPFLCKSAQQKDLGFPKPSQISVHRCQKRAISRKIVSVMAPQRSSSATGSVKTGMTMTEKILAKAAEKSQVVPGDNIWVNVDVLMTHDVCGPGAFGIFKREFGEKAKVWDPEKIVVIPDHYIFTADKRANRNVDIMREHCREQNIKYFYDITDLGDFRANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQVSIIGEISVAGATYKTMEFSGTTIESLTMEERMTLCNMVVEAGGKNGVIPPDATTFNYVENRTSVPFEPVYSDGNASFIADYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGKQVKVPTFLVPATQKVWMDVYALPVPGAGGKTCAQIFEEAGCDTPTSPSCGACLGGPADTYARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVTDPREFLQ >A10g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4830882:4833535:-1 gene:A10g501800.1_BraROA transcript:A10g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDDLYFSRLLNNLHGRRIFQSSTSYRTFNFTNRRFSPTAISKAIRRKPYCGRVPPLSSPVISPITTNLVSPSCRRVFSSEISFAKLRRRSVTAWGHIFSDHIFSDNIFSNYDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSRPEKFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSAETHAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEEPGCALMNMNHCMP >A09p083770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60221201:60224988:1 gene:A09p083770.1_BraROA transcript:A09p083770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSTTSKQSTYYHSCCHLDPHATPIRISMLVNLRAEPYMQFLFLYSIYHDADEITRLSSSSTSHLISLYRLPPICPSPIQNPPLIPAMAEIISSFARSVAIVISLILFFWLLHEMLGSTKTGDSKAWKILIMDRVTVKVMSKSCKMADITDQGISLVEELFKRREPMPGMDAIYFIQPSKENIVMFLSDMSGREPLYRKAFIFFSSTIPKELVNHIKSDSSVLPRIGALREMNMEYFPIDNQGFLTDHDQALQTLYAEDAENSRHFNICLNMMATRISTVFASLKELPFVRYRAAKSTAPRDLVPSKLAAAIWDCISKFKAIPNFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLDMEGNKHVIEVPSKTGGPPEKKEIVLEDHDPVWLELRHTHIADASERLHEKMTNFASKNKAAQMRSKEGSELSTRDLQKIVQALPQYGEQVDKLSTHVEIAGKINRIIRDTGLRDLGQLEQDLVFGDAAAKDVINFLRTNQDTNPENKLRLLMIYATVYPEKFEGDKGVKLMQLAKLSPVDMKVISNMQLIAGSPENKTKSGSFSLKFDTGKTKQANRKDRSGEEETWQLFRFYPMLEELLEKLVKGDLSKSDYLCMNQSSHKEEESESRTGSVRKSSAPTAVPERKATPHSMRSRRTATWARPHSSDDGYSRQSKTL >A06p009790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3509170:3510538:1 gene:A06p009790.1_BraROA transcript:A06p009790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQLAISSSSSSSSSSPSSYYESLKILEADVQHANTLAEAIPMGKNNVRLQMKLVHSNFASLLLFLLRWMDLSCSCLLPRYFNLFHVLVYKVHSDGQPKLTTHGRKATISEFYGVILPSLQLLHSNLDELANADLGFDLKRLSKKITKEARSSSRFSNAAFDREEECGICLETCTKMVLPNCCHSMCIKCYRNWNLKSQSCPFCRGSMKRVNSEDLWVLAGDNDVVDTRTASREDLFRFYLYINSLPKDYPEALFLVYYEYSNLI >A09p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25260776:25261976:1 gene:A09p037640.1_BraROA transcript:A09p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFQPVEFFAAGGHAFTRCLRACCQIDDRRAASAVPGSRKTLKNKPVASSFLHTAPHHGNFVDSASGSLGKTFLTLDRRTWKVVAEGDTDDINQAVKAARKTIDVDLGQKMTAYVKGEGQC >A04p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20652554:20653698:1 gene:A04p036050.1_BraROA transcript:A04p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDEELSLFLEMRRREEEQDSLLVNNPHDFPGTSPMFNIPSGAPARKTGPLPDDFLSFRLLKVNQAGDSKGRPATLTSRREHTGRNHLTSRHPTSSPVASRRPSSSGGPGSRPATPTGRSSTLTTNSKTSRPSTPTSRTTVSSTTRPSMTNSAKTKSTPMSRPISSYRLTHLRHLNQLLQLLDLLVQQLDLPY >A03p068830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30151857:30154124:1 gene:A03p068830.1_BraROA transcript:A03p068830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPRSCVQAYMRATTLPPHICFDVQCLLLLMDLETENRIASVLLRKAAELRKQAEKDGVRAYLEKHNVRHRPNSRFLTATVLGVQQLCDILLFVEAIVFNVVTYVVVETNEMWKAQEQENERLKRKSREESSSSSSQMKRSSSFSKRSLGKKCSSINEERKITHQSSLDKRLYLDDDDEASVTFSFLHLLSLFVNDRNKRGRGSVGPRMDATVPYLPTEKVDQLQSSDTRERKFLAKNKSECLQEEWGVLEKSPEAALDVDQTIFVVVAEDVERSKTTVLWAARNFSSKKICLLYVHRPARPASCSENLLLLFLSDLTVLWRIIEYLWMLNCS >A03p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17232913:17234977:-1 gene:A03p041230.1_BraROA transcript:A03p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQILSFYWSFKTLYTLSIVLFTLLHTFPFQAQAAPPAGSLIKHMSSVLKWTTGSSSKTSSQSETANVVQFENGYLVETVVEGNEIGALPYKIRVSDDGELYAVDEVNSNIIKITPPLSHYSRGRLVAGSFQGKTGHADGKPNEARFNHPRGVTMDDKGNVYVADTLNLAIRKIGDSGVTTIAGGKSNVAGFRDGPSEDAKFSNDFDLVYVRPTCSLLVIDRGNAALRQISLAEEDCEYHSSSISSTDILLVIGAVVIGYATCLLQQGFGHSFVSKMSEISFEEEQSGKEKLSRPVLETTTTTTAKEEPGWPSFGQLLIDLCKLALEFFTSNLVPARFKTSANLRPLKDRLKMPEDEQEPPLVQRHTAPAPISESRHAHLPKQSDTYPEHKPSKTRSSSATKDPSSKHHRSSSSKRQEYAQFYASGEVVQPPKVHKERSRRRHRDKTTETAEPKTTTASDAVKPVEYSNSSKFDHFNMRSSKYGPETPFRF >A07g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3641447:3641862:1 gene:A07g501760.1_BraROA transcript:A07g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLNQVDSCHCLGIKGFQAPENFNGRDYEALNGTTENLLPLRKTFSQDCTSKGVLLSLESKSLIAVPNLDTC >A07p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10064783:10066666:-1 gene:A07p016320.1_BraROA transcript:A07p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 4 [Source:Projected from Arabidopsis thaliana (AT1G28270) UniProtKB/Swiss-Prot;Acc:Q9FZA0] MMNNDEECLPSGEMVIIRSRPNEVEETGSSQTTSRILLKKCVLQEAFASTSHGESCKRISKAKVSHVIVCVTKLAFGNDLNEMFKWKYWARNQKLKQIAFIELIYTKKTQKYTYKMGGVKLLLIFGLLILAMVATSVNATYPLTKSCINGQGCIGDDDELESLMDSETNRRQLARGRRYIGYDALKKNNVPCNRRGRSYYDCKKRRRNNPYRRGCSAITHCYRYAK >A08p036130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21255045:21264128:-1 gene:A08p036130.1_BraROA transcript:A08p036130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSILSSIIFFLLFIGLSHFVDAHMEHRKLSGTKETMTMRRNLEGSGHANSKIATPGSTSRHSGQKNIQHKPSKTRPDQLKSRISYEDHKYHAPLATDVTVQVQLSLQALPTIFVPNPKAHTRA >A06p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1375856:1379665:-1 gene:A06p004880.1_BraROA transcript:A06p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSATKVEAAPAAIKATKPLKKGNNFMESEDEKPAPKAKEGTKKAEKDSSSDDSGSEESESEDAKETPKKKSSDVEMVDAEKQQESEDEKPAPKAKEGTKKAEKDSSSDDSGSEESESEDAKETPKKKSSDVEMVDAEKQQPKTPSTPATGGTKTLFVGNLPFQVERSDVEEFFKEAGQVVDVRFATNRDDGSFKGFGHVEFASAGEAQKALEFHGRPLLGRELRLDVAQERGERPAYTPQSGTGNNSRSGGGGGQEVFVKGFDSSLAPNDIKSALTEHFASCGEITRVSVPVDRETGGSRGIAYVEFKEGTEKAFELNGSDMGGWNLVVDQPRPKENNSGGGFNSGRSNSFSGGRDNFRGRGRGGRDGFRGRGRGGRDNGRGRPSFTSQAKKTVFSDE >A09g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12139032:12145703:-1 gene:A09g503860.1_BraROA transcript:A09g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEMKQGEFGGWVFTYVSDGLARGKTFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDGSSELEDPLQPSTSGNLSAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFYQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRSNDDQIRPRQRRSRGGMESQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAATPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMTSDFHSLLSREAASVVSSVCEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGNPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGCLVGLGRRSRWAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11183847:11185726:-1 gene:A03p026820.1_BraROA transcript:A03p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVQGICQGTSDKASCVKTLEPVKSDDPNKLIKAFMLATQDALTKSSNFTGKTEGDLGSSISPNNKAVLEYCKKVFLYALEDLGTILEEMGDDLNQIGSKIDQLKQWLTGVYNYQTDCLDDIQEDDLRKTIGEGIASSKILTGNAIDIFHTVVSAMAKLNVKVDDFKNMTSGVFSPSDKGAAPVNKETSPVVDTPVADPDGPSRRLLEDIDETGLPTWVSGADRKLMANAGRGRRRGGGGARIRANFVVAKDGSGQFNSVQQAVNACPDKNPGRCIIYIKAGIYREQVIIPKKKNNIFLFGDGARKTVITYNRSVGLSSGTTTSLSATVQVESEGFIAKYIGFRNTAGPNGHQAAAIRVNGDRAVLFNCRFDGFQDTLYVNNGRQFYRNCVVSGTVDFIFGKSATVIQNSLIVVRKGNKGQYNTVTADGNEKGLAMKIGIVLQHCRIVPDRKLAAERFTVESYLGRPWKQYSTTVIMNTEIGDLIRPEGWRVWDGENFHKSCRYVEYNNRGPGANTNRRVNWAKIARTAGEVNQFTVANWLSPANWIQQANVPVTFGF >A04p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15994704:15996800:1 gene:A04p026570.1_BraROA transcript:A04p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA dimethylallyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) UniProtKB/Swiss-Prot;Acc:Q9ZUX7] MSVNPSNGGIDEAKKKKAKVVVIMGPTGSGKSKLAVDLASHFPVEIINADAMQIYSGLDVLTNKVTVNEQKGVTHHLLGTVSPDLEFTAKDFRDSTIPVIEEILSRNHVPVLVGGTNYYIQALVSKFLLEDSKEDVDECCSNVAPGLDVESISGRDDLSHGYDLLKELDPVAANRVHPNNHRKINQYLSLHASRGVLPSKLYQENAAENWGCIDASSRFDYCLICMDAETTVLDEYVGQRVDSMVDAGLLDEVYDIYKPGADYTRGLRQSIGVREFENFLKTYLPDTNTSANDKALKENLRKILDFPKDDKLRVMLEEAIDSVKLNTRRLLRRQKRRINRLETVFGWNIHHVDATECLLGKSEESWDVQVVKPATQILQSFLKTETELSHDSTLRKSMERDLWSQYVCEACGNKVLRGRHEWDHHTQGRVHRKRTARFKKAQNFENREKQEEEEVGICRETS >A04p024960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15102614:15104131:-1 gene:A04p024960.1_BraROA transcript:A04p024960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPEWASQPCIMGIDEAGRGPVLGPMVYGCLYCPLSYQSSLASLHFADSKTLKEDKREELYENLKLDKSLGWAVDVIGPRELSAKMLAVNKINLNEISHNSAMGLIKQVLDMGVLLTEVYLDTVGDPEKYRIKLSERFSSIKFVVSKKADSLYPVVSGASIVAKVTRDRALKEWLVEETGENINRNFGSGYPGDPETKAWLTQHKHSVFGFPSLVRFSWGTCTTHLKSEVEVAWESDEAEESGNGNSSTKRQAKLSKFGFTTCESRSEEIESSGKGRCKFFQARKIQQITQF >A07p011690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8557143:8560452:-1 gene:A07p011690.1_BraROA transcript:A07p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTCVGRRTRSKTESYLNSLLNKSKGISVENQSRSRIERRKKRDSVRDSVSPAKPKPKRRREKKRTDDEDVEFVETIYPKGKQDDDNVGSSPVIAPSLESKSTVNDVNSIDEEKTPDDDDCSVDCDMDDADLRGEEKVSEADEVVSLSSSSQEEGSVEDLGIEVCGEDDYSDEEEEEEDVESDSSDYMEESSDSTYTGSSDSGFDCSDDDDEVGGDTRNTAKVKNRSPSERVYKREKRKNYYKKNDLDVFNLLAKSVWDRTKIFDEDICSGDDEEDTAEVDPREDPIVRDSSGEYPVVRERKRRRYHREKKKKHLNVTDQLGNSFCESFDVGGKPWVPTHVNLRFGCEEEPEPIEKTEEEKEIDRLWEDMALALSLEGVRSYAHSRSGDVSCSNGKHDFVLDEEVGLKCRYCSYVSVEIRDVSPTMDKYRANINDKKTCSDKKSGGLLDSLDFAASDHSRDMESLKNTQGTVWEYIPGIKNSLYPHQQEGFEFMWNNLAGTTKLDELKSSVGKESGGCIISHSPGTGKTRLTIVFLQSYLEQFPESHPVVIAPASLMLTWEEEFKKWNSNIPFYNMSSQDFSGQENQAAVSLLKGNRHLRRNKDSVRMVKLYSWRNKKSILGISYNLYEKLAGNRCAGEVQEFRKMLLELPGLLVLDEGHTPRNHNSCIWKVLTEVKTEKRIILSGTPFQNNFKELSNVLCLTRPAYADKISSRLQDLTRLSQEGKNGRFDEEVGISELKDMIAPFVHVHKGNILRESLPGLRDCVVMLNPPFQQEKILKRIDHSQNTFELEHKLSAVSVHPSLYMCCKQTNKERLTIGPVALKVLESLRLDSKEGAKTRFLIDFIRFSQTVNEKVLVFSQYIDTLELIRDQLNAVFGWTEGEEILYMHGQLKQTIRQHLINNFNRPDSKSKVLLASTGACSEGIHLVGASRVVLLDVVWNPSVERQAISRAYRIGQKRVVYTYHLMVKGTTEWGKYCKQTKKHRISEMVFSPTNEKDKLIENEVVSEDKILDEMVRHEKLKDMFGKVLYRKKESDMFTDIL >A10p028840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17797428:17798983:1 gene:A10p028840.1_BraROA transcript:A10p028840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTNKQVILRDYVSGFPKESDFDITTTTVELKLPEGSNSLLVKNLYLSCDPYMRSRMGKPAASGIAQAYTPGKPVLGFGVSRVVESTHPDYKEGDLMWGIVGWEEYSVVTPIPDMHFKIQNTDVPLSYYTGLLGMPGMTAYAGFYEVCSPKKGETVYVSAASGAVGQLVGQFAKMMGCYVVGSAGSKEKVDLLKNKFGFDDAFNYKEEQDLTAALKRCFPKGIDIYFENVGGKMLDAVLLNMNTHGRIAVCGMISQYNLENHEGVHNLSTIIYKRIRIQGFAVFDYYDKYSKFLEFVIPCIKEGKIAYMEDVAEGLEKGPEALVGLFHGKNVGKQVVVIARE >A03p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14864508:14864830:1 gene:A03p035380.1_BraROA transcript:A03p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSVKFAFIALLAVACVMMTTMTVQNAEASRLLPEETPVVHYEASTQIVKPQDFHCREGCRVSCIPIQLIIRCVCLC >A02g510290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27403813:27405732:-1 gene:A02g510290.1_BraROA transcript:A02g510290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSEFMSSVMARLARQDEVQKTTNDQLAALVAALTAPERQTSRPQLTRRRLFNTNPTAAGVDHISDDSEPNEAFLADAPPAGSDLTTIRELAELKLSLQQMGEKIHHVTSAAPQIESVLAATSRTPFTRALTSDQSLRDYMEKFKAVVSKIEIPDGIAIDALRNTLWVHSKFREDLYQNPTKSLQDAIARSDNFIRMEEDTNAILSKMSAPKAPAAKNANARQEPRQHAPNDKNGPKDGYMYVVNENNTPISTLVVRGEGWNKWVRELESSDKKVDSVCTTQPAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPENGSDTTCRDLRTILDARKSRRISTSVGNNNEGPVGDLRDKLNAGVSDLRVKLNKSKSTDLRRQLEQANGQPQLPPPDTS >A04p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2489867:2490800:-1 gene:A04p004830.1_BraROA transcript:A04p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNKSDSSMRDKFQLLRSVTNSHAESETSIIADASKYIKNLKKKVEEINNVTTSEQYFCDPTDPMVTVETLENEFLINITSRKNDGGMLVCLLEAFEDLGLDVVEARVSCTDSFSMHAVGSSN >A03g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:668864:669298:-1 gene:A03g500210.1_BraROA transcript:A03g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLYRSLFIIALGVGLSNALAIKMKNSGIEFRFHAKFMAYKSGGLILHYGKKNFWFCRDDGIYFTHGKQTPKLEYKWVYKVIDMAPSPY >A03p047980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22136136:22136324:-1 gene:A03p047980.1_BraROA transcript:A03p047980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKHCFYVLALLLVFRYYHLNYLRVVVMFYVVTPTLCIFFLFVAYTGRMKSHSICVNLNLV >A03g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:591087:591841:1 gene:A03g500180.1_BraROA transcript:A03g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFIGDYRRNPIIGSSFLVSLSLSIKPEEEEEKRIGHGFAEQTPRDGHDEMVCLYGGGVWKIKVELPEAYPYKHCVGAAWETVNEKTHKEDKGLAGSSKIQRAHIDVKPLIFKFRGK >A01p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5996813:5997478:-1 gene:A01p012290.1_BraROA transcript:A01p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVNSSSASNHFDGQLSALREANVQLGFRIRTKVQEMEEFNKKTTTSKDELIASITCIGKCIDSLERALFKNRVVINNKVNPPMLVRISKDMTNDTLRSNAKLFMDHFKKHTLQYFSNAFFPPVTAPDGDVVPKFAIFRSHLEKCESLFDQVMMEGYDCNLQDI >A10p035360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20321475:20326474:-1 gene:A10p035360.1_BraROA transcript:A10p035360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGHEERLVEKFSGVGLRESSNENDSLFQVIKAVEAAEATIKQQVEENNLLKSELQRRYLELAKYKSGEATPQASHSAGSSPLHQSVDRRKGVISALGAGSSGMLVVHHPNGEEATVSNRFEERSDGIMTNGVVRGASQLSSTPSTISLSPMRLEGERDSHIISSTHELMPVGEVNNSGSAWKQELIHKVNEQEQEIVRLRKYLADYAVKEAQTRNEKYVLEKRIAHMRLAFDQQQQDLVDAASKALSYRREIIEENIRLTYALQAAEQERSTFVSYLLPLLSEYSLHPQISDSQSIVSNVKVLFRHLQEKLLFTETKLKETEYQLAPWQSDVNHSNASPLSPYHPVGVTLRYSTEPEQYHQDGRSVPAASNYHLDGPQSRSPAFQMPVQPAFNQDESHGPNNRVQFREPLSNTFMDDPYAEVQADTNQTLENANYAAEFDEPSPSNYPNLPPVLEEPTSSFSEAADDDPLPGIADLQISGEPFPGRELLASGHSIHGTTKCNFEWVRHLEDGSVNYIDGAKKPNYLVTADDVDLYLAIEVHPLDDKNRKGELVKVFANDNCKITCHPEMQSHIEKNLHNSHASFKVSYAIGYMDIWEAATLSIKKEGYSIKPSNDPVITEKFSSSTTVAIPFEQPADFVIIGSDGVEHHFRVDNDATDFSCSRDTIVLTLRLFIKKALQRKKGKKKGFLFNK >A09p016590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8706029:8707787:-1 gene:A09p016590.1_BraROA transcript:A09p016590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SEH1 [Source:Projected from Arabidopsis thaliana (AT1G64350) UniProtKB/Swiss-Prot;Acc:Q93VR9] MATKSMATLDSGTTCSSWNYSGHRLAAGSIDGTLSVYDSHVPSSYSSFTRSSKVRVSESSLVKIVWLPSEYGDAVACICEDGSLSIWEEVSEDTHALEWKLCKSLMSKSTQVLDVQFGVSRKSLKMVKSFGFFIFNYSAVRLVDAATCGCVGVNFFNKLLFVSLTPVLRLRLNAVAAYSDGYLRVFELLNPLELKNCQLQAEFQNVIDSLSALGKPSTLSASVSWNPMRSGEQEPSFVLAFNSDSPHLNSSKIWEFDEAHNRWLAVAELASPEDKGDPVYAVSWAPNIGRPYEVVAVGTHKGIGIWQVGLAPDLDGRLPVKKAASLSGHQGEVWEMEWDMSGMTLATTGSDGMVRLWQSNLNGEWHEQAMLEPVPS >A02p057150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34380993:34384539:-1 gene:A02p057150.1_BraROA transcript:A02p057150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGALIVAVAIKGNNSKTKGVVRWALQQFASQEHVVFKLLHVQPRDSISVSTARKDSTTTVYKKDVDRKTREMLLPSRDMFAHREVQMDIMVLESDDVADAISKAVQDHGISELVIGASSSIIFSWKLKRSNLSSKISDVTPRFCTVHVISKGKLLNVRKSDIDIETSITDDRSENQFTSSSHSGSVSSTSSHQFSSTPLLFQRMQALSTVNQKVGTKIGTNNNIDTHHNRTASLDVDTKMLNQKGFYRTNSSGIGYGGSDIQGRRRSYTDEGSSSSCSSDPTSSSSQVNKDFELEKLKIELRHIKGMYAVAQGEVMDASKKVQDLNQRRSEEATRLKNLTIREEDADEVVEMERERQEQAENEAELVRRCVERETEDKLEAQARAEEVRKEKQRLEDALEGGPLQRQQYMKFEWEEIVQATSSFSDELKIGMGGYGSVYRCNLHHTTVAVKVLHADKSNLTKQFHQELEILSKIRHPHLLLLLGACPDHGSLVYEYMHNGSLEERLMTRRPNSDAPQPPLRWFERFRIAWEIASALYFLHTNEPRPIVHRDLKPANILLDRNNVSKIGDVGLSKMVNLDPSHASTVFNQTGPVGTFFYIDPEYQRTGVVTPESDIYAFGIILLQLATARSAMGLAHSLEKALRDQTGKFSEILDKTAGDWPVKEAKEMVMIGLRCAEMRKRDRPDLGKEILPVLERLNDVACNARNMFAETLIDHNHHAPGHFYCPITKDVMDNPCVASDGYTYEKKAIMEWLEKNHKSPMTDAPFPNQTLLPNQSLLSAIKEWRSHLIK >A02g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1032205:1035157:-1 gene:A02g500300.1_BraROA transcript:A02g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVFTLVTHWIRRGCWFDEALRTQAVLLEAGFLNIFAPERCLYGPGELETEVSGSSSRFVVGFLCNRASVVSVFPCEEGCCVDELWRLDNALRSRFGKTEQRHRGHFTFKHSHWSMHSTWKLWAQALQLAQRHQMQLKQRKDSDRPLYQVLEELLLEQCSEPHTHTLLKLVLKTSRERKGYEEAREEERNYAISQRTSVTWSPRKPHWFSVSHGSHYTLHLKRNKTNSRNKEEEQILCHAVLAANHFMCMGVSKELKKKPKSSTTSTGDLGIGLEQLQLMSKVKNIASLQLYGEEQASGSSQCQYE >A03p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6230507:6231839:-1 gene:A03p015730.1_BraROA transcript:A03p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEVEDESSTRPRILPFRTTLDSSNPSSFLEKVFDFLAEQSDFLKKPSAEEEISAAVRAAKEKLGKAEKKAEKESVEKKKPVEKESVKPAMAASSSEPIQVEEKKQEGSPIAPNKGNGYDLENYSWVQTLQEVTVNIPVPTGTKARSVVYEIKKNRLKLGLKGQDPIIDGELYRSVKPDDCYWNIEDQKMISILLTKQDQMEWWKCCVKGEPEIDTQKVEPENSKLADLDPETRSTVEKMMFDQRQKQMGLPTSDELQKQDILKKFMSQHPEMDFSNAKIN >A09p049910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44023030:44032769:1 gene:A09p049910.1_BraROA transcript:A09p049910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFFSPNFHFLYSCLLPRNPVPTQTQNREEEIMEGGGESVNELHGDSSADIAFDSTIEELCNNLCELESSNQSPSRHIFGSYGDDESKIDSDLQHLALGEMHDLDITEDEEGEEEKEVAKHEDSEMARPPSAATKSTSTKLDTEKQVGKKNANRPSSELGNGTRKKKTGTAKSLNGTEEPSSENVELARFLLNQARNLVSSGENINKALELTLRANKLFEASANNGKPCLEWIMCFHVTAAIHCRLKEYNEAIPLLERSIEIPVLEEGEEHALAKFAGLMQLGDTYAMVGKLENSISCYTEGLKIQTKVLGDNDPRVGETCRYLAEALVQALRFDEAEKVCQTALSIHREKGLPASLAEAADRRLMGLICETKGDHENALEHLVLASMAMAANGQESEVAFVDSSIGDSYLSLSRFDEAICAYQKSLTAFKTSKGENHPAVGSIYIRLADLYNRTGKVREAKSYCDNALRIYESHSLEISPEEIAGSLTDISVIYESMNEVEQAVTLLQKALKIYTDSPGQKMMITGIEAQMGVLYYMMGKYLDSYNTFKRAVSKLRTTGKKQSTFFGIALNQMGLACVQLDAIEEAVELFEEAKCILEQECGPYHSETLGLYNNLAGAYDAIGRLDDAIELLGHVVGAREEKLGTANPGTEEEKRRLVQLLKEAGKVTGRNAKSLQTLIDSDLTTSSALQNTPPPPFAAMFEYRCSSIDWKPSPIVALATSPDGSQVAAAREDGSLELWLVSPGAVSWHCQLIIHGDPQSRISYLAWIGVGSKGSSMLLSSSIDGTISEWDLFDLKQKVVLESIGVSIWQMAVAPPSIKAGGKGPELIQNRLSVKSDDEEESETEDDSQLNEKSEVCDRHLAAACDDGCVRIYSISESDKLTYYRSLPRVSGRALSVTWSLDAQRIFSGSSDGLIRCWDANLGHEVYRITVGLGGLGNGSELCIWSLLALRCGVLVSGDSTGTVQFWDSQHGTLLQAHSNHKGDVNALAASPSHDRVFSAGADGQLILYKLAGGTFKSQDLKPSSTMKWDYIGCARAHTHDIRVLTVAVPISSEGTFPDSYAKRKSRKQRKKDKPTGFSYHKWAHLGAPMLISAGDDAKLFAYSVQEFTKFSPHDICPAPQRVPMQMVHNTVFNQTSLLMVQESCSLDILRIHISSDCSGRISTKPLVRVKSKDARKIICSAISNTGSLFAYSDQIRPSLFELEKNKLGKNPWSPNRKRLPSLPFAHSMVFSCDSSRLIIAGHDRKIYIVEIDNMELLDTLTPRQEGQENDSPPREPPILKMYTSSDDRWLAAINCFGDIYVFDLETQRQHWFMSRLDGASVAAAGFHPRDNNMLVISTSSNQVFALDVEARELGKWSLRHTFCLPKSFQEFPGEVVGLSFSPSPSSSSVIIYSSRAKCLIEFGKPTEQGEEMDLTFGSIGLEKLGSGNRKRRLEEYKKESKKGKERETFEMGTWKHPVLYLRHLSKSATLVVEKPWIEVIKGQHEGEALSLKRVSISIFEVKLYALEKSKSVSGGRKSRKYKRDEQNHHESGKFDAMIKILSPHNSHSTTTTTLKTAEILSKYRPIAPKPGTTPQVNDNDSSSSSMSHKISQSPYLRNLWPQLQARPTRTRKRGRGGMGPTSPLSLKRHKPSSSASTTTTTPQRVFGPIKTLSFQAFSHAGIPNLAQVGYALENGGSPALVTLPLLQCSPSPPSKCMEPEIKIKKAIDLNKTAEVIQERDFLKQLQEPITTTTTSKVIAPQAIRPVCSRINVACINPLTNPSQTIKKSPQDVEEEFESDDLPAIISDSNNRVRLVNSAYKEMMGQPECSWLDSMVRGKRICGEVMIRCCEAEIPENNGFSCWVRIEWGRDGKEEFVHAFCDVMKLECESKDYVFTWRFHTTTRENLSTKLSCLA >A10p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14052211:14052948:1 gene:A10p020750.1_BraROA transcript:A10p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADDTAAGTFVWFDGVMKKLHNLRASEAVQMLAEDGVNSEDSRRLGYLRSFQIWKESQYTFQVRVTAYNLPGNHKTLIFSIVDELGRVRDDDVGDNGGNEDDDDNMPNGKPAPVGFASGRATGNGSDGSIRYCA >A05p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5688003:5689076:1 gene:A05p013060.1_BraROA transcript:A05p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKALKKKELEAFGASPSNKGVNGHGHDEHGSQDEGESDGNLSSPGSQGNGEFWTRGPSPSPLSGLGKDTVKHKTEDAGQEENGVEDKPPKPFSENVTHNTSKVPCKESAGTLETGPADDFVSKVVIPDKNKQVEISDSVQHNSDESEEKHKPEEAKEGSIPGSAAETSRDLKIVRECSEEKSLLPSGPPVGRTSWLSCCGLFDVMAGSGR >A03p061660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26778613:26780728:-1 gene:A03p061660.1_BraROA transcript:A03p061660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHHHHRGVELSSASKSFVSKKWTFLLCIGFFCAGTLFSDRMWPEPEANVASSREAASDERLLLMSEDCDSSKKTSLGEVYKSPDATQTLDKTISALEMELTAARAAQESIVNGSPVSDVFKLPETVTKRKYLMVVGINTAFNSRKRRDSVRATWMPPGEERKKLEEEKGIVMRFVIGHSATPGGILDRAIQAEESKHGDFLRLDHVEGYLELSAKTKSYFTTAFALWDADFYVKVDDDVHVNIATLGAELARYRTKPRVYICCMKSGPVLAQKGVRYHEPEYWKFGEEGNKNVLHKYVNEDVSLGSWFLGLDVEHADDRRLCCGTTDCEWKAQAGNMCVASFDWRCSGICRSADRMKDVHRRCGEGPNAPMAASFRNKITEDTH >A10p020720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14044615:14045737:1 gene:A10p020720.1_BraROA transcript:A10p020720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLEKQSAESIRRTMQAQEDLFKQQVRELHRVYNIQKKMMEQLKHRSQYCTTNNQDQTGPRERTGSWSGIVLENVARARNTTTAEHVEESELELTLSIGMSSSSMNKDMDYSSTTSFRSSSDNCNNQSNNINCNNQESSGPTTPMSSSSTTSLDREKKRPHWLFQGLSINRTS >A07p032840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17956177:17961544:1 gene:A07p032840.1_BraROA transcript:A07p032840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYNIRGINVDFPFEAYPSQITYMDRVIESLQNKCHALLESPTGTGKTLCLLCATLAWRKSLGSFSTRKDRNNDSDPQMSQSGGYPTIVYASRTHSQLRQVIKELKRCSYRPKMLVLGSREQLCVNEEVNSLRGKALSNACHYLCKKRGKRQCNHFNRVPDYLKNNSHIGDEPVDIEDLVNIGKGSGPCPYYITREVHKDVDILFTPYNYLISNAYRKHLKVDWNSSVLIFDEAHNLEGICADSASFDLPSFHLSACITEAQECVELASARRGSLNDGSTNPENFAILKGLLLKLQELISKVPIPKRDEGFTKPGPYIYELLETLNITHETAPKLIVTVEEATVLLDEEKQRTGTNTGSKLEIIVDMLKLIFRENGSSHADVYRVHIQEHEQNPTDIVKGKVSRTLSWWCFNPGITMQDIAKKGVGTIILTSGTLSPMDSLAQELKLGFPIRLENPHVISSNQLWAGVVSAGPSGCVLNSSYRNRDVPEYKQELGNAIVNFSRVVPDGLLVFFPSYYLMDRCIAFWKDGSHRNSMTIWERICKLKKPVIEPKDSSLFPAAMLDFSKKLQDRSISGAVFFAVCRGKVSEGLDFADGAGRAVVITGLPYARVTDPKIKLKREFLDEQSQVSDVKRSTILSGSMWYSQEAARAVNQAIGRVIRHRHDYGAIIFCDDRFEQPSQQSKISLWIRPYVKCYPRYGEVISDLARFFRIERSNFPASLVTEQEYDIVSTLLPKVSTKDAPTPTDGNSNVKNAGLARNEVSRVEALPPANRASPSEFVKWKGLTILQRKSKMPRIVKGEVMQACSSVKAKLVEINDDETPVERTTCEVVDLECDKQTCQIASSNNCFNTMGLVKKRKIPESQGSGASSSVLKEKGSGGGGGDKKEASASAFLSQVKEKLNAEEYNKFIGYIQALKKKEIKIASVMESIVQLFYGKERDHLLMGFKDFVPVKYRSAYEECMKTTKRDAL >A05p043340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26156974:26158422:-1 gene:A05p043340.1_BraROA transcript:A05p043340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTSLKSLSPFSSAILSTRPPSKHNPNNLIFTSYNKPNSKLHFTKRLVVACKKPTFTAEDVLRETTITEESHPKVPYSEVVRKKRVFWERRWNYWDVSRAIGILGVHLLSLYAPFHCNWSAFRVAVGLSVIAGTGITLYYHRNLSHRSFVLPKWLEYLFAWCGTLAFQGDPIEWVSNHRYHHQYSDTDRDPHTPRHGFWFSYFLWIFDTGSILQNCGGEENAADLVRQPYYRFLQRTWILNNLALSLLLYILGGFPYLVWGVNCIRATFHISDDRRFAYMGNAAMENRRSLQEQLVAGDSLGESWHNNHHAFEFSARHGLEWWQIDITWYFIRLLQALGLATNVKLVTEAHKQRFTCDG >A09p060710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50281348:50281737:1 gene:A09p060710.1_BraROA transcript:A09p060710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGNSRKESETKFSSSLESTNPPHRSSASDNLQRPLQIEEVMKMQLDRKTRSLTSSWRNVRGGTNEKEEGKD >A06g502250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7901010:7901501:-1 gene:A06g502250.1_BraROA transcript:A06g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVKCNLGCSWSASSQHTGASWVIRDFRGQVMEHSRRSFTETSSNLEADLISLCWTAKDLHTLHWNRVIMEISSAHTLEALNNPQWFPGLSNTIEQTRQALNCFQNCYVEVVNADTNRVAEKIAASVTKDGRFQSYIARGGPSWLNDIILAEASNSPSSYQR >A02g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1589492:1590858:-1 gene:A02g500540.1_BraROA transcript:A02g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEELEPLSPVEDGDEGEEEEEEDISYDDLKRRMWKDQNLMEKLKQQKRDNTDVVSLPTHRAEASRRKKMARSQDSVLKYMMKIMEVCKAKGFVYGIVPEKGKPITGSSDSLRRWWKENVDFDQNAPNAVADLKISEDQEASGSKTKRKGDNIIEPSKSVYTCQNSSCPKSDASLGFMDKNSRTGHEIQCLYGSSSQSTGETSSCELERNGQRDHDDHSNWLDYLWYERMQQEFNFSRRLEDVDDDTAVDLNQLLESDQSSDNVDHNNFSVWDMGCEDKDIYMFDY >A09p073340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55956561:55958636:-1 gene:A09p073340.1_BraROA transcript:A09p073340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTGNRQVTYSKRKSGILKKAKELSILCDIDIVLLMFSPTGKPTVFHGEHRKLESLEALKKTFKKLDHDVNIHEFLGASCWTNIDRIENTDHLNLLEESLRKSIERIQIHKEHYRNSQLMPIECTTTQFHSGIQLPLEMGGNSSSMQEAHPMSWLPDNDNQQTILPGDSSFLPHREMDGSIPVYSNCFFESVKQEDQICNNPGQTFEQLEQQGNGCLGLQQIGEEYSYPTSFGTSLGMEEDQEKKIKSEMELNNLQQQQQQQQQDPSSVYDPRANNGGCFRIPHDQSMFATDHHQHHHHQNWVPDSMLGQTSYSQQPN >A02g507810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21574051:21574407:1 gene:A02g507810.1_BraROA transcript:A02g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQTGSASLPTAALKPTDLPLPSAPMPSGHQSPQDLGDDLYMCVFMNQEHITITSCAILTGHTHKPFSIALTMAKSSSSTWMDGEQRTLLVNLKHHSNSSKDKTQRYWYQSLKHHQG >A09p053520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:46448874:46449128:1 gene:A09p053520.1_BraROA transcript:A09p053520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSMKQMRDLVTTGSLESFRFQMSNVQTWLSAALTNEETCTDEFKDVQDEPRKDEVCARVDGVKKLTSNALALVNRCVDNAIH >A06p028270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16418197:16418647:-1 gene:A06p028270.1_BraROA transcript:A06p028270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETHSIDEKKWKQGVPITSDLLSPMKLVTKLGLEKAFLPSKHLGSIYSTRLKTRQGILVIWFLSLLNPKCRVWCLDIDRWYLCTSIDINLHLSRHFLISIVSTDAHRSIVLPLVDL >A02p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4996323:4996947:-1 gene:A02p011520.1_BraROA transcript:A02p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIISDHVLDTNGSAPASDAANHHDSNVSSPSFGFDNPHVAYQMRKTTISNVFITFLTMRTSCLSSFYHLNHHKKRKILNPIPLSTIPHKSYVYPRNLDLFSFSPKHHNDKYVLLRRRIFKKRFNPTKFLTIFILREMMNTMV >A02p000410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:232297:233381:-1 gene:A02p000410.1_BraROA transcript:A02p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHKGKNHSTKLAFAPPEYLHLGILIRESVTYSFGTLLLDLMSGRHIPPNHALDLFQGKNYLVLMDSAQDDQFFDESASNNLPEKTKPVTEPLKLTPFGDACLRVDLCTIHELLEKLGYGEEDGVASRTWYVNDFFVSKEMIITRNLRADVDADSHEYIMYICAYHFSYQKHGDAAFRAKDFDTAIEFFTEFMTGAPRVLPIVLARSCMCYLMSEMFSEAQSEAMQAQVASPEWPISLYLQAVCLFKLEI >A01p013140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6423096:6429493:1 gene:A01p013140.1_BraROA transcript:A01p013140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSHLNASQSRSVDRKTIEKNRRIQMKDLYSELNSLLPQTSRESLALPDQLDEAANYIKKLQVNVEKKRERKRKLLATAAFEKLNSTGSSSMSSSVDVSMPRRLPRIEIQETGPVLHIFLVTSMEHKFIFHEITRLITEEAGAEMTHAGYSIVDDAVFHTLHCKVEDCDYGAGTRISESLKKLVNTKTKQIVFFVLFSLYLSFSNISVAETPYTYDQNPENGPKGWSKLDHQWKPCNNGKLQSPIDLTNARVSRAHDETWKIHHKPADAVILSRGHDIMVSWKGDTGKMMIHRTEFKLVQCHWHSPSEHTVNGTRYDMELHMVHTSAEGQTAVIAVLYKLGRPNEFLTTLENEIKTVGKEEKEIGIVDPRIIGFHTDKFYRYVGSLTAPPCTEGVIWTVVKRSYLGSLPTVNL >A02p050380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31109439:31109678:-1 gene:A02p050380.1_BraROA transcript:A02p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKIFIQITVACLLLAMIAIVSAQDKHDDHDHHEGDGHDHASAPGPSSKKPNSAVIVAADMFTGLVATTVALVAGFIY >A01p054510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30998881:31006592:1 gene:A01p054510.1_BraROA transcript:A01p054510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRAPRGERSNWVMHEYRLVDDDIVKAGVQKDAYVLCKIFQKSGSGPKNGEQYGAPFVEEEWEEEDCMTFEPNQDPGSLEDQAYVDIHDIDQKLDVYDAIPIHLGFDQGESSNNVETNYSDTTNYIQPGNYVHDNFEGPVDLSEEEQKLIIRDALFPDEENGCGVKDESTANLQSCDNIFDADTSLYNDFPIEGNYFTGEEFLDPNDGLYLETNDLNSTEQDGFNFEDYLTFFDEDDQNLTFDPSQLMGTEDVVPDQEELFQMAEAKEVEKEEASGGKQVVEEKENDEACCSKQVNADTTEFEPDNKNSVLKKASHMFGAIPTPTEFASEILTKDGVVRLQAGQSSGSVHMITVSDSNMGWSYSKNGDLSFGMVQENVPGKSENNLTRMMLIFVCFWVLVLSVSFKVSTLKREGTIVRMTDIDPLHQKPESMDGYEDFMDEDCISFESCSTIQNPDNDPHDQEDEQPLRRRRSRWAARQAQEMITTIERRNRESELIAIAGLHAVSTLDSSFLREETQASPPTSSGRAPERPRTQASGILQMWRELEDEHVLNRARERMRLRVRSADVAQAESQVSESENGYGSSSREQSPDLGDVESERVRNIVRGLTTDHSSNVRERSNDNRRGEWLGDTERERVRIIREWMQMTSQQRGGGGARASQRSLGSQDERTQVQSEEGRREHTRRDLRRLRGRQALVDLLMRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRSETPAEEVRAPSTAASEIRQLRERQTVSGLREGARDRLESNTNADNINTSRSNQITANTSEGSQPLNESLNSSTPLLPNDLGTSGSNATNSDRIWEEDTSQERVWSEVFTTDERRDLLQATLSQFSERDNGPENSVGDLHQDGTGINSNETVIAEGQSVWPADNSRQSDGNQPETRFGGSRTRRVVPMRRLNRLHLPDDDNVNNSIELRELLSRRSVSNLLRSGFRENLDQLIQSYVERRGGGLAHIDWDFQPETLDSQEHRREQQGFLQDEDQLDGINQSQTLPAPPMPPPQPIWHHTSYARSLHRSEFEWEMMNDLRGDMARLQQGMSHMQRTLETCMDMQSELQRLVRQEVSAALNQSPSDKGLGPGTSEDGSRWAHVRNGTCCVCCDADIDALLYRCGHMCTCSKCGYELVRTGGKCPLCRAPILEVIRAYSIA >A06p037610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20388224:20395635:-1 gene:A06p037610.1_BraROA transcript:A06p037610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDLQRPYSVCTRDDPKEKMNKQINDISEIFCVSNSDSGYAKKTTNCRLSQVLLPTQTKIFPILILFVMTLMYLEKNKKKYTSSCPLQSCPAKVEWDAIENLTKSKKQIKQCPAQGCSYFIEFPSRINAEEYGLNVVCLCGHTFCWRCSLESHNLMTCNNTSDWLSRDLKKLSVSMDDTLCLDRWEACEASMEKARSELQAFEESNNTTLREGLMMIVQCRQFLKWSCVYEYIHLQYEDSKREFLRFLHDYARKLVQSFSETLKEETEKALSEPTLDEVTCSRESRETNAAGGCPSNPATSHPDINGLSELELINHSLRHLPSFNSVQFHNERSKPLITYKNTKAKRDQAQGRPYSVCTRDDLKERITKQIDVISEIFCVSNSDATVLLMKLRWNSQLLSERLSQENKKLLTESGLKPVDVTDSNQDLSDSSCDEFYEFFDDVDDDDRDDVVKVSTPFCSHKFSTAYWSKYLEKNFFSVEKTVDTISCPHEDCGASVGRDTIEKLTVNDKNSYDEYVLRSYLEKSKRQIKQCPAQGCSYFIEFHKGTDAEEYGLNVVCLCGHNFCCRCSLETHRPVTCNNASDWLSRDLQKLSEASDKSLSFLWIEDNTETCPHCLSPLEIGLGSLDSKFVPCGYCSGSFCWECMHSEESHKTESGTYGDCLEPVEQDPLWNVEVVDTSCLDRWEACEVSLVEARSELQAFKESDNTSEEYIRIVRDGLMLIVQCRQFLKWTCVYEHIHLEYEASKKEFLRFLQDYANTLVERYAETLKEDTKALSAAQLVCNVPAVTSSIGNYFYNFSKALKDGLDAVKVKHYDDFSPCWLCDRCSYANTWLHKACQMCCESPVKLMEGDQQRPYSVLTRNEVKEKMNKQIDDISGVFYVSKNDATVLFMYLRWDTLRVSERLGEDKDKLLSESEYLDSLEKNQTVISCPDQNCRASVGPDTIEKLPVKDKDFYESYILRSYIEENKGLMIKQCPAPDCNYVIEFHQANDVEEYGLNVVCLCGNTFCWRCSFESHRPVTCNNVSDWLSTEVEVSVVDRWEERTAAMEGAQDDLQDFEDYIIKNPDSLKEQDVRIVREGLMLLIQCRQVLKWSCVYEYFHTEHETSKKEYLQFLQDIATTTLQSYLKTLLEETETAFYAADALVLCKFRHSLTTAKSNVGNFFYHFIKTLQDGIVDVKVKSYDNVAGPYWLCDRCTYGNSWLDMK >A09g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21759313:21765683:1 gene:A09g507510.1_BraROA transcript:A09g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMKINIDRCTQVPSIDVEKLNMRHFGSSGLEAQFYFERERESFGEKITCDWNSLTIDRHFFVVNILTAETRDLQIGIYKYYNLQHVNSGPASNIISNQLLVDSIPKCMPSGTRSNKEKDLLFSDDPAHLKRTIRRGQRSTSLDATTLLSIDTHNQQSTDTRPSSSIDPNHHDENRWMDYSSYRSTSSAKSSESNAVRILTHEEFAAKHPHPPSPFYDKIDRSVDPTIDRQKLGFIGACHCGAEYESEYSESIDTHTFPSIDSNESTVTDDRNKTSLDVDQPVDHFTLPNHCYPHFAFQPPSKRGRDDYSIGSWADNGFHESFAVDTVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSINICAGAKIQEQENIPSPTKFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSTSTGKSMKSNHLKNTSSAEIIMPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDKLFSQQRGTPDVIQTNPNKHVGVTATEINPYLSCQPKGQASIDGTTQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAEQATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGDNELFRSMVEMRTEIESLRQQLEKEARTSASIDAPHAPSIDVSLLTAQIPAEPQCSAEHKAEWEVSYINTRINDMYYPLNNNVDWLSTKIEVLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLHTYDDMHDRFANSFSINRLRGPWINGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDSRIGGLDSHAEWLQKEVKAIQRQLAAQHQISASIDKKRAKSVDGKSPRSTDEHLIASIDAESTPAGE >A04p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17184777:17186611:1 gene:A04p028620.1_BraROA transcript:A04p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITFTYSSYVARNLSSSSAGAIRLGSGGFPRPRFFDKTRPGSMCTSIAREGRQSPLVMGLISVLKSTSSGPESTLLLKSSSLIPFKWMNRMEIDDVDRGGTSFDEDDDGKEESSGGRSGWVNRLLSMCSEDAKAAFTAVTVSLLFRSALAEPKSIPSASMYPTLDVGDRVMAEKVSVSAAASASATASMRTVVVRFADADADAVANYCSFRRLRKLRSRLPQEADFCFISVNHILPASTKRMTQNVDAAAAAGTCGNQTNSSLAKLDGIVSYIFRKPEVSDIVIFKTPPILVEDGCNPNDVYIKRIVASEGDWVEVRGGKLLVNDNVQEEDFVLEPMSYEMETMFVPKGYVFVLGDNRNKSFDSHNWGPLPIENIVGRSVFRYWPPSKVSDTIYHDQAVAKGSVAVS >A03p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14632696:14634554:1 gene:A03p034720.1_BraROA transcript:A03p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRTENDTESGTATPVDRFGFLKQEHASKARTTTTTASSTNHDREERKVRKWRKMIGVGGSDWKHYVRRKPNVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQKRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFIAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYHAGLPLVQQYLFQLESLVKELIPKLGEHFTQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLSEGVKIVFKVGLALLKYCQDELVKLPFEKLIHALKTFPEDAMNPDTLLPLAYPIKVSKRLEELKVEYEKTIAKPVQP >A01p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10151240:10155569:1 gene:A01p020750.1_BraROA transcript:A01p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLITLLKILCYLKKGCVFVRDMGGGSSETKILQELILYAASAAFSCLVLFAGLRHLDPNREASKKALEHKKEISKRLGRPLIHTTPYEDVIACDVINPDHIDVEFGSIGGLETIKEALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVSAVFSLAYKLQPAIIFIDEVDSFLGQRRSTDHEAMANMKTEFMALWDGFSTDPNARVMVLAATNRPSELDEAILRRLPQAFEIGMPDRKERAEILKVTLKGERVEPDIDYDHVARLCEGYTGSDIFELCKKAAYFPIREILEEERKGRPCPAARPLSQLDLEKVLATSKKTQVAAGEYCGLRGSREPDEVEAAISGISKLLVSQFINLQADSVDSLVISTWRNYLLGAFKPACNISITFSDGKNRKQVPMKKENGQTALVPLFQSQETLSGKVCVEPYQGKKVEHNGVKVELLGQIEMYFDRGNYYDFTSLVRELDVPGDIYERKTYPFEFPTVEMPYETYNGVNVRLRYVLKVTVTRGYAGSIVEYQEFMVRNYAPPPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVILGKIYFLLVRIKMKNMDLEIRRRESTGAGANTHVETETLAKFELMDGTPVRGESIPVRLFLAPYDLTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITLYRLKEDASS >A03p008330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3355382:3357738:1 gene:A03p008330.1_BraROA transcript:A03p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKQQNHSSPRFRSKSPILTLSIFSLSLLVILYTFSPSVSYPNQSHQTETSFVASLEQFLIHKAPKLRDDTVRGESDDDDLRKLDELMSERENRLLNEDPGYPTGFPVKVYVYEMPKKFTFDLLWLFHNTYKETSNATSNGSPVHRLIEQHSVDYWLWADLISPESERRLKSVVRVHQQQDADFFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKTVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLVLPYVPNVDRCDARCLSESAPMRNTLLFFRGRLKRNAGGKIRAKLGAELSGVKDVIITEGTAGEGGKLAAQDGMRRSLFCLCPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKVAVIVSSGDAIQPGWLVNHLRSLIPSDVKKFQNSLAQYSRHFIYSSPAQPLGPEDLTWRMIAGKLVNIRLHTRRSQRVVKGSRSICRCDCWRPNSTASNPLSPLLP >A07p037680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20124244:20126219:-1 gene:A07p037680.1_BraROA transcript:A07p037680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEKGLLVRGEEEDVNTKDVLLREMGRLSYIAGPMVAVNSSMYFLQVISIMMVGHLGELYLSSTAIAVSFCSVTGFSLVFGLASALETLCGQAYGAKQFEKLGEHTYTGMLALFVVSIPLSVLWSYMGEILSFIGQDPQVAQEAGKFATWLIPALFGYATMQPLVKFFQAQSLILPLMLSSVSAVCCHVVLCWSLVFKFGLGSIGAAIAISVSYWLNVVVLGLYMAFSSTCGKSRGKISMSVFEGMREFFQFGVPSASMICLEWWSFEFLVMLSGILPNPKLEASVLSVCLSTIFTLYQIPESLGAAASTRVANELGAGNPKKARMAVYTVMVMTGVESVMVGAIVFAARNVFGYLFSSETEVVDYVRSIAPLVSLSVIFDALHAVLSGVARGSGRQDIGAYVNLAAYYLFGIPTAVILAFKFDMRGRGLWIGITVGSFVQAVLLGLIVSLTNWKQQAKKARERVMGEEFEEEKDNEEERVELIS >A04g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7706719:7715004:1 gene:A04g503570.1_BraROA transcript:A04g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIWVLWETRVFLVSLFKRKSTVRISVPTSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVLLGRYVATELEPKLGRYVATERSSRSVATDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRLESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPC >A05p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24060134:24063120:1 gene:A05p039380.1_BraROA transcript:A05p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 5 [Source:Projected from Arabidopsis thaliana (AT3G19620) UniProtKB/Swiss-Prot;Acc:Q9LJN4] MSVRRFVSVSLLIAALVSSLCESQKNFACDRNDPATAKYTFCKASLSYEARAKDLVSRLSLTEKVQQLVNKATGVPRLGVPPYEWWSEALHGVSNVGPGVRFNGTVPGATSFPAVILTAASFNTSLWLKMGEVVSTEARAMHNVGLAGLTYWSPNINVFRDPRWGRGQETPGEDPLVVSKYAVNYVKGLQDVHDAGGKSRRLKVSSCCKHYTAYDLDNWKGIDRFHFDAKVTKQDLEDTYQPPFKSCVEEGDVSSVMCSYNRVNGIPTCADPNLLRGVIRGQWRLDGYIVSDCDSIEVYFDSIHYTKTREDAVALALKAGLNMNCGDFLGKYTENAVNQKKLNGSEVDEALIYNYVVLMRLGFFDGDPKSLPFGHLGPSDVCSNDHQMLALEAAKQGIVMLENRGDLPLSKTAVKKLAIIGPNANATKVMISNYAGVPCKYTSPLQGMQKYIPKGVVYQPGCKDVKCGDQTLITAAVKAASEADVTVLVVGLDQTVEAEGLDRVNLTLPGYQEKLVKDVTNAAKKTVVLVIMAAGPIDISFAKNLSKIRAVLWVGYPGEAGGDAIAQVIFGDYNPSGRLPETWYSQEFAEKVAMTDMNMRPNSTSGFPGRSYRFYTGKPIYKFGHGLSYSSFSTFVLSAPSTIPIKTNPFLKLNNTTPIDISTVNCQDLKVRIVIGVKNHGSRSGSHVVLVFWIPPESSKSLVGGSVPHTQLVGFERVEVGRSMTEKVTVEFDVCKGLSLVDTDGKRKLIPGHHKLVIGSNSDQQIIHHLNVRLAGDSTVSF >A04g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13934543:13936823:1 gene:A04g506400.1_BraROA transcript:A04g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYMFARLLGEVRFDHQDCISKEIEEKQPFPPQNPYSQSAGYTGLLYSQHEILGLQMGLDYSYSQPSQDETFGGAETDSEYNEVESLIQQDQAQLREALIHQQEALIEQDQAPAFVYPPQPEVEFGFPQICYCGSEPKIATSSIEPGRRYYTCRNANDGECHV >A05p047640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28027782:28030117:-1 gene:A05p047640.1_BraROA transcript:A05p047640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEQNFDHLVEDPVEADYVIDLNSSPPMEEPEQNVDHLVEDLVEADYVIDLNSSPPMEEPEQNVNHLVEDLVEADYVIDLNSSPPMEEPEQNVDHLVEDPAPVEADNVIDLNSSPSMEEPEQNVDHLVEDPAPVEAENVIGLRKRRKKLTIRQKELIFYALRERSSYGKLRKNATKEVSEMFSIHIRTAQKIWKEAKENPGHFSQWRR >A04g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2074755:2075635:-1 gene:A04g500740.1_BraROA transcript:A04g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTPRLAPHTTPATTLARPDLIIIRPGRFAGKTRERTVESPEIARGEAVRRGEKERNGEEDRARPNIMRGPTETIRRNFLGNILYFRRNFLEIL >A07p041630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22077276:22079764:-1 gene:A07p041630.1_BraROA transcript:A07p041630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIGTVVIEVSFTQFFGYGWIFDIGLRRVKVVTEMLGREKNFRHRVEIKEVRPNTLSGPNIEEPSAINYFDAGEASSRRRRDLCQDFCSASRSSTSSTRSTIFCSVSFLLCDSLGDKSQPRLSLAVRRRDLCFCFGVCVTRPVTLWGTPHRSAVPVNINHSDSLSHHVYYSGPRTVKYSMRVVATPQIPRSMSSRLDLPSASPTRSTAASAIPVTHVSSSRYSCSEDLHRMPLLRPSQSLPSSIVSGILKSCVVGIPPFTRLSALDSRCLLSLSAPRSATTLFILKVYRFGSLLWVSEKICWVSTACSGAHPSPPFDVPISSPPISTFGVSPRYSPSPVKRMVVSNPRVLSLWAWPFQSCGIRTVKVTMRLTSDVWVCVKSFKISDGFIRVFVMRLLLYLSFMKIISVDTPCLLTVSTSSSTEKSTLQPCLLSMKGDVFSVLLSRLCFSLLTGLLSCGAVCTGPEDAIENNLIVLVGEGCLSTSPCVTILQLSDFVGKAFLTHSSFVLNSLSPSSEDLSDLVLYISVLYAFLQRGCNIPSCIVQNKVE >A05p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8686048:8686360:-1 gene:A05p018680.1_BraROA transcript:A05p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVAASSRYHPSHSVLRWPTTGGHYILGIEEYHMYKNINIGVHHIRTTATTSHDYATYLYGLLMLCTENFNEWSTYSDKLR >A06p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11839686:11842382:-1 gene:A06p023140.1_BraROA transcript:A06p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREELCVYQTPDAPVEARVKDLLSRMTLPEKFGQMTQIERTVASPAAIRDFFIGSVLNSGGSAPFEDAKSSDWADMIDGFQRSALASRLGIPLIYGTDAVHGNNNVYGATVFPHNIALGATRDADLVRRIGAATALEVRASGVHWAFAPCVAVLGDPRWGRSYECYGEDPGLVSEMTSLVSGLQGEPPEEHPNGYPFVAGSNKVVACAKHFVGDGGTDKGVNEGNTIASYEDLEKIHIPPYLKCLAQGVSTVMASYSSWNGSNLHSSYFLLTEVLKEKLGFKGFVVSDWEGLDRLSDPWGSNYRNCVKTAVNAGIDMVMVPFKYEQFIQDMTDLVESGEIPMARVNDAVERILRVKFVAGLFEHPFSDRSLLGTVGCKEHRELGREAVRKSLVLLKNGKNADKPFLPLDRNAKRILITGTHADDLGYQCGGWTKTWFGLSGRITIGTTLLDAIKAAVGDNTEVVYEKTPSKETLASSEGFSYAIVAVGESPYAETKGDSSELIIPFNGSDIVTTVAERIPTLVMLISGRPVVLEPTVLEKTEALVAAWLPGTEGQGMADVIFGDYDFEGKLPVSWFKTVEHLPVNARTNSYDPLFPLGFGLSSKPV >A02g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2815432:2815755:-1 gene:A02g500910.1_BraROA transcript:A02g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLYGHPNESCELNLPADGAAATAPHHRHLRLRQDDIARFSSFSNWIVHEGLTVELGAEAMMFEALEKVR >A10p021670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14489835:14498951:1 gene:A10p021670.1_BraROA transcript:A10p021670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGDAARSKARPSSSSLAASLLPSGSAAAGLGFGGYVGSSRFESSISNEDSAPLLDLDREMAQHLQRLSRKDPTTKIKALTSLSELVKQKKGKELLPLIPQWTFEYKKLILDYNRDVRRATHEVMANVVTGVGRDLAPHLQSIMGPWWFSQFDLASEVSQAAKSSLQAAFPAQEKRLDALNLCSAEIFAYLEENLKLTPQNLSDKALASDELQEMYQQMISSSLVALATLLDILLNEPDKAGSASLNAESKLATKARRVATSSAEKLFSFHKCFLNFLKSESPSIRSATYSLLSSFIKNVPEVFSEGDVRCLAPALLGVFRENNPICHSSMWEAVLLFFRKFPQSWGNLNVHKSVLNHLWQFLRNGCFGSPLVSYPALILFLEVMPTQSVEADKFFVNFFTNLLAGRSMCDSRADQLSLLRATTECFLWGLRNSSRYCDNPNSIQDLQVALIDKVLVKILWANFFELYTGSTPPIQRKPAGTLSMSSSVSFLSELGRCIVEILSGVNLLEQKLLSFFFKYVQESFLNTLQQGNLETITGSMKKMIDFLLLLERDSVSEAESWPLDQFIRPLLSKAFPWIKSSELIDGVKLLSVSVSIFGPRKIVPSFTGGIETSTLLSDDEGSDMSSEKFIKVFREVFIPWCMDGHDSSTAAKQDLLLSLLDDECFTQQWSDVISYVFDQQHQGFNNLASIEMLLEKARDEITKRSSGLGLNKRIGSRPVDWHHNLIESTAISLVHSSSMTTTSTAQFLCSVLGGSTEDSSISFVSRSSLVLIYRGILEKLLSFIKQSPLCSLNDTCSSLIAEAVDIEFDLSSSVDATTVAKFAAEVIDGSIFSLKGLNQDATLLSTILSSIFIIDLENRLSSLVDNTLYEFKEKRKDRDLVCGFVHALCSKINNQFWKSINCDVRKSTARILAQSLRSVVQLEDDLQPCQLTLLCASWMPEVLEYLSLDQTDEENICGLLLLESDVWPMWISPSSLASLNTRDLPAHLYELRASKSQRFVSFIESLIMKMGIHRFLGGHKDNGLSSQAWLSAEILCTWEWPGGSVQTSFLPALVSYCKSEPAKADLLNFIFEILLNGALVHGEDEEDERESSEHMWVELNNHIEDVQEPFLRALVSLTSTLFKENIWREEEAMAAFKMVTDKLFIGEETSKNCLRTIPFIMSIIISPLRTTTKSDVSGEDTGLPLEIILRGWLERSLSFPPLVLWQSGEDMEDWYQLVISCYPVSEMAEEDKAPQMHVSNEERTLLLDLFRKQRQVPRASSVVTQLPAVQILLARLIAVAVSYCGNDFNEEDWDFVFSNLKRLIQSAVVVMEETSENVNEFISGVSSKEKEIDTLEGLGHIVSISDPSLDNAKNALSAFSLLNALVKHKSVEGENNLNSLADEIWEPVKDRILEGVLRLFFCTGLAEAIAASYSPEAASLVASYRVDHLQFWELVAHLVVDSSPRARDRAVRAVEFWGLSKGAISSLYAIMFSSAPIPSLQRAAYTVLSTEPISRLAIVADGNASPSDESIIDQDSINVPSEEKLRLRDEISCMVEKLNYELLDTDLTAPERVQTFLAWSLLLSHVNSLPSLTQGRDRLVQYIERTANPLILDSLFQHIPLELYMAQSLKKKDAIGLSDLSGVASAAVLAITTGSSLSTVESLWPIDTGKMAALAGAIYGLMIRVLPAYVRGWFSEMRDRSASSSIEAFTRSWCSPSLIMNELSQIKKADFNDDSFSVSISKSANEVVATYTKDETGMDLVIRLPVSYPLRPVDVNCTKSIGISDAKQRKWLMSMLMFVRNQNGALAEAIRIWKRNSDKEFEGVEDCPICYSVIHTVNHSLPRRACVTCKYKFHKACLDKWFLTSHKKARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNRLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRTIQVGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKIVDSIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLSGQQAA >A09p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000136.1:20702:21212:-1 gene:A09p037880.1_BraROA transcript:A09p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMETRVKELGECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLGFGQGAGKLPECELRLSDRFAKGRKGEKPPMGGYGAVMGRLWDGFGKKGWDFGNCSPRRLGTV >A03g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19029647:19033813:-1 gene:A03g505270.1_BraROA transcript:A03g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGSSNGSLRLVLLHGNLDVWVKEAKNLPNMDRFRRYKKNSTSDPFVTVSIAGAKIGTTFVVDNDENPVWKQHFYVPVAHHAKVVKFVVKDSDRFGAKFIGDVGIPTEELCSGNTIEGLFPILDSSGKPCKKGAVLSLAIQYTPVEMMKFYQMGVGNECEGVPGTYFPLRKGGRVTLYQDAHVEDGTLPSVDLDGGMKYIHGKCWEDMADAIRQAKNLIYITGWSVYHPVRLVRRNNDPTDGTLGDLLKERSQEGVRVLLLVWDDPISWSFLGYRTRGYMKTSDEETRHYFRNSSVQVIICPRSGGRGLHSFVKKTEVQTIYTHHQKTVIVDAEAAQGRRKIVAFVGGIDVCKGRFDTPKHPLFTTLKTLHKDDFYNNCFGTTEDDGPRQPWHDLHSMIDGPAAYDVLANFEQRWLKASEKRHRISIHRSSSEDALLKIDRIPNIMGLSEASLIDDNDPESWHVQVFRSIDSTSVKGFPEDSKEASARNLQCGKNILIDMSIHTAYVKAIRSAQHFIYIENQYFFGSSFNWDSHKIVGANNLIPMEIALKIANKIRARENFAAYIVIPMLPEGDPTGIVTQSILQYQYKTMQMMYLTIYKALVEAELDGQYEPQDYLNFFCLGTREVADGNVNNNTKEEDAPQVEALKSRRFMIYVHSKGMIVDDEFVLIGSANINERSLEGSRDTEIAMGGYQPHHSWAKKGSHPRGQIFGYRMSLWAEHLGSLEKGFEEPENMECVRRVRRLSELNWRQYAAEEVTEMTSHLLKYPVQVDRAGKVSSLPGCETFPGLGGRIIDTGFVIKENLTI >A08p011910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11235490:11235765:-1 gene:A08p011910.1_BraROA transcript:A08p011910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYIAKKVCPPEAVGERLDIPFHVTRMDELYQNVKSGDCGPVSVKFLEMHATGNPTPIMSGLTDEMVDIFRKHYVMDIYKGGVLRLYLQN >A08p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1474319:1474911:-1 gene:A08p002650.1_BraROA transcript:A08p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFDVSLEGRDIPIVHRVIKVHERESTGEIVVLTKGDNNLDHDRILYAKGQLWLHRHHVMGRAVG >A10p023990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15579153:15580713:-1 gene:A10p023990.1_BraROA transcript:A10p023990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDX2 [Source:Projected from Arabidopsis thaliana (AT5G60540) UniProtKB/TrEMBL;Acc:A0A178UA48] MTVGVLALQGSFNEHIAALRRLGVQGIEIRKAEQLLTVSSLIIPGGESTTMAKLAEYHNLFPALREFVKTGKPVWGTCAGLIFLADRAVGQKEGGQELVGGLDCTVHRNFFGSQIQSFEADISVPILTSKEGGPETFRGVFIRAPAVLDVGPDVEVLAHYPVPSNKVLYSSSTVQIQEEDALPETNVIVAVKQRNLLATAFHPELTADTRWHSYFMKMAKEMEQGASSSSSGTIVSVGETSEQAKPDIPIYQ >A09p005820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3149382:3151238:-1 gene:A09p005820.1_BraROA transcript:A09p005820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFSSMFSGFARSFSIKKVKNNNGTSDAKEAADEMARDAKKKEKILKSCGYVYADGSNNSASVFSKRGEKGVNQDCAIVWEGFGCQEDMIFCGIFDGHGPWGHYVAKHVRNSMPSSLLCNWQKSLAQATLLDPEIDLEGSNKKLSRFDIWKHSYLKTCASVDQELEHHRKIDSYNSGTTALTIVRQGEVIYISNVGDSRAVLATVSDEGSLVAVQLTLDFKPNLPQEKERILGCKGRVFCLKDEPGVHRVWQPDAETPGLAMSRAFGDYCIKDYGLVSVPEVTQRHISAKDHFIILASDGIWDVISNQEAVEIVSLTTERPKAAKRLVEQAVRAWKKKRRGIAMDDMSVVCLFLHSSSSSLSQHVHHSTTFK >A01g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18422577:18428977:1 gene:A01g506320.1_BraROA transcript:A01g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWRRTTRAQTTRAVRDDVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQKIAQEIARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQHDHQDPVQQVPLPQVPLQQGPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFHQLRRFAGVGISEEDLIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAVSGSPELVAGATVCRDHVQLVTPDQHPSLPKFRLCAGVKRTRRRQPPEPPAAPLRRSHRDRHHPFAAEKLPPRRRLAAVAAADFPVSRRHPPPLPPGLVPVIRRRLANSAESTRIVLPDTETDFCMPDCMRGYGQSVDRLDRSLVWSINRLRAVTPSTLSEVLFGLLVGGESAPR >A05p005780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2230371:2231099:-1 gene:A05p005780.1_BraROA transcript:A05p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPAVRHPSHNHPLRSHKAQAEEEIICSGCDLDLIGAAFKCTKSECDYFLHKSCFELPRENRHKSHPEHPLTLLYSPTYESSAFACDACGEYGSGFSYNCSICKYDVHVGCVSMPETVEREGHAHPLTLLYRSPYQKGLIFNCDECHDTVPDNLWSYYCKKCDYGTHLHSCAVEEEPKRGGGGSGNTSRNNGGRSSAASDLSAMLKAQREMERMQIELDMAMQSAKIAKKSRKHMLKMI >A09g511880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35105581:35107449:1 gene:A09g511880.1_BraROA transcript:A09g511880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAEMICISSQSTTGVTLSVFGRLEEQVEDVESKFLVCYIRRDVPSEKARPQRIKREVSLVLVAMELTLCVVELAIVYSLCELCVCLGDQAFWCHWCALGADVLGEVLPRSGRLKPRLRGSLAEAVSLKRFVKIAAVEDSVLRCVGWGRMKMQYAEMICISSRSTTGDIWTFSIKQKGVCLKMKFFINENGKRGEKVLVCYIRRDVPSEKARPQRIKRESMVALELTLCVVELAIVYSSCELCVCLGDQAFWCHWCALGADVLGEVLPRSGRLKPRLRGSLAEAVSLKRSVKIAAVEDSVLRCVGW >A10p018420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12914211:12914616:1 gene:A10p018420.1_BraROA transcript:A10p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNTKILITALVMIVTASMMIEEAKSVRICNVSTKDLKKCRPAVTGNNPPPPTPQCCQVAKAANLECLCPFLSRSGIDPSKIKALGANCGITKNPSCLP >A09p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12593701:12597229:1 gene:A09p022890.1_BraROA transcript:A09p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 3 [Source:Projected from Arabidopsis thaliana (AT5G42420) UniProtKB/Swiss-Prot;Acc:Q9FIH5] MFKPPAELCWYCCGGGDSDLVSLYVTDANPIVGFFFAGTPNVSDSEWRSVNQNRFNHLSLVSEDLKPAFDFIPPTESSGSESGLTKEMAAENEQQRKSSSVSDMGAWAMNVISSVGIIMANKQLMSSSGFSFSFATTLTGFHFALTALVGFVSNATGLSASKHVPLWELIWFSVVANVSIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHSKRYSREVKVAVVVVVVGVGICTVTDVKVNAKGFICACVAIFSSSLQQILIGSLQKKYSIGSFELLSKTAPIQALSLLVAGPFVDYLLSGKFILNYNMSSGCFVFILLSCALAVFCNISQYLCIGRFSAVSFQVIGHMKTVCILTLGWLLFDSAMTFKNVSGMFVAIVGMVIYSWAMELEKRSNLAAKALNSVKHSLTEEEFQLLKEGVETTQPKDVELGGYAKA >A05p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:498370:500034:1 gene:A05p001930.1_BraROA transcript:A05p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTRDRHRERITWSGDRYEEGDITPRPMMKFKAAGTDVWDPKWDAHPIGGGAKKMLTREELFERANPVDDPRPLLTMKDILDDLLELRKKQLHERAATIDLFSIKGPICEEVVKKLQMLYGLWESGDADDKRSTPKEDYKEWFKRDRRFQGI >A07p048980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26065043:26073957:-1 gene:A07p048980.1_BraROA transcript:A07p048980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDILSSRPSNLDSLSKSSLLSRVRICLLLWDFAADREREREREQSILEGIVKKLKMGGSDENRHGVIGPMNPQGGLRGGKANPGNGQTRRALSNINKNIIGAPVYPCAVNKRPLSEKNVMCHKKLPPPVPVHRPITRKFAAQLAENNPQTKKEETKSEPVDIIIIDVEEEEDGDFNEPMFVQHTEAMLDEIDRMEGIEMEDSNDTDEEEEAVMDIDSCDKKNPLAVVDYIDDIYDFYKKNECRSCVPPNYMENQPDINERMRGILVDWLIEVHYKFELMEETLYLTINLIDRFLAVHHHVARKKLQLVGVTAMLLACKYEEVSVPVVDDLILISDKAYTRREVLDMEKLMANTLQFNFCLPTPYVFMRRFLKAAQSDQKVELLSFFIVELCLVEYEMLQYAPSQLAASAIYTAHSTLKGFEEWSRTCEFYTGYTEEKLMECSRKMAGLHHEAGTGKLTGVYRKYNTSKFGYASRTEPAVTLLLLSSTENSFVTPLPAEETYQAKRKGGARRMMIYMPPSFSGRASGRGRVILVCKYEEISFPVVNDLILVSDKVYTGREVLDMEKLMAKYFAIQFLSDNSICVHEERVELSEESKTGWSEKETLLLLEAVMHYGDDWKKVAQHRELRVIIASSTMDGHASVRSTYEGFESEAAMKETLEKYRCKPRAIISVEGYDTCLPEEDIKSELTNHFNSCGEVFNVIVRKDPHSPNLDRRALVILLGDGAEEKALELNGTDFGGWNALVKVEPEEVEDEEAELYESSLADELYNDRRFWFGVTVRGYNTFLPADEVESALIKHFSSCGEITHVFVCTLDKKTNIYFYQQEGEARALDLDGSVVKGFKIAVTGVATIFSNRLPPSGEICFGYCDPAHMIEFSGVIQDKVAAFKKQRMLSFCQFFIIELCLVEYEMLQYAPSQLATSAIYTAQSTLKEFEEWSKTCEFYTGYSDEKLMFWFQYSECSEEDGWFASRGRDREANRSIQEIQHFQV >A04p039910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22573997:22575544:1 gene:A04p039910.1_BraROA transcript:A04p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dihydrodipicolinate synthase [Source:Projected from Arabidopsis thaliana (AT2G45440) UniProtKB/TrEMBL;Acc:Q0WSN6] MAALKGYGLCSLDSVLHFPCPRPPFEAYKRRSSRWVSPKAAVVPNFHLPMRSLEVKNRTNTDDIKALRVITAIKTPYLPDGRFDLEAYDDLVNIQIQNGVEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSMDGIIAHLQSVMHMGPTIIYNVPGRTGQDIPPSAILTLSRSPNLAGVKECVGNKRVEEYTERGIVVWSGNDDECHDSRWDYGARGVISVTSNLVPGLMRKLMFEGRDSALNSKLLPLMGWLFQEPNPIGLNTALAQLGVARPVFRLPYVPLPLAKRVEFVKMVEEIGREHFVGERDVQVLDDDDFILIGRY >A09p083480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60052586:60055776:1 gene:A09p083480.1_BraROA transcript:A09p083480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3-like centromeric protein HTR12 [Source:Projected from Arabidopsis thaliana (AT1G01370) UniProtKB/Swiss-Prot;Acc:Q8RVQ9] MASFLIPPNLVGIETRYCGYGDHCKFLHDRGDYKPGWQIEKEWEEAEKVRKRNKAMGVEDDDDAKSEEDENALLFACFIFREPFVDPVVTKLQALLLDLSIFYGAIPPAAVSEIKSMARTKHFASRARDRNPTNATASSSAAAAAGPSATPTRRGGSQGGEAQQSAATPPATTTAGRKKGGTKRTKQAMPKSSNKKKTFRYKPGTVALREIRHFQKTTKLLIPAASFIREVRSVTQIFAPPDVTRWTAEALMAIQEAAEDFLVGLFSDAMLCAIHARRVTLMRKDFELARRLGGKGRPL >A05p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21351407:21355727:1 gene:A05p037590.1_BraROA transcript:A05p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) UniProtKB/Swiss-Prot;Acc:Q9C5V6] MAGGDSPAETKKGSSKTSKNTKESSKESTLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENALDSSESISELPEVEVTIEEIGKSKFNSMIGLIDRERVDTKLYDDYETDKAREDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYVTFCRLDIDIHRNIPHIHLHEKKANKEKWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFRFISETPEKNVTVKFTRRTDVMPPIPIETKHHPSSVDLLLIKRLVTDTSKKTLLQFLQNEFVNINKNLAARLIGEMGPDFSPGMAVKSVTSQQMVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATHSGSAQVFEGHPFIVEAGVSVGGRDVKQASHILLLFIHGINIFRFANRIPLLFEQGGDVVTRTALKRINWNSYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIATAVKSAIQQCCIQLKSKIVKRLQAREQQERKRSLSRYIPDATGAVYEVLKQMTEEHTKKRKRYKEEETAMLEKVSKLIITKETLKEKLAEHVEQVDYEMALEYATQSGVSEEPRENIYLQQLNPNHSNFIDLQSPTFVFRLIL >A03g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31868560:31870419:-1 gene:A03g509940.1_BraROA transcript:A03g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIVACLDTILVYNVYFDVHLGRLKCVLLVLGKEILIFDLNKYLSCTDDPRLLVSVLSVQERQVQTQRNESIALVQQPEIWNFMNLRNGAVHGYRRDDPMSSQRPRQLDDWFRLFPTPQAVEESYKESTYTFPFLRSEAVSQKPILCLSQKHCKDHELIVSAHHEIVLKPRISKQKQIFTWLKNVLLKPFQELFSLRCALKEIWCRKKHEPKLLRQENHFDLVHDEEFSKLALFHSFPNSFTVVPDFGISKPIFGDQITCMMFTHVVDDYSKSFDHVFDVLRIEKRPFDYFFRRFDVVPLVFLKVQDKKDQFQMEVSREGRHNTYDIGTWNWKYLRETNSKLQGSKMDLRSNPFEEGGNDAPRFEHRPAWIMDTAQGGDLVSQLDPSEVLPSYCAEHTDRVIPSVHYVHTDHILPSERDDQTFRTIPSDHPDRTARAVHRIDPHTSRIELSLEPRPRDGIDRSTSLLSRPSRQDKTDGRARIHLGREESKDGRRFSLIALFVWPACPEGCTDVLTSVFDLLMDFSLRYITKEGVSSCPKTCLTTVHILVKRIIQKFSLFVWNVWRVCGSSRVCRYPSHHGPTRPELTWTVA >A09p029500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17621313:17622365:-1 gene:A09p029500.1_BraROA transcript:A09p029500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIEEPIYYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNEDDDEVLLAMAEELGVFIPYAGGVEHANDLLPPLETLSTVEEKAVESLCRVGTQMRESDLVDHFLPLVKVRQGGGEQNSLCLIFDRLAACEWFPARVSTCGVFHIAYPSAPNTLKTELRSIYT >A09g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28468586:28472527:1 gene:A09g509810.1_BraROA transcript:A09g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRFEYRYATEEELEELKQREFSGWMLTYSETFPAIQGFVFSVSVSSEKPRNIPRKFQGMSSVPRKFFGIFRGTRVFKPKTTFCENSDGKFPSDPKFYKHKTLLLPHFSLLPPAISLFFRRSPPSLATISPANPLYSYTNHGDSSSHSRGSFSHSRGSSSHCRDSSFPVAAAATPPAAAPPPAPPVVPGVMTVAHLVQQPGREHLLYLTPCPKGHGSTDPGTGSAHGSTVRCTRTSARDIRLSLTSLPRTSKSSPGIPITRTLSVTTSSVPKSINQTVWEELCVHWDKDETKATSVTNSANRKRERGGMDMYKHNFGAQTIATLKDRLADENDGEPVDDFVLMKTTHTNKKTGEIDDGVVKDVISMIKTHKEDEETRLSQLQTDLDATSTSSTNLSRIRITEIVESSVPKKNGRLVGLGRRARSVPPSAPQPYVDPEGLMDQLKDKDDRIAALEQKMADQEAGWEATRKQNKQMMEMMKRMYPNEQFPSVSLGIFRRLLPRNIPRDIGPRNIPTDTLPWNIPTAQVSRKIPTAEVRRNFPIPLFSRNVSEDRSIGKLQGDTDEQS >A01g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25244061:25247191:1 gene:A01g509330.1_BraROA transcript:A01g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGETRSEKPKGVDPDSFPGPIKPTGTTYVSSSLSNGDPHSKKAKSEASVSSGLTKPSGKAGVSSGLSIGVSISKNPECTKTSVSLGVRGKAAVSSGAKGKAIVSAKAVEVMSFKDVKFGPHGCELRFRLIHFWESRNETVIQGFIPAGRIDTYLPHMKDSSVCFPEDRFRFHGYEEFDAACDLRGDLYDYVGHIKLVNGQVLNDSLVLDDADIASSRRVLLHVQTHDGPVMKLYLWDKVASDFNGKFKASGGAASVILVTTLNPKRFGGVLTLSSMTSSRVFLDSDVQATQDYLTWLNLNIAVANKVDAEVVTKHETVTIGKLFSYMKQEAVKVAWFECIATVGDVVHGSGWYYIGCGGGHTKATKGPTTLMCKECGKSDIVGVAQYLAKISVCDNDDQACFVLLGDAGQDLTGKRASELVDSYFEANENMGDDHLVPVPQALINTIGQTRKFIVKVSTHNLTGKTQSLTVTKVLIPEDPEIEGNVENVTVTDAQKTLQNEVAEDGPSTRFEESGGERVKRAADNVEAEDSKRAKCG >A09p014040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7230827:7233709:-1 gene:A09p014040.1_BraROA transcript:A09p014040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEPHANLVVPAFKTEKVAKTQTQNGLGTVWRFGGTDKAAKASTLTLRGVIYMLFDNCSRDVKKTVLPLGHGDPSVYPCFRTCIEAEDAVVNVLRSGKGNSYAPGAGILPARRAVAEYLNGDLPNKLTADDILLTAGCNQGVEIVFESLARPNANILLPRPVFPHYDARATYSGLEVRKFDLLPDKEWEIDLQGIEAIADENTVAIVVINPNNPCGNVYSHHHLQKVAETARKLGIMVITDEVYNQTIFGDNPVVPMAKFASIVPVLTLGGISKGWVVPGWKIGWIALNDPEGVFESTNVAQSIRQNLEITPDPSTIIQAALPEILEKTDKSFFAKKNKILKHNVELVCHWLKDIPCVICPKKPASCTYLLTKLELSLLEDIKDDTDFCVKLAREENLVFLPGEALGLKNWMRITIGVDAHMLEDALERLKGFCTRHARKTYIN >A09g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3021259:3023428:1 gene:A09g500820.1_BraROA transcript:A09g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDINCLPLYNTLRRHVKGKSSLLASPLAPPSLPVPENAKLFAWVGDEILPREMAKVSVFDSVVQGGDSVWEGLRIYKGKVFKLEEHLDRLFDSAKALAFNNVPTREEVKAAIFKTLITNGMFDNTHIRLSLTRGKKVTSGMSPAFNRYGCTLIVLAEWKPPVYDNDNGIVLVTATTRRNSPTNLDSKIHHNNLLNNILAKVQSFATESCDHVLVESNNANVDDAIMLDKDGFVSETNATNLFMVKNGVVLTPHVDYCLPGITRATVMELVVKENFILEERNISLSEFHTSDEVWTTGTMGELSPVVKIDGRVIGEGEVGPVTRKLQSAYKKLTDDSGVPIPTYQKL >A09p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6878083:6881287:1 gene:A09p013410.1_BraROA transcript:A09p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERTNSMREKRKLEEDDDNNNQQQQQPERKRPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALAKLGPARLSSERSSPKRIEGIGGRNLQLQFRSRLSVPLFTGGKIEGEQGAAIHVVLLDATTGHVLTVGPEASAKLDVVVLDGDFNSEDDEGWTEEEFESHLVKERQGKRPLLTGDVQVTLKEGVGTLGELIFTDNSSWIRCRKFRLGLKVSSGYCEGLRVREAKTEAFTVKDHRGELYKKHYPPALDDEVWRLEKIGKDGAFHKKLNKAGIYNVKEFLRLMVKDSQKLRTILGSGMSNRMWETLAEHSKTCVLSEMLYVYYPEDSVGVVFNNIYEFSGLISGKQYYPADSLSDNQKGYVDALVKKAYENWDQVVEYDSKSLMNFNQVNKTAEIDYSMPVSVPSQPSTSYSDITGEASVYNQIPASSFQAQSDTSYMHYGNASFAPQDQLVSNTHESQGLMNGNGGGRLALCPPSGSQNNHLVEPHTEMNPFNDWSNRGDGFLSEEEIRARSNEMLENDDMQQLLRLFSMNGGGGGGPQTPMNMGEDGFGFHSFGQSSLGDYEEERSQSGKPVVGWLKIKAAMRWGFFIRRKAAQRRAQIVELEEDE >A02p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1565675:1569311:-1 gene:A02p003900.1_BraROA transcript:A02p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDSESFESLSQVLPNTSNSARMIQMSMANSGSAAPAPAGQDHLYRSKWLLDCPEPPSPWQELKTQVKESYLTKAKKFKSLRKQPLPKRILFILQAVFPIFGWCRNYKLTMFKNDLMAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYALMGTSREIAIGPVAVVSLLVSSMLQKLIDPETDPLGYKKLVLTTTFFAGIFQASFGIFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGITNFTTNTDIVSVLRAVWRSCHQQWSPHTFILGCSFLSFILITRFIGKKNKKLFWLPAIAPLISVVVSTLMVFLTKADEHGVKTVKHIKGGLNPISINDLEFNTPHLGHIAKIGLIVAIVALTEAIAVGRSFAGIKGYRLDGNKEMVAIGFMNVIGSFTSCYAATGSFSRTAVNFAAGCETAMSNIVMAVTVFVALECLTRLLYYTPIAILASIILSALPGLIDIDEAIHIWKIDKLDFLALIGAFFGVLFGSVEIGLLVAVVISFAKIILISIRPGIETLGRMPGTDTFADTDQYPMSVKTPGVLIFRVKSALLCFANASSIEERIMGWIRQEEEGDENTKSNAKRIILFVVLDMSNLINVDTSGITALVELHNNLIQNGVELVIVNPKWTVIHKLNQTKFISKIGGKVYLTIGEAVDACFGLKV >A01p005270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2259260:2271610:1 gene:A01p005270.1_BraROA transcript:A01p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLRKGDKVWVEDKDLAWIPGEVLDSSGSKVHVETSTGKKVSVAPEKLFRRDPDDEEHNGVDDMTKLTYLHEPGVLSNLQRRYALNDIYTYTGSILIAVNPFKKLPHLYDAYMMEQYKGAPFGELSPHVFAVSEVAYRAMIDDSRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAVDDRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVRITDPERNYHCFYQLCASGNDADKYKLSNPRQFHYLNQSKTYELEGVSNAEEYKNTRRAMDIVGISHDEQEGIFRTLAAILHLGNVEFSPGKEHDSSVVKDQESRIHLEMAADLFKCDPNLLLASLCTRSILTREGPIIKALDCNAAVTSRDALSKTVYARLFDWLVDKINRSVGQDPNSRFKIGVLDIYGFECFKSNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEEINWSYIEFVDNQDVLDLIEKKPIGVIALLDEACMFPRSTHESFSMKLFHNCKTHPRLEKPKFSETDFTLSHYAGKASRVTYQTETFLDKNRDYAIVEHCNLLSSSKCPFVAGLFPSAPEESTRSSYKFSSVSSRFKQQLQALMETLNETEPHYVRCVKPNSLNRPQKFENPSVLHQLRCGGVLEAVRISLAGYPTRRYYSDFLDRFGLLAPEFIHESSDEQALTEKILRKLGLGNYQLGKTKVFLRAGQIGILDSRRAEVLDASARLIQRRLRTFVTHQNFISARASAISIQAYCRGCLSRNAYATRRNAAAAVLVQKHARRWLSRCAFVKFVSAALVIQSYIRADSARLKFAHQKQQRAASVIQARWKMHKFRSAFRHRQSSIIAIQCCWRRKLAKREFRKLKQAANEAGALRLAKTKLEKRLEDLEWRLQLEKRLRTSGEEAKSGEVSKLQKTLESFSLKLDAAKLATINECNKNAVLQKQLDISIKEKAAVVKELNGMVELEKENTSLKNSMSSLEKKNLALEKELLSAKTDFNNTLQKLKEAEKRCSELQTSVQSLEEKLSRLENENHVLRQNTLSLSPKRIGQRVGEKHFSAIVPAQNDRRSVFATPTPSKHIMPISHSLSEPRRSKFTAERNLENYELLSRCIKENLGFNDDKPLAACVIYKCLLHWHAFESESTAIFNIIIEGINEALKGGDENSVLPYWLSNSSALLCLLQRNLRSNSFLNASAQRSGRAAYGVKTPFKLHGPDDGAGQIEARYPAILFKQQLTACVEKIYGLIRDNLKKELSPLLGLCIQAPKASRGTAGKPSRSPGVAPQQSPSTQWENILKFLDSLMSRLRQNQVPSFFIRKLVTQVFSFINLSLFNSLLLRRECCTFSNGEYVKSGIAELEKWIASVKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLEEIRQDLCPALTIRQIYRISTMYWDDKYGTQSVSSEVVSQMRVLVDKDSQKLTSNSFLLDDDMSIPFSAEDIDKAIPVLDPSEIEPPKFVSEYTCAQSLVKDASAATASQ >A10p003180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1620036:1621332:-1 gene:A10p003180.1_BraROA transcript:A10p003180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTPGDTKKNEHHQLMNVNNDVSPSSLNSSPKSRNLLRKKDGRCLAVLSSGKCLQIFKNSPKVSCSHEFSTSSPSHFLRKPGADSNAADQSRKVISLPSNNTFSSPSRDVSQGTLQFTMRANGMPRFVFKLDNQKDVYVASLSSKDEDQSGLEYSYMIHLQRRESSSSSLLVGRIKVSTLSSGSLLNERFIERKFVLFSNNCEHSQTPCKKKNRGLCEKVVGVMKNNNEQMQQPNFDHEQVNLLENNLPPNLEMLAIIVKQEFLEEEEEEEEEETGGWGLKFLRKSTLVRNESGSSRSKTSVDVVVPSGIHGGPCSSLIERWKSQGNCDCGGWDLGCSLTLLNGQLQNNNLLELFIEGSKHDTTVLRMEQLPRGRYFVQYQTWLSALQSISVALAFIHSHRAINYYDQ >A06g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23430911:23431513:1 gene:A06g508330.1_BraROA transcript:A06g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLGDPPALKRGIIICIKCTLKLERRMCLRIRFSGGDNARSVKASAGQSSEGVEKTDSTGGGGARQFAGPVMGVTTLDRGFANSTTDEVVKLSPHARAIRD >A03p021500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9157826:9158059:1 gene:A03p021500.1_BraROA transcript:A03p021500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAVMQIRYRDELSSSYYVRLPGDSGRFGSGLGSSSSSSDTASSKTTITTTTFAVSTGVQPPVHRRVVT >A04p027710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16705941:16717194:1 gene:A04p027710.1_BraROA transcript:A04p027710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSKIIGRRIDNHCSTCFRLDHSLKDCLEEKARKRALSGLQEKDSSKLVSVSSVKETSHLVGRVPRDNASFHFSASQRAYQFERRPARSFNASQSRRENRLQPRVWQEKEAHRRSSQARERSHYPAARYNGSQRDRTSHHSRLDSQGHSFYREVANPQTPVDTNESSSTRAHPGILEKGIPLQEKLPSLPKEALQLAREEVRDAMLQYTKTADPTEREARTERMRQAEEQGDIEKTAAHMVQASLNESAERMITEPSSPTPERVSALRRLALPEQQDRIPAPLRLGPSPPGPIIAGVEGSPTANRIPATLRLGSPPALQNSGDLNATKAISKRKPGRPPGRKTVTEKTLATSKPLVASGNRVRKVAGAKPSPVRRSTKTMRTKAGEGTSPRNKFVFENHAGAPADTLSQAIVAARDWELAQTPKGERPPIPMKPPSLETANVARSDAAWSSSSQLAGLGWVVLNQDHKTLHQRGRRLIPSALIAEGLALKEAVTACISRDFKVARFESDSSQLIRAIREKSPPLELYGIVEDILILSQEFDCVAFDWISREKNCEADLLAKNALLLLDQESTMRSSHRSCYTETIHPEAFYLLSNVCSHTLWLNESVDYLLRFSVTTSWARHGNVEFRVLDPIKPSALSSNLISPSASLEVKLELEIHLVYSHQIKRQTGLSSFNVVYGSGASHLKFLPINIPTSSYRCFNVIFDYQLFFRTIAMGTKVKLLFGFLHFAERDSSLYGPNCTNRSSGAKYIKKQISGTKCENMQKMSMAVLSPSHYAISSIDGSSHSRLCGSPIPFLVTRTTVQDCGFARSVRYHVTIASPSYYAVSSIDGSSQSQPYDLHTVAAIFNGGSRMSCSSRTPTHRSQDLIVCRGLNDELSTTTHDSVAPLFRVRLVSLSSPLYMARSPSLQATTLAPQAVVTNFTSRLERFSTFSGKLLESSPRLPQVPVLCYASSNCTAFFWVCSLTPMASDSPSLLQGVSMEGQPTPLPPAIQAFSETWFNCSQNPMVGFFKVDFDVGAFLRMQALGLQVKLLFRYLLSLATSIFHLVLVIFVYQLTVEDHSGCNGFGPLGF >A01p057770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32741104:32743963:1 gene:A01p057770.1_BraROA transcript:A01p057770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:Projected from Arabidopsis thaliana (AT3G04580) UniProtKB/TrEMBL;Acc:A0A178VKQ4] MLRSLGLGLLLFALIALVTGDNNDYGSCNCDDEGYYFFTVHTILECQRVSDLLIAIAYFSIPLELLYFISFSNVPFKWVLVQFIAFIVLCGMTHLLNAWTYYGPHSFQLMLWLTIFKFLTALVSCATAITLLTLIPLLLKWKVRELYLKQNVLELNEEVGLMKRQKEMSVHVRMLTREIRKSLDKHMILRTTLVELSKILDLQNSAVWMPNENRTEMHLTHELRSNSMRSFRVVPINDPDVVQVREAKVVALLRKDSLLAVESSGSDESGPVAAIRMPMLHGSNFKGGTPEFVDTSYAIMVLVLPNANSRVWTDREIEIAEVVADQVAVALSHASVLEESQLMREKLGIQNRALLRAKQNAMMASQARNTCQKVMSHGMRRPMHTILGLLSMFQSESMSLDQKIIVDALMKTSTVLSALINDVIDISPKDNGKSPLEVKRFQLHSLIREAACVAKCLSVYKGYGFEMDVQTRLPSLVVGDEKRTFQLVMYMLGYILDMSEGGKTVTFRVVSEGTGSSQDKNKRESGMWKSHLSDDSLGVKFEVEINEIKSPPSDGSVIAMRHVTNRRYHSNGIKEGLSLGMCRKLAQMMQGNIWISPKSHGQTQSMQLVLRFQTRPSIRRSILAGNAPELQHPNSNSILRGLRITLADDDDVNRTVTKRLLEKLGCEVTAVSSGFECLSALSNVEMSYRVVILDLQMPEMDGFEVAMKIRKFCGHHWPLIIALTASTEDHVRERCLQMGMNGMIQKPVLLHVMASELRRALQSASE >A03g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21979568:21981183:-1 gene:A03g506140.1_BraROA transcript:A03g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNFPTPEELNDILSLPSLQTMEPQLYSHQFPVVTALNAEAAKQLKNRSYMNTTTNQCSSGFGEYGAMGNLQPKREPTLLAQRNVSRGVSQARDHIMAERRRREKLSQRFIALSTIVPGVKKTDKASVLGGTIKYLKHLQDRVRFLEEQASQRTIESVVYLNKSRLSVADTELECIALPEIEARSSGKNVLIRVHCERRKGVVEITMAEIEKLKLTVINSSVITFGSSSLHLTIISQMGEGFNITTKDVATSIKSSLEAFMNANLV >A07g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13267296:13267570:1 gene:A07g505790.1_BraROA transcript:A07g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQKERESYAREKRRRSDGEGADLIFSYDSDCRWCTFRYNACSPWKLESLDLSPDSRQRSLGKQIA >A03g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29970383:29973112:1 gene:A03g509090.1_BraROA transcript:A03g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAELSMEQVLKRDIPWETYMTTKLISATGLQLLRRFDKKPESARAQLLDEDGPAYVHLFVTILRDIFKEETVEYVLALIYEMLSANPTRARLFHDETLEHEDTYEPFLRLLSKGNWFIQEKSCKILAWIISARPKAGNGFANGEASGSKKPITTIDDVLNGLVEWLCAQLRQPSHPTRGAPIAISCLSTLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQFLVQYVSVTNSCDLYALDQQLLYETCLCIWLLSYYEPAIEYLATSRTMQRLTEVIKSSTKEKVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEVRYVKYIAEYCFLLAVIVEHDDLLDALNQLEEGLKDKIKKLSSFDKYKQEVLLGHLDWNPMHKEANFWRENVTCFEENDFQILRVLLTILDTSTDPRSLAVACFDISQFIQYHPAGRVIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLLLGAKYASFLQA >A07g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13403922:13404365:-1 gene:A07g505850.1_BraROA transcript:A07g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIRRSVAELLHLVIVEQRHFLRGGEFRRPRTVANCGAIVLRLKMRFGQSPPTTTSIDVLMWIEIRVFECGSREEFVAGKSGGNVRSGRHCCFFRIDRSLTRSLAGL >A07g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25907360:25908126:-1 gene:A07g508950.1_BraROA transcript:A07g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHGRFAATSSNFLLVLAAYTNQKAKSFYLFIYFTIKFSSSINSQSREMIKALFLPQILTHVRQRKHEVLSVHGHVFSSEKQPGSLLEGFTKTQIRFLD >A10g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19824235:19828583:1 gene:A10g506760.1_BraROA transcript:A10g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGRDQYVYMAKLAEQAERYEEMVQFMEQLVTSAAPSSSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHAALVKDYRSKVEAELSSVCSGILRLLDEHLIPSAAGSESKVFYMKMKGDYHRYMAEFKSGEERKGAAEDTMVAYKAAQDIAAADMAPTHPIRLGLALNFSVFYYEILNSSDKACNMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVTPPLHTPFSRTLVLYSTFKVITEEQMDEA >A08p041500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23402492:23404543:-1 gene:A08p041500.1_BraROA transcript:A08p041500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIWTWLISFFFLIALVGIIVYQLVCLADLEFDYTNPYDSASRINSVVLPEFIIQGVLCVFYLLTGHWFMSLLCLPYLFYNFQLYSKRQHLVDVTEIFNLLNWEKKKRLFKLAYIILNLFLTIFCHRREAGVISFITKLDLDMSGMLDLESKHVVMLSNVLESSSDVIQLFTGFPSFKEVKVQWLMQSKECSSPGSDIPMGQLIVNMNNSMPSSQRFIIHVLDSTHLFVQPHVEQMIRSAIAEFRNQNSYEKPT >A03p054080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23207840:23210644:1 gene:A03p054080.1_BraROA transcript:A03p054080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPMKNPIEDLFGEDSDNDSRSSRSKSSSSGSSSSSSSGSASSSAASSSKGGGGGGGGSSSSGSGSSGGREEHGDDDDDGVDSYRGNDNGETGVYAYHEEEDEKDLFGSDNEEYTKTPALSTYSIPGKFESFLPAGWSNDNHGGRGGMGRGRWSNGRGGPGLLPRPGPYPGGRGGRGGRYQSYQRDERFVSELKLSKSKETLSRKSTVFQEPCELTSYSRVEGGEVFYDERGLRLFKRHVSEDIGADLNQGYDTFIEKIDLGSEGFGDLLGSIRAKNISLENIHFVTFRNNLNKILGAAYNRHEPWEMGVHKRNGTIYLDVHKLPERPQSDLDRRRCYWGYCFESLATEDPGRAYGEEIHHVDANVEFCSVVKTKLGAHRVLMGAEMDCCDETDEGRRIYIELKTTRELDDRTVERYEREKLLKFWIQSFVAGVPYIVVGYRDDGGRLVRTERLRTKDIAHRARLKNYWQGGVCLAFADEVLCWLYGTVKENEDYTLQFVHPFMRLELLQAQSCPDAITNHVHLLQHPSSPPPPPQ >A05p003970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1540205:1541739:1 gene:A05p003970.1_BraROA transcript:A05p003970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42130) UniProtKB/Swiss-Prot;Acc:Q8S9M1] MALVQSSIPGSSAVRLSFSNSMPTPSKVSVGVPMVKGWRRSSCPRRVVLRAMVQEEAVQGSPSVYARDMERLSAKESLLLALKDAGGFEALVTGKTTSMQRIDVNERITSLERLNPSPRPTTSPCFEGRWNFEWFGSGSPGLLAARVIFERFPSTLANLSRMEIVIKDANAKATANIKLLNSIESKIILSSKLTVEGPLRLREEYVEGMVESPTVIEEAVPEQLKGALGQATTTLQQLPDLIKDTLSSGLRIPLSGSFERFFMISYLDEEVLIVRDTSGVPEVLTRLETPPSIVVEAL >A02g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25631796:25632972:1 gene:A02g509630.1_BraROA transcript:A02g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLHRSCEGALFLGGQKRPMRWQIHRSGYSASGFTVEAADVLLKNVKLRRVRCKDSIGDKQTNAWLGAAGHALRTEWTFFKIRQALPNSVFN >A08p046190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25184181:25188985:-1 gene:A08p046190.1_BraROA transcript:A08p046190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane GTPase FZO-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03160) UniProtKB/Swiss-Prot;Acc:Q1KPV0] MRTLLSHRPCVTSPLLISASFPPFPRRCIRLSSFSPPRHKRLSSLSIRNASLESADQTSPSRPRTLYPGGYKRPELAVPGLLLRLDADEVMSGNRDETLDLIDRALAKSVQIVVLDGGVNAGKLYEAACLLKSLVKGRAYLLIAERVDIAAAVGASGVALSDEGLPAIVARNTLMGSNSESVVLPLVARIVKDVESALTASSSEGADFLILVGSREDQQLADSLLKSVKIPIFVACISKGEAKEELQLLRSGASGFVVSLNDLRSSRDVALRQFLDGASYVNENETPLVEASDLQEKHDATGFVKLEDKQKEIIEMEKSVLRETIEIIHKAAPLMEEVSLLVDAASRIDEPFLMVIVGEFNSGKSTVINALLGKRYLKEGVVPTTNEITFLCYSDLESEEQQRCQRHPDGQYICYLPAPILKDINIVDTPGTNVILQRQQRLTEEFVPRADLLLFVLSADRPLTESEVAFLRYTQQWKKKFVFILNKSDIYRDTRELEEAISFVKENTQKLLNTENVILYPVSARSALEAKLSAAALAGRDDLEVSDPDSKWRTQSFNELEKFLYSFLDSSTVTGMERIRLKLETPIAIAERLLSSVESLVLQDCVAAREDLASADKIINRTQEYTLTMEYESISWRRQALSLIDKARLQVVDLIEATLRLSSLDLAISYVFKGENSASVAATSKVNGEILAPALSNAQDLLGKYAEWLQSNTAREGSLSLKSFENKWPQYVNSKTQLGIDTYDLLRKTDKFSLKTIQNLSAGTTSKRLEQDIREVFFVTVGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGYVAIANFPYRRQAIIGKVNKVADALAQQLEDAMQKDLSDATNNLVNFVNIVAKPYREEAQLRLDRLLGIQKELSDIRNCSGWMNWKLLFDLHMYLTLQVSMGSNPQHMLAIVSKDKSA >A07g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14414948:14415524:-1 gene:A07g506100.1_BraROA transcript:A07g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDSSGSWIGGEARRRVVVNATLKHGEAGSSRLTTKDQEPDNEAIQWCEEAGTGAFKEGNHNSE >A03p049900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21427048:21429256:-1 gene:A03p049900.1_BraROA transcript:A03p049900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSCSMIYLLLVLQIQTLLVSSQNIRNGTVPVGESLTASESQQFSSSWRSPSGDFAFGFRKIQPNDGFTLSIWFDKIPDKTIVWHTQAVNTTTGLVPEGSKVTFAADCGLVITDPRGQQHWSSSLPPGSGSVSRGHITDAGNFRLLSKDSGEDLWSSFGHPTDTLLPTQSIEIGSNLSSRLTETSFKKGRFRLHLGEDVDLTLLVLNSKSLAETDVYFSYYESGTTEPNPGTRLVFSQSGYMYTKTFPYLLETYIAAPFYIPTGFAITPKSRGGTMGESWLGLCRIIYVRRAPKKLLAKCQCPEKFSLMDPSDEYGDCKPDFEMQTCGPENNETENVHVNVYELMRVDRTNWPYGDYQRNACLNDCFCAAVVYGNDRVCWAKRFPLSFGQRAPNGNNDDPRDSYTLIKVVKGVVDVPVTRCRGRNSGWLIIACSVLLGTSALLNCILLALYRRINKRKKKLSQARDIGAATSTSVELNLRVFTYSELGREVLGSCVAVKKLDRVAQENEKEFKNEVKVNGQIHHKNLVRLIGF >SC234g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:106555:112111:-1 gene:SC234g500050.1_BraROA transcript:SC234g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESSNPTSEAERTTPLDHERGNGTESHEQVHNQDSGQHNQEVTQEVESGIEMKTKEAETVTLEFYCDILMDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGERVGDSADMRGMDTKRADECVTKKEWDELVKHVKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGALVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPMIKKKRLHGDLIRRGLSDQSEEAGTPHHSDQLYSRTQGVFNQGNYWLSNHMMVANYGVEKC >A04p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3185089:3186477:1 gene:A04p006150.1_BraROA transcript:A04p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSPALRSSPAKFLTSYTARSDDAADELKRTRRSFRRDLTTSFPARSNEDEFSDEADRLYKLRRTRRSLSLHLRNANKDLKDAVENICGDMHIKYLTFLRHLLIRMLSHPRAQTILVDGAFREGEPLIPLPSFKILVLLTFSSPSTGVKRVETVYPLLKDVALSPDMSFCVNCLFEGL >A07p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18340480:18342448:1 gene:A07p033520.1_BraROA transcript:A07p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKEADLKGKSVFVRVDLNVPLDDNSNITDDTRIRAAVPTVKYLMENGSRVVLCSHLGRPKGVTPKFSLKPLVPRLSKLLGIEVVMANDSIGEEVQKLVAGLPEGGVLLLENVRFYKEEAKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLSTVDILLLGGGMIYTFYKAQGHSVGSSLLEEDKLDLARSLMEKAKAKGVSLLLPTDVVIADKFAPDANSKIVPATAIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAVAKQLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >A08g504990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8178360:8179070:1 gene:A08g504990.1_BraROA transcript:A08g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTFLDLPSEIQQLIVSCVAKNSFQDLYRLRSTCKSMRALADTPDVYYSFDLYKYPWWTGLRDTLLRRCYDVGNPSTLYIKGVEYFYTLKRHEEGLALMKRAADAGYERALYTYAMTRKLYWDDEEYFASFTREAVGTIGWLVRMEDVPWVPVVNEGFLTKKFMFMSTDRPLFYNCPCAPTLDFDWDLWQMELSKTEDMCNRCFWIKEVGLFLRDFRCATSFRPSTVGSYYYLL >A02p003890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1572272:1575181:1 gene:A02p003890.1_BraROA transcript:A02p003890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYTENEIHSVYDYQTTELVHESKNGAYQWTVKPKTVKYEFKTDTHVPRLGVMLVGWGGNNGSTLTAGVIANREGISWATKEKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPEEIVFGGWDISDMNLADAMGRAKVLDIDLQKQLRPFMEHMVPLPGIYDPDFIAANQGSRANHLIKGTKKEQLEQVIKDIRDFKEKNKVDKLVVLWTANTERYSNVVAGLNDTTENLMSSLDKNEAEISPSTLYAIACVLENVPFINGSPQNTFVPGLIELAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFDSGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELTTRIELKADNEGKFHSFHPVATLLSYLSKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >A02p046500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29018622:29019806:1 gene:A02p046500.1_BraROA transcript:A02p046500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTERQKVTKILIFNDSSPSSTSPQPLSATTSDRSSLTTPRLKITPSTETTPPSHVSSSPAKQPASVSLFVIEEQVSVDCSPSPLCDFCRCVGWSHHYVLKRKYHLIIPASDEWMKPLRKDSLEVTSSSSRHLMHGVIHCNGFGHLLCINSDNVSSFLSGDRAMDLWDRLCSTLHTRSKAPIERKTAIALAAISSSPVPGEDTWDSPSCSSPGTDTMSRTKYDSFNALVAGEGCTKLSGERLSETAQAVLNAFKD >A06g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14931042:14931408:-1 gene:A06g505030.1_BraROA transcript:A06g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQKVSYLSQLKSYIIDWSIQVKVLHTWKQTNACYGDKIQATCKHAYLISLGSKCVVGEWKNISNFSMTEQQNTQRR >A02p015700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6937890:6940831:-1 gene:A02p015700.1_BraROA transcript:A02p015700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNNTKKCGSQQHHSVSSTSPFHPSVDRFPPSSFAQRFSLNSILSLYCRHTASDLQEHPFPCTVRKPSEFAAMTVTDAESPHLGEITCGTLLQKLQEIWDEVGESDEQRDKMLLQIEQECLDVYKRKVEQAAKSRAELLQTLSDANAELSSLTTSLGEKTFANGIPDKSSGTIKEQLAAIAPALEQLWQQKEERVRAFSDVQSQIQKISGEIAGGLSSNELPLPPIVDESDLSLKKLDSFHSQLQELQKEKSDRLHKVLEFVSTVHDLCAVLALDFLTTVTQVHPSLDEETAVQAKSISNDTLSMLSKTVLTLEDDKKQILQKLQDLATQLIDLWNLMDTPDEERDLFNHVTRNISSSVDDVTTPGALARDLIKQAEVEVDRLDQLKASRMKEIAFKKQSELEEIYARAHVEINPESARERIMSLIDAGNVEHTELLADMDGQIAKAREEAVSRKDVLDRVEKWMSACEEESWLEDYNRDHNRYSASRGAHLNLKRAEKARILVSKIPAMVDTLVAKIRAWEEEHSMSFAYDGVPLLAMLDEYAMLRQEREDEKRRVREQKKVQEQPHVEQDSAFSTRPSPAARPVSAKKPVGTRANNGGGNRRLSLNANQNGSRSIGKEGGRRESLNKPAAPTNYVAISKEEAASSSPVSGAADQVSASP >A02p021120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9991871:9992517:1 gene:A02p021120.1_BraROA transcript:A02p021120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGKNPRGELWEIMIDVNVDGNLGIYIMAQNTSQDSAWDDTNRNIQCVRRERKLEDLQPPSLLQMELQNIDRFSESRRPQVHQEVLTVRDQLERSRIVELRCEETEPEIHDEEQDRQTYK >A09g508670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:24257463:24258365:1 gene:A09g508670.1_BraROA transcript:A09g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQDLEDHSLPKCENLPTEIWKLITGNKHSTGADKNSHIRHPSIRYLHMMLVHAFYPRKQAGTVTEEDMRLLCPAIRPYAQPGVLPLPSIDIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLRFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTILEIPGAVSFNIPHDYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKK >A08g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15982871:15983147:-1 gene:A08g508660.1_BraROA transcript:A08g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVITRRIKEKPHRLSRLIQLSLAGFHKDSNLFSRMLLNKSARDFHNYPAVGGLVQTSMSYLVGGDNSKVELAWSPGFA >A06p017060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7646113:7646929:1 gene:A06p017060.1_BraROA transcript:A06p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPTLEILSLPMSWCLPISHAVKTVFVNLLLQLLVAKKIPSIRKSSIRKDSLCILDEHLDDDCICCIKLAEGLNVDGVLESWGKVKPVSWKLGMKKLEMIGLKRT >A07p027950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15882200:15883496:1 gene:A07p027950.1_BraROA transcript:A07p027950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSLIDDAEFSLPPELLTDEDFLVEKENKVDRFGNCLFPYESSHFGSTVKPIDGEEEKLVAGLTSKMVKSSLEDDFSGGILGSHAFPAGNDAKTQVSSQTATWDLHYAPAEELNGYNNHNGRGLLDLPRKYTLAAAKITNGGSSYYNRQTLQYQRLQAIHRGDVPVGNRTGKRGSTGTGVFLPRCVNHSTGAVRQKPVLATGLVPARVVQPSVRSSPSLNDGSWSNDGGFSSQMKMEQPVKEEPRLPLDWAY >A03p027180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11323677:11329765:-1 gene:A03p027180.1_BraROA transcript:A03p027180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNGSEYFDIDVETGRKSFARPSNAETVEQDEEDLRWAAIGRLPSQRQGSHLATLRRSQTSGYADGNVVQTIDVRKLDRSDREMVVRQALATSDQDNYKLLSAIKERLDRVGMEVPKIEVRFENLKVEADVQAGTRALPTLVNVSRDFIERVLSSLRIMKTRKHKLTILKDISGIIKPGRMTLLLGPPGSGKSNLLLALAGKLDQTLKKTGNITYNGESFDEFHVKRTSAYISQTDNHIAELTVRETLDFAARCQGANEGFAGIMKDLTRLEKERSVRPSSEIDAFMKAASVSGSKHSVSTDYVLRVLGLDVCSDTMVGNDMMRGVSGGQRKRVTTGEMTVGPRKTLFMDEISTGLDSSTTFQIVKCVRNFVHLMDGTVLMALLQPAPETFDLFDDLILLSEGYMVYQGPRQDVIGFFESLGFRLPPRKGVADFLQEVTSKKDQAQYWADPSKPYQFIPVSDIAAAFRSSKYGHAADSKLATPFDKSSADPSALCRTQYAISGWENLKVCFRREMLLINRHRFLYTFRTCQVAFVGFVTATMFLRTRLHSTNEAYGNEYLSCLFYGLVHMMFNGFSELPLMISRLPVFYKQRDNSFHPAWSWSIASWLLRVPYSVLESVVWTSVVYYSVGLAPSPGRFFRYMLLLFSVHQMALGLFRMMASIARDMVIANTFGSAAILAVFLLGGFVIPKDDIKPWWTWGFWVSPLSYGQRAIAVNEFTATRWMEPSAISNTSVGFNFLRLRSFPTEDNWYWIGVGVLIAYALLFNNIVTVALAYLNPLRKARAVVLDDPNEETQTASAKQGRSEKKGMILPFKPLTMTFHNINYYVDMPKEMRSQGVPETRLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIRISGYQKEQQTFARISGYVEQNDIHSPQVTVEESLWFSASLRLPQEITKDQKKEFVEEVMRLVELDSLRYALVGLPGTTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVIYGGKLGQHSKVMVDYFQGVDGVPAISSGYNPATWMLEVTTPALEEKINMDFADLYKKSQQFREVEANIKQLSVPPQGSKAITFASRYSQSQLSQFRLCLWKQNLVYWRSPAYNLVRLLFTTVAAIILGTVFWDVGSKRTSTQDLVTVMGALYSACLFLGVSNASSVQPIVSIERTVFYREKSAGMYSPIPYAAAQGLVEIPYIFTQTILYGVITYFTIGFERTFSKFILYLVFMFLTFTYFTFYGMMAVGLTPNQHLAAVISSAFYSLWNLLSGFLVQKPLIPVWWIWFYYICPVAWTLQGVILSQLGDVESIIDDPMFHGTVKQFIEQDFGFKPGMIGVSVAVLVGFCALFFSGFALSVKFLNFQRR >A08p013720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9250349:9251014:-1 gene:A08p013720.1_BraROA transcript:A08p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT1G32585) UniProtKB/Swiss-Prot;Acc:Q3ED38] MNQRPRNDYMRVNRTGKYTRKSIFDQVHTNANSIPHQPRQPQLKTQVYIIDKEDIKSIVQQLTSNQSCEFLPQNLPNRQKSRPEPTSPVPLNATGVHVSSHMGYIESLLEESSDSSGDNFQQSFDENQSHIQPQVYSNGDNFQQTFDKYQSHMQPMSYSNGPKPVMTTTLPTPWFNGSPQQIDSAYSLQSTRVEYPQPLTPNFSFSSVTQPGFFDPDLRRF >A09p082220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59759328:59761352:-1 gene:A09p082220.1_BraROA transcript:A09p082220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G03110) UniProtKB/TrEMBL;Acc:A0A178VZV6] MEEPHIEEEHNQNKLIDVAPALISVHPSHKAIAVTVGSDLRVFNLIDNSPVTLVDESNESFHKDSVRAIRYSASGKLFVSTGDDKLVKIWSAESWRCLNTICSEKRVTAVAISHDDSYVCYADKFGVVWVVELDGITKKGVSLLSHYCSIITSLEFSPDGRYILSADRDFKIRVTVFPEEPLQGAHEIQSFCLGHTELDIWNLMKPSKRKSQLLIYALSQILLLQRWLFKGNFQGILLLSCDLSAHTLSITKVIQIPGESFIPTSISVSTSTLWMVSGASSGSNQPGYSRVRVVSCMDSEKSAILEDEQIPGGTKLLEKLQGKVSIEESVMIAASEAVRTAMSSLLMKKQYSEEKRELRKRSRNDKKTTN >A02p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11986979:11989134:1 gene:A02p024000.1_BraROA transcript:A02p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) UniProtKB/Swiss-Prot;Acc:Q8VXY7] MGSAGKPADDISTDPESGPETSLLGSTPKSPPDSFHLAYIIYFTLGVGFLLPWNAFITAVDYFSYLYPSTSVDRIFAVVYMLVGLFCLLVIIVFYAHKSLASFRINLGLVLFAVSLLVIPVLDLVYVKGRVGLYVGFDITSVAVGLSGVADALMQGGLIGVAGEMPERYTQAVIAGTAGSGVLVSLLRILTKAVYPQDPDGLRKSANLYFAVGIVVMVICAVSYNLAHKLPVIKFHEERKAQALKESREDGSLTGPMWRKTLWQIVTRIKSHGFGIVLIYIVTLSIFPGYITEDVHSDLLGDWFPVLLIAAFNVFDLVGKSLTAVYMFTDEKIAVGGCIARLLFYPLFWGCLHGPMFLRTEVPVALLTCLLGLTNGYLTSVLMILAPKSVPLKHSETAGIVSVLFLVIGLASGSVLAWFWIWFVSFVDEFLEASGSMAISLC >A09g513080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39720892:39722514:-1 gene:A09g513080.1_BraROA transcript:A09g513080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDDQTWLRQRRGRGGTGSQSRGLSSHIQDSASPQSSYHTSPSPFPAPAPLAPAAAPAPAPPGPLGVMSVAELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSALDKGHPTFTDFPAEKQHLWFRQFAQEFNWNSDDTLSVYHHFVHKVMDNYGKQIHEWKKKWEINKVPKSMNDTVWKELCAHWDKEQTKETSSTNSNNCKSDRKGKGVYKHNLGAQSIATLGDHMAEENEGEPVDDLALTKRAYTNKKTGQIDDGLVRDVVSLVQTQVHDEVSQLQTDDDDSTASTNLSRVRINEIVESSVSKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMSAQQAGYETQKRLNEQMMEMMKGMYPNEVFLNIQDP >A02p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5287183:5289210:-1 gene:A02p012100.1_BraROA transcript:A02p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLLFSVFSCVLLLPEPAFGITRHYTLDIKMHNVTRLCHTKSLVSVNGKFPGPKIIAREGDQLLIKVVNHVPNNISLHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWYHAHISWLRATVYGPLIILPKHGVPYPFPKPHKEVPMVFGEWFNADTEAIIRQATLTGGGPNVSDAYTINGLPGPLYNCSAKDTFRLRVKAGKTYLLRIINAALNDELFFSIANHTVTVVEADAVYVKPFETNTILIAPGQTTNVLLKTKPSYPSASFFMTARPYATGQGTFDNTTVAGILEYEQPKHAKTNIKNLPLFTPVLPALNDTNFATKFSNKLRSLNSKKFPANVPQEVDRKFFFPVGLGTNPCNHKNNQTCQGPTNTTMFAASISNISFTLPTKALLQSHYSGRSNGVYSPNFPWSPIVPFNYTGTPPNNTMVSTGTNVMVLRYNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPKNDPKKFNLVDPIERNTVGVPSGGWAAIRFLADNPGVWFMHCHLEVHTSWGLRMAWLVLDGDKPDQKLLPPPADLPKC >A03p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1659378:1661037:1 gene:A03p003820.1_BraROA transcript:A03p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD synthetase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G08340) UniProtKB/Swiss-Prot;Acc:Q8VZR0] MLSGGSRALQHLSDYHHHHASIGLGFCGAKIVQLSSFFLRPSQAKASGNCSYKLRHRMISSSGFHYRTSGETPILHNCFSQREDDLELPADGSSPVSGGIVALGKFDALHVGHRELAIQASRIGTPYLLSFVGMAEVFGWEPRAPIVAKCDRKRVLTSWDSYCGNKAPVEYEIEFASVRHLTPREFVEKLSKELRVCGVVAGENYRFGYKASGDASELVRLCEEYGIGAYIITSVMDKKQDSEKRDSADSKDRGQVSSTRVRQALAAGDMSYVSELLGRAHRLILQVDNTGDMQSERRISVPRSSVLNLPPGNGVYKACLVLADDDEPSVPCSVVVDSSYIHVETEELLLCNSDLAQEFLSVEFG >A09p083070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59925876:59926319:1 gene:A09p083070.1_BraROA transcript:A09p083070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMIN1 [Source:Projected from Arabidopsis thaliana (AT1G02450) UniProtKB/TrEMBL;Acc:A0A178WC43] MYPKQLNLHDCPTSALKTMKNEKDQNVEIKEVNMIDKREREEEEEEERKIDTFFKLIKTYQEARKRRREEFTGNSGEARKKWNGGERSCVVVPAFLPEDFSECRMDLKPVMVVADHKEDDIKLKEEEDEEAKKEGQEETGLDLNLAL >A08p028730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17892225:17913708:1 gene:A08p028730.1_BraROA transcript:A08p028730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFPASTEMVGSENESPVTTVAGGDYYPMLAASCPKKPAGRKKFQETRHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEMAARAHDVAALALRGRGACLNYADSAWRLRIPETTCHKDIQKAAAEAALAFEAEKSDVTMQNGLNMEEMTAVASQAEVNDTTTEHGMNMEEATAVASQAEVNDTTTDHGVDMEETMVEAVFTEEQSEGFNMAKESTVEAAVVTEEPSKGSYMDEEWMLEMPTLLADMAEGMLLPPPSVQWGQNDDFEGDVDMNLWTLSSFQKKYSTMNSVSTFSELLCSENESPVNTEGGDYILAASCPKKPAGRKKFQETRHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEIAARAHDVAALALRGRGACLNFADSAWRLRIPETTCAKDIQKAAAEAALAFEAEKSDTTTNDRGMNMEETSAVASPAELNDTTADHGLDMEETMVEAVFREEQREGFYMAEETTVEGVVPEEQMSKGFYMDEEWTFEMPRLLADMAEGMLLPPLFQKKFSTMNSVSTFSELLGSENESPVGGDYCPMLAASCPKKPAGRKKFRETRHPIYRGVRLRKSGKWVCEVREPNKKSRIWLGTFKTAEIAARAHDVAALALRGRGACLNFADSAWRLRIPQTTCAKDIQKAAAEAALAFEAEKSDTTTNDHGKNMASQVEVNDTTDHDLDMEETMVEAVFRDEQREGFYMAEETTVVGVVPEEQMSKGFYMDEEWMFGMPTLLADMAAGMLLPLPSVQWGHNDDFEGVADINLWSY >A06p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15343307:15347570:-1 gene:A06p024700.1_BraROA transcript:A06p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVAVGNRHESGENINRHLDSSGKYVRYTGEQVEALERVYSECPKPTSLRRQQLIRECPFLANIEPKQIKVWFQNRRCRDKQRKEASRLQSVNQKLSAMNKLLMEENDRLQKQVSHLVSENGYMKQQLTLTTLGTDASCDSVDPTPPQHPLRDANSPAGLMAIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQKCYGVAARACGLVSLEPMKIVEILKDRQSWFRDCRSIEVFTMFPAGNGGTIELIYMQTYAPTTLAPARDFWTLRYTTSLEKGSFVVCERSLSGSGAGPNATSAAQFVRAEMLPSGYLIRPCDGGGSIIHIVDHINFEGWSVPDVLRPLYESSKVVAQRMTIAALRYVRQVAHETNGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFNDDGWSIMHCNGAEDITVAVNSTKHLNSFSDPLSFLGGVLCAKASMLLQNVCPAVLVRFLREHRSEWADFNVDAYSAATLKAGAFAYSGMRPTTFTGSQIIMPLGNTIEKEEMLEVVRLEGHSLVPEDSFLSRDVHLLQICTGVDKDAVGACSELVFAPVNEMFPDDAPLVPSGFRVIPVDSKTGDSQDLLTANHRTLDLTSSQDVGSTPETGSSRCILTIAFQFPFENNLQENVANMACQYVRSVISSVQRVAVALSPSGLIPIPGSKLSPGFPEAVSLAIWICQSYKQHFGSDLLRTDSLGGDALLRQLWDHQDAILCCSLKPQPVFMFANQAGLDMLETTLVALQDIALEKIFDESGRKALCPDFAKLMQQGFACLPSGMCVSTMGRHVSYEQAVSWKVFSDCEDNNNNRIHCLAFLFANWSFL >A03p010750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4312172:4313321:1 gene:A03p010750.1_BraROA transcript:A03p010750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESASSHDSPPAPTIAGDSQTTAANESDTNAKCQTAIQSLSSIVTTTTIPSTISLLLDDSSVSAAISSLLQRPDSGSGDNNLCRWLYDTFQSTEPSLHLLVLRFVPLIAGLYLSRVPLRQPQAGFEAVLLALYAHETTSRAGQAVTVNIPDLSHPSIYHESKGPAKNNSTCLNIAVVSSTLDPHGTVRSTRRARIVGVALELYYSEISRMPRESKLDFCGACEKWAGQNGETRAGVVPEEEESVGVARSEKDGGRIPLPWELVQPMMRILGHCLMGMKVEDREVKEAASKACQSLYLRALHDINPKAILAAGSLLRLREMALDPKNQIDHTEISNDNVLSV >A06g501290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4691451:4691735:1 gene:A06g501290.1_BraROA transcript:A06g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALLKLLAGDHVEGMNLLDVHKWRSNTYVVDKLWKQVKRSLHEVPFIKNSFYGTNMILIMPPRVCELNKLEDRCSKCFYYKEMAKFMELVHRD >A04p010060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7423312:7427303:1 gene:A04p010060.1_BraROA transcript:A04p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDPEGMDGVRMTWNVWPRTKVEASKCVIPLAASISPIRRHSDIPSLPYAPLKCRTCVSVLNAFARVDFAAKIWICPFCFQRNPFPPHYHMISDTNLPGELYPQYSTVEYALPSTAGQFDPRTGAAPPLTPPPVFVFVLDTCMIEEELGFAKSALKQAIGLLPENALVGFVSFGTQAHVHELGFSEMSKVFVFKGNKEVSKDQVLDQLGLSSRRAPTSGFPKGAQNGLQSAAGVNRFLLPASDCEYTLDLLLDELQTDQWPVQPGHRPQRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIISKDLSDPVRSHKDLDKDAAPYYKKAVKFYDSIAKQLVAQGHVLDLFASALDQVGVAEMKVAVESTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGTLEINCSKDIKIQGAIGPCSSLEKKGPSVADTVIGEGNTNAWKLCGLDKSTCLTVFFDLSSTGSNSPGTVNPQFYLQFVTSYQNPEGQTLLRVTTITRQWVDTAVSTEELVQGFDQETAAVVMARLASFKMETEEGFDATRWLDRTLIRLCSKFGDYRKDDPTSFTLNPYFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNATVMIQPSLTSYTFSSPPQPALLDVASIAADRILLLDAYFSVVVFHGMTIAQWRNMGYHEQPEHEAFAQLLQAPQEDSQMIVRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNSANEMSAGSDVIFTDDVSLQVFFEHLQKLAVQS >A09p059390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49687254:49690358:1 gene:A09p059390.1_BraROA transcript:A09p059390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) UniProtKB/TrEMBL;Acc:Q9M346] MANNGPSWDGLLKWSLSHSDGASPSRPLSEEDRQWFMEAMQGHTIDSISRMKQISQIMKMPEQLLESQGVTTDDLEGMLDELQEHVESIDLANDLHSIGGLVPLLSYLKNSNAKIRAKAADVLTTVVQNNPRSQQLVMEANGFEPLLTNFITDTDIRVRTKALGAISSLIRHNQQGITAFRLANGYAGLRDALVSDTVRFQRKALNLIHYLLQESNSDCKIVRDLGFPRIMIHLASNQDLEVREFALRGLLELTREESERNLDRADVNLRQLLEERTRRIIAMSEEDLCAAREERELVDILWTVCYDEPSLLRERGLVYLPCDDEMAPDVVRDRFEPPLRAWAARRDDEINEPPVPLLLGPAQ >A02g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18333287:18336680:1 gene:A02g506520.1_BraROA transcript:A02g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDERLETHKFTNTFPTSFDAVHTTSVDTHPRLAKQPLTSIDTRKGTSIDIRAAAKIQEQENIPFPTRFTDTYINRFAPPKPPTHIKRIFPPQLGFDTYMNTLPSTSTEKSMKSNHLKNTSSAEFTLPSIDVTVSTSIDTTLNPNLSISKLNNYTNIDYGFLTPDEFGIFRDPDGNARAMDGRILQLSREDIADILQVANGPDNLFSQQRGTPYVIQTDPNNHAGVTTTEINPDLSRLPKRQASIDGTTEKSIDRVTPTSIDIDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGVAGEMILVTKDNIRKILERASLFEESHICLSEHATSFTLTRQAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMTEMRTEFESLRHQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDKHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSASIDRLRGPWIDGKKPVELLPYRAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNIQERLQNISKVLEKMDDKWTRNDEATRNSTKDAKADQPINYTLALNRMNSKRDLEAAIFKARFPKELLDIGQKRTCPILVTDPVMEADFDSREKFRERETRN >A06g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23743388:23743952:1 gene:A06g508450.1_BraROA transcript:A06g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATLKQELEEPALLGHVFHFGYIFLSLLTKPSSIRFSQSSVLDLPSKQQSEIALFSVDTSDQTQLCSDSTKVSPYRDVNEEGVVGKEEDQLALDVKGLNYLWIRCCNQAT >A08p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8125354:8126111:1 gene:A08p013540.1_BraROA transcript:A08p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWASSTANALKLSSSASKSHLLPAFSISRCFSSVLEGLKYANSHEWVKHEGSVATIGISDHAQDHLGEVVFVELPEEKNSVSKEKNFGAVESVKATSEIISPISGEVIEVNTKLADSPGLINSSPYEEGWMIKVKPSNPAELESLMGPKEYTKFCEEEDAAH >A09p077540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57590087:57591874:1 gene:A09p077540.1_BraROA transcript:A09p077540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETEGFENLQEDLSSCANASRSSNFRSHFSLEGYARLKKRCKENDTVDEESVGSFKRRLAGVATAPPCGASSLVSSGRGLKRKIGCIDVSTQTGRKNKIDDDYVFGPNIGKGKFGSVRICRSKNNGIDFACKTLKKGEETVHREVEIMQHLSGHPRVVTLHAVYEESDCFHLVMELCSGGRLIDQMVKEGKYSEQRAANIFKDLMLVIKYCHEMGVVHRDIKPENILLTAAGKIQLADFGLAMRIAKGQTLSGLAGSPAYVAPEVLSENYSEKVDIWSAGVLLYALLSGVLPFKGDSLDAIFEAIKKVKLDLNSGVWESVSKPARDLLARMLTREESSRITADEVLRHPWILFYTDRTLKTMCIKSKHKNQTGPPPCLQIRSQIEKIDLNRANREKNKTTSDSPTDSFSNTEEEEDESGVVDVLVVAISNVRISEPKRSRVCSPTSSPIEQQHSSNLTTTNTLCRAF >A09p054190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46771956:46774170:1 gene:A09p054190.1_BraROA transcript:A09p054190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEEEVALLEDYVSDSVDHHGFPAGKLSTGGWRSAWYIIGVEVGERFAYFGIASNLITYLTGPLGQSTATAAVNVNTWSGTASMLPVLGAFIADAYLGRYHTIVVASLIYILGLGLLTLSAFLILIRISEQRNDTVKSFFWVNILFFCSLYMVAIGQGGHKPCVQAFGADQFDSGDSKERISRGSFFNWWFMTLSAGITLSFLVVVYVQDNVSWALGFGIPCVFMVMALALFLLGRKTYRYRRGNHKEKKNAFARIGRVFVAAYKNRKLNLSDSGLSQGLLEDGSSQKRKGWLEFLAKALLSGEGGAEPCSIKDVEDAMALVRLIPIWITSVISTIPYAQYSTFFTKQGVTVDRKILPGLEIPPASFQSFIGVSILISVPTYERVFLPLARYITKKPFGITMLQRIGAGMVLSSFNMVVAALVEMKRLETAKEYGLVDRPEATVPMSIWWFVPQYLLLGMIDVFSLVGTQEFFYDQVPTELRSIGLALSLSAMGLSSFLSGMLITVIDWVTGKDGGDSWFNTNLNRAHVDYFYWLLAAFTAVGFLAFLFFSRLYVYRRVDQV >A06p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5329920:5334676:1 gene:A06p002080.1_BraROA transcript:A06p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLETTPALQLPVIDFTSPNLKPGTVEWDSVRGDVRRALEEYGCFEALFDKVPVKLRKAVFDVSEEAFQLPLETKKRVVSKRKYRGYVGQIPSLPLFEVMGVDFAENEDKVNEFTHKLWPQGNGSFSEAVMSFAEKVSELDFMTRRMIMESFGVNENYSEKHLNSTKCLVRMMKYQGVEETEEELGMEAHTDRNMLTILCQNDVKDGLEVRTSDDKQWIKANPSQDSSFIVLGGATLHVLLNGRVLTGVHRVMRMGTNTRFSAGLFSVPKTENLIYAPEELVDAEHPRLNKPFDFEAYFQFTTEGPGRRDVAALRGEPQWDLTKADVQKALQDYGCFEASFDKVPIELRKSIFKALEEIFDLPLQTKLRNVSKKPFHGYVGQYPMVPLYESMGIDDSDVVDKVEAFTEKLWPQGNNSFSATIHSFSKKLSELDITIRRMIMESFGLVKYIDEHLQSTNYLLRVMKYTGPDTEETKLGLNAHTDKNIVTILYQNHVEGLEVQTKDKNWINVKPSEDSFIVMIGDSLHALLNGRLHSPYHRVMMTGTETRYSLGLFSIPKAGHIVSSPDELVDEEHPRLFKPFDHVEFLKFYYTEAGQRSQSALKTYCGTGM >A06p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2430272:2432726:-1 gene:A06p007020.1_BraROA transcript:A06p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09280) UniProtKB/Swiss-Prot;Acc:Q94AC1] MGRTSSCGGDGEKQRIEDKEQYGVLLYYKYTTVPDVDELVSFYESSCNSLGLLGRVRLSTHGVNVTVGGKLAALEEHIASAKSNCLFEGTDFKLASCNHPLNDKVSQECGFTSLSIRVVEELVTFSTCPLLKSPDISNAGKHLSAAEFHSVLESAKEQPDESEELVLLDARNLYETRIGKFESENVETLDPEIRQYSDLPTWIDQNAEKLKGKNVLISSNVLGNKIRYCTGGIRCEMASAYIRSKGAGFENTFQLYGGIQRYLEQFPSGGFFKGKNFVFDHRISVGSSKEDIVGCCLLCNNTFDDYSPRCRCRLCRMLVLVCNHCQVKEDDYVCELCRKHGKGQVPLSPDPSKGLPPAGDDDMRRKLRILCLHGFRQNASGFKGRTGSLAKKLKNIAELVFIDAPHELQFIYQTASTTPPPPLGACNKKFAWLVSPDFDKPSETGWTQCQFDPLQYQNQTEGFDKSLTYLKTVFAERGPFDGILGFSQGAAMAAAVCGKQEQLLGEIDFRFCVLCSGFTPWPLLEKREQGSIKCPSLHIFGSQPGKDRQIVTQASLDLAGLFDQGCATVIEHDFGHIIPTKSPYIDEIKAFLNQFI >A06p012420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5689249:5694056:-1 gene:A06p012420.1_BraROA transcript:A06p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYYNGDISLLPIDSINNSVPLIVLKRLPRNTLVRLYKRSTMKIPLLGLLLLLSLVGSPTRAEEGPVCPKTETLSRASFPEGFMFGTATASYQVEGAVNEGCRGPSLWDLYTKKFPPTLPNRVKNHNADEAVDFYHRYKEDIKLMKKLNTDAFRLSIAWPRIFPHGRMEKGISKEGVQFYHDLIDELLKNDITPLVTVFHWDMPADLEDEYGGFLSERVVPDFVEYANFTFHEYGGKVKNWITFNEPWVFSRSGYDTGKKAPGRCSPYIKDFGHLCQDGRSGFEAYVVSHNLLISHAEAVDAFRKCEKCKGGKIGIAHSPAWFEPKDVEGGQRTVDRVLDFIMGWHLDPTTYGDYPQSMKDAVGARLPKFTKAQKAKLKGSADFVGINYYSSFYAKASEKPDYRQPSWATDSLVEFEPKTVDGSVKIGSQPSTAKMAVYAAGLRKLVKYIKDRYGNPEIIITENGYGEDLGEKDTDHSVALNDHNRKYYHQRHLLALHQAICEDKVNVTSYFVWSLMDNFEWQDGYTARFGLYYIDFKNNLTRMEKESAKWFTEFLKPGLKQKSSKSTFSEEL >A06p043320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23341544:23344262:-1 gene:A06p043320.1_BraROA transcript:A06p043320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSSPLTHPLRRFSFHLSHLSKKPPVSSLFLCSAAKMSGHIHRVPALDEEEMASVAGKTFERYALPSSAKRNGKGVAILWFRNDLRVLDNEALYRAWSSSDTLLPVYCLDPRLFHTTHYFSFPKTGALRGAFLMECLADLRKNLIKRGVNLLIRSGKPEDILPSLAKDFGAHTVFGHKETCSEELQVERLVDQALKGVGNGTKLELIWGSTMYHKDDLPFDVLDLPDMYTQFRKSVEAKCRIRSSTRIPISLGPTPSVDNWGDVPTLGQLGIEPQEVTRGMRFVGGECAGVGRDLLKVYKETRNGMLGADYSTKFSPWLAFGCISPRFIYEEVRSFNPLSNVPKAHRSFHLCGKHLQVQRYERERVANNSTYWVLFELIWRDYFRFLSIKCGNSLFHLGGPRNVQGEWSQDKKLFESWRDGKTGYPIIDANMKELSTTGFMSNRGRQIVCSFLVRDMGLDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQMHWRKKIQPESNSWAPPLIGGMRLGMFTARSVSCFQFRGRWFSNCCRPYLAYSVLDTQGRLKHKGEQCTRPGPRD >A08p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13193683:13197262:-1 gene:A08p019280.1_BraROA transcript:A08p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peptide/nitrate transporter At3g43790 [Source:Projected from Arabidopsis thaliana (AT3G43790) UniProtKB/Swiss-Prot;Acc:Q3EAQ5] MADEARETLLEEKEDNNCPGCNIDRLKQEQRGIPYIHLSFIWLVSLCTGSIFSSFSFLYVSFVSTNLCVSLIRMMLKTLWCMSALPISSLFPYLYFMIRDFHVAEKEEDIGFYAGFVASSFMIGKALTSILWGKLADRYGRKPIILMATFSVIIFNTLFGLSTSFWFAISVRFLLGCVNCLLGVIRAYASEVVSEEYHALSLSVVSTSRGIGLIIGPAIGGYLAQPAEKYPNLFSQDSVFGSFPYFLPSLVISVYATGALIACWWLPETLHTHCRISRGRLNPNEPEYSPNELNNDGSTGRGLEDHNTQSKPSLLRNRPLMAIIIVYCVFSLQEIAYSEIFSLWAVSDISYGGLSFSSQDVGQVLAISGLGLLLFQLMVYPPMEKSLGLLVVIRLSAVMLIPLLSCYPSIASLSGLTLHLVINCASILKNALSISLVTGLFILLNKAVPQSQRGAANGLSMTAMSIFKSFGPAGGGILFSWAQKRQTATFLPGDEMVFFVLNLVQLIGLILTFIPYISQNQ >A08p018970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12998541:13000750:1 gene:A08p018970.1_BraROA transcript:A08p018970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLGDDAEDPSQIAVSIAADKPKKPAPVSAKSSAPSRQLPQPVREARSDAPRGGGRGGGGDRGSSRGRGGYNRDFRGGDGNSGGYNKPSEEGGISKPFYEKRSVYGGAPRGGGRRGEAGEGERPRRTYERRSGTGRGGDFKREGAGRGNWGTPGEEEMTLDEYEKILEEKKKSLQSQTTSERKVDTKVFESMQQLSNKKKSNDEIFIKLGSDKDKRKDDKEEKAKKAVSINEFLKPAEGENYYGGGGRGGGRGRGRGGRDRGVVSGGGFDGYRSEAAPAIGDTAQFPSLGGKCKLLVVICNTLISWNAMISGYGNLEMASGFFQTALVRGVRGSVIITRYMKGNKSNARGRHLVLTRLDWAVYCSDAASYQQYR >A05g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:500131:501605:1 gene:A05g500130.1_BraROA transcript:A05g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNYGDRYEEGDITPRPMMKFKAAGADVWDPKWDAHPIGGGAKKMLTREELFERANPVDDPRPLLTMKDILDDLLELPTIDLFSIKGPICEEVVEKLQMLYGLWESGDADDKRSTPKEDYMEWFKRDRRFQGI >A04g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3914196:3918260:-1 gene:A04g501600.1_BraROA transcript:A04g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIEVIEANDSEWVTFTTYLGSRLAIDDLPGSRLAIDDLPGSLLVNAETTYNSVVRSTTYLKLLKYIQRHLLPDGLPVSFVKRVGKLHPKSMTFNVLQSEGATITCSALRYSNGLSRICVWLQCVLNLLFVKERALNKQIRDHCDKMLHYLIDFFRANMRDNVVPDEDVPLKDRYPYSNPMLVLEVHRCIDIIHIHPSNLLRFSKRRYHACMIIPRPTS >A03p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6342087:6344111:1 gene:A03p015910.1_BraROA transcript:A03p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSVIKRLILIHVLAIQPLLINSDLSLNTTNAYLNHKCLVNQGKYKPGSKYEDRLKRTLKMFYSGSYKGYDGIGDSTFSAIIQCRGDSYGPKCHDCFATALSALSRRCPWYKGRIIWYDQCLLAISSFNSIGKIDYDNNFCMSNAKKVEGNVISFMIAWNTLIDDLTKSATSGDNYTLYSVGEKRYKGDMLYGMVQCTKGLSPKACQECVLFISLHFQDCLNDRRGGRAVGCSCTIQLLLISSELSLNTTNAYVNHKCLVSQGKYKPGSKYEELLNTTIGILYVDSRDNKGFTLFGGSTLSALLQCRGDS >A08p019520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13295981:13299223:-1 gene:A08p019520.1_BraROA transcript:A08p019520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HDG4 [Source:Projected from Arabidopsis thaliana (AT4G17710) UniProtKB/Swiss-Prot;Acc:Q8L7H4] MYEDHQVAKSGKEGGHMVTNYSDNIFGSASSSPTGTVQNPDFKLSTFLNPNFSYVIPKEEYGMMSMTENGSAWSVNNPVEDSAIEQQLPPVKKKRLHRHSTHQIQEMEAFFKVNPHPNDDDKTRLSEELGLTPLQVKFWFQNRRNQIKIEQDRSDNKMLKAENETLKTENRKLQFDLQRLSCSSCGGSRDKLHLENYRLRQELDRLHSIASLMNPCLPPPETACLFPDMKDDITNNLLIAEEDKAIAMDLAVSCVQELAKMCATNEPLWNKKGSDNERISLNEDVYKKMFQWPSVDHNHFRREASRANTVVIMNSITLVNAFLDADKWSEMFCSIVSRAKTIQIVSSEVSGASGSLLLMYAELQVLSPLVPAREIYFLRYVKQNAEAGKWMIVDFPVDGLIKPASGITTTDQYRRKPSGFIIQDMSNGYSQITWVEHVEVEEKHVHHKMVREYVESGAAFGAERWLAVLRRQCERMASLMATNITDLGVIPSVEAKRKLMKLSQNMVRTYCLTISNSYGQALSESPKETVRITTRKVCGGVVLCGVSTTLLPYSHHQVFDLLRHDHGRSQMEMLFNENPFQEVAHIANGSHPGNCISLLHFHGASSSNNVEWMLQETCTDNSGSLVVYSTVHANAVQLAMNGEDPSRIPLLPLGFSVVPVNQPHVVEGISVNLDSCLLTVAIQVLVSNATTATLNLSTTAINNRICSTVYRISSALGSPLLPEIPSSFKQEISN >A01p051710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28986445:28990478:1 gene:A01p051710.1_BraROA transcript:A01p051710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESRTGGNRAMGPDDDEEERKVIESIKEIVGNHSDADIYTALKESDMNADEAVQKLIHQDPFHEVKRRKDRKKEDAVLVEPANVKKPLESVTSEVKIRTQPEHNVRRGGYSRNAFPRNAAPEDAFPRKPLESATSEVRVRTQPEHNVRRGGYSRNVFSRNAAPRNAFARNPATGSKREFRVVRDNRSNPNANEELKHSTAQSPASNISKVVTTENKKGSTGGLGNHHSSGAQGFAEDCKAAADVRPRDSEIAPLHHPTRKELSDGKETSRGVTLPSTDSVSSSTDPVHVPSPVSRSSPVGAIKREVRGGVRGGGFGGKTSEVIGKDPSAGALSKIGTPNAYRSSSPNSKKDQVSQTTPRESVLPSGVEKNRPLLNRQRGNRGGQYARTQQVGGHTKGILQNKEWKPKSIQKPVEHNPGVIGTPTKSQACRPADNITNLESEAVKLQDKLSHVHISETQNVIIADHIRLPETDRCQLTFGSFVQEFSSSMNSEPAAFQESCSSEELRESDRSSQVTSPETLADGPGVKPIDILNDHLRISESDSRVSVPSEQLLPEEKETHRSDNLDEYSEMLKNSDTLVPFQQAYGNHGSYDFPYFSQTTDENSRGQGLPSQQEQASGTHMVNNAPPSTIPMLQQQQQQQGSMQQMYPQVHVSHFPSLMPYRQFVSPVYVPQMPMPGYSGNPAAYAHPSSGNSYVLMPGGGSHPGSNGAKYGIQQFKPVPGGPTGFGTYNSPNGYQINPPNVVGNAMGLEDPSRMKYKDGNIYVPNPQAETSEIWMQNPRDLSNLQSPPYYNVAGQTPHGAYLPSHTAHPSFNSPAAATQSSQMQFQGLFHPPQPGTMANLHHMGPGLGGNVGVGVVPSPPSQLGHPSWASNF >A05p007720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3112199:3114890:1 gene:A05p007720.1_BraROA transcript:A05p007720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCELTSSSSAQESRLLDSAARQRQQLRASMMAEKDEELSLFLEMRRREKEQDSSKPGTSPVFNISSGAAPARKTGPPDDFLNSEGDKNDYEWLLTPPGTPLFPSLEMESHRTMMNQTGDSKGRPATLTSRLANSSSEPAARNHLTSRQPPTSSSNGTTRRPSSSGGPGSRPGSAGGPGSRPATPTGRSSSTLTTTNSKSSSRPSTPTSRTTTVSSTTRPSLTNSRSTKPTPPMSRSTSSSRLTPTASKPTRPTGSTTRSTTSTATTRSAGPSRSTTPLSRSTARSSTPTSRPTLPPPKTISRSSTPTRRPPSAATTTTSKPSQIKPSSPASKPTPSKTTPAAASRAPSPTVRSRPWKPSDMPGFSLETPPNLRTTLPERPLSATRGRPGAPSPRSNSVEPAGGGRPRRQSCSPSRGRAPMYASGSSVPAVNRGYSKANDNVSPVLMGAKMVERVINMRKLAPPRSDEKGSPHGNLSAKSSSPDSAGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGHTRGRPMNVSDSPLATSSNASSEISVYNNNGVCLEAASEKEDDAGSERGCRSPPASLQGR >A02p029290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14941894:14942802:-1 gene:A02p029290.1_BraROA transcript:A02p029290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSGSNRRRNRESRSGSPHRLEATPYMSFESRLEATRVLFVSNAPVPNPWSLRHVSEDSFSKYLELYIRDFLVQGILLLDDRTVCEARQILENVGWIYTVLHVLPFCPRVVRECISNLYSADDGVHIRGCRFDFDSVVINQLFMTPNVEHSHVWESDDLSEAIIFLTDRRCRRWENFSLTYLVPQYHYLYKLCSLNWLPGFDDESMIKKRLPFLFALVKKKPIDFGRLVYDQVLEMSRSSDRDITALSGYEPLIGNPLCINGAEVDTHIRRGRRRGRARLG >A05p031920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18139555:18140336:1 gene:A05p031920.1_BraROA transcript:A05p031920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKSEYFSGNWTYVFWLTDDYPVPIDFNIDDIHEAIKEALLRMGFDKVQPIRKFCDKNKQCDASAPLTMVREILFLAASMCDDPVNLIVIAKQSTHPEVDRVLHCLESRNNPVLLVQLPDDFSVDSLVASTHDLRGGKPQRPESYVFPLNLEIGSGSGSDSDYDSDTATEESAYRWRKRVTYKLS >A09p073740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56123128:56125308:1 gene:A09p073740.1_BraROA transcript:A09p073740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKKVADRYLKQEVLGQGTYGVVFKATDTKTGETVAIKKIRLGKHKEGVNITALREIKMLKELKHPHIILLIDAFPHKENLHLVFEFMETDLEGVIRDSNVFLSPADIKSYLLMTLKGLAYCHEKWVLHRDMKPNNLLIGPDGQLKLADFGLARIFGTPDRKFTHQVFARWYRAPELLFGAKQYGATVDVWAAGCVFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPDMKSLPDYVEYQFVPAPSLRSLFPAVSEDALDLLSKMFTYDPKARISVKQALEHRYFTSAPSPTDPAKLPKPLRKQETKASYGKHEAIKVVSPPRKIRRVIPERGWVDGMKSHVDKDQQAPMSLDFTVLAERPPNRPTITSADRSHLKRKLDLDF >A05p043910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26461151:26463720:-1 gene:A05p043910.1_BraROA transcript:A05p043910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIAHPVASCSITLTPNKAPTSDVNGVEEQYEMQNGGGVVDNKNKGKAIQLESPSDDEQLEGSASDSYDATNNNGSFPLSDSLLDPDSLIYEEDDDYSDHYAYEIEDEIEDDHADDYVSEYQALFDAKEKEIPAGVEVAMDWLPSSETAKPSGSSSSSSSSKKATTGSGIYLTPNNAYALPQKIHGFHYSGPALKYSSTHLGPKTDPGTVMEAPAPASSGLSLQMSKKNPGYLGFASTRPHVEEVISAPSTYTAKRNVEDYLGKYLFFKKFDIVEDLVDHHYAAKGTASKQHSKEWTKRIQEEWKILEKDLPEQTIFVRAYESRMDLLRAVIVGADGTPYHDGLFFFDIFFPDTYPSGPPMVHYHSGGLRINPNLYNSGKVCLSLLGTWSGVQTEKWIPNESTMLQVLVSIQGLILNQKPFFNEPGYERLAGSPSGEGQSNAYSEKIFLLSLKTMVYNMRRPPKYFEDFAYGHFLSSAHDVLKACNDYRNGAPVASLVRGNVKGGEESSERCSESFKKDVGAFVDTLLLKEFILLGVLGLEPEEKEED >A08g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:723657:724194:1 gene:A08g500310.1_BraROA transcript:A08g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEPHHHFHNIPPWLISSNPNPNGNNANYFPLASSSASFHHSSPAMSATALLQKAAQMGRTKSTATPEEEEERVDDYYNGCVDDDVTIRTWIRHPHHHHGVGEAFDGGFIAVDEKNDVGDDSGGETRDFLGLKSLMSDNEMLSFANNLGNCINTSASEQQERYSHQD >A10g502210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5813062:5813643:-1 gene:A10g502210.1_BraROA transcript:A10g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAERRGVYHFLDVLSVPWGLTMPSELLKACYDEGNPSTLYIKGVQFFYSLDLYEEWLSLLKRAADAGYERAVYTHAITQAIFEGEGKYFHGIPLESVGRIGKLVRSVKWGWGLWHGDYFRDHKVLFINFFMSSFYRCQCANLVQRQCHCLWHIDVTKDDNLCKRCFWIKELGLFLRDFEPISMLRDTRKW >A04p030490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18114673:18115700:-1 gene:A04p030490.1_BraROA transcript:A04p030490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAFKKIQIQRDDTTFDAYVVGKDDAPGIVVIQEWWGVDFEIKNHAVKISKLDTGFKALIPDLYRGKVGLDTAEAQHLMDGLDWQGAVKDIRASVNWLKANGSKKVGVTGMCMGGALAIASSVLVPEVDAVVGFYGTPSSELADPGQAKAPVQAHFGELDNFVGFSDVTAAKSLEEKLKASGVAHEVHIYEGNGHAFLNRSPEGVSRRKSMGLSDEDEAAVELAWSRFTSWMKRYLA >A06p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20069382:20071508:-1 gene:A06p037040.1_BraROA transcript:A06p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDESGVSLASGPDGRKRRVSYFYEPTIGNYYYGQGHPMKPHRIRMAHSLIVHYNLHRRLEISRPYLADAADIGRFHSPEYVDFLRSVSPESVGDSSARNLRRFNVGEDCPVFDGLFEFCRASAGGSIGAAVKLNRQDADIAINWGGGLHHAKKSEASGFCYVNDIVLGILELLKMFRRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGAEKGKYYALNVPLNDGMDDESFRSLFRPLIQKVMEVYRPEAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYTLHVEPGPMENLNTPKDMERIRNTLLEQLSGLIHAPSVPFQHTPPVNRVLDEPEEDLEKRPKPRIWSGTANYESDSDDDEKPLGGFSGINGPTMDRDSTGEDEMEDDSAEPEVDPPSS >A02p013350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5837532:5839564:-1 gene:A02p013350.1_BraROA transcript:A02p013350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVLDPKPSPSLMDLSTPDEEDPYSRLKSLERQLEFTDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINREDLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRSNVKKPDTDFEFYK >A01p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6323002:6324441:1 gene:A01p012900.1_BraROA transcript:A01p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSFTMNTLVKTGGAWLVSPSLAAVRRMSVAGFATSSSSSVPNWEGGVSMVQGASRGIGLEFVRQLLENNKKGHVVATCRNPKEATSLINLKNSFSERLFIQKLDVTDETTIEESAESVRERYGSLNLLINATGILSIPGVLQPETTLNKVEKSSLMLAYEVNAVGPILVMKHMWPLLKAGGGNGTEREVAVVANLSARVGSIGDNKLGGWHSYRASKTALNQLTKNVSVELGRRKDPVVCILLHPGTVDTDLSRPFQRNVPEGKLFTKEYSVQKLLHIINNAKKQDNGKFFAWDGQEIPW >A04p008730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8050434:8054704:1 gene:A04p008730.1_BraROA transcript:A04p008730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRFETSELQASFMTATPLWYDSWSLCEVADRTRSIQIHRIAGIMYVALPAVEITQPDNLVVLEVAGDGYFSAFSTSLPSNEPPPMVNGAIRDLFVSSALHIQSQITQGLKMEERKQVVITGHSTGGSVAALTALWLLSQPSKPSFRLLCITFGSPLLGNQSLSSSISRSRLAHNFCNVVSIHDLAPRRNDDLWPFGTFLFCSDNGGVCLDNADSVRRMFFILNSTGTPNIEERPRYEHYVSTLSHQFLVSRSFSGGSLSDNSYQAGVALAVESLGFSSDNPSGVSAKECIEAATEITRAPIVRSSELAIELGNVLPSRLEIQWFKDSCDGSPKQLGYYDNFKVMSKREMKVNMSRAKLAKFWDGVIRMVEKKELPFDFHLGKKWVYASQFYQLLAEPLDIAYFYKYDYSRTKGHYMENGNRHKRYVEIDKWWKEKGEPHKEKGARTRNASTTQDTCFWAKLEEAKECLDDMKSESIDEQRRYLLWKRIVGFESYANTLVKMKEVSVDVLARNSSYSVWVERLSEFKIKNGNMVADDAMEF >A09g512760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38378619:38379671:1 gene:A09g512760.1_BraROA transcript:A09g512760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVASEKSTDKELPTSIDTAQPEAGKFSLSRPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSSIKIPGDDTKKSKFNADYYRMVRQNPFCGSLPEHPQDHIETLEELIPDEYDRCKLFSFSLEGEALSWLNCLTAGSLTCWEEIRKIKNSLKSLHSFLQNKHRSDIGQIDDNALSDTNDYLEE >A06p038510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20711597:20712950:-1 gene:A06p038510.1_BraROA transcript:A06p038510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLRFCPPYPRRWSVFWAGLFGSGPPADFQSVLLSALRTADAAETEEGWRRVDCRVRSRPIQASAPNRRYRPDQVLSKSGSHCLRLVGSLTICFFGFLAAGSSFIFRFVSLRCSGSGDESRSTWHLLGGRFRRIQFGGGQNISGRCRVIGDAEGGMNSRGRVVGGVAAAEVQGVTLEVQEVAAVAEQGDVAVAEQEMQRSGDAARR >A04p040050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22636567:22636991:1 gene:A04p040050.1_BraROA transcript:A04p040050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGLELFCDSQKIHRVNVDLPHGEDDLTMKHLLSWVRSEMFIKGDTVRPGVLVLVNDCDWELSGQLETTIKEKDVIVFISTLHGG >A09p009540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4911474:4913939:-1 gene:A09p009540.1_BraROA transcript:A09p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSVNVVATKTKPQSSRSWVSIVATGESEALDVDKYAIMHRVQIHARDLRILDPNLSYPSTILGRERAIVLNLEVRIVRVIVLCVCVCGVMQHIKAIITSEEVLLRDPSDEHVIPVVKELERRLPVGNEEHHGQGDGKENSNAHNDADAGEEDESPFEFRALEVFLEAICSFLAARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLFSASSASTSPNYYLTSPTIGSKISRASRASLATVRGDENDVEELEMLLEAYFMQIDSTLNRLTTLREYIDDTEDYINIQLDNHRNQLIQLELVLSSGTVCSSFYSLVAGIFGMNIPYTWNNDHGYMFKYVVIGTGMCCVILFVFIMSHARYKGLVGS >A08g502010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4617511:4618482:1 gene:A08g502010.1_BraROA transcript:A08g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQFSSLPNDIAWQVLARVPKCKYPLLACVSKNFTYLVQSPEIHKIRSLLRKDSLYISFRNKNDRAQNPRWYTLRRAENNPSEHQFVVSVNPALPNHNHRMPSIVAHGPEIFFICGPFFQSPSFWVFDSRTDELRRGPTMNANENVAESFDLATETWEPAPIPKEEKTWHASATVSLDRKVCALMLVGAYAVCYDPWDGSCQSFALPKDKWWKTGVCGMENVLYVYYARFGLMWYDTELRVWRVVNGLSDVKKVRSVGMAEYYGKVALLWKEHGGCGKEIWCRMIAMGKCEEVVKGTAESAQLLGSVPDGYRMDHCLSVSD >A01p057400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32476216:32477028:1 gene:A01p057400.1_BraROA transcript:A01p057400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSNSTNSSLFQWLGLIEDYDQPSTSTLPHVITLLASVLTKMIQKNEKPFHTRRNKDEEITMFHGSRSPSMNIQRYTERVHRYARCSPACFVAAFVYIIRYLEIPVATSTTRRLTSLNVHRLLITSLLVAAKFLDRKCYNNAYYAKIGGVSTEEMNRLERTFLFDLDFRLNITAEMFEKHCLMLQRQTVPCGSRRLRTALEEMTCGCQAI >A04p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1551452:1554093:-1 gene:A04p003200.1_BraROA transcript:A04p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM6 [Source:Projected from Arabidopsis thaliana (AT3G58480) UniProtKB/Swiss-Prot;Acc:Q9M2G8] MKTILRSVSFNNDNESDSTIAEPPETRKTMYNRSLSMKGTQRPNLSSEDVEDNLSSKPLTFMKEDDKYKIRQWKPLSVNDHVNEFLALISDGRYQAALKLQKVYRSFRTRRRLADCAVVVEQRWWKVLDFAELKRSSISFFEIEKQETAVSRWSRARTRAAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYHAWLHCDSYQPFFYWLDIGEGKELNHERCPRTKLYQQSIKYLGPTEREEYEVVIEDSKLIYKKSGIVLDTKEGPPDTKWIFVLSVSKIMYVGMKKKGNFQHSSFLAGGATLSAGRIVVDEGVLKAVWPHSGHYLPTEENFLAFMSFLRENNVDLTDVKKSPDEDDGEHHFKVKRMPSKIKEVEEEPCDFVDAETGLSPNAKPKSHAELHTLTRFHPKDKGMAEDDQDYDEEEPETPSEEGYETAEETFIAEEEFAYPKSHLFDEDIEEYEKPVPKEKIMKRIDSHKGLKSYQLAERLHSRWSTGAGPRISCMRDYPSELQFRVLEQAQLSPRASSSSNPSPFAPVRSRGTSLGRSPFSQELERL >A03p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2293127:2294760:1 gene:A03p005490.1_BraROA transcript:A03p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLEPAKVLYCGVCSLPAEYCEFGPDFARCKPWLIENAPDLYPDLLKEANEKGVDNVSDKLQSVGISGADGAPSSSQTGGTSKKEEVKRLPGGKVKKKERQEVNIEKVVRNKRKCITIVKGLELFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIIYDIVEFITDTWPDVPERSIFFIEDGKKVQAG >A07g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23165671:23167179:-1 gene:A07g508450.1_BraROA transcript:A07g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLPLCLVLSMITYSNAAYCVCKDGNEQVLQKAIDYACGAGADCSQIQQNGACFQPNTVKYHCDVAVNSYYQKKASSGATCDFNGAAVISTSPPSTASSCLTGSSSSGTPSTGTPTTGTPSTGTPTTGTPSTGTPTTGTPTSGFPSTGTPSTGTPTAGMPTTGTPSTGMPNSGTPANGMPTSSSSSVFPGTTLGPTGSGGFGDPNAGEKISVRTNTAYFLLTGVAIMLVV >A06p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2372768:2373534:-1 gene:A06p006870.1_BraROA transcript:A06p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLMLSVNAENILDHGHTMESSCTSSRAGGAKWDVFRRQDVPKLAEYLQGTFEKPLKTKGPESVGESARLAEEIRCLPNDHEAKLQILEVTKLLTISVPSLLKLLKKWFAFLIYQIGKISLYAASSAIKEVQKLILDPKFGAELGFEDSNLTKAVSNNLDKAIKQPQSNQLHLISQDLYSSINIIVTSITYYSGF >A01g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11260625:11261002:1 gene:A01g503680.1_BraROA transcript:A01g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKGKITVIVITAPNLSKWYITIFILKNFQVSDQIYLRKYNLRDWLCVIAVEQRQGPCQGINAWRSSSVYLKNRSDLFEKLQMKKHFP >A06p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10582347:10585761:1 gene:A06p021390.1_BraROA transcript:A06p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSVPLITITGQEVQTLFKRRPSLRQLQVAQGVIDGSNRERLEKFSPSEDKSNGVGAVEAAMLSLSVEDESKGKETEKAILSLSEAAERIDPSHFAACLDEVLNEGWFHPEAQMLELINYYGNVLSHVSFQWVTMFEEYPLSKLIDVPISLIPNPVYQKSIDFINTLPFDTLPAFVLWSSDLILTEWPRVVKVEQRNSNKSKVATFVVLAMALRTQPDALTLVLTNMKERPTYQGQDKLPLIIWMMAQASQGDLSAGLFSWVQTLLPLVANECCSSHSIDLILQFVEMILSSNPEARAVLLNKPLRHGVRLLPHCFFEMLVRLTFPAPSARVESTQRFEAIYPLLKEVALAPDITANALKQIFTFSLKLAGGQGNPALANEATAIAISVLTQNVDCFKQWDVLYKENLEASVALLNKLVDEWKDHSLKLSSSSSDTLTVKHAINSFRMKNEKAITESVANPSLYKEADQSCKLISRRLSRGIGIAPLTAMVIAAAGGAVVAGAAHALIYLARSKKSS >A09p070950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54914255:54917040:-1 gene:A09p070950.1_BraROA transcript:A09p070950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRGTTTTATTTTASNPNRRLQRRQVIHVLPDETAFRVVCHASVIGGIIGSNGRVVSNLRRETGAKIHCESPSHGSDHWIVFIVGSTAVDKSFLLTDRVGDYSSGGEREGWVTCEVSAAQAALVRVLERSWVVLAEKDSGGVVAEEEGKEAYCGMLADRSQIGAVLGLGGKNVEWMRRNSGAMIRVLPPPSCGASSDELIQITGDVLAVKKALVMVSTFLQNSPPLNGYPPPLCSKPYDTNGNSEDPHSEFFPNLRSSSSLPNNASAAAASTSHSPSPRFEEGNSFQGSKDMDKKVVFKMICTSLAAGGIIGKQGTIIRALQNETGASISIGAPLKASGERVVTISARESLESRFSQAQKALVRVFTRSVEIDVGKGLFPGALVKAKLVVPSQFANDLIGNKEANVDVHISVGGQILDCISENEMVIEIMGEYRNVEKALSHVSSKLRENLLPRKDLEVMRARVVNPYENGGANYNLQPPQQVRGDSLSVSDGEQDVKMVRNGTEVMKSIGDLMHTEAVNEEVNGSTPPSLLENDLTQGMKQLQLSGNGDISFLPPRSKGVSLRNVTLELAVEKDALAALYGRDGAGLDNLQQISGVRVDVKDAPTEGETMVLLSGNPEQTRTAMSLIVSILADQ >A07p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10298708:10308626:-1 gene:A07p016780.1_BraROA transcript:A07p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLSQPKYGNLKQLHDVLHSIEKTLTYGNISTIDFGNSASATIFKTEEGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPTTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFVGKTMSLRINSTAHVLHVFVNGNIIGYQHAENGKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFDNQLFRTEAMSKWSVENVPFNRTMTWYKATFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPYHVPRSFLNAEGDNTLVLFEEMGGNLSLVSFQTTRVGSVCANVYEKTIIELSCDRKPISAIKFASFGNPDGNCGSFEKGTCESSKNTADILTQECVGKEKCSIDVSTEKFGAPDCSGAPRRLAMWPDLIKKGKEGGLDAIETYVFWNAHEPTRCQYDFSGKLDLIRFLKTIQDEGLYAVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKTYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLSQPKYGHLKQLHDVLHSIEKTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPTTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFVGKTMSLRINSTAHVLHVFVNGNIIGYQHAENGKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFDNQLFRTEAMSKWSVENVPFNRTMTWYKATFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPYHVPRSFLNAEGDNTLVLFEEMGGNLSLVSFQTTRVGSVCANVYEKTIIELSCDRKPISAIKFASFGNPDGNCGSFEKGTCESSKNTADILTQECVGKEKCSIDVSTEKFGAPDCSGAPRRLAVEAIC >A03p052940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22705417:22708322:-1 gene:A03p052940.1_BraROA transcript:A03p052940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSFYIGVIGNVISVLVFLSPVETFWKIVKRKSTEEYNSLPYICTLLGSSLWTYYGIVTPGEYLVSTVNGFGSLVEIIYVSLFLIYAPRHLKLNTIVVVALLNVFFPIAAIAATRSAFKDEKMRSQSMGFICAGLNIVMYGSPLSAMKTVVTTKSVKYMPFWLSFFLFLNGAIWAVYASLQHDVFLLVPNGVGFVFGTIQLILYGIYRNAKPAGLSNGSSEIAADEEEGLTSRAPLLS >A02p056900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34285748:34288095:1 gene:A02p056900.1_BraROA transcript:A02p056900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEASTSPGAEVVGRAFVEQYYHILHQSPGLVHRFYQDSSLLTRPDVTGSVTTVTTMQAINEKIMSLKYEDYTAEIETADAQESYERGVIVLVTGCLTGSDNVRKRFSQTFFLAPQDKGYFVLNDVFRFLEEKDVTAHSGTTRDVQAPVEPERVVVSHEAEVEPEPVASVEEDVDNVAEVYDPCEKDEGVVVDAEPIQPPPQLSHSEVPSVPQGDPPKHSYASILKLMNSSPAPARVVARNKPRPAPVSTNQRPTATPPAVTEASGVENVPNSSNVDVEDDGHSIYVRNLPFDTTPTQLEEVFKSFGGIKHEGIQIRSNKQQGFCFGFVEFETSSGKQSALEASPVTIGDRQVVLEEKKPNRGNSGGGGRGRYFGGRGGFRNESFKGGRGGGGGRGGYGRGEFSGRPKSSNTRSGGEGYQRVPQNGGGSGRGGGGGGRGGPRGGASS >A10p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22180637:22182063:-1 gene:A10p040210.1_BraROA transcript:A10p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 6 subunit A [Source:Projected from Arabidopsis thaliana (AT5G02820) UniProtKB/TrEMBL;Acc:A0A178UQD3] MADKKKRKRTKDDKDEDLPFKSILESDEAILKLLKSYISSSLTAAGSSGASSSSSSKPLTLADLSLSSSCREVADLSLSSVQTEIETVIVQIARSILAGDGFSFSVPSRAASNQLYVPELDRIVLKDKSTLRPFASVSSVRKTTITTRILALIHQLCLRNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDAMFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDMLEEDFVKKNPGWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >A05p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:151262:151627:-1 gene:A05p027440.1_BraROA transcript:A05p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRSLRSNRAVCVLGLYIATEQRVCALSLRSDRAVCVLGRYRPRSVRARSLRSDRAVCVLGRYVATEQLVRAQSLRSDQTVFVLGRYVATERCACLATERCVCSVAT >A09p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5747923:5750139:-1 gene:A09p011400.1_BraROA transcript:A09p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSAFAVTMLVAMLTVQWLGCNFAGGYPEEDLVVRLPGQPKVLFRQYAGYVDVDSETGRSLFYYFVEADTQPETKPLTLWLNGGPGCSSVGGGAFTELGPFYPTGDGRGLRINSMSWNKASNLLFVDSPAGVGWSYSNTSSDYNTGDKTTASDMLVFLLRWFNKFPELKARHLFLTGESYAGHYIPQLADAIHSYNRQSSGFKINVKGIAIGNPLLKLDTDTSASYDFLWSHGIISDERRHAIIRQCDFTSLPNISKECIEALTEVSLANIYVNVYDVLLDGFQATQMSMGVDVCMKHERQLYFNLPAVQHALHANRTRLPYQWSMCSTLVNYSGMDGNINMLPILKRIIQNKTSVWIFSGDQDSVVPLLGSRTLVRELAHDLNFSTTLPYGPWFHKNQVGGWVTEYGKILTFATVRGAAHMVPYAQPSRALHVFSSFVRGRRLPNSTHYSPDE >A07p045960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24886639:24889973:-1 gene:A07p045960.1_BraROA transcript:A07p045960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKQRDKDGKSEDYISNNLQQFLFLLQLHGADPRSFCLNLSSLSHVFNLLITVMAGMASEGTQYDPRQFDTKMNAILGEEGQETFYTTYDEVCDSFDTMELRSDLLRGIYAYGFEKPSAIQQRGIIPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYTLLQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRADAIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRPENYLHRIGRSGRFGRKGVAINFMTTDDERMMSDIQKFYNVVVEELPNNQQIQFLELVASEEMFRSKSCREEITGRRSSITNKYHCLTSNGEAAPSPTNQLPPVMMRSYSASTYSPYKNPTTVRDRDSPNSKSKRRTSKAKKGYKGLCEAEIQRKKRVAGYNVYGVEGKVKGSMKKSFKWFKETCSNAVYGLW >A01p050320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28354678:28355317:-1 gene:A01p050320.1_BraROA transcript:A01p050320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCTHLNFQRRDSCQRCGDSRLGAGGVGGLDFGDFGGRGMSAFGFTTGSDVRPGDWYCTVGNCGTHNFASRSTCFKCGTFKDESLGGGGGGGVGGPVMFDADVMRSRVSGNGGRSSWKSGDWICTRLGCNEHNFASRMECFRCNAPRDCSMRTSF >A09g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17613026:17619957:1 gene:A09g505590.1_BraROA transcript:A09g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTDEDIQPEPEPDLTEPDIQDMISNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGESSEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQPGPIPNQRAGLQPDDYGEEEEEEGNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASKNPRVLKVELASFSLPFPVQIPWLIRSVHPDHPCVRSAPSLLLGNVQPLIA >A06p003890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:928829:931916:-1 gene:A06p003890.1_BraROA transcript:A06p003890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEVPVYCYWNGCIKYGTEGVYYEGSTPRRIIVNPKIALNRLLDEMYVLAGVDIDKERSKVKVFGRYPSVVGGESTFQYLLMPVVNSQSLETMLEVPSKHPCIKNVELYLEVIDHPGRSSKRQRTTGIAVKVEMDCSNGLSEEAAEVINLTGEKDSDSGGPDTCLSGLWLEDHDMRVGLCFRDVDELKKAVDWCSIKGLHKSVVRETGTTELSEWWRTKTGYGLETKDARAAKEEAVKRVFGGDWDQSFEDLPKLSWPEESLVASGLEKVTPQSQWALAHDGGRRYGIMELDTAALFSVCRGFELADHVLTGSLLLLFDELRVCFNERSLFSRFSLNCGDVYTKPVADKLEEFRKASVAYVVMPLENNAFQVAAASSGNDKWIVQLSDYCTCTCGDFQKFKFPCLHALAVCKKLKINPLQYVDDCYTCERYYKTYSAAFSPVPKLSAWQEDSGVPRLFPPVIPPPPPPPPPTPYVAYKAQRKTTPKTAEPCTCTCGKFPCLYALAVYENLINNPLQYLATLSVWPENSGVPTFFLPVIPPPNHVSGKSRRFIQNVTFIC >A07p039400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22530283:22536216:1 gene:A07p039400.1_BraROA transcript:A07p039400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLLEN DEFECTIVE IN GUIDANCE 1 [Source:Projected from Arabidopsis thaliana (AT1G67960) UniProtKB/Swiss-Prot;Acc:F4HVJ3] MTVRSTGRKLSFEILSSLEDDSLPPIPRSSSDPISRNDSTESSSPKRRRHRKKTKKNKVETIPENGDPQFTSVEDPSWASCDEGERSIFENRLNYFGGGGGGSTVVTQTIQHNGFSFGKLRQRNVNGSSIDSTNDERFSETLASEKENPPFEEVQNQFPRSETNGNVVVTRLDTESSLDWKQLMADDPDFLSAETRSPMKYFMGEVYGGVSLRSTTTSGNDVERERIYDMIFRLPWRCEVLLHTGFFVCVNSFLSLLTVMPIRVLLTFWGAFKNRQFRRPSSSELSDLACFLVLASGTILLGRTAQAITLSTCIVAHNNALLALLVSNNFAEIKSSVFKRFSKDNIHGLVYADAIERFHISAFLVSVLAQNILEAEGPWLGNFIYNATMVFFCEMMIDIIKHSFLAKFNGIRPIAYSEFLQALCEQTLNIRPEDRKTNLTFVPIAPACVVIRVLTPVYAAHLPCSPLPWRVMWMVFLFVITCIMLTSLKVLIGMGLSKHATWFSCGNTSGGEWINRVALDSDPAAFIPMDQSQNNILMDSQPTTSPVKTVKISNVSLNVSKKELNEFFSFSGDIHYVEMRSETQETQLAYVTFKDPQGAETAMLLTGAVIADHRVSITPAVNYELPPEALALDSQEYSFNGFTVKKAEQVVSTMMERGYAVGKDAMEKAKAFDDRHNLVSNASATIASLDNKMGLSEKLSIGTTVVNEKLREVDERYQVREITKSALAAAEERAISAGTALMANPYVSSGASWLSNAFGAVTKAVRAENGGEGRKEIVQLDDASPKAPAVVPVNSVDTDFTKPSF >A06p050560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26593466:26596973:-1 gene:A06p050560.1_BraROA transcript:A06p050560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPLHLRILRPWTDYTVVQSGCFSFLLKPAKNKHSLQLSDQFIEFSSEQHEDCLRLFFFYSSLLILKFRRLKPPLKEIVSCELNQFQDMANRTENSLRCSISKKTNGGIMFLIATFAGMVIGFLLGISFPSLSLIKMNFPSSILPMVNTIYVEVEKQEISSRKSPSKGPKSSDASSHKIWVPSNPRGAEMLPPSFVEAESDLYLRRLWGLPKDDLPEVKPKYLVAFTVAYEQRKNIDACIKKFSDNFTVVLFHYDGRTSEYDEFEWSRRAIHVSVPKQTKWWYAKRFLHPDIIAPYEYIFLWDEDLGVENFDAEEYIKIVKKHGLEISQPAVESRKKITWRITKRIPGIEVHKEVEGGAPGHCKDPHLPPCAGFIEIMAPVFSRDSWRCVWHMLQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQKIPSLAAQGTSQDGKSAFQGVRERCHMEWTIFEKRMARSEKKYLKEIAPASSNSTLN >A07p007000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1311092:1312993:1 gene:A07p007000.1_BraROA transcript:A07p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFTLPCLLRHRISILSGAAYSRTAARLSSSSTLTTSTTEPELSQPPISSDILLDSIRSSQWHFVEQITGKLTPSLISTTLLSLFKTPDLALNFVNRIDLSCLDFPTQCLAIAVVSKLSSPNPALQLLKEVITSTSISVRDIFNELVLARDRLETKSTILLDLLVRCCCQLKLVDEAIECFYSMKEKGFDPKTETCNCILSSLSRLSLTEKAWVFYADMYRMEIKSNIYTYNIMINVLCKEGKLKKAKGMLAMMECFGVKPNIVTYNTLVQGYSLRGRIEGARMVIKEMKSKGFKPDLQTYNPILSWMCSEGRASEVLKEMKELGLVPDSVSYNILIRGCSNKGDLETAFAYRDEMMKKGFAPTFYTYNTLIHGLFMENKIEAAEMLIQEIREKGIALDAVTYNILINGYCQHGDAKKAFALHDEMMTDGIEPTQFTYTSLIYVLCRRRKMREADELFENVVGKGMKPDLVMYNALIDGHCSTGNMDRAFLLLKEVDKMRIDPDDVTYNCLMRGLCGEGKFEEARELMGEMKRRGIKPDHISYNTLISGYSKKGDTKQAFMVRDEMLSLGFNPTLLTYNALLKSLSKNQDGRVAEELLMEMKSEGITPNDSTYCSVVEAMSNLEQDAEKSDN >A02p005120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2202666:2214363:-1 gene:A02p005120.1_BraROA transcript:A02p005120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEVANVVTHQVKKMKKGLWSPEEDSKLMQYMITNGQGCWSDVAKNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIRFHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKKLSDTSNSVNNSSSSPKASDSSSNSTSSLEFKDIIGSFMSLQEQGFVNPSFTNMPTNNNPFPAPQLISHPYNDDFPPYVDGFCGINTGVQGELYFPPLECEEGDWYNADITNHLDDDMNTNGAGNVPEGVRMEEYWDLDQLMNTEAPSEMQSKSGGRGNEEEVNQQQPMMMYPDPWWKNNSFGGVLPQERPSGSESNDVHSPSEDDGGPCKDSQAATSPPPPPVDNHGEEGNDPTHSMDDQQVVQPPELVGHYIACVPNPYQDAYYGGMMGAYGHHQPLGFRPYPGMARERTALPLDTTQEPVYVNAKQYEGILRRRKARAKAELERKVINRKPYLHESRHKHAMKRVRASGGRFAKKSEAEAAEDAAAARRERSSATNSSGSEQPVDS >A01g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13623072:13623632:-1 gene:A01g504530.1_BraROA transcript:A01g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSPDLGPQLSLVGLEKVSINSNNGVSIDTPFSPSIDKTYELSIDEPSREQNQASTCALISIGNLLVSYSRTQALKKNSIDSYPNNLGILYFGLTPHDYLNPTPNKNSRRTLKEFLPSLLTKNNE >A04p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:790719:793188:1 gene:A04p001650.1_BraROA transcript:A04p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALFLIFCFLLSFILLAAVRSKRRSTLLPPGPPGWPIIGNILQIGKAPHRSLADLSRIYGPVMSLRLGSLTTVIISSPEAAREVLKTHDQVLSGRIILDPIQSIHQDVSMAWLPSTSPRWRLWRKISATQMFSPQCLDATKTVRMKKVNELVTFISEICERGESINIARASFVTSLNLISNTLFSTDLGSYDSKISMELQESVVRIMETIGKPNLANYFPLIGFLDLQGIRKEMKVCSDVLFQVFQGFIDARNNEKTTRNESDLLDSLMDLVKENGPELKVNEIKHFIFDLFLAGTDTNSTTVEWAMAELLRNPKTMAKAQAEMDDVVGLNGVVQESHISDLPYLQALVKETLRLHPPGPLLGPHKAESNAEILGFLVPKNAQVLVNAWAIGRDSGIWENAERFEPERFLVGREIDLKGRDFELIPFGAGRRICPGMSLAMKTVSLILASLLHSFQWKLQNGVLPEDLDMDESFGLSLHKANPLYAVPVQKPANGCLL >A05p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:861156:863472:-1 gene:A05p002530.1_BraROA transcript:A05p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTIEVKLVRCPKCKNLLPEPKDCSFFQCGGCGTVLCAKNKDHEADPGPDKSVKTRAKETEVNSCSSEEEPDSDDDSSRHQQTGQTDVPCSKLNVVSGSQPGLDRSFRFSAPNYFQDSVSSDEAIQQDRAELVKKLDKLKEHLHQPKEQQIPRSSKKPPLRFPSSGKHLAGPYYHQNPEPEPLRFHMHERTQQQSHHHYSRQYTSNNGHDLFNTHQRNGMLLHHSTCSCSHCYDPYHRASGSVYPPSGLPDALHNIGFYPYERSAAFPSPLHSPRSFIPPHPPASQSRGHCIPSRAVSSSGGPRLIHPVAGGAPFINCKKCSKILKLPDKTDTATRKPQRLRCGACSCLIDFSFADDKKLILSTDPASARGEEEETLSRLHWLTALDISSDATDRGPDYVSTGPASPSFSEYELSSDSQTGRKLIPDLPFYSSINARDRSGTRSQSSRSEQNRVTLSKTVKRQNSMKEASVLIEMDVNDYSHNNGVSQDSADDYREEDGRTRKGGFASMVKSSFKDLKKSMQNEGESDVLVNEHLVAERLVKMAEKQAGPIRPGNYWYTRYDYRAGFWGVMGGQCLGILPPFIEELNYPMPENCAGGNTRVFVNGRELHEKDLRLLIARGLPRDRDRSYTVYITGRVIDEDTGEELKSLGKLAPTVDKLKRGFGMRVPRRYAF >A03p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:622559:627068:-1 gene:A03p001210.1_BraROA transcript:A03p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog E [Source:Projected from Arabidopsis thaliana (AT5G03340) UniProtKB/Swiss-Prot;Acc:Q9LZF6] MLTQMASNVGNRIRFLSLGKLPHVSASLLRTTQNPRSLSLLLLRPPVLPLRRRCISIVHRRRPLLWLRSSPPLSSMSSQAESGAPHQSDSSKTVRMVIKGRVQGVCYRNWTVENAEQLGLKGWVRNRRDGSVEALFSGPVEAVEEMQQRCRRGPPAAMVTGLEAFPSTEEPGTVMHNREISKRMMMAKPVIIGVRMDGWMDGEKLSKESQSCPHTSPMISSKLLNDRAFMPRRTTNAIITMPNQPESSDSKSKKDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPNAMEKLQLFRGDTILIKGKKRKDTVCIALADDSCEEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGTRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKRDKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVSNEHFHTALGNSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRLSIFKACLRKSPVAKDVDVRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRGENPEAMEEDLVDDEVAEIRAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGIGSEFRFDPTAAAGRTTGGAVADPFATSAAAVDDDDLYS >A10g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18885456:18885693:-1 gene:A10g506580.1_BraROA transcript:A10g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTVLNARTITPKFFQVGKTYLFKIGIERENYVYKHDTFNVMKVVTNQNLIEEFGVLGSA >A09g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29217156:29222479:1 gene:A09g510060.1_BraROA transcript:A09g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTSCPGLCTISKHLKWASCDLSGHTGPYRSVQGRTASPYLCTLAHFDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHKITWRMFSTQLRSSSKKNQIKRSSYMIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEKAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLSDMVVKVSTDDPDLLSFETDNTWHFLRSFRYNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNKKHSWPNFKIDKPIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYILRTWNWKYLREASSKLQGSKIDLRSNPFEEGGNDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMPFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKFLGEVISKFRSFFCWTVLNPLGSEFISTLPKSDPYFGSITFAPQHSSKSLLDFDLA >A06p018200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8858040:8858579:1 gene:A06p018200.1_BraROA transcript:A06p018200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDFSRSLPKYSVQNFSDLSPTLENFSKDFWKTLGRLLAKLLIHFMLEDFPQSFQEVFCPK >A01g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27083013:27084164:-1 gene:A01g509920.1_BraROA transcript:A01g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALNNTANDSTEQITAISDLKPKHTTKMVHVKRHKIHASCKRTYFESKGRLLLVGVWRNIRNFQVRPAGRAYRTTNHIYKISFNQATVVSRSNFMNDDLYLNLVDFQSVLSGTLDEKYLIDVLGQVLDCGAVETIQCAGGNQRKKLEFTLRDINDSRIPCCIWGKLTDTLHSACNQDDGLVTLLLRFAKIGKFRGEVQISNSFDASQMIINPAIPDA >A01p054200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30552807:30553890:-1 gene:A01p054200.1_BraROA transcript:A01p054200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 17 [Source:Projected from Arabidopsis thaliana (AT3G10870) UniProtKB/Swiss-Prot;Acc:Q9SG92] MAEENQDHTLELKPRRKQPHFVLIHGMSLGSWCWYKIKCLLEVSGYTVTCIDLKSSGIDPSSADTLTSFDQYNQPLVDFLSSLPEQEQVILVGHSAGGLSVTSSIQRFPKKICLAVYIAATMLKLGFQTDEDLKDGVPELSEHGDVYELGFGLGPENPPTSALIKPEFRRKLLYHMTPQQECVLAALMMRPAPLLALTTAKMEEEKGQEEEEEQVPRVYIKTLHDRVMKPEQQEAMMKRWPPRQAYEVDSDHSPFFSNPFVLCGLLIKAAVSVGSI >A09p005530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3033837:3034889:1 gene:A09p005530.1_BraROA transcript:A09p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase ATL31 [Source:Projected from Arabidopsis thaliana (AT5G27420) UniProtKB/Swiss-Prot;Acc:Q8LGA5] MKSLLSFQALVLFLLLAGSELASGQTDTRNEQYSYGTGLSPAMAVAIVVVIAILFFMGFFTVYLRRYTGAVDGSVNNPRVGARRVAHATVARGLDASVIETFPTLVYSEVKTQKIGKGALECAICLNEFEDDETLRLLPKCDHVFHPHCIGAWLEGHVTCPVCRTNLAEPVEPDLVIEIDIESQQSVVVPEPAVELGGSVATTRVKFSRSHTTGHSVVSPGECTERFTLRLPEDLRKKIMASWKMNRSNSLLVLPRGGSSRSGKPVDRSRARSDRWLFRKTQSFMWRSRDDGSIRLGGTCSIRAVTSPTGDTVRADRWNFLRNPSFLWRTTPVPSPRIEVKKDGEGTSSV >A09p033560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20299799:20301072:1 gene:A09p033560.1_BraROA transcript:A09p033560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQICRSASKAARSLLSSAKNARFFSGRGIGAAAAVTASAKIPLYASNFARSSSGSSKSWITGLLALPAAAYMVQDQEVLAAEMERTFIAIKPDGVQRGLISEIVARFERKGFKLVGIKVVVPSKDFAQKHYHDLKERPFFNGLCDFLSSGPVIAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPQELVSYTNNAEKWIYGDN >A08p004300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2480012:2482726:-1 gene:A08p004300.1_BraROA transcript:A08p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNNGPDSLSAGTTPPPLQQPTPPPPQQHWQNQQQWMAAMQYPMAMMQQQQHMMMYPHQYAPYSQGHYQHPPQFHYAPYHQQQHQQRGGSGGDDVKTLWVGDLLHWMDETYLHTCFSHTNEVSSVKVIRNKQTNQSEGYGFVEFLSRSAAEEVLQSYSGVTMPNADQPFRLNWASFSTGEKRASENGGPDLSIFVGDLAPDVTDALLLETFAGYQSVKGAKVVIDSNTGRSKGYGFVRFGDESERSRALTEMNGAFCSSRQMRVGIATPKRASAYGQQNGSQALTLAGGHGANGSAKSAEEAIENLNGTVIGKNTVRLSWGRSPNRQWRGEAGHQWNGGYSRGQGYSNGYANQDSNMYATAAAAVPGAS >A07p046760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25195294:25197049:1 gene:A07p046760.1_BraROA transcript:A07p046760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLCGGFMALLKMCGGHNASEGDLSAEDGGEDRGIFFDLQELEIATDSFSEKNRLGHGGFGPVYKGLMPNGEEIAVKKLSLNSRQGSREFMNEVKLLLRIQHKNLVSLLGCCFHGPEKMLVYEYLPNRSLDYFLFDKINPGLLAWSNRWRVIIGVARGLLYLHEEAPVRIIHRDIKASNILLDNDLNPKISDFGLARLFPGDGTHTSTFRISGTYGYMAPEYALHGLLSVRSDVFSFGVLVLEIVSGRKNQNPRLGPEMADLLSYTWKMYQEGKILELVDQSLAGVYNRDEAATCFIIGLLCCQQITSNRPDMNTVHQMLSSDSFDMPKPGRPGLQGRRGGGNASTGTGSKSYGLTGSEPSSFKSRSGGRASGPNSVVEEHSRTSISMSSFAEGR >A09g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3008439:3010768:1 gene:A09g500790.1_BraROA transcript:A09g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHSWSCPRSLSTALMYSFAQRDDIEVLDEPLNASFLKATGADRPYRDEIFASMNLAKHRILGLPSELMSKGKHFILIRNPVHILPSFDKVIPLSFLDVGLADLVSIYSDLCQMGTPPPVIDADDLQRNPEATLRGLCHDLDIPFQASMLKWEAGPIPEDGVWASWWYKTVHESTGFSSPRKYPHIQYEALRFAGALLMIGVSRLLIKCCSIKDVKPVLSDSKAKGMQDHA >A09g516380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48380471:48381549:-1 gene:A09g516380.1_BraROA transcript:A09g516380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWVVVAGDSQARFVALSLLGLVLGSGSEGMSSVKSELFKRRSDYNLMVKEIGMKPDFWVVAYASDFGFQLKEPRSHVETLVPVTTKGGSVSERSIPRKVKKRKARLRRLASGRRRPRRIQTNPKELPVPYLSS >A03p070800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31132160:31134796:1 gene:A03p070800.1_BraROA transcript:A03p070800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLWWLINIKLHLGITTSTSELIQVLTAADRLRIWGKLLFNRQWRLKSDYGVESVVTKSIEGLLSLPQIRNPNDPRIKDLKELEAIKKAIDQKSHSSVRRELQAGLGYMILQTALFMRLTFWELTWTLWSQYVFMSHLYTSWPVTPFLLRTSKEPSFEGFYQSRFESKQRKLMEAQNFDVGRYDELKKLFYPKPSSAAVSKILGSIQN >A07p031510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17403609:17405067:1 gene:A07p031510.1_BraROA transcript:A07p031510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVSSCNTYNYGDAHYWDARYVQDALSFDWYQRYSSLRPFVRNFVPTSSRVLMVGCGNSLMSEDMVKDGYEDITNVDISSVAIEMMQTKYASVPQLKYMQMDVRDMSYFSDDSFDTVIDKGTLDSLMCGNDAPLSASRMLGEVSRLIKPGGTYFLITYGDPKVRMPHLNPSAYNWKISLYIIPRPGFKKPGDCSSSSKSCLEAVPLTSEGMLPHDYVLEDPDSHFIYICKKTDEEVAQIPSYPLMEDVL >A01p020040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9849771:9853023:-1 gene:A01p020040.1_BraROA transcript:A01p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD1-1 [Source:Projected from Arabidopsis thaliana (AT4G27300) UniProtKB/Swiss-Prot;Acc:O81833] MRKIPSMFWVPLFLLSSSFSVALDYSVITPRDSLKDGDTLSSTDRVFQLGFFSFDPEEQPQHRFLCLWYKQPFAVVWVANRNNPLYGTSGFLNLSDSGDLQLFDGEHRALWSSSSSSKATKPAKNPYLKINCTGNLLLGDDEEAVLWQSFDDPMNTILAGMKLGKNFKTQQEWSLTSWKTLKDPSTGEYTLSLDTRGLPQLILRKKGDPSYSYRLGSWNGLSFTGAPAMGRENTLFNYKFTSSAQEVNYSWTPRRDIVSRLVLNNTGKLQRFIQSKQHEWNLANTAPEDECDYYSICGAYAVCGISSKNTPSCSCLPGFKPKSGRKWNISRGAEGCVHEVPTKCGKKDIFVKFPGMKLPDTSWSWYDSRNAMTLEDCKIKCSSNCSCTAYANTDIRDGGKGCLLWFGDLFDMREYASFGQDVYIRMGFAKKKFKRKEKAGMVVGLVMGIAVVLVVVFACYRKKIMKRYHGESLIKGVGEEDMDMDLPILDIKTIYIATDDFSYINFLGRGGFGPVYKGRLEDGQEIAVKRLCENSGQGVVEFKNEVKLIAKLQHRNLVRLLGCCIQGEERMLIYEYMPNKSLDFFIFDERRRRELDWGKRSNIIYGIARGLLYLHQDSRLRIIHRDLKAGNVLLDNDMNPKISDFGLAKSFGGDQSESSTNRVVEFIMLCLVMTTCSGYMPPEYAIDGHFSVKSDVFSFGVLVLEVITGKTNRGFQHADHDLNLLGHVWKLWVEDRAIEVPEEHLLEETCAVSEILRCIHVALLCVQQKPEDRPTMASVVLMFGSDGSLPDPKQPGFFTNRNVPDVSSSLSRSINQVSITMIQGR >A04p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2148698:2152031:-1 gene:A04p004310.1_BraROA transcript:A04p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQGCVLKAWEVTMKKTQQAKKRANNIFGTVSVAPQTDDETSTTNENDDESSTGEMYHAEKVLPNGDYYTGQWYDSFPHGHGKYLWTDGCTYIGEWYNGKTMGKGKFGWPSGATYEGEFKSGYMDGTGTYTGPSGDAYRGQWVMNLKHGHGIKSFANGDVYDGEWRRGLQEAQGKYRWRDESFYIGEWKNGSICGKGTFVWSDGSRYDGFWDDGFPSGNGTFKWDDGSFYVGHWSKDPEEMNGTYHPPENEGNLEWDPKDVFNNLSEYTICSGERVPVLPSQKKLSVWNSSKRVEKPRRISVDGRVSVGLDRAFEKMNMWGSESGEGAADIDSNTRRDLDAEIMRLEAEGFIQSLKPSPVPIRLPRAGKKQGETISKGHRNYDLMLNLQLGIRHAVGKQAPVVSLDLKHSAFDPKEKVWTRFPPEGTKYTPPHQSTEFKWKDYCPLVFRSLRKLFKVDPADYMLSLCGNDALRELSSPGKSGMFFYLTNDDRYMIKTMKKSETKVFLRMLAAYYNHVRAFENTLVIKFYGLHCVKLTGTIQKKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPESQINSNTILKDLDLNFIFRLQKAWFQEFTRQVDKDCEFLEQERIMDYSLLVGIHFREASVAGELIPSGARTPIGEFEDETAPRLSRADVDQLLSDPTRWASIRLGGNMPARAERTMRRSDSEFQLVGEPTGEYYEVVMIFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRLYSRRFRDFIFKVFTEDD >A08p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4975351:4980530:1 gene:A08p007550.1_BraROA transcript:A08p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENRKDRGLSSEVSIPSGLNRIKTRLAPKPDESALTVPKPPPPFNNRKPKSIAPRREHGKTTSKQERNKGKKLSRWLASYKPKYSVNLHKGYGCSTSEDAKSKVNNSRKDEETMVKLSETNLSSCKVPSIGIKSFSHELGPRGGVQTSHPRPHSYNDLKELLGSLHSRFDVAKEIVDKKLDDFVIDVEENMEKMDPSCPEDRETAEELLKLAQTCIEMTSAQLRATCESIVQDLTKKMKQCQAGLVKWFVSQLLFILTHCTRVVMFQKETEPIDENSFRKFKECLENIPALETNWVSTSRVDDAGKKFKKQDKESLESEATLGFGMPDDQSNNAAREGYGASKQGSRFNSKVVEQRSYLSNEYQDKMPNDPSGKELGGWDSVICRICEEEVTLSHLEPHSYICAYADKCEINCLDVDERLLKLEEILEQIIDSRSSNSFHPQAGGLENSVLQKSGVASEGCSPKVNEWRNKGVEGMFEDLHEMDTAFIDESNTFPINLKSHVGAKFCHHGTSSSTGSITSVSSTNTPRTSHFDSYWLERHSPEQEDLQLSSTGNVKESESVSEHASQGSAMTTPHFAQKERTSIDDFEIIKPISRGAFGKVFLARKRTTGDFFAIKVLKKLDMIRKNDFERILEERNILITVRYPFVVRFFYSFTCSDNLYLVMEYLNGGDLYSLLQKVGCLDDDIARIYIAELLTDFGLSKIGLINNTIDLSGPESEASPRKSSRHFQKSKEEERIRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFELITGIPPFTAARPEIIFDNILNGKMPWPDVPGQMSYEAQDLINRFLVHEPEKRLGANGAAEVKSHPFFRGVDWENLAMQKAAFVPQPESIHDTSYFVSRFGEKSFSDSGTDNDNESYPNSGDELDECTNLADFDSPPYYLSFINFSFKNLSQLASINHDVLLQKDPAKGGGASPFNSHGT >A10p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18043248:18045845:-1 gene:A10p029450.1_BraROA transcript:A10p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16240) UniProtKB/Swiss-Prot;Acc:Q9LF04] MVMAMDRIAFTSSPSAYQRSYHPHGYRSSRVFMSSTIRPASTEVTNGRKPYLPPREVQLQVKYSMPPQKLEIFKSLEEWANDNLLPYLKPVEKSWQPTDFLPEPESEGFYDQVKELRERCKELSDDYFVVLIGDMITEEALPTYQTMINTLDGVRDETGASPTPWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTAGLAKKLGDSKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDTTVVGFADMMKKKIAMPAHLMYDGCDDNLFEHFSSVAQRLGVYTARDYADILEFLVRRWNVENLVGLSGDGHKAQDYLCKLPARIRKLEERAQGRNKDAARNIPFSWIFGREIRA >SC216g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000072.1:65576:66211:1 gene:SC216g500020.1_BraROA transcript:SC216g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNFKSIDLSNPDEPDDEPHIKLKLLTRRIHQRKPFGDPILDAPTLAETIHGADLVLGTLIRANKIFYPNSNLVKDKQLEFPINQLAKEATRDPIGGSVLPARVRGLSAHLGGPVSTICKTKVILNLRT >A03p027120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11303981:11306598:1 gene:A03p027120.1_BraROA transcript:A03p027120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSCMEPNHSDDDAMEGLVNESSSPYLNGINGEPQVLPRVGDEYQAEIPHLVPEHDRSKLIRCFGSDPHLVTTFGLPIPLMWTRSEKFRGFREAAETEEEKRKPSCQPAAARTKPRGIVLALPCQKNSKLKFAWLGKSLYPFPGTLGESWDDSERERFLLGLYLIGKNLVLLQRFVGSKKMGDMLSYYYGTFYRSNEYKRWVDGRKSGRSKQSVQGHKLLTGWRQQELLSRVSSHVSEECKSMLLQVYKAFREDRIELEEYVFSLKDMIGLDKLTEAIGIGKGKKDLTLEATKLNNPGGGASKVRIRNDLPVADIVKFLTGEYRMSKTRSSDLFWEAVWPRLLARGWHSEQPKDGPKNSLVFLVPEANKFSRRNMSKGSHYFDSLTDVLNKVALDPTLLDLNPDEEDIGSSRKEEEIKNDPAMDIEEFDDDDDDSSQKKSKKKKKKRYLEPRIKASKSEEVTMFTIVDTSGVEERCRLKELKSLPIEIGNSTSYLSESEDYQLSEESKNKATVSISSGNKSSSVNMDNERLQKNRKRGRPRNPPNRSSLADCSQKPLKKGTQMRPADQNGVLMGEEHIDQGQPLKLPSTGSSAEDSSCGRNEGRQISAEIREDFDLNVSQISLEAEGVHNSESSCAAQQSSIQMDEEMPREVQQVNGPGRRQSTRTRPLTAKALEAFAFGYLGNSEKKRKSTTEEPRKTKYTKRIRKPGGI >A03p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8496834:8498504:1 gene:A03p020670.1_BraROA transcript:A03p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINNSLLWLVLYHVAKYLGLRTPIAYKWRRFALPRQVVNKGINFAFGGAGVFETIFTVPTASMQINSFERLLRKSVYSPADLNSSVAFFSFIGNDYIKYNIFNGTSQGRPALIRRVTKQILLDVKRIKDLGVRKVFVVLSPPHNCVPLYSTSKGCNSSNPLTKLHNSLIREGLRKLNDENNEKSFLMLDFYKAFMTIFKYRGVPGVSTFSEPLKACCEGRKGGNSCGDVDIYGRRLYSVCKDPKSFFFWDNVHITDEGWRSVFSLLLPDSR >A01g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18754116:18754461:-1 gene:A01g506470.1_BraROA transcript:A01g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSEEMSVRRSKRGKDNTKAAEEVEDVRERLPERLFATDQFLSERVNMYSAVDLLLWVRDVLDRTPDMSTLLGSCFAEHV >A09g517390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51391396:51392902:-1 gene:A09g517390.1_BraROA transcript:A09g517390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDCSNLARSSSPSFPYYRDWNFGVDSNLKPKICLWGFCKVLPFMIRALLCVPQVLYVSRLAHQLDWIRFYRGCSTIRFLAYPRFFFLYKGKLLRPPFLKFSSLFLDHKKKEEEDRKKTWRSQRKDKSDCGSSFVSAHQVHPSLISLAVSIGAKTNMEVLLEVVEEEAKLVVAKPQRQ >A01g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12630437:12631547:1 gene:A01g504170.1_BraROA transcript:A01g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMESTHNGRTRPNGALGGQVEKGSTNRRPASNVQDSRNIPTEEECNVCGADDHHTRACTRIRSQPDLSAYLICSSCETRGHFIADCPMTNVTRAVPISVVPPTSPARSASSTTGGSNLRDLDLTRSPDWVVVVALLCFACLFCFVLLSIRACVGKIWNQRQPWYRSATSVQRFRVVNVKVQSRQRMFKSLRAVWGFKRSDGVIFLPRRIFVQSVHVHVCKTRAISDRASEEATKAVTEA >A01p015170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7366502:7368668:1 gene:A01p015170.1_BraROA transcript:A01p015170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDFSQLPPPTSDFPAKKKQTNPASSQNEAPKNLTHKTKEIKFRKRDRQIVLQNENGPCPLIAICTRLFFFFFLSLQFSLSLGFTNFDQVRIGCNVLLLRNEMNLYPDRFQYGNELYNLVTDQGYLNERDLVWEKLNEVNGDSVFVDGDFKVFKCESGNWDQQNALSSTADYIYSINSASKEGMEVDPDLKMAMELQEQELAEDIGFEVSMKKQSHVFFFFSTRSESSPTMVQRWHMYNHECKFSSLPCKG >A05p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17040397:17042067:1 gene:A05p027110.1_BraROA transcript:A05p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLESDHTILAMSEKVEILSDDFDPTALVTEPLPSPVTNGGGEREMVLGRNVHTTSLAVTEPESNDEFTGDKEAYMASVLARYRKTLVERTKYHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRPFEVGVLDWFARLWEIERDDYWGYITNCGTEGNLHGILVGREMLPDGILYASTESHYSVFKAARMYRMECDKVDTLISGEIDCDDLRGKLLANKDKPAILNVNIGTTVKGAVDDLDLVIKTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFNKPIGSVSVSGHKFVGCPMPCGVQITRMKHIKVLSSNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLREAGISAMLNELSSTVVFERPKDEEFVRRWQLACQGDIAHVVVMPSVTIEKLDHFLKDLVEHRSIWCKDGSQTPCLAKDVGTNNCVCPAHK >A08p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2124716:2125262:-1 gene:A08p003740.1_BraROA transcript:A08p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSGGSRIYHTPTLGSVVNSIKWNHTNLVVARSEDDKNIYICGKKMSIVWEFKKMRIVYNILQNSKFEE >A09g515980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47358139:47358797:1 gene:A09g515980.1_BraROA transcript:A09g515980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQSHVLFEAGGVLDHARMVTMLRSAGSVNPKPLIFFYSCGKSSIIYRGSQSSMECHAPVIRFSFNFEQAYDNLCAKQADAMLHLYTFNFEKASDAWEHWHHISGIDEQFFYQKSSVQWLDLGDRNTNFYHKTCQSRNSKNTLRRLVTADARVLTALVDIKEEVVSYYENFLEAHDIGIDKLSQAYLKEI >SC234g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:5387:6901:-1 gene:SC234g500010.1_BraROA transcript:SC234g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDIKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEDVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGIKKEWRGGFKCLAILTNIQQTDARQEARRKGETSSGHKKKLKGDLTVKQLALIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSIKISLSLTEDDDDDPVMS >A07g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:510985:520201:-1 gene:A07g500260.1_BraROA transcript:A07g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKHTYYCVKCEAYNPVTVPRYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFG >A03p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10682072:10686566:-1 gene:A03p025370.1_BraROA transcript:A03p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRGAGSERERGEMAVGREEEEDMEMEEEEREGKERREKREEMLRMRDYHWRDREEEEDRRILILTARWKRKRHSSLFYYYCLKDLKKKKKREKQPPSVSYASIYTLDFSADRFLQISTSMADSSPQSATPSPALQSPTSEPAPPPPPPCSVFSSLKGLNRPKLRVTSEFDSDSLLFFNKVSCKIFDNLAKLKLSFHNNAQREVSQPQVSFTSKYVSVLYDVEEKNAFVKSTVDVSPRLQLRALHNVKAQQGEVAMEANLAEPGYSLELSSPVPIGYPRATLKFPLGEVSVQEKEVEEEEKSKRIVSVNGVLKRQAMNGVCSALYTDEELRLRYAYKDEALSFIPTISLPSNAVSFAFKRQFSPSDKLSYWYNFDSNMWSAVYKRTYGKDYKFKAGYDSDVRLGWASLWVGDEDGKVKTTPMKMKVQFMLQVPQDDIKTSVLMFRMSSFLPLRLLLPLTPPLLSPSPDSQAPSLLRSKNPNFNRRYSCSSQWQLFPGRRRRFRSYSPSIPMCFPKGHDNPDIWRNEDDHATCGLESDEDSGLWIPTQAQAIVEGSGSVAVSELKPAADVDYIQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVQNVVEKPHNDHLPLLEASRLCNMDIISQVQQIICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >A02g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9351929:9354651:-1 gene:A02g502830.1_BraROA transcript:A02g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRNRSDELLDRETGGETMILRTYGHFLWRALYGALAVKERLRSRGINLDTTFPLCGLHHETICHVLFTCDVAKETWDPAQIPLPPAGFSHNSVLLNLYHVLSVSENQRIGQAERLWFPWILWHLWKTRNSFWFEQKRFLAANIFSKTVEESSIWFNLIQKDQEEKRCSWSASSQHTGASWVIRDFRGQGMEHSRRSFMGVSSNLEADLITLCWTAKDLHTLHWNRVIMEISSVHTLEALNNPQWFPGLSNTIERTRQALNCFQNCYVEVVNVITNRVAEKIAVSVTKDRRFQSYIASGGPSWLNDIILEDASNSPSTYPR >A09g518480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56380590:56381920:-1 gene:A09g518480.1_BraROA transcript:A09g518480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYMSDVTFKGPCKGKIVFFNSGTLLAPASAKHIKQDVWINFRYVDNLVISGSGTINGQGSHSWSSSNTCQKNIYNVKIWNSHIGTGDDCIAILSGNTNFDIHNITLWYRTWDTGRKLGKVQTKKNVEGVRVRDTVFIRTSDGIRFKTWESSVTKITVSRFLYENIKMVDVGHPINIEQKYCPYPPCPKLGDSHVQIRNVTFKNIWGTSKNKVAVNLQCSKSYPCQDIQLIDINLIPKGPDGPADRCVRTLRFCSWQGVSSKLPEVIMSFVVFNIHYRCKCD >A03p051460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20491594:20492717:1 gene:A03p051460.1_BraROA transcript:A03p051460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGAIRSILRPLSRTLVSRVASSCSSAPAIPAAKPELCSLFGGSRLPWIAMATHFHSLSLTDTRLPKRRPMAHPKKKRFKLKPPGPYAYVQYTPGEPIASNNPNKGSVKRRNAKKRIGQRRAFILSEKKKRQGLVQEAKRKKRIKEVERKMAKVARERAWEERLAELQRLEEEKKKSMSS >A02p017830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8100725:8101234:-1 gene:A02p017830.1_BraROA transcript:A02p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLCSHHSPPLSFLPRTILKSKPQDPKTLISSNNRIRYESRLHASPKGFQPSKNSEKPGKSKPDPEDDPPIPQEVFERMMGRIVVSVGTPLGLGVAVLKLLDILKDKNVWDVPLWVPFFTTLVTFGASALGIAYGSLSTNLDPTKTNSLFGLEEAKENWVEMWKEDQ >A06p016770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7529427:7530485:-1 gene:A06p016770.1_BraROA transcript:A06p016770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G19300) UniProtKB/Swiss-Prot;Acc:Q9LN68] MSQHLLLILLSLLFTVFSNPISASQIIQKFKEAPQFYNSADCPIIDPGDDDDDVSAKPIFCSRRAVHVAMTLDAAYIRGSVAAVLSVLQHSSCPENIVFHFVASASADASSLRSTVSASFPYLEFKVYVFNVSSVSRLISSSIRSALDCPLNYARSYLADLLPPCVRRVVYLDSDLILVDDIAKLAATDLGRDTVLAAPEYCNANFTSYFTSAFWSNPTLSLTFADRKACYFNTGVMVIDLSRWREGEYTARIEDWMAMQKRMRIYELGSLPPFLLVFAGLIKPVNHRWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDAGRPCPLDALWSPYDLLQTPFALDS >A06p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15553842:15556304:1 gene:A06p024010.1_BraROA transcript:A06p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNGQRVYSVLTTNEVGEKMTSEINQISEVFLLSKSDATLILISLLWNSFKASDRLGDDKEKLLSDLGLVKVFSSCQENDSVSSFAGQENLVSTPFCSHKFSETCWRSHLNNLLTEEEERVILCPNPDCVAPVGPDTIEKLTEPAVKMMYDRYVLGSFVEANKESIKWCPAPGCEYAIELHEDLLEDDDLDFGVVCLCGHTFCSSCTLESHRPVTCKEASVWSSSTLDTLKSNAWLLENTKRCPNCNCHVQRTDDPVLRMITCICSCSFCWRCLLSEEEHNGNWNCVELLFQLSMRQVDDSSYLRLWETCLEEYENSKSDLKAIEENGVPRLADNSSFNEQDVDAVKEATLLMVQCRLVLKWSCAYEYFIAMYQSPKTQYVKHLRGEARKTLLRHKATQEELMNKALTSGYFSSFKVELSNSSTITGNYFHEYVKTLESGFPEIQLLPLGEPPLATLQTQDRFEERDGPDYLRLKHLNSNTRHLTANQKTSTRGRGEPSVSFTARDRHRNDIYRPRADLITEDTQQKHTTSSHFTSLHPQLHHHRSSLTDNVIDLEADHKTKERRQRSSPSLILRAPQLVTCSTGEPRNPHNSRLIKDLTHG >A05g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15120085:15122794:1 gene:A05g505460.1_BraROA transcript:A05g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGKELGFIGACHCGAEYESEYETEQLGRQCGFHESFAVDTVLTSPNEEHTEEYDEDYWKERAIEMSLHDQKFETYKFTNTFPTSLDDFHSTSVDTHPRPAKQPLTSIDTHPCTSIDTHPCTSIDIRAKAKIKEQENIPSPTRFIDTYIKRFAPLKPPPHTRADTQAEKMNTLPSTSTEKSMKSNHLKNTSSAEIILPSIDAYVSTSIDTTLKPNLSISKLNDNANIDYGFLTPYEFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDTNTHVGVTATEINPDLSRQPKGHASIDGTTHTSIDRVTPKTIDKDDPTSIDRRYKFGHRALDMYGARKFIWERRDEYGVYKDECGHTRGVAGEMIPVTKDDIRKLLERASLFEEIHICLPEHATSFTLTRLALELYTKDEINEMVTEIESLRQQLEKEAMTSASIDAPRAPSIDVSLPTAQIPAEQQCSAEHKDEWEVSFINTRINDVYYPLNNNVDWLNTKIELLQKIWTPFARRTNNQLHRSTCVPSHRSTLRFQPWIIGCRLTKSCMTALLRRPWIDGKNPVESLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDEHLIASIDAESTPASEQLIHNTMESMYKELTELSAYAYNNIGWHQVSIDNVQDRLQHISNVLEKMDDKWTRNDEATRSFIASWSRMCRDDVNACFPTNSCFSTK >A03p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:341180:344008:1 gene:A03p000520.1_BraROA transcript:A03p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSSLSINLSPTHHPFLPSTTTNTNTCSSSSSATTCSPRCSFVSSPVSLGASCPRRSSPVSLIRRKSQSFFAGLEVVSASSSGSSDMTITEARGEGDESEIEAPLLDPESYSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVPLSLALSPRIISEIARFKPDIIHASSPGIMVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWSIIRFLHRAADLTLVPSAAIGKDLIAAGATAANQLRLWNKGVDSESFNPRFRSQEMQKPLVIHVGRIGVEKSLELLKSVMDKLPEARIAFIGDGPYREDLEKLFAGMPAVFTGMLQGEELSQAYASGDVFVMPSESETLGLVVLEAMASGLPVVAARAGGIPDIIPEEQEGKTGFLFNPGDVEDCVTKLRTLLHDNETREIIGKAAREETEKYDWRAATTKIRNEQYGAAIWFWRKKKAQVLGPINWLVKRLFPVPPEVNA >A03p059010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:25642798:25643784:-1 gene:A03p059010.1_BraROA transcript:A03p059010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIKRFALVWVSAIVSVSYCYYIPPRIKAGVPRLLFILPVCLQFFVSPMLFSSWFFIFPIMFFLTGMATLKLMLFAFDLGSLSPRPSSLFQFICFTCFPIKKLEQNPKSQFNFHKWILAAKVATVGVLFMFHVRGYKYNLPPVLLWGIYPLYMYLPFELGLNLLKSMLTIILGCDLEPVFDEPYLATSLQDFWGRRWNPMVSSILRSGIYSPLRGRSKSKSGLSKFIGVSTAFLASGLFHELIFFYTSGHETPSGGVTLFYVLNGVCTATEIVVKRSKFGKRWTVRPVVSWMLTMTFMVVTSGWLYFPQMIRGYQIRQYTKYIFVS >A09p064110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51790137:51792646:-1 gene:A09p064110.1_BraROA transcript:A09p064110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSDEYDIDITSLLEAECQIYADEAESRQTIVEPVAYVPQPECDDGIPKTCYCGAEPVVAIACTRKDQGRRYFTCANADDGDCHIWKWWDVAVMEEFGDHQRQLRELKAQADSSDEKLVKVEKTVGELAKRKTGITNGLPVVVCAMVSLFFVLCVLVSFKWEELQRMIRRLDIEHCITMDTTSGFVNLLNNQSVVDLESPKVDTTPSSDQSAVKERRKWTMKDDKILIGAWLNTSKDPFNLEHAWRELRHDRKWCSTNLSKDTVKEKRKQTVEVDGEDDVGVAERRPMGVKASKAACKKKKSGREEELEKIQGILDTKHKISKEKVLARLLAKNDPLSEMETSLKLKLMSEMVKFTVRTQYDTTEFEEGDVPSSSRVHKPRDTDLPPSVTEIRANRVRVREQETHYQLKNDLIENIWNKFGDEN >A06g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8742618:8744589:-1 gene:A06g502640.1_BraROA transcript:A06g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPQEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSIDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAALPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRTSQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A10g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18426804:18427264:1 gene:A10g506460.1_BraROA transcript:A10g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHRQADHGSISSSDPCATRSPLMFFPQVCSFPIYDGHGGCLAAEFAKKHLHLNVFQLGYRNFYMIGKDKRTEPFEGLSS >A06p050040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26361582:26364427:1 gene:A06p050040.1_BraROA transcript:A06p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTLFSFGARYPKITCRVSPPVSPPVASLSLPSSSPDSLACSLQCPHFQSCSGCTQELNLHRPAVVDEASGFFKRYGVEDFTFDSCRLWGWRCRAKLAVRGTSDNALIGLYQEGTHSVVDIPECKAHHPNINAAIELLREGIKVFDVVPFDEDQGTGDLRYVQMAVTTHSTSLRAPERYKNGKVQVSLVWNSRNEKSHNADKLQALSSFLWRKGGPNSKFHLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDSLLWKLHKYVPGGSSVADLYAGAGVIGLSLATSRKCRQEHIFSVKCIEVNKEARLSFEKTIQRLPNSLNCSITWHHADASVNPLSWIIGTDVVVVDPPRRGLDASLRQILESVPSIEKRMRSSSQSTSSNAKEEKRPWILRARELSVQAGNKLTPEESNSLPQRLIYISCGWESFKEDCKSLLSSRAWELEKAHGFNFFPGTDSIEVLAVFKRRVVMKKKKKSGVKKVGLKKVRAK >A07p027420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15649781:15652247:-1 gene:A07p027420.1_BraROA transcript:A07p027420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLGNCYARLLSSMAQYVLGAEDLQTPIKKVGDSVKHVKTNDRFDELKTQLQTHSTKDLRIDNQTKWAASEHKEVFSCLGNCDLEYKISTSPEEWRKIEVLCSCLKILFDAASVLTGPTRLTANDLYHEMTKLQLELSHAAMSEQPGGDSNLLNEKPRDHALGDPGTSMWWKRSMVTFSLLKMPIPEHGDSQSHAMPPQEEAAFTISQEGHHHIDVLLQDGHHLEASSQEFPLITIGDGFSDFELYISEVGSREQMKSELDQYLEESLIPRSPDFEVLGWWSLNRTKYPTLSKMAADVLSLPFRTVSPDSVFDTEVKKMDNLLRQGLAHAREMVYKPAEKSLCRIIRTSELAKKSSMSWKDKRKRSSSSTLLVLFFINQAMNQVDEECEALQEKFLEKEIDTAPFVHNTRTCVPLTINEH >A03p020870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8581624:8582790:1 gene:A03p020870.1_BraROA transcript:A03p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSNLNGEHTGSSIGPSLGDIPESCVACVILHLTPPEICNLARLNRAFRGAASSDSVWERKLPSNYKDLLDLLPVERYRSLSKKGVFALLSRPIPFDDGNKEVWIDRVTGRVCMAVSAKGMAITGIEDRRYWNWIDTEESRFHVVAYLQQIWWFEVDGVVRFNLPPGIYCLSFRIHLGRFSKRLGRRVCHFEHTHGWEIKPVRFSLSTSDGQEASCEYFLADKEGEQTGRESWRDYKVGEFVVGCSEITTEVQWSMKQIDCTHSKGGICVDSVFIIPTDVKERNKRKAGVK >A07p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18791291:18792792:1 gene:A07p034620.1_BraROA transcript:A07p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVIDFSKINGEEREKTLSEIAKACEEWGFFQLVNHGIPLELLNKVKKLSSECYKTEREEAFKTSTPVKLLNDLLQKNSTEKLENVDWEDNFTLLDHSENEWPCNTSNFKETMVEYRGEVRKLASKMMEVMDENLGLPKGYIKKAFNEGMEDGEETAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVVLLFQDDEYDGLQVLKDGVWIDVQPLPNAIVINTGDQIEVLSNGRYKSAWHRVLARENGNRRSIASFYNPSYKAAIGPPEMTAAAEEGSEKKYPKYVFGDYMVVYANQKFMPKEPRFLAVKTL >A03p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11194872:11197838:-1 gene:A03p026870.1_BraROA transcript:A03p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRQVLHSFLNRLDGKVVIITGGASGIGAEAARLFTEHGAKVVIVDLQEELGLSVALSIGKDMVTYYHCDVTNEMEVENAVKFTVGKYGKLDVLLSNAGIMDQPGSVLDMNLEQFDRIMSVNVRGAAAFIKHAARAMVEKGTRGSIICMTSCAAEIGGSGAHAYTASKHGLLGLVRSACGDLGKYGIRVNGVAPYAVATRMNSSDEETARTVEEYFAAAGILKGVVLKVGHVAQAALFLASDDSAYVSGHNLVVDGGGASGIGAESARLFTDHGAKVVIVDIQEVLGQNVAVSIENAVKFTVEKHGKLGVLFSNAGVLDTPGSILDLNLKHFDRVMGVNVRGATAFIKHAARAMGPHGYTASKHALLGLIKSACGELGKHGIRVNGVAPFAVATAMTSRDEETAKQVEGYCEAVGILKGVVLKPNHVAKAALFLASDDSIYISGHNLVLDGGFSVVKPL >A03p060020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25968938:25972615:1 gene:A03p060020.1_BraROA transcript:A03p060020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVRRHSLDVPITRTLVALRRVRSLRDPCTNSMSKFASLLENVKWETASNNGISLQFLNDGGSCKADDDAAHVGLVPYESYSIMEELENGCDLHKLSNRVLNGEGDACSPSSERSCAVKGRELACNVPTHYSTKGASSVGEYGSHVGSPMTSTNHSYGLDEDVECNRGCGIASCWSRTPRYRGSSNQSSDVEEYPLLSSNPESNAVTPRSNHETVSRSLSQKFRPKSFDELVGQEVVVKCLLSTILRGRITSLYLFHGPRGTGKTSTSKIFAAALNCLSQAAHSRPCGSCSECTSSRRSMVVKEIDSAKLNRPSYLRSLIKSAALPPVLSRFKVFIVDECQLLSQETWGTLLNSLDSFSQHSVFILVTSELEKLPRNVLSRSQKYHFSKVCDADVASKLGKICVEEGIEFDQGAVDFIASKSDGSLRDAEIMLDQLSLLGKRITTSLAYKLIGVVSDDELLDLLDLALSSDTSNTVIRARELMRSKIDPMQLISQLANVIMDTIAGKSQENSSATRLRFLTRHTCKHTLMINFSNGFKLNKSEHFVLYYTAEEEIQKLSNALKILSDAEKHLRASKNQTTWLTVALLQLSNSDSSSYAANENGLSLRSQGSKDGDLSSTSSECPGDVVKQTEECQDVNCNETVETVWKTVTELCCSDSLRRFLWKRGRLTSLTIDKGSSVAIAELEFYTPQHVTRAEKSWKMIADSFQTVLGCNVEIRMNLVISECSPPKSARAAGRLFSGLFSCSRRMLHKSTYLTTINDSDHSPEVTNSLRSCQGNVLRARSVRSSANASSRMSSASDQGDVYSVMCTPHMPQDDKRPGDDTDVLCWRRTPLGKGQGETPNNKSSRLIGRVLPCTAAAACFCIHGQTTKRRKWPRCYFLRCMLNVINLNHIRDGFVMLLESADDFVVDTPDTVDVLALFLARVVVDDILPQDFLPTATRTLQVSSIGYQVVQTVKKSYLSAAHHAKLVDRNGVE >A07g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10905051:10905938:1 gene:A07g505050.1_BraROA transcript:A07g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLNILDSLALAISQRSLKKLFHAILLPQEWQLGQPVPPWPAPLRSLSLADLCGSARRNPRKFTGCFLSAPISPRRTTEWYKEEAKINFSERSLIPFDWEENQGNPRKITDNEEDTVDDKQKVKSFTRVSHDSLPLFLCLKRSLPSLLIVSQTTCSYETRCRVVEL >A07p045190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24523775:24526035:-1 gene:A07p045190.1_BraROA transcript:A07p045190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNQTDDFSSHKHPTLPQVIEELKELWAMVLPITAMNCLVYVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAFGSKNWDLLTLSLHRMVVILLVASVPISLLWVNLGPIMLFMGQDPEITATAAEYCLYALPDLLTNTLLQPLRVYLRSQRVTKPMMWCTLAAVAFHVPLNYWLVMVKRWGVPGVAIASVVTNLIMVGLLVGYVWASGKLQKRVSGSTVAAQSSSAVEFFGGLGPLMRVAVPSCLGICLEWWWYEIVTIMGGYLENPKLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGRPYKARLAANVALACAFVIGALNVAWTVILKERWAGLFTGYEPLKVLVASVMPIVGLCELGNCPQTTGCGILRGTGRPAVGAHVNLGSFYFVGTPVAVGLAFWLKIGFSGLWFGLLSAQAACAVSILYAVVARTDWEGESVRAMRLTSLEMGKVEKDEESSSLLLVDDRNGSEEKLSDLL >A09p062100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50947609:50948882:-1 gene:A09p062100.1_BraROA transcript:A09p062100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSICTTMPSILRPPRNTICGSCYEGARTTIALLKKLEGANEDQDHDKSTDKSTVNNCSSLSSSPLFSREPQPLQKVIKWIKNMKETEEEQKKRIVFLSSFVSGFKEQLHTDILLKPGDDGPHIPAHRALLASKSEIFKNILDSDDCKTAPEYAITLQELNSEELQALLEFLYTGTLASDKLEKHVYALFVAADKYMIHYLQEFCEQYMLSSLEISSALDVLDVSDLASSKTLKEAALGFVVRNMDDIVFSDNYEAFSQKNQHLCVQITRAFLMEMRSRRRD >A02p031750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16669177:16671612:1 gene:A02p031750.1_BraROA transcript:A02p031750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGATANTNPNKSFEVTPSPADSISSLSFSPRADILVATSWDNQVRCWEISRSGTSLASAPKASISHDQPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAMHDAPIVDMAWIPGMNLLVTGSWDKTLRYWDTRQQTPVHTQQLPDKCYALSVKHPLMVVGTADRNLIVFNLQNPQTEFKRIVSPLKYQTRCVTAFPDQQGFLVGSIEGRVGVHHLDDSQQNKNFTFKCHRDGNEIYSVNALNFHPVQGTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSSFNHDGSIYAYASCYDWSKGAENHNPATAKSSIFLHLPQESEVKAKPRVTTGRK >A02g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22922766:22924039:-1 gene:A02g508510.1_BraROA transcript:A02g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESACLMQPFSYAAPQGDSLGALGQSVSFGRFMSEKLDWKKWSSFPTQNRYVAEAERYSRPGSVAQKKAFFEAHYKKLAAARKAAAEEALLLRQLTSDELVPVQEDINGVGKKESDPVLEIPRASLDAEMKVVAEKVSRSGNRQSDEKENRGKDKSEINGKGSTVKKEQQVEEKTPMILKKKKYKEAQPKSSTKPRVSKLNISERTPSQKPSSNKSSSYNFTPAKEFNRLVSMIRKIDGSTRASSSSKLQTKECKTPLRTPSSNNKVSAKGIVEDSLFTTPLSSNRRAHDSSTKTGRGRWNFLPAG >A09p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11586784:11589730:-1 gene:A09p021490.1_BraROA transcript:A09p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLEHNLQDMEEKVEYTLKIIDEDGDTFAKRAEMYYRKRPEIVNFVEEAFRSYRALAERYDHLSKELQSANRTIATAFLEHVQFPSDDDEDENEDYEGNPRKPHLHLIPKGSNIPEVPDIPKKEFKGQSMMLSRKGPAGPSAFAKREAAVVSSGLSKEEGLEEIDNLQKGILALQTEKEFVRSSYEESYERYWDLENEVAEMQKRVCNLQDEFGLGAAIDDSEARTLMASTALSSCKDTIAKLEEKQKQSVEEAEIEKGRITIAKEKFDALRNKFEKPESENHDELIETEQEEADVVQELEAEREDSNENLTVVKLVEKIDDLVHRVVSLETDACSHTALVKTLRSETDGLHEHIRGLEEDKAALVSDSADMKQRITILEDELSKVRKLFQKVEDQNKSLQKQFKEANWTADDLSGKLQDVKMDEDVEGARTFQEQPLVSGSEDDLKSISKETETTRSSGERKKENEDIEEADQEEKPETKDSFALSETASTCFGTEGEDLVTDYEDEDTPNWRQMLPDGMEDREKVLLDEYTSVLRDYREVKRKLGDVEKKNREGFFELALQLRELKDAVAYKDVEIQALRQKHDTPGKDSPSPHHVEGNSQLEHDQGQQHESVSISPTSNFSVSTTPHHQAGEVKRTPARTKSSEVRVKFADVDDSPRTKIPTVEDKVRADIDAVLEENLEFWLRFSTSVHQIQKYQTTFQDLKSELSKLRIESKQQQESSRSGSSSKHAAASEAKPIYRHLREIRTELQLWLENSAVLKDELQGRYTSLANIQEEVARVTSHSGGGKISDSEISGYQAAKFHGEILNMKQENKRVSSQLQSGLDRVRTLKTDVEKILGKLEEDIGISSATEARTTPSKSSSSGRTRIPLRSFLFGVKLKKHTKQKQASASLFSCVSPSPALQRQSSYVRQPGNLPE >A08p029270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18147915:18148692:-1 gene:A08p029270.1_BraROA transcript:A08p029270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAKHQRNHRVSAPNKNKILNKVPSISSSSSPSHSQVQKRSLITMEEVWKDINLASIHHLNHHSQHPQHNHEPRFRSHNQNPNSIFQDFLNRPLNQEPTIPTSLSGDTTTVTALFSSSPLAPPATVLSLNSSACFEFLDNEDPLVTPNSNLHSHNHLTNVCSLDTPFEALVTSTSFGKKRGQESNEGSGNRRHKRMIKNRESAARSRARKQE >A10g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14682070:14683389:-1 gene:A10g505730.1_BraROA transcript:A10g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTGNSTVSGDRKPIKKTVATSATAKPNGKSTASSATVMKPNETTAVSSANSMNPNAVGAVKPEDSSASGVTQKPSPPVGSPAQEEVHSKFILYHDKHVLSISTKFKSLEFSYEAGQVRSGHLIVSAEFVFFEMGLEFPASESPPVKRQNHEDLKNLLILSKSIREVVIFFKPSWSRAINWKTLSFLKHRFIIVGPRLRGKSNSLGGIAYLEMMMMMIYVDGWVQEAKCLV >A10p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1095923:1100065:-1 gene:A10p002100.1_BraROA transcript:A10p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFTSVFSDDPDPPETESESDEQSNPNDDDGGWSFGGLMKTIADRSESVIETYRRDLEEFGTGLKKEIEVAQGSLGTVGHAIDELGSSVIKGTAEIIAQGKEAILAAGDNESDSSDNNTSGSNRRDSFSSKPYSRFDAQVRAVQGDVSTYSEEAEDDSDEYKKWESEFSLEEKGEEMEMLLEGNGEMRGVYKRVVPSVVDHETFWFRYFYKEELSWDIDDEEEETTEIVTKDVSRLKHEGSDDTGSGDVKDDVASADSVTEVSNVGLKTDTDSEEKKETGSEEVPESIIVVDAAPSPAADESLIQDSDKRHEAVPESDESAPSHEDSDKPDVAASSLAQGSGKPDGAASATTQEEDLGWDEIEDMSSIDDKEASRASGGSPNRAELRKWLSAAEEDEEDLSWDIEDDLFFSYFKDLVGQEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDVDLLHDAARREARGG >A01p058070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32965578:32966947:-1 gene:A01p058070.1_BraROA transcript:A01p058070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSQSLPLAGRVAIVTGSSRGIGRAIAIHLAELGARVVVNFTTKSADAELVAAEINGLPAITGNGPRAIVVQANVSEPSQVTSLFDAAESAFESPVHILVNSAGVLDPKYPSIANTSVEDFDRTFSVNTKGAFLCSKEAASRLKQGGGGRIILLTSSMTRGLKPGFGAYAASKAAVETMVKILAKELKGTGITANCVAPGPIATEMFYEGKSSEVVEKIVAENPFGRVGEVKDVVPLVGFLAGDGGEWINGQIIPVNGGYV >A01p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3821647:3822175:1 gene:A01p007870.1_BraROA transcript:A01p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESLKGKLVLLSTTTYARFVVQRVFDSGSDASVRKVYSEIVNSDGGLDVLIINPYDHYVVKALLRRLTELNSTLFKIIAFKVLERAAEHEKHEFAVHVLRECRSPVNKMYILYY >A03p032890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13919516:13920924:1 gene:A03p032890.1_BraROA transcript:A03p032890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTLENRKAFIDSSISVAREYGFHGLDLAWEYPSNIVEMANFKKLIEEWRVAVEKESENTGLLPLLLTAAVHYSPDYNSVQYPVQAIADNLDFVNIMSYDFYGPAWSDVTGPPAALYDPSNPAGISGDSGLRKWLDANLPAKKAVLGFSYCGWAWKLEDPKADGYDAATDGAAITPDGSVTYDEIKDYIVSSGAATYHDPAVVGFYCHAGTTWIGYDDNQSIVSKVKYAKLNGLLGYFSWHLAADYNGGLSRAASLAWDTTDATTGK >A03p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5246060:5247710:1 gene:A03p013340.1_BraROA transcript:A03p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLTLISKETKKMKEYWTSLASLLGVLAFCQSLMQSIIPPELRFAFLKLFNRTFHLFSSYCYFDITELDGVNTNELYNAVQLYLSTSVSIAGNRLSLTRAVNSSSITFGLSNNDSIVDTFNNATVLWEHVVTQRQTQTFAWRPLPEEKRGFTLRIKKRDKTLILNSYLDFIVEKANEIRRKNQDRLLYTNTRGGSLDSRGHPWESVPFKHPSTFETLAMDPTKKQEIMDDLKDFVLGQMFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHSNSELRKLLMKTSSKSIIVIEDIDCSINLTNRNKSSGLKADLQNLRIGSETRSGSGSGEESGNGNTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVCMSYCDFSSLKILLRNYLGYEEEDVGDDVLKEMERVVEKAEMTPADVSEALIRNRRDKEKAVRELLVELKSRWETNVKGGKLRGQSGNLRELEIVEEEEKGAIDSQNEEGDHVEDETELEDNACKD >A09p069540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54174058:54176982:1 gene:A09p069540.1_BraROA transcript:A09p069540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEVGSTWDLNFFTQLVLTLLFLSLGLLFFVKQTAAKYFEVGASGGFHRRDFMVPDAAAECSVCGKLSTKKCSRCKSVRYCSAECQKSDWNSGHNRQCKVFKSSDSSPVRKDDLGFKASLFGSRSASKAALTSKLSQSKAVVKPGDVLFPYETFVNYFNWDKPTLAPCGLTNCGNSCFANVVLQCLSWTRPLVAYLLERGHKRECRRNDWCFFCEFETHLERANMSRFPFSPMNIISRLPNIGGNLGYGRQEDAHELMRFVIDMMQSVCLDEFGGEKAVPPRAQETTLIQHIFGGLLQSQVKCTVCSNVSDQYENMMDLTVEIHGDAVSLEECLDQFTAKEWLQGDNLYKCDRCNDYVKACKRLSIRSAPNILTIALKRFQGGRFGKLNKRIGFPETFDLSPYTSGGGEGSDVYNLYAVIVHLDMLNASFFGHYICYVKDLRGDWYRIDDSEVEKVELEDVLSQRAYMLLYSRVQTRPSSLRPEEVQDEKKTDTLNTESSQDGSVESSGVGPNVSSLCNGISISHSEGSSSSSLSSSVSVSEKEGEVAERVDTVDSESNPSVDMEHDSGTDHQEVVNGKEHPTVEDPAVDSSDNTASSPSAATENPTVEDPACSDITTSSPSAAIESKHKEKEDSDTKMIDDAQ >A02g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17979970:17980910:1 gene:A02g506320.1_BraROA transcript:A02g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINWNEGITILHLKIWYCTLPRITFLLQCDPIFHLFSDLMEHSPNVLFSLLVLFEEHPQFVGKVGLLEWTLLRKLSITFSLAHLFRFFATFLFLFLKVLPIGILSTSIGSGASSEYVLVVSGGLAEGFNCDLSALIRALSIFGICTRNVRGARRSMGIGGFRSTTVSVCRSMLIHKGLGGCRCLAANSSWVMILTALHSATDSKCVSVDRCPKSGVGRHQCDPPKLIELSNSKS >A03p012350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4912426:4913621:1 gene:A03p012350.1_BraROA transcript:A03p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOPP2 [Source:Projected from Arabidopsis thaliana (AT5G59160) UniProtKB/TrEMBL;Acc:A0A384KLS9] MAQGSMDPAVLDDIIRRLLDYRNPKPGTKQVMLNESEIRQLCLVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPTANYLFLGDYVDRGKQSLEAICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDSFNCLPVAAVIDDKILCMHGGLSPDLTNVEQIKTIKRPTDVPDTGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVAEFLIKNDMDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRRPRFL >A06g503490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10502949:10503407:1 gene:A06g503490.1_BraROA transcript:A06g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFPPPVEPQIPRPEQLAARESNTSTRVTTSRRQRCHPRRCSAATTHQLLIKSVNSLTLAATNYSRSTASQVWDMAYFSTLMARKKKIPDQREKEGQELPSNQRSSNTEIISSIGEKTPALITDSAVFSDSSPSSNKERMPYSRRVTRAA >A01g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18723749:18724929:-1 gene:A01g506460.1_BraROA transcript:A01g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILMKFCHTQHQVANYKSSSPIDPPSTTLCRHFQERKMRLMASHLSLQILHQTMQTLPGKKELESKNQYKVKALNIVLAHGSMSLSGTKSPVAWQITLRSAEKKKESGASEDVSDEDLCILILGSLLHFDKVEVEFIKKGSSTTEELEAFVSALQVAGTKRGQDKASGGRGSVREATTDKTISQLESMGVIIYGVNKPLGEDSIDEISWDIIAGYDQQKR >A06p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5996400:5998654:-1 gene:A06p013220.1_BraROA transcript:A06p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRLDTSTTYLAFTSPRALNNRFVSATRSRASVSVRTKTSPFYSSSSTVYSDSRRSFISVSASASASSLAVVETEDPFDVPTELTPDNRIPATIITGFLGSGKTTLLNHILTGDHGKRIAVIENEFGEVDIDGSLVAAKTAGAEDIILLNNGCLCCTVRGDLVRMISELVSKKKGRFDHIVIETTGLANPAPIIQTFYAEDEIFNDVKLDGVVTLVDAKHARLHLDEVKPEGFVNEAVEQIAYADRIIVNKTDLVGEEELDSVMQRIKTINSMAHMKRTKYGKVDLDYVLGIGGFDLERIENSVNEEEKEDHDDHHHDHGHDCHDHHKEHDHEHGHHDSHDHTHDPGVSSVSLVCEGDLDLEKANMWLGALLFQRSEDIYRMKGILSVQDMEERFVFQGVHEIFEGSPDRLWQKDETRTSKIVFIGKNLNREELEMGFRACLV >A03p001380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:682536:684853:-1 gene:A03p001380.1_BraROA transcript:A03p001380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 2 [Source:Projected from Arabidopsis thaliana (AT5G03630) UniProtKB/Swiss-Prot;Acc:Q93WJ8] MAEEKSFKYVIVGGGVAAGYAAREFSKQGLKPGELAIISREPVPPYERPALSKGYINLENKASLPGFYVCAGSGGEKQFPQWYKDNGIELLLDTEIVKADLASKTLVSGTGQVFKYQTLVAATGSSVTRLSDFGVEGADAKNIFYLRELLDADELVTAMNVKANGKAVVVGGGYIGLELSSALKVNNMEVTMVYPEPWCMPRLFTAGIASFYEGFYANKGINIVKGTVAAGFNTNSDGEVTEVILKDGRTLEADIVIVGVGARPIISLFKGQVDEDKGGLKTDAFFRTSVPDVYAVGDIATFPMKLYNEMRRVEHVDHARKSAEQAVKAIKAAEEGNSIPEYDYLPYFYSRAFNLSWQFYGDNVGETVLFGDNDPTSAKPKFGSFWIKDGKLIGAFLEGGNPDENKAIAKAAREQPVVESVEVLAKDGLSFVSNM >A09p083260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59966608:59967816:-1 gene:A09p083260.1_BraROA transcript:A09p083260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G01050) UniProtKB/Swiss-Prot;Acc:Q93V56] MSEEQQRPAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFVPRTLCEDNDPIDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKELPPHRLSEIRRFFEDYKKNENKEVAVNDFLPSENAIEAIQYSMDLYAEYILHTLRR >A03p018590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7581034:7581968:-1 gene:A03p018590.1_BraROA transcript:A03p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREMLIIISVLATTFIGLAVATDHTIGGPSGWTVGANLKTWAAGQTFSVGDNLVFAYPSAFHDVVEVTKPEYDSCQAVKPLITFANGNSIVPLTTPGKRYFICGMPGHCTQGMKLEVNVAPAANAAPTAPLPNSVPSLNAPSPSSALPIQPLLPLNPVPVLSPSPSTPLPSSSLPLFPAQSPALSPAATSLPLFPGSPGSSSSTTTKTVGSFPSSATGTTDNTDGAGASPGDSSAKSLVLGFGFMLAMMMLHLF >A01g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10994084:10994599:-1 gene:A01g503520.1_BraROA transcript:A01g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDLNKRVEVIENVLGVADGYESPCNYDDTKGAPNDENEEEENSGGKRNATGDENDEEGISDTQQLTEVNILRENENTEKITPDEDTEKSEKKDMTSSTPTFTTPNFDIRV >A09g518030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54543211:54544353:1 gene:A09g518030.1_BraROA transcript:A09g518030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FESDAVPWFNSYLTCRDDHGPVTMPCDKVHLGIKDSIPPLLQRKEPPLHRVNGLTGRSTPTRYASPPFRSLIKIQFSLNHLFPIRKQITGKPQNGDFDSPISINRNLHRRADDFESFSKWKTLKNRCEEDEEKKLVEGDLS >A06p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5598294:5600807:-1 gene:A06p012240.1_BraROA transcript:A06p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIASRNSKRKAEASPFAGKKLRSRQKRAQISPSPLHHKEVGAPAASVDSCSAVDDTVSCGSSIVEKSSKLKKTRIEEVEVSDRVIADPKFRRITRSYSKLNREKDAEEIEVSESSFTRSDVTFAEHVSDSRNLNFVSESDVVSFVSGVDSCSKFGSVTGGGADNNEETEISKPSGFAELKPELVTVGRVSDLACTETFSGEEVSEYGEDESSEPRSETLSQYSSDFGFSDYTPSMFFDSGSEFSEKSNSDSPVSHTRSLYLQFMGQFCRSTVPNDLESSRHEEHRDIQSERLRFEDEEVEESYQRLRERERSHAYLRDCAKAYCSTMDHTDLISRLRLIMVQWIVQLISESYTVNGCISKLWYSFVADCLFADYTQQCSEMELQPETLFLGVSLLDRFLSKGSFNNERTLVLVGIASLTLATRIEENQPYNSIRKRNFYIQNLKYSRHEVVAMEWLVQEVLNFKCFSPTIFSFLWFYLKAARANPEVERKAKSLAVTSLSDHTQLCFWPSTVAAGLVVLACIEHNKISAYQRVIKVHVRTEDNELPECVKSLEWLLEQ >A09p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17029315:17031344:1 gene:A09p028300.1_BraROA transcript:A09p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPSPGKWIKSLLGKKSSKSTLDKGTDKLRSAKKEELVVKVKDNNNVSTLPTNPSPVVSSQEVAATQTVLVPDVVVLENQPKRDGTNANPESGNDTEELKPEEDATKDLEEFQTLKGVIKLQAVIRGHLVRRQAVATYSCIWGIVKFQALVRGKIARSSETVVQLQKSNPQTLQGSTYSWLEGSTKLSMIDKLLVSSPTVSPLKIHYGPEDSNSAKVWLERWTQLQVWSPGPLVIKSLVPKSQTKKRSFQAVEADKGKLKRGIKKPPGGLNTGSGSSSSRSTAEKGSVRKASTLGKEVSNDKPKQSSRKSTSAIKEGSSSLEVKDEKPRISLKKANGIEVKPTRKSAEKKKEIVASVQKEVPGDKVSSSVVDTPEEEEEVKDSPETVSKEVDLDKDDKSPVLGTPEQDELKTEEKNDKAEEEIQEPDVQISSENGNAASENTKQSDRRASLPAKIENHHQDDGVTHSGRKIPSYMAPTASAKARVRGGQGSPRFGGQEKPEKNGITRRHSLPPGANGKLSAMSPRAHRLLIASAKGSMNSNRSFSSSKDIGDKSTKAEWKR >A06p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27260891:27267472:-1 gene:A06p037590.1_BraROA transcript:A06p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEIRGKEEEEEEEEDFVLRPERETPPEIMCGIAVIVCGVRIELSTLSSPSEPPFERLQFSVEDVKCVLSQRGPDSVGEKKILLQPKLPTCAQESVSISVFEAGEGTCNLENTTSSGELHFIGSTLQLRGTTPILQPLVDSSGNILAYNGEVFGGIELSSYDNDTEVLLKSLEKANTLVPDVLSMIKGPWAIIYWQESSRTLWFGKDAFGRRSLLVHWPTVEDPRFLLSSASPASSVANGSGLDSENGDSIHRFWEELPCGVYSMSFGVSESGIRGEVTKHEWRNNMWKELIEWERSLVVPRPEDLSMSSIQEEKDNALSTFSGLDLGFAQTVLVVLKESVRRRTSLHSIFQGEKDVVPVAVLFSGGLDSMILAALLDQCLDPKYEVDLLNVSFDGPNAPDRISAKAGIKELKKIAPLRRWKLVEIDADLPKLTIETKRVMSLINPADTYMDLNIGTALWLAARGDGWIHEESDNEEDSQRIRYNSDARILLVGAGADEQCAGYGRHRTKYINGSWAALDQEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKTLLDIPLWEIADLEQPSGMGDKKILRQVAKMLGLHEVAKMPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVRFNAPVVISYACDIITTFQIFVEEHKRCAQEIGGNERALQALRELIIFPFRYPLEARTLGLKWSRGLLLYGPPGTGKTSLVRAVVQECNAHLISSFCASSSCWRKRESLNLRLLRDAFAEASSHAVSDNKPSVIFIDETDVLCPRRRGEQDARIASQLFTLMDSNKPSLSEPRILLKLARQMRRIVSKSSSVDLQAIATSCNGYVGADLEALCREATISASKRSVDSLILTLQDFKIAKSVVGPSITRGITVEIPKVTWDDVGGLKDLKLHLQKWEYRRCVEYSSTVLQVAQRQLLPLAKAAANAAQASFFSLSCAELFSMYVGEGEALLRNMFQRAILAAPSIIFFDEADVVACKRGDKGSSSNSSTVGERLISTLLTEMDGLEEAKGILVLAATNRPYAIDAALMRPEAGLEILQVHTRSMRLGDDVDLRKIAEETELFTGAELEGLCRESGTVSLREYIAATAVFNRHFLTAKKSLKPALTVEEVEAYSSFRKLKRSDSKQVPVEKKEVDYSSSVLGLGLSWKVGVLSLVLLATGGNYYFNQSKHDDELLLAPPAAAT >A04p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17428504:17432625:-1 gene:A04p029100.1_BraROA transcript:A04p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFRIVTSLLLLFFVFPGAKASTQPIEEEKDITTPLATNPTTSEPTVVPNSDSDASAVVTTPLTVPTPPRVAAHPGESWCVARENAPKIALQAALDYACGIGGADCSEIQEGGKCYNPNSLIAHASFAFNSYYQKNPIPSSCNFGGSAVTISGDPSVGSCHFPSTSTNESTLNVSEDGLGLFGRIPSHPTPKPEASSSSSRTFSHLYFHSHLLCFLFLYHVPVVEVSACHGAAARCSIRSISNSSNVLGRNFSPLLFKNMKQRFSSFYTS >A03p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12632311:12633864:-1 gene:A03p030090.1_BraROA transcript:A03p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNSFSLLLSSLMVFALIVIPTISGQPSGTTWCVANPKAQDPVVQAALGWACQQSETYCSRIQRGQNCYSPNTLRDHASVVFNTYYQHNKNQAGSCDFNSAAVITHTDPSHDTCQFESVPRSK >A04p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:327044:329846:-1 gene:A04p000620.1_BraROA transcript:A04p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELINRRHEADQAGADAYYPRPPKRWLSASRPMRYMLREQRLIFVLVGIAIATLAFTIFPRSTRSISYSDPFAGYGIKPEESHVPAQRRPSVEYVSRIGSTGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMERGDTVIVVDNFFTGSKENVMHHFGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNANIEFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQRIFGDQKEGSSATATTTKTTSA >A07p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13768366:13770677:-1 gene:A07p023810.1_BraROA transcript:A07p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGECREGFAARWTTAGRSRPSLKGFSSSALKQEPGTNLGIIIIIIIISRSFIMARILIQRGSSSNPSHRSSSASSSASATEPPPVTVYEETTDEVTVLEEQGECSDAKDVSPLPSDEPLDREEEEDDEGLIVSENAVVVVEGEGVDCDSPVSGGDDPDSPPLPVPPPPKPCSNRRSVLGSFGDLQIGAARRGAGSHPSSPRSQSENEGYNSSDEHAPSFTPSHAGSGSEREHQFETEIRQSKGFEIRRMLEDGNCLFRAVADQVYGDSESYDLTRQMCMDYMEHERDHFSQFITEGFTSYLKRKRRDKVYGNNVEIQALAEMYNRPIHIYSYSTEPINIFQGSYNTDTPPIRLSYHHGNHYNSLVDPHRLTVGAGLGFSSLTGALIAEGRYYSDLELTEKEIERSVMEASRAEYLMERSKPRIGPKESSTSNAGTSSSSGARPSGSDRKPIEKTVLSSSIEMVLSMGFSYTQAIEAYSIFGDDVDSMVCYVLETSCGSKNRRKGKATE >A09p033690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20342637:20344180:-1 gene:A09p033690.1_BraROA transcript:A09p033690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQLTIRKIVGIIGNAIALCLFLSPTPTFRRIVKKKSVEEYSPIPYLATLINCLVWVLYGLPMVHPDSTLVVTINGAGILIEVVFITIFFVFSGRPKQRLVIAAVLAGETLFVAILAVLVFTLQHTTRERTMSVGIVCCVFNVMMYASPLSVMKMVIRTKSVEFMPFWLSLAAFLNAGVWTVYALMPLDPFIAIPNGIGCIFGLAQLILYATYYKSTKKMMAERQPMIGLSSVVVRIGSEKVAQPSA >A06p057410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29856946:29865837:1 gene:A06p057410.1_BraROA transcript:A06p057410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSITLFEDSFPGLVSHGPTRSASKTPFTILCFLILYYLLFKKRYVRFLRNLPVLRMLPGLLMTLHRIHDFTVKILEFSGMTFLFKGPPFAGMDMLLTADPDNIHHIMSSNFSNYIKGPGLQEIFDVFGDGIFTTDSEMWKNLRKSIQSMLHHQEFQRFSMSTMTSKLKSGLVPLLNHFAEEGTAVDLQDVFGRLTFDTTLILITGSDPRSLSIEMHEDELAKALDDTGKGILSRHVKPRFLWKMQNWMGLGQEKKMSQASATFDRVCSKYISAKREEILRSQGVLNGEGEDLLTSFMKLDTTKYKFLNPSDDKLLRDTILAFIIAGRDTVAFTLSWFFWLLSVNPHVVANIRQEIIDTTGNGQENLDKLVYLQGALFEAMRLYPPVSFGRKSPVKSDVLPSGHKVDANSKIIICLYALGRMRAVWGKDAFKFKPERWITENGGLKHEPSSKFLAFNTGPRTCLGKHLAITQMKMVVVEILQKYDVEVIKGQKIEPVLGFMLSMKHGLRVKLYSNMASISLLEASMAILCFLILFYLLFKKPHDRFPRNWPVLGMLPGLLVSLHRLYDYSVEILEISDLTFLLKGPRFFGMDMLVTVDPANIHHIMSSNFSNYIKGPEFHDVFDVFGDGILTADSELWRNLRRSYQAMVHHQGFQKFSVSTMTSKLKDGLVPLLNHFAEEGTTVDLQDLFGRFTFDTILILITGSDPKSLSIEMHEDELAKALEDVGEGVFYRHIKPRFMWKLQNWMGLGQEKKMSQANATFDRVCSRYISAKREEIIRSQGVLNGEGEDLLTSFMKLDTAKYKLLKPSDDKFLRDTILAFILAGRDTTASALSWFFWLLSENPIVVAKIRQEIIDNDLPRTGNGQENLDKLVYLQAALFEAMRLYPPVSFERKSSVESDVLPSGHKVDANSKIIICLYALGRMKAVWGEDALQFKPERWITENGGPKHEPSSKFLAFNSGPRTCLGKHLAITQMKTVVVEILQKYDIKVIQGQKIEPGLGFILSMKHGLRKPFSYLPFQKTLKSYPWNWPVLGMLPGVLVRLHRIYDCSVEVLENSNLTFQFKGPWFAGMDILVTVYPANIHYILSSNFSNYIKGPEFQEIFEAFGDGIINSDLELWRNIRKASQVIFSHQKYQNFSKSTTRSKLKDGLLPILSHFAFEEMVVDLQDVFQRFMFDTTFIFITGSDPRSLSVEMPEVEFAKALDDVGEAIVYRHITPRFLWKLQKWFGIGTEKKMVKANAVLDRVCAKYISAKREDIRSQEIADEESEDLLTSHIKLDASKYELLNPEDDKFLRDFTVGFMAAGRDSTACTLTWFFWILSENSNVLSKILQEINENVPITRSDQDKSSYLNKLVYLHAALSESMRLYPPIPFERKSPIKPDVLPSGHKVKSNINIMIFIYAMGRMKDMWGEDAAEFKPERWISETGGLRHEPSYKFLSFNAGPRTCLGKNLAMDLMKTVIVEILQAYEINVVSGQKIEAKPGLILHMKHGLKVTIAKKCSSLE >A08p006560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3768990:3769313:1 gene:A08p006560.1_BraROA transcript:A08p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLSLTQTLCQSPWEISKDDLAEEYSALSYLTTEGFQLDWSGKKLDEVKEKKKKEKACLAQLQEMEKELQPLKRKYSEMEAQMDKVKAELSAAKSPVSLYDEDVV >A04p027680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16661649:16664956:-1 gene:A04p027680.1_BraROA transcript:A04p027680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIFSKLGRSSVSRSRCFLYGGGVRSARLLAPPAIEANEVEGGLGFLRRHFNSLSARKGLVNNSDLIGAFANPILRRFFSDEAPKKKNYENYFPKATKEKPKSDHKSDSKEGSSDKNEQESVGDMFMNRFQNLLIPLLALAISFQEFKNKLLEPGLVDHIDVSNKSVAKVYVRSTPRNQGATTQDVVSNRTGGQYKYYFNIGSVDSFEEKLEEAQEALGVDPHEFVPVTYVTEMAWFQELLRFAPTLLLLGTLVYGARRMQGGLGGLGGTGGKNGRGIFNIGKATITRADKNSKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARAAAPSIVFIDEIDAIGRARGRGGLGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKIKLDHEPSYFSQRLAALTPGFAGADIANVCNEAALIAARRGGAMVTMAHFDSAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPRDDGYDFNKPYSNKTGAIIDEEVREWVAKAYVKTVELIEEHKEQVAEIAELLLEKEVLHQEDLLKVLGERPFKSAEVTNYDRFKSGFEDSEKEAATSVTPVVDEGGPPPLEPQVVPT >A06p001480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:642593:644201:1 gene:A06p001480.1_BraROA transcript:A06p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHFSRFQHLLLQPRFLSSHQSRALQHPLITTRTVIRSVMGSSSSSSSSKLLFRQLFEKESSTFTYLLADVSHPDKPALLIDPVDKTVDRDLKLINELGLKLVYAMNTHVHADHVTGTGLLKTKVPGVKSVISKASGSKADMFLEPGDKVSIGDIYLEVRATPGHTAGCVTYVTGEDANQPQPRMAFTGDAVLIRGCGRTDFQIFTLPKDTLIYPAHDYKGYEVSTVGEEMEHNPRLTKDKETFKSIMSNLNLAYPKMIDVAVPANMVCGLQDLPPQANL >A05p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25739523:25746928:-1 gene:A05p042570.1_BraROA transcript:A05p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase [Source:Projected from Arabidopsis thaliana (AT3G16785) UniProtKB/TrEMBL;Acc:A0A1I9LQ40] MASEQLMSPAGGGGGGGGGGGGRYFQMQPEQIPSMVSSLFSFAPAPTQEANRIFEELPKAVIVSVSRPDASDISPFKWQLVKKASQVFYLHFALKKRAFIEEIHEKQEQVKEWLQNLGIGDHAPIVQDEDVDEVQLHQEESAKNRDVPSSAALPVIRPLGRQQSISVRGKHAMQEYLNHFLGNLDIVNSREVCRFLEVSMLSFSPEYGPKLKEDYIMVKHLPKISKSDDSDRCCGCCWFCCCNDNWQKVWGVLKPGFLALLEDPFDPKLLDIIVFDVLPVSNGNDGADVSLAVELKDHNPLRHAFKVTSGNRSIRIRAKSSAKVKDWVASINDAALRPPEGWCHPHRFGSFAPPRGLTDDGSQAQWFVDGGAAFAAMAAAIENAKSEIFICGWWVCPELYLRRPYDSHTSSRLDNLLENKAKQGVQIYILIYKEVALALKINSVYSKRRLLSIHENVRVLRYPDHFSSGVYLWSHHEKLVIVDHQVCFIGGLDLCFGRYDTFEHKVGDNPSVTWPGKDYYNPRESEPNTWEDALKDELDRRKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEDSIPLLMPQHHMVIPHYMGRQEESDIECKNDEDSIKGIRREDSFSSGSSLQDIPLLLPQEPVDEDGSSRGHKANGTNSRNGPFSFRKSKIEPVVGDTPMRGFVDDRNGLHLPVAKRGSNSIDSEWWETQERGYQVGSPDESGQVGPRTSCRCQIIRSVSQWSAGTSQVEESIHSAYRSLIDKAEHFIYIENQFFISGLSGDDTIKNRVLEALYKRILRAHNEKKSFRVVVVIPLLPGFQGGIDDSGAASVRAIMHWQYRTMYRGHNSILNNLYKTVGPKANDYISFYGLRAYGKLSEDGPVATSQVYVHSKIMIIDDRAALIGSANINDRSLLGSRDSEIGVLIEDKELVDSRMAGKPWKAGKFSLSLRLSLWSEHLGLRSGEIDQIIDPVSDSTYKEIWMATAKTNTMIYQDVFSCVPNDLIHSRMAFRQSISYWKEKLGHTTIDLGIAPEKLESYHNGDIKRSDPMDRLKSIKGHLVSFPLDFMCKEDLRPFFNESEYYASAQVFH >SC194g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000058.1:16690:17778:-1 gene:SC194g500020.1_BraROA transcript:SC194g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMEITHNGRTRPNGALGGQVEKGSTNRRPASNVQDSRNIPTEEECNVCGADDHHTRACTRIRSQPDLSAYLICSSCDTRGHFIADCPMTNVTRAVPISVVPPTSPAQSASSTTGGSNLNDLHLTRSPDWALRVQPCCVVDGIRACVGKIWNQRQPWYRSATSVQRFRVVNVKVQSRQRMFKSRRAVWGFKRSDGVIFLPRRIFVQSVHVHVCKTRAISDRASE >A10p000420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:218480:220495:-1 gene:A10p000420.1_BraROA transcript:A10p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSNVMLLVALVVAYWLWFKRISRWLKGPRVWPVLGSLPGLIEQRDRMHEWITENLRACGGTYQTCICAVPFLARKQGLVTVTCDPRNLEHMLKTRFDNYPKGPTWQSVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNQGIKLRFCPILESAQAKSEPIDLQDLVLRLTFDNICGLAFGKDTRTCAPGLPENGFATAFDRATEASLQRFIMPEFLWKLKKWLRLGLEVSLSRSLGEIDGYLAKVISTRKQELMSQQESGAQGHDDLLSRFMMKKKEAYSDTFLQHVALNFILAGRDTSSVALSWFFWLITMHPAVEDKIVREICSVLIETRGTENNAASWTEEPLNFDEIDRLVYLKAALSETLRLYPSVPEDSKHVENDDVLPDGTFVPAGSSVSYSIYAAGRMKSTWGEDCLEFIPERWISPTDGKFINHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVLLRHRLTVVPGHKVEQKMSLTLFMKNGLLVNLHKRDLQGIIKSLVVAKSDGVVKGSCTGVTGGGAAVYVNTEVPVVA >A08g510700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24940902:24941274:1 gene:A08g510700.1_BraROA transcript:A08g510700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYSLSSYEGFETEGNISRHKEDLSNCRIIRCVLWMTGGYRSEASPYMLSLRLNLRNSRFWNHNRTSR >A05g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12384859:12385854:-1 gene:A05g504500.1_BraROA transcript:A05g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPQEPHFFQPLLPGFQTYLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGSMVFHVTPFGPSCCEIQYTYPNSMEEAHDHQNNTGTGTRFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECNKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTWPLMCVCAPSKECLKSAGDIASSSRVN >A06p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12380723:12383265:1 gene:A06p022210.1_BraROA transcript:A06p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKLEKCTMFSKKRSIQILMAVGVLYMLLVTLEIPLVCRSGFNTLSQYPLTRPDHLGSELDLQERRRAPGRPFRSLIYQETESVAPARSLGAERRRLSQEIVISGLKFDPDPSSRDESLELHESAKEAWEVGRKLWEELESGKALKALKEETKTVKLGSSSCPVSVSLSGSDLLTRGKVIELPCGLTLGSHITVVGKPRAAHSEMDPKISMGNEEDEAVKVSQFIMELQGLKAVEGEDPPKILHFNPRLKGYWSGKPVIEQNTCYRMQWSWAQRCEGWRSSDDEETVDGQVKCEKWVQENATSYSKEETNKATWWLSRFIRRSKKVAVEWPFPFTEEKLFVLTLTAGLEGYHVSVDGKHVTSFSFRTGFTLEDATGLTVTGDIDVQAVFAGSLPTSHPSFAPQRHLDLSRKWQAPSVTGEEVELFIGILSAGNHFAERMAVRKSWMQHKLIRSSKVVARFFVALHSRKSINVELKKEAEFFGDIIVVPYLDSYDLVVLKTVAICEYGAHQLAAKYIMKCDDDTFVRVDAVLREAKKTPTDRSLYIGNINYYHKPLRQGKWAATYEEWPEEDYPPYANGPGYILSTDIARFIVKEFEQHKLRLFKMEDVSVGMWVEQFNNSVKPVDYEHSINFCQFGCIENYLTAHYQSPRQMICLWDKLVLTGKPHCCNMR >A03p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11092903:11093217:-1 gene:A03p026530.1_BraROA transcript:A03p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSYVAGFALFTFVFAVVSSLAGAQSLAPAPAPTSDGTSIDQGIAYLLMVVALVLTYLIHPLDASSSYSFF >SC163g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:133813:134284:-1 gene:SC163g500100.1_BraROA transcript:SC163g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEMAWELYVCMKPKWVKRESLEAI >A07p012350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8173771:8173995:1 gene:A07p012350.1_BraROA transcript:A07p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLIRNLLVMFNEHADVNYIGGQGNYHNMGFNQKFENHQNLLCYRSNNMKNPKDQIYPPMGKSDYNQFQSKRI >A05p007480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3012147:3013442:1 gene:A05p007480.1_BraROA transcript:A05p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVHVKEATVITPSDQTPSTVLSLSALDSQLFLRFTIEYLLVYSPVSDPVSLSGRLKSALSRALVPYFPFSGRVRDGGGGSLEVNCRGQGALFLEAVTDNLTCLDFQKPPRHVTSWRKLLSLNVIDVLAGAPPLVVQLTWLRDGAAALAVGVNHCVSDGIGSAEFLTLFAELSRDSLSQTELKRKHLWDRHLLNPSPVRDSLNHPEFNRVPDLCGFVNRFNAERLVPTSVVFERQRLTELKKLASRLSESNSNKHTSFEVLSAHVWRSWARSLNLPSSQTLKLLFSINVRDRVKPSLPSGFYGNAFVVGCAQTTVKDLTEKGLSHAAMLVKQAKERVGDDHVRSVVEAVSKGRACPDSVGVLILSQWSRLGLERLDFGFGKPVHVGSVCCDRYCLLLPVPEQSDAVKVMVAVPSSAVDSYENLLSCPNA >A03g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8904714:8906523:-1 gene:A03g502860.1_BraROA transcript:A03g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSISLGMSSSMNWPPTLVLPLASCAYTSMTALLILQAFFDLANTALPSPFFTLAELKASFVAVGLNLSSDLVALSGGHTFGRAQCQFVTPRLYNFNGTNSPDPSINPTYLAQLRGLCPQNGNGTVLVNFDPVTPDGFDNKYYTNLRNGRGLIQSDQELFSTPQADTIPLVEQYSSNQTAFFDAFAKAMIRMGDLKPLTGNQGEIRLNCSVVNSRIMSVENEDDGVVSSI >A09p053510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46432332:46435851:-1 gene:A09p053510.1_BraROA transcript:A09p053510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 4 [Source:Projected from Arabidopsis thaliana (AT1G23210) UniProtKB/Swiss-Prot;Acc:O49296] MAGKSLTLSGLAPAAAIILALLLLSPEICTGHDYRDALKKSILFFEGQRSGKLPPDQRLKWRRDSGLRDGSSAGVDLTGGYYDAGDNVKFGFPMAFTTTMMSWSVIDFGKTMGPELENAVKAIKWGTDYLLKATAIPSVLFVQVGDAYSDHNCWERPEDMDTLRTVYKVDKDHPGSEVAGETAAALAAASIVFAKRDSAYSKRLLDRAARVFAFANKYRGAYSDSLRQAVCPFYCNFNGYEDELLWGAAWLHKASKKRVYRLYIVKNKEILRAGDTIHEFGWDNKHAGINVLISKMVLMGKADYFQSFKQNADEFICSLLPGISHPQVEYSLGGLLVKSGGSNMQHVTSLSFLLLTYSNYLSHAKKVVPCGQFTASPALLRQVAKRQVDYILGDNPMKMSYMVGYGSRFPQRIHHRGSSVPSVVDHPARIGCKEGSRYFLSPNPNPNLLIGAVVGGPNVTDDFPDSRPYFQLTEPTTYINAPLLGLLSYFSAHA >A06p017870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8056702:8057344:1 gene:A06p017870.1_BraROA transcript:A06p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIILKSSDGESFEVDQAVALESQTIKHMVEDDCVADGIPLPNVTSAILAKVIEYCKKHVDAAAEANAGDKDIYGNTEDTELKNWDTEFAKVDQPTLFDLILAANYLNITGLLDLTCKTVADMMVGKTPEQMREHFNIKNDYTAEEEAEVRKENAWAFE >A08p024800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16082402:16084312:1 gene:A08p024800.1_BraROA transcript:A08p024800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCFCLSSGGSTDRNQIYEIKDYGQENAVLHSEQHDVHQGFGSVSSLAGGKGYNQDAAVLHLGYGTEEGALCGVFDGHGEKGELVSKIVRNQLPSLLLGHMNNHSGTRDWKLICETTFLLMDKRILKLKNTLDCSSSGTTAVLAVTHGNQVMVANLGDSRAVMIGASENGEIKVVQLTTDLKPSVPSEAERIKKRNGRVLALESEPHIQRVWLPHENRPGLAMSRALGDFALKSYGVIATPQVSTHQITSRDQFLLLASDGVWDVLSNEEVAVVVMKSENEAGAANAVTEAAANAWREKYPTVKVDDISVVCLFLNKRLHPQPRM >A01p035400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17064690:17069443:1 gene:A01p035400.1_BraROA transcript:A01p035400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNTPNYGEGATDPGPAAGVAGATREGAENPRVHNLEESDSEPELLMDFSVGSLFSLSEVFHFLEGSSVPGTELRVPSSGDLERSLIGDPRASLRQDPVPLILLSWVPLKAELILNPGPASSVSEDDLVELRRRYSLSSSTILRILAPEERASSFIPGHIAVYEAFFDTGFRGVIPALVASLCDFFRISPSKLNPPSWRLLVAIQNLGDLENLSFGINEVLFSYHLAPLNGNEGRLHLRPRSGFPIVEELQKGDRKGSAFSKKWHERYVFVMLPGHSYQWTFIAGTHPVLPEGEDTILRARQFPLDRCEVPFLFSEIALHHSSLWEAAKVMSAKKGSASRTVSRDDLMITSSRRVATVKIKRSALVQTKKSRDGGMATRSSRQSVEDGTILPSGEPSEVVKVLQGGLLRVRCSASGLFLFRLLMGDCCWGKISRYYFLQPPGRTWIPGSLLELSAEKLNQLQTENAALRDQNKAPNTASNKKRRFNTRVRPMGSLSTPNTGEGTTNATPASLAADGILNQRHHKLEAGQELSRTGSKHDGIEARRENPKSDKNPNFGAMKCFKEAGGSENILSRLEIFWSLLKIFRASEREQKKYSGLIADQKFTGRIEIAQMNREARGVSMHESRTWCQVSIKLSVNQSTTCRRA >A05p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23613322:23619975:-1 gene:A05p038390.1_BraROA transcript:A05p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQKVKVKKKKKNVDSGLVAVAVDKDKGSQHALKWAADRLVSKGDTIILLHVIHRSSSDSVEVTAEKHKQAENLFVTFHCYCSRKEIQCLDVTLEDDSIVKSLAEYVSSGVIENLVLGAPSRHGFMRKFKMSDTPSNVAKAAPDFCTVYVISKGKISSVRHARRDAPYQSPLIAQIENHSALTNYEKFRNTMSFRDRTPARSSVSSSIEDYGKSPLARTSNNANSFYDLTDSDNDISFVSSGRPSITSSGRRSITSSERPSTSTNGRSDISFVSSGRPSTSTTGSPSFIYEFPDSGLTPRMSTGSGQSVGSMRLGINIQHDFSFVSQDSGRSSCSCSPQNLEDVEAEMRRLKLELKHTIDLYGSACREALAAKQEAKELQRQKMEEEGWGQEGQLSEKSTKLIAEKERANKAAMDASETANKIADLETQRRAIESGDAFSDSNLRYRRYVIGEIEEATNSFDKANKIGEGGYGPVFKGHLDHTPVAIKVLRPDAAQGRSQFQREVEVLSCIRHPHMVLLIGACPEYGVLVYEYMGKGSLADRLYRNGNTPPLSWELRFRIAAEVATGLLFLHQTKPEPIVHRDLKPGNILIDQNYVSKIGDVGLARLVPAVAENVTQCHVTSTAGTFCYIDPEYQQTGMLGVKSDVYSFGILLLELLTAKKPTGLSYTVEQAIEKGTFKDMLDPAVLNWPVEEALSLAKVALKCAQLRRKDRPDLGKEVLPELNRLRALADANMEWIMFNYSRGPSPRHSLISLPTVDEMSVTSDGSNTHSSTLSDMEKNLGLAFLSVRKDGVKPFLDEMSVTSDGSNTHSSTLSDIEKNLDETEEDWYSCLVKERWSEAISADSRKPQVLHDTGSSEDGAKGTRWAVLIAGSSYYYNYRHQADICHAYQVLRKGGLKDENIIVFMYDDIAFNPENPRPGVIINRPDGGDVYEGVPKDYTKEAVNVKNFYNVILGNESGITGGSGKVVKSGPNDSIFIYYADHGAPGLLSMPDGEDIHAKDFIKVLEKMHKLKRYKKMVIYVEACESGSMFEGILKTNLNILAVTASNATESSFGIYCGGENPPPPPEYDGVCLGDTFSVSWLEDSFSVLCYLGDSDLHDMSKETLKKQYQIVKRRTGPDAEPGTSSHVSRFGSKALLKDYLVSYIGTNPENENFTSAGFTASPISTSSSVNTRDIPLLYLKSKIQRSPMESPERQELQKKLFEEMNHRRQIDQNIVDILKLSLKQTNVLDLLISTRTKGQPLVDDWDCFKTLVNSFKNHCGATMDYGLKYTGALANICNMGVDVKQTVSAIEHACAH >A02p010590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4586475:4587967:-1 gene:A02p010590.1_BraROA transcript:A02p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSCKYHSLIQFLNSRGFLKEKQFNSIFTSVTGRNPGAAEKIFDKYLTHVRFELMDCGDQQDGHVCNGVDRVVNKVSNDQPKVRTKFSVPQTAFFKGIIEAMVQDEAARGWLSGSEALNIQLENQVPPSEASSSQQQVPPAFKNFSMSEKEETLDELVKNAWLCRTKGGYIGLGMKSLLDLRSCFRDYEVPSCEVCDEADGVKAGLCPNEYCFRNLLSQRDDKAAVNDDDEDTATQATAFSTNKRKHRS >A09g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18544068:18545408:-1 gene:A09g506190.1_BraROA transcript:A09g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRLIIHSEMPKEVPKDRARLPRTVEFKHTKSRRKQCRSPSKKKVIAGESMGSQSPVYTIPPTTFLGFAIDPGAQFYPDQELQKSRISFAHVLESGKGGTVFGSSESSSCFSTHCCVWTREYGGVSMSMEQSPFLMNTQAEMDMTIEDYHYGNNGFEWRSIRGHI >A07p046520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25091963:25092735:-1 gene:A07p046520.1_BraROA transcript:A07p046520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKFLTVIFVAVVVLYSVQAAEQGGDHHSMACMQKLMPCQNYIHAVNPAPPASCCGPMKEIVEKDSKCLCTVFNNPELLKSLNLTKENALDLPKACGVNPDVSICTKTASSPPIALPTSGGSSVQAVSIIGLAFAFAFVARILY >A03p047710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22250992:22251477:1 gene:A03p047710.1_BraROA transcript:A03p047710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PROTON GRADIENT REGULATION 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G05620) UniProtKB/Swiss-Prot;Acc:Q9SL05] MAAAAASLSASQGLLGTSFYGGWGSSISGEDYHTMLAKTTAPRQNFAKLSRKPIRVQPMMKNVNEGKGLFAPLVVVTRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA >A08p033020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19918423:19922612:-1 gene:A08p033020.1_BraROA transcript:A08p033020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGFLGTPTLRSSHGRHNHGRRNRHQHHQSTCSAAAVAAAGSIFTSLNKSIFTFHSRLLRCVSRLFRLTSATPSRKQGYKRLQKHKHHHHQQPSLRKHNDKKRTIVLDLDETLVHSSMEPPVRANVDFMVRLKIQGMVIPMFVVKRPGVTEFLDRISKNYRVAVFTAGLPEYASQVLDKLDTNRVITQRLYRDSCTDMNGRYAKDLSLVAKTDLGSVLIVDDNPFSYSLQPDNGVHIKPFVDDMEDQELMKLAEFFDGCCQCFTATTIIYPTTTRHDDYTKLENQPQTEHTNTKRTIILDLDETLVHSTTQMPGVRYDFMVLVKMESEIMPIFVVKRPGLTEFLERLGESFEVVVFTAGLEDYASQVLDKIDRKGVITQRLYRDSCREVNGRYVKDLSMVVGEDLGSVLIVDDNPSSYALQPENGVPIKAFVDDLRDQELMKLVDFLEICYAYEDMRDAVQDFLAN >A02p019630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9076432:9078920:1 gene:A02p019630.1_BraROA transcript:A02p019630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNRDPLVVGRVVGDVLECFTRSIDLRVTYGQREVTNGLDLRPSQVLNKPRVEIGGEDLRNFYTLVMVDPDVPSPSNPHLREYLHWLVTDIPATTGTNFGNEIVSYESPRPNSGIHRIVLVLFRQLGRQTVYEPGWRQQFNTREFASLYNLGLPVAAVFYNCQRESGCGGRRS >A10g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12411933:12412741:-1 gene:A10g505040.1_BraROA transcript:A10g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTSGTFSATTVEIPKRASVYVKEVPTKSPTKSASVSDNGGDETDFPITMTEIIVSRCSMQREESGFKKRKGSIEQLASSQRKRVGEGSMCRDRSAPAPVSLIFLTKSYLTGQLDL >A05p027500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000061.1:11012:20499:1 gene:A05p027500.1_BraROA transcript:A05p027500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKPRSREGSVSERLCNVWIDDARDELVIVYETVKKLCMESHLGRETMNGSYTQDVRVWLSVCVRVYPCVSSSTHRTSVAVHQYTYQHVGPWTQHADPSLHTIRPWVSASTHRTSVAVSGCPSAHTGSPWLSVCVRQHTQDVSGCPSVHISALWTFVGVRQHTQDIRSCPTAHTGRPWQSISTHISTLVLGLSTLTLPVDCLGDFGPSGLSVQYTQDVRGRLPAHTGRLWLSISTHRTSVAVRVCPCVAISIHRTSVAVHQYTYQHAGPSCGLFGPSVGVRQHTHDVCGCPSAHTGRLWLSVCVRVCPSAHTGRLWLSISTHISTLVLGLSTLTLLVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRLWLSVSTHSTSVAVRVCLCVSVNTHRTPVAVHQYTYQHAGPWTQHAGPSRGLFGMFVGVAASNSAAEPEVDPTPYSTSQGANQDIRALKKPYLTNQEGLNHEDNFYGFYTQEGVQAIWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSCPKKRRPEPKPIIGEGLNHEDNFYGFYTQEGVQAIWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKRRPEPKPIIGVKRTSIIHLAHPESQPATVGVRQHTQDVRGCLSAHTGRPWLSVCVRQHTQDVHGCPLVHISARWPFPWTVRVNFAHVGCLFSTHRTSVGIRHHTQDVHVCPSAHIGCPWLSVCVRVCPSVHTGRPWLSISTHISTLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPWVSVSTHRTTWLSMCVRVCPSAHTGRPWMSNSTHISTLVLGLSTLTLPVDCSSDFGHVVCLFSTHNTSVGVRQHTHDVRGCPWLSVSTHRKSGAVCVCPSAHTGRPWLSISTHISTFVLGLSTLTLPVDCLGRSWVSASTHMTSVAVHLHTQDFRGCPCVSDSTHRTFVAAISTHISTLALPVDCSGDFGPRALSIQYTQDVRGYPSAQTGRPCVSVSTHRTSVAVLVCPSAHTGHLWLSISTHINTLVLGLSTLALPMECSGDFGPRGLSVQCTQDVCGRPPAHTGRPWLSVSTHRTYVAVRVCLCVSISIHRTSVAVHQYTYQHVGPWTQHAGPSCGLFGTSVGVRQHTQDICGCPCVSVCVRLCLSAHTGRPCLSISTHISTLVLGLSTLTLPVGCSTDFGPRGLSVQYTQDVCGCPPAHTGRLWLSVSTHRTSVAIHQYTYQHAGPWTQHAGPSRGLFG >A07p049950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26646549:26648224:1 gene:A07p049950.1_BraROA transcript:A07p049950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVALTELYGLKPRGKSAPMAALKRSAFDRNSVKTSPFDSGDDDANAELTLKDSKFDSLNPGGFDDFSVFDELNKLSSTNDSGKTKSCLSCVCYLFLKSISLPVNAGDDDLIGFYELIPGFGGSGQPSNKFVNREFQETEAVQVNKQQFGLDDDLEALFISHVASAQFLDDLSPLFGGKSDRRRLARWEHEQIIKDRKEKAIADMNNRDHQIQIEQEERSRISETLDAEIKLWATGKEGNLRALISSLHLVSSPLLNKTVLWPGCGWEAVSLTDLITSAAVKKVYKKANLYVHPDKVHQKGTQQKYIAEKVFNILQEAWNKFNKEELS >A01g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11057005:11060887:1 gene:A01g503530.1_BraROA transcript:A01g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVFFYDADSDDELEFLNHEENSSGEDKAEERKGQAVAEEDEDEKPRGGKRSTDAQSPWDFTSYSSSVGEEHARRHTTSIDEKITKAIKHRPLPISTEEEDEEEVSDARHDKQEEEEEYLSEDEDTAELKAEAKPFFSTVDGVSFQADSFIKLNLPRPLIQACKTLGYEKPTPIQAACIPLAMLGRDLCASAITGSGKTAAFALPTLERLMYRPKRVSATRALILTPTRELAVQIHSMIQKLAQYTDIKCGLIVGGLSVREQEVVLRSMPDIVVATPGRMIDHLRNSMSVDLDDLSVLILDEADRLLQTGFATEIQELEKFMLGFSLQVRLCPKRRQTMLFSATMTEEVKELAKLSLNKPLRLSADPSARRPPGLTEEVVRIRRTREANQEAVPLSLCTRTFKSKVIIFSGTKQAAHRLKILFGLAGLKAAELHGNLTQAQRLDSLELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPREIDSYVHRVGRTARAGRKGYAVTFVTDNDRSLLKVIAKKVGSKLKSRIIPEQSIVKWSQIIDEMEDQYSTVIREEREERALRKAEMEFAKAENMIEHRDEIYARPKRTWFMTEKEKKLVAKAEKDSAGNPSGNELISADIAEDLKMKGKRKLERERTYKSLDHIMQVQKNLPRKKRRKLEAAREMLEDNEGDEDEEEEEDGEDQKRGRSRGKDKKKKQEPDKKGLTLVDLGYRRAKAVKAKQRAIDSGKMDRPTPNKKQNLNRSEPKTQPRNEEMKDLFKSDMSDKKQGTSKPKGKSKNSFKSKGRLVNLTFNSQILDS >A01p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10521067:10525649:-1 gene:A01p021580.1_BraROA transcript:A01p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYKFKSAKAYDTISMDGPFISVGFLKEKIYETKHLGSGKDLDIVISNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRIRVINQQEPRVEEKVENVQTEVNNHIATVASAVEDEFDEFGNDFYSVPAATAVNYSNPCPDPPPADEKVDEETKLKALIDTPALDWQQQGADGYGPGRGYGRGMSGRTGGRGFGNGMERKTPPPGYICHRCNVPGHFIQHCPTNGDSTYDVKRVKPPTGIPKSMLVATPDGSYSLPSGAVAVLKPNEDAFEKEMEGLRSVTRSVGELPPELKCPLCKEVMRDAALTSKCCYQSFCDKCIRDHIIAKSMCVCGATNVLADDLLPNKTLRDAINRIMESGNSSAENAGSMCQVQDMESARCPPPKVLSPTTSAASKGEKRPAPSNNIETSTPKPPVEVAEITSAPKVSEEIVKVDKPVDASESLNGKEAVVSQVNTQAPKEETQQQAVPGDQGKKKKKKPRMPGPDMQWNPMQHQAGPDYMMPPPMGPGPSNHFFNGVQPGFNGFQPGFNGFHPGFNGFTGPYPGGMPPFVGYGLGPMDMYGGMLHQDPFAAAQGFGFPNIPPPHRDLAEMGNRMNVQRPMMPGREEFEARKAEMKRKRENERRSEGGNVLREGEKSRMMNNNSADSSSPMKPKSGHGPPPPTPDYDRRRRSERLSSERQSSRPRVNSPSRVSSKKSEHDHHRSRRDDDRSRERHRDDDQSRERHRDDDKSRERHRESDRKHRKRSDMPSSEPTSEFVDNHKSGVFSRISFPEGASSGKQRKTSKSSPAPPETSTAAAVSSTRRHSGRERESAEYESSDDEDRHFKRKPSRYYERSPSVADSDVGDEHFRRSKRSKGERWRA >A03p001090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:575495:577651:-1 gene:A03p001090.1_BraROA transcript:A03p001090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VIII.2 [Source:Projected from Arabidopsis thaliana (AT5G03140) UniProtKB/Swiss-Prot;Acc:Q9LYX1] MLKLPPRFLSVYSTLIHILASLLCSSSDVRGDFPATRFDLGTLSLSTLKLLGDAHLNNGTIKLTRELPVPTSTAGKALYGKPIRFRHPETQSPASFSTYFSFTVTNLNPSSIGGGLAFVISPDGESIGSAGGFLGLTGESGSGSGSGGFVAVEFDTLMDVEFKDVNGNHVGLDLNDVVSAAVADLGNVEIELKSGNSVNSWITYDGSGRVLTVYVSYSNVKPKSPVLSVPLNLDRYVSDSMFVGFSGSTQGSTEIHSIDWWSFASSFDSSESSPPPLSGEPPSSPPSSPSLSTPTSRIKPADPSSPCRNKLCKKSPAAVAGVVTAGAFFLALFAGAMIWLYSKKIKYARKSESFSSEIMKSPREFTYKELQLATDSFSTTRVIGNGAFGTVYKGILPGSGEIIAVKRCSHVSQGNTEFLSELSLIGTLRHRNLLRLQGYCREKGEILLIYDLMPNGSLDKALHESPTTLPWPHRRKILLGVASALAYLHQECENQIIHRDVKTSNVMLDASFNPKLGDFGLARQTEHDKSPDATAAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVTTGRRPITRAEANPGLRPGFKTGSSLVDWVWGLYREGRLLTAVDERLSDGELNPEEVNRVLMVGLACSQPDPVTRPSMRTVVQILVGEADVPEVPMARPSPSLSFSTSELLLTLQDSASDCNEALAPVSTTSCSSSEHDIFIVGKDRSV >A05p002990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1060377:1061744:-1 gene:A05p002990.1_BraROA transcript:A05p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNRDHQSKPKVDESSSKSNYVLGREEGFEEGSSSSSHSHVNNLQEQQRLMMLRSQGMPRPPALNQNVNHMYNLEEHQRRMLHYHRMPHTDHPSSSSINTVKARVYPPGSRFLPTNLGCLRVHLRNKVDKKKSGSITTLDLYEDDPWLLDHVQNDLFPRGEWYYFTPRNKRGATSCTRTVRGRGGGTWKTTSGKEPIKDKNNKVEGYVQSLVYNKTDVNGDTKPTGWNMKEYCLYKKNQDDLVLCHLKGNLNKIGFTEEVKKNNILSNQVQEEQGAGANRVDEMRTLEEDHGEATQQQQEQDAPRVLVPPLPPPHEATDHGEATRQPQQQEQDAQVVYIPPHHEGQGLGVEQEDHGDATQQQQQEQDAPPVLVPPPPPPHEGQDPVLEAMMNDENNFMTRGDEDQQNQWDDSDLYIDVDELFSEEEMMPMDDILQQPVSQARDVGENQVIKSD >A06p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6524240:6525950:1 gene:A06p014580.1_BraROA transcript:A06p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISYVFKNLCMFLLVVAVPSRSGTWKIFDVRKYGAKGDGKTDNVNAFIKAWEDACAHSGRNQIYIPRKKFYMSAVMFKGPCKGKTMFFNNGLLLAPLHPKHIKQDAWINFQYVDNLVVTGHGTINGQGSRSWSSTNFDMNRRLPANMAFDFVRKSRINGLISLNSKSEHLNFFCVDHFNISHVNLTAPGNSPNTDGIKIGMSSNVKIRDSHIGSGDDCIAILSGNTNFDIHNITCGPGHGISVGSLGKHKEEKNVEDITVRDTVFNGTSDGIRFKTWESSVAEMTVSGFLYENIKMVDVRHPINIDQKYCPYPPCPKLGDSHVQIRNVTFKNIWGTSRNKVAVNLQCSKSFPCKDIQLIDINLTHNGADGPATALCENVKGSARGKMVPPSCLN >A10g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9745874:9746589:1 gene:A10g503900.1_BraROA transcript:A10g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHKVQIQRSQPLEWIELLSSPDLELDDAGVHDDEAHDAAETRNGDATLYAARRQRCRLEAMEEEETWWIREHGLRASELTTARLGATSESFHGPLCNLGKTIEDFCVEVEPSPPKELAKPQRGPRPRILEARKCFLRKKKDRSFIFVCLDIALMI >A10p013500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4732211:4734043:-1 gene:A10p013500.1_BraROA transcript:A10p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPKTKSFREKIPTSPKSPLRRRRSSWTSSWLNNSTVSAVMQSQSPRSISKPQTPTDFDRTLSLPDSLLLKILHKLPESQNDEVSLVCKRWLNLQGRRIRTLRVLDLDFLLSGKLLSRFPKLSNVDLSNACIYPSRNSPISLSHKSISFRVSSSNWDDFVEENLLHSETVDRGLRVLGRGSCDLLRLVVINASEMGLLGLAEQCCDLQELELHKCNDNLLRGIAACENLRALRLVGSVDGLYTSSVSDIGLTILAQGCKRLAKLELSGCEGSFDGIRAIGQCCDLLEDFTVSHHRMDDGWIAALSCFESLKTLTISSCPKMDSCPGPEELMGSCPALESLQLKRCCLSDKHGVRALFKVCDGATTVHIQDCWGLDDDSFSLAKAFRRVRFLSLEGCSVLTTGGLESVVLRWEELESMRVMSCKNIKDSEISSALSSLFSLLKELTWRPDTRSHLSSRLQGTGIGKRGSKFFKKR >A02p008260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3451762:3453498:-1 gene:A02p008260.1_BraROA transcript:A02p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/calcium exchanger 1 [Source:Projected from Arabidopsis thaliana (AT5G17860) UniProtKB/Swiss-Prot;Acc:Q9FKP1] MASLFSSPLSSRSLSLLINLFFIFLIYLHFASPNPPPHSGSIKSLDSLAGGGSDSCSEGLAALDDHRSKCTYVISQSKCTPQGYIDYLKIFFCIFGQSPVLGHLLLSLWLFVLFYLLGDTASSYFCPSLDKLSKVLKLSPTMAGVTLLSLGNGAPDLFSSVVSFTKSNNGDFGLNSVLGGVFFVSSFVVGTICLLVGSRDVSIDKYSFIRDVAFLLVALCCLGLIVFIGRITIWVALCYLSIYIIYVGFLSVSHFLDRKKPLSDQVLRTREDLAEMGVPLLSYIGEEKPIPPQRVTQESRTQEQEYDILVLDSPKKQRSCFSVLVSIVGLPLYLPRRLTIPVVCEEKWSRPCAVVSTAIAPVLLTELYCSHYNGSKRNLIMYIISGLIGLFFGIVAFLTTESSRPPTKFSLVWLLGGFTMSVTWTYITAQELVSLLISLGNIFGISPSVLGLTVLAWGNSLGDLIANVTVAVHGGNDGAQIALSGCYAGPLFNTVIGLGVPLVISSLAEYPGVYIIPSDSMLLETLGFLMVGLLWALVIMPKKKMRLDRLVGGGLLAIYFCFLFLRMARVFGVLNIDR >A09p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20328102:20329921:1 gene:A09p033650.1_BraROA transcript:A09p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLLVLVFSALIISSRPKLVSDHHLSSRISPFPSQSHPFPPLKAQSPSIQSSFPRVPALFVFGDSSVDCGTNNFLGTLARADRLPYGRDFDTHQPTGRFSNGRIPVDFLANRLGLPFVPSYLGQSGTVKDMFQGVNYASAGAGIILSSGSELGQRVSFAMQVEQFVDTFQQMILSIGEEASDRLVSNSVFYISIGVNDYIHFYIRNISSVQSLYSPWLFNQFLASNMRQELKTLYNVKVRRMVVMGLPPIGCAPYYLWKYRSKNGECAEDVNSMIMESNFVMRYTVEQLNRELPGASVIYCDVFQSAMDILKNHQLYGFNETTEACCGLGRYKGWLPCIAPEMACSDAAGHLWWDQFHPTDAVNAILADNVWNGRHVDMCYPTNLEAMLHA >A03p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6718962:6729613:-1 gene:A03p016880.1_BraROA transcript:A03p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQTHMEYFREHMSDEEKIAAQESLKATYRPPIEFYLFFQRRAIKNVSAAATAAAAAASMRTVVVRFADADAAAYHIATAGFIGVSRRTQRQTQLPASTKRTRPLFLQRSLKYKTEAKRQRRIQMTLFLPGSKDAAGVETQELFPLFIMLARLVSSKTTAKSSSAIYKFSRACILTGDDGVSQPQANFLLPRMDRLALDAKSGSLVILFISFAGAQNSQSGIDSSKIHSGNIGGHCLWSKIPLESLYSSWKEYPNMDLGEKATSVSLVEMQPCFLQLMSMSEKFVEIQVPSNPLTSSSPQQVQVTISAQEVGATENPPYRSSFSFSDFPASSVVLPIHRLRKGQVAFNYSYYNNKLQKTEVTGDFTCPLCKVKCASFKGLECHIATHDFFNFEFWVNEKYPAVNVSLNEVTLTETSKCFKKEKKGDPNARLEPYPFSSMKSSRRKQKTPARNPWPRPIKTDDAADSVKSEKSQIPPGGAESSSQTVPPGMGPADLQMMNMWNSFMKKHRVITGGCIACGCEAFSKLHGPFLVRNLDLLWCWKKYIWTLKIYGRLDAQTLNNCMVLFEQLPVNEDYQIVNVSFKSETMISGTKKSRRKRQKRQVGNLMQGPHLGLGCEVIEQTDDQMHNEYFCAHMSEEEKIAAEESFKAYINPTELYMKLQDRAKKNPLFLQRSLSYKIEAKHQRRIQMTVSLSDTIETQKLFPLYVFLARLVSPEPTAEYSAVYKFSRTCSIPGGVDGKANFLLPEMDRLALKAGSVDLLFISFAGEQNFDSSKIRSGNIGGHCFLSKIPLETLYSSWKKYPNMGLGERATSVSLVEMQPYFLQLKCIMSEQCVEIQVPRNPLTSSSPQQVQVTISAQEVGATENPPYRSSFSFNDFPASSVVLPINRLRKGQVAFNYRYYNNKLQKTEVTGDFTCPICSGLECHMPSTHDLFNFEFWVNEKYPAVNVSLKSEVTFTETNKGDPNARLEPYPFSSMKSSRRKQKTPVRNPRPRPIKTDDAADSVKSEKSQTVPPGMGPADLQMMNMWNSFMKKHRVITGGCIACGCEAFSKLHGPFLVRNLDLLWCWKKYIWTLKICGRLDAQTLNNCMVLFEQLAN >A09g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18241431:18243275:1 gene:A09g505790.1_BraROA transcript:A09g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITVRHRGGGHKRLYRKIDFRRNTKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAISSSTFRKPYALEEACTVWEGVLIDQKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRTKHLLRKIEKLNTKAEKEIIITWSRASTIIPTMIGHTIAIHNGREHLPVYIIDLMVGHKLGEFSPTINFRGHAKNDNRSRR >A06p053480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28183350:28185214:1 gene:A06p053480.1_BraROA transcript:A06p053480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase D6PKL2 [Source:Projected from Arabidopsis thaliana (AT5G47750) UniProtKB/Swiss-Prot;Acc:Q39183] MSSTRKPSGKLEAQKHSSSNSNTKLAEPLQLPKPVTNPGVPESKRLPEPYKKRSTDPKPDFTSLSTVLEHVDSLTIDSNDQEQKTSGFGSAKVSDGTSSLAKTSGSRLDFMESGKSSIYRGSTSSDISDESSCSSLSSTVNKPHKANDLSWEAIQAVRARDGVLGLSHFRLLKRLGCGDIGSVYLSELKGTKCYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYIAESLLAIEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCLVSPTLVKSTAIESDPLRKNVYCVQMEPSCIQPSCTVPTTCFSPRLFSSKSKKERKSKNDTANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGRTPFKGSGNRQTLFNVVGQPLRFPESPVVSFAARDLIRGLLMKEPQQRLGFKRGATEVKQHPFFEGVNWALIRCATPPEIPKPVELERGPVSSVAESPTSQKTAAGLVLNAQKGSDNYLEFDFF >A08p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17690571:17701015:-1 gene:A08p028150.1_BraROA transcript:A08p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLQTKYTLSPITNNIPRSHRPSLLRARVTCSLTPAKKSHPNREKLVLEKRLVNPPPSNDPTLQSTLTHRLWVGAGCTTVFASFAKSIIGGFGSHILLEPALAGYAGYILADLGSGLYHWAIDNYGDESTPLVGTQIEAARGHHKWPWIITIRQFANNSHALARGITFTVLPLVLACNDPVVHGFVSMFAFFILFCQQCHAWAHERKSKLPPLVVAFQDMGLLLSRRQHVNHHRHHRTLYELLHSEWEKVLYVQFGYPLRPITNNIPSTHRYSLLHVRVTCSATTTTNKPQAKLVVENRFMSPPLSNDPSLQSTWTHRLWVAAGCTTLFASLSKSIIGGVGSHLWLEPALAGYAGYILADLGSGVYHWAIDNYGDESTPIVGTQIEAFQGHHKWPWTITRRQFANNLHALARVITFTVLPLDLAFNDPVVHGFVSTFAFCIMFSQQFHAWAHGTKSKLPPLVVALQDMGVLVSRREHAEHHRAPYNNNYCIVSGAWNKVLDESKVFEALEMVLYFKLEVRPRSWSEPNSEWTEEKDISNNHKV >A06g507990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:22227096:22227536:1 gene:A06g507990.1_BraROA transcript:A06g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKGIVPDVITYSCMIDGFCISGRWSDGERLLRKMVEIDINPNVVKHIDQCIGQRRQVHCADELYSEMLCMGVYPNTVTYNSMIDGLCRQNRLDEAKRTFDSMGSKGCSPKVVTFTTLINGYCKAGKVDDGMEAWSLPTRCTKEE >A07g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16517072:16517721:1 gene:A07g506650.1_BraROA transcript:A07g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRSKREAGDVKWKSEFGEFQLSRAERNHIGDGQAPSSTAGLNGREIDLRNSEPYIDGAGTEPDHVIRTTVRGWIIIENVCKKYGIPKKFTFMCSNLM >A06g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22231555:22232759:1 gene:A06g508000.1_BraROA transcript:A06g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEIRESLEEEVSELNFPRSPRDSRPRAAAVAGIRFTSFYNLNSKSLYENDKRERHPHSLGHLGCHSDSTQRCSNWRDNRWKHHSVNHCSNNEHFPPCGECC >A05g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20655704:20656766:1 gene:A05g507290.1_BraROA transcript:A05g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLESSGSRLDFIGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVV >A04p019120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11415496:11416633:1 gene:A04p019120.1_BraROA transcript:A04p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT5G41070) UniProtKB/Swiss-Prot;Acc:Q8GY79] MYKNQLQELAQRSCFSLPSYTCIREGPDHAPRFKASVNFNGEIFKSPTYCSTLRQAEHAAAEVSLNVLSSRVPSKSLTAKILDETGIYKNLLQETAHRAGLDLPMYTSVRSGSYHFPAFSCTVELAGMSFTGESAKTKKQAEKNAAIAAWSSLKKMASLDSMGGKENGEEKEQEVVARVLSRFKPKEVRRRETTNQWRRRTSQQDTNKDLSERLRWINLLTYEPSKSQASRTNLQQFTGISLQEAKEETEMMITTKSFPLPKAAHHKAKLNESSSGGCSNQIPFSDRGRFSFVGGCNRLAPAVQIRSVIPVFRSSGIKTKS >A09g516460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48640613:48641137:-1 gene:A09g516460.1_BraROA transcript:A09g516460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVARGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07p015620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9677965:9679024:-1 gene:A07p015620.1_BraROA transcript:A07p015620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNSMSLGVDTQKKNRIQVSHTKKPLFFYVNLAKRYMQQYSDVELSALGMAIATVVTVAEILKNNGFAVEKKIMTSTVDIKDDSRGRPVQKAKIEITLAKSEKFDELMAAANEEKEAAEAQEQS >A08p020610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13914131:13914429:-1 gene:A08p020610.1_BraROA transcript:A08p020610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVYGTLNKWLAWEVEFSLLLQLRLLQILRKRSQSHRVIQMVLIGQKTPVAKWMLSKGHTRSISRRLFARMIALYAHHDLQDKVI >A07p033470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18294010:18297907:1 gene:A07p033470.1_BraROA transcript:A07p033470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEHYTIYEVIGQGVSALVHRALCIPFDEVVAIKILDFERDNCDLNNISREAQTMMLVDHLNLLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVIIATILREALKGLDYLHQHGHIHRDVKAGNILLGARGAIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITGLELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSRSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYIARKLLDGLPDLVNRVQAIKKKEEDMLAQEKMADGEKEELSQNEYKRGISGWNFNLDDMKAQASLIQDIDCGLSESSLSESTTSLQALDSQDMQLETQEDTCQLPNKYLQPLIHRTLSIARDKSDDDISVASPSYDNYVYSSPRHEDLSLNNVASAHAINGKSTDSTSIATNQPTEIPAGSCVSKGESDKTQDQLQNGSTCNGVHPTVGGDEVPTELAVKPPRTLSLDESEDKSKPPVVQQRGRFKVTSENLDIDKVVPSPILQKSHSMQVLGHHSAASLPPTVSGADVALPNLTSSYVYPLVYPVLQTNILERDNILHMMKVLTNREMTEGRSAEPGSVQQPNVAPTEKSMLEAAHEREKELLHDITDLQWRLICAEEELQKYKTEHAHV >A01p023080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11390145:11390363:1 gene:A01p023080.1_BraROA transcript:A01p023080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVNAIRFGTYVNSISGMDVTWDNINFRLSYSPLSRRFNDSTAFTELTETVNPMVPEQLSCSGFSTTTSY >A07p020960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12336327:12340944:-1 gene:A07p020960.1_BraROA transcript:A07p020960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNPNMDQFEAYFKRADLDGDGRISGVEAVAFFQGSGLPKQVLAQIWSLSDRSRSGFLGRQDFYNSLRLVTVAQSKRDLTPEIVNAALNTPAAAKIPPPKINLSAVPAPQPSPAATTPLPVASTGHQNVGFRGPAAPNQNYYPPQQNQQVRPNQGVSGVNSLRPTARPDYRPSAVPGQFQPAPVGSVTRPPQAAATSASGPVSSAFNLNSLYAGNTGGYSSGFGGGSLAAPSPGLQPDSQVGPKALVVSGNGGDMFSSFQQKPETALSNSSISSAIVPAGTQPAPKPNALDSLQNTFSMLPAGNQPQQPRPAASSQPPAVSSQGPSSGLPHGSAVGSAHSTPAGNNQPLWPKMKPSDVQKYTKVFLSVDTDKDGKITGEQAKNLFLSWRLPREVLKHVWELSDQDNDTMLSLREFCISLYLMERYREGRPLPTSLPSSIMFDETLLSISGAPNQGYANAGWGSSQGFVQQPVMGARPIAPPTGMRPPVPHPGSGVAPNQQRNQAPVRDDPFASHLGNGHSASSNLQETATDGEKVDEKKNVYMDSREKLEYYRTNMQDIVLYKSRCDNRLNEISERASSDKREAETLAKKYEEKYKQVAELGSKLTIEEARFREIEGRKIELSQAIVNMEQGGSADGLLQVRADRIQSDLEELMKALTERCKKHGLEVKSKALVDLPAGWQPGIQEGAALWDEEWDKFEDEGFGNEITFDKSKEQNSSGEKENGTVEDGSVPPPDSPTHLDENYGPFSETSDRHHESEYGTTHSDDESGRSPRDSPVSRTATEVPSPDYAGGKSSEFFDDSNWASAFDTNDDVDSVWGFDASKSQDGDYFGSGGGDFGGNSGRVDSPSSRSFGGQRKSPFAFDDSVPSTPLSRFGNSPPRFSDASTRDNNFDSFSRFDSFNTTSEAGAGFSSSQPERLSRFDSINSSKDFGGAAFSRFDSINSSRDFGGPSLSRFDSMNSTKDHGYSFDDADPFGSTGPFKVSSDDQSPKKRSF >A05g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26137024:26137523:1 gene:A05g508810.1_BraROA transcript:A05g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELSGFDVTRSNNYFKLCDSLVAIRLNEFTKMVEVPAVAHLISTEMFRFRSVEQLMSLANTNVELPGMSSSVLRRYEFNFCIHTTYTISSAPHGGGHTPDDDMHSKFNVGVSSGGNPAEGGEDPKEPSDAEETIASREQT >A09p062320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51041325:51043741:-1 gene:A09p062320.1_BraROA transcript:A09p062320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVCPEIEDMTLPEPVITVSADVSFASNHFPSYKLGPDNQIVEEPKEDEKGPSVQDTVEKESELLSDQHKRLSVRDLASKFDKNLAAAVTLADEAKLKEVASLEGHVMLKKLRDALEYMRGRMDGQNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLGNFLKQASEDAKKLVNHEKSFACAEIESARAVVLKLGEAFEEQERSSEASRAQGPDVEKLVEEVQEARQIKRMHHPTKVMGMQHELHGLRSRLQEKYLNSIKLHQEIKRVEESKSCPFVLEGTQSLGSCLRIHVSSDDAIDLSNCSIQWYRAACETSRREAISGANQSVYAPEPFDVGRILQADIISNGQKVTVTTDGPIDPDSCLQTRVESLMRKSNSEFNVVISQMNGQDYASRTHVFTVGKTRIKLSRGWITKAREIYSTSMQLCGVRGNIKAPARALFWQPRKSLTFILTFESEQERNTAIVLARKYAFDCNVTLLGPDD >A04p000220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:122869:123069:1 gene:A04p000220.1_BraROA transcript:A04p000220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFQSSIYLIWKERNSRIFTAKASPVSIVQAAVDRQIRDRLLSIPPSPRIQPSFLQFFLAFTKPP >A02p013250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5813158:5814489:1 gene:A02p013250.1_BraROA transcript:A02p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 10 [Source:Projected from Arabidopsis thaliana (AT5G58380) UniProtKB/Swiss-Prot;Acc:Q9C562] MENKPSVLTDKYEVGRLLGQGTFAKVYYGRSVHTNQSVAIKMIDKEKVIKLGLNNQIKREISVMRIAKHPNVVELYEVMATKTRIYFVMEYCKGGELFNKLTEGKLRDDVAWNYFYQLINAVDFCHSRGVYHRDIKPENILLDEDDNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRKGYDGTKADVWSCGVVLFVLLAGYLPFHDSNLMEMYRKIGRGEFKAPSWFAPEVKRLLCKMLDPNPETRITIAKIRESSWFRKGLHMKKKNMEKRVKEMSSEESNTDQPRSINAFDVIALSSRFDLSGLFGDVYGKRESRFTSKKPASVIISKMEEAAQRLKLRIRKREAGLLKLERLKEGRKGVVSMDAEIFQVTPTFHMVEVKKCNGDTLEYQKLVKEDLRPALPDIVWVWQSDKDEGLVSGSQQETEQQQEEESL >A03p061440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26697855:26703652:-1 gene:A03p061440.1_BraROA transcript:A03p061440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLKVTEPKPNSPEQKFESNIVISDEEGVEENDSPIEEVRLTVPITDDPSQPVLTFRTWFLGMSSCVVLAFVNIFFGYRSNPLMVSSVVAQIVTLPLGKLMATTLPTRKFRLPGTSWSGSLNPGPFNMKEHVLITIFANTGAGGAYATSIITIVKAFYHRNLNPTAAMLLLQTTQLLGYGWAGMFRKFLVESPYMWWPSNLVQVSLFRALHEKEEKREGQQTRLRFFLIVFFLSFAYYIIPGYIFPSISSLSFLCWIWIRSVTAQQIGSGLQGLGIGSFSLDWSVVAGFLGSPLAVPFFAIANFFAGFLIFFYIVLPIFYWSNAYDAKKFPLFTSTTFDHTGQKFNTTRILNQKTFDINLAAFIWGMWKKSTKAGDVHTRLMKKNYKEVPHWWFVTVLFLSFVLALYACEGFGKQLQLPWWGLLLACSVAFTFTLPIGVIQATTNQQMGLNVIAELIIGYLYPGKPLANVAFKTYGYVSMSQALSFVGDFKLGHYMKIPPRSMFLVQLVATMVSTTVCFGTTWWLLSSVDNICVQEKLPTGSPWTCPGDQVFYNASIIWGIIGPGRMFTSKGVYSGMNWFFLIGFLAPVPVWFFARKFPEKKWIKQIHVPLIFSAASAMPRAKAVNYWSWFIVGVVFNYYIFRRYKGWWARHNYILSAGLDAGTAIMGVLIYFALQNNNISFPDWWGSENTDHCPLAHCPTEKGIVVKDCPVF >A01p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:985418:986927:1 gene:A01p002150.1_BraROA transcript:A01p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRTASVGTIPSIGLRLGQVLFSSASLLFMCFNDDDDFYAYTAFCYLVTVMGLVTPWSVTLALLEAYSIIVQKLPLQATVLSVIVSGDLVSSLYFPMCRFCRFLSLGGACSTASVTVILIGAGEKHCDRYKLSATMAFLSSFLSFASTFFNFRLLPSLFSS >A01p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6516687:6518167:-1 gene:A01p013340.1_BraROA transcript:A01p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDEDFRQTSERELGRTEEIEREAQISERQRRKRRRIIFTLCLTVTNPKVSSTLRVLIHDITLWLKRMLSLRYSLPYLPQTKESTKLFSKRPNNVVVCAARGPRPRSPRVWKTRKRIGSISKAAKMIACVILLSFDTLHMSTSSAASFGVNVEFCHLQIKELSNVKEEVYGALDSFIAWELEFPLVIVKKALAVLEDEREWKKIIQVTKWMLSKGQGRTMGTYFSLLNALAEDNRLDEAEELWNKLFMEHLEGTPRKFFNKMISIYYKRDMHHKLFEVFADMEELGVKPNTAIVNMVGKVFLKLGMDDKYEKLMKKYPPPQWEFRYIKGRRVKVKAKQLNELSEGEGGLSSDEDKIESKSDMLSDEETSREPCLDH >A05g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6539402:6540397:-1 gene:A05g501940.1_BraROA transcript:A05g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQVFLSDSKSGRCSSSSEMRLPLVVLLLISICHAIFEVSKFVCFMVKLDIFLQICQRES >A03p055430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23897973:23900745:1 gene:A03p055430.1_BraROA transcript:A03p055430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTVGSKLAFISEELCIGCGICVKKCPFEAIQIINLPRDLEKDTTHRYGVNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKLKPNLGRFTNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVRGNVGEVLDQKDERDKKAELCADLELNQVIDRDVENLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFREESLTFKVAETPQESAEEIQSYARYKYPTMTKTQGNFRLKVTECEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDETEESDIEIPEFNVSYKPQKISPKFQNSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADRVIVYEGQPSIDCVANCPQSLLSGMNLFLSHLNITFRRDPTNFRPRINKLESTKDREQKSAGSYYYLDD >A05g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24359851:24360470:1 gene:A05g508370.1_BraROA transcript:A05g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNNDFTSVYFLCSGRKERKTPMPTDQKNLQGQLIAEREALCRSKPSPSKPLGGKKAHGMSTLLGSGGMHQTPKLTRKQISVRSMELYQLEEEGLMLLDFSQRNSR >A08p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14348805:14354981:1 gene:A08p021470.1_BraROA transcript:A08p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSYTSTKMKNNNKLSVRNETRFKKSFLLLREKTVLKKALELSILCDNDICVIHYDREGNLVNTYPEDQCQVKDILERYNRLSDREKIKKNTNLSQFYNKKLVDEKRRSLTDAEERKKFTKKVGEFKGSLVDQLLVLQDRARYLLYSQDHQTKPDQSRCLAAMSEQNHNFSAPSSGFFPHNDFSSSLIDEEDPLMNFCPPVTENRTANAVAAPSLNLNQFTTDQVQSLLNKLIAQTRIRSSLTNLLMSGDASTPAGSSNHQSKFSMFLFNHETATFTQLPNSVSSSFDQGLTPCSNNLITASHGAQDYNFGYGNNLNAQGFNFGCSNNLNTQGFNFGCNNNLCA >A09p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5550146:5552477:1 gene:A09p010980.1_BraROA transcript:A09p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPNIPPTLSRYKFFSTSVVENPNFSPHHHNIDNRRRRRLIKSHLQAQNNTTAISYGAPRTELASSKKLWIRQQRSFSETEVEQGQLGDDEEELEDEASLLSLSVKPDRNMALLDDYEMEELGHSPPDTNHRSGYVAVVGMPNVGKSTLSNQMIGQKISIVTDKPQTTRHRILGICSSPDYQMILYDTPGVIEKKMHRLDTMMMKNVRDAAINADCVVILVDACKTPANIDQVLKEGLGDLEKRPPMLLVMNKKDLIKPGEIAKKLEWYEKFTDVDEVIPVSAKYGHGVEDVKEWILSKLPFGPPYYPKDIVSEHPERFFVAEIVREKIFMQYRNEVPYSCQVNVLSYKTRPAAKDFIQVEVVVDKNSQKIILIGKEGKALKTLATAARLDIEDFLQKKVFLEVEVKVKENWRQDEGLLKYYGYGGQIRAM >A09g517450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51898050:51899699:1 gene:A09g517450.1_BraROA transcript:A09g517450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEASYQRKERDALVAIVVLACLALTSLFVAFSYYCYIRNKVSKRHRINKKFNCEEKGDCQNQQEVTDNALQIFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLNDGRKVAIKFMDNAGKQGEDEFKMETIVTNCLCMSSWQTAVCRNISILITALPQLADRDKVVDIMDPTLEGLYSTKEVVQVAAIAAMCVQAEADYRPLMADVVQSLVPLVRSRRSAAKLSGCSSSFSLARSPGSPVGSQ >A07p044120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24097687:24099118:1 gene:A07p044120.1_BraROA transcript:A07p044120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSESTEVKFVPEEDNFLQRHVAFFDRNKDGIVYPSETYQVRFSIFSILTLLSSGHDETRTGFRAIGCGYLLSAFASLFINMGLSSKTRPGKGFSLSFPIEVKNIHLAKHGSDSGVYDKDGRFVESKFEEIFAKHSHTHPNALTGKELQELLDSNKEANDRKGAIAAYTEWKILHYLCKDKNGLLHKDTVRAVYDGSLFEKLEKQTASKKHP >SC122g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:146167:149173:-1 gene:SC122g500120.1_BraROA transcript:SC122g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTVWCGDAWPSLPLFGLHISLSCFTLIVKHDKE >A06p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9160971:9165959:1 gene:A06p018900.1_BraROA transcript:A06p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVERDQGEVSVKVDMENAAENKQEVVAPASKEDVGDEISRGGNNGNGSGNQKEDTDGSYVFVTENDTVGDDPVVSDSVEAVDDAIVEKDLKEGEDLSPEEGKVEATSSQTVESSGEEKAVHGPEEVVEIPKSETEDLLEKSVDQENPGNGHLEIGLDGKVESIEEVDQDSEVGSRDIPENNAEDPADLQGKPEDKVESEIKTDVEGHQGDMTGAQEKSDLDVDMAEAQEKSDLDVDVSEDLQHNEDVAKASLGDSDEGSESKASPTDHNDGGMGLEQPTLTDPADTVNGSETVNDRTGSESVAVLEPVSAENGHPQLESEVEKTGDASFTSEAEKVNAPDGDVLPESGTVEVGVSEVSTDVPNDSQTLTDVSLESHTSGEDGVVENGNSNPESEAIQDSAFVDNSKVQSEAAAVEASVSDESITTHQESQDASEPACDEDGKQQIASEVTEVLDAPASEESSDAVIVSKDSVLVAPVSDDSSCTNQQESERGVISGIVEKLPSHELHEDATSVNNPSVNLNDDTRSQGFSEDHGVVTNQKIQDDSSVQSKEVTDVNEKHAPVEKVQENNSERDLDTGGDVCLTSAEEVKELPAGDLSGNESADTISTNVNGSSNLLDSKSAVSELAESSAEGPVGETGAVATKSEAAQPVKESTEPHVVTPAIQFGEINREVLCGSEVNVPIAVDVCEDTPSEEVPEINDADIKDRSVMKPDEEVDSNTESLDSTKAPLDNNDLIKVSKEDDSREEKNETDGATSSVASDTKHTGATDCVESQHVEIKGGDAAKTDDKAEGSALDASEGNTVAAEIEKRPFYFLPRVPRYDDEKLAEQLKHAEAQVDQKTQSRDALREDIQKIRATCKDYDISYKAAMAEERSARKAMHSKRQEIEALQSVISRVKSAASVDDIDSRVNNMEHMIQHETLSLSEEKGYIREIKQLKQLRGQISSSMGTKDEVKQALDDKEKTEERLKMLRKELDALRNDLSKVEAVTKAAKKKCDEEWEVQNKLQQQFRAADAVRQEAFVHLQDLKKQQREKNKYFFKYRDDSRAANEMALKKDRAALQSLCSDQVENFMNMWNNNEEFRSYYVRCNTRSTLRRLGTLDGRSLGPDEEPPRITYAARTDKFRTVSDRAEKHETAPPVLAKEEKVVKFEGSKVENNGKDIPKPAEQKNQTTKPKKAVKPDQPLQIVKELVSAKEEIEKSATKEEEEETPKLTKEEEEQIKKEEEKRKQKEAAKMKEQHRLEEIAKAKEAMERKKKREEKAKARAALKAQKEAEEKEKEREKKLRKKERRKGVITSEETPENPTETSEAVIETPREIDIPKKQTAEESQQIKKSHKASSQFLKQNKSKSIPLPLRNRGSKKKLKQWMWIGLIVLVALALFLLGNANLSFSPENLWFI >A02g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18468358:18470147:-1 gene:A02g506620.1_BraROA transcript:A02g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRTSKKGSSSTSVHEELLVPKIEFVRHSVDPAENEAWWVACYGSITPPKEKSFPVVTHRSVEEGAPSRSTDEFLEIMRSFYHIPDTVEFRFLVKESAQVAPRRVTLLAAKHHFEVAISQLNPLAIQHLIGVLVLSYEHGLALTIEHFEALLRLQIVKDTDKYRLVPQWLKSSSPTSTRGRKESCIPLFRRLPNDRPFINPLAPFPENIIAVRDLLRNGPFFWTSFTPKRVRKTLRFVHPGPASVAETGSDSEPDDQSPVAAPAVATELNSWKGKDIDLGDIEFSVDDSMLPGWNPDLAYGDGSGTSEVPIQDFDDFFAGIPSGFDAPPPTNESVRPKVIAEGSCNINGGLSLLGSAIEASHREAMVYRFKAEKAEWDLARMQGEMLERDAQLARDHARAVRKVERKGKREIVEVMKTRASQFQTEYGNLKDAFTLVGDFRECRGSVGSLWKTHADDYVFEKEMRNMLTLGEVLIPPIDGRIQGFWDPIPVSPDTEEITTEFPGDGEEVDRPADAFGALLSGDFYFEP >A09g518750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57130315:57131173:-1 gene:A09g518750.1_BraROA transcript:A09g518750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYVIFTNVKAGRCSNSNTAKGNRENVEIYIADYIYPAFDLRVRELSSHLHFLRYSAPISKRKKKNKKNKMKRVKGRRGNTSLIGKESNLKIVKGFL >A01p009750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4734460:4737179:1 gene:A01p009750.1_BraROA transcript:A01p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCRLLLSRASLCYRLRSDYHHHHHHSFTTFTKRNSTRTSIRINAFLSDPSPSPISSRVNARVLFFSTSTPNPVSKSNNQTNTTSSQKILRTILSNLWMKDNPELRFRVLAALACLVGAKFLNVQVPFLFKLAVDSLSSSSSSSSSLATTNPYLLAAFATPSSVLIGYGIARTGSSAFNELRTAIFSKVSLRTIRSLSRKVLSHLHDLDLRYHLNRETGALNRVIDRGSRAINTILSAMVFNVVPTILEISMVSGILAYNYGAVFGLITCLSVGSYIAFTLVVTQYRTKFRKAMNKADNDAGTRAVDSLINYETVKYFNNEDYEARKYDELLGRYEDAASTTQKSLAFLDFGQSLIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLYFLGGVYRETVQGLVDMKSLFQLLEERSDIGDRDADAKLPCLALKGGSIRFEDVHFSYLPERKILDGVTFEVPAGKSVAVVGSSGSGKSTILRMIFRFFDTDSGNVKIDGQDIKGVRLDSLRRFIGVVPQDTVLFNDTIYHNIHYGNLSATEEEVYDAARRASIHDTIMNFPEKYKTAVGERGLMLSGGEKQRVALARAFLKSPAILLCDEATSALDNKTEAEIMKTLRSLASNRTCVFIAHRLTTAMQCDEIIVMEKGKVVEKGTHEVLLKKSGRYADLWIQQNSTVEG >A06p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2167062:2168173:1 gene:A06p006490.1_BraROA transcript:A06p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVISKTSLFFGGACVNHHHHHVDDFSVSPVSFGFKKSFSSSLKQKPLRSDFSGKQILETFNRSFRSSSVTAQSTLRIGTAQKWWEKGLQENMREISSAQDLVDSLADAGDKLVVVDFFSPGCGGCKALHPKMCQLAEQSPDVQFLHVNYEEHKSMCYSLGVHVLPFFRFYRGAQGRVCSFSCTNATIKKFRDALAKHSPDRCSLGPTKGLEEKELVALAANKELKFSYTPKVVPVEKEVAIPTSNPGLPVPHPSTMSGSEEKTLVSAGR >A02p058130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34933305:34933927:-1 gene:A02p058130.1_BraROA transcript:A02p058130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIIVKANAGALTNFEVLDLLNSRGASKDTTRVIASETVSRSEYKVYDYLMETAASTQTRESVTKFSDKCKGFKLAKAEILNIINLRPSSDVELTPILEKPDEREIDIEGILALVQELLPPLPTVEAHKENEQEETEDGEQPNMETSV >A09p010010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5121798:5123246:-1 gene:A09p010010.1_BraROA transcript:A09p010010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G65550) UniProtKB/TrEMBL;Acc:W8QN38] MFKQKKKKRFKEVVTASLVSQRRDRQNMAEPNHKLHVAVFPWLALGHMIPYLQLSKLIATKGHTVSFISTPRNIARLPKTPSINFVSLPLPHAVDNNLPENAESTTDVPETHIAYLKKAFDGLSEPFTEFLQASKPDWIVYDILHYWVPSIAEKLGVRRALFCTFNAASIIVIDGPSSIMINGRDPRKTVEDLMDPPPWVPFETNIVYRAFEARRILEYPTAGVTGADLNDSYRTGLASAGSEVIAIRTCTELEPEWIQLLGEIQGKPVIPIGLLPASRTTDDVDDDIREWLDRQRAKSVVYVALGTEVTVSEKEIQGLAHGLELSGLPFFWTIRKASVFLPDGFEERVKGRGVVRAEWAPQGRILSHGSVGGFVTHCGWGSVVEGLSFGVPLIMFPCNLDQPLVARMLAGMNIGREIPRNERDGAFTSVSVVETIRLVVVEEEGKIYRDNAESMQKNVFGNRRLQDQYVDSFIKFLENHRA >A01p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8501283:8506481:-1 gene:A01p017180.1_BraROA transcript:A01p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLVDTSCLLSFFLLLLADLSCCKEILVGGKHTAWKISSSPSDSLNKWAESLRFHVVWKYDGQKDSVLQVTKEAYINCNTTNPAASYRTLSFFTGGSAPSPAPSPALLEAQAVPPANDYSTLEPDNLQTLSFSQLLPSQGSTQASRREEAAKSKMSDLVTTLPSPSSAPRSASDFFSDPYDSHPLWFKPSLFLSPVFDSESYISELRTFVPFDTLRSELRSHLASLNRELVDLINRDYADFVSLSTKLVDIDEAVVRMRAPLLGLREKIAAFRGSVEAALFALRNGLQQRSDAASAREVLELLLDTFHVVSKVEKLIKVLPSAPSDWQKEDGGVSLRSSVNVENSTQQDGTTMRETQSMLLERIASEMNRLKFYMAHAQNLPFVENMEKRIQSANVLLDASLGHSFIDGLNNSDTSVLYNCLRAYAAIDNTKSAEEIFRTTIVAPFIHKIVALETSTDAAGSSGDELENDYKQIKHFIAKDCKMLLEISSTDKSGLHVFDFLANSILKEVLSAIQKVKPGAFSPGRPTQFLKNYKASLEFLAYLEGYCPSRTAVTKFRTEAVYIEFMKQWNVGVYFSLRFQEIAGALDSALTSPSLVFIQDSDLDKRSSPNLMLRQSATLLESLRSCWKEDVLVFSAADKFLRLTLQLLSRYCIWVSSSLHTRRGNPSPSPGCDWAVSATTEDFVYVIHDVNDLVSEVCGDYLGHISRHLSSCSTEVLDVVRTSMLQGGDKLKEVLPLLTNTIIDIIVDKSVEDLRQVRGITTTYRMTNKPPPVRHSPYVVGILRHVKARRTESSLQQFRRKAQKRAGAASGASDDNVSETDKMCMQLFLDAQEYGRNIAALGLKPADIPVYCSLWQCVAPADRQNTISV >A03g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28789973:28791235:1 gene:A03g508390.1_BraROA transcript:A03g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTVLSCPKMFGRRRSVAVEMRTIRWGVKCQLSPVKPSKYSSKITTDVQLHESPLALFDEYLEDKSRVFKAMFPDKPKSYRLNEEEWRIQMLPIKFLFLTAWPVVVMRIRCKSNGQDYPPDVPLDITKVLELNMMKWELQGLDRVMEPSDFTLVVQGALYPDRGGRHTRLKGRLEMNISFVLPTVLMLVPEDVRRNVANAVLNGLVDNMKHKVIESLLADYNRFKNERRTHH >A03p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7482689:7485268:-1 gene:A03p018340.1_BraROA transcript:A03p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMENKRSVCSFEESSMKRHKSDSSFSSKERKDKVGERVSALQQLVSPYGKTDTASVLLEAMQYIQFLQEQVKVLSAPYLQTTSTITQEEMEEYSLRSRGLCLVPMEYTLGVAQSNGADIWAPINMAGVMQKFLVASMFMWMLPIAILYAFNHDLLPGSTTLSPHSLTLLSGFLAVVSVNVVIVFYICLALKEPTDKHKPDASFVAEAKDSVKKLTSGVPSTDPALKKQE >A09g516960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49822485:49823647:-1 gene:A09g516960.1_BraROA transcript:A09g516960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYGGEPKFVLITSINPKVVGDFLYTGRLFLNGTSGTHIYFDSETDAGKERHTQLFGDGSNQTSSSSKLIHAQKLEALTISELNQYVLSADPQTEKGWCYIGCASCAKKLVKEETCWDMSAVHEKTTTTSS >A10p015960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3342991:3343821:1 gene:A10p015960.1_BraROA transcript:A10p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIASVPLWVTLKKTSQVVCILIWGLAGLDPSLMGEAKILVEVELDKGFPHRIAARDRKGIMWAIRLKYVFYNIKLVMLHYLVMLYVYQCYCNCCYTATSADDSVETTPETNVTNTIVSSSSHDTIPVQDSVEFASANSEKPSHATKAAQHVEAADTHTSLSVIADESSLPSAMGDKTESLSPATIPSCMAPVTADTFFFGAVILPPLDDDNHDFSETQEDEDQLSASSQRTLRERPVKQS >A09g514600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43589448:43592491:-1 gene:A09g514600.1_BraROA transcript:A09g514600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIFFFLELMKIFRGNSDGYLSGRRNFLGIFSFNRANKLPNISQKLKLKILREFRRKISEVYPSGYSDDIFLGIFRGLSDELVVLGISSEFRRKFPRDFRGKMNFQGVISEELFPRTFFVGMSSE >A08p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1467767:1468236:-1 gene:A08p002600.1_BraROA transcript:A08p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRNSFSFILYTVKSGVPLPNAQNRSKAVFTTCTEEVCKDMREKRKIKVDKLVAIELRICLRGYFEEPATPRLQSGAMFVLLSNSLLISQNHNDGDKYKIVYIM >A02g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5777745:5778980:-1 gene:A02g501810.1_BraROA transcript:A02g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAQSGVGGFTFAFLSSSVCCLSDYGAHLRYARFSGLGFGSGVCSAREEFDSDGFSAFSGLSVRRDGLLLFGFGSSLPRSLEFQAATEGDSRFLAASSITEESRGSRLWLPDALLQCLSAFSSPLLVSLVCVSLKATAFEENASVLDWCYISVGTGGGFSSTDISFSVLDSFRRSGGSHQSLAVMVPRLVTLTSQHHLWFRLDFGGGVRVIIVQVRVSRTTRLLFARASLKDEIARETRSSEASYTGNDPGRPRHPWQHGESLFPVG >A04g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19307305:19309376:1 gene:A04g507690.1_BraROA transcript:A04g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQLQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGSRKPGTLPPNVAAAVNGVAFCGTIVGQLFFGWLGDKLGRKKVYGMTLMVMVTCSVASGLSFGHEPKAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGIFAIIISSVFEAKFPAPAYADDALGSTVSQADFVWRIILMVGAIPAAMTYYSRSKMPETARYTALVAKDVKQAASDMSRVLQVQIETEQQEVETKEFSYAVPGYWFTVAFIDVIGRFTIQIMGFFFMMVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFIVPAEIFPARFRSTCHGISAASGKVGAIVRAFGFLYLSQSPDKDKTDAGYPPGIGVRNSLIVLGVVNFLGFLFTFLVPEAKGKSLEEMSGENEDNDNNIRTGFSHHIKGELTDTTRADLARVSAATFFKRGMKTKEIDEREWLNMLMSWRIPSRPVTEVFPVRLSVRLLQSEKQTKSLKPA >A09p068110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53509828:53510370:1 gene:A09p068110.1_BraROA transcript:A09p068110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINRIARALPFSGLFRQLEKEAETVINVLQPGPLGIIEHKFTAQEIREAKAAVSTAVENWRRHSKLDHANGVLNDFISN >A01p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26831910:26833076:1 gene:A01p047570.1_BraROA transcript:A01p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTYFIHPAKRLKASSFPAVSAAGGSRGLGSSSKSPPRIVTVAKSKRNLAVCSEKVAKAKAEGSCCQMALNELLVEESWLKALPGELQKPYFKTLSDFLERESKGPLIYPPQHLVFNALNSTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPQGQKLPSSLLNIFKELQKDVGCSIPRHGDLQKWARQGVLLLNAVLTVRSKQPNSHAKKGWEQFTDAVIQSISQQKEGVVFLLWGRYAQEKSKLIDGNKHHIRSSSIWFVCA >A02g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18082397:18083280:-1 gene:A02g506370.1_BraROA transcript:A02g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A05p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2624053:2626603:1 gene:A05p006520.1_BraROA transcript:A05p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G45270) UniProtKB/Swiss-Prot;Acc:O22145] MVRRFLTLSPVISRLNLNPGIFLAASPSLRLQRQNLKPKISIISPSSASTFQRTRAYTTRTRVSSSLPRSESWKLDDDGLVVLGIETSCDDTAAAVVRGNGEILSQVISSQAELLAQYGGVAPKQAEEAHSRVIDKVVQDALDRANLTEKDLAAVAVTIGPGLGLCLRVGVQKARRVAGAFNLPIVGVHHMEAHALVARLVEHELSFPFMALLISGGHNIIVLAHELGQYTQLGTTVDDAIGEAFDKTAKWLGLDLRRSGGPAVEELALEGDSKSVMFNVPMKNHKDCNFSYAGLKTQVRLAIEAREIDAKCPVSSATKEDRRNRADIAASFQRVAVLHLEEKCERAIDWALKLEPCIKHMVVSGGVASNQYVRSRLNNIVENKNLKLVCPPPSLCTDNGVMVAWTGLEHFRVGRFDPPPPAIEPDDYVYDLRPRWPLGEEYAQGRSEARSLRTARVHPSLTSIIRAADSLHQQTQTSQ >A09p006690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3509033:3509605:-1 gene:A09p006690.1_BraROA transcript:A09p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSSRTRSTASALRSPSSPGFASSTSSTFSSRTFFSNQHHQPHRSASPTRVNLFASSPLKQSSFRYSIDNTRSIAVSKPSGGNKIPDSRRRCMCSPTTHPGSFRCSLHKNVANPHGQGAATAAYPTNSLNMRRSAMTNSLVRIGGVEGEWVRRALTTLIRPSSHQLKRRSAYQPRPSRLSSMSKADDL >A09g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15435693:15437166:1 gene:A09g505110.1_BraROA transcript:A09g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEMTCLSASDVAWSEGVLSILVLNSDCCNQLAADQICQYRNEPEKIELSPFMSMNIHKLKDSYHSVLITPNCSIVAFLALSPAVSPELPLRSVEIEDPFGFTDAEETTVFSLILQSVEIEDPFGFTYAEETTVLSLILRSVEIEDLPVGSIAMNFREKIINFEGSIR >A02g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7128919:7129900:1 gene:A02g502200.1_BraROA transcript:A02g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWISKLAKAVCFVTGLTWKGGHDEAAAATIYLKDTLTTEEQQQLITCLSKDKRDCRAIL >A10p024190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15651855:15652450:-1 gene:A10p024190.1_BraROA transcript:A10p024190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDLLMKERLTKLAILDTLLAKNQPLTEAEEIVKNKLLALKWDDIATASHLFQRIIVGIHQTVDTALQKSSSVGLVITVLVFLVVVICFK >A03p065140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28435329:28443111:-1 gene:A03p065140.1_BraROA transcript:A03p065140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGYTSNGETERSLSLFKEMVLSGTRLNSSTVVSVIPVSGHLMLVYAVHCYSLKSGFLSHESVPTALTTVYSKLNEMESARKVFNESPHKSLASWNAMISGYTQNGLTEDAIYLFREMQKSEFSPNPITITCILSACAQLGTLSLGKWVHGLVRGTDFESSVYVSTALIGMYAKCGSIAEARRLFDLMPKKNEVTWNTMISGYGLHGHGHGARRIFSEMLNSGVAPTPVTFLCVLYACSHAGLVKEGEEMFNSMVHRYGFEPSIKHYACMVDILGRAGHLQRALQFIEAMPVEADPSVWQTLLGACRIHKDTNLAHTVSEKLFELDPDNVGYHVLLSNIHSADRNYPQAASVRQAAKKRKLAKAPGCTLIEIGETPHVFTSGDQSHPQVKAIYEKLEELEGKMREAGYQPETELALHDVEEEERELMVKFHSERLAIAFGLIATEPGTEIRIIKNLRVCLDCHTVTKLISKITERVIVVRDANRFHHFRDGTHTIPLPAIRSKTMEQQGDRPVLSLRPGGGGRGGSRLFAHRPSSSSSDFTNGADAPSFAVRRGEGHEILRFTREQLLQLKEAIQVSEEILKLSREISADLFGEEQSWGRSETKPAAQAQNRFSETDNRDWHTRAPAPSPSRERSREDQREPRDARAGSGPPPVLVKAEVPWSAKRGTLSEKDQVLKTVKGILNKMTPEKYDLLKGQLIDSGITSADILKGVIQLIFEKAVLEPTFCQMYALLCFDINGKLPSFPSEEAGGKEITFKRVLLNNCQEQFEGADKLKQEIRLMTDPEQEMDRRDKERMLKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSDVKACPAEEDVEALCQFFITIGKQLDESPRSRGTNDTYFLRLKELAMHPMLAPRLRFMVRNVIDLRADNWVPRREEMKAKKITEIHSEAERNLGLRPGAMANMRNNNNRGGADAGAADSGNFYGRSGTGGMMPGMPGARKMPGMPGARQMPGMPVTDDDGWEMARSRSMPRGNRQNQQPAGRVQSPVIGKSPSVNSRLLPQGSGGLLAGRPSALLQGSGAEQPKPLPSPSKPAMEKPQSQAQPQPQEAASPMATTLDSEVLSRKTKSLLEEYFNVRIMDEALQCVEELKSPAYHPELVKEAISLGLEKNPPCVEPVARLLEYLVSKKVLTTKDIGSGCLLYGSMLDDIGIDLPKAPNNFGEILGSLVMANASDFETVEEILKKMEDDRFKKAVLDAVIKSVSESLLAAQAAKIEACRSLV >A02p029420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15035207:15036423:-1 gene:A02p029420.1_BraROA transcript:A02p029420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKINGEEREKTLSEIAKACEEWGFFQLVNHGIPLELLNKVKKLASDCYKTEREEAFKTSTPVKLLNELLEKNSGEKLENVDWEDVFTLLDHNQNEWPSNTSGLKETMVEYREEVRKLASKMMEVMDENLGLPKGYIKKAFNEGMEDGEETAFFGTKVSHYPPCPRPELVNGLRAHTDAGGVVLLFQDDEYDGLQVLKDGEWIDVQPMPNAIVINTGDQIEVLSNGRYKSAWHRVLAREEGNRRSIASFYNPSYKAAIGPAAAEEGSEKKYPKFVFGDYMDVYANQKFMPKEPRFLAVKTL >A01p022980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11345701:11348611:-1 gene:A01p022980.1_BraROA transcript:A01p022980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter D family member 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54350) UniProtKB/Swiss-Prot;Acc:Q6NLC1] MILITAPPFPTPCSHLVLLRHLHLPPPPPLRHESTIHRSKISRFRRSVSAVSSSSSSSSSSSSSSLLPQPPRPDKASELRALWKRFWKVAAPYWFSEDKDQARLRLAAVFALTLATTGISVGFNFLGRDFYNALSNKDQEQFTKQLLYYLCGFAGGIPFFVLRDYAKETLSLRWRSWMTKHYLQRYLKDQTFYKIQSQSMIDNPDQRIVDDLSSFTSTALSFSLTLFSATIDLISFSNILFTIYPPLFLLLLVYSLGGTAISVFLGKGLVNLNFMQEKKEADFRYNLVRVRENAESIAFYGGEQNEMQLLLERFRSAFDNLTELLIASRNLEFFTDGYRYLIQILPAALVAPMFFSGKIEFGVINQSVSAFNHILGDFSLVVYQFQAISAFSAVIDRLGEFDDLLDNNITSHTMDEIELTYQSAERSSLLVDSNGSSVQSRPQNQKLLEIEELTLQTPTNGTTLLHNLTAYIYDKDHLLIMGPSGSGKTSLLRAMAGLWRSGKGRITFYLNPQTLENSVKTSPGDVLFLPQRPYMVLGTLRQQLLYPAWTTTTMDGGSERDDGMDELKKPTTDDLMRTLKNVRLGHIVDRFGGLDSFHEWSSVLSLGEQQRLAFARLLLCQPKLALLDESTSALDEANEAHLYQQIQSAGITYISIGHRQTLTKFHNKILHISTADPKSIERNWRITEDVNAREDSLLNQ >A09p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2575408:2576473:1 gene:A09p004550.1_BraROA transcript:A09p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHNSEISSLPPKNPSSNGRRSRGSSHSNEGQTSKPVASSFQMEDSSPRIIFREGCFTSAGYQLLSNRWAAAMHLYNDPTVDVSERPVMYHGGDVLNEAKPLQIQASGKQDITSTKVAK >A09p011020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5572614:5572829:1 gene:A09p011020.1_BraROA transcript:A09p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLMLQQEKPDDYVVATGESHTVEEFLEVSFGYVGLNASKAKEVLKWKPKVGFEQLVKMMVDEDLEMADRL >A01g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9824763:9825062:-1 gene:A01g503090.1_BraROA transcript:A01g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVALSPDLQRGFSEMERLRVGFGFMERSVFPLLGSLRSSSSWSGGDGSPHGQGFFGSELFRWPLAVIKSSPFFV >A07g502000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4195663:4196001:1 gene:A07g502000.1_BraROA transcript:A07g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSRSYGEGRISDRSSDGPGSLSVNGGNSSMQDFRSYSISYTDYPTRISQDNPNRKKERSSSSWGFVDPDLQRKKRVVSYRVYTAEGKLKGSLRKSFKWIKDKCNKILN >A02p025070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12469368:12471145:1 gene:A02p025070.1_BraROA transcript:A02p025070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIIPINPILTLLVLLLVGLKIYNALRILIWQPFVLTRRFKKQGISGPKYRFLYGNLMEINKMKSESQLSVLDRISHDIFPRETFLYWDGTEPRLCISDPELVKHVLSSKSGFFLRANIRPEFLKLVGSKGLVFLQGADWVRHRRILNPAFSIDRLKVMTKVVVDCTLRMLDEWSEDITEKLMMKKEMDRDFHRLTADIIATAAFGSSYDQGIEVFRTQKELIECCVISLTNVSIPGTQYLPTPLNFRIWKLDTKMKNSIKKIVDSRLQSKSDYGDDLLGIMLKSRLSIEEIIDECKSFFFAGYENNSNLLTWTTMLLSLHQDWQEKLREEVFKECGKDKTPDSDTFSKLKLMNMVFMESLRLYGPVPFITREASKDVTLGHLEIPKGTTLIFPLLKMHSDKDIWGSDADKFNPLRFQNGVSKAAKHPNALVSFSIGPRACIGQNFAMIEAKTVLTMILQRFRLSLSCEYKHAPVDHITILPLYGLPLVLEPLED >A03p020180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8279395:8292969:1 gene:A03p020180.1_BraROA transcript:A03p020180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEKLLKDAKKLPWEDRLAHKNWKVRNEANVDLASVCDSITDPKDPRLREFGHLFRKTVADSNAPVQEKALDALIAFLKAADSDASRYGKEVCDAIAAKCLTGRPKTVQKAQEAFLLWVELEAVDAFLDTLEKAIKNKVAKAVVPAVDVMFQALSDFGSKIIPPKRILKMLPELFDHQDQNVRASAKGVTLELCRWIGKDPVKSILFEKMRDTMKKELEAELANVSVGAKPARKIRSEQDKEPEAEASSDVVGDRPSEEPVADAPQEIDEYDLMDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFSEICRTLKKLITDVNLAVAVEAIQAIGNLARGLRTHFSASSRFMLPVLLEKLKEKKPTVAEALTQTLQAMYKAGCLNLVDIIEGKNVKTAVKNKVPLVRSLTLNWLTFCLETSNKALILKAHKEYVPLCMECLNDGTPDVRDAAFSALAAIAKSVGMRPLEKSLEKLDDVRRKKLSEMIASSGGELAGTSSVTVQSSVGSTTTGNSEASFVRKSAASMLSGKRPAPVSKKAAPGKTGGSKKDGAVRNESSKSVEPPEDVEPAEMGLEEIENRLGSLVKPETISQLKSTVWKERLEATLSLKEEIVGLQELDKSVELLVRLLCAVPGWNEKNVQVQQQVIEIITYISSTAAKFPKKCVVLCITGTSERVADIKTRASAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGLLWMVSAVDDFGVSLLKLKDVIDFCKDVGLQSSAAATRNATIKLLGVLHKFVGPDIKGFLNDVKPALLSALDTEYEKNPFEGTVAPKRVVKTSVSTSNSAGGLDSLPREDISSKITPNLLKGFESPDWKMRLESIEAVNKILEEANKRIQPTGTGELFGGLRGRLLDSNKNLVMQTLTTIGGVASAMGPTVEKASKGILSDVLKCLGDNKKHMRECTLAALDMWLGAVHLDKMIPYIIIALTDGKMGAEGRKDLFDWLTKQLAGLSDFVDAIHLLKPASTALTDKSADVRKAAEGCISEILRVSGQETIEKNIKDIQGPALALVLEKVRPGYVQEPFESSKAMAGSLSKGVSKVSKSTSNGTLKQGNRSRALPTKGSRPDQITSAHDIAIQSQSLLNTKDSNKDDRERLVVRRIKFEELRAEQIQDLENDMMKFFREDLQKRLLSPDFKKQVDGLDILQKALPSLSKEIIEVLDILLRWFVLQLCKSNTTCLLKVLEVLPELFNTLKDEEYCLTEAEAAIFLPCLAEKLGHNIEKVREKMRELMKQIIQAYSVAKTFPYILEGLRSKNNRTRIECTDLIGYLLETCGTEINGLLKHLNMVASLTAERDGELRKAALNTMATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKIKEMEKRREGRPGDARAALRRSVRENGPEVAEQSGDLPQMVPGPLFPRQNYSEQVLERNPVPQTIAGVNGPTDWNEALDIIMFGSPEQSVEGMKVVCHELAQASNDPDESAIDELVKDADGLVSCLANKVAVAKTFDVSLMGASSRSCKYVLNTLMQTFQNKKLAHAVKEGTLTSLITELLLWLLDERVPRMEDGSQLLKALNVLMLKILDNADRTSSFVVLISLLRPLDPSRWPSPATAEGYAIRNQKFSDLVVKCLIKLTKLLQSTIYEVDLDRLLQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMRPQPIILAYIDLNLETLAAARMLTATGPVGQTHWTDSTANNPSPPANSADVQLKQELGAIFKKIGDKQTSTIGLYDLYHITKAYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPSSLTLPSPDIPSLDVKPLMNPRSDSYTDDIRASNINPGTLDAIRERMRTMQLASEPVSKAMMPTNENLSTDNIPPMNQQNIPPSQMEEETPHRPHPVGLPMDEKALSGLQARMQRLKGGSLEHM >A07p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24912357:24913709:-1 gene:A07p046040.1_BraROA transcript:A07p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGSTLPYLNTSISWGGMIPNDPLSLKVVDARTEHMHTTKQISFQDQDSPSTQSTCQSYTEVASSGDDHPSRQISFSTKSGSEEETQRKVSATHAKTGAMTSLPNMHFAPPQTNFSFHYADPHYGGLLATPYLPHAPTCNPQMVGMVPGRVALPVEITEAEPVFVNAKQYHAIMRRRQQRAKLEAQNKLIKARKPYLHESRHVHALKRPRGSGGRFLNTKKLLQESEQVAAKEQERDKSVQQANMSRFKAHMLQHNKDRGGSTTSGSDVTCVSDSADIFGHTEFQFSGFPIPTQTNRAMLVHGQSNDMHGGGELHHFSVHI >SC229g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:9294:14007:-1 gene:SC229g500010.1_BraROA transcript:SC229g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIITSLAPSSLGSKLEGDFYKDHQPDQVSGVSRQEAVQSSLGEYHCLSLTKDVPGQFLASLRWLRSLLRGGDSNRFHEEVKLRGEAPLYLFIDQSRCMVVAAFLFTATASLSDMIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A01p008670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4187833:4193790:-1 gene:A01p008670.1_BraROA transcript:A01p008670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGKFDYSSGGPDRPLYRSNLASQMERSSSFRETMDHPVSSSHASMLRTTSPLAQTDVTNFFQCLRFDPKVVAADHKSIRQGDFKRHVNIALGIQGDESPSTTPKGKLIPSPIPEEIKRLKAGLRENNVKARERVKIFNEASSVFNKFFPTVPTKKRSRPEGFSNDRLSSGPSLVKMGIQGQTLPGCFELDQQKVDERPKSGALNKRTRTSMMDVRSNAIVRQSALDRDKDSIRPSNHTTVQGEDRSSIGIDGWEKSKMKKKRSGIKADGPSSLASNKAVDGYRELKQGIPKSAGDSRLRLNGDSNMSRHGAVNGAVPYGRSDSFSQQTGSAGRSLLSRDSDHNSSYNEKRERAIGSDKERVNHRAINKSNIQDESNSPSPTSNPKISVSFRGPRSGSGLPPKLSPVVHNTPSPSDWDISGCTNKPPLLSAVPSRKRMTSNRSSSPPVTQWASQRPQKISRSARRTNLIPIVSSNDDVPSPDNVSDVGFHRRSPAASPQMKFKAENSLSTTALSGSEESGPHEIKSKDKGKQSDEVDGKAALNVPKLSITGLQSRKNKLGDGVRRQGRTGRGFGSTRAVNPMGVMRHGTAKQLRSARNGSDTNESRVGRPPTRKLSDRKAYKRQRSTSSNAAPIDFLVGSDDGHEELLAAVNSAINFAQNFPSSFWKQMERYFCFISDAHINFVKQLGDFSSMGTTPVGSASEFDGRDIFPEEFTTSREDSKAAPLYQRLLSALISEDSISVNEDLQFGAEDESELNVLNHMVESNGYRSDRLEFDELEDDVPGMMLKGVNSSAHNVNGRFSDHLSRDFSDIPYENFGIDEKIYMEAQSIGICLEPMANISNVEDEGIVDEIKTLEEAIYEVIANYVLLSILKLKGSKKKEMLNRLLKPALEMKDLQEKEFDRLGYEKLVEMAYEKSKASRRHHSASGKSSANKISKQAAFAFVKRTLARCREFEETGKSCFSESTFKNILVAGLTQIEDNPMDKEPMGSQQPSSSLALRMTQDTETYANSSENALREGRDEAMWSNKMKERELPLNDVGGGPLSSSTKGKRSDRDRDGKGHASSSRGGGTNKIGRPALSNNAKGERKTKTKPRQKTTPMFSSPSVNIMEQNRTSQSKPTNSNNSEFSNLETLDESEPLDLSGLQIPDGLGGPDDFDAQAGDLSSWLNIDDDALQDNDIDLLGLQIPMDDLSDLNMMV >A06p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3121956:3123904:1 gene:A06p008930.1_BraROA transcript:A06p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELERLKTSINLHQWPPIGAPMNLRREEPWKSRFDDSVNAVSFGFVATAILISMFLVMAIFERLIRTTTTTTTTTTNQDSSSGRVLSGMDSRVGFNGAASKLGYQSPKARTQMTPQTFLKPNIPPILELSHMTVYTNGVSVLMPGDDIPTFIAHPAPVPCPQRIISQSQHQHSSSSDSSNSNSIQEC >A07p038690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20576746:20583243:-1 gene:A07p038690.1_BraROA transcript:A07p038690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGCVYDAHQVSEAFVKKYYHFVVTATHAAHQFYGVDSLVTRPGPDGTMMSFSSVEAIKKHYRSSYYDGATFDVVSVDTQSSSGGGILIMVIGFLTGKDNLKRKFSQAFYLARQNRNYVVSNDVHRFVDEEGMFAKSCPQVTKPVEKIKKTGQVHKATKKKSVNAAEVKKVVAPEKAVVTAPKPKEPVAETSAAPPLVGAKISYASIVLSMLRNAAPVQVKAAPVQKPSTVAQPKPHVAPAPEKKSDQKMVDEPGTSIFVSNLPMDARPLQVYELFKRFGALEGKGVQIRSSRASGSCFAFVAFESVASVQSVLKAAKSNQFKLGEHKLRVKEKQVEYNSSNPSDWRSECGSMSQSGSVDGSKTENVYVGGEEDDGFTLVRSRRNRNKGRACNLKIFRHYRFTHISLLFLNMAAEGGALDARKASEAFVEKYYHTVGTMTHAAHTFYADDCIVTRPGPDGTTMSFSSLEAIKKHYLSSYYDGTTFDVVSVDSQSSLGDGVFIMVIGFLTGKDNLKRKFSQAFYLARPNGVYAVVNDIHRFVDEESSTTRALPVVESVPEVTKPVEEIKNTAQVHKTTKKKSVKAAEGKKVATPEKAVTAQKPKEPVAETSATPALDGAKISYASMVLSMSRNAAPLQVKAAPVQKPSTVAQPPAPEKKSEQKMVDEPGTSIFVSNLPMDARPPQVYELFKGFGAIKGNGVQIRSSRFSGSCFAFVSFESVASVKSVLKAAKNNQFKLGEHKLRVKEKQVEYNSSKPSGGRSEGGSMSQSGSVDGRKTQAGSVDGIRSQSGSVDGSKPQSCLADGSKTENVSVGGEEDDGFKVVRSRRNRSRNIGKYPLGISFGSFIAVASSRSVNMYVGLLTLILIKKMTRILPEALARAKQAKLMVKPPNMLGETRENALLALFSIKAMKELVQFDLRHQVSSLVRPVQQVKLLPVHI >A08g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18225434:18236395:-1 gene:A08g509290.1_BraROA transcript:A08g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKNKGVIAVQDIAASTTTNRTKGIGASELEVSQVRGVQHSDTAEYGEPVVDHEKVQREGWHDKEAPVAAQGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVVDHSKARMRLSTSFGEHSCYGYYTFRAMEYIMNNGIPKTSDWRFSRKCSDYVGRRPSEANHIHVLNDVRCFKDVNRTLHYLKGQPIIGTIAVFLPEFADIRDEIYRGPTSCTSTFADWHAVSIEKIYILDGEVIADCKNSHGRGHGVGGYFKASLDVLIGNLDPTTGRWMILTKNKGVIAVQDIAASTTTNRTKGIGASELEVSQVRGVQHSDTAEYGEPVVDHEKVQREGWHDKEAPVAAQGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVMDHSKARMRLSTSFGEHSCYGYSTFRAMKNIMNNGIPKTSDWRFSRKCSDYVGRRPSEANRIHVLNDVRCFKDVNRTLHYLKGQPIIGTIAVFLPEFADIRDEIYRGPTSCTSTFADWHAVSIEKIYILDGEVIADCKNSHGRGHGVGGYFKASLDVLIGNLDPTTGRWMILTKNKGVIAVQDIAASTTTNRTKGIGASELEVSQVRGVQHSDTAEYGEPVVDHEKVQREGWHDKEVPVAAQGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVVDHSKARMRLSTSFGEHSCYGYSTFRAMENIMNNGIPKTSDWRFSRKCSDYVGRRPSEANRIHILNDVRCFKDVNRTLHYLKGQPIIRTIAVFLPEFADIRDEIYRGPTSCTSTFADWHAVSIEKIYILDGEVIADCKNSHGRGHGVGGYFKASLDVLIGNLDPTTGRWMILTKNKGVIAVQDIAASTTTNRTKGIGASELEVDHEKVQREGWHDKEAPVAAQGYHRNTKASSGNIRDARFHRGIDETQTRYSSTFFLDVVDHSKARMRLSTSFGEHSCYGYSTFRAMEYIMNNRIPKSSDWRFSRKCSDYVGRLPSEANRVHVLNDVRCFKDVNRALHYLKGQPIIGTIAVFLPEFADIRDEIYRSPTSCTSTFADWHAVSIEKIYILDGEDIADCKNSHGRGHGVGGYFKASLDVLIGNLDPTTGRWMILTKNKGVIAVQDIAASTTTNRAKGIGASELEVSQVRGIQHSDTAEYGEPVVDHEKVQREGCHDKEAPVAAQGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLVDVDHSKIRMRLSTSFGEHSCYGYSTFRAMEYIMNNGIPKSSDWKFSRKCSDYVGRRPSEANRVHVFNDVRCFKDVNRALHYLKGQPIIGTIAVFLPEFADIRDKIYRGPTSCTSTFADWHAVSIEKFYILDGEVIADCKNSHGRGHRVGGYFKASLDVLIGNLDPTTGRWMILTKNKGVIAVQDIAASTTTNRAKGIGASELEVSQVRGVQHSDTTEYGEPVVDHEKVQGEGWHDKEAPVAAQGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVVDHSKARMRLSTSFGEHSCYGYSTFRAMEYIMNNGIPKSSDWKFSRKCSDYVGRRPSEANRVHVLNDVRCFKDVNRALHYLKGQPIIGTIAVFLPEFSDIRDKIYRGPTSCTSTFADWHDVSIEKIYILDGEVIADCKNSHGRGHGRWMILTKNKGVIAVQDIAASTTTNRAKGIGASELEVSQVRGIQHSDTAEYGEPVVDHEKVQREGWHDKEAPVAAYGYHRTCWTKASSGNISDARFHRGIDETRTRYSSTFLLDVVDLSKARMRLSTSFGKHSCYGYSTFRAMEYIMNNGIPKSSDWKFSRKCSDYVGRRPSEANRVHVFNDVRCFKDVNRALHYLKGQPIIGTIAVFLPEFADIRDKIYRGPTSCTSTFADWHAVSIEKFYILDGEVIADCKNSHGRGHRVGGYFKASLDVLIGNLDPTTGVQIELTIQSLQLVAQIRDSHNHMRII >A03p057410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24903333:24903929:-1 gene:A03p057410.1_BraROA transcript:A03p057410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNKWTSKGKDKGDDDKLKHLSYVNEKLPQDTLLVLAEVQEAEHDPQVCIDFALVYRVFMFAADCATYGGVWGGQGGWLGRI >A01g510360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:28391507:28391824:-1 gene:A01g510360.1_BraROA transcript:A01g510360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKKIVDKALFLLEEMNEGGLTPFPKVYCSFVNTLGKANELSKEEKENHGNLMIKHFGKQGKLKEDVVVDLLKDQGSGLDVNALMSGMVKNILSPLRLYLLKF >A10g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3966619:3969358:-1 gene:A10g501380.1_BraROA transcript:A10g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRRLPFQSSGLPGSRLEDFMEVVWTSWKSSDEFCLISFLLIYHLCRFLIIWYSSSTHLKIEILILDMYFCVFCKETIDLGRVSQLGHDFPREEKSMKFRPRYSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALQSQLSKAEIGDSSMPHISDIPDTPTSKIQTLVSVLIKILSQK >A09g500380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1718663:1719010:-1 gene:A09g500380.1_BraROA transcript:A09g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTVVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A02p035650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19468967:19471958:-1 gene:A02p035650.1_BraROA transcript:A02p035650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLSIVLTFLSLIVMPCLFVSWRLRVPLSITNIRRFIKTTASQCNDKEESGTTGEKEKGGRMPKHVAIILDGNRRWAEKRGLGPSQGQEAGARTVMENVKDYFSMGINTVSLFAFSTENWGRPGDEVKYIMDMFEKIVKSEMPNLRRYGVKVSVIGKRTNIPESLLHLIGEAEEATKNNKEKQLIMALDYSGKFDILQACKSLAEKAKNGLINIEDIDENLMDKELMTSCSEFPNPDLLIRTSGEQRISNFYLWQSAYTELYFPSVLWPDFGGAEYLEALDWYQKRQRRFGLRV >A02p040870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25791439:25792031:-1 gene:A02p040870.1_BraROA transcript:A02p040870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLSIRMPILMPRFVHQFFRGQNWIDIESTWLNFTNVTEILELPTISLGFTFVIPRSDQRPRKGIALSQLMTGSIRIIVSLMVLAAKFDISVNTLNQLRHYFYINMNRVAFEEPPIDYPVLWNPKTGR >A04g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22788677:22789287:1 gene:A04g508550.1_BraROA transcript:A04g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASYLFHPPPLPPSPPQDSNHSHLTTLGFGYTIAIGLGFLVLISIVLLSSYICCRDSRRRTTAVETTEERGRSVNLPRVIFVSEEDNEDLEAGEVIVGLDQAVINSYPKFHFSKETSAASSDGCAALAVCR >A04p039660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22454658:22455984:1 gene:A04p039660.1_BraROA transcript:A04p039660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLHREFSMKYVVDPGFSKMKSYNPRTGMESLLVTPISKASATQRAGRAGRTSAGKYYRLYTAFNYKNDLEESTVPEVQRTNLASVVLALKSLGIHDLISFGALELLFALGALNKVGELTKAGRRMAEFPLDPMLSKMIVVSDKYKCSDEVISIAAMLSVGGSVFYRPKDKQVHADNARINFHVGNVGDHIALLKVYSSWKETNYSTQWCYENYVQVRSMKRARDIRDQLEGLVERVEIEISSNMNELDSVRKSIVAGFFPHTAKLQKNGSYRTVKHPQTVHIHLNSGLSQVLPRWVVYHELVLTSKEYMRQVTELKPEWLIELAPHYYQLKDVEDDASKKMPKGAGKAAAI >A05p007350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2946137:2946781:1 gene:A05p007350.1_BraROA transcript:A05p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:Projected from Arabidopsis thaliana (AT2G40380) UniProtKB/TrEMBL;Acc:A0A178VRS5] MSSPATLPVTNQQATQPQPPINTPAFRTFLSRLSSSLRASLSQRRPWLELIDRTSFARPDSLTDSISRIRKNLAYFKVNYAAIVSLVLAFSLLSHPFSLLVLLSLLGAWMFLYLFRSSDQPLVIFGRSFSDRETLLGLVVTTVVVVFMTSVGSLLTSALTVGVAVVCLHGAFRVPDDLFLDEQEPANAGLLSFIGNSAAATSAAAAASVVAGRV >A02p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4602303:4604205:1 gene:A02p010620.1_BraROA transcript:A02p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase beta-2 subunit protein [Source:Projected from Arabidopsis thaliana (AT5G21170) UniProtKB/TrEMBL;Acc:Q2V357] MGNANGKEDDAVSNFDADANGGDPSSRTRHGPGRPSSDSMSSSPPGSPAPSPSPFLFAPQVPVAPLQRTINAPPSPNKIQWNQSQRVFDHPAEQGIPIIITWNQGGNEVAVEGSWDNWRSRKKLEKSGKDHSILFVLPSGIYHYKVIVDGESKHIPELPFVSDEMGNVCNILDVHNFVPENPESIAEFEAPPSPDHSYGQTLPTAEDYTKDPLAVPPQLRLTLVDTSEETGKAAKPQHVVLNHVFIEQGWSSQSIVALGLTHRFESKYITVVLYKPLTR >A03p019370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7897179:7897769:-1 gene:A03p019370.1_BraROA transcript:A03p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGLDDPGLVFAVGTKQIRIKPNQSFTILILTSNHQSEANESYQMGSDWRRSMGNVRSFVGNSMGGLRGGQNLASWLVAGTIAYYLWVKPAQDLKKEQQARALLAVADANEYVEKRKPIADPQVTGLIYGNKNRTDKQQD >A04p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7406686:7407769:1 gene:A04p010080.1_BraROA transcript:A04p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMQNSVQQICRSQAVVRQNSYKCAKHLDDAKKMQVQKGRGRKRDEFQQICRSQAVVRQNSYKCAKHLDDAKKMQVQKAVQENLHGVSPEVIDVEGDPTDGARKDKNNASTNKIASNEKCYFPKTPTRKGYKGKKKSVPSQAHASKTRSSRSKAKEKGMKSKQYSEKASGDESKEQEQNALGLYRGDGAVVPYEIKGEKPKAKVQLDDETTRLWTKLLMGKGDKNGDEDMNKSKEKWWEEERKVFRGRVDSYNVCMQLVQGKSPSAF >A08p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3230389:3232128:-1 gene:A08p005650.1_BraROA transcript:A08p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEFQNCFIFILLCFFTVFCYSVLFCRKTKLEVDFPPSPPSLPVIGHLHLLLSAVSHKAFQNISSKYGPLLYLRLFSFPIVLASSASVAYELFRTHDVNVSSRMPPITIESLIFGSSGFASAPFGDYAKFMKKLLATRLFRTQAIENLRGVRAEELERFYLNLYDKAAKKESVEIGEETMKFTNNMICRMCMGRSCSVENGGIERVRELIIKCFAMSKKLFLANTCLTWLEKLGISPFKKEIMDVSHGFDELLERILVEHEERPEEDQDMDMMDLLLEASREENAEYKITRKQIKSLFVEIFMAGIDTAAQATQWTMAEIINNPKILERLRGEIDLVVGKARLIQETDIPNLPYLQAVVKEGLRLHPPGPFLVRRFQESCKIKEFYVPGQTTLLVNVYAIMRDPDLWEDPDEFKPERFLSSRSSEQEEEIKGQAFKYIPFGAGRRGCPAGNLGPIFVGIAVGMMVQCFDWRINGHGEISMEEVIAGISLTMAHPLKCTPVSRLSSFSL >A09p049670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43859987:43863045:-1 gene:A09p049670.1_BraROA transcript:A09p049670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 8 [Source:Projected from Arabidopsis thaliana (AT1G27920) UniProtKB/Swiss-Prot;Acc:Q9C7G0] MEEEGDAEEQSLKQEVSIAEYVSLSLLSSKQNQHHQYHKPDHTSIPIRENSSIRRWMIWDEVGEDKFEREKVLLDIEQECVEAYRRKVDHANIARSRLHQELAESEAELTHLLLCLGERSVPGRPEKKEGTLREQLDAIAPALREMRLRKDERVKQVRSVKGEIQKISAEIAGRSTYEESSTNIKIDDNDLSIKKLEEYQNELHRLHDEKNERLQKVEIYICAIRDLSATLETEVSMIITKIHPSLNDLYGISKNISDDILKKLNGTVVSLEEEKQKRLEKIHHLGRALSNLWNLMDASYEDRQKFSQVIELLSFEPSDVCAPGSITSGIIQQAEAEVKRLDQLKASKTKELFLKKQKELETTCNISHMETPSTEVGDIINLVDSGEIDHVELLNAMDEKIARAKEEAASRKVIIEKVDRWMLARDEERWLEEYDQDENRYSVSRNAHRNLRRAERARKEVSKITGLVESILVKTKSWEAERQKEKEVEKLRLREKKKIIPPPVAQQDNVYVARPASSNRRISNRSINGGYGSASPLNRKLSRGFNNNTSYTALGTSLRRESSVIIKNTWP >A03p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3127546:3130443:1 gene:A03p007570.1_BraROA transcript:A03p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLNDYTVIKEGEAEILMHKKNKVFFNKAQVNNRDMSIAVLRAYISKRKQEHEAMLSKRARSSGKAPVKDVSSEVSKEETPTENGEDNGKTNGETSQDGPKEAAKTTYESARRELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDPASVEACQRNLKFNGLMSTSKVESHLTDARVHMLTNPKEFDVVDLDPYGAPSIFLDSAVQSVADGGLLMCTATDMAVLCGANGEVCYSKYGSYPLKGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKNTPLKLSYFYQCIGCDSFHLQSVGRSLPKNKSVRYQAGVGPVVPQDCTHCGKKYNMGGPIWSAPMHDQEWVTSILNGVKSMKDRYPAYDKICSVLTTISEELLDVPLFLCLHSLSGTLKCTSPSAAMFRSAVMNAKYRVSGSHVTPLGIKTDAPMEVIWDIMRCWVKNHPVKAQSPQHPGSVILSKEPSLQVDFSRHVGSLSKAQVKKEARFLPNPEKHWGPKIRAGRQITSKHVSLIGHEAVSDHLNGHKEGEEKQEDVTEDDEPELKRQKTEEDIASTS >A02p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13466082:13467030:1 gene:A02p027750.1_BraROA transcript:A02p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDGDTSTSAGAARRESENNRRRCVAAKIYTGLRAQGDFNLPKQNIAITMRCSKLSLSSEAGWVVEQDGTTYPKVTNAQIFQIGSCLLIASLNLNSLGMETTLSLSEHSVLIPPPGDIASPSSGVTPYTSQNQSPLSSAFQSPIPSYQLSPSSSSFPSPSRGASGDVSGIGQGSEIKFENSQVKPWEGERIHDVGMEDLELTLGNGKARG >A03p061470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26710948:26713758:-1 gene:A03p061470.1_BraROA transcript:A03p061470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQADNDRKESQDSTARHNSAVGGGGGARYRLMSPAKLPISRCNDITIPPGMSPTSFLESPVFISNIKPEPSPTTGSLFKPRPVHVNSSSYTGRTLHQNTFTEHKSTEFEFRPPASNMVYAEHRSEPPVQDHGSSHSPSSISETAASSTDLSRPTPPPLQTPPTGSDQDESVQTSQNDSRGSTPSVLADDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQMTDIIYKGTHEHPKPQPGRRNSAAQEERIEKGVYNLSQAIEQTGNNNPEVPPTTEDGGEVKDDLEEEEDPFTKRRRLEGSMEITTPLVKPIREPRVVVQTLSEVDILDDGYKWRKYGQKVVRGNPNPRSYYKCTAPGCPVRKHVERASHDPKAVITTYEGKHNHDVPTSKSTNHHVIQPRFRPDETTDTISLNLGVGISSDGPDHTSNERNQQLLINQTHPNGVGFRFVHAAPMSYYHGSLNSGIHQYGSRETQNETRNGGVSSLNHSSYQYPHNIGRIQSGP >A08g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15743780:15744174:1 gene:A08g508550.1_BraROA transcript:A08g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSEPGAEVTMKLEIESSSGTRLLSDVVSASAAAAASAAAAASMKTVVVRFADADAAADAAAYHIAPAGFIGVSRRTQRSDAAAAAGSCVNETNKS >A07g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1428006:1428947:-1 gene:A07g500740.1_BraROA transcript:A07g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSQKKLILLVLAFACLSSSGAEAWSWSWSNGSGWGWGSDGSSSSSSGPGSNSDGSGWSWGSSPGWGWSWGSDGSDNSGSGSGSNSADSGWGWGWGSDGSSGSGSGSGTNPDGSRWSWSWNPRSGWSWSWDSNHNDSEAPNGSGTDSEAPCSSSGSDSDAPRNIVVGGSDGWKKGLDYKEWASKNAPFYVNDVLVFKYDKSAKRRNNVYLFQDPWSYMNCDLKNAKKIGLTHKRSEKNFKFTLRQNKPYFFASGEHDGDYCTNHNMKFTLFPVPHHSE >A04p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18319238:18321085:-1 gene:A04p030890.1_BraROA transcript:A04p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSILRREGRRLLRPIAAIRSPLASSDQEEGLLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQGRAENSRGLWQPFTALLGDNPSIDVKKSVVVTLSSDKGLCGGINSTVVKVSRALYKLNAGPEKEVKFVIVGEKAKAIMFRDSKNDISLTVTELNKNPLNYAQVSVLADDILKNVEFDALRIVYNKFHSVVAFLPTVATVLSPEIIEKESEVGGKLGELDSYEIEGGETKGEILQNLAEFQFSCVMFNAVLENACSEMGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEAAK >A02p047300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29542580:29547407:-1 gene:A02p047300.1_BraROA transcript:A02p047300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQHLEFYFQVHRKCWAKRKPLECHLLVSESNPSFRYNNVSECSLLFYYCLSFDFSLKNLLFWPPVRFIIRKCSSIKCFEVRKRLYGHQHAAQHSDPIFNITQLKEASEENKTDSGLSQMAKILVHEVQHSKHVHNVAPLRDRSSVDVKSIANGALKISERQPLELTTQTKLVTESENCWRALAWNTALNICNPLAFVVILLCTDRINYPLILTPLCEEAEDASSDCYIKMLEMLKNSTEKNQELEQEQIFWKNMKDFEICKVTRQWNYTMHERQPHLTVLSIENGALKCLEEISGISSSGFLKFQSIEMELSNG >A10p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9064770:9066825:-1 gene:A10p004880.1_BraROA transcript:A10p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYIYTHASNPKSKHKLKLTQLTMTKSQITLAILFTLLTFIDVSTSSSNVFNVVSFGAKPDGVTDSTAAFLKAWQAACVSAYSATVMVPTGTFLVKGITFTGPCKSKLKFQVAGTVVAPADYWAFGNSGYWILFNRVSKMSLVGGTFDARASGFWACRKSGQNCPPGVRSITFNAAKDVVISGVKSMNSQMSHMTLKGCTNVAVRNIKLVAPGNSPNTDGFGVQSSTGITLTGSTVQTGDDCVSIGPGTRNFLISKLNCGPGHGVSIGSLAKTLNEDGVENVTVSNSVFTGTTNGVRIKSWARASTGFVNKVFFQNLIMKNVQNPIIIDQNYCPTHQGCPTEHSGVKISQVTYRNIQGTSATQQAMNLACSKTNPCTGITLQDIKLTYNKGTPATSYCFNAAGTNLGVIQPTSCLNK >A09p060730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50285347:50287106:1 gene:A09p060730.1_BraROA transcript:A09p060730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLLQSPSSTYCFSSGSCSINRYRFSSAIPSSPNPLSVSFPQKTRRTTNVVSMSKKDGDIESLSYKGSGVDIDAGTELVRRIAKMAPGIGGFGGLFPLGAGDSYLVAGTDGVGTKLKLAFETGIHHTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIKGIVDGCGQSDCALLGGETAEMPDFYAEGEYDLSGFAVGIVKKDSVINGKNIVAGDVLIGLPSSGVHSNGFSLVRKVVARSGLSLKDELPGGSTTLGEALMAPTVIYVKQVLDIISRGGVKGIAHITGGGFTDNIPRVFPDGLGAVIHTDAWELPPLFKWIQQSGRIEDSEMRRTFNLGIGMVMVVSPEAALRILGEAKNGDYVAHRIGEVINGEGVTYQ >A06p034520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18670436:18671411:1 gene:A06p034520.1_BraROA transcript:A06p034520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIVSWDEFVDRSVQLFKADPESTRYVVKYRHCDGKLVLKVTDNKECLKFKTDQAQEARKMEKLNNIFFTFMARGPDVDLSEVNGKEQMETQPVKKGRGRKQ >A08p033580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:20143331:20144866:1 gene:A08p033580.1_BraROA transcript:A08p033580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g28690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G28690) UniProtKB/Swiss-Prot;Acc:Q1PFQ9] MRIFRLSSVAPRIFQSNHYATLSPAATIAGALQEHINSPSPNSGKKIHADIIKTGFRPNLNISIKLLILHLKCGCMTYARQVFDELPKPTLSAYNYMISGYLKQGLVKQCLLLVQRMAFSGEKADGYTLSMVLKASSSSSTSLGSLCRLVHARIIKGHVELDNVLVTALVDAYVKSGKLECARTVFETMKDESVVCSTSMISGYMNQGLVEDAEEIFDKTRVKDIVVYNAMVEGLSRTGETAKRAVEMYVSMQRAGFHPNISSFASVIGACSVLTAREVGMQVHGQVMKSGVYEHIKMGSSLLDMYAKCGGIDDARRVFDQMQERNVFSWTSMIDGYGKNGNPEEALELFAKMKEVNIAPNYVTFLGALSACSHSGLVEKGYEVFESMQRDYSMRPKMEHYACMVDLMGRAGDLSKAFEFVRAMPERPNSDVWAALLSSCRLHGNVDIASAAASELFKLNADKRPGAYIALSNVLASAGKWEKVSEIRDVMKARKIPKNIGRSWISADKAQ >A10p031140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18682317:18686026:-1 gene:A10p031140.1_BraROA transcript:A10p031140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGKQVVPMDYEAEVSQGLLDAILDGDIKTASVCVSDPLLDVNFVGAVSLKTRRSEVVLRDETASEIRVEYEEFKTDVTALFLAVNFGNVALVKMLLNIGADVNQKLVRGFATTVAVREGHFEVLEILLKAGASQPACEEALLGASCHGRAKFAELIMGTDLIRPHVAVHALATACCRGFVDVVGTLLKCGVNANSTDRLLLQSSKPSLYTNVDCTALVAAIVNRQVSVVRLLLQAGVKTDIMVRLGAWSWDTNTGEEFRVGAGVAEPYPLTWCAVEFFETSGEILRLLLTLQSPNAPHNGRTLLHHAVLCGNQAAVRVLLSCGADPETPIKGIELRPIHMAARAGSVEIIQELVGFGCDVNSKTDARDTALLISTRHKHSECVKILAVSGADFALVNKHGRSVISVAGSSKWCLGLERVVLELIRSGVVPHSSDALVFSPLLYAAKAGDAEAVKTLVKAQEVFLDYQDEEGFSAAMLAAMNGHVEAFRVVVYAGADVKLFSKGGDTVVSLSVKNGNLDMIEKVMLEYALEKDNRSMAGLFYALHCAARRGDVKAVRLLSGKGYGLDVPDGDGYTPLMLAAIEGHGKMCEFLIKHGANCYAKNGRGKTLLDVAVGDAEEVIRDELSRWFVLKGSSVMKHTKGGKGKKHGKGLKMLESSGVLSWGKSRKRNVVCKEVEVGMSQKFRRNRKGKGDAAADEEGVFRVVTKEDKEVHFVCEGGLVGAEMWVRGIRVVTRVATCGRTQTQS >A01p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18543682:18545665:1 gene:A01p028130.1_BraROA transcript:A01p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPHYQSADIQSWEIAFQLMDQYEKVEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHSNIVKLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPDFSKDLHMIKTYLYQILRGIAYCHSHRVLHRDLKPQNLLIDRATNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSHHYSTPVDIWSVGCIFAEMITQKPLFPGDSEIDQLFKIFRIMGTPNEDTWPGVTSLPDYKSALPKWKPTDLESFVPNLDPEGIDLLSKMLLMDPTKRINARAALEHDYFKDLSVMP >A05p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24609850:24611530:1 gene:A05p040150.1_BraROA transcript:A05p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVEEGKLVHEECSTLILPALSIGNVGQLAVDLLVSSTAAERVGYVDDLNLLPCVGNDAYGPLPCGDIALPLEVYESSSTAITLAQQRSPVAKGMMIKFAENIAEFAASSGKKDVIVLSSLDFQRLHNLDLSRGPQVYYLSNAESDGRDDHCERLGFGRLGEYDPEGRCWKYLSSVFDKNNKEELSFPSEDELEDIDYYPSLPFAALFSAFKARGLKVTCLLCYCSEGDNIPDAFLLAEAASKLTGLTPDKFHGEEGGKWRIPYSWKSMYGAPPDMSMF >A05g503400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9985243:9985506:-1 gene:A05g503400.1_BraROA transcript:A05g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSALWNEVVGEAPGKDVGSSVSSSVRGDGVGTTTSARQNEVVAEALGKDARFFSRRCRHGGKLSVGMMSSGSSRRRALRRREVVG >A01p022060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10809861:10811399:1 gene:A01p022060.1_BraROA transcript:A01p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1-Ibeta2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16820) UniProtKB/Swiss-Prot;Acc:O23522] MQILTPNTDLFHPQRKLFTCSTITSTLIPTKPLSVSTARKTNREHLRNLENVLKSSTTSTDNHDNNVSSRPVKTTTSTSTLLGGLSLARIWPHMKAAVDEMSPRILKRLQRLLSKSSEERSPKNKLGSNWRELHGSNNWAGLLDPLDENLRREIVRYGEFVQASYHAFHSDPEGSPRDIALPDGSFKVTKSLYATSSVRLPKWIDDVAPDLRWMTKPTSWVGYVAVCDDPREIRRMGRREIVIALRGTATLLEWSENFRPNLVSMPEPKPDPSDPTRPKVECGFNSLYTTASQHAPSLAESLVGEISRLVDLYAGEELSISVTGHSLGAAIALLAAGDIAERVPNAPPVSVFSFGGPRVGNREFADRLVSKGVKVLRVVNSQDVVTKVPGIFSDKDNNSKKGQQNRIMEMVERNNPWAYSHVGAELRVDMKMSPYLKPNADVACCHDLEAYLHLVDGFLASNCPFRTNAKRSLRKLLDEQRSNVKVLYTGKSLRLNRDSIVDNGDVLPSPSS >A04p020240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12332844:12333123:-1 gene:A04p020240.1_BraROA transcript:A04p020240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKIPSGVVASVIAFSTAALSSSSAIPPVSPKGWDSRKTEKLEPRFDGLRFIETLVTAHR >A09p028260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17017010:17017668:1 gene:A09p028260.1_BraROA transcript:A09p028260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEVNVDVEIKSPASKFHMFIGRLQHLPKATRYVQGCDLLEGDWGMVGSIVLWKLLFDGEARLSKDRIEAIDFEKKVIQWRVLEGPLKTQYNSVLKTMKLSPNQGGPGSMVNWNVKYERIDHEKVAHPERLLQFLVEVTKEVDGYLLSEE >A04p029350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17564027:17565383:-1 gene:A04p029350.1_BraROA transcript:A04p029350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGITWVGNVYQKFEAMCLEVEEIIVQDTAKYVENQVQTVGNSVKRFCSEVAQDLRPVDSPVSTLHEYAPVCSSFKKKREEQDVTEGKKDGCAMELRGLDADDYDICTSPRQHGYGGPYRRTRLGRKQGFKKEVTRPYLQKDSTSLSMVHRTRLRNVVGTVKSSDEHGLTVVDSVRSQYSETKTKDEHGPEVANSVRSKDSVIQPSVPISLSSGSDDCREDEDRMETSSSSVSEHKPEILQGLSGRSVEESCIIVDRDELPCVFPNKEENDKHKPYKKIRDAISSRMKQNREQEYKRLARQWYTEDVENPKQIEEDQSSEESEWELL >A10p018720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2908550:2909743:1 gene:A10p018720.1_BraROA transcript:A10p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWETGEIPEDLMIEIAARLPAKSVMRFKCISKFWSSLPLSTHFCNRFLTYQSQQPRFYMSLVHRLENTKSLLLSSTPTTTCPCPSSSLEFHQDLTIGGGMVGNLLRVLHGFICFTVKSEARIYNPSTRQLVILPSIQESFVKGYPHYFSLYFICHDPVSDQYKLLCVITALFSRQGLDEQEKEELASVPETISEHWVFVLEAGGSWKRVAEDFRTPHHPSRQQVTMNNVLYYMAFTDSSQTCVLVSFDIRSEELSMIKLPPPRGKRLALINYGGKVAVFDFSLLKENGLVDLWVVEHWRNKEWSRKTLFLQPCQMHLVTHCFFTAKGTTLKGQVLLVPQYLIPPFYFLCYDFQLNHLKKVEISGIPDHWFTMDSFFKSFDVEFMDPTENVKYLES >A02p007100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2999105:3003989:1 gene:A02p007100.1_BraROA transcript:A02p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGEEPEFMNLREWDRRARFIRENPTSRRFSASYVGSFREDYHKSSSRINVNNISSTASSPGYSLKEEIDPSTYSFTNALKSLQAKSMFNKRDWLTQEGFALNSKWNEAEKYICNPLSGEVPMECLSSKTLRARSFRNLTTMSAPLHCPIPNPLTKIGQNKTNNNNNNPNVRVIHEDLYALDPVLVRAEEKVVGLKRDVGIKSTWVDSSSGSPSPAETPPIMERSLKRYVEANDLLVDFNLKLECQPEDLKLAEDEKEEEKHEMSKKEEEKQEMSKEEEDKQEMTKEKGEEEQKKSEEEQQMEKNKKRGSGCFPWVRSRQRQARKSNTEDDVLEEMKKEYKKRNMIRPHVELSDFKHERRSGSYPNSIIIVVDTISDEIQVSPSVRVDISLPSYLGFSRREVLQDQFASHRWLSDKLAPEISEIAATLGFGCNGLGVTITVKITPSPLKEEVLTRMVQLGKMSKEELKTSKMETEPCSICLDSFVSGKHGVSTRMTCSHVFHEKCLLVWFQRRNTCPLCRTVLYDRLMIGNKGSRN >A01p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3436933:3446345:1 gene:A01p006840.1_BraROA transcript:A01p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPSSPKSNAPFFVVSLASHNSNPLIPEAFFAANNKLTSLKLTSDACDRTWQVKLNGRRFTEGWEDFSNAHCLRDDDVLLFRDVGQMIFHVTPSGRSFSQIHYISSSADNIEADEIDDDTDDGSEDEDGDSEDDEGGSKFEDGSHSVSSQASETAVADEGTTRGKKPVEGKELSEFQTMWNFKKQDLAMKEKLLKMKILNRLIAKQGPLADNEEALKKKLIIELMSRRLKKRTIFLCLNLGRWNLDTTKQLVKLICAEGGQLCRPNTSTILHKKRDVPETEGDEIETEDCPDTAQMNQNRTVAIDFKPDMLRSGQLRLPALFSRENGINEAGEKVGDSFTLDVVRGGTSPVLKICSKEKEASVDGNQTTSRRQSRMIQASRAEEEMETRVQKKARVSGEGGSSRHVRQFRSELDRKEQNLETSLLEIDDLGEKIQGISKFFNVNQIIPNAFFATHIEGKNELTKLKLTSDACDTTWQVKLNGRRFADGWEEFSNAHCLRNDDVLLFREDGEMIFHVTPSGRSFSKIHYISSSSDDSEADDTDDEDTDDDDGEDDDGEDDDGDDHGDETWDKVSDLRTRIKAESSSTENSCILGVTSSNLRLNRVALTKSFTKANGLHKSWCEINVMNQSGKSWVMGLRHNKGTGQDFMRGGWRSFCRANKLKAGSFYRFELVRTGTSPALKLCSDNTSQRKCSKAKVSTNLNREGGERSSMSRSKFMTVTLKPYMLKSRQLHFQNSFARENGIKKGGKINLVDKNGGTWPSCVASGYVNGGFYLAKGWVGCFKASGIKTGETFTLKFVREIGNTPMLQFFSQAKTKMNSGTRFQKKARVSVEGEPSRRTHASHKSTVDTNNVECKQPLETIYHQVRQSIVNVLADVRRFRSELEIKEQNLEAVMEEFDVLGEKVSEINKFFK >A10g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2778559:2779958:1 gene:A10g500920.1_BraROA transcript:A10g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAALFAPELEALPQGNVTQDLDTRSPKETSSEEVLRITLTDPTTEVFPAVEDGSWEKVYGNVSKERATVEQNNRSTTKKQSLDENIIVVSPSRFSPLMGIEEEAEEVEDNVVEEVEIDVEEGEITGDARCSNARVASGVKKPSAMLNKTSKQRIVRAKDLKFAGRQVSTKRSSVRKL >A08p016470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10724956:10728808:1 gene:A08p016470.1_BraROA transcript:A08p016470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTASLTDASPATPTSSKTSSSPLMANSRFPEAGTASFASGISPPASRLADSSDTPKTSSPWPSRSTTVRSSRPPVTARSSSGTPGGGEGHRDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLSNCKLRSTLSGHTGYASTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSVVEDLKVDLKAEAEKSDGSGTAATKRKRAEAINREIKRTDREMGKKKKRATEKVWCYYCDREFEDEKILVQHQKAKHFKCHACHKKLSSATGMVIHVLQVHKETVTKVPNAKDGRDSTDIEIYGMQGIPPHLLAAHYGEEEEESLAKVAKVEIPSVPLGAAVPRPYGTVYQPQQVPGAVRPLYYPGASMRPPGHAWPMPPPPQQWYPHNPAVSVHPPAHLGYHPPQQLFPVHGMGMTVPTSSDVANGVTPSSSPAMPVSQPLFPVVNSITPPQASVNAYPPNNSFPVGGTNPHSYASGPDTSGPSIGPPPVIANRAPTSQPNEVYLVWDDEAMSMEERRMSLPKYKVHDETSQMNSINAAIDRRISESRLAGRMAF >A02g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21938768:21939809:-1 gene:A02g507890.1_BraROA transcript:A02g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFNLSATRDTQVHIIDPLNNRLFINFKNIHAIPHMDHMDRNYPIEKRNTYLVDTMGVIFNTEAHFDDPASPRMEFYIRDNIDSRITCVATGAHAYAFRDGLENMKGRGEVIVVPKMWRLWKFWSYFGPPDLWLETEGRLSDFRFNPRLPEVEELKQSLLHSDPYVQRYGTVGLL >A08p038220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22141031:22144500:-1 gene:A08p038220.1_BraROA transcript:A08p038220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLACGEDKMKTNGLVNGGTTTSTTSQSTLLEEMKLLKDQSGTRKPVINSMLWHACAGPLVCLPQVGSLVYYFSQGHSEQVAVSTRRSATTQVPNYPNLPSQLMCQVHNVTLHADKDSDEIYAQMSLQPVHSERDVLPVPDLGLLRGSKHPSEYFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTAQPPTQELVVRDLHENTWTFRHIYRALPSSVLSADSMHIGVLAAAAHATANRTPFLIFFNPRACPAEFVIPLPKYRKAICGSQLSVGMRFGMMFETEDSGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCNDKPTRVSPWDIETPESLFIFPSLTSGLKRQLHPSYFAGENDWGSLIKRPLPYATFPNMASEQLMKMMMRPHNNQNAVTSFMPEMQQNVLMGHGGLLGDVKMQQPMVMNQVVQVQPDNNNPSVSHTSGQEQNLSQSMNAPTITANSSGGVNHGNEELSQKPSALSPLQADPCPEQIYPPQQSDPVNGFSFLETEEMTSQVSSFQSLAGSYKQPLMLSSNESSPIVLPDSTNSFQDMWDNQLNGLKFDQFSPLMQQEDLYGCQNMCMSNSTNSNILDPPPLSNTVLDDFCAIKETEFHSLVGNNNSFAQDVQSQITSASFADSQAFSRQDNSGGTGGTSSSNVDFDDTSLLQQNSKGSWQKLATPRVRTYTKVQKTGSVGRSIDVTSFRDYEELKTAIECMFGLEGLLTRPKTSGWKLVYVDYESDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSACINDLKT >A08p040300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22999467:23000336:-1 gene:A08p040300.1_BraROA transcript:A08p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNLEKTEDSNNNKNFYSSRPMADDEVVDPKKYLEESCNPKCVKPLLEYQACVKRIQGDDSGHKHCTGQYFDYWQCIDKCVAPKLFTKLK >A01p020020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9840683:9841239:-1 gene:A01p020020.1_BraROA transcript:A01p020020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPKSDAPLITPNDLAEADGFVFAFPTRFGMMAAQFKAFLDSTGGLWRTQQLAGKPAAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPMGYTFGAGMFEMEKVKGGIPYGAGTFAGDGSRQPTELKLEQAFHQGKYIAAISKKLKGPAAA >A06p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21734094:21734454:1 gene:A06p040130.1_BraROA transcript:A06p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTAFFIIVALLLFSTLTYASARPISTSVYPEEISVEKLEQGEENCEGVGEEECVLIRRTLVAHTDYIYTQNHNHP >A09p048160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42996861:43007067:1 gene:A09p048160.1_BraROA transcript:A09p048160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLLSRLSDLSFRRHSLWQLHKTIRLFSSSSTSPCFPLCVEEKDSPGDGGMVGDVHLFDAATEGLVTVADKTIPEEIVNGGIVVGASHGWLFFKDRHDHSVYVTDFYNSLAFKTNATMVPMPTFTALHHCQTEVVWNVAMSTSPGQQEDEDDWVVGIKFLGNQLSFCRPRRDLRWTNVSAPFKIFNNSNLMFSKRDKTFKLPAPVGNYLCSWDIQFHKDEYPAPKIHKLLFHNLPQLPLSMWELLDSCPREDHWVESPSGESFLVKWYSRVSLSPSLSTLPIVMVFREEDRKDGIIKMCYTEDIGDICIFLSKSEAFCVPASSCPGLKPNSIYVADRVFSVYDLTTKTFHHFKYPIDAPEKISRVPCWLPPIHEKARRVLSSSAATNPYVSLEISADLNEGGGTIIGSVLLFDAAKHDVLTVEKTIPEEMIVEGKGVGASHGWLFMEDQRDRSLSVTDVLNPLPCKRETTVIPLPPLASLQLCQSKVGWNVAMSTSPDQDDEDDWVVAIKFLGGQLSLCRPCRDLRWTNIQTPLLGYLDNSNLMYSKRDQCFYLPSPGGHHLFSYDIKDKDHPNPKFHVLQFRDLPELPQSEWEILVGSCYRTEYLVESASTGEHFLVKRYIQTYLKNGKDYKTKQFMVFREEETLEGGRYMCYTDNIGDVCIFLSSSEAFCVQASSFPGLKPNSIYYIGQGFGIYDITTGTSTPFQGAPAKLSASFWLPLNMSHRIKDHPPRVFFRCGNPFKNLFPKTNNARIFPNLLSLLNSFETSLMLSIRELIPKDDGNAILTVSWMKEAMASLCETHKSIRTLVTDLELHVSDLEENFIYIYSDISSKLLELCNSFTSELDRLNHGNMLLKFTFSKLETSSCSEEISLLHLESWRQHMASKNPRIENCGAILSSLVESLKHHHHSLSKKKLSGKGKVLLRALYGVKVKTLYITSVFAAVFSGSSNNLLYLTIPKEMEEVPWAQGFMELQNMVNPEIKNAFLSDRFTVIKELEAVELGVKKLHTAVQEGSDTNALVEVLKKSVMELSERFDLVSKETGCLVKTVISSRDALVERLWTKYEDELGVKLPMMISVKRLVCE >A07p050130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26729146:26732442:-1 gene:A07p050130.1_BraROA transcript:A07p050130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDNRHYPRLSSALNGGVVHSFKPPLVPSPSLDRDQNQSVNVPTEKSVDKTTKEDRYDSSDDEDESHNRYVSYYKEMVLKSNSDLEPSALDSRDESTADNWIHRNSSMVRLTGKHPFNAEAPLPRLMHHGFITPVPLHYVRNHGGVPKAEWSDWSVEVTGLVKRPARLTMEQLISEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGSAGVSTSLWRGVALSDVLRRCGVYSKRGGALNVCFEGAEDLPGGGGSKYGTSIKKEMAMDPARDIILAYMQNGELLTPDHGFPVRVIIPGFIGGRMVKWLKRIIVTPQESDNYYHYKDNRVLPSYVDAELANEESWWYRPEYIINELNINSVITTPGHEEILPINAFTTQKPYTLKGYAYSGGGKKVTRVEVTLDGGETWSVCELDHQEKPNKYGKFWCWCFWSLDVEVLDLLSAKEVAVRAWDESLNTQPEKLIWNLMGMMNNCWFRIKTNVCKPHRGEIGIVFEHPTRPGNQSGGWMAKERQIEKSSESHPTLKKSVSTPFMNTASKMYSMSEVRKHNSAESAWIIVHGHIYDCTRFLKDHPGGSDSILINAGTDCTEEFEAIHSDKAKKLLEDYRIGELITTGYDSSPNVSVHGGSSVMSLLAPIRELAPAKNIALVNPREKVPVKLIEKTSISHDVRRFRFALPSEDQQLGLPVGKHIFLCATINDKLCLRAYTPTSTVDAVGYIDLVIKVYFKNVHPRFPNGGLMSQHLDSLPIGAVLDIKGPLGHIEYQGRGKFMVSGKPKFANKLAMLAGGTGITPIYQVIQSILSDPEDETEMFVVYANRTEDDILVREELERWADKFPDRLKIWYVVEIAKEGWEYSTGFITEAVLREHVPEGLEGESLALACGPPPMIQFALQPNLEKMGYDIKEDLLIF >A05p039730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24301982:24304556:1 gene:A05p039730.1_BraROA transcript:A05p039730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKQALLLTFISVLGFHQLPSPTEAECPLDLTSSNFTLVASVCSTNADRAKCCRYMNAFVAVSVSRYANHTADLGVAPDLTSICITTISRTMELYGIPTNATLFCGLGTKILVSYDCEGLTTVTQMLQSPKFGDVSRNCELPFRCKSCLNSGITYIRSLVDRGNNIKMSTCRDATYAALASRVDSSSALELASCFFNVSELTTTPEFPLSPEASPVPVVADSPSGNDDLVLSPRRSHHGYHLTVVPAIGIAVTVFSVMMLAVLIVLIQRKKRELDDDDSESKDHNLTKTLPSSLPKVMMIHEGSSLAFRKFSYREIRKATKEFSSVIGSGGFGTVHRGEFSNGLVAAVKRMKRSSEQADDEFCREIELLARLHHRHLVALKGFCTKKNERFLVYEYMANGSLTDHLHSTEKPPLSWATRMKIAIDVANALEYLHLYCDPPLCHRDIKSSNILLDDNFVAKLADFGLAHASRDGSVCFEPINTDIRGTPGYVDPEYVVTQELTEKSDVYSYGVVLLEIITGRRAVDEGRNLVEMSQPLLVSESRRVDLVDPRIKDCIDGEQLETVVAVVRWCTEKEGVARPSIKQVLRLLCESCDPLHMELAMAVEEHKGRSALRGGGDSGLASSSSTTSRSHCSRSFLLETGSPPNGLSF >A03p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6911891:6916036:-1 gene:A03p017210.1_BraROA transcript:A03p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAIRVKLENLPTPTSVNGIKPSVIDLCSSDEEDNDGIDASRTVGEKRARRDCDIDTPAKRVAVEEGLGQSSSIVALQATPCNVVRPSSSAPSCKQFWKAGDYEGTSGGHWEVSAGGFDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVHSGATYVNVNMLTNKKDGSRMLLIEDNGGGMNPEKMRHCMSLGYSAKSKLANTIGQYGNGFKTSTMRLGADVIVFSRCPGKDGDSFTQTIGLLSYTFLKSTGKEDIVVPMLDYEREGSEWSPIVRSSASDWNKNVDTIVQWSPFSTEDELLCQFNLMKEHGTRIIIYNLWEDDQGLLELDFDTDPHDIQLRGVNRDEKSISMAAQYPNSRHFLTYRHSLRSYVSILYLRVPPEFRIILRRRDVEHHNIVNDMMHTNQITYRPKEGPGGQSNFSNMSAVVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRIWNAAGSQGRGIIGVLEADFVEPAHDKQGFERTTVLSRLETRLLVMQKNYWRLNCHRIGYVSAHGKKSAKDSEDRESSPEYAVPTRKRAAAAASLSFKTPTAARTVVNRGGKGKGSVRDSNGVGSSEKSGKHGNTSSKFNGRAKARGAPPALEDINSDEDSDYDPPGEENVTELPEKVLQCSFFYFSPSFEPPTKPRSTDSRTLSQLEQENETLKERLNKKEAVYLLLQEELRREKELRKKLEAEVQRTKDELEDVKKEQESLIDIFSEDRDRRDKEEEDLRNKLEEASKRIQALLDEKSRGRR >A04g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11503582:11504586:1 gene:A04g505360.1_BraROA transcript:A04g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWTSSISIWKKPNCVLLASHRLRSSGSLHRQTILKIWLRRLKGFGRVFGVSLFSQWECYSPVLEFLFLWSDNIDGLPLYMLQDGIILQGSRLVYTRNRHKKPPWPGDFKRWMRTVTKEHVISCSGSVWDGLRCNRWIASNDLFRKKCLLTIVESHKKDHTRPRTKWRKTSESAYPTNVLGSIVLTLSLFKILNNNMFVVIYVSCYQLH >A06p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1223869:1225110:-1 gene:A06p004610.1_BraROA transcript:A06p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFSVTFHTFLFFSLWVVEAHTSRKLISTKEQEVQNNSHLLKDGEFEDPTLYMFFKIDDLKKGTKLPIYFNKNDLRKVPPLLTRQEADLIPFTKSKLDFLLNHFSISKESPQAKAMKETLVRCNYKAIEGEYKFCGTSLESMLDLAKKTIASNADLKVMTTKVMVPSQHTINYALHNYTFAETPKELVGIKMLGCHRMPYPYVVYYCHGHKSGTKVFEVNLVTDDGKHRVVGPAVCHMNTSMWNVDHEAFKVLKIEPRSAPVCHFFPLDNIVWVAK >A03g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:135131:137668:-1 gene:A03g500040.1_BraROA transcript:A03g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGIYSTHRTSVGVRPHTQDVRGCPCVSVCVPLCPSAHTGRCSWLSISTHISPLVLGLSTLALPVDCLGDFGPRRLSVQYTQDVRGCPPAQTGRPSSHKGRLWLSVGVRQHTKDVCGCLRLSASTHRTSMCVRQHTQDVRVCPSAHTGRPWLSISTHISTLVLGLSKLALLMDCLGDIGPRRLFVQYKHDVCGCPSIHIGRLSLSMSVRLCPCLSVSTHRTSVAVHQYTYQHAGPWIHHAGPFRGLFGTHRTSVGVRPHTQDVRGCPCVSVCVPLCPSAHTGCSWLSISTHISTLVLGLSTLALPVDCSGDFGPRRLSVQYTQDVRGCPPAQTGRPSSHNGRLWLSVGVRQHTKDVCGCLRLSVSTHRTSMCVRQHTQDVRVCLSAHTGRSWLSTSTHISTLVLGLSKLALLMDCLGDIGPRRLSVQYKHDVCGCPSIQIGCLSLSMSVRLCPCLSVSTHRTSVAVHQYTYQHAGPLIHHAGPFRGLFGTHRTSVAVRVCPSAHTGSLWLSISTHISTLVLGLSTLALPVDCLGDFGLRGLSVQYTEDARGCPPAHIGRLWQSVRTHRTSMAVRVCPCLSVSTHWTSVAVHQYIYQHAGPWSQHASPSRGLFG >A07g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26795177:26796823:1 gene:A07g509180.1_BraROA transcript:A07g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TGA7 [Source:Projected from Arabidopsis thaliana (AT1G77920) UniProtKB/Swiss-Prot;Acc:Q93ZE2] MMSSFSPTQLASLRDMGIYEPFQQLVSWGNVYKSDINDHSPNTASSSMKRRLAQNREAARKSRLRKKAYVQQLEESRLKLSQLEQELERAKQQGLRVSNSIPAGSITNTRIATFEMEHSHWLEEQSRRVSELRIALQAHISDIELRMLVESCLNHYANLFRMKSDAAKADVFYLISGMWRTCTERFFQWIGGFRPSELLNVVMPYLQPLTDQQVLEVRNLQQSSQQAEDALSQGIEKLQQSLVENIVVDAFSMDYPPQMAAALENLQALEGFVNQADHLRQQTLQQMAKILTTRQSARGLLALGEYLHRLRALSSLWAARPRD >A05p029610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14861626:14862215:-1 gene:A05p029610.1_BraROA transcript:A05p029610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGAVCNMQTNMLCLSLVDVNIFYYYVQVQREHTSYIELGDDPRFMVTCYLDYGHDDDEVSINTHAALIDTTPIAEKLVAMMFEAATTPPISTPSRCEVLAPDEYGVYRDHVDLSLPQYERRLQMRRPIPPQLATMEMLEDIIAGVYTSQEVMMDGTNMRLDEI >A08p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12660273:12662944:-1 gene:A08p018300.1_BraROA transcript:A08p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKTKREESLLKKRREGLQSTQQPQFAPSSVASATSVEKKLESLPSMVGGVWSEDRNLQLEATTQFRKLLSIERSPPIEEVIAAGVVPRFVEFLKRDDYPQLQFEAAWALTNIASGTSEHTKVVIEHGAVPIFVQLLASQSDDVREQAVWALGNVAGDSPQCRDLVLGQGALLPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVSPALPALERLIHSTDEEVLTDACWALSYLSDGINEKIQSVIQAGVVPRLVELLEHPSPSVLIPALRTIGNIVTGDDAQTQCVISHGVLLSLLSLLTHNHKKSIKKEACWTISNITAGNRDQIQAVCEAGLIFPLVNLLQNAEFDIKKEAAWAVSNATSGGSPDQIKYMVDQGVVKPLCDLLICPDPRIITVCLEGLENILKAGEAEKLMGNTGDVNFYAQLIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEEDETLPPGDVSAQGFQFGGNDAAAPPGGFNFQ >A09p052940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46097487:46099439:-1 gene:A09p052940.1_BraROA transcript:A09p052940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETYEDALKKLGELLSKKSDLGKVAASKIKKVTVELEELDSNKSSDAVERIKSGFIHFKTHKYLKKPSLYNALAKGQSPKFLVFACSDSRVSPTQILNFKPGEAFVVRNIANMVPPFDKTQHSGVGAALEFPVTALNVENILVIGHSRCGGIKGLMSIEDDAAPSKNVFIDNWVQIGTPAKNMIKQDFKHLSFNDQCSYCEKEAVNVSLGNLLSYPFVKERVVKNKLAIRGAHYDFVKGTFDLWELDFKTTPAFSFS >A04p031740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18646669:18649365:-1 gene:A04p031740.1_BraROA transcript:A04p031740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKFISLFLLLLPLLTLTILSRADQALASDKKPHESHRNRTVLVSAGRVKIAALKGRKQTRECNLFQGRWVFDASYPFYDSSTCPFIDGEFDCLKFGRPDRQFLKYSWQPDSCTIPRFDGQAFLNKWRGKRVMFVGDSLSQNMWESLGCMIHASVPDTKTTFIKRTPVSSLIFQEYGVTIFLYRTPYLVDISKETVGRVLNLGTIEGGADVWKDMDILVFNSWHWWLHKGVELQGWDYIRTGSSLIRDMDRLDAFNKGLTTWAQWVDQNVNISQTRVFFQGISPTHYVGKEWNEPRDNCNGQMQPLSGSTYPGGSLPAASIVSRVLSSMKTPVYLLDITTLSQLRKDAHPSTYGAAGGSDCSHWCLPGLPDTWNQLLYAALSM >A08p036290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21319537:21321518:-1 gene:A08p036290.1_BraROA transcript:A08p036290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKEASVTDLKRPREEDDNNNTAAVASMETKEPACFSSVIPGWFSEMSPMWPGEAHSLKVEKVLFQGKSDYQDVIVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHSSVEQIDMCEIDKMVVDVSKQFFPNVAIGFEDPRVNLVIGDGVAYLKNAAEGSYDAVIVDSSDPIGPAKELFEKPFFQSVARALRPGGVVCTQAESLWLHMDIIEDIVSNCREIFKGSVNYAWTSVPTYPRHVFGVIGFMLCSTEGPDVDFKHPVNPIDDSKSSGPLKFYNAEIHSAAFCLPSFAKKVIESKTN >A03p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2961901:2963832:1 gene:A03p007080.1_BraROA transcript:A03p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARLLKLMLVLCIVGLIPTIRADMSELDEYWSGRADEAREFTLQAYHSDPYEIIDHFHERHYDNSTDVTPTEEDNNTKPEEVENEVIEMVGSGDNSTNSTRRSLRGKGKGKWSKLKGPCTKLKSKLKGPCTASNPIDKCWRCRKNWSKRRKKLVKCVRGFGHMTVGGKHGRIYVVTSNLDEDMVNPEPGTLRHAVIQKEPLWIIFKNDMSIRLNQELLINSFKTIDARGANVHIAHGAGVTMQFVRHVIIHGLHIHHISESSGGMIRDSADHFGMRTRADGDGLSIYGSSNIWLDHVSMSQCQDGLIDAIVGSTAITISNSHFTHHNDVMLLGAQNTYDLDKKMQVTVAYNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQSPTILSQGNRFIAPPHLPHYREVTKRDYAPEEEWKHWNWRSEKDIFMNGAYFRQSGDPNYETDHTRRNMIKPKNGYAVSKLTKYAGALDCRVGRRC >A10p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5774080:5776208:1 gene:A10p010340.1_BraROA transcript:A10p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDQLLSQLQELEIDYSKYEHPPVLTVEEQAKYVSSSEGALSKNLFFKDKKHRYYIISAVVDTKVDIKVLSQRLGLGKGGIRMAPEEALAELLQVSLGCVTPFAVVNESARDVSLLLDQKFKNQTRFIFHPLSNDVSISLSTSGLDKFLQSIGRDPVYVDLEANANPVVGKDQPPDLAVYVPSNSVVVPELPNKTPSAQAPPKNVSAEKTKPVASPKPSKSTGNVKSVVQNSGLSVFKNPDKFVEEILDKTSALLLSEVKGENVEALAETLRKRLTSEFTHLAVMYKNIAYSEGFYAGTQCQPKRQTIIVKTE >A10g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19958563:19960042:1 gene:A10g506830.1_BraROA transcript:A10g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREAKNRKIRSNARWLGREQWFYSYLVDFLVGTGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVARDSKRAMDEYASAIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELTTRIQFKAEYEGKFHSFHPGATILSYLSKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNRILEYK >A09p047410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41169739:41171002:-1 gene:A09p047410.1_BraROA transcript:A09p047410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRRQGVTRASTFNDDIYHQTPDLDYGDLKGHSNGKSSFRSSQSFSSHSSLAAQAIRASSSLNPNSNSEVRGFTAYEDASKSESRGFWGILAQKAKSILEDEEEEQQNVVVSEPSSNNNSNPTIRKSIDKITTSLNQIGDSFEKAFEEGRTMVASQIRRKGSDLMDIPENHNQSSGSNSPWQPLTQPNPHESQLKASRDVAMATAAKAKLLLRELKTVKADQAFAKQRCSQLEEENKRLRDNREKGTNNPADDDLIRLQLETLLAEKARLAHENSIYARENRFLREIVEYHQLTMQDVVYIDEGIEEVAEVNPSITRTLSMASFAASEFPVNSSSPLSPSSPSRLSVSTDVYPVLVQQSSASDVGGSADESPRPVRPPSLGYTDDIKRSLSQMSV >A10p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2020019:2021206:-1 gene:A10p004120.1_BraROA transcript:A10p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVVTSFQPRYEMLLPLFRSAFLGDRNVFKVSATPSAQVGYSRKTIQCKESRIGKQPIAVPTNVTIALEGQDLKVKGPLGELALTYPREVELIKEDAGVLRVKKTVETRRANQMHGLFRTLTDNMVVGVSKGFEKKLILVGVGYRATVEGKELVLNLGFSHPVKMQIPESLKVKVEENTRITVSGYDKSEIGQFAATVRKWRPPEPYKGKGVKYSDEIVRRKEGKAGKKK >A01p007850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3804021:3805231:-1 gene:A01p007850.1_BraROA transcript:A01p007850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKRFALFLATPDSEFVKKEYGGYHNVFVSTFGDEGEHWDSFRVVEGEFPDEKDLDKYDGFVISGSSHDSFENDPWILRLCEIVKKLDEMKKKILGICFGHQIIARVRGGTVGRARKGPELKLTDITIVKDAIKPGSFFGNEIPDSIAILKLHQDEVLVLPESAKVLAYSEKYEVEMFSIEDHLFCIQGHPEYNKEILHEIVDRVLRLGFIKQDFADAAKASMENRGADRKLLETICKNFLKGRVPAN >A05p009860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4118612:4119740:1 gene:A05p009860.1_BraROA transcript:A05p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEVVVNKKQSGVNSFHDMISSKHALNMEVVEMSKKRKFQTDQSELSLLPLSKHACFDNAASSDSINGRSELNSEYSMSCVNSTSMECEDEVEMKEESSGSCSEDKMISFESHLDIIYFSDKDIENIIYLDEEEEEVGEEEAKGCSNTAKFVLSSGRWTVDQDSTQHGTKKPTIDQEFEQYFSTLML >A01p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6187993:6188687:-1 gene:A01p012610.1_BraROA transcript:A01p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATMVGALLGLGTQMYSNALRKLRYMRHPWEHVVGMGLGAVFANQLVKWDVKLKEDLEVMLNKARAANERRYFDEDRD >A07p013860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7244608:7245573:-1 gene:A07p013860.1_BraROA transcript:A07p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPEELQFLTIPQLVQESILIKKRSPRTFYLITLSLIFPLSFAILAHSLFTQPILSKLSSSDPPNSDRSRHDWTVLLIFEFSYLIFLFAFSLLSTAAVVFTVASLYTGKTVSFSSTISAIPKVFRRLLITFLWVALLMFAYNAVFFVFIVILFIALDMNSVGLAVIAGVIISVLYFVVHVYFTALWHLGSVISVLEPVYGLAAMRKAYELLKGKAKMAMGLVFVYLFVCALIGGTFGSIVVHGGGKFGTLTRTLVGGLLVGVLVMVNLVGLLVQSVFYYVCKSYHHQTIDKTALYDHLGGYLGDYVPLKSNIQLENLDM >A02g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13367904:13373638:1 gene:A02g504050.1_BraROA transcript:A02g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLMDKSWVNKPRLSQDYRLGVKIFLDFAFGKSNAPMVKCPCTCCSLAKSKTREDIEGDLICHGFLSSYTSWIVHGEDMCVTENARVPSDSAHVELDSTFNLLDDIFPDISANMNEEHEEGSPGQPMDTDRPSASKTQEPDDLPYLETEYDMPPPLAAEYKSFKWKIEVIDTAGKIEGKMITSKEVWKIQNSKVIVHFDEVSGQPIGESGGLLGSWLGQLSNDVNLLPINYSDWRMVNPHIKNKVWEVIQSKFWFDDPATRKVFVMSALGSRCKDVKLRLWKEYKRDSLSETLLNRPENEKLTTLLGQKSHVTNNAIASLDDEYAQVFGPERPGRVRCVGRGPTPSKLVNHSPVTRQEIENSEMVIDLKSQVKELSDQVKGMTTFIQQVIGTSTGEQARVWASSFAVAFANIPNPAFANVPSPPNPNQERKQFGISVVKTFFGNPSGKRNKLPVQRAPPLYVELTIPNSTEVERPVIVEERVTNIVEKEEEIHNEEVIAESDSSSSVEGDDCEVIVEDRDGYKENELFEAE >A01p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6400477:6401058:1 gene:A01p013080.1_BraROA transcript:A01p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHAIDPTGQYCGETDLQLERINVYYNEASGGKYVPRAVLMDLEPGTMDSLRSGPFSSLSFFGRRNWLWDGNASYL >A07g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16667763:16668915:-1 gene:A07g506680.1_BraROA transcript:A07g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMTLLNAFVFRMSLVDAIVLFDEHIMASGYQSLEPSMNCLVNNLHKSTLANYVYTGIRGAAYMDFLDSVLCDSLRANAINISITNC >A09p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15514389:15516928:-1 gene:A09p027510.1_BraROA transcript:A09p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEFFTEYGEASQYQIQEVVGKGSYGVVASAECPHTGGKVAIKKMTNVFEHVSDAIRILREIKLLRLLKHPDIVEIKHIMLPPCRKEFKDIYVVFELMESDLHHVLKVNDDLTPQHHQFFLYQLLRGLKFMHSAHVFHRDLKPKNILANADCKIKICDLGLARVSFTDSPSAVFWTDYVATRWYRAPELCGSFYSNYTPAIDMWSVGCIFAEMLTGKPLFPGKNVVHQLELVTDLLGTPSPITLSRIRNEKARKYLSNMRRKGPVPFTHKFPNIDPVALKLLQRLVAFDPKDRPSAEEALADPYFQGLANVDYEPSRQPISKLEFEFERRKLTRDDVRELMYREILEYHPQMLQEYLQGEENINSHFLYPSGVDQFKQEFARLEEHDEGEEERNSPPVQRKYTSLPRERVCSSEEEGADAQTSSASVVFTPPQTPNTATRLSSQKTTQVDKAKAAATPVKRSACLVRSDSICASRCVGVSSVVS >A03p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16235241:16235861:-1 gene:A03p038990.1_BraROA transcript:A03p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNVILSLLIMSLVMVQIQVEARVCCPSQQSRNAYTACLIAFSRSICLPASGCLEISGNVCPSGYPNDILENFGNNVNEYCKLGCTSSVCGAMTSLHNSGAGEIVKEAFEQCAKGCATLCNKGSVKPAVEAA >A07g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:142523:142895:-1 gene:A07g500070.1_BraROA transcript:A07g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTEINHWYFLLYVSTRTTTIVTEENELAIVPGLLLDNTNARHGIHGLYWLHSVNAPASSLGLECNTISLTKPLVISPFQGLMYDYIRLEYPDSVNHITRP >A03g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4473362:4473847:1 gene:A03g501500.1_BraROA transcript:A03g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFPIQLSRCSGSCLWEAVDPLSLSSPALGLREGVASIAPFFAGFSPEYSGSSPSSSLFESSKMEAGLFDRRFIDFASSSTVFCRVSSLAPEEEV >A02p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18918035:18925048:-1 gene:A02p034830.1_BraROA transcript:A02p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSLALFLFLNLLFFTYTSAQGTCPRNALQIGACTNVLNAIDLTLGSPPPPVPPCCSLIAGLADLEAAVCLCTALDVNVLGINVHLPIDISVLFNACSRFAPPSFQCPFKVSARHGPSLELVMDRLLRSALAIVALWVSRTLVRVFLIHKQMAPRTSLALFLFLNLLFFTYTSAQGTCPRNALQIGACTNVLNAIDLTLGNPPPPVPPCCSLIAGLADLEAAVCLCTALDVNVLGINVHLPIDISVLFNACSRFAPPSFQCPFKVSAWHGPSLELVMHHLLRPAWAMELGRPIVALWASRTLVRVLGTLIQEVYS >A03p058390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25368871:25369995:1 gene:A03p058390.1_BraROA transcript:A03p058390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP1D [Source:Projected from Arabidopsis thaliana (AT4G23400) UniProtKB/TrEMBL;Acc:A0A178V129] MEGKEEDVNVGANKFPERQPIGTAAQTEGKDYKEPPPAPFFEPGELKSWSFYRAGIAEFIATFLFLYVTVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRTVFYIVMQCLGAICGAGVVKGFQPGPYQANGGGANLVAHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAALYHQIVIRAIPFKSKT >A03p049030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20958381:20960713:1 gene:A03p049030.1_BraROA transcript:A03p049030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRFEGFFLSFVLNSFLLFCHGGTTSRFVRRLEATADMPLDSDVFRVPPGCNAPQQVHITQGDLEGKAVIVSWVTQKAKGSNMVLYWKEHSSKMLKAHGKSKTYKFYNYTSGHIHHCTIRNLEYDTKYYYMVGVGQTERKFWFLTPPKPGPDVPYTFGLIGDLGQSFDSNITLTHYENNPLKGQTILFVGDFSYADTYPNHDNNRWDTWGRFVERSTAYQPWIWTVGNHELDFAPQIGETKPFKPFKHRYHTPHRSSGSTEPFWYSIKRGPAYIIVLASYSAYGKYTPQYMWLEQEFPKVNRTETPWLIVLMHSPWYNSYDYHYMEGETMRVMYEPWFVKNKVDVVFAGHVHGYERSERISNIAYNVVNGICSPVKDLSAPVYITIGDGGNLEGLATIMTEPQPKYSAFREASFGHAIFSIKNRTHAYYGWHRNQDGCAVDGDTMWFFNRIWHPIDDSPDDDS >A01g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4491545:4492754:-1 gene:A01g501190.1_BraROA transcript:A01g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding APFNCNFPTIDVDVVGHMKLLNEQMLIQRPVLHEVAIATTQRQLRILKKIAEKNRPCGTPRKETCLFPEPHLQPACVEH >A08p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17851739:17852915:-1 gene:A08p028650.1_BraROA transcript:A08p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVVHIYDVTNSGSEKTNNTILQINRFFKDGIGLGGIFHSAIQVYGDDEWSFGYCEQGTGVFSCPSTKNPMYTYREKIVLGKTDCTIFMVNQILRELSREWPGHTYDLLSKNCNHFCDVLCDRLGVPKLPGWVNRFAHAGDTALEVAGNTAMRVKQAKTELVSASKVAYRFLSNITSNVTNGSPQRPPGTLSSSENGNLRLQGSWFKGLLNTAKPSTSTEMESKDEDANHGVPNHQRKQSRDSVPIHPTAFG >A03p011880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4746544:4747214:-1 gene:A03p011880.1_BraROA transcript:A03p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVLILILIDQHVLMVQLQEMISFRAGVKANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEQQKQVVVEKLGEPGQSHDDFAASLPADECRYAIFDFDFVTAENCQKSKIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDIFKSRAN >A09p070500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54635530:54637788:1 gene:A09p070500.1_BraROA transcript:A09p070500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetic acid-amido synthetase GH3.3 [Source:Projected from Arabidopsis thaliana (AT2G23170) UniProtKB/Swiss-Prot;Acc:O22190] MIVDLALKSPMIHTPQSDKDLKALRFIEEMTRNVDFVQKKVIKEILSRNSETEYLKRFGLKGSTDRKTFKNKVPVITYDDIKPEIQRIANGDRSMILSSHPITEFLTSSGTSAGERKLMPTIEEDMDRRQLLYSLQMPVMNLYVPGLGKGKALHFLFVKSESKTPGGLPARPVLTSYYKSEHFKKRPYDPYNVYTSPNEAILCPDSSQSMYTQMLCGLLMRHEVLRLGAVFASGLLRAIRFLQTNWQELVNDISTGTLSSRISDPGIRESMSKILTKPDQELADYIASVCCHDNNWEGVITKIWPNTKYLDVIVTGAMAQYIPMLEYYSGGLPMACTMYASSESYFGINLKPMCKPSEVSYTIMPNMAYFEFLPHEDATERTTELVELADVEVGKEYELVITTYAGLYRYRVGDILQVTGFYNSAPQFKFVRRKNVLLSIESDKTDEAELQKAVENASVLLEEQGTCVIEYTSYADTKTIPGHYVIYWELLVKDQANPPSDEVMAKCCLEMEESLNSVYRQSRVADKSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVASTHFSPALPQWSPERRR >A02p029870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15175952:15178513:-1 gene:A02p029870.1_BraROA transcript:A02p029870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DITRSPTNHIIPKPSTLFKEWEREAKKLSEEEEVIMAAEKIETVIAGNYLEMEREEESNTSNSNNTSSTKTKLSNFFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMMSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKFDFRNHVIQWFEVLDGLLGKHWRNIGLIFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTSWYLTIASLLHGQAEDVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATIYVLTLTLPSASAVYWAFGDQLLTHSNALSLLPKSGFRDTAVILMLIHQFITFGFASTPLYFVWEKLIGVHETKSMFKRAMARLPVVLPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFAPAPSRENAVERPPRVLGGWMGTYCINIFVVVWVFVVGFGFGGWASMVNFVRQIDTFGLFTKCYQCPPRKP >A04p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3910512:3912484:1 gene:A04p012680.1_BraROA transcript:A04p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDNLYFSRLLNNLPGRRIFQSSTSYRTFNFTNRRFSPTAILKAIRRKPYRGRVPPLSAPVISPIMTNLVFPSCRRVFPSEISFAKLRRRSVTVWDHIFSDHIFSDNIFSNYYLDDFLEVVQVVFSLPGSRLSSLLTSWKPSANLKMDLPELPPRMFTLGEEPDAIRSISYNSDDTKLFKALCDSLTADEYEDLKASKLGVFIKFKELEFGWTSRLVHFLLCFQLDIKKKFELWNLVASQPARFSLIEFDHLTGLNCDYIKDLENPRCEVTKEMAAFWEKMRVDLDTGPSIEQITEAFYHCDEWSQDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYAMPELGANYGSAIPNRPSPLLLAYKGGKRQRKCFKAAIKKQTIVKNFVQNDFDEIFPKWEEHVDDPAADNIIKVMFNDPR >A08g502030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4622264:4622632:-1 gene:A08g502030.1_BraROA transcript:A08g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLREMSCSCGREWSLLRRSVFLLQLFKKSFFIFDHADGPRSLATRMVVAGGWLAPRPVLASLLSLSAYKHPEKRRGLRRRLRRAIACGFAFSKSKRSGAEAASMLLRFNIARTRSVKLGFI >A05g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2494452:2499912:1 gene:A05g500650.1_BraROA transcript:A05g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTFRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGLLGNLLTKSSGLPGSRLDFQEVVWTSRKSFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSLVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRPKGKSSTARRLPK >A05p037690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21422052:21430036:-1 gene:A05p037690.1_BraROA transcript:A05p037690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPTSMLLLSADTQLPGSGNRSPYRQLSNIDINGEAIHASSMGLPPALSVTTAKVGKLEIMLPYVSNVQTKPVAVQIDKLNLVLEENPDADVTKGPSSAQSPTASGKSNGYGFADKINESWKKLEWEALSIDLLPHPDMFTDANLARSEEANLRDDDGAKRVFFGGERFLDGISGQAHITVQRTALNSPLGLEVQLHIPEAVCPALSEPGIGLHALLRFLTRMYLCLNRGDVDPKSQQQSAEAVGRSLVSVLVDHVHLQEEACLRISSFSADGIVVNPGDVLPCNSVNSLLFTLKELDVSVPLDMSNLEDSADLSAKKVFAGAKLHIENLSFVESPTLKVRLLNLKKDPACFSLWPGQPIGASQKKWIAGVSHFSLALETSLNSSEPQNTGGLDMGLWNCVEGKDVSLDVAMVSADGKPLITIPPHGGIVRIGVALEQLFFVLDLYSYFGKVSEKISIVKESKRQNTVSMTEGLLEKNPWPRYCTSNIYWENIEIDCVDTEVQHEHENNSNDQLVSCNGSTTPLRRVFWVVNGRHDGHNGSVVVTPFLDKHNTCDSRVRLGGGMSYTEALLHND >A08g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4031325:4033803:-1 gene:A08g501580.1_BraROA transcript:A08g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRIGGEIFCINRHRISHLRFTKSFFFAYYSYRFRFRFVMSTPRVFFSDLKSGKCSSAVEARLLRFWEAKNVKRGGELMWVDMLLIDVNATMMQATIYANRLSRFRSKLAAGTMYTVSGFNVARCAQNFRLTDSPLLIRFNDSTAFDELIEPVSPLPEEGFRFRNQSELIGLANTSTQLPGEITAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSFFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKSGEDYFYRLVARDNGVPSAAPLLKGYAKVETLTISELISFVATAQSQDIDFVCTGRVVRLDVDKGWCYVACARCSKKLQRTVSALECVRCNNPNAVGVLRYRLELAIADNTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDMMVPPFISDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDDNNLGDGGHVPVRGDSVEGSSGPDKKADGTPADAAVEKRSRPSTSAAKKARVV >A03p036700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15366018:15368618:1 gene:A03p036700.1_BraROA transcript:A03p036700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMQQDSAAEQREIAIIVDDSSSPLPPHPQGDHQVKQESTEDVPGRTHLDLSIQIPLRPVPFVSGHSSKTSLKSTSSFKSGSTSYSPRGILRNLSLKKKVVAHLESERSSLLSPSPMETAKTPSTAGLTTSPYWKRCLSLPSRYAAKLSPVVPPQVQVGVPGEPPNKDPTYPAVPRSLSMPGRNKVIVRSISFENHKARASSVTSSDQTSPVPTEETDEEIPEEEAVCRICLDVLEEGNTLKMECSCKGDLRLVHEACAIKWFSTKGTRTCDVCRQEVKNLPVILLRVPTTNQPNNMRDLNQQIQSQTASAWQEFVVLVLISAIRDLNTQAVYIAAPFSLMLGLLASLFAIVLAIREYIWTYAALEFALVGILVHLLYNAVRLPPIYSILSAGILGFGIAVCLNSLYLHYFAWRLRVAENSTQV >A10g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7736317:7737835:1 gene:A10g502720.1_BraROA transcript:A10g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRANRQRGKVKAFPKDDHTKPCRLTSFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPMVVVGVVGYVKTPRGLRSLSTVWAQHLSEEVRRRFYKNWAKSKKKAFTKYSKKHETEEGKKDIQSQLDKMKKYCTVIRVLAHTQIRKMKGLKQKKAHLNEIQVNGGDVAKKVDYAYSLFEKQVPVDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYRLGKVGQETHTAMTEFDRTEKEITPMGGFPHYGIVKEDYLLIKGCCVGPKKRVVTLRQTLLKQTSRVALEEIKLKFIDTSSTGGHGRFQTAEEKAKFYGRVKA >A09p016170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8440696:8442640:1 gene:A09p016170.1_BraROA transcript:A09p016170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN12a [Source:Projected from Arabidopsis thaliana (AT1G64520) UniProtKB/TrEMBL;Acc:A0A178WH62] MDPQLTEVSQQLERFKAAFVRKDYNTCSDLLSRLKVLLTKFTSLPPLFENTPNAAQELAIARDIYEHAVVLSVKTEDQDAFERDFFQLKPYYVDARNRLPPSPQENLILGLNLLRLLVQNRIAEFHTELELLSSATLDNPCIKHAVELEQSFMEGAYNRVLSARQTAPDATYVYFMDLLAKTIRDEIAGCSEKAYDYVSISDARQMLLFSSDQELLTYVNEEHPEWEVKDGFVVFQKAKETAPCKEIPSLQLINQTLSYARELERIV >A02p001050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:514772:516372:-1 gene:A02p001050.1_BraROA transcript:A02p001050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQQQRQNVNGFVVESWQVSLAKWIFETYPETALNVQSQNPKLRTYYMNVLFAGSKVEWLSSKLDSEKKERDACEARIVELKLKVKKLEGAMSGFKAELGKISNGLSYLTQAGFKVEWLWSKLDTAYLGRKKRNACEARIVELKQELEKLERTMSGVKVKLRNEKAKLNPTFTMVAAAIAMMSHQNGIMRNQQLRRQIELSQLETAYLERNKRNACEAGRIVKVKQEVKKLEPEVSGLEPEVSGLKAELKNEEPKLKKHSSFRNLLRCLFCLKT >A03p058450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25389915:25394358:-1 gene:A03p058450.1_BraROA transcript:A03p058450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein C [Source:Projected from Arabidopsis thaliana (AT4G23460) UniProtKB/Swiss-Prot;Acc:O81742] MSGHDSKYFSTTKKGEIPELKEELNSQYKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQKCLKDDDPYVRKTAAVCVAKLFDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDKSASPIFEINSVTLTKLLTALNECTEWGQVFILDSLSRYKAADPREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLENFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLANISTLSSVYHKPPEAFVTRLKPTVQKTEDEDYVEGGETEISGNPVDGAAPVAAAPAPVPDLLGDLMGTDDAAIVPVDDYTTLSGPPLHVVLPASSGQGLQISAQLTRQDGQVFYSMLLENSSQSVLDGFMIQFNKNSFGLAAAGPLQVQPLQPGESARTMLPMVLSQNMSDGPTNSLLQVAVKNNQPPVKYFTDKIVLHALFSEDGRMERGTFLETWRSLPDSNEVQKDFPGITITSIDSTLDLLAASNMFFIAKRKNGNQDVLYLSAKAPKGVPFLIELTAMVGQPGLKCAVKTPTPEIAPLFFESLEMLFKS >A07g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2533856:2536778:1 gene:A07g501190.1_BraROA transcript:A07g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGTRNFGAEENKGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPSASAQIFADMVLCPFEAIKVRVQTQPMFANGLLDGFPKVNRHEASTWDFFRSGVAIFHVILLHQLDFILLVEFIYQNIIEKRKQDCSKAQQLGVTCLAGYTAGALGTLISDPADVVVSSHYNNKAKNVLQAVINIGFVGLFTRSFPVRITIVGP >A01p041060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21925467:21926596:-1 gene:A01p041060.1_BraROA transcript:A01p041060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKCELCDGVAAASPRRSIATTTARPVGFHCAANPASSSSVSIAVSALNQVTIAKKKVEDVMPTATGHEKEELEAELENKEQLELVREEIRVWSLFNHTNLLPLLDHAIISVKCMFLCVGTRKVILESAILTTVVVLSLTVYTFWAAKRGYDFNFLGPFLFGALIVLIVFAMIQLQVRNLHLVQLIGFHQ >A02g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21753729:21754810:-1 gene:A02g507850.1_BraROA transcript:A02g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAKIGRGCTAMVQGYGHGMVRTPPDGVVQSLGHKEKVLGEWIRGSSHLSPLEFIHTHIQSEKRTIQISYPYRGSEGLGPAWRLEEMIPGYFSPTQSMVERLPGVALPTRKSNPDSYADTPFMDEITLIKMPRKFSFPSIKVMRP >A10p012300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10673231:10673844:1 gene:A10p012300.1_BraROA transcript:A10p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPSHHPKREIRAQQTHFGRTEERSIKYQSDPRTVNFISVLPLIQKEPGNGRDIIGKISIPGFQIIYPIVKSTYGNKIFQSGNLSVSINSLIYHLLQADRYSELFSESYACFRERCWCSFRLRPALT >A10p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2031645:2035288:-1 gene:A10p004160.1_BraROA transcript:A10p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPNMFLAAMNNEDSNNHNYNHEDNNNNNERFLRDEEFDSANTKSGSENQEGGSGNDQDNHHPNKKKRYHRHTQLQIQEMEAFFKECPHPDDKQRKQLSRELGLEPLQVKFWFQNKRTQMKNHHERHENSHLRAENEKLRGDNIRYREALANASCPNCGGPTVIGEMSFDEHQLRLENARLREEIDRISAIAAKYVGKPVSSYPLMSPPPLPPRPLELAMGNFGGDVYGNNPTDLFKSITAPTESDKPLIIDLAVSAMEELMRMTQVEEPLWKSFVLDEEEYARTFPRGIGPKPAGFRTEASRESAVVIMNHVNIVEILMDVNQWSVVFAGMVSRAVTLAVLSTGVAGNYNGALQVMSAEFQVPTPLVPTRETYFARYCKQQADGSWAVVDISLDSLQPNPPVRCRRRASGCLIQEMPNGYSKVTWVEHVEVDDRGVHDLYKHMVSTGHAFGAKRWVAILDRQCERLASVMATHISSGEVGVITNQEGRRSMLKLAERMVISFCAGVSASTAHTWTTLSGTGAEDVRVMTRKSVDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNIVLNGGDPDYVALLPSGFAILPDGNATGGGEGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKAAMSCETA >A07p051090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27152556:27164117:-1 gene:A07p051090.1_BraROA transcript:A07p051090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Camelliol C synthase [Source:Projected from Arabidopsis thaliana (AT1G78955) UniProtKB/Swiss-Prot;Acc:P0C8Y0] MWKLKIAKGNKEEPYLFSTNNFLGRQTWEFDPDAGSSEERAAVDEARRFFFDNRFRVKASSDLIWRMQFLKEKKFKQAIPPVKVEDAKKITSEAASKALRRGVSFLSSLQASDGHWPAENAGPLFFLPPLVFCLFVTGHLHEIFTKAHRREILRYIYCHQNEDGGWGLHIEGHSTMFCTTLNYICLRILGEGPNEGPGNACKRAREWILGHGGATYIPSWGKTWLSILGVFDWSGSNPMPPEFWILPSCLSIHPAKMWCYCRLVYMPMSYLYGKRFVGPISPLILKLREEIYLQPYAEINWNKARHLCAKEDAYYPRPLVQNVIWDCLYMFAEPFLTCWPLNKLLREKALDVAMKHIHYEDENSRYITIGCVEKSLCMLACWVENPNGIHFKKHLLRVSDYLWIAEDGMKMQSFGSQLWDSGFALQALLSSNLCSEIPDVLRRGHDFFKYSQVRDNPSSDFTSMHRHISKGSWTFSDRDHGWQASDCTAEGLKCCLLLSMMPPDIVGPKMDPEHLYESVTILLSLQSKNGGVTAWEPARGQEWLELLNPTEFFADIVVEHEYNECTSSTIQALILFKQLYPDHRTEEINTSIKKAVQYIENIQMLDGSWYGSWGVCFTYSTWFALGGLAAAGKTYSNCLAMRKGVHFLLTIQKDNGGWGESYLSCSKKRYIPSEGEKTNLVQTSWAMMGLLHAGQAERDPTPLHRAAKLLINSQLENGDFPQQEITGAFMKTCLLHYAAYRNIFPVWALAENIKGRIMWLPKANNGGKKETGGGSVAVAIDKDKGSQHALRWTIENLASRGQTISLIHVLSKTHSSSDIEDAQQGDKIAKDLFVSFHCYCSRKEINCQNVLLEDADKVRAIIEHVSTSGIENLVVGAPSRNSFMRRFKTDLPTTVSKSAPDFCNVYVISKGKIASVRNATRPAPFQSSMKSSEYDNQQPVTPSDHSHSAVSTPSRPSKSAEADTTRSPLGRRQVKPYGDLYDSDSDLSFISPSSHRESHDISFISSGRPSVDRSSFTLDFPESGRSSRISTSSEQSIGSHRLGIKFSDPGFPNDSSTTFSEESGGTSSYSSQSVDDVEAEMKRLRLELKQTMDMYSTACKEALSARQQASALQKLRTEEERRLEEAKSSEEAAMSIVEKERAKAKAALEAAEAAKRLAEVESKRRVNVEMKALKDPDSFSHGFVRYRKYTVEEIEEATSNFDESRKVGEGGYGPVFRGYLDHTSVAVKVLRPDAAQGRSQFQKEVEVLSCIRHPNMVLLLGACPEFGILVYEYMAKGSLEDRLFMRGNTPPITWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDYNYVSKISDVGLARLVPAVAENVTQYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQILTAKQPMGLAYYVEQAIEEGTLKDMLDPAVPDWPMEEALCLAKLALQCAELRRKDRPDLGKELLPELNRLRDIGEESLESVFYAGSQGKSPNTSQVSIITSTSDQFISNPESPAAESQSKVSVFVFSTMLMELSLNTYETITLISPPLQSLNFNSNSLSFSPRRLTLSGGRVAVSASFHHGESKPSSDSPQTNHEEHLSLAQNSISEFLRQEIGLSEADSVYVSENCPKYTRMIVESVRDLEEWESWKGNEGLGFSEKVVYMVKQKGDGGGKVAFLESLGLSLSSAMYLAHYVSSESLPKLLDKVKYLKEILFSGSDENGLVGTYARRMMLYLSIPIDEDVQQTLSFFEKIEARRGGLDMLGSVDASFRFLIESFPRLLLLSEENDMKPLIEFLESVGVPRDCLGKVLLLYPPIMLSKAEEMKRRVAAALEKVSVVNKDSGRVLMKYPWILSPSIQENYSRIVSLLESESVLKTDINHAIRRWPLLLGCSTSNMKLMIKEFDKLDVRNKRMGKVIPKRPQLLLYKPQEFLKVVAFLEDLGFEKEIIGQILCRCPEIFGCSIDKTLQKKLSFLTRFGVSATHFPRIIKKYPEFLLYDAEKTVLPRLKYLMEIGISEREIAFMIRKFSPLLGYSVDKVLRPKLEFLVNSMEKPVREVVDYPRYFSYSLEKRIKPRFWVLKGRNIECTLQEMLGKNDEEFAADFLGLGELHQTHRETS >A10g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2738174:2739363:1 gene:A10g500900.1_BraROA transcript:A10g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLEDLRSPTLAGCSLALASANITAYYVVSGLTVGTETICSQAIGAKCYNLFQTTIRRGMILLVFTSLHVFLLWINIKRILTMLKHDKKLASIAHTFLLYFVPDLLIQYLLHPLRAFFKTQLKTASINLHSSGERSPLFMMFLFVSYLGFEVKGIALSGDVSNFNLVPFLFIYITFFEEKLSSDEEEVIEESYENSVREWKKLSGLAITSFGLVCLELWFYEMMILIYWCLKKPKVDVASMRIIVGNELGSNRPHGARRDATVELGFGLSILLGLMTLTFMVS >A03p071720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31648016:31651290:1 gene:A03p071720.1_BraROA transcript:A03p071720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEIKQQLQPKSLPAETIEKKQHNVKRRRLWQKVKYQLVEYHALPAYLRDNEYIIGHYRSEWPIKQILLSIFTIHNETLNVWTHLIGFFLFLSLTIYTATKVPSVVDLHSLQDRLPDILRKTDLHKLHSDLMSHLPSSPSKWHVMELLYNCLPERFSHGNYTDMCVLHSVKEDLANMIAPLIFRPITRWPFYAFLGGAMFCLLASSTCHLLSCHSERVSYIMLRLDYAGIAALIATSFYPPVYYSFMCDPFFCNLYLGFITTLGIATVLVSLIPVFQTPEFRVVRASLFFGMGFSGAAPILHKLIIFWDQPEALHTTCYEILMGLLYGLGALVYVTRIPERWMPGKFDIAGHSHQLFHVLVVAGAFTHYRAGLLYLKWRDIEGC >A01p047100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26555446:26556114:1 gene:A01p047100.1_BraROA transcript:A01p047100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGHRSAFGACDGADEKTRRRLHQFLKLKAASEYNYLNQSNCLTIDRIDDSQKFHKLMEAFNTVQIPQEYQERALALLAAVLWLGNVSFEVTDNENYVDVVADEAVTNVAMLMGCD >A09g512160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35588249:35589257:-1 gene:A09g512160.1_BraROA transcript:A09g512160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGYKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKDLAQTGSEFPSSSAQVVAPCGTDVAAPLPQALPAGSSTTPILVEDKEKAGDSMPPPPARKETVLALRAPSAILAIQPKSRKRKLAKSGDRETSQRGGSSLASGLRGKFISLIDGMISKCGSETSRLSGELVELQGRWSETEAMLTAVEDSHSVKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQHQIESDTGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVPSF >A05p048870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28505080:28506291:1 gene:A05p048870.1_BraROA transcript:A05p048870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin 2 [Source:Projected from Arabidopsis thaliana (AT3G10520) UniProtKB/Swiss-Prot;Acc:O24521] MGEIVFTEKQEALVKESWEILKQDIPRYSLHFFSQILEIAPAAKDMFSFLRDTDDVPHNNPKLKAHAVKVFKMTCETAIQLREKGKVAVPDTTLQYLGSVHLKSGVLDPHFEVVKEALVRTLKEGLGEKYNEEVEGAWSQAYDHLALAIKAEMKQENSQKP >A03p065060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28368844:28369691:-1 gene:A03p065060.1_BraROA transcript:A03p065060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVPVLTLVFLLLTTMSHAASKPRMILVGGYVGAWKVPDSPSNTLNHWAEANRFKVGDVLVWNYDAKVDSVLQVTKEDYDSCNTAKPLKQFNDGDTKFKLGNSGAYFFISGAPGSCTKGEKIHLVVLAERNNPGGGSGSGDGGSPMVSPVSSSPAPSTDHAPAPAPNAAVGLKVGSGLFLTAFAIGLAMA >A03g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12000405:12003303:-1 gene:A03g503580.1_BraROA transcript:A03g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIIWYSSSTNLKVFQIWKTSRTTYLLVVWKSSGSRLDFLKVVWTSCKVSSGLLRSHLDFLKVVWSCLLKWNPNLSFNNVFQDTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNLESKSWVFLAHCGEKVRDMLCLVHKNGKRRRMMRVRE >A08p015260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10070180:10070446:-1 gene:A08p015260.1_BraROA transcript:A08p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSGRTELPYGELVGTARARHMASLSGQTELAIWHAGRDMPSSPYGELVGTVLALPSSGGFGQSGSVGKPFPVCFPRVVCRRDLIF >A07p045580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24728425:24729993:1 gene:A07p045580.1_BraROA transcript:A07p045580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPLVSFLITLLLAAAVCTHGQEWVRDTNGNRVRINDQYFIQPVNTENNGGGLVPLAAKISLCLLGITQALTGEPGVRVSFSFPPSLIPPIPFSPVSTKFGITIEFKSNVCKDISKFWEVDGSSLISEEPAIQIGGNPRERNSRFKIEKVGEEGRTNIYRFTTSDGTVGAVPGPLDSSQLVLTNDVAKTIFFKLIKVNAVTTDVTSTSRVDKLGLRMIFITIEFKSNDCKELSKFWEVYEARNIIEPPILIGGRPEEPNSRFKIEKVGEDGTNIYKLTTSTGNVGSIPLLGTTPQQVLVLTNDVAKTIFVKFIKVKDATSRVEKLGLRMIPFY >A02p010460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4506320:4513025:1 gene:A02p010460.1_BraROA transcript:A02p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVTEEKEETMKSKTSLVFAVNGERFEIDLSSIDPSTTLIDFLRNKTPFKSVKLGCGEGGCGACVVLLSKYDPLLEKVDDFTVSSCLTLLCSIDGCSITTSEGLGNSRAGFHAVHERIAGFHATQCGFCTPGMSVSMFSALLNADKTHPPRTGVSNLTAAEAEKAVSGNLCRCTGYRPLVDACKSFSADVDIEDLGFNTFCKKGLPCYDHTLSSQVCTFPEFLKKELKSLVDPRKYRWSSPLSISELQSLLGLENGVSVKLVAGNTSTGYYKEEKDKKYDRFVDIRRIPELTVVRRDEKGVELGAAITISKAIEVLREKESVLILAKIAAHMEKIASRFVRNTGTIGGNIIMAQRKHFPSDLTTILVAAGATVKIMSTGSGVQEEYTLEEFLQRPPLEVKSVLLSLTIPSWRPMKNGFTHRAGPEHSQMKYSPLNTHLLFETYRAAPRPLGNALAFLNAAFSAEVSLNKAGDGVVVNDCLLAFGAYGTKHAHRAKKVEHFLAGKVISDEVLLEAISLLKDEIVPDKGTSNPGYRSSLAVTFLFEFFGSLTTNSWLNGGCKEPLKPVAMLSSAQQIVENQEYSPVGKGIEKTGAKLQASGEAVYVDDIPSPENCLYGAFIYSTMPLARIKSIGFKENRVPEGVLGIITYKDIPKGGQNVGTKGFFASDLLFAEEVTHCAGEIIAFLVAESQKIADIATKLVVIDYDTEGLEEPILSVEEAVKKSSLFEIPPYLRGKPVGNINKGMSEAEHKILGSKISFGSQYFFYMETQTALAVPDEDNCMLVYSSTQAPEYVHRTIAGCLGVPEHNVRVITRRVGGGFASIMQRPVRTYVNRKTDMITTGGRHPMKITYSVGFKSNGKITALDLELLLDAGLSEDVSPLMPSGIQGAMMKYDWGALSYDVKVCKTNTVSRTSVRAPGDVQGSYIAEAIIEKVASYLSIDVDEIRKVNLHTYESLRLFHDKKAGEPTEYTLPLLWDKLAEFSGFNQRVKVVEEFNALNRWRKRGISRVPAVYGVPMRFTPGRVSVLSDGSIVVEVPGIEIGQGLWTKVKQMVAYSLGLIQCGTTSDELLDKIRVIQADTLSLVQGSVTGGSTTSEASSEAARICCDGLVERLLPVHAALVEKTGGPVTWESLISQAYQQSINMSVSNVYTPDIPTGYYLNYGVAASEVEVNILTGETTILRTDIIYDCGKSLNPAVDLGQIEGAFVQGLGFFMLEEYLMNSDGLIVTDSTWTYKIPTVDTIPRQFNVEILNSGHHKNRVLSSKASGEPPLLLAASVHCAVRAAVKEAKKQIQTWSNNDREGIDLSFDLPVPATMPVVKEFCGLDVVEKYLEWNIHQKKNI >A07p050590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26972792:26973600:-1 gene:A07p050590.1_BraROA transcript:A07p050590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDIVKDIGSGNFGVAKLVRDKFSKELFAVKFIERGQKRITMEEIKNHYWFLKNLPVMSERSPRMNDQPSQTEEEIVWIIQEARKPIAGASGLSGAGGSGGSSSGAVGSSMDLDDLDIDYDDIDTGDFVL >A02p017910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8150460:8152271:1 gene:A02p017910.1_BraROA transcript:A02p017910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETGEDSSKYPYVSALCMNGGDGDKSYSANSLIMRRVLSITKPVLVKNTKEMFANLDFLESVKVADFGCSSGQNTFLVMSEIVDTINLLCQERNQKQPEIECCLNDLPSNNFNAVFKFIALFKKKVTSEGSCFISGVPASSPLSNYNAYLNQFQRDFSTFLKVRSEEMVSNGRVILTIMGRNTIDDPLYRDCCHCWTLLSNSLRDLVLEGLLSASKVNSFKIPFYDPTKEEVKEIIREEGSFQINDLETHGFDLGHSYEDCVSQSGREGQKEAGCIRAVTESMLVAHFGDSINIDTLFSRYAHHVSQHASCKIKTTVYAPKSPSNILLV >A09p052900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46088382:46091066:1 gene:A09p052900.1_BraROA transcript:A09p052900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSSMLSRSFMSSPSLFALRGKHHNMNRGVYGYSNVAAAEDTITPPVKVEHTQLLIGGKFVDAASGKTFPTLDPRTGEVIAQVAEGDVEDVNRAVSAARKAFDEGPWPRMTAYERSKILLRFADLVDKHNDEIAAIETWDNGKPFEQSSKIEVPMLARVFLTMLVSWADKIHGMTVPGDGSHHVQTLHEPIGVAGQIIPWNFPLLMLSWKLGPALACGNTVVLKTAEQTPLSALLVGRLLHEAGLPEGVVNIVSGFGPTAGAAIASHMDIDKVAFTGSTDVGKIILQLASKSNLKAVTLELGGKSPFIVCEDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARAIKRAVGDPFKSGIEQGPQVDSEQFKKILKFIKHGVESGATLQAGGDRFGSKGYYIQPTVFSDVKDDMLIATDEIFGPVQTILKFKNLDEVIARANNSRYGLAAGVFTQNLDTANRLMRALRVGSVWINCFDVFDATIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTAIKNPAWL >A06p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25336207:25338215:-1 gene:A06p047770.1_BraROA transcript:A06p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQLSGHFHRPIITAAGSDHRRHVTTTHYLPLPRRQRNLTSRLRPCMSLQVGNETTPTSTVDMEQLVGFLYKDLPHLFDDQGIDPKAYDERVKFRDPITKHDTISGYLFNISLLKNLFTPDFQLHWAKQTGPYEITTRWTMVMKFSLLPWKPELVFTGLSIMAVNPETKRFCSHLDLWDSISNNDYFSFEGLVDVFKQLRVYKTPDLETPKYQILKRTANYEVRKYEPFIVVETNGDKLSGSSGFNNVAGYIFGKNATMEKIPMTTPVFTQATDPELSAVSVQIVIPSGKDLSSLPMPNEEKINLKKLEGGFAAAVKFSGKPTEDVVRAKENELRKSLSKDGLKAKTGCMLARYNDPGRTWNFIMRNEVIIWLEDFSLD >A01p050760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000148.1:11927:12283:1 gene:A01p050760.1_BraROA transcript:A01p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSNTSLMVPNHPVHPSQTPPLLEWHKPTTTDGSILIAYLPHSHAFPGNAKFKQRTRLWPTGLSFFNALTKLFFDSEGLGDKPEHQSQEQSNSENQANTSVFLSLDSHHRNMSLLE >A08p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16396389:16398047:-1 gene:A08p025420.1_BraROA transcript:A08p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVSVSPTSLIDQIVVPGDVVLDLSNMTNQTIKLGSGLRQDSDAISAMRAGKFSYSKPNKYWVESSHKRYIPRTEDHVLGIVVDYKADNFWVDIKGPQIALLPGLAFEGATRRSIPKFEVGTLLYLRVVKTNIGMNPELSGTDASGKASVFGPLKDGFMFETSTGLSRMLLSSPTCPVLEALGKKLSFEIATGLNGRVWVNAAAPRSVIIVANAIMNSETLSATQQRIMVEKLLAKISD >A03p036290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15206612:15208863:-1 gene:A03p036290.1_BraROA transcript:A03p036290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTREEESQDDPSRVDGLFPPLNLLFTPTPELIALIEIELQQDYSSPHQQNFHYTTEHPKTLTPSETPKNHEKLKAVDSQIYKITIGQWTHTRVYPDDIKAKFYFAKKRIIWEILDDVETGTQVERLKRKIESQWSDVLSFRATYNSNDGAGILEVELGKRPTFFIETNPQRGKHTLWKICPDFTLNQSASIYRRHTLHFFPGDLQKTLDKLVSGDSFWSKLAKVSFPTLQSLYFDFGFGDSNDGFGDSNNNAAITLSHYGHYQTVPVFGQVSEPDVNVNFNVPTQFFPNDGRQMNSFVQDVDFPGTQVIQPSYQLVGGRYFSGTQFNNPMIQDQTRNTMELRGNQAYEQALRETQASYMMFQMEPHLQDCLAQGGYTRSMEHITPDGNFQTNSICNCDQCLINNGLIPH >A02g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1787966:1789818:1 gene:A02g500640.1_BraROA transcript:A02g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYDGLEACFNNNQSLQEESGRSRGDECLTDSLDDDAFSSCSSSKDTSESFSSKWLPKKNGKNISNEWDYERSPKHFYSKEERPGYTLCSSDVEAMKEKFSKLLLGGDLTGGRKGVQTALALSNAISHLANSVFGELWKLEPLSEDNKQRWRREMDWLLSPCNHMIELVPSKLDDKNGRSLEIMTPKPRADIHMNLPALRKLDSMLIETLDSMVSTEFWYSEVGRRAEGKNEISRESRRWWLPSPKVPKPGLSSLVRKNLLEKGNVVYQSFKAAKSINEEVLLEMPVPTIIKDSLHKSGKTSLGDELFRMLASESASVDEIFVSLRLRTEHAALETVNRLEAAIYAWKEKFTEQRSSGKWSLVRDSLSEISRIEPLINKAERLNGQIKSKYSNLPQSFLDATKIQYGKDIGHAILEAYSRILASLAFRILSRIREILQEDALSNASSTATPSCFSGSNDMFRTPERLLVSSRLRHSLIHDINKADDGTRNSLE >A04p016410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10090558:10091433:-1 gene:A04p016410.1_BraROA transcript:A04p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPSWAYCPLSCFNDIRPGVELVVIVMVHTNATIINLSQRAAETDRRREETAKSAQN >A03p012210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4864597:4865412:-1 gene:A03p012210.1_BraROA transcript:A03p012210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISGLGIGLSLVFGLLLLALVAEIFYLLRWKKIISQESQEEEQQAGYAKELIQLFCLKNHQPLHANNGVREAEISRTQDLEIGLMKHLGGGEVGFEAELMKFHNQRFLFTIIEETKADLESDDEKSRSLSDLPVGVNDCTTPGLTPLASPCTLKSSPLESYSHHGFNPLFETEDELEFNKFFRSSSTSPPPKFKFMRDAEEKLRRRMAEEAKRREETEGSFMKFLNPEMMNREKKQSSQESDETVSFSVSSSSSGTNLRTLDRRSTLVV >A10g503790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9623081:9623455:-1 gene:A10g503790.1_BraROA transcript:A10g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRRSYSFMRSKAEADLWAMLWAVECMHNTHQVNVIFEASSEQLHNVLCEPLHHLEFTGVVQSKNQLLNGINGWSLDHALQERNEAAAAIAVSVTRDRRYQSYMAQHEPAWLHQLLSLEASSN >A04p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3561920:3563684:1 gene:A04p006680.1_BraROA transcript:A04p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDARVSIIMFSSSNKLHEFISPNTTTKEIIDLYQTVSDVDVWSAHYERMQETKRKLLETNRNLRTQIKQRLGECLDELDIQELRSLEEEMENTFKLVRERKFKSLGNQIETTKKKNKSQQDIQKNLIHELELRAEDPHYGLVDNGGDYDSVLGYQLRFHQNHHHHYPNHALHAASASDIITFHLLE >A01p045780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25818898:25821157:1 gene:A01p045780.1_BraROA transcript:A01p045780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFSQSPSSSTPPPPSSRFKPNPPGGDSEYDEEESTKNFARKVADHYSRRTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARPDDAVLDLACGKGGDLIKWDKARIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPSRLLCGDCFEVELDKILEEDAPFDICSCQFAMHYSWTTEARARRALANVSALLRPGGVFIGTMPDANVIIKKLRQAEGMEIGNSVYWIRFGEEYSQKKFKSSSPFGIEYVFHLEDAVDCPEWIVPFNIFKSLADEYDLELVFVKNSHEFVHEYMKKPEFVELMRRLGALGDGNQDQSTLSADEWDAAYLYLSFVLRKRGGESDGGQRRGGRRKNGKMNLSKDDVLYIDN >A09p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11877670:11878145:1 gene:A09p021920.1_BraROA transcript:A09p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQKLGWSEGDLMRSDAKPCSRLMRQTAAIFTVGGALGFWVLCRLHYGPRVTVPRSLRWAGCGAVSMSASTATLVRLLSPECEPQNIAAYDQPKPPQASLP >A03p054900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23657287:23659674:1 gene:A03p054900.1_BraROA transcript:A03p054900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNLQDSMNRSCLCSILITTALICGAYFIGNAYIDEEFKEKLLRWEITNRMYNVTDKIQNVADKIHNVTDKIHNVADKMQNATSPTCENLNKPLGTEALPQGIIVKTSNLEPQHLWNYRHNKKGNPNRAMSLLAMAVGIKQKDIVNNVIQKFHSRDFVVMLFHYDGVVEDWKQYPWNEYAIHVSVMNQTKWWFAKRFLHPDIVAEYEYIFLWDEDLGVAHFNPQRYLSIVKEEGFEISQPALDTTKTKVHYPITARRKKLKFHRRMYKNKGSVRCDDRSTDPPCTGWVEMMAPVFSRSAWRCSWYMIQNDLIHAWGLDLQLGYCAQGDRKKNVGVVDAEYIVHYGLPTLGVVVTASSSSPNETNPKSRVSQESSESREVDNRPEVRRKSYVEMKRFKERWKKAVEDDICWVDPY >A04p026920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:16177509:16178816:1 gene:A04p026920.1_BraROA transcript:A04p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFATRMMTIILSLQIITAFLFITATASSPPSGFTMDLIHRRTNSSSSRRSNVDGQLRSSPYADVFFETSEYLMKLKIGTPPVEIDAVLDTGSEIIWTQCLPCLNCYNQRNIIFDPSKSSTYKARTCNTRNPSCNYNLVYGDQSYTIGSLATETVTIQSTSGHSYVMPKTVIGCSHNSSGFVTTASGIVGLSWMSLSLISQMGKDMLGAFSYCFSPEGTSKISFGSNAIVSGDGTVSTPMFTKTEKPGFYYLNLDAVSVGEARVETLGTPFQAVDGNMLIDSGTTFTYLPASYCSLVRESVEKVVTAELFTTSDGTHCYKTKTMDIFPVITMHFSAGADLVLDRNNTYMANGETICLMIMCSPMTPVAEAAVFGNRAQNNFLVGYDRSSLQFSFKPTDCGVTEDKKPRDSTSAASFSQFNIKFVLFIVVLSLIRL >A07g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11186303:11188664:1 gene:A07g505190.1_BraROA transcript:A07g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKLDNSASHTRFGLVQFLLALLLFYFLCMSFEIPFIFRTGSGSDDGLPRHMVVVGREANRAIVGEEEDPHRPFEDPGRVNRAGHIHREFKTVSEIFTNESFFDAGGFSDELSTFHETVKHAISTGRKMWGNLGSGLITKPNPVKNRTEKCPDTVSVTGSEFLNRSRILVLPCGLTLGSHVTVVATPHWAHAEKGDDGKTTMVTQFMMELQGLKAVEGEDPPRILHFNPRVRGDWSGRPVIEQNTCYRMQWGSALRCDGHESSDDEELGYHISVDGRHITSFPYRTGFVLEDATGLAVKGNIDVHSIYASSLPSTNPSFAPQKHLEMQSMWKAPALPEKPVELFIGILSAGNHFAERMAVRKSWMQQKLVRSSKVVARFFVALHARKEVNVDLKKEAEYFGDIVIVPYMDHYDLVVLKTVAICEYGEWPEEYYPPYANGPGYILSYDIAKFIVDDFEHRRLRLFKMEDVSMGMWVEKFNETRPVEVVHSLRFCQFGCIEDYFTAHYQSPRQMICMWDKLQRLGKPHCCNMR >A09g513170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40130544:40131471:-1 gene:A09g513170.1_BraROA transcript:A09g513170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSHLRFVPIRLVEDYLDTNQRIGDLFLDLSDGPLLSLRFRRTIRPDIGRIEKWVLRTAFDDEKNPYLPKSTARATVPGGPSVPCSTAKAVEWDAAWSQNLDLSGRAALGVHVAAYEEDKAEGTRSKKLQKLAEKTAEAIVVYNE >A07g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10952329:10952644:1 gene:A07g505070.1_BraROA transcript:A07g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWLRRRVLQEFSETKQKQEIKSFYASTGSGYISESWRSRSGSDRSFKRRLQACGSMVDYGY >A07p010790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6754256:6758344:-1 gene:A07p010790.1_BraROA transcript:A07p010790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFLFIYGDKNSNKVKYFRSEDLLDDLLVWTGPSVSSLYYTTNTCTKYILTGPDFAFSSRCNDVYTYSKKDFPEGFVFGSAVSAYQWEGAADEDGRKPSIWDTIFHSIPPNDAGKGPTGDVACDGYHKYKEDVRLMYDMGLDASRLSISWSRLIPSGRGPVNPKGLRFYKNLLDELKSHGIEPHVTLYHDDLPQTLDDEYGGWFDRKIIDDFTAFADVCFREFGNTVKFWSTINEPNMLAFGGSGLGIKLPTPQTNSYIGNSSTNQYIALHNMLLTHASTASLYKKKYKDKQNGSVGITCFTYWMVPFTSSKEDEMATQRAKDFFLGSVLHPLVFGDYPGSVKRIAGKRLPSFSKEESDLVQNSSDFIGVIHYTTMYIAHLTSSTDQDFVSDMNASLIPIGNSTLVKYDVLPWGLEGVLAYIKENYGNPPVYILENGSSNHSSSLNDVGRVEYLHAYIGAVLNSVRNGSDTRGYFQWSFMDLFEFLDPNYTYGLYYVNFSDPELKRSPKTSALWYSAFLNGTTSSSHELKNSGSFSAL >A05g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2592019:2592576:-1 gene:A05g500700.1_BraROA transcript:A05g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIATKKNVRVFNLQKRELAIKKLETGLRKISSMAIHPGGDNLIVGSKKGKMCWFDMDLSSKPYKTLKNHPKDITNVAFHRSYPLFASCSEDSTAYVFHGKVYSDLNENPLIVPLEILRGHSTSSNRGVLDCKFHPRQPWLFTAGADSVIKLYCH >A05g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19429422:19430466:-1 gene:A05g506890.1_BraROA transcript:A05g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVITTLADGEKVPSLGFIYGEMLEAKKSIKEASDHLEKNYGPIFRIIDEKMKNRLDCSLHIAAYFLNPFYFYKDSTIQSDFEVMEGFIACVETFYHGDLEKQDSVVNHELSLYKNKIDSDLGLLLSTQAPRVRDLYDDDFESEEEEEYVVDMEFEPDVYQDIPSFRESQQQPI >A03p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1761848:1763684:1 gene:A03p004100.1_BraROA transcript:A03p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRSITKGVDCISSMPDVILHHILSFIPIGLAIRSSTLSKRWRHVWCETPRLDFRGYHPMATARDINQTLISYKAPKITSFHLCVIVWVPKLEPQIDSWIEFAVSRNVEQLFLSFGCRVNHATYRFPNSFYRSSSLQNLHVDFITGIPGCTVSWKSLRSLTLGHCFQSLDDVLSGCPVLETLTLGYCGGVHSLDLSKSPTLTILKINRTLYCWPTPGSIKIVAPHLRYLDLLNTHETCTLVDVSSLTKAKLDIRIWGDPYRYHYYSDDIISVAELRGVSFPMLKVQTLTLHTMIARSVTPGITRLLQSSPALKKLIVYATEPKSIESNTFYMLDLDEYLDSQGMNLDQCWRPKCDGFPTSSNFRFKDATWYLKLVASFIEWLLKNVETLETLVVVFENLCCSDDATLFEELLRMLPTLSNNNNVSIVLRRG >A07p029060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16346778:16349195:-1 gene:A07p029060.1_BraROA transcript:A07p029060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVCPETEERTLQEPILTVSTDVSFASNHFPTYKLGPDNEIVDEPKEDEKGPSVKETVEEESQFLSDQHKRLSVRDLASKFDKKLAAAVSLADEAKLKEVASLEGHVMLKKLRDALEYMRGRTDGQNKEDVEKAISIVEALAVKLTQNEGELIQEKFETSEDAKKLVNHEKSFACAEIESARAVVLRLGEAFEEQERSSEASRAQGPDVEKMVEEVQEARQIKRMHHPTKVMGMQHELHGLRSRIQEKYMNSVRLHQEIATIKRAEESKSCPFVLEGTQSLGSCLKIRVNAPDNAIELSNCSIQWYRAACETSQREAISGANQEVYAPEPFDVGRILQADILSNGHKVTVTTNGPIDPDAGLQSRIDSLMKKSNSEFSVVISQMNGQDYASRSHVLTIGKTRIKLSRGWITKAREIYSKSMQLCGVRGNIKAPAKALFWQPRKSLTFTLTFESEQERNTSIALARKYAFDCNVTLLGPDD >A01p059180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33905952:33907850:1 gene:A01p059180.1_BraROA transcript:A01p059180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmental protein SEPALLATA 2 [Source:Projected from Arabidopsis thaliana (AT3G02310) UniProtKB/Swiss-Prot;Acc:P29384] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVSLIVFSNRGKLYEFCSTSNMLKTLERYQKCSYGSVEVNNKPAKELENSYREYLKLKGRYENLQRQQRNLLGEDLGPLNSKELEQLERQLDGSLKQVRCIKTQYMLDQLTDLQGKEHILLDANRALSMKLEDMIGVRSHHIGGTWEGGDQHNVAYGHHQAQSQGLFQSLECDPTLQMGYNHPVCSEQMAVTAQGQSSQPGNNGYIPGWML >A05g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18753005:18755587:-1 gene:A05g506600.1_BraROA transcript:A05g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGDRVLHDDAVSDCSYRTFDSDGDANSLVSVSLSGFSDSLSKLVAHDSFVCAGDRIYFENLGSTIREHRLCHFRLSKIGGVTKVMPPRQAHRGGHYLPIPISSSSDSSPPSTPAPLPTPSFEATPSGSSFETDPSEGSYDKTPEHIPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAADIPPAPAAHIPPAPAAPIPAAQPQPAPTDPAMIALLELMAEM >SC318g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000163.1:30251:30551:-1 gene:SC318g500010.1_BraROA transcript:SC318g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEIICGLLIYKFVRRFFKDDEISDETSSDSTALFSVAHRLEKLYGGKAYVGLRIPDADTSSRQDIDLVLLTK >A06g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23326064:23328485:-1 gene:A06g508270.1_BraROA transcript:A06g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWSSSDTLLPVYCLDPRLFHTTHYFSFPKTGALRGAFLIECLADLRKNLIKRGVNLLIRSGKPEDILPSLAKDFGAHTVFGHKETCSEELQVERLVDQALKGVGNGTKLELIWGSTMYHKDDLPFDVLDLPDMYTQFRKSVEAKCRIRSSTRIPISLGPTPSVDNWGDVPTLGQLGIEPQEVTRGMRFVGGECAGVGRDLLKVYKETRNGMLGADYSTKFSPWLAFGCISPRFIYEEVRSFNPLSNVPKAHRSFHLCGKHLQVQRYERERVANNSTYWVLFELIWRDYFRFLSIKCGNSLFHLGGPRNVQGEWSQDKKLFESWRDGKTGYPIIDANMKELSTTGFMSNRGRQIVCSFLVRDMGLDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQMHWRKKIQPESNSWAPPLIGGMRLGMFTARSVSCFQFRGRWFSNCCRPYLAYSVLDTQGRLKHKGEQCTRPGPRD >A10p015800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3444110:3449301:-1 gene:A10p015800.1_BraROA transcript:A10p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTTKITSFSLLFLSLIIPTLTTATCSTAVCRNGDPIIRFPFRLKSHQPESCGYDKGFDLTCGNNNGVNRTTIRLPFSGNFTIEMIDYAAQVILLADPNNCLPKRILTLNLTSTPFDGVYTRRFTFFSCPTSGYLRFGRFIPITCLSDENSTVFATALPRVVNYLSAQSCRLMKTVDVPVRLPVYEQAVSYWGLSDSLWLTWRVPRCRRCESRGGKCGIKSNSSREIICSDAPKPAIPRKLGYAIAIGAGIPGTLILFGVFCFVYSKINAFIKRHHLISHPEINNTQAHSSQSSIMIKGLDGSTIDSYPKIVLGESKRLPKIDDVICAICLSEYEPNETLRTIPLCQHCFHADCIDEWLKLNGTCPVCRNSPEQILPSENINS >A03p054920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23669769:23672635:-1 gene:A03p054920.1_BraROA transcript:A03p054920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASTVRFSSCRGVAFEIKPHANPFAVDPTDQNRNANAIPESRRFRLPWDFMRNASKVFPSSMQHSMSRASSHFCDLDSDNEEEEERDSLYYLEEGGIKEGDEQHSENDEKAILASSASKHSEKPQQTPIPKKRASRLSIILLDQGLFTVYKRLFVVSLLLNILALVLAATGHFTYARNRASLFSIANILALTLCRSEAFLRLVFYLTVNLLGHSFVPVRIKLAVTSLLQSLGGIHSGCGVSSIAWLVYALVLTLKDRDNTSTAIIAVASAILSLLCLTSLAAFPLVRHLHHNVFERVHRFAGWSALGLVWAFIVLTISYDPISRSYSDDLGSKLIKTQEFWFTLAITVAILLPWLTVRRVPVDVSSLSGHASLIKFRGGVKSGILGRISPSPLSEWHAFGIISDGKTSHMMLAGAVGDFTKSLVSKPPSHLWVRTVHFAGLPYLVNLYDKVLLVATGSGICVFLSFLMQQSKAEVYLIWVAKGLDDNFGTEIVNRIRDYPHQDRIIVHDTAILGRPNVSEMTVKASKKFGAQVVIVTSNPEGSRDVVNACKASGVPAFGPIWDS >A07p025400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14637812:14641689:-1 gene:A07p025400.1_BraROA transcript:A07p025400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-oxoprolinase [Source:Projected from Arabidopsis thaliana (AT5G37830) UniProtKB/Swiss-Prot;Acc:Q9FIZ7] MGTVVEGKLRFCIDRGGTFTDVYAEIPGHSDGRVLKLLSVDPANYDDAPVEGIRRILEEYTGKKIPRASKIPTDKIQWIRMGTTVATNALLERKGERIALCVTKGFKDLLQIGNQARPDIFDLTVAKPSNLYEAVIEVDERVELALEKDDTLAGLVKGVSGELLRVAKPFDEEALKPLLKGLLDEGISCLAVVLMHSYTYPKHELAVEKLALEMGFRHVSLSSALTPMVRAVPRGLTATVDAYLTPVIKEYLSGFISKFDDDLGKVNVLFMQSDGGLAPESRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYDGSYEQVIETQIAGTIIQAPQLDINTVAAGGGSKLKFQFGAFRVGPDSVGAHPGPVCYRKGGDLAVTDANLVLGFVIPDYFPSIFGPNEDEPLDVAATREAFEKLAGQINSYRRSQDPSAKDMTVEEIAMGFVSVANETMCRPIRQLTEMKGHETKNHALACFGGAGPQHACAIARSLGMKEVLVHRYCGILSAYGMGLADVIEDAQEPYSAVYGPESLSEVFRREALLLREVKEKLQEQGFSDENISTETYLNIRYDGTDTAIMVKGKKTGEGSTFDYAAEFLKLFEQEYGFKLQNRSLLICDVRVRGIGVTSILKPRAVEAAPGAPKVESHYKVYFEGGWHDTPLFKLENLGFGHEIPGPAIIMNGNSTVIVEPRCKSIITKYGNIRIELEAAVSSVKLAENVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFSPDGGLVANAPHVPVHLGAMSSTVRWQLKHWGENLNEGDVLVTNHPCAGGSHLPDITVITPVFDKGKLVFFVASRGHHAEVGGITPGSMPPFSKAIWEEGAAIKAFKVVEKGVFQEEGIIKLLQFPSSDETTAKIPGTRRIQDNLSDLQAQIAANQRGIALIKELIEQYGLGTVQAYMKYVQLNAEEAVREMLKSVAVRVSSETPTSRVGNTVTIEEEDYMDDGSVIHLKLTIDADRGEAFFDFTGTSPEVYGNWNAPEAVTSAAVIYCLRCLVNVDIPLNQGCLAPVEIRIPAGSFLSPSEKAAVVGGNVLTSQRVTDVVLTAFKACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGIQCHMTNTRMTDPEIFEQRYPILLHRFGLREKSGGSGLHKGGDGLVREIEFRKPVVVSILSERRVHSPRGLNGGQNGARGANYLITKDKRRIYLGGKNTVHVEAGEILQILTPGGGGFGSDV >A06p046390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24835757:24838852:1 gene:A06p046390.1_BraROA transcript:A06p046390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKIGLKRGRWTAEEDEILTNYIQTNGEGSWRSLPKKAGLLRCGKSCRLRWINYLRRDLKRGNITAEEEETIVKLHSLLGNRWSHIATHLPGRTDNEIKNYWNSHLSRKIYAFTTVTGDEHSLKVDDLVLNKSSSSSLGAKNTNKTKKKKGRTSRSSMKKHKQMVTASQCLPQPKELESEISEGWNSGNYEGKSLGAYEWLDGEIERLMSSFVWECASEEAVISMNDDDPDQNKVCESGDNISCCVDLFEEEQGKLGSLHVDQDMNIEKERGESILSSNSNESGDKDWWVGLCDSSEVGFGINDELLGWEFQGGLTCQTDDLWDLSDFGEIQQLDSI >A05g509970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30130229:30130646:1 gene:A05g509970.1_BraROA transcript:A05g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQLAESSINGGDNHSVDNFTNHYEIFSETDVDVLCLFISELPSTRGEDLQGKCLLRALWAQAQDRREMLEELMRKGTSSLGNDVPSERER >A05p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19387352:19388422:-1 gene:A05p034490.1_BraROA transcript:A05p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLNEVCFIFRSRSGPLQKIANLLQKWTVSSTYDQEGRHTSETRNTVIPFAPQQRELMMVVVVISLVNTPVPKNTRYSSDKLSHMNKMSAVKKLVYASNIFRRWCQRVHVEEQLHREFNIALDSRRRPEAVEMEKEHIKRKSERGFEPKRHRKREHEVEAHRSKTKRRRAGKGEAVSKGNRVTDFRELLVKFIVVMRFHRRTKIINRISDRVEITHERERNKSLDENA >A05p051270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29861341:29864816:1 gene:A05p051270.1_BraROA transcript:A05p051270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPEAGSYDEVFSAEDEDQCRICRSPEEPGNPLRCPCLCRGSIKFVHQDCLRTWLIRRGNNKCEVCGRSYSFVPVYSENAPERLSCDEFLLGVLSRAGRYLKMIVPWIVLILLNCYFVSLHPWGQVAAAEFQNDFGMSRKFACFSTGLLYIVFSVCLMTVIALVKLEVGDVNVRRFIGNGGGLQFLLKYMKILFDWYCHKLIHFLGEPPRLIFLPPEAPLHEFGVIRGVLFFLDDDSFAILAISVYVAILVVLLPVWIGRIGGSYLSVNSTVVLGYMIMLSVWFAYVGFLFALHQNLFPVIVRWLSLGVHFVAVKLPCLLWVFSVKSCKRLQSLVSFGIRQASLPAIFHWFSLGFHFITVRLPSFLWVSSEMVCKSFQSLVRLRIISIHREATTPPAIFQWFSIGFHFITVTLPRFLWVSSAVACVILSVLKEAFVLCFKIGVLPWIIGCWLGICTSPLFGTIFSQSFETVSHFPCMMFLRWSSGIVCLLVAQSCMYRIQEIVHKRAIWYLLDVTDPDYKITKMNFGHTFFALASHGVLLVILFHLPIRAITLISPSFFPLELWVSDEEVSAAAHSIYFHLLSSSPKWLIGLVKPAMELMVQNWIITVSSWLDLSDYLLVADQNVRPRMQPRRRWLLFCSVAEGSLVSLHGSKNSEDDTKDQRDNRFLLRIALMLMLAALSTFVVSTAFMALPILLGRGLLESLSFIMLRIGLKRDDLLAFWIGYSIIGQTYTITCFVYDEIQKGRFDLLLKDVFMWIRNGLLFSIWISVIPGLLGLLIELMIIIPLRVPLDESPVHFLIQDWLIGVFVLHTWVFLTMLTPINWFATEAWLRKLERIRNVGLTRVPSTWLLQDVIGSIINTLLTTLTIPYLLVKFLFPLLGVSESVTSATERLIWPALLALLAGWIIARITHDFIIYVHQLVFNERYLVGEILNNVTEEERT >A03p069970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30739532:30742165:1 gene:A03p069970.1_BraROA transcript:A03p069970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSTNPNSSSSSAAQSRRPSPQVSSPWTLIVRGGDSVPTIAAAAADPSSPQSKPAIEPIADASPPPAAGEEKPEGNAGKKPVWKRPSNGGAAASEVGPVMGASSWPALSEAANKSSSDSLKSLGDVAPSPPPVLVSQGIANASVPSSASKPAGRANPNPTPNNSRQRSFKRNGASGSSANGTQPSVQGSLAESPSHNPSPRGQNQRNGFPSHTHGGGGADNVSQRDSYRNQNGNHHQSHGGRRNQEHGNQYWTFSRSFNGRDGNAQSQRGAPPAFVRHPPPPPVQTIPPQFMAPQPFASPLPFPPELASQYYQRMPFVAPLSPGPVFYHVQDPPLNIKLQKQIHYYFSEENLIKDTYLRRQMDDQGFVPLPVIAGFNKVAELTDSVQQIAEALQGSPVVEVQGERIRKRYSWQHWLIPQDPSSPQSVGAVASRVENLALGESSAGPNGGSSSQLQPAGAENKAVSDGQQQSPGGVPGSNRNGSDGANR >A02p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17855458:17858034:-1 gene:A02p033520.1_BraROA transcript:A02p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGFSSASYTFRVIIFLSLFFFLCNGFSYPDSSLFYTHHHRHNLAKHNYKDALTKSILFFEGQRSGKLPPNQRMTWRRDSGLSDGSALHVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVIEFGGLMKSELGNAKVAIRWATDYLLKATSRPDTIYVQVGDANKDHSCWERPEDMDTQRSVFKVDKNTPGSDVAAETAAALAAAAIVFRKSDPSYSKILLRRAIRVFAFADRYRGTYSAGLKPDVCPFYCSFSGYQDELLWGAAWLQKATRSLKYLNYIKVNGQILGAAEYDNTFGWDNKHAGARILLSKTFLVQKMKTLSEYKGHADNFICSVIPGAPFYISQYTPGSYIFTPHFSLIYIFAPPLKKITDIYDENMQYVTSTSFLLLTYAKYLTSARTVVHCGGSVYTPGRLRSIAKKQVDYLLGDNPLRMSYMVGYGPKFPRRIHHRGSSLPCVASHPAKIQCHQGFSIMHSQSPNPNFLVGAVVGGPDKHDRFPDVRSDYEQSEPATYINAPLVGALAYFAHSFGQL >A02p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14523155:14524615:-1 gene:A02p028860.1_BraROA transcript:A02p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRGCGVGNVAISCVYLAIVLLLLSSALATSSQVTPPTTGHGGKWGVRTLMQYGEGDGEGDDGSTYHSRCKRGDVTGSCTRGDGIGNTKT >A02p028470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:14388892:14389452:-1 gene:A02p028470.1_BraROA transcript:A02p028470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDGSSFDPNFTPLNTMDFESQRVLGVILAADEADDGSSDGAKKKQRKKRRLIQAADDEDDSDVEITPPPTQATTLRKQVTCGTVRALKSKKPMLQSTLDGGIGSSSSPKASKRKTKTKSIHFTIRGGRKSSRPTQGNGKNKKKIQEEQLDSEGEFEEDEMEDEKEGRQWSDVWPHFTKIRKSNG >A04p007150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9009538:9009957:1 gene:A04p007150.1_BraROA transcript:A04p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKYSDRRSYSWSGQARPYICDFCERGFSNAQALGGHMNIHRKDRAKLRQANLKEDDREDSTCTTSRNRLEQDLIELPFFVDTVSSSTKQDKNTSGDYLRDEEEKKMRMLQKALSQSAEVIDLELRLGLDPYKKSPST >A03p052560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20010588:20016074:1 gene:A03p052560.1_BraROA transcript:A03p052560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMILKTTPELFQGDGVHRTDLLGKNRLVSRSYRLGNGSSRFAKIGTIHCQQFSVGKTGSHREVKTRAILSPVSEPAPTQTKKRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQQYQVAGKKLPEGLWEEILEGLSFIERDIGASLADPSKPLLLSVRSGAAVSMPGMMDTVLNLGLNDQVVVGLAAKSGERFAYDSFRRFLDMFGDVVLGIPHAKFEEKLESMKESKGVKNDTELSATDLKELVEQYKSVYLEVKGQEFPSEPKKQLELAIEAVFDSWDSPRAIKYRSINQISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDTMKRLMPQAYAELVENCDILEGHYKDMMDIEFTVQEERLWMLQCRAGKRTGKGAVKIAVDMVGEGLVDKSTAINMVEPQHLDQLLHPQFHDVSGYREKVVAKGLPASPGAAVGQVVFTAEEAEVWHSQGKNVILVRTETSPEDVGGMHAAEGILTARGGMTSHAAVVARGWGKCCIAGCSEIRVDENQKVLLIGDLTINEGEWISMNGTTGEVILGKQALAPPALSADLETFMSWADSVRRLKVMANADTPEDAIAARKNGAEGIGLCRTEHMFFGADRIKAVRKMIMAVTTEQRKASLDVLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLDAIVHEVAEETGMKEDVILSQIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAASMQKQGVTVLPELMVPLVGTPQELGHQVNVIREVAKKVFAETGHTVSYKVGTMIEIPRAALIADEIAEEAEFFSFGTNDLTQMTFGYSRDDVAKFLPIYLAKGILQNDPFEVLDQRGVGQLVKMATEKGRAARPNLKVGVCGEHGGEPSSVAFFAEVGLDYVSCSPFRVPIARLAAAQVVA >A06p041830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22512050:22512608:-1 gene:A06p041830.1_BraROA transcript:A06p041830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFADLCYRERRHRKLKHKLRVCCVVYHVDNNNKKKRVEIKVKMDCEGCERRVRKSVQGMKGVTNVTVDPKQSKLTVEGFVQPNKVVRRVMHRTGKKAELWPYVPYEVVPHPYAPGAYDKKAPPGYVRNALADPLVAPLARASSFEVKYTSAFSDDNPNACTIM >A03p060350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26145613:26148962:1 gene:A03p060350.1_BraROA transcript:A03p060350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRYLHVSVASTALSFVGLQVWTELSLDRLRADAKNISLGDSEHALDLLLGSYFTIALLTNFVLNVYILLLLALKNLFFGDLYGVETKKLVERLANYIIYKGIFLPLVIPATIFQGVLWTVWLAVLCTLKMFQALARDRLERLNASPSSTPWTYFRVYSVLFLVLSVDILWIKLSLMTYNTTGSSVYLLLLFEPCSIAFETLQALLIHGFQLLDMWINHLAASNSDCQRSKFLDSMTAGSLLEWKGLLNRNLGFFLDMATLVMALGHYLHIWWLHGLSFHLADAVLFLNIRALLSAILKRMKGYIKLRIALGSLHAALPDATSEELRAYDDECAICREPMAKAKRLHCNHLFHLGCLRSWLDQGLNEVYSCPTCRKPLFVGRTETEVNTRTVEVSSDEQLARQLERENIPEHPLATGLFPHEMPNSIESDPSRNLGLDPSWLQTWSSQGVDVAGPSTASRSVGLGRVQMMMRHLASVGESYAQTALEDAAWSLWPMNPSQASTSSTTLRPLGAGGRTGGLHLRTVSSGTNESLANILAMAETVREVMPHLPDEIIFQDLQRTNSVAITVNNLLQM >A10p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:169177:170230:-1 gene:A10p000300.1_BraROA transcript:A10p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT1G01720) UniProtKB/Swiss-Prot;Acc:Q39013] MSELQLPPGFRFHPTDEELVMHYLCRKCASQSIAVPIIAEIDLYKYDPWELPGLALYGEKEWYFFSPRDRKYPNGSRPNRSAGSGYWKATGADKPIGLPKPVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSSAARKKKNSLRLDDWVLCRIYNKKGAIEKRGPTPTPVIYGDEVVEEKPRLSEMGMPPPPVMPNDFVYFDTSDSVPKLHTTESSCSEQVVSPEFTSEVQSEPKWKDWSGEKSSLDFGFNYIDATAFGGGGGSNQLFPLQDMFMYNMPKPY >A08p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1444731:1445756:-1 gene:A08p002530.1_BraROA transcript:A08p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 7 [Source:Projected from Arabidopsis thaliana (AT1G52690) UniProtKB/Swiss-Prot;Acc:Q96270] MTSHQEKSYKAGETRVKTQEKTGEAMGMMREMTEAAKDKTQGAGRSTQEKAHETAQSAKDEISQGAQTTQQKAQNSKDKTGSYVSETGEAIKNKAQEAAEYTKETAEAGKDKTGGFMGHMVSKLTLLAWQAIIYWIWIERNARLHSNIFKPVDSIFSTLDRQLRTRHKAFDMKIQKPPPRCFRLGYASLDFFRN >A04p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3532002:3536456:1 gene:A04p006620.1_BraROA transcript:A04p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFLIILLLSTHSFITHYINTHSQTLKPTQIKSRSKKMRTQLLFLLISLLVLNSESLNCYENNPRGHPSDLRVFHISSPCSPLKKKPNTVSWESTLLQDKARLQYLSSLAVKSSVPIASGRAIVQSPTYIVRANIGTPAQPMLVALDTSNDAAWVPCSGCVGCASSVLFDPSKSNSSRTLPCEAPQCKQAPNPTCTVSKSCGFNMTYGGSAITASLTQDTLTLANDVIPNYTFGCINQATGTSLPAQGLMGLGRGPLSLISQTQNLYMSTFSYCLPNSKSSNFSGSLRLGPKFQPLRIKTTPLLKNPRRSSLYYVNLVGIRVGNKIVDIPTSALAFDPATGAGTIFDSGTVFTRLVEPAYLAVRDEFRRRVKNANATSLGGFDTCYSGSVVFPPVTFMFAGMNVTIPPDNLLIHSSSGNTSCLAMAAAPNNVNSVLNVIASMQQQNHRVLIDVPNSRLGISRETCT >A03p044190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18446466:18446770:-1 gene:A03p044190.1_BraROA transcript:A03p044190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKHYSLKTKPKSKNFKLQLPPSTINVHELRSCLVQVLFMTMNVSGSIEYLFRFGLDNTCNPKYHKTRSIWYLCRIQIGSV >A08g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5251162:5252491:1 gene:A08g502400.1_BraROA transcript:A08g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDETSRSKHAMMWALTHLTNKGDLMTLLHVVSPHDEASPSLVQSLGSLCKACKPEVDVEALVVQGPKLATILSQVKKLDVTVLVLGQKKSAPFISCLRGPSRSEELVNRCINGADCLTIGVRKQSNGVSGYLINTRWQKNFWLLA >A06p017700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7965261:7971048:-1 gene:A06p017700.1_BraROA transcript:A06p017700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEGLNRHCFGCKRISHDIYSCLDLSSEEREQKIKEFWELNAAGAQSLSYQAMTGPVGNFRSNVPTNKRPRSPNGDASQRSPMRAGLLGQSRGEKRHKESESYWTAKANSGREVPPQLMERRRMERDERYPHHQGKTTVWNRLEDRLGGRDSRKSTDYNSRPKQTSRDCQERQRGREPYRPRERYYSHQSQASQQAWRPRAQRNEGKSCSPSRTVTNPKYQNAPTPEKADSQQTISGGIQVRNGLDGQGSGVLVVHKNETSEERLRRLKGKSIMCEDLSAKSPSSVSRQAQHAILTRDRGTVVIRERGIHSPPPALRSAPPPLRLRDETEEPSLDLDNLMNSKHIDDMVLTREEEAEVDKLVDELGDVDMDEDMVQNDDLLIDEPGYDAEIIDAISQLSPANAVNEEIGATAGMEKEPQPTTTNQMKNKSAVPAKIPHAVSGQSRAGADHNCPSLR >A03p053550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22966856:22970086:-1 gene:A03p053550.1_BraROA transcript:A03p053550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLTHRNRALCFVLIISVILFCFPRRLSARNLPDEYTTKATQTIKVSNATRHDFSRLVDVQLGSHVSGVSELKRYLHRFGYVKDDGSGSSKNFSDVFDGRLESAISLYQQNLGLPITGRLDTSTVTLMSSPRCGVSDTHMINNGMHVTARYTYFGGKPKWNRDTLTYAFSETHKLDYPTSDDVKTVFRRAFGRWASVIPVSFKEVDDYTTANLKIGFFAGDHGDGKPFDGVLGTLAHAFAPKNGRVHFDAAETWVVNDDFGSKVAVDLESVATHEIGHLLGLGHSSRESAVMYPILPPRTKKVDLTVDDVAGVLRLYGPNPELRLDSLAELKDSLRNGGVSERFLSGNVTASPLFYIQAVFFLFGSATLVCGLNFTRLRLHGWIEGNINKLCDQLKKSSPIKVESGNSNTKKRRSDYDSPMRLLLPSKVCDTTYNNGCNSGFMDYAFEYVVNNVFARKRIILTLWKKELSKCKSGHQDARRNDEKNLLKALAHQSLSVAIDASARDFQRLWGRFGPWCYCSWVWIKQGFRLYHCEKFLGTNMEGGEKGYIRMNTGKPGVSVESIRCFLPHQTEVVISFYPICI >A09p010570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5387408:5389987:-1 gene:A09p010570.1_BraROA transcript:A09p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLWKPIYHCAAAALALDKKSSRDVSESTKARKLHESKLREALEAASEDGLLVKSQAIEEEEQDDNEPQDQSLGRSRSLARLNAQRDFLRATSIAAQRAFESSEALPELEEALNTFLTMYPKYQSSEKVDQLRNDEYFHLSLPKVCLDYCGFGLFSYLQTVHYWDTCTFSLSEISANLSNYALHGGAERGSIEHDIKVRIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDHESQSVSLMGQCAKEKGAKVGSAWFKWPTLRLCSMDLKKEILSKKKRKKDTATGLFVFPVQSRVTGSKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGFDPTGFGCLLIKKSVISCLQSQSGKTGSGIVKITPEYPLYLNDSMDGFAGFEDNDIAVNGDNKGLPAFSGAYTSAQVQDVFETDMDHEIGSDKDGTSNTVFEEAESVSVGELIKSPVFSEDESTDSQLWIDLGKSPAESDYKQKSPLIVVPQNHKRRVSAPKPDSKGSNGGSNVLSFDAAVLSVSHEVGQASATGEEKTEIDTSPRLRVTEIEEEGGSSKLISEANGNGSTSGIKESAIRRETEGEFRLLGRREKSQYSGGGRLLVNEGEHPSKRRVSFRSVDHGGEASVISLGGEEEDEEDGAEWDDDEQREPEIVCRHIDHVNMLGLNKTTSRLRYLINWLVTSLLQLRLPSSDATGGEQKNLVQIYGPKIKYERGSSVAFNVRDLKNGMVHPEIVQKLAEREGISLGIGFLSHIKIIDSSSRREDSSSWKQVDPHGKSNEFIRVEVVTASLGFLTNFEDVYRLWSFVAKFLSPGFAKQGTLPTVIEEDDSSDT >A07g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3550972:3552043:1 gene:A07g501720.1_BraROA transcript:A07g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIQAFCKKNYLGAQCRVGEWKNLENFGITPAGGGYQPTNHHYKLSFMKFTTIEPYEYNNIDMFLDLVEFETILGGQLDNNLLIDVVGQTIDIGEKLTFQCSNGKEKEKIEFTLRDI >A04g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5454081:5455771:-1 gene:A04g502250.1_BraROA transcript:A04g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEWFCGRCQISFPRICSSKRNRDQSCAAKVIAIVSWARISLSCCAAETVPTSLSVKKRIVIPSYILSVLLVFARRSAAVFVYDQPVAEAIFAHQMTSDQSKLCGYGLVLELLYFRAVKRLAFDAALEGGGIETDCTSDAAYVYIRMRRCGASYLFILELNFHSGSSITQVRLTSMSDCCQTGALGVLFLTFHGMCGVLGKYPETMEV >A08p014250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9545959:9546774:-1 gene:A08p014250.1_BraROA transcript:A08p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLLGLHGDITIKVKKINSMVLRASSEWKLIPDVTEIYLKWSFAWWLWCLESDVAKQITGDEL >A10p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19607928:19608856:-1 gene:A10p033520.1_BraROA transcript:A10p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor SHINE 2 [Source:Projected from Arabidopsis thaliana (AT5G11190) UniProtKB/Swiss-Prot;Acc:Q9LFN7] MVHSRKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAALLMNGQNAKTNFPVVKSEQGSDNDHDPNSPLMSTKSLSELLNAKLRKSCKDLTPSLTCLRLDTDSSHIGVWQKRAGSKTSPNWVMRLELGNVVNESAHELGMKKQDVEKAEDVEEEANVDEDQLAMEMIEELLNWS >A02p035630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19452102:19454461:-1 gene:A02p035630.1_BraROA transcript:A02p035630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITCSSDQRLSTSKRRLKPLMLRDYLLDDLSSCSSNGFKSFPRRQPPSSSSTVRRLLDAEIKRSGLSHHHHHKPRLTRRNRTSCGTAISHAVHKASTAFLNAVKLIPFHATVTSRKGDQKQQGVFSRSFSKRLLSKRFWRKPVSQSRREVTVELGDGEIQWWISAAFFPDEENLDQPPDLVSQISAVADEAATFSASEAAAVITTEKLISGCDSSSSGSVFFTNSSSSEAVQSSSSSVSSPTTSGEAEEVSTRNDAVVESEESLNARDCDGSSVNGNSMCNREESVNEEKEQLSPVSILECPFQDDDDDDDEEDAITDHISHQKDTYEKTARKSRRFNGFVRLEPLNLEKFIEKQVESEEDEYSYHTQETEEDESESRANRLFALLKLTIGETNNILLASNVADNLLLDYFQEDNIGPKEEALMVKIVEDWVMDRQDEMFMSWEVREMRQVYVKEMKWGCINEDESECVVEDLANGLFTLLVDEFISDLAS >A01p039870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16178926:16180284:-1 gene:A01p039870.1_BraROA transcript:A01p039870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSVSKPCEDVSPESGSALKRLVIQSNDRNFFIFFIKLLCARANVNEALRGLWLQKELLRRLISKAAQGYISEILRVSGQETNIKDIQGPALALVLAKVRPGFVQESFESSKAMAGAVSKGRALQTKGSRPDQIPFVHVIAIQSQSLLNTKDSKREGDRWFIELNLRSYGQDRFMILRPEDGRTKW >A02p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1992434:1996629:-1 gene:A02p004590.1_BraROA transcript:A02p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIKASLLLLVFLSSAIVSFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKAGRKRVIVSTEENVVASLDLRHGEIYVITLSSQGSTLRAWNLPDGQMVWETSLHSAQISKSLLSVPINLKVDKDYPILAFGGGYLHAVSAIDGERVLQPPESSIIYVLGFLHSSEAVVYQIDSKTGEVVAEKSMVFPDGFSGAVSSVSSDKIVVLDSTRSILVTIGFLDGDISFQKTPVSDLVEDSGNAEILSPLLSNMVAVKVNRRTIFVRVGGEGKLEVVDSLSDETAMSVSLPVADDQVAFASAHHEGSKIQLMVKLVDDLETVLLRESIEMDQHRGRVDKVFINNYIKTDMSNGFRALIVMEDHSLLLLQQGAIVWSREEGLASVTDVTTAELPVEKDGVSVAKVEHTLVDWLKGHMLKLKGSLLLASPEDVAAIQEMRMKSSGRSKLTRDHNGFRKLFIALTRAGKLFALHTGDGRIVWSMLLNSPSKSEACERPSGISLYQWQVPHHHAMDENPSVLVVGRCGSDSSAPGVLSFVDVYTGKEISSSDIGHSVVRVMPLPFTDSTEQRLHLIADTEGHVHLYPKTSEALSIFQREFQNVYWYTVEGDDGIIRGHGMKSSCAGETADEYCFTTKELWTVVFPSESEKIISTLTRKPNEVVHTQAKVNTDQDLLYKYVSRNLLFVATVSPKGAGEIGSVTPEESALVVYLIDTITGRILHRLSHQGCQGPVHAVFSENWVVYHYFNLRAHKYEVTVVEIYDQSRAENKNVWKLVLGKHNLTAPISSYSRPEMFTKSQSYFFAQSVKTIAVTSTAKGITSKQLLIGTIGDQILALDKRFVDPRRTLNPSQAEKEEGIIPLTDSLPIIPQSYITHSLKVEGLRGIVTAPAKLESTTHVFAYGVDLFYTRLAPSKTYDSLADDFSYALLLITIVALVAAIYITWVISEKKELSEKWR >A10p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20216624:20217627:1 gene:A10p035010.1_BraROA transcript:A10p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELNLPAGFRFHPTDEELVKFYLCRKCASEEISAPVIAEIDLYKFNPWELPEMSLYGEKEWYFFSHRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSASVNKKNNLRLDDWVLCRIYNKKGTMEKYYPADEKPRTTTSMADQSSSPFDTSDSTYQNDSSSSGGHVVSPDAKEVQSEPKWGELEDALEAFDTSMFGGSMDLLQSDGFVPQYLYQPDYFTPFQDMPEQKPFLNWSFAPQG >A03p045320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18946315:18951157:-1 gene:A03p045320.1_BraROA transcript:A03p045320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSVPSMIMEEEGRFEAEVAEVQTWWNSERFKLTRRPYTARDVVALRGHLKQGYASNEMAKKLWRTLKSHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERTKTPFVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDSRDHQFILGVTNPNLRGKSLSSLLAEGMAVGKNGPALQAIEDQWLSSARLMTFSDAVVEALNRMNLNENEKSRRVNEWLSHARYENCLSNEQGRELAAKLGVADLFWDWDLPRTREGFYRFQGSVTAAVVRGWAFAQIADLIWMETASPDLNECTQFAEGVKSKTPEVMLAYNLSPSFNWDASGMTDQQMMEFIPRIARLGYCWQFITLAGFHADALVVDTFAKDYARRGMLAYVERIQREERSNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKESWTRPGAAGMGEGTSLVVAKSRM >A05p043080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26027542:26030348:-1 gene:A05p043080.1_BraROA transcript:A05p043080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) UniProtKB/TrEMBL;Acc:A0A178VIA7] MDTSRRAVESYWRSRMIDAVTSDEDKVAPVYKLEELCDLLRSSHVSIVKEFSEFILKRLDNKSPIVKQKALRLIKYAVGKSGSEFRREMQRNSVAVRNLFHYKGYPDPLKGDALNKAVRDTAQETISAIFSEENGSKPAAPESINRRIEGFGNTNYQVPVNDNKSFLSEVVGIGSASIKQGISSFAQGHLSNKNESGSSSYRGPNLHRSLTLENENSGRYDPVKLGSDGKYGASKNTSGGSWGHVSGVAASESSGSVRLESKTREEKLLETVVTSGGVRLQPTRDALHVFILEAAKMDTVALSIALDGKLQSPMWQVRMKALCVLEAILRKKEDGNLSIVYTYFSENTDVIQRCADSPQSSLREKANKVLSLLNGGQSKGFVSSSENTVKQETAVDLPDLIDTGDSEYTTEDTLNMPNAINTGSTVTTAAPLMDDDWFGDSTDTGLISSEKKNDDDPFADVSFHPNEEKESADDLFSGMNVGENPTAGGNQVPELFDMFGSTTTLEAEPKDSKSINDLMGSFSIDETKSSQKGSSSSTLPENIFAMPSTTSHQEPENPLGGILGSQGSGFIQNPMVPGGVMPFNFPPGMMMNPAFASQPLNYAAMASLLAQQQQQYLGNLSNFQQFSNMNAQGSGNVLPMGTSGGSQSAFPDIFQPSFANQAPTSTMNGPKKEDTRAFDFISDHLSSARDTKRIS >A08p037060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21644945:21646875:-1 gene:A08p037060.1_BraROA transcript:A08p037060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQSDLSLGFGTSHALPLPPPRNPIADDSITLQLLEQRFDAPRSRTRAVVDKDENFEDEGDDGDDQREDFILLGQPMKLKRSRPSSSTSSPTPCKRLAIEARRAFVKSWGNEPLSEADPDLHELMEKEKQRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNNYIDQIETLCQERALQAFGLHHEQWGVNVQPYSCTSANFAVFAGLLSPGERIMGLDSPSGGHMSHGYYTPGGKKVSGASIFFESFPYKVDPRTGYIDYEKLEEKALDFRPKILICGGSSYPRDWEFPRFRFIADKCGAVLMFDMAQISGLVAAKEAPNPFEYCDVVTSTTHKSLRGPRGGIIFYRRGFKANKKQSINLDHCESNVQYDFEERINFSVFPSLQGGPHNNHIAALAIALKQAASPEYKVYMRQVKKNAKALASALISKKCKLVTGGTDNHLLLWDLTPLGLTGKVYEKVCEMCHITVNKVAIFSENGVISPGGVRIGSPAMTSRGCLEQDFETMAEFLYRAAQIASAALREHGKLQKEPLKSIYHCKDIADLRNQVEGFASKFAMPAFEI >A07p038980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22849187:22849780:-1 gene:A07p038980.1_BraROA transcript:A07p038980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSMALHSSLSSASLCSSFISQHPKLSIKASPSFLNQSTKPISINRRTVALAAPETLTSEPVTGIDTSDTPQPKKVVNQQDEKARVVLKFVWMEKNIGLGLDQHVPGHGTIPLSPYFFWPRKDAWEELKTTLEAKPWISQKKMIILLNQATDIINLWQQSGGNLTSQ >A09g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18735629:18736949:1 gene:A09g506250.1_BraROA transcript:A09g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFTEQTNKEGAPEKKKKNESVVEKKKAAVEKKKAEAEKKKKDSVIKKKQAAVKRRREAVKKKRDAEKKKIETAEKKRKRDSGVDDESSSNPTKRPQTASSPEHQADPDHYPPLSTELPSQDDREGTPSPSVPIEPQKSPTQTPNEAENPLQAPITSTNRESGSPEAAINNDGQTIGSNNIDSNSHEAAIGSAAIDNDAPRTVESDDMTVEADRPAGFITHK >A09p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1283895:1285019:-1 gene:A09p001720.1_BraROA transcript:A09p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKIQKRLAASVMKCGKGKVWLDPNESHDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGTQRKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGPGGDIPAAAPTARTA >A05p018980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8904765:8905520:-1 gene:A05p018980.1_BraROA transcript:A05p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSILSMEMKIGWKDGEDGRLAGIIELPLDIPDGFISSDVVHNLIGKELLDINVDWLHIRQVGVQKDFPNPLDAGTW >A01p043400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24913473:24914434:1 gene:A01p043400.1_BraROA transcript:A01p043400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSSNSSGQQLSGRVVDTRGKHRIHAQLNRLQQEARFLEEELEQLEKMDKASASCKEFLDSVDSKPDPLLPLTIGPVNATWDQWFERPKEAKRCGCFIL >A02g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26288680:26294183:1 gene:A02g509830.1_BraROA transcript:A02g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPPTRQIIFGSIEKYTKTLLKSSSLGFRLLCSCSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKVEGLEGKEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQHSGYPPRNNQQGSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHKSDLPERHHEVDVSHFSERPS >A08p005300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3060960:3061439:1 gene:A08p005300.1_BraROA transcript:A08p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKIITLKSCDDKIFEVEEAVAVQSQMIAHMVEDDCIDGEIPISNVTGAILAKVIEYCEKHLVNPDVDGDSSSSTEDELKNWDAEFMKNMDLEMLFALIMAANYLNTKDLLDLICQTIADMIKGFSVDEVRTFFNIQNDFTPEEEAEIRAETEWAFE >A07p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12592251:12594062:-1 gene:A07p021490.1_BraROA transcript:A07p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLNAAVSLTSSKSSSLPATSYAIAPDRIRFAKGAFNYKSNNVVTSRRMVSVRAEVTTTDTPPVKKVEKESKKNEEGVITNKYRPKEPYTGKVLLNTKITADDAPGETWHMVFSHQGEIPYREGQSVGVIADGIDKNGKPNKVRLYSIASSALGDLGNSETVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKPGSDVKLTGPVGKEMLMPKDPNATVIMVWSLPSTSSILTCFYDKPNRTGVFFLMRGKQLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYTDEFDKMKAKAPENFRVDYAISREQANDKGEKMYIQTRMAQYAPELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAANDGIDWFDYKKQLKKAEQWNVEVY >A01p056640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32133082:32138346:-1 gene:A01p056640.1_BraROA transcript:A01p056640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSNRDDALSSEEEEEENVNTNIGEEDEEEIEAVTRSSDSDEEATPASDEEVAPIEDDYEDEDDDEKVEISKREKARLRDMQKLKKQKIQEMLDSQNASIDADMNNKGKGRLKYLLQQTELFSHFAKGDSSSSQKKAKGRGRHASKVTEEEEDEEYLKEEEDGLAASGNTRLLTQPSCIQGKLRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGINGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRHIRDDLLVAGKFDICVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRIFSTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEAVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDCCFQSAIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDSADFYDFDDDEKDENKLDFKKIVSDNWNDPPKRERKRNYSESEYFKQTLRQGAPAKPKEPRIPRMPQLHDFQFFNTQRLTELYEKEVRYLMQTHQKNQLKDTVDVEEPEGGDPLTAEEVEEKESLLEEGFSTWSRRDFNSFLRACEKYGRNDIKSIASEMEGKTEEEVERYAQVFKERYKELNDYDRILKNIERGEARISRKDEIMKAIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFMICMVNKLGYGNWDELKAAFRTSPLFRFDWFVKSRTSQELARRCDTLIRLIEKENQEFDERERQARKEKKLAKSATPSKRPLGRQQSESPSSLKKRKHLR >A07p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12837371:12841960:1 gene:A07p021830.1_BraROA transcript:A07p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFLRPRDRFSLLELRHLTEQLTKIQIVNETNKDLVVEALRSIAEILTYGDQHDPSFFEFFMEKQVMGEFVRILRVSKTVAVSVQLLQTMSIMIQNLTSDQAIYYLFSNEYVNYLITYTFDFQHEELLSYYISFLRAVSGKLNRHTISLLLKTENDVVVSFPLYVQGIQFAFHEENMIRTAVRALTLNVYHVGDESVNDYVVSQPHTEYFSKLVSFFQKQCMDLSAMVLNTLKSPSPESGGKLFSAVDGIEDTLYYFSDVISAGIPDIERLVTDHILQHLTLPLLLPSLCSEADISVDPVTSLYLLCCILRIVKIKDLANITAASLFCPVKAFISSSLVKPNSSLAPEHPRFGNGHPDNGVVEEADQQCSSTEVLNEDGDCNENTTKSTFNNSHITFRETLLQYISEGDDVQAQGSLFVLATLLQTKELEESVLDAFGILPQRKQHKKLLLQSLVGEDSGEEQLFSPQNGSMRDGLSSELDWCLRKLEEQFGVCCSLHGAAMCPRVHRHQVVDALVSLLCRENISAETLWDGGWLLRQLLPYSEAEFNRKHLKILNDSYEKCKNALTQEIKVIEAPSPQKEPKSVILQLDRSACNENTVSESSFTAGEKLCEVIKVFVLLHQLKIFSLGRPLPEQPPIHPPADRSETSRATTAGLDLTVPKPGTEVKLVDAVPCRIAFERGKERNFSFLALSSSSGVSGWIVLAEESLLKPDHGTVRVTAPLAGCNPRIDEKHPKWLHLRIRPSTLPFLDPTKRGVYEKLKSKGLVDGRWTLAFRDDDSCSSACSMVVHEIDLQCREVERRLKPLFDLDRNHLDQSNVTSHAFSSSTSSS >A02p001260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:584088:585208:-1 gene:A02p001260.1_BraROA transcript:A02p001260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGSRVIEPLIVGRVVGEVLDFFTQTIEMNVSYNKKQVCNGHELFPSSVSSKPRVEIHGGDLRSFFTLVMIDPDVPGPSDPFLKEHLHWYVHFFRKEVVSYEFPRPNIGIHRFVFVLFKQKQRHVIDISPNIPSRDKFNTRKFAIEHDLGLPVAAVFFNAQRETAARRR >A02p050420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31119768:31121247:1 gene:A02p050420.1_BraROA transcript:A02p050420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLAHSYSFAAPITRTDSNEDHTNHALNQSISFGKFMTENLEWGKWSTFSHKKYVEEAEKYSRPGSVAQKKAFFEAHYKRIAEAKKAATEEQPTVTPAEVLLQALETQPPLSLLPEEEPTTERKSVQNDDNVLVVAMDDSLEVVKEKSEFDDKEEEKEQDEELLKEEKTRRSMTKNRPVFRLSLEKTIPPPKPIETRTEVATTPEKTSERPITQISGKTEEKPVHRKKFSFLNCFSGNAKTRDQNQSRKKGKRETKKQKKQFVCLCFKPKTVRES >A09g513680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41645539:41648427:-1 gene:A09g513680.1_BraROA transcript:A09g513680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSSKSSGLRGSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVLSGLVYSSGIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILGFFSSLWRESEIYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p046340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19542664:19544126:-1 gene:A03p046340.1_BraROA transcript:A03p046340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRRLFIALFCCFCLPHIIEAYHQQVFVDQSGHSNFTKIQKAIDSVPVNNRHWFFINVAAGVYREKIKIPYDKPFIVIVGAGKRNTRVEWDDHDSVAQSPTFASVADNTVVKSITFVNTYNFPNKGKVNRNPRIPAVAALINGDKCAFYSVGFSGVQDTLWDADGRHFFHRCTIQGAVDFIFGNGQSIYKKCVINVLGATLKPGVTGYITAQGRTNPYDASGFVFTDSLVYGSGKAFLGRPWRSYARVIFYNTDLTDVVVPQGWDSWHFGGHVSQLTFAEIGCYGSGSNTGRRVSWVKKLSGFSVQSLINLDFINSGGWVQALPIPV >A08p044600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24627776:24628940:-1 gene:A08p044600.1_BraROA transcript:A08p044600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLSELEDTVRVPPSDLNLPLEVAIKKALQKLFLDKVLSIGLCVSIYGIKSIEGGFVLPGDGAATYKVVFRIVVFRPFVGEVIAAKFKESDSNGLRLTLGFFEDVYVPAPLIPTPNRCEPDPYNRNQMRWVWKYGDDEFIIDDSCQQIKFRVENISYPPVPTERAEDAKPFAPMVVTGTIDDDGLGPVSWWEACAPISDDED >A03p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8909947:8911837:1 gene:A03p021890.1_BraROA transcript:A03p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPEPVSVTEPGSLNPDSNLSFGRVEIDTSTPFESVREAANRFGGFGFWRPSHNYKPPGAFQENVEGDIIRLKAQAAELQNDLIAKEGETLEVVKELEATKATVKELNSKLQQKKNEEEEEELREEVDGCMKPAAGDVLKDLSKAKMNLCKRTVDLAGIRESVEVLNKKLQEEKAALEKTRERLMQKSLKVVKSETGENDGFVMMNEVRRLSSEAQEFKKTGENAREEMVKAMAEIEDTREKIKTAKIRLVAARKMKEAARAAEAVAVAEIKAVTGSQKTDEADAMSRVEANVLKKVDETAQEVETSKKAMEEAVEMVDTANATKLEGEETLRNRQLEKGQRRRLSSSVNNTAKFKSRRETTTTTPRTTRLMDVNGLHLTYDDVAVGSSSSVPVLKPTMSIGQILSKKLLVAEDSDAMNVASERKKMSLGQMLAKSSSGDETWSKKSEGKENGKRSLTRKRFAKIAMLLKKESKNKKKIALKLR >A05p051990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30139741:30142633:1 gene:A05p051990.1_BraROA transcript:A05p051990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRLILLAVLSLVSSTLSQPSATLIDCGASASSVIAGRSWQPDGDFISAGTSKNVSEQVLNQVLSTVRSFPAAATRRKFCYVVAVSRGWKYTIRTTYFYGGVNGKANPPPVFDQIVDGTLWGVVNTTVDYAEGLASYYEGVFLAQGKSMSVCVASNSYTASDPFISALEVVRLDGSVYNSTEFGGFGLSLVARHAFGYSGPIIRFPDDEFDRFWEPYSLNSTVPNNRKLEVSGFWNLPPSRIFNTDLRATQVQPLEFTWPPMPLKNSVYYIALYFAHDSDSLDGGGSRVFDVSVNGVTYYKELSVAPAGAVIFASRWPLEGQTTLKLSPRSGSTLPPLVNGGEMFELIALGGRTLVRDATALNAIKRSFENVPVDWSGDPCMPKNYSWTGVTCSEGPRIRIVALNLTSMGLSGSLAPEVSRLTALSSIWLGNNSLSGSIPDFGSLKLLESVHLEDNRFSGTFPSFFSGVPRLRELFLQNNNLTGQVPSNLVQNPGLELRTSGNPFLTQPPR >A01p015310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7445756:7448750:1 gene:A01p015310.1_BraROA transcript:A01p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFRNLFGLKKTEPGYPDPSLETPSRSYTKRRWSFVKSKREKETAPPNQHPPPPSSLPNSTPPPSSYYPKSSPSPYHQSSPSSQARRRKQKPVWEDEGDDDSAKHAIAVAAATAAVAKAAVNAANAAAAVVRLTSKSGRIMRSPVTAHFSNGFEDVPAYVSKFDGHGSGRGVREDLAAIKIQSAFRGYLARRALRALKGLVRLQAIVRGHIERKRMSVHLRRMHALVRAQARVRASRVIVTSESSSSQSNNTKSSHFQNPGPPTPEKLEHSISSRSSKLGHSHLFKRNGSKANNNKPYTSAHREVFSAMNEEEKILEIDRKHNSSYTRRSRPDMFYSSHLVLDNSGRSGPVYAMPFSPSSSHEETVNQFFTAENSPQLYSATSVSKRSAFTASSIAPSDCTKSCCYADHPSYMACTESSRAKARSVSAPKSRPQIFYEQSSSKRFGFVDVPYCGGDTKSGPQKGSALHASFMNKAYPGSGRLDRLGMPISYRY >A09p062060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50930825:50932875:1 gene:A09p062060.1_BraROA transcript:A09p062060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPIKTPLLPKQEPSSEKHGSTSGVVFNVSTSIIGAGIMSMPAAFKVLGVIPALLIITIIGWLSTISVGFLMKSTLAGEATTYAGVMKESFGKTGSVAVQVATMVATFGCMVIFSIIIGDVLSGNDNGGSVHIGVLQEWFGSHWWNTRIFALLFTNIFILLPLVLCRRVERLAFSSAISFLLAVLFVIISSVLAISALMKGQTKNPRLFPDLTSGGSFWNLFTASPVIVTAFTFHFNVHPIGFELKDPLHVIPATKISVILCAAIYFATGLFGYLLFGDATMSDILVNFDESSGSSIGSLLNDIVRLSYALHLMLVFPLMNFSLRANLDELMFPTMKPSLAKDTKRFVGLTLALLICCFLSAIAVPDIWYFFQFLGSTTTVSIAFIFPAAIVLRNVHGVSTSREKIVAAIMLVLAVATSIVAISTNLYSLTSN >A03p049970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21464229:21466682:1 gene:A03p049970.1_BraROA transcript:A03p049970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 50 [Source:Projected from Arabidopsis thaliana (AT5G60940) UniProtKB/Swiss-Prot;Acc:Q8L4J2] MENSGSLEQALQEGNILRQLNALIVAHLRHHNLSQASTMTPLNVEVSPTRLLELVAKGLAAENNGSLRGVSSSVLLPTSYGSVTNPRTASIDFSATHVKGSSKTIPKHESKQLSEHKSVVRCARFSSDGMFFATGGADTSIKLFEVPKVKQMLSGDTQARPLIRSFYDHAEPINDLDFHPRSTILVSSAKDNCIKFFDFSKTTAKRAFKVFQDTHNVRSVSFHPSGEFLLAGTDHAVPHLYDVNTYQCFLPSTFPDSGVNGAINQVRYSSTGSVYVTASKDGSIRLFDGVSAKCVRSISSAHGKAEVTSAVFTRDQRFVLSSGKDSTVKLWEIASGRMVKEYIGAKRLKLRSQAIFNDTEEFVISIDEGNNEVVTWDARTAEKVAKWPSNHNGVPRWIEHSPVEPVFVTCGTTDRSVRFWKESV >A05g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19659524:19682223:-1 gene:A05g506960.1_BraROA transcript:A05g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVTGATPADELSVEVLFEIDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSNRTIVPLGRYVATELGQARSLCSDRAIVPLGRYVATELEPKLDRYVATERSSRSRPSDRPARSLRSDTRSLRSDRARATARSLRSDRAIVPLGRYVATERSSRSRPPTRSLRSDRPLGRYVATELEPKLGRYVATERSSRSPKLGRYVATEHSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPERWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWGQKRFFLTKKQVVTQRLNVRPARSLRSNRTIVPLGRYTGTRSQSEPILSRLGDELVSLGKKDDRQHKPVLPPEKLEPEPERWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWGQKRFFLTKKQVVTQRLNVRPARSLRSNRTIVPLGRYRPSDRSARSLRSNRARTQSSGQRRTTERSSRAVRYVATDIEPKLGSRNLANLGVGSYPLGSLHSRPSSASSSLRRRPSDSSPICVDPAPTPPPNPPLRSDLASSQSLGAREPNSIATKRPEGAIGPLRGRVRSRQIQQARRNVATERSSRSDRYLEPSDRVNAARSLRSDRGDRPARGRVRSDLAQGQARSLHSDRAQPSSDRVRSDRGACLSRIGGSPHTSDRARPISGSVPAAVALANRAQAEANGRFSYVADRSPSEPSLARSGEKCGGGGGGGVSNIATGGLEPKFGPLCSLKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPYIRVLWETRVFLVSLFKRKSTVRISVPTSDESSKQVVTQRLNVRPARSLHSDRAIVPLGRYVATELKPRLGRYVATERSSRSLEPKLGRYVATEHRPRSVATIATELEPRARRYVATGDRPRSESLRTTERTSQKLGRYVGEPSKASRARSLRNRPARSPRRSDRANRPTRAPTVATEPPSQSSVGYVATERSFPRRSLRSDRARAKARSLRSNRAIVPLGRYVANELSQAWSLHSDRAIVPLGRYIATRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKHVESEDGPKGPKTRLEAHPTIFLNQKPVNHNMVHAWPTRKDKCQVSADKYGSFEDNWQKSKSVNRPWSYCDSIRFSRLRVARTRNLADSSQAQAYTLNRQCEFRFPQFGARRRGPYGSI >A10p028160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17564235:17565874:1 gene:A10p028160.1_BraROA transcript:A10p028160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSKDLPSPTSFFTAYASMAGYMMMIRSMAHELIPAPIQDFIYSSLRSLFHRSSSTTLTLTIDDDNMGMSNEIYRAAQTYLSTKISPDAIRLRISKGHKDKHVNLYLSDGEIVNDVYQDVELKWIFVTDGGGDKKSGGGGGGGRGGGRGGGGGRRSPVDDDGKSEYFELSFDKKHKDLILNSYIPYVETKAKEIRDERRILMLHSLNSLRWESVILEHPSTFETMAMEDELKRDVIADLDRFIRRKDFYKRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLQLASVMRDSDLRRLLLATRNRSILVIEDIDCAVDLPNRVEQQQPGEGKNRGESQGPLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIYMGHCTFQGFKTLASNYLCLNDTTMPHRLYPEIERLMEGDVITPAQVAEELMKSEDADMALEGLVSVLEKMRFKADESSQVIMKKEGRLEMDEMALKRDAEGSPRKNGKRIKKLVLFWT >A08g507760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13618420:13618908:-1 gene:A08g507760.1_BraROA transcript:A08g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKRRNTQQTKIVISIDMKTTSSGYLTHETASNMEYMLGYHEIDFDSITEIIEEASDVAARNIPTLNDPTNIDFNIIVKINDLNPDSIRRIDLYVYITELRDNQRETIPSERDDICPICWEEFGTELDINSLSCNHTYHHHCISNWVEKTLTCPYCRALLA >A03g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19734554:19739308:-1 gene:A03g505550.1_BraROA transcript:A03g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANYYGYEIEFFSRSVRQTTYLGSRLVVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A10p041080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22561526:22562637:1 gene:A10p041080.1_BraROA transcript:A10p041080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVFNKVGSYWLGQKANKQFDSVGKDVSSLSTSIEGGTKWLVNKFKGTMQKPLAELLKEYDLPVGIFPRDATNYEFDEQTKKLTVLIPSVCEVGYKDSSVLKFTTTVTGRLEKGKLGDLEGMKTKVMIWVKVASISADSSKVYFTAGVKKSRNRDAYEVLRDGVRADKF >A03p025880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10913312:10914867:-1 gene:A03p025880.1_BraROA transcript:A03p025880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALGIGSQEITTLVETMTESSLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFTQGGYVDAGSPRSWKSMEIEIQSSLEKLLDINDSMSRCAASAAPTTSVTQKLARHRDILHEYTQELRRIKGNIDSMREHAELLSSVRDDISEYKASGSMSPGVQVLRERASIHGSISHIDEVIGQAQATRAVLGSQRSLFSDVQGKVKNLGDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >A07p002710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3638628:3638891:-1 gene:A07p002710.1_BraROA transcript:A07p002710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISFEHVFKKNILEAFWKRDYVSFHKVPIPIPGFRFQNGKRTSDETSVQRRARHKDSIFGTKQQLLSNPSLLKTSVSPIKTTKRN >A08p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16817680:16821745:1 gene:A08p026400.1_BraROA transcript:A08p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFTDDANGKLILCVAESGHSFEFECSETTISVESVMRFVESVSGIAFSDQLLLSLDMRLEPQKLLSAFGLPASDREVFVFNKAKLQSNSHPPSPEDVVDSQGVDDHALPPASLHDHHHPLDDALDPALKALPLYERQFRYHFHKGRTVYNCTVVKHENCERFTREQKVQQRAVEVATRNLEQYYRVIYQNFLEFMKRYKHQHRLHSDLLMNFERDIERLRSAKIHPCLLTDSRRCLLDFVKEDNLKKAVENCASSHRQFENKIAQFQQMFVEVKRKVEELFACRASLSVKNLEVTVKDHERFIDEQRAYCNHSDVNTVKKLVDDCMSSQMSSSLRPHDAVSALGPMYEVHDKNHLPKMQACYNPISELLGFCKNKKNEMNSFVHSYMQKITYVTYIIKDAKLQFPVFREAMVRQDDLFADLKLLRGVGPAYRACLAEAVRRKASMKLYMGMAGQLAEKLAMKRETEVRRREEFLKTHGPFVPRDVLASMGLYDTPTQCDVNVAPYDTICILKEFSGHVDSETEEIGLDSFDDYLAASELVEIAGTSKMEVENAKLKADLASAISRICSLGPQVEYEVMDESEVEHMLKNAAENTAEALQAKDEYEKHLLSMLKEKQRHCDSYEKRIRELEQRLNDDYLQGNINNNTDASGLEVTEYKAEASGDVEGNKAHVSGSEHMDEEGSCVSNLSSKQPCKAGERMDENMVDSSLMLSHPLDSSMLESHQNNEKGGKDDVVGETGVFLSNSSTAESSPKSLNNKVALGMGLDTKHSDDVILEFRNELMEKSSKLSETESKLNGAMEEVASLSRELEMNQKLLEESQVLQLLLPFFSFSNPSNTDTHCIVSLGVSLYFVMNCAHLENCLHEAREEAQTHLCAADRRASEYNTLRASSVKMRGLFERLRSSVCAGGGVAGFAESLRTLAQALAKSINDNEDVGTVEFRKCIRVLADKVSILSKHWEESFEKCRNLEATSDQARKDLEEKEELVKTLYTKHQLGKQANREKISFGRLEVHEIAAFVLNPVGHYEAISRNCANYYLSSESEALFTDHLPNRPTYIVGQVVHIERQAVKQPSALSASASPKAGKSDSLGSRTLASSSRSTSSSGTTPTNPYGLSSGCEYFIVTIAMLPDTPIHQKAS >A02p029610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15089549:15094387:-1 gene:A02p029610.1_BraROA transcript:A02p029610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEYGERIHNFFGQEGLSQDQHQSQLVDGTWSSFSNALVVNQRHIDPSSIASLKSYSSTQQPERWQSSNSHHALNFTQQQPSIRSEYSRGLLQDNQQLTNGHIHGMAMQNGSNVLGVGVESSRDNLSAKGFTSDIHKTPMRFEMGGGSPVNYDFFGGQQQLNSQPPGMLQPFPRQKMTFNDMQLLKQQVMAKQMHEYQLQQQLHKKQLEARQLNCLNSNAVNGNRSSDNQSHLLINGIPLQDASSNGWQPDLVSGNTHWMHPGISPVSSSGLGAVHGQANLQFEPSLYSMPLGGANAPQNSFSSVQMNRLSSEHGSTLTNQPDSFMLPRSTYQERAMFSNTSAPGSNDSPNFERFQQDDPRERNVSAQEKLDQMKGSGPPEKSYIKASGNVSGSQKSTALDPTEEKILFGSDDNLWEAFGNDTDMSLTGNLMSSSSDLNDACPSLKGGGWSALMLSAVAETSSNDAGFGNRVQNLGVKASNALSERLQSDSGSIQTNEGIEDRFGIWKAASNPNVVAPVEQKNHFTQNLQMKANYGFGIATAENKSTASRDVQENQQPLGNNSVEKATPQVNYRDGSQISRKFHYHPMGNTGVTDEPYREKIAHLPPTLEQVSAGNQGYFGQPKSLSQPPMNMQIDRGHVLQGIGSENSPTTSASADRSVDMCNQVKNSSRQTMLELLHKVDQPDEHSVENGGQFRHSQSSASQGFSLQLAPPSQPAPSPDNVQFSMNSLQPLNSLHIAPEKGPTSQSRFAPWASNQSFPQQSTYQGESNNASGFPYSKGYRQNQLMPVDTRQLTSNHLVSSSSELSTLQVKERDQSSDYSAQTPSLLNPTTHNNKGDSAEGFPMLSSPQPQVGFSSPQQSSSSGMRSDSRAGISALQHRFWNQLSKPQPDILRPHPVTNSHVEDSFSRQEKRNQLSSQNGGDTMVQSNHQTFDRSFPSNNLPKDNMRHNELMAENGEADAPKMTVKRVEDSSVHLQKVASKEEQQSPLRSAGLLRDGLNHKESANHLLPFGQTVSQSLFNKNHSASAGADHQQQISPQMAPSWYNQYGTFKNGLVQPMNDTGRFTPLKIGEKSSNVGSSADGSHNVQSPKQFNMQQMSGSAPGADIPSSESLPHDVTDTLLKVDKPKKRKNSHTELLSWNQEVMHGSQRLKTLSEAEVDWARATNQFAEKVEFGNLLEDGLPMRSKRRLIYSTQLMQQLFHPPPARVISLIASSNYEFVAYTAARGALGDACSSTFTDRNECLLPQNKSNPVSERRKTETISDQYISKAAEDFISRSQKLETNFAGLQNGSTIADLIVEVQDLEKFAVINHFAKFHPTSSSTDRTVSSLRLNPQRHVTIAPMPQNIPDRVQCLSL >A07g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23364766:23366006:1 gene:A07g508470.1_BraROA transcript:A07g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELCPERPLFGGAISSAFPQRFQDASNIRQVPDHQEVFVDPSRDESLIFELLDFKPDVGDIGSASWFLHDLAREQDAQGFKLIEQSHVIDVPGLSYRNIPAIATTAIGEMAISKGRQGREAQNLLKVYVANIRLKGVETDVLVTAYEPILINPLSESANAVGSGLAVPASQSGIMPMCDVIKQSLSTFKVNDWSLFGSSA >A07g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24494457:24497884:-1 gene:A07g508810.1_BraROA transcript:A07g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPAPPIASFPANPTLLLSSPPYWPRRRDNSAVVSAASRDVDSFTSKSGYLFNLSADEADSLSEYNFPRIDAMYKKKPLILLRRLAQIGTTFGFWFGLRLADEALDRSEQMFKVRAAELRKLLVELGPAYVKIAQAVSSRPDLIPPIYLDELSLLQDQITPFSTEVAFNMIEDELGLPIDELFSEISPEPVAAASLGQVYQARLRRSGEVVAVKVQRPGVRAAIALDTLILRYLAGLIKKAGRFNSDLQAVVDEWATSLFKEMDYLKEAQNGIKFRKLYGSIKDVLVPKMYTEYSTSKVLVMEWVEGQKLAEVNDLYLVEVGVYCSFNQLLEYGFYHADPHPGNFLRTYDGQLAYLDFGMMGDFRPELRDGFMEACLHLVNRDFKSLAKDFVTLGLLPPTAEKGAVTKALTDVFQDAIARGVRNISFGDLSGDLGKTM >A09p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25236146:25238265:1 gene:A09p037590.1_BraROA transcript:A09p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKLKQVMLLWLEGFLEACSLHRVVILCHKSRKLLLRTGQCFLLNGLIFLGSLGVFKWFVNPALQWILPDPCAPVTSQDFCSYNGSYAFLRGGLLQLFYVFWFYPMYMLSFILSNIWYNDVAKYGFEAMEKSELRSAETFRQCDVPASVNMTNAERPSSGFGGVMIDIGEQVYSILLLTFFFMEVYVVGVIPYIGKILNFLLLSWMYAYYCYEYKWNFLEIPLVKRLEFFESNWAFFAGFGSPGVLAIFFLSPLVSGALMAILFPLFVLTATGSGPDKSIVAPRGTWKCAGLLRLPIFYVANTLSMLALSIFRLESLQHEKTG >A01p049900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28014319:28015595:-1 gene:A01p049900.1_BraROA transcript:A01p049900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAVHGGAGIDPNLPVERQEQAKQLLTRCLNLGIAALRSNVSAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGTKRRCGAVSGITTVKNPISLARLVMDKSPHSYLAFSGAEEFARKQGVETVDNDYFVTEDNVGMLKLAKEANSILFDYRVPLIGCAGAAVNDSPLQMNGLPISIYAPETVGCVVVDREGRCAAGTSTGGLMNKMMGRIGDSPLIGAGTYASELCGVSCTGEGEAIIRSTLARDVSAVMEYKGVGLQEAVDYVIKHRLDEGFAGLIAVSNKGEVVCGFNSNGMFRGCATEDGFIEVAMWE >A09g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2345788:2348177:1 gene:A09g500560.1_BraROA transcript:A09g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLWSLTLFGVILIFPVNGVMEYDKMEKFTDFNGTNVYQTENNVKSKAKVTMVGLTLIKNAALRSAVCLDGSPGGYHFHPGSGSGAKNWLVHLEGGGWCSDTESCEYSKRTSHGSSKHMEKKIPFTGILSDKASENPDFYNWNRVKVRYCDGGSFMGDNENKDAKLQFRGKRIWTSVMSDLLSNGMEHAKQGLLSGCSAGGLAAIFQCEDFKSSLMSSTRVKCLSDAGFFVDATDVSGDRSLRQLYTDVIEFQSITNNLPNDCLNRLNPTSCFFPQNLINQVKTPLFILNAAYDSWQIQESLTSKSADPTGSWADCTFNLAKCNESQIMFFQEFRIHMVNLLGGFKEQRKNGVFLNSCFSHCQTESHDTWYSKNSPSVKNKRIAVAVGDWFFERGGAKIIDCAYPCDKTCRNLI >A04p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22170516:22172449:1 gene:A04p039200.1_BraROA transcript:A04p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNFKRVSFLLALVMTVAAILTPSVISGENRFSDLQIHKHLKRLNKPPLKSIKSPDGDVIDCVPITDQPALAHPLLINHTVQMRPSFNPESVFSESKVSSKSNDITQLWHVNGKCPADTVPIRRTRKQDLYRASSVEKFGMKSQKSIPKPKSYEPASVLTQNGHQHAIMYVEDGVFYGAKAKINVWNPDVEMPNEFSLAQIWVLGGNFNSDLNSIEAGWQVSPQLYGDTRTRLFTYWTSDAYQGTGCYNLLCSGFVQVNREIAMGGSISPLSGYGNSQYDITILIWKDPKEGHWWLQFGEKYIIGYWPASLFSYLSESASMIEWGGEVVNSQSEEGKHTTTQMGSGRFAEEGWGKASYFKNVQVVDGSNELRNPENLQVFTDQENCYNVKSGDGGSWGSHFYYGGPGRNSNCP >A09p042800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34999795:35000363:1 gene:A09p042800.1_BraROA transcript:A09p042800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILPVDLDLVCTMALSIGIFITGHRKTDLGGTALVSWLRKLRTDCACAEKMNGSCVFLAAQSLCLFATRVRSETGRYEDEKIRSHKEIRSWGRRNGLR >A08p037120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21683905:21684518:1 gene:A08p037120.1_BraROA transcript:A08p037120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEQDQYLPIASVTRIMRKILPSEARISEEAKENIQICTTKYISFVTAEASDTCQSERRTTITAGDMLSAMSNLGFENYVEPLNVFINRYRLSETDRGCSLRGGSSSFDPAYGGSGIGFHGPPHYGPTPHGPPPHGTIPYGPLPHVPPPPGPMVTDGTTGGGGSSSSMNGMPLCDQCGQYK >SC178g500060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:116265:116468:1 gene:SC178g500060.1_BraROA transcript:SC178g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILYHQLQRPLLSSSPLGAKLLTPSSLEPDVFFSWTRRLGTRIARPCPYTSASKENHHQDRKRTG >A09p071310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55079503:55081542:1 gene:A09p071310.1_BraROA transcript:A09p071310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYTENEIHSVYDYETTEVVHENRNGTYQWVVKPKTVKYDFKTDTRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASSIRVGSYNGEEIYAPFKSLLPMVNPDDVVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMEHMVPLPGIYDPDFIAANQGSRANSVIKGTKKEQVDQIIKDMREFKEKNKVDKLVVLWTANTERYSDVVVGLNDTMENLLASVEKNESEISPSTLYAIACVLEGIPFINGSPQNTFVPGLIELATTKNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >A06g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14854918:14857779:1 gene:A06g505020.1_BraROA transcript:A06g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVTKEFYDPAGGIDNSFIHKSRHPSRPSIDATVLVSVDRHHEFGRRAYDLYGNRKRTSEDFWKELRDEPSYICHPEHATFFTQTKLLLEIYTKDKINEMFYGVCGEQENNKEAFQMKLDGVYHPLNDGISWLTTCMEEMRQDIARIQQATEASRQTSIGRGQHASIDCRQPTSINPRLPASIDISPPHSHPMQPPHNFHTKEEIDQLVEEIYRALETTEERLDGRCDDIHFPMDLSISALTSKIEAMQGELVEIQRYIARRPEASTSIDRRNNKSTDIHHQTSVADAKNRGWLVPKVKSDISDTNYHGEETSADTYTTVRRHQFNIESLEERLQKMENTTATMKEKWRRGDEAMRDFTDSTKDTKVEPKLTSNTKLDTTACLGAWYTWDQIFQTSLKVKRTWWQPPLSLDSWKHSDLEISDDFGAFWRYLEQAPEMTIELDNRSILKRSNRSMLTS >SC254g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:64:7823:-1 gene:SC254g500010.1_BraROA transcript:SC254g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLYKPISKHERKFSIDFESAPREGSVQLKANQMKISSDGNQFNVAREKEREKESTEKASSVQSMILYDCDAEALSISIRPGQSYSVMVKWRCLPELVQFHGFRSVEVMLDTPPRSPKNCPESRGGSVRVQISLSRPVSFFMVKPRLCPRQDQSSPVKSSRPLGFGQVLSDQPAAYRQRTLFPLLGSWIMARGQCFLDLVPSGFKETPYSLDREHSERRGHVLWLSGRGVNFVTLTGSSLTRHVALPDHSVGLDGQSCSCLIVGWPVGLSSPTLGVDRPSVILQFWLDRTHSFRISPKPGTKSVKENATKQPAFANPETVFVRKQCCNVNTLAHQYAWPRSYQGKMLTLGWMMESQASISTTWTNQTDLDSPVHQNSSLCPDQYTDQSTGRASMLICVLTWCISCPKSVHGQSTGRASMLICVVSMLI >A10p031450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18779323:18781537:-1 gene:A10p031450.1_BraROA transcript:A10p031450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRMLYSDFDFSSFPKAPRSIRRKVPSSQRRDDVDCGMMRAIDLLASVAEKLQEEEGETSSNSINAFEEWYYNQNHLAGKISLKDPSQLHVQCPEPVHLDGVPNEYRNRSKLVCRDDDENCCKYYKCKDKCNKSYSPSLTWAGHRRAVHRSKCFEGTKTDDSVKALDRKRKLCCRYSPRKHETLHKKRRLSDKGLVVNSDGGITSESVTNSTEKRESENGVLSDAIGLHSEDSRVKFSIKSLRIPELFIEVPETATVGSLKRTVMEAVSALLDDGISIGVTVQGRKVRDDNNTLSQTGLSCRENLGNLGFTLEPGPEKLGVPLSSENPVMSKPTDSTKLSERSAANCVENNSQELVPYQMDISADEQQPSSDSRAMVPVSALEPEALAIVPFKEKPKRTELSQRRIRRPFSVTEVEALVNAVEELGTGRWRDVKLRSFENESHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLASHRYWSQHPPKQNGKHQDAATMVTESGPSM >A01p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16826550:16830206:1 gene:A01p035840.1_BraROA transcript:A01p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQKRTKQKKRERKKKRRNRKRKEPFLLLLHLLGLVFFVFFPVNKVIKSNKKKNYNTNSTANPTNNKGVVASLFQELKDLIFVIIPSLPTVYAFKRILFISIMKSSSSNGDNHQTRNGTNGFLPNSLKFISTCIRTASSGVRSASASVAASLSPESHELKDQVLWSSFDRLHTSESCFKNLLLLGYANGFQVLDIDDASDVSELVSRRDDPVTFLQMQPLPAKCEGTEGFRASHPMLVAVADEAKGSVPPIRNGYDDDALSPSPTAVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLGSQIYCFDALTLENKFSVLSYPVPQLGNQGVDVGYGPMAVGSRWLAYASNNPLSSSIGRLSPQNVTPPGVSPSTSPSGGNLVARYAMESSKHLAAGLLNLGDKGYKTISKYCQDLKHDVPGSSLSSSPGQKVGRGGATSAADSDVAGTVIVKDFESRAIIAQFRAHTSPISALCFDQSGTLLVTASIHGNNINVFRIMPSPSKNGPGPQSYDWSSSHVPLYKLHRGMTSAVIQDICFSSYSQWIAIVSSKGTCHIYVLSPFGGDNVIEIRSSHVDGPTLAPTLSLPWWSSPSLSTTHFTFPPPASVTLSVVSRIKCSNFFHAASSVVGKPSFPSGCLAAVFHQAVPQVSAPALDYLLVYTPSGHVVQYKLIPSLGGGDEAESSSRIGAAEEELRVKVEPVQCWDVCRRTDWPEREENVCGRVAEDSVDASDLAKPLEKHNVYVANAEVLINSGRKPIWQNSEISFYPMFRPDSAGLNHGEMEIEKVSANEVDIRRKDLLPVFDNFHSVYSSMRNRGFPVERDSDSSSSYSDPRLVKGRGSSSSHFALTPNQDPHSGIVTFKQPVVSISSAVKDTDYTVDDAHMLLKNASLPAETTIVNNSGTSGASNVSSNPSDLSMNAADESEEGPVDDGYPNFEQFFKETAKEAENKNAPSDQRKLDDDDDDDDDMLGGVFAFSEEG >A02p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18627904:18628729:-1 gene:A02p034320.1_BraROA transcript:A02p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 21 [Source:Projected from Arabidopsis thaliana (AT4G04780) UniProtKB/Swiss-Prot;Acc:C0LU16] MDIISQLQEQVNSIAAITFNVFGTLQRDAPPVQLSPNYPDPPPSAPTTDEPKQLSADLVKAAKQFDALVAALPLSDGGEEAQLKIIAQLQMENHVIGQELHKQLEAAEKELKQVQELFGQAADNCLNLK >A10g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1239818:1243584:-1 gene:A10g500400.1_BraROA transcript:A10g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSEEEAEEYNTSEVDWGEEADQDCWDDGDDHTEGHWCADSVPEYVPNDEQEYPEVEPESMDRYSTCYGPKSQLIYEDSSEGKYYSQACPRREKTTVAAPSRSYHGSLSRHAHSTPWNYNGDQFYQNRLAAPSIHFSGHKQGPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYREFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNSLKPSEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISCTNIEIKEQEPNLAVQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNFEIGDFEKETTILPREIIDQPWKGGIASLLIKEEPPVGQCITKPCIYQGKLVLRTKPFEEGGNDEDLKSVARPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPTASFSLIISFQAQASGLIKGIFPQPFVATIDPFKLDNSCCLVVRVIVIQKTLIEHAEKLRQVKSRIYMKVQLKPLKWDGECEEERLVEALMILKYGGVLTRAGKVALSRVKSRSGLKILITGKKRSRRQKH >A09g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25198127:25198475:1 gene:A09g509040.1_BraROA transcript:A09g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIKEESLKLRYCAQPDHNPPPEPMKETETKTIGLGICKSMDFFQRAWSLARLAGLCFKIKRYRTVQDEGYSGKLNDVIRESESEISRWP >A09g514170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42708945:42733422:1 gene:A09g514170.1_BraROA transcript:A09g514170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRKLFKSKNASTSSNSTINSREIMSKITTFNQEISRDHLPHINPEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSNYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHGTSVKVIFFPPFDSEFPEERIRHVLESDSKDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRSWYFKTFSKQQTNEYREK >A02p003660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1658527:1660871:1 gene:A02p003660.1_BraROA transcript:A02p003660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLILLFSLFLLASPSSSSRPHHHHHKSPPLNPSSSTTSQIRLACNATRYPDQCLSSLSQPGLVPPDPTPPQIIHSAISVSFKKLETAQSKLKSILGASVGNLNRTNAANTCLELLSYSKRRTLWADHALTRGRIKDARAWMSAALVYQYDTWSALKYVNDTTQVGETMSFLDGGLLHVTSNALGMMVSYDNFGDKLASWTPPATERDGFWEKTGPGMGSDPGTLGFPSGLKKDVTVCKTGKCRYKTVQEAVNAAPDNNGVRKFVIKISEGVYEETVRVPFEKKNVVFIGDGVGKTVITGTLNARMPGMSTFKSATVGVMGDGFMARDITFQNAAGPEGHQAVAFRSDSDFSLLENCEFLGNQDTLYAHGLRQFYKKCRIQGNIDFIFGNSASVFQDCEILIAPRQVNPEKGEKNAVTAHGRIDPTQTTGFVFVNCLINGTEEYMKLYKANPKVHINFLGRPWKEFSRTVFIGSNMEALISPDGWSPWGGDFALQTLYYGESKNTGLGSDRSRRVSWSSEIPEEHVHAYSVANFIQADEWALMSG >A09p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12800623:12802395:1 gene:A09p023340.1_BraROA transcript:A09p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKQLGVLKALDVAKTQLYHFTAILIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPLSDKPGSLPPHVAGAVNGAALCGTLAGQLFFGWLGDKLGRKTVYGITLIMMTLCSIGSGLSFGNKATGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALIVSSIFDMKFPAPTYEVDRVLSTPPQADCVWRIIVMFGALPAVLTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQIQLEVEERAADIMKDPRLSYGLFSKEFAKRHGLPLLGCTTTWFLLDIAFYSQNLFQKDIFSGIGWIPKAATMNAIHEVFRIARAQSLIALCSTVPGYWFTVAFIEIMGRVAIQLMGFFMMTVFMFAIAFPYDHWTKPENRLGFVVMYSLTFFFANFGPNATTFIIPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGIKNSLITLGVINFVGMLFTFLVPEPKGKSLEELSGENEIGT >A05g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18358182:18362328:1 gene:A05g506440.1_BraROA transcript:A05g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTNQVIFSSREFRPPEKLEIANLLSDEPTANSIMTKVIIHVLNFQESIGLDGFQKDSKTSLFGPNGETDKILAKGKDGFRPDLKGTCLGPYEEYILHLSKSWSWLYEEVVQVSHILLWISTTCNPINKALEPRNKADCFPLLTFLSFLVRLSPCFDPSFVGPVRHIRQRSKSVSPFIPSVLNPLGSEFISTLPKSDPYFGSIKWYQSHSLEKVQSLFCGLVVEEKACWLRRNPAFEVRNTCVGEDQTLESVRFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVGLTVLQSEHPSSLVLSQQDFEEEPLDIPHQCPCLDIWTSLDEVPEPIFDEVDEPDPVFDEEATSIISTFMESHLCFDSGTTTASSSPSPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDLFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLVEQKSLKTENDFRDLEFCGSVLQPDLLSFKTDKTWYFLRSFRDNGVVLSSDNILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMHILKMNTVVAYLDKILVCNIYFVEHLDRLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFFFSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNIPKISKGDSCPRKNRPEPKPILHEPKVFPRSFYCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWFRKRHDPKLLRPKNQFDFIHDKNFSDLTLTLPDRFSAWPNFKIDKPIFGDQFTCLMLVHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSRVILNEQVKHDQFPRRASAGERLRTYVLRTWNWKYLRETSSKLQGSKIDLRSNPFEEGGNDVPWSSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMLSTQLRSS >A04p011030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6921246:6923700:1 gene:A04p011030.1_BraROA transcript:A04p011030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQTYRFGFFRRKYHHAASVAPPEVKILFENYSEKGVMSVDHLLRFLTDVQKQDKATREEAQAIVNASSSLLHRNCLHLDNFFKYLFGVDNSPIASHEVHQDMDDPLSHYFIFTGHNSYLTGNQLSSDCSEVPIIEALQKGVKVIELDLWPNSDEDGIDVVHGRTLTSPVELIKCLRAIKAHAFDVSDYPVVVTLEDHLTPQLQAKVAEMLTETFGDMLFAPPPGECLKELPSPEFLKKRILISTKPPKEYKESKHEHVVNKGNNTSDGVDPDKVRRLSLSEEQLEDASEKYGTQIVRFTQQNVLRIYPKGTRIMSSNYDPLVGWSHGAQMVAFNMQGQGKSLWIMRGMFRANGGCGYVKKPDILLNNNAIFDPQASLPVKTTLRVTIYMGEGWYDDFPRTHFDSYSPPDFYARVGIAGVPVDTVMKKTKTLEDDWIPAWEEVFEFPLTVPQLALLRIEVLDYDMSEKDDFGGQTCLPVSELRQGIRAVPLHNEDGVKCRSVKLLVRFEFV >A04p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15791927:15793326:-1 gene:A04p026100.1_BraROA transcript:A04p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEVLLKARRQLEELGFTCKAVNDGYFGVKLEAFIMSSPTLVLYVCLHKCDNVFLFILSRTKGTFTRETERENQSCTISVDTRTPLCHFPLFGFGLLKLNLRMYVQRLANGHAEHPPEFFYTGSLWKASIMRTLKDAELLVTNSEITCFPHIKLLICPSKREVMSFGDFKQRGTLLTKLPKVENGILHYPSTSSTNLTRMVLLESRP >A05p049980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28961744:28962974:1 gene:A05p049980.1_BraROA transcript:A05p049980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATKAEKKIAYDAKLCQLIDEFTQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVKIHAENTGNTGILNLMPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKQGDKVGSSEAALLAKLGIRPFSYGLVVQSVYDNGSVFSPEVLDLTEDDLVQKFAAGISMVTSLALAISFPTLAAAPHMFINAYKNALAISVATEYTFPQAEKVKEFLKVSHQLNCN >A09p024490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14824188:14825266:-1 gene:A09p024490.1_BraROA transcript:A09p024490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFAVRCDISCDGTSVRFLQLIDDESLVSTSVGEYRMDPIEDRRHSKRQTHHINMLGFVADSEYGIPTRCLCGGRVINEVAGKEDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEELERLTKRVEEAEEVMMGVSNLSKQIESVEEQVKILNQQVSVLNDQVSDLNEQVYDLSVQVDTLERVCFD >A07g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5090463:5100284:1 gene:A07g502400.1_BraROA transcript:A07g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKKEVRKMKFVKTASDNIEKTTTENVESTGTTKTTEIVDSREKTTDVSTQVTTDVSTEKTTNVSTEKTSEDARESTAEITELSDVALETAPATVNKGPAGQSPPAPPATPAIGTKSEEEENEETPSSGDEENQKAGSGEEENDHDYCSDGSSQKNEDAEEEQEEADEKEEKEETEGSEEGNGDGEGNGDGEGKDNENEGSEEENDREELANGDDNENPPEPGNPPEPELLAFEAIPKLGIAFREPVVGAGRDCPRMCKSYFKRNGMTVVSLSVINKELGNTTVNLPVNYGRTRVGIKKIDKKVDLLDGRLAPLEEFVKEAQGKVVEVEEAESQGKGKRRKPRSLWGKAKNRKLSEVEWSETKSLDLKGHFTRADHIEVDERMNNRSMRISAVGQYQEMPRQMKIIIDRCTQVPSIDVETSDTRNLDSAT >A01p001140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:426057:428486:-1 gene:A01p001140.1_BraROA transcript:A01p001140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVSRNFRALVENADRKFARVRDLPAFGRAQSHYFHKVFKAYMKLWNYQQSHRPKLVASGLNRWEIGEIASRIGQLYFSQYMRTSEARFLLESYVFYEAILKRRYFEEGEERKDLSARSKELRFYARFLLVALIVDRREMVLHLAEKLRALVDDSKSSFRETNFKEWRIVVQEITRFTKADVDLTYVRPLRYCAMLDSYPASQTYLARFHAKKLFKFRDALLASYHRNEVKYAEVTLDTYRMMQCLEWEPSGSFYQKRPVETKENGFVVDHTLTSGIIDMKLAADMADPSLPPNPRKAVLYRPTVSHLLAVLAMICDELSPECVMLIYLSASGGPAARENVAQPENAVGSSRTSKSKVLGRASQEQKSYKSEPHSNGHKSSGGYYDDYLWLGPRGGSGSNNLYPGDLIPFTRKPLFLVIDSDTSRAFKAERGEPVALLLSPLKPSLENPSSADDTAAALNGSQFTFFLTAPLQAFCQMLGLSNSDPEPEVYDEAESILSASFSEWETILLTSKVLNLVWAQVLPDQFLRRLILRFIFCRSVLTSLSRTENDDPYLPQCHPSLPDSLSPVSKTVQSTVERLADHLGVAKSFHFNKT >A10p026370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16756166:16762060:1 gene:A10p026370.1_BraROA transcript:A10p026370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQAQLAMILGSDSAPFETLISHLMSSSNEQRSSAEALFNLAKQTNPDTLALKLAHLLQLSPHPEGRAMAAVLLRKLLTRDDAYLWPRLSLPTQSSLKSTMLACIQREEVKSISKKICDTVSELASGILPENGWPELLPFVFQCVSSDSSKLQESAFLILAQLSQYVGETLTPHIKHLHGVFLQCLSSNSVSSDVKIASLNAVISFVQCLSNSTERDRFQDVLPAMIRTLTESLNNGNEATAQEALELFIELAGTEPRFLRRQLIDVVGSMLQIAEAESLEESTRHLAIEFLVTLAEARERAPGMVRKLPQFIDRLFAVLMKMLEDIEDDPAWYSAETEDEDAGETSNYSMGQECLDRLAIALGGNTIVPVAYQQFSAYLVASEWQKHHASVIALAQIAEGCSKVMIKNLEQVVSMILSQFQSPHPRVRWAAINAIGQLSTDLGPDLQNQHHHIVLPALAAAMDDIQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDVVMPYLKTILMNATDKSKRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMSLQGSEMEADDPITSYMLQAWARLCKCLGQDFIPYMDVVMPPLLQSAQLKPDVTITSADSEDEAEDSDDESMETIILGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIAQVAPTLVPLLKFYFHEEVRRAAVSAMPELMRSAKLAIEQGKSQGHDLSYLKQLSDYIIPAMLEALHKEPDTEICVSMLEAINECLQISGNLLDEGKIRSIVDEVKQVMTASSSRKRERGERANAEDFDAEEGELIKEENEQEEEIFDQVGEILGTLVKTFKSSFLPFFDELSSYLTPMWGRDKTAEERRIAICIFDDVAEQCRDAAFKYYDTYLPFVLEACNDESPEVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVIQQPNARQSENAMAYDNAVSAVGKICQFHRDSIDASQVLPAWLNCLPLSNDVIEAKVVHQQLCSMVERQDVDLLGPNNQYLPKILTVFAEVLTRKDVVTEETAGRMINIIRQLQQTLPPSALASVWASLTPEQQVALQSMLST >A03g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29983713:29989502:1 gene:A03g509100.1_BraROA transcript:A03g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRQTFECYLPKTVASSVHVSRYPNSSIKLRGLETAENSPSYDFPNQKPVNHSMVYAWPTRKDKCQVSADKYGSFEDNYEEREKWKISILCYDGLRAEDNLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYPPPQSSDRALVPLGRYVATGLEPKFGRCVAIEPFRTSIRHQSMHSRQTFECYLPKTVASSVHVSRYPNSSIKLRGLETAENS >A06g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6091027:6091648:-1 gene:A06g501590.1_BraROA transcript:A06g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEERKHSKQQKEYCDMLGFVEDSQYGIPIRCACGGRIIDEVREKEDYDSHPGKRFFTCINYEDNGLHYSHPWVVGVQEEMERLRKRLEEAEEVIKGVPSLNYQIESLEEQVRSLTVQVGTLEKVCFD >A10g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13679061:13679977:-1 gene:A10g505460.1_BraROA transcript:A10g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDSLPLERRADPYNLHFSTVRLISRLLRILMMLCFRGGPWRRWRGRLHLRYIILFIAAKRNRLCNLRHKKKLKNVYKFCTILKLTTRYCDTSAELPDKPKLIIQRKEEFSEIENSHLAEVL >A09g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23045641:23046823:1 gene:A09g508100.1_BraROA transcript:A09g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRRFARTNSLRTSSISLIQQSSSCLNATSLPRPSVDTRAVLICYDDVEMEQLSEPGQGQYDQYGVGCEGLESGGCSFSTESNPSPAMTQNNNTHETDEKLGGTSSSFFLPGLLFIVYLPSINGKAMSSNLGTKL >A05p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5975967:5977110:-1 gene:A05p013730.1_BraROA transcript:A05p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNMETITKSLEKSMQNFSLSDRRRRVGDRFGRSSTTTEESSNEHVPPISDRTLELNSHISLPCHWEQCLDLKTGEIYYINWKNGMRVKEDPRKVMMNADNDSGESCGTFCSEEDSSYYDSEESSSESSPSSRENQKEEEEEDEEEEEEEEEEAVLVVAGCKACFMYFMVPKLVEDCPKCAAQLVHFDRPHSASP >A06p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6847569:6849514:-1 gene:A06p015280.1_BraROA transcript:A06p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWSSSSTKVRSNLERFLRGITPKPPSSSMSKSCENDLNSLWTQHSKDETEYFRLSELWDCFDELSAYGLGSKVELNNGESVTQYYVPYLSAIQLYTSKSPAFSRNQSDVVDFESECWSDDSEIEKLSRSTSSGSSKIWDSVSDDSACDTPSMRDKLGSIEFQYFESAKPHLRVPLTTKVTELAEKYPRLLTLRSVDLSPASWMAIAWYPIYHIPSGKTDKDLSTCFLSYHTLSSALQGNLVEGEDENNKTMEEETLWCDDEPVVTKRFPLAPFGLVTYKMQGGLWGKRESGDQERLVYLGRAAESWLKQLNVHDHHDYSFFSVNKSL >A01g510750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29788554:29791740:-1 gene:A01g510750.1_BraROA transcript:A01g510750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLTNWEVVSITQEYSKTKFCWTLKEFSELKEHCYVSSKILVGGRNWVLKLYPKGLNATYIPHADDETVKTGEETYEQCEMRILDPFGCDHLTRTINQKLSNSTPNLGWHKLVLLANLEKVYLDKLDGVGVERPVLHNRARGVVVLVQHRSPAPEQVPAEQLRVQVPDLPHHVPHDPVLAPQLPRHRVDEDGPYADATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITSALARDDVLGNAKGAVAVVVSILIFKNPVSMTGMLGYSLTVCGVILYSEAKKRSK >A03p063780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27733914:27735090:-1 gene:A03p063780.1_BraROA transcript:A03p063780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRGLPISFIVLTLFTFLPNHATSLRTSFIKLPGSDGSRSSAADTYCESWRLAAETNNAGTWDVIPSVCVDSVAGYLNGDQYTSDYGVIADYALAFAKTVQVSGDGKDVWIFDIDETLLTNLDYYKAHGYGSEPFESNSFNEWVVEGTAPAFEASLRLYNALKKLGFTIVLLTGRDEHQRSVTETNLRDAGYSGWERLLLRGPEDQGKSATNYKSEQRSKLIEKGFKIRGNTGDQWSDLLGFAVADRSFKVPNPMYYIP >A03g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28039926:28044686:1 gene:A03g507950.1_BraROA transcript:A03g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAGIEVWRIENFSPTPIPKSSIGKFFTGDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQESGVASGFKHVEAEEHITRLFVCRGKHVVHVTFARSSLTHDDIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGRLMADADSGEFWGFFGGFAPLPRKTANDEDKTHTSDIKKLFCVEKGQANPVEGDSLKREMLDTSKCYILDCGLEVFVWMGRTTSLDDRKTASGAAEEMIRSSERPKSQMIRIIEGFETVTFRSKFDTWAQVTNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEEIQAFIDCTGNLQVWRVNDQQKILLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEERASAVSMASKMVESMKFVPAQARIYEGKEPIQFFVTMQSFIVFKGGIGSGYKKYIAEKEVDDDTYNENGLALFRIQGSGPENMQAIQVEPVASSLNSSYCYILHNDTSVFTWTGNLATSTDQELVERQLDLIKPNLQTRAQKEGSESEQFWELLGGKTEYSSQKLTKEPESDPHLFSCTFSKEILKVTEIYNFTQDDLMTEDIFIVDCHSEIFVWVGQEVVPKNKLQALTIGEKFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSAVSTEHLQYILREGSPDKGVKTLFCLQMHGNSFQRKLRIVKNGGTPVAEKPKRRTPASYGGRASVPDKSQQRSRSMSFSPDRVRVRGRSPAFNALAATFESQNARNLSTPPPVVRKLYPRSVTPDSSKLAPKSSAIASRSALFEQQLKTPPQEPSIPKSLKGVEDEEDLPAYPYERLKTTSADPVSEIDVTRREAYLSSEEFKEKFGMTKEAFYKLPKWKQNKFKMALQEDKIQGTVSVHFKLLLLPHDRSLITIFSWSSFLFL >A05p000420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000088.1:60781:64546:-1 gene:A05p000420.1_BraROA transcript:A05p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVCLHTQDVRGCLWLFVCVCVCPSAHTGCPWLSISTHISTLVLGLSMLTLPVDCSGDFGPRGLSVQYTQDVCGCPPAHTGRLWLSVLFVCVRLCPLAHTGRLWLSISTHISTLVLGLSTLALPVDCSGDFGPHGLSVQYTHGRPVCADAHPRTSCGCPSAHTGRPWVSVSTYRMYVGVRVCLCVSVSTHSTSVAVHQFTYQHIGSWTQHADPSRGLFGTNWTFVAVRVCLCVSVSTHGTSVVVHQYTYQHVGPWTQHADPSRGQFGMSVAVRMCPCVSVCVRQHTQDIRGCPSVHISARWSLDSAHWPFPWIVWVIFGPRGLSVQYTQSVRGCPPAHTGRPWLSISTHRTSVAVRVCPCVSVSTHKTSVGIRQHTQDVRVCPSAHTGCRWLFVSVCVCPSAHTGCPWLSISTHISTLVLGLSTLTLPMDCSGDFGPRGLSVQYTQDVCGCPPAHTGRPTLALPVDCLGDFWPTRAICSVHTGRPWVSASTHRTSVAVRSCPSAHTGCPWLSVCVHVCPSAHTGRLWVSVSTHRMSVAVRVCPCVSVCVRQHTQDVCGCPSAHTGRLLLSVSTHRTSVALRVCPCVSLSTNKISVAVHQYTYQHDVRGCPPAHTGRPWLSVAVRQHTHDVRGCPCVSVYVRQHTLDVCGCPSAHIGRPCVSVSGCPCVSVCVRQHTQNVRGCPSVHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVCGCPPAHTGRPWLSVCVRLCPSVHTGRPWLSISTDISTKTGVSYQPCVFVCVRLCPSAHTGSSWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRVCVCTHRTSVGVHQHAQDHTPDVRGCPSVHISARLSCPRSWIGSSGRTAVQGNAPVRVKELGECLGSWTSVGLSSISSVQLCGRVRDGYWASPGRLLGEPMVRVQDGSTKWVLVLGQGVAKLPECELRLSDRFAKGRKGEKPPMGGYGAVMGRFWEEGMGFLVTVRPGGWGQFKSSSLSHF >A01p030470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13942650:13947599:-1 gene:A01p030470.1_BraROA transcript:A01p030470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNFSRTESMQQRRGGGGVSLSPAQTPRSSDKLARESRSSDSNSTNRNDKEKGVNVQVILRCRPLSEDEARLHTPVVISCNEHRREVSATQSIAGKHIDRHFAFDKVFGPASQQKDLYDQAISPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFDILEAQGAEYSMKVTFLELYNEEISDLLAPEETTKFVDDKSKKSIALMEDGKGSVFVRGLEEEIVSTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKENTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCVIATISPSIHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAVMKDLYSEIDRLKQEVYAAREKNGIYIPKDRYLQEEAEKKAMAEKIERLELQSESKDKQVIDLQELYNAQKLLTAELSEKLDKTEKKLEETEHSLFDLEEKYRQANATIKEKEFVISNLLKSEKSLVERAFQLRTELESAASDVSNLFSKIERKDKIEDGNICLIQKFQSQLTEQLELLHKTVASSVTQQEVQLKHMEEDMESFVSTKSEATEELRERLSKLKSVYGSGIEALDNLAVKLDENSRTTFGGLNSEVSKHSHELENVFKGFASEADILLQDLQSSLNKQEEKLIAFAQQQRKAHSQAVDSARSVSKVTVEFFKTLDTHATKLTGIVEEAQTVNHRKLSEFENKFEECAKNEERQLLEKVAELLVNSNSRKKNLVQMAVQDLRESASTRTTTLQHEMSTMQDSTSSIKAEWNLHMVKTESNYHQDTSAVESGKKAMQEVLLNCLEKAEMSAHQWRKAQESLVSLERNNVASVDSIVRGGMDANENLRSQFSSAVSSSLDVFDAANASLLTSIDHSLKLDNDACAKINSMIIPCCEDLIELKSDHNHKIVEITDNAGKCLLDEYIVDEPSCSTPRKRAIEIPSIESIEELRTPASDELLRAFQDGKLFKQGNGDAKQQQQHLIRASSLYEAAVSDSRSPLSAVN >A10g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5459295:5463341:1 gene:A10g502060.1_BraROA transcript:A10g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFLGPSRKEPAGLCTIRQLINDAGSVIPDVIVVAETNTFNLTSQWYDWGSEDPFNGLPPEDPKDLIKRLEELASANKHDEISADHIICKIFPYCLSRDAFSEENGDIGTPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVKDDDYHVSGEQSKVEEADTKDLTSASIDNSNSESIDIRTSETIDTDICHRSIPSTNPDATTVTSEMIDTDFCYRSIPLEIPERSSCPQDIANSTQESIDESSCDLTSGVDKVTLKDFLELEEWLRQKLDDQPASGKGLENFLKADDIDRHKPDEIDRHSPYDIDLQSPSNIDQHIPDCIARYPPDCIDRHPCLDELSGYIIEPELVEKKEHTSGASHLAVPENLRPPLCEEEAVGICKGVKRIHDPVKFVVPYEMFEAESHIPPDKSMELSSYGGVFDDNKTKSIDTIISSSIDTGQIPSIDTLRESEQKEFEVCQNIFDGGTTMRSDKSGEKKRRIWKKRKRIKGDPQLSLIPHFSDGVRKPRVRSRCFSQPFAKLKALLIAEMVDKGEGTILDLPIADSTKDTKVDQPVNYVHTC >A03p048270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22010196:22014221:-1 gene:A03p048270.1_BraROA transcript:A03p048270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] METPTQTAEHIESMTEFLVSHYSDQLRDIALSSDPKLHYPLFLEFAELVDDNPHLARLVYSNPEQYLRLFDDSALLAHKIALEHMKKFESKIGIEKRFIHVRVNTSGSPLERTSDTFPSIGRVRVKHRGILLMLKGTVIRSGAVKMYEGERMFRCRKCKHMFPLFPELESINSIVKPPFCPSQRSKSCEGTNFDPVDDTVTRHDYQEIKIQENTQVLGVGVIPRSILVVLKDDLVDNVKAGADDVVVSGILTSKWSHDLKDVRCDLEPMVIANHVRRTNELKSEIDISDELIEKFKNFWSHFKDTPLKGRNAILRGICPQVVGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLIGDPGTGKSQFLKFAAKLSNRAVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQSISVAKAGLVTTLSTKTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDKVVSSHILAEVQIEEGRYVDDLTMIWPLPMLQRYIQFVKGNFRPVLSKEAEEIISSYYRLQRRSSTHNAARTTVRMLESLIRLAQAHARLMFRNEVTRLDAITAILCIESSMTISAIVDSMGNALHSNFSEEPDQEYAKQETLILEKLRGDDIY >A02p005630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2445129:2446798:-1 gene:A02p005630.1_BraROA transcript:A02p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQKARPFIAIVFIQFLYALMSIVAKLALNQGMSPHVLVAYRMAVATALITPFALILERNSRPRLTFKILFQISILSLFEPVVEQNLYYSGMKLTTATFTSALCNALPAITFIMACIFKLEKVIIKRRHSQAKLAGTMVAIGGAMLMTFVKGNVIELPWTSKSRSYNGQSHVMNTPKQEDTGKGSIMLVASCFTWSCYIILQANILNSYQAELSLTALMCCMGMLEATGLVSGLSYYITGWASKERGPVFVSAFNPLSMVIVAILSSFIFLEKMYIGRVVGSVVIVIGIYLVLWGKSKEKVGDLLPKAGCAGTVVKLEEQKVLTHDNNQVVHKSDKIMIPKAPTKSQESV >A08p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12180020:12185346:1 gene:A08p017530.1_BraROA transcript:A08p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPRISAVFERYGRRVIFLSILPWYFIPPFPEKRDLRSTTPSGHHHHSRLLTARRERGDRDAVRREKRGRGSAGRERERSSTARASCLRDFSAELRSGISDERQGRTYTSQADHSSLFLAASCEVACPVYYDPFPPRLGVTQFYRRLYSYPAIFIRCYGPASPPFLNATAAALSFFPPSPEVRETGSEIYNTKRPPPPLAAAHGEERERGERCGEEREKRERQCGERERSSTARTSCLRDFSAELRSGISDERQGSVTNLFTYKSLVILELTGPIFVNVPRMVYLPSLKFLILGCMVYSNEKSLHQLLSHCPVLEDLVLERNNEDNNCPFTLSVIVPSLQRLTLKISRGYHFEGLVINTPSLKYFKILDYLEEYALLRDDNSNYSYYFEDTPKLEEADIESTYPDINKFVRSIRSVKRLSLCIRVNADEEALYHEHIVFDQLQHLKL >A09p031380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18957469:18960690:1 gene:A09p031380.1_BraROA transcript:A09p031380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EH domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT4G05520) UniProtKB/Swiss-Prot;Acc:B3LF48] METSSAISIGTCLKEHQKIYKEWFNIADLDGDGRVSGNDATKFFAMSKLSRQELKQVWAVADSKRQGFLGLTEFITAMKLVTLAQEGHEITSDLLKGSVDLMSVELPVLEGLENVVSKQKASKAYADDEDNVVTQPQVVKEKAPWFKSKAIIKPQVNVVTIVDGLKRLYAEKLKPLEVTYRFNDFASPVLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLGCEYPGAHIGPEPTTDRFVVAMSGPDERTIPGNTMAVQADMPFNGLTSFGGAFLSKFECSQMPHPLLDQITLVDTPGVLSGEKQRMQRSYDFTGVISWFASKCDMILLLFDPHKLDISDEFKRVITSLRGNEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEAVVGPIGEELFEKEQNDLITDLMTIPKKACDRKINEFVKRARAAKINAYIMSHLKKEMPAMMGKSKAQQRLMDNLQQEFEKVQQEYHLPAGDFPSVEHFREVLGGYNIDKFEKLKPKMIQAVDDMLGYDIPDLLKKFRNPYE >A03g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19319261:19322505:1 gene:A03g505410.1_BraROA transcript:A03g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIQFSTPSRTPHLLHLPHSRFHRPLTSLSFRQFPLKYTSIRASSSPEERSVVATAVSTDTAAIDVDTVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAEGGMNVARLNMCHGTREWHRDVIRSVRKLNEEKGFAVAIMMDTEGSEIHMGDLGGGESSAKSEDGEVWTFTVRAFDSSRPARTISVSYDGFAEDVRVGDELLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKDIGVIAKIESIDSLTNLEEIILASDGAMVARGDLGAQIPLEQVPAAQQRIVKVCRALNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRSDALMLSGESAMGQFPDKALTVLRSVSLRIERWWREEKRYESTPLQPIGSGFSDRISEEICNSAAKMANNLGVDAVFVYTKDGHMASLVSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSEDMESNLNKTFSLLKSRGMIKSGDLVIAVSDMLQSIQVMNVP >A09p025620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14303379:14306969:1 gene:A09p025620.1_BraROA transcript:A09p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMCFMMMLIMVSIAINMVASDPIAPCYFIFGDSLVDNGNNNQLNSLARANYFPYGIDFSLGPTGRFSNGKTTVDVITELLGFDNYITPYAAARGEDILRGVNYASAAAGIREETGRQLGGRIAFAGQVANHVNTVSQVVNILGDQNQASSYLSKCIYSIGLGSNDYLNNYFMPTFYSTGNQFTPDSFGDDLIARYTEQLRILYNNGARKFALIGVGAIGCSPNELAQNSRDGTTCDERINSANRLFNSKLITIVDQFNQNTPDAKFTYINAYGIFQDIVTNPARYGFRVTNAGCCGVGRNNGQITCLPGQAPCLNRNEYVFWDAFHPDAILTLTIYYLLQKIFWIEKIMSSLGFSVGTCSPPSEQRKCRSFVSSSLNKAEAINLRSKQKVSDHELSFVQPASCGRREAIIGFGFCIGLVDNVSALAETSSCEFSVSPSGLAFCDKVVGYGPAAVKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDQGIIGSDGVPAMLTGGKRTLKIPPELAYGDRGAGCKGGSCLIPPASVLLFDIEFIGKA >A02g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20744885:20746847:-1 gene:A02g507340.1_BraROA transcript:A02g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKWLNLKNENLRLQHELVHSREQYEDHVEEFAVKGLSHFVYGSTSKNRAKRACQEVRRDVRQGVRQEVLQRVAVSNKPKVVHKCNNMKVRQEVLKHGCAAGTRKETDRCISNYVRPSKKQHWM >A04p029610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17702060:17702836:1 gene:A04p029610.1_BraROA transcript:A04p029610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNDDDANRRYECSSDEGEKRCFDLFAALLLACGDDETRAKRGQDEQERNMLMIETITNAATNTFFEFDDESKNQQHIASSSLEESKRRRVVESNDEPIRAEPIREIKPPVKKRKRPVKRKAPVRNEPVRREPPVVTPGWVIDLMKTFKGREGDARMIFEKAMTKTDLATNQGRLLMPFNQMADMHFLTEAEWNTLEEHHKHKGDVKKGVNVDEKMKGVDVILLRRNGNNKGWELNLRIWEMSLFLAICISVIIKVN >A02p019430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8969261:8970801:1 gene:A02p019430.1_BraROA transcript:A02p019430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETDPAPPMKAEEPNAACVSALHMILESLMRTTMFSCDLPITLYPETHERFIQDYLDQFYHLFLDKLSLMEENGGVSEILINVLEIMPGWNRHLGFEDRVKMKCTRCKMNTLYPPPQPSFDYGFGYHHSPQSAFEDFTFERILKVIKINSMVPCKTEGCEKLSYVDHVIPTLPSVFTIALEWENNETGEEILATTSVLATEIDISEIYKYEGGSPETKYNLVSMVCSHGDQYACVAYFNNRWVIYFPSEQQVIGDWDSVINTFIRLNMRPDILFFENDMQRKRIVNAQINTPSDVKNTYIQKWDQLQNFMFSLQPQSS >A02p020690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9713270:9714092:-1 gene:A02p020690.1_BraROA transcript:A02p020690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMLSSATMASSPAHATMVAPFIGLKSSAAFPVTCKANTKVTSITSNGGRVNCMKVWPPVGKKKFETLSYLPDLTDVEIAKEVDYLIRNKWTPCIEFELEHGFVYREHGNISGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKKEYPNAFIRIIGFDNNRQAQCISFIAYKPPSFTNA >A02p030740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15696869:15700883:-1 gene:A02p030740.1_BraROA transcript:A02p030740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKANNGGKKETGKGSVAVAIDKDKGSQHALKWTIENLASRGQTISLIHVLSKSHSSSSSDIAEATPQQKQESEKLAQDLFVSFHCYCSRKEINCQDILLEDIDKVRAITEFVSSSAIENLVVGAASRNGFMRRFKTDLPTTLSKQAPDFCNVYVISKGKIASVRNASRPAPYKSSKQLSEFDNQHPTTPEKAPKHHDHSHSAGSTPSRPRRSVEYDSIRSPLVRRQGKQYGDLYDSDSDLSFISPSSHRDSHDISFISSGRPSVDRSSFTHDFPESGRSSRMSTSSEFKFSDPSFPNESSTFSEESGGTSSYSSQGVDDIEAEMKRLRLELKQTMDMYSTACKEALSARQQASALQKLRTEEERRLEEAKSSEEAAMSIVEKERAKAKAALEAAEAAKRLAEVEAKRRVTVEMTALKESDSFSHGFVRYRKYTVEEIEEATSNFDESKKVGEGGYGPVFRGYLDHTSVAVKVLRPDAAQGRSQFQKEVEVLSCIRHPNMVLLLGACPEFGILVYEYMAKGSLEDRLFMQGNTPPISWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPANVLLDYNYVSKISDVGLARLVPAVAENVTQYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQILTGKQPMGLTYYVEHAIEEGRLKDMLDPAVPDWPMEEAMSLAKLSLQCAELRRKDRPDLGKEILPELNRLRDIGEESLGNVINAGSHGNSANTSQVSISSSSDPFVTSSESLPEESQS >A02p000960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:477490:478555:1 gene:A02p000960.1_BraROA transcript:A02p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMAILFTPPQCSRTFSSPPLFNNHVAGETTLSPHSLKLKTSSAENWCSRFRSKSLSLVFSGALALGLSLSGVGIAEAAKVGVNKPELLPKEFTSVIDVAGFLSDGQEKRIAEEISDLEKDTGFKLRVLAQNYPVTPGLAIKDYWQVDDSTIVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISSCLREPVGANNCAEVY >A03p002620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1183755:1185356:1 gene:A03p002620.1_BraROA transcript:A03p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SLOW WALKER 1 [Source:Projected from Arabidopsis thaliana (AT2G47990) UniProtKB/Swiss-Prot;Acc:O82266] MEEELRVRLNDHQPSKIFPVKPKSTPKTLSKPETPDSRYWSSFKPHSIPNLVSSISAVAFSPIHPHSFAVAHSTTVSLFSSNTLTASRRFSFKDVVSSVSFRSDGALLAASDLSGTVQVFDVKERMALRSLRSHKAAARFVKYPVHDKLHLVSGGDDGVVKYWDVAGGTVISDLIGHKDYVRCGDCSPVNDSMFATGSYDHTIKVWDARVGNSKWIAEINHGAPVEDIVYLPSGGMIATAGLNSVKVWDLIGGGRMVCSMESHNKTVTCLSVGRMGLEGENRIVSCSLDGYMKVFDYGRGKVTYSMRFPAPLMSVGISPDSSVRVVGGSNGMVFAGKKKKKARDEEEKKKASVMSFWSVKSEVDESRRRALRPSYFRYFQRGQSEKPSKDDYLVKESKGVKLTRHDKLLKKFRHKEALVSVLEEKKPADVVAVMEELVARRKIVKCVSNLEEGELGLLLGFLQRYCTVERYSKLLMALTKKVLETRGEEINGKEEFKGILRNLKREVNQEIKIQQSLLEIQGVIAPLMRIAGR >A02p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4713447:4715670:1 gene:A02p010840.1_BraROA transcript:A02p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPTPRQALSLGGHNRKIIHVFLKKFPPAIFARVNIGDPPVEVWLHIDTGSDLTWVTDDSRVGYDPLDSSTFSYRSCDGLSKSPSVTPSRIRGQCDYVQRLADDAVYAVAGMATGMGTLGLSLGELSIIKSVGTKFSICVGNFNYISQGKHFLALGDDALLVGRKILLDTKGNTYRIKLSSITIEGQSLGIENVLSKTKSILDTGISTISLVQEVYHAVAEKIKKIMSDAGYEESDIYKEHKFICYKGVIPEQKKLQVSLKFGKVSLDMDSTTLFIQLKSIAEEVFCLSVNESPIEENLIGIPIFQNHNIGFDTVNNKLYIKPVKFPGGYVTIWWDIMNETRGSYSANVTIIDYLKNHDIDGPWALRWEWAEDEILLNTVGATGTQLGNDSSVGDNKWPLNSNLPPRGEKVSKYCKGGVIPSWCTIEADLPKSSSSFQITVGRVGIEYYPPAYVDFTTPRSNFMCFSMMPFTKRRGYLKTWRAECGLLEFRKIDNKEDL >A07p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11836825:11838981:1 gene:A07p019890.1_BraROA transcript:A07p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRNLDAYPKINEDFYQRTLSGGLITLFSSVLMLILFFSELRLYMHPVTETQLRVDTSRGEKLRINFDVTFPALQCSIISIDTMDISGERHLDVRHDIIKRRLDSHGNVIESKQDGIGHTKIEKPLQKHGGRLEHNETYCGSCFGAETTDDTCCNSCEEVREAYRKKGWALSDPESIDQCKREGYVQKVKDEEGEGCNLHGFLEVNKVAGNFHFVPGQSFHQSGFQFGDLIFFQQGNYNISHKVNRLAFGDFFPGVVNPLDGVQWNQEKQNGVYQYFVKVVPSIYTDVHGHTIQSNQFSVTEHFQKMEAGRMQSPPGVFFYYDLSPIKVIFEEQHVEFLHFLTNVCAIVGGIFTVSGIVDSFIYHGQRAIKKKMEIGKFN >A05p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2864343:2867093:1 gene:A05p007120.1_BraROA transcript:A05p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 4 [Source:Projected from Arabidopsis thaliana (AT2G45890) UniProtKB/Swiss-Prot;Acc:Q0WNP7] MESSPSSDQNDVSASETPTSSVSSPYRRTYSDISGLSHRFDVQSFYNRPSNTNAVVHEEDLSEDAVEPKDNVDGDGEDHDRDSDIDSAEDAELEMMRERFAKLLLGEDMSGSGKGVCTAVTVSNSITNLYATVFGQSLRLQPLSTEKKDLWKREMNCFMSICDYIVEVIPRSLGNNVEITETKLRSDILMNLPALRKLDNMLMDILDGFTENEFWYVERGSSSMNSNNGGRDSGSFRKVVVQRKDEKWWLPVPCVPAEGLSENERKNLRHKRDCANQIHKAALAINDSTLNDMDIPDSYLTTLPKSGKASVGDVIYKQLCTAEKFYPDQLLDILKISSEHEALELADKVEASLVTWRRKTGGLAHSKSSWDMMKDMGGDAGNDKNHILAARARSLLFCLKQRFPELSQTSLDICKIQYNRDVGKAVLESYSRVLEGLAYNVVSWIDDVLYVDRTVRNRDD >A06p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22558789:22564767:-1 gene:A06p041940.1_BraROA transcript:A06p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLEKIYKRLFSVYHEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFWSERSIKVASPQGDLTRVHYKVSIPLCKIKGVNQSLNTKKPSKKYIEVVTITTQSSKMTLSTVHQQILALPAVKTAPGGSLPDPASINKLQIPSPSKKSEQSKKKSILRTNSFTNGTKDQSNLGPKLTETVKRKLSLGAKIIQMGSLEKIYKRLFRVHDEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLCKIKGVNQSMNTKKPSQKYLEVVTVDGFDFWFMGFLSYQKAFNCLEQALNNN >A10g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12415940:12416747:-1 gene:A10g505050.1_BraROA transcript:A10g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPVQTLQCVVSVGEISAINRPGSVHRLWRLGTIGFFFRVAIRVSCSSSSIISNLLRASSISREGICKVIHFRGYSRNVYHVKEKIM >A05p048040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28190877:28192432:1 gene:A05p048040.1_BraROA transcript:A05p048040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISVMSQMQRSFSIDLPSLIPLQLPSRRETHLWYVIPDEVKSTSLLKRYSQLLSQTEKDGVDQMRGDELKKNALLARTLVRTTVARYQTNKEVDPRSLMFKKNVYGKPEVDWQNCKNCNHQPLHFNISHTDSLIACGVTVHVPVGIDVEDKERKIKHDVLAFAKRFYSADEVNVLATIPDPEAQRKEFIKLWTLKEAYVKALGKGFSAAPFNTFTIRSMPGTEEGYSLCKTSEVTADPLEETKKCNGEWKFALLELADSHYAAVCIEDDQANEGAPMRVIVRKTIPFVEDQLISERIFL >A05p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14971912:14973438:-1 gene:A05p029830.1_BraROA transcript:A05p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYCLDIDRWYLCTSIDINLHMSRHLLPLLLSHGETEAKLCREFPETENPNRRALSPLSRLSSSISLSLRDLSPLSLLLAGAVWWWSWRLQPIGGGGHISQLSCFLVPDLIPFVSCFRSGSRSRLRWSVLNPSCSH >A04p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14989279:14989791:-1 gene:A04p024680.1_BraROA transcript:A04p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEQKKISKEMIEEMEEVLKEKKEEVKKVLKEKKEELKKITKEMDEVLKKVTKEMNEKLKEFEDTIQRAQEELDRELRDLSGDGVKKIAEADEKPLEQAMSCLKLEEALKDPADASAIAQVPNRRSKRVRKPNPIYKGP >A03p021990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8872240:8873532:1 gene:A03p021990.1_BraROA transcript:A03p021990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSSDSIIVNVDGDDNKSALFGKYDIKKHLGSGAFAKVYEAEDLHNKGQSVAIKVVQKKRLKDGLTAHVKREISVMRRLRHPHIVLLSEVLATKTKIYFVMELAQGGELFSRVSSNRFTESLSRKYFRQLISAVRYCHARGVFHRDLKPENLLLDEKRDLKVSDFGLSAMKEQIKADGMLHTLCGTPAYVAPELLTKKGYDGSKADIWSCGVVLFLLNAGYLPFRDPNISGLYRKIRLAQYRMPEWTSSGLRHLLSRLLEPDPEKRITVEEILKDPWFNHGVDPSEMIGIQADDYDLEESGKKLNAFELIASSSTANLAGLFGNFVTPDHCDQFVSDENPGEIMVKVVEVAKKMNLRIAKKKERAVKLEGPQGVANIVVKIRRLTDELVMVEMKNKQRDVGIVWADELRQKLRRLINQPVNRVPDKP >A04p035730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20833955:20839701:1 gene:A04p035730.1_BraROA transcript:A04p035730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPSVMLRTVLLLLRVLTAAFLVITVALVSTNTVTLDIQGTSIKMHFNDVYAYRYMLAAAVIGLGYAVVQLVFTISQFATGTIHPFNYLFSFYGDKIISYLLATGSAAGFGVSKDLKDTYLALIDFDSTDPVDNFFSKGYASASLLLFAFVSLAVLSVFSSLVLSKRPIQVVNLLLRVLTAIFLVISVIVLTTNSVTVGSIKFHFNDVYAYRYMLAAAVIGLIYAVIQLIFTICQFATGVTNSFSYQLDFYGDKLVSYLVATGSAAGFGVTKDLKDAFIALVALDSTDPVDEFFSKGYASASLLLFAFLCLAVLSVFSVVLFSLRVLTVAFLVITVIILSTNSVTIVSQGNSLKFHFKDVYAYRYMFSAAIIGLLYAVIQLFFSISELATRTKNPFNYQLDFYGDKIISYLVATGSAAGFGVTKDLKDTFLALVVLDSTDPVDKLFSRGYASASMLLFAFFCLAVLSVFSSHTIAKHQLS >A08g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6441905:6444147:1 gene:A08g503710.1_BraROA transcript:A08g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPFNVVAEAAPTTLNKSPGDEENEETASRDEENEETASGDEENEEIVSGDEENEETASGDEVNEKTASGDEVNESSEEEQEKPDGEKESSNQDHEDSEEEPPDRENEVNARSEEQQANGEGEKEANENGNPPEPQLVKRTLKLMSTVDKKVDQLDGRLVPLEEFVKEAQAKAVEEEAPAQEKAKKQKRRKK >A03p031190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13114271:13116250:-1 gene:A03p031190.1_BraROA transcript:A03p031190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISNLFTDNTMNVPPYAILVLTTIITVFWFLLKRSPQPPLPPGPRGLPIVGNLPFLKPDLHTYFRDLAQEYGPIFKLNLGSKLTVVVNTPSLAREILKEQDINFSNRDVPLTARAITYGGLDIVWLPYSAEWRMLRKVCVLKLLNRKTLDSIYELRRKEIRERTRFLYEKSQEEAAVNVGDQLFVTMMNLTINMLWGGSVKAEEMESVGTEFKVVVSEITRLLGEPNISDFFPWLARFDLQGLLKQMRVCSRELNAIFDGAIEKMPKLESKDDGECKDFLQQLMKLKDQEANSEVPITVNHVKAVLADMVIGGTDTSTNTIEFAMAELIKNPESMKRAQQELDEVVGKDNIVEESHITRLPYIVAIMKETLRLYPTVPLLVPHCPAETAVVGGYTVPKDTKIFINVWSIQRDPNVWENPNEFRPERFLDKKSCDFHGTDYSFLPFGSGRRICAGVALAERMVQYTLATLLHSFDWKVPEGHELNVEDKFGIVLKLKNPLIAMPFPRLSDPNLYL >A10p029020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17868775:17871586:-1 gene:A10p029020.1_BraROA transcript:A10p029020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTKTSVSETTPGKSSPSTPRVAKLSRAVNKSETSSPSSRLSLDRSSPSSKSSPAERRSPKVPTPPEKTQARGLVAKGSEPQTRLTLTQIKEDLKKANEKISSLEKEKAKALDELKEAKKEAEEATLKLDDALKAQKSVEESSEIERFQAVEAGMKKEEELKKELEDVKNKHASDSAALLSVTQELEKVNEALAAANEAKSKALSQADDSSKTAEIHAEKVEILSSELTRLKALLDSTREKKEITSSEVVTKLEEEIVVLKRDLEKARGFEAEVKEQEMTIGKLKADLEAAKTGESSAQSSSEEWKSKAKELEEQLKEANELERSVMKQLEGSNDKLHETESEMTHLKEKIVALETTVSKQKEDLEESEQRLKELSKIQKEVESLKNELETAEEEKNRALDKEQDTSLNVQRLLEQRKKLLADLAISKEEEEKSKKAMESLASALHEVSSEGREMKERLLSQGDHEYETQIEDLKLVIKATSEKYENMLEEARHEIDVLVSAVEQTKKHFEGSKTEWEMKEASLVSYVKKMEEEVASKGKEMNRLDNLLKRTKEEADAAWKKEAQTKESLREVEEEMVYLQESLGEAKAESMKLKENLLDKETEFQSVVHENEDLKAKEDVATKKIEELSKLLEEAMLDKKKAEEEEEVELSETEEEYDLLPKVVEFSSENGHTSVEEKSPKVKTIDEEAPEEHISNGNGVEEKDMNGEPEAKTEMKSQGDDDKDDSVEVMFKMWESCQIEKKEAFPDKNLPLETQEEEEEDSSKIDENDKTSTENNVDEIGTEDQLVMEKKLKKKKTLLGKVGNLLKKKAPVNPK >A03p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11064570:11065749:-1 gene:A03p026420.1_BraROA transcript:A03p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSKRREMDMMKLMMSDYKVDTVNDDLHMFYVTFHGPTDSLYEGGVWKIKVELPDAYPYKSPSVGFVNKIYHPNVDESSGAVCLDVINQTWSPMFDLINVFESFLPQLLLYPNPSDPFNGEAASLLMRDRPAYELKVKEYCKRYAKPEDIGAPEEISSDDDDDDSMSERGSDSDDNDEVAGKADP >A01p041120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21972940:21973642:-1 gene:A01p041120.1_BraROA transcript:A01p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHFSTLLTKTEHQTFKPFKKQISQSNNKKSKKMSLFLLLALLLLPFITPSSQSSIRNLLEARGLPGGLFPDNVESYSLDVKTGELEVQLQNPCFARFENRVYFDSVIKANLSYGGLVGLQGLTQEELFLWLPVKGIAVNDSSSGLVLFDIGVAHKQISRSLFEDPPVCYPPGSIMEKIEKRKMDIQLQR >A06p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1474868:1480017:1 gene:A06p005050.1_BraROA transcript:A06p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYSAIFRGHIKPNAVVGAASMFIQHSSLHLFRTPNLLFSRPSSLVRSLHSQRSRVLSAKAGRSSLEWRVSNLPYFQKQGYGRIAYNDYESSDESDRDIVGASQSQQMAGSTLDNIDQWRFKLTMLLRNREDQEVVSRERKDRRDFDHISAMATRMGLFSRQYSKIIVISKSPLPNYRPDLDDKRPQREVVLPFGLQSEVDAHLHAFLDQKKMLIPEMPRPNSSESLAANYGKYENPETVMQNSLARERILRPRSLQLRSKQQQWVDSPEGQKMVEFRKTLPAYKEKEALLRAISANQVIVVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAISVSERVAAERGEQIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLIDRSLKGVTHVVVDEIHERGMNEDFLLIVLKDLLPRRPDLKLILMSATLNAELFSSYFGGAPAMHIPGFTYPVRAHFLEDFLETTGYRLTSYNQIDDYGEEKTWKMQKQAQFTKRKSQISSAVEGALEAADFKGYQFRTRDSLSCWSPDSMGFNLIENVLCHIVKGERPGAVLVFMTGWDDINSLKKQLEAHHLLGDPSKVLLLACHGSMASSEQRLIFDRPPEGVRKVVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVMPGECYHLYPRCVYDAFADYQQPELLRTPLQSLCLQIKSLGLGSISEFLSRALQPPEALSVQNAVDYLKLIGALDDNENLTALGKNLSMLPVEPKLGKMLILGAIFNCLDPIMTVVAGLSVRDPFLMPFDKKDLAESARSKFSGRDCSDHLTLIRAYSGWKEAERTRSGNEYCWQNFLSAQTLKAMDSMRKQFFFLLKEASLIDNVESCSKLSYDEHLVRAIICAGLFPGVCSVVNKEKSITLKTMEDGQVLLYTSSVNGNVQRIPFPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGDKVSSGGFDGHLKMLGGYLEFFMKPSLAYTYLSLKRELDELIQNKLVNPKLDIQLYDKLMTAIRLLVSEDQCEGRFVFGRKALSPTTTKKLKVVGTQLPNSGGENDKNHLQTVLARAGHGTPVYKTRQLKNNQFRAMVTFNGLDFMGKPCGSKKNAEKDAAHEALLWLQGESKSSLNDLNHMSTLLKKDKSKKSARAPARWN >A03p043320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18125152:18126871:1 gene:A03p043320.1_BraROA transcript:A03p043320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G18620) UniProtKB/TrEMBL;Acc:A0A178V949] MEESSQGSFVTTINEEYETTCWGCGLNLVLPSYAPVFKCGWCGAITNQNPVRLETRNFGLRRFRDRCFVVILAVFMLFVICGGIWAAFPVVFSISLACGIFHSVAAVSLAISTLSTFILIGNCVGAGNHKNFIAFLISAVISTIYAAVMCVYTLIHILPPLENQAAYASDMAHANSLSVLRVVKNITLAYIANAIFVSVRGLVLVYLFVASFSVAIGLSVLLWQQLSYIYEGKTYLSHLSSQGSEEDGEKSCGNLLKFFGCPPLIERYLPTIRNLRKRHKT >A03g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17604186:17605410:-1 gene:A03g504930.1_BraROA transcript:A03g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEEAISLRRRSSASVIFKGKSRFSALDLSIGERTMGQDYSYSQPSSSSEELDITSLIVAEGELYANEVESNNFTISQEYQYAAAPEADEGIPMTFYCGSEPVVKTSYTPKNPYRRYFSYNNVDDGECHIWKWWDVAMQEELRETQIQLRMLKDQFFESDQKMAKLEKILRVLSKKTAAAKYRFAKGVCLVLLLILVIVMWRRASEDLNVSV >A04p008000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8569764:8570934:-1 gene:A04p008000.1_BraROA transcript:A04p008000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGHKIYPGRGIRFIRSDSQVFLFINSKCKHYFHNKLKPSKLAWTTMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALRDIKERIKKTKDEKKAKKAEFASKQQKIQAKIPKAAAKGGPKLGGGGGKR >A04p041040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23137388:23145231:1 gene:A04p041040.1_BraROA transcript:A04p041040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIHKSSTPCLDSVPANAPPLQRQDRLLVCPSSPADVDMDLREVYFLILHFLSNGPCDRTFGPLRDEILEKGLLPRRYHSSWSRSGTFTAPADDAISLPLTYDNLVERYPHIEKDHLVKLLKQLILNPPFPSHLRLEGHTLTAADVPTLLGSGSFSLVHQSQKASHVASYLRWPHMHADQVRGLSLREIGGGFRKHHRAPSIISACHAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETALCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGMPISVLRGHTGAVTAIAFSPRQASVYQLLSSSDDGTCRIWDARYSQWLPRIYVPNPLDAGTGKSNFPSSNAASTSNASKSHQILCCAYNANGTIFVTGSSDSNARVCVKFLTSVILLRDVETVEVWSASKSNLDDAEQPTHELDVLRGHENDVNYVQFSGCAVAPRSSTTETLREDSYPKFKNSWFCHDNIVTCSRDGSAIIWTPRSRKFHGKSGRWTKGYHLKVPPPPLPPQPPRGGPRQRLLPTPRGVNMIIWSLDNRFVLAAIMVKYKAPKSGVFGPPSYVLDVHPFNPRIAMSAGYDGKTIIWDIWEGIPIKVYDIGRFKLVDGKFSQDGTSIVLSDEVGQIYFLNTGQGESQKAAKYDQFFLGDYRPLIRDTNGHVLDQETQLLPHRRNLQDLLCDSSMIPYPEPDQTMFQQRRLGALGVEWRPSSIKFSVGPDFSLGQDYIMPPLADLDRLIEPLPEFIDAMYWEPEHEVLSDDNDSEYNAEVSSDGAKASPCSNSSNELECSSEDSDMENIYENSYNRKRRRQHPRADVATSSGRRDKRILDEIDSSEFGNKRIKNRRIGVKASKRRYSDVKESRPQRAAAQNARSLLSKISGSSSDEADDSSNSDSDRSASPLQQLEKPSQKLESLSNDKQKKRLIVKISVKKPTESVESKRSVMSQADLEHVSSKSLEDNHKVIGIYSREAGSSSVDAKGASWCQDVPHSMNTPQREKANNQLIKSSDQDQTMCKWREETPLCESTKLAESENIVEAQTSNGDEDLSIVEPFCVQRRHCDVDTSMEAEEIIPKKVRRLRLKLRHPSSPLKIEPDEVADDFGDGGEGLASTAPSSMNPVMDSGPVRDNVRNSPAHNAEFGEATADVIRRKRSMRLKATSSQSSARNSVLRLRSIDKVKKQEIPSTSAYDGASLEEWPSTSRSRSASTSKQSLNTGIRLNNVARKVSWLMLSEHEQGCRYIPQLGDEVVYFKQGHKEFLDSRELNDSDRSRYLPRNLGAVEFCKVEKLNYDTYPGSGESCCKMTLRVLDSSSSHASRKEFQLTLPDLINFPDFIVEKTRYDAAMKTNWEVGDECRVWWRNESSEGGSWWEGRIEASQVKCPNFPDSPWERYKVVYETGDTNLHSPWEFDNPQFPWEISTMDEEPREKLLSLFAGLVKSISKYQDSYGIQKLNEAAQKMDFCNRFPVPLYPELIHQRVENRYYRSMGSFKHDVDAMLSNAESYFGTNAHMRSKIKRLRDKITKTLRKMMI >A09p021260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11393527:11397242:-1 gene:A09p021260.1_BraROA transcript:A09p021260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFTVCCFSNPIHKNKNHNPDKKLRNSMEISRNDNGEHAKQNNNNNVTPVIGSDRTNVIVNHDFSLGMHSWNPNCCEASVVTADSGISHGVLDPSKCGSYVVVKNRKETWQGLEQDITSRVKPCCLYKVSATVAVSGPVQGLEEVMATLKLENQQSPTNYQFIAKTCVFKEKWVRLEGMFSLPSLPERVVFYLEGPSPGIDLLIQSVTIHLESEPELERREGVTVEDENLVVNPNFEDGLNNWSGRSCKIVCHDSMADGKIVPLSGKAFAAATERTQNWNGIQQEITGKVERKRVYEATAVVRIYGNNVTSATVQATLWVQNPNQRDQYIGIANVQATDKEWIQCKGKFLLNGSASRVVIYIEGPPPGTDILLNSLTVKHAEKIPPSPRPAIENPAFGVNILTNSQLTDGTTNGWFPLGNCTLSVAEGSPRILPPLARDSLGPHEPLSGRYMLVTNRTHTWMGPAQMITDKLKLFLTYQISVWVKLGSGINSPQNVNVALGIDSQWVNGGQVEINDDKWHEIGGSFRVEKQPSKALVYIQGPSSGVDLMVAGLQIFPVDRLARIKHLRRQSDKIRRSDVILKFSGADASKLSGATVKVRQTRNSFPVGTCISRSSIDNEDFVDFFLKNFNWAVFGNELKWYWTEPEQGKLNYQDADDMLNLCSSNNIETRGHCIFWEVQATVQQWIQKMNQNDLNTAVQNRLTGLLNRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRVNMFKTAHQLDPSATLFVNDYHIEDGCDPKSCPEKYIEHILDLQEKGAPVGGIGIQGHIDSPIGPIVCSALDRLGILGLPIWFTELDVSSINEHIRGDDLEVMMWEAFGHPAVEGIMLWGFWELFMSRDNSHLVNAEGDVNEAGKRLLAVKKDWLSHANGHIDQNGAFAFRGYHGNYAVEVITSSSQKVLKTFVVEKGDSAQVITVDLQGL >A04p008380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8339562:8342325:-1 gene:A04p008380.1_BraROA transcript:A04p008380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIVEVCKPKANPQPLLLTGMSYPEHESGETNAILQPQFFYGGNCPETYLSWTANVETCIGHNHIPKNERLSLVASRLGGEARRWWEREEEKRWFHNRPAITTWEELQNIMDKRYIPKDFPEAVKEQYGRRPTRKKVPSSASQIKLSKAMSDSEGKANPPAVNINPLQVEAMVSKPKTNQQPLLLTGVSYPEQESGETKTIPQPQCDQSIHLPFIYPSQNKPFII >A07p051800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27449320:27450007:1 gene:A07p051800.1_BraROA transcript:A07p051800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALTIGGNGFSGLPGSSFSSASSSLRLKNSRRKNTKMLNRKGVVCSSSSVMDPYKTLRIRPDSSEYEVKQAFRQLAKKYHPDVCRGSNCGVQFQTINEAYDIVLKQIKNQMEGAEEFQPFDVYDEGFNGMNDPDCDTWEEWMGWEGAGTRDYSSHVNPYA >A08p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16006642:16010134:1 gene:A08p024640.1_BraROA transcript:A08p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDFFAGEIATELLKQLFMISAKAWKYKSVAERLIDLIEDIQPTIKEIQYSGVELPPHRQAQIGMLSNTLEKGKKLTEKVLSARRWNVYRQLTLARKMEKLEKDISNFLKNQILTHILADVHLLRANSDVRFDRVDRSLEMMTEHLGSMKIGGGGMIREAMKIAEATMEIEMGNEEEKFGVGLEIGKRKVKKMMFSAERGLIGISGMGGVGKTTLARELERDVEVQCHFENKVLFLTVSQSPMLEELRAHIWAFVSGYEGVNPVPNWNLQYEGGVKTQKLVILDDVWTREALDCLTFNIPGCTTLVVSRSKLTEPKATYDVEVLREDEALSLFCLCAFGQKTIPPGFDKSMVEQVAGECKGLPLALKVTGASLKDRPEMYWKGALQRLQKGEPADESHETRLLHQMEASLENLDPTTRECFLDLGAFPEDRKIPVDVLINMWIEIHDLEEAIAFATLVDLSHKNLLTLGKDPRLGSSYASYYDVFVTQHDVLRDLALHLSNKGKVNIRKRLLMPKREKALPKEWGRNIDEPYRAQIVSIHTEEMDEMGWSDFEMDFPKAEILILNFSSDKYVLPPFITKMSKLRVLVIINNGMSPAVLHDFSIFANLSYLRTLWLERVHVPELYNTTVPLKKLHKMSLILCKINNSFDQTGVDISNLFPKLADLTIDHCDDLVSLPSSICGMTSLNSLSITNCPRLSELPKNLSKLQALEILRLYACLELKALPVEICELPKLKYLDISQCVNLSCLPEEIGKLRTLEKIDMRECFFSDRLSSAVSLESLRHVICDKDVAFIWEEVEKAVPGLKIEAAEKCFSLDWLDE >A03p061910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26899072:26899633:1 gene:A03p061910.1_BraROA transcript:A03p061910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNQRDSLLNEVDAEIDLNRTMDVALWKCKIDKYKKKFSTNDTWGHIREAHPSCISWSNGVVSVCHSQLTKGMLAEKYTMNWICITHLVQPKDMPDGPDHALFMLRTSLCDLERKE >A06g509940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:30036975:30037592:-1 gene:A06g509940.1_BraROA transcript:A06g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWTLWTARNQLIFENKSPSQRETTTKALKTAREWAQAQEGLTKQHQQPITKLIPHRRQELDISDLTVCFTDAAWDKASNKAGLAWTFNQQATSMTHEGSKVSDHVSSALMAEALAIREALQSAISLDITRIKINSDNQTLIRVINSEIKDKEIYGVSQDIKIFTALFASISFNFIPRAENVRADSLAKASLRAFCLLCNGPALG >A08p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20204354:20217052:-1 gene:A08p033810.1_BraROA transcript:A08p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARSLVAKANNTNVGSLILMALVLGSCVANGEYLGGRRGLAAVAGNPTVFDITKNGAVGNGATDSSKAFLNTWLQVCASPVPATLLVPNGDFLAGPVIFAGPCKSKVTVEVQGTIIAPPSGYPTPEWFLFEHVDNVVLTGPGTFHGKGEAVWKADGCGKKLNCNLPPTSLKFRNILNLDISGISSVNAKAFHMFLVKTTNVNVQNIKIIAPAESPNTDGIHLSNAVNVHIADSLIATGDDCISVGRGSTNVTVERVTCGPGHGLSVGSLGKYPNEENVAGIHFRNCTMKDTDNGLRIKSWGGSSPSTAVDITYEDIMMTNVKNPIIIDQNYGSRGGDSKVAISNVLFKNVRGTTITKDEVQFMCSKSVPCKGVSVVDVELNFVGDKGGHPSSSGGLVGALCTNANAFLNTWLQVCASPVPATLLVPKGDFLAGPVIFAGPCKSKVTVEVQGTIIAPPSGYPTPEWFLFEHVDNVVLTGPGTFHGKGEAVWKADGCGQKANCNLPPTSLKFRNILNLDISGISSVNAKAFHMFLVKTKNVNVQNIKITAPAESPNTDGIHLSNAVNVHIVDSLIATGDDCISVGRGSTNVTVERVTCGPGHGLSVGSLGKYPNEENVAGIHFKNCIMKDTDNGLRIKSWGGSSPSTAVDITFEDITMTNVKNPIIIDQNYGSRGGDSKVAISNVLFKNVRGTTITKDEVQIMCSKSVPCKGVSVVDVELNFVGDKGGHPSASGGLVGALCDNANVIFGGKLSFPLPK >A02p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5565322:5565822:-1 gene:A02p012680.1_BraROA transcript:A02p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFFTCLVLTVCIVASVDAAITCGTVTSSLAPCATYLSSGGEVPPPCCAGVKKLNGMAQTTADRQQACKCLKAAAQGINPSLASSLPGKCSVSIPYPISMSTNCDNVK >A03g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29521203:29523895:1 gene:A03g508830.1_BraROA transcript:A03g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSLAVSMNTNFASFDLSRPFSPLRSAKLSPRSIPRASASISTTNSDSSPSGNAINSEAISVKPVYVPTPPNRELRTPHSGYHFDGTARKFFEGWYFRVSIPEKRESFCFMYSVENPAFRKRLSPLEVGLYGPRFTGVGAQILGANDKYLCQYTEDSHNFWGDRHELVLGNTFSAMPGARSPDKEVPPEEFNRRVSEGFQATPFWHQGHICDDGRTDYAETVKSARWEYSTRPVYGWGDVGTKQKSTAGWPAAFPVFEPHWQICMAGGLSTGWIEWGDERFEFRDAPSYSEKNWGGGFPRKWFWVQCNVFEGAKGEIALTAAGGLRQLPGLTETFENAALVCVHYDGKLYEFVPWNGVVSWEMSPWGYWYMTAENETHMVELEARTNEAGTPLRAPTSEAGLATACKDSCYGELKLQIWERRYDGSKGKVIMEAKSSMAAVEIGGGPWFGTWKGDTSNTPELLKRSLQVPLDLESVFGLVPFFKPPGL >A05p014890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6547485:6552614:-1 gene:A05p014890.1_BraROA transcript:A05p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTQAASSEVPIVKGDAVDLKTVDISVKAVNGEVAKEGKEEEDTTFDGEFIKVEKETFDAKDDTKKAEDIPVQEQKQVSSGSERELHESQEKAKELELELERVAGELKRYESENTHLKDELLSAKDKLEETEKKQGELEAVQKKQQEKIVEAEERYSSQLKSLEDALQSHDAKDKELTEVKEAFDALGIELENSRKKLVELDEGLKRSAEEAQKFEELHKQSASHADSETQKALEFAQLLESTKVSAKEMEEKMASLQQEIKELNNKISENEKVEAALKSSAEALAAVQEELALSKSRLLETEQKVSSTEALIAELTQELEQKKASESRLKEELLVLDDLVVQTKDLQAKLSEQEGINVKLTEELKEKERLESLSKDQEEKLNTANEKLAQVLKEKEALEADVALVTSNAVKVKEVCSELEEKLKTSEDNFTKTDALLSQALSNKSEVEQKLKSLEEAHNETGSVAAAATQKNLELEEAVRSSSQAAEEAKAQIKELETQFTAAEQKNVELQQQLNLLQLKSGEAEQEVKELSEKVSELKSAVEVAEEEKKQATTQIQEYKERASELESSLNQSSSRNSELEEDLRIALQKGAEHEDRANTTHQRSIELESLCQTSQSKHEDAEGRLKDLELLLQTEKNKIQELEEQVSSLEKKCGETEADSKGYLGQVAELQSTVEAFQVKTSSLEAALNIATEKEKELAENLNAVMGEKKILEGTVNEYSAKISESENLLESLRNELSATQGKLESIENDLKSAGLRESEVMEKLKSAEESLEHKRREIDEAMKKSMELEALHQSLSKDSEQRIQKVMEDFTSRDSEANSLTEKVKEFEDRIKSYEEQLVEASGKYSSLKEELDQTLGKLAAAETVNDKLKQEFDQAQERSSQSSSENELLAETNNQLKIKIQELEGLLGSSSTEKEAAIKQVEEAVERFNQKDAEHKDLVEKLKAHENEIQEHKKLAHEASGVADVRKVELEEALSKLKNLESTIEEALTVNDKLKQEFNQAQEKLSQSSSENELLAETNNQLKIKFQELEGLLGSSSTEKEAAMKQVEEAIEKYNQKDAEHKDLVEKLKTHENEIQEHKRLAHEVSGVADTRKVELEEALSKLKNLESTIEELSGKCQGLEKENGDLAEVNLKLNQELATHGSEANELQTKLSALEAEREQTTKDLQASKTASEDLIKQLTSEGEKLQSQISSLTEENNQVNEIFQSTKIELQSVIAKLEEQVTVERSKADTLVSEIEKLKAVAAEKSVLESHVEELEKTLKKFEAQLKEEVENATAASVKVSELTSKLQEGEHIASDRDVLNEQVLQLQKELQAAQSSIAEQKQSHSQKHSELEAALKQSQEEIEAKKKAVTEFESMVKDLEQKVQLADAKAKETEAKDVVIKSRDVDLSFSSPTKRTSKKKSEASPSSSSSSNVTATQTASTSHLMTVKIITGVALISVIIGIILGKKY >A02p036930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21380503:21383016:-1 gene:A02p036930.1_BraROA transcript:A02p036930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYAASRRVLRPELSKFGQNGAASHGLRPIHPISGLPQPYTEEPSIHLSPRKKKTQQENTSHGRTIYQSDGNAMTVNHAEVRDQPKSFASTTFKIRRMISVRTVLFTSGAASHGLRPTQKVSGLPQPYTEEPSIHLSFDPSLGNRPENKLVLPTTLLSEPSQVTAVKIRAITSRSPPLIAQQASSLHLAWSLTNDVATLISRESMHEQLDMTNPRPFLRRKKSFQVVNLKLSYVRRGMSVFPSFPIYLQFWLRLSGTEVSSCTLEFGLWLNGAEVSSCTLEFWRGRLSGAERGRGEFLHVRVLVGVRRLSRSSYPSDRQASTGGDYRNLPYRTRLNGAEVSSCTLESGLWCSGAEVSSCTLCLAGALRV >A01g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22342400:22349644:-1 gene:A01g507890.1_BraROA transcript:A01g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDLQDLKPLPRSFTSFNGTSNELIGTISVAVAPPPLKVPQLEGPPGFPPLFPELSIEEQKMAMLYISHADETERRARIERVRQGIAENARESAMRMAKITTELDKGKGHVYLYPGVTGRSEKERTLRFSEHNSRELEDTGEDGECSDTNSVTFSAPAMISTGFQLGPSSEGRVSGNANSNASKGQRKRPSSWKRKLAPRNSTALVSIDALVDLTLSVRDLINENEGTWNIQRVREVIAEEDVGLVLNTCFDLSSQDVKVWGFSGSGIYNSKSGYKLAETLETFQSPPSPVNSMAVEIPSSVTRDRRFQSYVAKGGPSWLSSFLLSEARSRSI >A09p007600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3960878:3961884:-1 gene:A09p007600.1_BraROA transcript:A09p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prefoldin subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) UniProtKB/Swiss-Prot;Acc:P57742] MASSSSSSARGELEKMGIDQLKALKEQADLEVNLLQDSLNNIRTANARLESAAGALNDLSLRPQGKKMLVPLTASLYVPGTLDEAGKVLVDIGTGYFIEKTMEDGKDYCQRKINLLKSNYEQLFEVLAKKKSVADEAGMVLQSKVRQLQAATTP >A06p040280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21788576:21791618:-1 gene:A06p040280.1_BraROA transcript:A06p040280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g67570, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G67570) UniProtKB/Swiss-Prot;Acc:Q9FJW6] MDASVVRFSQSPARLPPEFEPDMEKIKRRLLKYDVDPTPKILKTLRKKEIQKHNRRTKRQTESETVYTEAQRRTMEEEAHFETLRREYKQFSRTDSGNRSGDGERSLIVGNPWEGIERVRLKELVGSVRREEVSGGKLRKENLKELKKILEQDLRWVLEDDVDDVEDRNDDVGGFDPAKRWRNEGEAVRVLVDRLSSREITEKHWKFVRMMNQSGLQFSEDQMLKIVDRLGRKRSWKQALAVVHWVYSDKKRKHLRSRFVYTKLLSVLGFARRHEEALQIFNHMLGDRQLYPDMAAYHSIAVTLGQAGFLKELLKVIERMRQKPTKLIKTLRQKNWDPVLEPDVVVYNAILNACVPSRQWKAVSWVFIELRKNGLRPNGATYGLAMEVMLESGKYDRVYEFFRKMKSSGEAPKAITYKVLVRALWRENKIEEAVEAVRDMEQKGVIGTGSVYYELACCLCNNGRWREAMLEASSLIVGRMKRLENCKPLEITFTGLIAASLNGGHADDCVAIFEYMKDKCDPNIGTVNTMLRVYGRNDMFIEAKELFEEIVREKESHLVPDEYTYSFMLEASARSLQWEYFEHVYQTMILSGYRIDQTKHVPMLIEASRAGKWSLLEHAFDAILEDGEIPHLLFFTEMLCHAAAKGDYQRAITLINTVALASFQISEEEWSDLFEENQDWITQENLQKLSDYIIDCDYVSEPTVSNLSKSLKSLCGSSSSPTQQPLLAIDVTTQSHSEKKPEEALLLHDTTMEDENDVKGEAWEFTETELETLGLEELEIDDDEESSDSDTLSVYDILKEWEESSEKES >A09p014880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7760406:7761476:1 gene:A09p014880.1_BraROA transcript:A09p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAVLIGINYPGTEGELRGCVNDVKRMHKCLVDRFGFSEENITELIDTDKSKIQPTGKNIRQALSELVGSANPGDVLFVHYSGHGVRLPLETGEDDDTGFDECIVPCDMNNITDDEIREIVDKVPEDCSITIVSDSCHSGGLIDATKEQIGESTKKTGKAVKTEEIEQEERRNGVHVVNRSLSLESMINMLKQESGNDDIEVGSIRRFLLHAFGEDASPKVQETEGLKAATKKQVVRKKDKGILLSGCQTDQTSGDVRTKGQAYGAFSDAIQIILDETKGKKITNKELVLGVKELLEYECYPQQPGLYCSDSNADAPFIC >A02g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21393446:21396098:-1 gene:A02g507710.1_BraROA transcript:A02g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIAKDVSNKLNTTISRGFEDMVGIEAHMENMLSLFHLYHEDEAMIFGICGPAGIGKTTIARALHSRLSSIAERATKLCSNLPLGLRLMGSALRGKKDDECEVILHRLENSLDPKIEGVLRVGYDSLHQNDQSLFLHIAFFFNHINDEHVMAMLADSNLDVRLGLKTLAYKSLIQISTSGYIVMHKLLQQVARQAVQRQEPWKRQIISDAHEIFDILETHSGSRIVTGISFDISTIVDDMHISARAFKRMRNLRFLSVYQRGGRDTNVRLHVPKDMYFPPRLKLLHWEAYPRKCLPTTFSPEYLVELNLEHNELEKLWGGTQLLTNLKKIHLAGSSCLKELPDLSNATNLEWIDLRECSSLVEIPSSLGNCHKLEFLHLLLCKKLQFVPTHFNLASLRFVSMLGCWKMRQMPDFSRNITELAVTDTMLEQVPESVRHWSNLLRFSIYGSVNPRLNLAKTYHEQSGADIEKISDWVKDIHSLKELHIAGCPKLASLPELPDSLRVLTVDNCESLESVSFPFDSQIDELYFPNCFKLDGEARRVITQQSFRTYLAGKEIPAEFDHRAIGNTLTLRSDSYGFRICVLVSPKQYTKIAYLMILCRIRVGRFVLLEEEMGRKFIVILAEHLCIYQPKLLGGEGWLGLDNEISFEFSTTSEDLKIIECGVQILADKTDGSSFGRL >A03g501370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4052165:4052495:-1 gene:A03g501370.1_BraROA transcript:A03g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYDFRSATIGCFTRYLVKQPIVGDDEEDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p011910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5796445:5798532:1 gene:A01p011910.1_BraROA transcript:A01p011910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSLLFNYFPFFIIKMDSSALFFTFSAAIIILYFLRCLISQRHRVSSKPPLPPGTMGWPYVGETFQLYSRDPNVFFASKQKRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHTKLRKLVLRAFMPESIRDMVPDIESIAQDSLRSWVGTKINTYQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPVNLPGTLFHKAMKARKELSQILARILSERRDERSSHNDLLGSFMGDKEELTDEQIADNIIGVIFAARDTTASVMTWILKYLAENPNVLEAVTEEQMVISKSKEEGESLTWGDTKKMPVTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSADIFSNPGKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEISIMIHHLTTKYRWSIVGASDGIQYGPFALPQNGLPIMLARK >A09g519190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59036915:59038655:1 gene:A09g519190.1_BraROA transcript:A09g519190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDGEEEEDSDGSYEGDDEEGDDMDEDEMKEKGEQQTKKAAASFLDPPEAQGLAHFLEHMLFMGSNSCVNESMTATRNEAVNISRIFKNSLTTEPLPVKSRHREQITCFPLSAKLVRDVNVKNKSETNSVVELYYQIEPEEAQSTKMKAMLDLFHEIIEEPLFNQLRTKEQLGYVVECGPRFTYRVHGFCFCVQSSKYGPVHLFGRIDNFIKDIEGLLIRLNNSMKSPLEIIEAVHVCLLPQRSRRAKKHREKKDVISWYKTYFRESSPKCRRLAVREWRCDTNMKETQTDLKSVQQVIADAVAFKSTSQFYPSLCY >A09p046340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40444574:40448625:-1 gene:A09p046340.1_BraROA transcript:A09p046340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLRLPQTHLRKLSSSMSVLMGSKQFLEFCLLPSFAASSPCCTRGAQRQLSSVSRRFRPVLASRPVSKSSPYHQRPNGVSPYTSISRAPSPVDPEDGAVQSRLANLRLKLADNGIDAQNCPSGQYSGLICPECEGGDSGEKSLSLFIAADCSSATWNCFRGKCGLKGGVRVDGRFTTSVVPVEKVERKLTVDSLELEPLCDEIEDYFAARMISAETLKRNRVMQKRIGDEIVIAFTYWQRGELVSVKYRYLTKKFFQEKNTRRIFYGLDDIEKTSEIIIVEGEIDKLAMEEAGFRNCVSVPDGAPASVSSKETPPEEKDTKYKFLWNCNDYLKKASRIVIATDGDGAGQALAEEVARRLGKERCWRVKWPKKSDDEHYKDANEVLMSMGPHSLSEAVRSAEPYPIQGLFSFKDFFDEIDAYYHRTHGHEYGVSSGWKTLDNFYSVVPGELTVVTGVPNSGKSEWIDALLCNLNHSVGWKFALCSMENKVRDHGRKLLEKHVKKPFFDADYGRSVPRMSVEELDEGKLWLDDTFTLIRCELDSLPSIGWVLERAKAAVLRHGIRGLVIDPYNELDHQRTQRQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPKQLQQWDGGPPNLYDISGSAHFINKCDNGIVIHRNRDEKAGPLDLVQICVRKVRNKVAGQIGDAYLCYDRATGLFSDSPITPEKPERRSSRRQ >A10p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18833134:18836651:-1 gene:A10p031570.1_BraROA transcript:A10p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQRKKPPSPPPEDLPDDDDDPQKKSHHHKKSNGGADKAKWSCVDSCCWFIGCVCVTWWFLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLKKEGLKAMHPVVFIPGIVTGGLELWEGKQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPAGIRTRAVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVSTNGGKKAVIVPHSMGVLYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEAKDVAVARAIAPGFLDTDIFRLQTLQHVMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEQGYTCSGKKQKSNETRGEESESLVTKTKPVNYGRIISFGKDVAEAHPSEIKNIDFRGAVKGQSIPNNTCRDVWTEYHDMGIGGIKAIAEYKVYTADAVIDLLHYVAPKMMARGSAHFSYGIADDLDDPKYDHPRHWSNPLETKLPNAPEMEIYSLYGVGIPTERSYIYKLNQSPDSCIPFQIYTSAHEEDEDSCLKAGVYNVDGDETVPVLSAGFMCAKAWRGKTRFNPSGIKTYVREYNHSPPANLLEGRGTQSGAHVDIMGNFALIEDIMRVATGGNGSDLGDDQVHSGIFEWSERIDLKL >A04p027700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16700611:16713537:-1 gene:A04p027700.1_BraROA transcript:A04p027700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPTKANNIFLGVPFIWGFMLMNVGLGKNPTSEIKIGIVLDLQTPFSKICLTSINMSLSDFYENHSNYTTRIAIHVRDSLEDAVQASAAALDLIKNEKVSAIIGPRSSLQAEFMIRLANKSQVPVITFSATSPLLTSTKTPYFVRATLNDLLQVRAIAAIVKSFEWRSVVAIYVDNEFGEGIMPYLADALQDVHTCVTIRSVISLEASNDQITNQLYKLMTMQTRVFVAHMPPNLGFRVIQKARDIGMMGEGYVWIFTDGMTNWIGSTEHGSNLENMQGVLGLRSRIPNSKELGNFSLRWEKIFGQANAKPNVFALRAYDSITALAVAVEKTNTKNLRYDNLISAFLNNTTDLGTLGVSRYGPSLLKSLSDVDFNGLAGKFKLVNMELEPSTFDIINFIGKEERIVGSWTQSNGLVNENPTSERLGPVIWPGKSTVIPRGWEIPTDGKKVFKVGVPLKRGFLGFVDVKQDPRKATIPTGYSIEVFEAALKRLPYSVIHRYVTFDTPNHSYDTLVEQVHNGMFDAAVGDITIRANRFVSVDFTLPYTESGVFMLVPMKDNENNTWFFLLPWSLELWVTTACFFIFIGFIVWILEHRVNTDFRGPPHHQIGTSFWFSFSTINFAHREKVVSNLARFVVIVWCFVVLVLTQSYTANLTSFLTVQRLKPEVTTVNELIENKEIVGYQNGSFVLKFLINKGFQGYQLKPYNSAEECHNLLINGTSKGGIAAAFDEVAYLKVIISQYCNKYAIVEPSFKSSGFGFVFPKNSPLTDDVSRAILEVIENDEMQQIENKWFSKKSNCSDPTFIPSHNRLSVNSFWGLFLIEHTLYEDSEISFWRKLTILVRSFDEKDIKSHMFKDSAVHNVSSPSTQCTPRSSTMQNIPWPQNPSQNMEFELRRVSPVPSEEFSTPQLEQDEDEEANTLREVE >SC271g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000118.1:9890:12287:-1 gene:SC271g500020.1_BraROA transcript:SC271g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDERRLLAPFPQLAPYPYKMLSIFLPSISLQRSCLVVVRSLYGLYHPYTRPNYAPHLSLLFSLFIPPLDCRTMAISFAQALHFMLYKLHLFFSSTSDGTGGAGTSKGKEIDGREAGDGKSLVAYTGAPSNRGNNDQEYLRRSDLDAFMKLLKENGNMFGYSFGARVVENYKNLTRTDRMHESLIEMGGMKQELKTVKRQVEKRVLVLMIKLWNQMLNKKKLKRVS >A05g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14106737:14108175:1 gene:A05g505060.1_BraROA transcript:A05g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHEETGGPKTLELKANGDPVGLSADVGIVVLLEDGELVGLSADVGIVVLPVQNSLKLTFKLVTILMSHFLIEDQQEVGELKEDLSDQSEKAVTPHCSYQPDARIIQSGTFLAKQSHDGGKLWSCKVPLHVEPSREQCKGWLREGMAWRIQKSFVSTPKWRQEARRKGETSSGHKKKLKGDLTVKQLAPIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLSSKCTKISLSLTEDDDDDPVVS >A09g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24293918:24298883:-1 gene:A09g508730.1_BraROA transcript:A09g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFREKKVTEEDIRRMFHQVREKMKHMITFTKKNDPGKFAIPCVAKGVEFPHSMCDTGASVSILPRIMADQLGLTIEPSTESFTFVVLSEKRSGGIIRDLEVQIGIALVHVDFHVLDIELNWNSSLLLGISFLATVGAVCDMNKNKLCLTLIDPNIHYDPIRPKRKYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPNHCYQHFTFQPLSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEFYEDYWKERTIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTRKATSIDIRAAAKIQEQENIPSPNRFIDTYINRFSPLKPPTHIRVNTQAKKMNTLSSTSTEKSMKSNHLKNKSSAEITLPSIDVTVSTSIDTTLSPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNAHAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHGGVATTEINPDLSRQPKGQASIDGTTETSIDMVTPTSIDRDNSTSIDRRYECGNRAFDMYGDRKFIWEQRDEYGVYSDECGYARGVAGEMIPVTKENIRKLLERASLFEESHICLPEHATSYTLTRLAPELYTKDKIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAETRTEIKSLRQQLEKEATTSASIDDEWEVSYIDTRINDVYCPPNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERMRTYEDMHDRFISPVMIDLNRLSSQLLHAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYIAAEVDKMTSKIHNALDTMEERLDKRCDDIYFPFDNKISGLDNHTEWLQKEVKAIQRQLAAQHQISASIDRTLAKSIDGNSPRSTNEHIIASIDAESTPISEQLIHKTVESMQKELTDLSAYAYDNISWHQVSIDNVQERLQNISNVLEKMDDKWTRNYEAITNSTKDAKADQPINYTLALNRHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQVPSIDVETPDATFWIQQT >A03p030780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12838462:12838719:-1 gene:A03p030780.1_BraROA transcript:A03p030780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSSINSTASTASNLSTASLEKLDQAASWFGATVISAFFASLERCSCVNLSTFDDDDDDEDDNEESNSRPLALSAAPQPDDIV >A08g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6598360:6603618:1 gene:A08g503980.1_BraROA transcript:A08g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQAKATPSLTRVVQSGSSSGSERDCEDDDDFVDDDTERKKCINPVRVRDIDSASKTHVVSIISDGVELDNVEEETHIPGDEEDILVANLEKCIHDGFSFRKSHFLGGATLADVIQMGEEAAKENNTRKKNKRSVNANSADAADPDYVVSILKSSLSADLCRMEEEIKNLGQMFTKSQSQMRSYIQDMFDTFQRNISNMILTPSSGRHADPPHAHQAETTFSREKTTTEPNPGAPPNVPVATASHKRNKSTGRPGHFDPCGSIQDAIHFADHVAPLSRDVNMGDASLNEEGSPEKCNDGNNDLNPREEQVHPAYSSADGEAREVEEEDPEDAVDMINSPPLTQPAPLDLTEHANITTAGTGGSHAESAKVTSSTSSDSNPPTPNVIPQPSSCLDNAQSNLAFPKPTFSLGLTQEERYLSKTDLVDADESLEEGASISLNDDQEPFPANRKSKRQKVVPRSLVGDYQCDKRFLTRAWEAHVNAIHRGPVIDYAAKAGALAEKLQKEFVIDVSGQSLDSSDLSAILARSSHLTAKVMDVLIHHTRSLIEALSEERQPSSVVLLDTRTDGMINKEIRPISEMFPFLLRRAARQVFSKNPKALTIERPRIVPQNHTHFDSGFTSILLIQAHAVAGLDLCKCITPDVLDVEAQKTAVIVYEENVGVIGIHYCCVVTFEDLFSRSTSTTVTVVSTFQAAGMVVVVDGRSRGAYRNSAVAVKKQLSRLPGQQRTPGGSSTVVPTDLKASTIDIV >A02p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3005263:3009708:-1 gene:A02p007120.1_BraROA transcript:A02p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPNPNLMDLPFSKNTLLAPPPAPALEINRTALLGDPSVLNPHGDTIKEYLKSLRIDDDSLTVNTSDTLSDDINEYIDYTDLGEIGNENVGESGILQANDHVFAENTMNFETGGASAMPVPVITPTPTISQGLFLCTYCNLLRQLVHANGQEMMRLDLFGGIGYFCHAVIETRRFDGSNELRYQMNLKMEDVKKFIEGYCAARAAEGFVIMQDTNADFYQAMNACTTSSQLLMSTLPPRVDIPMSLAVPNESLNVASVPPAVKGKPRRQTNLSAQRKRTRSLTVKDMSELMQIHPQHELWDLPIEEAARKLRICTTAVKKICRRGEVFRWPRRKLASLREKVAALKIVVSQSTDAGVRARAEVKIENFEKQIDAIYSKAR >A01p059280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33728377:33729092:1 gene:A01p059280.1_BraROA transcript:A01p059280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKMRSIFAQVHRKSVSMGSRRNFSSSAGDRKASSESNVAGFEMANEFSFVDSVKLGLFAWGSLGMYKLYKYYYPKLLICQEEYKILVQRQHELYAQIKMDNNSRKPHFEEMFYAVNIEQNNE >A05g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26735954:26741134:-1 gene:A05g508960.1_BraROA transcript:A05g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQDNAISYGSMLVLSLLLLLPLLAKGCDMFTGQWVKDPSYPLYDPSTCPFIRREFGCKKNGRPDLDYPTFRWQPQGCKLAWFNGVDFLQKNKGKKIMFVGDSLSLNQWQSLTCMLHSSVPKSPYTMTTEGTISTFTFQEYGVEIKFDRNPYLVDIVSEKIGSVMKLDSINDGKNWLGMDTLIFNTALVEPWDYIQIGSNFTKDMDRMAAFEIALGTWGKWVDTVVDTSKTRVFFQGISPSHYNGSLWGEPAAHSCAGQTEPLWGTSYPGGLPPEVGVLKRALGKITKPVTLLDITMLSLLRKDGHPSIYGIGGRTGNDCSHWCLSGDVVMSSDLSTINQRHHKPHQGHTHAFFT >A06g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26795249:26802093:-1 gene:A06g509300.1_BraROA transcript:A06g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVVFHCRNWLGFWRLEGDFEIFPTHYKKDESYRSIGLALGEVDKVDVDNGRVRVKINADEPLQFERKAGYSNGDVITVSLKYEELHRYCYTCKRISHEEGTCPELSTNQREVNRIARLEQKEKEELAAKEAFSAPARGFESQVRFDSQMRNPHNLDRARKLADQQVRRNERNGTEYNKKTEYEDLRARISSRRDYQAKTVWNRLEGNSVGKIPRDRERYHPYQKELPPDTRAFKRTYESHKHGRYGDSTSSSSWRVKGSSPQNRYRDQEESPERRRNDAPLRTYRSPDSQRTISEPYNAHRKRRIEGHNRRTAEREPEQERERETEEARRWRLKGKAIRENSGEKEDNQRQDRIANGTLIINEPTTLDIPENQVHVEMNKMITGPQRDDRAAHTSSGTATSNPVEGRETHTSGREMNKMGQAGHPSPPKETWLLSEEEINQITEQYASVDFEMDEEMLNEDDLLDETDDDLVVPETQEVVVKDNPAQKNTDDSGARGMGKETEKVKKIQKDSVPPISINKRRGTRSPDRKGTAASKKLAVRGRASPKGKLVKHGRPNSSRAPGMIPVHHLIDFDRKVWNVDLVHEFIAVADVPKVLDIRLSKTGRRDTYKLRKLYCPRRTQCKQTKSKGRYTNGDVRWMHPGKNMKKEQVSALSY >A08p033270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20020031:20021848:-1 gene:A08p033270.1_BraROA transcript:A08p033270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVARRYFQIMLLCLLISSPLDVIAQGGQGDIPVVNPTSPGGDTTTPTITQPSPPSSTFPGPVTNPNPPTGGYPPLDGTTPTGGGYPPLDGTTPTGGGGYPPLDGTTPTGGGAPGGGGGDTGTGAGGGGGGAPGGGGGGGDTGGGGGGGGGSGQWCIAKANASPTSLQVALDYACGYGGADCGQIQQGASCYEPNTIRDHASFAFNSYYQKHPGSDSCNFGGAAQLTSTDPSKGSCRFSASSGTVSTSPPSQPSPPDFNSPPSTSTFPPPITTPTTGMPGSGPPFGVAEPTGLPSSATHASHSFFISLFTAVGILVPLLRQYYV >A02p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25737941:25738803:1 gene:A02p040750.1_BraROA transcript:A02p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDESLINPPPVKKKRNLPENPDPEAEVIALSPKTLMATNRSYARYVATVSKETKTYSYIQLHRRGHNLPSKLKQRTSKEVRKRVYVCPEQTCVHHHSSRALGDLTGIKKHFCRKHSEKKWKCEKCAKRYAVLSDYKAHSKTCGTREYRCDCGTIFSR >A06p039490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21268168:21281085:-1 gene:A06p039490.1_BraROA transcript:A06p039490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGREEDESESRVPLLESPRTMEENGGGLRKKLWVETKKLWQIVAPAMFTRITTYSTLVITQAFAGHLGDLELAAISIVYNVVVGFNFGLFLGMASALETLCGQAFGAKKYHMLGVYMQRSWIVLFVCCMLLLPTYLFTTPVLKWLGQPDDIAELSGVVSVWVIPLHFAFCLSFPLQRFLQCQLKSHVPAFGAGVGLVVHFLVCWLFVDGLKLGAVGTMATHTPFAVAVHSLGPASPPKLSPDFGSLSNSPLLQALENWYYRILIIMTGNLQNARIAVDSLSICMTINGWENMIPLAFFAATGVRVANELGAGNGKGARLATIVSVTQSLIIGLSFWVIIMLFHNQIALIFSSSEAVLMAVNKLSILLAFTILLNSVQPVLSGVAVGSGWQSYVAYINLGCYYCIGIPLGFLMGWVFNFGVMGIWAGMIFGGTAVQTIILSFITMRCDWEKEAQRASAHTDVVYQVRVSPKKRRLAMRGRGGEEESESRIPLLKSLNTAEEDGGELKKRVWVETKKLWTIVGPAIFSRLTTNSILVITQAFAGHLGDVELAAISIVINVILGFNFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFVCSVFLLPIYLFTTPVLKFLGQPDDIAELCGVVALWAIPLHFSFCLALPLQRFLQCQLRNKVTAYSAAVALVAHVLMCWLFVYGLQLGVAGTMATVGISWWISVLILLAYSVCGGCPLTWTGLSFEAFTGLWEFLKLSASSGILVIMTGTLQNPRIAVDSLSICMTINGWEMMIPLSFFAGTGVRVANELGAGNGKGAKFATIVSVTQSLIIGLFFWVIIMLFHNQIAHVFSSSEAVLTAVNKLTILLALTVLLNSVQPVLSGVAVGSGWQSYVAYINLGCYYCIGVPLGVFGLV >A05p011200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4766147:4767724:1 gene:A05p011200.1_BraROA transcript:A05p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRETEMMSEREVETSTAQARQNGGAGGGGGGENHPFSSLGRQSSIYSLTLDEFQHALCENGKNFGSMNMDEFLVSIWNAEENNNNNHQASAAASHPVPPSHNGFNNNNNGGTESGVFSGGGGSSGNQGVNKKPGIAKQPSLPRQGSLTLPAPLCRKTVEEVWSEIHRGGGSGDGDNSNGRSTSSSNGQNNANNGGGESAARQPTFGEMTLEDFLVKAGVVREHPTNPKPMLNPTPTSVIPASTQQQQLYGVFPGGGDPSFPVGVGSDPSGYGKRAGGGGYQQAPPVQSGVCYGGGGGFGAGGQQMGMVGPLSPVSSDGLGHGQVDNIGGQYGVDMGGLRGRKRVVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLKHALGELERKRKQQYFESLKTRAQPKVPKVSGRLRTLMRNPSCPL >A02p057330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34479098:34481907:1 gene:A02p057330.1_BraROA transcript:A02p057330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGFTSGLFRWNPTRAMVQQPPPPVPPPPQQQPPATPQTAAFGMRLGGLEGLFGPYGVRFYTAAKIAELGFTASTLVGMKDEELEDMMNSLSHIFRWELLVGERYGVKAAVRAERRRLLEEEEEGSSRRRHLILSAAGDSGTHHALDALSQEGLSEEPVHQLEHTDAAGNNGGGGGYWDAGQAKMKKPQQRRRKKQMVTSVETDDDMNEGDDDDDGNGGGGGGVLGIERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQTIAKDRGEKCPTKVTNQVFRYATKSGASYINKPKMRHYVHCYALHCLDEEASNALRRAFKERGENVGSWRQACYKPLVNIACRHGWDIDAVFNAHPRLSIWYVPTKLRQLCHLERSNAVAAASALVGNGISCTGSSASGESAGKGENGALDTLK >A09g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18421515:18422983:-1 gene:A09g506080.1_BraROA transcript:A09g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSWFNLMFSKGELEYRGELSKAMDSFAPIEKTTISKDRFIYDMDKNFYGWGERSSYYNNVDLLVNSKDIRNFISDDTFFKKFEINNDLSDLEIFFYSYCSSSYLNNRSKGDNDLHYDPYIKDTKYNCNNHINSCIDSYFRSHICINSHFLSDSNNSNESYIYNFICSESGSGKIRESKNDKIRTNSNRNNLMSSKAFDITKNYNQLWIQCDNCYGLMYKKVEMNVCEECGHYLKMTSSERIELSIDPGTWNPMDEDMVSADPIKFHSREEPYKKRIASAQKKTGLTDAIQTGTGQLNGIPVALGVMDFQFMGGSMGSVVGEKITRLIEYATNQCLPLILVCSSGGARMQEGSLSLMQMAKISSVLCDYQSSKKLFYISILTSPTTGGVTASFGMLGDIIIAEPYAYIAFAGKRVIEQTLKKAVPEGSQAAESLLRKGLLDAIVPRNPLKGVVSELFQLHAFFPLNKNEIK >A10g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21891435:21893198:-1 gene:A10g507120.1_BraROA transcript:A10g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTTSNVENTRVAFMPLQWLESNSSNSLQNFSYDPYAVLPGNSSTPVLTQTGPVISVPESSEKITNACQYPSNDDEMIKKKQKLTTEQLASLEQSFQEDIKLDSDRKVKLSKELRLQPRQVAVWFQNRRARWRVKHLEESYNSLRKEYDVVSRQNQMLHDEVMNLRGVILKDHLMKRQMNLNNNQIAGGSQIYGTADQYNNPMCVASTCWPPLSSQQPYPW >A06p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11874576:11876965:-1 gene:A06p023060.1_BraROA transcript:A06p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGEVTAMDCVYKNPNEGVEDRIQDILQRMTVEEKIGQMTQIHRAVSSAAIIKDFFIGSVCNSAGKSGNKDALSEDWAEMIDGFQTAALETRLAIPIIYGLDAVHGNNKFYGTTIFSHNIGLGATRDYDLARIIGSATALEVRASGAHWVFAPCVAVCKDPRWGRCLESYSEDTDVVCNMTSIVSGLQGPPPEGHPAGYPFLAGRNNVVACAKHFVGDGGTEMGKNEGDTIASYEDLERIHMAPYLNCLAVSAVMPSYSRWNGRKLHGDRFLMTEILKDKFGFKGCLVSDWSGIEKMGEPRGSNYRECVEAAINTGIDMVMVPYRYEKFINELTSLVKDGKILMSRIDDAVERILRLKFTAGLFEHPFSDISLLKFVGCKEHREIAREAVRKSLVLLKNGKDSDKPFLPLDRNAKRVLVAGTHADNLGFQCGGWSKTWQGQSGRITVGKLQETNSASTEHNCLGCRQSNRRSRNKSGLREDSFGGDFSNEDFSYAIVAVGEAPYAESRGDDPEPSIHFDGDSIVRLVAEKIPTVAILMTGRPLVLDMTMLEKVEALVAAWLPGTEGDGIADSVFGDYDFTGKLPMSWFRETEQLPMNPEADSYDPLFPLGFGLKCKRE >A01p052710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29550445:29559562:-1 gene:A01p052710.1_BraROA transcript:A01p052710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRQQNEQQLVTCNQTPIACCRLRDRGLLRFCILHRLYKRLRMAQGQNRNVPQLGQMQNPVAVSAPGVSSQPNGVQQDGLRVRQEMLNKIFGWLQQRQPTKTDDASKAKLFEVAKRLENAMLKTATSKEEYLDFQSFEVRIKSILHQMFGGQQRPNPSSSAGMMTQTPGVSHGWGQTHTTTPMVNTSTFNSNNNLADAAAETGRVLPTNRINGGPQMINGRQQLPANTGQMMPTPGFNNSTNADLYQSHRNGETSRDGGKLPAVGSEFVNQSQLLRQRPTGSDDRMQQQYSLDQQLGGGFRSNMHQNASGMINIPQNVGVGMSGNNAHLANNGHMGSQGVLSSTNFSTSSQPLQQPVDQLQQVSHVHRYSMSNSGTFGSGNLYGSSGSMEASVDMNTMGLNPMRRVDVSFGSNQTTLQAVDKTPLIKHQLPQQFENGNLAQVSHQPVDNQFNQPAHHGQYQQQENLMNNDAYRQPQRVSNIVSQVKHEPRADYYNEAFQMQAVNQVEPSKPQQQYTQNAVKEDYVGAQSSSQLNISSSFQQQTHQIPQWKDVNNISGGVQQVSSMGQWHSPSQNLTQVSKEFNGEREQFGVNSSQILSIKDETTQTIVPRSTLEAPHLPEGSNTLSKQVNGDSDPSYINQRRWLLFLLHVRKCNAAEGNCESKYCFTAKTLLNHIDYCKAPACAYQYCLQTRKLIHHNNHCEDEACPVCVYVKNFKKKQKEKLALLRKAEHRRKEAFESMRVANERDSEAPSVVVDDDLQPSPKRLKVEKPSQFAYPEPHKKSVGMGKTHLSKGLQEKYSLQSDVSRSDVPMNAESSDPLRRRLPVSRELEKPVGGRGGDSSLDGETVCVPKQEKSKCMKEVSAAPKEEKVEQSADVLAASSSGKSKIKGVSLIELFTPEQVEEHIRGLRQWVGQSKTKAEKNKAMGLTMSENSCQLCAVERLAFEPTPIYCTPCGARIKRNAMHYTVVSGESRHYVCIPCYNEARTNTVSVDGTPVPKSRFEKKKNDEEVEEPWVQCDKCQAWQHQICALFNGRRNHGQAEYTCPNCYIQEVERGERKPVSPSVILGAKSLPASTLSNHLEQRLFKKLKQERQERARLQGKSYDEVPGADSLVIRVVASIDKMLEVKPRFLDIFREENYSSEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGTDSASPNQRRVYLSYLDSVKYFRPDVRTVSGEALRTFVYHEILIGYLDYCKKRGFSSCYIWACPPLKGEDYILYCHPEIQKTPKTDKLREWYLAMLRKASKEKVVVECTNFYDHFFVQSGECRAKVTAARLPYFDGDYWPGAAEDLIDQMSQEEDGKKSNRKVMSKKVISKRALKAVGQLDLSVNASKDLLLMHKLGEIILPMKEDFIMVHLQHCCKHCCTLMVSGYRWVCNQCKNFQICDKCHEVEKNRVDKEKHPVNQREKHALYPIAIEDVPTEIIDSDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMILYHLHNPTVPAFATACAICQQELEPAQGWRCEVCPDYEVCSVCYSKGINHPHSLISRPAATDSVVPNTKTNQTALLRELLLHMLACCTTQCQYPRCRAIKLLVRHGLVCKTKGKGCIHCKKMWAIFRMHARNCRDSQCRVPKCRDLRAHDSRKQQQADSRRRAAVKEMVRQRAADASTSTSD >A03p032040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13569258:13572933:-1 gene:A03p032040.1_BraROA transcript:A03p032040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLLALILLSISNLALRSALNQIFFTLAASAKTCASRTSAQVWGGLQLLPSLSLPSISLASIIWRLRRVSEVSSFLQVGDVLYVLEFDTMVRFSCFYPHRPKKSEEGVIRKDGSKSKGLSSIIFGRNIPSVSENSKPSGSTGVERVWKSEEIKPSGVLEHDAGTHEARHLKKSQSHGDELYLDVREAADNGTDQITSPPDSLELGGSSSKRVEESPNLIQKDPPGSVSAYQEGSEQALYGSIFSVGDHHHADEDSRQLDETSLLSGEEHMDNSNSQTPYGSPLLVRSNSMPNIADSASEKSSPSHHSRSSDDLHVLDTQQTDKLKQEQDHDRDYDDDGYDYSSMAKDWIVPPTDELKLTNFLEGETSNKAAEFPGKDSKFKRIEDWVNDLQHVDFLEDADEINDDELPREPEPTTASANKVDVMKSSPGMEAAKKYISSMSASATTVQLVSYGLVEIPFLSAYAGLRVLNLSGNAIVRITPGALPRGLHALNLSKNSISVIEGLRELTRLRVLDLRYNKILRIGHGLASCSSLKELYLAGNKISEIEGLHRLLKLTVLDLRFNKFSTTKSLGLLAANYSSLQAISLEGNPAQKNVGDEQLRKYLLGLLPHLVYYNRQGAKDARLGTGTLQLERGLRSSEMKNSSRKSSLGASNTHKGGSSTARKGSGLQKRSKERSSRLPPVGHRVSPAAYENYHVAAGDRLSTLRSELSMRRSRSEGTLGPV >A05p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4159948:4161107:-1 gene:A05p009940.1_BraROA transcript:A05p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIQAYLDSSNWQQAPPSNYNQGGAGASATGGHDLPPQLQPQSHPLSNGSGGSGSIRPGSMVDRARQANVAMPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNRRTKSSSNNNSSTATSNNTSFSSAASGNASTISAILSSNYGGTHESILSQILSPGRLMNPGYNHHLGDLTDNTKTDNNMSLLNYGGLSQDLRSIHMGNPGGSLMSCVDEWRTASHHQQPQILGGGNLEDSNPNPSSNGFYPFESPRITSASISSALASQFSSVKVEDNNPYKWVNVNGNCSSWTDLSTFGSSR >A03p042970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17929294:17933538:1 gene:A03p042970.1_BraROA transcript:A03p042970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDLPQDLIEETLSRVPVKSLRRLRSTCKRWYHQALFKDPRFIKKHFDKTARQYHALMVMSSWVCPVSSVLDRSVLTTETDLVLGGRISLTVPNSDGALVDVSNAFHCDGILLCTNHEANMLVAWNPFSGQTRWLQPHTRNYFGIYALGYDKNELFRNYKILRVPCRKGKLSVWEKLGATPESDDLKPEIYEFRTNSWRSLDAIITPQAFINTCGVSLKGSTYWVSSGNGYSLLRFDFSTERFQPLCGPIGHDDHFGTMTLSVVREERISLFYQSRETRKVEIWMTDEIETTFVSWSKFLTIDVDPFFSNSMSFFIIDEEKKVFLCCDKRGQSFLLVAVGSDSSAVRVEMDENSNDFSFNMYNPRLKIDKLLFLADRGVNRTESLSAACRLIKLGDSPNLLRRVRSKYKMESDADDSLWLRRADERFSRAKSTYESSCFSQETINLEGKRKLYSKLGKLHYYSGDLGKAFENHLQELAHCTMVNQRIDSNMKLILVSLKRGKLDDVLKWVNEIRTQYDPLESIVAAKVACAHALSHMGLGEYKTAALEFLDVDCVALGDTFYQVILPRDVATYGGLCALATYDRSEIQQRVFENDHTWRKTLLLDMYVYQHVEWLLGQIREKALLEYAQPFASLRMCAMASQFRSSVPDLEEELMALIKKNKLEACIDSQTKVLYKNAHFQPNK >A08p029470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18252060:18253860:-1 gene:A08p029470.1_BraROA transcript:A08p029470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGVASEKTKKLFKLSLSLFRLGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNILAANEIIELFCELIVSRLAIITKQKECPVDLKEGIASLIFAAPRCSEIPELEDLKDIFEKKYGRDFVSAATELRPTCGVNRMLVVKLSVTRPEGEFKLKVMKEIAKEFQVDWDTKETELELLKPQEETIDGPRAFVSASSLPVERASQDPIDPSINTHYHDTESAAEAAAELAKQAVEAAHVAALLANRRDSTKEDHYHLGSTRHSLDSETSSYYAKPYVRRHSCNNPFVNNEADHKEESEAKEAVRRRHSYNSPPLPPPATSEIKFDESDYEEETEPEEGLLQSRASSLPPNRAPPQAPQSRRDSSGHQVHPKLPDYDTLAARFEAIRHSKGPLI >A02p045870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28708863:28709700:1 gene:A02p045870.1_BraROA transcript:A02p045870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSIWTPVLISLSPMTGDSAKVARRRVILATSVGSPQPLLEANIKEPQKLQVLDSKDVSRRNTLMYLTAGVLGGVNLLHGEAAEARVGRKENRRKALEKLRGKAKESEPKSGDEKKKELDTEIFPLLPPPLQPQALGPLVEANLLP >A06p038270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20626831:20629202:1 gene:A06p038270.1_BraROA transcript:A06p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINLSSSSPSTISLSRFRLSQSSSTPIHRVTLPSNHQPSFSIKTTGKVKASVISREDDLLSYTNGNAPIQDPLQADSVSLGTLASSDSANAPPNGFVAEDDDYELDLPTPGFSSIPEAIQDIRQGKLVVVVDDEDRENEGDLVMAAQLATPEAMAFIVKHGTGIVCVSMKEDDLERLNLPLMVNQKENEEKLCTAFTVTVDAKHGTTTGVSARDRATTILSLASRDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTVLAGLDPVGVLCEIVDDDGSMARLPKLRQFAAENNLKVVSIADLIRYRRKRDKLVERSSAARIPTMWGPFTAYCYKSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLSLSMQQIESAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYVGLKGYGLAIVGRVPLLSLITKENKRYLETKRTKMGHMYGLKFNGDVVEKTDDAETT >A05p047020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27811250:27814243:1 gene:A05p047020.1_BraROA transcript:A05p047020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic endochitinase B [Source:Projected from Arabidopsis thaliana (AT3G12500) UniProtKB/Swiss-Prot;Acc:P19171] MKTCLLLFLIFSLLLSFSSAEQCGRQAGGALCPNGLCCSEFGWCGNTEPYCKQPGCQSQCGGTPPGPTGDLSGIISRSQFDDMLKHRNDNACPARGFYTYDAFINAAKSFPGFGTTGDTATRKKEIAAFFGQTSHETTGGWATAPDGPYSWGYCFKQEQNPSSNYCSPSAEWPCASGKSYYGRGPMQLSWNYNYGQCGRAIGSDLLNNPDLVSNDPVIAFKAAIWFWMTPQSPKPSCHAVIVGQWQPSDADRAAGRVPGYGVITNIINGGLECGRGQDARVADRIGFYQRYCNILGVNPGGNLDCYNQRSFASMMQSRFSIFLFIFVSSVIASDMALLGGVRDVPAYQNSDEVESLARFAVDEHNKKENVLLEFARVVKAKEQVVAGTMHHLTLEIVEAGKKKLYEAKVWVKPWLNFKELQEFKPASDGAPSITPSDLGCKKGEHESGWREVPGDDPEVQHVADHAVKTIQQRSNSLFPYELQEVVHANAEVTGEAAKYNMVLKLKRGDKEEKFKVEVHKNHQGVLHLNHMEQHHD >A09g513850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41965355:41968231:1 gene:A09g513850.1_BraROA transcript:A09g513850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLTKQEFVFVSKHHSRILSGARRGGILNSRAGGECRRSYRRLLYMLRGVSCMLHLWFSILEAMIRALNRFELSINQLNHTGTNPIPPFPGKVIAKRDLLCNGPLYWTLFNPKRIDSDMDESYLHVVPVRRKGNRLPKNKGIALGDLDFPSDDFTLPGRDPVFALGDGSGTSEIPLPDCGFDDFFADLHLSVDVPPAVDEPSRTQVVAKDSRMINEGMQVFKSALEMSYREAWLYRFMSEQAEKPLTRLQTENSKRDFMLPKILWGTSVNVGAPSVPCVKTQDAEYSFHSELEEMMGVIIDYAHAHSMVPSLEGIIQRLWDPIHVSPYTKEAEYDIVGEDGEVDQTVKTFGASMSGDYELDP >A08g504370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7126983:7127228:-1 gene:A08g504370.1_BraROA transcript:A08g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCWTYVSLSKMPRSDRRIDMVPALVGRVSLSRFRQGMEWIDGRHKEQWIGSLICHTAALNVSHN >A05g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24228538:24230322:-1 gene:A05g508330.1_BraROA transcript:A05g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RDL3 [Source:Projected from Arabidopsis thaliana (AT3G43960) UniProtKB/Swiss-Prot;Acc:Q9LXW3] MMAPTMKSISMIPLALHYLSSTTKTSHAINPSRNSCRDLKKQKEKTMVKTISLVILSVLLLSSSLGSATATETKQSQEEVQRMYEQWLMENRKNYNALGEKERRFNIFKDNLKLIEAHNSVPDRTYELGLTRFADLTDDEFRAIHLRGKMEVTSDPVIGDRYLYKEGDVLPDKVDWRDEGAVAPVKDQGDCGGCWAFSATGAVEGLNKIKTGELVSLSEQELMDCDREGDSGNFGCLGGSAANAFEFIIENGGIVTDKVYPYTENDTAACKAIEMVTTRYVTIDSYEDAPHNDEMSLKKAVAHQPISVMVEAENMKLYKSGVFTGPCDHWYGNHNVVVVGYGTTERGEDYWIIRNSWGANWGESGYLKLQRNFHNSTGNCGVAIRPVYPLKSNSSFGLLLSPSMFKLGFNPLIEGF >A06p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24917601:24920874:-1 gene:A06p046620.1_BraROA transcript:A06p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEDSSLTNLQHKYSPTMPEVVEEMKKIWDISFPVAAMSLLNYLKNMTSVACMGRLGSLELAGGALAIGFTNITGYSVLSGLATGMEPLCGQAIGSKNPSLASLTLKRTIFLLLLASLPISLLWLSLQPLMLILRQQEDITRVASLYCSFSLPDLLANSFLHPLRIYLRCKGTTWPLMWCTLISVLLHLPITAFFTFYISLGVAGVAVSSFLTNFISLLLLLCYIYLEEHKSDKTSSSLCLKMPLLQSSSRNSCNDEVWSTLIKFAVPSCIAVCLEWWWYEFMTVLAGYLPEPRVALAAAAIVIQTTSLMYTIPTALSAAVSTRVSNELGAGRPEKARTAAAVAVVAAVAVSVFGLVGTTVGRKAWGRVFTADGFVLELTAAVLPVIGACELANCPQTTSCGILRGSARPRVGAKINFYAFYVVGAPVAVILAFVWGLNFMGLCYGLLGAQIVCAISILTVVYKTDWNKESLKAHDLKLALCFPITCNDTISLYHGDQNRFTVDSLLTQRSLNLPFHSCDECIVVLPMDAFGNNSSFSGKKMELQGLISSLVSGFYDFDADVVGKETGLSIPVANFQFKYIEPGIQF >A07p042270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22922218:22923880:-1 gene:A07p042270.1_BraROA transcript:A07p042270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFTKKQHLFVLLCVWCLVVNCSSAETEESEGGPMEKTEKAALYSTVQGFVGDSWNGSYLFPDPCGWTPIQGVTCDLFDDLWYVTALSFGSMRDNSLACTEIPVIRPQLFELKHLKSLSLFICFTSPNQYLASISDEKWLDLSKSLETLEIRSNPGLIGELPSFITSLTNLQSLVVLENRLTGLLPENLAKLTRLRRLVLSGNRFTGRIPEVYGLTGLLILDLSRNFFSGPLPLSVGGLSSLLKLDVSNNYLEGKVPIELQFLKNLTLLDLRNNRFSGGLTKEIQEMSSLVELVLSSNHLEGDLTGIEWRNLKNLVVLDLSNTGLKGEIPWSILELKKLRFLGLSNNSLGGKLFPQMETKMPCLNALYVNGNNISGELKFSKKFYERMGRRLGAWGNPNLCYHGDEIKNLRDHAPFGVNQCKRMKAVTLA >A07g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25211558:25212177:-1 gene:A07g508880.1_BraROA transcript:A07g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFMKVLMRHFGYILSKALREAKRDGQMNGIHHTKRLEGDDLVHTYTSHIPSFSFRKRSLSREKSCQARDSNFSSSLTIEDGFSYASFEAVCYDFNTIELSQLVRRVPFFDSKISL >SC124g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:10832:13273:-1 gene:SC124g500010.1_BraROA transcript:SC124g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLTMEMKHRSSTTMELEEERHGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVTRSLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A04p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:902898:903731:-1 gene:A04p001830.1_BraROA transcript:A04p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVDSSAPPPPLSAMFQKLATAVKTKTFELFTEDEDDNLRWTRFDWLMEKAGWGLDLAEVDYAEKGHNRYALLSYVCLGMFRGFDDDEESYSLRELMQHVSSDPMELLERDKDCAFSRKEDKRLTVNLTRGKVVGFTVVPGFKVGCTVIQSQVYLTSLKCK >A02p038070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23659265:23661967:1 gene:A02p038070.1_BraROA transcript:A02p038070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESKSKALSVAVTLKGGTNYLLWSRLVKAAVGSKAGTSGAGQAMREGEGKALTTQHTPGKGMDSEMIRRSDIDALIKALKENGNCINTPLGYSLAASYIDRTNGGNKSDPAHEKEGSSSPDQNVMHNEDVAEERDQNEAQPEEGEEVQSEAAVEPEV >A09p047780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41478236:41479509:-1 gene:A09p047780.1_BraROA transcript:A09p047780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVERSSVSAIMAEEENLDPNTANHCPIQKHLPAIKAIRGGEEGPSKEQKITCGGNGYVWKKSKSTTVIQHSHSFQSSWTFWFDNPSSKSYQTTWGSSLRTLYTFTTIEEFWSLYNNMHPPTKWVHGSDLYCFKHEIEPKWEDPVCANGGKWTMMFPKATLESNWLNTLLSLAGEQFEHGDEICGAVLNFRTRGDKISIWTKNAANEKAQISIGKQWKELVGCNETIGFIFHEDAKTLDRNARPRYTV >A09p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18890929:18891508:1 gene:A09p031120.1_BraROA transcript:A09p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEGQQVMALISKRLRAHRKRYNRITEMEEAVSQGKTLNKEQQEAIRSKPIVTALIEELEKLRLPPSPPPSTAGSEEINLPAKKNKKKKERKEEAEEENLTEKDVTDPEGCKISDGLDAGEVSKDNISPCSVSSQDGTTSPPPATNAKPRRKRNKAKKPKTSN >A06p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14749474:14750571:-1 gene:A06p025520.1_BraROA transcript:A06p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGKQSFRQINEIDWSIDVLCLSLSSCAAPSSSSLAVKPFKDEDKQLEVKKEVVVDVKQYSGVELIIKSGFGSTLPSKYDLIRTYEKFGALDKERSCTFENDSCARVSFLNVSEGEGAFYESLEKCPFATTSTVTFSSSILLLRTERGSLKRALLDESEGGEVKEKLKMRLEEESRNLLDK >A02p030760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15703366:15711116:-1 gene:A02p030760.1_BraROA transcript:A02p030760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQVSNQGNMSQQNGNSQMQNLVNGGGGGGGAPGLGPSRNDNDLLRLRQGMRIKIFSILQQKQPSPADDASKAKYMDVARRLEEGLFKIANSKEDYLNQSTLESRLASLIKGRHMNNYNQQRHANPSSAGTMIPTPGLQHSGGNPNMMMTSSVDAAMDGSNNITTTAMNTANMLNPGGMLGGNMSNGYQHSSSNFGLGSGGNIASMSSQRNTGQMMPTPGFVNNSINSNTQSYLNVEASNSNNSVGFSAAPMMVPQPHQQQQQQPRQDMGGQNSRILHNLGSQMSVGLRPGMQQKMSSVSNSSINGGVGMDAKSVDTLQRSGMQGEGYGTNNVDPFHSGNLYGAATSVGTLTNTQNTNTASFQSVSGNSSSLNNADDRHAGSQILPATSQSDICTSVPQYSQQIQQMLHPQGMASDSINSLNNLSVGVKSESGLQGHWQSQSQEHTQMSNSMSNERHIQDDFRQRMSGTDEAQPNNTSGGSIIGQSHISTTSESPTPQNPVGTTCRYGNENQDPRFRNQQKWLLFLRHARNCKAPEGKCPERNCVTVQKLWKHMDSCAAPQCSYPRCRPTKTLINHHRSCKESNCPVCIPVKAFLQQQANARSLARLKTESGAAKSVNGGGISNDAVQTSAGALSCASPSADISNHLQPSLKRLKVEQSSQPVDVETESCKSSVISVTEAQSSSQHTERKDHRHSDVRASSKYFEVKAEVCEGSVQARPGFKERKIGIAENIPKQRPVIEPVKQDLPDASPRQEKCKMEKVSESLKEESLVESKPPPKSGKAEIKGVSLTELFTPEQVREHIRGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTVGAGDTRHYFCIPCYNESRGDTILAEGTPIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPYCYIAEVEQSKRKPLPQSAVLGAKDLPRTILSDHIEQRLFKKLKQERTERARAQGKSFDEVPTAESLVIRVVSSVDKKLEVKPRFLEIFREDSYPTEFAYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVRSYYGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLKKASKEGIVAETINLYDHFFMQTGECRAKVTAARLPYFDGDYWPGAAEDLIYQMSQEEDGRKGNKKGMLKKTITKRALKASGQTDLSGNASKDLLLMHKLGETIHPMKEDFIMVHLQPSCTHCCMLMVSGNRWLCSQCKYFQICDKCYEAEQRREDRERHPVNFRDKHALYPVEITDIPADTRDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNACHLDIETGQGWRCEVCPDYDVCNSCYSRDGGVNHPHKLTNHPSLADQNAQNKEARQLRVLQLRKMLELLVHASQCRSANCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESQCHVPRCSDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGGSGVRLDFQKKKKSKVKSSSKMRASRVSRYQCHSCWVTKSAAATVCISTAAFGAIAATIIASVSVASAEILPPPPQDGETLSNVQEMFLVKTARNRGYNDPNPKTQRSE >A09p065870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52507397:52510997:-1 gene:A09p065870.1_BraROA transcript:A09p065870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRVRQRVDEDGSDMNRKVRQRVDEDGKDNVGAASYLLTGDEDAAPKVQESSKDWSSLTYDLMLHVFTLLDNRDRASLASTCTTLRSLGASPHLWSSLDLRAHRFDDSAAASLADRCADLEKVRFDDLDSAAALVNLKAKKLREITGESCENLTDATLAMIIARHEALESLDLGRGFGERITSQAIKIIAICCPKLKKLRISRMVDVSAEAILSLAEHCPLLSDVGFLDCLNINEEALGKVVSLRYLSVTRTLNMDWRAAAESWGTLPNLTGLDVSRTGVNHETVSRLLTTSKSLKVVCALNCPVLEHDADFNPGRFEGKLLIAKFNNTLNGIAPLFFEDNSSSEMMPEDDTRRWLEGNLSRTLLSLTESNLLGLNGFWQKDGAKLFLRLMQSSQEEVQERATRGLASFIHLSDDSDSIYRERADSVVRDGGIPILFKLAESWKESFQAEAAKAIANLSLNADAAKAVAEAGGVSVLLGLAKSRNRLVAQEAAGAIWNLSLGDAYKAISRDGGVNVLMDLLSRWSYDYGELMERAAGALANLAGDENCSMDIAKAGGANALVMLARNCEYAGAREHAARGLANLAAHDDSNNNNAAIGEVPRALETIIQLTRSRHGGVRQEAAGALWNLAYDENNRKLIAELGGVEASVALANYCMDNTSRDLQKSAAGALWNLSNSEDHSIVIGREGGIPPLVALARSQYEDVHEVAAGALWNLSFTHVNALLIVEEEGVLALGRMCTSSPSKMARFLAALTLAYIFDGRLDGSVRASPKHLVNVRRSGLKYIESYIDTFMDPQTLATAASSYTSSMLAKVLKRVRIPEADILKCSGKEIERFVTMLRNPSPVLKKLAAFALLQFTAPEASHAKHHVSLMHDAGDPKLLRNGAAATSMPHEAKIFAKIVLRNLEHHQPECSKGKTASTSK >A07p047810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25599393:25600430:1 gene:A07p047810.1_BraROA transcript:A07p047810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTSAAAAAAAARRKPSWRERENNRRRERRRRAIAAKIYTGLRSQGDYNLPKHCDNNEVLKALCAEAGWVVEEDGTTYRKLCSVSWNLDVCFSFGVVQGCSRPLPGESPIPSYQVSPSSSRGEPNNSSTTFFPFLRNGAVPSLRISNSCPVTPPLSSPSSKNAKPLPTWDSIAKQSMVNANKKQSMASFNYPFYAVSAPASPTRRQFYAPVTIPECDESDSSTVDSGHWISFQKFAQHQQPFSGSMVPTSPAFNLVKPPVPQQMSPNAAFQGMIGQSAEFKFESRQVKPWEGERIHDVGMEDLELTLGNGKARG >A07p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19120427:19121246:-1 gene:A07p035330.1_BraROA transcript:A07p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLNQIWTAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A04g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8893037:8899723:-1 gene:A04g504310.1_BraROA transcript:A04g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYICLYQVFEYHMEFLETFGCIWSSKESDCTKSLAFSHPETHIDDPGATSQSDLPRSLPIQSDPLERHTKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSDCTKSLAFSHPETHIDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDSNHNASSELATQLLILRHFSPERLKVDSLIDHLPSLVRYLITQGLIPMPMSSLFP >A02g512900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35341301:35341700:-1 gene:A02g512900.1_BraROA transcript:A02g512900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTPYINQQCKEVKLVNKEGKSWTARFRFSESDGAYYISRGWRKFCRDNKCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A09g514810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44119698:44120215:-1 gene:A09g514810.1_BraROA transcript:A09g514810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTATTTLQLFTVAQFIKLFTSWYREMGWDLKQLLGSGGMPSSTVTALALAIGLQEGFGSLVVMYDATGVRLHAGRQDEV >A03p039830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16576349:16577875:1 gene:A03p039830.1_BraROA transcript:A03p039830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLLNRWNLGRQQWWSYIFLFVITVVVVMCDLVARTGRLQQRYADGSRLVAGCIPFRYLNSESGKVVHVLMISSSSGPGLLFPKGGWENDETVREAAVREAVEEAGVRGILMDFLGDYEFKSKTHQDECSPEGLCKAAMYALYVKEELETWPEQKTRTRTWLTIEEAVENCRHAWMKDALVEGFCKWLKEKMSKGEEIAGYD >A05g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17069721:17072527:-1 gene:A05g505950.1_BraROA transcript:A05g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPDEFASPYYEDSIISIASRSDNQTRPLPRPEMYFADCLLMAQEETTDIYNKLAFDSTLTRTMAMVRAKKNIVSYVRELKPRKDTSRIEVRIVRLWRNYNKESGNTIEMVVVDKKGTKIHASVGEQLIKKFDDKLREGDAIVLQLFKVYDATVPEKYSADFSDILGGNLDRSCLVDVVGQIVNFGSLENKIIKGKDNMRLLVELRDPNNAKMMCTLWGCYAKQVYDYSRSNMSTMIICVIRFCSVKEWKGAYSISSGYNSTHILLNPTLEFIEEFKASLPYDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIREIIDSTLVDTFITLGTIQTIDTERGWQYLSCKYHNKKVMPTTNVDADGRPLFFCNTCDKENSDVISRLAIIGLDYNF >A05p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27219468:27225656:-1 gene:A05p045540.1_BraROA transcript:A05p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSLAINNVSLVKGTCHEGDSSGSTRRPSEVLLWNTVKDNPSAFDAWISLIEETERIAQDCIANIRKSSAVDELKYSVDFWLHYCTHVINTSDDIAFIRELFERVLEYVGYLEYESTLPKDFSRLAMIYTRILENLIHSLDRYFDSFKELAQALPLSELRSAVAVAAIGRRPSFNVQPLSVEERENWHNYLDFIESDGDWSKLSLKSIMGISLAQEPRFKLLYSEISPGHVEAVMRHANLEHRNGDSDGACSVYDDVIALERSKKDSILLPLLYSQYSRFSYLVLNDAEKTRSIVVEALDHSIETMFVNEIETWAPTLPVKRAVVDFSSPNIFKKMHVGHVRSTIIGDTIARMLEYARSLSWFRKRWKQSAAKFPDSDRAGNICTGDLKEWTPVEIVQAAEAVGYGAVKQVVISILLHAYADLKNNRSTPSTFGYNNMRTRERQPFTPMLGSVQSSESLSRSFGLGVARSGSMATSGTRVCRWGRFRGGRNLGFALQLSPDSDLRVTACGAPVRFVLADPWWWCGFEVALWVRVLWAWCSNLGTWFLGGAWNRVGFVFRRSCGLL >A05p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4111886:4113533:-1 gene:A05p009840.1_BraROA transcript:A05p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILRAYILDVASETEVSFCSIQLQSSTVLASLAELDLFQLANCIQKLPTSSHSVALTRLLQSVGEGRQLGRMLCQADSQMTIKVMILDPSENCSASSLSYGHMVHSFDDSATVEEFATRCGVLTVEIEHVDVETINTYAKGSFLSAWHPTSRVYGGAERAGMVASLTPLPVIGLVWMVLIQFSLSFRFKLNKTKLGLWVLLGWSGIRMSQYREDMREENMVKGG >A03p023210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10920514:10920945:1 gene:A03p023210.1_BraROA transcript:A03p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRKQSIVYAVRDYQPLKESSVACSATTTDGARSLTMLCFSSRMGVKQALRSIDAFPRAE >A10p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11512400:11513151:1 gene:A10p007360.1_BraROA transcript:A10p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSELRNPEAYRDVSRTGFAHVAHINYMVVGYESSLLEKEYAFQVQWKISPLPRNNWMSILSHASGTRRCLEKHISKGLHIPQAVVDQLKADEIKFKIELNDLEVTIITENNM >A10p008270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11266583:11269292:1 gene:A10p008270.1_BraROA transcript:A10p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGSEEKEGASIKALGSLFKLTQIHLWEDGSTDTRLVPLFHEHKDRFEDMGLMQEMKALGLPVAFQTNKEWKNRTKSIHQKKRIKDRSDEVNVDVASPLSLFVSDCSPHHAIGGDEEVDAPCVENDCVQISAVEQYDEVACLGDGDRDSLLTSDATDSQSYELGDEHGSSGWKVYWDSFYGRNYFYNVITQESTWQPPLEMEHLAYSHETHNLNELPIEATEKQPDDLLGESPVVDVPAEQSDDLGGVCQSQCETKSLEEVSSLIDTYQETSIGNQLLDITSLEEEGNGTSVVKSIKKAKKKTRRTRAKKIFSCSNTGVPDEYSDVLGKYWCQRYLLFSRFDEGIKMDEEGWFSVTPEAIAKHHASRCSGGVVIDCFTGVGGNAIQFASRSHYVIAIDLDPKKLDLAKHNAAVYGVADKIDFVKGDFFDLAHNLKAGTVFLSPPWGGPDYLKASTYDMKTMLRPRDGDALFTAAMNIASTVIMFLPRNVDINQLAELALSTSPPWSLEASRKELLKWEAEGNNSILLQTRWLLKLRIRQRFFSPICHMYLHLCQLVEFK >A01p048180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27135395:27138175:-1 gene:A01p048180.1_BraROA transcript:A01p048180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSKLLTKSKAHSHVCSTSHSLHRQFRLRHFSAVADSSLSTSAALETQTSSPATPQDKKRGSNWSRLLLFLPGVITFGLGSWQIVRRDEKIKTLEYQQQRLKMEPMKLNTEHPPDKNPDALEFRRVSCKGVFDEQKSIYLGPRSRSISGVTENGFYVITPLMPIPGDLDSMQSPILVNRGWVPRSWREKSTESTEADFVTNESTKAKPLSHEQSSWWKFWSKTPVITKEDVPTVKPVEVIGVIRGGENPSIFVPANDPSTGQWFYVDVPAMARAIGLPENTIYVEDVHEDIDRSRPYPVPRDMNTLIRSKVMPQDHLNYSLTWYSLSAAVTYMAYKRLKPKSSRR >A06p046210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24739531:24742308:1 gene:A06p046210.1_BraROA transcript:A06p046210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSHQKNGVVVDHNQTKPKKKTSQGKASDAESCQDDDKHTRSTETSDRDMAADPVASETDLAGDDSTEPGSATTRFDRERINALMRTLLDILSTNSPSENIGLAYNAAIRKLRIPAATVSREVNHWMERNRPLMVSVKSRVYKARDLVTKKIRLACPVVFRWLMHFGSIILLLSLVWLDCAIRGFDSFIRMGTASFFSIMWCGVFSAFSMIGMTKFILMSFATVLVSLFIGFVVGSITLAISSLVFLWLYGSFWTTLMFLFFGGLAFMMKHERIALFILTVYSVYSALCYVGWLGLLLAFNLAFISTDALIYFFNNKINQQSTDGGPSDPLNGSSFENGPGFPGDRGPGVPSTSGTDSELTSEGEVARLLNCADHYSVLGVPRYGNVDMAYIKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSIKRKSYDDELKREELLNCFRRFQNSSQRDTRGHGFGSSEGEGDEALRECRQIACKKCGNFHAWFLTKKSKSRARWCQDCKDFHQAKDGDGWVEQSSQHVLFGLIQKVDLPRAYVCADSKVYEASEWYICQGMRCPANTHKPSFHVNTNVTGAKRGGTSGSSGQRGNQRMPNANMDETMTEEEFYEWLQNAAQAGMFDSAAESPTSAAASNTSGSSSKKKKKGKKQW >A10p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2114844:2115685:-1 gene:A10p004310.1_BraROA transcript:A10p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEEEGKIRCSSTVIIFCCIFLIVGLDVFAGFVAMQAEDAQQEVKQRVWLPECKSPSKKAFVLGLIALGCLLAAHIIAVMIGCSLSNTATVISGPEITEHINMACISLTWIIATAGVGILTMGIWTNRESRSECGFTNKHFLFLGGIVCFLHASISVVFYLTNIVSKKCCLRNVY >A09p037600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25226447:25232293:-1 gene:A09p037600.1_BraROA transcript:A09p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEYDFLSFLYSFSIFVYFLNFAFIISFTSVRKMTNENDTPTPMDTSDVIQTPLNAAATGVTTAGNITASTTAATTSTFLPAGNAADETTRRTLFGAGLYQTEEERASHARAAAAVRPARLGVGVGLVLGWRAFGPIKIILFGPS >A04g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22287272:22293786:1 gene:A04g508460.1_BraROA transcript:A04g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHKAKRRRAKQNRTREHGKFLIESLNVELGIPGTDILRLPFKTAESLYFIYLLEAEQATVSKSFSLASIIAHRRISSAEKGKGIDLSSQQPPRGISASSAHNDPNAKGKCHQSAPPYHFSASNNGDENSRLASKGRRDKPEHRVYKSNPKDWENRSNQRRTYRNDDRSRSYREHPPLSFRGNAHQRHPPAPPSRSYYREIPKERLGTGEATSASPKQINGSSERGIPRARDPTHFSEKILEEARGEAKHALLQYTKCTDPSEREVRIERAKQAEERGQLEETALRIALRTSSSRTNLDAQHSESRSHERRSSSKRIGPASQIIAANAEENTNDQNSGSRDRLPATLRLGPPPNESERYEDQSLLSLDRSADRIPATQRLGPISLAEAIDDETDLPHLSAAKRKPGRPPGKKTRQEKSKGPVAAVPPRRTTSRSKPSPIRRKDMSSNAGTSKGKKIGKSKKDLMASWETLCSLNCLPPAGITSGSLFPWVLWSIWKARNKFVFEGHSAPPEDTLSSAIALAREWSQDVKKESPNALRSPPQQHPSPPGTVVVRSDAAWSSVSMKAGLGWVILDEAETHSFKSSASSVLSPLAAEGLALREA >A02g510490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27668913:27670096:-1 gene:A02g510490.1_BraROA transcript:A02g510490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKSFSETLTALLQSLHGGQVISWRNYQRDELLFTSNKFGDCGSLDQHGFPRNKIWLIDENPPPLASNESFGKPFVDLLLKSSEEDLKQWPHSFKFRLRVSLAIDGDLTLVSRVIQWAKEGE >A06g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:865936:868153:-1 gene:A06g500160.1_BraROA transcript:A06g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFASPNVTAQFHSKTKIEEKVDYANLPCPKPYEDIHLEATKSLKPELFEGFRLDYTKRMNHKFSLIHSLLMGNSEFPAERSQHIFKTPTSSYEFGANLIDPKLMLDGRLMMDGTVIARFNSVLKENFTIKTTAQLINELDQSQGVFTVDYKGSDYRTQFQLGNYKNQFKPGTSSLFRANYIQHVTPKLSLGGEVLYLSEHRKSVVGYVARYETDKMVASGQVASSGVAIMNYVHKVTDKISLAAEFFYSFMLRDGAASVGYDLMFRQSRVRGKIDSNGVVFAHVEEQLCPGLGLLLCAEVDHVKTDYKFGLGVKYEGL >A06g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27258356:27259223:1 gene:A06g509410.1_BraROA transcript:A06g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIERARVPLSRWQQAAVAVGSAVGALVNPRRADLIAALGETTGKPAFEMVLERMKKSPEGRAILLERPRVVSEQVGHAWDLPDNTFGAAYAKFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDLWHTLFGLPTNLIGESALKVIEFEQMYLPMCMLSVIGGTVRFNEKQRSMFFRHYLPWAVRAGRQCTDLMCVYYERHFDEDLEQVRRQWGIIPAPQHPK >A05g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24564334:24565744:-1 gene:A05g508430.1_BraROA transcript:A05g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVLEADLRALFAEAPRRYPVVKDGAEQAILKLRSSSSASDLSSNEDILRIFLTACGVRNTKLSVIGLSCLQNLISHDAVEPSSLKEILATLKYVLQVVIKDRATGSTHGFGFFFFAGLLIHVSIDLLSTIISCLEQRLLLLQKDDHAFIMLNKIVFPEVVVITVAEEGDAVVVVMKTMLGIEKKKLARDWKRCDMDRMDLGLF >A10p019940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13589233:13590740:1 gene:A10p019940.1_BraROA transcript:A10p019940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDETEEDDETQRSSLDDGVSKNHKKKKKKGIGFKGDKAKRAVIGAGGRILFYPTLLYNLLRFKLQSQFRWWDQIDEFLLMGAVPFRKDVPRLKQLGVGGVITLNEPFETLVPSSLYNAFEMEHLLIPTRDYLFAPSIADITRALDFIHKNTLLGKTTYVHCKAGRGRSTTVVLCYLIEHKSMTVAAAFEHVRSIRPRVLLHPSQRRVVEEFKKIQSLETSDATSLLPSGSVKISGFDHLTRFGSCEIVTGLMVNLWSIFIVSVVPMKKTVT >A08p037070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21648958:21659162:1 gene:A08p037070.1_BraROA transcript:A08p037070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKISKRLSLTWVSLLCISCFFLGAMFNSRFRGSDSGSQLMLHRRDQEVNVVTEEYAHEKKKSQEKDVIQEVLKTHKAIESLDKSVSMLQKQLSVRQSHQQIVDVATTNTSTEGSQRKKVFMVIGINTAFSSRQRRDSLRDSWMPQGEKLEKLEKEKGIVVKFMIGHSAVPHSIVDKEIDSEDAQYKDFYRLDHVEGYYNLSAKTKTFFSSAVATWDAEFYVKIDDDVHVNLGMLASTLALHRHKPRVYIGCMKSGPVLTKKTAKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISNNQPILHKYANEDVTLGSWFLGLEVEHIDDRNFCCGTPPVCEMRAEVGDVCVASFDWRCSGVCKSVDRMWLVHAVCGEGMQAKPSFRHEIDHKPDAGFFKFYASVLGLDTVIGPTPTFFRVCGVSYDAKCSYRFDFPSLVNWLCSAGLNHVYVGFAIDHLHKALSEFVSSPVVRDRSLYMTCNVTEDDLLDESLISAPLPTEEASHVKKFRTEESIHQSSLDEISNNMRDLSLSWGYEFDDDRYVDMKDYLLDEYLISTPLPTEEASHVKNFRTEESIHQSSFDEISNNMPDLNLSWGYEFDDERYVDMKDYLLDEYLISTPLPTEEASHVKNFRTEESIHQSSFDEISNNMPDLNLSWGSSLPSLTGSPALGSAYYIPQGHAQYCNTSEDTVSVCRYMPPYLCNVAYKNCFGDNTTDELFTTVYLSPCTTHQNNFTDHSPHGFFRLSKLLEPPNLTEMCFPAALVPPRSDILRLSDVERKEWQMKLVFDVETGEYTVGEEWASFVRAKALRAGDTVVFVSVLGSAITLFATSRAHSQSKVRHSINLDAFSKAIGGLMQSKPVELTYSPMDPHSDFLVSPRVYRDSLLVAWMRGMRVKKVREDDEEHHVGTITSTTFGNSDVHGVMRSLWRCHTVVWDAPYGCDTRVMVPRSWVEHIDDHNFCCGTPPEVRKGRFELFFSSCYSLFPKVCEIKAEVGEVCVASFDWKCSGVCKSVERMWMVHAMCGEGDQAVWDANL >A06p042580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22891392:22893119:1 gene:A06p042580.1_BraROA transcript:A06p042580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSALSSTPSDPKILFSTATFGSSFSSHAVHVLNNNQPIGGQISATSAIRVSRTPPSPVLLLTPSRTCLDAAASLPPLWASNDSVLHLLLCEGLCISSFDSLYYATPDLFSFSICPRQWWLSLLDLLCSERIPAQHCRLFSIDIGITPASSLLRGYTKLEPLTIAEMSSSSLPSHRISPSYAPVGALGSRWTKAGVTSLSPCVQRSFSGLYHPSLVSCVA >A08p007730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5143537:5147700:-1 gene:A08p007730.1_BraROA transcript:A08p007730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSDNQPSSPASPSSAGFNTDQLPFSTSQNSENFSDEEEAEVDPQVIRDEPEDEEEEEGEDLFNDNFHQDYEKRDEQDQYESVGLDDSVVDNRGLGQIALDRRAAEAVLDARETRLANRKLPHLLHDNDSDDSNYRPSKRARAAVPPRGNARDPDGNPSSPLPDVSMTDQTDDYQDEDDEAEFEMYRIQGSLREWVMRDEVRRFIAKKFRDFLLTYVKPNSENGEYVRLINEMVSANKCSLEIDYKEFIHVHPNIAIWLADAPQPVLEVMEEVSEKVIFDLHPNYKNIHQKIYVRVTNLPVNDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCSECQSKGPFTVNVEQTIYRNYQKLTIQESPGTVPAGRLPRHKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKTQIEELSKDPRIVERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNIKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKSFAQNVELTDPILSRFDILCVVKDVVDPVTDDMLAEFVVNSHFKSQPKGGKMDDSEPQDDNHGSSGSSDPEVLPQNLLRKYLTYSKLYVFPKLSEIDAKKLETVYANLRRESMNGQGVSIATRHLESMIRMSEANARMHLRQYVTEDDVNMAIRVLLDSFISTQKFGVLRTLRESFKRYITYKKDYNSLLLVLLKELVKNALKFEEIITGSNSGLSSSIEVKIEELQTKANEYDLADLRPFFSSTDFANAHFELDHGLGVIKFPRRLVTW >A05g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18208907:18211795:-1 gene:A05g506360.1_BraROA transcript:A05g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLILDMYFCVFYKDDLLVSRLKYNALDDFQEIFQTTSISVVWTSWKTSGSCLDFLEVVWNSWKSSGIPGSLLTKSPFHNRSDRFGFQIWKTSGMTYIQLTIQSSARRLTCKSSRIYEVRWRFFSNQTKAYDLYFSRLRKQISKSIAKITSALTRRLNDLQITSLAHIRLLQKHIISNESDPPRIVSFYDSMNHKKCRIKILGFFSLMWRESERDVVFSS >A06p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:819344:822113:1 gene:A06p001830.1_BraROA transcript:A06p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNSKEKERSLSFLCCWYLGRRRFAMLLLLSLAFVVFILGSYTINKESNNSPIIHQSIETMDFVSNQTPLSRELSSFYTENSNDDGIRGSDVDIIHPPPSHHHPCDSFSFPPPPPPGLRRPGPRPCPVCYLSPEEALAHMPKHPFESPVLKNLTYIHEESPVKREEEGQGGSEFGGYPSLEDRTNSFDIKESMTVHCGFVKGTKPGHQTGFEIDEDILPELDQFHDVIVASAIFGKYDIIQEPVNISEMARNNIPFYMFIDEETHSYLKNTSRYSDDNKRVGLWTIIVVHNVPYTDARRNGKIPKLLLHRLFPNVRYSIWLDAKLQLVVDPYQILERFLWRTNSSIAMSRHYRRFDVFVEAEANKAARKYDNASIDYQVEFYKKEGLTPYTDAKLPITSDVPEGCTIIREHIPITNLFTCVWFNEVDRFTSRDQLSFAIARDKIREKVDWSINMFLDCERRNFVKQVYHRDVLMNMKPPRASSSSRVLPEPLTLPRGKAGGGRAAGGKKIPGQRGKRRHRKVSAGGRNMR >A09p061120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50466474:50468180:1 gene:A09p061120.1_BraROA transcript:A09p061120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGTAEEVKKIVNTLNEAQVPSQDVVGLTSLSRLKTAGLRKEVPAGLRKEVPSLVKSGEIPSQLSSMLVNLDIPWVILGHSERRALLNESNEVVCWRQGCLCTCSRFESDRLCCGETLEQQEAGSTMDVVAAQTKAIADRVSNWSNVVIAYEPVWAIGTGKVASPAQTQEVSCFLCVYDELRKWLAKNVSDDVAATTHIIYREDPLNGGNFKELGGQADVDGFLVGGASLNLSLSTSSRLPK >A05p012270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5332129:5335669:-1 gene:A05p012270.1_BraROA transcript:A05p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine diphosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT2G35020) UniProtKB/Swiss-Prot;Acc:O64765] MEEPTTETEIKNADVTTTTLPPPPRTASPHQELVERLKDYGQEDVFALWEELSPEERHLLVTEIENLDLPRIDRIIRCSFNSQGLPAAAIEAPPESCVSTVEERRKEDKEKWWKMGLKAIYEGKLGVVLLSGGQGTRLGSSDPKGCYNIGLPSGKSLFQIQAERILCVQRLAAQAMSEAGPTRPVAIQWYIMTSPFTHEPTQKFFESHKYFGLEPDQVTFFQQGTLPCITKDGKFIMETPFSLAKAPDGNGGVYAALKYSGLLEDMASRGIKYVDCYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEKVGVFVRRGKGGPMTVVEYTELDESMASETNQQTGRLKFCWSNVCLHMFTLDFLNQVANGLEKDSIYHVAEKKIPSINGSIEGVKLEQFIFDCFPYAPSTALFEVLREEEFAPVKNANGSSFDTPESAKLLVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL >A01p054170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30566179:30567313:-1 gene:A01p054170.1_BraROA transcript:A01p054170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDNEGEFDGRNEGGSISDYRLLGRQVTVHQFMGAADLLLWRKRNHSLGVIVLSTVAWLIFEFSGLPFLSVSSDVLLIVVILSFVLAQASGFRSRHVNSLPELVLSEEMVNSAAASFRVKLNHLLVMAHDVTVGNDFRLFFKVVICLWLLSAIGCYISFCTLVYIGTILSVTIPALYSKYQSRVDKCCGLIHRQLSHHYKLVDENVISRLSWSFSKDKDS >A10p016980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2692868:2694956:-1 gene:A10p016980.1_BraROA transcript:A10p016980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRISKIGSYAISSSIKDHHQQPCISCTTFNILAPIYKRLSPKDQSIRESDNRAYWMGRNHRIIDWLLYERSSIICLQEFWVGNEELVSLYEKRLGDAGYLCYKLGRTNNRGDGLLTAVHKDYFRVVNSRDLLFNDCGDRVAQLLHVELVPPYSQYESHQQVLIVNTHLLFPHDSTLSIVRLQQVYKILQYVESYQKEVNLSPMPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHRYTDSDAHKWVSHRNHRGNICAVDFIWLLNPNRYRKLLKTSWSEAVFGMFRYLLRRASLTAEDAFAFLKTDNDGDYITFMGFCETLRQLNLTGHCNGLTTKEIKDLWTQADIDGNGLVDYKEFQQRIWNQNWSEQRDVEDGEAKGSKEQTVGFSVKNAVLFPPEVEKGRWPENYSLSDHARLTVVFSPIRMPCSQLVS >A10p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21863609:21866435:-1 gene:A10p039530.1_BraROA transcript:A10p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSTCLLISPSLTQSKTPLIRLRSPFPAGKLTERSPSSRRKLKSHGIAVVRAASIDNKLTGGAIRPGGLVESDKIPTDVRKRAMEAVDECGRRVTVGDVASRAGLNVTQAQTALQALAADTDGFLEVSDEGDVLYVFPRDYRSKLATKSLRVQIEPFLEKAKGAADYLTRVSFGTALIASIVIVYTTIIVLISSRSEDDNRQRRRGRGYDSGFNFYINPIDLFWYWDPNYYRRRRAREDEGKGMNFIESVFSFVFGDGDPNQGIEEERWQMIGRYITSRGGVVAADELAPYLDVSSSKSAMSDESYILPVLLRFDGQPELDDEGNILYRFPSLQRTASGSGRRKEYVGKWFDFVADMEKFFKEKKWQFSKTSSSERALVVGLGAFNLFGVIVLNSLLKEMAVTPSGFLTFVKNIYPLLQVYAGSFFAIPLIRWFSIKRKNDQIENRNKARLQFARALESPDIALRRKLLSARDMAQNTVIGKERIVYTTDKDMIEQDYEAEEWDRRFREVEKSD >A06p025620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14686129:14688220:-1 gene:A06p025620.1_BraROA transcript:A06p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 61 [Source:Projected from Arabidopsis thaliana (AT3G44350) UniProtKB/TrEMBL;Acc:B3H506] MEHEIPVGFRFYPTEVELISFYLRFQLNGGNATIHSLIPILDVFSVEPTQLPNLAGERCRGDAEQWLFFVPRQEREARGGRPSRTTGLGYWKATGSPGPVFSPDNRVIGVKKTMVFYIGKAPTGRKTKWKMNEYKGIDETASVSTIPKLRHEFSVCRIYIKSGSSRAFDRRPTEVYAIERKLPRFGIETSSRATATRRTSEMVDGLSQLRERKLPKNSVETSSYDTFTTSQETSNSGGGDQVQLPVNASTTQTISEMVDGLSQPFWEWEQLNWS >A01p044670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24227357:24228829:1 gene:A01p044670.1_BraROA transcript:A01p044670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCLRIVRINNLRNRVNRRILILRRFTRLLWSRIVAFSSKRSGRYSLLSRATTPSPPVSRPQPPPIPVIRRTSVHEHDNSHRRSDSDLVSLKISLLGDPQIGKTCFLAKYVGEEKEVEMRELEKGISCTDKMLSMGGARISYSIWELEGAERSRDQIPMACKDSVAILFMFDLTSRCTLNSVIGWYQQARKYNQTAIPVMVGTKFDEFIQLPIDLQWTIASQARTYAKALNATLFFSSASYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPLIDF >A03p052150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20202791:20210071:-1 gene:A03p052150.1_BraROA transcript:A03p052150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDHASWDRKELPRLRKRDRPSDPPPPFDSPPSPSSHREFSRWGSASDFRRPNCNGKQGGRYDFEEETSHGYTSSRSSARVFENDYHRPLAPRGDWRYARNFRDDRVPLSHKDWKCNTWEMNSGSSRDFERPFGVRNGRRSVDERPPHASDTHTALVNSWDPPPNFTHQPEMCTPVRTLKFTNEHNNSDQRPSSLPIDPHSDRPSSENSYDNKECSTESNGLMYARRLANDNSLDPPIRNTELEGTRKPHLRDMQDNRLRGVGDLDGAMKSGKESSLGAVGKLPVWPQDHTSWDRKELLRPRKRERPSEPPFDSPSSHHVPREYSRWGSGDFRRPNCHGKQGGRYQFAEESSHDYYRQSAPRGDWRYTRNCRDDRVPLSQKEKCSTLEMSNGSSRAFERPCGVRNGRRSVDERPLHASDTHSTLVNSSDSPNSTHQPDIEMCNPVQTLKFKNEPKFSDQRPSLPIDPHSDCLSLFERPTSEKSYENKECSTANQSNGLMYARRLANDNSLDPPIRHAELEGTRKQLHLENMEDNRLRGVSDLEGTMKSGKESSLGAIGKLPVCSGSFSSQSSGFSHSSSFKSLGVGDSSDQKQKVLPKIVAATQSSSGDATACATTTLSEEMISRKKRLGWGEGLAKYENKKVDVNTIEDGTALLENGTGELHSLNKNIAGESPTAAIAPGYGSPTTPSSVDCSSSPGFADKSSAKAAIGASDVSNICRSPSPVSSDYLERLPINIEELDNVSMERFGCLLSELLGTDDPVIGDFSSAQLTSMNKLLAWKGDILKAVEMAESEIDMLENKQKALKLEGGRQCRVVEPSSYLCQGDENVSKKQESPCILAPKIVASSVAETLVRDPVHQAVSAKAPVEIFEDSPGEVKSLTQAFATVGSNEDSLPMPSVRVVASFKAINTSAVTSQEAIDLFSADDMVSNEDLHCAKLLSTNKIYASESSGVFNELFPREFSSFDDSRFLGVRQRQFDSHVKEKIADRIELLRAREKILLLRFKAFQIAWKKDLHQLALTKYQPKSNKRTEVLPNAKISGRLKLPQPLRLRLSSSAQRRDSVASTTELVSYMKKLQNGTRLKPFRDILRMPAMILDEKERARSRFISSNGMIEDPCDVEKERTKINPWTPEEKETFLDMLAMHGKDFKKIASHLSQKTTADCVEYYYKNHKSDSFGKIKKQRGYGKEGKHTYMLAPRKKWNREMGAASLDILGAVSIIASNAGKVASTRQISSKRITLRGSSSSSSLQHDGKYSEGCSHSSRFPRKRNLGADVVAVGPLSSEQINSREDCMYHLKIDPAAKKPRISHSTHNENSNEDEDSCSEESCEETGPIHWTDAERSAFIQGFSLFGKNFASLSSFVRTRSPDQCRVFFSKVRKCLGLECRQSGSANVSTSASVDNANEGVGGSDLEDPCAMESNSAICNDGVSAKIGLNSPTSPFNMNQERANHSGSANVEAGLSRSEQDIGLTLLCLKDGTSLVNNACINGDFPGLVSEPCRDLVNNDTVESQCHAAEQINSNDPVSMEIDEGDLTSVAAEKIKSSDQLSMEIDEGNITPIAVSSDPMYCGASALSGTIVETPTESSHKGSGGEGTAFPNQSSTQQEGVIQAANRSINYGLEAEAARSSFRYPECLHHVPIEEDLVDVSVPQGDPSCHTESELSNSLVVQTNNVGWQFSEVNLNLDRRLRIVGHVKPEQSGRLNATSTEPCQIPWRSFTQDPSRIIRSKSDLIMNTQHTGEGFSLKKCTSSATKPLTVFHKDGLSGHSRSHSFSLSDSERLDKNGDVKLFGTVLTADDNGSKQKQNPGGSIRSSSTLSGDLQYINQQHLQNVPITSYSFWDGSNIQTVLTSLPESAKLLASYPEALSTHLKQQIVSSKEIQLDVSGILSFGKHIEERADVSSGKDER >A09p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15300186:15301357:1 gene:A09p027100.1_BraROA transcript:A09p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNHYGHNSRTCPSRGVMLFGVRLTGGSIRKSASMGNLLSHGHGSGSPGDVPDHVAGDGYTSEGFVAGSSSSRERKKGAIWTEEEHRMYLLGLEKLGKGDWRGISRKYVRTRTPTQVASHAQKHFMRLSDVSRRKRRSSLFDMIPHEGGATPLDLQAPKAENTRVETKMQRGDSVHQTFARNSIHATIEESESMDSTNSTIKEPTPTTQLRSQPQPQRPGSYPVLYPGYFSPYYPFPFPVWSAGYVLEPVKKEETHEIFRPTAVHSKAPFNVNGLLGMTTKLSLGEPKENGESDQSLSLKLGGGSETRQSPFHPNA >A05g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12244071:12245387:1 gene:A05g504400.1_BraROA transcript:A05g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLKGQFTLMPSSLTNLKNKFEINCGRPRFIAVFLRRRLSGVVVVVSPSLSSSSLRRCRRRLSVVVFASLTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDKKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPALLSTVQSEKQSFLYEGVSTVPLNDLPDFSTDSASCNIQASDTFASTVPLNANPVILSTVQSEKQVFLQFL >A05p054800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31345855:31348668:1 gene:A05p054800.1_BraROA transcript:A05p054800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLQYNLSDENPNLNKQFGCMYGIFQVFHRQQYPARPVSSDVEKSLPPGERKEIVGEINMESEETGKSTKKKKKSAVKEKHRVSSESSSRPSFSSSPRSSSFSSTEVSTTASQFDQPGEKQIREQPNARPMMPFDLKELVKGSINREMRTRSEETAAFTQQQQPNSARTSSNEWNEGSGRALKFKESHRLSYDEREMRNNGYKTDSKLKETPRLSLDSRSSPPVSHRRSSSSVVAKLMGLEVIADNFETEQRKENLFCDSPRPQPTALQRSRSVGSIKKILPASSKFPMEPAPWKQMKAGDAAPTVYGEIQKRLTQLEFNKAGKDLRALKQILEAMEKTQKTHQPVPAATSPAMNFKSTSIVVMKPATPVSTSSLQQKAALPNVNVGNTRKPQKVTSGKQNIDSTKSTSPKPIRSRQTLVAKSGKSQQQSVSPRMQPKKLGFERQSRPTTPKAEPGKIQRQLGSRQQRESDSPRRKQGIKPRSSLQQPDDRLSDASSDLRSLRSGSNISLGSNGDIEVTSKHRLERNCDFAELHASKQRNPDFGTKQDRPSLKPLKVTVEQPSPVSVLDAGFDEEDSPSPVRKISLSFKEDDALRSEESMWINKPTGVCRSILLPENKGPTQTDSDLLECFPDEDANIKSGDHKYISEILLASGLLKDLEYSKISIQLNQARLPINPGLFFFLEQNKASNMTLIYNKHRGREFRQQQTNLTEKIRRKLIFDTVNEILVQRFKGSANPVTTMEKKLEAEELLQTLCSEIDRLQENKSKCIFEDDEEDIIWEELQCQGMNLKEFEGENPGIVLDIERMIFRDLVSEVCFC >A07p024920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14386451:14389380:-1 gene:A07p024920.1_BraROA transcript:A07p024920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT2G28650) UniProtKB/TrEMBL;Acc:Q9SIB0] MVLFALTSPSSSSKLKQHSHRSFSESLMEDSIEDAEAIIHQWISPDSSSSSSSFCCTFSLFSNKNREEAKQFIRAVTTLHSAMVKLISANPASTKLIRAESLLKTSMNHLSKEFYRILKSNRRYLDPESVSARSRNSSRNISKEDDDAEAMADLKMIADCMTSSGYGKECFRIYTKIRKSIIVEALEQLGFESLTLSQVQKLEWESTEKKTRVWLRAARKAVTTLFRGERILSDHVFSSSAAMRESSFAEITLQSALALFSFPGNVARSRKTPEKIFLTLDVYQSIVELMPRIEEVFSYDSTSSVKSQIAGTLANLEEAVVSMIDEFESSISKESSKSMISNGGIHQLTRYVMNYIVFLADYSEVLANIIPETSSFASPEEDESTSSSSLSSPLAKRISWLILFLLCKIDAKSRLYSDVALSYLFLINNLHYVVVKVRTSNLKEVLSEGWLEKHEGKLKNHVAKFEEIVWGELMTSLATAEEEEEEEEEAEEFVRRFSDRFEEAYKRQTGWVVPDSKLRDEIKVSAAMTLIPAYTEFYKKYRVGLRKNLGVAPEDIGNYISDLYFGSGGSGCVSSVHSYILKPYLAPHVTFFFLFLLKVTSRMFCITKTDLCEDNSVTVSSSSACPELVSENPIDKPLRAYYLKRKRGAEVAET >A10p004980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9110208:9111372:1 gene:A10p004980.1_BraROA transcript:A10p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELTEQKKVGLGLIGFGLSFSFLGVILYFDRGLLALGNLFWLIGVGLLLGWQSTWRLFTNVNNLKGTVCFVLGLFLIFVRWPIIGIILETYGCIVLFGGFWSTVKMFLSQIPFVGWMIQYPLMVSNPRQYFPCLLPFAFRTNIQSLGYCLKFIACVPLWFAKFLSNLYEVLVEKDT >A09p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40072605:40074412:1 gene:A09p045720.1_BraROA transcript:A09p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFRSLRILISTSRTATTPRCKPHLPHTFLRRFYSAQPNLDEPTGDSVFDSSQYSIPYSSKKQKQPNWDKGYRERVQRELFPEEKGKSKSPEEDSSEDESVDRSRILAKALLEAALDSADDELGEGEVREEDQKSLNVGIIGPPNAGKSSLTNFMVGTKVAAASRKTNTTTHEVLGVLTKGDTQVCFFDTPGLMLKKSGYGYKDIKARVQNAWTSVDLFDVLIVMFDVHRHLTCPDSRVVRLITYMGEEANPKQKRILCMNKVDLVEKKKDLLKVAEEFQDLPAYERYFMISGLKGSGVKDLSQYLMDQAVKKPWEEDPFTMSEEVMKNISLEVVRERLLDHVHQEVPYGVEHRLVDWREQRDGSLRIEQHLITPKLSQRKILVGKGGAKIGRIGIEANEELRRIMNRKVHLILKVLLK >A09p011220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5634586:5636277:1 gene:A09p011220.1_BraROA transcript:A09p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G66055 protein [Source:Projected from Arabidopsis thaliana (AT5G66055) UniProtKB/TrEMBL;Acc:B9DF91] MQLATTISLLLPSPSRLSPSLHSLIIPKRLCSISYSSQTSILPDAADDFTVGDCLVYEDGVFEDPYVEEEVSIASREAIQERKIPKKRGRGKRIVESAAEIEPENLVPDEWRDVQAEVNLTKKDKRKIAQELEFGVKVEKKRQGLIPLRKVDLKEYLTYKEAKVNQLKPVVLDKPTTFDEDGGEKGEETYSSERVAPKNPRWAVYGKGFEHVTKFFNSDKYDPSGKKLDGPRKLLSKEEKFMLNSRNPHIAVATSKKWLPLHTLAASGEFYLVDSLLKHNLDINATDVGGLTALHRAIIGKKQAITNYLLRESANPFVLDDEGATLMHYAVQTASAPTIKLLLLYNADINAQDRDGWTPLHVAVQARRSDIVKLLLIKGADIQVKNKASSITFPLDGLTPLGLCLYLGRETRTYEVLKMLKEFPRSRLKRLVTD >A03p070140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30823306:30825296:-1 gene:A03p070140.1_BraROA transcript:A03p070140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDQSCSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCRIGNEERNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYEKSKLMGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKKPTTGQARNTETWRSGYFYDQLPSGVGSSMEPLNYVSKQKQNIFAQDFMFKQELGGSDIGLNFIDCDQFIQLPQLESPSFPLMKRPVSSTSITSLEKNHNKYKRPLTEDDENFDELISSKSKDKKKKASVMTTDWRALDKFVASQLMSQEDGVPDFGVHQEDDTNKTGQCNNEESNNSGIEMPSSLLRNREEENRFISGFLCTSLDYDLI >A07p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6140726:6143214:1 gene:A07p009850.1_BraROA transcript:A07p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPDIEDGFRARIAGPVIASLNSTTKPVVVRKVVGSPPASPIEDRGTAIPIEDRDRAISERLRLCVVIMKGLPVSLMWRKNDSVTSRKGRSTRGTVGACVDWTSFVKDSFSRYMNMAMRGRSDGRHDWMLGSSEENVGRNDNLFGYDQDIPPEKNFPTNRTIRERPETGDSESSVQGPRPIRRNNPIEPEVHDQPQQGVGMEHTLKMLHDVIARSLQQLQVQLQPLMPPQPTVATPMLPLITAMKNMKTPDFEGGTDPFDTDQWLRIMEKNFETLICSEESKKKMAVYYLDKDAAEWWESRDRQVGHQVTTWAAFKKEFERKYFTPKSKRRLQHQFANLVQGDKTVREYESEFMRLRRHVLRRQDDEETMISNFLFGLKPELENILAVRNYGSLIELAEKAVNVDIGLEAEKAASKKSKQHQEGKYGGNHRSFKGKDKKKESGGPSRP >A09p032950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19932364:19933426:1 gene:A09p032950.1_BraROA transcript:A09p032950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLFGSCWDLAFNLDEALMIQVIVANGLDGELWEDAEVFKPEIFEGFLGDRDGYRLFLFGVGRRACPGDGFGMRTVALAVGALVQCFEWDKVDKGDIDMTPAFSVEMAKAEPLVALPKPWPDMVPSCLSSSVICIGQWSWFRQ >A05g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25598927:25599507:-1 gene:A05g508710.1_BraROA transcript:A05g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQWCFIENFALTPATRKYRATSHKYKLSIIGSSVVTSSSLKNDESFLSLTSYESIINGSLDSNFLIGRIQQRQLQQLRASTMAEKVEESLCLEMKL >A09p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8658796:8661982:-1 gene:A09p016530.1_BraROA transcript:A09p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFASVAALLLLLCFPVAFSGHDYGQALSKSLLFFEAQRSGVLPRNQRVTWRSHSGLNDGKSSGVNLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGNQLAANGELGHSIDAIKWGTDYFIKAHPEPNVLYGEVGDGNTDHYCWQRPEEMTTDRKAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSRLLLSHAYQLFDFADKYRGKYDSSITVARKYYGSVSGYNDELLWAAAWLYQASNNQFYLDYLGRNGDSMGGTGWSMTEFGWDVKYAGVQTLVAKFLMQGKAGRHTAVFQKFQQKADFFMCSLLGKGSRNIQKTPGGLIFRQRWNNMQFVTSASFLTTVYSDYLTSSRSYLRCSAGNVAPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNFPQRVHHRGSSIVSYKVDRSFVTCRGGYATWFSRKGSDPNLLTGAIVGGPDAYDNFADRRDNYEQTEPATYNNAPLLGVLARLSCGHSGYSQLLPAVPAPVVVRRPMPIRKPRVTSPVRASGPVAIVQKMTGSWVSKGRTYYRYSTTVINKSPRALKSLNLSIKNLYGPIWGLSRSGNSFGLPSWMHSLQSGKSLEFVYIHSTTPANVAVSGYTLA >A01p053260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30231207:30232944:1 gene:A01p053260.1_BraROA transcript:A01p053260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKSLELFLQDLCDRTYEITLERGAKTVSSLHLKHCVERYNVFDFLREVVSKVPDYGQAQGQGHGTGDVAMDDRTISKRRKPISDEVNDSDEENKKSKTQEVGNAKPSGRGGRGRGRGRGRGGRAAKAAERENRNREMDLETAMAEQPPPQDSSQMHVSVSSPQENEKKDADGGIAASNEDAKQQLQSPKEGIDFDLNAESLDLNETKPAPVAAADTATTSDEYPGWPMELGKIDPSQLASLGKRIDEDEEDYDEEG >A02g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13246640:13248677:1 gene:A02g504020.1_BraROA transcript:A02g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSQIPVTGDVPATKPNGKKVVSSAEPIKRVDQTGVSLDTAASGDSKYKKQDGKLWDAVPLLKSFGRRAPSGGQRVEEGRRENEKEGGERLLESIYIVTPRVGLTKLQIGESGLGSVYTTINDPTRGDSHSTPLTVAVKILKQLSQQTINIIDPLFVVKEAQAMVSSGSVIAQDHLLTRRTSTLSWKLRPEIMFVIYIDFMTIIYIRNHRTLVWLEKVPKELIPCYNRNRETGPTAVQRCI >A03p040980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17099289:17101447:1 gene:A03p040980.1_BraROA transcript:A03p040980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFARILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRKVVEQLVRRAEKAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITSMPILVKGVLTGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLSEITRNHIVTEWETPRHLPRL >A09p065730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52445502:52447335:1 gene:A09p065730.1_BraROA transcript:A09p065730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVAEETDEHSCDVGNEDGSFSPNSCRLLPAIVTCFPFSIRPYHHPLSDLQKHHLSLFRSPPMRCKRHTVDLSSTAGVCASCLRERLLSLAASAAVTEDDNQSRKSNNLIFPRSVSPYVARRKSDAGGGGGVNRRFITTPQVDTGFSCKDFESNRSSKPRSGKASRLSSLFRARSDDFDSDTKSRVSCSSSSSSRSWISSFLSKKQPTACYIEDVISARRPQRVYCRGMSPARDMETGEDENEPRRTPAMKTPGRRNIAAGIGRSISGMGFCLSPLVRASPNCPFKRKIRFPSEFNGSGGELTAPEKPHIAEAASFCANRSKKLVDIGRVNHRR >A09p078000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57845593:57848077:1 gene:A09p078000.1_BraROA transcript:A09p078000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKEEEKKAEETSEDQKGKGTLSRKNSHSSLCPTDDDDEDEDRKLELGPMIALKEQLEKDKDDESLRRWKEQLLGSVDMEDVGETPDPVVKIMYLTIRSPDREDMVLTVPEDGNPTSKGPWFTLKEGSKYTLVFTFRVTNNIVSGLRYSNSVWKTGLKVYSRKEMLGTFSPQAEPYTHVMFEESTPSGMLVRGSYSVKSKFVDDDNKCYLENNYTFDIRKNWLMKNTYKFQSLFSSLIFLLFLFTLLSISRTNALNSRGGCRHPPSQTSCKTCMAEQMNYVCPKCVPVLRCMARCLWGGVSQRTCTTTCGCDTMAKPSLLECKRCVSRCKCSCAD >A07p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4006672:4007689:1 gene:A07p002180.1_BraROA transcript:A07p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLIPHGRFLLRRLNFRRAYSSKPHHAETDLDSPFSSATSKAEAERKSLNEYFQKIAVKNSTPDWLPFAPGGSFWVPPPHQKTDGKVAYMMNMVTNPLKKEEAFSLSSSSGWPCSSFFIPPNDEKVESSMELNIPGELGHFLNPVYSFKHVDDEE >A08p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18533463:18536238:-1 gene:A08p030240.1_BraROA transcript:A08p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFTVLSFFVVFVLLFACNESSAKTAKYDKSDESVENDDLAAVPSCCGFSSPLLIKKDQWKPIFANKFGQISTVQIGDGCGGMGPYKIHSITLEPNALMLPLLLHSDMVFFVDSGSGILNWVEAQATSTEIRLGDVYRLRPGTVFYLQSKPVDIFLGTKLKIYAIFSNSQECLHDPCFGAYSSVTDLLFGFDETILKSAFGVPEEIIGLMRNRTQPPLIVHDMLTTPGEANTDTDTNTWPLQTRLLKLFSGDASADSVENKKVKKEKKEKKEKKKKPKKATTFNVFESEPDFQSPNGQTITINRKDLKVLQGSMVGVSMVNLTQGSMMGPHWNPWACEISVVVRGSGMVRVLRNSISRSSSECKNMRFKVEKGDIFAVPRLHPMAQMSFLNDSLVFVGFTTSAKNNEPQFLAGKNSALWSLDREVLAASFNVSSFMIAGLLEAQKEAAVLGCPACAEGELEKLKEDEEKKESPPQQPPQPFQPQPPGEKPQQPPQPFQPQPPQGEPQKPPQGEPQKPPQGEPEGPQKPFQPQPGQGEPQEPQASMETKMRDEERKREEEEAKKEEEERWKQEEKLWPTQPQWED >A01g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4070567:4071934:-1 gene:A01g501030.1_BraROA transcript:A01g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSRISPPETPKRSPFTGIFHKLKTNLVFRSKLAEINGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAVTGAVYGVPMPVQPMKSIAAVAISSTAEEFGIPEIMAAGICTGGILFVLGVSGLMQFVFNIIPLSVVRGIQLSQGLAFAMSAVKYIRKEQNFSKSKSVGDRPWLGLDGLFGPSKIKLVRMNKEAWKNGFLKATIPQLPLSVLNSVVAVCKLSYDLFPEKKFSATSVSMTVGLMNMVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGSSLVGIMDKFPVGVLGALLLFAGIELAMAARDMNTKGDAFVMLVCTAVSLGSNAAIGFVAGIVLYVVLWMRNYGRVRTTNLPVQVDQHP >A07p043230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23601811:23602205:1 gene:A07p043230.1_BraROA transcript:A07p043230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRMVVFMVMVLTFRNLLVESEVINRRWGDCFKICYNICVTGPIEDRLTCWPRCAAECGPKKEIDVKKAKDYVISCSDMSDKNCEGNTN >A05p054490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32049889:32051196:1 gene:A05p054490.1_BraROA transcript:A05p054490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFRKVDPDRWEFANEGFIRGQKQLLKSIVRRKPSQVQPPQQPQVQHSSVGACVEVGKFGLEEEVERLQRDKNVLTQELVRLRQQQQVTEHHLQNVGQKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQNNEGNNQHVSEGNKKRRLPVEDQKYSGGYGANGLSRQIVRYQSSMNEAADTMLEQIHNMSNPQSRQEPLSNNHGSFLLGDVPNISDNGSSTNGASGVTLADVSSNPPINYHVPCEANQILEGSLPYSQVDLLAPNAGAAAYGSSNSDVVGCETDNGECLDPIMAVLAGSMGLEANAANELLPGVQDPLWEQFFGERPVIGDTEELLSGSVDDGLIMEQLELQSNLRNVLSNNQQMNHLTEQMGFLTSDALRKFCGVAATKG >A06p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26873418:26879870:1 gene:A06p051100.1_BraROA transcript:A06p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 65 kDa regulatory subunit A beta isoform [Source:Projected from Arabidopsis thaliana (AT3G25800) UniProtKB/Swiss-Prot;Acc:Q38950] MSMTDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLSTVEETCVREKAVESLCRVGSQMKESDLVEHFIPLVKRLAAGEWFTARVSACGVFHIAYPSAPDAVKTELRSIYTQLCQDDMPMVRRAAATNLGKFAATIESAHLKTDVMSMFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQELSTDSSQHVRSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVHSIREAAANNVKRLAEEFGPEWAMQHIVPQVLEMINNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVMTAAKDRQCINKIIFYYHRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPGLVELSEDPDVDVSRAFFGFKQIVLGNVIKFTEKETKSELNISLSRKQVEDSIGRSSHGVEAIVREDLVRIKAYLLFLRYMIDHMNKPCNQEISNRFTKNLNIRWSSGLNLDCKSSNGLSLASIFQPKSTPFFQSKDMLFELHMILFIYALKLREKAMVLASKADMVESTKAYREAAGVFDSVCKIGHTDWSSIEKFPELTSPVCSSLKLLCLAEGQAVTANKAKEKGTSASLVAKLHYGVTQFVAEANASLLSKTNTQVKQLSSRFLDYVSTLGALHELKSGKHLAESLESEGELGEAIGVLRRSLARAKLTTQCRSDTWKSIFKKEREQVITMMNKYESLNESMMLQRIPLEADLPTLQGDKIVKLIPYIDIK >A07p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27583216:27586806:1 gene:A07p052220.1_BraROA transcript:A07p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGFIGSIDQGTTSTRFIIYDHDARAVASHQVEFTQFYPEAGWVEHDPMEILESVKVCIAKALDKATADGHNVDGGLKAIGLTDQRETTIVWSKSTGLPLHKAIVWMDARTSSICRRLEKELSGGRSHFVESCGLPISTYFSAMKLLWLMENVDAVKDAMKKGDAIFGTIDTWLIWNMTGGVNGGLHVTDVTNASRTMLMNLKTLNWDEETLKTLGIPAEILPKIVSNSEVIGEICKGWPIPGIKIAGCLGDQHAAMLGQACKKGEAKSTYGTGAFILLNTGEVPIKSGHGLLTTLSYKLGPQAKTNYALEGSIAIAGAAVQWLRDSLGIIKSASEIEDLAAMVESTGGVYFVPAFNGLFAPWWREDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMNKDAGEKGSLNNEKGEFLLRVDGGATANNLLMQIQADLMGTPVVRPVDIETTALGAAYAAGLAVGFWREEDIFESGEKSKNSKVFRPAMEEATRKKKVESWCKARETLSSPHPKAPLRWISPAPATSFFPPPSEVALLLSLAMMVTIPSCPRPPPDPPPPPCMYAPLEVLLPVIPPEPPDPPDVPLLSVSTVTSQFRTFVSSSPICLAGRFEGAGDLRASPSQPRDAPRCEAGCLYAGKKPRPLTPIEIERASIDGPSASSWAWVSKTFESNIIYLASESPISKMEPPLPANLGIADLKLRLSNSSPTPPSTSPWLAASLSPPQHIKGAELSSATYVHDGTCPLMLNLLMLDEERLGLNFMVPWPQHGNVGGWSPCLNSTTAYPSTTVELMYQVIKVSHSLEPVSTHLSTKPRTVTMSLVYMEITSVVHSSECSRTGVHGTVSTASPSVSSNNMISGKVEIHLVSRFIIVGIRADLVCLMDCIDKSSFSVGLSSVLVFTNDLQTRSSGSPFIGCCTDISMFLGTSVSGFQVKHVYGFLHPFNTPISCCSTFCFRLAVEFTSGCNRLNLLDI >A07g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13793623:13794157:1 gene:A07g505960.1_BraROA transcript:A07g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDEPAASIRCVCSSSDFVFFVSAKLAVVVQFVLQPPQHIATHQNRDCRRLSSSSRNQARAVVVITGSAFLVVAESFSRRRKSSRDCATVSFKTRPSFLRGRAQVHRDRAQLHRGRARRFRPRKSFDPLQW >A09p066150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52609615:52611139:1 gene:A09p066150.1_BraROA transcript:A09p066150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G60620) UniProtKB/Swiss-Prot;Acc:Q9M001] MAPFVEVCRYYKPAAPFSLTSSLSTCPCRSSPTILPQLSLKYSNLRLLASKPSSHPRFNGISFNRSRVITAVARVEPEQLGDDGSSKEEDERVIEDSSLDQKKEKSGSQFKKRVVFGLGIGLSVGGVVLAGGWVFTLALAAAVLLSAREYFELVRSKGIAQGMTPPPRYLSRVCSVICALMPILTLYFGHIDIAVTSSAFVVAMALLLQRGRNPRFSQLSSTMFGLFYCGYLPCFWVKLRCGLTAPVLGRSWPVLLGGQGHWTVGLVAILVSFCGIIASDTFAFLGGKKFQAFGKTPLISISPKKTWEGAVAGLVGCITITVFLSKSLSWPQPLVSTIAFGVLNFLGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVRLHGV >A04g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3762376:3763918:1 gene:A04g501500.1_BraROA transcript:A04g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRKIGIAMDFSESSKNALKWAFENLADKGDTLYIIHTLPTSEVDSHNSAWLESGSPLIPLVEFREPEIMEKYGVKIDIPVLDMLDTGSRQKEVHVVTKLYWGDAREALVDAVEDLKLDSIVMGSRGLSALRRIIMGSVSSFVIQHAPCPVTVVKDNDSH >A02g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25317489:25321178:-1 gene:A02g509560.1_BraROA transcript:A02g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELLPPRMFAAGDEPLGERVNSYHKLKMTEMLIDTLEPEELDFLRKSTFGKVLAIEENPPFSGAFGQHVVVRLLKVNKKYEVWIQFAGNPVRMSLREFAIVTGLNCRKIPEPTKRKKNPLKVKLYWNELFGSLKFGTVDIAIDMLKKKVVKGTQARIKFACLAITSSILFPSSHTPHIMPDHVEMIRDLDEFLAFPWGRASFHTLVTSLISKDEIALSQSSVAIRGYVDAIQMVLLAAIPQLKEEITQSEPIVIEDSESDDESTEEHAPPEEEKAVHSEKPSQTTKYCLIPGHAKSIDTDCQVRVKCILDEPYEEWSAGLDFLWLDEYDDPAVENMVCLINEGFAFRKEMFKGGVSATDLAHKDHHSEAPDCDGSDSQTHILIANLVASQLGEKIRSPPTDIRVEISSLEKRIYEALDAKLEKIVASNIQSQQLAFIQNTISQSLQGIDKKVADTLACQLKTMEASLLKGLSQAIGQPCSSHDVPAGETVYEKSYQPPQLSENVVPHVNPSIPAELDNITHAEAADFRISAVLRDLNTVPDLSTQETTDVNARSQPLEGELPDEEDNQGAEPDTVIPSKAVYSELPAQKSVHETGDITLPEESEVATYMNADQSEEPVEPNQNSEKEFDHSLDAQPIDVDEPVAQEVEIEETHIPFYLLEMPSFSLGLSQEGAVVGEEMHNTSTDASPPRGQELDVLEQRKSKRPRSRPAGLQDYKCDPKVSSGLCIIPDLEHRFKRFVNTTHISLTAIVYFPGVVDALVGFLSRALASVSTVAIYDTTLPVALMNQTTHFHKTAVKDRAKLKFTTVPLPKPLVKSPDRIYFPFNLDKQHWVGVCIDMKAWTLFVLDCNTSFRSDSFLKKELNPIATLIPYVLKYVGYMETIPSGKAFTVSRCKGIPQITSQSDAGVMAVLLIEAHAAEGLGGCKSITPRLLPEASKQLAVRLFESIYM >A02p054970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33308562:33312113:-1 gene:A02p054970.1_BraROA transcript:A02p054970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLLLFFLTSTPLTVISQLDERSTLLNLKSLLGHPPSLRLWNATSSPCHWPKITCAAEKVTGINFKNQNFTVAVPTSICDFPNLDFLDLSYNYFPGEFPTALYNCTKLRHLDLSQNNFNGTLPADIHRLSRRLEYLDLGATGFSGDIPKTIGLLSNLKVLNLYQSEYDGTFPPEIGDLSDLEELRMSYNDKLLPARVPAEFGKLKKLRYLWLTEMNLIGEISAVDFGSLTDLEHVDLSFNKLTGRIPGGLLGLKKLTDLLLYANDFTGEIPKSITATNLVALDLSANDLTGSIPESIGNLTKLEYLNLFNNQLTGVIPSVIAKLPRLKDLKLFTNKLTGEIPVDIGLNSKLESFELSENQLTGKLPENLCKGGRLLGVVVYSNKLTGVIPDSLGECKSLLTVQLQNNNFSGEFPSRIWTAPHMYSLQVSNNSFTGKLPERVAWNLSRIEIDNNNFSGEIPSTIGSWSSLAEFKAGNNGFSGEIPKELTSLSNLISIFLDDNNLSGELPDDIVSWKSLTTINLSKNKLSGKIPRGLGSLPHLLNLDLSENGFSGVIPPEIGNLKLTTLNLSSNRLTGEVPDQLDNLAYERSFFNNTNLCADKPVLNLPDCRKVMRRAKGLPGNIFAMILVIAILLLAITLLGTFFVVRDYTRKRRRRKGLETWKLTSFHRVDFVDSDIVSNLMEHNVIGSGGSGKIYKIFIEGSGQYVAVKKIWNKKKLDKNLEKEFLAEVEILGTIRHANIVKLLCCISREDSKLLVYEYLEKRSLDQWLHGKKKRGTVEDNSLNWAQRLNIAVGAAQGLCYMHNDCSPAIIHRDVKSSNILLDYVFNAKIADFGLAKLLVKQNQEPHTMSVVAGSFGYIAPEYAYTSKVDEKIDVYSFGVVLLELVTGREGNKGDEHTNLADWSWRHYQSGKPIEEAFDEDIKEPSNTEEMTTVFQLGLMCTNTLPGNRPTMKEVLYMLRQQVHAETKKIATEAHEAPLLVSLSGRRTSKRIEDEELGFV >A04p016480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10127757:10128659:1 gene:A04p016480.1_BraROA transcript:A04p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQPSQLISLQQDGLYLLDFSKTISLKLNLPFTLPPPTEPVCILHCRGIMCLTLEGHNDLAIWNPSSKKFKRIMMFNSRQTTNPLGFGYDRFSDDYKIVTIIDRKTFIYTFKEKSWRESVPRDISLDCKFKNRTGTVEDHCMYWIADRSHIKNPCKENTILCFDFVNEEYKELNLPITCKQKFSSWLGVLRGELYIIEHYPCINNDICVWRQKSSDKKIKKWQSEPWINMTKHLKEFKNFEVVFACIARNDDVFIVVKDTRNGDGKVMVYNKTREKFIEVPFGSSLKGFRCMSDYICQ >A09p065510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52363411:52366404:1 gene:A09p065510.1_BraROA transcript:A09p065510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVDKIKSATEQGQTAFSFEFFPPKTEDGVENLFERMDRLVSYGPSFCDITWGAGGSTADLTLEIASRMQNVICVETMMHLTCTNMPVEKIDHALETIRSNGIQNVLALRGDPPHGEDKFVQVEGGFACALDLVNHVRSKYGDYFGITVAGYPEAHPDVIEADGLATPESYQSDLAYLKKKVDAGADLIVTQLFYDTDIFLKFVNDCRKIGINCPIVPGIMPISNYKGFLRMAGFCKTKIPAELTAALEPIKDNEEAVKAFGIHFATEMCKKILAHGITTLHLYTLNMDKSAIGILMNLGLIDESKITRSLPWRRPANVFRTKEDVRPIFWANRPKSYISRTKGWNDFPQGRWGDSRSASYGTLSDYQFMRPRARDKKLQQEWVVPLKSIEDVQEKFKELCLGSLKSSPWSELDGLQPETKIINEKLGKINSNGFLTINSQPSVNAAKSDSRAIGWGGPGGYVYQKAYLEFFCSRDKLDTIVEKSKAFPSITYMAVNKAGNWVSNVGEADVNAVTWGVFPAKEIIQPTIVDPASFKVWKDEAFEIWSRSWANLYPEDDPSRKLLEEVKNSYFLVSLVDNDYINGDIFSVFA >A03p069100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30323997:30324878:-1 gene:A03p069100.1_BraROA transcript:A03p069100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKDHTNKGAWTKEEDQKLISYIKSHGEGCWRSLPASAGLLRCGKSCRLRWINYLRPDLKRGNFTLEEDDLIIKLHSLLGNKWSLIATRLPGRTDNEIKNYWNTHVKRKLLRGGIDPATHRPIKARRAASEARETEDSLVKVLSFGPQLEKEESSREEGRFKKSLTCKTKSLDLNLELRISPPWQDQQQRDERKLLFGREKYLCSACRFGLGNGKECSCENVRCHIDDSSSSSYSSSDISSSVVGFDFLGLNTSSVLDYTSFEMN >A01p004290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1837230:1840198:1 gene:A01p004290.1_BraROA transcript:A01p004290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIYFSLLLIFLSHFPSSHAEPFIGVNIGQVADNLPPPSETVKLLKSSSIEKVRLYGADPAIIKALAGTGIGIVIGAGNGDIPSLAADPNAASQWINSNVLPFYPASKIILINIGNEVLMSNDPNLVNQLLPAMQNVQKALEAASLGGKIKVSTVHSMTVLGSSDPPSSGSFAPSSQAGLKGILQFLSDTGSPFTINPYPFFAYRGDTRPETLAFCLFQPNPGRVDGNTGIKYMNMFDAQVDAVHSALKSVGFEKVEIVVAETGWPSRGDPNEVGPSVDNAKAYNGNLIAHLKSMVGTPLMPGKSVDTYIFALYDENLKPGPSSERAFGLFKTDLSMAYDAGLAKSSGSSSNSSGQTPSGKVTSTGWCVPRNGATDEQLQASLDWACGQGIDCGPIQPGGACFEPNNVASHAAFAMNMYFQKSPKKPTDCDFSQTATITSQNPSYNSCVYPGGGGGAGSTGVMNKYVSSDKLDSKDNGAVEPKVYSSLSFLLIFVVSLIFHVNM >A10p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16847755:16851105:-1 gene:A10p026590.1_BraROA transcript:A10p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFSNVDRAPEDPILGVTVAYNNDPSPSKLNLGVGAYRTEEGKPLVLDVVRRAEQQLVNDPSRVKEYIPIAGLADFNKLSAKLILGADSPAIQESRVATIQCLSGTGSLRVGAEFLKKHYHQSVIFIPKPTWGNHPKVFNLAGLSVEYYRYYDPATRGLDFQGLLEDLGAAPSGAIVLLHACAHNPTGVDPTPEQWEQIRQLIRSKSLLPFFDSAYQGFASGSLDTDAHSVRTFVADGGECLIAQSYAKNMGLYGERVGALSIVCKSADVASKVESQVKLVVRPMYSSPPIHGASIVATILKSSDMYNDWTIELKGMADRIISMRQQLFEALQAKGTPGDWSHIIKQIGMFTFTGLNKEQVAFMTKEYHIYMTSDGRISMAGLSTKTVPHLVDAIHAAVTRVV >A05p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9509068:9511118:-1 gene:A05p020990.1_BraROA transcript:A05p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKPVEDIYPKWDNDKIYTDLDNMIKDILNGQLNEKFWDAVPTTKCQKRKNGVAASVVPNQRPSTMRRKDKEPADGSEASDMAAAHNVAISGLAELVKILTAKIEGIDDSVADKVTKALDATIDSKVEARVRAYESDLRNQIAKFEAQINDSKNNADVNIAPDVATSKAYEDEEDGACSNDLKKINSQDGLPVDCVVKKEKKDKKTMDSTQNLTTEVVIKTGKKAGIPPRRVKQEKAFEIPQLNDESISSKDLENHLLWEKLKRIISGEKVSKEPYDPLAKVEAEKLQKVLDFINSDLEAKEPGVGAESAGFFLKLLIPRDDWPTKDYGWLNDSQQCLCFTDGPGKNSLHIPLLELHFCLTALGRNFDGLNDQIITQLRLKLAGDIYEE >A10p015540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3561623:3563422:1 gene:A10p015540.1_BraROA transcript:A10p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSGYRRGKVVLSGFLSNKGSRRYGKSVSLRSRRKVMTRGRGFSVKLSPGDQGWVSIIIKMKSSLYHDANSKWVKVPEKGSKRTSTYRGNSRGDGENSYRRPVRREKTRVGGQENQNHISPWCARVQEAQNETREEVCEDGEIKHAEEGLTIAPSQEFQEALTKTQANGTEVILDPTEEEEGLQNIQGLLENQVDDEEDGEVMEMD >A01p002140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:983066:984432:1 gene:A01p002140.1_BraROA transcript:A01p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKGAASASELEQTLDPNQTSIEATESTCNNNNAAESEKTLEFADELTEKGSLFLKESDFAEAVDCFSRALEIRVEHFGELASECVKAYYKYGSALLEKAQAEADPLGNNMPKKEAEAQQESSGKDIANGEYVVSSDPEKQESSSGGQEGSDGKEDGEDCQEDDLSDADADEDESDLDMAWKMLDIARAITDKHSTDTMEKVDILCALAEISLEREDIESSLSDYKKALSILERLVEPDSRHIAELNFRICICLETGCQTKEAIPYCQKAVLICKARMERLSNEVKGSSGSATSSAVSEKEAEIRTLSGLAEDLEKKASGA >A09p003610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2076878:2079159:1 gene:A09p003610.1_BraROA transcript:A09p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGGISSGGTGSSGEAEVRKGPWTMEEDLILINYIANHGDGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLTIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKYIKQTDVTATTTSSVGSHHSSEINDQVASTSNHNVFCTQDQAMETYSPTTTSYQHTNMDFNYGNFSAAAATVTAITTADYSIPMTVDDQNGENYWGMDDIWSSMHLLNGN >A03g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12628038:12628506:1 gene:A03g503690.1_BraROA transcript:A03g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPIGTWCVANPKIQDPVVQAALGWACQQSEAYCSRIQLGQNCYSPNTLRDHASVVFNTYYQQNKNQPGSCDFNSAAVITNTDPSKQLYLNSVMTLANLSLSLILSKEQRRNEASSATNETTYVLVLYTK >A10g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13318235:13326827:-1 gene:A10g505340.1_BraROA transcript:A10g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPHDHPLPSSPSFVHLTSTSSHELAQSHPHSFSIRDYAYSNRKNNIKSNWPFSPKSLQLLSTHGVTDPLPPFQITASSSSGKQIVSHVQRGRHLAKLGLYQTIVKTRQGVCSQSKIVENGLFTNTSVSKAKEEIVLVAATSNNHHKSKTCGRGMVKCKEATSCVGIESIMASKTCPICKTFSSASNTTLNAHIDQCISVDSSPVISKPNKPRVNQPLSVDSAVPLVTSKPSKPRGKPLMKVKTMVDIYATAKECTLEELDKRNGTNWAVISSYTNRVVADKSEVSKKRKVAPVGVGPVYVDAKGQKLRIITEFSEKKTSSTTRWREQQEDGSNEKKSSSQGSKENSKSSRKRRQGKKHHKYLKLNNSHKTNESEVPECQRGVSGEGSSTGHRRIFNQRMLATRVSVSKKLNEKGDKVYALQDQPSEDDDDDDSWSGGDHVVLRGTALSALDKQKLRGEVSGRNKTMFGSKRAQRVRMSEKEETSLAGAHLNTVRLKKSLSSIQEDKHPPGKNVREVTDASPRATSLRKLLPPFVANGWRRLSLPVELKRARLDLSDEEEEETGRWESEMTQERELSDDDYVSGDNGERNEVVHRSNPLTFSGYNEYEYEDDDDEESSEEEEGANVLDKTDEFYQSDTPPSNETIPSERAYLYNSEGVENMVYEEGDDVRFVSEVGKGSLYVEVDTIPIPGPPGSFIPSPRGMSYDEHLGNSSVITSQLQSSMDQLDRHSSESPVSAFSNFAAARLSFPAELFSMDVPSSYSTTPVSFSVPSHPEKMTVDKAITPPSFRNNDGEPCCCQRKERISEGVTLNHQPSHLLQRRDAASSSSMAMNLTKPLTCLDPNHPFEQSPPYMIQQEFDLHSKFSNKANVNGAAVVPPSPSNSVLRLMGKDLMVMNQGEASLASLKPTPQFLDPPPLSAGAGLYLNTGLYLRNSLEPTHQTQTQASAFRNSFDHVSGDRTPKQKVKLNVTEEKLLNLMLKYNGRKPRAETKLKSAVQLQDLLDATRMLVPRTRLDRESDSDPEDLEHAEKLRQVKAVIEEALYTVFGGVSGRAGSNRLTISEKVFSMAPTITTTTTYTSIPIPTGDVISRSIHNLTSAISRRRPWSELVFSGAFCFPERFSSLPLRSRTNLRYFSVNYSLIIGTCAAFALVTASPVALVVVGTIIALWLLLHFFREDPLLLWGFQVGDRTVVCFLILASVWALWFTSSAVSLAVGVSVGMLLCTVHSLIRNSDELFLEEDDAITGGLIGSNRR >A08p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12014713:12018606:-1 gene:A08p017190.1_BraROA transcript:A08p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMVPTWRPDPVYRPPETPLEPMEFLARSWSASALEVSKALTPSDPQILLSKTEEEPILRDGDTEESGLVSGNPFSFASSETSQMVMDRILSQSQEVSPRTSGRLSHSSSGPLNGSLTDSPPPESDDIKQFIRTNNSSLNNINCQFRSTATTPGPITATATQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEVMGAEREHLAYVVSSAVNVRSAGDIMTLTAGAATALRGVATLKARAMKEVWNLASVIPMDKGLTTSGGCSNLNNGSNGSSSSSHSGELIHEDNFLGTCSREWLARGCELLKRTRKGDLHWKIVSVYINKTNQVMLKMKSKYVGKTFTKKKKNIVLEVIKNVPAWPGRHLLEGGDDLRYFGLKTVLRGDVEFECKSQREYDMWTQGVSRLLVIAAERRFRM >A06p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3618732:3620070:1 gene:A06p010110.1_BraROA transcript:A06p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDFSSSLRTLVTYKVFLTGMLRCSPAMIHKICAETSSVNVLHHLWKTGWKTVAALSSSPSNSFYYLKSHIPAPSTASLFWRRQENFRHPRQRGEPHFSEDHIMVENGYQSRRISKNKLAKCVGLGSKTRTHTHGNKEICLLLCSIIYNLLEKLSFFSRTEEEKIETPMALSCSFSLSVFLLIAVSVQWALVFSESTISASPAVLPYINAPDMSSFFPSPTKNRSFDTAASPVPEAPAPGPSSGQFNGNVAGMSMQLRPNLSLVLVIVGICIIVTSLML >A05p012080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5251325:5252536:-1 gene:A05p012080.1_BraROA transcript:A05p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPRQNDHLGVNKIGKNIRKSPLHQPNFGANANAARPQGQPQVYNISKTDFRSMVQQLTGSPARESLPRPPPQNSSPKPQSTRLQRIRPPPLTQINQPAAPLQHYPHGYASGPPPQPRLLQGTQQHQQQPMMGHGDQFWSNTAESPISGYMRYLQSSLGDAAPSGNQMQPGYEHRPYMPAQEHRPYMPPAQEHRPYMPPAQDHRPYMPPAQEHRPYMPAQEHRPYMPAQPQPYMAPQAQTQPQAQPHMMPGSQPHMNMQGPLPPPGLVPSPAPRNLPSQPQFNGPVPGTPTLPSPRFNQMYGGFPSPRYNGFGPLNSPTSQFAPPSPSGYPNMFSPRSSYPLLSPGVQYPQPLTPNFSFSQLSQSGNQGPGAGTGPPQPPPSPGLMYPLSPGFFPSPRWGNY >A02p013160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5759985:5762442:-1 gene:A02p013160.1_BraROA transcript:A02p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITESYPPAFRRVINDGAPSATAEIEPVLVQDKDIDVPVIDFECLDKEILTEACRDWGIFRLKNHGVPLPLMSQLQEISESLLSLPFENKQKLFAAVNSPMSYFWGTPALNRSGDALKRGAQASNVSMVEGFNVPLSKLPASTSCDDDAQRSELESFRVLIEEYGRHITRIAVSLFEAIAQTLNLELSSHQKSGYLLESTGLIRVYRYPPSDKTAGEALGMEVHTDSSVISILKEDETGGLEIMKDEEWFRVKPVADTLIVNLGDMMQAISDNEYKSVEHRVKKKDMTTKRHSVCYFVFPQRDCVIKSSNYKPFTYSDFEAQVQADVQSLGTKIGLLRFTPESPLFL >A10p030830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18580568:18581781:1 gene:A10p030830.1_BraROA transcript:A10p030830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPTRVMVAVNESTIKGYPHASISSKKAFEWTLKKIVRSNTSGFKLLLLHAQVQDEDGFDDVDSIYASPDDFRNMRERNKANGLHLLEFFVNKCHEIGVRCEAWIRKGDPTEVICHEVRRVRPDFLVVGSRGLGPFQKVFVGTVSEFCVKHAECPVIIIKRSAEESPQDPADD >A02p057100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34367463:34370684:1 gene:A02p057100.1_BraROA transcript:A02p057100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 15 [Source:Projected from Arabidopsis thaliana (AT4G08530) UniProtKB/Swiss-Prot;Acc:Q9M0T1] MSNSVFIVSIVLMTIVSPIFNAKMVYSITCGMAKDSMRPCLVLAPGQVPTRPCCDALQAIEFQATTKQIRQFYCNCFKNVIHSSPYVKLIPLPELCRIPITGILTPPHGCDRLCHQELGLRLWDEELPTPTIEKVCHNMFFLGRNKSDHKYF >A06g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15234308:15236892:1 gene:A06g505190.1_BraROA transcript:A06g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGWTNDSHNTPRRMPELMCPGSWISPLETTSMEIRTFVHPTVPIRLINPSRVHLYWSFSWNHDQTTELTVPELVFSGQIDILRPTVKPDLAWVVKKPKTDMHSHPADHPDSPASVLIFTPCIHLIVGISRAILHLLAIISIHLSSRSVIAFSDHIQHPAKVIIQFRMYQVVSEPLWLRNPGGVVEEKPCWLKRNPALGQLRRIHIKNLFIFLSLFFFPQKMFGLFKKSKPQQDVYFPFKTVLEKKKQMVIGNKKQFDSNGFDFVQKQRNQKNRQNRFDDDEKWVRNGDRPFTKAKRSNHDVFDQNELQTYVSLKKMLHKEIHAIRHLKKKGNTNTSPPPKHQTDQHGDQDVMNNLNKVCSSDHTDHTDRAVPRASRLDLRLEPRPDDRTDHTGVRLPRPSRHSKTHGRARLSLGREETKDVHAFSSGRPSEQFRKCPYLYPVHPSGSDELGHYLKGHL >A05p037670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21402777:21405693:-1 gene:A05p037670.1_BraROA transcript:A05p037670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDRTQDGTLGKGNGDGFAHLGRPDDIDVSIELRDWLFALEGREGHYLPGGVNIEANIVASEDKSGHDDTLNWVAESLKFSVKQPALKLCSYQTLEKQFVLIHGNSVTNGFISNLHLNNSLVNLYARHGDMKHARKLFDRMPERDVVSWTAMISGYSRCEHHRSAFLLFKQMRREPVRANDFTYGSVLKSCKGLGCLKEGMQVHGCVEKGRFVENLVVRSALLCLYAKCGKMEDARLLFESMKVRDLVSWNIMIDRCTDASTADTAFALFQLMLAEGKKPDCYTFGSLLRASIQVNCLEMVSQLHGFAIKLCFGRSYVALVRSLIVAYAKCGSLGNALKLYERTMKGDLISCTAIITGLAQQNNFTSGAFDIFKEMILMKTQMDEVVVSSLLKMCTTIASISIGRQIHCFALKSSQIRFDVALRNSLIDMYAKSGEIEDAVLAFEEMEEKDVRSWTSLISGYGRHGDIEKAISLYNRMEHEGIKPNDVTFLALLSACSHTGETEIGWKIFNTMINKYGIKVREEHLSCMVDMLSRGGNLEDAYELIRSKNGITSVSSSTWGAFLDACRRHGDVQLSQVAAAQLLSMEPKKPVNYINLASVYAANEDWDNALKTRKLMKETGSCNKAPGYSIVY >A01p017750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8656291:8656461:-1 gene:A01p017750.1_BraROA transcript:A01p017750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRRSFSFLTGTACGIYIAQNYKVPNINKLAHCAVSIAKELEKRYRKPESSDDV >A02p029970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15188263:15194480:1 gene:A02p029970.1_BraROA transcript:A02p029970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLAAKSNPTTRSISLNIPHSARPNRHQDLRYSLSAGPRTNEDRPQSGNGVAGILYKWINYGQGWKRRWFVLQDGVLSYYRIHGPDKISLSVEMDRRSKLIGGESLRFICRHSKRGDVHSPGKPLGQIHLKVSSIGQGISDSKRFTVFTGTKRLHLRAASSEDRTAWIEALHAVKETFPRMSNEELMATTTNVSISTDKLRQRLMKEEVDETIIKDCEDIMKNNFIALHNEVMTLKQYQCHLVDTLKNYYVVDKLTRSTESRALYSSHPSYDHHLRVTRRSYRKRSTDDAFFLCSTASAKSRSVAAVADYSATPIQLQAIVHYATSTITPQQNIHEIAISFSGYFTEAPGRMAAIYSAAVMARNMKKAWSHSRVLARR >A02g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20397619:20398208:1 gene:A02g507120.1_BraROA transcript:A02g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKGTVTVRIWYSFDSFNSSSKVFGVMIWMRKPTLMKRADAAVSREPEGCKVLGKRLSLCNSLPSHMGYSIERLPLLLCEVGSPYYCHFWEIGEGILLEKRLGYLHSTFFLRRKGRDPPASSLSRATGRFLFSPSRFFKVVTTEDN >A05g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10306692:10307191:-1 gene:A05g503560.1_BraROA transcript:A05g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILLADLKVDLCLNTESPDEKVYVQQIILKPALENDCGILRMICTLDSKRTRLSL >A02p041120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25941776:25944645:-1 gene:A02p041120.1_BraROA transcript:A02p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane-associated cation-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G44610) UniProtKB/Swiss-Prot;Acc:Q9LU05] MDAEKPLLTDVPVMEISLEAGTNPEVDVLATPTIAGFPGSKAACEPSSTGSGPVTFIFEKVCLFLPAVEKSREVKIVEEVVKTDESFKDEGTPSSEKEIEIVEEKKEEVKPTFVPVPAAAEEKKPAVEEEKKAVVEEKKPSTEEEKKPAVEEKKLVVEEEKKPAVEEKKPMEEVKKEVVAPVQVAETPSTKVTEAPVVVTPAKAPETTAAATQKA >A10g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5283177:5289025:1 gene:A10g502010.1_BraROA transcript:A10g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLHTRSAHMADLKGKGILYEDDDEPIKLTEQDDSQVIKEFRMSLIGKVLNPKKQNVEKLLQSMPTQWGLQDRIIANDLGKGIFLFNFTTEEDLMSVLQKGPFHYNYCMFVLVRWEPVVHDDYPWIIPFWVQLYGLPLHLWTVTNLKNIGSRIGHVDVDSIELTEGRMRIEVNSRRPLKFKRKVESPDAEEVAIEIKYDMLFKHCTTCGLMSHEKGYCPTMEPPARVSSERGGVFTRVQVPQLRNTRQPSLNEYNQPSLRRDVEPARNRAEIREMASRWSATRNQIMVRGRYQDDHAYQNRNTGAGDRFRSHSDRIIRRRDERQSGNSSPKTDDVPYEQLQSNQQGRVRTERSQDEMEEDNVTIRGKNVALSLTFSPQANGGAENDQIIGALKDMEILDTNDAGMMECDVQNDDLLADEVREMDEKATHSHVAASSNVMLAQSNKKSSQSGAKRSAPLGIQSRKREILRQGSPRAHTVKSSGGSKIRGRDGSWTSSAHISGCGWFGYSDHLKLE >A05p021130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10012418:10013608:1 gene:A05p021130.1_BraROA transcript:A05p021130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISDLPHDLESEILSRVPAKSLWELRATCKRWYALLRDPWFVEKNKKMGKAVRESMLLSNLRVSSIAGDLHGLYNNGVEPSIMVTGKLGSLEDSKDLKFSHIFHCDGLMLCYTKGNTRLLVWNPCTGETMNIEPRTRYERNDTYALGYSTSSSSGHSYKILRYWYYENDQKEWIAESEIYELSSDSWRVNLDSFTCDYTLSCEGITLKGNHYLVAENEETVFFLMKFDFTTERFVRLPLPFQSFNSENTVVALSVVKDEKLSVLHQNIYPWSNVMRLWVTNNVDEDLSWRSDFVLTVDFDKFDLPSVVNVTSFLLDEENKVAVCCDKDPDDKDKTRMYIVGEDMYKQVYKDNVEASRFNWPLVLTYVPSLVCIQKKTPRTKRRKRRRLSFKCIN >SC245g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:10638:16271:1 gene:SC245g500010.1_BraROA transcript:SC245g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKLTTACQDAPDVLSLDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFVLGFGDIRKLMRHCYTFLDRTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKATFLSLLVRLSPSFDPSFVGPVRHIRQRSKSGSIIGSSATLCVTVHTISSLSLRIEFTMFGLQRKSNKEKHPRLSVSQTSFKSSLNYCDECVSVQKKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFNDFSDSSPIFDETDEEPIGNLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSHQVFEEEPLNFPHQCPCLDTWISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSNLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLINDEFFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDILSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILVLFELSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRDSVQEGYLNSPKVFCLESNFKRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRTHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSIFGKQRTCLMLTHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDEVSLVALNKQDKHDQFLRRASTNRRQSHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKV >A03p047600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22347530:22352210:1 gene:A03p047600.1_BraROA transcript:A03p047600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLTKRPKQSSRTPLHRAAPPDSPVTPAPHNRESANRDHFISDRPATGTPAPWAPRLSVLARVSPVNDANQLKPVFVGEFPQLVRDEQTRNNTHPGDVCVSGGMDKETCLSWFITGSSKLFVWSQLTASPSRKCVALELPLANQESVSSDGKSWLVSVVSWDTSPGAAARSRSPIGIVMVNRKTRGVVFWSDIFSSQEASPVTSLGSFDDTGVYSSPKVRKSSRQSNGIRGNRSGYSDLNALITTSVDATERLCVAVACSSSGELWQFTCSPTGIKSNQIHLDVSSSSVSEGYPRSLVWRFSQGSARESCCQFFMLTDCDIHCFAIEPDLTVSEVWRHEIVGSDGDSGIKKDIASQKQTWPLDLQVDDQGKVITVLVATICMDRANSSSYTQYSILTLQQKSETRLADGREERVLEKQAPVQVIIPKARVEDKDFLLSMKLRVGGRPQGSAMILSGDGTATVCYCHGNSTRLYKFDLPYDAGKVLDASVLSSTDEHECGAWTVLTEKAGVWAIPEKAVLLGGVQPPERSLSRKESSNERSRDETRSLYNIDRTARLDDSDLQNSEDKVNAKMGVFRQTARDEESEALLGQLFEDFLLSGRADGSLGKLSQSGAFDRDGETNVFARKSKSIVDTLAKHWTTTRGAEIVAMTVVSTQLLEKQQKHEKFLHFLAVSKCHEELCSKQRHSLQIILENGEKLAAMIQLRELQNLINQSRSGRFGSPHAVSEDQVSCALWDLIQFVGERARRNTVLLMDRDNAEVFYSKVSEIEEVFYCLNRQLEYIIRAEQPLGVQVQRACELSNACVTTLRAALDYKNEHQMWYPPLEGLIPWHSQPVVCNGLWCIASFLRHLLTEGSRIDISAKSEIYMHLEVLTEVLLEACAGSATAKLEREEEDKALLDEYWTRRDTIFDCLYEQAKELMEADFQNVNERAEMTDEDIFKNRCSDLLSIAKRHAGYKIMWRICYDLNDTGLLRNLMHEGVGPQGGFSYFVFQQLYDMKQFSKLLRLGEEFQDELLIFLKRHSDLLWLHQVFLHQFSSASETLHSLALAQDEESMTATNERTVSESEDVQPTFADRKRFLNLSKIAYVADKDVDSKSIVKRIEADLKLLKLQEELTKALPSDEQTRNRLFRPEELIEICLSIQGRWTAIKAFEVFAWTSCSFREKHRSLLEECWRNAADQEDWDRLHQASANEGWSDKETLQNLKNTALFQASKRCYGPNRVNAFDGDFAQVLPLRRENPEDKTSSVEDLLMSHKDFAEAGKLMLTAIMLGCVEEEGIEAEDLSSPMA >A03p031920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13506532:13508255:1 gene:A03p031920.1_BraROA transcript:A03p031920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNDVSGSTIPLHARPLTRAYSKMITSSEVDATTQSLGHVARANTKRAALDEKKANAPKKRAVLKDITNEISPKLENIKQIEKVEAVSTVANILKVIDIDSDEKDPLLCSLYAPEIYHNLRVAELQRRPFPDYMERIQRDLTRTMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLHGNYIERQRLQLLGVTCMFIASKYEEIFAPRIEEFCFITDNTYTKDQVLETESQVLKHFSFQIYTPTSKTFLRRFLRAAHASDLQKPRVEMEFLANYLIELTLIDYEFLKFLPSVIAASAVFLAKWTLNQSSHPWNPTLEHYTTFKASDLKASVHALQDLQLNTKGCTLTSIRLKYKQEKLKSVAVLSSPELPDRLF >A08p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20537162:20541959:1 gene:A08p034530.1_BraROA transcript:A08p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSERRHQRGGRKMFLGIVLNQMGLACVQIDEIEEDVELSEEAKCVLEQECGIYHAETLGVYNNLAGAYDAIGRLEDAIVLVGHVVGARKEKLATANLDTEEKKGRENRSPPLSVATMFEYRCNSINWKPSPLISLATSSDGSQVAAAREDGSLELWIVSPGSLSWHCQLIIHGDPKSRISHLVWLGARLFSSSIDGTISEWDLFDLKQKVVLDSIGVSIWQMAVAPLSTAEAEMMVKKEYSSEESDEEGESGVEDGSEFDELEEKPDRRLAVACDDGCVRMYHVSDSEKLTYYRSLPRVSGRALSVTWSLDAQRIYSGSSDGLIRCWDANLGQEIYRITVGLGGTGNGSELCIWTLLSLRCGVLVSGDSTGSVQFWDSQHGTLLQAHSNHKGDVNALAAAPSHNRVFSAGADGQVILYKLAGGTFKSQDIKPSSTKKWDYIGCVRAHTHDIRALTVAVPISSEGSLPDSDAKGKSRKLRRKEKPGGISYHKWAHLGVPMLISAGDDAKLFAYSVQEFTKFHPHDICPAPQRVPMQMVHNTVFNQTSLLLVQDSCSLDILGIHISSESSGRVLTKPLVRVKSKGGRKIICSAISNAGSLFAYSDQIRPSLFELKKNKLGKNPWSPNRKRLPNLPSAHSMVFSCDCSRLIIAGHDRKIYTVGTDSMELIHTLTPRQEAQEGESPPREPPITKLYTSTDDHWLAAINCFGDVYVFNLETQRQHWFISRLDGASVAAAGFHPRDNNVLVISTSSNQVFALDVEARELGKWSLLQTLCLPKRYQEFPGEVLGLSFTPSPSSSSVIVYSSRAKCLIEFGKPTEQGEEMDLSERVEDRIASIGLRKLGNGTRKRRLEEYQKESKSNERDEIETSKHPVLYLKHLLKNAILVVEKPWIEVIKSLDSQPVHRHIYGT >A06p018760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9094281:9095216:1 gene:A06p018760.1_BraROA transcript:A06p018760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 27 [Source:Projected from Arabidopsis thaliana (AT1G20900) UniProtKB/Swiss-Prot;Acc:Q9S7C9] MEGGYEQGGGASRYFHNLFRPEIHHQQLQQQGGINLFDQHHQQQQHQQQQQQQPSDDSRESDHSNKDHHQPGLPDSDPATSSSAPGKRPRGRPPGSKNKAKPPIIVTRDSPNALRSHVLEVSPGADIVECVSTYARRRGRGVSVLGGNGTVSNVTLRQPVTPGNSGGGAGGGVVTLHGRFEILSLTGTVLPPPAPPGAGGLSIFLSGGQGQVVGGSVVAPLVASAPVILMAASFSNAVFERLPIEEEEERGGGGVGEGEGPPQMQQAPSPSPRSGVTGQGQLGGNVGGYGFSSDPHLLGWGAGTPSRPPFT >A02p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17589438:17592762:1 gene:A02p033190.1_BraROA transcript:A02p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCDGKWTPVIIMITINSALGLGNTMVKKVLDGGFNHMVIATYWLAISTVCLAPIAFFWERKTRPKLTLNILVQLFFSALIGASLTQYFFLMGLSYTSATLACAFISMSPAVTFVISLIFRVEKLNIKSKAGVGMVMGTLICIGGAFILTTYKGVPLTKLHKLDLLTNNKPALKPEKWITGCVLLFAGSSCFGSWMVIQSKVNDKYPCQYSSTVILSFFGTIQCALLSLIKSRDIKGWILTDKLDIMTIIYARGPTFTSVFTPVGIIFATLFDFSILHRQICMGSVIGSGIVIFRLYIFLLGKVKQMKGDGAKKLPSHFGEEEREDDEQYKKGHLMVVPMTP >A08p026150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16700508:16705021:1 gene:A08p026150.1_BraROA transcript:A08p026150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGERGGWESSESMYCGVETDFSDDVPSLLSFHISTGGFDYVLAPLMNPSYRPSLVEANGSSDTQALPVSGSDLVLAPSQWSSHVVGKVSSWIDLDSEDKVLRMDSETTLKQEIAWATHLSLQACLLPTPKGTSCANYARCVNQILQGLGNLQLWLRVPLVKSDGDSMDATSEGLNDSWELWNSFRLLCEHDSKLSVALDVMSNLPSETSLGRWMGESVRAAIISTDSFLTNARGYPCLSKRHQKLIAGFFDHAVQVVVSGKLVHNLQKGSDSNTEGTQRHPLRSYLDYVAYLFQKMEPLPEQERIELGYRDFLQAPLQPLMDNLEAQTYETFERDSIKYIQYQRAVAKALVDRVPDEKASELTTVLMVVGAGRGPLVRASLQAAEETDRKLKVYAVEKNPNAVVTLHNLVKMEGWEDMVTIISCDMRFWTAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTASKLYNDVKAHKDLAHFETPYVVKLHSVARLAPSQPVFTFAHPNFSTKANNQRYKKLRFNLPSDAGSALVHGFAGYFDSVLYKDVHLGIEPTTETPNMFSCSISDAQHQWPFLLGRPLGSEDTETSLGLMM >A03g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21039910:21040777:1 gene:A03g505870.1_BraROA transcript:A03g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEGTADGSKRLSEPFFLKKILIEKAGDTSDLTTLATSVHAVKIGSGFVLLNNIIMAALIVMDTLKFEKQASSSSYREVFVFWRRVKDCISTPLLIGLCDKSGLELPPCLMRLPTELKLKILELFLARILPTWLAFVQRCGIWWLQTMAWGKEVSGATYWRQRQHSRRVLRPDMGIHCPMTSPRISPFSLGMFGGDHMVFDVIYF >A03p011900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4749419:4751680:1 gene:A03p011900.1_BraROA transcript:A03p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSQCALRMCLSSSLRQLTTTRGSCSKLFVGGLSYDTNEPVLKNEFEKYGEVLHVRVICDHKSGKSKGYGFVVFDSEEAAATALASMDNQLLEGRHIRVEYAQPKGGEAPKLKTLHLLFRIVTIHALIVFSSLVQLRLFDVSRGDLRGCHFRFQASEVFERMVRISVLNDALKSMFNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >A10p020570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13957563:13961316:-1 gene:A10p020570.1_BraROA transcript:A10p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:Projected from Arabidopsis thaliana (AT5G57190) UniProtKB/TrEMBL;Acc:A0A178UED8] MGNGSSREGKESRRSRLKQKLQRFRTHRLHLRTRFSRNAAGVVNQRAVTVEDFSGIALLTLIGADMKFKDKWLACVSFGEQTFRTEISDTTEKPIWNSEKKLLLEKNGPSLVRVSVFETNRLSRNKIVGYCELDIFDFVVQEPESACKSFDLLDPASSNVVGTVFLSCAIEVETDPVETEKRFAKRILSVVDYNEDGQLSFSEFSDLIRAFGNLVAANKKEELFRAADLNGDGVVSIDELAALLAIQQEKEPIINNCPVCGEALQLTDKLNAMIHMTLCFDEGTGNQVMTGGFLTDRQASYGWMFKLSEWTHLSTYDVGLNTGSNASHIVVIDRKTKRLVEELIDSKIVLSMRAIYQSKIGLRLMDQGAKEILQRLSEKQGKKMNTVESAQKIPSFLEFFKDQINMAEVKYPLQHFKTFNEFFIRELKPGARPIAYMNRDDVAVCAADCRLMAFQSVEDSTRFWIKGRKFSIRGLLGKNVNPNAFLDGSLVIFRLAPQDYHRFHVPVSGVIEKFIDVSGSLYTVNPIAVNSKYCNVFTENKRTVAIISTSEFGKVAFVAIGATMVGSINFVRKEGDHIKKGDELGYFSFGGSTVICVFEKNSIRIDEDLLANSGRSLETLVSVGMQLGVSTRTFAGST >A06g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26614234:26615371:1 gene:A06g509260.1_BraROA transcript:A06g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFGNGKVNLKPRARENNDSDSSSSPIQSILMRKSSISANPYISKAFTETLQRHGITNMLLSDLKTGCCKETVVTRLLRFWEARTVKKGGGAYGCGHGGLYISSLFSDVRYCLLQRLSVSSQKGRWCVIAGLNVMRIINEPTAAAIAYGLDKKVTVLERRTS >A07p013680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7352843:7354336:-1 gene:A07p013680.1_BraROA transcript:A07p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAWLVKMSTNLKHALLLEPSSKKGAPPPPPLPKQTIGILSFEVANIMSKTVHLHRSLSDSDLSNLKSHVFPSPGVSTLVSSDSNHLLDLAVSEKLDDLSRVASVVSRLGKKCTEPALQGFEHVYEDIVSGVIDFRKVGFLVKDMESMVKKMERLVNATCTLYCEMEVMNELEQAVVKLRRSGQHQGSVKAFEQKLMWQRQDVKSLREASLWNQTYDKVVEMLARTVCTIYGRIETVFGGLGVRGKKDSSLKRREAEGDDFSFPCGTNPGRMFMECLPMNVSSRSHDDDDEEEEEARSITFPRGMRSSKFGSKSRLTQHASPSTVGGSALSLHYANVVIVVEKLLKYPHLIGEEARDDLYQMLPTSLKTSLKANLRSYLKNVSIYDAPLAHDWKETIDGILSWLAPLAHNMIRWQSERNFEQHNQIVKRTNVLLLQTLYFADREKTEAAICKLLVGLNYICHYEQQQNALLDCASSFDYEDCFEWQSQCQAAYMD >A01p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20748338:20749612:-1 gene:A01p029110.1_BraROA transcript:A01p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLKRKRLLQDDGGDSKSPACNESTISGGDPYPELLRPSAEECRDVRDALLSLHGFPPEFASYRRQRLRSLDGHEESVLDGLVKILLSQNTTEANSQRAFASLKAAFPKWEDVLVAEPNSVENAIRCGGLAPKKTVFIKNILSRLQRERGSLCLEYLRGLTVEEVKTELSHFKGIGPKTVSCVLMFNLQHNDFPVDTHVFEISKALGWVPKTADRNRTYVHLNHRIPDELKFDLNCLLYTHGKLCSNCKKNAAKPKAKAKAKVASPDDCPLLGFLV >A09p006340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3334517:3335380:-1 gene:A09p006340.1_BraROA transcript:A09p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQDRRRGIMTNQIRRSPRWGRLSEFPDELLLKILSFLPSKDVVATSAISKRWKSLWKEVKKFRYDATPSYPQTFQMFALFIRSRSNVESLQLKLNPNNSRQDFKDLVNYAVARSLRELRIEMLYKSFEFPQSLYLYPQLETLILEKLSLVDIPSNVSLIGVKKLHLLSVRFSSDESVTKLLSRCPLLEDLVVRRSTYTNVMVFTIDVPTLKCLSIDNTSGKSRPEGVHGFVINAPSLRCFSIKDTFSNYVRFGDMPELVKASVNIVCDQPIPTERETVQSTSSKM >A07p039020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20739703:20743444:1 gene:A07p039020.1_BraROA transcript:A07p039020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKTAVSSLSLLVALIICFSPVHSSNTIGKGYRLISVEESPDGGFIGYLQVKQKTNIYGSDITTLRLFVKHETDNRLRVHITDAKKQRWEVPYNLLPREQPPPAGKVIGKSPTTVHEISGSELIFCFTKDPFTFAVKRRSNGQTLFNTSSDSTSPFGQMVFKDQYLEISTSLPKDASLYGLGENSQAKGIKLVPNEPYTLYTEDVSAINLNTDLYGSHPVYMDLRNVGGKPYAHAVLLLNSNGMDVFYRGTSLTYKVIGGVFDFYFVAGPSPLDVVDQYTSLIGRPAPMPYWSLGFHQCRWGYHNLSVVEDVVDSYKKAKIPLDVIWNDDDHMDAHKDFTLNNISYPRAKLLKFLDRIHKMGMKYIVINDPGIGVNASYGVYQRAMANDVFIKYEGKPFLAQVWPGPVYFPDFLNPKTVAWWGDEIRRFHELVPIDGLWIDMNEVSNFCSGLCTIPKGKQCPSGEGPGWICCLDCKNITKTRWDDPPYKINATGVTAPVGFKTIATSALHYNGVREYDAHSLYGFSETIATHKGLQSIQGKRPFILSRSTFVGSGRYAAHWTGDNKGTWQSLRVSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDTVAKSARNALGMRYKLLPYLYTLSYEAHMTGAPMARPLFFSFPEYTKCYGLSTQFLLGTSLMISPVLKKGRTQVKALFPPGSWYHMFDMTQAVVTKTGKRVALPAPLHFVNVHLYQNTILPMQQGGLISKEARTTPFSLVITFPAGATEGYATGKLYLDEDELPEMKLGNGQSTYVDFYASVGNGTVKMWSQVKEGKFALSKGWVIEKVSVLGLKGAGSASEIEINGSPISNEAKKIEVSSKEHTYVVGLEEEGENKSVMVEVKGLEMMVGKDFNMSWKMGINGAN >A05p007760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3143153:3144592:1 gene:A05p007760.1_BraROA transcript:A05p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLENSVTVISRSRVFPDQKSTLVDLKLSVSDLPMLSCHYIQKGCLFTRPHLPPHALLSHLKHSLSLTLSHFPPLAGRLSTSEDGHVFLSCNDAGADFVSAAAKSVRVGDVIGGIDVPDVVKEFFTYDRTVSYDGHNRPILAVQVTELNDGVFIGCSVNHSVTDGTSLWNFINTFAEVSRGAGGNVTRHPDFTRESVLISPAVLKVPQGGPKVTFNENEPLRERIFSFSREQILELKAMVNKKRSWTVGNGEINGVEVFGKQSNDKLNGKENGKLTEMLESLLLRNDVVAVEISSFQSLSALLWRAITRARKLPSSKTTTFRMAVNVRHRLSPKLDPEYFGNAIQSVPTFATAGEVLSRDIRWSADQLNRSVAAHQDGKIRDTVADWESNPRCFPLGNPDGASVTMGSSPRFPMYDNDFGWGKPVAVRSGRANKFDGKISAFPGRDGNGSVDLEVVLAPETMAGIETDDEFMRYVSKK >A01g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25110083:25113578:-1 gene:A01g509230.1_BraROA transcript:A01g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQGDHVAEELDAEMNHEGGTRPQQIFDDHDDGEEQDNEKLDFLIYADHSGGGGGPLEKMVGPLVNVMTKYGSKGRGTAALWFIFGQSIRAYFLLATQILSTIIPFPTNLNDFTQNLTLIGALLYYIGLKHDIDNLDEADKSKEEKEKEDDKPSTSKAKAN >A09p049610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43817159:43817867:-1 gene:A09p049610.1_BraROA transcript:A09p049610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMDPDAVAKAFVEHYYSTFDNNRIGLGGLYQEASMLTFEGQKIQGVQSIVAKLTSLPFQQCKHNISTVDCQPSGPASGMLVFVSGNLQLAGEEHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >A08p044240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24497462:24498945:-1 gene:A08p044240.1_BraROA transcript:A08p044240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKLSTCFTGKERFRQKDITVQLPDPLDEGLGHSFCYVRPDQTLTTSSKVHSELEETTTTVFRTISGASVSANAATPLSTSLYDPYGHVDRAAAFESTTSFPSIPLQPIPRSSGDGDPNERGFLSGPIERGFMSGSLDDDGDGLDNPSSDHHHFQRSFSHGLALRVGSKRRSLVRTIRRVISRTVSRRQNSVVAPIRPEKNLKEGTLNDGVSVESQSLQWAQGKAGEDRVHVVVSEEEHGWLFVGIYDGFNGPDAPDYLLSHLYPTLHRELKDLLLWDGPHNHCERRWRCEWDQEKQDLDRRLKEQINCRTGSRSDRLTDHKHVLHALSEALRKTEEAYLDTADKMLEENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLGCEGDHDSSLVKNLSASQLTFDHSTNVEEEVERIRNEHPDDASAVTNERVKGSLKVTRAFGAGFLKQVIIIIHHF >A05p023460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11333711:11336610:-1 gene:A05p023460.1_BraROA transcript:A05p023460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMVFLFGMELSTYLAVTTNTSVIVDNSSDGDFLRIDFNVSFPSLSCEFASVDVSNVLGTKRLNLTKTIKKVPIDPYLRATGAEVHSTSGLHLINHGDEDHGNNTYAAIPLTGATFDKFSHHFQILVVNFYAPWCYWSNRLKPSWEKAAEITRQRYNPETDGRVLLGSVDCTEETTLCKRNHIQGYPSIRIFRKGSDLKEDHGHHEHESYHGDRDTESILKMVEELLKPIKKEDHKLALDGKTDNVVSGIKKAPVSGGCRIVGYVRAKKVPAEIIISAHSGAHSFDASQMNMSHYVSHLTFGKMISERLLTDMKRLMPYLGLSHDRLNSKWFVNEGQFAANVTIEHYLQVVKTEVVSRRFGQEHSVIEEYEYTAHSSVAHGYYYPVAKFRFDLSPMQVLISENPKSFSHFITNVCAIIGGVFTVAGILDSIFQNTLRLVKKIELGKNI >A01p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:508033:509416:1 gene:A01p001330.1_BraROA transcript:A01p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSYLRELKQRSEAEFLEFRQRIKESIRNKTQNGNDRPPTSDSMPRKRKLPYEFGSFFGPSQPGIASRVLQESKLLLKDELLATKALDSIQTQKKSSSQTSGSEAKELKRKAKELKESRDYSFLFSDDAELPVPIKVPSSLPTSRGSHSRPGSSTKGQAQTKPGSVISSKTHKQGSKLPTRKSVPVDHRKKLTKKPHSSSKPLTSDPKEQRIEQRKVHSNETKSSLKHHRMISKPPLKQAHQLKKKKNVTEEDEEALRMVREMCKTERFAGRDLDDYDDRGKEATLEDIIKEEKRSEKLAKKEDAEQLRLIEEEERRERVLRKEKKQKLSH >A09p082580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59744465:59745150:1 gene:A09p082580.1_BraROA transcript:A09p082580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESSKWWWIGNHNTTSFSPWLHSTLSELDEKTKDMLRVVDEDADTFAARAEMYYKKRPELVAMVEDFYRSHRSLAERHDLLRPSSVHKHGSEKHHDKSSTCDDESSWSKACETHDDYNAESEVDDGESSSLDYGGNCEMLKEEIERLREENKVYSEMVRERDEEKREAIRQMSLAIDMLKEENSELKRCVSSVVCEEEG >A05g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19357124:19357871:-1 gene:A05g506870.1_BraROA transcript:A05g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNWSCDMEQVHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMVGDLTLGWKGTSLASGSFIILANPCPDELTIRYCFLGLKSLEWYPIGALLFFDCWSKAIG >A10g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7747157:7753042:1 gene:A10g502740.1_BraROA transcript:A10g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVDERTLQQTSTWAVAVVCFFLLLISIVIEKLIHKLGTCFKRKNKKALYEALEKVKAELMLMGFISLLLTIGQNYISQICISESIAASMRPCSKSEELQEYPPKNKDTGNDEGDEETSGRKLLELVETFIPRRSLATKGYDKCAEKGKVAFVSSYGMHQLHIFIFVLAVCHVIYCIVTYALGKIKMRRWKRWEEETKTIEYQYSHDPERFRFARDTSFGRRHLNFWSKSTITLWIACFFRQFFGSVTKVDYLTLRHGFIMAHLAPGSDARFDFRKYIQRSLEEDFKTIVEISPVIWFVAVLFLLTTTHGLNSYLWQPFIPLVVILIVGTKLQMIITKLGLLIQEKGEIVKGMPLVQPGDHLFWFGRPRFILFLVHLVLFTNAFQLAFFAWSTYEFGIKNCFHKSTVDVVIRISIGLIVQFLCSYVTLPLYALVTQMGSKMKPTVFNERVAVALKSWHHTAKKQIKHGRTSESTTPFSSRPATPTHGSSPIHLLRNVHKRSRSADESFANSLSPRRNSDFDTWDVESQQEPSSSSIKYHSRFREEDSEKKKASSSSSSSSSAVELPPGPGIIRTQHEISTISLRDFSFKR >A01g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10960355:10961681:1 gene:A01g503490.1_BraROA transcript:A01g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRGDSVYPGTDYPFRKRITFFLTQGLEKETIAVVKCRYEVISTKIKRKVSMRPAYSSSKKVLVNDKVEAEVKKNRRMISLKETLL >A02g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7559895:7560550:-1 gene:A02g502340.1_BraROA transcript:A02g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRARREVHGGENGLHVQWWYFPGGGGFCRSTAAGSSFREESLLQIRLRRLSTMESGGYQRSALPFGIPMFFGKKVYEVSFGSWSVWLSSWWVWVTADEISVEDDALRRGDGGQEKKRDGCDDSGEKNGLRSLEACRVLSSDERGSLSVFVPVASRWRRLSRDGDDALSAKGADLPACRASLLDALSMWGE >A04p028970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17358449:17360629:1 gene:A04p028970.1_BraROA transcript:A04p028970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFRCFLRAKAEEQSTVGDSVSQPPGGNSIVIPSLSLASFDFSLELQFSEVKIDCKCSFRGHDSKNRLSALFLSQGSIYISSYSMYGLKEEEEEERSYLFLPSLEKADSPPRHHCEGSGLHIIKDEVQLLKACGATTAATPVEIRKASQTPQRGKQLTPSQFHSWISDAVFHLDEPCEEVSEQTPSSCVTRISTGYSDAGEESQESIGTEFRDGVYRSSKVPFAAGNITGKRKSVWSKCDFDQSYSSTSSKNSTSKTPEKAGKSNFSTTSRNLTSLKLSDEIQTPAVMESAVRGRPRIRSQCLHSPSSLTAKASICKLPEDSDETKEHACKEKKETESPISVTCEGNLEESSASPSPWVKQSEAKSKQKMTATISTVTYGDRPIIGTAAAHWNEKEQSQISPKWWDGNGIPNSTNKYKEDQKVSWHVTSFEERLEKALSEEGGQGFTPSRKLEIMEEAESDTAIPQLHHPAQSTSIVSF >A02p029220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14778043:14780343:1 gene:A02p029220.1_BraROA transcript:A02p029220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQGDSTTTSAATEAVPLQHNESAATEAAAATSAAVGAFEVSEEMSDRGFGGNRWPRQETLALLKIRSDMGIAFRDATVKGPLWEEVSRKMGELGYIRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLEALETHSTSSSHHHQPQSQPHNNSSMFSTPPPVTTVIPPTTNITPSFPNISGDFLSDNSTSSSYSTSSDVEVGDTKTTTTRRKKRKRKWKEFFERLMKQVVGKQEELQRKFLETVEKREHERMVREESWRVQEIARINREHEILAQERSMSAAKDAAVTAFLQKFSEKPNPQCQSIAQPQMEVIHNNQQATQQQTPPPRPPQPLSALDTMKTDNGDQNMTPVSAGALSSSRWPKVEIEALIKLRTNLDSKYEENGPKGPLWEDISAGMRRLGFNRNSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLDALYRERNKFHTNNNVASSSSTSGLVKPDNSVPLMVQPEQQWPPVTATTVGATVKPDQHPPQPLDQNFDDEEGTDQEEYDDEEDDEENQEEEGGEFELVPSNNKD >A03g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14840665:14843625:1 gene:A03g504230.1_BraROA transcript:A03g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLSRVHVVGGGGDKGNKGVTVGSACSAVCGAIWLTPSFIRHLWLISNEAKTPQILVANQQPGNEPHNVLQRRSSFSSGGGAMAATATTGTGTASPCGACKLLRRKCASGCIFAPHFGSDQAARFAAVHKVFGASNVSKLLHHIPVNRRHEAVVTISYEAQARLSDPVVAMATTTAPPTATIVVMQQPEYSLKRSSTSTTLAGATMNSFPATAAAANGYDVMAPANLEHSLQPMPRHQQVTRNQHEDDWMRKRAVLIFRWLLVRRVFTGYEYITRLVFNLWDDCLKPPVNTITLSIPTYTIQLLTKPPRDPPL >A03p008360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3359820:3361860:-1 gene:A03p008360.1_BraROA transcript:A03p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNKQVILRDYVSGFPKESDFEITTTTVELRLPEGSNSVLVKNLYLSCDPYMRSRMGKPSAFALAQAFTPGKPILGFGVSRVIESGHPGYKQGDLLWGIVGWEEYSVVTPEMHFKIHDTDVPLSYYTGLLGMPGMTAYAGFHEVCSPKKGETVYVSAASGAVGQLVGQFAKMMGCYVVGSAGSTEKVDLLKNKFGFDDAFNYKEEQDLGAALKRCFPKGIDIYFENVGGKMLDAVLLNMNMHGRIAVCGMISQYNLEDQEGVHNLTSIIYKRIRIQGFVVFDFFDKYSKFLEFVIPCIKEEKIAYVEDVAEGLEKGPEALVGLFYGKNVGKQVVVVALGLACFSVTLPAFKNKFGSHVAFNYKEDNDLNATPKRY >A09p014350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7372108:7375085:1 gene:A09p014350.1_BraROA transcript:A09p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLREYGHRMVDFIADYYKTIETFPVRSQVQPGYLHNLLPDSAPDHPETLEQVLDDVKAKILPGVTHWQSPSFFAYYPSNSSVAGFLGEMLSTGLNVIGFSWVASPAATELEIIVLDWLAKLLNLPEQFLSKGKGGGVIQGSASEAILVVMIAARDKVLRTAGKNALGKLVVYSSDQTHSALQKACQIAGIHPENCRVLKADTSTNYALRPELLQEAVSLDLEAGLIPFFLCGNVGTTSSTAVDPLADLGKIAKSNEMWFHVDAAYAGNACICPEYRQYIDGVETADSFNMNAYKGLLTNFDCSLLWVKDQYAVTEAFSANPEYLKNKASEANLVVDYKDWQIALSRRFRSLKLWMVLRLYGAETLKSYIRNHIKLAKVFEQLVSQDPNFDVITPRIFSLVCFRMVPIDDDENKCNSRNLELLEAVNSSGKLFISHTALSGKMVLRFSIGAPLTEQKHVKEAWKVIQEEASYLLGK >A03g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27447373:27448649:1 gene:A03g507770.1_BraROA transcript:A03g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIDMFLIDVKEHILSKAQTSWPPLTRCDMVANWVEQEAQVAGCHSTSSADTYLGRKRKRENEGKWGLDLLSKKLQGMGMIWT >A10p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4316874:4318372:1 gene:A10p014230.1_BraROA transcript:A10p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIPRKCDEKSIEYEKSVRVPLNFSLKSELFAHMSLRRKENLLAGVENHNGCKIRTTSGAQNHHVLPHSSSHHHINVKKKNDNKVMDKGKKLKKHELLERHMADPKRSSRSRGSKNEKRMWTSIDLSSLAIL >A03p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4483624:4494833:-1 gene:A03p011190.1_BraROA transcript:A03p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLSKRRKRGETGSKEELFCDHTWPEDYFFTKRYSRRREDAISKLPDSLLSQILSHLPTTKEAVRTSVLSKRWKSLYLLIPSLVLNANEFPNHNSFVSFIDKFLAFSKEQNSILRKLKLSVKKNVNDPPCVTRWLDSVSSSKLNHLDVECLVNRKLLEAIPRSLYVCHTLVYLRLHRVSLGEFVDSVSLPCLKTLVLEHNVYANDVTLELLISSSPVLEDLSVVRMVPDNIKFLRVCSKSLTSLHIDYLFGEDDDFDDKGFGRDGTGVFIDAPRLEYLKFEDDLSDSKVITNSGSLTNVNIVYVFGENDDTADVIDLPKRDMIRKFFTSISGVTDMKLSAHIVEFLHYNNEFDPMLLPQFCNLSRLKLKVPKSCLKMLPTLLERCPNLNSLVLVLDFYKSNGKARKIRASSIPRCLQSSLENVEIKRFNGEAARMEVARYFVENSLVLKKLVLDIGCSTMEKGFYITEQGFSMFRDLLALPRPSSAKTMSKRGTSGCRTEEDRISFLPDHLLCQILYNVHTKTAVMTSVLSTRWRTIWLSIPLLDLHTDDFPNFTAFVIFISRYLDLSTGSSCLHKLKLALRFQDISSESWNLAFLSGYLSPYYLITKWINKAVTRKVQHLDILYPWIVEMMPLSIYTCVTLVSLKLSNVSLADSEYVSLPRLKIMHLVDNAYANDAFLEKLISSCPVLEDLTVVRNKYTEDYLEVLRVRSQSLKSLVVDLDGRKCWYRDCRGICVYLKHEQLPQFPHLIRLHAVLYNSDLGNLANILESCQNLKSLVLELINLKEEELLIPSSVPKCLRSSLEYVEIKTPIRGDVAEIELVKYFLENSVVLKKLKMCLRCWRMNEESIILMQLLRLRRCSPSCEKTMSERGVACRRRTGEDMISLLPDHLLCQILSDVPTKTAVVTSVLSTRWRTIWLSTPVLDLHTDDFPNFTAFASFISWFLDFSKGSSCLHKLKLDLVSKRPIQEISIESSWDLAFVRGYLDPYYLITDWINKAVTRKVQHLDISYPWIVEMMPLSIYTCETLVSLKLQNVPLADSQYVSLPLLNTMHLVDNIYASDALLENLVSSCPVLEDLTVVRKGDTVYNFEVLRVRSQSLKSLVVVLSGREWWYKACRVAVIDAPGLNYLSLKDNKFTSYVISSLSSSAKVDIDVSFDVEISGQTTLIDSSKRSAVRSFFSMLSSVRDMTISLTTLEGMYLYLKHEPLPQFPYLIRLHAVLSNSDLGNLPNILESCPNLKSLVLELNNFRKEELLIFSSSAPECLRLSLECVEIRTPIRGAVAEIELVKYFLENSAVLRKIKMRLRRGIMNNESNICMQLLRLRRCSPSCEVVVEELEDTFQGLDFYSELMNVTKLFMPCLELLPTLLESCPNQNSLVLVLDFYSRDEKAAEIKPSSVHRCLLSSLEYV >A05p001180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:112762:113295:-1 gene:A05p001180.1_BraROA transcript:A05p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSPO [Source:Projected from Arabidopsis thaliana (AT2G47770) UniProtKB/TrEMBL;Acc:A0A178W1Q1] MDSQDIRYRAGDAAMAETERKQADDNNNKGKRDQKRAMAKRGLKSLTLAVAAPVLLTLFTSYFLGNQARSSSWVLHLMRLASSGLMGLAAWLVWVDGGFHKKPNALYLYLAQFVLCLTTCMVGSGLAGLAVCLCQSAALFRCYKAFNETSPVAGNMVKPCLAFAAFVAAVNVKLAIA >A04p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000156.1:14872:15755:1 gene:A04p036340.1_BraROA transcript:A04p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A08g504060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6653591:6653833:-1 gene:A08g504060.1_BraROA transcript:A08g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPDGERMVAGCGGSGERPAEMVAREIHARIFLLRVWARRGGCPVETPAPDSSRRHEHTGGLEIARNPMVREISTIY >A03p027450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11493271:11494752:1 gene:A03p027450.1_BraROA transcript:A03p027450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMETFGGISVAAPTSSAVAKTTTPANSASPNSSPQPPLSPEQPQQQTVVLSPCAACKILRRRCAEKCVLAPYFPPTDPAKFIIAHRVFGASNIIKFLQELPESQRTDTVNSMVYEAGARMRDPVYGCAGAIYHLQKQVCELQAQLAKTQVELVSMQLQRSDLLELLHKTEQANLSEQQEGQQNMSFESSFESGDEFISSHDEVTNDLGFLDDNNNNNNSSMLWSDPLWT >A03p053730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23063653:23065871:-1 gene:A03p053730.1_BraROA transcript:A03p053730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAATATSFTTFRGRTSALIPSSTRNLRSKVRCSSSSSSSLRASLSNGLLSPYTGGSISSDFCGAKLRSKSLNPLNLSSSNPKRGVVTMVIPFSKGSAHEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKNGEKLGYDTEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLTAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIARKEINHIKTEMVKLYSKHIGKSPEQIEADMKRPKYFSPSEAVEYGIIDKVVYNERGSQDRGVVSDLKKAQLI >A09p012630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6436347:6437213:1 gene:A09p012630.1_BraROA transcript:A09p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKIIKNHVRSISLPSRSHPSTAAIEESLDKFLITMNTKTVTSSESVNSGLVGLEDLYECTEECLKMGSTRNVLSFNAERKKMKGEFMEEMLDGSLRLMDICNASRDLMVETHEHVLGLQICARRRQYVDFSDYVGFRKNMKKEARKLLGSLKNINVGLVMRDNDLDQDRDVHFLAVNHAMRRVVSMTVSALKSFLEFLSGRENGNDIRSKLALVLMKKKFHDYDKIVKNELDDVDSAISGDSCSQEELHRKLEDLEVWTGRFEKSLEGLFRRLIRTRTSLLNIISQ >A09p060860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50324630:50326250:-1 gene:A09p060860.1_BraROA transcript:A09p060860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNCPSPLPTVTKLQVDSVTFPPSVISPASSNPLFLGGAGVRGLDIQGKFVIFTVIGVYLDPVSVTSLSVKWKGKTTEELTESVPFFREIVTGSFEKFIKVTMKLPLTGQQYSEKVTENCVAIWKSLGIYTDSEAKAVERFLEVFKDETFPPGASILFALSPEGSLTVAFSKDDSIPETGKVVIENKLLAEAVLESIIGKNGVSPGARLSVAERLAQLMNSDKIEEDATKTDQEEANDLPLGDKLAKEN >A04g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1295600:1296102:-1 gene:A04g500400.1_BraROA transcript:A04g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCVSVCLWLLDMITLAMAPTLNLDGTPDTGYFTPKTLADKYEYVMHGKLNKITESDGKTPKAEMYVSFGGLLMLLRGDPAHISHFELDQRLFLLMRKL >A07p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17762436:17764677:-1 gene:A07p032350.1_BraROA transcript:A07p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRWSELCIVLFALSYAICVIAGKSYYDVLQVPKGASDEQIKRAYRKLALKYHPDKNPGNDEATRKFADINNAYEVLSDEEKREIYNKYGEEGLKQHAANGGRGGGGGMNMQDIFSQFFGGGGSMEEEEKVVKGDDVIVELEATLEDLYMGGSMKVWREKNVIKPAPGKRKCNCRDEVYHRQIGPGMFQQMTEQVCDKCPNVKFEREGYFVTVDIEKGMKDGEEVSFYEDGEPILDGEPGDLKFRIKTAPHARFRRDGNDLHMTVNITLVEALVGFEKSFKHLDEHEVDISSKGITKPKEVKKFKGEGMPLHFSTKKGNLFVTFEVLFPSSLTEDQKKKIKEVLA >A03p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11287403:11288285:1 gene:A03p027090.1_BraROA transcript:A03p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKVHGVPMSTATMRVLAALYEKELEFELIPVDMRAGAHKQEPFLSLNPFGQIPALQDGDLTLFESRAITEYIGDEYSEKGEKLMCPGCNKVKALTKVWLNVEGQQFDPIASKIAFERIFKGMFGMTTDPAAVQELEGKLVRVLDIYEARLSKSEFLACDCFTLADLHHLPVIHYLMGTDSKALFESRPKVCEWVKKITARPAWAKVVDLQKQ >A03p071560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31530178:31531866:1 gene:A03p071560.1_BraROA transcript:A03p071560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVREYDSSRDLADVEAVERRCEVGPSGKLSLFTDLLGDPLCRIRHSPAFLMLVAEMGTEKKEIVGMIRGCIKTVTCGIKLDLNHKSQNDTVKPLYTKLAYVLGLRVSPSHRRQGIGVKLVKMMEEWFRQNGAEYSYIATENDNEASVNLFTGKCGYSEFRKPSILVNPVYAHRVNVSRRVTVIKLDPVDAESLYRLRFSTIEFFPRDIDSVLNNELSLGTFVAVPRSSCYGSGSGSWPGSAKFLEYPPESWAVLSVWNCKDSFRLEVRGASRLKRVVAKTTRVVDKTLPFLKLPSIPSVFKPFGLHFMYGIGGEGPQAAKMVKSLCGHAHNLAKDGGCGVLATEVAGEEPLRQGIPHWKVLSCDEDLWCIKRLGEDYSDGAIGDWTKSSPGSSIFVDPREF >A03p011000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4408876:4412361:1 gene:A03p011000.1_BraROA transcript:A03p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQQEPEQHPPPKRQHHPSAASMKPPLVAPGDDHRFESAESRGGPDQVSEAIVIKSSLTDMVNQIYEPGEMKTGVLQTAVTGKGGKAKKSSRSVKFNKTGIQAFGFNAGSPGNNFAQAGSCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKTLKNRIQWKGLDVSKPGETMENIANLQDEIQNLSAEEAKLDNQIRESQERLTSLSEDENNKRFLFVSEDDIKNLPCFQNKTLIAVKAPHGTTLEVPDPDEAGGYPQRRYRIIMRSTMGPIDVYLVSQFEERFEDIPNVDEPSNVPSTSGVPENQDVAVPMEDSKDKNIETQEVDDTQRVHSDIESHEFVDGIMKIVPPDLDMDVDYWLRSEVGEVSITDMWPNESGPNWNSQMLSFHQGAGPNWNHQMVSYDQGAGPSNTTQEQPQSPSGPKPEQSTATRSTCS >A03p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13152908:13158030:1 gene:A03p031250.1_BraROA transcript:A03p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 2B [Source:Projected from Arabidopsis thaliana (AT4G12460) TAIR;Acc:AT4G12460] MYVDIRIRPYIKVSVKFLSSRTQSLSPSLVQFPRISAKNLKLPESVVFANRITTLSPISKHNLINQCASYRDFVKTPPESAMPLTRSKSLPSTDAMAENGGSDRQNLSSGRSVAGILHKWTNYGKGWRSRWFLLRDGILSYSKIRRPENVKMLSPSDDVRLIGDNSTDRLSRMYSCSGRGRRKHHKTIGIVHLKVSSYRESKSDNKKFYIFTANKTLHLRTDSRSDRAAWLQALASTRRILPLQSISGDFSFVSPKDLSISTERLKKRLRENGINESLVKECEEIVDSEFSEVQEQIKLLHEERTKLLDALKQLEMANLEAEASGIHESVYQLPNHNYSSLRSGKYSECSTSASSDVFEDISEEDEPSFHDTIECFSEPDAGSENMHFKRRTRLPDPAEKEKGVSLWSMIKDNVGKDLSRGNSLLRALYVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGKGWKFWGDTNLRSKFWGRSIQLEPVGVLTLEFDDGETFQWSKVTTTIYNILLGKLYCDHHGTMQIRGNRQYSSTLKFKEQSILDRNPHQVNGFVEDVTGKKAATVFGKWNDSLHYVSGDAFNKASASLLWKSTKPPPNVTRYNLTSFAITLNELTPGLEEKLPPTDSRLRPDQRHLEKGEYEKANEEKQRLERRQRMSRKIQESGWRPRWFEPQGESESYKYTGGYWEARNERRWDDCPNIFGEFTEEIADWLRRRSSVHQRWSLFLFFYTQEMERGK >A02g512450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33773775:33774620:-1 gene:A02g512450.1_BraROA transcript:A02g512450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEAILNLLFHSSTKVTTEPYLHHLFLDLPPSTIYTDVLRSFTTKLDTHEPPPHESSNNKEMRTAFSLPAGRTAKSYIASGAGLGRGLGTAGYGGLTRKDPPEIETAAGRATAGRVVPIAIPRGRVREKTKRDSLLCKGLFFFL >A01p005550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2393034:2395401:1 gene:A01p005550.1_BraROA transcript:A01p005550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMAVPE [Source:Projected from Arabidopsis thaliana (AT4G32940) UniProtKB/TrEMBL;Acc:A0A178UU68] MTPVFVAVLFLSLIAFSAARQNPDDDVIKLPSQASRFFRPNNDDESSSSGTRWAVLVAGSSGYWNYRHQADVCHAYQLLRKGGLKEENIVVFMYDDIADNEENPRKGIIINSPHGSDVYEGVPKDYTGDDVTVENLFAVILGDKGAVKGGSGKVVDSGPNDHIFIFYSDHGGPGVLGMPTSPYLYADDLNDVLKKKHASGTYKSMVFYLEACESGSIFEGLLEEGLNIYATTASNAVESSWGTYCPGEEPSPPPEYETCLGDLYSVAWMEDSGVHNLQTETLRQQYELVKKRTAGGASAYGSHVMQYGDVGLNKDKLDLYMGTNPANDNFTFVDANSLTPPSGVTNQRDADLVHFWDKYRKAPEGSTRKTEAQKQVLEAMSHRLHVDNSVKLVGKLLFGISEGSEVLNKVRPAGQPLADDWTCLKNMVRAFERHCGSLSQYGIKHMRSFANICNAGIQMRQMEEAASQACTSIPSGPWSSLHRGFSA >A10p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14122072:14130176:-1 gene:A10p020900.1_BraROA transcript:A10p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT5G57560) UniProtKB/TrEMBL;Acc:A0A178UQX4] MAHSYFLPLFISLIVISSVSANFQRDVEITWGDGRGQIKNNGELLTLSLDKSSGSGFQSKNEYLFGKIDMQMKLVPGNSAGTVTTLYLKSPGTTWDEIDFEFLGNLSGDPYTLHTNVYTQGKGDKEQQFKLWFDPTADFHTYTILWNPQRIIFTVDGTPIREFKNMESVGTLFPKNQPMRMYSSLWNADDWATRGGLVKTDWSKAPFTASYRGFNQEACVWSNGKSSCPNGSGQGTTGSWLSQELDSTAQERMRWVQKNYMIYNYCTDTKRFPQVYLFVKLKSPGTTWDEIDFEFLGNLSGDPYTLHTNVYTQGKGDKEQQFKLWFDPTADFHTYTILWNPQRIIFTVDGTPIREFKNMESVGTLFPKNQPMRMYSSLWNADDWATRGGLVKTDWSKAPFTASYRGFNQEACVWSNGKSSCPNGSGQGTTGSWLSQELDSTAQERMRWVQKNYMIYNYCTDTKRFPQGLPKECFAA >A09g518200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55601913:55605116:1 gene:A09g518200.1_BraROA transcript:A09g518200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFAMHLLLFLLLHSPVCFARLFPFPFSRSKSHQMRFFHPHLYPSLAPAPSPALAPKPNIIPTPRHNKGGHYHHHRLVTSASPSSSHDCQQACVEPLTSSPLGSPCGCVFPMKVQLLLSVAPFSIFPVTSELEIEVAAGTYLEQSQVKIMGASADTENQGKTVVDINLVPLGEKFDSTTATLIYQRFRHKKVPLNESVFGDYEVTHISYPELVDFASGIPSSSPNDDIVDGAPTESTRGLPLTANFANRSQGIDFRTIAIIVLSGFVLALVLAGAIFIVMQWNKVGMPSTAVGPALASSMKKTPGAGFMFSSSVRSSGSDSLMSCMAMCALSVKTFTLSELEKATDKFSAKRVLGEGGFGRVYQGSMEDGAEVAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLIYELVHNGSVEYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGEENLVTWARPLLANREGLEQLVDPTLAGTYDFDDMAKVAAIASMCVHLEVSHRPFMGEVVQALKLIYNDADETCGGDYCSKKESSVPDSADFKGDLAPSDSSWWNLTPRLRYGQASTFITMDYSSGPHEEMENRPHSASSIPREGGLFLENRSGPLRPVRSRRNYFRSRGSMSEHGGPSSSRHLWSGNGDWF >A02p027940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14100120:14101756:-1 gene:A02p027940.1_BraROA transcript:A02p027940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional nuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G75380) UniProtKB/Swiss-Prot;Acc:Q9FWS6] MRSVQAPVVFPAIRPRQVGACALKPTLLRSQFLGHRIKSHVTLRLLPRGCSKISIKCVFSSHSDGNGSTAENFNENDEEYVNSSIVEAVEVKSGADGFMVKMRDGRQLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMTNVQIARPTMYQVVKEMVDKMGYEVRLVRVTKRVHEAYFAQLYLSKVGNASDCISFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSQQTPASDGLLFTEQDRPNGQACLDTKEFNILSNMMQAVNEERYDEAAEWRDKLGQFRAKRNLRKYT >A01g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3480269:3480907:-1 gene:A01g500880.1_BraROA transcript:A01g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVSIPMQTKQTAALSAFSQPRFPPPASNFVDGEAERLCLNRRIRDCSLVARAGPSTSSYLLAFAIPATLIAATVFTSAKIADKLDDDFLEDIALNQAMKAVEDGENAEGGSSLDDLIKEPVLQRTRKRPKREV >A01g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9079941:9085635:-1 gene:A01g502700.1_BraROA transcript:A01g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKQQLISGLTLIIPSFSPSRLVFGEPIGLDLYCLLSHLDPNESLGIKIDQHKGQFHDSGLCMTSRHTRRSAQGELVTFSNQELARLERTNRQQPRQTDTTMATGNSQPDELKGLGMMMQQLLQGQQSSSSGVTAPSEPAETLLSRVYVPKVPYPIPPKHLMDPISEEQLIGFNKMLKVVPEKEHGDKGESRLLSDEDPCTDPTKFREQGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGTKTVLMTVLDSGATRVTVPRECSSGRDF >A02p009980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4291332:4292536:-1 gene:A02p009980.1_BraROA transcript:A02p009980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDQRDEAETVTCGNSKCKSKIVPGDCQDGDETKKKNKKKSQKTTRRRQLMSFSELPDYMKDNEFILNYYRADWSIRDAFFSVFSFHNESLNVWTHLLGFILFVALTVANIMHHDKFFPVDAKSPGNVTRWPFFVFLGGSMFCLLSSSICHLFCCHSKDLNLVLLRIDYTGISVMIITSFFPAMYYIFLCTPRWYVIYLTGITSMGIFTIITLFTPSLSSPKYRGFRALLFAVMGLFGIVPGVHALVVNWGNPQRNATLVYELAMAVFYLVGTGFYVGRVPERFKPGWFDRVGHSHQIFHVFVLLGALSHYAAALLFLDWRDHVSC >A08p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22786605:22794380:1 gene:A08p039670.1_BraROA transcript:A08p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRVSELSHGQASRLRTSSSTSHSNHPNRLLTTDRSSNKLGADRKSPRSGGGPPLGQKKLGGRISDLESQLGQAQEELRLLKDQLANAEAVKKQAQEELHEDTKSTKPNPLDGAEGSASEAEAIDRDEIPGDVEKETDVFEVPVEKIAIVEEEEDEKLVDEVKMLKARLYDMEKEHESLGKENESLKNQLTDSASEMSNVRAKEDEMASKVSQIGEELEESRADTAQLKEKLESMEEAKEALESEMKKLRVQTEQWRKAADAAAAVLSGETEMNGRDPSGGLFDPSAVVGFMDDTDDGFGSGKRKSSGKKMFGDLWKKKGHNSLNRTESVRKKSTKKRREREKDKETVFVRYPFEESKHLFSSSHKGEEDKKKIRCCPSWEIKETPTSCEPGHECVARDMLEPRGADIPLLFLVLVVFPVVAYILLGKWSDISKKRGRANLLAQMAAEEAFRAETEVNVNRGVRFESVATENRGLRTKTRSVPAASGAVRDDYVAGVSGTVSEPVAAACGVPVNNEFHACASSGQCQIIHWRLAHKDECIPVEACSSSSERASFENESVLHDQDMDATMYSNGTKQKAKGKTSKSSVEFESLDISTPQVNTPGRKSVGKPNSSKSNRESFSGEAACAGGEYKKGQTKHKSRSTIGAAVETNSRRHSVDNSCMQMNGQAFVSGMQETCESNLGARSSFGCPNAQYPSNGTRTATLRKSGEQPCTETSKKGLVPAVSKTVRSKDTCIAEESNGISSTMGLMKMMGLRNSTKHGDRHQNLRMLFPYEEFVKFFQCEVFYLSPRGLVNCGNSCYANAVLQSLTCTKPLVAYLLQRSHSRSCSGKDWCLMCELEQHVMMLRESGGPLSASRILSQMRSINCQIGDGSQEDAHEFLRLLVASMQSICLERLGGETKVDPRLQETTLVQHMFGGRLRSKVKCLRCGHESERYENIMDLTLEIYGWVESLQDALTQFTRPEDLDGENMYRCSRCAGYVKARKELSIHEAPNILTIVLKRFQEGRYGKINKCISFPEMLDMIPFMTRTGDVPPLYMLYAVIVHLDTLNASFSGHYISYVKDLRGNWFRIDDSEIHQVPMTQVMSEGAYMLFYMRSYPRPLRGEPNGKAQVRHSLSQPRDEMKEQRKPVNRFKPRADHHKNLESSSEWSLFTSSDEASFTTESTRDSFSTVDYTDGCNVLDSSSPFSIFNNLRHNVEQSPHNTVACRMFSGTKSETRYFVEEETNHNNTGVMDSAPTSHDYYQQSMYVNYETNPGMDTYTCIVVSLCIALFAINLVFSCFTCFSILHSFVTDTKQDLNDLRKNLIGREEGKQDDEKVIIIAVPRNKGLADSMDGDDCGGQDCSQDICTC >A09g511790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34916875:34919260:1 gene:A09g511790.1_BraROA transcript:A09g511790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDDHSNTSLDVMHPVDHFASPNHCHQHFAFQPPTRRGNDDYSISSWADSGFQESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTLVDTHPRPAKQPLTSIDTHKETSIDIRAAARIHEQENIPSPTRFIDTYINRFAPLKPPTHNRANTQAKKMNTIPSTSTEKSMKSNHLKNKSSAEITLPSIDVTVSTSLDTILNPNLSISKLNDYPNIDYSFLTPDEFGIFRDTDGNARAMEGRILQVSREDIADIFQVTNGPDNLFSQQRGTPDVIQTDPKNHAGVATTEINPDLSRQPKGQASIDGTIETSIDRVTPTSIDMDEPTSIDRRYECGNRAFDMYGARKFTWEQREEYIVYRDERGHARGAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRAASIDVISYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRRDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISTSIDRTKAKSIDGNSLIDQRTHNRIERRRVYTIRRAADTQDSRVNAKGTDRSFSIRL >A05p023100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11180756:11183461:-1 gene:A05p023100.1_BraROA transcript:A05p023100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEDGYTKEIPFDFPRNPLCIFLSDFRSVFKFDELGLEIARIALPAALALTADPIASLMDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDACSSEENTNQDYKECIETGINNTKEETQELIPGNISTPDESKNSCSIFSVSESPVKKRNIPSASSALIIGAILGLLQAVFLISSAKPLLSFMGVKHDSPMLGPAQRYLSLRSLGAPAVLLSLATQGVFRGFKDTTTPLYATVIGDVTNIILDPIFIFVFRLGVTGAATAHVISQYLMCGILLWKLMGQVDIFKMSTKHLQFCRFMKNGFLLLMRVVAVTFCVTLSASLAAREGPTSMAAFQVCLQVWLATSLLADGFAVAGQAILASAFAKKDYKRAAATASRVLQLGLVLGFLLAVILGTGLHFGARLFTKDDKVLHLISIGLPFVAGTQPINALAFVFDGVNFGASDFGYAAASLVMVAIVSVLCLVLLSATHGFIGLWFGLTIYMSLRAAVGFWRIGTATGPWSFLRR >A03p027780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11627921:11630031:-1 gene:A03p027780.1_BraROA transcript:A03p027780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMRCTVLHLFLSLLIYTQIEAVGSLNQDSLLSVDLKRHQIITETTLFTADLNRRQLAGGGGGGRGGGGGSRSSSSGGRSSGGGSRGGGSVGVTRPSTTNTQGGRVPSSGARLHYSVAMFFFLICLVIMSSSIVLHLFLSFLIHTQITAVDSLSQTTLSSVDQKRHPLTLETISFPADFMRRQLAGGGGSSGGGGGGGSRGGSSGGSSGGGGGGSKGGSSGGSNGGGGGSRGGGGSRGGGSGGKGKGGDGDDGDSGSGGGGGGGNGNGGNTIPSTTTTIQGGRFPSGGARLQHSLVLFIFTISLVVLILNF >A09g517540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52315403:52318061:-1 gene:A09g517540.1_BraROA transcript:A09g517540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHLPLFLLLHILLSFLCKIKTLKTKPRSMAMKRNGKSIVSSDFDDTDMFFKDVSLGHHKSQLRFRLLHFWEAWNPIKKTLIGLEMLLIDEQIFPIIYFKRSLVNYFCCVYVILHREQLFKDSSPPGRIQTYLKEMKRGYVYKLNNFYGSRNKTVFRVSDHTATVSFAWNSELSVLQDGHAPFDEDSFRFYSYEEFQASCDLKGDLYVFLLTLLMNYGIDVVGHMKLVNGQSIKEAPVLDEVEIAKARHVLIHIQSHDGPVMKLYLWDQAARDFCKNFKSYEKTSTVLLVTTINAKTLGGTLSLTSMSSSRVFMDYDVQPTIDYFGWLGSNPAIAEQVSAEVVTKRETMTIGEIFSYIKQESAKDAFFECTATINDVVHDSGWYYIACSGCNTKVTNGATSMICTNINCEKIYVAGVAQYRSKISVYDNSEQAFFVLLGDAGRELTGKPASELVRNYFEANGNEEEHNLSGKTRSLTVTKILPLDTPPATMSSEEDHTTATSEEAFKRHLDSAEGSKRTCDSDEIEESKRLKCGN >A09p077720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57653745:57662298:-1 gene:A09p077720.1_BraROA transcript:A09p077720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNRLFTGIAKTKQFDLVLHLCKQMQLQGISYDLYTLSIMINCFCRRLKLGFAFSAMGKMLKLGYEPDTVTYNTLLNGLCLEGRVFEAVEDITPNIVTFSALIDSLVKEGKLSEAEELYNEMITRGIDPDTITYNSLIYGLCNEKRLDEANQMLDLMVSKGCDPDVVTYSILINGYCKAKMVDEGMRLFRKMSLRGVEVANTVTYTTLIQGFFQAGKLNAAKELFQEMVSQGVHPSIVTYKILLDGLCDNGEVEEALGILEKMHKCKTELDIGIYTIIIHGMCNASKVDDAWGLFCSLPLKGVKPDVKTYTIMIGGLCKKGSLSEAGLLFKKMEEDGIAPDSGPYNTLIRAHLRDGDLTTSAELIEEMKRCGFSADASTIKIVMDMLSDDLKKKKQTMLCRRLVLVSRIPLSPVGTLATPLLSFIISSFERGYCGLGSDRNLSSYKEKLRSGIVGIKKKDAVALFQSMIRSRPLPTVMDFNKLFSAVARTKQYDLVLDLCKQMELQGIAHSIYTLSIMINCFCRLRKLGFAFSVMGKMLRLGYEPDTITFSTLINGLCLVGRVSEAVELVDRMVEMEVIPNLITLNTIVNGLCLQGEVSEALALIDRMMDNGCQPNERTYGPVLNRMCKSGNTSSALDLLRKMEHRKIKLDAVTYNFIIDSLCKDGSLEDALSLFNEMETKGIKPNVFTYNSLIRGFCSAGRWDDGAPLLRDMITRGITPTVITFNSLIDSFVKVGKLTEAQDLYNEMITRGTDPDIITYNSMINGLCNEKRLDEANQMLDLMVSKECDPDIVTYNTLINGYCKAKRVDEGMRHFRKMSVKGVVANTVTYNTLIQGFCQSGKLNVAKELFQEMVSQGVHPDIVTYKILLDGLCDNGEVEEALGILDQMHKSKMELDIGIYNIIIHGMCNANKVGDAWSLFCSLPSKGVKPDVKTYTTMIGGLCKKGSLSEAGMLCKKMEEDGIAPNDCTYNTLIRAHLRDGDLTKSAKLIEEMKRCGFSADVSTIKIVMDMLSDGRMKKSFLDMLS >A06g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14461749:14462198:1 gene:A06g504780.1_BraROA transcript:A06g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGKHTVVLDMSELWNRLQGFDPVAELQLGTIRLAKFCNIIGEDRDFANMFTRLQDYSYSTSRNWISDFLARTTRSFHRELHFVGYYILV >A06g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26314190:26315726:1 gene:A06g509200.1_BraROA transcript:A06g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPIRERDQRMDQPYDVLCPRQGTSDFHSLPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3533289:3533915:1 gene:A09p006730.1_BraROA transcript:A09p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGNRARTNFPYNPNAIPSSSSKLLSATLTAKLHKCYMASLQLTKRTQTQTQTQMQNARYDGVMNVTSDGNQYNRGGVTEIKWEDGDVDMQHNLRPLEEDDIEQMIEELLHYGSIELRSVLPTQSL >A02p008790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3617814:3618755:-1 gene:A02p008790.1_BraROA transcript:A02p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALINQNTTNATTTTTGERRGRRSKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAALSMRGTQGRTNFVYTPNDVHTILTNPNLHSLIVSPYNNQSLLPNSSPQFVIDHHPHYQNYHQPKLTLPQTVLPAGSFKTPVRHQNADVQAFGTSPQNSSSNGSLSSSLDEENNFFSLEGSNSSNNSGYLDCIVPNHCLKPPPETTTIQNQTGAGFTTPASKASESYEGFSNSYFQDVDMMMEFGSCDLSAMITNYGVGTSMSMEDYAIMEQQNFSSSSIAAFGDVVADTTGFYSLY >A03p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3138505:3142830:1 gene:A03p007710.1_BraROA transcript:A03p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTRRCRAIQQDIRQAAGDVRFVNPVSLVENHPACRTNRAGQRVLPNGQAWKPHLLLLSFASKILAQENAYDVNYNSPPIVVVEARSTAQPPLSLFLSSLLESDQLPPPFKRLTEAEISRLSEQYRVEFEHQAELEMEEENERRRPHITLPPTMPVPIPIWYDTNNHPVRTHPPIDLSNPSLLVTPVLVMPGWDHDISYDGLSAEGTLVNVIEKIHIMSYSGQVTENFMKKGANVQLEMASLVVHGEEGNRSTALGFEMQKKTWDELSCTLRSDFNFMKHKAAAGLAVTLLGDSVSAGVKAERKLIANKRFGMDVCGGGAMTCRGYAAYGGSLEAQLLGRFFLSTLGLSVVDGHGGLAIGGNIQTQVHIGRSSDLTARANLNSRGAVQVSIRANIFEQLEHAMAALVPLFKKLLTSRSEDMEEKKNADDRIINDNILIPPAPPVLGLGRGAPLLKPTPRRRVNGNVSQHPTTTTAEIDETRVKFLRLSHRLGQTPRNNVVVAQFLSRGSSRVGGAAISMAEQLEGQDHLLDFSCTIMLLGKSGVGKSATINSIFDDDHAVKKMCTTDAFQMGTKRVQLVEGFVQGIKVRAIDTPGLSPSWFDQHKNVKTLKSIRAFIKKSPPDVVLYLDRLDTQTQSSIDEEMLLLRTITQFLGPSIWFNAIVGLTHAASAPPDGAASSYGMFVTQRCLAIQQDIRRAARDLRLVSPVSLVENHPACRTNRAGQRVLPNGQAWKPHLLLLSFASNILAQENAYDRNYNSRPLVVESTAQPLSLFLSSLLESHQLPPPFLSSLLESHELPPRCKRLTEAEISRLSKSQKEQYPVEFQAELEMEEERRPHIDLSNPSLLVTPVLVVPRWDHDIGYGGLSAKGTLVKEKIHMSYSGKVTKNNLMKMKGADVQLEMASLVVHGEEGNRSTSLGFEMQKKTWDELSCALRSDSNFMKHKAAAGLAITLLGDSVSAGVKAERKLVANKRFRMDVCGGGAMTCRGDAAYGGSLEAHLLGSFFLSTLGLSVVDGHRGLAIGGNIQTQVHIGRSSDLTARANLDSSGAGQVSIRANIFELLEHAMAVLVPLFKKLLSYYSPN >A02g513140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36028411:36029406:1 gene:A02g513140.1_BraROA transcript:A02g513140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDEGRRTLISRLEEVDPSKLKHEEKLAFWINVHNALGDACKLSFFEPINLGRHITLVGHTISAEPTQSSILGCKMSHPGQKFKAGGDERLAAYAINHLLHFSLTSGTHSDPPVRVYTPKRIKQELETSKEEYIRMNLTEAYRNLQYNVLRDVVVNHARPLIGTLPALHSDILFLEKLPNEIYSY >A01p042530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25471695:25474412:1 gene:A01p042530.1_BraROA transcript:A01p042530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARSLLGKGITLLKNLQHMALSVTESGARLFVTRFLRQFISIGCLTILEDGGTMFTFGGNYSNCLLKSILKIHSPQFYWKVMTQADLGLADAYISGDFSFVDKELGMLNLIMILIANRDLSSRKPNFANKRGWWTPMFLTAGLASAKYFFKHVSRQNTLTQARRNISRHYDLSNELFSLFMDDTMAYSSAVFKSVNEDLRTAQMRKITLLIEKARIEKNHEVIELGCGWGTLAIEVVRRTGCKYTGITLSIEQLKYAEAKVKEARLEDRITFQLCDYRQLSDAQKYDRIIACEMIEAVGHEFYEAFFGCCEVALAKDGIFVMQFTAVPEELYDETRLSSGFITEYIFPGGCLPSLARVTSAMSSSSRLCIENVENIGIHYYYTLRCWRKRFLENQKQIMELGFDDKFIRTWEYYFDYVAAGFKTLTLRSYQIVFSRPGNIAAFGHPFHSFPSALKKQE >A09p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1633604:1634821:-1 gene:A09p002330.1_BraROA transcript:A09p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSVSSSPIAAVFSVALLLIYLSEATLGAPCPINGLPIVRNISELPQDNYGRSGLSHMTVAGSVLHGMKEVEIWLQTFAPGSGTPIHRHSCEEVFVVLKGSGTLYLAETHGSFPGKPIEFPVFANSTIHIPINDAHQVKNTGHEDLQVLVIISRPPIKVFTYDDWFMPHTAARLKFPYYWDEQCLQESQKDEL >A10p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18430031:18431534:1 gene:A10p030500.1_BraROA transcript:A10p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYEEERNNIANNQERLRLEMAFPQHGFMFQQLHEDNTHHLPSPTSLPSCPPHLFYGGGGNYMMNRSMSFTGVSDHHHLTQKSPTTTNMHDQDQVGEEDNLSDDGSHMILGEKKKRLSLEQVMALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLERDYDSLKKQFDVLKSDNDSLLANNKKLHAELVALKKQDRQESAKIKREIAEASWNNNESTENDNNNSDINHVNMIKDLFPSSIRSATTTTTSAHIDHQMVQDQGFCNMFSSIDET >A06g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11843644:11844155:1 gene:A06g503840.1_BraROA transcript:A06g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQFLKAAKGETVLRLSLIVSVSPLVPLTSRLHGVSLDSCGGVLDFTVQVLEAGGFAASMIFARFLLGGLFTGLLFYWRLRLDSGHRSSKVCLSASIARSNFMDYKGYGEEESGKLLAANRINLGKVVMTKEPGVAPTTWLCSSNS >A05p006180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2438394:2439218:-1 gene:A05p006180.1_BraROA transcript:A05p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRRVVVNNYDLQQVTIQENMNFLIPFEETNVLTFFSSSSSSSLSSPSFPIHNSSSTTNTTHAPLGFPNNLQGGGPLGSKVVNDDQDNFRGGINNDAHSSWWRSSSGSGESKNKVKIRRKLREPRFCFQTKSDVDVLDDGYK >A03p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:750610:752515:-1 gene:A03p001530.1_BraROA transcript:A03p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKLVKKKLVREIRVNNGGDHIVSLTSTTYGHLDLDQRAETTSPKLQELTYESETIKPRRSTTQRDDHPEIINTWELMKDLEDSTKISPKSRGMFGKSWKTPAKSSLESPKRNGSSKRFRGKENRGEKQSPNQVPKRGVMRLSFPLKSEEPLAVTRQRRKSFSPMFDPELVASYERELSQEKEQIKMVIKTEDKNRGSERILESFPEKCPPGGEDSVVVYTTTLRGIRKTFEDCNKVRSVLDSHEVRFSERDVSMHSVFKEEIRGILGTRQVKIPAVFVKGRMVGSVEEVMRLEEEGRLGILLECMPKQKMGGGCCCGCGGLRFVMCDVCNGSCKVRDVEKKKNTVKCLVCNENANEMALELVRKDKLREVRAGHDGTWAAHPGLIPICMDAFTGHKGNNPCIHRPHG >A06g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8681377:8688225:1 gene:A06g502580.1_BraROA transcript:A06g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNERLKSPAPKVLNGNESASAPVPDADATASEDDMSVNSDDDDVSLDSSPENSRVSSGVGRSYGRNSSCYTYSEVSSSRDTLVVAARGQTEPRYDTDTEEEDESTDSASSSQFSPPAAANGRRIDGGVSRVETHLPITDGGASAEKELHDKFSSEEVSDIPSAPPFSGAAEESEEIKPATSGVQVSEAITEDCVESKKTGHFTRTSAASESFGPSDQHPARLPNFHASARGPWHAVVSYDACVRLCLNAWAKGCMEAPMFLENECALLREAFGLKQLLLQSEEEMLVKQSSQAPHEGVAPKPKKNIGKMMVQVRRVKTVLDAPTGCSISSLKPSLIKFEKIRIHFSNLSTRISSGWRALRKIHVRVPANGSSLSRQSLGYVHASTQYLKQVSGLLKVGVTSLRNSSTSYDVVQETYSCRLRLKSLTEDEAIMMQPGSGEDHVFFPDSHGDDLIVEILDSNGKEFGRVLVQLANFSEDSAEKLRWWSVFREPEHQLVGKLQLYINYSASFDDNSHLKCGSVAETVAYDLVLEVALKMQRFQQRNLLLYGSWKWLLGEFASYYGISDTYTKLRYLSYVMDVATPTSDCLQLVHDLLTPVIMKGNGKSALSHQENRILNEIKDQIEQILKLVFENYKSLDESSFSGMNNVVSSATGVPAPALTPAVKLYMLLHDILSPEDQTNLCHYFQAAAKKRSKRHMGETDEFVTNNSDPNIWDPSAMSAAYQKMTMVCKNVKNEIYTDIEIQNQDILPSFLDLPNLSASIYSTDLSNRLRAFLVACPPSGPSPTVAELVIATADFQRDLSSWNISPIQGGVDAKELFHLYIMIWIQDRRLSLLESCKLDKVKWSGVRTQHSTTPFVDEMYTRLNETIQDYQVIISRWPEYIFVLESAIADVEKAIVEALEKQYADVLAPLKENLAPKKLSFKYVQKLTKRNVIAYTVPDELGILLNSMKRMLDVIRPKIEAQFKSWSSCIPDVGNAAPGDRLSEVTVMLRAKFRNYLQAVVEKLVENSKLQKTTMLKKILQDSKESVGESDIRSKMHNLKEQLTNTVNHLHSVCETNVFIALSRGYWDRMGQIVLSFLENRKENRAWYKGSRVAVSILDDTFAAQMQQLLGNSLREQDMEPPRSIVEVRSILCKDTAVSKSKSFYY >A09p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2394149:2395264:-1 gene:A09p004090.1_BraROA transcript:A09p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport v-SNARE 13 [Source:Projected from Arabidopsis thaliana (AT3G29100) UniProtKB/Swiss-Prot;Acc:Q9LVP9] MSRVFEGYERQYCELSANLSKKCTSAIALDGEQKKQKLSEIKSGVEDAETLIKKMDLEVRSLPPSVKSSLLVKLREYKSDLNNFKTEVKRITSGNLNASARDELLEAGMADTVTASADQRSRLMMSTDRLGRTTDRIKDSRKTMLETEELGVSILQDLHGQRQSLLRAHETLHGVDDNVGKSKKILTAMTRRMNRNKWTIGAIITVLVLAIIFILYFKLTR >A08p006250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3566442:3590793:1 gene:A08p006250.1_BraROA transcript:A08p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSTEALRISVWKGDVVLKDLKLKAEALNSLKLPVAVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAYPAPDGRTVKEEDREKFLETKLQQIEEAESATLEARAKSKLGSSPAGNSWLGSLIATIIGNLKISISNVHVRYEDSTSNPGHPFASGITLAKLAAVTMDEEGNETFDTSGALDKLRKSLQLERLALYHDSNSSPWEMEKQWDDISPVEWVEMFEDGIKEQTAHNIKSKWALNRRYFLSPINGSLKYHRLGNQERNNQEIPFERASVNLNDVNVTITEEQYHDWIKLVEVVSRYKTYMEISHLRPMVPVSEAPRLWWRFASQASLQQRRLCYRFSWDSIHHFCRLRRRYIQLYANFLQLSSNANNPEMREIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRKLKKGGWFSFKWRTESEDDPEADTVAEGSKSMEEGLTKEEWKAINKLLSHQPDEEMNLYSGKDMQNMTHFLVTVSIGQGAARIVDINQTEVLCGSFEQLDVTTKFRYRSTQCDVSLRFYGLSAPEGSLAQSVSSERKTNALMASFVKSPIGENIDWRLSATISPCHATIWTESYDRVLEFVKRSNAISPTVALETAAVLQMKLEEVTRRAQEQLQIVLEEQSRFALDIDLDAPKVRIPLRASGSSKCSSHFLLDFGNFTLTTVDTRSEEQRQNLYSRFCISGRDIAAFFTGCGSDNKGCSLLMEDFTNQPMMPPILEKGDSVYSLIDRCGMAVIVDQIKVPHPSHPSTRISIQVPNIGVHFSPTRYMRIMQLSDILYGAMKTYSQTPLDDIPDGIQPWSPADLVSDARILVWKGIGNSVATWQPCHLVLSGLYLYTYESEKSLDYQRYLCMAGRQVFEVPPENVGGSPYCLAVGLRGTNLKKALESSSTWIIEFQGEEKAAWLRGLVQATYQASAPLSGDVLGHTRDGDGDFHEPQTGNLKAADLVINGALVETKLYLYGKIKDECDEKVEEVLLLEVLAAGGKVHMISSESGLTVRTKLHSLKIKDELQQSGSAQYLAYSVLKNEYIQDPRRCDAYDKEMSVGHADDEDAFTDALPEFLSPTEPGTPDMDMIQCSMMMDSDEHVGIEDAEGGFHEKDTSQGKGLCDEVFYEVQGGEFSDFVSVVFLTRSSSSPDYNGIDTQMSIRMSKLEFFCSRPTLVALIGFGFDLSAATYVEDDKDANNLAFEKSGSEKEAKDEGGRIEGLLGYGKDRVVFYLNMNVDSVTVFLNKEDGSQLAMFVQERFVLDIKVHPSSLSIEGTLGNFKLCDKSLDSGNCWSWLCDIRDPGVESLIKFKFNSYSAGDDDYEGYDYSLSGRLSAVRIVFLYRFVQEVTAYFMGLATPHTEEVIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIVVPNDSLGKNYIQLDLGQLEISNEISWHGCPEKDPSAVRVDALHAKILGLNMSVGISGSIGKPMIREGQGLDIFVRRSLRDVFKKVPTLSVEIKIDFLHGVMSDKEYDIIVSCTTMNLFEEPKLPPDFRGNSSGPKDQMRLLVDKVNLNSQTIMSRTVTILAVDINYALLELRNSVSEESPLAHVELEGLWVSYRMTSLSETDLYVSVPKVSVLDIRPNTKPEMRLMLGSSVDASKQVSSESFPFSLNKGSFKRANSRAVLDFDAPCSTMLLMDYRWRASSQSCVLRVQQPRILAVPDFLLAVGEFFVPALRAITGRDETMDPTNDPITRRSGIVLYEALYKQIEEVVYFSPCRQLVADSLGVDEYTYDGCGKNGSLLSKCVYLSNDSSCLFSPEDGVDISMLENTKSNSESVLSNAHKSSDVSDACQSDLKAGQNFTFEAQVVSPEFTFFDGTKSSLDDSSAVEKLLRVKLDFNFMYASKENDIWVRALLKNLVVETGSGLIILDPVDISLGYTSVKEKTNMSLTSTDIYIHLSLSALSLLLNLQSQVTGALQSGNAIPLASCTNFHRIWVSPKGMASVIMAIPPTQAVMAISNTYGRVRKPTGFNCIGLFSVIQGSGGDNGQHSIDSDECSLWMPVAPAGYTAMGCVANLGSEPPPDHIVYCLRSDLVSSSSFSECIYTVPSNSLFESGFSIWRADNVLGSFYAHSSTAAPSKQYSPGLSHRLLWNPLQMKTSPISDSSPTGGSQSEQTSDQTGNSSGWDIVRSISKPTSYHVSTSNFERIWWDKGGDLRRPVSIWRPIPRPGFAILGDSITEGLEPPALGILFKADDSEIAAKPVQFSKVAHIVGKGLDEVFCWFPVAPPGYVSLGCVLSKFDEAPRVDSFCCPRIDLVNQANIYEASVTRSSSSKSSQSWSIWKVDNQACTFLARPDLKRPPSRLAFAVGESVKPKTQENVNAEIKLRCFSLTLLDGLHGMMTPLFDTTVTNIKLATHGRPEAMNAVLVSSIAASTFNPQLEAWEPLLEPFDGIFKLETYDTALSQSSRPGKRLRIAATNILNLNVSAANLETLGDTVVSWRRQLELEERAAKMKEESGVSRESGDLSAFSALDEDDYQTIVVENKLGRDIYLKKLEENSDVIVKLCHDENTSVWVPPPRFSNRLNVSDSSREARNYMTVQILEAKRLHIVDDGNSHNFFCTLRLVVESHGAEPQKLFPQSARTKCVKPFTTVINDLMECTSNWNELFIFEIPRKGLARLEVEVTNLAAKAGKGEVVGSLSFPIGHGENTFRKVASVRSLYQSSDAENISSYTLQRKNAEEIHDNGCLLVSTSYFEKTTIPNTLRNMESKDFADGDTGFWIGVRPDDSWHSIRSLLPLSVAPKSLQNDFIAMEVSMRNGRKHATFRCLATVVNDSDVNLEISVSSDQNVSSGPSNHNALISSRSSYILPWGCLSKDNEQCLHVRPRVDPPHHSYAWGSCIAVSSGCGKDQPFVDQGLLTRQQTFKQSSRPASFVLKLNQLEKKDMLFCCQPATGSKPFWLSIGADASVLHTDLNTPVYDWKISINSPLKLENRLPCPVKFTVWEKTKVGTYLERQHGVVSSRKLAHVYSTDIQRPVYLTLAVHGGWALEKDPIPVLDLYSSDSVTSFWFIHQQSKRRLRVSIERDVGETGAAPKTIRFFVPYWITNDSYIPLGYRVVEIEPSENVEAGSPCLSRAPRSFRKNPGFSMERRQQRKNVRVLEVIEDTSPMPSMLSPQESAGRSGVVLFPSQKDSYVSPRIGIAVAARDSEIYSPGISLLELEKKERIDVKAFCSDASYYKLSAVLNMTSDRTKVIHLQPNTLFINRVGVSICLQQCDCQTEEWINPSDPPKLFRWQSSTRTELLKLRVQGYGWSTSFSVCSEGAVRVPIGREDGTDQLLLRVQVRSGTKNSRYEVIFRPNSVSGPYRIENRSMFLPIRYRQVDGVSESWQFLPPNAAASFYWENLGRRHLFELLVDGNDPSKSEKYDIDQIGDHPPRSETGPTRPIRVTIVKEDKRNVVRISDWMPAIEPTSSINRRLPSSSLSELSVNESQQSHLLASEESEFHVVVELAELGISVIDHAPEEILYMSVQNLLVAYSTGLGSGLSRFKLRMQGIQVDNQLPLAPMPVLFRPQRTGDKADNILKFSVTLQSNAGLDLRVFPYIGFQGRENTAFLINIHEPIIWRIHEMIQQANLSRLSDSGSTAVSVDPFIQIGVLNISEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRISERFSENISMRQSTMINNAIRNVKKDLLGQPLQLLSGVDILGNASSALGHMSQGIAALSMDKKFIQSRQRQESKGVEDFGDIIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVSGFGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIAAAITSDEQLLRRRLPRAVGADSLLRPYNEYRAQGQVILQLAESGSFLGQVDLFKVRGKFALTDAYESHFILPKGKVLMITHRRVILLQQPSNIMGQRKFIPAKDACSIQWDVLWTDLVTMELTDGKRDQPNSPPSRLILYLRSKSHDSKDLRSKLHDSKEQFRIVKCSPNTKQAFEVYSAIDQTINLYGQIASKGLVKTKVTRPYSPMSESSWAEGGSQQMPASVAPTSTFGTSPTTSSS >A02p020110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9387106:9390553:-1 gene:A02p020110.1_BraROA transcript:A02p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01918) UniProtKB/Swiss-Prot;Acc:Q2V4B2] MALSKPPPQHFSPFNNPNPHLETAASTLTFSTDSSRRIRRDVLLSVSGTIIPQLFLLDLKRSSSASAADLFSFLAPQPEPVRTVEMAKEGLRKNGENIKKIKEIMIENKRWKEGGKEIRRSASNMKQDLYLIIQAKPPKDRPLLRSFYSSLFSTITKLDYAARDGDATKMQISKHLFSFKILHFLLITTMDSSDQADRISNLPDVLLVLIVSCLSFKECVQTCALSKRNRLLEPFCQHKSHKKCFGIHEQTFEISISYPKTYLDVIESLIAFAVHKRVKNLVHDFSNPAWRTFHDVNLDELVVEIPQSVYDLATLESLKVGACKKFDPAKLSNLGKLKTVSFGWMELKNPELFLKTSRVEKYERIINCVFLLNCIFNLPRVDILKYSGDLFRFEFDNMNIIISEVEFDFRVLDNNNDESKDSNTAEGGMLCHLLNNLLDDGGRSATTLTVCPFLLKMIPKSEHPHFLGSMETKHLVLKTELHPR >A02p034950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19125469:19127319:-1 gene:A02p034950.1_BraROA transcript:A02p034950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFFILKYGKCSAAILGSPSAHWFPVKLILMIIIDFDFLLICSNIYFQVNFLPSTKLFDLANTNTHLQGILIKTIFLISWASIIKDYYMTSQEESLVCKVLLVILQNKRIAVCSSMQGTHVYFDKKTNAGEVRFYQLVARDTGLPSAAPLLRSYAKVETMTMAELNAFVVNAASQELDFLRIGRFVRLDTYKGKKLQRTASDFACGRCNNSHAVGALRCSKQWDKMNSRLLLGSYLSLWITLIICL >A08p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9839096:9841890:1 gene:A08p014670.1_BraROA transcript:A08p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKTMMKSGEKREEIITLAIDRDKESQNALKWTVDNLVSKGQTLTLLHVKLKQFPSLPYSGSYPNRSGDDGTELFLPFRCYCARKDVLCQDVIVEDISAAKGILDYVQKNAIETLVLGASKMNLLRFKAADVSNTVMKRAPSFCTVYAISKGKISSMKSATSSLPNSTMRSNMNVERRQHTMHRIHDEIQIEIKSPFMRRGYEGRYQPSMTDSDISFVSSGRPSVDLMFPSFDDHIEVPRLSVNSDYEENRISFATSPSSDKQSIDLGSSYTAFSSSSGRPSCSLSTQDEIEAEMRKLKMELKHTMDMYNSACKEAISAKKAATELHKWKADKERKLEEVRLSKEEAMAMAESEKEKSRVAIETAVAAHRIAELEAQKTKHIIEENNKSVVKTTDLRYRKYIIEEIEEATEDFSPSRKIGEGGYGPVYKGTLDFTQVAIKVLRPDAAQGRSQFQQEVEVLTSMRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGNSPALSWQLRFRIAAEIATGLHFLHQMKPEPLVHRDLKPGNILLDQHYVSKISDVGLARLVPPSVADTATQYRMTSTAGTFCYIDPEYQKTGMLGTKSDIYSFGIMLLQILTAKPPMGLTYHVERAIENRTFAEMLDPSVPDWPLEEALVAAKLAVQCAELRRKDRPDLGNVVLPELNRLKTLAEESMLPTNIGGSKRPTRNRNNNIYIKSPLSTTSLHEIMSGPQLHYASDTPSIHKKIAS >A09p010350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5311675:5312523:1 gene:A09p010350.1_BraROA transcript:A09p010350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCTEEEKVLLISHRNQDQEDEEEEALSLCDLPVNLSNEKVDQFPKQSKEEEEFQFETGSSFRLGSDSCEPALEMSTADELFSEGLILPFRHSVSLDAGLPGSNRLISRSESVDFRRTGTGSNRKIRNNFVDYSQPSPQTRIRRSSSMTARVYSIRNPKSSSIWDFLRLGLVRTPEIELRTAKVSVSRNSSCSSTSTSSNSKKTESRSSNRRRSFLFGDCRCSAATETIVPVKINVSGETEKKKTAKKEEKTAVARKRTFEWLKELSQVGFVVDHGRRSLA >A09p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2846849:2847648:1 gene:A09p005200.1_BraROA transcript:A09p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQFSPLPSPSSRRSSDGAHCLLLMLPQAAYIVAQKPIQSNHCEELCKGQSSTSETKIKILGTSCCMFCDAVSLMSSITALVKDPLRFSNKNVNIKIGFNQMSLKEKEQESEDDDADGEDKVEIA >A07p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20511809:20515234:1 gene:A07p038570.1_BraROA transcript:A07p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTKNHHTNKHIRNSKSRTPLLHKPPPPFHHVHTNPLLFPSSSPHQSLNLLSTSIHSSHHYYYYYCFYSQFHNTLSLPPPFPFHPSPPLLPLPPPDQRFFNSISKPLSLPPVVERKQKKQVFEHKDNIRNIKVISGSTEAALVVAKRPDSGGQEGSVIYLLANHFLVKFDPSQKIYHYNVDITPHPSKEIARLIKQKLVETEGKASFSGSVPAFDGRQNVYSPVEFQEDKLEFFVNLPIQTCKTLMKCGGDLREKQPEKKVDKLFRVSMRLVSKFDGKKQRKEGESWAPLPQEYIHALDVILRENPTEKCTSIGRSFYSSSMGGSKEIGGGSVGLRGFFQSLRQTQQGLALNIDLSIAAFHESIGVIAYLQKKLEFLKDLSRNKGRELSLEERREVEKALKNIRVFVCHRETVQRYRVFGLTEEITESLWFSDRDGKQLRVMSYFKDHYGYEIQFKNLPCLQISRTRPCYLPMELCVICEGQKFLGKLSDDQTAKIMQMGCQRPNQRKDTIDKVMSGPVGPSSGKQTREFNLEVSREMTLLKGRVLQPPKLKLERPRSLVVKGTRWALMSIGGSSDQKSTVPKFIHELTQKCEHLGVFLSKNTTSTTFFEPSHILNNVSLLELKLKEIQRAASSNLQLIICVMERKHKGYGDLKRIAETKIGVVTQCCLYPNITKLNSQFVSNLALKINAKIGGTMSELYNSIPSHIPRLFRLDEPVIFMGADVTHPHPFDDCSPSVAAVVGSINWPEANRYVSRMRSQTHRQEIIQDLDVMVKELLEDFHKALKKLPNRIIFFRDGVSETQFKKVLQEELQSIKAACSNFNHYNPTITFAVVQKRHHTRLFRRDPVCHHENIPPGTVVDTVITHPKEFDFYLCSHLGVKGTSRPTHYHILWDENEFTSDELQRLVYNLCYTFVRCTKPVSIVPPAYYAHLAAYRGRLYIERSSELTSGGSMNPSCVSRVGPPKTIPLPKLSDNVKNLMFYC >A07p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3300473:3301799:-1 gene:A07p003390.1_BraROA transcript:A07p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKDGETPKSSAAFPLRNPNVTLNERNFAAFTNRSAAAHPWHDLEIGPEAPAVFNCVVEISKGGKVKYELDKNSGLIKVDRVLYSSIVYPHNYGFIPRTICEDSDPIDVLEPVLTGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYRDIKELPPHRLAEIRRFFEDCIHKTTSNIAFVFNKKNENKKVAVEGFLPAQAAIDAIKDSMDLYAAYIKAGLQR >A05g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4635535:4637858:-1 gene:A05g501200.1_BraROA transcript:A05g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p007190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3036083:3040594:1 gene:A02p007190.1_BraROA transcript:A02p007190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQITDTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSKKVHYLFDDCSEALLKVKQAFRSAAVDLPPEESTAPYHSITLPETFDLDDFELPDSELFQGNYVDHHVSTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQAALELDEEVFQDKEVIGSDDEGVQGTDHNAYMDAATPEIKGDMVGASDAIPRDFNQEQVEDLALRNEIIEDAQAPQTPGLVEVPNSSSVREQLASDDHMEVEDLNAEERSKASGEPVANEMLNDLTSGYNEGESAVTPMEVDKSLIDENANAQNEPEEEERAGHVHVTSPCCSHTRAEMEEDPGQAITEAGTNVVANKSDAEPCLTQDPKDPEEENQDHFAIASATEVNHETDSRPEEQLNNADATDEQLVNLTGSTDSDVPAPEKVLAAPPNRLGDENGFMVESTTPDGTCNEDAGNNNITGKKRTFTESTLTAESLNSVESVGLVQSKRTADSVPDDDDLLSSILVGKSSFLRMRSTPVVEKATTKRLRASAPRSTATKRKVLMDDPMVLPGDLIREQLTNTESIRRVRKKAPCTVSEILMLQRQALEDGLLKEPIFTGMSVELVSLHNEPYDLRGIIVIENDERHASVGVVEGNECSVRAVEDNATEESSDPQPAEADATQPHDQQEEVKDDNELGETRSDLEVSKEGNGDAAAVEVDLVVNSEISQPSEDKLDHVEVEGCHGNHDGGLEGQDVIEIAEGDVENNAVLNETDFKAEDELPSEDKKTDASAAASEFWVDDQSPCDITVGSIETGCLAAGDFSDPALETCNEPLVEANNDGVNPHNEMFNEEAYMQSAADAELPSGDGLMGDNVEMDTVEVAHDTGFLNVDDDEVDEDHEEDDGIQDGDEARLLENSGWSSRTRAVGKYLQTIFDKEAENGKNVVVVADKLLAGKTRKEASRMFFETLVLKTRDYIQVEQAKPYESIIIKPRPKLTKSIF >A07g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7505479:7509039:-1 gene:A07g503790.1_BraROA transcript:A07g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGVKENVWIQCRLDRGFDNAEWFRLFPRSHTLYLERLGSDHRPILTSTIGTGTKHLGRFMFDKRWSNNPAVLEVVRKGWNSSQNHTSGTVSERIASCRKALSKWKRNEKWIMDEIPRCPVNRQIRIDAHLKVSSLLSSPGVWNEEKLTELFPPNEVHRIKQMVPGEVEDCFVWAYTRHGAYTVKTENISSVFDLMEDKSKPQNIVRAIPWVMWLIWKNRNAILYAETQDSMVRLLRDMMQEVDQWFLLNNTPSRDTEEGQSILNTARWSPPEHGIIKCNVHANWRDAHLHSGVAWIARDQNGNVMYHARDALVHAPNRMVAELRCIIWTMTSLVDIEITNVIITSDYNEVLEAIKAPLQWPRLRGLLQQVIKLKEKFTMVVLEEEKIVTNGIAREIARSVLRDGRFQSYLAMGGPSWLHDRLARERM >A07g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16826285:16827869:1 gene:A07g506740.1_BraROA transcript:A07g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGSASPTKEGGGVSNMEKFLCERLLDQSQPISERFRALFSLRNLKGPAPRNALILVRDCVLIFPSRAAARDSSNLLAHEAAFALGQMQDAEAVPALESVLNDMSLHPIVRHELSIADDPCARAAEALGAIGLAGNAEILKRSLVSDPAQEVRETCELALKRIEELSSTDDAETKRSPFMSVDPAAPAAAFSSVHQLRQILMDETKGMYERYAALFALRNHGGEDAVSAIVDSLSANSALLRHEVAYVLGQLQNKAALETLSKILRDVNEHPMVRHEAAEALGSIADEQSIALLQEFSRDTEPLVSQSCEVALSMLEFENSGKSFEFFFTQDPLVR >A10p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15614734:15617225:1 gene:A10p024080.1_BraROA transcript:A10p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 11 [Source:Projected from Arabidopsis thaliana (AT4G08840) UniProtKB/Swiss-Prot;Acc:Q9LDW3] MFEEKKKFMDDFEFLPDELRGRTSYSTDVQGAPPTCASARFRFSGDSALSSSLTSISNPFVNTTAGLCQNLSKMGISDDEERSSFTGSHPPFLDNLHGCSRFVRRLGVSSHRQSLTQSSHGDNSLMLGLLAPEDYYPNHHIGGFTENTSRRNRDYYHLFEEQQQNPRRHISKCMKEALSPDLVSVLGIYGSVYLTAKDQMGCRFLQKLMEERSFLDVMVIFRGLINHVIELGMDQFGNFLIQKLILISNEEQRTKILINLTSTPALLIEISLHNYGTRVVQKLIETVRTKTEINLVKSALKPGLLSLFILEGATRFCVTIATHKHGCCVLQHCVKYSVGAERENLIAEIARNSLHLAQDPFGNYVVQYIIEQNLGGVNVMFELKGNYVRLATQKFSSHVVEKCLIHYPESRSQIVRELVSVPNFERLLQDPFANYVIQSALSKTKGYVRASLVDKVRTFGNLKMNPYCKRIFSKSRHLRK >A03p008070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3256751:3257068:1 gene:A03p008070.1_BraROA transcript:A03p008070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKHISDHLGYGVKTGLPYVWRNERGDAVESLRKKWEGVDLMEKNVPFFESLKLPESAVKVEDCVLELAKAVREQLGSDVPAFTQAADAMVSWIQLWTYVNSSG >A07p048290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25821554:25822682:-1 gene:A07p048290.1_BraROA transcript:A07p048290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSSAPSPPRLQSPFIHRPINATPSSSRSLSARNLRSPSTSSYPRIKSEVDTNTVIAISVGVASVALGIGIPVFYETQIDNAVSASATATASMRTVVVRFEDADAAAYYIATAGFIGVSRRTQRSDAASDATSCVNLRQRNEQELTQNVDAAAAAEKCRLCVGSGNVTVELGGGEKEVSSCINCDGAGSLTCTTCQGSGVQPRYLDRREFKDDD >A02g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24846709:24847668:1 gene:A02g509310.1_BraROA transcript:A02g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDALLLPSRQLRECIGFCHPFLLTRCKLSENWIKQSARKHSLKSHWKCRRPLRGESTSQVDLSAHLPEVQETSSWRFSYDNVVLILENPERLALIWRKIREKGCELPSLGDMQECDAYVWMAVANAKAMEASNEYAAWMENKEDVGSHLLTIQQLRGELEAVRVTVQQHEVEIEGLKGKLADAETEKVAVQNDLDSMKENNRLEIEGRDAATRKECDLARHSLAHEYDAETAAEIRLQEVRARIEALTEYSEGGFEIEEELERLRYQEV >A08p014060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9412374:9413328:-1 gene:A08p014060.1_BraROA transcript:A08p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLAILEFCLDSVAFSGLRCRVWSRFSNNSQWGSGLELHWMRDGPARTKEAGNSAIFGTTQVHVPATKIFKETTTYFRIYHNLSIFLSQPHVTLIYLLFLFLLNILRYFFEKKPYSRTPLLGFATLKRETAPSLSSREDYPEPSFCFCYSYAVLFSIDACVFLCHG >A03p035160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14756282:14759386:-1 gene:A03p035160.1_BraROA transcript:A03p035160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPSFKQDRSSDPTKRHEIEKETSASRKLEENNSKSIQDPEEMQALYSRVRSQEEEIHNLQEQIAAACLKDMQLLNEKCGLERKCADLRVAIDEKQNESVTSALNELARRKGDLEENLKLAHDLKVTEDERYIFMTSLLGLLAEYGVWPRVANATAISTGIKHLHDQLQWKIKTCNDRIREMSSGTEFTSKDNNNHDPRISKGQASYGSTDHGTNDYRVNEQLMPPMDNVTRNPYQNLPQDTESLRFHNQTQQPKRESFGYSLSSVAGKEMIREREEKAESSSMFDPFNRNEEYASHVYEEGPGIDGFQIIGEAIPGEKVLGCGFPVRGTTLCMFQWVRHLEDGTRQYIEGATHPEYIVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIRCDGEMQTEIDTYISRGQASFNVQLLMDSTESWEPASVILKRSSYQIKTNNGEAVVISEKYSKELLIKVPCGESTQFVLISYDGSSHPISTLNVRMRDTLVLTMRMLQSKALDERRKGRV >A03g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19916069:19916932:-1 gene:A03g505660.1_BraROA transcript:A03g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVSISTSDTIYQRFEEGKIYYHFRYFNLLLNNQQYMLTVQPYIININETTITTLIQENIPPIPSYIFRPQHYHQLISLANATNFLPNVVGRICLIQGSDLYNHYTDSKIIIGLRLDRLKLVHLTLWDKEASNFRELNHIYTRKNQIDNYHSQPHMDRASTLTTILVSYNASKRGINCYPKPHSKRHQPTFKKFTLPLSTSIK >A04p019910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11535112:11536206:1 gene:A04p019910.1_BraROA transcript:A04p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKPNGKSIISSSDDNSDKKNGSNPLLSAAVKAKGKAHVSSDDNREVMFFNEISLGPQGDDLGTVIQGFVPPGRIKKFLPHMRQGSLYTLASFYGSRNKDGEDKKLKTQLASREKFYGKFLLSNLSTSKFQLVLSWYLIVYIYILQYPLLYDASRELMLLTPLMLVLSMFLCLPEFKHRHEEVKVDLAEACMIPCFSQSNSFPVSNLH >SC124g500100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:161111:161707:-1 gene:SC124g500100.1_BraROA transcript:SC124g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEICKLVEHMCDVWEINKKPDRWKRGTS >A06p045150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24236409:24236591:1 gene:A06p045150.1_BraROA transcript:A06p045150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCMTRTHAGATASYTWLAASFGCMRGDTSCLVDPPRASTCQVACAASMHGDTSSFCRH >A08p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15108705:15109077:-1 gene:A08p022960.1_BraROA transcript:A08p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQIARYKSIDAWQKSPKALKHGSREILLTHRSYTTYLEREVSKISTATKKGESCKKRKNKHEKERKMIIE >A01g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20443300:20448420:1 gene:A01g507000.1_BraROA transcript:A01g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNRAEPQCGRMDRPFGLMELRPITYLVNHVWARLASEWAPSANPTHAPSLLDLAHGLAQGPNSPWPHGRTSQPATDPDPDHRPKARTVRLGEVKSKFPYVLNLLSRPWNACLDVLRLAVGCASIEPTNTSYPITTHTPNVHFPCKHQSFQPLLRCNQKSNWKTDETRPRPHKRENLKLGAKRSAGKFAGKVTRKFSGDNPTIDLNPAIDSVGPSSPTLHTPSGTEAHTRRPWLSVAVRQHAQDVCGCPPPHTGPHTGRLWLSISTHISTLVLGLSTLALRVDCSSDFGPRGLSVQYTQDVCGCPLAHTGRPWVSVSPNRTSVAVRVCPCVSVSTHMTSVAIHQYTYHHYTQDIRGCPSAHTGRLWLSVSTHWTSVDLHQQTQDVGPSRGLMVHPRRLWHSVAVCVCMSAHTGRPWLSCSTYISTLVIRLSTLTLPVDCSGDFGPRWAVCSVHTGRPWVAASTHRTSVAVRVSPSVSVSTHRTSVAVHQYTYHHVGPLTQHAGPSRGQFGTHRTSVAAHQYTYQHVGPWTQHANPSRGLFGTSVGVCQHTQDVCGCPSAHTARPWLSVCVRVCPSANTGCPWLPISTHISTLVLGLSKLTLLVDCSGDFGPHGLSIQYTQDVSGCPPAHTGRLWLSVCVIVCPSFREHTGCPWLSISTRISTLVPGLSTLALPVDCLCDFGPRGLYVQYRQDVRGCLPAHTGCPWLSVVVCQHTHDVCVCLCVSVCVLQHTQDVCGSPSANTGRLWLSISKHISTLVLGLRTLSLPVDCWVILAYVGCLFSRHTTSMGVRQHTQDVCVCPSEHTGRPWLSVVFRVCPSAHTGRPWLSSSTHISMLVLGLSTLTLTMDCSGDFGPRGLSVQYTHDVRGCPPAHTGRLWLSVTSVGVRHHTQDVRGCPSAHTGRPWLSVCVRVCPCVSVSTHRTSVGVRQHTQDVHVCPSAHTQDVCGCPCVSVCVRQHTQDVCGRPSVHISARWSLDSALHTGRLWVSASTHRTCVADRGCPSAHTGRLWLSVRVRVCPCVSVSTHRTSVAVTPRSGRLGRGRSLTLLGPLPGRTS >A09p082720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59810060:59813443:-1 gene:A09p082720.1_BraROA transcript:A09p082720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTVGEVKPTFTGKRGFRLNSSIRHASEWPISEVSSDLTVQVGSSSFCLHKFPLVSRSGKIRKLLTDSKTFNICLSSVPGGSEAFELAAKFCYGINIEINLLNVAKLRCASHYLEMTEDFSEDNLAIKTEHYFKETVLPSISNSILVLHHCEALVPVSEDLNLVNRLVIAIANNTCKEQLTSGLLKLDYTFSGANMEPETPLDWWGKSVAVLNLEFFQRVVSAVRSKGLRQDVISKILISYTNKSLQGLIVRDTKLEKERVIDSEAKKKQRMIVETIVRLLPTQGRKSSVPIAFLSSLLKMVIATSSSSASISSCRSDLERRIGLQLDQAILEDVLIPTSPNGVNNTMYDIDSILRIFSIFLNLDEEDDDEEEDRHHRDRFGDETEMIFDFDSPGSPKQSSILKVSKLMDNYLAEIALDTNLTTSKFIALAELLPDHARIISDGLYRAVDIYLKVHPNIKDSERYRLCKTIDSQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSSSISPTQFLFSGNCHQFPQRGGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHISIRQELVKTNPGTKLFKSFAKKISKLNSLFSFASLKPSLKGKASTESRFLFQRKRRHSVS >A01p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2206706:2208027:-1 gene:A01p005170.1_BraROA transcript:A01p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWTLLYLLEPAPSALMLTAVTVTFASAFRALNYGKEMERNRDFSEASITLDTSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFWLSPYALYIKSQLGLSDPFLSRCCSKSFTRMQGLLLVACAVTVIAWLVSGHWVLNNLLGISICIAFVSHVRLPNIKICAMLLLCLFVYDIFWVFFSERFFGANVMVTVATQQASNPVHTVANSLNLPGLELITKKLELPVKIVFPRNLLGGVVPGVSASEFMMLGLGDMAIPAMLLALILCFDHRKSRDVVNLVDLKSCKGHKYIWYALPGYAIGLVSALAAGVLTHSPQPALLYLVPSTLGPVIFMSWRRKDLAELWEGSALSNPIEKSHEIEI >A06p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26498872:26505114:-1 gene:A06p050350.1_BraROA transcript:A06p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKCFHSFALHLLLATIVIGVVSSEYIEYNTAPRIVPEKINVHLVPHSHDDVGWLKTVDQYYVGANNSIRGACVENVIESVIASLLDDQNRKFIYVEMAFFERWWRQQSNAKKVKVKKLVDSGQLEFINGGMCMHDEATPHYIDMIDQTTLGHQFIKSEFGQVPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLREKTLEVIWQGSKSLASSSQIFTGVFPRHYDPPDGFTFEINDVSPPIQDDPSLFDYNVQERVNDFVAAALAQVNVTRTNHIMWLMGTDFRYQYAYSWYRQMDKFIHYVNKDGRLNVLYSTPSIYTDAKYAANESWPLKTDDFFPYADKPNAYWTGYFTSRPAFKRYVRDLSGYYLAARQLEFLRGRSSSGPTTDMLADALAIAQHHDAVSGTQRQHVAADYALRLSMGYLQAEKLVASSLSFLSASKSSTDEKNPDTKFQQCPLLNISYCPASEARLSSGKSLVVVVYNSLGWKREEVVRVPVSSENVIVKDASGKEVVSQILPLSDITLRIRKEYVKAYLGRSPKDTSKHVLAFIASVPPLGFSSYVISETGRTGRGLSASYVTSGSLNQDVEVGQGNLKLLYSEEGVKMSRYLSTKSQVTAEQTYAYYIGSNGTDKDPQASGAYVFRPDGSRPIKSEGQAQLTVVRGPLFDEVHQEFNSWISQITRVYKGKEHAEIEFTVGPIPADDGNSKEVITKLTTTMKTNGTFYTDSNGRDFIKRVRDFRTDWDLQVYQPVAGNYYPINLGIYMQDKTSELSVLVDRAVGGSSLEDGQIELMLHRRMLHDDIRGVGEILNETVCLPDGCKGLTIRGKFYVQIDKPGDGARWRRTFGQEIYSPLLLAFTEQEGDHWINSHKTTFSAFEPSYSLPNNVALLTLQELENGEVLLRLAHLFEVGEDSEYSVMTKVELKKLFNNKKISKVKETSLSGNQEKAEMEKRRLVWKVEGSAGEEVKRGEAVDEEELVVELAPMEIRTFLIRFDDHIELVGEGRPTTKIFK >A06p057810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30021150:30022184:-1 gene:A06p057810.1_BraROA transcript:A06p057810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A09p073380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55964332:55966098:-1 gene:A09p073380.1_BraROA transcript:A09p073380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLEESNLKVKIIIEKGCSNTVSYISSPPLPLIKEVSMAEAFTFTNLHVPSYSSYSPKQFSGTSHGWLSMKQNEKREKNVMRGSLCVRKALPHDLPLMAVMVQQIEGMRDIITEKHVWHLSDKAIKNDPFYDSEEYRGDGGDGTGYWVYETQEDIEEKARAELWREELIEEIEQKVGGLRELEEAVTK >A05g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24748817:24752930:-1 gene:A05g508480.1_BraROA transcript:A05g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NACDSRLPERLFATDRFPRHRLNIYSRPNILAFVCHALRGSEVFAKIRESSFGKLFDLPTRQCPVSCKLIHSLLSRQLRCNQEHTLWSVFGKDPLRFSLEEFGTITGLNCGSFPDGYEAPDHNRKDANKQKGAHKDPLWQKIVGKYDNITIADLAEELEHGHQMDEWRRIRLALIIILDGVLIASQQIHRRTLRYVQMLDDVDAFLEFPWGRESFLHTLRCMKPPKFEKGNPVDSPVDMLVLKLKQETFRLTGFPLALQLLAFRAIPMLLSKIPAPFNDQTIMDLTDPNLPNHPSIDLDAVLEVEANSSLRVTPLIPLVRGPHPGWGVWPNEKTDDKVTYMEQLIANNHCFSKAMWPGGDCSEPVFTFTPIPEKPVHKKHTVPRKRMESTIKPHKAGKETSVPSENRRSTRLQASSTPTPAPSTELLEARISTLEANATLMVARITGLETTVAKLGASNERAHLIDHSLMISKVMIIMIPPTLRGVSDGILGSGSPILSQYRAQHCASRRIDFNNPVLNDHLSADNHSADHKSSPRPHSPLSPSHSQRSNHLLSTDHNSPDHQLNRHHSTDHQSPSHQPHDHRSPTHSSASHKSPSHNPLAFNPLVVDQQSPNHQTYNHPSPADNHNSPKHGEHSSTHLVVDPLDHEPPNHIPATPTSDPPSSAHNSPPPAISLAQHSPTIQTSTELSPLFTAVTSQKTPLPPAPFPQGNLSQQYAPIGTLPQFDATPLNKPASQSPPSHGLTLPEPYTAPPIYDSSAFLYSPIPLFNPTPAATTPPTISPNPLFTPPPALTTTPTSSPNKPAGFSTHYSTPNAFAATATLKGSNCRLNEQDLNRDHGEGEASDSSPDKTAQTTCKEVCELSDSSPTRKTKEHQPSEAEKVLAQTFLNRPDFPHYLLVTPPPEDLWDIFAKTMAANKKGFHVTPSKLDFSNQFLLQLATPSQWTDSLHMAVLMHMLDMHHKDVLQMENATFMPPTLTSLMQSKDRQFQAALKKDKIRWDQRISKLILLPGKTWMKEVVKVYTPMIWADRHWVGFAINLRAGHVDVLDSLPSLYDEERVQRFLRPILQMLPYLIRYVAKNNSRDLSPFTCQRRTGTYENSRSGHCGPVCAKFMELHLYGDPYPHMSGLTDGMVDKFRQQYAMEAYKTIVLPAYY >A03p008820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3550618:3552515:-1 gene:A03p008820.1_BraROA transcript:A03p008820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFSSILVLFFIFPIAFAQLRVGFYSRSCPQAETIVRNLVRQRFGVDPTVTAALLRMHFHDCFVRGCDASLLIDAPNSEKTAGPNGSVREFALIDQIKAQLEAACPSTVSCADIITLATRDSVALAGGPSYSIPTGRRDGLVSNDVDVALPGPTISVAGAVSLFTDKGMNVFDAVALIGAHTVGKGNCGLFSDRFTNFQGTGRPDPAMDPALVSSLRNTCANSATASLDQSTPLRFDNQFFKQLRKKRGVFQVDQRLATDRQTRGVVARYANNNAYFKRQFVRAMIKMGAVDVLTGSAGQIRRNCRRFN >A01p054130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30575569:30576932:1 gene:A01p054130.1_BraROA transcript:A01p054130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLRHSPTIAPSAAKSSPSDSSYIIMSQRHSRADKGKWVVASSTRRRPPVQIPPCDNADLIEANKLTLIGRVTNPAIQKPIGLIEWLIQYWNMEPGRVTGRGLGPELFSFRFETEEDLLSVLRRGPYHYKRWMLMLQRWEPVISNSFPNLIPFWVRIHGLPLHYWTKTAVKAVGKELGALLDDDVERGRVRVGVNGLENLEMRLPLQLPSGEVISVDLEYEKLEKHCFVCFSLRHERDQCPRNAKIDSSARGINQENTLRSLEDYKKRRDMRRRPPPLLQISGERSYGLLSNLVSTDRRDVSHRHHYRDSKDSSCPQVRGGPQAQSGHSSQYIRTDTRGSPSHMRSNDSSNSVHRSQSTRKRPPRTQRNRMNLPDKGQVNSRSPALERLGAPPSPAQVEPPIELDRALALLGFASLSL >A03p003210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1397694:1399103:-1 gene:A03p003210.1_BraROA transcript:A03p003210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDDPENREIGSEDGGRSRIGPPPIPSPLSSWLVPLFVVTNVTLFSIAMFVNNCPKHSESHHSPNHHCVATFLGRLSFEPLRNNPLFGPSSRTLVKLGSLEWCRVVEEHEAWRLFTCIWLHAGVIHLVANMISLLFVGIRLEQQFGFVRIGVIYVVSGVGGSVLSSLFIRNGVSVGASGALFGLLGSMLSEILTNWTIYSNKVAALLTLLFVVVINLAFGILPHVDNMGHLGGFVVGFLLGFVLLARPQFKWLAREHIPQDRRLTSKYKPYQYILRLISLALMIAGFVVTLVMLFRGEDGNDHCRWCRYLRCIPTSKWSCEDV >A09g512500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36652521:36655644:1 gene:A09g512500.1_BraROA transcript:A09g512500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKIRNPEGESLPIRLVELEEQLLLIMRGGMELNLVSKSKTKRIQVFMIKIHHKKLRTMFSLVEKWMRFSLAEKNKSDQPVQKKSK >A01g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21896065:21898947:1 gene:A01g507750.1_BraROA transcript:A01g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDDTKAKEDGSSSVVGDEMALGSFSGDEANPRIIDKSVAPGTDQSSRDTNESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDKVEKEKEVGEEGEKEKEVGDEIEPRRNDEEAAIIPSRQHETESHADSDIESNLVATAAEEELLETIGMDKESCWADDADDAAVDRWTKIIRKGKKQVFFEEQFRMDFESRTGQIEGPTNPIGGPSNNAQFGQAHADSVEATGATPGAEALKAMECRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEEVKSLRLSVPGSDNPAVQDDGDGSDNSESEEEDGDVGGDKESEEEDGGDNNEPDEEDGGDNNEPDEEDGSDNDVEDAILDISKDVQREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESVDRGDTVRSPIQLKSRAAEKSTKGEKKQKAAAEKKAAAAAKKNTAAEKEAAAEKEAAAEKEAAAEKEAAKKKAAAKKKAAAKKTE >A02p017460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7899738:7905985:-1 gene:A02p017460.1_BraROA transcript:A02p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSITLLPSTTLLTNWANQSSSQSGLSPRHSTWQCVCFRDQKRKPKLYIIPAKIHATSGVSQVQRSTHGNGMKEFEIELQELFNEVKAMVKIGKERDAMDLLRANYVAVKEEIDSGLKGIQQAALLDIIALGYMAVGDLKPVPALLDMISKIVDKLNDSEPLLDSVLMHVGSMYSALGMFENALLAHQRAVSILENTYGDDNTLLVTPLLGLAKSYGSYGKANKAIGVYERTVTILERSRGSESEDLVVPLFALGKLLLKEGKADEAEAPFTRILNIYKKTYGEKDGRVGMAMCSLANAKCTKGDADGAVDLYKSALRIIKESNYMDIDNTVLENMRIDLAELLHFVGRGNEGRELLEECLLINEKYKGKNNPSTATHLMNLAASYTRSKDYVEAERLLRTCLDIMEKSAGSEDQSITFPMLNLAVTLSQLNRYDEAEQVALKVLRIREEAFGKESLPVGEALDCLVSIQVRLGRDDGEVLGLLKRVLMIQEKEFGSSAEELIITLQRIVHLLEKMEMKDEKFKSLVSSGMSSETRRMKTKIKKKEGLGWMEWIRGWACVFHEFLFQRFMSSHLPNPLSLPPLSHLTCIVTGSTSGIGRETARQLAEAGAHVVMAVRNTKAAHDLIQQWQRDWSAKGLPLNIEAMELDLLSLDSVVNFSIAWNARLAPLHVLINNAGIFAMGEEQKFSKDGYEQHMQVNHLAPALLSLLLLPSLNRASPSRIINVNSVMHYVGFVDPDDMNVVSGRRKFTSLVGYSGSKLAQVMFSNVLFKRLPLESRISVVCLSPGIVLTNVARDLPRSVQVQYALIPYFIFSPQEGCRSSLFSATDAQIPDHCEKLKTGDKPICTFISQDCKHTKPSEEAQNVETANRVWEKTVELIGLPLDALERLIQGQELAEAGAHVVMAVRNMKAANELIQQWRTKWSASGTGHPLI >A09g515850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46802110:46802764:1 gene:A09g515850.1_BraROA transcript:A09g515850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A07p043450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23768671:23769508:-1 gene:A07p043450.1_BraROA transcript:A07p043450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSGVNNDEYVRLLGAWPSPFVLRTRIALNLKRVPYEYLEEEDTLNSESVLNYNPVHKQIPILIHGNKPIRESLNIVMYVDETWLSGPPILPSDPFDRAVARFWDVYIDEHCFTSINGVAVAKDEEERKMAIAKLEQCMALLEETFQECSKGRGFFGGDNIGFIDIGFGSMLGPLKVLEKFSGVKFIHPENTPGLFNWADRFYAHEAVKPVMPDIEKLVEFARLKFNTSIFK >A08p027530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17385226:17387954:1 gene:A08p027530.1_BraROA transcript:A08p027530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDIGSTLPPGFRFHPSDEELVCHYLCNKIRAKSLHCNVEDDDVDEALQDATDLVEIDLHICEPWQLPDVAKLNAKEWYFFSFRDRKYCTGYRTNRATVSGYWKATGKDRTVIDPRTRQLVGMRKTLVFYRNRAPHGIKTTWIMHEFRLECPNMLPKEDWVLCRVFNKGRDLSLQDNNNDHLTRRFAVNGAPDLNYAPNYNNQLHPLLSSSPSTTIDPLHHLDQWGQLMKQPSRTTDHPYHHHCKHQTIACGWEQMIGSMSSSSTHGHDHESLLNLLYADNNNNINVTDDQYIQNYEKILFPSDSTSLDHDKICMGTSSDGGMVSNPRMECGGLSFETDNPLSFH >A09p050110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44103248:44106017:-1 gene:A09p050110.1_BraROA transcript:A09p050110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILLGAGLVGSVLAKDGGLPDVSSFVSGAFKMVFRQLKQGEPVKSASKPHSDALTAQVNSLRHELQLLASNRPITIISTGGSGGRKYAVVIIIGVIGYGYVWWKGLKLEDFMFATRRSLSDACDNVGTQIDGFYSSLSGTKKELGSEIDRMDRTLDESSVIIKQTGREVNDLRDGTANMKDEVRSVFEAVETLASKVHRIEGNQDLTLKGVEALHAQCLEHKRIQESNKALPSTSSLPALEPAPVTPSSRTLSLPPPSPREFQSPSTPNGAQQSNGPLQHTQSMSGLLSIFSMPRIGRTRSAVNAVPANSTGPQ >A05p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21645875:21649428:-1 gene:A05p038010.1_BraROA transcript:A05p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLNLSLAEFSFFGSILTIGGLVGAAMSGKLADLLGRRGALWVSNSFCMTGWLMIAYSQAAWSLDIGRLFLGVAAGLSCYVVPVYIVEIAPKQIRGAFTAVNALVMSSSVSITFLVGSATSWKTLALISTVPCVLEFVGLFFIPESPRWLSRNGRVKESVAALQRLRGNNTNITKEAAEIKIYMENLQEEFKEDCFFELFKPRYSRVISVGIGLLVLQQLGGLSGYTFYMSSIFHKSGFPNNIGVSITSAVQLVTSILGLVIVDKYGRRSLLTVATVMMCMGSLITGLSFLFQSYALLNNYTPISALIGVLVFFVSITVGIGGIPWVMVSEMTPINVKGSAGTLCNLTSWSCNWFVSYTFNFLFQWSTSGVFFIYSIISGLGILFVLKMVPETRGRSLEEIQADITR >A06p010720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4081864:4084821:-1 gene:A06p010720.1_BraROA transcript:A06p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDENNKAEESAEDPKGQGTLSRKNSHSSLCPTEDDEEDEDKKLELGPMIALKEQFEKDKDDESLMRWKEQLLGKVDLEEVGETPDPVVKILNLTIRSPDREDMVLTVPEDGKPTSKGPWFTLKEGSKYTLVFTFRVTNNIVSGLRYSNSVWKTGIKVYGRKEMLGTFSPQAEPYTHVMFEESTPSGMLVRGSYSVKSKFVDDDDKRPTSFKASSLLSSSSYSSPHSYQFQEQSLSAPALAVDIRRHKTAARHAWWSRRITDVLSAVRCSAAWLVAFGEVCLRGNAPPHAVATPSPSPRCWSVNAAFLGASVAVRRRKLAT >A01g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15213427:15218091:1 gene:A01g505170.1_BraROA transcript:A01g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLANNEELRASLKSITAELAQLRQGGRPNRPRPPGRNQPDPQDTDSDADSTDDTRSQDEDRPNRGGRRNARGHRAQELADLKLEDTSEPLEVPDSFHSSQFSSID >A01g511090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30905616:30906028:1 gene:A01g511090.1_BraROA transcript:A01g511090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFITDMEGKKFTFQVRVNTYNFTAHHQTFTITHILTEHERVPVPDYVVDVETEEGSSDGDKNVKENLPAAAPKKRTHGPTNVTKKALLLEME >A01p050110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28165201:28174489:1 gene:A01p050110.1_BraROA transcript:A01p050110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTMGKKNVGLALAMCLVLSSFHEISCQVGGSREFGTLESQESQSNVQTFEGKETSSASHSVEAESEAASSYEAGQGSSSSDDIKRLLQGFNKIAGSEAELMDASETGASNQERVKELQRQIEASASSRTVVEEEEEITEEKSKVETSGNTFQGGENTDDGRIYYNNGEKESEGNRGFMIKEPTITRNEDGSMGSREQYESKQESVEGRLEYESKTSSSGSGVLGSLAIGQSGAWRCINQDNNGVKGDDSIGSSSKTSSLITSLTEIVDNHKKRRWTTDVKIGKVSTTETSEKVTKLKMTLKKYVGIKVRELVHRSDYEEILTMAARYEELTRAKVTYISRLATYGTVIREGFKASQRVKTVHQRVILHENVAIEKQKRVDAEFELVKALAQKGDNLAVQIFAMKKAVLKLEAEKKQVEIQFQKSVENLSSVLEESSHAYEKHRVVVREWKEVQASAEYSLETIEKADVVWVQFLNTLT >A09p080980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59098778:59104955:1 gene:A09p080980.1_BraROA transcript:A09p080980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTRTAGAFAVTPHKISVCVLLQIYAPSAQMSLPFPFSSVSQHNRLGLYLLSLTKACDGMYEMKLEELINQLRELGDDVDAWLTENLTNRFSSLSSPDDLLNFFTDIRGILGSLDSGAVQDDQIVLDPNSILGMFVRRCILAFNLLSFEGVCHLFSSIEAYCREAHSSFAQYDASNSNLESLTQYDQMDKTTKLHKNASGSVPFHLHTPEALFKVTEGLLVTRTEKSSTRKFAEATSVASASSSKVEDTRVDESLFLRTNLQIQGFLMEKADAIETHGSSFSSSSIERFLEQLQNLAPELHRVHFLRYLNKLHSDDYFAALDNLLRYFDYRTEGFDLVPPSTGCGMHGRYEIGLLCLGMMHFRFGHPNLALEVLTEAVRVSQQLSNDTCLAYTLAAMSNLLSEMGIASTTSVLGASYSSVTITASSLSVQQRVYILLKESLRRADTLKLKRLVASNHLAMAKFELMNVQRPLLSFGPKASMRHKTCPISVCKEIRLGAHLISDFSSESSKMTIDGSLSSVWLKDLQKPWSQPVYSQESGSRNSSTFFKFCDHLVSIPGSVSQIIGASYLLRATSWELYGSAPMARMNTLVYATLFGDSSSSSDAELAYLKQIQHLALHKGYKDAFAALKIAEEKFLTVSKSKIMLLKLQLLHGHALHRSNFVKSISGNLKLAQRICNELGGLASITMGVDMELKVEASLREARTLLSAKQYSQAANTARSLFCTCHKFNLQIEKASVLLLLAEIHKKSGNSVLGLPYALASISFCQSFNLDLLKASATLTLAELWRGLGSNHSKRALDLLHGAFPMILGHGGLELRARAYIFEANCYLSDPSFSVSTASDTVLDSLRQASDELQALEYHELAAEALYLMAMVYDKLGWLEEREEAAALFKKHITALNNPQESRR >A05g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24831529:24833991:-1 gene:A05g508510.1_BraROA transcript:A05g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNRISAWINRMMYSALDKGHPTFTHFPSEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05p047420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27930330:27937264:-1 gene:A05p047420.1_BraROA transcript:A05p047420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSKQGSKSRKTGFSNFKGADSTASSTTSSSKLYQETSIDDGHSSPASSSAQSKQHFFSPDSVPQSAQRSKENVTVTVRFRPLSPREIRQGEEVAWYADGETIVRNEYNPTIAYAYDRVFGPTTTTRNVYDVAAHHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPSREFLLRISYMEIYNEVVNDLLNPAGHNLRIREDKQGTFVEGIKEEVVLSPAHALSLIAAGEEQRHVGSTNYNLLSSRSHTIFTLTIESSPLGNKIKGEAVHLSQLNLVDLAGSESSKVETSGLRRKEGSYINKSLLTLGTVISKLTDVKASHVPYRDSKLTRILQSSLSGHDRVSLICTVTPASSSSEETHNTLKFAHRAKHIEIQAEQNKILDEKSLIKKYQHEIRQLKEELEQIKQDIVPIPQLNDIGTDDIVLLKQKLEDGQVKLQSRLEEEEEAKAALLSRIQRLTKLILVSTKTSQTSRLPHRFEPRRRHSFGEEELAYLPYKRRDMMDDEHLDLYVSAEGNNEIRDIAFREEKKTRKHGLLNWLKPKKRDNSSSASDQSSVVKSNSTPSTPQGGGNNLHAESRFSEGSPLMEQFSEPKEDREALEDTSHEMDTPETSNKVMDELDLLREQKKILSEEAALQSSSLKRLLDEAAKSPQNEEIKEEIKALNDDIKAKNDQIATLEKQILDFVIASHEALDKSDIVQALAELRDQVNEKSFELEVKAADNNIIQEKLNQKETKIEELKQKAKELSESKEQLEHRNRKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLAAELAALKSSVPQRGNKPGTTTATNVRNNGRRESLAKRQQEQESSSMELKRELRMSKERERSYEAALVDRDQREAELVRIVEESKQREAYLENELASMWVLVSKLRRSQEGGSEISDSVSETLQTDRSF >A08g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6861696:6862388:-1 gene:A08g504280.1_BraROA transcript:A08g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPHAKVVFSLAYDISTVNLFLFQRVNNNMDSFLIKIERSGLSSQEKKGVFWRDSALIIYPNELSKAAQLSLAKAKSFKEVSKKWCYAFEFVELGL >A01p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5910085:5913985:1 gene:A01p012110.1_BraROA transcript:A01p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSDLKSVLKISQVQLNYLAVASDLGKVFGWSSGLALMYFPLWTVLFAAASMGFVGYGVQWLVITNFISLPYIMVFLCCLLAGLSICWFNTVCFVLCISNFPANRALALSLTVSFNGVSAALYTLAYNAINPTSPELYLLLNALIPLVVSFTAIIPILRQPPFEPLPPDGVRRDSLMFLLLNILAALNGVYLLLFESNSSDITSARLLFGGAIILLVLPLCIPGLVIARNWYHRTIHTSFRLEGSGFILVHPDELELHKGMVAHEANREGYQLLNDDIVQNLVIKTTAPEEGDTDESCCKKLITRGQLEILGTEHSLSQLLHRADFWFYYIAYFCGGTIGLVYSNNLGQIAQTGWLAIALLPTPIALFLLASSGTASALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLIYGFLAALVYDSHGSTVIKSMKDSVVCMGRGCYYLTFVWWGCLSVLGLASSLVLFIRTRRAYQRFEQARISSNIDS >A10p032370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19160222:19166043:-1 gene:A10p032370.1_BraROA transcript:A10p032370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSYYASILCKYLLIIGVVATFFIVVFFNLTYISSQYVDSNIFTIKCEETGPKETTTNLSHLMFVLVGSSSAWKHRRTYIESWWRPNVTRGNIFMDVEPSEEFRPWSPTFPPFKVNEDLRKLSIYPKLANQVHIRIYRSILETYRLQQDDGVRWYVMGDDDSLFFVDNIVDVLSKYDHTEKRYIGMFSESTKSNFRLAFEMAYGGGGYALSYPLVEALVAKLDECIEKYHFIWAGDKLQSYCLADLGADLIPEKGFHQMDLRGDLSGLLSSHPTAPLLSLHHFDAVAPLFPGMNRHGSVLQIMKAANVDQSRMLQQSICYVRASNWTFSVSWGYSAHVYEKIFPRSYLKLPIETFRPWLRGWFPFYMFNTRRVSRNPCEAPHWFFFDSIEQVDGGVVTSYTRKFIRNMTSCSFSGDISADPLASIRVFSPITPKEGRKVECCDVEYEGADVANIRLRDCRILCKYRVIIGVAVIFFVLFFFNQTYLKSQYFDSNIFTIKYQERLLQPKEAATNLSHLMFVLVGSSRTWRDRKVYLGSWWRPNVTRGNIFFDVEPSEEFQPWSPALPPLKVNEDLKKLKIYPKLTNRNHTRIYRSILENYRLKQDEDVRWYVTGDDDSVFFVDNMVDVLSKYDHTEKHYIGMFSETIKSNFHFSFDMAFGGAGYALSYPLVEALVEELDNCIERYHYIWAVDHLQSLCLADLGVDLSLDKGFHQMDLYGDISGFLSSQPTAPLVSLHHFETVTPLFPGMDRPGSVNHIMKAANVDQSRMLQQSICHVRASNWTFSVSWGYSVHIYEKIFPRSYLKLPIETFRPWWHGGRPPFYMFNTRPVSRDPCEAPHWFFFVSIEQEKEGVVTSYKRKFPRNMASCFFSGNTSADPLTTIRVFSPKTPKPGRKVECCDVEYEGANVAYMRLRDCRRHEIIA >A04p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17084161:17084821:-1 gene:A04p028450.1_BraROA transcript:A04p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNYTARLIGIVGDNKAMEIIGNALVVISAGAGPNDFILKFYDIPNEEHQWVFADPNDHQIANHIMSRTCLEQENKDSVLFKQKLKKKFPQIEASLPESKFLYVNVYDHVMDMIQNRSKYGISSLLRGLLDLGMS >A10p011220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6507292:6507903:-1 gene:A10p011220.1_BraROA transcript:A10p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTRKSLTFSTTTTNKSLSTTTNKVVTKLARTTLKVSPPKKISLHKVKQETSTDAILLYGTEVVSKAEAHIVEKVGQRVAAKIVTRVEHKAEKPVIENVVM >A03p004300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1825662:1826807:-1 gene:A03p004300.1_BraROA transcript:A03p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATVKDGFNQVAKRQKHYHSISQAVTDRAFEGFVESLVQILTKNDPKTVLTELKLKLDSLLPINHLQESQKETNTSLTKFEKVLGKSDVFPASISIDLDHQLVDKMLVEYLYRQGLFEVGELLIKEAEVEVDDQLSEVIARSLEIHRVTEPLKEKDTEPAMRWISENSEKLKEEASKLELELVSLKYWEILRQRKRPEALRFARAYFPKFASLHSVKIRKLIVALLWVRDLENYPHAEELFPLGWEEASRELTKLYYNLHDQPSSSLLAVALSAGVESLPRLLKLIRVMGLKEEEWGEMKELPVDLELGDEFRFHSVFVCPVSREQSDVYNPPMMMPCRHVLCKETILRLCLCCSTRRFKCPYCPAYTTASACRQLYI >A10p006680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9813873:9815303:-1 gene:A10p006680.1_BraROA transcript:A10p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 71C3 [Source:Projected from Arabidopsis thaliana (AT1G07260) UniProtKB/Swiss-Prot;Acc:Q9LML7] MEKQDIIFVPSPGAGHLLVSIEFAKSLIKRDNRIHTITILHWTLPFVPQSKNSAKSLLASEPRIRLLPLPEIQNPPPLELFFKASEAYLLDFTKKTVPLVREALSALVSPRNGTESVRIAGLVLDFFCVPLIDVGNEFNLPSYIFLTCNAGFLGMMKYLPERHSRTPSELDLSSGEEEEHSIPGYVCPVPTKVMPPGQFVRESYEAWVEIAAKLNEAKGILVNSFTSLEKNAFDYFARRHENYPPVYPVGPVLNLEDRPSPNLDPADKDRIMTWLEEKPESSVVYLCFGSFGIHGESQIKEIARALELSGHRFLWSIRTNPMEKACPYDLLPEGFRDRTEGKGLVCGWAPQVEVLAHKAIGGFVSHCGWNSVLESLWFGVPIATWPMYAEQQLNAFTMVKELGLAVELRMDYVAANGEVVKADEIAGAVRSLMDGEDTPRKRVKEMAEAARLAVKDGGSSFVAVKRFTDELMGRAF >A09p070190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54493485:54495359:-1 gene:A09p070190.1_BraROA transcript:A09p070190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLCLLGPVRFVLHVMKKDEDAIMNGLVLWDGIVVEEKESNELSQGKDRNINESVMKKPFGFGNKSQQCIAFIESKGRQFENEASTAGETPMCGGVTVLIGTKWKHRSLPGILYCKKHRPYADLDSSSDPFKTKLTDIMSTLEDEMPLEGSVLNETTSFTEMLEHCSSNEVNLCVGSFSENIYVPCNEFSTKHTCSSREEKLPLHQACDILYKLFKSLSSLRNSVPVEEQLEWAMSEASRDAGVGGFLMKLVSHAKERLSRIWGFNDESMSESIEEEKWSFSGFACAICLDSFVKRQLLEAHCSRLTNNESSQNPEASNSQIVVSQNIESVHRYVCKFCGLKFNLLSDLGRHHQAEHVEPSSGRLSRPNKFKKSLGAVSYRIRNMNRRVQDSKLPPPPPSDSTNFDAHCSVVSKILRHKVNA >A09p067070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52996785:52999506:1 gene:A09p067070.1_BraROA transcript:A09p067070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTKLRLVRCPKCENLLSEPEDSPFFQCGGCFTVLRAAKIKEREVDSVSDKSVEDRAKPVSVNSTSSPEKASQTSLSDSDVPPASPSLRHQLNVPLAVESDPCSITKPFDVGGNSLGDKDDPKSQSGRQEPGLDRFRKRTTKRCDSDSVIINNNNNRLSTSMYPPLSDEGTSSGPNYLPDSQSREAIEQDRAGLLRQLDKLKEQLVQSCNVAGDNKPKEQAPNKAPPVRFYSSGTGPSYYHPEPQFPYSNNDHHGLMHPSYGRGPYFSGGGQYLGNNNDLFQQNGPFHLSSCTCYHCWRGSVIPHDAPYNAGFYPPESVMGFAPPPHNHRAFPPSRAPPLHQPHGRWPVDSLPRVRPPPKVVLSGGSRHIRPLAGGAPFITCQNCFELLQLPKKPEAGGGGGKKEVKMRCGACSCLIDLSVVNNKFVLSAANNTGEAQPRVAAAAADYTSDDYDLLGYVFHSLDDEQDKSQDVQIVRSHSASLSEDELSSDSLTAKPLDSPLHENFVNYSSINHERAGAGSRSFSSDQERVTLSKTMRQNSMKEVSLASEMEVSFNDYSGVSKDHHHQQRSKKNGFASIVKKSFKDLTKSIHNDEGNRSSVSINGHSLTERMLRKAEKQAGAIQPGSYWYDYRAGFWGVMGGPGLGIIPPFIEELNFPMPENCAGGTTGVFVNGRELHRKDLELLAGRGLPPDRDRSYIVDITGRVIDEDTGEELDCLGKLAPTIEKLKRGFGMRCPKRAT >A08p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8100561:8102064:-1 gene:A08p013600.1_BraROA transcript:A08p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERVFLLRGSSETRVSAEEFGFLKETCDRYGEHGPVLHSRCIDCFKTLPLAYVLSDSVYTTHGGLFQSSSRVHEDSTLLLGSLEELDKVDMRQVGENDDGNITLNHVLWSCLWMGDGLSENEYFLNRFSFLLFQLSSSDYYKCVAYNACSPHNNSLNRSVFLFALPHCIFFFKFLPHYFQLEVEKLLFLEIKTSNVLIGMVNTGVVRCTVYDESYQMEKILDFFGKKMFSLIQNLTESNIVWITLALLTSATSNCDYKTILTCKDLNITFDDYFVAKDLNLKPLV >A06p049970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26329037:26330947:1 gene:A06p049970.1_BraROA transcript:A06p049970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPDHHHHRTSRRLMLVLYFTCVLVAAFLCLSSTKPSLSSVSSIWVPENPPEIQAPSIDSRIVQKRSKQSNETKDDHVNNIRLLSATFADLPAPELQWEKMPSAPVPRLDGYSVQIKNLLYVFSGYGSLDYVHSHVDVFNFTDNKWCDRFDTPKEMANSHLGIVTDGRYVYVVSGQFGPQCRGPTSRSFVLDSATKTWLDFPSLPAPRYAPATQIWRGRLHVMGGSKENRNAVASDHWSIAVKDGKALDKWREEVPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPNSPIFKCSRRREFFNGEVYMMDEEMKWKMLPPMPKNNSHIESAWIIVNNSIVIVGGTTDWHPVTKRLVLVGEIFRFQLDTLTWSVIGRLPYRVKTAMAGYWNGYLYFTSGQRDRGPDNPQPGKVIGEMWRTKLKF >A09p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17768828:17775421:-1 gene:A09p029860.1_BraROA transcript:A09p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTFFPQRIPSVSEIFSMYTSLSAFTILFRTILNEIIPTKVRDFIVSRFRDFFSSYFNPNFTFIIEERCDYVTNQTFRAAETYLPTLLAGISTGSLLVRSSNLKNPLAKPKFGIPVKAKILDDFEGIPLEWTLLSERNENPHQSLPKRYFHLTCKKEFRDKIMSEYFTYIAKSSLKILTSRDNLKIHTYDPNDHSWESAIFQHHTTFETLAMEPEAKNTLMRDLDAFSNGKDFFKTVGRAWKRGYLLYGPPGTGKSSLVAAIANHMNYNIYDLQLQSVQDDAMLRQILTRTENRSILLIEDLDCSGADASCRKENKDEGEDGENQAKNNKKDPKVTLSGLLNFVDGLWSSCVEERIIVFTTNHKEKLDPALLRPGRMDVHILMDYCTPNIFKKLAAMYLDYIEEHDLFEPIEKMFREVKATPAEVIEQLMVSKDPDVALKGLMGFLESKKMIRESEESEPKEADEE >A03p039340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16343408:16345731:-1 gene:A03p039340.1_BraROA transcript:A03p039340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGHHHHHLLRLPLVTPSLRCSLPPIGGSILQTLYSSSASSSRRRYRLNRVIADMEMNEAKRTVTPRLCRVSGSMTEVVEIQAESPKFHVLFIPGNPGVVSFYNDFLESLHQFLDGNASITAVGQISHTSKDWESGKLFSLQDQIDHKVEFIRQELESVKVPIILVGHSIGSYISLEILRKCSEKVLYCIGLYPFLTLNQQSTKQSLIGKLAASSLLSATASFLVASLGLLPMSAARRLVSYSLGASWSDTAVQATCTHLRQYHTMRNVLYMAMTEFRELAAEPDWEFMRENQSKLAFLFGIDDHWGPLQLFEEISKHAPGTCLSIEREGHTHGFCCTVAGSVWVAQHVATRIKTRLHQKCL >A04p040290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22708327:22708882:-1 gene:A04p040290.1_BraROA transcript:A04p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTKRNKEKGGSSSNNNDAATASTSGRSGRGRQSQATNPLTVGQAIMKANYHSCCRQFYTLPELIEFMTTRHRGLTAEMVTKVYREMLKSINAEMYLRAAQYHSTARQIERKRRETADSVSTLRASTSTTAPAQSDGDSSSSS >A06p049670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:13412:14071:1 gene:A06p049670.1_BraROA transcript:A06p049670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEDWELRNEEGFVFKRVKRSRISDSGEASKPVEPELDPAVEERNRRTRKKRILVKLKRKYQREMEQWDILSNSFSAMQEKAARFQTAEREERLNASETTSFRDSEHGGEEDAPKTVSCMLDELLSMAEAQEAIVNDVSNLCEVAENICRVEQEEQESLFDLAVWSSPRSLMASLCAAD >A02p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:683108:685152:1 gene:A02p001540.1_BraROA transcript:A02p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSATSLAPGFRFHPTDEELVRYYLKRKVCNKPFNFDAISVVDVYKSEPWDLPDKSKLKGRDLEWYFFSMLDKKYSNGSKTNRATERGYWKTTGKDREIRNGTRVVGMKKTLVYHKGRAPRGERSNWVMHEYRLTDDELKIAGAPQDAFVLCRVFQKSGTGDKYGAPCLEEEWEEDTMTFAPEQEALSEGLGVDDDAYLEKPESLVVYDAIPVQSNYCPGESSNNVESGNCEDSGNNIPPGNYVVDSDGYFEQTFEEDQKPIIRDGSIQPCSLFPDGVQDEKEVNLDASSDNVFVADTCYGDIPIDANYMPEEPFIDHSNNLPLSDGLSGGLQDDFNFEDYLNFFDDEDAQNLSLDVSQLLGSEDPLPNQEGLDHKVSNLLRFCICQLHSNLLVHQQSSPEELEEVVDKKGSGEGSSSKQDADVTDFDSASKHPFLKKASHMFAPPSFTSSQFQTKDAAIRLHAAQSSGSVHVTAGMIRISNMTTLAADSSMGWSYDKNGNLNVVLSLGLLQNKTGGITATRAMFIFMCFWILVLYVSFKIGTIVSAR >A09g519120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58744212:58746645:1 gene:A09g519120.1_BraROA transcript:A09g519120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGADRLDLDGRPIKPLTICMIGAGGFIGSHLCEKLMNETPHKTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQDPDFYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTQVYSKVSGETAIESPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKATSKPVAS >A09p057110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48285653:48292911:1 gene:A09p057110.1_BraROA transcript:A09p057110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCVIQKWSRQVATMLPWFVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSTWRVRRNARLRERARLEAIELQKLKKNATRRCRNCSTPYRDQNPGGGKFMCSYCGHISKRPVLDMPGLEISGSGILKELVGRGGKILNGKGWSGNGCLNRQEWCESSTLLSSLFMSIRWLWRKIFGFSSVDDSSLDSEQRRLLAKQGENGNNYHESRVEKARRKAEEKRQARLEKELSEEEERKQREEVARLVEERRKLRDEKTEAEKCSKVLLAAKEKIIKEAEKKRQERRKERDKASSKSSSDGEEHNKRTGKETEQKRNVDKNDHLEHDRHSHDRGSNMERRHEHGVENNATSNGTKSGGRYFDRVKGTFLSSSKAFSDSRLFGRGVTTSATIAKETKPIGSTDNSHTSAHTNSHTNANHAVVSEPKPTREPRKAWHQLFARSTSVPVSPNVNTISRPSTKPQEAAQSSLISSQVSSIRTFDNPISFGLPSPFIIPVYSSGSTTSSIGFSPPTEIVLPQPGEDEHFEDPCYVPDPISLLGPVSESLDLRAGYETGIGKHHSMKNTPTREISKPSPIESPLSKSRAADEKQANDGSWQMWKSPLGQNSLGLVSGSAKWIIPSETTRSTEESHHIASSLFAKEDAYSHRDSPESDHQNSVFSPITSNHDPWSQNMFFPALSGTESPFSCSTQTESTLLNNRSPTGSAQNNPFEHPSPNHWLKKVKGLKDGTGKQFVAAGEVENHQEDVQSRKLESVFLSISMGWSRFRRWQKSNEVIQHEFDRNREGCIRTSTSSSSSSSPISKLSFFLLPTFCFNGLPIGDDGFERIMCILCVIQKWSRQVATMLPWFVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSTWRVRRNARLRERARLEAIELQKLKKNATRRCRNCSTPYRDQNPGGGKFMCSYCGHISKRPVLDMPGLEISGSGILKELVGRSGKILNGKGWSEHGCLNRQEWCESSTLSNRSSYWRANGGDTFDGDENCLVENSYSGGVVFACRLLTSLFMSIRWLWRKIFRFSSVDDSSLDPEQRRLLAKQGENGSGYHESRIEKARRKAEEKRQARLEKELSEEEERKQREEVARLVEERRKLRDEAEKCSKVVLAAKEKIIKEAEKKRQERRKERDKASSKCSSDGKEHDKKTGKETAQKRSVDKNDHLEHDRGSNMEKRYGHGVENNATSNDTKSGGRYFDRMKGTILSSSKAFSDSRLFGRGVTTSATSAKENKPIGSADNFHTSAHTNPPELVAVKSFLNEVETNANRSVVTEPMPTREPRKAWHQLFARSTSVPVSSSVSTISRPSTKPQEASQTSHVSSQVSSIRTFDNPISFGLPSPFAIPVCSSGSTTCSLGFSPPTEIAFAQPGEDEHFEDPCYVPDPISLLGPVSKSLDLRAGYETGIGLAKHRAMKNSPTGEISKPSPIESPLSKSRAADEKQANDGSWQMWKSPLGQTGLGLVGGSANWIIPSETARANEESGMHHVPHHITSSLFAKEDAYSHRDSPESDHQNSVFSPITGGPSNHDPWSQNMFFPALSGTESPFSYSVQTESILLNSAAEYRSPTGSAQDNPFEHPSPNHWLKKVKGSGDGTGK >A03p053770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23090587:23091003:1 gene:A03p053770.1_BraROA transcript:A03p053770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLRMEHVLKSSQILQQKVKMGPFLQILAQAHYSLPQLNLIDRKVPPNTNRKDADILLVDPDGGNLRRILRRLLLVWIMFGRESARKELGGLIEELRRGGPDSSSPPNS >A06p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17917658:17918698:1 gene:A06p033370.1_BraROA transcript:A06p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTPSTSTHEANVSVDERSRKGKVPKRINKAVRERLKREHLNELFIELADSLELNQQNSGKASVLCEATRFLKDVFGEIESLRKEHTSLLSESNYVTTEKNELKEETSVLETEISRLQSEIEARVNQSKPDLNTSPAPEYHHHHHHHHYQQHAELASQFSGLPIFQGAAGFQQSSAATPPGATVVVLPMQPDLQTQAPLMYNSSNVSKPCPRYASAADSWPSRLLGERLKANE >A06p028770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000109.1:22401:32912:1 gene:A06p028770.1_BraROA transcript:A06p028770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRVYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWREQGRREPSNQAGEAGRTTPLDHERGNGSESGEQEQNQEDSGHHNQEDGAQSSRDGQGQSTGSDESVAQSTGSDESVAQSTGSEESGAQLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSREDAFLLVQAVTLEIYHSNFIVTVVTELKDLGSYLASIWRVKHARRSPDKLRGVWIRSGQG >A03g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16909837:16913419:1 gene:A03g504740.1_BraROA transcript:A03g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPRRGRVADAAALNQQLPTDKKKQSKRVTEAAAVERPRPRTRLAARKLKEEDKVNLEESPVGEEEEEKEVMAIGNDSGGSNKAAAQEEEGNTAPFPERVQVGGSPLYKVERKLGKGGFGQVFVGRRISGGNERSAGASILEVALKFEHRTSKGCNYGPPHEWQVYNTLGGSHGVPRVHFKGRQGDYYVMVMDILGPSLWDIWNTSGQAMSSEMVACIAVESLSILEKMHAKGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWREGGGGGQHVEYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPDMLCCFCPPPFKQFLEIVVNMKFDEEPNYGKLVSLFQELLGENPAIRPINTEGAQKIIFQVGQKRGRLSIGEEEEDAPRKKVRLGVPATQWISIYNARQPMKQRTLILGLNVSTVKAIFDQQSTCATRYHYNVADTRLAQHIERGTADGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPVFLHKEWIMEQWEKNYYISSIAGAANGSSLVVMSKGTAYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGTRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWDGGFRITSTAATTDQTALILSIPRRRLVDETQETLRTSQFPSTHVKEKWGKNLYLASLSYGRTVS >A02g510530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27741869:27742988:1 gene:A02g510530.1_BraROA transcript:A02g510530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVSPPSPPSLTKAWISRLSSFSSYFLRFCDLGLLRNHTKALCLSAGPRHAPMAMAQIELSDVTAVELVDSLPLERRADPHNLHFSTVRLISRLLRILMMLCFRGGSWRRWRGRLHLRYIILFIAAKRNRLCNLRHKKKLKNVYKFCTILKLTTRYCDTSTELPNKPKLIIQRKEEFSEIENSHLAEVL >A05p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27908101:27911059:1 gene:A05p047360.1_BraROA transcript:A05p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g12100 [Source:Projected from Arabidopsis thaliana (AT3G12100) UniProtKB/TrEMBL;Acc:Q0WSX7] MERSVSFDLRGDNELPNDRSSDVGYTANDRRLAYSRSFHHSHGPRTPAAKPFLDRTVSTIDMPPEIYSVDGDDVFFGEGKAAAIGKATALRMVLVVFGVLRNGNRQMKRLFMLISLNVAYSTTELLIGLLTGRVGLVSDAFHLTFGCGLLTFSLFAMATSRKKPDHAYSYGYKRLEVLSAFTNALFLMFMSFSLAVEALHAFVQDESEHKHYLIVSAVTNLLVNLLGVWFFRNYARMNIVYRKAEDMNYHSVCLHVISDSIRSAGLILASWLLSLGVENAEVLCLGLVSVTVFMLVMPLFKATGGVLLQMAPPNIPSSALSKCLRQITSREDVIEVLQARFWEVVPGHTVGSLRIQVKSGIDERPLLQYVYDVYHDLGVQDLTLQTEYN >A02p043560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27446608:27450421:-1 gene:A02p043560.1_BraROA transcript:A02p043560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE12 [Source:Projected from Arabidopsis thaliana (AT5G46550) UniProtKB/Swiss-Prot;Acc:Q9LS28] MRDIVVNPNVVVYCIIICWNLEAMGRAGKRGFGFMEKQCGLKMLEVGVQVNVIRCTCLVQCLRKTKRIDDLITALLCESNEDAEKVMAYLVNVRHHSALRRLHSLIIAPSFVRSILSPEAMVGITKLRIKFGPEGSVKAFQTFNNCTNAKSTENKPIGKEEEDVQSRKRGPEELEEVQAHKKQKLNRVLSSQCLNLLKSLRGHEFGWLFGEPVDPVKLNIPDYFSVISKPMDLATISSKLLKNVYSSADEFAADVRLTFDNALRYNPPENFVHDVAKELQEIFEARWESLRKKKVSDLHGEKVTEGSRRQPVEVGWVRQSSPETSASSGRFSAELPKRAKEMSQKVPPLLKSVTKLSKKDTPVVTQTFLATKLRIKKFEQGLGSTVENPSKGSTPTSCCRCSSCGRITCICLKSCNSSGSEVSTLTDNLVKDNSCSQASESDPHSNGSISSKNDCVKLKKPLPPMPPLPPEKAIRAAILKCQFAETILKAKHRKVLDKSNKSDLIRIQIEKEQMEKTQREEKARIEAEIAAAKLAARMRAEAELKEKRERARLDLEKMVKEVDLEENNASKFNENMINLCGSCDPTRTWLPELGLFLINDDDDTDEDDSEFSDAMRIDDLEEGEIL >A09p063320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51453830:51455465:1 gene:A09p063320.1_BraROA transcript:A09p063320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFSREVEGLVPYEQVIAPLCSWLSYGNFSFPVTLLILGPAGFGTICCDRTGFRSGICIMKGDVRTHYTSSSIFLFTSFRNKSKPPEKIKPYTRKWETTVMETVQELSLFYRDVNDEHHNKICDVVYHNVPAVFFSTGGYTGNVYHEFNEGIIPLFITSQHFNKKLSDHPPVDFNGDKRTHCFKEAIVRLKIHDELSVDSSLMLGNKTILDFRSVLDRAYWPRIHGLIQDEEAENKTGFKPKLVIMSRNGSRVLLNENLLVKLAEEIGFFVEVLRPDKTTELAKIYRSLNSSDVMIGVHGAAMTHFFFLKPRTVFIQIIPLGTEWAAETYYGEPAKKLRLKYMGYKIKPRESSLYGDYGEDDPIIKDPKSFTKKGWDYTKKIYLERQNVTLDLKRFMKPLSRAYKLSITRSK >A02p043190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27063274:27068175:-1 gene:A02p043190.1_BraROA transcript:A02p043190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSTCPPMKKAKNGLHAPSADKVGFPMEEEEEEDPTPSAANLSRKKATLPQPSKKLVIKLNKAKPSLPTNFEDTAWDNLQSAIRAIFLKHPFPFDLERLYQAVDNLCLHKLEGKLYKRIEKECEEHISVALQSLVGQETDLSVFLSLVEKCWQDFCDQMLMIRSIALSLDRKYVIQNPNIRSLWEMGLQLFRKHLSLSPDVEQRTVTALLRMIEKERLAEAVNRTLLSHLLKMFTALGIYTESFEKHFLECTSEFYAAEGMKYMQQYDVPEYLKHVEGRLHEENERCILYIDPSTRKPLISTIEKQLLERHTVAILDKGFTPLMDGRRTEDLQRMYTLFSRVNALESLRQALSSYIRKTGQKIVMDEEKDKDMVQSLLDFKASLDIVWEESFRKNESFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFTKAKKELAVSLFQAVVLMLFNDAMKLSFEDIKDSTGIEDKELRRTLQSLACGKVRILHKYPKGRDVQDGDEFDFNDTFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQIYNYLA >A05p049370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28766182:28767364:-1 gene:A05p049370.1_BraROA transcript:A05p049370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABE1e [Source:Projected from Arabidopsis thaliana (AT3G09900) UniProtKB/Swiss-Prot;Acc:Q9SF91] VGKSCLLLRFSDDTFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWMKNIEQHASDSVNRILVGNKADMDESKRAIPTSKGQALADEYGIKFFETSAKTNLNVEQVFMSIAKDIKQRLTESDTKAEPQGIKIKKQDANKASSSSTTEKSACCSYV >A09p053200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46271731:46272945:1 gene:A09p053200.1_BraROA transcript:A09p053200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGFHPTDGDYSDKAVLMMSPDNLMFPSDYQTLLCSSAGDNRVSAVFGSDELLSAAASALSSEAASIAPEIPRNDDKVSLGVIKGKIACHPLYPRLLQAYIDCQKVGAPPEIACLLEEIQRESHVHKQNVVPLSSSFGADPELDEFMETYCDILVKYKSDLARPFDEATTFLNKIEMQLRNLCTGVESDRGLSGIAVSVLCEIYTAFRDILVTLIYLINQEGYIIGFHNFDSYDWELNDFKNPRSS >A05p047470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27939956:27948569:-1 gene:A05p047470.1_BraROA transcript:A05p047470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIEIDQYSQEAPGDVKISPTSDFDIYVEYPDESSFKEADSPALEAEVKFEHHYTDKQFRFLEVCAQKLTSSRCGDVLFKNMVGEGTPVLLAECCAELLKIGKDCYLGMTQVILSTYEYRNIASKAIPKSKQTWNDCEIDQYSQEAPRDVKISPTSDFDIYVEYPDESSFKEADSPALEAEVKFEHHYTDKQFRFLEVCAQKLTSSHCGDVLFKNMVGEGTPVLLAECCAELLKIGKDCYLETAQVILSTYEYRNIASKAIPKSKQTWNDCEIDQYSQEAPGDVKISPTSDFDIYVESPDESLFEEVDSPAMEYEMKSGHHYTHKQLGFLEACFQNLNSLDCGDNIFKNMLDEAAQVLSNECCHDLLKISKDCYLGMTQSILSSYEYRFIASKAIPKSKQTWNDCSKKPSGSPQLSGEPLTQPLNTSTKANDVAFLGMKPLIQLHVSIVLMLYLLPRCDNLRRAPAKDNDWRLLSVHTQTGTAEWFAKVSCFENLLGSPTFVDIRNGRLRCVETGHEVVAGDEEAYARNKRCRLGLIDHALSHGKSPLTISKFVCKLIEDTVNKNEEHIWKYSKWKEELEQAERPRK >A03p018710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7645333:7647177:1 gene:A03p018710.1_BraROA transcript:A03p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQMSSAKLSRLFNITTKNQTPSPLPISDKQTAARITTYLQKSTAGKLQSNPSLLFNLNPNVTLLVLSSPSLPTQSCVDFFKLLNTFESHLKPDLAAAITLSHRLYTDRRFLEMKSLLNSISTDGAEIIGSVCVYEGKVEFLETLFDLMIRVYVDKGMFQEGLRVFDYMVEKGMRIDERSCIVFLVAAKKRLEIDLCLEVFKRMVDCGVRITVYSLTIVVEVLCRRGEVEKSRKLVREFNRKGIKPEAYTYNTIINAYVKVKDFSGVEEVLKEMRKGGVGYNKVTYTLLMELSVKNGRMGDAEKLFDEMRERGVELDVYLYTSMISLCCRKGNVKRAFLLFDELVEKGLSPSSHTYGALIDGVCKVGEMGAAEILMNEMQSRGVDITKVVFNTLINGYCRKRMIDEALAVYDVMEKKGFEADVFTFNTIASCLNRLKRYDEAKQWIFRMMEGGVRLNTVSYTNLIDVYCKEGNVEEAKRLFVEMSSKGAEPNAITYNVMIDAYCKQGKVKEARKLRADMEAKGMAPDSYTYTSLIHGECIVDNVDEALRLFREMGSKGLDQSSVTYTVMISGLSKAGKSDEAFGFYDEMKRKGFTIDHKVYTALVGSLHSPET >A06p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10642805:10643034:-1 gene:A06p021470.1_BraROA transcript:A06p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLEMLKMELDACESTQVKHNEVMQMGDKLWYMESLRGVTLYQVENRYMDQLL >A05g507450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:21114147:21116258:-1 gene:A05g507450.1_BraROA transcript:A05g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIIKCQGYTAEKQAQLASTGLCGYALDWWYQTANTRRQYGEQQISSWYEMKAVMKKRFVAKRYGQTDLERKHSQSGSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSVSIRSNNNLVQKTVSYKLELQGFFTPEKQDLRSNLFEGREDGVILSICSKNRGETGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A05p027070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17057256:17058668:-1 gene:A05p027070.1_BraROA transcript:A05p027070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVQPVGQKRLTNVAVVRLKKQGNRFEIACYKNKVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKSKDLIKVFGSDDHTKICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTINPETQRPYTISMVERLMHEIHFAVDPNSNSKKQALDVIRELQKHFPIKRSPMRLRLTVPVQNFASLLDKLKEWDSSLVSKDESGTQMSTVCEMEPGLYRECDSFVRNMQGRLEILAVSVHAEGDTSMDHYDEHDDMALQTNKPLLPVETEADPVVELSKKMHKQEIGTSSNTKEEEGEGKGIKCSTCNTFVGEAKQYREHFKSDWHKHNLKRKTRKLPPLTAEECSAEVDMDDSRSDLKDYSF >A09p060950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50383964:50384891:1 gene:A09p060950.1_BraROA transcript:A09p060950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNHQTVMKQLEPWCELKDKVVLLTGASSGIGREICLDLAKSGCKIIAAARRLDRLQSLCSEINAFSTKTKLAAPLERDVSSDSSTIRNAVKQAWDIFGHIDVLINNAGIRGNVRSSLNLSEEEWERVFRTNLTGPWLVSKHVCVLMRDAKRGGGSVINVSSIAGLQRGKLPGALAYACSKGGLDIMTKMMAVEMGEYGIRVNSIAPGLFKSEITEGLMRKEWMKNVRERIVPLKVQQSVDPGLTSLVRYLIHDSSRYVSGNVYIVDAGSTLSGLPIFSSL >A02p029260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14849458:14852108:-1 gene:A02p029260.1_BraROA transcript:A02p029260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPKKIVLVFFLVAFTALAVSTRQSRTDCQSTCGTVKIEYPFATSSDCVHEEKFLLRCDEEEQKLFLKNSNLEVLRISLCNMKGDGGNIILPIEIPGFMFSNKNQLFLVGCNIDTFCIFTMRNGGTTAFTCETQCDSPPPVDKSCSGYNGCCRTSLSNVNSQILINPGNYIGWSSSYSYPCKYVFVVKEGYFVFSVPEDLKNLRNFTRFPVILDWLLSQGTCQQAEDTSFCGENSRCIATSNCTDYGYSCKCLDGFEGNPYAQHGCKDVDECTVNAHSCGKDLSCKNVDGTFRCKKKKEWTTIILVSVIGCLVFLVGVCCVLLKLKLRQLAKLRERRFESNGGVLLAQRLLESPNQCIKIFTEEEIKQATHETSFLGGGGQGVVFRATLPDNVEVAIKRSRNWDPDQAGQFVNEIILLSQINHPNVVRLLGCCLETPSPLLVYEYVPGEIAETLSYLHFSAPVSIVHRDIKPENILLTQTLSVKLCDFGASRPVHTRTGQLTTLVQGTWGYLDPEYLRTDAALKENRLSEVMDQRVVTADNQAVIHQVALLGMSCTRMCGVERPDMRRVAEDLRGLQASGQVVQDEAGPSGTTFEIEEIN >A05p048220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28271250:28271979:-1 gene:A05p048220.1_BraROA transcript:A05p048220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 5 [Source:Projected from Arabidopsis thaliana (AT3G11260) UniProtKB/Swiss-Prot;Acc:Q8H1D2] MSFPLKVRSVRGNNGGGGGTGTKCGRWNSTVEQLKVLTDLFRAGLRTPTTDQIQKISTELSFYGKIESKNVFYWFQNHKARERQKRRKISIDFDHHHQENDYISHHHRQPSTRDVLEINEDEEERVIETLQLFPVNSFQESKVEKMRSRVNNQYREYIRETNTTTFPSYSSCGAEMEHPSPLELRLSFL >A07g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6118744:6119577:-1 gene:A07g502970.1_BraROA transcript:A07g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILDEIIRAGVTALTVGIYNTPTKRTSAWVLEYLYIQYKKSNKKLHKQCRTGIVSSFKPLNSQYTSKCKNPQSPMFRSCAQSEKGLELRQATGPCTQIFNIDAGYANQRQIQLSDENRGPAGRGTTEPTQTPPDS >A09p078720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58135110:58138626:1 gene:A09p078720.1_BraROA transcript:A09p078720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAEELVKCLSESMCVKDEKRSIRDCAGEKSPCIPSECVGLRETYFNCKRGQLKEALSHRFSLSLAHLRRRQRHTTSRRVSRRRISIPSPQKLSSMATMARSFLQAISKDEAVAPPLRVVQIEGLAVLKIIKHCKEFAPTLVTGQLLGLDVGSVLEVTNCFPFPVRDDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTVLGSYQTVELIETFMNYQENIKRCVCIIYDPSKADLGVLALKALKLSDSFMELYRAGNFTGEKLREKNFSWMDIFEEIPIKVSNSALVSAFMTELETDAPVSQGDYDRLQSSTTPFLENNMEFLIKCMDDLSMEQQKFQYYYRNLSRQQAQRQAWLQKRRTENMARRSAGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQVSNFCGQINGVAGQNFSRLYLTKALHEN >A09g516420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48575359:48581007:1 gene:A09g516420.1_BraROA transcript:A09g516420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGSALGTYDSADIEGGRVKVFINGDLPLKFECKVGFDNGDVVKVTIKYEDLHRHCYTCKRITHEEGTCPDLSEVQREKNRLLRIEQKDQEERATKEAFSTPYRRNAEVYQSTTNHRDSRDRERRSDSSYQQYQRRERRYEERHGQETSHDLRNRLTDRREAQSKDVWNRLDNSTRSELPRNRERYHPYHNSSRVESRGKYKDTGSSSEWRPKERQEMRTESYLNYANQKEKQVERFENHSYRRRISPDSQRTISENVQSKWQRGHLGGRRSRSPPTHMEWRPVRKDKATTPTSTPRDQGLEERRNNGQVGLEGLTENGIADDETNVEKEAATFIPSLEKESETNINVRTEVARKVLGANSSHLSNCEHGYSTKDKEEDDIDKIIDEYAELAMNEEPVDDDDLLDEISEGEIPEKENLAAEQDTEDGWIEAISQLSPSRATSLSRPENMKKSAALDLKVTSQEITTKRSLEKNNTMLPPAGGKRRGSRSPELKGASASKKLANRGRLSPKICQVDAPWIDDKEATGLGFSLLDENRPILFGARGDISSASPLHAEAERIIWALQELLKIGRSEIHVLSDCEQLVKIIHTDTEWPALAPELDEIKALSNEFLKFSIAAIPRSLNTQADSLTKSGRSRKLSPLVSVSAPTWLVPGASLTVAE >A09g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4317810:4324763:-1 gene:A09g501310.1_BraROA transcript:A09g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEVAPRLLSARFLFYLRAFWSFHYAQIHKNSLEKFISWEDPLAVNEVEGLEGQEKLCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPSRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAESLLRIVSRLKVDSLIDHLPSLVRYLITQAKSLVALRLSEYLHSRCFDIPQNWFDNLLYYKICLRSLENS >A08p003610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2037715:2038320:1 gene:A08p003610.1_BraROA transcript:A08p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-response A/B barrel domain-containing protein DABB1 [Source:Projected from Arabidopsis thaliana (AT1G51360) UniProtKB/Swiss-Prot;Acc:Q9SYD8] MSQIIEHIVLFKVKDDADSDKIDAMVNGLNSLATIDQVLYLSAAPIHRLSSTSAFTHVLHSRYRSKEDLSAYVAHPDHLRVVEATMPIWEDIMSVDWIANQVPRVLKPPGGSVVKVTLLKLKENVTDETKKEIMEVVKEESREISVGENFSPARAKGFSIGSVAYFKDLGEVEAHEELVKEKVGSYVEDTIVVEFLVPPPS >A06g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8787092:8788442:-1 gene:A06g502680.1_BraROA transcript:A06g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLPKERTSTYACRSSLNSTRDDTFQLGLMEAMNTLTAKVGSMDTVIVEKLLTAVDASVDEKVNARIGEAELVFTQKISTLQEEIAQLREQMQANAPKNDAHYNVNHEDESRAMTRLSWKVQDKVSSQMDAAVQCVVRKKAKKSKVKLTCPILLDTGGEKVVGTTQVKKPARELKNVKKEKVEVPQLRDSGGTWSDSEDKQKYGNLGATLDQLAASVLDGPLQKRKPQLTKTQVYPYVGNSTVKRIIKGDVSKAYYDPLAKVVETKFKKLLDYLSLEHSLLYEANNAKKCVENRSFWHTASAMLMFHKRAMRDPSPYSAKITFLEPWFVKMWVRDYKKYDPKTW >A09g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20876663:20876993:1 gene:A09g507090.1_BraROA transcript:A09g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVKMKGYNQTSKGFIKFSKEIGESEELNFIKTNMFSTCYRLKVLSLLSAQAIGFVFTLSYWIFFTLILVLRYISEEDLQK >A04p025290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15318425:15321377:1 gene:A04p025290.1_BraROA transcript:A04p025290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNVLPQPLPVSTQMGPCVGVSTPEVRFLSEPNCNYQEAQISVRQDCNVMMQSSFVPNGAIDTTQLHGKRKTLSQPMSHSQPLAVQNKRMVPNRAWASDLNKGPKSASLSPKTQYLPASSLCTNTSFSCNKPGKPTTTRKQVSQPLKPQNESSNIVRSKMRESLAGALAMVQCQKDATKESKTLHSEPELAASGVDVMVSNGSLNDSSFGGGISVQTEFLAMAKTSDTQDFAAQEPSPQANVSYTNSVFTKDYLLQGTGDLSWALESDLEFTENCQNEMTAAAANGGSQEKLLLDPKVLAFKIEGELFKLFGGVNKKYKEKGRSLLFNLKDKSNPKLREKVMYGEIAAERLCSMSAEELASKELAEWRQAKAEEMAQMVVLQDTEVDIRSLVRKTHKGEFQVEVEPMDSGSLEISVGSSSLNWSRPKNIKKKTPGGVKNESSEGSALINGVTVDDEMQAATGSLPPIVSLDEFMSSIDPESPSESSGIGKGPSVSDHNDAEANIDIGTLPVKAEGDDLVSSMSHSDLKSVFIPAGERLWEGALQLSVSSLISVIGILKSGEKTTTKEWPMLLEIKGRVRLDAFEKFVRELPNSRSRAVMVMCFVCKEECSKTEQDTISEVVDSYCKDERVGFAEPVSGVELYLCPTRGRTTEILSNIVPRNQLDFLKSLDDDGLIGVVVWRRPQLKKPPLSYSHHKNHGSSLTTLNTSRYGNMREVNNHEDGGDVPPGFGPTAVARDDDDDLPEFNYLSRGDVVMDRTSRSDSVRELIHKYGKSEPLWNQGYYNNCDNGILPEWQSQPNPTNVNGGSMVRLRNEWWRHQAGGY >A03p038960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:16225691:16228354:-1 gene:A03p038960.1_BraROA transcript:A03p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFWKITSTIPITLSVLFLFIKNQDNVFAAPPRLHLCRPEQRDALVKLKNELEVFISPFGYNCYVNGTIVTPHPKTVSWEINNDCCTWEGITCNPESGEVIKLDLSCSYLRGQLHSNISLHSLTALDLSSNDFNGQIMSSLGNLSLLTSLDLSDNQLSGQVPSWIGNLSHLTQLDLSSNNFSCQIPSTIGKLSHLTFLVLSSNQFVGQIPSSFGNLNHILSFVLDSNILTGNFPIALLNMTTLSYISLHGNHFTGTLPPNVTSLSKLTFLDAAYNAFTGAIPSSLLTLPSLQFIRLQENQLNGTLDFGNMSLPSFLRELSLGNNKLIGPIPSSISKLTNLQYLDLSKLNSQGPVNFTTFSHLKSLYYLHLSNLNTTTTVDLSYIMSCFKSLYLLDLSGNHVSVTKKSSAAYDLVMQPIQSLLLSGCGITEFPDLLKTKQLLTDLDVSKNKLKGKVPSWLWTLPYLRHVDLSNNNLINFERSPRSGPSLMMAALLVSNNNFTGNIPSFICDLPSLRTLDLSNNNFDGVIPSCMANLRRTLSDLNLGKNRLHGGLPEYIFKYLRSFDVGHNQLTGKLPRALIHSTYLEVLNVGNNVINDTFPFWLSSLPNLKVLVLCSNLFHGPILLQAPFPKLQIIDVSHNHFNGALPSDYFVKWSAMSSLATNEDKLSRKYMGDVYYYDSLVLMNKGVVMELVRILKIYTALDFSSNKLEGKIPRSIGLLKELLVLNLSNNAFTGYIPSSMGNLRALESLDVSQNQLSGEIPQELGSLSYLAYMNFSHNQLEGLVPGGTQFRRQNCSSFEDNKGLFGPSLDEDCRDIHTPASHKQYETTESDEEVLNWIAAVIGAVPGVLLGLTIGYILVSYRPEWFVNPCVRKKHKHRRRSNATH >A08g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13989800:13992070:1 gene:A08g507920.1_BraROA transcript:A08g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVTVGSKLAFISEELCIGCGICVKKCPFEAIQIINLPRDLEKDTTHRYGANTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKLKPNLGRFTNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVRGNVGEVLDQKDERDKKAELCADLELNQVIDRAVENLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIQSYARYKYPTMTKTQGNFRLKVTEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDETEESDIEIPEFNVSYKPQKISPKFQNSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMSCLLAFVLSELFVFCCNDIYFGFCKEGTLPIVLTASHHSLKYSLT >A06p000570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:239124:240006:1 gene:A06p000570.1_BraROA transcript:A06p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKGQRGNGTTEADFVLQWGERKRVRCMKVKKDQSRKSSDGLSKRKLMSRAACSERGSPSRHLNRPNKIIDFPSNVRRSFVASPEKEDRYYTTRGSMGTDESGKMIKEPVKETKKHVWPKLFITLSNKEKEEDFLAMKGCKLPQRPKKRAKLVQKTLLLVSPGTWLSDLCKERYEVREKKTSKKRPRGLKAMGSMESDSE >A09p083590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60161589:60162612:-1 gene:A09p083590.1_BraROA transcript:A09p083590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQPTPYQTLGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWIFWVGPFIGAALAALYHQLVIRAIPFKSRS >A09p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15302358:15307820:-1 gene:A09p027110.1_BraROA transcript:A09p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKERNRVSGHHDTGERERELPSFQTLDFKIDLPASPQDTPQLDFHDDSIFPRFNQGFVDLACSYFKRGGRFRLGSRIIEIKKISMAIYYKFKSARDYDTIAMDGPFISVGILKDKIFETKHLGSGKDLDIVLSNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRITVITAQEPRIENKVEHVQAETSNSHIPEPSASKYPEDEFDEFGNDFYSIPAVQVAQHSNPCPVLAPTEEKVDEESKLQALIDTPALDWQRQGQDNFGAGRGYGRGMNGRMGGRGFGMERKTPPPGYICHRCNVPGHLIQHCPTNGDPTYDVKRVKPPTGIPKSMLVATPDGSYSLPSGAVAVLKPNEDAFEKEMEGLPSTTRSVGELPPELKCPLCKEVMKDAALTSKCCFQSFCDKCIRDHIIAKSKCVCGETDVLADDLLPNKTLRDAINRILEAGNDSIENAGSAGHITDLESARCLPPPKGRSPATSAASKGEKKPAHSNNNDAPTVKLPTEIAEITSAPRASAEDKVEKPVDACESTQGSVAVKEATVPKMNTQAPPKEEVQQQVAAGEPAKKKKKKPRVPGTDMQQWNHVPDLAGPDYNMMQMGPGPGPQYFNGMQPGFNGFHPGFNGFPGPFPGQMPPFMGYGINPMEMAFGRGMNMMHPDPFAAQGFGFPNIPPPHRDLAELGNRMNLQRAMMGRDEVEARKAEMLRKRENERRTEGGKVFRDGENSRMMMNNGTSASASSINPNKSRQAPPPPNSTEYDRRRRPERLSPEHHPPPRKSKSPSRDSKRKYERYPEERDRQRDRERSSRHQDLDREHDHRARDRRDEDRSRDHRHSHRDSDRNQHHHRKRSEPPPSEPTAATKSEMEKSSVFARISFPEEETSSKRRKVSSSSSASVAEPPVAVSTSLHRHSRKEIEVADYESSDEDRHFKRKPSRYERSPPVVITDASDDKHRYSKRGKGERSRA >A06p017320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7753036:7754822:1 gene:A06p017320.1_BraROA transcript:A06p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSTSVWVTMMQKKKRWPLMILLFLSVSTVGMILVRSAFDSCSISGNRCGRFVVEKQESSDVKIRSANPLGFMKSKLVLLVSHELSLSGDNSFLASDSRVELKFSSFQVMKLVCVVLGGPLLLMELAFLLRGVGAEVVWTTNQKPVEADGVVNVLEHKMLDRGVKVISAKSQKAVDTALKSDLVVLNTAVAGKWLDAVLKDNVPKVLPKILWWIHEMRGHYFKADLVKHLPFVAGAMIDSHATAEYWKNRTHDRLGIKMPKTYVVHLGNSKELMEVAEDSFAKKVLREQVRESLGVQSDDILFGIINSVSRGKGQDLFLRAFHESLEIIKETKKLEVPTMHAVVVGSDMSAQTKFETELRRFVQEKQLQKVVHFVNKTMKVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTMEIVVNRTTGLLHNAGKEGVLPLARNIVKLATNVEMRTTMGKKGYERVKEMFMEHHMSHRIASVLREVLQHAKVHSRTTK >A09p003250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1933218:1938788:-1 gene:A09p003250.1_BraROA transcript:A09p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSGLWMTLKRKVMQKPKRNGIPNQRSRREKASSSANPARVLPESEILHPWELFKEFTYQEIATATNDFSPESLIGQGRFGAVYKGRLERIGQNVTIKKFDPHGQEGNREFLLERVMLAILCHENIAQLFGYCVEGDFTSEEKALDWSIRMQIAVGVAKGLKYLHCVTNPPVIHSDLKTAHILLDHDFKPKISGFGLAKFGPVADMRFHDSTSLVTNGYWAPEYVNNGDELTLKYDIYSFGVVMLELITGCKPIEDSSLGPQRTLVERTLPLFKDDNMRKILDPKLIIENRGMEETVRKAVVLAFKCLREEPNARPTISQRLSLIKDYNMMKILDLMLTIQGLGMEEAVRRALILAFMCMGEETISRPIISKVLDALEDLVKWREKRRKRAFGMDDE >A08g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4042375:4043389:-1 gene:A08g501590.1_BraROA transcript:A08g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPAMNRPTLQRWASIRGLHFVKKLRFAFLSSEIEPQELGARKFDFIVLLTIIYCSWISFCSGSWPSFLFECSRYSKLHVVPAYFNPFGHVTCVVPISAFFASSHWIGYTSYLHQLASHTTFFFLKAYISILISI >A03p001160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:604124:604660:1 gene:A03p001160.1_BraROA transcript:A03p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKHFFGKPNYIYPQPEPDMSENDENVFEFDESDIHNLGDHRLPSSFEAKRSISISRLRRKPAKVGDSSVSVNRKAPKTGSLPVNIPDWSKILKSEYKSHVVPDDDTDEDDEDEEDTNDGDTAAATGGRRIIPPHEYLARRRGSSFTMHEGIGGTAKGRDLRILRNVIWEKIGFLD >A07p026290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15028125:15031583:1 gene:A07p026290.1_BraROA transcript:A07p026290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 42 [Source:Projected from Arabidopsis thaliana (AT5G40380) UniProtKB/Swiss-Prot;Acc:Q9FNE1] MLSHTKIILFQYVLVSYSFFTFTYSSSSSPSPEPRTAVSGLFCGVSNSSSTDPNYIPTFVDDMQSLSSKLTTRHFATQSINSLSSNSETQTTSVYALVQCHNDLSPSDCQLCYAIARTRIPRCLPSSSARIFLDGCFLRYDTYEFYGESISAASDRYSCSNDTVLDPQFGIRVSEMAVRAAVKHGGFGTAGESGVHALAQCWESVGKEGCRDCLEKAIGQVKRCVSRREGRSMNSGCYLRYSDHKFYNGHGHSVLHGLYNKGVVVAIVLTMSAIVMLILLATYVIIVKVSKKKQEQRNLGLVSRRFKNSKTKFKYETLEKATDYFSTKKKLGEGGNGTVFLGILPNGKSVAVKRLVFNTREWVEEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVPNKSLDNFLFDESKIKSLNWNQRLNIILGTAEGLAYLHGSPVRIIHRDIKTSNVLLDDQLNPKVADFGLARCLGTDKTHLSTGIAGTLGYMAPEYVVRGQLTEKADVYSYGVLVLEIACGTRNNVFVPGAGHLLHRVWNLYRLNRLVEALDPCLNDEKLLQVQGSQAEVCKVLCVGLLCAQASPSLRPSMEEVISMLTERDYPIPSPTNPPFLRISSLATEGSSTASCSSNSTTMVKTDLASYTSSESFATRLVS >A04p008220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8445141:8447805:-1 gene:A04p008220.1_BraROA transcript:A04p008220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVANFDFQPSPFSHATGEMLISPVGHRDESFVNFTDALEGASDDSPPSVAAVKVQKVYRSYRTPRRLADSVVVAEELWWQAMDYARLNHSTISFFDYSRPETAVCRWNRVSLNASKVGKGLSIVDKAQKLAFQHWIEAIDPRHRYGHNLHVYYEEWCKADAGQPFFYWLDVGDGKDMDLIDCSRSKLKQQCIKYLGPQERVEYEYVIIDGKIVHKLTGNFLHTMHGSEGTKWIFVMSTFKKLYAGLKKKGRFHHSSFLAGGATLAAGRVVVDNGVLKTISAYSGHYRPSDESLETFLSFLRENEVSLDDVEVHKASEDSDNYDDYIKPNGDGTKSLKKEYATSCNAETETDENSNGTLDETKGNSYQRTLSGGFESAKADVPQKAMLQRINSKKQSKSLQLGHQLMLKWSTGAGPRIGCAADYPVQLRTQALEFVNLSPRYRTSTLSPTGRLDI >A02p046840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29294915:29297231:1 gene:A02p046840.1_BraROA transcript:A02p046840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 8.4 [Source:Projected from Arabidopsis thaliana (AT2G02020) UniProtKB/Swiss-Prot;Acc:Q84WG0] MGSIDEETSLLEAEQSLLQEEVKLYAEDGSVDINGNPPLKQKTGNWKACPFIFANECCERLAYYGIAKNLITYFTNELHETNVSAARHVMTWQGTCYITPLLGALIADAYWGRYLTIACFSAIYFIGMVALTLSASIPGLKPAECIGSICPPATTSQQAVLFSGLYLIALGTGGIKPCVSSFGADQFDQTDPNERDRKASFFNWFYFSINVGAFVSSTLLVWVQENCGWELGFMIPTVFMGLATASFFFGTPLYRFQKPRGSPITRACQVLVAAYRNMNLKLPEENHTPLSEVTDGYKFLDKAAMISEEDTIHDPWKLCTVTQVEEVKIILRLFPIWASGIIFSVLHSQIYTLYVQQGRSMQRTIGSFEIPPATLGMFDTASVLITVPIYDRLIVPFVRRFTGLAKGFTDLQRMGIGLFVSVLSLAVAAVVETVRLRLARESGDMTIFWQVPQYFLMGTAGVFFFVGRIQFFYEQSPDTMRSLCNAWALLTTTLGNYLSSMIVTAVACLSGKDDGWIPSDNLNNGHLDYFFWLLVCLGSVNIPVFVLFSVRYTQKKV >A02p018130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8287411:8287782:-1 gene:A02p018130.1_BraROA transcript:A02p018130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIRIMILTREEHVSQGRSILSKPSLEGTCRKIRSPPLFPDMQDAVDTENGIHRKTHRKQDAEIVGKRRDPNTVLKPVKPTTDPRFKKIYQPSTIDPRTTNMESQIESRLSKERAKPKITNR >A06p021450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10637774:10639294:1 gene:A06p021450.1_BraROA transcript:A06p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNIFLLAVLVMIILLILSSYSTKKIQETTANGPRAYPLIGSTLSFNKNRHRLLQWYTELLRLSPSQTISIPLLGSRRTIVTANPENAEYILKTNFFNFPKGKPFTDLLGDLLGKGIFNVDGHAWSSQRKLASHEFSARSLRSFSFEVLKEEVENRLVPGLSAAADAGTTVDLQDVLKRFAFDVVCKVSLGWDPDCLDLTRPVNPLAEAFDTATEISARRATEPVYAVWKVKRALNVGSERRLREAIRTVHVLVSEIVRAKKKSLEIGTGEEAKQDLLSRFLAAGHDGESVRDMVISFIMAGRDTTSAAMTWLFWLLSENGNVERKLLEEVDPLISLGLGFEELKEMSYTKACLCEAMRLYPPVSWDSKHAANDDVLPDGTRVKKGDKVTYFPYGMGRMENLWGKDWDEFKPSRWFDSEPGSTRPVLKPVSPFKFPVFQAGPRVCVGKEMAFMQMKYVVGYVLSRFEIVTVNKDKPAFVPLLTAHMAGGLKVKIKRREVMSHP >A09p003880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2228875:2229807:-1 gene:A09p003880.1_BraROA transcript:A09p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYLSKSSKQNAFPSFCLAINTTMARTSLLLSLALVLVIASVSAHPPNPKKTQKNICPPTLGGIQTIPSNEISKFIEEKAKSAPNSVQFNALFSICKTFSNQLAALKAAGVRNVIRVAYTKYAMIAKAMFAAEAAIGIEGSFAAKLEKSYNVMAGCFLKLEEKIAEISAKYKFNANAMISQGDRDKIDECLINLKGAITVFVKEITECTMKFSSVKQIGIPLVRGSGGGLVGAFTKNGVGFGSKMIGLSGHSQAEAKVGVQSHVGGRRLFGGFFDHLGGFVKYDAAGKAKFRGDGEFKSRVHPSKKHLD >A06p016960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7619105:7621867:1 gene:A06p016960.1_BraROA transcript:A06p016960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLSHPHHLQVITRLPLSVTEANDYGWVTFVCDSVCLEKPYICSSDDLLGKSSGCRRLTWKSSGCRRLTWKSSGQRREVQTTSKKSRRLPGSSDDFVRRLLGSSDDFQTTLQEVQTTFKKSRRLLDDFQTTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLCQKIPRSPDDFQTTNRENEWKIR >A05g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11769264:11771203:-1 gene:A05g504240.1_BraROA transcript:A05g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQNPELNCLQKTCLVYLFHVLNTGNDNMVFLFLYVDEVVRVIVIQKTLIEHAEKLRQVKAVLEERKNFLGIYRKVQLKPLKYNLVKSKNKDMYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAVIRHGDRTPKQKVKLNVTEEKLPGRESDSDPEDLEHAEKLCQVKVVIEEVL >A10p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20043786:20044121:-1 gene:A10p034500.1_BraROA transcript:A10p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elicitor peptide 5 [Source:Projected from Arabidopsis thaliana (AT5G09990) UniProtKB/Swiss-Prot;Acc:Q8LD63] MQKESDNKRHRCKLITQTAMAFLDCLNLRHSSSSSDMVKAKARNEAEVASSVETSARSLNVSSKLTRKPPVSSGKRGGVNSYDM >A03p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3024625:3025281:1 gene:A03p007270.1_BraROA transcript:A03p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPGLYSGTSSLALVARASAFSVGLLYGSMKLKVLKMTKKPHKVEATAHH >A01p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4554846:4557616:-1 gene:A01p009420.1_BraROA transcript:A01p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGEFPPKKEGVTDESGFPAKTPARQLDFTGGSAEHSLSPTVVSTAVKTIATPSVSSSPITTTMASRLHPVVRPTVPVVATNSPSQSQILNTPIRHPKPESPISRPRPIVEGRDGTPQKKKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCFNNVDNEPARRDAVEATLERNPNAFRPKIASSPHGVRDKREEIGEVVLLGKHNKGCHCKKSGCLKKYCECFQANILCSENCRCLDCKNFDGSEERQALFHGEHANNMAYLQQAANAAITGAVGSSGFAPSPAPKRRKGHDISFNQATKDSSTHRLGQFQQGNNGRTSGPTSGTSPATVSRPGGTSSAAPSKFVYRSLLADIIQPQDVKALCSVLVAVAGEAAKTLTDKRNETETCLASSAQDNSQGNKDAEMVAADANQADKSGPEGSNSDASKGNPLSPATLALMCDEQDTIFMVAAAEPNGSVDPGGCGKNSQEQSEIYAEKERVVLTKFRDCLSRLISYAEIKESKCSYLARRHIQPPPTASATVKTENGIHQIPQPPTSAQPTLTKPQPLQPTTTTNTSTTQHPHKPPALPKKKDST >A06p025460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14791345:14791758:1 gene:A06p025460.1_BraROA transcript:A06p025460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSYKIWCFQLKEIRVGLESFTLEKKKTCEKTLSEDFQETLEDFSRLMGSLLGSLLKYNALEDFQEVFQKTSEKTSHKSSKVCSISEKQVYQTQI >A03g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13798252:13805323:1 gene:A03g503930.1_BraROA transcript:A03g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSASKRFCSSSSSPEPSSSSPRPTKRSKVKIDAAIESAAAAEPAGSSSASEVPVENQGPVSDPGSESGEPELGSSDPQGVDAEKPVLTDVPVMEISPEADANPEADVLATPAVAGEVVAGGEKSKAGKKRAKAPWAKLLSQYSQNPHRIMRGPVFTVGRRGCDLSIKDQSMPSTLCELKQSDHGGPSVATLEITGNGVVVQVNGKCYQKSTCVHLRGGDEVIFTNFGRHAYIFQPLKDENLAAAPDRASSVSVCEARGAPLKGVHVETRAGDSSAADGASILASLSKYRNFHLLPPIAKSAKKQQNQEAPVVPSSCNDCVSDTEMNDADSNNDHADIASVEKTADANENLNADGSGLDPFQEAADGNAPGSGYEIRPILRLLGEPSSVDIRGISKLLDERREVRELLKEYDLSSTISTRRQAFKDSLREGVLSGQDIDVSLEDFPYFLSATTKDVLIASMYVHMEGGSKFAKYASDLSTTCPRILLSGPAGSEIYQEMLAKALAKRFGAKLMIVDSLLLPGGSPAKEAESSKDGCRRERLSMLAKRAVQAAQALQHKKPTSSVDADITGGSTLSSQALPKQEVSTATSKSDRVKYVGPSSSAISSLQGPPLRGPSIGFQGKVLLAFEDNCSSKVGIRFDRPVPDGNDLGGLCEEDHGFFCAATSLRLDGSSSDDADKLAVNEVFEVALSESEGGSLILFLKDIEKSLVGNSDVYATLKSKFENLPENIVVMASQTQLDSRKEKSHPGGFLFTKFGGNQTALLDLAFPDNFSKLHDRSKETPKSMKQITRLFPNKVAIQLPQDEALLSDWKEKLDRDTELLKVQANITSILSVLTKNRLDCPDLGTLSIKDQTLPSESVEKVVGWALSHHLMNCAEPTVKDNKLVISAESITYGLQMLHGVQDENKSLKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFDKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRSKILSVILSKEEIAPDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKEKTAAEAENRPTPPLYSCTDIRSLTMADFKAAHEQVCASVSTDSSNMNELQQWNELYGEGGSRKKTSLSYFM >A04p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1539985:1543593:1 gene:A04p003160.1_BraROA transcript:A04p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIRVHLPSEIPIVGCELTPYVLVRRPDKTAATDDVPESAPLDGYFLRYRWYRVQSDKKVTICSVHPTEQATLQCVFCSKRRALVAKSYHCSPKCFTDAWQHHKTLHERAAAENGNEEDELTRFNSTGSGVLASTLSGSMSNLTLANNGPTPFYPSSITQKNGGETLIEVGGSKTYTPTADDIGYVLKFECVVANAETKQFVGHPSTILTSRVIPAPSPSPRRLIPVNGADVMGHLDQDGRIQSAGSFTVLSYNIMSDTSASSDLYSYCPPWALSWPYRRQNLLREIVGYRADVVCLQEVQSDHFHEIFAPELDKHGYQALYKRKTNEVLSGSTSVIDGCATFFRRDRFSHVKKYDVEFNKAAQSLTEAIIPHTQKRTALNRLVKDNIALIVVLEAKFGNQPTDPSGKRQLICVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTLPGSAPHTLLVMGKVDPLHPDLMVDPLNILRPHTKLTHQLPLVSAYTSFVRSVMGLGLEQHRRRMDLNTNEPLFTNCTREFIGTHDYIFYTADTLMVESLLELLDEDGLRKDTALPSPEWSSNHIALLAEFRCMPRTRR >SC254g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:117371:119750:1 gene:SC254g500060.1_BraROA transcript:SC254g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGLCNQKSNWKTDATRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGVNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRVIFGPPWGAAVHVQHRHVRQHTQDVRAVRVLSVCPSVHTGTSAHTGRPSAHAGRPSAHAGRRGFRVCPYVRQYTQDVRQHTQDVSQYTQDVRQHTQDVRGRPSVHISACWPFLWTVRDVRQHTQDVRACPRVSVCPSVHQDFRGQYTAGLPQYTQERPWPSVTHAGRPWHVRCVSVCRPYTQGRPCIHTGRRPQKHTGRPSAHKGRPSSHAGRPWLSVCVRVSVSTHRTSVSTHRTSVSTHRTSVSTQRTSVAVRQYTQDVRGRPSVHTGRPWPSVSTHRTSVAVRQHTQDVRQCTQDVRGRPSAHTGCPSAHAGRPWLSVCVRVSVSTHRTSVSTHRTSVSTHRTSVAVRQYTQDVRGRPSVHISARWPFLWTVRVILAHVGCLFSKHRTSVSTRRTSVPVLQHTQTVRGLPISTYISMLTTHISMLVLPMDCPCTDFGQLMHHVSTQAHQYIYQHADHTYQHAGPSHGLSMY >A07p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11593357:11594380:-1 gene:A07p019530.1_BraROA transcript:A07p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHMNKGAWTKEEDQLLVDYIRKHGEGCWRSLPRAAGLQRCGKSCRLRWMNYLRPDLKRGNFTEEEDELIIKLHSLFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLSRGIDPNTHRSINGSATPPSKTTTPSLQNDEPVRFDFSGPDQQRTVKPEPMLLDREETNNNNINNCTSSGTTSEKDIQTDDDWVLNLELSVGPATTKSYRYELTRKANPDLAESTRRWSFELLGAQAEVCLCCRIGSHNESCRNCRTSDVLTTWESKIL >SC122g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:117822:118346:1 gene:SC122g500090.1_BraROA transcript:SC122g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09p017510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9064728:9066560:-1 gene:A09p017510.1_BraROA transcript:A09p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan-specific galacturonosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G63450) UniProtKB/Swiss-Prot;Acc:Q9SH31] MPVSISKRRPKTSKKTETEKPEASLKDPRTGCNSICSVFSSSILYRVPLTILFLFFIYLWSTSTTVISGNVVHICISSRKLNDLYCLTAGTQPGLHVPVSNFTNPVSENVIKSEEEKSVVVLGEGGERDSASFTVGASPNVIKNETSTGERGSGLYQDSKPVNEKNLDSFLVDWDSETGEERYRYINSKDEDEETALKAVDKYLKIQRSWLSTVNNRNKQASCEGKGIYVYDLPSKFNKDLLGECSDMVPWANFCSYFKNDALGESIESLGKGWFRTHQYSLEPIFHSRVLKHPCRVYDENLAKLFYMPFYGGIDVLRWHFKNVSEDIKDVLAIEVVKWLGSKQSWRKNAGKDHVFVLGKISWDFRRKNKLSWGSSLLEMQEMKNPTKLLIERNPWEVNDIAIPHPTFFHPKTDDDISNWQNKIIQKHRRSLISFAGGARPGNPDSIRSTLIDQCTSSPDQCRFLNCTSGGCDKPESVIELFQDSEFCLQPPGDSPTRKSVFDSLVAGCIPVIFDPYTAYYQYTWHLPEDHRTYSVYINKEELKANKVNVIEKLMAMSLREREDMRSYIVHELLPGLVYGDPNGKFERFRDGFDITIDSLLRMISKKIVS >A05p026240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13800079:13802752:1 gene:A05p026240.1_BraROA transcript:A05p026240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWCRIDVSKELGRYVATEWDEHSVVTVINFVEKDIAEMFPKWEFDVEDTPAENIIKLMFVKKPWKWTLEHWEVTGEALARSEVTTTVGGLTKEDIKTMFKEIVDAMREGFGTCLKEIKYLSEMVEVVDKKEHVCFVYSSESVNGTNAGRKRLPEDIGPDIPADDSSSKDKAPEPSLVLLDKNHSTVLDLQKEDAKYQDKRDAALALCRAKSDRTRRTCCLTEISLYGKPHGQIIIKQLKIKNHVQIELGDDLPISRLHIDAWINVLRKRFNFKDFTDSKPDQNGLGRRLPGGAWNYYAGIIPLFCQSNKVWGTDVDDIYAPVNYKDNHWIAMWISIPKRHIVVFDSICSSISPEELDVVMEPFLHMVPYLLVECASSHEQRAQYSLEPFTFERPDNIPPARAGDCGVYTLKYIECHALGIEFTKKEFAKSNGKTMRDKMAWIYFKSFLTRMSS >A09p079590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58534373:58539370:-1 gene:A09p079590.1_BraROA transcript:A09p079590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein B [Source:Projected from Arabidopsis thaliana (AT1G09090) UniProtKB/Swiss-Prot;Acc:Q9SBI0] MEDKQEKMEQEMESWSEGDITSRCEATGSDDCEDYVEITLDTRDDSLGSLKLEKNKPMAVLRSVVSGKLKTMVKSLSLSSRRLDRCKSGAMFALRGLRFITQNDAVGRGWDEVEKRFDELAVEGKLPKSKFGHCIGMAESSEFVNELFEALVRRRGTTSISKTELFDFWEQIAGDSFDARLQIFFDMVDKDLDGRITGEEVKEIIALSASSNQLSRIQEKVDEYAALIMEELDPDNLGYIELYNLETLLLQVPSQSDISPSSENKRALSKMLSQKLIPTKERNPLKRFARDIKYFFLENWKRIWVLTLWISICIALFTWKFLQYKRRAVFEVLGSCVSVAKGSAETLKFNMALILLPVCRNTITWLRTNSKLGSVVPFDDNINFHKVIAFGIAIGVGLHAISHLACDFPRLLHAKYVEYEPVKKFFGDERPDNYWWFVKGTDGWTGVTMVVLMVIAYALAQSWFRRNRASLPKTLKRLTGFNAFWYSHHLFVIVYVLLIVHSYFIYLSKKWYEKTTWMYLAVPTILYACERLIRLFRSGSKAVTILKVAVYPGNVLSLYMSKPKGFKYRSGQYIYVNCSDVSPFQWHPFSITSASGDEFLSIHIRTLGDWTSQLKCLFSKVCQPPSTSQSELFTADLVQANNITRFPRLLIDGPYGAPAQDYRNYDVLLLVGLGIGATPLISILKDVLNNIKNHKPIEEGTGHNVNRSNTKRAYFYWVTREQGSLEWFSEVMNEVAEYDSEGIIELHNYCTSVYEEGDARSALITMLQSLHHAKNGIDIVSGTRVRTHFARPDWRSVFKHVAVNHINQRVGVFYCGKSCIIGELKGLAQDFSRKTSTKFEFHKENF >A05g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15634558:15637939:1 gene:A05g505600.1_BraROA transcript:A05g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPAKPVLHLPQLEASRFNQLQTRHWRPGDHFNQSGDILGVQEDFCKFIPCTSNHWIRRILIYFNLPYLESQALKLQQLFFLQIRHDLSTFQTIKKVPRKLSYTLKPSRYKDNTIYIHLAKILTIKPPTASFHGAINSFASKALWRPSSHFHPPIDLESDTHPARPVQSSFIFHPSILVETCIIHFVRPTLPISSLDLLDWMQEEMEEAAQCQALLVSQKQLLAAIKGVQDQIAQLEKRNKAQYQRTHKGNRSFGDAPEAIRPNKRPMVFYDQYQPYKVPKAMEKKNLVSQDTLARHKEKSAKPIFQEKAKVSPILDKFVYKSSPTGMSHLYLSKDVKTGPEVEKDTISTSLLESKSMLLKEAKPVNEVSYQGKCLTPPRDTSTDVCVLDVGSKNESYLLTEVPQKEPDHKLSHEPPHKNVATKTLKDNPLQKRRNDHVKSRGVIHYYFLK >A10g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12180068:12180394:1 gene:A10g504910.1_BraROA transcript:A10g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIRSLRSDQARAKAWSLRSDRALLKRRYDTSPCILVYPSTLSPEDHSKLSSCFPSSRDKRFESEDGPKGPKTRLEAHIAILTKGP >A09g516130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47685037:47685364:1 gene:A09g516130.1_BraROA transcript:A09g516130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRVSLSPSNLSATLICDVLVNASVICSPNLSSKISSPRRLTSMKKDFASVSEIQKERWSEEAMNVRQIRS >A04p008240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6161347:6162599:-1 gene:A04p008240.1_BraROA transcript:A04p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASPPEKNCPERMREEKALFLIATIQPNLHKELHGGYQSNKYLAFGNATHHSFQKRVDRHGNAFGDRVSTHQTHNPPPARSDDQVENSKLSWRSKPTQEKPHMYVSPPYIKNRDPTSRSYQRSRDLFPRRNEGQWRPKHIRETEATSLKEPAQVESTSDNANQLALVQARPYGPQSLSKEAVMEELHEVTRQYPNCPDRVEAAARRQRVHFSDANDLMEQTKAAILAAEAENQPSIPLQIRASDSNPVTPPPSNDYPLHAWLFPDPSILISPKSKEEKEDDGLESMFSNDPLFVAPKETLQERVGPARIRSIVVSHTLEKEGTSQGPQTQVDLPEEEETLLTFQNMIKAKPKKPTKKKSPRVSPNIVYGASSKKRNSRRFKTHHEDV >A10p005020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9140001:9142728:1 gene:A10p005020.1_BraROA transcript:A10p005020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH128 [Source:Projected from Arabidopsis thaliana (AT1G05805) UniProtKB/Swiss-Prot;Acc:Q8H102] MYQSSSSTSSSSQRSSLPGGGGGGAGLIRYGSAPGSLLNSMVDEVIGGSNARDFNYPPPDNFLGDFFAGADSSSLRSESMTCGVNSSDGQKHLGSNNNNNNNNKDLLLDRSYGGFNEISQHKINNHVGGGSSSGSYSLARQRSSPADFFSYLSGEKNSFSLNQPTSDYNQQGGSNAGRGPSRLKSQLSFTSHDPLSRISEVNETSVHDGSGHSFSAASFGAATDSWDDGSGSIGFTVTRPTKRPKDMNSGLFSQYSLPSDTSMNYMDNYMQLPEDSVPCKVRAKRGFATHPRSIAERERRTRISGRLKKLQDLVPNMDKQTSYSDMLDLAVQHIKGLQHQLQTLKKEQENCTCECSERPS >A09p071800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55281317:55282954:-1 gene:A09p071800.1_BraROA transcript:A09p071800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTTSQLCSQVKFSISSSLFCLNGNSKRTQNGAVSVNCLKEIKPFSNISFTAKKKHRNQASVVRTTTRASVVDENQPSASSSKGERWLLQPVGDGDTRHIGYKVEMPAPFEISSGQVTIGRLPEKADVVIPVATVSGVHATIDTQRDNLLVTDMNSTNGTFIEDKRLIPGVAAPAFPGTRITFGDTNLAIFRVFKLQDKQEEEPTEKPATEQLKLKLVYTA >A01p050570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28484049:28486774:1 gene:A01p050570.1_BraROA transcript:A01p050570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIASSSSHSDQDSRSLQVLLEAFGSRFSLDDITAAYHEASQNVDVAGEILFAMTEKTTESDQVEKNEATHAKAKVLRPKKSSVSVGSVSSVIGKEYVRTRPVSNPRQEASKPVKIDSKDIPETEMWCEESKEANIISRAPTEVEEFIVKMLGEGFQASPELIHQILGVCGYDVKKSTEKLLDLTDTKKHADVGISNELMSKVDPQRPSGSTSCNQVEESQRCSDGARNLTGSQEGGKDNSGLEKEVLEALFSCPERYEEVPKLTRRFREMRGRAAGRPVIKPLEDPFQEGVVTVKQSSHTSKQDEDDENEYKAHRKAVHENLHEMKEYYGAAVEAFSKGETERAQRLVEKGHFFGQKAREADDKSIAKMLQVKEEDNGSTYKEDEVVLVNVNELEPREALRLLKLQLKNFTGIPSIKCLRVKLGDKKEDSKCKRRHTAIAKLLEGESIAWSKEDDGLVLMIRIDEIDPEKLSFAKK >A10p002220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1378168:1380120:-1 gene:A10p002220.1_BraROA transcript:A10p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYPRGSRFQPTELGLVKLHLKNKVEKNISGFIKTLNVYGDAPWLLHHDTNPLYSRNEWYYFVPRKIRGVRSVSRMVPSNGDSLGGTWKSVGKKKDIKKNDKELMGYKTELVFKKNVAGELEKEKTDWHMDEYSLHRNGDEFHDLVLCHVRLLHSDETFKPHVPAAHQVDHVKKDNNNNNDVVLPNQEQQEAGSAMQGYEGGNVNQPQQQPEEQEDSFILVHRHLRSNANQRQNLLEDVVYPNGRGDFNQHQQQQQDQEDSLNLLHPPFQTNVNQEHYPLGDIAYHNAEGDMTGYGLMPSFNQGNDVNQQHEQQQDSPDPLLLPPLQQSNDNQERHPLDDISFDAADDNLTIDIDELLKILDEGKEQEDPPTLQSNVNQEPCLSWDTNFAPSQVENNYNNNTVLPNQEQREGGFANHYDMTMMVEKEHGDIKQQYQQQQDQELQQIDLQSFFYDPEWDDLRFSSDLIMPNMDVSLTQQQLRELEEERLQLVQPVPQGQDSGVHAAQVMPPPPPQSNDNHGQLPLVPSQVENHNNTNVLPKQEQQEAGFANQNDMMMVEKEHGDIKQQYQQQQDQELQHIDLQSLLLDPEWDDLRCDFGGPEVGLILQNMEVSMTQQEEQEVQEEILKLLEPVPQPQDSGVHADQVMPKD >A05g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6224512:6226299:1 gene:A05g501850.1_BraROA transcript:A05g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPMAWEGSVNPAVKKFEQAQNCLLPMGVTSENVAQRFGVSRQEQDQAAVDSHRKAAAATAAGKFKDEIIPVKTKLVDPKTGDEKPITVSVDDGIRPTTTLATLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMRRSVATQKGLPVLGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDAEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDGVDELRNARKVESHGLLSKDAR >A09p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10236821:10239626:-1 gene:A09p019340.1_BraROA transcript:A09p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCTALKPLSPFSSFVKQGYSMNTSALFTYHTSNYTHSFRPKRGGALAVYNNAPDPVESSWKTPLSEDVKGRQKSAFWKRSWNSTDVRNVVIIGGFHLLSLLAPLYFSWAAFRLSVWLYTIFAICITLSYHRNLSHRSFDLPKWLEYLFAYGGVLAFQGDPIEWVSHHRYHHKHCDTQRDPHSPIQVWFGDVHVGETDMTKSMGARMPFLVWGMGVANVARSHSTFLVNSVCHTWGTRAWNTPDLSRNNWLLAILMFGEGWHNNHHAFEFSARHGLEWWQLDVTWYIISFLEAIGLATNVKLPSDAQKKKIALV >A09p012870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6545686:6546159:-1 gene:A09p012870.1_BraROA transcript:A09p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPTFFAPPFLSSSPPSLSPPSRTSRISPALSATTTASSRYTCADDPPRLRQIPQRFSAAASLYEILEIPVGSTSQEIKSAYRRLARICHPDVAGNGRSADEFVKIHAAYCTLSDPEKRAVYDRRILRRSRPLSVGVSGFGSYVGGNWETDQCW >A07p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2123468:2128292:1 gene:A07p005460.1_BraROA transcript:A07p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIEKNSNNQFSLEVVTWLQVKGRARRRFLRATAVEEDTSSLPLPTLLKPELKHGKKPLFDLMEAIREIKSKSAKDFCVHVSSDFNLAMMDMECSLKFLTSIFLILFLIGSYSAYENVRLVDAREDNEKHYVTLQVDASNATGRPIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQIIPSSIWPWSIIGDESTISVVTDRSSCFERNKIALRMEVLCNSSGCPSGVGVYNPGYWGMNIEEGKKYKVTLYVRSTGDIDVSVSLTSSNGSLTLASEQIIALASEVSKWTKKEMLLEANGTDDGARLQLTTTKNGSIWFDQVSAMPVDTYKGHGFRNDLFQMMVDLKPRFIRFPGGCYVEGAWLSNAFHWKETVGAWEERPGHFGDVWKYWTDDGLGHFEFFQLAEDLGAAPIWVFNSGISHHDQVETARIMPFVQEALDGIEFARGDANSTWGSVRAAMGHPKPFGLKYVAVGNEDCWQKYTYYKGNYLVFYNAIKKAYPDIKIISNCDGSSQPLDHPADYYDFHVYKPSKELFSMSHKFDNTSRDGPKAFVSEYAAKSETDANKGNLLAALGEAGFLLGLEKNSDVVGMVSYAPLFLNTNDRGWIPDAIVFNSSHLYGTPSYWVQQFFTESSGATLLSSTMEGNSSYVEASAISFQSNGSDYIQIKAVNFANVTVELKVKMTGLDSSNTKVSAKKKKVLTSASVMDENSFLQPRDDCATRNLGGDARDVCSRALLLFIIRHLVSLDVVDSKFYTRMSA >A06p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4527141:4530027:-1 gene:A06p003770.1_BraROA transcript:A06p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARVLPLHHPYRATTVRASSSDEKKYDGVVPEVKLADPTRNYRVLVLGGTGRVGGSTAVALSKLCPKLKIVVAGRNREKGEAMVAKLGENSEFCQVDINDANMLQTSLKDVDLVVHAAGPFQQAPKCTVLEAAIKTKTAYIDVCDDTSYAFRAKSLQAEALAANVPAITTAGIYPGVSNVMAAEMVAAARSEDKGKPEKLRFSYYTAGTGGAGPTILATSFLLLGEKVKLKPYTGMINVDFGKGIRKRDVYLLNLPEVRSTHEVLGVPTVSARFGTSPFFWNWGMEIMTKLLPQEVLRDRTKVQQMVDLFDPVVRATDSFSGERVSMRVDLECSDGRVAVGLYSHKKLSVAVGVSTAAFVVAMLEGSTQPGVWFPEEPQGIAVEAREALLRRASQGTFNFILNKPPWMVETVPKEVILGIYV >A01g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13590063:13593327:-1 gene:A01g504480.1_BraROA transcript:A01g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLYGLKASSRSMKALAERCGVYHFLDFFYSLDLHEEGLSLMKRAADAGYEHAVYTHAMTRAIFEGEGKYFDGIPFESVDRIDANVQILCSDNVTACGTLMSLRMITCVTAVSGSKSLACSYAELIVSLAKFEENIEKLMATEFDHAHNNGLDLHWLS >A09g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27854494:27860866:1 gene:A09g509490.1_BraROA transcript:A09g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHQTRHFGFSRLKDQGQAKLPKFPDEESFSFLDLVLLQAWLEPVDRCPQLTIDRCWQKCIGRRLHRLSIDTLLWLHLTGETQDPDGNRNMYDQAGHLRNATVVRNENLEEGDFEIESSMSLGRSQWCRPMSMNSHRSTDNDEDRWTDYSSHRSTSSANSTECNANSHLSILTHPPLSTKKIDRSVNSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASVINSVDYGKELGFIDACHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLYHFASPNHCHQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKECGIEISLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTPKVTSIDIRAAAKIQEQENIPSLTRDTDGNARAMDGRILQVSTEDIADILQVANGPDNLFSQQRDTSDAILTDPNNHAGVTTTEINTDLSRQPKGQSSIDSITETSIARVTPTSIDMYDPTSIDRRYECRSRAFDMYGARKFTWEQRDEYGVYRDERGHARSAAGEMMPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMRTKIKSLRQQLEEEATTSASIDAPRATSIDVSLPTAKILAEPRCSIQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATPIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISTVKSASIDRLRGPWIDGKKPAELLPYTAAEVDKITSKIYTALYTMEERLDKRCDDIYFLFDNKISELENHAEWLQKEVKAIQRQPAAQHQISASIDRTTPKSIDGNSPRSTNKHIIASIDAESIPIGEQLIHKTV >A06p040360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21819699:21823069:-1 gene:A06p040360.1_BraROA transcript:A06p040360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLHSRFFFFLLFFSSFSVSSFSVGEVNEIHRRILHQPLFPDASPPPPPVFQSTPPPPDAPDQPFFPENPSTPDQSQLPPPPPPPPASSDANGGLPIPTATTQQAKPGKKFAIVISVGVVTLGMLSALAFFLYRHKAKHASDTQKLVSRGGDGGSRRFQEDPLPPTSSTFLYMGTVEPSREPASESIGPVNTSPYRKLNSVTRSDRYRPSPELQPLPPLAKPPQPSENSPSALSPSTSSSDEECRGTAFYTPHGSAISSDDGYYTAFPRSANSNGHSSGSIPHSKRTSPKSKFGSRSPEMKHVIIPSIKQKPPPIQSPPLRGLETDPYPQNKPKFSQPPPPPNRAAFQAITQDKSPLSTRVSPRRSPPPLHTPHPPPPPPLYTPPPPPPPPPRPPPPPQIRPRDFQIPRKLSNPEATKPDDQSRKLAFKTPSPQSKAVEEAKSVSADGDTDPSKPKLKPLHWDKVRASSDRATVWDQLKSSSFQVNEDRMEHLFGCSSASSAPKEPVRRSVMPPAENENRVLDPKKSQNIAILLRALNVTREEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDVSKLGTAERFLKTILDIPFAFKRVEAMLYRANFDAEVKYLRNSFQTLEEASLELKASRLFLKLLEAVLMTGNRMNVGTNRGEAKAFKLDTLLKLVDIKGVDGKTTLLHFVVQEITKSEATTTTIDETILHENKDGFRKQGLQVVAGLSRDLANVKKSAGMDSDVLSGYVTKLETGLEKLRAFVKTETTTTPGKFFDSMKTFLKDAEEEIRKIKGGERKALSMVKEVTEYFHGDAAKEEAHPLRIFMVVRDFLGVLDNVCKEVKTMQEMSSAMGSASARSFRISATASLPVLHRYKSRQEDTSSDNEHSSNSST >A01p002910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1335710:1337265:1 gene:A01p002910.1_BraROA transcript:A01p002910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELQHYAPGVPIVLIFGMTNSFLSTYPGAVPITTAQGEELKKLIEAPAYIECSSKSQENVKGVFDAAIRVVLQPPKQKKKKNKAQKACSIL >A08p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21927667:21928871:-1 gene:A08p037630.1_BraROA transcript:A08p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NINLINLSGPPLSLTSSSTFFFLLLLVRFSNVELANLAIENPNHKLNLRAFKANHKKVMLQGPMIRTEEEEDYTSPPWLMPMLRGSYFIPCSIHADSNKNECNMFCLDCAGTAFCSYCLVKHKDHRVVQIRRSSYHNVVRVNEIQKYLDISCVQTYIINSARILFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDQSLTFSMKGKHGRDYQGGLESDEATTPTKLRKTKAFNRLMNGLSISTVRFDDYGPGGDQRSPNSGDEGGFSFSPGTPPIYNHRNSSRRKGIPHRAPF >A03p071160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31276946:31277273:1 gene:A03p071160.1_BraROA transcript:A03p071160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQKKFDVLKPQTCVPRNFLGIVRGNSEEQYKPIEIHAHDILFPRINENIPRKFRRIFKWPSEYSRNIFI >A06p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10681830:10682639:1 gene:A06p021550.1_BraROA transcript:A06p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKAEKSPFRLTTTSNTQVYIIDPLNKLYFDFKNIHEIPHIRNMDRNYPIDTMGVVFNTEAHFDDHERPKMVFYIRDNMESQIKYVATGHHAYAFRKGFQNMGDRGEVIVVLKMWKSIDILGELSDFRYNLLLPEVEEFRQSLLRSDLMFRNMGYKAFVNLVVLIVLYLRTCLKLVSLL >A01g502170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7646341:7646799:1 gene:A01g502170.1_BraROA transcript:A01g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFAEKTANQGSLEALEVNVSGTCVLCNLEIDAHYHLFFECSFSRLICEPFAAEVWIFPPADLHYVAAWINQPRVNADAHATSVIKLYFQSAIYLLWKERNARVFTPVSSPSSVILASLDRMMRDRLLSYPASSSFSSSLLLFYISCIRSL >A08p044510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24581227:24589135:1 gene:A08p044510.1_BraROA transcript:A08p044510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGEDDSDLMRLVKELQASLMKNDKLEKENHELRQEVARLRAQVSNLKAHDNERKSMLWKKLQSSYDGGNTDASNLKAPESVKSNTKGHEVKNPNLKPTVKEQSTAIKPPPPPPLPSKTTLGKRSVRRATEVVELYRALTKRESHIGNKINQNGVSSPAFNANMIGEIENRSKYLSDIKSDTDRHRDHIHFLISKVEAATFTDISEVETFVKWIDDELSSLVDERAVLKHFPKWPERKADSLREAACSYRALKSLETEILSFKENPKEPLKQVLQKIQSLEEAVNSTERTRDSTGKRYKDFQIPWEWMLDSGLIGQLKYSSLRLAQAYMKRITKELESNGGGKEGNLLLQGVRFAYTIHQFAGGFDEEALRIFNELKKMATSESQKINKKKAAERLRFSSFSRISFPFDSFCYALLYQIQIRAAVVSMGSSASKNTEEEEDGSNGGGGQLYVSLKMENSKVEGELTPHVYGSLPVIGSWDPSKALPLQRESASISELSFVVPPDHETLDFKFLLKPRDRNTPCIVEEGENRLLTGGSLQGDGRVALFKLEGDVVVEFRVFIKADRVSPFDLAASWRAYRENLQPSTVRGIPDVSINPDPTAVDENCPSESLELDLEHYEVPAPAASANSSLVYAADNAENPRSLSASGSFVNDNTPKAASSSPRVSGVSVDGSPSAKEMNIIVPDSSDSYSASGVGESKSVGILSPFQQKDGQRGLFVDRGVGSPRLVKSVSASSFLADLKLDAQIKNSMPAAAGAVAAGAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGVNMSADFFRADNPEGVEARTEVAALAMEDMIAWMQEGGQVGIFDATNSTRARRNMLMKMAEGKCKIIFLETICNDERIIERNIRLKIQQSPDYAEETDFEAGVRDFRDRLANYERVGVYEPVEEGSYIKMIDMVSGNGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESMDNVRGRTGGDSVISESGKIYAKKLANFVEKRLKNEKAASIWTSTLQRTIMTASSIVGFPKVQWRALDEINSGVCDGMTYEEIKKNMPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPQIEMPLHTIIEIQMGVSGVQEKRYKLMD >A09p012120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6208296:6216344:-1 gene:A09p012120.1_BraROA transcript:A09p012120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSAKASVESMRDDPKCIHVSNDPNLAGTCGESSVAAEEGGGCDGATGAKGIDAVDTAAAVLNPVAEMSGVVDAVVSADLSPVAAEGGGEEDASFIAQECGSLDLVGGGAAVEVVSPVVGEGGEEDRAAEQSDSAANLLGLGCEKVSISGDNLEESKEEEPSASEVNGDDSLREGSQEVVCGGMADEKSSQAIESKLDVMEEDTAAQAASLEDIVSVDIDIPDVKDVASVAGFTEISSQDKGLGDSVPLEQEPLKELQIVEEAKDLTDGDAKEDMDVAEGAMGNLMTEEEEKPDDITVLEIKTKPQDVDDVANEGSEKNETRVGESSVDKETVMDDVKEDVEKDPEAGKSVDMHVPESAEEVETYVKYGDGIEEEGEGMACVGEAEQTVDLEETQKLSEELAKVDETKIAEASEEIGTVIRHGDDMTDMTEDVKIHGNSSVADIEGGREDLEEMGVTEALEETVMDKVEGTKIAEVSEETETRIEDEDQEKDDDMTDVKTHADSLVADIEEGKEFQEEMGLADMTETQEETVEGKAVRTKVAEASEETETRSVDVDQEKDENMMDVKTLGDSSVADTEEEKKRQEEMGMAEMTETQEETVEGKVDGTKASELSEETEARTEDEDEEKDENMTDAETHVDSSLADIEEGKESQEEIEMTELSATQDESVTAETGDEDAEDVEEENKGVGGKRKRVRNTKTGKKKEEDVCFICFDGGDLVLCDRRGCPKAYHPSCVNQDEDFFRSKGKWNCGWHQCSKCEKTATYLCYTCVFSLCKGCAKDAVFFCIRGNKGFCETCMETIKLIEREEQEKKEPAQLDFDDKTSWESLFKDYWIDLKTQLSISPEELDQAKSPQKGNKSNAGKQGTAREIDYGTDEGSDSDSSPKKRKTRSRSKSGSAEKNQSPANKSSSGETWASKELLDVVAHMRRGDRSFLPSTEVHALLLDYIKKYNLRDPRRKSQVVCDSRLKNLFGKSHVGHFEMLNILDTHFLDKEQQQADNIQGSIVDTDPDYVDLDENVDHPVKSAKDKKRKTRKKGARKGRQSNLDDFAAVDMHNINLIYLRRSLVEDLLEDSTAFEEKVASAFVRLRISGNQKQDLYRLVQVVGTSKAPEPYKVGKKTTDYVLEILNLDKTEVISIDIISNQDFTEDECNRLRQSIKCGLINRLTVGDIQEKAIALQEVRVKNLLDAEILRFSHLRDRASDMGHRKEYPYLERAYDLSFVKVQLLKSPEERQRRLEEIPEIHADPKMDPDYESEDEDEKEEKEKEKSLRRRSSSFNRRGRDPISPRKGGFSSNESWTGTSNYSSTSANRELSRSYSGRGSNGRGDYLGSSDDKVSDSLWNSGREREKDMQQYLGTEKPRTVSLPEPPPRSSRAVAPPELSPRIAPVISTPPPPVVPQPAPKSNESEKMWHYKDPSGKVQGPFSMAQLRKWNNTGYFPAKLEIWKANESSLDSVLLTDALAGLFQKQGQSVDNSYTKAYSGQSSQSEPNIGSSARTAPSVLDIPKNSQDTWSSGGSLPSPTPTQMTTPTAKRRSFESRWSPTKPSAQSAVQSMSFPSAQSVPSQASRTDIPVVVNTASALQPGTHPIPTPDRANVSVNHYGSSGPLPSPTPVGGMQSWGNMQTDKFDLHGRGRGDAPFSSQNSSASYGTTTPSALTSQSQPGFPASDPWRAPVPSQSNTQTQAPAWAMNTSQNSGQPQAPTNQNSSWGQATVVNPNMGWAGPPQAGMSVNWAASSVPPTGQGMPNPGWGGPAQVQQPQAYPNSGWGVTGQAQSQAQVQAPGSSTSSGWMQTGQGMQSGNSNQNWGTQNQTAMPSGGSGGNQTGYWGNQQNQNGDSGYGWNRQSSGSGGHNNNFKGQRVCKFHRENGNCRKGAACTYLHN >A09p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1928520:1930770:-1 gene:A09p003230.1_BraROA transcript:A09p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPERSDSGSNGDLRVYQTWKGSNIFFLQGRFVFGPDVRSLALTICLIAVPVTIFCIFVARNLMDDFSDNWGVSIVAVAVIFTIYDIILLLLTSGRDPGIIPRNAHPPEPEPLDINMDAGAGQTPQLRLPRIKEVELNGVIFKVKYCDTCMLYRPPRCSHCSICNNCVEKFDHHCPWVGQCIGLRNYRFFFMFIFSTTLLCVYVFAFCWVYIRKIMESEHTTIWKAMLKTPASIVLIVYTFIAMWFVAGLTAFHLYLISTNQTTYENFRYRYDRRSNPHNKGVVNNFIETFSSAIPPSKNDFRAMVHREGPLPPRSVAGGFMSPNMGKANDDIEMGRKAVWADMGSEHGDAKNGNDERLHVRDGELGELSPDVRTTVDEQSDRPGTRRSSWGRKSGSWDMSPEVMALAARVVEQNQNGGGSSSGSGLVTENRPT >A01p049820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27964723:27966083:-1 gene:A01p049820.1_BraROA transcript:A01p049820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAFGSFDDSFSLASLRAYLAEFISTLLFVFAGVGSAIAYAKLTSDAALDTPGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITLITGVFYWIAQLLGSTAACFLLKFVTGGLAVPTHSVAAGVGAIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWVYWVGPLIGGGLAGLVYGNVFMPSSEHVPLASEF >A06p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20084476:20095365:1 gene:A06p037100.1_BraROA transcript:A06p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKTNTRLFDFFLLSVSLVGICLASASESAQGRKLSFAVNGQFKILQVADMHYANGATTRCKNVLPSQLADCSDLNTTAFMWRVITAEKPDLIVFTGDNIFGSDVKDPVKSMNAAFAPAIASKIPWVAVLGNHDQESTLTRQELMNYIVKLPNTLSQVNPPEAAHYIDGFGNCNLKIHGVPKSSGDYSSVQSLKGYDWIKTSQQFWYDQTSKRLQREYSEEPNPQQGTAPGLAYFHIPFPEFDNFDTKSATTGVRQEDTGSATTNSGFFTTLVARGDVKSVFVGHDHVNDFCGELKGLNLCYGGGFGYHAYGKAGWQRRARVVVADLNKKGTGSWGDVKSIRTWKRLDDEHLSVIDDQVLWNSSPSGSVLLRKNILLGIQNRLPTIKIFPPRDQVMKQQVQIHHLPAMNSTTHRNVSLLLHSTTHCNVSLLCFWEARNSKKGGKFMGIDIVLVDEKPENHGKLKLGSYEAYDRETKAGACLRITNHSDFEYSPTPMEHGLYMIFSFRLFVIIFVVIDICIDTLCPMWCITTAIFLEGFPRVQNPNQSVNANFVCQIHGFIEKTRLQPNPSSSFFLGAITMADNKRTSMFNFFLLSVSMVGLCLAPVPASAQGRKLSFGVNGQFKILQVADMHYANGATTRCQNVLSSQLAHCSDLNTTAFMSRVIAAEKPDLIVFTGDNIFGSDVKDAVKSMNAAFAPAIAAKIPWVAVLGNHDQESTLSREELMKHIVKLPNTLSKVNPPEAAHYIDGFGNNNLQIHGAAESSLHNKSVLNLYFLDSGDYSSVHNIKGYDWIKTSQQFWFDQTSKRLQREYNKEPNPQQGAAPGLAYFHIPLREFWFFNSKNAAKGVRQEETGSATINSGFFTTLVTRGDVKSVFVGHDHLNDFCGELKGLNLCYGGGFGYHAYGKAGWQRRARVVVADLNKKGTGSWGDVKSIRTWKRLDDEHLSVIDDQVLWTRSPK >A09p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000129.1:31473:38696:-1 gene:A09p022330.1_BraROA transcript:A09p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEGSVQLKINQVKIRSDGKQVNVPREGERNKVADLEYSRFSPRRPVPSNRLFYTIVTQKLCPNDFVPVIFKDSFSAGGWTIWVSGDRILPIERRNTMSPGPRFPLLEARSWQEAKFNLVTFMTLTGLSLARHVALPDHGVGLDGQSCSCLIVGWPVGSFISNPGCWTVDRSCSCLIVGRLIDHMSRTVRGCYRGGIRASQNESQKALGKPVTSSDRICDRYSTEKASSVQSAILYDCDAEALSNSIRPTQSVEVLLDTLPGSPKNCPEARGGSVRVQISLSRPVNFFMVKPRLCPRQYQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVTLLVLRVLGHIGRTTGAMCFFGLVPSGFKETPYLLDREDSERRDHGLWLSDYTTSVVEGYGTLEFPLLEARSWQEAKSNFVTVSLGKDDRIASCWTLGPPVSWTKVYDSDRIAPSPSRSASGPWCWVGQSVMFLFDCWLAGWPFISNPWCGSSVGH >A03p024240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10245027:10246635:1 gene:A03p024240.1_BraROA transcript:A03p024240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPLPLSSLLPVPLSDLYFNRSPTTTTSPYFCGQDRDGVLASLLQLPPPPAPVTDRLIQRDVIRKNMKKALKDEDDDDDVDVKSSTDASGSKNLNPLGESDSSTQVVEKNVVTLRKRRSFISFEEQGDDEEEEEANLGKKGKKRAKKSGALEEGSRCSRVNGRGWRCCQQTLYGYSLCEHHLGKGRVRSMNKSAGSRGGGEKKEVVMEVKKKKRVKLGIVKARSISSLLGQTSTSSGNADVVVSAESEISAPADQFAAYDK >A02p034140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18517033:18519834:-1 gene:A02p034140.1_BraROA transcript:A02p034140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENREKNEGGEEEESVKVFVGQIPKHMSESQLLTLFQEFAVVDEVNIIKDKITRASRGCCFVICPSREEADKLVNACHNKKTLPGAASLLQVKYADGELERLEHKLFVGMLPKNVSEAELLSLFSKHGTIKDLQILRGAQQTSKGCAFLKYETKEQAVSAMESINGKHKMEGSTVPLVVKWADTERERHTRRLQKAQSHLTRLATADPTNPSLFGALPMSYAPPYNGYGYHQAPGTYGYMLPPIQNQAPLPNLGNSNALQRTSPDSVPPRLARRNFPLPPANYMGSGYPPVRGLPYPLAYPRGIMNPRPLSSSPGSISPGGSTPLGIGLSSVVQSQTEGPEGANLFIYNIPREYGDQELAAAFQPYGMVLSAKVFVDKATGVSKCFGFVSYDSQAAAQNAINMMNGRHLGGKKLKVQLKRDDDNNNNNNNNNGQQSS >A03g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20620775:20621964:-1 gene:A03g505810.1_BraROA transcript:A03g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVIHEFILAGRANHYIPCLKVGFIVKVDRFEVTRCSIMYTIIDHPFLIRFISPTIIDEVITGAPEINLQSLLDCLTISNYLTIYKLTFATKKTKTTIKIKDKQTQPQQEIQETIPTDTKAATTSLPAHSSCLIKIAYMPSVNMPMLLSSYEKYINSFKTH >A02p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13916070:13917109:-1 gene:A02p026500.1_BraROA transcript:A02p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMFYFLLALTTVLAVTADPGGPILDNDGYVIFNGSYLVRPIIFGGGLTLSPLGDNQCPLYIEQQFSKDDIGYPIRFSNWGSGARFVPESENLNIEMVIPVTTCVQSSTYWWVTATEGSKWLFISAGPKPDPGEASSKSFFQIKKVGDFTNGYKIMFCSKDNNCIDVGIVVDEYGVQRLALCTMPFPVTFVKADETETSSKNISIINKQI >A03p004640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1947266:1952798:1 gene:A03p004640.1_BraROA transcript:A03p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLPPAYRGRSATNRHPSLPSASSSTNCRNFRSPPLNHRQYPSSSFPPNYRRDRPPATPNFIVQLVHPRTPAAEPSPSFSVRKQVISTLASLCGIPEESIHVPQFGCIAGSFSFRQWVDALSAVVALWEYRLQGKTLLVPELVANVTVPSDVEELRDRLRGLFSAHVLSILDNGDCVKKVRAEIEEKTRQVESFSSKRGIKIEAFERKKAIEAERDLVVKRLEEFKNGMKSIVRFLEGRDGEEDDVAVFSLEGDYGWPRIHSLIRRECRRLEDGLPIYAYRQNILKRIHGEQVMVLIGETGSGKSTQLVQFLADSGVAASESIVCTQPRKIAALTLADRVREESNGCYEENSVRCTPAFSSTEEISSKVVFMTDNCLLQHYIKDRSLPGVSCVIIDEAHERSLNTDLFLALLKDLMCRRIDLRLVIMSATADAYQLSDYFFGCGILCVTGRNFPVEIIYSPSDAEGSSGTGRIAPYADDVVKKAVEVHKTEKDGTILAFLTSQAEVEWACERFIAPSAVALPLHGKLSFEEQFRVFQNHPGKRKVIFATNIAETSLTIPGVKYVIDSGMVKESKYEPRTGMSILRVCRVSQSSARQRAGRAGRTEPGRCYRLYSKHEFESMNLNQEPEIRRVHLGVALLRILALGVDSVADFDFVDAPVPEAIAMAVKNLVQLGAVVEKNGVLELTQEGHCLVKLGLEPKLGKLILGCFRHRMGKEGIVLAAVMANASSIFCRVGSLDDKMKADCLKVQFCNPNGDLFTLLSVYKEWSSLPRERRNKWCWENSLNAKSMRRCEDTVKELEICIERELSLVSPSYWVWNPTEGNNNKHDKRLKTVILASLAENVAMYTGYDQLGYEVALTGQQVQLHPSCSLLAFGQKPTWVVFGELLSVVDQYLVCVTSFDFEALSLLDPPPPFDASHMDEWRLRVEKVAGCSSTLLKRFCGKSNCGLLSIVSRARSLCVDERISIQVDVDQNEILLYAPPHDMDKVSALVSDALECEKKWMRNECLEKYIYHGRGQVPMALFGSGAQIKHLEVDQRFLTADVFYYGDSFVDDRELLRFLERKIDVCICSIHKFAGNKQDCDEKDKWGRITFLTPESAMKATEIQRFDFNGSVLKLFPSLATGGGGMFKMPSFPSVTAMIRWPQRESTGRGVLKCPSGDVHSVFNGTSDIVIGTRYARFETDQRFSDSILITSIDSGLVEADVLDALEFYTGRTDLEFFLLRRKSVRCPPPTVCADMLHKRIFACMSSSSPEPNCVQVKVFEPKETDYFMRALITFDGRLHLEAAKALEELDGEVLPGCLSWQKIKCEQKFQSSIICPASVYNIVKRQLNAKLTSFERQRGGTWHLEPTHGGAYRVRISAYATKPISEMRRSLEELVRGRPINHPGLTPRVLQHLSSRDGVILMRRIQHETETYILLDRHSLTVRICGSEEKIAAAEQELVRSLLVYHGRQQLEIRLRGPQLRPDLMKEVVNRFGPDLQGIKEKVNGVDLKLNTRYHVIQVHGSVEMRQEVEKIVYELAREGSEPGGKPDDIEVECPICLCEVDDGYSLEGCSHLFCKACLLEQLEASMRNFDAFPILCSHADCGAPIVLADMRALLSQEKLDELFKASLSSFVTTSDGNFRFCSTPDCPSVYRVAVGPRESGEPFICGACNAETCRRCHLEYHPYITCERYKLFKEDPDMSLKDWAKGKNVKECPFCKSTIEKSEGCNHLLCRCGKHICWVCLDFFTHQEPCYEHLRAVHGGIGIFDELGIPLL >A08p044100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24436183:24446582:-1 gene:A08p044100.1_BraROA transcript:A08p044100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTLLNDSDPSRELSSSILKSSALFLSCFELERLSGLVAILLDEQPWVKRSFTSTLWSSATSIPGSLPPLMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKASFRLRQNSVLVTGFLIGGGGATLHASFLLYCLVCLSSMTIIEEEPILSRLDRIDIMVRKLEEMKLGSSRRSSSPSTPSSGTQPSSSLDLSSPRSIGKVQCRSMEQVMEETKRKGSLLERLNNVEEQVLKLCLHFEKEVEEERKKDDKKKKKKGLRKFVDKVETILDKENFTLEELLDEEEIIQECKALNSRLINFLRDKAQVEQLLRYIVEEPPSDAADDSKRAFKFPFISCEIFTCEIDVILKTLVEEDELMDLLFSFLEPNRPHSALLAGYFSKVVICLMVRKTAALMNYVKGHQNVFCQLVDLIGITSIMEVLVRLVGADDHCPPEVQANAAETLCAISRNAPSALASQLSSPGFVSRILGHALEDSHSKSGLVHSLSVCTSLLDPGKSATSSTMFNSYSGQHMFESPVPVSQETIGAMLPKLSDLLMLLTVASDSTVLPTTYGELRPPLGKHRLKIVEFIAILLRTGSEAAQKELVSSGTIKRTLDLYPYNNALHHQVESIILSCLENKSELMVNHILRECNLIGKFLASDRDSDLSGDSQPTVAATGKKTPRVGYIGHITRISNKIVQLGTSNDQIKTYLQENNEWNEWQGSVLHERNTVENVYRWGCGRPTTVQDRIRDSDEEDKDYDVAALANNLSQAFRYQTYDNGDNGEDHIALDRDDDDVYFDDESAEVIISSLRLGDDQGSLFTNSDWFTFQDDRFSSTPPDPAGSNTIEDAELNRTFNANTSSSDDDEVVVGVEDDDLTRNPKDGETNFQMESPMGFFDFNTLEKTEEAFSEQPPGWDVEFVGVEAEGTEKAMEQAMKEGIVGEAGAMKRNMETGEDNQKEEESSGGEKEFNDNNYWKVDQDVGVLE >A10g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4829019:4830783:-1 gene:A10g501790.1_BraROA transcript:A10g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGAKAGQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKVGGGYDPFAPYDKMKSKELTAWVQKDPSHKLPLKKKPRRCPSRFYQVLRTPLEWLTDHQMDAFINLLRKRYQNHPEHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPKELDEVMEPFVTMVPYLLVECALSDEQKSFLCAMNGKTKTMMRTWQRRLGDVNRVRIG >A06p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5264989:5266218:1 gene:A06p002260.1_BraROA transcript:A06p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGETIDSIKSIQIRQLLTQAISLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGRDIPIVHRVIKVHERENTGEVDVLTKGDNNYGDDRLLYAEGQQWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >A09p069080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53926257:53928184:-1 gene:A09p069080.1_BraROA transcript:A09p069080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHCSDLISKRQRLDSYIAGDITNPKGEGSSKLVQFADNVVYRLYFKGLVSDEVAAAESGERTVTAGFGVAICDVADNLLYEMKESLSGGGEVKRRGVEIRALIHGLSEAFNMGIRHVRIHCDDYPIFQFINGGDKPKQNDMRQLVNEVCRLKEKMNSCEPLMVARNDVKFAFKLAREAIVSQGSSLDLKAAQGTTCAICLEETDAGRMFSTEQCLHRHCFSCVKQYVEVKLLSGIVPTCLGEGCKLELTLESCSKILTPRVTEMWKRKMKEDSIPAAERIYCPYPNCAMLMSKSDLSSHADQSKVQECVKCRGHFCIDCKVPSHTDMSCDDYDMSPVPPGAVQEYEAFVIEEGNDDEYDDYNNYGGLTESDNDGGFEDFYRDYYSL >A05p006730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2711539:2712465:1 gene:A05p006730.1_BraROA transcript:A05p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT2G45430) UniProtKB/TrEMBL;Acc:A0A178VXD2] MDQVSRSLPFLSRDLHLHPHHQFQHQQQHSHVHEIDQHRISGLKRDRDTEIDPNEHSPAGKDQNTPGSGGESGGGDNQITRRPRGRPAGSKNKPKPPIIVTRDSANALKSHVMEVANGCDVMESVTVFARRRQRGVCVLSGNGAVTNVTIRQPATVPGGGSSVVNLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLMASGPVVIMAASFGNAAYERLPLEEDDQEEQQTSGAVVNNNNNIDGNGTMGGGTQTQQQQQLMQDPTSFIQGLQPNLMSSSVQLPGEAYWGTPRPSF >A09p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1653624:1655649:-1 gene:A09p002400.1_BraROA transcript:A09p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSIIYFLSTEAKKKTKEKKTSLISFNQRKTPKAKRMGADTPQLPVIYLSDQTLKPGSEKWLEVRSDVRKALEEYGGFEVSYDRVSEELKKSVLDAMIELFELPVEAKQRNVSPKPYTGYSTHNGLSESLGIQDSNVLEKVNEFTQLLRPDCEGNKTMSETIQKFSEKLAELDVMVRRMIEGLEVLTKDEKWIRLKPSHNSFVVMAGDSLYALMNGRLSRPFHRVRVTERKKTRYSIALFSTPNGDYIIEPPKELVDEKHPRLFKPFTYVDLMSFYHTQAGRRARSTLHAYCAVSGA >A09p058220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49110003:49110769:-1 gene:A09p058220.1_BraROA transcript:A09p058220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANTNSQLHGDGSLEHAMKRDAPLVAEYLGGAVNCDAPHITDPIGDGLGVSSCIESCLEDAGVNYIYAHATSTLAGDLAEINALKRCSKALGSKSMPLRSIEITQVQRLQMKVHKYLHEQFEVMNSPA >A09p007300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3856953:3860657:1 gene:A09p007300.1_BraROA transcript:A09p007300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEPKKVTETVNNVSEPSPSLPAPAEKAVAAPQEKPVAPPPPALVPIVAKEVEEEKKEGSINRDAVLARVETEKRMSLIKAWEEAEKCKVENKAEKKLSSIESWENNKKAAVEAELKKIEEQLEKKKAEYVELMKNKIAQIHKQAEEKRAMIEARRGEESLPRLSHLFYNPCFSGEQQQPVAMAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKNIGLSFKTPRDAIDGTYIDRKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFAKKAFTGM >A06p050400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26518241:26520569:1 gene:A06p050400.1_BraROA transcript:A06p050400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIFGSVAINFGTNLLKLGHNERERLALQDGGGGKTPLKPIIHFQTWRVGILVFLLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYVVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVFTPEQLAEKYSNATFLVYCGILILIVAVNHFLYRKGEVLLSTPGQEISSYWKMLLPFSYAVVSGAIGSCSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLSLYDAILIVPMFQIAWTFFSICTGFIYFQEFQVFDALRTTMFISGMLCVFIGISLLAPDDTRGNESKDNTSSLDSIVSSDVPSEEDRLISQSSEDGHSKDTRVVVQGMYMKATDLFAKTKSACLAALGFGEDSINASAILVMPMVSSKITGFRGNGLERAKILSMRGSGWSKLAMEEEGTRMLEKTSHHHHPSKA >A05g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6025935:6026922:-1 gene:A05g501740.1_BraROA transcript:A05g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVCCVYGFCVNQFNRLWVLQSVFIVLTWAKGSKRIDACVNPAVNKWNQYNSIDMREENYVNVLANQEKFKLLEWMKNNKLHPRSETHPNPDLFSISHFVWDPKSKTWHPKYEE >A01p010940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5336879:5337949:-1 gene:A01p010940.1_BraROA transcript:A01p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP2 [Source:Projected from Arabidopsis thaliana (AT4G18390) UniProtKB/Swiss-Prot;Acc:Q93V43] MFPKTGDDVIIGDLMKTNNNGDVVDNNSNRLSRWHHNSSRIIRVSRASGGKDRHSKVWTSKGPRDRRVRLSVSTALQFYDLQDRLGLDQPSKAVEWLIKAAEDSISELPSLNNTNFPMTDDENQNQTVTAAAAKSACSSNSDTSKNSSGLSLSRSELRDKARERARERTAKETKERDHTGSFTDLLNSGSDPVNVNRQWMATSSSPAQMEYLTSGLILGSGQTHFPIQTSAHPFSDHHHHPQEFSFVPDHLISPAGSNGGGAFNLDFNMSSTTSAAGTAGFSGFNRGTLQSNSSNHHQQQSFLANLQRFSSSEGGGGPQFLFGALPAENHHPNSHQFQLYYENGCRNSDQKGKGKN >A03g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23514238:23515186:1 gene:A03g506520.1_BraROA transcript:A03g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQLDKENWVDKSLTKEGKLRVRKNFKEATGFDLAWKNFANHLRNLKTWYNCYNRLCKYTGVTVDRITGVINMEDEWWNDRIQENDVAIRFRKKSLANEDLLEKLFSGTHIGVEDGWSVGNDPDVYRPQHGYETGTQFDINTSFVSDDPDVLFSTSLDGQTQNMESTPTTIHTPSDERPNHEQRNKRKCGAANIDSQSDLSKAFRERSDAIKNAALEMSSALTSDITIAARRLHQIEAIEFETPFYWDATNLLSTNESARRWFLGIQENKFALLYLEQMIGHKHDD >A07p035170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19042271:19042763:-1 gene:A07p035170.1_BraROA transcript:A07p035170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGITYKGVTVQTPKTWHTVAGKGLCGVMWFWILYRAKQDGPVVMGWRHPWDGHGDHGDHH >A10p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15673688:15677910:1 gene:A10p024210.1_BraROA transcript:A10p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAVANHRERSSDSMNRHLDSSGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECSILANIEPKQIKVWFQNRRCRDKQRKEASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMKQQLTTTVVNDPSCDSVVTTPQHSLRDANSPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCSGVAARACGLVSLEPVKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQTYAPTTLAPARDFWTLRYTTSLDNGSFVVCERSLSGSGAGPNAASASQFVRAEMLSSGYLIRPCDGGGSIIHIVDHLNLEAWSVPDVLRPLYESSKVVAQKMTISALRYIRQLAQESNGELVYGLGRQPAVLRTFSQRLSRGFNDAVNGFGDDGWSTMHCDGAEDIIVAINSTKHLNNMSNSLSFLGGVLCAKASMLLQNVPPAVLIRFLREHRSEWADFNVDAYSAATLKAGSFAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFMSRDVHLLQICTGIDENAVGACSELIFAPINEMFPDDAPLVPSGFRVIPVDAKTGDAQDLLTANHRTLDLTSSLEVGPTPENASGNSSSSSSSRCILTIAFQFPFENNLQDNVAGMACQYVRSVISSVQRVAMAISPSGISPSLGSKLSPGSPEAVTLAQWISQSYTHHLGSELLTVDSLGSNDSVLKLLWDHQDAILCCSLKPQPVFMFANQAGLDMLETTLVALQDITLEKIFDESGRKALCSDFAKLMQQGYACLPSGICLSTMGRHVTYEQAVAWKVFAPAAASSENNDGNDNTLHCLAFSFVNWSFV >A08p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4000860:4001721:1 gene:A08p006930.1_BraROA transcript:A08p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGLLTPAERTQSVMVETTVNPKIFGVNAKYLEQPMQLDWPFDSGRKKTYLSQERGQRTPKYSDRLWKKKVESWCKAVERTFDRILETRG >A08p015270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10070853:10071203:-1 gene:A08p015270.1_BraROA transcript:A08p015270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGCLRTLFEDQAERSSVNRMEQEIELPGIKPKVSKCKGFVGVSPCSCASRLSIPYILLLCRFWLSFSAPRSSLSSRGNIPFFSIFMIILLNLTFIFET >A02p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18699944:18702612:1 gene:A02p034450.1_BraROA transcript:A02p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRHNWLLCMLHTVSNVDKMALPSNIEEFQFSLISKIWKSTGTRALPICTSRFLTIPHELSLRTIHKSEQGSTFRPIWSAITLGRMMTDAHVSHSACGNSIPFTYMCNHILHLVSGLFEEHPQYVGILKTLFWKFSISFSLAALFRCLATFPFLFLKVLPIGALSTSIGSAASSESVMVVFGGLAEDLGCGMSALRQGTSIFGIYTRYVRGVRRSMGARDDHHGHAGRYYHLEHLSESEPCLAAQYRSMSGMENRSMSDGRCRSMEDECLRSTVVSEYRSTGLVSGSTVVERNRATSRCCCRSMRSTLFADQTLQTCKIWDIDRYTFRSVDRLFNNDIDRRASS >A09p069410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54084130:54089357:-1 gene:A09p069410.1_BraROA transcript:A09p069410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSPPSSPRGASVRHTSRLHYRHLLLPKRPHYSVTATKHYQNNPYPHNNLSNHRPWALQHFSRSLRWRRSLLNSVSDQTFMEEKLGDDGILSVNPKPSKGFSSKLIDLLESLLVKLMHDTSLPLNYLSGNFAPLRDETPPVKDLPVHGFLPECLNGEFLRVGPNPKFDPVAGYLWHVLFFICLMEMGTLTVSCYIMIHGVRIKEGKATYSSRYVKTSRLKQEEFFGAAKLMKIGDLKGIFGLLMANMQHLRTKLKVLDDSYGNGTANTSLVYHHGHLLALQETDKPYVIKVLEDGDLQTLGMIDYDKRLTHSFTAHPKVDPVTGEMFTFGYSHMPPYLIYRVISKDGIMHDPVPITISEPIMMHDFAITENYSIFMDLPTHFRPKEMVKEKKMLYSFDPTKKARFGVLPRYAKDELMIKWFELPNCFIFHNANAWEEDEEVVLITCRLENPNLDMVSGNDEEVLRSFSNELYEMRFNMKTGSASQKKLSASSVDFPRINESYTGKKSCVNVIDAKTMSSEPVAVVELPHRVPYGFHALFVTEYDNFTCHISRNCSLRFQMALSMSL >A07g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9490779:9492392:-1 gene:A07g504620.1_BraROA transcript:A07g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRSSPVPILGGQKRPGAAANAWELICFCLIPRYVMFLRCSLFCSSKTRLVFFTVPAAFYSALSSMPKIFDPYSSYIGDGRWRTDVLHFSKCFFFEKNHLTNLSLAFNCCLRFEVKGVSKLFIRTLSAAKAPAALPPSRYVFCIFKSWVFCKYSSTQQHHKLRCNLKTSLMLKPYFGIFFRFIGTSARNMENLDSTPRLKASFNLDTTVDALKTFVCETGAGKHVPRALFIDLEPTVAVMVHLTNLEHINENHMH >A03p055940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24140494:24143422:1 gene:A03p055940.1_BraROA transcript:A03p055940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoate deiminase [Source:Projected from Arabidopsis thaliana (AT4G20070) UniProtKB/Swiss-Prot;Acc:O49434] MAVLHPSSSRSYHILSNAYHTSFHHHHHHNHHHHHHPSLVIFWCLVISLLSPLAVSSSSSSSSSSASSSSSSSQISLGIGETEGTKQDLHLSILRDESVARLHELGQVSDAATHLERTFMSPASIRGIKLLREWMEDAGLSTWVDNMGNVHGRVEPKNGSTPALLIGSHMDTVIDAGKYDGSLGIISAISALKVLKVNGKLGELKRPVEVIAFSDEEGVRFQCTFLGSAALAGIMPVSRLEITDKSGITVQDALKENSIDITEENLMQLKYDPASVWGYVEVHIEQGPVLEYVGYPLGVVKGIAGQTRLKVTVKGSQGHAGTVPMSLRQDPMTGAAELIVLLESVCRNPKEYLTCEGQCNEETIESLANSLVCTVGEISTWPSASNVTFTVDLRTIDDVGRNAILHDLSTRMYQICDKRSLLCSIERKHDADAVISDPHLSLQLKSAAQSALKKITGEVQDEVPVLMSGAGHDAMALSYLTKVGMLFVRCRGGISHSPAEHVLDDDVGAAGLAILEFLESQM >A07g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4782723:4783216:1 gene:A07g502190.1_BraROA transcript:A07g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEKSVNNYNNNDIGDSSDYDDYSNSDPTSYFRHISLRYFVAEPFEMLTKHARFKELCLENGNPETHYIEGLLQYFVHKEKRTGLFHLRHSATGNNTNGMYLYGLLMFALGHYPKGPIIAGKESRTH >A03p010070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4014912:4015865:1 gene:A03p010070.1_BraROA transcript:A03p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19940) UniProtKB/Swiss-Prot;Acc:Q941D3] MATAASSLTIASSFSEPRIQIRSSKRISLPLQYSIPYKANSRSRRRLVVSSVSAPKVELRTGPDDLISSLLSKVANSDGGVTLSPEQHKEVAQVAGELQKYCVSEPVKCPLIFGDWDVVYCSVPTSPGGGYRSVIGRLFFRTNEMIQGIDSPDIVRNRVSFTALGFLDGDVSLTGKLKVLDSEWVQVIFEPPELKVGSLEFKYGFESEVKLRITYVDEKLRLGLGSRGSLFVFRRRQ >A09p046790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40668761:40671447:-1 gene:A09p046790.1_BraROA transcript:A09p046790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERRIAEASKEEDDNCLIKISDLPGGDKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLISQTETFFNQVVLKSWKDSVKALQSCDEVLEYADELNITKKCIESLAMRASTDPNLFGWPVVEHGGGPMQSPGGSVLWNGISTGARPRQTSSDWWYEDASMLSFPLFKRLITVMDSRGIREDIIAGSLTHYTRKHLPGLKRRRGGPPESSGRFSTPLSSGSVLSEEEQKRLLEETEELLRMQKGLVPTKFFADMLRIAKILKAKTERENLCRLLDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRTSVAGCFLVSDNLDGGSRQLRSGGFAGGSTEGGGGGGGWATAVRENQVLKVGMDSMRMRVCELEKECSNMRQEIEKLGKTTKGGGGGGSGSGSKTWFGIKLKSHQMCSAQEGSVSKSNNENVKIEKLKDVKERRGKHKKASSISSER >A02p045460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28542911:28545046:1 gene:A02p045460.1_BraROA transcript:A02p045460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLLKSPSLRHSNLIRCGCCLYAGRFHSVVEFQLFLRSGDADRYVLLRHTKLPPSSRFFSLSTSKPQNPPLTYTHHSPFHGVGNSLLLYGGTEGIFASSQSFIRFESITLWSTNDASRKRRSKGLVQAVIFEASDRNNIGGSAYGGQRSILPLQQHCKTVVMVLGLREMVFWYLDYANFNSTGDMPAVTQIEIQECNRYLLRPLALTTWVVVVGAFRKTVSQILCVSMDLGLLSPLLVLLVGVSYFIASEMLDIAENVGIIDEQNFSLSCLMPSSMHFSYCGSLPLFRKHWNSTYRTSVKLDIYRKFSNALTVLVVVSAAWIVYEMPVTQCIVPVCEMVVNEEVQCLTGGKQDGDISLEKNTGSDTKEEDKRE >A03p057380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24879982:24880869:1 gene:A03p057380.1_BraROA transcript:A03p057380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAWHKNHYPRRQIKSTYQSIELGHVTQINLNDIFASSSAKSPKLHRCYLPSLLDQFPPSDHSLYPPPPPQLPTCILPAGVAFHSSGFVSSDHVSFPRSYPIVLTEGDGTKIFVRLHFGTESARMLLKLIVYHPIHTQTSVSVSSLTPPVSELFGILSRRFLFFTSPQKEAGKNIQCLKI >A05p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3384318:3387399:1 gene:A05p008350.1_BraROA transcript:A05p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSPAAYIHMVQYMIEKCLIFNMSKEECMKVLSENANINPVITSTVTDLTTQYYEEYEKLETGMNRQQKRNYTIYFREETTQKGLNLATLSVSSTPSPSFSEMGTIDFGGAASSGEASIADLSGQVHQVPCCIRFDGPAQVSNYFKPKSSEVEVDGVRTEEAYFRGRKLQGATISMPSGYSGFVLGQASNKNANGKRKACSDEEENPCWEVKAKFDKMTYWNHDTLPSKDDTILRSFHWFNIAEALHKPVTVEDLVAVTDGGGM >A09p018850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9952261:9952461:1 gene:A09p018850.1_BraROA transcript:A09p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEAPSWADQWGTGGIGEMPEEDNTKSAGGKKSGTTKAKIIDFISFKWMKNLVHKKKTKDSNSLK >A02p038520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21947621:21949194:1 gene:A02p038520.1_BraROA transcript:A02p038520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPLLLRSILLPLCLLFSVIQSRPYTSSQKIQLPGDSLALSVADFGATGDGIHYDTSAIQSAINACNHHYTSSSSICRVKFPLGTYLTAKLHLRSGVLLDVTENAVLLGGPRIEDYPAETSSEWYVVVANNATDVGITGGGAIDGQGSKFVVRFDERKNVMVSWNQTGACLGDECRPRLVGFVDSTNVQIWNITLRDPAYWCLHIVRCDNTSVHDVSILGDFNTPNNDGIDIEDSNNTFITRCHIDTGDDAICPKTYLAPLYNLTVTDCWIRTKSSAIKLGSASWFEFKSLVFDNITIFESHRGLGMQIRDGGNVNGITFSNMNISTRYYDPSWWGRAEPIYITTCPRDSSSKEGSISNLLFVNITIVSENGVFLSGSPNGLLTDIKFKNMNITLRRWSNYTSGLVDYRPGCQGLMNHSATAGIIMEHVNGFSVENVDLKWSDDNLNAWNVPLEFRPSTVNNVSFVGFSSGLLHEIV >A04p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15373084:15374026:-1 gene:A04p025370.1_BraROA transcript:A04p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMGNFFDSIGSFFSGGDKIPWCDRDVILECEKEVKTATEGDSEDQKKESIMRLSWALVHSRQAEDIQRGIAMLEASLASSSPPLQDREKIYLLAVGYYRTGDYSRSRQLVERCLEVQPDWRQALALKKTIEDKIAKDGVIGIGVTATAVGLIAGGIAAALARKK >A05g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12369383:12369865:-1 gene:A05g504470.1_BraROA transcript:A05g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIWKSKCRRACSRSVAKVFNPHRNFNTLLLYCSSIQKQLESLLSIVAGDEHDGGVWDELGSGEPKTSSTTVSGKSHGDSVVISDVEGKKRDARALLSQRMVFEGGGVKKLESGM >A09g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25160419:25162287:-1 gene:A09g508990.1_BraROA transcript:A09g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGVEPTPTPAAAPPITSDFMSSVMARLARQDEVQNTTNNQLAALVAALTAPDGQTSRPQQIRRRLFYTNPTATGVDHEETPKDNGEGDSSADEEHPANRRCIEVILSQQSLSSNDDNDDAPVLGDLRDILKRKFESENDSSPKHTDLRTMLDAWKSRRISTSNANTNEGPIRDLRDKLNAGACDLRIHLNRSKPTDLR >A01p023470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11610256:11612962:-1 gene:A01p023470.1_BraROA transcript:A01p023470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRSMKPPNDALIIGVLHDDEVALSHDDSFDDVLTVVKAKSKIKAQKKTNIPVKTCEQRRKIQPNRSTTEIICKSDAAWRKDLQAAGLAWSFYRNQKERFSSHDQPVAFVNSSLMAEGLALRAAMEQAVALQMRRVVFESDSLQLVTAIADGSSFSDLHGILSDIYLLSISFDFVSFSFCRRETLCFEDSAAKNSLSNFVLSNFVLTQTIEPVLAPIDQVTNVMRYTDFATDMKTLRKTLNLPPSGIRIGSLFPWICWSIWIARNHKIFQKRSFEAKEVLSKAISDTREWQSAQEQKINKENLPSKPTPPTQAVAFDTVIVHTDAAWRETEQGSTSEDFVPSPLVAEGLAIREALLQAQAYGFNKLLINSDAQIIIRAISERDSIKELCGILQDIQNLSCYLSVSIFRFIPRKDNMAADGLAKSALALACNG >A02p041630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26080639:26081960:1 gene:A02p041630.1_BraROA transcript:A02p041630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVDETSESGAFVRTASTFRSFVSQDPASQFPPESGRYHLYISYACPWACRCLSFLMLKGLDQAITFSSCHTIWGRTKETDDHRGWVFPGSNSELPGAEPDYLNGAKTVRELYEIASPNYMGKYTVPILWDKKLKTVVNNESSEIIRMLNTEFNGVAKNPSLDLYPSHLKEAIDETNEWVFNGINNGVYRCGFARKQEPYDEAVNQVFEAVDRCEALLGKQRYICGNTFTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNIFNYIKDVYQINGMSSTVKMDHIKQHYYGSHPTLNPFGIIPHGPNIDYSSPHDRDRFSS >A09g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20912681:20913667:1 gene:A09g507110.1_BraROA transcript:A09g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRGVSARASQTAIPQPANEHFVDLDRRRRAIDVCSYFQVEVLEPSPVWAHKIANLQFTPAAVRNMVVAFVIGAEVGVDVISVILKIWPILAEIQRLRTHIATILSPRMAISKTELGRLTIISSCGLAQRQLPITFMLLGVIGTHSLRHPIFFPPPAKLFEAVEAEVDMSGSSRQENHGSSPLARSADVNPPSPMLF >A02p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5962419:5963576:1 gene:A02p013650.1_BraROA transcript:A02p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHGDFTPSNSNPFEDPKIRFRHHSLFQDYQELHMDTEAMRKRLETMQERKATLMAEVRFLRRRYRHLRQEQPVKKVRGRSNGGKKSKTQLVRVQVSPDKTSEAETKHVSLPDLNHSGEAHHDETKPKKVPLFDLNQISGEEEEEMNNSEERMRVEQRRMSSIEMLSCRNGGDGSHKRKSSWQDPVAALRV >A06g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:502966:504800:1 gene:A06g500080.1_BraROA transcript:A06g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKMEEELRDMKARKAHYDMLHFVADTQQGIPKLCPCGSITKESVDEEDTYDYLPGKRYFICKDFENDGMHFRQPWVMGVQQEVERLKIRVHEHEKLLRECDELKAQVRMLLRRVSDLERVVKLKTMYQMKTKDAVSSLFIHGFFVYVLLCI >A01g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21776639:21777323:1 gene:A01g507660.1_BraROA transcript:A01g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVTVFGQWKIDNGGWEFILDPSKKGNALFVEDDTEYEDFFCMVCEDYKISEMEAVEFAYMLPKRIFQQMPRNTPPIFLINDIQLASFITLFKTYIMCIYVSLTANKCLHDVNINQDFGNVPNETMKPSHETMNQQPHETMKPSQLWKSETIKSGDIFSGKKKLIMKLRKLSVIKRFDFIIKKSWKHLFYAKFVVPGRYVLQLCRNHL >A09p016580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8704065:8704517:-1 gene:A09p016580.1_BraROA transcript:A09p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYETREKEYYDVAQGQSRQGYGQNHHQGYDQSHSRPVYGHSPTMNHRSHGGFLDGLFKGKNGQKGQNGLGTFLEHKSHEANKGHGHGKLLGQHQKKTHETNKGVNGLGTFINNGEKKHKKQNEHKKKKNKDGHGSGNESGSSSDSDSD >A02p058300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35015798:35017683:1 gene:A02p058300.1_BraROA transcript:A02p058300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHLNLSLSLSLSLSLSLIPKSTAMATSIARFSRRALTPNPIRRFFATSAALATNTEAPKPKLTVSPSPDRAKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITLGFCANVQERCVVHAAWSSPTGLPAETLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLEVEKFKKSLGIAV >A10p009400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4950417:4951601:-1 gene:A10p009400.1_BraROA transcript:A10p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVLHCKINFASTIENRNKMASRKALINIHRIIRSTAVVNRRSVIPAAAARSHPIFRNGVDFAPRFFCGNTSSPQNFDIDLSSEEKKRITINRLLYRSKQRGFLELDLVLGNWVEENVNSMDENTVKSLIHVLDLENPDLWKWLTGQEQPPEIVSSNPVFLALHKKVMTNLNKHAAPETRAEAGQPWVKGWDDFKRGRDAPISGNQ >A09p060640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50221996:50223700:1 gene:A09p060640.1_BraROA transcript:A09p060640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD19D [Source:Projected from Arabidopsis thaliana (AT3G54940) UniProtKB/Swiss-Prot;Acc:Q8VYS0] MVAKTLALVLTCTAIFCHVAVSLEDLTIRQVTEDRLPNLLGTHTERKFRVFMSDYGKSYSTREEYIHRLGIFAKNVLKAAEHQMMDPTAVHGVTQFSDLTEEEFKRMYTGVVDVDRGHGGVVGAEAPQLDIKGLPKDFDWREKGAVTEVKDQGACGSCWAFSTTGAVEGANFVSTGKLVSLSEQQLVDCDKACDPKDKKACDNGCGGGLMTNAYEYLEKAGGLEEEKSYPYTGKRGHCKFDPKKVAVKVLNFTNIPLDEDQITARLVQHGPLSVGINAVFMQTYIGGVSCPLICSKKRLNHGVLLVGYGSKGFSILRLSNKPYWIIKNSWGKKWGDNGYYKLCRGHDMCGINSMASAVLTQVSS >A10g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2654255:2661727:-1 gene:A10g500880.1_BraROA transcript:A10g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAETHSTPVQVTDDETALFAIQLASASVLPMALKSAIELDLLEIMARNCSPMSASEISSHLPTKNPEAPVMLDRILRLLTAYSVLTCSVRTLPDGVDRLYGLGPVCKYFIKNEDGVSIAPLCLLNHDKDAVLDGGIPFNKAYGMSAFEYHGTDLRFNKVFNNGMSNHSTITMKKILETYNGFEGLVSLVDVGGGIGATLKMIVSKYPNLKGINFDLPHVIEDAPSHPGVEHVGGDMFVSVPKECILPETLDSSLSTKQVVNVDCIMLALNPGGKERTEKEYEALAKGSGFEGIKVVCNVFGVHVIELLKKI >A07p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7586867:7590115:1 gene:A07p013210.1_BraROA transcript:A07p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHTDARNFGHREVTAAEMESLASFCEAVLPPVPHPKELLGEDDHHPNKEALRSFYTTCGSQTPVARLTIDLIIKRGTIEAYLATRLVLFLLATRLGTLLICGTECLVSGWPFVEKFSELTLEKRERVLQKQFRNWFLTPIRAAFVYIKVAFLFCFFSRVSSNGENPAWEAIGYRVNLDENKSSETQKERPLEKGVVETMKETEQTLLESLTQKGLEADTDHDAIRIKCDAVVVGSGSGGGVAASVLAKAGLKVIVMEKGSYYTESDYPPFEGHGMEKLYENGGILPSIDGCLMILAGATVGGGSAVNWSACIKTPKSVLQEWSEDHKIPLFGTKEYVSAMDLVWKRMGVTETCAMEGFQNQVLRKGCENLGINVQNVARNSSERHYCGSCGYGCRQGEKKGSDRTWLVDAVSHGAVVLTGCKAERFILEKNGNKNRGGKKMKCLGVLAESLNGNIAKKIKIEAKVTVSAAGSLLTPPLMISSGLRNRHIGKNLHLHPVLMAWGYFPDKESSSSNFKGKSYEGGIITSMSKVLSEDSEVRAIIETPALGPASFSVLCPWTSGVDMKKRMSRYSRTANLITIVRDRGSGEVKTEGRISYVVDKTDKENLRAGLRQSLRILIAAGAEEVGTHRSDGQKLVCKGVDEKSIEEFLDSVSAEEGPKAMTEKWGVYSSAHQMGSCRIGEDEKEGALDLNGESWEAERLFVCDGSVLPSAVGVNPMITIMSTAYCISTRIVKSMTTTGLSH >A08p000940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:546825:549368:-1 gene:A08p000940.1_BraROA transcript:A08p000940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDDALARKKNKSNRKKMNRKNDSATVSTRIAAIIAAKKRRQTGKRSMCQGMCFSLPTPEDPFNEKLGKVDIAKKKKKKAKAKTRDALSVNKSLRQAKKKPSRVSIGGPPKFLMLCLSDIESSFHQLEKPLFTSTWGIEFWKSFHSGKDVVETSGTSSTLEQIAWIVSTATDAISTREKDEENPLSNSPFLLYLVPSQSKAAQVRSVCKALKRLGVHAVSLHNGAELDHQISGLKSLEPEFLVSTPERLLEIVALKGVDISGVSLLVIDELGSLCASGYLDAVKSIKQAISSEHRSIVFNDSFSASYIPAVQSLLGRSVNRLSLSDSVASQASCIIQTVNVCASEKKKLQKFSEVLDSTSSRGINIVAKEESFRKLTALLKLKGLSVVIANSEPTIIPAITKRGGQWAYLIDIEQFDSTGMNNFETVLLPDFVPSFQTYVEILTSMARDSVRGVLHSFVTEEEDVSGLAGSLVNVLEDCGQCVPDSWRNLSASMSD >A08p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24556428:24558775:1 gene:A08p044410.1_BraROA transcript:A08p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRILRDHDADGWERSDFPIVCESCLGDNPYVRMTKSEYKANTKAEYDKECKICTRPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQARDTALNISTHDSIPKSDVNREYFAEEHDRKTRAGLDYESSFGKMRPNDTILKLQRTTPYYKRNRAHVCSFFIRGECTRGEECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLGKAGEMGTLESPDDQSIKTLYVGGLNSRILEQDLRDQFYAYGEIESIRILADKACAFVTYTAREGAEKAAQELSNRLVVNGQRLKLMWGRPQAPRPDQDGSNQQGGVAHSGLLPRAVISQQHNQPPPMQQYYMHPPPPNQDKPYYPSMDPQRMGAVISTQEAGGSSTENNGGSSSSYMMPPHQPYPPAPYGYMPSPYQQQYPGPMHHHYAPPPAAYQSYPQQPGPGSKPAPSPSAVSAPPPDSAPAGSSAPSGSSQQPPTASTTTDSSSQ >A08p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22743149:22745078:1 gene:A08p039570.1_BraROA transcript:A08p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKACIKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSDGTPFAGGYYYGKIKFPPEYPYKPPGITMTTPSGRFITQKKICLSMSDCKLSGALLICCFVASNILTGLLSFMMDTSPTTGSVNTTVAEKQQLAKSSLAFNCKTPAFRKLFPEYVEKYKQQELAEQTRQTSQESPQESNSKAESEKTVDPTKEDSEDGLKEKRKKKKKQGLPAWIIVLLVSVFGAVMALPLLQL >A10p038200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21397166:21399871:-1 gene:A10p038200.1_BraROA transcript:A10p038200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLHFSSSPMANEDDPPSSAEPSQQSQYENSERYMVGFIIANIVGLKYYSGRINGRELVGLVREPSNLYDENAIRVLNTRSLQVGHIERAVAAVLSPLIDSGKILVEGIVPNTRSTANRFRIPCQVHVFAKLEETADVKTAISRAGLVLISDSDTSFGLSEAVVVKERMGGGGEKKSVDKIFKLVDENVKQKEKMVEVEAPREVIKSELFAHQKEGLGWLLNREKDGELPPFWEEKDGDFVNVLTNYRTDKRPEALRGGVFADDMGLGKTLTLLSLIAFDRYGDDASTSTEETFDVVEKKGRKRGRGKSSESGGARKKVKSQKTTLIVCPPSVFSAWITQLEEHTVPGSLKVYMYHGGERTDDVNELMKYDIVLTTYSTLALEEPWEDSPVKKMEWLRIVLDEAHTIKNANAQQSKAVCNLKASRRWAITGTPIQNGSFDLYSLMAFLRFEPFSIKSYWQSLIQRPLGQGNKSGLSRLQVLMATISLRRTKEKSLIGLPPKTVGTCYVELSPEERQLYDHMEGEAKGVVQNLINSGSLMRNYSTVLSIILRLRQLCDDISLCHPELRSLTTLTSVEDVTDQPELLQKLVAILQDGEDFDCPICISPPRDIIITRCAHIFCRSCILQTLQRTKPSCPLCRGSLTQADLFNAPPPPEAPDNDGGETKPSTKSSKVTALLSLLMASRQENPNTKSVVFSQFRKMLLLLETPLKAAGFTVLRLDGAMTVKKRTQVIKDFGKPELTGPVVLLASLKASGAGINLTAASRVYLFEPWWNPAVEEQAMDRIHRIGQKQEVKMIRMIARNSIEERVLELQQKKKNLANEAFKRRRGKDQREVNIEDVVALMSL >A08g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8173585:8175546:1 gene:A08g504980.1_BraROA transcript:A08g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGDSTVREYNTLFLKFGLLEIHQHETLVMMYRDGLREEIRAELGVHVFSTIDDIMQAALDVEEGDESDESGNRSKEKSRSGECETSGDTDGSTTESDKSGNRPKKKARTGEDFLENLDREEEDPDQADDNDAFNDSDGSVPQGVGGSDEESDSKVDLEDYKEYLEEHHRSESESSRESKNLLKMKQFPILSLPTEVQGLVVKRVAHNSFEDLFRLRATCKAMRSLADDEDVYASFDLFKYPWRLGGFRLRYLVRRCYAQGNPSTLYIKGVEYFYRRNMYVEGLDLMKRAADAGFERASYTYAMTSKLWDDDGDHFRGFSRDYVAKIGLLVRSSAGLWNWDHNDYFHIRRHVFISTVAPIFYSCPCSPLLEGHWTLWDIDNRKAEDMCNCASG >A05p008650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3579061:3580662:-1 gene:A05p008650.1_BraROA transcript:A05p008650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHEEGSHKPGTLPPNVAAATNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMIMVLCSIASGLSFGDKPKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFISAVFAMQGFGIMAGGIFAIIISSIFDAKFPAPAYADDALRSTVPQADFVWRIILMFGAIPASMTYYSRSKMPETARYTALVAKNAQQAASDMSRVLQVEIEPEQILEEISTDKSKSFSLFSKQFMKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNAIQEVFKIARAQTLIALCSTVPGYWFTVAFIDVIGRFAIQMMGFFFMTVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKNKTDAGYPPGIGVRNSLLVLGVVNFLGILFTFLVPESKGKSLEEMSGENESNEQNNNDSRTVEMV >A09p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1983593:1985405:-1 gene:A09p003370.1_BraROA transcript:A09p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVFQQILRRKLHSPSLATPVRSWLSSKTAHVEAGSSGVRAFALLGAGVTGLLSFSTVASADEAEHGLACPDYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRLPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGVPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFLLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >A04p003380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1654766:1656583:1 gene:A04p003380.1_BraROA transcript:A04p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRKSLRLLDLPSDLVVEILSRVLARSLRQLRITCKRCNALFKDENFIKKHLEKAAKQCMVLMLSGSKVYSMTVDHDGVYDDTVVGPQTLLNLNDFHCSQQIEICKIFHCSGLLLCPTGNFRLVVWNPCTGQNRWIPHSGRYKGNSEFALGYENNKSCQTFKILRYSWDRCGLERQLVDCGIYDFESHSWRDLNDRVPKMCTIVSKGVSLKGNIYWIAEKIYEEYLLLSFDFSTEKFKCLSIPSPSINIDDDCVPTALAVVKEERLSVLYLYRRSFFDPPKIEIWMTTHDKIDQTKDLSWSKFLSVELDDNNPHHHKRFSSSTTFFIDEKKKAAVLCDLEYIHKWNRDMEWEVRYFTLIKRCFVIGVKAASNRSSSGFRRRNVASLKLFRVVYGRCHVACCSNAFSGNAFAVPTSDRSGSFATSSTCMVSAQCGWSHCLNPNFAVSKPLIITYNLCGLLLREYASHGRCSN >A05p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3872529:3872913:1 gene:A05p009340.1_BraROA transcript:A05p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHSKALSDEIRCGLSLVALELALCVVELVIVYSSCELSMCLENQAFCVWCALGTDILGEVFPRSGRLKLRMGVGVGLAQTVSLKWSVKIAAVDDIKLQ >A05g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24758223:24759464:-1 gene:A05g508490.1_BraROA transcript:A05g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQLCRGYAEKVAVSIGQILPIPIVAFIVSSTVFMMDRLYPDRRREMKFNGIYVHFCFKIFYHHFSEECEVMNSCFKKRDSPKFNMYRSTYEQEHRRIKDRRGYFEDGYLKGSLQSHVPLRIGN >A04g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3969931:3972429:-1 gene:A04g501640.1_BraROA transcript:A04g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSEDENSYDPIQGSDLDETDQAFNEGDECHGEEIERDDPEADQEDSWQEEADSEISLEVTNEHDEDFSKTEDVDGGEACSQSGETENEEESYAEERAWCDYSDQEDDPQEMKELLYEEYVKGAGDEIWNQIRVYTNPEPRRLILATRPNRKVKKAPPKEPSLLKHLSREDGTTTSSILLQEEPPDQSPNRQAVPLDAPIKLPNQLLEVDFSPTMKRPSPEPIMGQDECLRFPEVPKSEELSYLWNPGDHINHPKDIPYVLRCTSTQRIRRILIYFNLPYLESQSLKLQQLFFLHSMHDISTFQTIKKIPRKLTYPLKPPRYKEDTIYIHLAKILIIKPPTASFHGAINSFASKLLESPKVEPI >A09g515050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44725780:44728820:1 gene:A09g515050.1_BraROA transcript:A09g515050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGSLKYSTLPYVSVHIFVQIHSQPLSLSFQQHPAYRTLVPYFCTNTKCLQMVEKRCFGSISSVPVPTVRTAVPILEKLGHDQIIFKTPVRLLNTSHTACPLHKPGCLNHHRELHKSPFLLHNIPCYTSCRLRTRHVLMVIEQQDHQVAHFAPQAWIEYGSKLEVSLNEP >A09p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9082717:9083820:1 gene:A09p017530.1_BraROA transcript:A09p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFPQAGVSSPYMSTERETFTVWMKSLVYQTNGLTVYNSNGEITYRVENYDKSSNEVHIMDLHGNILFTIRKKKLWLFGSWYVYRECGTLSSTEEVKPFVKIKRSCIRDGDWEVRDETNEVFWILRFDPKFSFQIIDVHGNIIAKVKPKQSSNGVILGEDVLSLEVKPRIDHSLVVTLVTVYGLIKGIV >A01g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24690631:24694056:-1 gene:A01g509140.1_BraROA transcript:A01g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAEDAVDHRLPVRLFATDRFPRRRLNVYSRPNILAFLRHILRGSPVFTRIRESPFGKLFDLPARQCPVSCKLIHGLLSRQLLCNQERTLWTVLGKDPLRFSLEEFGTITGLNCGAFPEGYEAPDHNQTGLNKQKGAHKDPMWKELIGKYNNITIADLADELENDEEMDDERRFRLALIIIVDGVLIASQQVHRPTLRYVQMLGDVDAFLEFPWGRESFLHTVRCMKPPKFEKGKLVEDRVGMLVQKLKQSSFRLTGFPLALQLLAFRAIPMLQSKIPAPSNELTIMELTEPNLPSHPSIELDSVLQVEVNPSLLVTPIIPIARGPQPGWGVWPNVEADEKVGYMEQLIANNHQFTKSMWPGGDCSEPVFIVTPPPLQPEHKKHTVPRKRKDSKLKPRKCSKKRASTTSQRRITRLFAASSSTLVPTNDLLEARVISLEAKVPVLEHQVTSLEATVATLGATNERLKTRVNHLLNRKRKRSTTGSLLSQRLVKHRRKSTPQTPQDIQEKKTDDCLVGSQSPILSQYQLHQHEDSLRSPQHPSPTHQQTDHPSQDHQPPADHDTNNHKSPTRFASPTDDHQTPNTQTPTQSDSPHNSPDHLLPVHDSPAHHSTDHHSPEYRSSNHQSPNHQAQHQQSPIHHSPANHPIVDHHSIDHSYSEHHSPNHPIVDHHSHNHQSLDHCSPNHHSQTPTAHASHQAKHPSPADHPIVDHLIPVQSESPLVSSTRHAPDQHTPAPQTPDHITTPHITTNQQPLEHKSSDLISSTHPSPVHVSTLSSPPTHKPPVDAFNVTQGSHSQNFAQIAILPLFDATPLGKPTSPEVMSGSDPGTCYAPYKPAGTSTPNSTPTKPAVSPQAFSPHCSSPNAFAALKGSTKSFMSSPNRETAKDGKKEEVDKEDTYSGSPDTKVRKIVAEVNVQTAEDEVCELSDSSPAQKNRAHSLSAEEIALHKALNRPDFPQNLLITSPPVDLWSLFSKTLKAARNV >A09p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2576854:2579085:-1 gene:A09p004560.1_BraROA transcript:A09p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSPKCIPVVSTFASPFDVTPPSSDSTRKPLSLWPGMYHSPVTIALWEARSKIFESLLDPPKDAPPQSELLTRTPSHSRTTIFYPFSTDYILREQYRDPWNEVRIGILLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKIVLKKPISVDTVLKIVASVIWVGRSSIEIQLEVIQSELEDADASPESVALTANFIFVARDSKTGKAAPINRLSPETELEKLLFEEAEARNNLRKKKRGGERKELDHGEYNKLGGLLAEGRIFSDMPALADRNSILLKDTRLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYTFAGLVPYFLEVDHVDFLRPVDVGDFLRFKSCVLYTQLDKLDCPLINIEVVAHVTSPEIRSSEVSNTFYFKFTVRPEAKARNNGFKLRNVVPATEEEARHILDRMDA >A08g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11655003:11655899:-1 gene:A08g506720.1_BraROA transcript:A08g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLPSHRISPSYAPVGALGSRWTKAGVMSLSPCVQRSFSGLYHPSLMSIADETAEGLTVYGRDKESMSLKDAGLHPQASLFIEIN >A07p052270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27606151:27607097:1 gene:A07p052270.1_BraROA transcript:A07p052270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 15 [Source:Projected from Arabidopsis thaliana (AT1G80390) TAIR;Acc:AT1G80390] MSPEEYVRESGDLRGTELTLALPGTSIIASDGRQKSRNKRSFIETVDLKLGERHVNNSIACNNFDRLVGWPPVRMVRARKYVKVAVDGAAYLRKVDLQMYNCYDQLFAALENMFQGVVTICKVTTELERNGEFMATYEDKDGDWMLVGDVPWMMFVESCKRMRLMKAADAMGLVLPS >A06p020000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9774251:9775923:1 gene:A06p020000.1_BraROA transcript:A06p020000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNAHGQDSSYFLGWEEYEKNPYDEIKNPNGIIQMGLAENQLCFDLIETWLAKNPDAAGLKKDGQSIFKELALFQDYHGLPEFKKALAEFMEEIRENRVTFDPRKIVLAAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQAQKLDLNVKGILVTNPSNPLGTMLTRRELNLLVDFITSKNIHLISDEIYSGTVFGFEQFVSVMDVLKEKKLEDSEVSKRVHVVYSLSKDLGLPGFRVGAIYSNDEMVVSAATKMSSFGLVSSQTQYLLSALLSDKKFTGTYLNENKKRLKIRQRQLVSGLEAAGVTCLKSNAGLFCWVDMRHLLDTNTFEAELELWKKIVYEVKLNISPGSSCHCTEPGWFRVCFANMSEETLDLAMKRLKEYVESTDSNRLISKSSHERIKSLRKRTVSNWVFRVSWTDRVPDER >A04p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18117340:18136099:1 gene:A04p030500.1_BraROA transcript:A04p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSSSLPPLCERISYKSRSLRAVDLTILGLLFSLLLYRIRHMSQNDTVWVVAFLCECCFTFIWLLITCTKWSPAEHKPYLDRLDERVHELPSVDMFVTTADPVREPPILVVNTVLSLLAVNYPANKLACYVSDDGCSALTYFSLKEASKFAKIWVPFCKKYNVRVRAPFRYFLNPVDAKEDSEFSRDWEMTKREYEKLVQKVEDATGNSYWLDAGDDFEAFSNTKPSDHSTIVKVIWENEEGVGDEKEVPHFVYISREKKPNYLHHYKAGAMNFLVRVSGLMTNAPYMLNVDCDMYANEADVVRQAMCIFMEGSMNPNHCAFVQFPQKFYDSNSDEIVVLQSYVGRGFAGIQGPVYAGSGCFHTRRVMYGLSVDDLEEDRNLSSVATRKLLAAERLERDFGKSKEMKIKSPRHPLINSSEAAQAVGHCHYEYQTSWGKTVGWLYDSTTEDAHTSIGIHSRGWTSSYISPEPPAFLGCMPPGGPEAMLQQRRWATGLLEILFTKQNPLNGSSCKKIRFRQSLAYLYIFTWGLRSIPELFYCLLPAYCVLHGSALFPKGVCLGIVVTLVGMHCLYTLWEFMSLGFSVHSWYASQSFWRIKTTGSWLFSIPDIILKLLGVSNTIFIVTKKTISKTRLESGDRPSQKEDDGSNPDSNKFEFDGSLYFLPGTFILLVNLTAIAGFSMGLHRSSRSYGGSGSSMIDACGCILVVILFLPFLIGLFEKGKYGIPLSTLSKAAFLAKAHKKAPPMADSSSSLPSLFEKISYKRYFLRSVDLTMLGLLFSLLLYRILHMSQNDNVWVVAFLCESFFTFIWLLITCIKWTPAEHKPYPDRLDERVHELPSVDIFVTTADPVREPPILVVNTVLSLLAVNYPANKLACYVSDDGCSPLTYFSLKEACNFAKIWVPFCKKYNVRVRAPFRYFLNSLDAKEDSEFSRDWEMTKREYEKLSGKVEDATRDWDADDDFEAFSNTKPNDHSTIVKVVWENKGGVGDEKELPHFVYVSREKRPNYLHHYKAGAMNFLHLSRGFAGIQGSIYSGSGCFHTRRVMYGLSVDDLEEDGSLSSVNARKFLDEESLARKFGSSKEIRKSVANALQRKSNSQNILTNFLDEAREVGHCQYESQKSWGKTIGWLYDSTAEDVNTSIDIHSRGWTSSYIYPDEPAFLGCMPPGGPEAMVQQRRWGTGMLEVLFNKHSPLIGIFSQKLRFRQRLAYIHIFTWGLRSIPELAYCLLPAYCLLHNSALFPKGLCSGITVTLVSMHCVYTLWEFMSLGFSVHSWYVSQSFWRIKATCIWLFSIIDIIFKLLGVSRTVFIVTKKTFTETRSGTGDGPSCSADPNAGKFEFDDSVYFLPGTFIVLVNLAAIAGFSVGLQPLTHIYGGGGSTFVEACACILVVMLFLPFLKGLFEKGKYGIPLSTLSKAAFLAVLHDFPSVDMFVTTADPVREPPILVVNTVLSLLAVNYPANKLACYVSDDGCSPLTYFSLKEASKFAKIWVPFCKKYNVRVRAPFRYFLNPLPSSRDSEFTKDWGLMKTEYEKLCRKVEDATGDSHWLDSDDDFEAFSNTKPTDHSTIVKLVCENKEGVGDEKEVPHFVYIPREKRENYHHHYKAGAMNFLVRVSGLMTNAPYMLNVDCDMYVNEADVYLGRGIAGIQGPIFTGSGCFHTRKVMYGLSIDDLDDSGSLSSVATKRMLAEGSLAREFGSSKEIVKSVVEALQGKSNPQQTITNSIEIAKEVGNCHYEYQTSWGKTIGWLYDSMADDVNTSIGIHSRGWTSSYISPDPPAFLGCMPPMGPVAMVQWQRWGTGMLEVFFNKQSPLMGLFHQKMRFRQRLAYISISMWGLRSIPELFYCLLPAYCLLHNSALFPKGLCLGIIVTLLGMHSLYTLWEFMRVGFSVQSWYVSQSIWRIKATSCWLFSILDITLKLLGISKVVLIITQKTIPESRSGSGDEPPQHIDHGLSPSSGKFEIDGSLYFLPGTFIVLVNLAAIAGYWVGQQSWSCKHGGGGSGLGEACICVLVVIIFYPFLMGLFKKGKYGIPLSTLSK >A06p047340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25148311:25153152:1 gene:A06p047340.1_BraROA transcript:A06p047340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQKRCFDAVLVFWKLNKKPNDTSKALITTTSTMVSIYYVQSATFLNSPFPSPASGSLRRGASSPQSLRVTASRSSSYDVVVVGGGIIGLTIARQFLTGSDLSVAVVDKAVPCSGATGAGQGYIWMTHKKPGSDIWDLAMRSHHLWHELADSLTDQGLDPQDMLGWKKTGSLLVGKTSQECVALKQKVNELSEGGLRAEYLSSADLFLKEPAILVDDETGAAFLPDDSQLDAHRAVAYIEKGNREFATEGRYAEFYHEPVTGLLRSDGGSKEVTGVQTLKHNLYGKKATIVAAGCWSGSLMHDLLKDSNISVDVPVKPRKGHLLVVENFDSFNLNHGIMEAGYADHQSASAPGVEERMLSISMTATMDTTGNLVLGSSREFVGFDTEADETIIRCIWERAAEFFPTLRDISLEDFIRNRKVRVGLRPYIPDGKPMIGSVPGLQNLYLAAGHEGGGLSMALGTAEMVSDIVLGKPSKVDVSANTYFKPLLFLLYLFVNQTNGLPVETIFKFQGPLPPILPHEVHFGKGTIDLGGLEVKQVSISNTTAKRVWRTYEGGRDSMGFSIFEPINLPANFFKIGFYAQPNNQKLFGWILVARDVSGRSLRPPVDYIEVWNTASLDIKQDGHAYFWQPVCLNGYKPVGVIVTTSSQKPPMKKKSISCVRSELTEQSEADTLVWGFKGVSVVNLRPVQRGTQATGVYTGTFSFQQLNSSPLPYLFCLKNTKLNMSSNMPSKAQTRALFKTYSPLIYFHPKEIFLPSSVHWFFANGALLYKKGNESNPIPIQPNGTNLPQGGSNDDLFWLDFPLDKTAKEKVQRGDLRNTKVYLNIKPMFGGTFTDIAVWIFCPFNGNAHLKFLFIKSLSLGQIGEHVGDWEHVTLRISNFNGKLWRVYFSQHSGGTLVDACDLEYVEGGNKPVIYSSLHGHAMFSKPGLVLQGKRKYGIRNDMARSDKWLDCGKGYEVIGGPWGVVEPAWTSYFRKWGPRMGYKIDKSIKSFAKKILPMFVRKRLRNIIRKIPYEIIGEDGPTGPKAKLTWTGDDKYS >A03g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15110411:15114875:1 gene:A03g504310.1_BraROA transcript:A03g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRATSGPAYPERFYAAASYVGLDGSDSSAKHVSSKFSNETALIFYALYQQNLQLSVDDLLDITCNHQATVGPCNTPKPSAWRPVEKSKWKRKKLCGVDMIRRCLSWQGLGTMPSIEAMRLFVKILEEENPDWYSREFTDIPDPVVDVQISQTKDEPVVENGNSLSETKTISNENGRLSETQDKDVVSEDPNTVSVYNQWTAPQTSGQRPKARYEHGVAVIQDKMYIYGGNHNGRYLGDLHVLDFKNWTWSRVETKVATESEETSPTLLSPCAGHSLIPWDNKLLSIGGHTKNPSESMQVKIFDTRTCTWSMLKTYGKPPSSRGGQSVTIVGKTLVIFGGQDAKRSLLNDLHVLDLETMTWDELDSIGASPSPRADHAAAVHAERYLLIFGGGSHATCFDDLHVLDLQTAPTPRSGHAGVTIGENWFIVGGGDNKSGASESVVLNMSTLTWSVVASVEGRVPLASEGLSLVVSSYNGEDVLVAFGGYNGRYNNEINLLKPSHKSTLQPKTLEAPLPVSLSAVNNATTRDIESEVEVSQESRVREILMDNAKAGSKVEGNSEHIIAIIKSEKEELEASLNKEQMQTLQLRQELAEGESRNTDLNKELQSVRGQLATEQSRCFKLEVDVAELRQKLQTLETLQKELELLQRQRAVSEQAATNTKRQSSGGVWGWLAGSPQEKDDSP >A09g512280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35883247:35883937:-1 gene:A09g512280.1_BraROA transcript:A09g512280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKSGEKTRVLVVGATGYLGKRIVRAFLAEGHVTYVLQRPEIGLDIEKVQLLLSFKKLGARLVEASFSDHQSLVSAVKLVDVVVSTMSGVHFRSHNILVQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGHALPPGRETFDQKMEVRQAIEAAGIPYTYVVGACFAAYFTGNLSQMETLLPPKKRS >A07p006750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1488522:1490224:-1 gene:A07p006750.1_BraROA transcript:A07p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRRGLDFRRAGGRRKISNVVWWVLSAIVITLFILILSKATQIEPRPSIPERRYRHEKLVEGMNMTEEMLSPTSFARQVNDQIALAKAFVVIAKESKNLQFAWDLSAQIRNSQLLLSTAAASRRPLTVLDSEPTIRDMAVLLYQAQQLHYDSATMIMRLKATIQSLEAQMSSVTEKSAKYAQIAAEEVPKSLYCLGVRLTTDWFQNSELQGKLAERSYAVASKLTDNSLYHFCVFSDNIVATSVVVNSTALNSKAPEKVVFHLVTNEINYAAMKSWFAMNMDNLRGVTVEVQKFEDFRWLNASYVPVLKQLQDSDTQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKMVFLDDDVVVQKDLSALFTIDLNRNVNGAVETCMETFHRYHKYLNYSHPLIRSHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEALEPSWHVLGLGYANVDARVIDKGAVLHFNGNLKPWLKIGMEKYKPLWEKYVDYSHPFMQQCNFH >A10g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5782007:5786418:1 gene:A10g502180.1_BraROA transcript:A10g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVTLCGFVGALFGLEICLAHILASPSIDGKGAPSIDSPSSRRQFSLARQTDCSSLYISKGSKITILLQNVPEPIKHRPARIMDTAQDGVLVNQLDQNKVFMSDHVSLSACDSPSDHSIHADHNFPLDRADQTVCTVPSDHPDRTARAVHCNDPETPVMELSLEPPRDGIDRPTSLLSQPFQHSKTDRQVRIHLEREESKDVHRFSLMALLVRPACPEGCTEVLALVTDPMMDFYLSYFTKAWILELSKDLFHNNHPIVQSVCFNQPRGRVDHPDHVLILTSSTSTTRMNLDRRGEVTLTSLLSLGHPQPIVSPFIPSVLFPYGSSSYLSNPVECSFLRVLQVVSEPLFRFIWGLVVEEKVCWLRRNPTFEVVMMFGLQRKSSKEKSPQQNISQSSFKYSLNNFDEFVSVQDRPNRRCNEPFKSSQGEAGPKRRFLQFDVQEICDNFEKGMMKALKDVSKIHMKSTSTCAPVAEPSLFISEKLKEPIESLFSCEKNCDIPSLESEFMNDNKQTIVKLTVLQSEHPSSLVFSQQVFEEEPLDYPHQGPRFDTRKPLDEDLVPIFDEEDEPGLVFDEEAMSITSFVMESHLCFDPGTNPAPLSPDLQEHCKQSDLLHSQPDMFVKIISLGVIRFGLEKLDLLSSETDKIRHSLRSFLDNCVVLSLDDIVVYNTFFEKHLESLIVNSHSELKLVCSYVEQDMHALKVNTIVAYLYLSCTFDPGLLASILSVQERQVQPLRNESIDRAQQPEIWRSFVVQTGYLGNASDRDSVQNGYLNFQKVFCHESNFLGNPIHQGFTEAWNHLKIFTEEGAMNFPNRRLSSPSIHEYQTSKGDLGPRKKRSEPKPILHEPKVFLQSTSFPNQKHCKDHGLIVSAHHENVLKPRISKRKHIFTWLKNVLFKPLHEKKHEPKLLRPIDQFDFVHDEKLSDLALSILFLTVSHLGLIFKLINQLLAISLLV >A03g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1585156:1587343:-1 gene:A03g500550.1_BraROA transcript:A03g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGHMDVYASGALYEGLRGTKTFVLAAHSYALSAHLMFLCGVLDTVRLTSRSDCYRIGALGMPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVASQEVVRQMAAAQQVPPVQVQGHQQPPIQPVRPVQVQGQQQPPIQQVHEVDETLMQVMKQMKTVDLKTFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKGNERVEPADVVLKISSG >A01p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14846844:14847686:1 gene:A01p038960.1_BraROA transcript:A01p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKINHGQGYNIDVAEHHTTSSYLHLRLWYCFEEIVFVWLTQEAASDAASERHALQTSSSLVSISDTDLELSHFHLTQPQALSSPYLELSHLHLLDLSHLHLISRPRALSSLMQHELSLLL >A08p029440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18242568:18246503:-1 gene:A08p029440.1_BraROA transcript:A08p029440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35740) UniProtKB/Swiss-Prot;Acc:Q9FT72] MKKSPLPMQNVNRSDKNVAGKEALVKLLRWHFGHGDFRGKQLEAIQAVVSGRDCFCLMPTGGGKSICYQIPALAKPGIVLVVSPLIALMIILCLMKENQVMALKEKGIAAEYLSSTQATHVRNKIHEDLDSGKPSVRLLYVTPELIATKGFMLKLRKLHDRGLLNLIAIDEAHCISSWGHDFRPSYRQLSTLRDSLTDVPVLALTATAAPKVQKDVIDSLSLRNPLVLKSSFNRPNIFYEVRYKDLIDNAYTDLCNMLKSCGKICAIIYCLERTTCDDLSLHLTSTGISSAAYHAGLNSHLRSTVLDDWLSSKKQVIVATVAFGSWGHVINALMVFLQNDKKDVRMVCHFNVPKSMESFYQESGRAGRDQLPSRSVLYYGVDDRKKMEFLLRNSENKKSPSSSSKKPTSDFEQIVRYCEGSGCRRKKILESFGEEFPVQQCKKTCDACKHPNQVARSLEELTTTASRRHNSSRVFITSSSDNKTNEGQYSEFWNRNEDGSNSDEEISDSDDGADVVKSLAGPKLSRKLGVDEKLVLLERAEEKYNESNKQVKKSEKNAISETLRESSKQRLLNELTKVLQLLGVKEIDSQNASEFLESECYRKYSKAGKSFYYSQIASTVRWLGTASRDELMTRLSLMAREEEPSGEPILVTEPSQNIEQEDGTTYTAEPQVDEPTQLLVTSPSRSPISLPEIPSFSEFVNRRKMKHSTEGSDGKKPAKIMKLK >A01p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24591027:24592536:1 gene:A01p044040.1_BraROA transcript:A01p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSAAQILRPKLGFTVSLPRRSSIVSSSSSYSSSRPRYLGMETQSQPRQSISCASSPSNNVSPATSNESDRVGEVKRVTKETNVSVKINLDGTGVADSSTGIPFLDHMLDQLASHGLFDVHVRATGDVHIDDHHTNEDIALAIGTALLKALGERKGINRFGDFTAPLDEALIHVSLDLSGRPYLGYNLEIPTQRVGNYDTQLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATENDPRRGGTIPSSKGVLSRS >A07p036100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19458051:19459768:1 gene:A07p036100.1_BraROA transcript:A07p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G74420) TAIR;Acc:AT1G74420] MMTSSDLCLHSTLHTPPPTLFSSLATHFKLQPGFHLTSFSLSHHMKRGKKSSDAGEPVTNPDTRTGSSQADAVKPSLSSMKSMGLLLAVLLVASVMFSLSVVLRDPPSDDVVESVAASRVRQLRFHQANESDGGLSEKKDHLVPGFDEESCLSRYEASLYRKESPFKQSSYLESRFNRYQDLHRRCGPFTTFYNSTFDKLKLGDKSDGGVSGCSYVIWLNSDGELGSRMLSLASAFLYALLTDRVLLVEQGAEMADLFCEPFPYTSWFLPSEFPLNEQQSLLRHLVLDSSDQQKLESQALFNETPWLIMKADGYFVPSLFSISSFEQELEKLFPVKETVFYFLGQHLFHPTNVVWGLITRYYHAYLARADKRIGIHIEVSDTSNDQFQRLVEQILACGVRHELLPEVDKERHLPSSQVISRKSKAVFISSSSSPGYFESIRDVYWENPTVTGEILSVHRPSRKEYQKTQRNMESRREWTEIYLLSCSEVLMVTSPWSSLVEVAHGLGGLKPWVLNGTDHDSFCTRARSMEPCSQTPRSHGCKN >A09p066110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52583354:52584144:1 gene:A09p066110.1_BraROA transcript:A09p066110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNSLTISPRKLRSDLYSYSNQDNTKTPLVISVLSSLIDRTLTRNQRISRRASPASSTSGRSGKTQIFDCREIPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQLNPGFRISLANVHRLLITTIMIASKYVEDLNYRNSYFAKVGGLETEDLNKLELEFLFLMGFKLHVNVSVFESYCCHLEREVSFGGGYQIEKALRCAEEIKSRQMIVQDPKHHHHHHQFARVLL >A05p013120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5706388:5708383:-1 gene:A05p013120.1_BraROA transcript:A05p013120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINEAMLVKINVANQMQGQCKVDTYVIDRLKNLWGKLDEKTKREFLVVDSTSLIDYLHDNTYDKKMIEHISKCLCVDDELGWRWWKCRICPQVNYCFTDCKWHILDKHVHEFLPRNCSRPKRVDKFLADMICCGNWEPVDTSRAVELIKARVKGREEFIYVNVWCNDWPVAKDEERKEILRQFAEVLKSSCSNDTLPCSLWDWLIDYTEENVNLPHVPGCYLDRWSFFKNPQCICFLDLKSLKYILEYVKQFTTDVRTGLVLAVVDRLGAKSLVNERIDLERGGLNLLLDERLLYEGEHGFDDLGTVRTFKSTEIYEHVIPKGDEIVSWVLDCPEIDTNFVSQVAEGVHNLEIWLAVLRIVRSTARKEVSYYSKRDKLQTYANMLGEAEALCDKEDKWRNAYQRSRYALTFRSVCERRVTQDNATKCCFLNVVRDVLQGAESPRFEVLQDKEFMECISELSTTVQNDVIRRSMCRLRKWLNEKLVLIDSKILLNEWTYKKLLAFAKLSAIDNRLVVLPLVKMFLQSKLKSMIETPKRKITTADAGSSAPSAKKTKYSNEGWKRFF >A02p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4919979:4922595:-1 gene:A02p011390.1_BraROA transcript:A02p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDEVKQRSKLEEKHSDERREEEPQMDCPSHHPPPPPDELFDIDTTVDPSYVISLIRKLLPTDSGSEECDESTELRHMNAKNAVQARSGDGFVDAASKGDPERMDTGGNRNESISMEKDKASSSVEEAWEEHGCVLWDLAASETHAELMVQNLILQVLHANLLVSTSDRIREICLGIIGNLACHESLLKHVESTSGLVNTLVGQLFLDDTQCLTEVCRQEKSYLILTTGLYGPGCNFWAECLQSDDILRRILWIAENTLNPHLIDKSVGLLLAILEDQSEVGKLLIPPLMTLGLTSLLINLLSFEMSKLIKERIQERSSVLEMILRAIEALSASDTHSKEICSSKQLFHLVCDLMKLQDKAEVAACCVTAGVLIANILSETVSFIPEVSQDFSFLEGLFSTLPFASDDLEARRAIWSVIARLLARVNESEIDTFCLRQYVLVLLRNSDIIEDDLLDIQLEDSKEESLNSFPPQGKSSSRAIAIQQIESMLNNWNIRKEESMNGECSVNIDDVKRLYDCCQRYINCRSVEGS >A02p027510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13335715:13337649:-1 gene:A02p027510.1_BraROA transcript:A02p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALHHHNSLLTTYKTLRALTQIHASFIKSGVDTDSYFTGKLILQCSISIPNALPYARRLLLSYPHPDAFMFNTLVRGYSQSDNPQSSIPVFVEMMRKGRIFPDSFSFAFVVKAAASFRDLRTGFQLHCQALKHGLCSHVFVATTLIGLYGECGCVEFARKVFDEMPQRNLVAWNAAVTACFRGNDVVGAEEIFGEMNVRDQMSWNVMLAGYTKAGEVESAKRVFSDMPSKDDVSWSTMIVGFAHNGSFHEAFSCFRELRRGEMRPNEVSLTGVLSACSQSGAFEFGKALHGFVDKAGFSWIVSLNNALIDMYSRCGDVSLARLVFESMAEKKSIVSWTSMIAGLAMYGHGEEAIRLFNEMTESGVTPDKISFVSLLYACSHAGLIREGEDYFSKMKRVYNIEPEIEHYGCMVDLYGRSGKLQKAYSFICQMPVPPTAVVWRTLLGACSSHGDTELAEEVKKRLNELDPDNPGDLVLLSNVYATSGKWKDVASIRKSMIVNKIKKVTGWSLVEVDKAMYKFTAGEKKKGKIITEAHEKLKEIILRLKDEAGYAPEVANALYDIEEEEKEDQVSKHSEKLALAFALARCPQGASIRIVKNLRICRDCHTVMKLASRVYGVEIVVRDRSRFHSFKDGSCSCRDYW >A09g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19652195:19661959:-1 gene:A09g506670.1_BraROA transcript:A09g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPLCYKNKKKTIHFLHSPLFEPCRLRLGNLQTLTGTVVPGLRIIISGSGIIVSGSGIIVSGSGIIFSGSLIIFSGSLVILFGSLIIFSGSLIIFSGSLIIFSGYLIISVTLSTLKIGHKSLCNFLKLGNVDIFDRVLNLLMSLLLLVKLSSVYKNFRKHLLCYFRKAFQKSLKFFFSLFTQDRNKMGDSVPLRLALPELKYPIGSQPKEKSAINQYSGSEYISIVDSILKPDEMIRVRGSFLGPIMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGLKCSGALEGPRRETERFNWEFLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILSIQNTVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDNISIEDKYSDELETVKDVTKKGCKFTADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSINEKVNRIIEVMEENLKSMKDRMSLLEEENMHLRARVSELEGNNNVFPTNVTQQRSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEETMNESDDDTIALDTQKETETSTDERPSNPNQDGKPDDEIVREKLTNESPAAQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKTNDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEGTYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEDNKNALSETETAIQYFSLSEGEETQSSRKNQAEENLKDTTKHTTELVSTDVSKTQPLTQPLTQQTQHLQTSEGEQSGETPSEQNQAEEYLKDTREPNTELVSTDVSKTQPLTQQTQHLQTSEGEQSDETPLEQNQAEENFKDTTEPTTELVSTDVSKIPPITQQTEHLQTSAIDFSETNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFADQHRLPKLQDTITADKIRPATPTSDQKSFEMMDKVEVFYNNGWSSGQISMVLGDNTYSVCLYTSMETIIFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETRNENAAWFHNYKIPKACFLPMEFLYFLLSHDLAYKKEKVKGKKIFNDLFKDIVRGKKKRITVYDCFQKESNSIDIPQVKKLAVLISDLLVESSGDEVDKVKMIPFEVEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIIAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLQIESILLQKSLLDASRQLIDVLLIEIKDHLKVTCILPPIPNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWGNMSVDLYGANEQRRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVIKLEKLQRVTSYETLNNELHFMRRLPDKVKPIQIKQMNNLTIRQDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNENISPNISNTQPNTRNVCITEVINGIQEMVSRKCVGNIFG >A02g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17246350:17249688:-1 gene:A02g505900.1_BraROA transcript:A02g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIVFREVSIFIELPLLVCEKLGFVCVISLKLDLILKWLEEMRKEDEERKVYIKGRTKGERKEGDTKGSAKGGDANSKKEVNQAKMARYRHLVFPILEFLQEHQLYPDEQILKFKIELLNKTNMVDYAMDIHKSLHHTEDAPQDMEEAAALLVTFLLNPNAVQELRGDKKYNLQMLKERYQILFQLLLGCRSHDSGVSRLVSFGCLCCCFPFSDVRRRDASFFMMILSLSTKAHLFYLPFSSQMLEETLYVKNSHRHRNLIKYLKDYIDFISTKYPFWNRTSGADHFLAACHDWAPSETRKHFSKTIRALCNSDVKEGFVFGKDTSLPETYVRYPKKPLSNIGGKSASKRPTVAFFAGQPGHGYVRPILLSYWGEQQRS >A03p029330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12313943:12317426:-1 gene:A03p029330.1_BraROA transcript:A03p029330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein PCN [Source:Projected from Arabidopsis thaliana (AT4G07410) UniProtKB/Swiss-Prot;Acc:Q8RXU6] MLEYRCSSVDWKPSPVVALANSADDSQVAAAREDGSLEIWLVSPGAVGWHCQLTIHGDPNSRISSLAWCRAGSQGLPSGRLFSSSIDGSISEWDLFDLKQKVVLDSIGISIWQMAVSPTYLLSSDNRIEKEESESEEDSDSEEEFHHDHSDRLLAAACDDGSVRLYRISDFNKLTYYRSLPRVSGRALSVTWSPDAQRIFSGSSDGLIRCWDVNTCQEVYRITVGLGGQGSSSEICVWSLLSLRCAVLVSGDSTGSVQFWDSQHGTLLESHSNHKGDVNSLAAAPSHNRVFSAGSDGQVILYKLSGSTNSSQDLKPSSSQKWDYIGYVMAHTHDIRALTVAVPISREDPFPEDTLPDKSGARKHRKKGKPVDFTYHKWAHLGVPMLISAGDDAKLFAYSIQEFTKFSPHDICPAPQRVPMQMVHKSVFNQTSLLLVQGISDLDILRLNLSTDSSGRASTKPLVRVKSRDSRKIICSAISNTGSLFAYSDQIGLSLFELKKNEIAKSPWSVSRRRLPTLPFAHSMIFSSDCSRLILAGHDRRIYAIDVSNMELVYIFTPCREEHEGESPPMEPPITKLYTSSDDQWLAAINCFGDIYVFNLETQRQHWFISRLDGASVTAAGFHPWNNNALVISTSSNQVFAFDVEARQLGKWSLLHTNVLPKRYQEFPGEVIGLSFSPSPNSSSVIVYSSRANCLIDFGKPVEEDEENDLPNGNLSKSLEGKLVNMGLKLGKGTNRKRRLEEYQLEGKSKERKNFEILPSKHPVLFVGHLSKNSIMVIEKPWIEVVKSLETQPVDRHIFGT >A03p062020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26939735:26941941:1 gene:A03p062020.1_BraROA transcript:A03p062020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVVKFGGFEVAPAPFEGKPETNGKVVDKVAIKDADNPPKKADESPNNNALISDVPKDAAEEWPAAKQIRSFYFVKYRHFDDPKIKAKLDVADKELEKLSKARSAVYEQLKAKRAERSELFDLLDPLKTERQGYNTKFDEKRKEMEPLQQALGKLRGNDGGSARGPAICSSEEELNNMIYSYQYRIQHESIPLTEEKQLLKEIRLLEGTRDKVIANEAVRAKIKESMGQKDDIQGQVKLMGAGLDGVKKERQAISARINQLSEKVKAAKDEIQVLENELKTVTEKRDKAYSNIRELRKQRDENNSGFYQGRVVLNKARDLAAQKNVEELEALSNAEVEKFISLWCSKKNFREDYEKRLLPSLDARQLSRDGRMRNPEEKPLIAPEAPSQAKAVTEVVPKAKAKQQQPKEEPVSAPKPEAPAVQKTEKKGKDAAKVKNVVVADEEEDEEVYGLGKPQEEKKKVDEAALREMRKQEEIAKAKLAMERKKKQAEKAAAKAAKRALMEAEKKEKKEREKKAKKSGIEAVSEEVPEASEAEKEETEAPVEEKPQKEKPVRNRIRTRGGPETLPRAILKRKKATNYWIYAAPAALVVLMLLVLGYYYVL >A08g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2625266:2626503:-1 gene:A08g501070.1_BraROA transcript:A08g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKNTEVLIVLAHFDWSVYYPKRLVEFTLCLCLLRLSLIDALTSAARFDKVYLTVALPDAKDISVKCEPQGLFTFSALGAQGKLFEFSLELYGKVVPEERYKLEPYIKVDWNKWCDEDEEFVDEDCESSDDDGLLKFQQP >A09g517100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50271922:50278590:-1 gene:A09g517100.1_BraROA transcript:A09g517100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g510230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30239400:30243282:-1 gene:A09g510230.1_BraROA transcript:A09g510230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQVASCLLSAKLCYELNPQVKQPQLVFNPPPAASHVQNPAEKPREFQSEVQPQAYVGEEDQLRPSSPLVCLGKLWSPSLNKVWSFASPILSIQSLGPFSFVQEELKSCPSQFQDCSLGHEDTMMGYLPGDRVLHDHAVSDCPYRTFDNDGDANSLVSVNLRRETLKSFGRKERPTSGSILLSLQRSYDQTPVHMPLSLDPYFMDIEVDVVHDSPVHGDHPAAPASPAANFPPAPAAPIPAAQPGPAPTDPAIIALLELMAEMVNLQHQALNAQLEFHRLADLVERAVNVEEAIVAERASSSHSAQPRRPSVQSQPQPHSPMPRGRGGEIFRPWDSSNRLYHVRRDCPTVGQFQPAVPSHITCFTCGERGRYATSCPHTHLAQPVVTSAQPVVPVNPPLPLPPAKHQATACRAYALELPGPSGPPQGPISGLFS >A09p060990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50402538:50403969:1 gene:A09p060990.1_BraROA transcript:A09p060990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIKALKKRKRSAEKKADPNVLLAAASAATDSLPNDNNDDDDTSQPSDWWDGFSRRISGPYSGSTDPKTFESVFKVSRKTFDYICSLVKDDFTAKPANFSDSNGKPLTLNDRVAVALRRLGSGESLSVIGESFGMNQSTVSQITWRFVESMEERALHHLSWPSKLDDVKSKFEKISGLPNCCGAIDITHVMMNLPNVELSNKVWLDGEKNFSMVLQAVVDPEMRFLDVIAGWPGSLSDDVVLKNSGFFKLVEKGKRLHGGKIQLSERTELREYIVGDSGFPLLPWLLTPYQGKPMSLPQTEFNKRHSETRKPAQMALSRLKDKWRIINGVMWMPDRNRLPRIIFVCCLLHNILIDMDDQTLDDRLLSPQHDVNYRQRSCKIVDEASSVLRDELSNQLWGENSSA >A06g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21265015:21266015:1 gene:A06g507620.1_BraROA transcript:A06g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRMSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLCRRSRSAAPSSAPPPYVDPEVLTAQLKDKDNRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13378478:13379674:1 gene:A08p019700.1_BraROA transcript:A08p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLFCYFKNVFNLSFELDLQQPVFYSNHCLRIVLFLSFDVILVCNTFFEKHSEPLISDSQSELTLLCSGFEKDRHVLKMFNIILCLDTILVCNIYFDVDFERLKRVLHVLGKETLIFYLSKYMSCTYDDPGILVSVLSVQDKHVQSQRNVRNKSIDHAYQPEHQNSKEVYFQNFPSLNFTCSLNSFCLIHFPLIQVKWIQGQIIFKREGMMCPSIVYRAKQICMA >A06p014720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6577694:6579367:1 gene:A06p014720.1_BraROA transcript:A06p014720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMRKKAEELVEKAMKGNDASHDASHVWRVRDLAMSLAREEGLSSNSDSMEIVELAALLHDIGDYKYIRDPSEAKLVENFLDEEGVEETKKVKILKIINGMGFKDELSGQTLCESLPEFGVVQDADRLDAIGAIGIARCFTFGGSRNRVLHDPEIKPRTELTKEQYMKKEEQTTINHFHEKLLKLKKLMKTEAGKRRAEKRHEFMEEFLKEFYQEWDGRA >A02g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21073822:21074255:-1 gene:A02g507590.1_BraROA transcript:A02g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRRENSARKLCFCRLDAEIRQAWTDKNPGRRFYGCPRYKEKNGCSYFKWFDVEDARDEIQEKSRVIEQLNQTIAELTINLERIQQEEEIVRDFQNLYV >A04p025550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15476533:15479659:1 gene:A04p025550.1_BraROA transcript:A04p025550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKEEEEKKLEPMFPRLHVNDADKGGGPRAPPRNKMALYEHLTIPSHRFTDHHSSSPRHTNTLFPPPPPVPSNQPCGVERNLTSQHLDSSASGHVTQMSSMENVTTLAHRRGDQRKTLREEDDFAVPVYDNDSSTRFQSPGRRNGEKRTTLFGDQAKGSSSSKRHGMDLEKSASGCERVNASFLLRQESTSSRLELDQDGDETGVMETDDGVESHGDPNDVDNDDVSDDSISSVDVSPDEVVGVIGQKRFWRARKAIANQQRIFAVQLFELHRLIKVQRLIASSSDVLLDEISYLGNVPVKKLLPSEFIVKPPPLPHATKHRRGDPEKTDQDKMECSAENVVGKLSNQGQQHQPSNYMPFASNPPTAVNGCYYPPPPSGGNQQWLIPVMSPSEGLIYKPHPGPGPPVCGGYYGHFMPAPMMMGSFMGGGPPPFHPGVGNGYFPPYGMMNPYGSGHQQQQQPSEQMNQFVHPVNTQQQSSVNEAISQQQPTKSYPRARKSRQRSTGSSPRGPEGISDTNSFRPFSVVDDDDNNEPEQMMTTTTTTTRTTVTQTTRDGGAVTRVIKVVPHNAKLASENAARIFRSIQEERKHYDSFSNHS >A03p066880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28581417:28582724:1 gene:A03p066880.1_BraROA transcript:A03p066880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLTPDLLLVAGNADSFVVDDLLDFSNDNGQPDDGFESFPDSSAISVGNLADCSNSSSLYTDGSAFSDDLCVPCEDLAELEWLSNFVEESFSKEDQDKLQLLSGSLQKPQTTGLTQTHQTKPEPEQELDQIFILTDTDDSNVSVPAKARSKRSRSAASTWASRLLAIAGSDEPFPKKKHHKVKEHDSSGEYEVDGGEAGVERRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVMARHSNSHRKVMELRRQKEVRDEHLLSQLRCENLLMDIRSNGDDFLIRNDNNHVAPDFRHLI >A05g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8628829:8631851:-1 gene:A05g502850.1_BraROA transcript:A05g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFVRSGFDMQIFQIWKTSETTYMKSSRRLHGSLLRKSSFHNRSECFVLSHVFLTNLFFYRFLIKWYSSSTHLKSFECFWICRRLLGSLPDYFYFSRLDFHFSRLDFLEVVWTSWKLSGLPRSRLDFLETTYNSVVRQTTYLRLNFQSSKKTYFKVNCKNNLCVDQMTSRLLQEHIILNESDPPRIVSFFDSMNHKKCRIKILGFFSLMWREKWRKKKGKSILGALRASNWLFMVVRVLMTMTIL >A09g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3437035:3440080:1 gene:A09g500980.1_BraROA transcript:A09g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLTNLKNKFEINLSPSSFHRFFFSSLCRRRRLSVVVSPSLSSSSFRRRRRRLRLSVDPSSSSLRLFIVVVVSQLICRFYVYSSHSLSRVTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A07p025800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14807308:14808882:1 gene:A07p025800.1_BraROA transcript:A07p025800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTDEEVKNDLKDQLVQRSESDDHAEFKGASFTGAVLNLATTIIGAGIMALPATMKILGLLPGIVMIVLMAFLTDKTIEILLRFSGIGNASSYGALMQDSFGRPGRIVLQVAVLVSNIGVLIVYMIIIGDAFEDMLKERLGESWWDQRTIVLLFTTCVFAPFTACKRIDALRFASATSLALAVLFLVITGGIVVTKFLSGGLMKPKLLPSFTDLSSVLKLFTVVPVLVNAFICHSNVHNIQNELQDSTQIKPVVRSSLIMSSSVYIVTSLFGYLLFGEYTHEDVLKNFDTHLKIPYGPVLSDVVRVSYAAHLMLVFPIIFYPLRVNVDGLFFPTAPSLTTSNLRFRSITAGLIAVIFVGANFIASIWDAFQLIGATASVCIGFIFPAAVILKDRHNRATKMDKTIAIFVIVLAVFSNAIAMYSDVCALLNKYKSTFPM >A02p044160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27810435:27818333:1 gene:A02p044160.1_BraROA transcript:A02p044160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVCSGRIHATNNEFQRYGPKGFMEIKILQNDNLYVRVDLAGVPDDGVRHRVDSVRRKVVFFSGEETLGGDGDKVDKDVREYSGTAGLGCDCCEITGVDAKMKDGVLRMLVFLLSNTRRIHATNNEFQRYGPKGFMEIKILENDNLYVRVDMPGVPDDGVHHKVDSMRQKVVYFSGEETLGGEGDKVDDDVREYSGTAGLGCNCCEITGVDAKMKDGVLRMIVSRVKVKDHDNKCTHFLPPNTGKFGRYNPDESPWNLAELEDHPFVVKGRKDSSTSEGRLFSLDLPGVCGDDMLVLPNENEVKFYGENKEVCEHDESCRIFMGAVKGSSFCAPGVPLLSHDIAWDAEFGVLKVRVSPPGSNISSE >A05p054910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32462074:32463601:1 gene:A05p054910.1_BraROA transcript:A05p054910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 83A1 [Source:Projected from Arabidopsis thaliana (AT3G02100) UniProtKB/Swiss-Prot;Acc:Q9SGA8] MGRPHVMVIPYPAQGHVLPLMSFSRYLASQGIQVTFVNTEFNHNRIINSLSKSSRDDHVVDGIKLVSIPDGLDDSPEERNVPGMLSESVLHFMPTKVEELIERMISETSGAVISCFVADQSLGWAMEVAAKFGIRRAAFCPAAAASMVLGFSIQKLVYDGLIDYDGTPNVNKTIQLSPGMPEMETDKLVWVCLKNKDSQRNIFQLMLQNNKSIESTDWLLCNSVFELEAAAFEQSPKILPIGPIGLAHHSLEEGSMSLGSFLPEDRDCLDWLDRQIPGSVIYIAFGSYGVMGKVQLEELAIGIELTKRPVLWVTSGGDQPPMRVGSGQVIVVKWAPQREVLLHRAIGCFVSHCGWNSTLEGVQSGIPFLCIPYFADQFINKTYICNVWKIGLGFEQDERGIISRLEVKRKIDEIMRDNGEFKKRAIKIKEIVMKNVVKDGISYENLNKFVNWIKTEVN >A07p051850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27460466:27461944:1 gene:A07p051850.1_BraROA transcript:A07p051850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDREFRSVLVPAGNKLQQKPLSKPVKKTDKSKDLTFTASPAKKSSSPLSPSILRRNGVSMNASYSSEASSSCESSPLSMASASSGKRRSGSNSSSTLRRNMTEERDDCFSDGRRRCAWITPKSDQCYIAFHDEEWGVPVHDDKKLFELLSLSGALAELSWKDILSKRHLFRQVFLDFDPIAISELTNKKRTSPEIATTSLLSEQKLRSILENANQVGKIIVEFGSFDKYIWNFVNQKPTQSQFRYPRQVPVKTSKAELISKDLVRRGFRSVSPTVIYSFMQTAGLTNDHLTSCFRHQECISKDETS >A02p045050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28323725:28324849:1 gene:A02p045050.1_BraROA transcript:A02p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDLPKELVEEILSKIPVTCMRSVRLTCKKWNDLSKTRSFVEKHIGEETSRKSSVIMTMNQKVYLLGVSFSGIHNKFDTSIKHKGTLIIRNNNMDSEPLVLKVFHGDGLFLFVMVKRVVVWNPYLGQVKWIQARDSYYQDDFAMGYAKKDKSHSHKIFRISNVNSIPYEIYDFKSDSWKVLGITPLEEDVFLCYDALSLKGNSYWVAREKIETRNKKFLICFDFTEERLGPRLSFPFDSYFEDIVILSSFGEEQIAVLFKKWGKFEMKIWVTSKINPTTVSWSKFLEVDMRPFITGCNHVFTQSRGFFIDEEKKVVVVFGRHEFDDTRKIAYIIGEDGYFRKVDLGEDTNIFSHQHVRSYVPSSVHINHPFC >A05g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17612169:17613712:-1 gene:A05g506160.1_BraROA transcript:A05g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRRLEWMLIPDGNKTPPITILETPDVQVMMAVRAWFADLTLCVTIGAEDVARYQFFYRADFNIGSSSYKFENGNEDPLFEGFLGEAVIARAVLDEYFNDQEMMVIHRVHLEMEKAKLNVENQRCYKLVDGNEIIVIDDTDSDIDGTEPLGSNKLSITVFNTYSPMEYYEGIPPSSPVIDDVISFWEGVIASENDNHVKDEEHSDEMDLDIPMSQNISQLQQNGTTNVDDANSSNDSTPYLDEVNNVVLSWKNVSENLSNTQPIYDNPVISEDTYYCVLDDTLVI >A03p061410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26689137:26693980:-1 gene:A03p061410.1_BraROA transcript:A03p061410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQCVDGFKHVCNSLFRCFDLEIYKQSGGLGDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGRIDKEEWRILVLRHPSLLKNMTLQYLKWKIPENSIADQTVWQLRAFSARESEETDRKTKTKNLERKKTMMAMEIAQFFVGSSIRLRNGVSRGRLSSISAVHHRPRRMFIQLAGLGSVLTLVNLPGLAAPVPEMKEPEVVSVQDVEAPKWRQDSSMVSDVMEVLKIFAEIIEGEGREAHEGDLVELNYVCRRANGYYVHSTVDQFSGESAPVKLFLDGNDVIEGLKEVLVGMKAGGKRRALIPPSVGYINETLKPIPEEFGPRRSLLSHANEPLVFEVQLLKVLLSSPPGGGSTSADQQQQPEQQKSGSSLLADWNSYAAARDVEEGGGGSGSFGFDIESAVRSANDTVSGTFSVVSKGVRDIPGNLSSATSSMPSGKALMYFGLLLASGVFFIFIAFTMFLPVMVLMPQKFAICFTLGCGFIIGSFFALRGPQNQLAHMSSMERLPLTIGFIATMVGTIYVSMVLHSYILSVVFSVLQVLALVYYCISYFPGGSSGMRFLSSALTSSVLRVFGR >A07p050280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26805045:26806885:1 gene:A07p050280.1_BraROA transcript:A07p050280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEDVLAKYINLPDQERENAIVFPDRSKRQGIQNKEYLLRILEQFKTENDMAFQINEPRHEAIHSDVEELDKELCRLQQQLQISEEELRKFEPDPVRFTSMEEMGACENHLLSTLTRVVQRREHLLSRSCEAPSTQKSMENIEGWEPEAEFKQARIYNSELSQCIKSVKILKSELFV >A01p016490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8170688:8172755:1 gene:A01p016490.1_BraROA transcript:A01p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRFRLRMAEEISKTKLGSSSASASVSGSSAASAATNAAKSRWKILWPNSLRWIPTSTDNIIAAENRLLSILKTPYVQEQVNIGSGPPGSKVRWFRSSSDESRYINTVTFDAKEGSPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKSKNLSNFILLGHSFGGYVAAKYTLKHPEHVQHLVLVGSAGFSAESDAKSEWLTKFRATWKGALLNHLWESNFTPQKLIRGLGPWGPGLVNRYTSARFGAHSVGTVLTDEESRLLTGKYFVFLSSTEAMDDDEAYLISNGLFCVVDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKVPTTFIYGMNDWMNYQGAVEARKHMKVPCEIIRVPQGGHFVFIDNPAGFHSAVMYACRKYISQDSSHQELIPDGFQLV >A08g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6772799:6774974:-1 gene:A08g504170.1_BraROA transcript:A08g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFFENDIFTLSPSSSSSNYKIAIVINTLTTMNNQFEALNAPKIDLLFFFLHSYELNTTSLSLSLHIKAKKTQDFDSTFFIIHKVKEANNYGSVRPDNLQAVDDLPRSRLVNADVIFAIDFEICFLRRLKVKSSDFPDDLQFSHPPDDLHVSRPRFYSEILVKPRSPDDFQEVQTTSRKS >A10p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1424808:1429244:1 gene:A10p002760.1_BraROA transcript:A10p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEAMVAQGYTSAPYGDYNTSAAATTVESTGKENASLVNGTGPEGGSSAPAENGKASNEVAVTAPGAEHGDNAVSTLSPEEERLWSIVRANSLEFNAWTALIEETERIAQDNIAKIQKVYDTFLAEFPLCYGYWKKYADHEARVGAMDKVVEVYERAVQGVTYSVDIWVHYCTFAINTYGDPDTIRRLFERALVYVGTDFLSSPLWDKYIEYECMQQDWSRVTMIYTKILENPIQNLDRYFANFKELAETRPLSELRSAEESAAVAAASDASKAAPSESDGKADEEKSQADGSSEQSPKLETAGSTDPEELKKYIAIREAMYIKAKEFESKIIGFEMAIRRPYFHVRPLNAAELENWHNYLDFIEKDGDFNKVVKLYERCLVACANYPEYWIRYVLSMETSGSMDLADNALARATQVFVKRQPEIHLFAARLKEQNGDIAGARAAFQLVHSEISPGLVEAVIKHANMEQRQGNLEDAFALYEQVIALEKGKENSTVLPLLYAQYSTFSYLVSGDAEKARKILVEALDHVQPSKPLLETVLHFESFLPAPRQVDYLAPFVEKVINPNSDAQNVASSTEREELSLIYIEFLGLFGDVKTIKKAEDQHAKLFRRSTSELRKRSADDFLSSDRTKMAKTYNGTPPAQPASAQSQWSGGYAAQPQTWPQAQAAPAQPQQWNPAYGQQAAYGAYPAGYTAPQAPVPQAAAYGAYPAQAYPAQSYAPPVAGAAPVQQPTAAAPQAYYNTYY >A09p060440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50120843:50122184:1 gene:A09p060440.1_BraROA transcript:A09p060440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQSFPEDIDCGNFFDNMDDILDFPGCDMDVGFNIGDSDSFPNIWTNHQDTWPAASDPLFSSNTNSDSSPELYVPFEDIVKVERPASFVEESLVAKKEDSFSTNTDSSSSHSQFRSSSPVSVLESSSSSSQTTNATSLVLSGKHGRPRTKRPRPPVQEMDRVNVCGADSRLIVRIPKQFLSDHSKMITKKKKKKAKVTSSYSSSGIDLETNGSNNNNNVDSYDSEQHPVRKCMHCEVTKTPQWRLGPMGPKTLCNACGVRYKSGRLFPEYRPANSPTFTPALHSNSHKKVAEMRSKRCSGGSYTNEESNLQDLIPNNAYIGVD >A02p059050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35319366:35320808:1 gene:A02p059050.1_BraROA transcript:A02p059050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPPGFRFFPTDEELVVHFLHRKASLLPCHPDVIPDLDLYPYDPWDLPGKALQEGRQWYFYSRKTQERVTSNGYWGSVGIDEPIFTSSTHKKVGIKKYLTFYLGDSQTNWVMQEYSLPDSSSRSSKRSNRGSTSSTHKPDYSKWVICRVYEQNCSDEEDDDGAELSCLDEVFLSLDDLDEVSLP >A09p042460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22000483:22001237:1 gene:A09p042460.1_BraROA transcript:A09p042460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYRAWKQELSRIVFLLQCPLGFTADLGFLDFTLFPTGISSFVTCLSMASSYPSVWCLTYLGFDPFSSMRSTRGRTIDRCASSAVDRHQEMPRQMKINIDRCTQVPSIDVETLDKRHFGSSGLEAQVQAKLRKCPNEFLT >A09p081900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59483805:59484814:1 gene:A09p081900.1_BraROA transcript:A09p081900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFFLRQRQVSQSPSRIGSSLTKCTLIDSLAHLSAEALCDFSDHRFFIGSSNGKLPPFWPITVVTMTNCLGNKGKSGPLLTVRCKSKEDDLGVHKLAVDKNYHFKFQTNIWRSTLFFCSFKWNKQVKRFDIFDGLRDIDECHYQCNWTIKADGACRLGEKIACFPWK >A07g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20889807:20892145:-1 gene:A07g507620.1_BraROA transcript:A07g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRPLDLHLDFKPQTFSTDLRLRLKARTSLPIFFCTEALFSPSRSFYLFSAMAKPMAIEVYNPNGKYRVVSTKPMPGTRWINLLVDQGCRVEICHLKKTILSVEDIIDLIGNKCDGLTEDWGETLFSALSKAGGKAFSNMAVGYNNVDVEAANKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADGFMRAGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLREADLISLHPVLDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEVALVDHLRENPMFRVGLDVFEEEPFMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVLGRIKGYPIWSDPNRVDPFLNENSSPPNASPSIVNSKALGLPVSKL >A06p046530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24886817:24888545:-1 gene:A06p046530.1_BraROA transcript:A06p046530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGGVSIARSAIRGENRFYNPPPMRRMQQQLREKQQREEEGGMLVDKELSSASMPPPRLRKSQARNKNRVVVSGSEVSAGSSESSGSGRVQSEGSNLDRFLEHTTPLVHPRLLPIGNKCELKPECNTYFVLEDLWESFAEWSAYGAGVPLHMHGSDSTVQYYVPYLSGIQLYVDPLKKARNPVEDNDVSSEGSSSKLDKLPNHGKKLNRVSLGDQSITGSLSSGETEISNPHGRLLFEYLEYDPPFGREPLANKVSDLASKFPELMTYRSCDLSPSSWVSVSWYPIYRIPVGPTLQNLDACFLTFHSLSTPPPQSSVGCSDTKPSMKLPLPTFGLASYKLKLSVWNQNRGQESQKLSSLQQAADKWLKRLQVDHPDYMFFTSNGHK >A03p011780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4705176:4709533:-1 gene:A03p011780.1_BraROA transcript:A03p011780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGEKTCPLCAEEMDLTDQQLKPCKCGYQICVWCWHHIVDMAEKDQIEGRCPACRTPYDKEKIVGMTVDCDSLAFETNMERKKTQKSKSKPSDGRKQLTSVRVIQRNLVYIVGLPLNLADEDLLYHKEYFGQYGKVLKVSMSRTSSGAIQQFPNDTCSVYITYAKEEEAVRCIQAVHGFTLDGKSLKACFGTTKYCHAWLRNAACVNPDCLYLHEVGSQEDSFTKDEAISAHTRSRNAASVARYSPPSGSGSSSKSTALPAAASWGTHIANQPSLATSVTPNGSSDIQRTTSVNGTLAFSAVVANAAHGPVTSSNILKRPSGKEENQKAVDKSKLRGLKPLQHNVAVDSGTKRATSPDRDSPSNRLSSSTDSSYDGKDVVQPSAAVNSSDDSEEGVEDDPTVANLSLGVSQMEITTDSRDDRLDIAIGSKCDQGSNRQPDHEISKLPHLEQCKMDSSTNIDKKAIPSGVPCTRPEWDWRSDLQSQMQVSSKLDAEDNISSFESQRHYREESVTHSRFLSSSSSSILDSNHLASRASLPCELLGVNSSNLRFPSDRGSDRLHLQNGFGEKSMFNVDHSLFANEGRNKDSSAEDEVISNILSLDFDPWDETLTSPQNLAELLGRVDQRSSPLKPSNLLKQQNSQSRFSFAQESSNQAFDRDNHSIYGQFSREQPIPESVVSRDIYRDNLGNLNGFASNYSGGLEHVTASPLFSSYKTPVTRPQVSAPPGFSAPSRLPPPGFSSHERMGLSSDTAPGTRFLDSAAMLRNAYQVPPPVGNSIGASDIEFADPAILAVGRGMINADLDMSSGFSSQMNSFGNETGLQMLRQQSLSAAQQQVNGFHHDLRNSSPSPIDPYRFNSRLMDQQAQGSSLSLFSQHPRQQQPSANQALSNGHWDKWNEGQNLNSLGMAELLRNERLGFNGSLYNNGYEDPKFRIPSPGDVYNRTYGM >A06p015410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6916966:6919941:-1 gene:A06p015410.1_BraROA transcript:A06p015410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERIVFFTSLLFFIIFPSLRFAGITTESPLSIGQTLSSSNGVYELGFFSPNNSQNQYVGIWYKGIIPRVVVWVANREKPVTDSTANLAINSNGTLLLLNGKHGVVWSIGETSASNGSRAELLDTGNLIVMENVSGRTVWESLAHLSDTMLPLSSMMYNLATGEKRVLTSWKSYTDPSPGDFVLEITPQVPSQVYTMRGSTRYWRSGPWTKTRYAGIPAMDVTYASPFSFQQDANGSGSFTYIETRLRSRIILTSDGSVRIYWHSGSDWILKYDALLTSCDIYGECGPFGLCVPPKCKCLKGFVPKYTEEWQRGNWTGGCVRRTELHCQGSSTGKDVNVFHPIANTKPPDSYELASSATPILFTEDCHQFCLDNCSCLAFSFINGIGCLVWSQELIDVVKFSAGGELLSIRLARSELGGYNRKSIVAASVASLFLFIVLGSSAFGFWRHRVKHKANKSNNASQYAWRNDLEPQDVEGLNFFEMNTIETATNNFSLSNKLGQGGFGSVYKGKLQDGTEIAVKRLSSSSGQGKEEFMNEIVLISKLQHKNLVRILGCCIEGEESLLIYEFLLNKSLDTFLFDSRKRLEIDWLKRFNIIQGIARGLLYLHRDSRLKVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQENTRRVAWESWCETGGVDLLDKDVAESCQPLEVEICVQIGLLCVQHQPSDRPNTLELLSMLTTTSDLPSPKEPTFVIHAREEESLSKGLITDNEISQTVILGR >A10g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15071373:15072952:-1 gene:A10g505860.1_BraROA transcript:A10g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLCNLGKLFTLKASPSPLFRHSIIELALPKTSLTLTFSPSLTDSDLKNLGPVQFLMKLNQACEASFKQCLGQILKEQGDNDEIACVIYDEYMSLSKAAAKEFQLPSVVFSTTSATAFLCRSVIAKVNADKFLIDMKDLELQDKLFPGLHPLRYKDLPTSAFGPIESIPRVYSETVNTGTASAVIINTANCLESSSLARLQRQLQVPVYPIGPLHIAAFAPSSLIEEDRSCIEWLNMQKPTSVIYISLGSLALMEAKDMLEMAWGLSNSNQHFLWVIRPGSIPASEWTESLPEEFTKLVSERGYIVKWAPQMEVLKHPAVGGFWSHCGWNSTLESIGEGVPMICRPFTGDQKVNARYLENVWRIGVQLEGELEKEVVERAVKRLLVDEEGAYMRKRAIELKEKLESSVRSGGSSCSSLDDFINSLKMKSSI >A03p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15307872:15308757:-1 gene:A03p036520.1_BraROA transcript:A03p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LERLALRQCPTSRKNTTGSYHNSQNKGYVTIFSPSINKSHAAPKKDKIIIKRLIESVSMASYKLTVLLLLSFIFLFLSGHVLSDIDEEDVLFKGINSYRASQNLTTLNNNENAECLADEIADQLKNNPCTNDTGSATVPGTEPQFADYPNILAKCRLSVSDTRDGLIMPACVPFLESSPSLVLTNFTKSQYSLSLNDSKFTGMGIGKEDDWIVVVLTTNTPQGSFSTATKVDSNGFIFAIGFFHHVFVFILSFCFFLC >A08g500730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1905358:1906668:1 gene:A08g500730.1_BraROA transcript:A08g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGAGEAVSRRRWSPLPVLLVFLTLCFMFRFFTEVPVRFSLTFGRRRSRRSPRRRRALWSSFVVFPVTLSLRLVSASAMSREGSLTTALCRFTATLSVEPTSGCRRTCHAVDSVQAYQPPKELSYQPTNSLFPSPGRPELDIPLWFAPSKSYDTRSVSFTRVNPSFIGSSSFSPPLKVKLLSPAWILSSDCTVHLRRILSGVLSSGTEVQCGSGASLSTPTARIDLPAQFVWAWPIWTTKLNSPNLILPKPRFFEGMIRPLLVFDGWVSLSDISGEYTENSSCCIMPYLSCGMILLVGSPGLVSISPSFSSEKCPLSPSLLSVKGDVFLVSLPSISFSFFTSLLSCGAVCTGPEDAIKITHVFLVGESWLSTSLVTISQLPDFVVKALSTQSSFVLISLSSSHEELSILTYFVRVVYVFILRGWLIPSVSCNRTS >A09p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5301748:5307818:1 gene:A09p010340.1_BraROA transcript:A09p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSGSRTIINKIRGEARGNRVRFPRELRAKEHLPRGGRDTPHVLCATYLKSSSINAIIYSQGNSNHPDSSMLRLNANYPFFPKKPHRVCNRKLGTYYDSSSIFKHGGVGDDADKKKLFLRVSVKAMKEEGNGSGSMSFSGQSWDPSSEIQVPSEQRPVNEYSSLKEGMLYSWGELAPSQFFLRLGGLWLVTFTVLGVPIAAASFNPSREPLRFVIAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKMLKQTLVGTGALLVSAFVLFVFATPVEDFFKTTLGSNDEVSISRINNNRFNMRKEQLLRLPVDVVTNDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLRVIESPHSLSRLYINSLASSHFPHNLQNMSSSFLSSTASFFIFLLYLSSFHVSDGAQQATYIVHMARSQMPSSFDHHSLWYDSSLRSVSESAEMLYTYNNAIHGFATRLTPEEADSLMTQPGVISVQPEQQYELHTTRTPLFLGLDVNNAGLFPETGAASDVVIGVLDSGVWPESKSFSDEGYGPIPPTWKGGCDAGTRFTTSLCNRKLIGARFFVRGYEAINGPVDESKESKSPRDDDGHGTHTASTAAGSVVEGANLLGFANGTARGIAYRARVAAYKVCWKTGCFSSDILAGMDKAIEDNVNVLSISLSGPRTGYTDATAVGAFAAMESGIFVSCSAGNNGPFSFSVANVAPWITTVGAGTLDRDFPALVILGNGNKYTGVSLFKGDALPSKLLPFVYAGNASNNATYGNFCFPGTLIPEKVKGKIVMCEKGGDRVEKGEVVKAAGGLGMILANTDYEGEELTANAFLLPATTVGEKASNTIRNYAFTDPNPTASIVIQGTVVNVKPSPVLAAFSSRGPNPITPNILKPDLIAPGVNILAAWTGAVGPSGLASDTRRVEFNIISGTSMSCPHVSGLAALLKSVHPEWSPAAIRSALMTTAYSTYKDGQPLLDIATVKPSTPFGHGAGHVSPAMAISPGLIYDLTTEDYIDFLCALKYNQSQIIKVSRGDYTCDPSKTYSVADLNYPSFAVNVDKSDTYKYTRTVTNVGGAGSYSVKVISETTEVKISVEPAVLTFKEVNEKKSYEVTFTVNSSKPPRFSSFRPSGFNSFGSIEWSDGKHVVASPVAISWT >A09p072820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55735056:55735670:1 gene:A09p072820.1_BraROA transcript:A09p072820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDDHLMCDVEGNHLTAAAILGQDGSVWAQSAAFPQLKTEEINGITKDFEEPGFLAPTGLFLGGAKYMVIQGESGAVIRGKKGPGGVTIKKTTQALVIGIYEEPMTGGQCNLVVERLGDYLIESDL >A09p003690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2147680:2148662:1 gene:A09p003690.1_BraROA transcript:A09p003690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSLLLFFSVLFTLIFSLVPVNSDIEVEKPPQPLHPVAPVGKPSAPPQPAPKVKPPSPPTTPLPPPVMPKDCPKLCDVRCGSHWRPKVCIRACRTCCLRCKCVPPGTYGNREKCGRCYTNMTTHGGRPKCP >A07p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25130120:25133113:-1 gene:A07p046620.1_BraROA transcript:A07p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYPPSSWFLHPELHHVVSKMSYLDSFLFYIVHLVDKLGLWHRFPVLLGVVYLGIRRHLHQRYNLIHVGRINGQRYDTDEFSYRTADGKCNHPSDDSVGSQGTFIGRNMPPCTSQYGILDPHPSVVATKLLARKRFIDNGDQFNVIACSWIQFMIHDWVDHLEDTHQIELKAPEEVASGCPLKSFKFFRTKKELSGDHHKSGSVNTRTPWWDGSVIYGNDEAGMRREKYPDFDDEKLYRTARLVTSAVIAKVHTIDWTIELLKTDTLTAGMRINWYGFLGKKVKDTIGARFGPILSGLVGLKKPKEHGVPYSLTEEFVSVYRMHCLLPDTLILRDMSPEKVDKANPKIEREVPMTELIGKESGKKGSKIGFEQLLVSMGHQSCGALTLWNYPNWMRSLVAQDIDGEDRPDLIDMAALEIYRDRERGVPRYNEFRKNLLMSPIKKWEDLTDDKEAIEALREVYGDDIEKLDLNVGLHAEKKIKGFAISETAFFIFLLVASRRLEADRFFTTNFNEKTYTKEGLQWVNTTETLKDVIDRHFPNLTNQWMRCTSAFSVWSSDSDPTKWLPLYLRSAP >A09p073560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56037276:56040217:-1 gene:A09p073560.1_BraROA transcript:A09p073560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLRNPTLSSPPSLPTFSSTVTTTPLSFRFPPSHNHRRSSSLSLRLSCSISDPPPPLPPHPLRRRRPDYIPNRISDRNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKTISETVGNAVDENGYVPVICGLSRCNERDIQTAWEAVRYAKRPRIHTFIATSDIHLEYKLKKSKQEVIEIARSMVGFARSLGCDDVEFSPEDAGRSEREFLYEILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVVISTHCQNDLGLSTANTLSGAHAGARQVEVTINGIGERAGNASLEEVVMAIKCRGDHVLGGLYTGIDTRHIVMTSKMVEDYTGMQTQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIICPEEIGLERSNDAGIVLGKLSGRHALKDRLTELGYVLDDEQLSTIFWRFKSVAEQKKRVTDADIIALVSDEVFQPEAVWKLLDIQITCGTLGLSTATVKLADADGKEHCACSMGTGPVDSAYKAVDLIVKEPTTLLEYSMNAVTEGIDAIATTRVLVRGSNTYTSTNAITGEEVLRTFSGTGAGMDIVVSSVKAYVGALNKMLDFKEKSPKKVPSRNIKVTA >A01p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1349341:1350426:-1 gene:A01p002950.1_BraROA transcript:A01p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSAKHQRNHRISVTNKNKILNTVSSISSSSPSSSSSSLHSSQDSQEAQKSSLITMEEVWEDIHHLNRHSQHPQHNHEPRFRSHNHQNPDSIFQDFLNGPLNHEPTIPMGSSSNGHTTTVTALFSSSPLPPPATVLSLNSGAGFEFLDNQDPLVTSNLHSHNHLTDVPSFNTSLEDFTCFGKKRGQESNEGSGNRRHKRMIKNRESAARSRARKQECASLSSSTNLLLLQKKKCCFSIKMFLFFFKRYLVFYYNLQAYTNELEIEVAHLQAENSRLRRQQEQLRMAAANQQPKKKTLQRSSTAPF >A03g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28918722:28920230:-1 gene:A03g508490.1_BraROA transcript:A03g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRIGKEGYSSSTGEATCCCINQKPRAKVLAVLILIPWALDFVAHDYVLMLFLARYVKTVPLVAHTLDVRRSQKLEMVKELNREKSSLELREEWRIENRKAFANIWSDMVFGISLFALLYANQDTGKAFLIILIPDIFLWYHSESGWETLLEIIMEHYGLEVEKYTITIFICLVPVVMDACLFKFLPRFSSRVSNIFQEMKRH >A04p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11613794:11618282:1 gene:A04p019400.1_BraROA transcript:A04p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGERGRSSKKMKYGGKDDHKMKNTQNEEYYLGDDADEDSRDGEGEKMNTDFSKLELKPDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKDMIDFINASTANYGKVKLVLKKNRYFIESPFPEVLKKLGNDVVISKARFYEPYGGDGFTVGKTSGELEAGHGELLNAAELAAAAEEKETHSFEIDPALVETVKQRCLPSELNYPMLEEYDFRNDNVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAAARIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEMRNREWGLLLMDEVHVVPAQMFRKVISITKSHCKLGLTATLVREDEKITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHIERTKILEAFKTSKDVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKIEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPADAGSSLSYHSQEEQLSLLAKVLNAGDDLVGLEQLEEDTDGMALQAARRRKGLMSEMSGAKGFVYQEFNSGRHKSSGQQSRKPKDPTKRHQLFKKRFV >A03p051620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20438096:20438789:1 gene:A03p051620.1_BraROA transcript:A03p051620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEIDTGAPFRSVKEAVTLFGERILLGDNYINKSQERNNGDNGVRSKSIEAELDEVKENLKKAEEENKVLSQLLETLTQELETTKERLSHSLRKFPEHPQDEDDLKCIEQSTVTVPDTVTEIKMNRFDENEVYDEDRLERRRSVKFANPPLLTKVIESKEEKKKNQVMVKKQTKKMKPLAAWLFSTKMGLVNHF >A05p044360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26637154:26639052:-1 gene:A05p044360.1_BraROA transcript:A05p044360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDGKELGKYEDGILEPIMATKLPKYMGLDHAVQFIEDHPPSKKATDHNFRSCMERPKVFSPFKEVKPMTLAASPFKKVKPMTPTEAPKQAGVAGAREVFFGGIFVGRGGKKTFDEFIDNLQNENALENEQDKPKKDTAGIVYKLMKNMSYKDGKGLGKKEDDIVEHLLVTQLPKHIWLDGAILFIKDKPQSKLKGNNIDHVD >A06p050290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26454191:26459485:-1 gene:A06p050290.1_BraROA transcript:A06p050290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLGSVFAISPVSTERVCNADFRSTKSNLRGRTQAIKSATPTHHGGVRRVHKNKEGDGEGAKVAKLVESPYSKVEAARPDLRKRLSDFLEEARDLVGDDDGPPRWFSPLECSTQAPGSPLLLFIPGIDGTGLGLIRHHKKLGEIFDVWCLHIPVRDRTPAKDLVKLIEKTVKSENHRFPKRPIYLVGESIGACLALDAAARNPNIDLALILANPATHVNNFMSQPLSGMLNVLPDGVPTLLEEIFGFKQGDPVTAMLDALTNEFYVHQMGGVSGGILRDIFAVSTNLPTLSRMFPKDTLLWKLELLKSAVASANSHIHAVRAETLILLSGRDQWLLNVEDIDRLALTLPKCIVRKMKDNGHFLFFEEGVDLVTIIKCTCFYRRGKSHDHLSDYIMPTTFELKQQIDDHKLLIDATSPVMLSTLANGKVVRSLEGLPSEGPVLYVGYHMLLGFELAPMVSQLLKERNIHLRGLAHPMLFVNNQDALVDTQMFDKYKIMGGVPVSNFNIYKLLSLKSHVLLYPGGVREALHRKGEEYKLFWPEQPEFVRVASKFGAKIVPFGVVGEDDIFDIVLDGNDQKNIPILKDLMAEATKQAGNLREGDESELGNQDCYFPGLLPKIPGRFYYYFGKPIETAGREQELKDKEKAQEFYLQVKSEVEECIAYLKMKRESDPYRHLLPRVLYQASHGFSSEIPTFEL >A10p040000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:22105984:22106514:-1 gene:A10p040000.1_BraROA transcript:A10p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKHFFAKPKHTYPQPEPVMSENDKNVFEFDESDIHNLGDHQKPSSFEAKRSISISRLRRKPAKVADSSGFDNRKTAKTGSVPVNIPDWSKILKSEYRSHVVIPDYDSDEDDEDDEEINDGDTTGGRRIIPPHEYLARRRGSSFTVHEGIGGTAKGRDLRLLRNAIWEKIGFQD >A03g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31410810:31411947:1 gene:A03g509760.1_BraROA transcript:A03g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKFSEQSMVEQTVVQIMPPNCSKSKQHSHRLSRRASNLKGVRFRQSCLKDFTHNSVLSELEARVKTSSGSIHSKQIVGLKGHLLGELYTVIYYNYIWILLLFDGSSNHPPGCNVPPLMRSTKSIASFLILLLESLCRFMVFLQHGSAVLNNVPMTLSLISTWFVDVLLDYLLK >A10p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2529689:2531743:1 gene:A10p017270.1_BraROA transcript:A10p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYYLGVLFLFSCVESIMSLQIRWTSGGANFIAVFFQWIKLLCYYILMQDAHEFLNYLLNELDEIFQKEAKTDNETSSSPGKISNGPKLLQANGVHKEPTVAWVHKIFQVTISSFWLHYYTRVKLNRVPKPNQIINAAGTGGGGKSSAPVDKEVDYASYFCAYSFLYHQKDMLSDRVRMDAYFNAVFENKHHFAGKTVLDVGTGSGILAIWSAQAGARKVYAVEATSMADHARALVKPNNLEHVVEVIQGSVEDISLPEKATVSEIEEVRCNVTSVINGQNTRLCGFGGWFDVHFRGRKEDPAQQEIELTTAPSEQHCTHWGQQVFIMADPINVGEGDHLNLGLVMRRSKENHRLMEASGNPKESFKKTYFIE >A03p040630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16939670:16942769:1 gene:A03p040630.1_BraROA transcript:A03p040630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIFAGLQIHKEREDDNRAHFFVLLMMSKDILLHQQVQQQQQEENMSNLTSASGDQTSVSSGNRTEASGSNYFPHHQQQQQQQQQQQQQQFFVPESQPQKKRRNQPGNPDPESEVIALSPKTLMARNRFVCEICNKGFQRDQNLQLHKRGHNLPWKLKQRSNKEVIRKKVYVCPEASCVHHDPTRALGDLTGIKKHFCRKHGDKKWKCDKCSKKYAVQSDCKAHSKTCGTKEYRCDCGTIFSRRDSFTTHRAFCEALAKETAREVVIPQEQNHQPNPILVHQSTFHHQHHHQAQPNMNFSSSSPSSHNIINTLHFEINNNGTNNSNTSSNHLHTFSVKKEQQQSNDHIINYHHQNIPPWLAPQDLTFSNPNPNNGGGGLFSLAASPAMSATALLQKAAQMGSKKTPPLPPTTDFERSAHHNTLTTTMAAMMTSPSGYISSNNNNQVLFQGYNASDFDHHGGEEAFDDTFSAFLRTNADTTTAGSDKKKSGGGGGVGEGLTRDFLGIRPLMSHNEILSIAGLGNCISGAASNQLHPKPWQG >A04p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1260141:1260473:1 gene:A04p002610.1_BraROA transcript:A04p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMCIVTLLMIFLLISTGIPNGKAQCEGVPSNSTSPDICRSSDVDFVCTTVCASKGYLSGECDTNFVCNCYNCLA >A03g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28260339:28262058:1 gene:A03g508070.1_BraROA transcript:A03g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLRIAKPDRHDIAASARWLVSQNIWGVLSRLEFEAWNEANVGDKDDIDENVGESALACWQKPCPSFIKCNVGLSWTDANRNCGVAWLTRNHLGAPLIHSRRSYSMVALQLEAELLSFLWAAESLSTLRHKNVVFESTSYLAGEAVLNPDNFPLFHVLIDAIREKLSRLQLWSIAYVHSGANRCADAIARSVTRDQRYASYVGRDGPSWLLPMIQADAVRAVNGY >A09g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12241910:12242411:1 gene:A09g503910.1_BraROA transcript:A09g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIEIQKTLIEHAQKLRQVKSLLEEGGNFSGIYRKVQLKPLKWDGEGEEERPVEALMILKYGGVLTHAGRKQVFT >A03g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23959239:23960152:1 gene:A03g506660.1_BraROA transcript:A03g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPNCCEIQYTHPHIVKEEADADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A03p002770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1226887:1228221:1 gene:A03p002770.1_BraROA transcript:A03p002770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIYGGSAVTNLILFLPFFFSSSASALSKNLNPSSGSGQINSNSVLVALLDSRYTELSELVEKALLLQTLEDAVGRHNITIFAPRNEALERDLDPEFKRFLLEPGNLKSLQTLLMFHIIPTRVGSDQWPSEDPGRVKHITLANDHLHLIKGDGKKMVDSAAIIRPEDLTRPDGLIHGIERLLIPRSVQEDFNRRRSLRSISAVLPEGAPEVDPRTNRLKKKPAPAPAGSPPALPIKSAMAPGPSLAPAPAPGPGGKHHHFDGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVRFDTLRFPHKVAAKEADGSVKFGDGERSAYLFDPDIFTDGRISVQGIDGVLFPEVEEFVESVKKPVKKVVQPRRGTFVSLYLLH >A08g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13458178:13461303:1 gene:A08g507610.1_BraROA transcript:A08g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGSVRKMIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLISQDAFQEVKSKRDEKKDTKDQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETRLAQRGKGARNHWAGSSAPNSDRPKNAEVKEAEPTGPTGAASSSLLPAPAYQSAWAKANPGKKTMAEIVKMGKPLHQKKVSAPRSSETQESGSKAPLKDEGSSTEKQESGSKAPLKDEGSSLEKQESGSKDPLKDEESSFETQESGSKAPLKDEGSSFEMQDVSDPVPSLLKPFFEPKTHADQVSEPQHVDESQMNDEVLETKTNQVAIHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEENSSFRQHDTNFYGGEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNVMDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGFQQVFPVGNHQVPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQPPGFVQAQQLHQQQLSQQALISLDLLHHQHQHQHHQQSAGEASRQTQEQLWPNNN >A05g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30271100:30272622:1 gene:A05g510010.1_BraROA transcript:A05g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDWSVRKEFKDLILVYVATFEWDGFCLCWAGLKKIRSFSTETEKKKKLSTIRRKTGTTKLVGSSRISARSKTFSPNSTPSSPALVTSPSAPLFPSSYRHDPMILRSTDRLIAFAIMHQCYSSVKPSLNPFISEMIIAACNEQAEKYERASFSTCFSGIATTTLKSIRALLQILKLSAADYIKTFDPLTNEFPELGELQREYGNKADGPSSHVFADYALKKLLHDPDVPRGCDPNSPEYVTDELGGSGSC >A04g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6377833:6378601:1 gene:A04g502800.1_BraROA transcript:A04g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLWEGYGYVGMSRCFIRLDGATNEVRKPFRFFNYLTEHTDFLSTVKETWDTSEPLFHSRLALSRFHQKLKLLKQPLRALNRTHYGDLPGRTKQAYEEL >A08g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10968444:10972278:-1 gene:A08g506430.1_BraROA transcript:A08g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKENGNTYGYSFGASMIAYKDDHLIRELFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGSEALEYQEASHLSCVPHQSTNLDTDRHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPL >A08g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10318012:10320309:1 gene:A08g506000.1_BraROA transcript:A08g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCIFIRKAQVAVRVSANLKKFNWLTCEEQITEIMLTKIKIPLPDMLSAVLALDSSVLDIDQVENLIKFCPTKEEMELIYTGDKEMLGKCEQFFLELMKVPRIEAKLRVFGFKITFASQAEDLKSCLNTINAATKEVKESAKLRQSMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKVDAHISLISIIPECTFVLESCWILLMTLFTWKLPKIELKTLAEEMQAADKGLKKVVQELVASENDGAISLGFRKVLKEFLDIAEAEVRLLASLHKESGGNADRAVKWSVHGPIGQ >A05p052540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30644383:30645729:1 gene:A05p052540.1_BraROA transcript:A05p052540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESASDIPKGQVDLLDFIDWSGVECLNQSSSHSLPNALKQGYREDAGLNLESDADEQLLIYIPFNQVVKLHSFAIKGPEEDGPKTVKFFSNKEHMCFSNVNDFPPSDTAELTEENLKGKPVVLKYVKFQNVRSLTIFIEDNQSGSEVTKVQKIALYGSTVETTDMKGLKKIEDH >A05p007640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3079805:3081093:1 gene:A05p007640.1_BraROA transcript:A05p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVESVLQFLRRNGLTEAESALRDDINEKNRLASFDFEKFLFPIPPPIRTTVEDSGDNGSKSSSSDDEFVSLDSSTSGFCSSSGFVNPYGDGSPSSSESQSQFGTARTYPEWSEFYSQTNNKDETEEEEEEEEEEEFMSPAFRESDFFIFPGTTQDKYITDNQFENNLGVYDKSSEGSQTETSLDYLDKSFLVNNVEDHYIGLDDKTAQLEEEEEDAKDNDDFKTGDQVNVTDEEVNVVHDLEEEEYEVFDLRIIHWKNRTGFEENKDLPIVLNSVIGGRYYITEYIGSAAFSKVVQAQDLHNGVDVCLKIIKNDKDFFD >A02p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15738:16696:1 gene:A02p000050.1_BraROA transcript:A02p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCQQKAMETLLHGHGCANQLKLIMDHAKSDSSMEREDLAKSVLHCFSDALAILIDTNDHHQGDQSNNSSPQDASPVLENSRKPLHKRGRKTSVAESSDYRRHESPNPIYHDGFLWRKYGQKQIKESNHQRSYYKCAYTKDQNCEAKKQVQMIQNNPPLYSTTYFGHHTCQLHQAYATFPRDPSDPQDSHMIRFDHPDSSIHQHQNQSQNQIIYLKDENMMMLPDKSEEWSSPSQWMSSEVAHAVEAFGFNPFRTSSDLS >A02p059390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35444953:35446663:-1 gene:A02p059390.1_BraROA transcript:A02p059390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLDRCLADTIRSESSDHGGLFLNESVPSSSVSFVSCKNCTVSSMHFVGKSSFLKLRRRDRTRGPLFLSVSLSIKEKESEGYNGQNGYKSLQTDKELLSTPPSIYGLELLLPLTCIAPLERMKLEYIVRGEQRNLLGLIQMIATTEGVRGFWKGNLVNILRTAPFKSINFYAYDTYRGQILKLSGNEVTTNFERFIAGVTASLLCLPLDTVRTVMVAPGGEALGGVVGAFRHMVQTEGFFSLYKGLVPSLVSMAPAGAVFYGIELGPMRTLLYGAVAGACSEAATYPFCLQQRFSYFVYEFMKVVMKVESAQ >A04g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5049393:5052162:1 gene:A04g502060.1_BraROA transcript:A04g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSWVQNIRYFGSRWMIGFVAFEKQYGDKEGIEDAIAGNRRFQYEDEVRKNPLNYDSWFDYVMLEETVGNKDRIREIYEMAIANIPPAEEKRYSQTHIYLCDLFVEWLTILKIFVFYVTSIRAYGGMRFDLTAKSLWNGNPLVTKGVSVLSKNHVPTKGSYYPAVDLEMIKQKSPSLSKVLLARNSRFITDTDIDPITWSAQLHSERHDAYQFCLQLTVKLLLQLRVISRYSDLLTSPKDDLEFYIVRENIRDKLNVISLNFDHSKTVLTLTHVLLSYFVLSVYICDKKPVRKLARLQYLYSKLAGKMSLTSWLLCIQLQLGSAIMNHGRRQSRYKNIEAHYVRWIQNYFRNNNACSIKAYKIYTQQLNCMTITFMNTA >A08p046220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25197517:25202060:-1 gene:A08p046220.1_BraROA transcript:A08p046220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLKRAIGAVKDQTSVGLAKVNGRSASLSELDVAIVKATRHEEYPAEEKYIREILSLTSYSRNYINACVNTLSRRLNKTKCWTVALKTLILIQRLLAEGDKAYEQEIFFATRRGTRLLNMSDFRDVSRSNSWDYSAFVRTYALYLDERLDYRMQTRHGKRGVYCVGGDTVEDKKDNPEADLSTAIVVRSQPIAEMKTEQIFTRIQHLQQLLDRFLACRPTGSARNNRVVIVALYPIAKESFKIYYDVTEIMGVLIERFMELDIPDSIKVYDIFCWVSKQFDELDQFYSWCRNMGIARSSEYPEIEKITQKKLDLMDEFIRDKSSLEETKQSNSVEADEEDDDERTEEVNEEQEDMNAIKALPAPPPKEEKREEEAKEEEVVTEEKKEEEVGDLLDLGDNVVAGEAGGDSLALALFDGPYASGSGSASGPGWEAFDDDSADWEAALVQSATNLSGQKTELGGGFDMLLLNGMYQHGTVNAAVQNSTAYGASGSASSMAFGSAGRPAATMLALPAPATVNGSSNGPVTMDPFAASLVVAPPHYVQMNDMEKKQRMLMEEQMMWDQYSRGGHTNLRPNQNQPIRVTMDIEGGERQTKHEVAPALISVHPFQKSVAITVGSALCVFDLIENRPVSLVDESDGPSHKDSIRAIRYGSSGKLFASAGDDKLVKIWSADSWHCLNTISSEKRVTAVAISSDDSYVCYADKFGVVWVVDLDGINEGKVLPSKKGVQLLCHYCSIITSLEFSPDGRYILSSDRDFKIRVTVFPKKSLQGAHEIQSFCLGHTEFVTCITFVQNPEFAQGYLMSGSGDSSVRLWDITTGSLLDTCDVSPTVKHLESNESEPTQVTVTDICALPNSSLAAVSIQRQVIKIPGDSFIPTSISFSTSTRLLWMVSGASNGSNHPGYTRVRLISRIEAEPSSVLEDEQVPGGTTLLEHLQGKVSIEESVMSAAAEAVRAAMCSLMVKKQYSEENREFRKNNRNDKKPTQ >A04p000140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:66507:72348:-1 gene:A04p000140.1_BraROA transcript:A04p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRETKEEPERDEFRRKKVSHHSQSIASGSRGGAPFLAFSPFLFLPGGAIIKLKTVAFKDFDCNLRRRRMGFRPKLLFLPLSDESSGFFFIIFFLAFSLSLLFKLYKKIVSFDKVWHFGSRSNSVIRYPAHLPGCRLLQDSCGETWIMERYEVLEQIGKGSFGSALLVRHKQERKKYVLKKIRLARQSDRARRSAHQEMELISTVRNPFVVEYKDSWVEKGCYVCIVIGYCEGGDMTETIKRACGVHFPEEKLCQWLVQLLMALDYLHSNHILHRDVKCSNIFLTKEQDIRLGDFGLAKILTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMSAHKPPFKATDVQTLISKIHKLIMDPIPAMYSGSFRGLIKSMMRKNPELRPSASELLNHPYLQPYISMVYMKLESPRRSQWCETKERRRSFSSNDRRLTPSVSDTEAGSVSSSGRASHSPMFSGRKVPEVTVGVVSEEIVAQRQEGVVKKQSGAAAKTPRMAGTSTKQPKRLETPSSTPRTVQLTERRRRASLPLVVENPYACESDISVNAPRFDKIVEYPEDLFQNRETTSSGGVARRSSFSSMTTTKDKCTTTVQTRSVSEVKQRRFDTSSYQQRAEALEGLLEFSARLLQQERYEELGVLLKPFGPERVSPRETAIWLTKSFKEASVGEGESERMKGGGGDKVEAEILEPYQLSFSDLLDRKRCQLISTNVMEALGPNGPGLLCITGVLGSALLRRKLLPMARKLALLDPDKRNRILKEHHLGSDVPLKNPERLVSSFAMHLHYQPPSSNSSLWYDPGSGVGTTLDSLEDDDDDFNNLGDVFRKLGFCMMELGLSIARVCDREIGGGFLEGTLLDSCTAKGRLIHYHSPADQSFLREEAQRMRKHSISGKYRNGSHFNLWQQWHYDYGIFTILTDPLFLSSHSCQDCNLITSHSYLRIFHPSNNKFYMVKTPQDSFIVQIGESADILSNGKLRSTLHCVCRPDQLEHISRETFAVFLQPKWNHTFSVSEHTMEHIRSGSLQRRPILDTDEVSKADIHNVVPPLSSRIRDGMTFAEFSRETTKQYYGGSGLQSNR >A04p000860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:412652:425718:1 gene:A04p000860.1_BraROA transcript:A04p000860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLLSCLVTVLMGIQGYLSSGLLKTDLFMIFFMKSCYMLMALLYTIYIISYASSGMKGVKEAKPGPLLSIVASTTKIAEFDLSNESDMAARLHSSRILGTFSYHAPDEYAMTGQLSAKSDVYSFGVVLLELLTGRKPVDHTLPRGKQSLVTWCVDSGLGGDYPPEAVAKFAVVAALCVQYEDSFRPNMRTVVNAFQSFVESATATAAATPTKRTNYLFTPHAKAVAGICTVIQTNTSLCCKTLKHVPTNDPIELIRALAVAAESSVKNSVAFLSEIKPKHTSNATAAAAISSCEKNLKYALEDFTEFWKATGKDVKTLAHNYFTCKKTLMSIMGYHWTCLDDIEDKSLLKEMEIGIGVGKNLSSDSYDVFNVGKIVISVASILLVVGVAIGVVTFVHKGGDAGGEKTLNSHQKAVQTICQTTTDQGSCAKTLEPVKSDDPSKLIKAFMLATKEAITKSSNFTATTEEGLGKNMNETSKAVLEYCKRVLTYALEDLETIVEEMGEDLQQSGSKIDQLKQWLTGVFNYQTDCLDDIEEEELRKIMGEGISNSKVLTSNAIDIFHSVVTAMSQMGVKVDDMGGIGAPGRRLLEDIDAKGLPTWLSGKDRKLMAQVGRGGDDGIGEGGGGGGKIKATHVVAKDGSGNFKTISEAVNACPEKNPGRCIIHIKAGVYKEMVRIPKKKNNIFMFGDGATKTIITFDKSVALSKTTTSLSATVQVESEGFMAKWIGFQNTAGPLGHQAVAFRVNGDRAVIFNCRFDAFQDTLYVNNGRQFYRNIVVSGTVDFIFGKSATVIQNSLILIRKGSQGQAHYVTADGNEKGAAVKIGIVLQNCRIMADKDLEAEKLTTKSYLGRPWKKFSTTVIIGTEISDVIKPEGWSEWKGEANHKTAKYIEFNNRGPGANTAARVPWAKIAKSAAEVEGFTVAKWLTPVNWIQEANVPVQLGL >A06g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27493094:27493548:1 gene:A06g509550.1_BraROA transcript:A06g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTHNQCANVVGQILIIQKINQYHPEFNIDATIGLRLNISTIVKLILRDKQTADFSILQNMKNRKFKVVIITSIIPKLFQGKLLLSSSPATKFLLQQIN >A10p034170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19876515:19882095:-1 gene:A10p034170.1_BraROA transcript:A10p034170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLPPPNSGRSTTTNRQWSLPSTSTNRSNSRSSHSSPPLTHRPTQNHPHRNHHYPNSSFPPNHRPDRGPTTRSRPNFIVQLVHPRGAAANTDTNPSFSVRKQAIITLASLCGIPEESIHVPQLGSIAGTFNFRQWTDALSAVVSLWDHRLRGKHDFVPELVPNVIVPSDVDELRDRLRDLFSSHVLSLVENGEGVKKVRREIDEKSRRAESFDSKRGLRLEVFEMKKALEAERDLVIKRLEEFENAMMSIVRFLKRSDDGVEVDDVDVFSLEGDYDWPRVHSLIRRECRRLDDGLPIYAYRQNILKKVYGQQVTVLIGETGSGKSTQLVQFLADSGIAASESIVCTQPRKIAALTLADRVREESNGCYEEEDSVSCTPAYSSAEKFSAKVVFMTDNCLLQHYIRDNSLSGVSCVIIDEAHERSLNTDLVLALLKDLMCRRVDLRLVIMSATADAYQLSGYFFGCEILRVAGRNFPVEIIYSPSGDTEGTSYADDVVKKAMEVHRTEKEGTILAFLTSQAEVEWACERFIAPSAVALPLHGKLSFEEQFRVFQNYPGRRKVIFATNIAETSLTIPGVKYVIDSGMVKESKYEARTGMSILKVCRVSKSSAQQRAGRAGRTEAGRCYRLYSKYEFTSMSLNQEPEIRRVPLGVAILRILALGVDNLADFDFIDAPDPEAVVMAVKNLVQLSAVVERNGVLELTQEGHCLVKLGLEPKLGKLILGCFRHRMGKEGIVLAAVMANASSIFCRVGNFDDKMKADCLKVKFCNHDGDLFTLLSVYKEWASLPRERRNKWCWENSLNAKSMRRCEDTVKELEICIERELSLVSPSYWVWNPAEGNNKHEKHMKMVILASLAENVAMYTGYDQLGYEVALTGQQVQLHPSCSLLAFGQKPNWVVFGELLSVVDQYLVCVTAFDFEASSTLDPPPPFDASHMDEWRLRVKKVAGCSSTMLKRFCGKSNRGLLSIVSRARSLCEDERINIQVDVEQNEILMYAPPHDMDKVSALVNDALECEKKLMRNECLEKYIYHGGGQVPLALFGSGAQIKHLEVDQRFLTVDVLYYGDNSLDDREVLTFLEKEIDGCICSIHKYAGKKQDCDEKEKWGRVTFLTPESAMKATETQKFDLNGSVIKLFPSLSAGGEGVFKMPSVTAIIRWPRRESCGKGVLKCASGDIHRIFAGSSGIVIGTKYCPFETDQKHTDSILITGIDTNLSDAEVLDVLEFATERTDLEFFLFRGGNIAYRPSLTDCKYMLHKRIFARLSSRNPDPNCVEVKVYVPKEKDHFVRALITFDGRLHLEAAKALQELDGTILDGCYPWQKIKCEQKFQSSIICPAFIYNIVRRQLYAKLASFERQRECEWHLEAIHSGAYRVKISANATRRISEMRRSLEELIRGRPIINHPSLTQRVLQHLLSREGVILMRKIQQETETCIVLDRHSLAVRICGSEERIAAAEQELVRSLLSYHESKQLEIRLRGPNLRPDLMKEVVNRFGPELQGIKEKVKGVDLKLNTRYHVIHVHGSVEMRQEVEKMVYELTQEEGCAPDDVEVECPICLSEVEDGYSLEGCSHLFCKACLLEQLEASMRNFDAFPIICSHIDCGAPIVVADMRALLSQEKLDELFRASLSSFVTTSDGKFRFCSTPDCPSIYRVAGPLDSGVPFFCGACNSKTCTRCHLEYHRYITCERYKLFKEDPDMSLKDWAKGKNVKECPCCKSTIEKSEGCNHLLCRCGNHICWVCLRWFAQQEPCYEHLRAVHGGIGLFDELGMAML >A05p053340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30824076:30826779:1 gene:A05p053340.1_BraROA transcript:A05p053340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLGFVLKTFISSFSPQLQDIAKQTIVLKVNMSGEKYRNKAMKIVVGTSGVTGVRLEKDKETLTVEGEGVDVLALARALKKKAQVELLAIQGTMKTRRQRKDRRTSSSLVDTDQQHSSLPLPIDLTIDIFSRLSLKSIAISRCVSKPWAFVLGRPDLRDLRLTRSQARPRLLFAFWEGNNLFFISSPQPQSPDEMLSVAADHHMSFSFDHPVKDISASVNGLVCVRISGHRFVNGRRFTVEESVLCNPSTGQSLTIPKVKTRKRVGIVSLLGYDPVEKLHKVLGMIWLQDGITMEHQVLTLGAGGGGSDEKLTWRMAERGIPCPCPSSAGTQNICIGGVFYYIHAHMIVSFDVRSEKYSFVKPPKGKLYHRLLDCNGKLALVPLGKSYYFDSETVKMWVLEDRERHEWSKRVYTLPPMWKDVVDPEEWLVIVGVTGPNEFVMSSEYSGDPFQVYYCNFDKETVTRVVIQGVGALRSGMGYSIHTYPNHVEDVKLMEL >A09p028380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17084688:17087239:1 gene:A09p028380.1_BraROA transcript:A09p028380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCERIANLALAGLTVAPLVVRVNPNLNVVLTACLTVYVGCFRSVKDSPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIVALSATLLPAISRFLPKPWNDNLIVWPLEVEFTKSQVVAGIPGTFFCAWYAWKKHWLANNILGLSFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDALRPYSMLGLGDIVIPGIFVALALRFDVSKRSQPKYFTSAFVGYVVGVVLTIVVMNWFQAAQPALLYIVPAVIGFLASHCVWNGDIKPLLAFDESSKSTEEEVSKTHEE >A10g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10199665:10223651:-1 gene:A10g504130.1_BraROA transcript:A10g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLDSDRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQAMSRDIGELSESDEGEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVQRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEARLEQREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETFIVQRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEEEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETFIVRRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEEEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETFIAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEEEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFI >A07g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:478906:479295:-1 gene:A07g500230.1_BraROA transcript:A07g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A01p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1365692:1368566:-1 gene:A01p002990.1_BraROA transcript:A01p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIQSISGTLLCTLPHRSLRLNQIRSHFHLSIARSFFVATFFCVRSIECIYVLRTGRNRSLVRALVSRRFFASSSPEELAKRNYANDLSEYNSVVNSVTAQRRHYLLRDVYDDMKLDGVQPTADIFHSFVVGVMKGARLSDAFFFREEMKSMGIAPDVNLYNFLISTCGKCKNGKEAIRVFDEMKRYDVKPNGQTFVCLLNACAVSGHLDLVYAIVRDMTAAGVGLNQFCYAGLITAHLNKEPRPENLSTKILELVEQSKGWSSIDSSRKSAEDMMFSISEEELYNVPTAEYSHRSRFLQRNLTVYHVAFSALADIKDVQATEALLEMLKKDGKNTDTYCVIQIMRCYLHSKDFKNGVKWFQDYMNSDKTPAMELYTTLIEGAMTDYTEEGMKIAQETLVSMNDRNFFLDARTGSNLLLKAAGEKTGGYTVANMTWDLMQARNIPQTLASVEAYYKGLKEREIPEDDPRLMLVTRTFNTLKRQQGTFLNRR >A02g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19476549:19477171:-1 gene:A02g506910.1_BraROA transcript:A02g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHLHQYASMGKWSVLRVWDVLLFEGNRVMLFQNSTWSFMVLGPTLITTKDAGDAITLLQSMTGSTFDSSQLVFTACMGYQDLNESRLQELRSKHRPAVMAAFEERLKGLQAWRDSKDLATKLYNSKQDPKSVLQMTFIIQPPWCMPSRGSF >A01g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25527841:25529806:1 gene:A01g509440.1_BraROA transcript:A01g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQLLAAGSNETAHHLAPLSIALCFVKPSPEKNQRERREKSDRKIQRKIHEKFRKQIQRRGSWRFGVLKLTITHVLQPLILIDGQGLCSDQPDPCGDFKSRIFQKPSVISLSSSIVFLSQSHGFKILLYTHSPESSRITVNCSFDTEQDHEDTMMGSHPGGRVTACSVRCSILEYLMEMMVIFISPLGSVRLGGFLVRVPYDISPCPDELTIWYCFLGLKSLEWYPIGSLVFFDCWSKAIG >A02p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1844985:1845851:1 gene:A02p004210.1_BraROA transcript:A02p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFDKQADLYLDARPNYPSEWFSKLADLTDHHVLAWDAATGNGQAALAVADHYERVIATDISESQLKFATPHPKIDYRHTPSSMTDDELVELIGGESSVDLITVAQAVHWFDLPRFYAVAKRVLRKPGGIIAVWGYNDVVVSPEFDEVQYRFHAQTLPYWKYPNIQHVFDAYGALPFPFESVGMGSEGRPLMLEMPKTTSFEGIVRMFKSWSAIVTAREKGVELLPESLIKELETAWGGKDLIRNVVYKAFMIVGRVSV >A10p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22261220:22265560:1 gene:A10p040400.1_BraROA transcript:A10p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAF1 homolog [Source:Projected from Arabidopsis thaliana (AT1G79730) UniProtKB/Swiss-Prot;Acc:F4HQA1] MAGGLDMSLDDLIKSNRKPTGSRGRGNGGSSRFGGGSGPSRRFSNRVGNRTAPYSRPLQQQQAQDPMWQNDVFATDASVAAAFGNQSGGFGSVGGGSSIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGLHYDKSGRSKGTAEVVFSRRGDALAAVKRYNNVQLDGKLMKIEIVGTNLSAPTPTTPLAPVQFPFPSNGILGNFNENYNGGFNGNFRGRGGFMGRPRGGNFRGGRGGRGGGGRGSGRGRDEKVSAEDLDAELDKETSSVCPEEFKSLLKWRRTGRRHLRLLSHRRLKIPPPPPPLPPSLPPPVPPPPPSHQPYAYPPHTYYQQPSHYPPPQFNQHQAPPPPPPPPSAPPPTVPEPPRNQSTNDRHKAASKPPRERPPSKQHHRPHHPHSKNPEEERRQRKKREAEKERQQMKTTSHKSQVPKGHTEERKPTPLLTADRVENRLKKPTTFICKLKFRNELPDPTAQLKLMTIKRDKDQYTKYTITSLEKLWKPKIFAEPDLGIPLDLLDLSVYKYDLSLSLKNLRLLQFLIVLARFRPPKVRQPLAPEDEELLRDDDAVTPIKKDGIKRKERPTDKGVSWLVKTQYISSINNESTRQSLTEKRAKELREMKGGVDILQNLNNRERQIKDIEASFEACKSRPVHATNKKLQPVEVLPLLPYFDRYEEQFVVANFDSAPTADSEFFGKLDSSIRDEHESRAVLKSYVIAGSDNSNPEKILAYMVPSLDELSKDMHDENEDISYTWVREYLWDIPPKEPNERDTYLVSFEDGTASYLVFSKLHLHLFLLHSVVPYEIDPVSMWQPLPTRLNMRKRRAREGRASDEIEHLPVPSRVTVRNRSSVSIIEHKDSMVNTNSRNGASSSKMRRLEDEEGLGRSWKHGSEQDANQYSDNNDDYSE >A09p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2098791:2100065:-1 gene:A09p003630.1_BraROA transcript:A09p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTRLEKGENHQEYKKGLWTVEEDNVLMDYVQTHGKGHWNRIVRKTGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNHWNTHLSKKFVGDYSSGVKTTGENKASLLLSAATASSRQHQQDKICDKSFDGLVPASYENIPNADLTHRNVVVGNTNDPSLYFKERNIFDSSNAFWFNEDEFELMSSLAMMD >A04p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5773599:5775059:1 gene:A04p014870.1_BraROA transcript:A04p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHVEEIEEEEISKIEKGKGKDCHRGIETVICTSPTTVCLTQKLIAEMIGTYFLIFAGCGVVVVNVLYGGTVTFPGICVTWGLIVMVMIYSTGHISGAHFNPAVTLTFAVFRRFPWYQVPLYIGAQLTGSLLGSLTLKLMFHVTPAAYFGTIPSDSATQALAAEIIISFLLMFVISGVATDNRAVGELAGIAVGMTIMLNVFVAGPVSGASMNPARSLGPAIVMGVYDGLWIYIVGPLVGIMAGGFVYNLIRFTDKPLKELTRNGSFLRSASPKHKTSTSKS >A08g510260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22614904:22615387:1 gene:A08g510260.1_BraROA transcript:A08g510260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLALKTRRWTVARARCVYVGAWCIISIKLGDIIPADVRLLEGHPLKIDQSVLTGESLPVTKKKGEQVFSGSTCKQGEIEAVVIATGSSTFFGKTACLV >A03g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19326802:19327840:1 gene:A03g505420.1_BraROA transcript:A03g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAFFYYVSPDLYFIMNSGNNILIMCDAYTPAGNPIPTNKKHNAAKIFSNSKVASEEPWYGIEEEYTLMQKGGPYYCGVGADKAIGRDIMDAHYKACLYAGIGISGVNGEVMPGQWKFQVGPVEEKLQVKHKENIAAYGEGSERRLTGKHETAYINTFSWGVANRGASVRVGQDTEKEGKGYFEDKRPASNMDPYVVTSMI >A09g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16403821:16404266:1 gene:A09g505270.1_BraROA transcript:A09g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDASDVLSSDFRQPRAHCFRTNTVSGLAKAGCLVACSLTLFVPGFGDIRKLCVRSNQN >A10g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1814286:1816007:1 gene:A10g500550.1_BraROA transcript:A10g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSIATAMVMILKERCEHLISTSSLLHVWLADETLEITIERETETHQLWSNLIAPTFFLAEPRDDWCEICYGLFFLGRSANQKKAHLAYLFFLIRLSKCSSSLIFASEFVHVVPVSILHLPTVTSNKLFKEQEYHDAVRHHTEAIQRNPKEPTTRPRGMQDQGIKNILTDLRIHQQHNMHTR >A05p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25787761:25791685:1 gene:A05p042690.1_BraROA transcript:A05p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSSDLYGTIKSDMEEKKLADDRNSDEQVERIELVVSDVDARDTEDEVFEEAIDSSKPESFQADDGLHEDSPSEEVKDSKVNGESHGEANGQDITTGEAVPGFVTSRMNGVEGEASAGNVSDTATLSFSENGTVSLEKKAVLLSFGSDMEEKKLVDDRISDDQVDNNILLVSNVDARDTVDEVFEEAINGFQDDDGLHEDLPREATPAVYMDDLEEVSSNEKEVIDSMVSGESHGEANVQDITTGEAAPGFVTFKMNGDEGKSGTDNVSETATHSFSENGKKQLVAEVIEETRNGGIEEENRDENVDVSAGRGTEQEAQRNGETAADYNTVKRASGERSLNDSIACAGTLSPLEKSSSEEKGETEGHISREHDTVQNSKGGLGVEHTSQPNKEFEKKQGSRVNMSPEIQESPHLERKSEEASSVSPTESTSNTAASPPARPAGLGRDAPLLESTPRVPHQPRVNGNVSQNQSEQAEDPTPAETDEHDETREKLQLIRVKFLRLSHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGSRVGAFSFDRASSMAEQLEAAGQDPLDFSCTVMVLGKSGVGKSATINSIFDEPKICTDAFQMGTKRVQEVEGFVQGIKVRVIDTPGLLPSWSDQHKNEKMLKSVKAFIKKNPPDIVLYLDRLDMQSRDSGDTPLLRTITDVFGPSIWFNAIVGLTHAASAPPDGPNGTASSYDMFVTQRSHVIQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDNNTPGRPFVARSKAPPLPLLLSSFLQSRPQAKLPEEQYGDEEDEDDLDESSGSDEESEYDQLPPFKRLTKAEMGSLSKSQKKEYLDEMEYREKLFMKRQMKEERKRRKMMKKFAAEIKEFANGHSENVEEERSEPASVPVPMPDLSLPASFDSDNPTHRYRSLDSSNQWLVRPVLETQGWDHDVGYEGVNAERLFVVKEKIPISFSGQVTKDKKDANVQLEMASSVKHGEGRSTSLGFEMQNAGKELAYTVRSDTKFNNFRKHKAAAGLSVTLLGDSVSAGLKVEDKLIANKRFRMVMCGGAMTSRGDVAYGGSLEAQLRDKDYPLGRFLSTLGLSVMDWHGDLAIGGNIQSQVPIGRSSNLIARANLNNRGAGQVSLRVNSSEQLQLALVALVPLFKKLLSYYSPQQMEY >A09g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12224704:12227137:-1 gene:A09g503890.1_BraROA transcript:A09g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSVRKKESQPRRSVHAKKRRVRGPLDSSNQKQCYRPSARAMTLFDEKDELEEDLDEDDLGHEDVECDDEDENNGISEDEF >A06p056530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29430128:29430480:1 gene:A06p056530.1_BraROA transcript:A06p056530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECLKGNGRVEDRWWDEDGDLSSLAVNRVVRMEVVEIGSPESLRLEMDSMGEELELKLLEELVEEFLMNFSKQSKFFTPIWVTDN >A03p066670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29453028:29458043:1 gene:A03p066670.1_BraROA transcript:A03p066670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKIVTIKAPPGKYPVCNTATFALDGLFLDVVKFDVMLLFGELPWLDVYIYDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIELNCVLSFLLLKRRFRSCALANNKTYEERVKMATQRESLDEAAMKRFGDNAGQLLDPNHASMLKSSVASGQHAGQVLHGASGGMSPQVQARNQQLPGSAVDIKNEINPVLTPRTAVPEGSLIGIPGSNQGSNLTLKGWPLTGFDQLRSGLLQQQKPFMQSPQSFHQLNMLTPQHQQQLMMAQQNLNSQSVNEENRRLKMLLNNRSMSLGKDGLGSSVGDVLPNVGSSLQPVPGGPLLPRGDTDMLLKLKMALLHQQQQQGGGSIPQPQTLNQQALSNQQSQSSNHNIHQQDKLGGVGSITMDGSMSNSYRGNEQVLKNQSGRKRKQPVSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDVMPNLAHSGGSSKPMIMFGTDGTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHEDGDQRDAVGRCMDVSKGFTFTEVNSVRASTSKITSCHFSSDGKMLASAGHDKKAVIWHTDTMKPKTTLEEHTAMITDVRFSPSLPRLATSSFDKTVRVWDADNKGYSLRNFIGHSSMVTSLDFHPNKDDLICSCDNDGEIRYWSINNGSCTRVYKGGSTQMRFQPRVGKYLAASSSNVVSVLDVETQACRHSLQGHTNPINSVCWDPSGDFLATVSEDMVKVWTLGTGSEGECVHELSCNGNKFQSCVFHPTYPSLLVIGCYQSLELWNMSENKTMALPAHEGLIASLAVSTATGLVASASHDKLVKLWK >A01g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:342149:345679:-1 gene:A01g500120.1_BraROA transcript:A01g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTICARWNYESNHDEDEDGRIIIIWKHPAVVKILHKSRQMLTCEVALPNAPPFVYSSVYALNTREERIDLWVDLLRIHQSLSLDNLPWMIGGDFNQILHHSEHSLPHVNSFDASMIEFQDCLTQLQVFDLRFQGPYFTWSNKCPALPIAKKLDRFLINNNSLSAFPNSSAIFMPALISDHSPCLIDLAHSLPTAGTKPFKFFNYLTKHPLFTQVVYEAWSQAGSVATDLKDLYWKQKNIKNDLIQLNSENFSQIQRRVGEANTVFLDAQGHHTARVSWETVTKEKSQGGLGVKDLYTWNRACTLKLIWLLFFQSGSVWVAWFKTEILSGNLSNFWTLKPNRKYSWLTNKLIKMRDVMFTWIKLKIESGRDCRFWTDNWYPEGKICELMTGGRRTRLGIRQDATIASLYDNGHWLLPPARSENQVSILAFLSGLTISTADDFYVWEIDGIVSAKYSTGLVYQKLRGDCTHILWTKAVWIKGGIPKHCFMVWLVVLNRCPTRDRLQSWGLQTDTNCLLCGLHLESRDHLYFDCPYSWELWSTMATRFTLNPLRTWDRSLSQMHTLSGNRFRRRLLLLAWQAIIYWVWAERNSRLHRHTFRSPGVLLRLVDCQIKDRINSFREVNPTTCSQLLQLWFLACDPTIVSA >A10p023170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15181129:15182020:1 gene:A10p023170.1_BraROA transcript:A10p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSGRYFAASYSGQSGAASPGNLHGSYNVGNLQGTLSSRNSSMNSIPSPGVQQPNGIFSSGRFASSIIPVALSQSTWAFGIPNRGGINGFSSDANGVAGSIPGVLSASPGLNNWNSVPMGMSQLLGNAAPRITSNAMGNMEHFVVQYEHMEKRPSLLQH >A08p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19200864:19204925:-1 gene:A08p031520.1_BraROA transcript:A08p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLTFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHNSQPLFVSGGDDYKIKVWNHKTHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKSVSPADDLMRFTQMNSDLFGGVDAIVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAVHPEINLLAAGHDNGMIVFKLERERPAFAVSGDSLFYVKDRFLRYYECSTQKESQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDGGSYELYIIPKESVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSALPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSNDMESVALLSKHTIIIASKKLVLQCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAITINATEYIFKLALLRKKYDHVMGMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERVRFNLALESGNISVAVASATEINEKEQWYKLGVEALRQGNAGIVEFAYQQTKNFERLSFLYLITGKLDKLSKLMKIAEVKNNVMGQFHNALYLGDVKERVKILENAGHLPLAYITASVHGLNDIAERLATELGDNVPSLPEGKTPSLLMPPSPVMCGGDWPLLRVMKGIFEGGLESAARGGAVDEDEEDVGGDWGEGLDMVDVDGMEDTDIKAILEEAERGGEEEENDEEGGWLGIDDLELPPELDTPKASANARSSVFVAPTQGMPVSHIWSQKSSLAAEQAAAGSFDAAMRLLHRQLGIKNFAPLKSMFIDLFNGSHSYLRAFTSSPVVPLAIERGWSESSSPNVRGPPALVYDFSQQEEKLKSGYKATTSGKLTEALRLFLSILHTIPLVVVESRSEVDEVKELVIIVKEYVLGLKMELKRRETRDDPVRQQELAAYFTHCNLQLPHLRLALFSAMGVCYKARNLATAYNFAKRLLETNPMESQAKTARQIVQAAERNMTDATELNYDFRNPFVICGSTYVPIYRGQKDVSCPYCSARFVPSQEGNICGVCDLAVIGADASGLVCSPSQVR >A04p035160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20319162:20321870:-1 gene:A04p035160.1_BraROA transcript:A04p035160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGGGGGKRRWKVLVIGVMVLVILSMLVPLAFLLGLHNSFHSPGLVVTLQPSTPYESFSRINATQHSQRDVSERVDQVLQKLNPVLPKKRDINGGSRDMNRTSISDSKKKGVPVSPALVANPSPANKTKTEVSYKGVERGMVSVDESQRTCQVKYGSYCLWREENKEPMKDSKVKHMKDLLFVARAYYPSIAKMPSQSKLTRDMKQNIQEFERILSESSADSDLPPQVDKKFEKMEGVISKATSFPVDCNNVDKKLRQILDLTEDEASFHMKQSVFLYQLAVQTMPKSLHCLSMRLTVEHFKSGSVDIEDSEKFSDPSLLHFVIISDNILASSVVINSTAVHARESKNFVFHVLTDEQNYFAMKQWFIRNPCKQAAIQVLNIEKMELDQSDMKLSLPTEFRVSFPSGDNRTDYLSIFSRSHYLLPKIFHKLEKVVVLDHDVVVQRDLSPLWELDMEGKVNGAVKSCSVRLGQLKSLKRGSFDANACLWMSGLNVIDLARWRELGVSETYHKFYKQMSGGAEPREAIALKASLLTFQDKVYALEDKWALSGLGYDYYIKPQTIKNAATLHYNGNMKPWLEMGIPQYKSYWRKHLNREDGFLSDCNVNP >A03p019570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7996512:7999265:-1 gene:A03p019570.1_BraROA transcript:A03p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFKPIPLFLLPLLIFTILSRADQALGSDQNRHVRHRNREALAAAGGVGKVALKGRKQTSGCNLFQGRWIFDASYHSYDSSMCPFIDSEFNCFGRPDKQFLNYSWQPDSCSIPRFDGQAFLNKWRGKRVMFVGDSLSLNMWESLACMIHSSVPDSKTTFIKRTPLSSLTFQEYGVTLYLYRTPYLVDISKEDVGRVLNLGTIEGGADVWKDMDILVFNSWHWWLHKGVQSQGWDFIRNGSSLIRDMDRLDAFNKGLTTWAQWVDQNVNISQTRVFFQGISPTHYMGREWNEPRKTCNGQMQPLTGSTYPGGSLPAASIVSRVLSSMKTPVYLLDITTLSQLRKDAHPSTYGGDGGTDCSHWCLPGLPDTWNQLLYAALSM >A07g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6969266:6974144:-1 gene:A07g503610.1_BraROA transcript:A07g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIQASCKKNYLLSLGADCRVGEWKNLENFVITPAGGGYRPTNHPYKLSFMKFTSIKPYEYNNIDMFLDLVEFETILSGQLDNNLLIDVVGQAIDIGENLSDVSPIKFAWSVLVKVLHTWISFSHQFGSSLEMVLTDINGVKIHASCKQELLQRVERQCGVGEWKVITNFTLRPASGLNRPTNHVYKMEFMEQTSITDGNLTCNNMFIHLHDFDNIKNGFCDERFLIDVIGEVLDFRGQNIVKFERKEVTKVEFNLRDIKNQRIQCCITGKSAEIFSEKVKQSNREWKVTNAFDSTLVLINPDIKEAKALRQKFQGDATTVEMCQHINEKIVIHEKRQKWSQYPFRTIQEMKYCDKGGNYRVICSVYAVDTINGWYYYACADCQNKVIKPTILFDEPEVPSWWCEFCQRIVTKVTPRYKLELLVQDQTGETKFTLLDSVATSIVKISAAKVVKGLLEKVEIQAMLPPEIVEIVGKSYGFGISVDENNNSSGLEKIEAMKVWGLKDILWKRTKSLHQNSTTSRKKQCTNVFKINESG >A03p029000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12193075:12194016:1 gene:A03p029000.1_BraROA transcript:A03p029000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDTARIVIQHPNGKEEMLSCPVSASYVMKMNPGHCVALLISTTALSASPGHGGPLRLTRIKLLRPTETLVLGHVYRLITTKEVMKGLMAKKCSKSKKEGKMSEDKVEMVKAFSSNKLDNEDQMKKQEKERPLRISRSWQPSLKSIAEGGAS >A05p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7957:9975:1 gene:A05p000970.1_BraROA transcript:A05p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RELRVGKMFNYKAKPKYANAKTAVWWDMNCCPVPEGYDAGRVRPSIEGALKELGYYGPVTITAMGDLTEAHPHFLQRLSSTGIVVQHAVNARLATLVFNDLMEFKRNNLPPATIMLISGKLDGQLNFPLGRNQQIQSGYNLVLASSSGGSLQRLHHTADWRWKTLLEAAADSVSQDTTTSYVLRKCSSSTLSSFVCRACKFTGLSVASFTSHLSTEEHKKTDKEEKKNFSVPDFSPFITMNDQAAPEYVNSKTAVWWDMDTCPLPDGYDARRVRPSIEGALKDLGYYGPVTISAMGNLENAHPHVLQGLSSTGILLQHTTRVRSYIYHDLRMFKVDNPPPATIMLISDQVEPVLSIFLSLDQQKSYYNLVLARTFTPPSMSCLCHTAEWLWQTLLARSPETTSCVLRNSASSFLCGSCNFTALSVTDFSRHLSSEEHKKEEFFSIKSSLPEDSDEGEESMAKASFNYSKAKTFEEEKAFRMTHVLLFNRRPLPAWPPMIILSLQQR >A04p011080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6911057:6911239:1 gene:A04p011080.1_BraROA transcript:A04p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDAYNDIGLEKDAMTWKVMPLIGFSGNVKQSLGEVVLLVYAEGVNMSTKFLVIDCASS >A02p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28025105:28026294:-1 gene:A02p044460.1_BraROA transcript:A02p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAAAEDIAARLSTLEGLYFPRAVQSTTASSDQRKSILLDLLRRDPAVFLERYGTQLSVDELLAFDALKHDYEVDWHLKNLRKKISPTSEELKSRSVAVRNRRLAYLNKLVSEGQYFSEDAMRDREPYLHHEYVGKFQDSMGRNMARPGERWSETLMRRAEEAALVTRIREEQQRLGVAECDWVGNEKMEESEEESEQEEVETEDEEEEEEKPIVASSSLAEAGRAENKQGTGLPPEEMQEMMDQFTSIMQQKFLSGEDHEHLDYTKIDNDENLDDHWLREVGLDAEEKYFGDD >A01g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25729313:25730210:-1 gene:A01g509520.1_BraROA transcript:A01g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVTFSSLLILMAEDLDGYEGDEDRIQGHSFGCCWKKSEACFDECNLLYIIKSISETSSDSSNKVDFYSSFFANIFLLFLKVYNDEADTLIISLENVHLHQEASSLWLPLVAVLRTIRRWELAGSSEQIDTAIVCDKGEVDFRGDSKFGEHSCHKREPGYTVT >A04p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14105237:14106525:1 gene:A04p023290.1_BraROA transcript:A04p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1C [Source:Projected from Arabidopsis thaliana (AT2G23310) UniProtKB/Swiss-Prot;Acc:Q9ZWI7] MESEPTVGVSASAPPPAATDDLQSSNASSPADAAARLIHAVEQRQQHLLDKTVPHVLYRWIACLVVVLIYFVRVYLVEGFYIITYAIGIYLLNLIIAFLSPREDPEASLTGGTLPTRRSDEYRPFVRRLPEFKFCLSIIRAFVIGFMMTFFEVFDVPVFWPILLFYWVLLFILTMRKQIQHMIKYRYVPFSFGKQQKYGKKPAPAESSE >A07p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24164924:24165782:-1 gene:A07p044260.1_BraROA transcript:A07p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKVETPVTVALTMTVETTSAEEAETKVAVARPPKKVEATNKQAEEEVKTTETSSLFGMVEAYVEIKASAEKFHHMFTAKPHHVSKVSPGNIQNYGEAKVAKERIEEVDPEKNLISFRVIEGDLMKEYKSFLATIQVTPKHGGTGSIVHWHFEYEKISEEVAHPETLLQLWVEMSKEIDEHLLSEE >A02p005270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2300689:2301038:-1 gene:A02p005270.1_BraROA transcript:A02p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIYLKGTIVILYGFGLRESEKVFNCVGGSVQASHGTAKAVMMRSAYVMLTRQPKTPLCVCTFVWATMWTRLVVMRGGQKLRKPLVLK >A05p040000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24493218:24498736:-1 gene:A05p040000.1_BraROA transcript:A05p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFLGAIDGAQTIPEKIAVIQAYLKKLEDAKKERAESMEEIDRAETIPEKIAIIQGYLKKLEDAKKERAEWRKQLEEKANRLQEQRNALKDQRKAIEDEKKQIEDLKKSDMDDDQKKAIAAQLKAVEEREKETEDQENATEDQVKEVEIQEKHADDVDSAQDAEGILLSTTLQNLVNASPPLPPLATTSPTVPSGFPALTPLNPTAATSSSGPSTSLGLGPPATTLPTGPSTSVTLASPAPTATTSSTGPCTSLGLGPPAPPVSSLPAPTSTCNPLLTSPRFSTLPPPAAASPTVPSGFLPLTPSNPTAATSSSGPSTSLGLGPPATTSSSGPCTSLGLGPPAPTATTSPTGPCTSLRLTPPAPPVSSLPAPTSSCNPLLPSPRFSTLPIFPPRNFSQPLFVGPPIVPLGPRNVPRLFWTDQLMQAFNEAIESLGGLNSANAKDVKKLMENHDVTESQISSKIQNLRRKHPRDDDGGGSSSAGKKLC >A01p046490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26153771:26155808:1 gene:A01p046490.1_BraROA transcript:A01p046490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVLAFSLCWLFTLFDVLIYQLLASFLRFISSRFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPISEDLKSGDMQEVVGELSVTLVNAQKLPYMFSGRTDPYVILRMADQVIRSKKNSQTTVIGAPGQPIWNQEQVLQIEVNDCLGFADMAIGTGQVDLGSLPDTVPKDRVVVLRGGWSLFGKGSAGELLLRLTFKSYVEDEEDEKRNAKANVPYASDDEMSDSEEPSSFVKNDKIPSDGPSQRVVYECESSVSPVPSKAGEDSKSQPEDFGSGGISEFEVKNVNSDGGLALLWFGVITSVLVLVAINMAGSSFFNP >A06g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21368957:21369785:-1 gene:A06g507650.1_BraROA transcript:A06g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYFTMKGDVVKPDPNWEEDEIFYCSICDLEWLNNCLGKRCFVAKKGMETELKRRLHVVFPRWSLAPISVMLVWLAGYVSAAMGKFFLFYLVLIKKVVPKYLQRMKTCDYILATGGGNRFTEVDPSDQLDSSSDEI >A01p054910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30831247:30832166:-1 gene:A01p054910.1_BraROA transcript:A01p054910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFSGKIESKGLNPGLIVLLVIGGLLVTFLVGNFILYTYAQKNLPPRKKKPLSKKKMKKEKLKKGVQVPGE >A03p023880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10105632:10106872:1 gene:A03p023880.1_BraROA transcript:A03p023880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTESQFHVLAVDDSLFDRKMIERLLQKSSCQVTTVDSGSKALELLGLRESNESDDPNATSTSPEVEINLIITDYCMPGMTGYDLLKRVKESAAFRSIPVVIMSSENVPARISRCLEEGAEEFFLKPVKLADLTKLKPHMMKTKLKKESEKPAEEVKPEIEEEESPVIEILTLHQELESEQQEPMLSNNKRKAMEEAISSGRSRPKYNDITTSV >A09p009550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4916684:4919873:-1 gene:A09p009550.1_BraROA transcript:A09p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPLTRRNRAPSSVLLTFFCFILCFSHSSNAQTKPVFACDVDTNPSLAAYGFCNTVLKIEYRVADLVARLTLQEKIGFLVNKANGVTRLGIPTYEWWSEALHGVSYVGPGTHFSGLVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLSSKYASGYVKGLQETDCGDANRLKVAACCKHYTAYDVDNWKGVERYNFNAVVNQQDMDDTYQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLAGVIRGEWKLYGYIVSDCDSVDVLYKNQHYTKTPEEAAAISINAGLDLNCGSFLGQHTEAAVKAGLVSEAAINKAISNNFLTLMRLGFFDGDPKKQIYGGLGPKDVCTPANQELAAEAARQGIVLLKNTGCLPLSHTTIKSLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAGTVPTTYLQGCSNVACAVADVEGATKLAADADVTMLVMGADQSIEAESRDRVDLNLPGQQQELVTQVAKAAKGPVLLVIMSGGGFDITFAKNDPKIAGILWVGYPGESGGIAIADVIFGRYNPSGRLPMTWYPQSYVEKVPMTNMNMRPDTSNGYPGRTYRFYTGETVYSFGDGLSYTKFSHSLVKAPRVVSLRLEENHVCRSSECQSLDAVGPHCENTVSGFEVQVKVRNGGEREGVHTVFLFTTPPEVHGAPRKHLLGFEKIRLGKMEEAVVKFKVDVCKDLSVVDEIGKRKIGLGQHRLHVGDLKHSLSIRI >A01g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22058386:22060352:1 gene:A01g507790.1_BraROA transcript:A01g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYVYKLNNFYGSRNKTVFRVSDHTATVSFAWNSELSVLQDGHAPFDEDSFRFYSYEEFQASCDLKGDLYDVVGHMKLVNGQSIKEAPVLDEVEIAKARHVLIHIQSHDGPVMKLYLWDQAARDFCKNFKSYEKTSTVLLVTTVNSKTLGGTLSLTSMSSSRVFMDYDVQPTIEYFGWLGSNPAIAEQCTATINDVVHDSGWYYIACSGCNTKVTNGATSMICTNIKCEKINVAGVAQYRSKISVYDNSEQAFFVLLGDAGRELTGKPASELVRNYFEANGNEEGNHKAPVPGALISTIG >A03p028930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12178744:12180788:-1 gene:A03p028930.1_BraROA transcript:A03p028930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGNDGFVRADQIDLKSLDEQLERHLSRALTLEKNKKRDEEESAAAIGASASSSPVAALNGGFVGQRKQRLEWEIDPSKLIIKTVLARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIVSLRADFAQEVAVWHKLDHPNVTKFIGATMGASGLQLQTESGPLAMPNNICCVVVEYLQGGALKSFLIKNRRRKLAFKVVVQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLTFSEVTSAVVRQNLRPDIPRCCPSALAAVMKRCWDANPDKRPEMDEVVPMLESIDTTKGGGMIPADQQQGCLCFRRKRGP >A03p013230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5188013:5188453:1 gene:A03p013230.1_BraROA transcript:A03p013230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQYYPPRENVDGNRTTLGGPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGYLDGEEDQSRDRDLEAGDVKPDKAVKAVPLPEKFLVIMAGDVKPTYLATPAEKSCTCDDDEDGDDDVKAGDQVVRQSTESNGSTH >A06g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12805813:12827616:1 gene:A06g504140.1_BraROA transcript:A06g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSCESHVGPAIVVSAPLEDDTLQDFIQALFAYKYVFQAASPEKAVRPLVNLSCVQLESPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSLKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPIDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMSIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHGTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFVEPSRYRVAVDMIAWGRKRLLLNKIEEDIQLMLSKGLELKSFLGDVPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNSNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVISGNKTRGKLCKKFRERIQQTNGRDPHGTFTTKRLLLHKKKKKKKQKNKTTPVF >A10p032780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19289517:19291899:-1 gene:A10p032780.1_BraROA transcript:A10p032780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVSSSLLSLKYLLIPNPRRRLISLTPVFLPKLSLSSITNHTTLNLLPSSATSGLRFISSSSIASSFKPEQARVPSALPLPAPPLTKFNMGLCQLSVTTDKKRNISHAKDAIEEAASKGAKLVLLSEMWNTPYTKGSFRAFAEDIDAGGDASPSTAMLSEVSKRLQVTIIGGSMPEKSGGRLYNTCCVFGSHGELKAKHRKIHLFDIDIPGKITYKESRTFTAGETPTVVDTDVGRIGIGICYDIRFQELAMMYAARGAHLLCYTGAFNMTTGPLHWELLQRARATDNQLYVATCSSARDYGSCYVAWGHSSLVGPFGEIIAKTEPEEAIIIAEIDYSLLEQRRSSLPLNKQRRGDLYQLVDIQRLNK >A06p041710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22436623:22443065:-1 gene:A06p041710.1_BraROA transcript:A06p041710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSYNLKNTTSLGRDPLFLSSSFSSNSDRAAAIKIRFADIIVKSSSNKSEAMMTIRREKRLLQERQLEEKAMIEERMKVRQEERLAVLKMEEEEKAMMEERMKVRQEERLAIIKKEEEEEARSNCKFEQDPLSAQKTEKDLLILIGGSRKARDRSLLKKFGLVLKIDHIDDDRLDDLEE >A02p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27748791:27749097:1 gene:A02p044060.1_BraROA transcript:A02p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPETDYSPANQRPEHEKFLMEYLTLNEPKTLEEQKRFIRESGLVSPQDYTSKLVSILKDQPFLFGSSSSYCNRNCNDDDDEEEKI >A01p043290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24968127:24970427:1 gene:A01p043290.1_BraROA transcript:A01p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPAEPAYSHVVPPLHLHLLSLKQKSSLYQRTCRRMMDWRLLIDAAKGETNLLNLARFHHSDFDKVTPHKNNVLHIAAKHQRFNFAAAILDLRPSLLLGENNNGDTPIHVAARVGSFQVLQLLVNSANLDIENRGLTKPLLRTTNKQNDTALSVALKSGHVDVAKLLVDQDTGLLDMANNNNESPLYLTIERGLFEIADHILAISPSVSGTGPKGFLTKLMETRPEMIKEVDSLGWTPLHYSVWLGKVEITRLLLQQDSSAAYISDKEGQCPLHLAASTGQIEAYRELVGSCPYVWELVDGKGRTSLHSAVISRKREIIDCILEMPEISLHLLNESDVDGNTPLHLSVVYKWQAVLLLLLENKRVDKFVSLNSCGTLLLSDSRVLVCYNFCFSWQISYEVTMAYYALQRYYKQPSQRQNIETKKKQEKADVEESKARTMYEIHLLVAVLVATVAFAAAFQIPGGYKPDGTPTLIEEAAFKCFLVFDTIAFCFSVTTVYFLFYASRHGFRARSSFLYMSCLLMVVSLIAMASAFVSGMYLISSKSRLLALVPFVMAGTFVLHGFIYWFFDPRGGYVLVLERPRQFFRKLFFQNPLYHVFVWN >A01p009590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4644110:4645509:1 gene:A01p009590.1_BraROA transcript:A01p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHNCCHLSFASVLKILNFLQAFIGISIIIYSIWMLDQYNRHVPVDPPPSQPPTASSPDSPYLFSTSRIQINSVSDSFQKPIGLVLGDSGLNLRSLDLPAPWFIYCFMAIGILVCIVTIIGFIAAEAINGCCLCFYSILKTLVIILEAALVGFIAIDRHWEKDLPYDPTGELTSLRAFIEANIDICKWVGVGVVAVQLLSLLLAMVLRAMVSPRQSDLDDEDDYENPINRARENLLAPQANQTSSGSSNIDNWRSRIREKYGLNNGQSQSPS >A01p017710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8633825:8638035:-1 gene:A01p017710.1_BraROA transcript:A01p017710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPARNSASRSHEVNNGRRREAFSRYLEQRERGSPRNYNTSSKGAKPGASSPSAWALSPGRVSTMKTSSSSSAPSTSMCHTPPESPVSKAKMRSGGGGAVAGVLKLIQLRFINARAEATMANLKVNVEDQLFWVWLRIYKMRKYVVENLIEVQRLRQEIKLRQVLSLQMPLLNEWSKLEAKNCEALSKLTRKLQALSARSPLLHGATVDVVSIHEEMVRAIEVMDEIEDFVIKFLPRQVEIILYEMTELLNMSKQELLYFEELVKSIFLVPVFAANESSLKVHFLQKIEEQRRHLQQIDNSGFSEVPSSIPPSPKIPNFFSRFRFSLASDCHGFKLSGSRKVQASSVEVAFQPNFSSLAIKSFGVPKEDDDKQSSDVNPSSSATTVSSAAILPVVSSPPARKDVEEIHTCVTRIEQDYIEPWDQNSYYPTVLPLRKPNSGDPELLDQEEFGNVAKHLHYDENSINSAEELGLTSGPHCKKQMLFFKVPDSLPVTKQPTAKRSVSERSSPFEGLPEGFMGKMLVYKSGTVKLKLGDVLYDVSPGPNTVFHNDVAAINGKERNCCRIGSSAKFATVTPDVESLLNSEPDMQINK >A03p048600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20793776:20794697:1 gene:A03p048600.1_BraROA transcript:A03p048600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRVKKNHTEKVSKDVKETGPAPLLQRLKTDVNLTNQFRIIHSGFLDKVDIFFFGVHENPGGRRVILDILTDMSMVSDLFIRCTFWEDIVPYIFPDSKLQFCSLSRLTSHYFNLDLGNVNKSSSELPKTRISQLIQNKYPWFIVKIENQIACLVLSLKAVQYGKTEPYRTEPNRNR >A05p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25779211:25780246:1 gene:A05p042670.1_BraROA transcript:A05p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEIENGILWEVEGKWTTVGAVDVNIGANPSAEEGGEDEGVDDTTQKVVDIVDTFRLQEQPTYDKKGFIAYIKKYIKLLTPKLNEEDQAAFKKGIEGATKFLLPKLNDFQFFVGEGMHDDSTLVFAYYKEGATNPTFLYFGHGLKEVKC >A06p014110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6371331:6373040:1 gene:A06p014110.1_BraROA transcript:A06p014110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNPSPFPNSHPRLVGMHHGSPQLPGNVPQFQPLNNRPPAQWMNRPNMYPEDTSGIMNNMLPQQQLPHQNGLLPPQQRMPQMPVHQNNIPHPMQPHGHLPGMQPQFFNSHLSRSSSSGSYDAMLGFGDMREARPGSGQGNRQNMRFHQQSFDGGLQRRDSRFRSKYMSADEIENMLKIQLAGTLTNDPYVDDYYHQACLAKKPEGEKQKHRFCPNHLRDLPSRARTNNEPHAFLHVDALGRVPFSSVRRPRPLLEMDPKSGNLEHKDTAKPLEQEPMLAARVIIEEGLYLFLEVDDIDRFLKFNQVQDGGNQLRQKRQALLDGLAVSLQLVDPLGKNGQNDGIESQDNLVFLRIISLRKGRKLLTRYLQLLIPGSDLMRIVSMAIFRHLRSLFGARSSDTETRETTIKLAKVIVLCIQTMDLGPVSACLAAVSCSSEQPPLRPLGSPVGDEATVILKCALDRAAELLRANHYNNAGMNLWRASFDEFFNLLMKHCISKYDSIMQNLNSQLSPHFGAEMTEAAAQAIVREMPIELLRASFPHISDEQKRILVEFLKLGSQKTDTVISQ >A10p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15210595:15211558:-1 gene:A10p023540.1_BraROA transcript:A10p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTMSDGLQRIRFPKGISGYIPPPGFAPRATVANARLNELFNLMVLLLTSDSDYFTEVVRNIYGSRRVQKLLGISDDMDAFFCAAILRRFFHIITDKYASYVVIRAMLVFDKKKKYVMYEHLLHHALDIARNQYGCIALNEVITDADDPFYRNLLLDLVARNALCLSNDPSGNFVVQHVLTLYDLRCTHNVAVSLRGHCIDLSFKKYGSYIVKKLLDTEESMAVVVTELLECEGGRLMRLARNEYGSFVVVKALRVTHEMNRVDLFRGLVQKLMPFRHRLLRSHGSNIANMLESTI >A10p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19510597:19511572:1 gene:A10p033260.1_BraROA transcript:A10p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQPNSKSLSDYETAWSPTSPLEFRLFLGNPFGGSSSLRSIPRMHQRSWDSGKVGLRIVDSLDDHRTDSSRILLPSPDSKNMIFGSLMMNPFTKSPMLKDDKPNVDGSCSGAVNNNDACQQGSVSEPIESEVEISEEDYTCVISHGPEPKTTHIYGSQVLESVENDGMTKKGCCEDEKESIFVIAPLDLTTIADELLPPSDFLRFCSFCSKKLGMGKDIYMYRGYKAFCSSECRSEVILLDEEREEEEDEEAKSDSSSDKDLSKKKSSGVIFTVG >A06p016190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7245008:7246138:1 gene:A06p016190.1_BraROA transcript:A06p016190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFQGTFGRSFLSFRRDQVDSNTPAAGSSSHSMEAELDSFQRQVAEKFTDLNASGELLSLEWIGKLLDSFLCCQEEFRAIVFNHRSQISTSPMNRLISEYFERSIKALDVCNAIRDGIEQIRQWQKLSDIVISALDSRRTVGEGQLRRAKKALVDLAIGMLDEKETSLAHRNRSFGRVKDSHSHHRSMGHFRSLSWSVSRSWSASKQLQALASNLNTPRQNDVVASNGLAVPVYTMASVLLFVMWVLVAAFPCQDRGLQVNFFVPRQFQWAAPVMSLHDKIVEESKRRDRKNCCGLLKEIGRIEKSSRVMNELIDSIHFPMSEEKEIEVKQRVEELVEVHEALKNGLDPFERKVREVFHRIVRSRTENLDSLC >A09p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44541521:44542367:-1 gene:A09p050760.1_BraROA transcript:A09p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWYRLKSVSQANTITVTDPRLKQSLETGSCSDSISFSLPDSPWLDLTTLYKSNNSRRKNGFTYANCNGRRSTSIKTPESWVILRNKNQSILNATFSLHIHLPRGCILCHNQGGECRMIKNTYSCVRGAGADPRSLFCFNCNDHYRGGLDTSNRKKC >A09p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5590640:5592169:-1 gene:A09p011080.1_BraROA transcript:A09p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLNILIVAVVSLIAFSPLCLCSKAYGSGGYLFPQFYDHSCPKAQEIVQTIVAKAFAQDPRMPASLLRLHFHDCFVKGCDASILLDNSGTIISEKRSNPNRNSARGFELIEEIKRALEQECPETVSCADILALAARDSTVITGGPSWEVPLGRRDARGASLSGSNNDIPAPNNTFQTILTKFKRQGLNLVDLVSLSGSHTIGNSRCTSFRQRLYNQSGNGKPDLTLNQYYASMLRKQCPRSGGDQNLFFLDFATPFKFDNHYFKNLITYKGLLSSDEVLFTKNRESRELVKLYAENQEAFFEQFAKSMVKMGNISPLTGGRGEIRRICRRVNHAY >A05p049260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28712131:28715566:1 gene:A05p049260.1_BraROA transcript:A05p049260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGGEDQSNPNQHHHHHHQVLHHAPYAPRRFAPKLSNQIHAPHHHQTNGDEDDEHHDVVASSAFHGVNPFSTDENSNPYDNNNNNAAVEGGDEDLDANRSRIGGGARLEKRQSQEELSDGGTTNGGDTTPYGSFKRPRTSSSSAGEYRKDREEWSDAAITCLLDAYSDKFTQLNRGNLRGRDWEDVAATVSERCEKLIKSVEQCKNKIDNLKKRYKLERHRMSSGGTSASHWPWFKKMEEIVGNSMTTKGASDEDRSGSSLGNAAKPARRYPLVTYNPGVQINNVKSKATSNPRWRRVVLKISGAALACTGPNNIDPKIVGLIAREVAMACRLGVEVAIVVGSRNLFCGGTWITATGLDRTTAYHISMMASVMNSVLLQSSLEKMGVQARLQTGIAVQGVGEPYNRQRATRHLDKGRVVIFGGIGATLGNPLLSSDAAAALRAIDINAEAMVKGTNVEGVYDCHSQDSNATFEHITFHELASRGLTTMDTMALNFCEENSIPVVVFNFLEAGNITKALCGEQVGTLIDRTGRGVILRASKRMQAVSVDETKNTVVLRAEHRDEEGRKAVDKFELKTRNPETIKQVEKKLMEKGVQRMERHPADGIPLKKQPKSGHGGKYTWEGPDRVEDYEMQPDPPAMDEGDPNYDEEQAKKNTGGGGDDVAVELVKGEVEVAKEAPTGVARVEVDPRLISSP >A09g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2632277:2633145:-1 gene:A09g500650.1_BraROA transcript:A09g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFIVKCLLVLDLCNKNSKVSSISQISKNIDSLLATLVSKTPSQGFTTTTSSSYSKKDNIYGLAQCRGDISNTDCSNYIQDAAKKIRENFIGKPDTGDGLIYYNVANVTETDPKTFDNKLGELFDRIRSEAALRESQGLGKGKRKLTPFVTLNGLVEFTRDLNALGCAQGRRQVERCGGNFASAAQCFATADLRSVTACHNKKGCRVL >A02p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1912957:1915699:-1 gene:A02p004400.1_BraROA transcript:A02p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRDNEAYEEELLDYEEEDEKVLDSGNKVNGDAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTPGQVSALILCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNNKIHKDLLKNECPHIVVGTPGRVLGLARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHEKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLGEMEKNRKLNDLLDALDFNQVVIFVKSVSRARELNKLLIECNFPSICIHSGMSQEERLTHYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQARFEVDIKQLPENIDTSTYSKRRVSSLFFFCHFF >A03g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16506745:16514333:-1 gene:A03g504620.1_BraROA transcript:A03g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLLLQLLLLLPLLHAYSGISTVRYLPGYHGPLPFELETGYIGVGEAEESQFFYYFIKSERTPAEDPLLIWLTGGPGCSSISGFLLENGPLAFNTESDTGNIPSLVSTTHSWSKVANIIYLDQPVDTGFSYSRNPLANIPSDTKSAKLVHEFVHKWLAKHPEYFSNPFYVTGNSYAGKVVPATVQEISIGNGLCCKPQINLQGYVLGNPITDLDNEKNWHIPFAHGMALISDEHYESLRRSCRGNYAKVDPLNTECMNLVEEFEKCISGLDLAYILGPKYENPAYPYEGNPYEHRLTVESNRWVNEESVLRALHVEKGTIGEWSRCDRGIPYKFDINSSVPYHKNNSIQGYRSLIFSSGDHDLLVPFLATQDWIRSLNYSIVEDWRPWMVHNQVAGYTRTYANKMTFATGGGHTIVYKPDEYSAMFKRWINGQPLYIGVGEAEEDQLFYYFIKSERNPSEDPFLIWLTGGPGCSSLSGLILENGPLAFNIESDSGNIPSLVSTTYSWTKVANIIYLDQPVGTGFSYSRNRLANIPSDTGSAKRVHEFVRNWLAKHPEYFSNPFYVAGNSYSGKVVPAVVQEISIGNGLCCKPQINLQGYVLGNPVTDYVGYNWRIPFAHGMGLISDEIYESLRRICRGNFAKVDPHNTECMNLVEEFEKCVSGLDWSYILGPKYINPSDPDDANPLGHRMIVQSNRWTNEESVRRALHVEKGTIGEWSLCDRELPFESDIESSVPYHKNNSIQGYRSLIFSGDHDMGVPFLATQDWIRSLNYSIVDEWRPWMVHNQVAGYTRTYANKMTFATGGGHTIAYKQEEYSVMFKRWVSGQPL >A09g510630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32854534:32855049:1 gene:A09g510630.1_BraROA transcript:A09g510630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNELGSWPIYIMQQPIRFRLVAARVSLCMAPDACTATPRAPHVLQHGQDSCRVPPLLPDVRLHDWNSCKVPQHHTHGWPHASVACVETPRAWSIHVVLLHVKLHVQLPCTVTPPPCTERPGHMHKDTSSFLVGLRDFNSSGEFFLPRSIPNIFPTPFLML >A04p018580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11137220:11138542:-1 gene:A04p018580.1_BraROA transcript:A04p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTAKRFCIGFVCGRSGTSNSNNKRSSSSLSSSSSSSLSCNNNNIKWEMRPGGMLVQKRSEDSNTEDLISLKVSTVSQLSYEISIDANSTFGELKMMIAIVSGIEPIEQRLLFKGKEREDREYLHMIGVGDGDKVFLLQDPAFKELKLLRFSSPPNYCLI >A02p033150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17509854:17511461:-1 gene:A02p033150.1_BraROA transcript:A02p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQKGIRCSDAPVKSTTGSMDKLAKGTSYSGAPPNSPAVIYFTYRWFHLWLSREERKTAFSGNRTLPLFLFSRTHVNSVIVVHEKKYSLEELQTMVDDMDYGLRLTIRTIVESRCSVLPGLKTAVPYDYLDLSSGSDWDQVLKKAEEEFQKEN >A04p009860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7468358:7471883:1 gene:A04p009860.1_BraROA transcript:A04p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYKPEHDLLEQEFLLKGRWYQRKDLEVKNIRGDVLKCSHYMPLELPQDRPLPCVIYCHGNSGCRADASEAAIVLLPSNITIFTLDFSGSGLSGGDYVTLGWNEKDDLKAVVEYLRTDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAAMVLDSPFSDLVDLMMELVDTYKFPLPKFTIKFAIQYMRRAVQKKAKFDITDLNTIKVAKSCFVPVLFGHAIDDDFIGPHHSERIYEAYIGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEVVGTRLFDPVDDYFVKGSCWSTMQELSSQPSSAQKSKSSGSRFYITMWQHLYSLVSGLAAGSSTSGAINEVRMKRPMSRTEVPSNVPSNQSSSETKEKANYEVSSSSSSDMISFDLSNGDPYPPHLSVALDDDQYVEFQVEELADFPSNPDEEERMLMEAVMKSLKDVEVETHQNKEPSKTTDTENTVEKEGNASSTTEPESAHPGTFSASGPTLSNHDAPLSSEANATSDSLPGPVNGSQGTDDLIDLSSRTKATVTVVGRSSTSGNVLDGLLRRWDLNFFKSR >A02g510550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27973241:27973861:-1 gene:A02g510550.1_BraROA transcript:A02g510550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLHRQGGVLSVLSLQPFYASWIGTLANGLSASSCRELNTLSNAQKMSHHPDSCSPANSNHHVANNRLVSYCCEDLR >A02g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22629418:22629745:-1 gene:A02g508240.1_BraROA transcript:A02g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLTGKSSRKSQISDTIPSNAKLTRLSYTTYNTQSLLQPPIITNESNPLRIVCLNGSINHKKI >A09p082600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59750167:59752668:1 gene:A09p082600.1_BraROA transcript:A09p082600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTTTTAMRVTIRGLGGADASDPCNFSIPLSTAIRILRKLKDFLLWIKEDLGPFGPIALALAYIPLTIVAVPASVLTLGGGYLFGLPVGFVADSLGATLGATAAFLLGRTIGKSYVTSKIKHYPKFQAVSVAIQRSGFKIVLLLRVVPILPFNMLNYLLSVTPVRLGEYMLATWLGMMPITFALVYVGTTLKDLSDITHGWHEVSVFRWVLMMVGIALAVILIICVTRVAKSSLEKALAENATDTLDGKKNDDISMLPIAEPPPPTDHLHEPLDIRIDP >A10p040700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22379677:22381176:-1 gene:A10p040700.1_BraROA transcript:A10p040700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENRYGIATTQKYDCLLFDLDDTLYPLSTGIARECGQNIKDYMVEELGIPKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYDNIKPDPVLRSLLLSLPLRKVIFTNADRVHAVKALKRLGLEDCFEGIICFETLNPTHTKTASGNTDIFDIVGHFDRSESVSLLPKTPIVCKPSDSAIENALEIANIDPSRTLFFEDSVRNVQAGKRVGLDTVLVGASNKVKGADYVLENIHNMKEALPELWKSDRKSADVGYSGKVAVETPVTA >A09g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9850569:9851227:-1 gene:A09g502980.1_BraROA transcript:A09g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFSPGLSRFQFLLRFLGSLVPINFRVISTASSLRRPVSGVSGGSAASCFGDDSPVVVSMAWSHVGVYLGTVLDGSLRWNSLVMKTVRCFVQVCSGETSGRSSSFPANLGVWSTDMVIG >A10p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9949451:9951955:-1 gene:A10p006930.1_BraROA transcript:A10p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT1G07540) UniProtKB/Swiss-Prot;Acc:Q6R0E3] MVLQRRLDHGFNGYEVPPTPRATRSPRKSAFKKNQISSFDLLAAVAGDLLLESGGNSSSSSNNAIGDTNEEDQCAVKTKPHQTVVEEINCDHIHDNDAERRFFVSEILPKTHRSPSPPKDFHFGSTSGITSDSSEKLGTQGLGQSVCFRSETNDKISMHGRLINDCEPELSRDIIAENKHHIGSGFKKPVPPPVCSDDIDLLNDDGENFSARYTTKTFRSTLRIGDRRIKKVLASKYCKLSSKQKETTVTNSDMDLKPSYYNKKHCLKSLRSERRYPIKKRRYFDGYTASQSEETPKRGSAFMSTIACQKQPALQSRDSHVKLGIKSFKVPELFIEIPETATVGSLKRTVLEAVTTILEGGLRIGVLVHGKKVRDDSKMLLQNGISLDTLSDTLGFCLEPNPPHSTKPLAPEDSDCLRPFNVPHTLTRCLASQGKHAKPSNSVESDLDSKPSAPNRAKPVYSRALIPVAPPLHAQALNVVPPRKPKRSEVAQRRIRRPFSVAEVEVLVQAVERLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLMAHAYWSQQQGKQQLLEGHQKLETSLGL >A09p043530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35484349:35488208:-1 gene:A09p043530.1_BraROA transcript:A09p043530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTDPSSGIIVIRHQGISVDRHFLISLTASSRELYNSLFSKVGITSDLTKLGIEENKQATTVRESKPTSKRIIMGAEEEKNKMWPPWLKPLLREKFFVQCKLHADSHKSECNMYCLDCTSGPLCSLCLSFHKDHHAIQIRRSSYHDVIRVSEIQKFLDITGVQTYVINSAKVVFLNERPQPRPGKGVVNTCEVCYRSLVDSFRFCSLGCKISGISKSFDKKRKDWTNNLSDSNDSYSSSTSIGRLKKNDDMIHNSFTPSTPPLSAVYRRIAKRRKGIPHRAPLGGLIIEY >A08g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4257767:4259888:-1 gene:A08g501740.1_BraROA transcript:A08g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKQDTFGSTTGRPEIGRKIFRYYEIFRRSQKLGHQNGRIKIFGIDRGTKIHRKGRNRPNRPRSSRWLDVYVFRTWWQALDSVCVKGSRRCCSTCNMYIQVDMWSTRWNGQARGVAMHATEACGQPCVRWGVDLHALQSCSRPSGRGCVILHETEACSQPCGARGGTAKHEVSRCMRPDHAARHVEDVVSACMTSGARGAATHASGAMRSDTRAATRLVPDWLMIPINRPRTPLISTHPEHIKTTSKHKEKAKKERSSFDISTWRRFCSSEERSVLVETSSSEDQSRRGSTCEGRERVRITEVGFGVKATVTSSELVESAGG >A02p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8953584:8956936:1 gene:A02p019380.1_BraROA transcript:A02p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65080) UniProtKB/Swiss-Prot;Acc:Q8L718] MAVWSCLRASSTRRRFSTLPLLVFSHSPSFNAVDPLFSPPPSLPSPSPCFSSLHRRLFSSLPPGGAPEPGPELPTASQDIIINDDSSLPVLAVVDLLDGFHQFTGLPWWMIIASSTVAVRLALLPLLVLQLKKLKRISELLPHLPMPIPESPTLRGSIDQFSIFLKESRAIGCPSFLWFFPYLSVQLPCFFLLMASIRKMSLDGHPGFDSGGALWFQNLSDLPACSFGPVFPILIAVFHYINIQISFDSPTVRQTTGLTGLLMRYYKLYLEILSVPLFFVGYAIPQGSLVYWVTNSSVSIIQQLSLKHPTVLAKLGLLGQGTSSPGVEHSMEITESVIKYVDSDLKEQTLSLQTLAPEELLSLSVQVLSKGDKETSIQLLRLALEKDPGYVRGLVLMGQTLLQKTQLSEATEYLERAISKLLDEAASDAEDVELLMLASQWAGAAYVQQGNMKSGIIHLERVAKLKEPGDAKSKEHYFEALLLLSSALYKEGQSHEAAKILRVVVDHNPAYKPLLEQCEDENELVSDLASSRKDHF >A09g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19643458:19644486:1 gene:A09g506660.1_BraROA transcript:A09g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEKDLLYEDLMKIVPEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASIVPLNANPVILSTVQREKQVSTDPASCNTQASDTFASTVPLNANPVILSTVQSEKQVSADPASCNTQASDTFASTIPLNANPVILSTVQSEKQNYLTFAESSI >A01p007510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3706620:3708444:-1 gene:A01p007510.1_BraROA transcript:A01p007510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSVMTFNLHDDQPEESPNSWEKRKDLCLSVITSYSPVILCTQQGVKSQLDYIQQGLPGYDQFGISRKGHEDPTDESCTIFYNKEKVELLEGGTFWLSESPSVPGSTAWGSEVPWSCVFFDKAAYTFQLKRVEPPGFSFQIVNTNLDEISPRARRRSALLTWQHMASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDAWPSARVRKNVALIRTYHAFKGDKQGTVEFLKLIFRALCLCWDRQTQDLHTDWILYRGRSVVPVMCEVVNDKVDELYPSSHYPVFAEFMLPRSVRMLEPTPPVPTSAQEEES >A08g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8044792:8045123:-1 gene:A08g504830.1_BraROA transcript:A08g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASNPNMAAERNEGRSFHFVRRRLDAVDLKSGKCSLSSPSLEISLTMEVSAKSPTKSASVTDNGGDETDLPITTTEIIVSRC >A02p006240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2635483:2641216:-1 gene:A02p006240.1_BraROA transcript:A02p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKESELRNTPSRQGLVLMEENLHRVSRSPDVGTHNQNDSVAEDNRRRRHYNHHTHGVQVKCSDRSWAAAAIADCVALCCCPCAIINFLTLTFVKVPWMIGRRCLGGRRKKQNKRRLHKRQRRGKINSEDGFHHYNNRKFETAVEDEKCGGGSGGDDDDDHRFVVERDGSLTKDEEEKTASVSGSEESRTSARVEAERVWLELYQIGHLGFGRAKDSADWNAVDDLDFEILNFHSSPSNADDDMDFEIVNFHSSPSSPSPVLPLTKNWWPTWDTRTKLNCIFTVNASSQLTNRIRSALEASRGEPPEEIKRYVIEQCEKWNLIWVGKNKAVPLEVNEIETLMGFPIYHTRGGGGISRAERLKSLSNSFQVDTLAYHLSVLKPLFPDGINVLSLFTGIGGGEMALHRLQIPMKTVVSVEFSQANKYILRDFWERTNQKGVLIEFEDVQDLTKDIIVELMKQFGGFDIVIGGSPCNNLAGGNRVTPNCLEGEQSLMFYEYCRVLNVVRETAKEMRRS >A04p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11551181:11552629:-1 gene:A04p019310.1_BraROA transcript:A04p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKVYADRMSQPSRAVIIFCKVNGIEFDEVLIILAKRQQLSPEFKEINPLGKVPTIVDGRLKLFESHAILIYLSSAFPSVADHWYPNDLSKRAKIHSVLDWHHSNLRPGAAGYVMNSVLAPFLGRSLDSKAAAEAEQILTKSLSTLETFWLKGNAKFLLGSNQLSIADLSLVCELMQLQVLDEKDRLRLFSPYKKVEEWIENTRKATQPHFDVVHKTLYGAKDKFEKQRKMGTTTVSKPGFQSKM >A01p041140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21991772:21993619:1 gene:A01p041140.1_BraROA transcript:A01p041140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGGFHEVETDGKLLLVYHHPKYRPIPQIKSTSSPDEAANQDHPSLPLFLCPLARARKDYHGTQHELWWLTSSPEYVISTTRADNRANHHVLPLFWCNNEKFSIHGGCRICYNSNFEKYATYVCLRCNFVAHRDCMYFPHTIKISRHNHRISHVSSLRYEKYPCGVCHQSIDGGYGAYTCHKCGDYAVHSRCALGKDVWDGEELEGIPEKDEDDITQDAPPFCKISKGLIHYFLHDHHLRLEENILYDDNKLCEACVMPIFEEKLYSCTDCDFILHETCLKAHRRIQHALHPHLLILKTINNVSSDFRCDACWRSCGGFVYQCPKEECDFKLDVRCASISEPFDYQGHEDPLFLSLDPEKKLLCEVCKDTGRQVLNCIKCDLIVCMVCATLPYKARYKNDKHFLTLSWGEEGCEKYWCDECENSVYTYFYWCNDCCTILHTFCLFGEDPYMKPGQCFELYRKKFQILAKRNISRPICHYCMKPCQGKIFKTEDSTTCSWRCASCIQ >A05g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16125799:16126179:-1 gene:A05g505760.1_BraROA transcript:A05g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISYVDVFENRFDRHKKNLYIVLISLHGLIRGENMGFGRDSDIGGHVQYVVEFARTLGSMPRVYRVDLC >A02p056670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34136628:34144094:-1 gene:A02p056670.1_BraROA transcript:A02p056670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGASKAKANGKLILGDLVLAKVKGFPAWPAKVSRPEEWDRAPDPKKYFVQFFGTQEIAFVAPPDIQAFTSESKSKLLARCQGKTVKYFAQAVTEICTEFEELRNHKSSVLGNEDPMDAADPGLVKDETVDGTDHTVTDSDGTDNLDSEVGPYFPKVEKKRVETEITATSSGSESLEQVDPKIKEGDFDKGTDGDGCTKEFGNGEKGLPNGKRIKKEAGGSVREGKDTVHRDKSDISHVPDGRDTSGKPDPKKSKGLLTEKSSSKVSGVKQEKSIGVKDGVSGKKRRLESESGKPASRVDESSRAAKKPRCEGKNDKEKCVTDSTGTVSHIKRELVVGLSARGGDLQYDKIVVTKRRRQTVEHDNSPPLSGSRVKSGKGQLEQRDRSSVTDKSGKGQLEQKDRSSSVSNAKVPASQSLKKRRAVCVYDEDDDEDPKTPSHGSQSVVPKATPVLADGPKNANVCRGTSTKARISAGSTESTGLRKVPLRKHCEDTSRVLSDNVENSTNSLPVVKVISELPAKDVKQILRSPMKSPQLVSPNKQVAGQHKTAKPPVKVSDAKKPHSESGKESVVGSDKVSPSQSQPANQRQRPASVGDKPTVVSNAALRLSDTVVVSKDTSGDLSAVMLDYNRENGSAPFTRARTPDSAASMKDLIAAAQAKRKLAHSQNSVSGNLNSSLLNISETQMRSHSPVMVQNASASAAIVMPVAVQGHQQDSFPSNHEHQSSSRNQNETDDHEERKLSSGHESVGGSLSGGTEAAVSRDAFEGMIETLSRTKESIGRATRLAIDCAKYGIASEVVELLIRKLENEPHLHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPPGTDARDNRRKCLKVLRLWLDRKIFPESVLRRYIDDIGNSSDDATGGLSMRRPSRSERAVNDPIREMEGMLVDEYGSNATIQLPGFFSSRTFEDDEEDDDDLPNLPIPQDAKNTSSGEPFNALEDLEARDTLSDRPHRFLEDVDRELKMEDVSGQPKDVAPSASCKNETKEQSLDAREPVAEKSTWAPPLPEDSPPLPQESPPSPPPLPPSPPPPSPPPPPLLPPPPPTTQFPPPPPSPSQSPPPPPLSPPPSPPPPPPPPLPAQSIALPPSLTIQQSIASHQQLPLQLGFPPAYPLSHQTYLGSMQQDQCTIFTGDQIVQGPGSSLRGSHVEGPGKTEFFVQQSSNFSPAGVYSSREPSAFTSSRQLEFGNSDAQNQRFQPNTLLSQRPMLRHLPSAPSSHFPYPSHVQSQSQRSYTHPYSFPPQRDDGRRFRNEEPWRMSSNGRSAENQSGAWIPGRNSHPGLPRATDNFFRPPSVPMSYQPSSASNLQAVPTIQGETISAHLRSCCFPDATISARHAYGELLEASLSYLTTVSCLRVVYIIASLCGRGHGHVGVEILRLVRELRVAGLLLSIEFLRL >A09p008240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4256946:4258379:-1 gene:A09p008240.1_BraROA transcript:A09p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDWSWLGVGGKKKSSSKSKKDIKATPPSSSPSRNTATAAGCMSGVFNIFDLQHLQFPINHHHLHLPKGVDAEETPFSPKRKDGNLNISMGIKIKTKPQARSSSSSSSSLAATESYSPSVKTPTLVARLMGLDLVPDNYTSSPTPSSSSSSHTNKHRHYSLQRNSVDGGTRSLPETPRISLGRRSVDVNSYQHQRSSLHVEDKENRSPRDYARKIVMQLKENVSRRRRMGTDITNKEQRAREVHEPKKASKITNVAPKVRDEPRLQTVQEELQGTEQGEKQSKSATKRKKAENFESRLVKPTQTMQEKPFGRSPATTNIVKSKDSSKLREPQSSRNRASTEFTTFQRQSQTHVAPIAKRALVIKDVDVLVAGEFPETATQSELAFEGEGIVTELERGIFETLVAETTTDYYDTWSENGAV >A03g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29500134:29500778:1 gene:A03g508790.1_BraROA transcript:A03g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEGGNGGSDLVYGVEKNGGLVNATGNHFNVFRVHEYRVLGNDIGGSPVARRNDDGSKNQTEDSRMRHSGGSSSPHLDGGLLDYQQK >A08p043640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24263893:24268109:-1 gene:A08p043640.1_BraROA transcript:A08p043640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISFCLAAYVVVTVLGLTSRRRLKKIHQWLNHRSEVVESNKEFHAYCFSLANRIDASIGNDEVPVDAQELAKTLLNQVCRRRCDDETKAVLMVLMISVKTACELGWFPERETQELLALVDSMWKGFSGAENVASGLSSPVSLIPQVMERFYPFTKLGQILVSSESEAESNILMKDFYISKKMLQHSPKQKVGLFVFRTEDISKSSCIIHPQEVSFLLNGKGVDKRYGLSMDTGPQCPTNVTSLLNAGSNLLQTIGCFGGSYFIIIALFDTISLPANPLLKDYVRSEVTESNSDCDVIEGPSRISLSCPISRTRFKLPVKGHACKHLQCFDLWNYVKINTRIPSWRCPHCNQSVCYTDIRVDQNMRKILEEAGRNATDVVISSDGSWKVVTENDENVGAVPETTHGHGDPTSFHNLGPTVLDLTRDEDEMETSGGTHANEQKPCVSEIQCPSSASTDALPELPQTLNTSDGQQQFVNSAARDAIRTNPYPLERLATNTASFHISMSGAQSSQFQGSHVTPLRNCLGRTTDLMERWNHIYGNSTTQTPSTPMPPPLHHQYALQNQRLPTRSLSTVQDRPIPSAITRPQTLGVNYGGASVQRHMQTPVQRRNLGGAASRESMNLTPANTGNWRPQFRMRGSLTPGSTGYDHMIIRPTQPVQTQAQTLPQPTAYNNMPVQAQAQTLPQPQPTGYYNSLDDEIQAFLALQTEAGIGSVPVGEGVGTQGSVWSMPPETW >A03p019290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7859352:7860855:1 gene:A03p019290.1_BraROA transcript:A03p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWDTDENLLKEYFANYGEVLQVTVMREKATGRPRGFGFVAFSDPAVIDRVLQEKHHIDNRDVDVKRAMSREEQSPGGRPGGFNASRSFDSGASVRTKKIFVGGLPPALTSDEFRAYFETYGPVSDAVIMIDQATQRPRGFGFVSFDSEDSVDLVLHKTFHDMNGKQVEVKRALPKDANPGIAGGGRGGSGGFPGYGGGSGGSGYEGGRVDSSSRYMPPQNVGSGYPPYGASGYGTGYGYGSNGVGFGGFGGYGNPAGAPYGNPAGVPGAGFGSGPRSSWGGQAPSGYGNVGYGNAAAPWVGGSGPGSAVMGQGGGASAGYGSSQGYGYGGNDASYGAPSGYGAVGGRPGSMPNSHGGGYGDGSDGSGGYGNLNHQGNGQAGYGGGYGNVRQAQQQ >A05p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9077394:9077932:-1 gene:A05p019310.1_BraROA transcript:A05p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVSSPVWLFQVSTLYTGKVFESNLEEAPLRFRLGKKYFRYLSLYSEEELKKEDVPKNLWLVYEVDAVKVK >A01p055030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30793112:30796590:-1 gene:A01p055030.1_BraROA transcript:A01p055030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGRVGSLISQGVYSVATPFHPFGGAIDVIVVQQEDGSFRSTPWYVRFGKFQGVLKGAEKFVKISVNGDEADFHMYLDNSGEAYFIREVDPETTNDLISSSDDSNGSERVLTLEHSSSDVGAGELREGLSSLNRLDRTESDSNGRYYDFQDDPPSPTSEYGSARFDNLNVESYGDSSPGLDSEVVLVSVDGHILTAPVSAEEQEAENLRLNTPQFHLAPGDGTEFCEGSTEFASSETSWDTEFISKVESSSAVVNIESKKVDIGCVECNDENAKSEEAASTLEVQNLKEGELVPTTITESVRGEDEVAIVGSCLEQSEEAASNFEVQNLKEGELVPIETVTTLVDGSESSTTQLTTEEVKTPEESNTELECEDEETSPSAETAILIENKEGEIIESEERVSVDSTREEDEQLTPSKEPEEDNENKNTTESVAETSNIARSETELKYELSLCKDELRQGMGLTAAAEVFNTHLISTEEYKNSATSILESENLVVRIRETYMPWKKAARIVLGKVVFDLDLEIQPEDVISVEETKPKDDETAAVTTSPSSSGRRWRLWPIPFRRVKTVEHTTSSNSSSEEDLFVDSEPGLQNSPEVLSTNESPRRQLVRTNVPTNEQIASLNLKDGQNMITFSFSTRVLGTQQVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPDGLFPALYREVIRRAPHEFKIACLEDIKKLFPEHYNPFYAGFGNRDTDELSYSKLGIPKGKIFIINPKGEVATGHRVDVKKSYTSLHTLVNDMFPPTSLVEQEDYNPWNFWKLPIEEVD >A04p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20604700:20607104:1 gene:A04p036190.1_BraROA transcript:A04p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDVESVLRFLRRNGLKEAESALRDDINEQNQLVSFDFEKFLFPIPPPIRITASPLPTPPDPSGDKGSDSSSDDEFVSLDSFTSGFVNPYGDGSSSSSESMSQFGTARTYHEWSEFYIQTKNKEETEDDEFMSPAFTESDFFIFPAPTQDKFITDNQFENNNLGVYDKSSEGSQTEASLDYLDKSFLINNLEDDSKDYTGPESECFDGELLGFSCEEDAKENDDSKTGNEVNVIDEEVNVFHDLEEDEYEVFDLRIIHWKNRTGFEANKDLPIVLNSVIGGRYYITEYIGSAAFSKVVQAQDLHNGVDVCLKIIKNDKDFFDQSLDEIKLLKHVNKHDPADEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEPYFNLSRLQVIMRQCLEALVFLHGLGIIHCDLKPENILIKSYKKCAVKIIDLGSSCFRSDNLCLYVQSRSYRAPEVILGLPYDEKIDLWSLGCILAELCSGEVLFPNEAVAMILARIVAVLGPIETEMLEKGQETHKYFTKEFDLYHLNEEMNEIEYIITEESCLEDQLNVRDELFLDFVRSLLEINPLRRPTALEALNHPWLSSSSYN >A03p067580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29723333:29725866:1 gene:A03p067580.1_BraROA transcript:A03p067580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFFSSFFIYCYLSCLQIKTLKTLKSRSMAMKPIGKSIVSSDYDDKVMFFKDVSLVHHKSQLRFRLIHFWEAWNPVKNTLIGLEMLLIDEQYLPEMKRGSVYKLNNFYGSRNKSVFRVSDRTATVSFSWNSELSVLQGCHTPFDEDSFRFHSYEEFQAKCDLKGDLYDNLCNAPHVFLVASFSEVGLERITFLCRGLSHSLKSNVVGHMKLVNGQSIIETPVLDEVEIAKARRVLDRFFNGPVMKLYLWDQAARDFCIKFKSYGKTPTVLLVTTVNAKTLGGTLALTSMSSSRVFMDYDVQPTIDYFGWALIQLLMSRLMHKWMPSLSARLQSMTSCMALLGTTLHAVGAILKVTKGPTSMICTNSKCGKVNVSGVAQYRSKISVYDNSEQAFLYYLVDAGSELTGKPASELVRNYFESNADQEGNHEAPVPEDLISTIGQRHKFCVKVTELNLSGKTRSLTVTKNLPLDIPPATKSLEGNHTTATSEETFKNSGFCRGE >SC163g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:113206:121844:1 gene:SC163g500090.1_BraROA transcript:SC163g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVGSKGLWKHITSGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDDFIRRSEMDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRWEGNLKLKKMVRMEPA >A05p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1939369:1939987:1 gene:A05p004990.1_BraROA transcript:A05p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSAFLSSRSSYEADVDSDSDIFTSSSSYSSYSESDKETDNGFVGETMKETKKSEKKKSNVLLEGYVVDDLKRTKSLTDDDLEELKGCVDLGFGFNYEEIPELCNTLPALELCYSMSHKFMDQDHHHTSSSPEKIESPLSQIASWKISSPGDSPDDVKARLKFWAQAVACTVRLCT >A04p030970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18362779:18363888:1 gene:A04p030970.1_BraROA transcript:A04p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMVSWSKLHDDVLQLILEGLDIITYVRARTVCKDWYAACKRITSVQEKSPWAIIFPDGRSKCGSCLVSDCCTSGLTGKCKFYRLHNLGNDFGTHRCIATCGGWLLMLDLRSSLYVLNVFTRERIKLPPFESHKGRLCVERRRRDTNFVINKSSVTTRNAVNKTNAVLWVDERTKRYLVVWSIGLWYMMYARSGFDFWREIPTREGPENLYGCQDIAYKDNKLYVLSRQNQIRILDFSQELPLALPTNVEHQPFTNDRPWRVKIGVTVSEHVLMVKNRLNKILKIFKSDSEGTSWDTVESLEGEAWIMDLGVTVPAVNGTKPNYIYYSKDKYVYWGDVSTQELGMGQYVNLNRNFSYARWFIPSLREL >A03p033600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14225844:14227496:1 gene:A03p033600.1_BraROA transcript:A03p033600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLNRRVSFSLLIVIIVMFSSYKADSKCEFKAIFNFGDSNSDTGGFWAAFPAQSGPWGMTYFKKPVGRASDGRLIIDFLAESLGVPFLSPYLQSIGSDFRHGANFATLASTVLLPNTSLFVSGISPFSLAIQLNQMKQFKVRVDEFHSSDRQGLHILPPSNIFGKSLYTFYIGQNDFTSNLASIGVDGVKQYLPQVIGQIAGTIKEIYGIGGRTFLVLNLAPVGCYPAIITGYPHTISDLDKFGCLIPVNNAVKYYNMLLDKALSETRTVLKNATVIYLDTHKILLDLFQHPKSYGMKYGIKACCGYGGRPYNFNQKLFCGTTKVIGNSSATAKACRAPKDYVSWDGIHATEAANRRISTAILDGSISYPPFALNHLCSSV >A08p038520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22283034:22287216:1 gene:A08p038520.1_BraROA transcript:A08p038520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNGFHPSSAEGEKKPINSQLWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPNYPNLPSKLICLLHSVTLHVSLFCPPTCKSKSERTRRKTNHDYCENLNQADTETDEVYAQMTLQPVNKYDREALLASDMGLKLNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLIAGDSVLFVRDEKSQLTLGIRRANRQTPTLSSSVISSDSMHIGILAAAAHANANNSPFTIFFNPRASPSEFVVPLAKYNKALYAQVSLGMRFRMMFETEDCGVRRYMGTVTGVSDLDPVRWKGSQWRNLQVGWDESTAGDRPSRVSIWEIEPVITPFYICPPPFFRPKYPRQPGMPDDELDMENAFKRAMPWMGEEFGMKDAQSSMFPGLSLVQWMSMQQNNTLSAGAAATTQLPSAYNLPKNFALNDPSKLLNFQSPNLSPVNSQFNKPNMVSSHISQQMQVQPAMVKSQQQVQMSHQQLQQGVYNAGSVNNGVSVVSCQNQSTGFSQSQLQQQSMLSNGSAKMNHQSIVGNKSSSQMTSQELQFQQQMELHNSSQLLRSQQQQSSMHSLQQTPQQLQMQQPSPTPSPSPQLQLQLLQKLQQQKQQQSVPPITSSLQLELSAALQQTQSHQLQQLLSSQNQQPFSAPTFMQPPQVQVSHHQQQGHMNNKPLITAGGSHSGHTDGEVPTCSTSTSANNTRHDNVSPTNFLSRSQQQGQAASVPAPDPVQSRNNQGMVNLRSAADQINVSTAGTTYCPDAVGTAQQQQAFPLPSFGDCQRNNLAFAGNLEAVSTPDALYSQKDFQNLVPNYGNAPRDIETELSSAAISSQSFGIPSIPFKSGGSNEIGGVNDSGIMNGGGLWPNQAQRMRTYTKVQKRGSVGRSIDITRYSGYDELRNDLARMFGIEGQLEDPRPSDWKLVYTDHENDILLVGDDPWEEFVNCVQNIKILSSVEVQQMSLDGDLAAIPATNQVCSETDSGNAWKVHYEDTSAAASFNR >A04p028790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17260337:17264060:1 gene:A04p028790.1_BraROA transcript:A04p028790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELMGKVKREKVVACMTCSLCDNLLRDATTISECLHTFCRKCIYEKITEDEIECCPVCDIDLGGTPLEKLRPDHILQDLRAKIFPLKRKRDKDLEITLPARRKERSISSLVVNTPRLSAQAGTTGKRTTKSLMRKDVRGSSGSFTKRGVKKEKDHHTESASSPETLKKFTQKKRQSSYAEANQSVSNRRNKDVAEPWDSLHLINFLVDVANGTKPSSSSQGLDPKSEHGNASHIDVQGNKTKTKDHKRKWKLEEENTTNGDPTTTETATVKRTRRTRRKRSSAFGDPRTPPLPDAESLKQERRNGPGRRNITASDSSKLLENKVEIRCMGEPVIPTLQLHSLVELWLQTTTSKHERVTASIGSSAKEFVMVLVYARKLPECNN >A02p045040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28313809:28315901:-1 gene:A02p045040.1_BraROA transcript:A02p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRFIFSSSFLLLHLLITQAQPHSLNKPTSTFSIQEATVDDIRVAFKEKRLTSKQLVEFYLKAISKLNPTLHAVIETNPDALVDAEMADKERQLKGVTKLPMLHGIPVLLKDNISTKDKLNTTAGSFALLGSVVPRDAGVVKRLRRSGAVILGKASLSEWAHFRSFSIPNGWSARGLQGKNPYVLSADPSGSSSGSAISVAANLVAVSLGTETDGSILAPSSQNSVVGIKPNSVHVLDAIVGYDPLDEATKTASKYIPKGGYKQFLRANGLKGKRLGVVFGSLLDHDIKTLRQEGAIVIENLTIPYTDSGEMTALLAEFKISLNAYLKALVKSPVRSLADVIAFNKKIAKKEKVKEWGQEVFLEAETTNGMGDKEKAALLTMEEFSRNGIEKLMKENKLDAIVTYGSTLSSVLAIGGYPGITVPAGYDSEGVPFGISFGGLRFSEPKLIEIAYGFEQATLIRKPPKFKA >A08p031320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19120023:19121264:1 gene:A08p031320.1_BraROA transcript:A08p031320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTFSSEIVFFDLETTVPNKTGQHFHILEFGAIIVCPRKLEELESFTTLIQPKDISVVSLRSSRSDGITRAKVREAPSFEDVAEKIYGLLHGRIWAGHNIRRFDCVRIKEAFAEIGKAAPEPSGIIDSLGLLSNKFGKRAGNMKMASLAAYFGLGVQKHRSLDDVRMNLDVLKHCATVLFLESTLPNQLEGQWQSSSKIMTRSRSNKQIAPRAMPYSKGGSLGKMTQNVKNLLSKAQGNQALQNLIKHSHSLLR >A01p017620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8598077:8600489:-1 gene:A01p017620.1_BraROA transcript:A01p017620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-2 [Source:Projected from Arabidopsis thaliana (AT4G25110) UniProtKB/Swiss-Prot;Acc:Q7XJE5] MLLLVDCSSCRTPLHLPPGATRIRCSICHAFTLVAPEPHHQSHAPASPLPFSNSFTFPPPSSSLYPPVPSPSVYPPPTLSHSLSAPSAFSHVPSAPSPFSHAPPAPSPFNHFPPAQAPVSHSSFNHAHLAPSPYNHAPPGPPPPVHGEKRAVIVGVSYKDTENELKGCINDAKCMKFMLMKRFKFPESCILMLTEEEADPLRWPTRNNITMAMHWLVLGCKPGDSLVFHFSGHGNNQADLNGDEVDGFDETLLPVDHATSGVIVDDEINATLVRPLPYGVKLHAIIDACHSGTVMDLPYLCRMERPGKYEWEDHRPPSGMWKGSSGGEVFSFTGCDDDQTSVDTPQLSGSAWTGAMTYAFIQAIERGHGTTYGSLLSAMRSTVHEIFDTNKGRELVEVDSDFLNTLLGLLILGAAPSASEEAPQKTQEPQLSANEAFDVYEKPFSL >A08p002380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1342948:1346449:1 gene:A08p002380.1_BraROA transcript:A08p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVMEIDPPPLASASRTRKPRKVNLEVIDVEQYHVDKMNKGKAIQDGNNPFSHVSNGVMPIDVDSYTVVLNDIPTGVKVVMSTTQPCEFQNYSSKGTSKSSRNSSNPFLATPSSAPQSLDFASLSASFAQNNQTVSSSAVQPVDSDASASSSSAEAVPSSQANFLRDFKRFDTVEDFSDHHYLSKGKASKQHSKTWLKKVQADWKILENDLPETIFVRACESRMDLLRAVIIGAEGTPYHDGLFFFDIQFPDSYPSAPPKVHYHSGGLRINPNLYNCGKVCLSLLGTWTGNKREKWLPQESTMLQLLISIQALILNQKPYFNEPGYEPTKGTVSGESHSKVYSENVFILSLKTMVYSMRKLIDVSLSICASRGTSKHQEATVLRTLNPASSSP >A06p051590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27230775:27231890:1 gene:A06p051590.1_BraROA transcript:A06p051590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMSGAALIGLGSTFSISRRSSVGRVNMRVGWKNVIISPQRKKSWVMAAVKGDDSNSKLDPKWLDDASEKASEYVKEKGSEVGHLTAHEGQEVLDHIQRAKYYFMEKAGVAMDMLTENAHIASDFVAEKANVMEEEAVSITEKARDFVVEKTGEAKDFIVEKAGEVKELATDMSKKTAIYVGEKAAEAKEAILPPKTEE >SC314g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000159.1:13914:16439:-1 gene:SC314g500010.1_BraROA transcript:SC314g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKVTMRRSEGPWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDDSGTQSSEGD >A04p030870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18311522:18312403:1 gene:A04p030870.1_BraROA transcript:A04p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMITDLSLDLVEEILSKVPLTSLKAVKSTCKRWNTLSKDESFTKKHSAKEFPLFLTFDYYFSQRRFNVHRIHYNTKDLPCIKEIGKLHSPIKLHIVHHCGGLLLGYAEEKLVVWNPSLAQTRWIELRNKRRGLGQCAIGYDNNKNHKVLVRFYNVHENNIINEHEIRHEIYDFKSSSWRGHDIPNPKRLRERGVSVKGDNYFVVDGKLLGFDFTKERFGPFMDLPFESSDDFEYDRIPYSCVRGEQLAVLFQRHLCDLVIWIITKIEPDTVSWSNFFKVDLKPFLGFDHWFC >A10p027800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17422050:17427012:1 gene:A10p027800.1_BraROA transcript:A10p027800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENFLKDQYFPKSAPPHICISIFVFSDHRSEGLFLIVSGYVKDFLSLFYGVRMPELRSGARRLRQPNPQAIEQAENIELPRQTTTRRRGGGGGGRGRGTAAALAKAAAPPRPTGATGRGRGIRLIDLEAEPVGEPAFNQVQGVADKGIAMEGGSPEKVVGVEEDPSTAPVPERVQVGSSPVYKTERKLGKGGFGQVFVGRRVSGGSDRIGPDAVEVALKFEHKNSKGCNFGPPYEWQVYSNLNGCYGVPAVHYKGRQGDFYILVMDMLGPSLWDVWNSSGQSMSPNMVACIAVESISILEKLHMKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASKWKDTHSGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLKGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEAVTNLKFDEEPNYAKLISIFDTLIEPCALSRPVRIDGALKVGQKRGRLVLNLEEDEQPKKKIRVGTPATQWISVYNARRPMKQRYHYNVAETRLSQHVQKGNEDGLLISCVASAANLWALIMDAGTGFTSQVYELSKVFLHKDWIMEQWEKNYYISSIAGADNGSSLVVMSKGTTYTQQSYKVSDSFPFKWINKKWKEDFHVTSMTTAGNRWGVVMSRNSGFSDQVVELDFLRNGRKICTLHQYAMAGQCAELLIRHLTSYVQLESQRQLELLMDRHNTPNQKRLKGINSTSSLLALKLFPLARFLPQPKGQSTGGRGVFSSSRGAPRGRGGFSSSRGGPRGRGGFSRGRGRGY >A01p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7325247:7329671:-1 gene:A01p015100.1_BraROA transcript:A01p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRHTPDTSTISENMINNKSFLFSIILYFSLTITTSVILVSAQDQQCLAKGSFNTNSTFNKNRLLLLSSLPSNVTSQNNFFYNSSLGQDRDRIYALAMCIPDTETEDCSNCVKTTSDGLIKTCPNSTEAFHWSGGEKTLCFVRYSTRSFIGSPDMDPRQILPNATDIRSNLTDFDGIWQDLMLRMVESASSKYYEAETTPLTSTSSRDTMTIYTIMQCTSDNCCRGKQGGLVTRPNCVFRWEFYPFYGAFRNTSPPAKKDGSSTVKIVVPVLVVGSLLILAVVGYVLYRRRKKNNKDSLREAYQELDIATSDFSEESKLGEGGFGPVYKGKLQNGEDIAVKRLALSSGQGEEEFKNEVLLLSKLQHRNLVKLLGFCLKGEERLLIYEFVPNSSLDHFIFEVAKQDHTLSLKASWSTRYSIIENIARGILYLHEDSRLKIIHRDLKPSNILLDYQMNPKISDFGMARLFESDDHTQGLTTSRYIAQGRFSVKTDVYSYGIMVLEIICGRKNNSFQPSGVALHAWTNWRGDRALDIVDSVIKENVSRNEMMKCINIGLLCVQESVTRRPNMNSVVHWLKSNSVTLPVPSTPAYVVHSESGEASRVSQSTVNVSITELEPLNSKSCKPIQLQLNRKRTLGSVRQVHDQGPVNIRRNGSVFGRSEMEKVKIQRLKLSSKRKVTSFQCPNTLRNRVSFVVRSLAKGRVEMCSLSASLLLPTKLKPAYSDNRSNSNSSLFLANRRRPKRKNQSIVPMARLFGPAIFESSKLKVLFLGVDEKKHPLTLPRTYTLTHSDITAKLTLAISNSINNSQLQGWANRLYRDEVVAEWKKVRGKMSLHVHCHISGGHFLLDLFAKFRYYIFCKELPVVLKAFVHGDVNLLNHHPELQEALVYVYFHSNVNEFNRVECWGPLWEATSPDGHRTQTLPEKQCVDECSCCFPPVSSIPWSHSLSNEGVDSYSGTQGEGMSTPSPEKLY >A02p001610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:712740:714521:-1 gene:A02p001610.1_BraROA transcript:A02p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETWFRNLWKFPKKNESHKEKDVLGVLAFEVASLLSKLVHLWQSLSDKNVGRLGQEITRSAGIKKLVSDDDDFIVRLIRDEMMENVENVAKAVARLAVKCNDPKLKSFESCFGEMMKTGADPYGWQFGWKKMDRKVKRMERFISSNASLYQETEILTELEQSLKRRQSNESATDNIVEYKKKVTWKKHEVKNLREVSLWNRTYDYTVLLLVRSIFTILTRTKHVFGISYRAEASDVSSADSDFIARGHSVSTVLTHQSETTRPPRFASGPLGRFTGPASGSAATKSTKMGDFLSGSLTTQSPKSGPLAPEKNKRFKFYSGPLGKFTSKSGPLMGMGKHNKKTVVQTPERPSVSSAKKQSKPNRLTQVGPFKGCLVSQDGITPLSTRTQNGARHSSAEHHQILEGSSNTVHVERPKLSDAAPNTLGAACLALHYANVIIVIERFVASPHLIGDDARDDLYNMLPASVRKSLRERLKPYSKNLSSSAVYDPGLAKEWTDAMAGILEWLGPLAHNMIKWQSERSYENQSLVSRTHIVLAQTLFFANQQKTETIITELLVGLNYVWRYGRELNAKALQECTSSQTLEKCLDTDNY >A05p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8138772:8144196:-1 gene:A05p017840.1_BraROA transcript:A05p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTELIFIPTPTVGHLVPFLELARRLIDQDDRIRITVLVMKLQGQSHLDAYVNSIASSLPYVRFIDVPELEDKPTLGSTQSAEAFVYDFTERNIPLVRNIVLSILSSPALDGVKVKGIVADFFCLPMVEVARDVTLPFYVFFTTSSGFLAMLQYLADRHSNDTSVFVRDSGEMLSIPGFVNPVPVNVLPTALFMEDGYDAYLKLAMLFNKTNGILVNSSIDIEPFSVNHFSSEKSYPPGFLGRVKGRGMVCRWSPQVEILNHEAVGGFVSHCGWNSIVESLWFGVPTVTWPMYAEQQLNAFLMVKELNLAVELKLDYRARRDELVSASEIETAIRCVMSKDDGLVRKRVMDISQMVRRATLNGGCSTIEAIAMEKQEAELIFIPFPITGHLLATIELVKIILSHDPRRIHTITILNWGLPFLPQSNNDASLQSLAKSEPRVRIFTLPELTNPPPMEFFVRAPEAYLLEFVKRMVPLVRDAVSTLLSSSRDGSDTVRVAGFVLGMFCVPLMDVGNELHLPSYVFLTCSAGFLSLAKHIPERHRRVKSGFDRNSGEEENTVPGYVTSVPTKVLPLGLFTSESYDAWVGMAEKFHEAKGILVNSSTCLEPDAFGYFDRIPVNEYPPVYPVGPILCFNDRPILNPSERDRVMTWLDEQPESSVVFLCFGSLKNLDAAQIQEIARALEIVGCRFLWSIQTDPKEYPNPIEILPDGFMNRVSNLGFVCGWAPQVEILAHKAIGGFLSHCGWNSILESLRFGVPIATWPMYAEQQLNAFTMVKELGLALEMRLDYVLADGEIVKADEIARAVRSLMEGENVPRRKLKEIAEAAKEAMMDGGSSFVAIERFIDELIFAMDCSKSRE >A09p066510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52767313:52769795:1 gene:A09p066510.1_BraROA transcript:A09p066510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLTSMASGRSFVTSDNVGEDETPRSKKPNKTREDVESTEAATYERGSNGLGDDKTREESVSTTENSHSLPKEMENGGDDKDSDGGIIKGNGTESGRIITTTKKGLNDQRDKTISYKAEHVIGTGSFGVVFQAKCLETEEKVAIKKVLQDKRYKNRELQIMRMLDHPNVVELKHSFFSTTEKDELYLNLVLEYVPETIYRASRSYTKMNQQMPLIYIQLYTYQICRAVNYLHRAVGVCHRDIKPQNLLVNNVTHEVKICDFGSAKMLIPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSVGCVMAELFLGHPLFPGETSVDQLVEIIKILGTPAREEIKNMNPRYNDFKFPQIKPQPWHKIFRRQVPPEALDLGSRLLQYSPNLRCSALEACAHPFFDALRDPNASLPNGRALPPLFDFTAQELAGASVELRHRLIPEHARK >A06p022010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12496840:12499746:-1 gene:A06p022010.1_BraROA transcript:A06p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 16 [Source:Projected from Arabidopsis thaliana (AT3G48010) UniProtKB/Swiss-Prot;Acc:Q9SU64] MSNLHLHTSARFRNFPTAFSRRHHNNNDLQNQRGRSVFSELGDTTLDPSGDLITRWNHIFLITCLLALFLDPLYFYLPIVQAGTACMSIDIGFGILVTFFRTLADFSFLIHILLKFKTAFVSKSSRVFGRGELVIDRREIAIRYLKSEFIIDLAATLPLPQIMIWFVIPNAGEFRYAAHQNHTLSLVVLIQYVPRILVMLPLNRRIIKATGVAAKTAWSGAAYNLVLYLLVSHVLGSVWYVLSIQRQHECWRRECIKEMNATHSPSCNLLFLDCGSLRDPGRQAWMRITRVLSNCDARNDDDQHFQFGMFGDAFTNDVTSSPFFDKYFYCLWWGLRNLSSYGQSLAASTLSSETLFSCFICVAGLVFFSHLIGNVQNYLQSTTARLDEWRVRRRDTEEWMRHRQLPQELQERVRRFVQYKWLTTRGVDEEAILRALPLDLRRQIQRHLCLALVRRVPFFAQMDDQLIDAICERLVPSLNTKDTYVTREGDPVNEMLFIIRGQMESSTTDGGRSGFFNSITLRPGDFCGEELLTWALMPNINQNLPLSTRTVRTLSEVEAFALRAEDLKFVANQFRRLHSKKLQHAFRYYSHQWRAWGTGFIQAAWRRYMKRKLAMELARQEEGDDYYYDDDDDDQYGGEDMPESSNNVDDNSSNNQNLSATILASKFAANTKRGVLGNQRGSSRIDPDDPTLKMPKMFKPEDPGFF >A09p067830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53348068:53350172:-1 gene:A09p067830.1_BraROA transcript:A09p067830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G62590) UniProtKB/Swiss-Prot;Acc:Q940L4] MDSGVFLKMSVQCVSPKIPVGPSMIRAIGGSVEERRTSGSLPRRVSRRPLEFLRIGGKGRKESARDDDDAVLLEREERNGNWVLKILEVGSIWKGKRQRSGGGDGEEEEEGSKKDESCDFCRIDDEEEEEEMVFDRENFSKMLMKIPLDDAQMFAKLSYLGNLAYSIPNIKPENLLKYQKLRFVTSSIEKRSSLDQQDEISNEEEEEEKLINPAAAYRIAASAASRLFSRSKSVLPFGRRENEASLMATADSVTAVVAAEEEVKQAVADDLKSNHSPPCEWFVCDDDKTSTRFFFIQGSDSLASWQANLLFEPVPFEDFDVPVHRGIYEAAKGIYEQMLPEVHAHLNSRGKNRAFLRFSGHSLGGSLSLLVNLMLLIRGQVPASSLLPVITFGSPCIMCGGDRLLEKLGLPKSHLLGISMHRDIVPRAFSCSYPNRAAKLLKALNKNFRNHPCLNNQNLLYSPMGKLLILQPSERFSPPHPLLPPGSGLYVLTSKNTDETDKGLRAAKTVFFNSPHPLEILSDRRSYGSEGKIKRNHDMSSYLKALRHVIRKELKQIKTERDQWRAKFLIVNIICTGRDSLKLIARFVASGSSQLVIIFFLPIRLLTTSVYGVLLHHSHEHFFSFFK >A06p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6596447:6598201:1 gene:A06p014770.1_BraROA transcript:A06p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLLQASVNATKRAFTWNIEEWIPPPEKYIFKFHSKEDLKKWHLYSDSEYGGLSSASLEIPDGGKGSDGTGIFSGNLSVDLSEGSKWNISRSGFCGMRSKKFDGFIDLDGYDAIAMRLRGDGRCYISTIYTENWVNSPGQTEDNSWQAFVFAPKDRWYTAKAKIMSSLECFASSLRPLVTGVLIIYQIPLARYLPTWRGNVIDVEMEMNPGRVLGMSLSVNAEGGVVGAKSGAGDFRVEIDWIKALRMP >A01p028510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18260936:18264597:1 gene:A01p028510.1_BraROA transcript:A01p028510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MQICQATLTFTFTNPTNPNFCKPKPLFPSFQPPRRVALPPCRGFSSDEFPVDETFLEKFGPKDKDTEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQSPEAIEAFKMLRPSYRKKKIKEMGITEDEWYAKQFEIRGDKPPPLDTSWAGPLVVRQIPPRDWPPRGWEVDRKELEFIREAHKLMAERVWLEDLDKDLKVGEDATVDNMCLERFQVFLKQYNEWVDANKDRLEEESYKYDQDFYPGRRIRGKDYKEDMYELPFYYPGMICEGTVTTLHLYQGAFVDIGGVHEGWVPIKGNDWFWIRHFIRVGMHVIVEITAKRDPYRFRFPLELRFVHPNIDHMIFNKFDFPPIFHRDGDTNPDEIRRDCGRPPEPRKDPGSKPEEEGLLSDHPYVDKLWQLHVAEQMILDDYEANPEKYKGKKLSDLSDDEGFDEQKEIEHGEAYFKKTKLPKVILKTSVKELDLEAALIERKYHNKLMMEAKARGEGYKIDKLRRNIEMDEYDALHWRRSLEEREALLRDISSRQALGLPLEEPGRYKPGSFFGKDQYDPTSALYQYDYWGEPKNSEISKQERMKDAHNKSIVGKGNVWYDMSYDDAIKQTIERRKDGSNVVSQKEEETESKEEEEDDDDEYEFDDFDYSILSDESSIGYSEQQPLVNGSQVFTD >A09p030970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1793861:1799239:-1 gene:A09p030970.1_BraROA transcript:A09p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPRSEFWIFLYSLLLLNFISQVRCEATRPVTMIRPESPIQTECNTTSSTVDCSVIEPDTIWSHASFAFNAYYQTNGNNRIACYFGGTTTLTKINSRTEGVNRYLYDQLWKLCAGPLFDLPKIGEEVYYFPQGNIEQLVASANDNLCQLKPIFDISSRIHCNVISIKLKVEINTDEVYAKVSLLPCSPEVEITFPNDNNEQNIKYFTKVLTASDIGPHGDFILFKKDAIECLPPLDMSQLIPSQEIVAKDLHDHVWKFKHTFRGTPKRHLFTSGWKEFVKGKSLAVGDSFVFLRGENGESRVGIRKTSHQQSDMSSSVISKESMHHGFIASASNAIHTKCMFDVFYKPKSSKFIVNCDKFLDAVNMKFNTSSRFTMKFEGHDFNEIIYSGTIVKMEDFSIYWKGSEWRNLQVQWDEAATIPRPNKVSLWEIEPLIPSSNILKSVIQNNKRQREINEFDYNDQMVQSTKENSTTNASSSFRLFGVDLTASSKARDVLEPLESYQKNKTSEIFEEENLDQTQAVTSLTEIQRKEISFTTSSTKVHMEGVTRTVDLTVFDGYNHMIVELEKLFNIEGKLHMHSQWKLTFKDHEGDMMLVGDDPWPKFCNIVKEIVISSK >A05p049330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28736522:28738526:-1 gene:A05p049330.1_BraROA transcript:A05p049330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKLFISLFLVSFSSWLIGVESDHFQHNNMKRMRPNRLFVFGDSYADTGNIRKSLADSWKMPYGVSFPGKPSGRFSDGRIATDFLARYLRIKSPIPYTWKNYAGKERLLYGMNYAYGGTGVFKTFNNPLPNMTTQIDYFQKVLASGNIYSPSDLHTSLALVSNAGNDYGTFILQKRPMTEFPGFIKKVVDQTEVNLRRIHKLGVKKIAIASLQPLGCLPSKTTSSSFKHCNASDNALVKLHNTLLRRTVAKLNNETKPSTFVILDLYKAFLTVLKNKRAETGVTRFVNPLKPCCVGITSNDSCSNVDKKGEKKYTICKDPKTSFFWDVFHPTEEGWRSVYSVLRENLKAVLI >A09p000350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:181445:183500:1 gene:A09p000350.1_BraROA transcript:A09p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 34 [Source:Projected from Arabidopsis thaliana (AT4G00350) UniProtKB/Swiss-Prot;Acc:F4JH46] METPVREERISTSSSSGKPPQTINVPADDVIESGPSSPLLVRVSVFETEPNETTKLHHAPSTLIGKTDDDDFPPITSFSDAKFVCMVESSKLWEIAAPIAFNILCNYGVNSFTSIFVGHIGDLELSAVAISLSVVSNFSFGFLLGMASALETLCGQAFGAGQIEMLGVYMQRSWLILIGTCFCLLPLYVYATPLLILLGQEPQIAEISGKFTAQIIPQVFALAINFPTKKFLQSQSKVGILAWIGFVALTLHIFILHLFINVFKWGLNGAAAAFVASAWGIAIAQLVYVVGWCKDGWRGLSWLAFQDVWPFLKLSFASAVMLCLEIWYFMTIIVLTGHLEDPVLAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSGHPRAAKYSVIVTVIESLFIGVVCAIVILITRDDFAVIFTDSQEMRKAVADLAYLLGITMILNSLQPVISGVAVGGGWQAPVAYINLICYYVFGLPLGFLLGYKTRLGVQGIWIGMICGTSLQTLILVYMIYKTNWNKEVEQASERMKQWGAGYEKLEKTVT >A10p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3112983:3113647:-1 gene:A10p016350.1_BraROA transcript:A10p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRITPLPLIFLVLLVCSSSLGSIQQGGPKMHESFMRYKNGETEVNKIMSHRKLMFHSTADYDDAGPNPKHDPRRRPGGKP >A08g510160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22036994:22037975:-1 gene:A08g510160.1_BraROA transcript:A08g510160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECEDNWNCQLEDITFTAKDGKVSQLEHVFIRGSKVRFMVIPDILKHAPMFKRLDARIKAPATGRGTGGRGAVPPVRR >A05p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17345660:17348164:-1 gene:A05p033550.1_BraROA transcript:A05p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G32340) UniProtKB/TrEMBL;Acc:Q9LQM5] MSRRNHDRRYIRRDGVTAPVVNPVNDHPQSEHQQEDTTSTDRFSAASPSTSTSQPSQNLDHPTKPRRIRRRRGGSKSAPPSVEKSEVNSTEPDAADCLADELSTLDLKHNSNPVNNDNSSVYSEDKQSPEIERKEDIMLTILNDLRSNVTEPELTDEQLRLNDQLQEDELLALGYIYGGNLFILERHKDMRYFQIHVNVEATSEHTISAKLYLQADSSKESDDFLYSFQAQHLPPIVLTCLLPNAYPSHLPPYYLISVQWMNPDKISSLCSILDSIWTEQPGQEVLYQWTDWLQNSSVSHLGFDNEIVLGPYGVTSSRDKRAVSGSRSPDVDIPYIRSYDDEKRRESFLESLHECCICFSESAGFDFVKLPCEHFFCVKCMKTYTDIHVSEGTVNKLQCPDSKCGEIVPPGVLKRLLGDEAYQRWETLMLQKTLESMTDVAYCPRCETPCIEDEDQFALCFKCYYSFCTLCNEKRHVGGTCMSPELRLQILEERQSSSCLGEEQRRKEREMINEIISVSVIKQSAKQCPSCKMAISRTGGCNKMVCNNCGQFFCYRCNKAITGYEHFREGTCELFPQDAIQEWNEMNERQVFQIQAQLFAPHGQFPQRGQICPNCRQFNLKAGNNNHLFCWACQAHFCYLCKKVVKRCAQHYGPKGCKQHTDG >A07g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22156334:22157015:1 gene:A07g508060.1_BraROA transcript:A07g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRSQKLGGTITTCVSYSMIQEPATNGLKRMMETTLMEILKRLERSYELITSMLTVVLLDRLSGHMFQLRHACPEEVLLGVNDRQKCEEFSREYLSDAEGSNHCNQEHLIVTREAKDSPTAYTPSEIALNINLMRFQITKWLHRRSSK >A07p046810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25218082:25222916:-1 gene:A07p046810.1_BraROA transcript:A07p046810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVRDQSGSPYGDTTTPRSPFSPFSPLSIGDERHRNLADSKRDATTPRSPFSPFSPLSVDKSLADSKFQQALASSGVILDPLSPGSHHGGHKFHEVFQMKQGRYDLQASKISEMMKSSSLDNAPTQSLLSVVNGILDESIERKNGEIPQRVACLLRKVVQEIERRISTQAEHLRTQNNIFKAREEKYQSRINVLEALASGSGEENEIATQQLRQMKTEKSNWEEKKKNEEEDMLKLLKENDQYNVEISVLKQELETTKRECEQQCSKMESQTLTQKAKWEEQCKNEEEDMAKLSKENDQFNLEVSALRQELEKTKKAYEHQCSQMESQTVLATTGLESRLKELEQERKEAHTAKTSLEVKVKELEKMGEEAHTTKQVLEEKIKELQQMEKETKTVNTSLERKIQELEQNLVNWKNRVKEMEEKSESKHQSWSQKEVSYRSFIDHQSQALQELRFYSRSIKQEILKVQENYTEQFSMLGKKLIELSNAAENYHAVLTENRKLFNELQELKGNIRVFCRVRPFLPGQGAPNTVVEHVGEDGELVVTNPTRPGKDGLRQFRFNKVYSPNATQAEVFSDIKPLVRSVLDGFNVCIFAYGQTGSGKTYTMTGPDGASEEDWGVNYRALNDLFKISQSRKGNINYEVGVQMVEIYNEQVLDLLSDDISQKKYPFSTYWILSTTSENGLAVPDASMYPVTSTSDVITLMDIGLQNRSVGATAMNERSSRSHSIVTVHVRGKDMKTGSVLYGNLHLVDLAGSERVDRSEVKGDRLREAQHINKSLSSLGDVIFSLASKSSHIPYRNSKLTQLLQSSLGGRAKTLMFVQLNPDATSYSESMSTLKFAERVSGVELGAAKSSKEGKDARDLMEQVASLNDTIARKDEEIERLHHSNRLQKPMVRRKSFGQTDDMNSETGEYSSQSRHSVTDGESLSSSVEAEYEERLSEVTSDASSIGTQRSTDVAKRPPKIADRAKSMTSRTTTTVTRPLDKLRKVATRTTSTVAKVASGLSSSASIKKTGSSSSLAKSSKRWA >A04g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3691787:3692470:1 gene:A04g501460.1_BraROA transcript:A04g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRKKDMSVGIVTVTIGSWMVFETFSYTILTLLSSVLLLLLSSLFLWSKSASILNRPSPPPFQEFHITEAMAKEASKLLRIHLNKLFQVSHDIAMGRDSELFIKVAISLFLISFIGSLMDFQTLCHTVPTFYERYEVYIDISLLLIYNRAKELYLRFQIWAHPENEKLS >A01g502290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7925681:7925959:-1 gene:A01g502290.1_BraROA transcript:A01g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQENGQGEFGQELTEVAPSRHQQKRLAYASGAPLEEDLDQQNRAISTERQRFTHSNDVYTEARVTVKHERYNRLQHRPHDTKDTSKTSKEH >A03p000210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:234752:235933:-1 gene:A03p000210.1_BraROA transcript:A03p000210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPYVYAYPPGTAPPPPQGSGIAGVIVDPRFCAPYPVDLAIVRKMMKLTDGNFVITDVNGNLLFKVKEPMFGLHDKRILLDGSGSPVLTLREKMVSLHDRWQVFRGGSTEQRDLLYTVKRSSMLQFKTKLDVFLSHNKDEKRCDFRVKGSWFERSCVVYAGESDAIVAQMHKKHTVQSVFLGKDNFSVTVYPNVDYAFIASLVVILDDVNREDRAAAGSS >A02g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20095878:20096531:-1 gene:A02g507030.1_BraROA transcript:A02g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGCPWTVRNELVRLCPFISVTEEFLSTDFNHLKHQISMEGSKKMMKRPITEVYESDASEGFNKAKEETVEHYRALLRLSDEHRLSEIELNQAARIANSIAAKIELLDIVFTKRVVPVLFVAMMIRNNVVV >A09p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5372305:5375362:1 gene:A09p010520.1_BraROA transcript:A09p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPICYSINPSPSKLDFTRTHLLTPLAKHFYSHLPSFTGKLSNRRRSLVGVKAATLSSEEKKTATEKKKPRVLVAGGGIGGLVFALAAKKKGFDVLVFEKDLSSIRGEGQYRGPIQIQSNALAALEAIDVSVAEEVMEAGCITGDRINGLVDGVSGTWYVKFDTFTPAVSRGLPVTRVISRMTLQQILARAVGEEVIRNESHVVDFKDTGDKVIVKLENGQRYVGDLLVGADGIWSKVRTNLFGRSDATYSGYTCYTGIADFVPADIESVGYRVFLGYKQYFVSSDVGGGKMQWYAFHEEPAGGVDAPNGMKKRLFDIFEGWCDNVLDLLHATEEEAILRRDIYDRTPSFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSYQLALELEEAWKKSVATNKPVDIVSSLKRYEESRRVRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLAKLRIQHPGIIGGRFLMDMTMPLMLDWVLGGNSEKLEGRSPNCRLTDKADDRLRQWFEDDEALERTINGEWYLIPYGKEGSVSETLCLTKDEDQPCIIGSEPDQDVPGTHIMIRSPQVSKMHARVIYKDGAFFVMDLGSEHGTYVTDNQGRRYRVTPNFPARFRPSDTIEFGSDKKAAFKVKVIRSTPNLTRKDEKSNGKLLQAA >A03p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:893498:895371:1 gene:A03p001790.1_BraROA transcript:A03p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATFPVSPVNHQLCRRSNRFWYNALTPRFCSPVFSTTSPCLIGVKGIGSSSQLRPRHPLISSAASTDYLLHDVGATVAVLGGAYALVLLFESLTKRDVIPQRLSRKLVHILSGLLFVLSWPIFSASTEARYFAAFVPLVNGLRLVVNGLSVSPNSTLIQSVTREGRPEELLKGPLFYVLALLVAAVFFWRDSPTGMISLAMMCGGDGIADIMGRKYGSYKIPYNPRKSLAGSISMFIFGFFISIGLLYYYSSLGYLHMNWETTFTRVAIVSLVATLVESLPITDQIDDNVSVPLASILAAYLSFGY >A09p062560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51135725:51138759:-1 gene:A09p062560.1_BraROA transcript:A09p062560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDDRFGMINRFAQALLCGKLYATMSKPQRNKPTSRSQRLVLLCILIFACLLLLSSVISTGKLGLPYQQTLIDYFVRSSRGKREHTLSEKYLYWGNRIDCPGKNCETCAGLGHQESSLRCALEEAMFLNRTFVMPSGMCINPIHNKKGILDRSDNKTTEEGWVGSSCAMDSLYDIDLISEKVPVILDDSKTWHLVLSTSMKLEERGIAHVYGVSRHRLTESHYSNLLIINRTASPLAWFVECKDRGNRSAVMLPYSFLPNMAAANLRDAAEKIKAQLGDYDAIHVRRGDKLKTRKDRFGVERIQFPHLDRDTRPEFILHRIEKLIPPGRTLYIGSNERTPGFFSPLAVRYKLAYSSNFSEVLDPIIKNNYQLFMVERLVMMGARTYFKTFREYETDLTLTDDPKKNKNWEIPVYTMDERKEAAS >A06p012700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5800182:5800661:-1 gene:A06p012700.1_BraROA transcript:A06p012700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKERVGLLDKILPPALADAGLEDCALPPDSIHEAFRKAADAVKSRAASLFECDEEEGGCVADPRPGTETKPVLGGSDRIVVGGENGGDAGPCLVGKGNEKLEDAVVVAGEGGEGKSCGDGLRDLDVEGIESSGEEKDQSEEDEEEERKPILVEGFV >A03p064970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28313426:28315974:-1 gene:A03p064970.1_BraROA transcript:A03p064970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFRSSSSLSRIRWALRNQERYSSTFSSRSRKLLIGANHNEALVNTNTCNSTLHSRLSFSRGLSAEAVEAADAALRLSVSSSADVNRTGPLVEYERRISDGELMTGDICQLGALKELQRLHDQLVESVDTCRLDRYNTSDKSSRSRWFWSRIMPQSSVSPVKGLYLYGGVGTGKTMLMDLFFDQLPCTWKKQRIHFHDFMLTVHSRLQKHKGLSDPLEVVAQEIAHDAILLCLDEFMVTDVADALILNRLFGHLFSNGIILVATSNRNPDKLYEGGLQRDLFLPFIASLKERNVVHEIGSAVDYRKLTSAEQGFYFIGTDLSTLLKQKFQELIGGNVVARPQVVEVVMGRKLQVPLGAKGCAYFPFEELCDRPLGAADYFGLFKKFHTLALEGVPVFGLHNRTAAYRFVTLVDVMYENRARLLCTAEATPQELLEKIVTISDAKSMSPRTSSRSRKNDVSELCVDNELGFAKDRTISRLTEMNSKEYLEQHAITHNL >A02p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28976010:28980782:-1 gene:A02p046420.1_BraROA transcript:A02p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIWIFSVAVALFLSFSSGHDLQIVNAERRIDLSSHIVNAFLTLKVENIGKDPAADMLLAFPPTQIKHLSMVQAMAITGKKKKKTYLPLDVKPTEQPDAPNDTGYFLVSFATPLTPGETVTLEVLYILTHSLEPFPSEIAQSESQLVYYRDSAVILSPYHIKQQTTFFKTPSARVESFTSVDPANRAGKEIKYGPYEDRAPYSYAPVIVHFENNSPFAVVEELVREIEISHWGSLQITENYRLTHGGARHKGVFSRVDYQSRRSISGSSSFNALLAVLPPKVNSVYYRDNIGNISTSHLRTGFKKSELEFEPRYPLFGGWRATFIIGYRVPLEDYLFEAPDGRRYLNFTFGCPLVETIVNKLTIKVVLPEGSKDPSAVLPFTVNQDLQVKYSYLDIVGRTVVVLQKDNVVPTHNVPFQVYYTFKPIYMLAEPFMLVSAFFFVFVASLAYVHIDLNIVKK >A01p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11972765:11973768:-1 gene:A01p024230.1_BraROA transcript:A01p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFVPLDFNHNSAAKIFVEVELSKGFPSRIAANDENGFISMVDVDYAWLPSKCEICGQLGYKIKHCLESVTKSHIVATDVSENPSNGSTVVVETNKLPKSAQPDTSLVQTLAVTVSTPSNESSVVDIAILENQLCGTLSAIPVFLSGWKGPRKLLELKSEAAKFWEHKVLRIAFQKI >A07p035770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19295973:19297020:1 gene:A07p035770.1_BraROA transcript:A07p035770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74970) UniProtKB/Swiss-Prot;Acc:Q9XJ27] MALSVSNLASSLSSLSFSSQVSQGPTTLSFPRANSAFSLPAKSARRASLSVTATVAAPAEVAEEDDTMELKKYVKSRLPGGFAAQKIIGTGRRKCAIARVVLQEGTGKVIINYRDAKEYLQGNPLWLQYVKVPLVTLGYENSYDVFVKAHGGGLSGQAQAITLGVARALLKVSADHRSPLKKEGLLTRDARVVERKKVGLKKARKAPQFSKR >A06p017130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7671068:7677748:1 gene:A06p017130.1_BraROA transcript:A06p017130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSSSQPQSPQPETQTLIPPQSNGASGDIVSSTTIDTRRITELGNVSSPPSKIPLRPRKIRKLTHDGEDAKAEEDNNKTTAKGKPSRAITVPRIHARPLTYEGELEAAIHHLRSADPLLAELIDVYPPPSFESFPTPFLALIRNILYQQLAAKAGNSIYTRFVALCGGEHVVVPETVLALDPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVSMDDKSLFTMLTMVNGIGSWSVHMFMINSLHRPDVLPVNDLGVRKGVQMLYNLEELPRPSQMEQLCVKWRPYRSVASWYMWRLIEAKGASATSTVSRSVMSLPPPVDDIQQQEHQQQQQSQQLLDPMSVFSIGIRGLGDSHNWVSSRFAVFVWKASYAMESISDLCNETGIDIEKTDLNRLSSSVTLLREWRHFSYEPKSFGFYNEVEKGCEPKDVNSHTLPQFSSARAPKVKIDDDESSSLGELSKDFVMHVGGSVWALEWCPRVHANPDALAKCEFLAVATHPPQSYSHKIGVRLSGRGIIQIWCVINVKCNNDSANISGKKTAKPQKKPSVEVNNKGEPKKPRGRPRKHPIETTTESKKPRGRPKRKCSTADLPVELDGDVLCVEALSVRYPEEPVVPETPLPVTETKTNSESSGQVLSSENANIKLPVRRRRTKTQRAEEACKPAMSEDSEAVGNNVPGEQSAGISENIALPRVVLCLAHNGKVAWDMKWRPLSPDDSLDKHRMGYLAVLLGNGSLEVWDVPMPQAISALYLSSKKEATDPRFVKLAPVFKCSNLKCGDSQSIPLTVEWSSSGNPDFLLVGCHDGTVALWKFSITKCSEDTRPLLVFSADTSPIRAVAWAPGESDQESANVIATAGHGGLKFWDLRDPYRPLWDLHPVPRFIYSLDWLQDPKCVLLSFEDGTMRILSLVKVAYDVPATGRPYPNTKQQGLSVYNCSSYPIWSIQVSRLTGMAAYCIADGSVVHFQLTTKAVEKDPRNRTPHFLCGRLTMNDSTFIVHSPAPNVPIMLKKLISENGEKQRCLKSLLNESPNRHTSPVSDGQPLAFAQDEEPGLESETEETNKKGSKSKAKKRKGNTIEEDENRGALVCVKEDGDEGEEGRRKEGSNSSSRVKVERLPPKMVAMHRVRWNMNKGSERLLCYGGAAGIVRCQEIASFGSVGKPNLRPHR >A09p027540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15541116:15542568:-1 gene:A09p027540.1_BraROA transcript:A09p027540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 6 [Source:Projected from Arabidopsis thaliana (AT2G01500) UniProtKB/Swiss-Prot;Acc:Q9ZVF5] MGYISNNNLINYLAFSTTKPHLLSQCNIIDNDHHQQLINGSENNSAAAASSRWNPTPEQITALEEIYRRGTRTPTTEQIQQIASKLSKYGRIEGKNVFYWFQNHKSRERLKRRRCEGDNDINSVHEPLKDVKDSSSGGYRVDQTKIYASFPHTNQQPQNELVLPNLINNEDHCQTEESERASDAGKEAMCGNLVASFVNQEPGEINIEEDGYNVRGEVQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEETREIRTLNLFPVLENQEKTDWFAEKKKANTNRMCYNYRYYYEFMPLKKN >A02p048470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30149845:30150218:1 gene:A02p048470.1_BraROA transcript:A02p048470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALSIMLISLVLSCVSIWLEANVPTIEGYKDHIRTCGSTDECEGIWCKQGRLGECLTWTCDLDEDCRKLVRCDRTPGPYCMEGMCTC >A06p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24264500:24265595:1 gene:A06p045210.1_BraROA transcript:A06p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSSSDVSSDSSDSNRRRKDRRRHRRSDRDKDSLKVRKKSRSSSKRRRKRHHSSDSSDSSYSDSSSESSESEHERSRRHKKHDKPKKAKDKERSKSHRHKRHKKRDRKNGEGEGSSGPVKLSKFLGRDKDDGERRSAVSGKKIMLKVDKSKEDKAAESKRNELLKFLNASFD >A09p024960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13878964:13881734:1 gene:A09p024960.1_BraROA transcript:A09p024960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSKTQLTSSHFLDQRSMVDPARPRPPQVFVNSGATELPDDFIKHLVSGLTDLGILNIFMDRDDWWGRDLDRIFTCIEESTIALVIFSPCYPETEWCLDELVKMKERVNNNKLLVIPIFFNVSKNDVRNFEGEFGDRFMELRKRYTKYKYDPFRVQRWETSVMTISKMEPSLAWETQSSSISIAMDIIREVNKELNIASDAGFSMPLGFQKDMHSKLTNGEVFVSAFLVAFLFRLFIARLLFTDMTFFRTAKWLVGFPIAIVVLYQLHCALTQQNRRAPDP >A08g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11093107:11096638:1 gene:A08g506510.1_BraROA transcript:A08g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGGLRNQPGLIPILLCLILCWSLSGVSGSQEVFSAHHLSKTRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQGTQEEEGHHLCHEEGRLLPTFCGKSTACSKEGREDVPTHQMSVERTVDMQRKSITRRVMQCKPRPLQADHGVHKGSDTCNSPSTKNVETKVLCHCISSLGHSLVYRKCSMGYYAMRDVSCETLYGDSNTLIPVTSRCKGFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGQFLASLKWLRSLLRGGDPNHFSKMAVKSVERGRLQTGSMKR >A06g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17898082:17898751:-1 gene:A06g506310.1_BraROA transcript:A06g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQGHRKKGIKSRANKVFTKVKEKAKKIKNSPIKLGHSHGHNHDHDVYEEEYGKQESEWHGEPDLLFTFEKYLRTPPKIVPPGTKDFRLVSLDYTKRPEPEPLRDTFYIYTLEMDVRTEAPCHPPKLHDMLVRE >A03p024070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10171801:10183580:-1 gene:A03p024070.1_BraROA transcript:A03p024070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 1 [Source:Projected from Arabidopsis thaliana (AT2G41700) UniProtKB/Swiss-Prot;Acc:Q84M24] SSNEMGTWRRQLKAMLRKNWLLKTRHPFVTSAEILLPTVVMLLLIAVRTRVDTTIHPARSNIEKDTVVQVGEGISPSFPQVLELLLADGEFLAFAPDTDETNNMIDILSLKFPDLRLVTRIFKDDIELETYITSAHYAVCSDVRNCSNPKLKGAVVFHEQGPHVYDYSIRLNHTWALAGFPNVKSIMDTNGPYINDLEMGINTIPTMQYSFSGFLTLQQVVDSFIIFASQQNIDLPSSHASLGSALSLEMPWTMFSPSKIRMVPFPTREYTDDEFQSIVKSVMGLLYLLGFLFPISRLISYSVFEKVVSGSILCEQKIREGLYMMGLKDEIFHLSWFITYALQAVRIVRWDYYNFAIMLSFLISTFFTRAKTAVAVGTLAFLGAFFPYYTVNDESVSMVLKVVASLLSPTAFALGSINFADYERAHVGLRWSNIWLASSGVSFFVCLLMMLLDSILYCAIGLYLDKVLPRENGVRYPWNFIFNKCFGRKKNNQYCIPGLNSNIEGTQGEPFDPVIESISLEMRQQELDGRCIQIKNLHKVFASGRGNCCAVNSLRLTLYENQILSLLGHNGAGKSTTISMLVGLLPPTSGDALILGNSIVTNMDEIRKELGVCPQHDILFPELTVREHLEMFAVLKGVKEDSLKSTVTDMAEEVGLSDKISTLVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEAEELGDRIGIMANGSLKCCGSSMFLKHHYGVGYTLTLVKTSPAVSVAAHIVHRHIPSATCVSEVGNEISFKLPLASLPCFENMFREIESCMKSSVDRSRISEIEDSDYPGIQSYGISVTTLEEVFLRVAGCNLDIEDKQDTFASPDTEASLVCIESVQKSTIQPKLLASCNEGAGVIITSIAKACRLIVAAVWAFIGFISMQCCGCSVISRSMFWRHCKALFIKRARSAFRDRKTVAFQLIIPAVFLLFGLLLLQLKPHPDQKSITLTTAYFNPLLSGNGGGGPIPFDLSEPIAKEVAEYIEGGWIQPLRNSSYKFPNPKEALADAIDAAGPTLGPTLLSMSEFLMSSFDQSYESRYGAVLMDSQHPDGSVGYTVLHNSTCQHAGPIYINVMHSALLRLASGNKNMTIQTRNHPLPPTKSQRLQRHDLDAFSAAIIVSIAFSFIPASFAVPIVKEREVKAKHQQLISGGSVLSYWLSTYVWDFVSFLFPSTFAIILFYAFGLEQFIGIGRFLPTVLMLLEYGLAIASSTYCLTFFFTEHSMAQNVILMVHFFSGLILMVISFVMGLIPATVNANSYLKTFFRLSPGFCFSDGLASLALLRQGMKDKSSHGVFDWNVTGASISYLALESIFYFLLTLGLELLPVQKMMSFSIGEWWQNFKVFKQGAGSSSTEPLLKDSSGAISADMEDDIDVQEERNRVISGLTDNTIFYLQNLRKVYPGSNHHGPKVAVQSLTFSVQAGECFGFLGTNGAGKTTTLSMLSGEETPTSGTAFVFGKDIVASPKAIRQHIGYCPQFDALFEYLTVKEHLELYARIKGVVDYIIDNVVMEKLVEFDLLKHSHKPSFTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPVAKRFMWDVISRLSTRSGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPNEVSHVDLENLCQMIQQWLFNVPSQPRSLLGDLEVCIGVSDSITPDTASASEISLSPEMIQSIAKYLGNEQRVSTLVPPMPEEDVGFDEQLSEQLFRDGGIPLPIFAEWWLTKEKFSALDSFIQSSFPGATFKSCNGLIIKYQLPFGEGGLSLADAFGHLERNRNRLGIAEYSISQSTLETIFNHFAANS >A02g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9096108:9096915:-1 gene:A02g502710.1_BraROA transcript:A02g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEEVVWTSWKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIIWYSSSTNLKVFQIWI >A09p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2855764:2858872:1 gene:A09p005240.1_BraROA transcript:A09p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NETLFYFYFWDRSLSTRRTTNDCYLFCCIPDHLRRSAAPSIQFYFLSYFRSVLIRLRIIKMSMVDAIDMSRVDADLLQLQEMSSFVLTSKPGFTQSLFDQWLSLPQAHQQIATLLHDALAGSTLNVASGSSSAASNAIPSMFPAGSAAPPLSPRSCGSPRMTRQRATGLSNLGSSLKPTTVEPAKEPMPQFYFQNGRPPPSEMKEQCMFRINHFFYGHIDGLQIQEFKLVTREICKLPSFFSTSLFKKIDVNNTGFVTREAFIDYWVNGNMLIMDTTTQIFKILKQQNHNFIVKDDFKPLLKELLATHPGLEFLQTTPEFQERYAETVTYRIFYYINRSGNGRLTFRELRRGNLIDAMIHADQEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLMRYGNHALTFRIVDRIFSQVARKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDGNGIITRNEMQFFYEEQLRRMECMAQEAVLFEDILCQMIDMIGPENESYVTLHDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEAWDESLETEDTKSLPPQQWEVSLEILYVET >A03p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13031601:13036981:-1 gene:A03p030980.1_BraROA transcript:A03p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGSSNASLRVVLLHGNLDIWVKEAKNLPNMDRFRRYKKNSTSDPYVTVSIADAKIGTTFVIDNDENPVWMQHFYVPVAHHATVVKFVVKDNDRFGSRSIGDVRIPTEELCSGNRMEGLFPILNTSGKPCKKGAVLSLAIQYTPVEMMKIYQMGVGNECEGVPGTYFPLRKGGRVTLYQDAHVEDGTLPRVDLDGGMQYIHGKCWEDMADAIRQAKNLIYITGWSVYHPVRLVRRNNDPTDGVLGDLLKEKSQEGVRVLLLVWDDPFSSSFMGHRTRGIMKTSDEEIRHFFKNSSVQVIICPRSGGKGHHSFVKKTEVGTIYTHHQKTLIVDAEAAQGRRKIIAFVGGLDMCKGRFDTPNHPLFRTLKTLHKDDFHNPNFATSVDDGPRQPWHDLHSKIDGPAAYDVLANFGQRWLKASEKRHRISIHRSSSEDALLKIDRISNIMGLSEASSVSDNDPESWHVQVFRSLDSTSVKEFPKNSKEASGENLLCGKNILVDMSIHTAYVKAIRSAQHFIYIENQYFLGSSFNWDSHNDVGANNLIPMEIALKIASKIRAREKFAAYIVIPMWPEGDPTSKGMQSILYWQYNTMQMMYQTIYKALVEAELDGQYEPQDYLNFFCLGTREVADGNVNNNAKENPTQVQALKSGRFMIYVHSKGMVVDDEFVLIGSANINQRSLEGTRDTEIAMGGYQPQHSWAKKGSRPCGQIFGYRMSLWAEHLGFLEKSFEEPENMECVRRVRHLSELNWRQYAAKEVTEMTSHLLKYPVQVDRTGQVTSLPGCETFPDLGGKIIGTFHRIKENLTI >A06p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1895315:1897286:-1 gene:A06p005850.1_BraROA transcript:A06p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCGKRSNKRSEPNDTVKNKKTIGGTSDKRDDQSQPCSDSTKVSPYRDVNNEGVVVVKEKEDQLALDVKGLNLNDQATGKKAQTFTFQELAAATGNFRSDCFLGEGGFGKVYKGTIEKLDQVVAIKQLDRNGAQGIREFVVEVLTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPLGSLEDHLHDLPSGKKPLDWNTRMKIAAGAARGLEYLHDRMKPPVIYRDLKCSNILLGEDYQPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDNTKARKDQNLVGWARPLFKDRRNFPKMVDPLLQGQYPVRGLYQALAISAMCVQEQPSMRPVVSDVVLALNFLASSKYDPNSPSSSRRRNGSFRRDDEEKRPDLVKEAESDGSS >A08p005940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3408593:3409746:-1 gene:A08p005940.1_BraROA transcript:A08p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGVVLRQIPCVSGSVTDGRYSGLRFSGSMRTTVGFQTRRFRGIVCSNEFADKGHMSYYSGTTRCGGEGKDKVKVMEKEKKKEMKKKAKVLKALSKNLNMFSSIGFGLDPEAGLVAEIQNKTISEATEILVKQLDQLKAEEKLLKKQRKEEKAKAKAMKMMTEMDSESSSSSESSDSDCGKGKVVDMSSLRNKAKPILEPLQPESGVATLPRIQQDSCKNTTGEALKLALLESAAATTAFPSVVNPGLPLKTVEAVPVVGLPSKRVEVCMGGKCKKSGGAVLLDEFQKAMTGMEGSAVGCKCMGKCRDGPNVRVVNETDAVMTDSVRTPSKTVCVGVGLQDVETIVTSFFGEEF >A03p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6116119:6117041:-1 gene:A03p015470.1_BraROA transcript:A03p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCGASSTVATLPFRAVRSRVTLQTGAQIVTLGGGSPQFSRASSLISLSRGSKLSAITADENLVSVLESQIESAVVKEAPEEDEFPEWFPFYIVDADKDRVVYLVRKFENETIYVRIELSRSLGDDKEKEEPKDPQAEVLTGIPIFISVIKDEDGPSLEFIANAYVHEIVIDAVYVESPLELTWRYKGPDFADLDENLQKAYHRFLEIRGIKPNITEFMADYMADKAGRERLHWLNDVKSFLDM >A04g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:44651:45281:1 gene:A04g500010.1_BraROA transcript:A04g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGVAPRSVIGSVQPASPQTQQAAPAPAAPPPTGQTTSNVPAHQKPVIATLTRLFNETSEALGGARANPTKKREIEDDSKKLGALFVRLNSGDISENAADKLAQLCHALDNQDFSAALQIQVLLTTSEWDECNFWLSTLKQ >A09p011560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5842033:5845847:1 gene:A09p011560.1_BraROA transcript:A09p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 23 [Source:Projected from Arabidopsis thaliana (AT2G14255) UniProtKB/Swiss-Prot;Acc:Q3EC11] MDSSSEIEVVPLDSNNTPQTPTESPPAITDVFSASAYGDLNRLKRFVEHNGSSVSLPDANGYYALQWAALNNSLHVAQYIIEHGGDVNAADNVQQTALHWAAVKGSVEVADLLLQRGARIEAADVNGYRAVHVASQYGQTAFLNHLIVEYAADYNALDNGGRSPLHWAAYNGFTETVRLLLFRDACCTPLHWAVIKENIEACTLLVHAGTKEELILEDSTGATPLKLASDKGHRQLTLFLSKAMQTRSNSFVNKIFCGKLGETSYAPLLFGLIVTEMVLFFTSIVAASNLPKITAMAGLWAWFGLSCGVCSLIAFRRCSSKDPGYVKRSNEVDSDHTANDPLIDINFNSPSWKGNWSQLCPTCKVIRPVRSKHCPTCKRCVEQFDHHCPWISNCVGKKNKRDFLVFVIMGGLTSFVGGSTAVQRIWRSNPHIHPSDSLIQHVLIEHPGAAVFLFFDLLIFIATMTLTISQAYMIARNITTNELWNTRRFSYLRGPDGRFYNPYNHGWRRNCSDFLVNGYTRDDEVVPSSIL >A02g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9216489:9217463:-1 gene:A02g502790.1_BraROA transcript:A02g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAKTRRNIGCLEVKGWFGFCSCRLGETGDTSDEIFEEDKPLRGSSPTREAFRGGEDQVEAVCDACRSEGVDASTCSATCFLDALSPAGVVRIMMVKKEEDDEHLGVLGYKVRSSEMTRGCFETRAVRGDDG >A08p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4561844:4563015:-1 gene:A08p008970.1_BraROA transcript:A08p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13640) TAIR;Acc:AT4G13640] MRTMGVKGLTLYHLKSHLQKFRQGRQLCKESTENSKDVSCAAESQDTGSSSTSSLRLAAQEHNESYQVTEALCAQVEVQRRLHEQLEVQRRLLLRIEAQGKYLQSVLEKACKAIEEQAVAFAGLEAAREELSELAIKVSNGSQVPFHTTKMTVPSLSELAVAIEHKKNCSAESSLTSSPVSAALMKKRHRGVFRNGESLVVGHETGWVMPSSSLG >A04p010710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7050933:7052887:-1 gene:A04p010710.1_BraROA transcript:A04p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSPDNIHGLILAVSSSIFIGSSFIIKKKGLKKAGVSGPRAGEGGYGYLCEPWWWAGMITMIVGEIANFAAYAFAPAILVTPLGALSIIFSLLKTETVLCSSVLAHFILQEKLHMFGILGCVLCVVGSTTIVLHAPHEQDIESVKQVWYLATEPGFLAYSAVVIVVVLALIFYYEPRYGKTHMIVYVGICSLMGSLTVMSVKAVAIAIKLTFSGMNQFKYFHAWIFIIVVTICCLLQINYLNKDWASQSGLQIATELCGFVTILSGTFLLHKTKDMGNSASIRGATSQSPRETPVFINSGSTRSSNSNL >A02g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22739384:22740638:1 gene:A02g508370.1_BraROA transcript:A02g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFVWIYLVPYEFSVMYCDRMVVALLIAYIKYLESRMCGRGGQIKSWCSCEPTRKTNKGATWERRHREMLRIKRRVSVGYSQAHFILLPNTTQKKRAALKRQFMSPMSTTVTSKDGARKLGFSDDESVGEDKVEFAYEMLCLEDLPESESKTVKRLWVR >A09g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18502225:18507207:1 gene:A09g506120.1_BraROA transcript:A09g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGRFLVSSLLVYCSINLVLLEISRIIKFFRNGDSNLFEMGLELSLARLKALAKFAFGMGLSQVLLCTLAFTAFELPPNGAIGTRILEFLFHSRPDLNLVGESILPMLAKESAKALGGLGFLSLGGKFFLGRIFEVVAETRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLVFVTTGTSVDMEVLFREWPNVLTLLAGLIVIKTLIITALGPRVGLTLQESVRIGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPTLNQLGRKAADFILDWLHMSLLVLANFLSTPLVAGVDSNLVEWPYIGFDLNPAVVKESRKQDLPHLLELKKAGATDASLEKAETSLQLGSKMLRGFGVMSDDVSFLSRVLKMTLHNNP >A06p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3559084:3561983:1 gene:A06p009940.1_BraROA transcript:A06p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKVRVRLSFEDQRVLSKSQKKQGLKRSWVVLDPKCHRTVSEFSDHLLHTFCLFEACPHGISLSMDGFVLPPFESSCVLKDKDIVCVKRKKEPLLEIVEEDSEENVCAEIEAEERAPGVMLLANEEFQKETGGYESESEEDELEEIVPVKKTSKKRKASSKSTSSKRKKCKLATTEESPLERGSEAVVSKGSAVKKRKTSDAQRTENDQQDEVKAKSMTKSKKSSKQAESKEPNEQCNVSGEAKKTPSRSARRKKAKRQWLREKTKQEKEEYLQLKQKQQMVVAPNQKPAFTINHQVTKENRSEALETQQPDENGDGVGDEVVPVEVRPGHIRFEPLDETDQASAEEIAPPAEKFVWNGNMTKKKGQKWGTEKAGLSKRYAQDMDEDTYQTQSAEAETLAKGQIDFEQLVAYSGSVKKGDVIAYRLIELTSSWTPEVSSFRVGKISYYDPESKKVTLMPVEEYPIEKKTEEDTDDSSMQPDTSLYKEDGSLEIEFSSLLDVRCIKTTSSNNSEVARSAPLKPVQTATNPKLSSDNGLQTPVKENGKGDPWEELSNALSAKKAQLAQANNGGWNNKKGSSSGGSAWSYKALRGSGMGPVMKYLRSQNEI >A01p016670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8232203:8243179:1 gene:A01p016670.1_BraROA transcript:A01p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAQIHVLGGMGFTTSSSKRNLNGKSSFMPRSAFFGARNGPFSTSTSSFLRMRSRNGGASRYAVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVAEESKQVSYRVVEDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASRFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRCKTPVENSLRDAKLSFSDIDEVILVGGSTRIPAVQEVVRKLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVKDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQDITITGASTLPKDEVDQMVQEAERFAKDDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGEVKGKVEAKLQELKDKIASGTTQEIKDTMAALNQEVMQIGQSMYNQPGAGAGAGPSPGGEDASSADSCKTPVENSLRDAELKFKDIDEVILVGGSTRIPAVQEVVRKLAGKEPNVTVNPDEVVALGAAVQAGVLAGDVSNIVLLDVTPLSLGTNSVGDVRKRSRGCTTSTRKLRGSERAEVLQLQKKDETLCTGSFMSKIIPRNTTLPTSKSKVFTTVGDGQTRVLQGEREFARDNKCLGSFLLDGIPPAPRGVPKLDVKFDIDVNGIFSATATDRATSNKQVITLTGATTLSKDEVEKMVKEAERFAKEDKQKREAIDIRNQADSVVYQTEKQLKEFGEKIPGEVKEKIEAKLQETEDKIGNNRSKRNHFYNIYYKTWKMTSSAAQVNLLGGIGFAASSSSSKINLNGSFMPFFGTRNGTFTTPSSAFLRMNSRRHGCPVRVVNEKVVGIDLGTTNSAVAVMEGGKPMIVTNSEGQRTTPSVVAYTKSGDLLVGQVAKRQAVVNPENTFSSVKRFIGRTMNEVDEESKQVSYRVVKDENGNVRLECPGIGKQFAAEEISAQVLRKLVDDASRLLNHKVTKAVITVPAYFNNSERAATKDAGRVAGLDVLRIINEPTAASLAYAFERKSNETILVFDLGGGTFDVSVVALGAAVQAGVLAGDVSDIVLLDVTPLSISLKNDLEMDKFIPRNSTMPTSKSEVYTTGCDGQTSIRFCVLQGEREFARDNKCLGSFLLDGIPPAPRGVPNIDVKIDIDANGILSATATDRATGNKQEITITGATTLPKDEAERFAKDDKQKREAVDTKKQKEKQLEVFGEKIPGEMKEKIEAKLQELKDKIESGSSQEIKDSVAALNQEVMQIGLFMYNQPGAGAGAAGPSPPGGEGSSSEDSSSSKGGDDVIDPDLTDSK >A02g511640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31177837:31178416:1 gene:A02g511640.1_BraROA transcript:A02g511640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTLNKGYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMVCIAFV >A02p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4791393:4792658:1 gene:A02p011040.1_BraROA transcript:A02p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 60 [Source:Projected from Arabidopsis thaliana (AT5G22410) UniProtKB/Swiss-Prot;Acc:Q9FMR0] MAVKISTISALIVFLALLSLSHFSYGELRLGFYSKSCPGVEETVFRVVKKAFSDNSTLAPLMIRLYFHDCFSNGCDASLLLDSTTSPTEKKAGPNLSVDGYALIDAVKDELEINKCPGVVSCADIIALVTRDLVDLASGRKIRYEIPTGRFDGRESLASTVDLPGPQMSVSDTFKMFEKRKLSLTDMVVLLGGHTIGVAHCSFIMDRLYNFKNTGKPDPSMDPTLVEQLREKCPEDSSAGRVINLDQDVLSSNSVDASFYKQIKSRRGILHIDQLLATDEMTKQIVTDLAEGNDFLARFGQAMVNLGSVGVIDKDTGEVRTSCRACKNMFCTI >A06p046150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24719971:24720985:1 gene:A06p046150.1_BraROA transcript:A06p046150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNQSENQSSPEKSGSSSVSKKVAEMWERMNAGVPKKRVNFHSKSSTALPAKNSANNSKCRSYLGVLKEDSVSDNSCSEEAKSIAAAALAAVRNATATAAAASSRGKVEITEVKDFAGHEIEVKRLVEAGSKEASSSASTSGVDAVLEQIKKKQKLSVLDKTKKDWGEYKEENKGVEDELDKYKKSSDQYLDKVSFLERADYRQFEKERDVRLALQSKRKHDDV >A07p007660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4700431:4702851:1 gene:A07p007660.1_BraROA transcript:A07p007660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLALPLLLPSTPSPKPLFHDHHQLSRTPFLTSQTPPPSPVEPLLHDVFLHQNPNSRRPITPQPPKTRNRTRIGKSRDPNLGKPWSYHGLSPHGQQILHSLIESTFDSGQLDPLLSQSSSSELLALLKGLGFHKRFDLALSVFDWFMKQKDYQLDNSVVAVVISMLGKEGRVSSAANLFNGLIENGFSLDVYSYTSLISAFANSGRYRDAVMVFKKMEEEGCKPTLITYNVVLNVFGKMGTPWSKITSLVEKMKSDGIAPDAYTYNTLITCCKRGSLHEEAARVFQEMKMAGFSHDKVTYNALLDVYGKSHRPKEAMKVLNEMELSGFTPSIVTYNSLISAYARDGMLDEAMELKNQMVGKGMKPDVFTYTTLLSGFERAGKVESAMSVFEEMRNAGCKPNICTFNAFIKMYGNRGKFAEMMKIFDEINVCGLSPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERETFNTLISAYSRCGSFEQAMTVYRRMLEAGVTPDLSTYNTVLAALARGGMWEQSEKVLAEMEDGRCKPNELTYCSLLHAFANGKEIGRMHFLAEEVYSGVIEPRAVLLKTLVLVCSKCDLLPEAERAFSELKERGFSPDITTLNSMVSIYGRRQMVAKANEVLDYMKEMGFTPSMATYNSLMYMHSRSADFGKSEEILREIQAKGIKPDIISYNTVIYAYCRNTRMRDASRIFAEMRDSAIGVVRYMIKNGCRPNQNTYNSIVDGYCKLNRKDEAKLFAEDLKNLDPHAPKDEEQRLLERIVKKWPIR >A01p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18237530:18239096:1 gene:A01p028520.1_BraROA transcript:A01p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIFLLCLIFFITILVFIKQTTWKKSNTFPSPPRLPLIGNMHQLGNHPHRSLLSLSQRYGDLMLLHFGTVPVLVASSADAARDVLKTHDRVFASRPHSKIYDKLLYGSSNMASAPYGEYWRQMKSVSVIHLLSNKMVRTFREVRQEEISLMMETVRKQSSSPMNISKITTTLTNDVICRVALGQKYGAGTDFKELIDRLMRQLGTFTFGTYVPSLAWIDWICGLEGKLEKTANDFDKLLEKIVQDHEDGAGDKADFADVLLGVQRDKSVGFEVSRMSIKAIILDAFVGGTDTSSTLLEWEMQELLNHPKCLKRLQEEVRTVCKGKSSVSEDDIQDMDYLRAVIKETLRLHPPVPLMVPHISTEDANLRGHHIPAGTQVMINLFAVGREVATWGPDADEFKPERHLNSSADFRGQDFELIPFGAGRRMCPGTSFAVVLNEVALANLMLGFDWKSTEDQTKTDVPESIGAVIRRMNPLYVIASPAT >A03g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10306186:10307265:-1 gene:A03g503200.1_BraROA transcript:A03g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFSGAVLSGINSSFPSGVAKQSGVGAVRFGRKTELVVVAQRKKSLIYAEKGDGNILDDINEATKRASDYVTDKTKEALKDGEKAKDYVDEKNVEAKDTALDEAQKVLDYVKEKGNEAGEDKDTTKA >A04p012540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5030168:5033032:1 gene:A04p012540.1_BraROA transcript:A04p012540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKREESITLAIDRDKESQNALKWTVDNLVSKGQTVTLLHVKLKQSSSLPSGNKSSDDGAELFLPFRCFCARKDVLCQDVIVEDISAAKGILDFVQKNAVETLVLGASKMTLLRRFKAPDVSSTVIKRAPSFCTVYSISKGKISSMKSSTSSLPSSIMRQRVQTQKSNMNVERRQSTMQRTHDEIEIKSPFMRRGYEGTYQPSMMDSDISFVSSGRPSVDLMFPSFHDHAAVPHLSLISDYEENRISYATSCSSDKQSIDIGSSYAAFSSSSLGSGRQSCSLSRQDEMEAEMRRLKMELKYTMDMYNTACKEAISAKNAATELHKWKADKELKLEEVRLAKEAAMQMAESEKEKSRVVMETAMASHIIAEFEAQKRKHIETAKEKKRATSSLGKTDFRYRKYIIEEIEEATEDFSPSRKIGEGGYGPVYKGSLDYTRVAIKVLRPDAAQGRSQFQQEVEVLTCMRHPNMVLLLGACPEYGCLVYEYMANGSLEDCLFRRGNSPFLSWQLRFRIAAEIATGLHFLHQMKPEPLVHRDLKPGNILLDKHFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSFGIMLLQILTAKPPMGLTHHVEKAIQNGKFVEMLDPIVPDWPVDEALVAAKLALKCAELRRKDRPDLGHAVLPELNRLRALAEEIKPSINFCRSHRPSPNTTMNRNHCHIQRPVATTSLYDIMSDPSPKYGSDSSGFHNEST >A05p046730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27704002:27712167:-1 gene:A05p046730.1_BraROA transcript:A05p046730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOTOPERIOD-INDEPENDENT EARLY FLOWERING 1 [Source:Projected from Arabidopsis thaliana (AT3G12810) UniProtKB/Swiss-Prot;Acc:Q7X9V2] MASRGGKSIPDSAMSSKGSKSRPDSDSKPKRHKTLEAPKEPRRPKTHWDHVIEEMIWLSKDFESERKWKLAQAKKVALRASKGMVDQASREERKLKEEEQRLRKVALNISKDVKKFWIKVEKLVLYKHQLVRNEKKKKAMDKQLEFLLGQTERYSTMLAENLVEPYKHGHNKSPKPLLAIESKSDEERAEETPSELNASAGLESETLDVDEDYDLNSEDASEDDEDTIEEDEKHFTKQERQEELEALQNEVDLPVEELLRRYTSGRVSGETSPERDENEANLASVGEDDIEGDKNNLTASEETEGSPSVRRSNDSFDHLAVSETHTPDHKPGPKTASVKSGKEDQTYDFNDEQEDVDFVAATGEEKDDETTLSVEEELAKADSVDPEDEIALLQKESEMSIEELLARYKEDFGGKDLSEDDSSDIRQQADSDDENVESAECKPALQPCSEKDEGTSNEIKEDDAKDSSDKIADAAAAARSAQPTGFTYSTTKVRTKLPFLLKHSLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACDKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKLKRQGWMKLNSFHVCITTYRLVIQDSKMFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPIAGMVEGQDKINREVIDRLHNVLRPFLLRRLKRDVEKQLPQKHEHVIFCRLSKRQRNLYEDFIASTETQATLNSGSFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMPGIDVQLSSRICSLLLKSPFSRVDLEDLGFVFTHHDFSMTAWEGDEIKAISTPSDLIKQRVDLKDNPEEVPLSLVNRKNLQGTSIFEEIRKAVFEERVKESKDRVAAIAWWNSLRCRRKPTYSTSLRTLLTIKGPLDDIRHLKANRPSYMYSSMLADIVLSPIERFQKVIELVEAFTFAIPAARVPSPACWCSKSDSPVFLSPSYKEKVTDLLSPLLSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAMLLRKLKFGGHRALIFTQMTKMLDILEAFISLYGYTYMRLDGSTPPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDNLVIQNGEYNTEFFKKLDPMELFSGHKTLTRKDEEEKSKNCEAELSLSNADVEAALKHAEDEADYMALKRVEEEEAVENQEFTEEPIERPEDDELVNEDDIKSDEPADQGVAAAGSAKEEVSLVPIDNRDERDDIITLSQEEDNDVPDDVKQMAAAAAAAGQAISSFENQLRPIDRYAIRFLEVWDPIIVEAAIENEAGFEEKEWELDHIEKYKEEMEAEIDDGEEPLVYEKWDADFATEAYRQQVEVLAQHQLMEDLENEAREREAAEEAERRLALNESAHVLKPKKKKKVKKAKYKSLKKGSLGSESKQAKTVVKVEASSDEDNEECGYVSSSDSDMVSPRLQKRMKGKKRELVFDSDEEKNSKKKAKKHKKSLSHSDIKCKTPHDELVPSKPSDSMAVDNELKPANRGKTLGKKFIISMPIKRVLMIKPEKLKKGNLWSRDCVPSPDSWLPQEDAVLCAMVHEYGSNWSLVSETLYGMTAGGAYRGRHRHPAYCCERYRELMQRHVLSASDSVVNEKNLNAGSGKALLKVTEENMRALLNVAAEQPDTEMLLQKHFTCLLSSIWRTSTRSTNNQKLSLNCPIFNRQVMGSANHAQELARKPFQGMKITSLSSKLVESALQDSSTSQPVDTASRSRLQEDQPINKMGLDLTLEFPRGNDDSSTHFPPIIKLTIDGSDSFNYVNDPPGEDKLKVSRIAAEDRYRNAANACIEDSFGWASNTFPAYDPKSRSGVKAPSLGKHKLSASDTPKSSKSKQRKLSAAEQSEVAWVRRNDPNLKFDFTPADREEVEEEKEVSEEIEMIGCPHWYDPLLTSGLDDCSLASEPSGIE >A08p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1901391:1902931:1 gene:A08p003410.1_BraROA transcript:A08p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEINPSPQVPTASGNNAHMMYVFNRNGVCLLYKEWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPVNADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPKTGDLRESLKYIYGLYVEYVVKNPIYNPGSPIKSELFNTALDQYVRSIS >A09g515940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47146677:47147060:-1 gene:A09g515940.1_BraROA transcript:A09g515940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCQIVVSTCAFGGGDNLYQPIGMSKASTQKVCYVTFWDDVTHATQEAEGHKIGENSLLIKSWIISKVSLKQKQHFISHYSKYQNQ >A03g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14917491:14918703:-1 gene:A03g504260.1_BraROA transcript:A03g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFSFFSSLTSSLCLLLFSVGREMAKPIETTTAPPHRSPPIDSTPIKTTTDPPNRHRSSTDPSSMTISREWRIGSTGRDDHGASKWSCGLVLLCHGSGTTLDSLQC >A06p008410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2912303:2914387:1 gene:A06p008410.1_BraROA transcript:A06p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 18 [Source:Projected from Arabidopsis thaliana (AT1G10560) UniProtKB/Swiss-Prot;Acc:Q9XIJ5] MIHSKTGSNRRILTFPAVQPCKSISLTTLLDSLIQLAGDILTFKQKHFSTNKRSFQKTIRQIQNLAIVLEEIRIRVGSPRRYFPGVSSLSEIHVIFQKLKFLLEDCTRDGARVCMLMSSDQVSDHLQVLTLSISTSLSAFPVSFVDLPSEVNELIDLVVQQARKHVVRPDSDDKKVIDSVNQVLALFENRVSPEPDEINRILDHVGVRTWGDCVKEVNFLGEEIEAERLENKKNNNNSNARVELLSSLMGFICYCRCVILNKRDHHLHRDESELRVHEDLFRGIKVEDLLCPISLEIMTDPVVIETGHTYDRSSITKWFGSGNITCPKTGKILTSTELVNNVSVSHVIQKHCRANGVVLAINSRKRKSHGDVAPDSLAAKGAGRLIARFLTSELITNGDEETVYRALREIRVLTKTGSFNRSCLVEAGCVSPLINLLASEDSRIQENAMAALLNLSKHAAGKGEIAGEGLGLIVEILNEGDKTETRLYAASALFYLSSVEDYSLLIGENADAIPGLMRIVTGDEFGDSAKRNALLAVMGLLMQPENHWRVLAAGAVPALLDLLRDEETGGELTADCLATLAKLAEYPDGTIGVIRRGGLKLAVKILSSSEASPAVKQHCVGLVLNLCLNAGNDVVGVLVKDSVVMGSLYTVISNGEYGGSKKASALIRMIHEYQERKTGSVEPSFQRGRFIHAW >A05p015340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6840306:6841019:-1 gene:A05p015340.1_BraROA transcript:A05p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP16 [Source:Projected from Arabidopsis thaliana (AT2G32100) UniProtKB/Swiss-Prot;Acc:Q9SKY9] MPKIMWKSLHLCFPSNLTKCYSSPCLPPSAAAAAEDDDPSRPSIVLINNFNLLYHNDHNNYHHRVVDLPSSSTATTFSSSATSSYESESQDISPELSAAFASRRFFFSSPGRSNAITDSPETRSRELSDNSDSATIKTPKKTKYDTSMNTTRLLSGGSAVKQHVYSPDPLTDFRRSMQEMIDAAIEAGDLSHPDEGYDYLNELLLSYLALNPTDMHKFIIRAFSDIMVSLLSEERRI >A09p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2736745:2739200:-1 gene:A09p004940.1_BraROA transcript:A09p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 9 [Source:Projected from Arabidopsis thaliana (AT5G49270) UniProtKB/Swiss-Prot;Acc:Q9FJ13] MGFLLPILLGVFLLFTATPPSLSQFPPEIDPPAPAPISPSELCNGIFLSYTFILGRQIPPNDTTDQPYRFESVLTVLNNGREELKEWRVFVGFQHHEILTSASDAIIVNGTDLPAPVGNGTIFAGYPVSDLKTAIQTAGDLKQMTATIELVGTQFMVAPPAIPLPSNISLVNDGWSCPEPTATPLSKRQITTCCIRDPTFEVNTTTITDKFLPRQPGDLTIMYDVIRAYDQNYLAEVTMENHNPLGRLDHWELSFDWMREEFIQKMQGAYPTVVDATKCIFGPQSQIYTGLDFADVLTCERRPIIVDLPPTKAEDPVLGKIPSCCRNGTILPRTMDPSKSASIFTMQVAKMPPDFNRSALSPPQNWRIKGTLNPDYSCGPPVRVSPTLYPDPSGMPTNKTSFASWQIVCNITHAKTETPKCCVSFSAFFNDSIIPCNTCACGCVSETRRTCSAETPSLLIPPDALLVPFENRTSLTVAWNALKHKTIPNPMPCGDNCGVSINWHIATDYRGGWTARITIFNWGEIDFPDWFLAVQMKKPAIRGFEKAYSFNASLLSIEGGVNNTIFMEGLPGLEYLVAERDELDPKKKLRVPGKQQSVIQFSKKLTPGINVPERDGFPAKVIFNGEECLLPDVLPLPSGGRRNGFDTMVLLCMMIFVVALVI >A01p057870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:32808889:32810727:1 gene:A01p057870.1_BraROA transcript:A01p057870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHFWSPDHRDEVPFDDRWEIAFTAKSAIKNLNRSPFNFCGDCLEHIEDNDFPWCCSLCIKKWHLRCVPSSPDDINHPFHPYHPLELLIDVPRPPDHSKSKCDECQQELKSYFYHCSLCDFSMHVRCSKEPPPPIVETAKCHEHTLTCMVRNDTFTCNACGTHGERCPYVCAPCGVMFHWECIKLPHVININRHDHRVSHTFSLGFGKRKCMICHKKVDWRYGAYSCSTCPDDYVVHSKCATRSDVWDGVELEGVPEEYFDVLPFEVIEEGISIKHFSHEEHILYTVEDEDDMTDGSMRCEACVHPIFSEAHYKCMECHFIIHETCANLPLRKRHWLSTTPFYLNANDNDTSDSFFRCGACQTISNGFRYESDKGVSLDMRCAFVISSYSDHECHPHTLFITTLDEGNCGGCKLTKKHVLRCTECDFSLCLACATLPKKIKRKGDEHFLFLRHGEKEVSGKYWCEVCEAVLDPHEEWFYTCHVSGVTFHIKCVVGEFPNAKPGFTYRYQCVLGHNLTLYGARVCTRHHGEEIIQLVRNDRSTRPKCASCGSRCLPPLILKFYLVDTYEVYCCNLECSLKFLLDSAQDFNQYFQNWTRPAGRTGPTITPLVG >A03g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28574067:28574747:-1 gene:A03g508210.1_BraROA transcript:A03g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELETSNVKDSMTYSQEAGTIRKNGYIVIKGRPCKVVEASTSKLANVTALPSISSLPTSLRISFCLPTTVPHVNYTDYTFLYIFDDGFVSVFTENGPTKDDLKLPTDESLLTQINLYRSFLIAPKVSDLRYSIVIH >A02p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5574029:5574720:1 gene:A02p012690.1_BraROA transcript:A02p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGLKFFTCLVLTVCIAASVDAALTCGTVTSSLAPCATYLSKGGAVVPGPCCAGVKKLNDMAQTTPDRQQACKCLKAAAKSINPSLASGLPGKCSVSIPYPISMSTNCDKYDNSNL >A09p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13335769:13337321:-1 gene:A09p024080.1_BraROA transcript:A09p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSTRTFPTNEGSLFFGSSNFTTAPYGDYWKFINKLITTKLLGPQALERSRGVRADEVNRFYLDLVDKAVKKESVEIAEKAMKLISNNIGKMLMGKSLSEYDEEADKVRGLVAETDVMSKKFFLAAILREPLAKLGVSLFKKDLASLTLRYDEVLEKILGDYEDRHKEEEHNQSSEMLDVLLEACQDRKTEITRNHIKSLLVDLFVAGTETSTINIQWTMAEIFNNPKILERLREEIDLVVGKTRLIQETDLANMPYFQAVVKEALRLHPPGPLVIRTFSDGCKVGGFDVLEKTTLLVNSYAVMRDPYLWEDPEEFKPERFLPASPRSGGQEDEIKDEVLKYIPFGSGRRGCPGSNLAYLSAGTAIGVMVQSFDWKIEGGKVNMEEVRGTMTVAMAHSLKCTPLLRYPNPLTSLV >A01p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20765107:20766529:-1 gene:A01p029120.1_BraROA transcript:A01p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPGTASFLTRANALLRKNLTYQKRNIWSNVRLIMIPFLLCVMLVALQIVFDTVVNNADDNRCGCQCIVTNQNGNCVNETCGIEFSSSDQAFFCPISSPPRWPPLLQVPRPECRAVNTTFLPDMGLPDETCRRTRTCPVTILFTGNNRSLGTSLFGNLLPSSVTVNISDPLQGLAYNVLGTEAESELTNYLDPGIASNLSIYNIQSGCISDAAFPFSFEEETLKFKKELRCAQGLNLWRNNSREVNDVIFKGYRKGNPEGKINEIAAAYDLLNTDRNNFNVHIWYNSTYRNGTNDRPSRT >A09p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1583512:1584502:-1 gene:A09p002260.1_BraROA transcript:A09p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNEIEFPMCKGPHKEPHVKTGFGLASSTHSLFALSTIISSVAMKEQNIRCSACTHPIGLQSFYGCTECDFSLHQKCAECPTRKWHVLHNERLTLVTNKELEVFDCYACKRNSNGFMYKHGTKKLDVLCGSISEPFTHPSHPHHPLYYTLIEKEELCNGCNGREYFILKVDDHPLSLCYGEEEEASGKYWCDICERETNPNNWFYTCKDHLACLHIKCVLGDSSGFMPSSVATFWTRSFEVVLNDSVTRPFCSGCKSRCMYPINLKLLGRSSTYICSINCASHWRGTTI >A10p014610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4095183:4095544:1 gene:A10p014610.1_BraROA transcript:A10p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHLQSHAGSTEVRGANIQKVLPDDSIYYCKVDASWKNANEVGVEAEAQALLMAVQQIRRLGYKQVTFLSDCKPMVKELDQWKTVTTIKDSP >A02g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21039358:21042288:1 gene:A02g507530.1_BraROA transcript:A02g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSILSLPEEIQVLVVELVAANSFADLYRLRTTCKSMKALTELPTVYASFDVFNFPWYVHMPHLLLRTLYAAGNPSALYIKGVQFFFTFGLQEEGLALMKRAADAGFERALYTYAMTCKIFWDDEEYFSRLTRKNVDMIGRVVRSLNWGRGMSHNIAFVTKRDEFISSVIPLFYSCECTPCLDRDWYLWHIENSKAPPPELSLSPSWGAVPAEETCKLISFSLLLLFGLSSVLDQKKNGYVRVLPHSEKKGNAKVQFSGPETGDGNVTTSKLWRQVGLENPSILQSMAALMFHDGNSLHIWFVFCEKVSFSAVD >A01g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23836306:23838277:1 gene:A01g508740.1_BraROA transcript:A01g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAILLPLLFIIPSAFGLNYPTCDLTNTQDQGSTLKIFHIDSPCSPFKSSSPLSWEARVVKTLAQDQARLQYLTSLVAGRSVVPVASGRQMLQSATYIVKAKIGTPAQTLLLAMDTSSDVAWLPCSGCVGCPSTTAFSPAKSTTYKNVSCNAPQCKQVPNPTCGLSACSFNLTYGSSSIAANLSQDTIRLAADPIEAFTFGCVNKVAGGGTIPPPQGLLGLGRGPLSLMTQAQSLYQSTFSYCLPSFRSLAFSGSLRLGPTSQPLRLKYTPLLRNPRRSSLYYVNLLAIRVGNKVVDLPQEAIAFNPTTGAGTIFDSGTVYTRLAKSVYEAVRDEFRRRVKPRNAVVTSLGGFDTCYSGQVTVPAITFMFKGVNMTMPADNLMLHSTAGSTSCLAIAVAPENVNSVVNVIASMQQQNHRVLIDVPNGRIGLARELCS >A03p028250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11824861:11826955:1 gene:A03p028250.1_BraROA transcript:A03p028250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 55, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24820) UniProtKB/Swiss-Prot;Acc:Q9SK50] MAAPFLSSPLQITSTSPILFTKVTPANQIQFNQRTTSTCTSNKLRLLRRSAAAGTVVTDQTEGGEEVLINPTPEEEKREEVVDYDWTEEWYPLYLTRDVPDDSPLGLTVFDRQIVLYRDGEGTLRCYEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGNGKCVKIPQLPASAKIPKVACVKTYEVKDSQGVVWVWMSTKTPPDPEKLPWFENFARPGFYDISGVHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLVFEVTERTNRGFAGTWGREKEGGKGNNLLLFSAPCVLQNNREFEGKDGVKNYFSGLFLCRPTGQGKSMLIVRFGVTKRSPLVQVLPLWFWHQNACKVFEQDMGFLSSQNEVLMKEKVPTKELYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHRTISLPKVPPVVEHAPAGLIAGLSASYPAKGGIGNMQAPNLANRYFRHVIHCRSCSKVIKSFELWKNILFGTAVALTALAILVVSRQWKAVLLGSATLCSAAAYACLRAIQMNTNNFIRTHRRL >A03p071590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31553031:31553609:1 gene:A03p071590.1_BraROA transcript:A03p071590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQHTGDLMKHLEKQNELLKATQKTMSHELQTLMVEEEMMMHKLCELMVTHRKNKKDMKKTQETVESSVVTVEAQRPPIIYQYRRKKSKAQQKTVQVSNGSDIDKGL >A09p048120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41676299:41680013:-1 gene:A09p048120.1_BraROA transcript:A09p048120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNMSLGVDTQKKNRIQVSNTKKPLFFYVNLAKRYMQQYADVELSALGMEIMTMTVDIKDDSRGRPVQKAKLRQFIMASKKKHSEGIALLSVYSDEDDEEMEDAEEEEEAERAKEAQQEEANIMDEEKKRGEEDSGTPKVVVIDGGASSAHATPRSLDNDEPDWSSPMNLESERALDDTSGESNDTLLDQFLPPRPKDKCSEDLQRKIDKFLSLKKMGKSFNNEVRNRKEYRNPDFLLHAVSYQDIDQTGSCFSKDVFDPNGYDPSDFCDAIELDMKHERERKEQESKKNQRLDFVSVGTQPGAVFAAQKPNIPIPGVPALATTGLPSVPTDIAARDGRPNKKSKWDKVDGDVKNPPLAAGTNAAPVSSASAGSGYSAFAQQRRREVEGRKSSERKLERRS >A04p023760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14458187:14460279:1 gene:A04p023760.1_BraROA transcript:A04p023760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFHSPTSLSHTSLLIYKDRFNHLFLALRKTINQNRVMNQEKPTSCCCVLDASTYVGFWILKKLLTRGYSVRAAIRKNGESILEEKIRNMQATEERLVVYDVDVLDYQSILVSLNNCNAVFCCLDNPEGYDEMEVDLEVRGAINVVEACARTESIDKIVFSSSLTAAIWTDNIGTQKDVDEKSWSDLDFCLRKKLWHALAKTQSEKAAWALAMDRMVNMVSVNPGLIVGPSVTQHNPRPTMSFLKGAAQMYENGVLAYVDVEFVADVHIRVFEDTSACGRYFCFNQIVNTEEEALKLVEILSPLIPMPPRYEKEMQGSEVYEERLRNNKLNKLVEAGSAC >A07p024650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14211973:14212452:-1 gene:A07p024650.1_BraROA transcript:A07p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTVVATGKNPPRVGYENSERKEWQSSVLQDRNTVENCVQMGLRAPNYTTR >A02p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4099442:4102484:-1 gene:A02p009740.1_BraROA transcript:A02p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGREEGNGGCAMATAAAMKKRRFEEEARTLSTQIPDDKEGLLEFMDQRAKSIQLCKDQISILERKLAEERKLMEDAETKFLQLDRVESDSFSKGKPKVSGGTGSLLDEVKTANGTSSTPYVRGEKMEYETSAPRSRSEMDSLKLPPIILPPSFRRKASVRSEVSESAQAQPAVRRSYSFPREEAKRSHSTISNGVVREVQARDSHTDKGFAKPRIRVSSNISGQARQEKSEFNGNDELIALIGRSSLRPTIKIRTVAVLPSGHTKRMRSVAVSLSNRDLFATSALDCVVHFWKLQSNRSSPTLFQTVNRVGLDQKRWAEDIAWHPLRTELFSVYTADEGHAQISTIYLNEARESCDSKFLKDRPHSKGLINRIMFTPWDDPCFITGGCDHAVVLWREQRERNAWKSRLLHRDLHSSSVMGVAGMRHNNLVLSCGDDRRFVGFDARAEKTTFQHKLDNRCTSLLPNPRDVNLVMVHTRQLDRQLRLYDVRLPQTELFSFGWKQESSESQSALINQSWSPDGLHISSGSSDPVIHIFDIRYNAPSPSLSIKAHKKRVFKAEWQSSQQLVSISSDLEIGIHKLW >A02g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21072348:21072936:-1 gene:A02g507580.1_BraROA transcript:A02g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQYQEMKDMKKHKKHYDMLGYICDAQYGIPTRCPCGGEIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEDDGMHFRQPWAFGVEDEVRRLRMEMNDMAEEIAKLKRIITSTSRP >A05p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1856566:1859287:-1 gene:A05p004800.1_BraROA transcript:A05p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDPPRHRCSTALLFLLLLGFSFAAADDASWTEDSTLESPGCTNKFQMVKILNWVDGVESNDFLTGLTAQFGESLPSDAGQGVRSPVAFVRPLDSCSNLSSRLDGSIALSIRGNCAFTEKAKHAEAAGASALLVINDKEDLDEMGCMEKDTSLNVSIPVLMISKSSGDALNKSMVDNKSVELLLYAPSRPAVDLTAGLLLLMAVGTVVVASLWSDLTDPDQANESYSILAKEFSGAGTRKDDPEKEILDISVTGAVFFIVTASIFLLLLFYFMSSWFVWVLTIFFCIGGMQGMHNIITAVLLRKWRHLGRSSVKLPLLGTMSWMSLLVNIFCLAFAVFWFVKRHTSYAWAGQDILGICLMITALQVVRLPNIKVATVLLCCAFVYDIFWVFISPLIFHESVMIVVAQGDSSSGESIPMLLRIPRFFDPWGGYDMIGFGDILFPGLLISFASRYDKIKKRVISSGYFLWLTIGYGVGLLLTYLGLYLMDGHGQPALLYIVPCTLGLAVILGLVRGELKELWNYGIEESESLTPEDPLPVA >A06p024790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15289306:15293838:-1 gene:A06p024790.1_BraROA transcript:A06p024790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.3 [Source:Projected from Arabidopsis thaliana (AT5G60780) UniProtKB/Swiss-Prot;Acc:Q9FJH7] MAHRNEEEGSIGTSMHGVTAKEHVFSFSDQGEDAWSSHSVQSDDPTAMFAFPVDSEHKAKMYPNISLHHLVPIIRDNLDLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFLLMLSAPAVFSMSFVADAGGYLSVRFMIGFCLATFVSCSYWTSVMFTGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHIIKVAGATPFTAWRIAFFIPGFLQVIMGILVLTLGQDLPDGNLSTLQKKGDVSKDKLTKVFWYALRNYRTYVLFILYGFSMGIEMTINNVISEYFFDSFEQGFQLELHTAGMIAASFGMANFFARPIGGFASDVSARFFGMRGRLWTLWILQTSGGLFCVWLGRATSLPIAILAMMLFSFGVQAACGAIFGIVPFVSPRSLGIISGLTGAGGNFGSGLTQLAFFSSSRFHTADGLSLMGITAMIFTLLVAFIHFPQWGSMFLGPTSDSRTSKEEHYYVAEWTEEEKLQGLHEGSLKFAENSRSERGKKAAPDGTSTPLENENPANV >A02p003570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1690058:1692019:1 gene:A02p003570.1_BraROA transcript:A02p003570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G09660) UniProtKB/TrEMBL;Acc:B3H560] MEFRGDANKRIAMISAHLQPSFTPQMEAKNSVMGLESCRAKGGNPGFKVAILGAAGGIGQSLSLLMKMNPLVSLLHLYDVVNAPGVTADVSHMDTGAVVRGFLGAKQLEDALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLCEGVAKCCPNAIVNLISNPVNSTVAIAAEVFKKAGTYDPKKLLGVTTLDVARANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPSSFTPSEIEYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDANVIECSFVASQVTELAFFATKVRLGRTGAEEVFQLGPLNEYERVGLEKAKEELAGSIQKGVDFIRK >A05p021040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9951533:9952402:1 gene:A05p021040.1_BraROA transcript:A05p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA2-ALPHA [Source:Projected from Arabidopsis thaliana (AT2G06925) UniProtKB/TrEMBL;Acc:A0A178VVH8] MAAPIILSCFLFLFFFSVSALNVGVQLTHPTVSLSKECSRKCESEFCSVPPLLRYGKYCGLLYSGCPGERPCDGLDSCCMKHDACVQSKNNDYLSQECSQKFINCMNNFSNTKQPTFNGNTCDPDEVIDVISIVMDAALIAGRVFRKP >A01p013960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6817800:6819390:-1 gene:A01p013960.1_BraROA transcript:A01p013960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEDWYKQMPIITRSYLTAAVVTTVGCSLEVLYASSFSGIDLIPCLRECGLTWILYLLMIDLESLLRECRLIDMDVFRIMFLSCWKIEAWFLLSIISPYNLYLNPTLVVKQYQFWRLVTNFLYFRNMDLDFLFHMFFLARYCKLLEENSFRGKTADFLYMLLFGATVLTGIVLIGGMIPYLSVSFSKIIFLSNSLTFMMVYVWSKQNPYIHMSFLGLFTFTAAYLPWVLLGFSVLVGASPWGDLLGMIAGHAYYFLAFVYPRMTDRRPLKTPSFLKALFADEPVIVARPEDVRFAHAPFDEIHQD >A01g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10091109:10091681:-1 gene:A01g503120.1_BraROA transcript:A01g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERHLFPELRIRSKMREIMIKEDSSCDLKELVANQDPKFDLGKKLKEALHGDYTAEDVDMPADEPTEIIGA >A03g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21157312:21164187:1 gene:A03g505920.1_BraROA transcript:A03g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWQPREDGLSEICALLEQQISPSSAAVDKSQIWKQLQHFSQIPDFNNYLVFILVQAQGKSVEIRQAAGLLLKNNLKGGYSSMAQENRKYIKSELLPCLGAVDRHIRTTVGTIISEIVNIDGVSGWLELLPALVTCLDSNDLNHMDGAMDALSKICEDIPHVLDSEVPGLAETTPVNIFLPRLFQFFQSPHASLRKLSLGCVNQYVIIMPAALYNSMDKYLQGLFVLANDPVAEVRKLVCAAFVQLTEVLPSSIEPHLRNVMEYMLQVNKDPDEEVALEACEFWSAYCDAQLPPDNLKELLPRLIPVLLSNMAYADDDESLLDAEEDESQPDRDQDLKPRFHTSRLHGSEDFDNDDDDSFNVWNLRKCSAAAIDVLSNVFGDEILPALMPLIQAKISTSGDETWKEREAAVLTLGAIAEGCFNGLYPHLSEANDKFPLIRSISCWTLSRFGKYLIQETGNPKGYEQFEKVLMGLLRRLLDTNKRVQEAACSAFATAEEDAAEELVPHLGVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVREELNKALGVGFAPFAQPVFQRCMDIIQLQQLAKVDPASAGAQYDREFIVCSLDLLSGLAEGLGSGIESLISQSNLRDVLLKCCMDETSDVRQSAFALMGDLARVFPAYLQPRLLEFLEIASQQLNANLSRENLSVANNACWAIGELAVKVRQEVSPIVTNVVSSLGLILHHGEAVNKSLVENSAITLGRLAWIRPDLVAPHMEHFMKPWCLALSMVRDDIEKEEAFRGLCAVVKVNPSGGVSSLVFICKAIASWHEIRNEDVKNEVSQVLNGYKHMLGNSWAECWSALEPPVRDTKCNNDCTPVTLKYEKDLIYLIHCKQQIDSLSLMSRWRF >A02p012120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5315004:5316924:1 gene:A02p012120.1_BraROA transcript:A02p012120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHISSSIASDDTCPGFLYSENILAPPLPHDYHPHYFLPPPPLMMSHHSLATAEAVSGFGYFDSTMTTGGGGCSSCDSPSSMGSGGESLVMQRSVSSHNGFFGNLPTTAHDFVHDHDGPVRRALSAGDLPRSSRRESSAVLSESNAIIEGMSKAYKYSPEEKKEKIEKYRSKRNLRNFNKRIKYECRKTLADSRPRIRGRFARNDEISQQEQVDVIEAVVGDIDTWASFLDSFSANHFLN >A02p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4367828:4375159:-1 gene:A02p010180.1_BraROA transcript:A02p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 3 [Source:Projected from Arabidopsis thaliana (AT5G20630) UniProtKB/Swiss-Prot;Acc:P94072] MKMMIINIFFILSLVSSISFASVQDFCVADPSGPQGPSGFSCKNPDQVTANDFAFSGLAKAGNTSNMIKAAVTTAFAPAFSGVNGLGISVVRLDLAAGGVVPLHIHRGASEVLIVIEGTIRGGFISSDNKVYLKTLQKGEVIVFPQGLLHFALNNGTGPALAFAALGSSNPGVQLVPSALFASDLPSELVEATTFLSREEIRRLKRKMKMIIRIFFMILSLVSSISSASVQDFCIADPSGPQSPSGYSCKKPDQVTADDFAFSGLAKAGNTSNMIKAAVATGFAPAFAGVNGLGVSVARLDLAEGGVVPIHIHSGASEVLIVIEGTIRAGIISSANKVYLKTLQKGEVIVFPQGLLHFALNGGTGPAMAFAAFGSSNPGVQLVPNALFASDLPAELVEATNFLSHEEVKRLKGMIIHIFFILSLVSSTSFASVQDFCVADPKGPQSPSGYSCKNPDQVTENDFAFSGLAKAGNTSNLIKAAVTPAFAPAFAGTNGLGVSMARLDLAGGGVIPLHTHPGASEVLVVIQGTICAGFISSANKVYLKTLQRGDSMVFPQGLLHFQLNSGKGPALAFVAFGSSSPGLQILPFALFANDLPSELVEATTFLSDEEVKKLKGVLGGTD >A03p042280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17712586:17712890:1 gene:A03p042280.1_BraROA transcript:A03p042280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFCLSLALVLCTKDYGVDPGEDATPKMSELMYCRVQTVGDSSFSCHARVCGILNG >A05p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7473743:7478792:-1 gene:A05p016750.1_BraROA transcript:A05p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIKNESLFASLMIFVALFGVAVGGTVHNVGDSKGWTMMGVDYEAWASSRTFQVGDSLIFVYNKDYHDVNEVTPNNFQLCEPSNPLERYETGSDTVTLTNPGVHNFICGVPGHCDIGQKLQIVVLPASLGPVAAPVPRPVRSPSSFSSLSPSPLADSPVNNGPRYQMGPAPAPHSAASDSSAWMGFYSLISLFSLFILNKTSIMALIKNKSLFASFMIFVALFGVAVGGTVHNVGDSKGWQVRDSLIFENNKDYHDVRQ >A09p022370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12307120:12310439:-1 gene:A09p022370.1_BraROA transcript:A09p022370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSISLLLIKRISLLNINPKSRRLFHPFLAKALSTSAQSNPNNSSSPEENHKPSSSLSSRLSFVFDQIDAIEKRHSEQDETLERIRAWRQSKQQPPPPPPPALRRDPDPEKSESESVGDNERPEEDLTQSVLSKENGVVELVHPWPEWMELMEVLVKQNYFDLRRQRDDDDEDEMMVHSLGIDVSPSSSSNVGAALLQDFRAVQNACVNFGKDRFDILRSLSRNDIQILVGHGCPATDRKVVFSGKLLRKRVHLDEGDVCSSCSLRNSCEKAFLLTNKEDEARTLDLMKILFTYGFDPLNDTVVTNKSLLKKKSVKTVVRKLLHEVVKLSKVPIDPNLTPPVIKKAPPKVKQPPPPPKRRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDAFLENKTQETHRFTESQSEKVVKRDDVSNAWNFDFDDDESDGAEVAAFEYADSSRKNQNLPMDGLRDPEEEEFGNLPPGARESSEIGGRSRRPGVGFDDFDDEDDVDSYEIDETGNREAPARTAFASEEFSEDEQFPESKAGYNAHRGGSNRNGNSRGGFSRDNELGFSSDDEASVTPRWKSSHVASTNRGPPSRKLTFGSDDEFGLDSDMEDDGPRSGLRGGGGQRSNGARGGFKGKRRSYSASESDDDDMDDKEYRGSSFSGNRSRGGNRGRMRGGRGGFNDYNASSGFSDNRSRGRGGRTGGGRRGGFDSDGEDYRGSGRSRGNREDRGGFGGRMGSRSGGFDDGFDRKSSRGGRGAGSFRGSNRGGGGRGNRHGNSDGKDTDSADFRNSRRVIER >A08g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5832039:5841422:-1 gene:A08g502980.1_BraROA transcript:A08g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.4 [Source:Projected from Arabidopsis thaliana (AT1G62340) UniProtKB/Swiss-Prot;Acc:F4HYR6] MENENLNKDLMDTNPRKLRAYCFIWLILCVLVLIVCVILSRAEEKDGNDDDHVPRIYSVLVEGEPLAFHAATNINSKAMAYEANKIEETHEEILGSTLEKGSYTKLYSFKHIINALAVRTTPSQAQKLKKAKGVKAVEEDKGVKLMTTYTPDFLELPRQVWPKISNQGDRLAGEDIVIGFVDTGINPTHPSFAAHDLTNPYSTNLSRLNFSGDCETGPLFPAGSCNGKIISARFFSAGARASVAFNGSLDILSPFDASGHGSHVASIAAGNSGVPVIVEGFSYGRASGMAPRARIAVYKAVYPSIGTLVDVIAAIDQAIIDGVDVLTLSVGPDKPPVDKPTVLGIFDLAMLLARKAGVIVVQAAGNHGPFPSSVLSYSPWVVGVAAGSTDRSYPASLILDGPTLGAPFLRHRLVLARDAVRTNGSVPRTITGDVEECQRPDNFDPAKVLGSIVICTFSEGFFNQISTIRAITQAATTLGFMGFILMANPNFGDYVAEPTIFSSPGILIPKVLDSQLIMRYYEEKTYRDRGGVVTQFGARARINEGRNSVFAGEAPVVSRFSSRGPAFIDANQNPLDVLKPDILAPGHQIWGAWSLTSAFDPNFTGRRFAILSGTSMAAPHIAGISALIKQLNPSWTPAMIASAISTTATGYDSSGEVMSAESYGIGELFPSNHFDHGAGHVNPARALDPGLVLPTGFEDYISFLCSLPNINPDTVRSATGAWCTTKLSHPANLNHPSVTISALKGPLVVRRSFQNVSNKTERYLGSVVPPNGTIVRLSPSWFTIRAQRIQDVDIEFNVTEVLNQFTFGEIVLTGSLNHIVRIPLTVSLDYFTANLLIKLGWNYESGELNESSKRLRVFKMEEEINNKLESTPPGSLPPTPPIFHPLAPTPHIISFCVKEELCPSSLPSFKGQQARVEVRLREGIAQYQGHEILLEIKAVLLDIAGNTGMI >A03p047180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22535148:22538618:1 gene:A03p047180.1_BraROA transcript:A03p047180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLFFYFLFSSSALADRRVLHEPFFPVDSPPPSPPQSPPLPKLPFSSTTPPDATSPPFFPTYPSPPPPPSPASFASFPANISSLIVPHATKSPPSSKKLLIAAISAVTSAALVAALIALLYWRRRRGRSSQESNAPDDSKTWTTDSSRRVYPPPPPTTAAPSARRNAEGRTSKQRTTTTARSSANTSSEFLYLGTMVNPRGVEDHQSVSNRSNNGSSSRKLESPDLQPLPPLMKRSFRLNPEVGSIGEEDEEEFYSPRGSASGRETLTRVGSESRRVFSSVPNQNVRSVNNDTISCSSSSSGSPGRSTFISISPSMSPKRSEPKETVISAPEPPPPKTSPGSSESSPSKITDFRLVRSPSLSLASLSPRLCPGKIAEGGGLGQISRSPTVTSLTTSPERENKEENSLQNLDDDSPRSSSRSSASTSPERRPNDTPEAYLRSPVHSSASTSPYRCFQKSPEVLPAFMSNLRQGLQSQLLSSPGQGFLKELDALRSEKTSHKSPPEKGSHDPQSASSSSLSSSPDRDFSHSLDVSPRISSISSQILQPPVSAARVPPPPPPPPPPFPTWARRIQVTPKVSRPPPLTPPSNPFVIPSENVPKTSPEETHKETFSANEPAEETPKPKLKALHWDKVRASSDREMVWDQLRSSSFKLDEEMIETLFVAKSLDSKPNQSQTTPRCVLPSPNQENRVLDPKKAQNIAILLRALNVTIEEVCEALLEGNAETLGTELLESLLKMAPTKEEERKLKEYKDDSPVKLGHAEKFLKAMLDIPFAFKRVDAMLYVANFESEVEYLKNSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRAEGTRLSGDNNTQTDDIKCRKLGLQVVSSLSSELSNVKKAAAMDSEVLSSYVSKLSQGIAKINEAIQIQSTVTEESGSSSSERFLESMNTFLKRAEEDIIRVQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFLVVRDFLGVVDRVCKEVGMINERTMVSSAHKFPVPVNPMLPQPLPGLFGRKQSSSSSSSSSSDDEHNSSH >A03p018750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7658579:7659220:-1 gene:A03p018750.1_BraROA transcript:A03p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISRRACVFIFVFALVAEFVFGHVEVKDDKHLFHKPRPFFHKKGFRKGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGGGGGLGHGGGLGGGGGLGGGGGLGHGGGLGGGGGVGGGGGLGGGGGLGGGGGLGGGAGGGYGGGAGGGLGGGGGAGGGGGFGGGGGYGGGGGFGGGAGGGGGFGGGYGGGGHH >A08p002090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1205402:1207168:-1 gene:A08p002090.1_BraROA transcript:A08p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABCG10 [Source:Projected from Arabidopsis thaliana (AT1G53270) UniProtKB/TrEMBL;Acc:A0A178WBC4] MELPVKAPISGGRKISYKLETKNLSYRLYGNTSKFSNLCGLLNEKEEKVILKDVSCDARSGEITAIAGPSGAGKTTLLEILAGKVSQGKVSGQVLVNGRPMDGPEYRRVSGFVPQEDALFPFLTVQETLTYSALLRLKTNRKEAVAKVKKLMEELGLEHVADSRIGEGSRSGISGGERRRVSIGVELVHDPNVILIDEPTSGLDSASALQVVMLLKDMTIKQGKTIVLTIHQPGFRILEQINRIVLLSSGLVVQNGSVNSLYQKIKFSGHQIPRRVNVLEYAIDIAGSLEPIMTQSCREISCYGHGKTWKSNNAGREFHQPDSHSNSVLEEVQILGQRSCKNIFRTKQLFTTRALQASIAGLILGSIYLNVGNQKQEGRVLRTGFFAFTLTFLLSSTTEGLPIFLQDRRILMRETSRRAYRVLSYVLADTLIFIPFLLIISMLFATPVYWLVGLRRELDGFLYFSLVIWIVLLMSNSFVACFSALVPNFIMGTSVISGLMGSFFLFSGYFIAKDRIPVYWEFMHYLSLFKYPFECLMINEYRGDVFLKEQDLEESQKWSNLGIMASFIVGYRVLGFFILWYRCYRTKS >A07p014470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9043916:9045232:-1 gene:A07p014470.1_BraROA transcript:A07p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRREEKDKFHNSREASGSFRKRTEYEEKDTTPSKLDSVHDDLKMAIMTRLPAKSLMKFRYVSKMWCSFIGRQELVDSFFVVSSAQPRFIVSFSNNAFGKPEEKLTFLLSYPEGSSSSSLVPRFEMALPVGLRASQEYCASLHGFLSVYTDHGLMVCNPSTEQVFNLSKNTQFVGYDPIGGQHKALSVHLRDPSSARPHLLHKVLTLGGGGGWRDIEGTPVPYIPVSVGVCINGVIYNGGYKYNSTTDFKNKNPVVMCFDVRSEKVSFIQAPSAVVLCGKNAIFIEYNGKLASILVHPPLARSIPFDLWILEDAQKHEWSKQTCVFPSSAWESVSCRRMSFQGTNKAGEIIIVPSVVNTIKVQPFYIFYYNVTTNYVRRVRLLGIGDNEEFQRSYGFVGGYECFVRVAPHHVDSIAFLNNPLYIKRGALELMLSHHV >A05g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18272643:18273170:-1 gene:A05g506400.1_BraROA transcript:A05g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEALGSTKRIVEELKHQLDENEHYNHNPMSSPDRILMELKQAYISLSKTMDDLVKIQSSSQPYVWVGGAVSLAEELSRVRETPHMVVETDEIGLNQQNKNYMITAEMRLVAISKWKKLQERGNTCDC >A04p011970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6284319:6286279:1 gene:A04p011970.1_BraROA transcript:A04p011970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHIHNPNFLHTHQLRSSLTSASASPASYHFTRYSPAKHPGFICKSNNKDDYLIDAPVSAGDGFSFSGGKYSDQPSPSDEWLKQGKWVKAHRVGGSGEEAKDPIFGLTMGSSSQASKDVFRWFCVESGKVDSPPVILIHGFPSQAYSYRKALPVLSKNYRAIAFDWLGFGFSDKPQAGYGFNYTLDEYVSSLESFIDEVTTTQVSLVVQGYFSTAAVKYARNRPEKIKNLILLNPPLTPEHAKLPSTLSVFSNFLLGEIFSQDPLRASDKPLTSCGPYKMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKGYTEEMRSSLMDKDWKIPTTVCWGQRDKWLSYQGVEEFCKSSGHNLVELPMAGHHVQEDCGEEIGGLISRIISKAALI >A06p016640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7454356:7455200:-1 gene:A06p016640.1_BraROA transcript:A06p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT1G19200) TAIR;Acc:AT1G19200] MLLSNSHMVVPGYRSILSPGNFTTSPRCPLDMRFPSPGSSKRYDDGGVGLGIVAALEKSGSIMRLNRQEISSSRQNPVYYSGSGSNSSNPVHCPRRNQFEAEIELSEEYTCVTSRRDGVSKVYYNDDEFEFRDDRPEGDRRERRLDKSTETADESAAKKRDVLMRDSQEFLSLCCLCKKKLRGKDIYMYKGDRGFCSKECRSVRIMEDNIDAQHKSTSIEVLSSRCTGGQVSPAGIFVI >SC300g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000145.1:70:1903:1 gene:SC300g500010.1_BraROA transcript:SC300g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHRWQRTCNRWKDLTSVQRGHSRYPSSGNGPNNLFSQQRGTLDVNQNDPNKHISIDRITPTSTDKDDPTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIHVTKDDIRKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVTAICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTKIESLRQQLEKEATTLASINAPHVPSIDVSLPTAQIPAEPQYLDAIHKKDQQPATSIDVCTITSLYAKISAMDNRLQTYEDMHDRFANSFSIDRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRIGGLDSHAKWLHKEVKGIQRQLAAQHQISASIDRKRAKSLDEQLIHKTIEAMHEELTELSAYAYDNIGWHQVSIDNVQDRLQNISNVLKKMDDKWTRNGEATRSFIASWSKMCRDEVDACFPTSSCLSTK >A01p050150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28186171:28189526:1 gene:A01p050150.1_BraROA transcript:A01p050150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PX domain-containing protein EREX [Source:Projected from Arabidopsis thaliana (AT3G15920) UniProtKB/Swiss-Prot;Acc:Q9LSB9] MNHYADDLSLLDYNYNVSGPFGEPFSHRFLSPGPYFHGEDDDYRRSINHSLGGAYGGESNKDSRVSKQHRHDGKSPLPLGMDWSAPPRQWEGRNTVWPHDPRTGWSYCVTVPSWVDLPRSTVSDPGVFYRVQVAIQSPEGITSTRLILRRFNDFLELYSSIKKEFVKKRLPLPPPKKRLRMKNETLLEERRCALEDWMNRLLTDLDISRSALIATFLELEAAVRSYFNDEYQENEDSSVNSQLRLPDTSSDAPGSSSVTMDHLSDSPDETSDTSTMKHDEASLKNIVSRNSTSEDNVTDWHELITESGLLDKSHFTDRAAETGTITGEASISRESNQDSMESHDDAHDGADTETGKDVAIVFQSEERSKLKRVVDTLEQRLETAKADTEDLISRLNQELAVRQFLSTKVKDLEVELETTRESCKQGMEQTVLKEKERYTQIQWDMEELRKQCMEMESLLNFMKDEKAYTESTNESLVEENEMLLQQMDDLRDKFENLRKEHEELEVKSKAELKVLVKEVKTLRTTQSELRVELSRTMKEKLETERIVQREKDREETAKAANEKLMHECDVLQNRLRECDVKFHMEDESKLIMESSSPSEAMDLLATSDNRIGLLIAETQLLSEEHGGPDDVVRKMLTEVLIDNARLRKQCNSVLRCSLSGHGVSVREARSEQEEEEEDQEGSVDLASTVLSKILEK >A09p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16747072:16748494:-1 gene:A09p027770.1_BraROA transcript:A09p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase and lipid transport superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64720) UniProtKB/TrEMBL;Acc:Q9XIR9] MMELSSFFPTFCSPDLLLFIAPLWIAVVVGVLVGWVWRPSWARDDKLFTFFNNNLPRPAATTTTTVPSFESRQVYKEKSGFVTGDDFRHLWNLVEVKDGGPAWIQMMDRSTPSFSYQAWRRDPHDGPPQYRSRTVFEDATPEMVRDFFWDDDFRSNWDDMLLFSSTLEACKDTGTMVVQWVRKFPFFCSDREYIIGRRIWDAGRVFYCVTKGVQYPSVPRQSKPRRVDLYYSSWCIRAVESKRGDGEMTSCEVLLFHHEDMGIPWEIAKLGVRQGMWGAVKKIEPGLRAYQRAKAAGAGLSPSAIMAQINTKVSVEEFMNERDSTGEVAGDEKPSSGKNIPKMLVVGGAIALACTLDKGLLTKAVIFGVARRFARMGKRM >A06p026510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13857718:13861850:-1 gene:A06p026510.1_BraROA transcript:A06p026510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 32 [Source:Projected from Arabidopsis thaliana (AT3G43270) UniProtKB/Swiss-Prot;Acc:Q9LXK7] MIKLGGLVSSTFFLLLTLSLCSAQNDVFSSTGYVQIECLRVPPLEFAGAAKTAVDLVRKAGALVSKFDKKFGNSRMGHAILDCLDLLDSASEELSWIISASQNPNGKDNSTGDVASDLRTWISAALSNQDTCLDGFEGTNGIVKKVVAGGLSQVGKTIQNLLTMVHFSSKPKSKTNRAHKTTTAHSGFSKFPTWVRPGDRKLLQIDGLSTAADAVVAADGTGNFTTISEAVLAAPDYSTKRYVIHVKGGIYVENVEIKKKKWNIMVVGDGIDATVITGNRSFIDGWTTFRSATFAVSGRGFLARDITFQNTAGPEKHQAVAIRSDSDLGVFYRCAMRGYQDTLYAHTMRQFFRECIITGTVDFIFGDATAVFQDCQIKAKQGLPNQKNSITAQGRKDPNQPTGFMIQFSNITADTDLLPNLNTTATYLGRPWKLYSRTVFMQNYMSDVLKPEGWLEWNGNFALDTLYYAEYMNNGPGASIDLRVKWPGYHLLNTSADAINFTVAQFIQGNIWLPATGIKYTAGLAS >A09p019360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10250790:10253808:-1 gene:A09p019360.1_BraROA transcript:A09p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVSFQISCDQTMNRILTFLFSKGYIEKLEENLNYLVKEMKFLMAVKDEVLIKVGREQWLNQQRRPTVQEWLTRVDDAYARFKILFKKLRLEGYFKEVTELPPRPEVVKRPTWGTVGQEEMLETASNRLIDDNVGIMGLHGMGGVGKTTLFKKIHNKYTEISGKFHIVIWIVVSQGANITKVQEDIAQKLHLCGDEWTKKNESDKASEMQEDVCKVAFTTRSEDVCKRMGDHDPMQVKCLKEDQAWELFKLKVGDEQLRREPRIDVLARKVAEKCHGLPLALSVIGETMASKTTVQEWEDAVYVLNRDAAEFSDMENDILPVLKYSYDNLLDDKVRLCFLYCALFPEDGHIDKEGLIEYWICEGFMGEYQVLKRAINKGYGVVSTLIRANLLTAVDTKTVMMHDAVREMALWIASNLGENKENFVVQARVGLHQVPKVKDWGAVKRISLMGNKIEEMTCSSKCSELTTLLLQSNKLKILSGKIIQYMKKLVVLDLSSNINMSGLPGRISELTSLQYLDLSDTRVEQLPVGFQELKKLTHLNLASTSRLCSISGISKVSSLRSLKLFGSNVRGDVNLVKELQLLEHLQVLTIDVSTELGLKQILGDQRLVNCIFRLHIHDFQEKPFNLPLLVSMENLRELRVTSVHVSYTKCSGSEIDSSDLHNPTRPCFTNLSNVNIFDCRSIKDLTWLLFAPNLVRLSIQYSDELEELPRLESIYWSHLPFPFLRLTEIRNCPKLRKLPLNATSVSRVEKLSISAPISNFEWEDEDTLNRFLPSILKMDCIFFKQWFILLGKRTFTEDFILDYDQTKSPRFNM >A08g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4554731:4556612:1 gene:A08g501950.1_BraROA transcript:A08g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNRPRTSSSMAIGRQTSQARSIRGDQACTQLGRYVATEHPFRSVATDRASVLLGRYVATELGQPRSLRSDRASVPLGRYGATESSSEMSIRHQSMHSRLSFDAISRRPQRERDRERAAARAGGMGKNADDRLTPEQHRERDAKALQEKTAKKAAQLLQLQLLVEA >A01p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5390248:5393858:-1 gene:A01p011080.1_BraROA transcript:A01p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKCNFCHCGVSLAALLAHSLSLSLSLDHCPCSHDVVNKKSSKHVVNAFLLQIPETRRVDSLCMWGRSWRMSYSHQKRAEKARLELICESSRKAAALHAKINGKELDKPDITQTCEEILNSSVPVALSLVDERKVVKQHLLEDVTSLRVEETNGAWRTKAIPDPTLLPQGRTYARKEAVTLLKKADFGNFDQKWQHKEKQRAGSGEGGNTGAWSEHGSKQDIGSSSTTTSSVDSKGRYVDQLGFTWIGTPKIDDESTPPGVKSTPPGVKETAIDAFVDMETLKFTSTENVFLLRPQISTILDDMGDDTVKYKLVNIKSFLIQRKNNFAKSVSIVSNFSKVYPPRRLVCGPCKQDSRNLPAGEKMPLLDLEMIRKISVYAMTPGRKAVMIISNDLYLTSTFADKLRAQYNELTVITPSVGCMIPVDMYREKTASDVRPRNVQRGARNVQRGGD >A07p001750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4291202:4291540:-1 gene:A07p001750.1_BraROA transcript:A07p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFRSRSYGEGRISDRSSDGPGSLSVNGGNSSMQDFRSYSISYTDYPTRISQDNPNRKKERSSSSWGFVDPDLQRKKRVVSYRVYTAEGKLKGSLRKSFKWIKDKCNKILN >A09p077780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57676542:57681433:-1 gene:A09p077780.1_BraROA transcript:A09p077780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSDSDSSSQAADYKNFRQITRERLLYEMLRSAKTGSSKSTWKVLIMDKLTVKIMSYACKMADITQEGVSLVEDIFRRRQPLPSMDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPVSKELVGHIKKDSSVLPRIGALREMNLEFFAIDSQGFITDHERALEDLFGDEETSRKGDACLNVMASRIATVFASLREFPTVRYRAAKSLDASTMTTMRDLIPTKLAAGIWNCLAKHKQSIENFPQTETCELLILDRSIDQIAPIIHEWTYDAMCHDLLNMDGNKYVHVIPSKSGGQPEKKDVLLEEHDPIWLELRHAHIADASERLHDKMTNFLSKNKAAQLQHGKREGSEFSTRDLQKMVQALPQYSEQIDKLSLHVEIARKINDLIREQGLRELGQLEQDLVFGDAGMKDVIKYLSTQEEASREGKLRLLMILATIYPEKFEGEKGQNLMKLAKLPSDDMSAVNNMRLLGSAVDAKKNTPGGFTLKFDLHKKKRAVRKERQEEAAWQLSRFYPMVEELIEKLSKGELPKEDYPCMNDPSPSFHGSTSLSSSASSNQGQAAAQSMRSRRTPTWAKPRGSDDGYSSDSVLRHASSDFRKMGQRIFVFIVGGATRSELKVCHKLTTKLKREVILGSTSLDDPPQFITKLKLLSANELSIDDLQI >SC292g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000138.1:21604:21867:1 gene:SC292g500030.1_BraROA transcript:SC292g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTHISILPTFSCGPRPWPAVNETWTDPGTNSYSMLTTHITVWPFQWTVRVVIRVRVQDSELYQQSDHTYQHAAHGRGLYRVTDP >A02p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3015756:3016388:-1 gene:A02p007150.1_BraROA transcript:A02p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEETMTATTSKREAEENRKEALLASTQSLQPNFNRSNVSQKQISKLQDLHKRRMKIKANSKKPKAKLSMKSRSVEDGESSKVLKESTTSSSSTTLEQQTVVTAAPMKPQKLYWGLDTKERWERKANM >A09p011430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5770587:5771678:-1 gene:A09p011430.1_BraROA transcript:A09p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSLRQPPPKLVLLPTLVIIVSSTCLLGILTNLQTLSYLLRPLWDKPPPPFRRIPHYYAENVSMAHLCNLHGWTPRTEPRRVFDAIIFSNELDLLELRWQELGPYVSKFVILESNTTFTGIPKPLFFDSNKERFAFAEGKIVHGVFPGKKRLHHDQQQEDPFLLEGQQRVAMNWLLREANVSDGDAVIMSDADEIPSPHTVKFLQWCDGIPDVMHLEMRDYMYSFEFPVDYSSWRASVHIYSRKWTHYRHSRQTDLILSDAGWHCSFCFRRLSEFVFKMKGYSHADRVKRKEFLDYSRIQKHICKGYDLFDMLPEEYSFKDLISKIGPIPPSTSAVHLPAFLIQNAARFRFLLPGGCLREP >A10p027000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17058202:17059846:-1 gene:A10p027000.1_BraROA transcript:A10p027000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase LUL3 [Source:Projected from Arabidopsis thaliana (AT5G19080) UniProtKB/Swiss-Prot;Acc:Q84ME1] MGISFSNRRRNNHHRRYHHHLPPPPPYYYSDQPQQPPPQPPHNEYSYTHNHLVSAPQHSLPPPSQPHPPPQVNYGSYGYNYNQIQNPQQQQPPYFSGYHPNGWNTMMRPVYYGPAVVVDQQPPQPYVEHQSAKKVRNDVNVHKDTVRLEADDLNPGYHLVSFLFDAVFDGSFTIMFFAKEEENCTMVPQFPEAFKPIQVPFQKGTAQKFVQSSGTGTDLGFFSLDDLSNPLPDEVFPLVISAETVISGSEEPLVHKQITLACLEKTDDGSFKVKVMKQILWIEGARYELRELYGIDNSTAQDDDVASGLEDSGDKECVICLTEPKDTAVMPCRHLCLCSDCAKELRFQSNKCPICRQPIDELLMIKVETSNEQH >A07p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19394791:19395344:-1 gene:A07p035970.1_BraROA transcript:A07p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHHYYSFPTSYKYLRALIHINNNYPVHKLTGEPDEPGTLVRTGFHSTYAGNIMVTATRVKSCTQLSMDIVPGNDDIVITGVITGGGHAATLADHGTQCALLKPLAIADVAPTLAGPFPIVFGCDSSMERQGCFFH >A04p014890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5783721:5783927:-1 gene:A04p014890.1_BraROA transcript:A04p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDELLFQLYGEDVWEARDESSKWFRWRLAGKRSSDETRVSVVLLLKRRRSSRRDEMVKMRTRVLPP >A06g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19232633:19234428:-1 gene:A06g506960.1_BraROA transcript:A06g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKLFPVLFRVPRRGECARSPPEGYFSCYEAFVVHCRLWFPIPEILVRVLDRFEVVISQLTPLAIQHLIGILILSYEHSLSLFGDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINHLAPFPEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFAQPYPASPANTGSDSEPDDQNPVEASKAVPESSSWKGKDVDLGDIEFLMDDSMIPGWDPNLAYGDGSGSSEAPIPDFDDFFDGLPPGFDAPPPTKESARPRVVAEGSRIINGAGHREAMVYRFKAEKAERDLAHVQGEMLEREAQLTRDHARAICKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTEFPDGGEEVDRPADAFGASLSGDFDFGL >A09p051220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45288510:45290528:-1 gene:A09p051220.1_BraROA transcript:A09p051220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWLVDSNRIATKIKSASSHSDSHQVVWNSNPTRHCPNCSHVIDNNDEVDDWPGLPRGVKFDPSDPEIIWHLLAKSGLLGLSSHPFIDEFIPTVNQDDGICYTHPKNLPGVKHDGTVAHFFHRAIKAYSTGTRKRRKIHDDDFGDVRWHKTGRTKPVVLDGVQRGCKKIMVLYGGKAVKTNWVMHQYHLGTDEDEKEGDYVVSKIFYQQPAVLKQGGGDKAGDDVSDDIFAATTPKADPVTPKLFTPEPPQAVGVCSDPHFADDYVAAPEVSLAEISEAVYMEDEVQGVQRNHERTSSEDEPAPETGIENRENEIMVYDGEEKENGKEDGNDKEGENQAEEDPNWLDSGGSQFILDSQQLVEALSLCDDLLQDRDENTNNGGGSRNKQPCFGDYAHLGGTEDFKRDLEDCQKLVLDPSNIDLDTPPEFRLSQLEFGSQESFLAWGTGKTD >A06p055780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29087673:29090431:-1 gene:A06p055780.1_BraROA transcript:A06p055780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSYHPHIMEIIVRVVFVLTVVNFGFLNGQVYDVLKFGAKGDGTTNDSEAFVEAWKAMCSSGGSNKTLLIPSDNTFLLQPLVFQGPCNSPSVQVKLEGKIVAPLNKAAWSDFKSYEWVSFNKIIGLTVLGSGTINGRGSSFWEANMPASKRPTQLYFEGCNNLEINGIASLDSPRNHISIHNCTQVKITQIKLIAPGDSPNTDGIDISTSTDVEIYDTIVGTGDDCVALNSGSININITRMQCGPGHGISVGSLGKDGEESIVENVQVTNCTFNETNNGARIKTWPNGKGYARNIVFMDITLTETKNPIIIDQQYIDKGRIDVEESSAVAISNVTFTNFHGTSGQDEIIKIDCSEVTYCKDIVLDRINITTVDGNKPIVECSNAYGNSTNAYDGCLKTQ >A05p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10016702:10020351:-1 gene:A05p021140.1_BraROA transcript:A05p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEMFVWQGFIFMVCWVSFYRRNLDVLFTSHPFPRRVDLVMVPLVEFRRLRFLVLSFDRRGSSDKCGLGAEVEVTGWQHHGSSKESRAANLFPNWRGPDCTLPNVITLMLSLSLVIKLGDDR >A05p048890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28513731:28516085:1 gene:A05p048890.1_BraROA transcript:A05p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNESDTAYESLEEPSQEPDSSEKPTHIRFLVPKALAGYVIGKGGSTIIQFQDSSGAEILLSRNQEYFPGTTCRIVVISGTTVQVLTAFQLVLAKLHSQLQGEDGSDVELRRTRVVVPHSSCGGIIGKGGATIRSFIEDSNAGIKISPLDFELSDRLLTLSGTMEEQMRAIALILTKLTEDDDYSQQVHSPNSYRKDLGLRNLLHAWKSAKSRRSLGSKQNHKEDSYNSVTIGVSDEHIGVVIGRKGSHIMEISESSGARIKISGRGGFLPGTTDRKVTISGFQASIDLALSIIERKVDKASKRRAKDETDMDTD >A02p059410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35455360:35455835:-1 gene:A02p059410.1_BraROA transcript:A02p059410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INCREASED RESISTANCE TO MYZUS PERSICAE 1 [Source:Projected from Arabidopsis thaliana (AT5G65040) UniProtKB/Swiss-Prot;Acc:Q9LV75] MVLGKRHGSLIKRTTSMLMITDDIATIYDHASQPSDHLTMHQHHHNPTVMMATNNDDDFLRTCSLCNRNLCHRRDIYMYRGDNAFCSLECREKQIKLDERKVKNGVRAFKKTVRI >A08p017130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11988063:11990314:1 gene:A08p017130.1_BraROA transcript:A08p017130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 8 [Source:Projected from Arabidopsis thaliana (AT4G14640) UniProtKB/Swiss-Prot;Acc:O23320] MEDTALTKDQIIEFKEVFCLLDKDGDVTGRITVEELLTVIRWLDQNPTEQELHDIITEIDSDGNATIEFAEFLNLMTNKLQENDAEEELKEVFKVFDKDQNGYISASELSHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMINIG >A09p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13372256:13373172:-1 gene:A09p024160.1_BraROA transcript:A09p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSIRKESSLAKVLGTLLSIVGALVVTLYHGPVLMSLQSDWVIGGGLLALQNILYSFSYLVMAHIMSQYPSTIVVTLVHNVCIVCVCALVTLLVEKDDSKAWMIRFDKTLISLVATGILNSVYYIVHTWAVIYKGPVYLSMFKPLSILIAAASTTIFLSESIYLGILIGGILISVGFYMVLWGKAKEAKVDILGTIESSPSHEAPLLGS >A09g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24841030:24847590:-1 gene:A09g508860.1_BraROA transcript:A09g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQNVRNNATEVQSIDRAEHTARAVYRVDPHSSGLELQHNPQPDGQINRLKFAFPVLFDMLSPLVKPEVKLFDRLGRTGDRSDELIRNFDHFMNFDQPNLSKARLLRFSEDLATFWPGTVHMKNEQAVYFFSPRDVLSVTPNLDKSSRRVQIDQSTLFSNILVPLVSRTCVSNQVAIESSSSIIGTSASLCVTKQSISSLSLQIEFISSEPVECSFLRVLQVVSEPLFRFQVAMDSEEERNRPGNSHAGLSNLQMRALNDSMSNLLNTGLEAIHQRLDELQGRPTQSRTRTRRDHPRRNSRSDLEIREETSGTTQLRFSPSIGPNIPLGPCIVLTRTRPVWSFSITHDQMAKSTVLKFAFPVLFDMLSPLVKPEVKLFDRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERTGRVLLLTAGRAISYTEPGQE >A05p034080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19162841:19164339:1 gene:A05p034080.1_BraROA transcript:A05p034080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKSGEKTRVLVVGATGYLGKRIVRACLAEGHETYVLQRPEIGLDIEKVQLLFSFKKLGARLVEASFSDHQSLVSAVKLVDVVVSAMSGVHFRSHNILVQLKLVEAIIEAGNVKRFLPSEFGMDPPRMGHALPPGRETFDQKMEVRHAIEAAGIPYTYVVGACFAAYFAGNLSQMETLLPPKKKANIYGDGNVKVVFADEDDIAKYTAKILDDPRTVNKTVYIRPQDNVLTQNELVKIWEKLTGNELEKTNIAAKDFLANIEHMEIPHQAGIGHFYHIFYEGCLTDHKVGEDEEASSLYPDVKYKRMDDYLRMFL >A05p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2731261:2735440:-1 gene:A05p006760.1_BraROA transcript:A05p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAADGKAASQNDACASPSMNSKDFIVSVAANIASQPLQNYDSNVWGVLTAISNNARKRRQGINILLNSDEHCFGRLPSHASYQVESNAISGNHCKIHRKIVTGGDASVFLEDTSTNGTFLNWERVKKKGPEVRVQHGDIISFATPPDHEKAFAFVYREILGNNPAVSSMSRKRKAEDIACEIKRPKGIGIGGPDGPISLDDFKSLQRSNTELRKQLEAQVLTVDTLRNESRAIVELHESEIKQMKESVAKSFHNELAELRELIDTRQKELAQVNKVSAEQKHSIDDLGERLSGSLQSLSEANEIIKSPMIASTVPFSQKATIDELKTGLDEERSQRKEERETAAAEIKAAIHKCQIEAQEELNRFSDVAMRHEREQQEVINKMKETEKERSTQVETLMSKLEDTRQKLVYSDNRNRQLEAQLSEEQLASANAQKKMEELDLEIKRLQKDLENEKAAREEAWAKVSALELEISAALRDLDVERQRHRGARERIMLRETQLRAFYSTTEEISALFAKQQEQLKNMQRTLEDEENCDNISLDIDLNPVNRSLIRGNNTREDVRATNCAAKASSSTSGQRSERNEVVDTSCEDADATQKHDCEIMSQEGQNTQEADYASSDKVSKGGFGSDIGVVSTAPTSGTDPVGTEQVDETQSPGNDHLRKSVISAGDTMQIDFETQVREGDQNDEAALLLRNNPLNDGRDTQETEGVVTVRTSDLLASEVAGSWAYSTAPSVDGENEAERSREDEESQTQRIKEVATQVGESQTKSTVPEVLDTTRKADAEQVAVEETVGIIEQGKINHDNGSSDSETVSCSDTDDDDHGKEKLGPVSDSDTEGSDMNDDKRVDPDSEGTHEADGDQKDVDNMDEDDKDT >A06p045310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24297308:24303494:-1 gene:A06p045310.1_BraROA transcript:A06p045310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G27740) UniProtKB/Swiss-Prot;Acc:Q8VXX4] MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKTRTDRKPPGVGRGRGRGMDDGGARGRGRGAPMAKMSGNRDSNREGGFGLTRHIDLERSAERRSSLKTLSQRSCREWRKKREDDSLLSRHFRSLPQTSQVVSTMLWVDKYRPKSLDKVIVHEDIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLKQIYGASAEKVKVENRARKVDAGSRTIDLELTTLSSTNHVELTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYSSSCRLILCCNSSSKVTEAIKSRCLNVRINAPSQEEIVKVLEFVAKKESLQLPHGFAVRIAEKSNRSLRRAILSLETCRVQNYPFTDNQVISPMDWEEYVAEISTDMLREQSPKSLFQVRGKVYELLVNCIPPEVLLKRLLHELLRKLDSELKLEVCHWAAYYEHRMRLGQKAIFHIEAFVAKFMSIYKNFLISTFGLLKLRFGGWMFISLPSSLDLNGLIFNHYGIGFVDHNGGIKDKNSRDKGMDRRTQASYRRLHAQALTLAALAGAAAVEYYDHKTGATDRYPKFLKPDNLTKD >A02p049330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30595154:30596830:-1 gene:A02p049330.1_BraROA transcript:A02p049330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIWFLSLLFVTILLLAAFKRKKQQRRPPSPPGLPIIGNLHQLGELPHQSLWKLSKEYGPVMFLKLGSIPTVVLSSSETAKQALKIHDLHCCSRPSLAGPRELSYNYLDIAFAPYDDYWKEVKKLCVQEVFSPKRVHTMQPIRDEEVKKLIDIVTKSAQEKTPVNLSEKFLSLTVGVICRAAFGVSFHETVLNSDRFDKFINEAFLFLGSFSASDFFPNGGWIVDRLTGLQGRRERSVRDLDAFYEQMFDLHREEKEKGSEDFVDLLLRFQKEESVLGYGKLTRNHVKAILMNVLIGGIGTSAITMTWAMTELMRSPRAMKKVQSEIRNQIGNKSMITLDDIEQLHYLKMVVKETWRLHPPAPLIVPREVMSEFEINGYQIQPKTRLYVNVWAIGRDPDTWKDPDMFLPERFIDNNIDPKGQSFELLPFGAGRRLCPAIYMGTSMVEFGLANMLFHFDWKLPEDMEVEDIDMEESPGLNVSKKNELLLVPVKYVYN >A07g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19403279:19407034:-1 gene:A07g507300.1_BraROA transcript:A07g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTVNVFKLDLSESDGDKEMTTTNKVAVQSQGILAQIKSIKKKKKKKKRAYVKRNDSKDDDVDCRKRRHADCDEGNTTHKPRKKRNMFAKITIKHGVQLTVRSARERLDEDFKKLTGWILLSRNKDYFLLYRGKNFLSQEVSDALVAQEKFVRSFQEKEEDALDEENRHREDFKTDVSKSEKTIETTLEELEKIKIERQSLATATNNLEKQSKSMCEKAILEKELLQFTKTINALQKEAESTGMEAESSLVMLKNAASDVLQSDSKQESRTESNALELETIEKALKKENIIEEMKKEAESTKLLTEEHHKKKEKNDKKLGHNRKPRVEKDPGISSYCPLKEKELVMALDPKSRAAAGSETPWTQTPVTDVTVVGAQRDYLTDVKSMKRTTNEKIYECNRVRLLLYKILTHSNPKIPNGWISAARVEEMNGKTAARIMLHGAVECCPFRQELWAALARLDTYENPRKTHRGLCKVACIGAWHPARVSYTVARAGHKGYHHRSEFNKKIYRLRKVGQETHTAMIVFDRTKKEITLMGGFTHYGIVKDDYLLIKGCCVGPKKHVLTLRQTLLKQASRVVLEEIKLKFIDTCSTGGHSRFQTTEENLRKLLNQTLEKLIKEPTIWITYAELEEANGNTHMVGKINDIGIKTLQRNGIVMDQENWINKIETFEKEGSVVTCKAIGYPDHVYRSVAAAAAPAPIEKAEDDEDDDKKRRKRKREIEVPVGVKNEMEIVESDQRGEIKELEAKDREMNDIVESLKNEEKVLFHIINRLEKNLDKSIEKERAMVVQIDAIGKEKMVKESELGRKKSDKVDRMIDMGFEPHVAGVFDVIPSSSLKPKHDEEELDEKKSYAYMFSATMPYGVEWLAWMYLRNPVVVPIGTAGSEQGILGLLRPMRCLGDSFLGRGPSISKRYRQAVKKATAADECIFWVARRRLWSWTLFQKERLKIFGLAYLR >A02g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16141937:16142437:1 gene:A02g505280.1_BraROA transcript:A02g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRRGGELMEVDMLLLDVKDIPIIAGWSQIGMLIWAQPHRVSPNPVKPSVTLTLIYVTGFKKGWP >A05g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14579110:14583061:-1 gene:A05g505270.1_BraROA transcript:A05g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGGNQSDGAHENEGSASPDQQGMRNEDETEERDQFEVQSERGEEGEVHIGLTVQGRTRPYGPYTSRQVKPRPRLQEVTRVTFGRRRSFG >A08g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3752408:3754111:-1 gene:A08g501410.1_BraROA transcript:A08g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSRNTVGRGNAPRRLGCNWGGALAVLEAEKVRILGEVFRSQKTPRTLTVVTIVKAMDESGAGDRSTAAKLPEKLKRENVKKDETRVILWDVKKDKTQVEPVVFRAPNYP >A06p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:698060:705440:-1 gene:A06p001600.1_BraROA transcript:A06p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNRRNRLKHGSNLRLVLLVLWLVCYVSYGQFEDTNDFNNPAVLPLVTQMVYRSLSNSTAALNQELATRAKFCVKDPDADWNKAFNFSTNLDFLSSCIQKTKGDIGRRMCTAAEMKFYLNAFFSKTNNPGYLQPNGNCNLTSWVSGCEPGWACSVDPNEQVDLQNSKDFPERTRNCMSCCEGFFCPRGLTCMIPCPLGAHCPLATLNKTTSLCQPYTYQLPPGQPNHTCGGANVWADIRSSGEVFCSAGSYCPTTTRKVPCDSGHYCRMGSTSEKPCFKLTSCNPNTANQNMHALGVMVICGVVTILLIIYNCSDQILTTRERRQAKSREAAVKKAKANQRWKVARTAATKHVTEIRAQITRTFSGKKPNNDGETHKMLARGYSSEVEDLPKYSSSPASSSAVQPTFEIEDDATAGSIERPSVSTTGGKRGKGHRIKKSQSQILRYAYNQIEKEKAREVENRNLTFSGIVNMATYSEMKKRTLMELSFKDLTLTLKSNGKHLLRCVTGSMKPGRITAVMGPSGAGKTSLLSALAGKAVGCKLSGLILINGKELSIHSYKKIIGFVPQDDIVHGNLTVEENIWFHANCRLPAGQSKADKVLVVERVIDSLGLQAVRSSLVGTVEKRGISGGQRKRVNVGLEMAMEPSILFLDEPTSGLDSASSQLLLKALKHEALEGVNICMVVHQPSYSLFQTFNDLVLLAKGGLTVYHGPVKDVETYFSGLGIIVPERINPPDYYIDVLEGIVTNLNSDVSYKELPQRWMLHKGYSVPLDMRNNNSAAAGLEMNPDVRDSTINKEQTFVRELWGDVKSNFRLRRDKIRHNFLKTRDLSYRTTPSMWMQYKYFLGRIAKQRMREAKLQATDYLILLLAGACLGSLIKASDESFGAPALLCKIAALRSFSLDKLHYWRESASGMSSLACFLAKDTMDCFNTLVKPLVYLSMFYFFTNPRSTFFDNYIVLVCLVYCVTGIAYALAIFLQPGSAQLFSVLLPVVLTLVATQPKNSEAMKIIADLCYPKWALQAFVIGNAQKYYGVWMITRCGSLMKSGYDINEWNLCIMILILIGGVTRMIAFVGMIILQKSSRRAMKLGGRRREEFHGSAMAVKRIRKPEPWKHTQPISKAELVKMREEFWDTAPHYGGTKDLWDALRAAAEADISLAQAIVDSAGVIVQNNDLTTCYDERGAKYELPKYVLSEPTNLVEES >A01p047450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26762609:26764835:1 gene:A01p047450.1_BraROA transcript:A01p047450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEDAAPIHEPPDPEVLEVDPTLRYIRYKEVIGKGAFKTVYKAFDEVDGIEVAWNQVRIDDVLQSPNSLERLYSEVRLLKSLKHSNIIRFYNSWIDDKNKTVNIITELFTSGSLRHYRKKHRKVNMKAVKCWARQILMGLRYLHTQVPPIIHRDLKCDNIFINGNHGEVKIGDLGLATVMEQAHAKSVIGTPEFMAPELYDEIYTELADIYSFGMCMLEMVTFEYPYCECRNSAQIYKKVSSGIKPASLSRVQDPEVKQFIEKCLLPAEERLSAKELLLDPFLQVNGLTMNNPLPLPDIVMPKEGAFGERCLMSEGPPATRPSKPTSMDLDEDSNLPIVTFSDNSGSRCIEVRRAKRGNFFVLKGEENDEQSVSLILRIVDENGRVRNIHFLFYQEGDTASKVSSEMVEQLELTDQNVTFIAELIDILLVNMIPTWKTDVTVDHLIHSQLNQSSRSHQNEAKPQSQEESTFHDACESVRHSWTSDCPRSEEEEKQFVDAIKGENGSDNQEAEEATEPVSLEEEERLRQELEEIEAKYQEEMKEIAKKREEAIMETKRKLSQKKVEQAG >A08p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13375192:13376111:-1 gene:A08p019680.1_BraROA transcript:A08p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLGIVSLRNNQFKGPIEFRNTSSFSKLHILFLQQNKFNGHIPKSISKISNLKNLDLHDNNFTGSVPNLANLEYLDLSYNKLEGEIPGSLGDMLELMLSHNSFSSFGKSFELSDLTHIHGILPPDGTHLVSVDISGNQLEGKPPPSLINCISLEFLNVKSNRIKDTFPILVGFSTVVNVLILRQNELYGPLYHPRMSIGFQSLKIIDLSHNHFNGTLLPFYFSNRREITNLTKRSSGYMGDGYSTNSMEMVNKGVDMLF >A02p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:498617:500241:1 gene:A02p001010.1_BraROA transcript:A02p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKMMKSGSRKPSRNDAGFDPNSTNMVVNHASRPSPLPPPSPTTTKQPPPMHSIEPLPLLRDLPPPERQPLFLRKLQICSFHFDFTDPSKNAREKEIKRQTLLELVDYIQSGTAKIISELCQEEMVKMISSNIFRSLPASAGQEPADPEEDEPYLDPNWPHLQLVYELLIRYVVPSDTDTKIAKRFIDHSFVSNLLELFETEDPRERDYLKTILHRIYGKFMVHRPFIRKGINNIFYRFVYETERHSGIGELLEIMGSIINGFALPMKEEHKLFLIRALIPLHKPKPIGVYHQQLAYCVVQFVEKDYRLADTVIRGLLKFWPMTNCTKEVLFLGELEEVLEATQPVEFQRCMVPLFQRVARCLNSSHFQVAERSLFLWNSEHIVVLIAQNRSVILPIIFASLEKNTESHWNLAVHGLSENIKRMFMEMDPELFEECQQEYEEKQSKSKEVEEQRQSRWRRLDEAVEERERVVGEEDHMIIS >A01p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1038361:1040337:-1 gene:A01p002260.1_BraROA transcript:A01p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARTRSGYLSTALGFFRSLFPRNLRTNNMKIKTGKFLGVSISLILINLAAIMERADENLLPSVYKEVSEAFNAGPSDLGYLTFVRNFVQGLASPLAGVLVITYDRPIVLAIGTLCWALSTAAVGASNYFIQVALWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLNLIGTIGGIGGGVVATVMAGSEFWGVPGWRCAFIMMATLSAVIGLLVFLFVVDPRKHIEREELMVQKISVWNDSWAATKSVVKVRTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNQTAALLGVFATGCAIGTLMGGIIADKMSRIFPNSGRVMCAQFSAFMGIPFSVILLKAIPQSRESYLTFSITLFLMGLTISWCGSAVNAPMFAEVVPPKHRTMIYAFDRAFEGSFSSFAAPLVGILSEKMFGYDSKGIDPLKGSSVREAEALSKGLLSMMAVPFGLCCLSYTPLHFVFKKDRENAKMASSKDVEMI >A06p022650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12116980:12121783:-1 gene:A06p022650.1_BraROA transcript:A06p022650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSTSSTVVETMSTLLHNFHFAPHNSLNYKISPLPRRLSFTNARLPIKNTQISCNSLRMDSSENISAFAQQKRIEIQNSHNEKLVGLLHETGSKEIVVLCHGFRSSKNNQIMKNVAAALEKEGISAFRFDFSGNGESEGSFYYGNYNHEADDLRSVIQHFSDINRVVPIILGHSKGGDVVLLYASKYHDISNVINLSGRYDLKKGIRERLGEDFLEKIKEQGFIDVNSGRSVYRVTEESLMERLNTDMHEACLKIDKDCRVLTVHGSDDEVITVEDAKEFANIIPNHRLEIVEGADHRYTDHQTCAEEQQRIEILNSHNEKLVGLLHETGSTEVVVLCHGFRSNKNDAVMKSVAAAIEKEGISAFRFDFSGNGESEGSFYFGNYNHEADDLRSVIQHFSNMNRVVPVILGHSKGGDVVLLYASKYHDISNVINLSGRYDLKRGIGERLGEDFLDRLEQQGFFDVKDGRSVYRVTADTIMERLNTDMHEACLKIDKDCRVLTVHGSEDEIIPVEDAREFANIIPNHKLEIVEGADHCYTKHQSQLVSTVIEFIKTVTVKNN >A09g514380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42935900:42937295:1 gene:A09g514380.1_BraROA transcript:A09g514380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFKTPWTCRREMLSPRRPRQNFDLVRNNESLYDKRIHFASLLLRVVPTKDRTNDVYKKDLNSRCRCYIVGSHPSETTSKEVRNPLFDSDSPTPVVAFLSVTSKVAASALATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWRAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSTNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >A08p013810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9277330:9278135:1 gene:A08p013810.1_BraROA transcript:A08p013810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQELKEKVSLDRSTTETWEAPIRGPSSRKFHVVWQHALVSFDTIRGALIDTLEEYVVLVRWQASINTTQTSINTLLPYRIQLALIDTDFMLIDTHLRQQQDQRLTSINNQILINKNKIKVPEHLRRQTTYKKIDNSTKRVLRIPEDEPVYEVYYTYRLWMFFIERREKKEDIKGMFHQFSDKMMQRITLTKQSDTGKFVVLCMVEGRSYQNAVCNMGSSVSIMPMMMAN >A02p024650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12690707:12691651:1 gene:A02p024650.1_BraROA transcript:A02p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSLTLYGARGVVNAASPLHAVAEGLIWDMQEVLKMGNREVRFEVDCEQLVKLLRKEEDWPSMAAELDEIKAFSTAYLKFSITYIPRSLNILDDCLAKGQRSRVQNPPNDAVPGNDRMQKKVVVAYGEHMLFLQYEDGCVYVTTSSTRVFRRPSSRHVLAAAGTWRSRAVVAAVI >A07g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23711577:23717533:1 gene:A07g508660.1_BraROA transcript:A07g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRSGTQRLRGVAPGGRSHARFVQWSPFYLFRAPNNLMCSK >A02p043360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27166598:27168209:1 gene:A02p043360.1_BraROA transcript:A02p043360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSLLITYIRISDSLLLTNLIGDITAVKSTVTDPPQDNNRVMATIRMENDTSVTMSLFDAQAVKIHNQLEQMGVDPRVVVATSVNPKIVGGRLFLKATSGTHIYFDKQTDAGEQLFYRLVEQDTGLPPVAPLLKSYAKVEKLSISELNDFVVTATSQEIDFICAGKVTGVKLDKGWCYVSCSKCFKKLQRYRVEMSIADETGEGLFVAFDRVIAKLHNMRAHEAANLVAGDDVNPEETDAPPFVRDMEGKSYTFQVKVGPYNFTENHQSFTISCILGEGERAPQPEFVEDGGDDDNGDDNNGAGLVRRKMEGGGCSKSAGPSAKSKKARKA >A07g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22865246:22865790:-1 gene:A07g508360.1_BraROA transcript:A07g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLLMAMFTSALARGRWCVGQTNYRETMHGRESELYLSIEQGTTLNSLKGASDFFKFAPAQEINENGSILQSDTTQRQIKQDGVCGSGVDEGIIPGMPVDNTVNIIQEVHINSLAVAIVCSQADAEATL >A05g510140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31362646:31363823:-1 gene:A05g510140.1_BraROA transcript:A05g510140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTESKEQQWTDSISQSSSSRSSSITSDSSDGHFDIRHFPLPKPTLSAAEAQKQRESHQAYTSSVSSYTGSSWSSVHQHNLADLPGYDPNRIPSSVFCSKPSNSTDWSIASNESLFSIHDGNFSISTREDHGVPAGLRLGEIPRFEEPVHEITEIKPVPLPLPPPTLQVKKPTEPEKETISEKKPDDEEESDSEINHDDQDEESDNDHEEEEGEDMFEEKVQKQEEKEEIEDAKENKTEDTNSTVSHSPTISCRSDTSNNSICSFAFPVLQKEDGIDKTPSLEIRGNLSQKTRPEYLLPQSPMQPPQPEPLPHSEPQPQRKASKKIESQPQSPKSWTSGCFSCFHCPLKCWLFK >A08p026460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16869725:16870102:1 gene:A08p026460.1_BraROA transcript:A08p026460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYCRDLLLIITIFFITFAFAEELQCCSDKTTDLVHKERLVISGKRAHGSISQMSRARGVYGGGSLIRPKGKKNIAMASMTKSASLAMLQVTFAILIHLFLY >A06p048790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25832198:25834860:1 gene:A06p048790.1_BraROA transcript:A06p048790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFYYLILSLFLSLTLLFGTRRRKLNLPPSPAWSFPVIGHLHLLKMPLQRSFLSLSKSLGGASIFSLRLGYRLVFVVSSHSVAEECFTKNDIVFANRPEFIFAKHIGYNCTTMVSSPYGDNWRNLRRIGTIEIFSRDPTAHLLFIEKLSARKCGLLQAYVVTVESAKVEMGSLFMSLTLNNIIRMLPGKRFYGDGTEDDNEARHVRQLIADAVYFADAGNAADYFPILCWITDYEKRVKKLAGRVDKFLQSLVDEKRAEKEKGNTVIDRLLALQETQPDYYTDAIIKGIIVVMILAGTDTSAATLDWAMSNLLNHPEVLKKAKTEIDEQIGSDRLIEEQDIVKLPYLQSIVSETLRLYPVVPMLVPHMSSEDCMVAGYDVPRGTNLLVNVWAIHRDPEMWEEPEKFKPERFEKEGEDKKLMPFGMGRRACPGLGLALRLVTLALGSLVQCFEWERAGEEYVDMSEDEKGITLRRATLLEAVCRPRPIVDMLLDASSCS >A09p022660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12473726:12474741:-1 gene:A09p022660.1_BraROA transcript:A09p022660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHNEIEMAEHHIEMVDHSKIIENKAIPMQILRAATNNFEVENLLGEGGFGTVYRGTRQDGREIAVKKINQSTIAGKCLKEFKSEVTVLTRVHHRNLVCLYGYSIEGNERLLVYHYIPQGTLSKHLFHWSDHSLRPLDWTTHLSIALDVARAVEYLHMLALQSQSYIHKDLKPPNILLGDDLRAKAFDFGLVTATEEDRESVKTKCRGTPGYMAPEYLDGRVTRKIDVYSFGVILSELITGKKGTYHSRAEDDIHITTWFMKMLREDDIFLEAIDGNIIANQETQRTIYEVAKLARQYCTRTPEQRP >A03p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4610540:4610917:1 gene:A03p011510.1_BraROA transcript:A03p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRFQLVALLVIFSLVITAQSNLTEKEEMDGPCRLRGTCDEDSDCDTHCHRSTDAVKMDGHCLFDRPTPVCCCLFD >A01p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14856357:14857011:1 gene:A01p038990.1_BraROA transcript:A01p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDYGIEPALQWSITVVSLTLWPVQATLPKLLRLVTSMPMKPDAVIWRCLLDACCKNGASVEPSEEIATRLVERRKGDAWHLQTKQIYQQLKVIDDKLTSIGYLPDLYQAPLVDAINDGSKEYSHRLHSERLAIAFGLISLPPQSVYSRIYASASIAMRLLN >A09p075340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56796691:56797508:-1 gene:A09p075340.1_BraROA transcript:A09p075340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVAEIRHPLLKRRIWLGTFETADEAARAYDEAAVLMSGRNAKTNFPLRNNSTIDTSESKTDISASASASSSLSSILSAKLRKCCKSPSPSLTCLRLDTTSSHIGVWQKRAGSKSDSSWVMTVELGPAGPSHEPTRKASLDDVGLATEVGDGEEEGAMDEEEKVALQMIEELLNTN >A02p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15315390:15323498:1 gene:A02p030180.1_BraROA transcript:A02p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDSVFQKGAAMHKDFIVCYFNGRAPPFHQIQSVFNHLWGKGRKLEIHNNPLNHSAIVRIQSEFLRQKILEKNIWYVGDSMFHTAQWSSGHSATSPPLRSIKLWAHLTGIPLDLRHQEGLSWVAGLVGDPKETDDFTLNMVNLSLSHVKVELDLTQPLPSVVEFTRQNGEVVEVKVDFPWLPSTCSHCHELGHVLRNCLNFTPPPPPPVAPEIGVSSKTKGSLAPSSSKKIPSKPTKQYVPKKSPSKPSLPFSPILDSSNVAKNLNSSFLPAQSTLPSFHPSLPPPSKEPPHPPLPTNPSFSFSSTDSPPVKSFKPSLKRCRSSPSLSPPLPSTVINPSSSSIPFSPFLHEACWRLFFTFPMSAKLFFWNVRGLNDPGKHRNFINWLYSHRPLFGALLETHIKELSLAQLMNTLCRGWNFFSNHLSDEDGRIILIWKDPVKIRVIAQSRQQITCEVLLPRCTPLTYTAIYASNLSDERTDLWVKLLNLHMNLGLDTKPWMIGGDFNQILHPLEHSDLNDNASTSNIYQFRDCLLQLGAFDLRYQGPTHTWMNKQPVSPIAKKLDRYLVTSDVISSFPHISATFLPPDFSDHSPCLVDLAFDLPKAGTQPFKFLNYLTKHPSFSEVVREAWFEAGSMSANLTSLCWKLKNIKRSLKTLNRENFSKIQERVKETYCLLQLVQYLGVPMVTKKLTLLNCEPLLQQIKARFTEMATVVSHQAITSQRPSSNFKASHFLKEPLNVPIKLRQKQFKIQATASQAPLLDPVLSPSKTIPQSYKKKSNEASLILIRHGESLWNEKNLFTGCVDVPLTEKGVAEAIQAGKRISNIPVDLIFTSSLIRAQMTAMLAMTQHRCKKVPIILHDESEKAQTWSHVFSEETRKQSIPVIAAWQLNERMYGELQGLNKEETAERYGTQQVHEWRRSYHIPPPKGESLEMCAERAVAYFEDNIQPELAFGNNVLIAAHGNSLRSIIMYLDKLTSQEVTSLELSTGLPLLYIFKDRKFMRRGSPVGPTEAGVYAYTKRLAQYRQKLDETLT >A04p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10598147:10598654:-1 gene:A04p017290.1_BraROA transcript:A04p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSHIKMILEYFDTQTKVIGLVIALVIVLLWMRSGPTMRAPGGNGRRISRNSFQKNPKGYFKDLRKSKHQKFLCRTRVTLNFRSEGTVRIILL >A09g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21028047:21032840:-1 gene:A09g507160.1_BraROA transcript:A09g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVKFPRIIMKIEKNRISQFSSYDGLRAGKEKLKPTLEGDFPNFPNLTRNRLCEFRFPQFGARRRGGRGTDRSNSQPQDAQPVMTTDNADDMQTPLNGRSDNNLHTPAADVSAANAPANAATLEEFKKMFATYEKRSKPQNPSEISPAKERNSENPLPPIRDTKVDKVEPVNLDASDFSNDTEDDADVHPRRTRSRSAREDSLGENFKARTTTQSTRNKAEPQTRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILETDRPPKTDKNPPAENSSRRNNSTSSSKANTSDIKIHPDSEVNATTQPEEKNPDKDIIDLVTFLIVTSILGRNLLRIGRNRDGILESLNPSFDRGDKRLGMGKIVHPAFYEGTNRTATFAKITHQGISLLKVSILDFELRSSVLHHLDNISPTSPFRSTNGFGMILGKFRILPYRDLSQACSDPA >A10g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16024868:16025840:-1 gene:A10g505990.1_BraROA transcript:A10g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPLASQPYKLASSARLPISTFRSPKFLCLALSSSTKSVSFSLYPLVSFAKYLLLPIVFLGLVCLFGYGSMFCR >A06g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7134639:7135027:-1 gene:A06g502000.1_BraROA transcript:A06g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A10p034010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19781920:19792353:1 gene:A10p034010.1_BraROA transcript:A10p034010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAIKKFARGSKQGRRDFGIVTLEIVTGRKSVDPRQGRVEPETSLVEKVWELYGKGEVITVVDEKLRVDGFDEKQGSADRVEMNNAVCNDGVEFSRHDSRDPNRRGLRDQIHHQWKTCIGSKIHSQALFSRLVITLRRDIGQSYQVMCFLLYLETIAPHLRNLIADFASLTDVFVNMVADQVVTCISCLSYDDFPAFVTHLRRNILSPEIPYITDVTRGYLTLIVIHNNRENILFHMKKHLMRVCVQAFEDICVRAEMYNKEIEEWEKAIAEMSQLGTSSVVQNGESSTSQFLSRRENAENRTIFLTFSKGYPISKAEVFAYFTRRFGEIVEAIHMGGAGENEQTLYATMQLSSVSKVPEILTEDRTQYIINGKHVWARKFIPTPLHHLPIKMPVATYQLSCLVSSTRTSLSSGGTIATFSSAQVGQIEKKKESEEEQEEIELHRNDLGLEHSSSSGVLGITIMMDPDIDNSSSYYSTCSSCSPDDESSSISSPFSNTPNKIVSSSYHGGLQWNKMIESIKKKSIRRFTVIPLLASYELTRKNLRRKQPKFPFPPSENGFPCERFFMPKPSWRNFTYEELAAATDDFNPDNMIGKGGHAEVYKGVLPDGETVAIKKLMSHAKEEEDRVSDFLSELGIIAHVNHPNAARLRGFSSDRGLHFVLEYAPHGSLSTKLFGSEECLEWKIRYKVALGIADGLSYLHNVCPRRIIHRDIKASNILLSRDYDAQISDFGLAKWLPENWDHHVVFPIEGTFGYMAPEYFIHGIVDEKIDVFAFGVLLLEIITSRRAVDTASRQSIVAWAKPFLEKNSVEDIVDPRLGNEFDPTEMKRVMLTASICIHHIATMRPDMTRVVQLLRGEDGPAELELQQKPGQGGAVIANACDLQDHTSSSYLNELIRHRQLLME >A01p001300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:493021:495445:1 gene:A01p001300.1_BraROA transcript:A01p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAILRAFRRREVQTASASAFRSIASNGKTNLIGKLGHLARPFCSRPIGNDVIGIDLGTTNSCVAVMEGKTPRVIENAEGTRTTPSVFAINQKGEFLVGTPAKRQGVTNPTGTISGSKRLIGRGFDDPQTQKEMKMVPYKIVKAPNGDAWVEANGQKFSPSQIGANILTKMKETAESYLGKSITKAVVTVPAYFNDAQRQATKDAGKIAGLDVERIINEPTAAALSYGMNNKEGVIAVFDLGGGTFDVSILEISSGVFEVKATNGDTFLGGEDFDNTLLEYLVSEFKRSDNIDLTKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVGKLIERTRSPCQNCLKDAGVSIKEIDEVLLVGGMTRVPKVQDIVSEIFGKSPCKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQMQVGIKVLQGEREMAADNKSLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATNKEQQITIRSSGGLSDDEINRMVKEAELNSHKDQEKKQLIDLRNTADTTIYSVEKSLSEYREKITAEIASEIETAVSDLRTAMAGEEIEDIKAKLEAANKAVSKIGEHMSKGSGSSGTSGGEGEGSSGTEQTPEAEFEEASGSKK >A09p002840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:696448:697143:-1 gene:A09p002840.1_BraROA transcript:A09p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RMA1 [Source:Projected from Arabidopsis thaliana (AT4G03510) UniProtKB/Swiss-Prot;Acc:O64425] MAVDQSFEDAYGELYGEGAFSFNNNTKPDPVTDSVPSSDDSSFDCNICLDSVQEPVVTLCGHLFCWPCIHKWLHVQTDEHQSHKQCPVCKSKVSHSTLVPLYGRGGGNSQEKDKSGDVPRRPVYKLEMANSSTDLRLSQRVHFDNRHGGYYPVNSLSYSPVMDPMMVMVGEMVATRLFGTRVMDRFTYPDTYNLAGTSGPRMRRQIMQADKSLGRISLFLICCVFLCLLLF >A09g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28822086:28827624:1 gene:A09g509900.1_BraROA transcript:A09g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDRAWFVRFESQRILLRKNFVLVIFTKITSLFLLSLFGNTISEDFRVIFLKKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFALFPEDIISVRDLLRNGHFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDHNPVEVPTAVPESSSWKGKDVDLGDIDGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSEHAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASPRWPYLYLPGLAVGGFESLAALRGQDLGLLSVKVCAVTSRLYALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKMIIFTIFGPEGAADKSRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNSQKVGFPNLSAFTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPCGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILQVLCRGRKIFRVLLFDGRFLARVLTGRSFPRESCSIEWGGEVEPFPADFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLGRRGVGLRIGRGYVRYWSVEIGAAASIKRSLHVIRIRQTVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKVRNRKERAK >A06p056710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29514179:29516620:-1 gene:A06p056710.1_BraROA transcript:A06p056710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureidoglycolate hydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) UniProtKB/Swiss-Prot;Acc:Q8VXY9] MESLESKTRFCFVALLLISLVWSQLPSSSAQQQHEPNPTIRTMEDFSGYPIHEPGQFGSISLASSLSVDAPGLQKQIEELSRFSDAPSPSVTRVLYTDKDVLARRYVKTLMTLAGLSVREDAVGNIFGKWDGSEPSLPAVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKRSLEIILFTSEEPTRFGISCLGSRLLAGSKELAEALKTRVVDGQNVSFIEAAKSAGYAEDEDEDFSSVFLKKGSYFAFIELHIEQGPILEDEGLDIGVVTAIAAPASLKVEFEGNGGHAGAVLMPYRNDAGLAAAELALAVEKHVLESESIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEARRNTVIKKIQESAYTIARKRKVKLTEFKIVNQDPPALSDKLVIKKMAEASTELNLSHKMMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYSSPEDMSNGVKVLSLTLAKLSID >A02p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6701638:6702440:1 gene:A02p015070.1_BraROA transcript:A02p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPEHTQSPMAAFPTAEACDSNAELIANGDLRALHPIFNIYGQRRCFSGPIVTLKVFEDNVLVRNQLETKGEGRVLVIDGGGSKRCALVGGNLGQLAQNNGWAGIVVNGCVRDVDEINDCDVGVRALGSNPLKSSKKGHGEKNVPVYIGGTLIRDGEWLYADSDGILISKTELSV >A04p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1038654:1040294:-1 gene:A04p002170.1_BraROA transcript:A04p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEVAMEMEAVEAVYGDEAVIVDSYPPHLHLHIKPRTAEISSQQFVEAVVRIQAGPKYPDEPPEISLIESKGLDEERQKLLMGFVQEKSLELSSSLMLVALCEEAVERLTIMNHPDGDCPLCLYPLFPEDGESDQMPFMKLMSCFHCFHCECIIRWWNWLHTEKEADSVNGNSGSVDKSLGNCPVCRKVQDPVLQSESENMRRERFEAILKTQEEQGGLVQPKKNISVVPGMYLPPPPAPASSSSNQEEAQEQGQQVEQYKDAESETNSSSSNNRRGRGRGRGGRGHSNVNRRKQNPQDPRKPTKQWVQRS >A05p010210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4282492:4286973:-1 gene:A05p010210.1_BraROA transcript:A05p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAVHKAVEVGGQNPITRTVRGYAGSVVLTAGNAVSGGAKLIQDRIGSRNVKSFTLAVKRLEEVSVSSRGGERVQLLRRWLVALREIERMTSSENVEDQNQPSSEEAKDSPKTLTTVYYVDPGLPGEPMTFRDVFLHSEALEGMVLSMILEAPNEEEVQLLLELFGLCLSGEKEVHEAVIQNVQELATVFSKYKDEVLAKREELLQYVQSAIGGLKISADLARIDIESHALMEKLDKTKVKVLEQASNDDSSKTVGTNAASTEALREILEQVRTFSKLEALLLKKKSLRNGDTLQLHSEKVDKLKVLSESLLSSTSKAEDRIVDHRSQKEEALSFRLSKTTEVSQQEKDVEAELKKLEILKDDLETELQRVNTSITSVRARLRNAREEREQFDNASNEILLHLKSKEDELTRSICSCRVEADVVNKWIKFLEDTWIIQSRFDQQKEIQVSGEMERYGDHFIDLIVQLLSFYKEQLDPSIPKIRRVIENLEPNKGLEAEKKIDDKDAKPIDSRKQLEKEYLDLEAKFVTTLSVVDAMKKPFYSQTEGISRKDDKRVKELFEALDKTKQEFESIERPLLDIESPARTSSSSRSPSLKTTLDTPLSDTVPKKLADVDSPDSKKGSSEKEDLAKNQLELELDDGEELLADEINDWEFDALDDTHFKNQ >A02p012010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5224629:5225592:-1 gene:A02p012010.1_BraROA transcript:A02p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLHHQDVYGNYNKAREAMGVPCSSNPTQLDHDQKKPSPATGAARPQPPELSLRCPRCDSTNTKFCYYNNYSLSQPRYFCKSCKRYWTKGGTLRNIPVGGGCRKNKRSTSSATRSLRTTPEPASHDGKAFSAASFGGFGNNEHIDLSLAFALLNKQPPGSSSQLGFPSEFGSSHQSDMESVFGTGQQKENTGYVFGNGSSGLEMAMSDPNKVLWGFPWQVNGEMNMGGGGGGHVDHIDSGREIWTNMNYINSGALM >A09g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18995676:18996538:-1 gene:A09g506350.1_BraROA transcript:A09g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTRRWRINMEDNSFTVDLPSNGTMRHRGDFRWRVEGDLLPDSWVNRRRLPGRRYMVGLIPTLVRNSYPSGWYELDIVGNNIWPIGLCFVVKTRLLSSDILVHRLWLKSVPVPDSSCRVMKSWFLVTSGDGIVRQAIGGSDKSKLGRRRVLGSTSGVYRMVGRGQHRYFQIIALCYSGGLLLWIVLCFV >A09p072390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55521571:55522840:1 gene:A09p072390.1_BraROA transcript:A09p072390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDDGDFPAAQTHSPTEHDDSFMSYENFSEAPPSAGFSSFNGDGSENPASPNGYGFAAASSPNGNGDAGIFASDGPTLPDPNEMREEGFQRREWRRQNALHLEEKEKKEKEMRNQIIAEADEYKKAFYEKREKTIETNKADNREREKLYWVNQEKFHKEVDKHYWKAIAELIPREVPNIEKKRGKKDPDKKPSVMVIQGPKPGKPTDLGRMRQIFLKLKTNPPPHMMPPPPPPAKDAKDAKEGKDAKGGKDAKDGKPAAEKKAPEAETKAAEEKPASPAKDASAGTAKPEAAAAAAAGSGEGEKPVVEAEGAKAE >A02p008300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3464033:3467358:1 gene:A02p008300.1_BraROA transcript:A02p008300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVSADLRSAIWKQMSEAGTKYIPSNTFAHYDQVLDTTAMLGAVPPRYGYTGGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVNEYKEAKALGVETVPVLVGPVSYLLLSKAAKGVEKSFDLLSLLPKVLAVYKEVITELKAAGATWIQLDEPVLVMDLEAHKLEAFTAAYAELESTLSGLNVLVETYFADIPAEAYKNLTSLKGVTAYGFDLVRGTKTLDLVKSSFPAGKYLFAGVVDGRNIWANDFAASLSTLQELEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGHKDEALFSANAAALASRRSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKVSEEDYVKAMKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKAMTVFWSAMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDSTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIAERVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLASAK >A04p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15121878:15126952:1 gene:A04p025000.1_BraROA transcript:A04p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT1 [Source:Projected from Arabidopsis thaliana (AT2G26650) UniProtKB/Swiss-Prot;Acc:Q38998] MGSLRSRGRHEIDEIEQLSRESSHFSLSTGILPSLGARSNRRIKLKRFVVSPYNHKYRIWEAFLVVLVVYTAWVSPFEFGFLRKPRPPLSITDNIVNGFFAIDIIMTFFVGYLDKSTYLLVDDRKMIAFKYLRSWFVLDLISTIPSEVAMKISSQSYGLFNMLRLWRVRRVGALFARLEKDRNFNYFWVRCAKLVCVTIFAVHCAACFYYLIAARHHDPAKTWIGASNANFHDESLWMRYVTSMYWSITTLTTVGYGDLHPVNTKEMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNFRDTIQAASNFARRNHLPPRLQDQMLAHLCLKYRTDSEGLQQQETLDALPKAIRSSISHFLFYSLMDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVNGTADLVVQDGGTENAVKEVKAGDIIGEIGVLCYKPQLFTVRTKRLCQLLRMNRTTFLNIIQANVGDGTIIMNNLLQHLKEMNDPVMASVLLETENMLAKGKLDLPINLFFAAMREDDLLLHQLLKRGQDPNESDNNGRTPLHIAASKGSLNCVLHLLEYHADPNCRDAEGNIPLWEAMVEGHEKIVKVLLEHGATIEAGDVGHFACTAAEQGNLKLLKEIVRHGGDVTRPRATGTSALHAAVCEGNIEMVKYLLEQGADVNKQDMHNWTAKDLADQQGHEEIKALFREKNNERTHIESSASVPILKTARRFLGRGTSEPNIRPPSREVSFRITETRPRRKTNNFDNSLFGILASQNVQKNGLATVDESRVVNQVRVTISCKEKDEVAVKLVLLPGSFKELLELGSSKCGIVAARVMSKDQNMAEIDDVDVIRDGDHLIFATDSSQS >A03p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3213205:3218368:1 gene:A03p007900.1_BraROA transcript:A03p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSKKVNYLFDDCSEALLKVKQAFRSAAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQAALELEEEVFQGKDLIGSDDEGVQGTDHNAYMDAATPGIKDDTVGVSEATPRDFNQEQVEDLAMRNEFIEDAQAPQTPGLVEVPNSSSVREQLGCDDHMEVDDLNAEEGRKISEELDANETHKPDEDLPSESEVVPMEVDKSLIDENANAQNEPEEERPEHVHVSTSPCCSHMITEVEDPGQAITEAGTDDNNVVADKSDAVPSAESPGEPTMNNAEHCLSQEPKDPGEENQDHFAIATEVNQETDSSIQGDGQADSKPDEQLNEQLVTGCTDSDLPAPEKVLAAPETERQGDENGFMVESTPDKEDPGTCNEDAGNNNNKITGKKRTFTESTITAESLNSVESVELIQSKRTADSVPDDDDLLSSILVGKSSFLKMRSTPVLEIATTKRSRAAPRSTATKRKVLMDDPMVLHGDLIREQLTNTEGIRRVRKKAPCTVAEIVMLQRQALEDGLLKEPIFTGMSVELVSLHNEPFDLRGITIIENDDRHTSVGAVEDNECSAPQTRPDGSEEQPAEALTHPEDQQNIDQQEEEEVKDDNELGETACELEVPKEGDGAAADEVSLVVNDEIGQMPAEDKVDHVEGIEDLQVEGYHDGGVGGEDVCVIEITEGDVDHNAILNETDLKVEDELPHDKKTDASAEVCEIGVDNQTQCDITIGYIENGHVEAGDLALESLNEPIVEAKYDGVNPETEPDNNYEPHNDVFNEEATNMQSAPDEDPTSRDGFMRYNDEMDTMEVAHDTGSKPFRILNLICSNCLAVAKYLQTIFDKEAENGKSVVVADKLLAGKTRKEASRMFFETLVLKTRDYIQVEQAKPYESITIKPRPKLTKSIF >A03p032160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13611499:13616743:1 gene:A03p032160.1_BraROA transcript:A03p032160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYISEVEGGKEVYLGKVERETTESAVKSYSSDRNFPPKFTSPSAMAAKPWLHPAPQYKTLETFWDDEDDAPGPRCAHTLTAVAATKTHGPRLILFGGATAIEGGNSSVPGIRLAGVTNTVHSYDVLTRKWTRLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKYKWHRVVVQGEGPGPRYGHVMDLVSQRYLVTVTGNDGKRALSDAWALDTAQKPYVWQRLNPDGDRPSARMYASGSARSDGMFLLCGGRDTLGAPLGDAYGLLMHRNGQWEWTLAPGVAPSPRYQHAAVFVGARLHVSGGVLRGGRMIDAEATVADVLLDDFLVAENSTFQSDISSPLSATQQSSTPRFSYAARPPSGSEPALSLSEGLRLDENSMEKLTEASAAEAEVASSVWRAAQLDSTSLDEEPSASDGSSATVETTSDGPETEGDVRLHPRAVVVAKETVGSLGGMVRQLSLDQFQNESRRMVPMNNSDVPQPTKKFTRQKSPQGLHKKVISALLRPRNWKPPGNRKFFLDSYEVGELCYAAEQIFMHEQTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITLCRPWATQPGDYNFAACIEGKTIEYPENFHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNHLPLAALIENKIICMHGGIGRSISTVEQIEKIERPITMDAGSLVLMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPILSPENSPEHSGDDAWMQELNIQRPPTPTRGRPQPDFDRSSLAYI >A10p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2354248:2354967:-1 gene:A10p017610.1_BraROA transcript:A10p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSGSLKLEIHTEDKTLGKWSVPLSEEVFWRFLSGAGGSEKAVFSEGSIFSPFLFGKYFDPSDAFPLWEFEADVLLASLRSVGQCRVDWSQADQTYALISDLPVVGKNNVQVYVDVKGKMMEISGQWNINKKTAASGDWRSGRWWEYGYVRRLELPGDADPQNSEAFLSNKDDYSLLEIIIPKINSKNKF >A10g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6850841:6853325:1 gene:A10g502500.1_BraROA transcript:A10g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQPGDQNVLNISTELDELSELSDTTLELDELSEQNDTSLELNELSNTEDGAGSAAGRNGPFQPKEKFIKKLVLSFLVRLSPSFDSSFVGPFSILSDLSSYQPYRRVILILVLSSGIRATLAGGVVEEKSCWLKRNPALGQMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFDFVQKQKKRQNRCDDEKWVRSRDRPFTKAKRSNCDVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNNNSSSAPKQQSNSSSISNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHKVGHYANKCQKQKTLVTLEKVETEPEKEDVLPIFDDYAHEPKEGSGGEQNCGHREGSCSIHNPDQTQGEQRSDYGSFAYNPFPFNVTDLRTNLFEERGNDVPWIVDPGQDGARLDPTKVSPSDEATIVEPEANFGRAGRSDTEDGAGLVAGRNGPFSVQRKIHNKFNFGRFYTKFDQAFADGLLPICIKKYQQKESKS >A06p012300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5628242:5631827:-1 gene:A06p012300.1_BraROA transcript:A06p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESQELALTQLRTLVEKLSSSTEGYEKLTLMRFLVARSMNPDKAAKMFVDCQKWRASMVPPNGIPDEEVRDELEFGKICLQGPTKSGHPLVLVLCSKHFPAKNAVNFKKFVVYVLDKTIASGIKDKEVGDEKLVAVFDLANITYKNLDARGLITSFQFLQSYYPERLAKCYMLHMPGFFVSVWRFVCRFLEKSTQEKIVIVTDGEEEIKFKEEIGVDALPEEYRGRAKLTLIQDVLLPKTAPEILNLSSSIIAAAKTSLSLSHFFFLLLLLATATMATLTHQTPQTHFLSNLPLKPKPRAISARVKMSLHESAPSLAVVGVTGAVGQEFLSVLSDRDFPYSSVKMLASKRSAGKRVAFDGREYTVEELTAESFDGVDIALFSAGGSISKAFGPMAAEKGTIVVDNSSAFRMVDGVPLVIPEVNPEAMRGIKVGSGKGALIANPNCSTIICLMAVTPLHRHAKVKRMVVSTYQAASGAGAAAMEELVQQTREVLAGRPPTCNIFGQQYAFNLFSHNAPITENGYNEEEVKLVKETRKIWNDTEVKVTATCIRVPVMRAHAESVNLQFENPLDENTARELLKKAPGVYIIDDRASNTFPTPLDVSNKDDVAVGRIRRDVSQDGNYGLDIFVCGDQIRKGAALNAVQIAEMLF >A04p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9615449:9616301:-1 gene:A04p015480.1_BraROA transcript:A04p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein THYLAKOID ASSEMBLY 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G27750) UniProtKB/Swiss-Prot;Acc:Q9LVW6] MSISLSFIPSLTLPQPPSLSRNATVPRRWFSSIRCGPRDNRGPLLKGRILSSEAIQSIQSLKRAHRTGSLSLSLPPLRRLLKADLLAVVRELLRQDHCTLAVHVLSTLRSEYPPLDLTLYADVVNALARNGEREEIDRLIGEMEGIEGGYEKDKALAKLIRAVMGAERRESAVRIYEMMREGGWGSESWEADEYVAEVLSKGLRRLGEEELAAQVASTQRYSSFIALICKDTYMREGSSVDCPQLLLLLVCGFVTANFT >A09g502120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7453917:7454534:-1 gene:A09g502120.1_BraROA transcript:A09g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEPISKKLWNIVRFLLYMIRKGVSKHKLIADFNATLKRGKNLMFHHRRRVPAASTSSDAVSSAAAAAPRQEYEFSCSNTPNYTFPFTNISFMKKKSHNSLFACGQTPQTLDDDAAAARAVLELLHGDKGNVTPAYLTAALSPYFPGFGRTPLVRPLRVTDSPFPLTPENGDVNKAHVDQAADDFIKKFYKNLNQQKKMIEFS >A05p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26448669:26451546:1 gene:A05p043860.1_BraROA transcript:A05p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLASSSHSDPDSRSLQVLLDAFGSRFSLDDIAAAYCQASQNVHEAGEILFAMTTKETPQSDQVKTNGATSKPTHVSVPKKVQPRENDSNAAKVWRPKKSSVSVGTVSSVIGKEYARRSMPESNARQEAVKPMKIDARDIPETEIWSEEELPRSSEGNVSRAPTDVEEFIAKMLGEGFQASPEVIQQILGVCGYDVIKWYLYSSWHLAPSTEKLLDLSDTKKHADVGISNEVTSQVDPQSSGEARTVTGSQDGGKNNSGLEKEVLEALFSGAERYEEVPKVTRRFGERRARVAGRLVFEPLEDTFQESVVTVIQSTNTSKEDEDDENEYTAHRKAVHENLQEMKEYYGAAVEAFSKGETERAHRLVEKGHFFGQKARDADDKSVAKMLELKEDDGSAYKEDEVVTVNVNEHEPREALRLLKLQLRNFSGIPSIKYLRVKLGDNKEDSKCKRRRTAIAKLLAGEYIAWSEEDNGLVMMIRVDEVIPEKLSFAKK >A07p028390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16063719:16065854:1 gene:A07p028390.1_BraROA transcript:A07p028390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVAGEKGSFSGKDYQDPPPEPLFDATELGRWSFYRALIAEFIATLLFLYVTVMTVIGYKSQTDPALNPDQCAGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVTLVRAVMYMVAQCLGAICGVALVKSFQSSYYTRYGGGANGLTHGYSIGTGVAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFIVHLATIPITGTGINPARSLGAAIIYNKDQAWDHHWIFWVGPFAGAALAAFYHQFVLRAGAVKALGSFRSQSHV >A05p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5135464:5137683:1 gene:A05p011720.1_BraROA transcript:A05p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHHDPNPFDEEEDEIVNPFSKGGGGAGRPVASRPFNVDATVDIPLDTVNDSSKKQRELSDWESELKKRETDIKRREDAVAKSGVKTSDKNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGIVLCLVFNVIAVIVCWIKGGGVKIFFLATIYALLGCPISYLLWYRPLYRAMRTDSALKFGWFFFFYLIHIGFCIFAAIAPPIIFRGQSFTGVLAAIDVVRDSLLAGIFYFVGCGLFCLEALLSLWVLQKIYIYFRGNK >A09p073790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56144072:56145295:-1 gene:A09p073790.1_BraROA transcript:A09p073790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSRGHWRPAEDEKLRELVEQFGPHNWNAIAQKLSGRSGKSCRLRWFNQLDPRINRNPFTEDEEERLLASHRIHGNRWSVIARFFPGRTDNAVKNHWHVIMARRGRELSKLRPRGLGHDGTTAATIGYDGCDKKRRLATASTISYPHQFSHISHFQLLKEFLTGKIGLCNNTTPINEGAINQTKRPIEFYDFLQVKTNSKKPEVIDNSRKNEEEDGVSEHNHNHNENCVPFIDFLSVGNSASQSLC >A05p055620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32859844:32860255:1 gene:A05p055620.1_BraROA transcript:A05p055620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSRIVGCFSSKARLKEFHGLTNFIQTAVVLLLKGDVKQSASRSELDVDFWISKQVKEAAESTKTPNEIGSRETQRQGRVCVQFTHYSV >A01p013160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6445586:6446902:1 gene:A01p013160.1_BraROA transcript:A01p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSTIHHLPDAILTEILARLPLRSISRLKSVSKTLKATLESVYFRRLFVSLHKDSSSSWSLCSHRGKKLIGFHGCKTWDLPKSLGSFISVPLGFKFKASSNGLVLIERYDHDCSYVGNPVLQQWVKIPQLPCGYCGVLGLVTRVDEDGVVLGFKVVRIASSVMRNDHASYTLNMFVYSSETGIWTSKILQCPHLITGYGARATNLNGTIYFVILHVPGVVLAHDFYSDSDQFMVVHLPEHSNHSNNGGVDGALTTSRGFVMYVKSLVQKRGTVLKLWRLNNDESWQLLCEINGPSISGSFVPIAMHPFDSDIVYLWHQDSPRHLVSCNFFDKLVSCHFFDKLVSCNLRTETLIDPAREYHGNFYMNLSGFEEYMDEIGSSRASDWNYGALNGLFQSVLPRWMEPVPRPPQVEMIYTTSLPSYVACCLTEQEEKEE >A09p044490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36109240:36110233:1 gene:A09p044490.1_BraROA transcript:A09p044490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCRRSLPNAQLIVTLCLEAAIDAAEDLVRLRHVLLPRLERADESEDEQPKDLYERFVNALDESSNDTLPDLKEKTLKVETKELGEILDVALKSMEGIVQNIGNFLPKTQEMEAFMSKLARVSSNAKASEKDCGFGLLKTHSSDI >A10p025470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16342804:16344057:1 gene:A10p025470.1_BraROA transcript:A10p025470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBX2 [Source:Projected from Arabidopsis thaliana (AT5G21040) UniProtKB/TrEMBL;Acc:A0A178URR1] MELECQERAEVAIVGEGLSNQGAELRIGDGSVEVPCVKLCYQQKKSTLVVPRDKDLSTNTHRYTIIDLPQALISEILNCLDPKELGLVSCVSTCLHRLASEHHAWKGFYCERWGLPVVLGGKTSEERSWKELGSWDMSVRIWDRSSFKCIKTLRHSDWVWGLAPHETSIACAAGSDVYIWDISSETPEAIIHDAHEGNTYSLARSHSGDFLFTGGEDGGIKMFEIRKHGNETSVLLISQWMPHTGPVYSLSFEFPWLVSASGDGKLALIDVRKLLKTNRRGLPK >A09g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12157986:12160400:1 gene:A09g503870.1_BraROA transcript:A09g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDGTSLLQGRTAEPVRRQTQRRAGIGEVHGADDVDGQDQDSVTRSACTINVKKEKFEKVGQVRLGVMGEFFMKFSNHCRAFNPKSSTPNGVVV >A03p057340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24869193:24869564:-1 gene:A03p057340.1_BraROA transcript:A03p057340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSSTTISLIIIFLIFSLAEADLFLSPSPGFGTCPRDPLQLGVCANVLGLGNVIAGDTRARPCCSAITGLTNVQVADCLCFVFRPLPLVYGIDKAVRTIFFACNMVFPIGFQCPPPPVSSP >A08p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18442440:18445033:-1 gene:A08p030090.1_BraROA transcript:A08p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDTTQRIENMSYSFKMHSSMCLALKNIVDRVMKIFPEIEAARPGSTTGIHTLCLLNKALEKAKLLLQYCSESSKLYMAVTGDAILSRGSRSKKLLEQSLSDIRTMILEVLQDLKSTVLSLESSEEEAGKAIRELMRRSTSSSVSSDEIRDFHFAALKLQLSTPEAVAVERRSLKSLYGKLGECEGNKRQILKYLLCLLKKHEKIIWSDHKDNSLRHNDSVCASVAEAGCSEEHNSDTLPEQFKCPLSLTVMYDPVIISSGHTFERMSIQRWFDLGNDTCPVSKRVLDDFTLQSNVAMKDQISKWCKKHGLDVQDPAMNHTNNASQNLDFSIASFTSSLYNIPDLSCFTSRDFSSSFSTDSPSYSRMSRGGYFMPMQTIASESGTEVTDSTLHSEVEIEPLCELSKLPWDAQVKAVQDVRSLFEKDSRAVRSVSPSKFLEPLITFLKNAHERNGSEGDVVKSGLDLLLTFLSGNRKAIESLEKDLFEMLCVFLGSELVAEEALNVLEVLSNHPQSLSKITSTSSLSALLKIAESGAEHLQEQAMITLKNMSSSNEICVEMVSLGFVHKLTSFLQQNVFSKHSIIMLKNLCNTEKGRVCVTETPGCLASIAELLDSNVSEELENAISILLQLCVEKIEYCYLVVREGLNIYSSLLLISNNGTEEAKVGASELLRALEEVVEEEEESSTPQEEATTSQVVVAPVTYQEPVVTAPSPKKSGLFGFRFILKKKKIKS >A10p029420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18031528:18036730:-1 gene:A10p029420.1_BraROA transcript:A10p029420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIIVSEFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEAPIALRLSSHLLLGVVRIYSKKVNYLFDDCSEALLKVKQAFRSAAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSTREQITLQDTMDGVVFSTSQFGLDERFGDGDTAQTALELDEDLFSSSYCLQEVFQDKDIIGSDDEAVQGTDHNAYPDAATPEIKDEIVEVSDAMPRDFNQEQVEDLAVGDEFMEDAQAPQTPGLVEVPNLSSVRDQLACDDHMEVEDPNAEEVRKASGEPDAIEPSEYNAGESAVTPMGVDKSLINENIDAQNDPEERAEHVRITSPCVSHITTEVEDPGQVITETGTDVITDKSDAVPSVESPGEPTMRNAEPKDPVEENQDHFAVTTEANQETDSNLQGDEQLNNAHTTDEQLGDLPGSADTDLPAPEKVLAAPNSLGDENGCLVELESTPDKEDPGTCNGDAGNNITGKKRTFTESTLTAESLNSVESVGLIQSKRTAHSVPDDDDLLSSILVGKSSFLKMRSTPVLEVATTKRLKSAPRSTTTKRKVLMDDPMVLHGDLIREQLTNTEGIRRVRKKAPCTIPEILMLQRQALEEDGLFKEPIFTGMSVELVSLHNEPYDLRGITVIKDDDGHASVVGVVEDNECSVRAGEENEPEERSAPQTHPNDSEEQPAEAQTQPQDQQIVDQDEEGKKDNELGEDICDLEVLKESDDVAGDEANHLVNEEISEVPSEDKIDRVGDLRVEGDHENHDGGNHDGGLGGQDVCDVIEIAEGDVDNNATLNETDLKVEDEDKKTDASAEVIESGIDDQTPCDNTVVEEAGGSSNLASESCKGPLVEESNDGVIPEIESDERYNEMFTEEAYMQSAPDGEPTYGLMRDNDEMDAMEVAHDTGFLNVDDDEVDEDHEDDGVEEGDEARLLENSGWSSRTRAVAKYLQTIFDKEAENGKNVIVADKLLAGKTRKEASRMFFETLVLKTRDYVQVEQAKPYESIIIKPRPKLTKSIF >A04p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:706566:707299:1 gene:A04p001510.1_BraROA transcript:A04p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSSPSPISSETTISKEIDPNNVSLAENFLKSFTFPDSSLDDFASFDSFSVLLQNNIRALSVARGRITCSVVVTPGLTNYFNGLHGGAVASISERLSMACARTFVSEEKQLFLGELSMSYLSAAPVTSELVVEGSVVRSGRNLTVVNVEFKMKDTMKVTYLARATFYQSIISKL >A02p042410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26632775:26636594:-1 gene:A02p042410.1_BraROA transcript:A02p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHRCYSKSNQISSGFVITNASSQVSVRGAIFMPATFNIRQSCKVIKYHFPGPFILATSDMVEEVYVTAEAGAKASKEAIEMWTEVALEPSARKAGHQSANKRTVATGIPESSSRRLIFSSPTLSTSPA >A10p026800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16940769:16943042:-1 gene:A10p026800.1_BraROA transcript:A10p026800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKRSHDDPELEMEESELTERIDGDLKKKNKKKKKRSHEDPEQKVSDDGEAKKKKKKKSKEEEEKANVEEKKKEERPTVTIAIAGSIINNTQSLELATRLAGQIARASTIFRIDEIVVFDNKSSSEINLSDSNESGAPFLVRILKYLETPQYLRKTLFPKHNDLRFVGMLPPLDAPHHLRKHEWEQYREGVTLREKPINSEGTLVDVGLDKSVVVDQVLSPGVRVTVAMGADRDLDLVRQIVPPSKPREEAGMYWGYKVRYASHLSSVFKECPFEGGYDYLIGTSEHGLKISSSELKIPTFRHLLIAFGGLAGLEESVEEDNQYKGKNVREAFNIYLNTCPHQGSRTIRTEEAMFISLQYFQEPISRAMEELKLDRRVNEEAIV >A02p056710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34161677:34162733:1 gene:A02p056710.1_BraROA transcript:A02p056710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHAPERONE-LIKE PROTEIN OF POR1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23040) UniProtKB/Swiss-Prot;Acc:Q9FN50] MSSSSLPLSGSTLLVSIKIRSKGSIFFRCWLLSEWEVMTLCRQCSNVPTFPKTRVWDPYKRLGLRAPLTKKSKINLNTRLKKKVEESPLWLKALLDFVEMPQMDSVLRRLFLFAFMGGGGVSLAACIYFLYEKTKKSLGRACLIGIGALTAG >A01g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18365935:18366400:-1 gene:A01g506300.1_BraROA transcript:A01g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLKADVIVVKVSGLMPCARASGYASTGLWRRPHLRSQVSCSSSWYQSYGSWVDLLAFKELVLWFSKARDAFWDCFSGCGGVDNPSFV >A01g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13651000:13653244:-1 gene:A01g504540.1_BraROA transcript:A01g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFQIWKTSRMTYLLVSLLTCLPFIIDLSVLVFNQMVLIFHLDIFFRSRTNFGRFMGSLLGSLLKYNTLEYFLEVLFRRLPRRLPISLLKCIKSFKLVVHGGWCIDDNVNIVNT >A06p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2051424:2053781:-1 gene:A06p006150.1_BraROA transcript:A06p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGAVRLDLDGKPIKPLTICMIGAGGFIGSHLCEKLLTETPHKVLALDVYNDKIKHLLEPDTSEWAERIQFHRINIKHDSRLEGLVKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVSAVAVASIKTVVVRFANAEAAAYYIATAGFIGVSCRTRRSDASVRWSFLRQRNEQELTQNVDAAAAADTCGNQTNSPFVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQDPDFYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGETAIESPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKATSKPVAS >A10g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16199463:16201906:1 gene:A10g506020.1_BraROA transcript:A10g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEKRGEKTELLEGLSIGRDDKTNVSPIQQDVMLIWGEKDQVFPLIMAHDLREMLRKKAKLRVIPKTSHIHQTEKSKEFNGIVMSFLLPPSSSP >A09p078440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58049030:58051834:-1 gene:A09p078440.1_BraROA transcript:A09p078440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGFVVGDGQKAYPGKLTPFVLFTCVVAAMGGLIFGYDIGISGGVTSMPSFLRRFFPSVYRKQQEDATTNQYCQYDSPTLTLFTSSLYLAALVSSLVASTVTRKFGRRLSMLFGGILFCAGALINGFAKHVWMLIVGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVAEVLNYFFAKIKGGWGWRLSLGGAVVPALIITLGSLVLPDTPNSMIERGQHEEAKTKLRRIRGVDDVSQEFDDLVAASKESQSIEHPWTNLLRRKYRPHLTMAIMIPFFQQLTGINVIMFYAPVLFNTIGFTTDASLMSAVVTGSVNVAATLVSIYGVDKWGRRFLFLEGGTQMLICQAVVAACIGAKFGVDGTPGELPKWYAIVVVTFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMIFTFIIAQIFLTMLCHLKFGLFLVFAFFVVVMSIFVYIFLPETKGIPIEEMGQVWRSHWYWSRFMEDGEYGNGLEMGKSSNQGTKRV >A02p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16926461:16927819:-1 gene:A02p032280.1_BraROA transcript:A02p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRKGYQLVKDFASGEKGQLKPFNSKLFDETIEECNQNQRLIQFLMRKMEQEGLDVQNNRNADYYGALVHHLSLIRNKRCLMAYVHNRADIVRDLGWRVGLELPPEIQEKLTTLEKEYFKNHSAAIKSYMGKAGIDLNVVSKHSFHPQRILNFLFTWWAVLYYYNTRVINYSVVFAVGLKDMVPPKDPYIKVRVVGDIDDGIVMSDKTTNFARHSMHFLKRTDAEPYIARGQMEELTG >A06p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6125200:6127073:1 gene:A06p013530.1_BraROA transcript:A06p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of subcomplex B 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15980) UniProtKB/Swiss-Prot;Acc:Q9S9N6] MASLPQSPKPISPFFKTPPFSTKPLVFLNFQTRLPSRSLDVSVNLKKKKSAWLDPFDDGEDLNNEYGSLFADGKQEEDPRPPDNPDNPYGFLKFPMGYTVELASLPLKIRGDVRRCCCVVSGGVYENLLFFPTIQLLKDRYPGVQVDVLTTERGKQTYELNKNVRWANVYDPDDHWPEPAEYTDMIGLLKGRYYDMILSTKLAGLGHAAFLFMTTARDRVSYIYPNVNSAGAGLMLSETFTAENTNLSELGYKMYDQMEDWLGRPFRSVPRTPVLPLRVSVSRRLKEVVANKYREAGVETGKFIVIHGIESDSKASMQSKGDSDSLLPLTKWAQITRGIRGFKPVYVIPHEKERENVEEYVGDDTSIVFITTPGQLAALINDSAGVIATNTAAIQLANARDKPSIGLFSSEEKANLFVPDAEERSNCVIVASKTGNLADIDVGAVKNAMQVFEGSLALV >A08p031970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19429848:19430642:1 gene:A08p031970.1_BraROA transcript:A08p031970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERQDTGDVSLSVKHEEDVSPESLAWADSCIISFPDDSDNSDWGTFRDALTEIIHIHPQIFVPTETTTSVRSRDEVMTEAESVQIPQAADSSSSEQVSEIVSLLNFESDPSKNSLPDHYFPAESITTNGPVDNHTTCIETIEEDGSMSNGEADEEPVSETPQVVKDDFMSSSYVEDNNADEVDVLEDPGNLTPQEIFKVWDLKIVGDDDEDDDGLGLQVKKALDESSTVQPPNDDHDHVAVEKSCIDDLIAGITDLSLTETFE >A03p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:534406:536088:-1 gene:A03p000970.1_BraROA transcript:A03p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRGKRSRRQRRILNPHRKIQQVIEGADFINSMPDEILNHILSFIPIDLAIRTSVLSRRWRHAWCELPCLHLRTTAKGIDQTLLSYRRLKIMSFKLCVTHEVTEPQFNSWVEFAMSRNVGELSLTGLLFGYETYGFPDCFYLSSSLRQLNLVGFDMRPGCTVSWNFLRRLTLSCCSLYDESIANILSGSPNLETLQLFYCDGLLKRLDLSKSPSLSALEIYGWSQRSGQMEIVAPHIHYLNLKNSDVGPCTLVDVSSLADAILRIGLNRYRPFMAGFQQYADVLQTMVLKMLAKLQNVERLTFRGGYLLQILSLAMLCGVRLPKLKVQTLTVQTNFARSVIPSIARLLQNSPGLKNLEVHATYVSYIEDADLDEYLRISHGLDSDTCWRSKHEVFPTHETLRFMSDCNGAKMRLLGSFLRLVLRNAKRLEKIVVWLGDSYFSDEQMERLLMVVGTLSPNNNVSIEFK >A10p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19698311:19704335:1 gene:A10p033750.1_BraROA transcript:A10p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGRARAGCIRIRYLSPRRVMARLFPSPVKVKGKKKAMSEERVRDCDCARIINDHNGVPQLSESPPGTIYSELRRRELLFSIGMSCYLLHLIATGREEIHKIVELRNDIEKLLECKNDEMRRKQQEFVELRNEIDKLLQNHNDDLRRKQQQRFASGKPEQCGTTSDVVETSSDSYYSPQLMETSLSVGGGEGCFKRYAFKGKGEDIGGDMDQLEAELEAEFELLQIGHNQEKSEEDSRGIKPLEEQQQGVCPYELERKLHELMETRQEAKIKELKTALEDAKKRLHVKEVEASWWKDTACIVSEHVPQPSRINHSSQTRQYPLSRVLKLPSPIRFFLQAQVKMQAVIRSFVSGGNVVRSSLLQHLRVVNPAIQPSVLSTRYESTQPARMEEHGFESTTISDVMNAKGKSADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQQSLAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPETKVLVAMQLMTDNRIRHIPVIKDKGMIGMVSIGDVVRAVVTEHREELNRLNAFIQGAMGMELKRSAIAFLLLLFVHAAHSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCQPKKIVDSTENLGEVLRGDRIENAPYSFKMREAQMCNILCRVTLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIERVDQGSPGVVYQLGYHVGLKGQYEGSKEQKFFMHNHLAFTVRYHRDVETDAARIVGFEVKPYSVKHEYDGEWSEKTRLTTCDPHKKRLVVSSSTPQEVEQKKEIIFTYDESEVKWASRWDAYLLMNDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRLPANSDLLCVYVGTGVQCLGMVLVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYKMFKGTEWKRIAFRTAFLFPAVVSSIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYLGFKKPAVDDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLQITKLVSAMLYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >A06p005620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1813482:1814214:1 gene:A06p005620.1_BraROA transcript:A06p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 1 [Source:Projected from Arabidopsis thaliana (AT1G47960) UniProtKB/Swiss-Prot;Acc:F4HWQ8] MKMVVVMMIMMVMAMVSEANMIEQTCKETPDFNLCVSLLDSDPRGSSADTSGLALILVDKIKGLATKTLKEINSLYKKRPELKQALDECSRRYNTILKADVPEAIEAISKGVPKFGEDGVIDAGVEAAVCEGEFKGKSPLTSLTKSMQKVSNVARAIVRMLL >A07p029690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16635029:16648278:1 gene:A07p029690.1_BraROA transcript:A07p029690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVIVPLGILFFISGLVVNLLQIQVFADDETFNRMGKEHALVVCNHRSDIDWLVGWILAQRSGCLGSALAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDESTLKSGLQRLNDFPRPFWLALFVEGTRFTEAKLKAAQEYAASSELPVPRNVLIPRTKGFVSAVSNMRSFVPAIYDMTVAIPKTSPPPTMLRLFKGQPSVVHVHIKCHSMKDLPESEDEIAQWCRDQFVTKDALLDKHIAADTFPGQKEQNIGRPIKSLAVVLSWACLLTLGAMKFLHWSNLFSSWKGIALSALGLGIITLCMQILIRSSQSERSTPAKVAPAKPKDNHQSGPSSQTEVEEKLNYFNYYYYSANGASQVVKSVRFSFMTEQDVRNHSVLKVTNPVLLDTVERPVPGGLYDPLMGPLDDKTPCKTCSRISLFCPGHCGHIELVYPIYHPLLFNLLYTFLQRTCFFCHHFMKWSEVDGCVSQLKVIIKGDIVAAKKFGSEATESSEECEDSDIRKQRWTSFQFAEVTDLLKKTLGLKSWKCGYCGKKAVILEKPMFGWVRKEEGKATDNGDNVIREIKVKNSENIVESDDDSAIDEQSEVEDEGAKKKRGKSSKAAKEFKEHKKKTKINVLPSEVREILKDLWRNHPEFCSFIGDLWQSGSEKNDYSMFFLESILVPPTKFRPPTKGGDSVMEHPQTAGLNKVLESNIALGNARTNQLEKSKIVSRWMDLQESVNVLFDSKTSSVKSQREQGTGICQVLEKKEGLFRQNIMGKRVNHACRSVISPDPYIAVNDIGIPPCFALKLTYPERVTPWNVEKLRKAIINGPDIHPGATQYSDKVSTMKLPSSRKARIAIANKLFSSRGVTTKLGKTCDIDLECKIVYRHMKDGDVVLVNRQPTLHKPSIMAHIVRVLKGEKTLHEISRAEAYNIVNANNQYARPSNGDPLRALIQDHIVSSVLLTKGDTFMDRDEFNQLLFSSGVTDMVLSSFSGRSGKKVTQSASNTELLTVTPAILKPVPLWTGKQVITSVLNEITKGHPPFSVEKSTKLPVDFFKCRTRESNKNKSWKHDFDEDKLLIRKNEFVRGVIDKAQFADYGLVHTVHELYGSNAAGNLLSVFSRLFTVFLQMWMKRGPSNLQECEGVGEKVLRKTFGIDVNAQIDPQDMKSSIERILYEDGEPALASLDRRIVSELNKCSGKGVINDLLSDGLLKTPGRNCITLMTISGAKGSKVNFQQISSHLGQQDLEGKRVPRMVSGKTLPCFHPWDWSPRAGGFISDRFLSGLKPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLESLKVNYDCTVRDADGSIIQFQYGEDGVDVHRSSFIEKFKELTLNQDMILETCSEDMLSGSNSYITDLPITLKKRAEKFVESMPMDERIASKMVRQEDLLKLVKSKYFSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPLADIIKSMEVSVIPFAVYKGRVSSFDPKKKKQKGRVCSIHKLKINLYKPEHYPKHTDITDEDWQATMTVRYLRKLEDAIQKHMTMLCRIRGIQVKKDTGPKAGNDTRNDDSASGKQTRDDDDDSASGKQTQDDDDDDDDDDEDDEDDDDDDDSASGKQNQDDDDSANGKQTQDDEDDDDDDDDDDDDDEGLGAEKRKKQAADEDYEESSEDKKKEPLSTSGVDDDTEMDSEDENEEVSKEGTQQPKKKKRERFVGHKKDRHIFVEGKGETFEVHFKFLSKQPHMLLAPVAQKTAQKVYIQNYGRIERCTLANCGDPQMIYYGDKPKEREDISNEEKKAWPALHASGVDFSDIWEFQDKLDVRYLYSNSIHDMLNTFGVEAARETIIREINHVFKSYGISVSFRHLNLIADYMTFSGGYRPMSRFGGIAESTSPFCRMTFETATKFIVQAATYGEVDWLETPSARICLGLPALSGTGGFDLLQRIEV >A09p046640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40584888:40585463:-1 gene:A09p046640.1_BraROA transcript:A09p046640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQIFPAEMGKTLTLTSSMQPAFINHLSEAWVFSKSIKLFGYRILWLIICPRMGRAEITKVKHSEFHEEILQLIHNAVRYGGARVGMLIRVDNLVEITTYDPILIFKAQGIM >A05p008390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3408312:3410258:1 gene:A05p008390.1_BraROA transcript:A05p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAKTVTFSSFSSLSNLSSHPSFNSLPSFNSLPASQLNQTFSKSMMEEALESAESIIRKWDPNSQSYTKIISLFRHSRKEAKEFIRCVRDLRKAMHFLVSQDSKSDKLVLAQSLMQIGMSTLEKEFFQILSSNRDHLDPDSASGQSTISSNSEFEVYMENDDDEDDELKKASESISQVEKASALVMSDLKVIAETMISCGYGKECIKSYKLIRKSIVDEGLHLLGIEKVKTSQFHKMDWGVLEVMIKNWIKAAKIGVTTLFKGEKLLCDHVFSASLTIGQSCFNQIAKEAGLNLFTLPELVAHKEKKQHHHERIFKLMDLYAAISDLWPDIEMIFSFDSLASVKTLVLSTLKKLKDSIHTCLKEFETTIHKDSSKELSPEGGVHNLTRTTMSFISSLSEYSRVLSEILAEHPLKRNSRLLESYFTAPILEDEHVNNQACSVHIAWLILVFLCKLDTKAESYNDVSLSYIFLVNNMQFVVDTVRSSQLKNLIGDDWLTKHEAKLKSYAEKYEKAAWTNVFVSLPAKKSAKLLPEEATTCLKRFHAAFAGAYLKQSSCVIVDAKLRDELKVSIARKLVPEYREFYEKYLPMLRQDRNIEMLVSFKPDNLENYLSDLFHGTPMLGGSSRSAASASLSSPSCFSIRCVKH >A10g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18416234:18417079:1 gene:A10g506450.1_BraROA transcript:A10g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HECKCDDGDTAKFWFDHWLPTGPLFSFVGQDGPLMMGIPMSSNVAEACTATGWRLPSHRVRHRRVAEVRDHLTAHPLPTQSQGPDVFSWEIPGIVSSDFSSALVNWLQAARGNRLFTIKHIAAQATIYLIWRERNSRMHAGNPQPHSVVFKQLDRCVRDIALARKDRKRFKTMLSIWFRFD >A02p053560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32584623:32587461:1 gene:A02p053560.1_BraROA transcript:A02p053560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIKEDHHQELQKEEPNSTKNTETTTSSSTHMMNLITTSDHHQMELAGNNMNLSSIFDIPISSPFAYSYFEDHSSNNPNSFLDLLRQDQHQFASSSNSSSFSFNAFPLQNNTNHIFTDLPLSQPVTTKDESSEVVSTTPTSPNATSFSSSSNEAANDNINKEVTVKDQEDGDQHGPKGTKPHHATCYLSIINLTTSCTTTTL >SC179g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:684828:689340:-1 gene:SC179g500330.1_BraROA transcript:SC179g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLANGDDEDLDKPTVGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQENAGSQETDNYYSRNITEHNPTERSCEGVREKMEVWCKDRAFSTALYGLG >A02p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5629834:5631662:1 gene:A02p012830.1_BraROA transcript:A02p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQGQGSMDPAVLDDIIRRLLDYRNPKPGTKQVMLNESEIRQLCSVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPAANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDSFNCLPVAAVIDDKILCMHGGLSPDLTSVEQIKNIKRPTDVPDSGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVAEFLIKNDMDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRRPRFL >A06p013750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6212449:6216591:-1 gene:A06p013750.1_BraROA transcript:A06p013750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKEMSLRSRDCSCCRKVTIEITIVLVKAPRLGKDDTLGNRVWTRSFKVYSDTQKTDPACKVGAAHKTRVPLRRKSVTISSGATSNTNNMKKGTSRVTGQNKSSNENSEKYTKVTRKALADLSNLGGNTLRPALSGSSTIKLPSLKRTSLTDKNTKKDNVSSLDSKQLGQGPASKVSNKVLPQISSARSFTSKTRTSIGSIPSDRTNQSKNNVRIARKSIKIQTSVKTSLQNRSPLKKPPVGRSKSQSILSVPSPVEAASELSIPEQVETEGLKEDTHGESSSDVKTDSATKVLDVTARPKSKRRKSFTSLLVTGSKKNDETAQQEKLPNIDDESNQLEVAEYVDDIYQFYWTAEALNPALGYYLSTQTKVSPVTRGILINWLIEVHSKFDLMHETLYLTMNLLDRYLSQVPVQKNEMQLIGLTALLLASKYEDYWHPRIKDLISISAETYTREQILGMERIMLKQLKFRLNEATPYVFMLRFLKAAQSNKKLQQLSFYLIELCLVEYEALKFKPSLLCASAIYVARCTLHMTPVWTALLNSHTHYNVSQMKDCSDMILKFHKAAKTGKLRVTYDKYMKSELSNVAVLKPLDKLPL >A01p009440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4560971:4562537:-1 gene:A01p009440.1_BraROA transcript:A01p009440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G28980 protein [Source:Projected from Arabidopsis thaliana (AT4G28980) UniProtKB/TrEMBL;Acc:B9DFZ7] MDKQPASSWSIHTRPEIIAKYEIFERVGSGAYADVYRARRISDGLTVALKEIFDYQSAFREIDALSLLHGSPNVVLMHEYFWREDENAVIVLEFLRSDLSAVIRDAKRRKKKGEGGDGFSVGEIKRWMIQILNGVDACHRNLIVHRDLKPGNMLVSDDGVLKLADFGQARILMEPEDEEREGEASREPPEVIPDYVNSSQKGLEGQEQEVLSRDEYFRQVEELKAKQVVRDDTDKDSNVPDGDASCLATCTVSEMDDDFGTNSFAYDEEGLDGGGEGLMTSCVGTRWFRPPELLYGSTMYGLEIDLWSLGCVFAELLSLEPLFPGVSDIDQISRVTNVLGNLNEEVWPGCVDLPDYKSISFAEVESPLGVEGCLPNHSGDVIALLKKLICYDPARRATAVELLSDKYFKEEPLPVPVSELYVPPAMSGPDEEDSPRKWNDYREMDSDSDGFGPVNVKPTSSGFTIEFP >A03p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3087831:3097319:-1 gene:A03p007480.1_BraROA transcript:A03p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVMKSGSAAKLIVEALLQRFLPLARQRIDTAQAQNGKYLRPSDPAYEQVLDSLAMIARHTPVPLIEALLKWRESESPKGANDASAFQRKLAVECIFCSACIRFAECCPQEGLNEELWSGLENFVFDWLINADRIVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSIARSETLSIINGMRYLKLGVKSEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLSDGGKSQWPPSVAEPALTLWYEAVGRIRVQLIHWMEKQSKHLGVRHSDVGFPLVTLLLCLGDPLLFHHNLSSHMEQLYKLLREKNHRYMALDCLHRVLRFYLSVYAATQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQQDKLVEFCVTIAEHNLDFAMNHMLLELLKQDSPSEAKIIGLRALLALVMSPSSQYVGLEIFKGHGIDHYTPKVKAAIESILRSCHRTYSQAVLTSSRTTIACIPWLILSPKTVLLTFKICADAVNKEKSQGSLFRSVLECIPYLIEEVGRSDKITEIIPQHGISCDPIVREEAVQVLNRIVRYLPHRRFAVMRGMANFILKLPDEFPLLIQTSLGRLLELMRFWRACLVDEKQDTDAEEEKQTAKGNDIFKKSSFRQAADAIEFRAADIDAVGLLFLSSVDSQIRHAALELLRCVRALSNDIRDLMILEHPDHVMKFEAEPIYMIDVLEEHGDDIVQSCYWDSSRPFDLRRDSDAIPSDVTLQSIIFESPDKYRWGRCLSELVKYAAELCPRSVQEAKSGIMHRLAYITPVELGGKASQSQDTDTKLDQWLLYAMFVCSCPPDGKDAGSIALTRDMYRLIFPYLRFGSETHNHAATMALGRSHLDACEIMFSELASFVDDFSLETETKPKWKIQKGGRREDLRVHVANIYRTVSENIWPGMLARKPVFRLHYMRFIDDSTRHISSVPPESFHESQPLRYALASVLRYLAPEFVDSKSEKFDVKTRKRLFDLILSWSEETGSTWGQDVVSDYRREVERYKTSQHNRTKDSADKISFDKELNEQIEAIQWASLNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPALKVPFGSSPSDPRTPSYSKFTGESGQSGRGTTGRDRHRGGHQRVALAKLALKNLLLTNLDLFPSCIDQCYYSDAAIADGYFSVLAEVYMREEIPKCEIQRLVSLILYKVVDPSRQIRDDALQMLETLSMREWAEDGIEGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDTGWSERSLKSLYYVTMRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDSDSNASGEVTGAFATYFSVAKRVSLYLARTCPQRTIDHLVYQLSQRMLEDSIEPIVNRGDSNGNFVLEFSQGPVTAPQVVSVADTQPHMSRILVRGSLDSPLKNTSGNLSWRTAGATGRSASGPLSLIPPELNIAPVATARSGQLLPSLVNASGPLMGVRSSTGALSSRHVSRDSGDYLIDTPNSGEDVSQFRHQQHSLIPADIALILLAEIAYENDEDFRGHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVETSEGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTDLPSAALLSALVQSMVDAIFFQGDLRETWGTEALKWAMECTSRHLACRSHQVYRALRPSVTSDACVSLLRCLHRCLSNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELFSRIIDRLSFRDKTTENVLLSSMPRDESNTNDLGEFQRTESRVYEMPPSSGTLPKFEGVQPLVLKGLMSTVSHDFSIEVLSRLTVPSCDSIFGDAETRLLMHITGLLPWLCLQLSQDQVIVSEQQYQKACSVASSFAIWCRAKSLDELATVFVAYARGEIKRVDNLLSCVSPLLCNKWFPKHSALAFGHLLRMLKKGPVDYQRVILLMLKALLQHTPMDASQSPHMYTIVSQLVESTLCWEALSVLEALLQSCSPVQGGSSGSYSDDWTDERTLVPQTSFKARSGPLQYTVMAATTTSQAFPLSAAAAELEMPSRDVALQNTRLVLGRVLDNCALGKRDYRRLVPFVTTLANM >A05p032940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17680330:17683388:-1 gene:A05p032940.1_BraROA transcript:A05p032940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRRRSPSPFVLSEPEKRERRWYLCHYLNIISGRRNLYPRCFGVTQPPHRYTPATIFAATSDLAEDRRGTPTSPGFEVASPYSPTVSVKKKKKETGNPKGYEQFEKVLMGLLRRLLDSNKRVQEAACSAFATVEEDAAEELVPHLGVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVREELNKPAYLEILMPALVAKWQQLSNSDKDLFPLLECFTSISQLSARERSVSFISLLFRVGWSQGKRSGGVIFYNTCSAMFKKERLLLNASQNLRSTSKLILYDVPPSFNLEVYASIGYRYSDKGWEVRDEDGYMRGSGKSFCRFEKLSLHCPRSVLLFSYRFSIIFVLLEGLKPEEISGIDSCLLSLERLLQRECSFVAQRDRPSLGLFCDEPMTTGQCNMVVERLGDHQIESRL >A03p055270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23827553:23831630:1 gene:A03p055270.1_BraROA transcript:A03p055270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMAYQMELGGDSSPQRKSAGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVTLIVFSSRGRLYEYSNNSVKGTIERYKKAISDNTNTGTVAEINGQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLDRSINRIRSKKNELLFAEIDYMQKREVDLHSENQRLRAKIAENERNNPSMNLMPGGYEQTMQPPQTQSQPFDSRNYFQVAALQPNNHHYSSAGRQDQTALQLV >A07p030800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17120408:17122232:1 gene:A07p030800.1_BraROA transcript:A07p030800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGFSLFLGLLQLVGFLLPLRTSAIRKDIGFLEERICRTTVQGRYLISDDEGNVCNALSLDSRTRCCPLKGERFSCHGCNILSQCCSSYEFCVSCCLNPTRTILEKVVKIKVAKPATAGTYRTVFDFCAGRCRHSSESVVHENAYHSKFHHCFSLTSNASGANLTQVETRLLGIDVIVGRQGDSCDAVCKSRGQLCVMNKLSLLNQCDVMQRYMTCKGSCLASAGADQPAEVADDAPRDLYPGACLYSRTQSMLSCDGSHQYTRRLCPCA >A02p005900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2523867:2524280:1 gene:A02p005900.1_BraROA transcript:A02p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKTETRSSLSYNKMNNMNVFPSETLARIESMAAENAVVIFSLSTCCMCHAVKRLFRGLGVSPAVHELDLHPHGVDIHRALIRLLGCSSGGASTSPGALPVVFIGGKMVGAMERVMASHINGSLVPLLKDAGALWL >A09p015240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7939810:7941014:-1 gene:A09p015240.1_BraROA transcript:A09p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGMHKWVEPAFLLWKPEDPDYERPVYDPEKAKFTAQEELDRMREQVNQSDGFDIDFDHFRCVFNYHRAYLDDGEFGEFGDEPETTEDFLKRLSHKSLEDYNLNEKRKFEFVRVVKSNFHFSAGLMFLITFEVIDPYDSEIKPFQARVRYLNDTFTEYVFCRPKPNAGVEYFGNAKTDVEKGFKKQRLE >A06p010960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4009917:4011611:1 gene:A06p010960.1_BraROA transcript:A06p010960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSDKPRPNDFYKDDNNTNSSTAARNMIIDGDLQPHNHHPHHNHNHHHHNHLQPQQILLGESSGEDHEVKAPKKRAETWVQDETRSLIMFRRGMDGLFNTSKSNKHLWEEISAKMREKGFDRSPTMCTNKWRNLLKEYKKAKHHDRGNVSAKMSYYKEIEDILRERSKKVTTQYSKSPNTPPIAKVDSFMQFTDKGFDDPSISFGSVEANGRPGLNLERSLDHDGHPLAITTVDAVPANGVPPWNWRETPGNGGDAHGQPFGGRVITVKFGDYTRRIGVDGTAEAIKETIRSAFSLRTRRAFWLEDEDQVVRCLDRDMPLGNYLLHVDGGVAIRVCHYDESNQLPVHTEEKIFYTEEDYRDFLARRGWTYLQVDGFRNIDNMDDLQAGAVYRGVRERM >A02g506400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:18163727:18163969:1 gene:A02g506400.1_BraROA transcript:A02g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTNTHTCLKDDSDQAHAVQYTPQTGHTFNKISTYVHRGLKELTGSFEMEVRCRRSKTSPQNRQVHGGYSSPFRSRGE >A10g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7569675:7570535:-1 gene:A10g502590.1_BraROA transcript:A10g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRTLSQFRKYQKTLSEESPEGPFSGVLVITDEEAETDDTFCFGMCKRTKIEKLPFPQDKILSVVHTDSSGNRDTSVKKVLFIPALDQPLSSNRYYVVHARGRHKGKVCVCSKEIEKGLCCFPDILHEKKPKPLDPRNIYQTVKINRHHDKTFFAKSMAPDGTPPSFLKKKGWELRASRSLHPRRPREALGLDDELRARLPEFGFSVSTIRSGSVIVGEWYCPFMFVKENCSVSY >A06p047080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25055987:25058478:1 gene:A06p047080.1_BraROA transcript:A06p047080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKQNRESGSTSVKKEEKKAKVESASTHVTLRFKGQDEEEIRVFRMRRNVEMRKVMKRYGETRGVKWTTFVFILKDGTRIRESHTPDERSKNMAAETESDVVEVNRGLLKELEDMGFSVARAAWALHHSGNSSLEAAVNWIVDHENDSQFEKMPVVEFNIEIESPSPYDDVTAETAQARANELKERARKLREEEETKREREREKERIRAGKEMMEAKRIAEENERKRNIALRKAEKDEEKKAREKVMMKVNSDKAKRKSRHGLPTEAESTSTSTLVAPLDTKRILMPSPSPVSKAEEMRECLRSLRRNHKEEDPRTMRRAFETLLMIVRNAAKNPDEEKYRRIRVTNRLFQERVGRFKEGMEFMELCGFKREGGSEFLSLANDEGDMSRLRDAAFQLQSAVTNPFFGLLSKEAAEE >A04g508160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21047279:21049201:1 gene:A04g508160.1_BraROA transcript:A04g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWFPSSNASALSPSHFTAGDGSIPPLPPDPPDPDYPPLSSSSPKSPRASLQTAKLKRPVVKSSFPLTNSTTAGPSLDDSAPNLTTVSGSVRFLPGSKNTVASNPRNFKVLPPKHSSPIQTNKASSTHSPLQKPSTQLPHVIPPVHVSTPPPTVTIPFHAVSTPPALNPTPKQLSTKQPPPSSHVAPSISQSDPSGNAAGSNASAPPLVEKIRKMEDKKLRRLAPVSLSDKGVPRVLIPDSVFQKGAEIHKDFIICYFNGRPPPFYQIQSVLNHMWGKGKRVEIHTNPLSRSMLVRIPSEYLRTKILEKSVWYVRESMFHAVQWSSSASPSSPPLQSIQIWAHLTGVPLDLRHEEGLSLVAGLVGDPKETDDFTKNLVSLTLSHVKVAVDLTKPLPSVVEFSRESGQVVEVQVSYPWVPPTCSHCKELGHVMRNCLHIPLPPKTKDKPEKSSGNNPSATGTTAEKNKTANVDPVRASKVSSTGSASGPSVSPSSKPSPAAMVIDSVAPPSISPLLPSPPIPPLVSKNSNSLPFSTPVPVLSATIHPVSPISFAPPPIIPSNPLSPPDSFYAPSLKRSRHDPSLPDFPSFSAQLSFFTPPSTSKALTLSNHPHSTLVPSPNPFSVLDPDGSLLLEETID >A07p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6950610:6956683:1 gene:A07p011080.1_BraROA transcript:A07p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKMKMMMKMKMTVEMKIKMTVTEVRGQWSGRGQLSRATGGFSSSKQRCNMRHYEDNTNQVRDFVCTSRMVDFPYTKDFDIGGSVDVVHVTPSKDRNQQNHDNDSIDRRFTKLSSETVRCALNFVTGNSDVGNVVLVTPPQQNEKHRHVTDPTLVHGGGAPKTRTLLCAHNDETLTGESDHIVLVTPHKQYNNLNRVIEADDEFVSPPLIQTTQFDCGEACIPRKQFPSTSVTVSCTQADETDTGASVDLGQATPPEKHNTHIHVIEDEDLFVDPPETESTQVQGGEAFMKGIQVWEMYGYNDVVHVFNDMEGNGFHSQEIDFSKEDSDIFVGRMFEDKAQFKLTMLIYALAEVCMFKFRHCKRFVTATCVDKQCTWREEPRKKKKPNKCSRCFKEGHKKTTCKEPSQIPDLHNELLSKIIEHLGEESTWYLGALLRTGKRGYELVHQPYILKRCNVTPIVDETSSGIHNFGNFRNFFLKCVEVGNIEAIYLEGLHLSTTLGVEEAIKVLESNLPTQELSTLAVGIFYVCLGKEMEATYTFVQFESDHCSAFKPDPIFEMGDELQWRLLTFHAPYSNTYDKTFKFLEYDFLASPSCLFRHAHTLALEGTCKNCMLFRGEHAKQCKSTQHFLIFDELHKQQDEEDPCCFEARFKTHIRSCFEAMRTTTARFTVPAKVGFR >A09p004640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2604939:2606150:-1 gene:A09p004640.1_BraROA transcript:A09p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKCLLLCVLLFSSYSHVSAHYYPSKNTLVSSVTKNAILPIFTFTLNTNEEYFIHIAGPFLVRSCNDGLPRPIIPCGSATCALTRRVSPHQCPPTQNAIINGRCACQATAFEPFQRLCNSNQYTYGDFSMSSLNPRSPSFTFNNVKYLCIPKPFLIDFPPGVLGLAGLAPTALATWNQLTPPRLGLEKKFALCLPSDTNKGAIFFGGGPYKLGNTDARSMLTYTQLIKNPRRLNNYFLRLKGIFVNGEKILLAQNAFGFDGKGDGGVTLSTVTPFTTLRSDIYKVFTEAFSKATSDIPRVNSTTPLEFCFKSTEHFQVPQIDMKFADGKIWKVIAANSMKKISDDVACLAFLNGGDAAAQAVVIGMHQMENTLLEFDVGRSAFGFSCSLGLVNASCGDFQTKP >A06p015110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6751242:6766759:1 gene:A06p015110.1_BraROA transcript:A06p015110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESTPIEATPFSYLIPSFADDDDNLMENITSPTFQGVTPLQTVDDETPYTTPPANEENYNTPPSQPLLLATPLSSASPSDESNTAPVDPNMGSIKRGRGRPKGSKNSKPSKKKMETSHDPNNEVVVSGHNDETHNTSFSPHPPLVATDLQAIVPYDDSNHDSLADDDAAPSSDPLKRGWGRPKGSKSAKTPVKKLKPHNPDEKMVIFCPSFDSMITEEVKENGNEELVDSVRMRFNAVCRHLGHVSCEKAVVTTDFSRFTNLGVRTNKKKRIGPVPGVQPGDIFYFWGEMCLVGLHTQMPAGIDYLLAKDGAAEGLTTSVITSVGHYNDKTDELHFLVYTGQGGTCKDGKPRDQELTRGNVALIASQKRGNEVRVIRGIVYPRDEKRKVYIYDGLYVVTYYWIEKGTTGFDEFKFNLVRQQDQPSGFATWKLAEELMKCGSSNLLRKGFVFGDISLGLEALPVPIVNEVDENDKEWPLDFNYRVSSKNLSMMIVPNHQSTGCNNTCKGGQSCGDPTCSCIQRNGGDLPYDNHILLYRKPMIYECSDLCACPEDCKSRLTQSGLKLRLEVFKTKSCGWGLRSWEPIRAGTFICELVGTAKGRDEIEEDDEYIFDTSRVYKTFRWTYEPELVGEDCWEEVSEVYKLRSEILVSARAFGNVSRFMNHSCLANVMWQPVEYETDGQPLVNIVFFAKRHISPLTELRYDYGMSYDTGEIDEGGSKVFTVYKNFRWNYEPELVGEDCWDEASEVYKLRSEILVSARAIAARLTLCGSLLSLKRMANQPSVRIAFFAKRHIPPLTELRDDYGMYYDTGEVDEDRSMVFRGTSRVYKKFRLSYEPEVVGEDCWDEVSEVYKLRSEILVSARAIGNVSGFMNHSCSANVMWQPVEFEKDGQPLVRIAFFAKRHIPPLTEMRYDYVMSYVLERWNYEPELVSEDCWDEASEVYKLRSEILVSARAIDNVSRHIPLLTELRYDYGMSNDTGEVDEDGSRVFRVFTFAVDGSTPIEATPFSYLIPSFADDDNHQMENISSPTSQVVTPLQSPNRCIRCKCKWRHHNNTAETTPLMSLFRQVLQQLLRHNQDHTQELFSVNKINLCAGLIFTMDESTPIEATPFSYLIPSFADDDNHQMENIPSPTYQVVTPLQTVDDETSYTTPPPIPQASPLQPANEENYNTPTPYQPLLLATPLSFVSPSDESNTAAVDPNMGPIKRGRGRPKGSKNSKPSKKKMETSHLNNEVVVPGHNDETHNTSFSPHPPLVATDLQAIVPYDDSNHDSLADDDAAPSSDPLKRGRGRPKGSKSAKTPVKKLKPHNPDEKMVIFCPSFDSMITEEEKENGNEELVDSVRMRFNAVCRRLGHVSCEKAVVTTAFSRFTNLGVRTNKKKRIGPVPGVQPGDIFYFWGEMCLVGLHTQMPAGIDYLLAKDGEAEGLTTSVVTSVGHYNDKTDELHTLVYTGQGGTCKDGKPRNQDLTRGNLALVTSQKRGNEVRVIRGVEDPGDKKGKVYIYDGLYVVTHYWIEKGTTGFDEFKFNLVRKQDQLSGFATWKLAEELMKCGSSNRSRKGFVFEDIALGLEALPVPIVNEIDENDKEWPLDFDYRASSESLSIMIVPYHQSTGCNNTCQGGQSCGDPMCLCIQRNGGELPYDNRILLYRKPMIYECGDSCSCPADCKNRLSQSGLKLRLEVFKTESCGWGLRSWEPIRAGTFICELVGTAKGRDEIEEDDEYVFDTSRVYKRFRWNYEPELVGEDCWDEVSEVYKLRSEILVSARAFGNVSRFMNHSCLANVMWQPVEFEKNGQPLVRIAFFAKRHIPPLTELRYDYGMSYDTGEVDEGGSRVFTGWPNFGSYCDFAKRHIPPLAELTYDYGMSYDTEEVDEDGSMGFRGGTVNRKLWVKTVGTKSLKFINLGRKSWSVRDRLRHIPPLTELRYDYEMSYDTGEVDEDGSRVFRGFTFAIDESTPIEATPFSYLIPSFADDDNHQMENISSPTSQVVHLSKVQTVV >A03p026510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11088058:11088900:1 gene:A03p026510.1_BraROA transcript:A03p026510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPVLQKPPGYRDSNMATPSPPPPLRPQQQPPPMRKTAGMPSSFRPKRKRGSCCRTCCCCVCITLVLIIFLLLVATAVFYLWFDPKLPIFSLASFRLDGFKLSDDPDGASLSATAVARVEMRNPNTKLVFYYGNTAVEMSVGNGNDETGMGETTINGFRQGPKNSTSVKVETSVKNQLLDRGLAKRLSAKFQSKDLVMNVVAKTKVGLGVGGIKIGMLAVNLRCGGVSLNKLDTDSPKCILNTLKWVNIQ >A04p039610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22432084:22436846:-1 gene:A04p039610.1_BraROA transcript:A04p039610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGEADRKKRLFSSISTTEAAVVKKQPFFWPSSEDKLDTAVLQFQNLKLSQKLEAQQVECSILEDRLSKIKDKQLPFGSCLKTVHKSREKLTAEVESCSIRVSDSSSGAHRSVNKEDGSSPAVKDDFINRLLETGATESSSSNTCSNQKEENRENTSSQLTQTLCNLVAATNDLRCLKDELYPTALRTGLDKDLCGQLALNELESEMKSFRVVLDDVLVKFKSLSRELQSHRDIDAKVRADLKRIRGELENEVVELQQCNGELSALRAERDATAGAFFPLLSPGNKLATRDKARDKQRDLQDMESILKDLTVLASGRLQELKDLHEERTKILEKMSVLQNKSNSVTSISSSKACLSLKDQLRKSKEAVFKYMALLEKLQVEKDSIFWREREISIKSELVDVARRSSSVADSRMASLDVEIQKQVDYKSRIKTRLENISKERGRKEIFADMKALVASFPGEMSSMRSQLENYKETAGGIHSLRADVQSLSGVLCRKTKECEALQLRSADYASQLHDLNATVGDLKNSHEELKLFLDMYKRESTDPRDIAEAKEQEYRAWARVQSLKSSLDEQNLELRVKAANEAEAVSQQMLAAAEAEIADLRQKIDDCKRDVVKHSDILKSKHEEHGTYLSEMQAIGSAYEDIVPQNQQLLLQVTERDDYNIKLFLEGITSRQMQDALLIDKYIMDKDIQQASASASFLSKKSLRIEDQMRFCTEQYQRLAEDRYQKSSTHEILQKKRADVVNGLEQARSKLEESHSKVEQSRVDYGALELELEIERFNRRRIEEEVETVKTKVSRLRSLVEGSSAIQKLRQELNEFKEILKCKACNDRSKEVVITKCFHLFCNPCVLKITGTRQRKCPTCSASFGPNDIKPIYI >A10g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6932191:6933638:-1 gene:A10g502510.1_BraROA transcript:A10g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVNRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRGRSPRSA >A03p065610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28978586:28981666:-1 gene:A03p065610.1_BraROA transcript:A03p065610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRKDKDGGFGTDGLVAVAIDKDKSSQNALKWAVDNLLQKGQTILLVHVKPRASSVSTNPSTNPNSSKTSQTNGDSSVVTVEPDGSYKQLFLPFRCLCSRKEIQCKDVLLEDSDVGKALVEYANQVIIEVLVVGASSKGGFLRFNKPTDIPVIITKNAPDFCTVYVITKGKLSTKRAASRTAPSVSPLRIEIQQNSSRPQYPRLPSPATTNTRAERQSFESQRRSLDDQSESSRPPFTRRGLNGRSSYGDLSIPDPDISLFSSGRPSTERHSLSLFDNSDQNRTPPPRLSNFSDIDNGSFESMNYGQGSMDISSPPASSRDSFENERFSSAEPGGEDVEAEMRRLKLELKQTMEMYSSACKEALTSKRKATELQRWKLEEERKYEEAKQAEEAALAIAEKEKAKSIAALEAAEAAQRIAEMESKKRISAEMKALKETEEKKKAVDALAHADVRYRKYSIEEIEDATEYFDEKYKIGEGGYGPVYKCYLDQTPVAVKALHTDAAQGRSQFKQEVEVLSCMRHPHMVLLLGACPEGGCLVYEFMSNGSLEDRLFQQGDSPPLSWQTRFRIAAEIGTVLLYLHQTKPEPLVHRDLKPANILLDRNFVSKVADVGLARLVPPSVANTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMYLQMITGKPPMGLTRYIESALEKGNLKDVLDPSVSDWPVEDTTEFAKLALKCAEIRRKDRPDLSKVILPELNRLRTLAEESSRSAVVINSPGPSPSVSQTSSPKL >A05p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17471036:17473593:1 gene:A05p033430.1_BraROA transcript:A05p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32440) UniProtKB/Swiss-Prot;Acc:Q93Z53] MAATGQISTRMTVDRTLSSSRNAGLSLSPSPQRTLIGVTGRSGIAHRQLSLSVRAINTNEDSRKVKVYAENGAFDLGVMDPSVEPYKFAEPRTSHNDSRRKTKIVCTIGPSSSSREMIWKLAEAGMNVARLNMSHGDHASHQITIDLVKEYNSLFVDKAIAIMLDTKGPEVRSGDVPQPIFLEEGQEFNFTIKRGVSMKDTVSVNYDDFVNDVEVGDILLVDGGMMSLAVKSKTSDLVKCVVIDGGELQSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKNYLKTCSADISVIVKIESADSIKNLPSIISACDGAMVARGDLGAELPIEEVPLLQEEIITRCRNIHKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKFPLKAVNVMHTVALRTEASLPVRTSAIRTTAYKGRMGQMFAFHASIMANTLNTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNQRRIMQRLALYQGVMPIYMEFSDDAEDTYARSLKLLQDENMLKEGQHVTLVQSGAQPIWREESTHLIQVRKIKIG >A10p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21274992:21278978:1 gene:A10p037820.1_BraROA transcript:A10p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL2 [Source:Projected from Arabidopsis thaliana (AT5G05660) UniProtKB/Swiss-Prot;Acc:Q9FFK8] MAGSATTTEFRWKPPPQPQPPTQQHQNISDSDSDSGSDSDNNHQQNRHNDLSNSIFKAYLDCHSSSSPSSLDLSKIQSFLASSSSGAVSCLICLERIKRTDPTWSCTSSCFAVFHLFCIQNWARQCLDVHAALAVTRPSTETEPVWNCPKCRSSYLKSKIPSRYLCYCGKEEDPPADNPWILPHSCGEVCERGLSNNCGHRCLLLCHPGPCASCPKLVKSKCFCGGVEDVRRCGHKLFSCGEVCDKVLDCEIHSCREICHDGECPPCRERAVYRCCCGKVEEEKDCCERVFRCEGSCESKLNCGKHVCERGCHGGECGLCPYQGKRSCPCGKKFYQGLSCDVAAPLCGGTCDKVLGCGYHRCPERCHRGACLETCRIVVTKSCRCGGTKKQVPCRQDVVCERKCQRMRDCGKHPCRRRCCDGQCPPCSEICGKKLKCRNHKCQSPCHRGECAPCPIMVSISCACGQTHFEVPCGTENNQRPPRCRKLCNITPLCRHGQIQKPHKCHYGACPPCRLPCDEEYPCGHKCKLRCHGPRPPPNREFILKPTKKMLNVHVESTPGSPCPRCPELVWRPCVGHHLAAERMMVCSDKTQFACDNLCGNPLPCGNHYCSFTCHPLEIKSSSSDKRSESCEKCELRCQKERSPKCQHPCPRRCHPEDCPPCKTLVKRSCHCGAMVHAFECIEYNTLSEQEQSKARSCRGPCHRKLPNCTHLCPEICHPGQCPSPEKCGKKVVVRCKCLTLKKEWLCKDVQAAHRATGSDPKDVQKNHFGVGLLPCDSNCKSKQQMAESVLQQRNVKEIEEKEEPSGKNATKRRKRRERGQDIKETSRLQKLTVAAKKVVMVVMLIAVLVAVSYYGYKGILWLSDWMNEVEEQRQKSRRYPRI >A02g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12596667:12601523:-1 gene:A02g503800.1_BraROA transcript:A02g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGLLFKTSHHPRTLGPTQLKRTIPPFFLLLPVKSPALVGPPPSSSGSDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYGRRVSFLSTLSREVRETGSEIYDTTRPPPPHAVAHGEERETRSGERERREGVAASREREERRGEERERGERRRGRERRSRRLGLPVSGNSLQGFASRFLMREKEVEACFYRGRGKGDPRVPHRPKRHGRACGRKPLSLLVHSTCVFLRARDALTSHHPRTLGPTQLKRTIPPLFLLLPVKLPALVGPPPSSSGSDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYGRRVSFLSTLSRIIYPILLGTSEVRETGSEIYDTTRPPPPHAVAHGEERETRPGERERREGVAARREREERHGEERERGERRRGRERRSRRLGLPLSGNSLQGFASRFPMREKEVEACFYRGRGKGDPRVF >A01g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5624235:5627096:1 gene:A01g501490.1_BraROA transcript:A01g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEKVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09p044420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35794831:35796160:-1 gene:A09p044420.1_BraROA transcript:A09p044420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRTTLRAENFKTPSLSIDFPFRVLLASLDKQKGTSGIQSACAGAGKILLLHISFALLLCRLSPAFVLFSSLALAFSLSSRMINSAGYVASSEVIVGGGGWFPRPLRRRGDALPIPLKSVEYGTQFCFWLVKGYGGRCWEVILQYCHCLVPSLDGDGCLSWRFDPWVLLLGCYPCNTGGFHCVSWVPDLLFLKIGKRCCDSSLGCGGVPFESSAHASAVFGGEFRSLFVTKGRMCNRAFGCTRFIDREAYEFRQIHREPITLEVTPEVPTSEAR >A09p076380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57141315:57154816:-1 gene:A09p076380.1_BraROA transcript:A09p076380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSWGLSIKMKFMITVFACLVLWSVMLVSFSDLFTHQLLSAIVNVDSKEAKDKLLRWILTADFDEDSCLSRHQSSLYRKPSPHKPSEYLISKLRSYEVLHRRCGPGTRAYKEATKNLIGHDDENYANISVGECRYIVWVASFGLGNRILTLASVFLHALLTDRVVLVDQSKDMSDLFCEPFPGTSWLLPHDFPLMGQIGRYNMGYSRCYGTMLRNHAINSTSVPPHLYLHILHDSRDVDKMFFCPMDQTLIDKVPWLIVKANVYFVPSLWFNPNFQTELIKLFPQKETVFYHLAGYLFHPTNQVWGMVTRSYDAYLSRADQTLGIQVRVFTRRAGYVQHVMDQIVACTQREKLLPELATPVINASRSKKLNAVLVTSLNLEYSNNLKNMYWERPTSTGDVVQVYQPSGERFQQTDKKLHDQKALAEIYLLSLTDNIVISAGSTFGYVAHSLGGLKPWLLYRPFKGRVPDPPCVLAVSMEPCYITSPSHGCENKKRIDLATVFPFVRHCEDTRHDGDKLLGGLLTPDFDERSCVSRYYKSSLYRKPSPYKPSEYLISKLRSYEKLHKRCGPGTQAYKEATKNLIGHNDKNYANETVGECKYIVWVAVYGLGNRILTLASVFLYALLTDRVVLVDQSKDINDLFCEPFPGTSWLLPRDFPLTRQIDGYNKGYSRCYGTMLNNHAISTNSTPPHLYLHILHDSRDEDKMFFCPKDQTLIDKVPWLIVKANVYFVPSLWFNPAFQTELVKLFPRKEAVFHLLAKYIYHPTNEVWGMITSYYKAHLARADERLGIQIRVFSGGAGYLQNVMDQVLSCTQREKLLPQAGTQEVNVTQSQKVKAVLVTSLYPEYSDRLRNMFSKKDSSRGEVIKVYQPSGERYQQTDKKIHDQKALTEMYLLSLTDNVVTSARSTFGYVAHSLGGLKPWLLYQPRDASVPDPPCVRSTSIEPCHLTSPSHGCDADWGTDSGKVLPFVKHCEDRDNDGQKDSRKPRDKLLGGLLTEEFDEESCLSRYHSSLYRKPSPYKPSQYLLSKLRSYEMLHKRCGPGTKAYKEATKNLGHDQNLNTSVGECRYIVWLAVYGLGNRILTLSSLFLYALLTERIILLDQRTDISHLFCEPFPGTSWLLPLDFPLTDQLDSFNKNGSHCYGTMLKNHTIKWTSSETIPSYLCLYLIHDYEDHDKMFFCERDQNLIRQVPWLVFNANLYFIPSLWLIPSYQTELSKLFPQKDTVFHHLGRYLFHPTNQVWGMITRSYNTYLSRADETLGIQVRVLTKPGGYFQHIMNQILACTKGENLLPEVAATESKVTNTSTRPKLISVLVTSLYPEYSENLRTMYWEGPSSTGDMVQVYQPSQEMYQQTDKRLHDQKALAEIYLLSLTDKLVTSNSSTFGYVAQGLGGLKPWILYKPKNQTAPCVKAISMEPCFLRAPLYGCQAKTVKITRFVRPCEDRLTGLKLRAMPFIISGMMKLTIGITTLLLLLCLVLVQQSSNIFNHHKSVFTADLDEDSCLSRYQSYLYRKTSPYKPSQHLISKLRRYEKLHKRCGPGTEAYKSATEKLGHNHVSNSGDECRYVLCVSPLSGLGLGNRMISMVSMFLYALLTERIMLVDQRSDTSDLFCEPFPGTSWFLPLDSPLMDQLDSFNREYSRCYGTMLKNHCINSTTIPSQLYLYLFHDYGDHDQIFQTKLIKLFPQKDTVFHHLSRYLFHPTNQVWGMVTRSYTAYLSRADERLGIQVRVFEEVTSPPPIKHVMDQIVACTQREKLLPEVDTHEQVTTTEKTSKLKAVLVTSLTSGYSESLKSMYWEHPTSTGEVVVVHQPSQEAYQQSDKKLHNKKTLAEMILLSMTDEVMISASSTFGYVAQGMGGLKSWILYEPVNHTVPDPPCGRAISMEPCFHVPPVYGCNGKTGTNTGNMVPFVRHCEDRILGIKLVQDTS >A01g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22124279:22125518:1 gene:A01g507810.1_BraROA transcript:A01g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQGDKKDSNVEMGEATSPALVPTSPAEVPACVAGHLSFREKLVRRQAKKELAQTGSEFPSSSAQVVAPCRGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPSARKEIVLALRAPSAILATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIGGMISECGSETSRLSRELVELQGRWSETEAMLTAVEDSHSAKVLKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLAHRGIQEATDALRAEFQARLAKISASLGSLECIRSRVFALATIEGGMAVVRSFQSETLPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A03p063800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27751312:27751878:1 gene:A03p063800.1_BraROA transcript:A03p063800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVCLCLFISMLVLSDGAEIRRCSVVLNLSKPCTFQECQPLCIQKYKGTGVCLGDNIKNSKCN >A06p026200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14156331:14157284:1 gene:A06p026200.1_BraROA transcript:A06p026200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFCCCTRGWGSNVPSATETTTVQPRSHSQSVVNQSVSTGSRSYYSAHSSPITESYRGGARMMDGDQDTTRRRVEEEHDDGSCQDVYPAVMVD >A01g510830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30135715:30136880:-1 gene:A01g510830.1_BraROA transcript:A01g510830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTM4 [Source:Projected from Arabidopsis thaliana (AT3G12145) UniProtKB/TrEMBL;Acc:A0A178V9V7] MKLLLHLSIFFTILFISLPSSHSSSSNDKNALLQIKKALNNPPLLSSWNPQTDFCTTWTGVECTNGRVTALTISSGEISGQIPAQVGDLLELRTLDFSYLTHLTGNIPHTITKLKYLDLLRLKQTNLSDYIPDFISELKSVTFLDLSFNQFTGPIPGSLSQMPKLDAIQINDNKLTGSIPNSFGSFVGKVPNLYLFNNKLSGKVPESLSKYDFNAVDLSGNSFTGDGSMFFGRNKTTIRVDLSRNMFEFDLSKVKFARSIVSLDLSHNKIFGKFPRELNKLRLEHFNVSYNHLCGKIPSGGLLQTFEPSAFSHNLCLCGTPLKAC >A05p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:113657:116048:1 gene:A05p001190.1_BraROA transcript:A05p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G47760) UniProtKB/Swiss-Prot;Acc:O82244] MEASSSRASRSRNVPKIAFALGLIVADAILVALIIAYVPYTKIDWDAYMSQVSGFLGGERDYGSLKGDTGPLVYPAGFLYVYSAVQNLTGGAVYPAQILFGVLYIANLSIVLFIYLKTPDVVPWWALTLLCLSKRIHSIFVLRLFNDCFAMTLLHASIALFLSHNGAVSIKMNVLLYAPPLLLLLLKAMNIIGVVSALASAALVQILVGLPFLLAYPVSYLANAFDLGRVFIHFWSVNFKFVPERVFVSKEFAVCLLIAHLCLLAAFANYKWCKHEGGIIGFMRSRRFFLTLPSSLSFSDLLISGSLAKEHIVTTMFVGNFIGVVCARSLHYQFYSWYFYSLPYLLWRTSFPTWLRLILFLGIELCWNVYPSTPVSSALLMGLHLTVLLGLWFAPSDYPYIFKPNLRHKKHK >A09p011250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5647421:5648105:-1 gene:A09p011250.1_BraROA transcript:A09p011250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate sulfurtransferase 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66040) UniProtKB/Swiss-Prot;Acc:Q39129] MAEGGNRVPSSVSVIDAHELLQAGHRYLDVRTPEEFSQGHATGAINVPYMNRGNFFAFWMSKNPNFLEQVSSHFGKGDEVLVGCQSGGRSLRATAELLDAGFTGVKDISGGYSAWTQNGLPTQD >A07g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10602369:10602756:1 gene:A07g504960.1_BraROA transcript:A07g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVAMGFVVYIVNKCYTKLVSGQSLIDHPILDEVKIARTRHVMGHLQSQDYVFPGAVMKLYLWDQSATEFCKKFTAYVN >A03p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4350990:4358999:-1 gene:A03p010900.1_BraROA transcript:A03p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDPAAQLETQIPPNIQYCESLCFFLAFCFFLRSRDSFRFCEKVRMRRQGNFADSPASGYGAGQIQNAHSDFQGQLEAFTPERDQPSQPDGQWRWERDGPPNMSRPMPTAVYNESQGVDSSRTYYRGQMDPKSGMETQGSGPRAQPQHHENSKPGYENNRGMQTFEGIEQKFMGDITRLAKDQMGAEDAEIARHRERINTINTRYEEQLAALRSRHAGKREEIMRKESQARQQQYKQQTPGMMDQYHTNAVGAANLRPSGHPQGYIGNAQDPADAPPSRSYGSDRFEAYGERARFQGGDRDHGFESRGQYPGGTVSRVASTSEESPDSAQRIDSFNGQQSVYFVPFRWWKDAQESMPCESVEKREILYTAATTGSSYGGPMKLINNIFNSDILFDLRREGDDALQSGETGEASVSGRDFALVSSDMWLQALKWHHNDKINEKGVKSFSSGGVDRGDVYPVQLRLSVLQETNSLAVKICKKDNSVECFRRACKIFSLDSEQLRIWDISGQTTLFFESDLNNSKDDQQQRDQEMLLELQIYGLSDSIKLKESNKEDGSTQQTNGITNGTTFRFGRSHSLSFLGKAGEAGTLGLTGLQNLGNTCFMNSSLQCLAHTPKLVDFFLGEYIREINLENPLGMKGEIALAFGDLLRSLWAPGASTVAPRTFKAKLGRFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKNKPYVEAKDGDGRPDEEVADEYWRNHMARNDSIIVDVCQGQYKSTLVCPICKKVSVMFDPFMYLSLPLPCTSIRTMDLTVMSADGGSLPVSVTVNVPKFGKFEDLQKALVSACSLPEDETLMVTEVYNNRILRVLEGPTDSLSLIRDGDKLVVYRLKKDANDSPLIVFMHQKLEEQFICGKSSPTWKGFGIPLVSRLCNVENGFDVENMYRKLLSSFKMPTEVFTENLESPTEEEATDKAGTDGTTSAEDKSSTDVKETTESVPDPVLRLYLTDDRGGSIESEILKEKPVNIKSKRLNVLARWPVKELDVYDTCLLSSLPEVSKFETKRPQETVSLYKCLEAFLTEEPLGPDDMYCPGCKEHRQAIKKLDLWRLPEILVIHLKRFSYSRFMKNKLEAFVDFPIDGLDLSSYISYKNGQTTYRYMLYAISNHYGGMGGGHYTAYVHYMIKIRAAVCWGLQTEEEEEL >A09g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15386257:15386961:1 gene:A09g505080.1_BraROA transcript:A09g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGSHGYGKFSLNNTTNPGEANERCQREQRKPITAEDVLWAMSKLGFDDYIEPLTLYLHRYRELEGDRGVNYGAGSVSMTNGMVVKRPNGTMAEYGPYGTMAPYRYHHQNGFAYSGNDPNSKMGGSSSSF >A08p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15823062:15824547:1 gene:A08p024290.1_BraROA transcript:A08p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGEWSNNLGGMYTYATEEADFMNQLLTSYDHPGTGSSSGTASADHQGLCWSLGSHHNHLTIMPEASSFCFSGESSSYSEYYAVAPPEVRENNNGSMDFDMVDVTINTNSYLVGEETSECDVEKYSSGKTRLPLGAVLETHDDVESMLQPEISVTTTDHQKYLTGSKKRSRATSADKNKKAKVGKRGQKSLEMSGDDRNGGEEEEGEKVKKRKTGPMMSRQNSSITLCSEDESHCASQDVGGEDEEDASKALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMYAPIAFNGMDIGLNSSR >A09p008100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4197933:4199580:1 gene:A09p008100.1_BraROA transcript:A09p008100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61670) UniProtKB/Swiss-Prot;Acc:Q9FKF4] MSCLGRILSVSHPPDPYGSRFSVSKLSSPGRNQRLRWRFTALDSDSSSLDSDSSDKFASGFCIIEGPETVQDFAKMQLQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIRNTELGIIDEEQEHELPNFPSFIPFLPPLTAANLRVYYATCFSLIAGIILFGGLLAPTLELKLGIGGTSYKDFIQSLHLPMQLSQVDPIVASFSGGAVGVISALMVVEVNNVKQQEHKRCKYCLGTGYLACARCSSTGSLIISEPVSAIAGGNHSVSTSKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFL >A09g512610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37346132:37347712:1 gene:A09g512610.1_BraROA transcript:A09g512610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPKVTSMGKMNVSANFGGDKLKTVIYQIDEVQNLMIYLKIIQFKDAVSAIIVFKIAEVLTDLSELKQETPGVTASHASSESQYEPQTSQSLSREHIKNVFCKRLEDV >A09p054040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46693159:46696798:-1 gene:A09p054040.1_BraROA transcript:A09p054040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLPINTIITLPTPILLIPPSLHRKPNRFSTVAFRRVSPSLCRCWFSTLKAAMTTTTTAVGGEENQKQSKLSVELKEKIDLTEKERKIFDRLLGTLRFCDLDTQLRVAGGWVRDKLLGRDSDDIDIAIDNMSGSEFLDKLKEYLSSRDEQVQGDTVIERNPDQSKHLETAKMRIYDQWIDFVNLRCEEYTENSRIPTMKFGTAKEDAYRRDLTINSLFYNLNTGSVEDLTERGIDDLKSGRIVTPLPAKATFLDDPLRVLRAIRFGARFGFTLDNELKQAASSEEVRVALGEKISRERIGNEIDLMISGNGPVSAVTHLSDLKLFGVVFALPSSSEPAPLENCGSLCQAYLEAMWSLIQTPGLGKFSGEVRRLALYAALFLPFRKTVYKDNKGKLIPVVNHIFKFSLKRKTSDAETVINIHRTTEKFLSLIASFRLKNDVQLDKLDWATNILEHWKSISLGDPELPATSKIRVLTGFLLRDIKDFWRVALLTSLLLSTVDGMKEDQEIGHLDFQLDKLREIYQTIEETIHELGLDNIWDVKPLVNGREIMQIAELSGGSSLIREWQQKLLTWQLAYPNGSAEECKDWMREIQAKRQRTE >A09g512270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35832415:35833873:-1 gene:A09g512270.1_BraROA transcript:A09g512270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKTVCVTGASGYIASWIVKLLLLRGYTVKASAELLDPAVKGTINVLSTCLKTPSVKRVVLTSSIAAVAFNGMPRTPDTIVDESWFADPEYCRAAKLWYVLSKTLAENAAWKFAKENDMQLVSINAAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFENPDANGRYCLVERVAHYSEVVNILHDLYPDFQLPEKCADEKIFIPTYKVSKEKAESLGVEFVPLEVSIKETVESLQDKGFIRF >A10p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2634603:2637634:-1 gene:A10p017080.1_BraROA transcript:A10p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEETQITPVQVTDDEAALFAMQLASASVLPMVLKTALDLDLLEIMAKNSSQMSPSEIASQLQTKNPEAPIMLDRILRLLTSYSILTCSSRTIPGGDSVERIYGLGPVCKYLTKNEDGVSIAALCLMNQDKVLMESWYHLKDAILDGGIPFNKAYGMSAFEYHGKDLRFNTVFNNGMSNHSTITMKKILETYKGFEGLTSLVDVGGGIGATLKMIVSKYPNLKGINFDLPHVIEEATSHPGIEHVGGDMFVSVPEGDAIFMKWICHDWSDKHCVKFLKNCYEALPEDGKVILAECILPETPDSSLSTKQVVHVDCIMLAHNPGGKERTEKEFERLAKESGFKGIKVACNAFGVYVIELLKKI >SC109g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:127276:135693:-1 gene:SC109g500030.1_BraROA transcript:SC109g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELSVPVSLSLCCQLAVLEIWLRSLPVLCFLCSARAASESTRSSYYSLHMLAVSCSPSRARTITPPSYPRRKQLQHLPKKKTEELWIDHDKFGLSLCSSHDHQHHQRASPSLTLSRSRLSLFVGFRPRYLGIDWSSRLVLNRVQYFISATKAVSSRLVPRKDQNDPLRQSSPPEGCLRDVGSCRDEDVTLACRTPVQALADVIKVRTTPADFIVANHELTTALATPQRVHQRYHSLTSIHANNLLGTHDLNTRLRVGNSSINTIFGSNNHADESKQKDELNREKLVNHDTVEDDEYHVSGEQSKVEEADTKDPPSASIDSSNSESIDIRTSETIDTNICHRSIPSTIPDATTVYVRTGRPKAIRDNNNYEALERKER >A06g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3902393:3902959:-1 gene:A06g501030.1_BraROA transcript:A06g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKIHWVDLDWKDVAEVTETGSGYDNGGHVMDVFMKKLMEITEEVMIVATVETHVVVVASYSSDSGYRSGEVTGSATGAATVTGSAIVVIKQLVVVVSEKTVIVDIKRYYDGAMMMIGWSKMK >A09p074330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56402745:56403756:1 gene:A09p074330.1_BraROA transcript:A09p074330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAEEDKSKGFAEEEVNQHHHHHQYGTFQGVSNYPQPSPPPGVYDSSAPHYVHGYHSVPVYGIAEGRPVTVRQRRLSCCGIGLGWFLFIVGFFLGGIPWYVGMGIMIVGRRVDHREKPGYIACTIAVSYPRVSSLHAILATIAVILGVSKGAED >A08p045130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24803626:24804987:1 gene:A08p045130.1_BraROA transcript:A08p045130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLFRLKLSSRNKNVFKQKSVRLFSTSPCLSLCCVWDDALGLVEGGSYIGKVLFFDPAKNEILQVPDKTIPQELLNSKPVGASQGWGFSSEQSNHNSLHITDLFNPLAASKSNTKMIPLPPLTSMLYGQTKVVWNVAMSSSSPHEEDCVVAIKFFGRQLSMCKPGRDLAWTNRLIPFDRAENSNLMYSKRDQRFYLPAPGGNYLCSWDLHFDNDPKFNELVFPNFPNLPQSTWEDLDSCIREDHWVESPSGQSFLVKRYSRVGSKAPMVMVLVFREEDQVTAKGTRNMCYTQDIGDLCIFLSKNDPFCVVASSCPGLKPNSIYMMDHCVSICELANGTVTCFELPKMMPFSPFWIPPCSV >A02g510320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27419494:27420768:-1 gene:A02g510320.1_BraROA transcript:A02g510320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASWEVGNGESINIWTKPWLSCKAQESPMGPAPLQYLNLTVSDFFLPNSREWNVNMIRLVLPMEEQKILAIKPSVTGAPDKLSWLGAKSGSYTTKKNLPPTGVEGQLAPWILWGIWTARNNLVFNDKLTSATETFSKAISLAREWGTCQTISSPLPAVPPTQAQASPNCIVINSDAAWNETLNVAGLGWVMEGQRTTSFSLPAHHVRTPLAAEALALREAIWKCRELGFTRIRCESDSAVLVKALKEDTFLTGLYGILIDIQALASSFECISFNWISRKKNVEADVLAKQILSVELALMASPTLV >A08g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5809075:5810939:-1 gene:A08g502940.1_BraROA transcript:A08g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRHGRSVKGLGLGTRIENRQDQEQLEAVGDRLGAVIVERLQGRETHLFGYNSHPFCPMAVPTPSLCKYRALGSDLHREFLRGTSAKIARPEEMDTRQRDREKDKEKEMAPGERTPKDRTWTVVKERHREDSGHGKISRMVPQCSDFVYSLFLSLFSHLVALFIAGCVSGDSPFHHVCHSRCVELVSWSFDLFYSELCVSSLACTPCMWLFVCAVILTS >A10p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12755019:12755963:-1 gene:A10p018210.1_BraROA transcript:A10p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:Projected from Arabidopsis thaliana (AT5G55160) UniProtKB/TrEMBL;Acc:F4K3D6] MSATQEEDKKPGEQGGVHINLKVKGQDGNEVFFKIKRSTQLKKLMNAYCDRQSVDLNAIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTGGVANGMYLFCV >A10p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3087733:3088978:-1 gene:A10p016380.1_BraROA transcript:A10p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVMMNERSSNSRLEVFIKFKELNFGWASRLVHFMFSFQLNIKKKYELWSLIVPKPEMVCFWEMMGVDVDVGPSSKQIMAARERYKEWSRDDHMQTRVINYVSKDISEMLPRWDNDEPDVPAKNIVNVMFGVIGSGPKNDVQSKDIKLLGDKMEVVEKKVGINKKGIASNDLQLTV >A05p053750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31075317:31079612:-1 gene:A05p053750.1_BraROA transcript:A05p053750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILETPRKKRSRLRRKKSLLPHYYLIKLKPLGDSESFLQTKLPLGTFPVKASTFPNYSAPTFLLSLQQGVPFKIICPWESEKADLYERQTYYRDKRISHKKGWLVEEVMTLHGDYFNLHLRYQMEEVAASKPNTTYVRVYFGIEWLKSSSRHQKRVTKKEYSSRQTTAEASDVLVNGGLVFQIWNLSSSFSTSISQILSTSIFRKFFEMGSAHSQDDLKIYESDEEEEELVDGDRRLKSPSSLDEVESKLRALKLKYPSTEQAPPNSSVDLLRYINGNTPKAKWVAAENSTSYCFVKSSPEDGGGDSEKEWWVLKVGSKIREKVSNEMRLKAYKDQRVEFVANGGYWALRFTSRGEDLTTAFVSSFNSCLFENNHGVELNEAGLASIFEEDFIGWANPEAADDDSMWEEADDILLKSPQSATPLDLTKAFEEAATTRSEEGIHSLALGALDHSFLVGDSGIQVFKNMRQGVHGTGVSVNFEAAYGSARKNKKALLMRAETNLLLMSQQAPGIHQLDIETGKVISEWKFEKDGVDISMSDITNDGKAAQLDPSGSTFLGLDKNRLCRWDMRDPYGRVQDLATATANNAPIVLTWAQGHQFSRGTRFSCFAATGDGSIVAGSFDGQIRLYSESSAFRRAMTAFPGLGAPVTHVDATYDGKWIVGTTDTYLIVISTLFTDKAGKTKTGFEGSMGNKMAAPRLLKLTPLHAHLAGSNNKFRNAQFSWVTEDGKEERHVVATVGKFSVIWNFQQVKDGSHECYHEQEGLKKCYCYKIVPRNESIVDSRFMNDNSAVSGSPEAPLVIATPMKVSSFSLSSKRGRKQASA >A09p050400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44263217:44264361:-1 gene:A09p050400.1_BraROA transcript:A09p050400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNIQTCLLILLCLFHVRVTQATPFHFRDEVRIPPIWISTPPSRNCGSPIPSVNIRGKNEPCRDPRRHRRPSPPYAAALE >A07p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26269930:26270984:-1 gene:A07p049460.1_BraROA transcript:A07p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TY1 [Source:Projected from Arabidopsis thaliana (AT1G76760) UniProtKB/TrEMBL;Acc:A0A178WE50] MAATSLSPSTILSLNRKGSSTVPALAPRSISAVKFQFPLRRIRTGDLNLSSLSSSTRSTPRPIEAKKQTYNSFDDLLVNSEKPVLVDFYATWCGPCQFMVPILSEVSETLKDKIQVVKIDTEKYPSIANKYKIEALPTLILFKDGEPCDRFEGALAANQLVQWIEDSLEVKT >A05p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27308216:27313032:-1 gene:A05p045720.1_BraROA transcript:A05p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKESKEDSRRAKRKLQDSSNELLLTKPDQVLSFSCPYTKQEDVEASLDSVTVKSISFGDDNECKTPKRSVNFSDKTLEPTILKSTGSGGKMVVEKSVSFKGMQLERMMENGFEIDNAEIARELSVLDPKNPKHEAAIKLQKVYKSFRTRRKLADCAVLIDPRHRYGHNLHFYYNKWLHCQSREPFFYWLDIGEGKEVNLVEKCPRLKLQQQCIKYLGPMERKAYEVVAEEGKFFYKSSGEMLHTSSMEESDSKWIFVLSTSKVLYVGKKKKGTFQHSSFLAGGATVAAGRLVVESGVLKAVWPHSGHYQPTEENFLDFLSFLRENNVDITDVKMSPTDEDEFSLYKQRSTHMRNHSLEEDLEPEKSTILQDKVDPIQEETTPVMANTETPKKMESIKVSEDYDSGDDEEEEEEIFDLDQEPMPSELSSPRGGEETRESEVVKIPEESILKRINSKKESRSFQLGKQLSCKWTTGAGPRIGCVRDYPSELQFQALEQVNLSPRSASVSRLCFSSLSHTQTPQMSPLWRGIYTLNQYIQPFEERLINKFVNDEAIPVINMLNPEENKDAEAICDAAEKWGFLQVINYGVDLDVLDNVKAATHRFFNLPFEEMSRLAKENSLSTNVRFGTSFSPRAEKDYLSHFFVSDTKVSEDYDSGDGEEEEEEFFGLEQEPMPSELSSPRGGEETKESEVVKIPEESILKRISSKKESRYFQLRKQISCKWTTGAGPRIGCVRYYPSETLFLLLV >A09g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20139429:20140373:-1 gene:A09g506840.1_BraROA transcript:A09g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIRDLTETKGRQRTEGEEDIDPELRCEITGKFLKNYMRKVEMCRYWGVDSGKYQRRIQTGTQKTLYQGT >A04p025480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15426225:15429178:-1 gene:A04p025480.1_BraROA transcript:A04p025480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 32 [Source:Projected from Arabidopsis thaliana (AT3G05650) UniProtKB/Swiss-Prot;Acc:Q9M9X0] MKGSWNSRSIIHITLPSLLFFIYNFTAVFTAPARHLCHPVQRDALLKFKNEFEIKKPCLDGIRPKTDSWAVNSDCCDWDGITCDTKSWEVIELDLSRSCLHGRLHSNSSLYKVKNLTTLDLSYNYFSGHISPSIGNFSHLTTLDLSKNYFSGWIPSSVGNLSHLTILDLSGNDFIGELPSFGSMNQMNLLSVEFNKLSGNFPHSLLNLEMLSDLYLSHNQFTGTLPSNMSSLYNLEYFEAWDNSFSGTLSSSLFTIPSLTYVDLRDNQLKGTLEFGNISSPSKLTSLVLGNNNFIGPIPKSVSKLVNLQDLDLSRLNTQGPVDFSVFSNLKLLQLLNISHLNTPTTVDLNAILHSNLKSIFLLDLSGNHVSTTNKSSGVNHHLQMISQLYMSGCGITEFPELLRTQKQLTNLDISNNKIKGHVPGWLWTLPTLNFVDLSYNMFIGFERSTTLGLSMQYLVGSNNNFTGEIPSFICDMRSLITLDLSSNNLNGAIPHCMGNLKSNISFLNLRTLPSNYFVNWSAMSSLKANEDRSKEKYMGDSFGYYHDSMVLTNKGIEMELVRILKIYTALDFSGNKLEGEIPRSIGALKELHVLNLSYNAFTHHIPSSMGNLTALESLDVSQNKLSGEIPQELGSLSYLSYMNFSHNQLVGLVPGGTQFRRQKCSSYEENSGLFGPALDEVCRDIHAPAPQQHEKSKPEEEKEEVVSWVAAAIGFGPGVVFGLTIGYILFSSKQEWFMNAFGRRTLHNAVLKSKLLEEDNESTKPSKLQRKKLAEKAT >SC183g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000052.1:95473:96498:1 gene:SC183g500020.1_BraROA transcript:SC183g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVYGSVHGSVHGHTRISTRTVHGKGQHADMCGQHADMNSVHGSVNGSVHGQPRATRISTRISTRTVHGKGQHAVMCGQHADMSSVHGSVHGSVHGQSTGRASMLIRVVSMRYEFSTRISTTDQSTGKGKHADMCD >A03p011740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4694283:4695239:1 gene:A03p011740.1_BraROA transcript:A03p011740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSDNSPFSMSTMFNALQQIHLSNTRLKRLFNLMTSCEGVGQFKDLISTFDQSQLQMMASLLTSDSEYFMEVVRNKYGSRRVQKLLGVSVDVDALFYDAILQRFFDIMTDKYASYVAIRAVVVFDQVKKHVMYKHVLHYALDIARYQYGCVALNEVIIDADDPLYRNRLLDVVARNALFLSNDLWGNFVVQHVLKLYDLRCTHNVAVSLRGHCVDLSFKKYGSYIVEKLLEAEVSMGMVVVELLKCDRDRLMRLARSEIGNFVVLKALEVTQKMNRVDLFRDLVQKLMPLRHLLLRSHGSNIANILESCSIANRCSN >A01p040100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16013585:16016348:1 gene:A01p040100.1_BraROA transcript:A01p040100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRIQLQRLLETRRTLLRREQAMAYARGVVAGYEIDTIDDLILFADAFGASRLREACVKYKELWKKKHGDGLWMAELAAVKAIAPEDMSLLGSSGIILTNEAAAAPLPLNGTHSGSENKDNQQASAVPNFQPPMGWPNHIPQYYYPPPYQGYPYPMMPGQNQGNMPWPAKGKASKKDESSGSSESDSASDDSASSLEDQGKRQSHTGKKKSRRSSKKNRKKASKTVIIRNINYITPEGRNGDMEGSEFTENGSIKDTVDTAVGFLKENRAFESDEILKSSAEGNENWDSFQNILMRHDDDEHFTHGGSKGLEENNNASGDSIVLTQKHIENGGGGARRFDQFESEESARRVSRTRDSTEECMLLPKRSEMLGDDESKDLYNAAARGGSLVKKSGSGEDWFATSDQRGVKPESNYGSMSFDESCIMMTSGGSDQSKKQEFVDDSFMVRSSSLAGDDVYDSRWRPDMSADIDIDNGQANEKREVSGSWEPNDLCMIPERNSGEFSGNDHSIDFSVEANARLTSNGTGQEKEDKTVSSGEKKNNGKNPEARKSRTPVRTRGEAMSKSVKKPVAASRTMAQKNKFEKEEEMRKRIENLVLERQRRIAERSAATASRKASNRAPKVVQ >A01p011890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5763164:5765862:1 gene:A01p011890.1_BraROA transcript:A01p011890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSADRCKPKKCRQECKKSCPVVKTGKLCIEVTVGSKVAFISEELCIGCGICVKKCPFEAIQIINLPRDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKLKPNLGRFTNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVRGNVGEVLDQKDERNMKAELCADLELNQVIDRDVVNLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVSETPQESAEEIQSYARYKYPTMTKTQGNFRLKVSEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDETEGSDIEIPEFNVSYKPQKISPKFENSVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQQVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSIDCTANCPQSLLTGMNLFLSHLNITFRRDPTNFRPRINKLESTKDREQKSAGSYYYLDD >A09p062630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51163459:51165196:1 gene:A09p062630.1_BraROA transcript:A09p062630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLGRRWTRLSKRNLKPTWKLLNGRGVSGGAETIAKERVDAVVIGAGVVGLAVARELSLRGREVLILDAASSFGTVTSSRNSEVIHAGIYYPPNSLKAKFCVRGRELLYRYCSEHGIVHRKIGKLVVATGSSEIPKLDLLMHLGTLNGVSGLRMLEGFEAMRMEPELRCVKALLSPESGIVDTHSFMLSLVGQAENSHATFSYNTVVLSGRVEEEKMHLFVAETGSVESRCEGVVAELELIPNLVVNSAGLGAQALAKRFHGLGHRFIPSSHYARGCYFTLSGAKSPPFDKLVYPIPEEGGLGVHVTVDLNGLVKFGPDVEWIECRDDTSSFLNKFDYGVNPQRAEKFYPEIRKYYPDLKDGSLEPGYSGIRPKLSGPKQHPVDFIIQGEETHGVPGLVNLFGIESPGLTSSLAIAEHIANKFL >A02p040840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25785711:25787980:-1 gene:A02p040840.1_BraROA transcript:A02p040840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIISKKKSPKRNHHHQRKESSEKRSSSRIDDSSQSKEEQQDRSKFSSTRLSPEIAEIGDTDEDEDKELKREPTSTVVAKEEPEVAAGWPAWLVSVAGEALVGWTPRRASTFEKLEKIGQGTYSSVYKARDLLDNKIVALKRVRFDLSDLESVKFMAREIIVMRRLDHPNVLKLQGLITASASSSLYLVFEYMDHDLVGLASIPGIKFSQPQVKCYMKQLLSGLHHCHSRGVLHRDIKGSNLLIDSNGILKIADFGLATFFDPHNTVPLTSRVVTLWYRPPELLLGACHYGVGVDLWSAGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPTEDYWRKLKLPPSAAFRPALPYGRRVAEMFKDLPTNVLSLLEALLSIDPDRRGSAAKALESEYFNTEPFACDPSSLPKYPPSKEIDAKLRDDAKRQEKNERQDSQTRQSHERKLIPPLRANPSLTAAMENPYLRTCVPGHSARQMQITKDMMTSNNPTSGRVSHSGPMMKNRNHSRFTYMKDNAAAPSSRANLAGQSGGSRVDTTGSSDQTIMDQQRRNLRAFNRADTMDNSKRQIKIPNDPSWYDAGDNKMYMSGPLLAQPRKVDQMLEEHDRQLQEFTRQKAKHSRS >A08p009830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8025293:8026810:1 gene:A08p009830.1_BraROA transcript:A08p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQSVHVSHSVQNFFGINIIQRMLFTMASSSSHRLVFFCLACLLFTFSYNFVAGESDKVKLNLYYESLCPYCQSFIADGLVKIFDSDLHTITDLKLVPFGNAHVSDKLTVTCQHGEEECKLNAIEACAIRTWPDPNVHYWFIRCIENDTTNWESSCFTKYGGKKAIKDCYTSDLSKTLILGYANQTLSLKPKHMYVPWVTVNGKPQYEHLDDFVAQVCKAYKGKVSLPKICHSSALSKSPQSKVVKLQVSYAEEVFNHQAKNLN >A01p059680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33999517:34001709:-1 gene:A01p059680.1_BraROA transcript:A01p059680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIVKDEVAGSDGGIQESRKVSHELESANVEMREMKEENEKLKVMLEHIESDYKSLRLRYLNKVQQKSSAKPVPDNKNDHLNAEFVSSSDQEREFVSLSLGKRSSNSPSNSIANKEEKTKLICLGAKEEEELTNAGLTLGPAVGLAKENRAISSLEYSSSEEAPAMNKVTGKRSSPSGGADDNSQQNLAKRARVCVRARCDTLTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRRQVQRCVDDMSILITTYEGTHNHPLPLTATAMASTTAAAASMLLSGSSTSGLDTEMIKNGMNFKLYDNNSRLINKPTVLSPLHPTVTLDLTIPMPKPSSSPYSLNFNTFSSLQRFPSTSLNFSASSSSSDSTSTINIPTIWGSGYASCSPVTDNKVHTGRPSFLNIGKNLPQNPSLTETLTKGLTSDPSFHSVIAQAISTMVGSGNGDQQSARTLNSLSITKQQAAADSNNKNKGCEGYFSSLLMSNMANPGMLSTLNLPSSELPFTLFTTSSSSSKPSFSNEEKKRL >A01p055460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31146545:31147660:1 gene:A01p055460.1_BraROA transcript:A01p055460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPSNCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVSIHFPPDYPFKPPKVSFKTRVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKTKYESTARSWTQKYAMG >A01p010930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5330162:5331745:1 gene:A01p010930.1_BraROA transcript:A01p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIHRSDPLSRIHPEPLDPPDFFDHLPDSILLLVFNRIGDVKALGRCGVVSKRFHSLVPQVENVVVRVDCVISDDDDPSSLSPDKPRSSASPFSAIFRLIFKPLHALTQLLSTKRSSSSSSSPSPSSSLSITGGGEDGEIEQGGVTHHSPTQVLKNFNEIRLLRIELPAGELGIDDGVLLKWRAEFGSTLENCVILGASSVATDPPPSDGDSNAAAVEDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDTDGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPSLELPDGTVLKGATLVAIRPSESKKEVCDVSWVSSAFDGTYGVAAKMLVKRRTYCLEMNSWILKAGQVLNRVHKISFVILRFTIGALYRINDSVLLVPAAQTPETASAGCFFFIFSSHSNRARASK >A04p015190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9465307:9466038:1 gene:A04p015190.1_BraROA transcript:A04p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLISTPSMEPLLFSVDPMSLILSQNSDTHQLKLLLDSFCGFERGPRYEEYSRLRESKLRMKRDFQRFLDEEEEAEAEPKKKQVRFEGDTVISREEEVVVTPEKIKKQTRFGFSPISKTRKAAPTSSLAQSVPDFSAVIRKENRRPVNYNTTPPPPSSKSRNGGVLTGSASSSCIAVRGSKSANAGEKKSKGLMGMARKSYANVEDLKKISMAAASAINGVGVGGRKAVGGGRSILGYRQVY >A05p029130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000151.1:682:11327:-1 gene:A05p029130.1_BraROA transcript:A05p029130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRVCPCVSVSTHRTSVAVHQHPHDVRGCLWLSISTHISTLVLGLSTLTLPLDCLGDFGPLRLSVQYTQDVSVCPSAHTGRPWLFVCVRQDTIDVCGCPSVHISERCFLGPQNDDTSRGLFGTFVAVCGCPSEHTGRPCVSVSTHRTSVAVHQYTYQHVGPWTPHADPSRGHFGPPWAASLCSVSPTIDICWLSISTHIRNVFLGLSTLTLSVGLLGDLGPRGAVRGVCMVVSVSTHRTSVAVHQYTYQHVGPWIQHADPSHGLFGTLTLPVDSSGEFGSRGLSVKYTQDVCGCQPAHKGRSWLSVSTHRTSVAVRVCPCVSVSTHRTSMAVHQYTYQHVVPWTQLADPSRGLFRTSMGVQDVSVCLSAHTGRPWLSVSTHMTSVAVHVCPCVSVSTHRTFVAVHQYTYQHAGPTTQHAGPSSGLFGTQRTSVGVRQHTQDVPVCPSAHTGRMWLFVRFCVCPCVSVSTHRTSVAVRVCLLAHTGRPWLSISTHISTLVLRLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPSAHTGRPWVSISTHRTSVCVCQHTKDVCGCPSAHTGRPRVSVSTHRTYVAFRACLCVSVCVRQHTQDVRGCPSVHISARWSFDSARWPFRWTVWVILAHVGCLFSTHRTSVGVRQHTEDVSGCPSAHTERQWLSGCVCVCPSAHTGRLWLSVAVRQHPHGVRGCPCVSVCVRVCVSAHTGLPLLSISTHISTLVLGLSTLTLPLDCLGDFRPLGLSVQYTQDVRGCPSAHTGRQCVSVSTHTTSMAVRHADLPVDCSGDFGPHGVFVQYTQDHTQDVRSCPWLPVSTHRTSVAVRVCLWVSASTHMTSVAVHQYTYQHAGPLSQNAGPSRGLFGPWLSASTHRTSVCICQHTQDIRVCPCVSVSTHRTSVAVHQYTDQHAGLWTQHAGPSRGLFGTSVAVRVCLCVFVCFRQHTHDVCGCPSVNISACWSLDSARWPFPWTFLGYFGPRWLSVQYTQDVRGCPPAHTGRPWLSMAVRQHTHDVRGCPCVSVCVRQHTQDVCGCPSAHTGPHTGRPWLSNSTHITTMVLGLSTLALPVDCLVDFGPRGQSVQYTQDVRGCPPAHPRTSVAVRQRTQDVRGCTCVSVCVRQHTQDVRGCPSVHISARWSFDSARWPFPWTVWVILAHVGYLFSTHRTSVGVRQHTEDVSGCPSAHTGRQWLSGCVCVCPSAHTGRLWLSVSTHMTSVAVRVCPCVSVSTHRTSVAIHQYTYQHVGPWTQHADPSLGLTQRTSVGVRQHTLNVCGGPGVSVCVRQYTQDVRGSHTGRSWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSFSTHRRRPWVSTSTHMTLVAVCQHTHDLGTQGVCGCPSVPYQARASFAYPALWPFPWNVWVILAHVGCPVRTHGTSCGGPSAQHAVSGVCGCPISTQGRSGCRVCLSANTVRLGCSVCVCVVRVCLWLSCLCCPCVSLSSKHLNVHGGRCVSVVSFVITHSAVCWLSISTHISTLVLRTFFSTLALSLWTVWVILAHRGRLFSKTQDGRGCPSEPTEDRQWPVRPIHTWTSVAVGCCLCVSLSNHTDAQTGLPLLVPSVHISARWSLGTPAPLTLPLGRLVIWPLGLSVSTHRTYCGLSVPHTGRQCVSRQHNTGRPWLFLCVRQDTIAYVAVHQYTYHNVVSLGLSPLTFPWLLGVILAHVGAGSVPVHTGTSVGVSSTHRTSVAVRSTPQDVSVAVRVRPSVAFPISYTYQQHWFLGTPHADPPVEVRVNLWSTWGCLSVHTGSPWVSTPTHSGRSVAVLCRQGSPWLSISTHISTRVLRLSTLALPVDCLGDFGPRGLSVQYTRDVLHTGRPWVSVSTQRTSVAVRQHTLDVSGCPAHTGLPLLSISTHISTLVLGLSTLTLPLDCLGDFGPLGLSVQYTQDVCGCPSAHTGRQCVSVSTHRTSMAVRVCPSGQNRRMWLSISTHIRTLFLGLSTLTFPWTARVILAHVGCLFSTHRTSVGVIQHTHNVCGCPSAHTGRQWLSVCVHPWLSISTHISTLVLGLSKLTLPVECSGDYGPLGLSVQYTQDVRGCPPPHTGRPWLSLAVRQQTHDVRGCPCVSACVCQQTQDVRGCPSVHISARGSFDSARWPFPWTVWVILALVGLHTGRPWVSVSTQRTSVAVRQHTLDVSGCPAHTGLPVAFHQYTYSTLVLGLSTLTLPLDCLGDFGPLGLSVQYTQDVCGCPSAHTGRQCVSVSTHRTSMAVRVCPSGQNRRMWLSISTHIRTLFLGLSTLTFPWTARVILAHVGCLFSTHRTSVGVIQHTHNVCGCPSAHTGRQWLSVCVHPWLSISTHISTLVLGLSKLTLPVECSGDYGPLGLSVQYTQDVRGCPPPHTGRPWLSLAVRQQTHDVRGCPCVSACVCQQTQDVRGCPSVHISARGSFDSARWPFPWTVWVILALVGCLFSTHGTSVGVRQHTQDVSGCLWLSVSTHRTSGASVCVCRTHRPLGCLCCCPSVYCGLWSPCVVCQTPIDSVAVPVCLCPCLFLAHPETSVAVHQYTYQHAGPSTQHAGPSRGLFG >A06g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18053376:18056108:1 gene:A06g506450.1_BraROA transcript:A06g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQERLPVQVELQQEPQIMMRVPRALVEFVSRKYSVLLPMFSKGRKHGGDERERSRQARGSSEIEDTPVTSCEGSDYLRCISEIESVLVLPELYIESSDR >A06p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4031284:4031902:-1 gene:A06p010880.1_BraROA transcript:A06p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVKKKADLKKVTLENQKLREEMLTLTWNNQASLAMNDEIYLAKLLAENQKQSEEVLALTKRNNALLRKQSELYEKAIEVEKSKEAPYLSSQSIDARRETYR >A08p042920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24003644:24005630:-1 gene:A08p042920.1_BraROA transcript:A08p042920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGYWLMVVGSLRLASVWFGFFNIWALRLAVFSQTTMSEVHGRTFGVWTLLTCTLCFLCAFNPENKPLYLATFLSFIYALGHFLTEYLFYHTMTIANLSTVAFFAGTSIVWMLWEWSSLDKPPSKLA >A06p011120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3942491:3943885:-1 gene:A06p011120.1_BraROA transcript:A06p011120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASPNRRTILEKSKSVREKETKQTSNFFAKHLKRIYPITLQRSTSSSFSLSSISLSLSQNSTDSSATDSTSTLEQRISLALGLISSPRRRETFVPKPIPRQQEQRLHEDFNSDEPKRCNWITKKSDEVYVTFHDQQWGVPVYDDNLLFEYLAMSGMLMDYNWTEILKRKELIREAFCEFDPNLVANMGEKEITEIASNKAIMLQESRVRHGDCISLAERPWRHI >A06p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6188482:6192634:1 gene:A06p013680.1_BraROA transcript:A06p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRPQFPFQQQGMEPGYVNDSIPQAFIPEQTVLSQPPNLNASDVRPGLHYSIQTGEEFSLEFLRDRVISQRSANPNAAGDMVANGMMDYERGNFPLHEFGNKLGHIQSAPEALLCQDRSLGNFHGYASSSSASGSLTAKVKILCSFGGRILPRPGDSKLRYVGGETHIISIRKDVSWQELRQKVLEIYYRTHVVKYQLPGEDLDALVSVSCDEDLQNMMEEYNEMENRGGSQRLRMFLFSVGDLDGSLLGVNQSDVDSEFQYVVAVNDMDIGSRSNSTLNRHDSLSANSLAEMDVRNSEGINSVSPSQLTGVDLQQSSIQYSESAPPSSMLQYPPQSIPHSAAYQYPPNSTRQYAQVIPPSPSLQYPQSIPPGSTLQYPQSISSGSYGMYPQYYEERQQYPMYHQQGSSNYSISMPFQGQQPNHQQQNAAVQAGELNISPEMKVCENIEPENRQNTPPQGDNIEGKNHCVVREAPLSPTVHSQEPAHMLPPRRDPRQNNNNPVKPSAYREAVSPEQVPVSGEDDQLSTSSGTCGLAQTDSESNLIDLDYPEPLPPTRRVYGSERIPREQLEMLNRLSKSDDSQFLMSHSQAITGQQDPAKQGEGISHEDSHIVNETISEKVGVSGGDIDSEGPNSNIDTSTNHVIPENRASSSVLIDINDRFPQDFLSEIFAKALSEDMPPGANAYQHDGAGVSLNVENHDPKNWSYFRKLAEEEFSERDVPPGFPSDMEDSGVNTMLHHVAPLTRDGVTTENRGDPQLNVGQDHEGAQMKVTENEEFGAMVENLRTPDCEHEDDEKTETRNAQLPPLGSPLADYDASGLQIIMNDDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTGEFWGEAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVDGSLRHVLVRKDRHLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSDWRILMEECWAPNPTARPSFTEIAGRLRVMTTAATSNQSKPPAHNKASK >A02p011810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5161306:5161646:1 gene:A02p011810.1_BraROA transcript:A02p011810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLRDPEKGWRFLYTDSEDDMMVFGNDPWHEFCNVVLKIHLYTKEEVANANGDSQSCLAALMMEASNSSSVSQPDSSPTVTRV >A04p030270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17958548:17959115:1 gene:A04p030270.1_BraROA transcript:A04p030270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSQSQSAGAYQTPPASTSPYAAPPPAGYPTNDTSHATMAPVETKSKGDGFWKGWYSCRRVLLLRPGRLLLISKKFNGLYAVSSRANDLYLALLYHVWDLSS >A02g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12102892:12103968:-1 gene:A02g503620.1_BraROA transcript:A02g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKISKIGRKFRQRVSTESPAPESPGPLNPILSGKSKAIDAVQPSLPDISPDHEVSFVLSLYPNGYSVVNSSEVCPESLYLFVEAVQQASFRDAPKALHPYGMAAETLLSAIEAGRLPTDILEDIPCKFVDGAVICE >A02g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25078895:25083871:-1 gene:A02g509400.1_BraROA transcript:A02g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHTRHTMHTEEYDEVYEEERTTEYNAILDEEDRLIHHSSWKRNAPSMERKVSTSIDTHPHQTGRKRASTDISYFPLIDTGVDRIREGDYSIGSWADDHHQESYVVETKFMNQEQMNFMRVSHIRNFLTCKNVVKQINNKQKLLGERTRFSHSIDKANRPSIDNKPPSSINILPQPSSNGPRWLRKSNRWPSTASIQREHCKYSSTNGAYNLFMQQRTVPAHQQKTLSYEKALRKKESRCPTKSSKQVATQRLSDRPARSLRSDQARAKARSLRSDRAIVPLSRYVATELAKLGRYVRRSLRRLALCSYVRSYVRPSSAKLGRYVSDRATQARSLRSDRAIVPLGRYVATELSQARSLRSDQAIVPLGRYVATELSQARSLRSDRASARSLGSDRAIVPFGRYVATELSQARSLRSDRAIVPLGRYVATELKPKLGRYVATEHSSHSVAT >A04g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23163824:23168495:-1 gene:A04g508630.1_BraROA transcript:A04g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDVFIRINTVGYKTDRNVLLRGALIAPILWALSPKGRLTGLKYSEVELTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPPVHLRDMASGNRLSREEKGKDIATSPSPARDADGGPLEDFDIIHRDALRDTENMSLSQRLLVADAHRQFREEIEENVEDEDREASGSEAPSLVVRPRRRARRRGRIDQSGRLPAPRSVPFYEVDCRPVIYHPEKFFETAQLIATHSHLRWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVSTDGDVNPEPPAQSSPKRKANRAKAKNRSVPLEEAQPSADVSEVAAKKKKKKESKKRSREETSVGAMETPTAAGNDGAERNDPADSTRGSPEERPKKKLKKKSADGDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGGGIHFPDHVEFLYDEATPLVLNPLPCAELTRQIRGGTKELPPVDDLYFKREYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRFIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITFREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVIFISLIFANRQVMNRCPKVDNKELSIRVKGPSVRFLSDN >A07p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6775520:6776642:-1 gene:A07p010840.1_BraROA transcript:A07p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLRTQELYAIVFATRYLDIFTSFVSVYNTFMKLVFLGSSFSIVWYMRYHKAVHRTYDREQDTFRHWFLVLPCLVLALLIHEKFTFLEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYIFLLGGYRGLYILNWIYRYFTEPHFVHWITWIAGLVQTLLYADFFYYYFLSWKNNKKLQLPA >A07g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11067318:11071060:1 gene:A07g505110.1_BraROA transcript:A07g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFEFWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPRSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKCVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKSRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWFAIWISIPKRHIVVWDSIVSHISPEELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A02g510170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27259304:27259848:1 gene:A02g510170.1_BraROA transcript:A02g510170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNSSSKVFGVMIWMRKPTLMKRADAAVSREPEGCKVLGKRLSLCNSLPSHMGYSIERLPLLLCEVGSPYYCHFWEIGEGILLEKRLGYLHSTFFLRRKGRDPPASSLSRATGRFLFSPSRFFKVVTTEDN >A01p013670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6670732:6671937:1 gene:A01p013670.1_BraROA transcript:A01p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEENSAPIPNDLIVQIFSRLPAESVARCRCLSKLWGSILHRPFFTELFLTRSWARPRLLFALKREDAWSFYSLPQRQHDLHDKASPPPDFHMKFPKDIISPEYHGFTSGLIYFSRKSITLKSQEETVICEPRTGQYATVPKLLRYGKSFLGYDPIGKQFKVLFMDHTDCVTHCSDLHRVLTLGNGIMEWRKIKCSCSLFHHVMDDEGICINGVLYFASKQRYYFPCDVKIVCFDVRSEKFKSIDVEWDSSWYVKLVNYKGKVGVITWKGLCELSISVLEDVEKQEWSKYVYTLPENSILDSSKYSIAGVTARGEIVFSRNFTSGSFYVVYFSLESNTLQSVEIQGLEKDHGVCAYVDLVEDLNVNDAKYLKSSPRLNVITVRPKPQERNSAPSVKNKP >A02g511960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32297245:32298104:-1 gene:A02g511960.1_BraROA transcript:A02g511960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTGSNGFKLKFQTRESGYYTYGIVRTTMHQDCVRGNPVMSYEANSSCISSVADIMNALQVNRRGNCCSCSESKLSEEVASSSNKKNWFFSAVHDEPVVGDQESDVSDSEIFRVKRRLSLKLESRTVFLATRDSEHHQEHKRLKKWHRYHKGRYSLSSISSRKETNEQQHSDVRMKNENHFVGGFKRLKVKQLIRP >A10p023420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15276710:15279017:1 gene:A10p023420.1_BraROA transcript:A10p023420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDLSIPYSYPSPSGGKELIVPNKLRVKLATKAMELGYVGIAHSHSIEGAMSEKDSCTIPLLTLGSLVKAAPRLASSLAFHRGLLNVPRSTPFRQYTRVTVKLESKAQCLALNSGNPVLKSYDIVAVRPVNQYAFDQACAKAEVDVISIDFSNLQFRLMHPLVKAAVKRGVYFEIKYSLLLSDAEKRRQVISNAKLLVDWTKGKNLIISSGAPSENKGPPSVTELRGPNDVINLMSLLGLSSERARAAISVNCRNMIAKVLKKKRFHKEAVKVELLSSSETFSLEQPLSGDCMKWDPLSSGEGDLLLEDLAKAFEATTRPPVAHKAFDFTSDRNGLPSRGFRMGNILGTEPLTQSPAAKMIDAPVQCKNQVSEVCMADSVSCDDNLGENETISQIDMLVSEDDNKVEPTTNVPLSSQGQGVLMQDEAAATFSLIRCTESDAASDVNMQTELDYEDKSMSLSKSDHGIQQSHVENLNMETIGVDDEFPVDGANKEGAGFCHANIEQPTSSGVDEMKIDGSLEANHNEYMEVTVEDVTHETGDNNIILPNLSSSETTELLRESSNSLISPEAVGQDHDQLSRLEFSETELGEEPSVQYDITMEDKKETETNQQAHVKYNARNSGKARAKRSWVRLTILQPLKPFLLQRFKRISKRRKHIRA >A02g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1779284:1780089:1 gene:A02g500620.1_BraROA transcript:A02g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDHAFYAISGSDDEYDEYGVYQTDIDTSCSPQGNQYYEETSIASINEIGVAEAINIVTSIAFLIAFAILRIQPVNDIAYFPKWYLKGLRTSSIQTGGFGSKFINLDFMSYV >A10p020510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13930031:13931996:1 gene:A10p020510.1_BraROA transcript:A10p020510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) UniProtKB/Swiss-Prot;Acc:Q9LU72] MSCATVTVNWKHTIPYLTLIISLLYSIETLISHKLHVNHSQIRLKRSPNLPLRFRDDGTFKILQVADMHFGMGSITRCRDVADAEYGYCSDLNTTRFLRRMIEAERPDLIAFTGDNIFGSSTTDAAESLLQAIGPAIEYGIPWAAILGNHDQESTMNRAELMTFLSLMDFSLSQINPPLEDGAERGALRSIDGFGNYRLRVYGAPGSVLSNSTVFDLFFLDSGDRDTVQGRRTYGWIKDSQLSWLQDASKQGLKQNMGNVPSNPDPALAFFHIPIPEVRDLWYTPFIGQFQEGVACSIVQSGVLNTFLSMGNVKAAFIGHDHVNDFCGNLKGVWFCYGGGFGYHAYGRRNWHRRARLIEAKLGKGKDTWTGVQRIKTWKRLDDGDLSKIDEQVLWEASYSFLK >A02p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33187195:33190049:1 gene:A02p054670.1_BraROA transcript:A02p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQETSLGVRDVNMGNYLWVYPDPLIMGWVGYKLGWVICGLGWAGLFYPKLTSLTGVTRVLREEGPSSKIVTIAGYSVIKGRGEPYESSVFEAAGYKWIEETETIPYGLEVNVDLKLFVHVPKLEKYLTITDGAVKRFNAEKKEWGFEQLIDLASFENTNEGYIVQDTCSFGAEIFIVKPAKVQEKVTFISNPPRNVFTWEIPHFSDMEDKFYYSDDFLVGDRYWRLGFNPKGGSALPIYLDAQGFRPDAVATNTWGAVNLRLKNQRSTNHRERYSAAWYPIRSGYGVGVNSIISLADLHDASKGYLVNDAIIFEAEMVKVTVTNIVSV >A03p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8714668:8716025:1 gene:A03p021100.1_BraROA transcript:A03p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDNNREARRGDDHEESSPLLQGNDTKINSKVDTKTAPIVPPPAAEEYGWTADGLPVSDGSVIGEPIGRNQWNSGLFSCFGRNDEFCSSDLEVCLLGSVAPCVLYGTNAERLGSAPGTFSNHCLTYSGLYFIGKSLFGWNCLAPWFSYSSRSAIRRKFNLEGSFEAMNRSCGCCGSCIEDEMQREHMETTCDFVTHVLCHTCALCQEGRELRRKVLHPGFNAQSTVVVLPPSEQTMGRK >A10p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16403064:16404145:-1 gene:A10p025580.1_BraROA transcript:A10p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVKVEEEPRAPVEGGCGVGGEEIGGNGTVHGSIAGSVAGEGAEKETHEARSLEVPSSEPDASTTRPNRLTIFFGGKVRVFDGIPADKIQEIIRIAAAAAKSIETKNSANTSPVASPALNRAPSLSSTSNAAASPAAQSFPIHPISFCRSAADLPIARRHSLQRFLEKRRDRLVSKNPYPASDKKTDVPRDDASIKEEYPTA >A08p024670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16014644:16020674:-1 gene:A08p024670.1_BraROA transcript:A08p024670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKGFLIFLMLCLCVSSEESMPYDYLKVPASEFVSSINTIVEVIRQMSSILSQFADFSGDRRLQNAVSDCLDLLDVSADELSWSASASENPHGKGNGTGNVRSDTQTWLSAALSNQDTCKEGLDGTSGLVKTLIAGSLDQLYSMLRELLPLVQADQKPKPISKPGPIAKGPKAPPGRGLRSNTDHDESPRFPDWLRSNDRKLLETNGVSYDVSVALDGTGNFTKIMDAIKAAPEYSSKRFVIYIKKGLYLENVEIKKKKWNLVMLGDGIDVTVISGNRNFVDGWTTFRSATFAVSGKGFLARDITFQNTAGPEKHQAVALRSDSDLSVFYRCAMRGFQDTLYTHTMRQFYRECTITGTVDFIFGDGTVVFQNCHILARRGLPNQKNTITAQGRKDPNQPSGFSIQFSNISADADLVPYLNTTRTYLGRPWKEYSRTIFMRNNMSNVVRPEGWLEWNADFALSTLFYGEFLNYGPGSGLSSRVKWPGFHVLNNSNQANNFTVTQFIKGDLWLPSTGVTFTAGLDI >A03p020630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8472674:8473795:1 gene:A03p020630.1_BraROA transcript:A03p020630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKLDYSSRKYLESGRAKLRRFLNKNLPLHRAPIIESFRLDFIASQFKPVTIRSWLLTAVSRNLREVEVSHGCNNKVLNMLPSSLYTCKSLVSVKLNGRRLLVDVPRMVSLPCLKTLLLQDVEYKNEDSLQRLLSNCPVLEDLVVEGCKGDNMVKFIVIVPSLQRLTVNILEDLDEFVMDTPSLKYFKLDYYNYKNHHCLIERMPKLEEAYVELSFNHVYIDRFIGSITFVKRLTLCIDDVYGDGFVFDQLEHLQLCTCDEQHTSGAVIVRLLKDSPNLRVLDLFKMEYHNPIGLYTWNQPSTVPECILSSLQTFKCSGYLGRPRERDLVIYILKNANNLKTATIWFPTVDMKKELVLSSRASNACQLVFD >A09p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5598896:5599519:-1 gene:A09p011090.1_BraROA transcript:A09p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQNRPGAQATDEYGNPIQQLDEYGNPIGRGATGGGGYGTGGGYGGGATGGTYGTGGEGYGAGTGALGAGVGGRHHGQEQLHKESGGGGLGGMLHRSGSGSSSSSEDDGQGGRRKKKGITDKIKEKLPGHHDQSGQSQGMGMGTTTGYDEGGYAGERHEKKGMMEKIKEKLPGGGHH >A08p044760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24686550:24687223:-1 gene:A08p044760.1_BraROA transcript:A08p044760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETEREEKNRRRRMRGVGAEKKITLEEYVNFINSAKSIDFTCSYLNQILHIHGFRKLHKSNKKTVGEAVDAVDLLDLSRSTLNQISVASSASLTLDQVISDIEALKWQECCLTSLQIISSDEVTPAVASPKQQSNKRKIGNQKKKKKMRRASDL >A09p063560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51568579:51570226:-1 gene:A09p063560.1_BraROA transcript:A09p063560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVHWGNTNSKHKNSTTAYWGDNWDEISQERRGDHPCFDPSKDLVVPAWKVPDPHSMRVNYWERPREKRKTLFYFNGNLGPAYEKGRPEDSYSMGIRQKLAEEFGSSPNKEGKLGKQHADDVIVTPSRSDNYHDDIASSIFCGVFPGDGWSGRMEDSILQGCVPVIIQDGIYLPYENILNYESFAVRVSEDDIPNLINTLRGFNETEIQFRLANVKKLWQRFLFRDSILLEAERQKASYGHEEDWAVQFSKLKHDDVFATFIQTLHYKLHNDPWRREQVVNRTKDYGLPRECLHKTS >A07p050690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27008290:27012621:1 gene:A07p050690.1_BraROA transcript:A07p050690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEPMKVVFINTQYVETDARSFKNVVQELTGKDAIVAAGPFECPSTSDDRCYGGGNRIGEDSRRPYDGGGAETTTEFDRFFKEMPPMEELYKLWSEKRNCFFIVSALRVGERLEHFVREMGNKLVGRKRQVVEERYTKPQGLYVNTDVDIKKLRKLIVESKLAPCYPGDDESCHELEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSARPTQCPFCKTPNYAVEYRGVKTKEEKGMEQVEEQRVIEAKIRMRQKEMEDDEEKMQKRMESSCSSSTSAVTGEMEYGSAASAISYNDPMEDAETSSSQNVSVARQRSRRPRGNRDDEVEADLEELMVMEAIWLSMQETGTQRDSGGGEVTPFRQYVSEEDHSYAEPATPSSSSGGLPCAISALAEQRQQMSFHNFPLPPPPPLVIAPESFEEQMMMAMAVSLAEVHATTTTSAPTEVTWQ >A10p032980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19353746:19357853:1 gene:A10p032980.1_BraROA transcript:A10p032980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K(+) efflux antiporter 6 [Source:Projected from Arabidopsis thaliana (AT5G11800) UniProtKB/Swiss-Prot;Acc:B5X0N6] MVEGGGRRRRSFLSFELALLSLFLSLSIASPRALPDSDLNLLEETVGNSSSEAASLNASSLGKPKEGSFADIIDRALEKEFNESDQTEVADPGSFNNSVAGQQAVLETVARVKTTKKNETKEDKHFQLHDVFNLDNDNRAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLNLISEMVQVETVAQFGVVFLLFALGLEFSTAKLRVVRSVAVLGGLLQILLFMFLCGITVSLCGGKRSEGVFVGAFLSMSSTAVVLKFLMEKNSTNSLHGQVTIGTLILQDCAVGLLFALLPVLGGNSGIVEGMLSMAKVAVVLLSFLAVLSILSRTCIPWLLKLMVSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAEHTLEQIEPIRNLFAALFLASIGMLINVHFLWTHVDILLASVILVIIIKTTIVTTVVKGFGYNNKTALLVGLSLAQIGEFAFVLLSRASNLHLIEGKLYLLLLGTTALSLVTTPLVFKVIPAVVHLGVLLRWFSPDSSIEKGEIVRSESGKQRMILMSRQSHNS >A10p015380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3649388:3649775:-1 gene:A10p015380.1_BraROA transcript:A10p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLLVPLPEAFFRSTIQTIVEWRLSLMPSEVVSVWSCGRSVLGFLVSVFVLGWVVGSCRSRASWFERIYLSVARGNRLFYSDLSCSLWSLLVSLLSWF >A04p018640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11185378:11186046:1 gene:A04p018640.1_BraROA transcript:A04p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGKQHGMVRTYRILPPLLNPRPEAKLVNPLTCRPTAGLFTKVSSKPTNHSKFTGKCGQARCLECHMHPITKSKVKSKGSSKVRSNDVTYKMLTWQVASGGHRPGLKLSGFSATGLLDLMSDDYGYDHDYESDEEEEEYKGCVVEEIVNIQSDDDDDGEKGEDVSHDDSEKELYGSPVADDDDDDDGRMSFCDVGVMMMMMDHVEEFDEEGWCLVEEMMT >A10p033610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19636827:19637645:-1 gene:A10p033610.1_BraROA transcript:A10p033610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLRYLNQWRGTAKEALEQVSIVAKFLCLIHVTDRYIISSTHVQGPSMLPTLNLTGDVILAEHLSHRFGKIGLGDIVLVRSPTDPTRMVTKRVLGLEGHKLSFSADPLVGDGSVSVVVPKGHVWIQGDNLYASTDSRNFGPVPYSLVEGKALLRVSLATTILRVIEVTIIHFPNELI >A06g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19967332:19967987:1 gene:A06g507200.1_BraROA transcript:A06g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDLQREPVPLQPEEQESLESHSPIISQYATQHNLHPDQSLQDHTGIVANIGIHTLTDHQSLDHNTPDTTTLPLTTRVSSTTHPTTTTITLTTRVPSTTHMRTPIQPLTMGVSSPF >A06p048010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25464395:25466021:-1 gene:A06p048010.1_BraROA transcript:A06p048010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWGVDQVHHRNHDLYQQQQQQQGCRKGPWTPEEDKLLSEYVTSNGEGRWSSVAKSAGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIILELHSLWGNKWSTIARYLPGRTDNEIKNYWRTHYKKKEKSFSKQEKVKRSRKQLDLKPQSQQQPQQQNQSSQFVSEDHKKLDNEQNTFSYQTSVFTDQFHMPQVVAATSSDHSMMDEGNLWGSLWSLDDHDPHHFGGCSEQRTAANVSEKFNGCGIDAPFCGSWDYSYNGFNTGGYNY >A04p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1296557:1296939:-1 gene:A04p002630.1_BraROA transcript:A04p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNESYKTLVSGFASSLLLHSTSTLLAAATPLKPLTPSEQMASNIILFEDIFVVLKLDPDGKKFDKGSYFFLKSTVRFGFIM >SC276g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:21240:21885:-1 gene:SC276g500020.1_BraROA transcript:SC276g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGECKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A04g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9352534:9352981:-1 gene:A04g504520.1_BraROA transcript:A04g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQDAPGVLSSDFGQLRTHCFRTNTVSRLAKAGCLVAFSLTLFVPGFGDIRKLCIRSKPKL >A09p074590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56533297:56534352:-1 gene:A09p074590.1_BraROA transcript:A09p074590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase zeta processivity subunit [Source:Projected from Arabidopsis thaliana (AT1G16590) UniProtKB/Swiss-Prot;Acc:Q94FL5] MNMKDNDSQTGEVARTLVEFLEVAITMIVFLKGFYPSAAFERRRYMNVVVQRARHPELRDYMHSAASGLLPFIQKNDALNNVCKQGLVERVAVIFFSSDNVPVERFIFKLTINLSSAASVEENQLEFALRSFLVKLSVANPLVKPLPPNCRWEVTAYLRSLPDVGSSKEGELWIPTDTKQWMKPPVITPVKSLNSEPLCLQLYLEHPSLSETQPCQAL >A09p024430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13523250:13526030:-1 gene:A09p024430.1_BraROA transcript:A09p024430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIISKKKSLKRHHHHHQHQQRKESSEKRSSRINSSRIDDSSQSKEEQEDRSSYRDDAKVEFSSSRFSEKHPEISEIGDTDEEEDEDTTSPHEELKREPSVAEQVAAGWPAWLVSVAGEALVDWTPRRASTFEKLEKIGQGTYSSVYKARDLINNKIVALKRVRFDLSDLESVKFMAREIIVMRRLDHPNVLKLEGLITASVSSSLYLVFEYMDHDLVGLASIPGIKFSEPQVKCYMKQLLSGLHHCHSRGVLHRDIKGSNLLIDSNGVLKIADFGLATFFDPENRIPLTSRVVTLWYRPPELLLGACHYGVGVDLWSTGCILGELYSGKPILPGKTEVEQLHKIFKLCGSPTEDYWRKLKLPPSTAFRPALPYGRRVADMFKDLPTNVLSLLEALLSIDPDRRGSAARALESEVLVIDEQYFRTEPLACHPSSLPKYPPSKEIDAKLRDDAKRQQRPAQEKHERQDSQRRSHERKLIPPVKANPSLTAAMENPYLRTCVMGNSTRQMHITKEMTSNNTTNERVSYSGPMMKNRNHSRLRDNAAPRIPCSYRAGQSVVDTVGSNQLIMDQKRENLRTFNRADTMDNSKRQMKIPNDPSWYDSEENKMYMSGPLLAQPSKVDQMLEEHDRQLQEFTRQKAKQSRN >A08p038090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22107668:22109554:-1 gene:A08p038090.1_BraROA transcript:A08p038090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALNAAVSLTSSKSSSIPATSCAIAPERIRFGKGGFSYKSNNVATSRRVVSVRAEVTTDTPPAVKKVEKESKKNEEGVITNKYRPKEPYTGKVLLNTKITADDAPGETWHMVFSHQGEIPYREGQSVGVIADGIDKNGKPHKVRLYSIASSALGDLGNSETVSLCVKRLVYTNDAGEVVKGVCSNFLCDLKPGSDVKLTGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYTEEFDKMKAKAPENFRVDYAISREETNDKGEKMYIQTRMAQYAPELWELLKKDNTFVYMCGLKGMEKGIDDIMVSLAANDGIDWFDYKKQLKKAEQWNVEVY >A07g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2591522:2592474:1 gene:A07g501230.1_BraROA transcript:A07g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSINMLSAATSVKSASIKRLVVSVDMPYGSDKESFEQFHNQSYVKAPSLVYLDYSGHVFMDYRFVDLDSLAQVRLNLKLWDRKPNKPIFGDVTKLAAGISNITTLHLSPDSLEAFHFCCKYMPVFNNLLNLYIESNKKNGWQVMPLLLKSCPHLHTLLLKGLVHRVTDKCGDACACPPNKKHKNKSKVISCLWTCQVKVLEILEYGGSFQELKQVRHFLGKLECLETVRVGIDSDNKSNNMFLRANLLTLPIVSSN >A09g513840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41950315:41952013:-1 gene:A09g513840.1_BraROA transcript:A09g513840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKPKKPSPTQFAKSSVPSPIKSELPPAPKLMRILSIPLPPRTDRPADRSKFSQVEAEVNGTSDAAGSSKKLATADARDDSLGSQLAIPKVILPVQNAPRGRKTTRSRSRIKQTWVEVDKTPPTANPPVPAQTELTVARSTGHVELAQQSMLGTGTDKVRGESSGTAGYLWSGIPRSASATSRSSQSEVQPDSSDVESSDSELEDGEFSKHELDFQVV >A06p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:730338:731826:1 gene:A06p001670.1_BraROA transcript:A06p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVLVRSSSSAKQSLLRRSFSSSVPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLFDIANTPGVAADVGHINTRSEVVGYMGDDELAKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCSAIAKYCPHALVNMISNPVNSTVPIAAEIFKKAGMYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQVTSLAQTVATPQANLSADVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKQGLEALKPELKSSIEKGVKFANQ >A09g515440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45744674:45748131:-1 gene:A09g515440.1_BraROA transcript:A09g515440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFGFSDLEDFWDDLPVSRLEVVWKSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMIMTIL >A03p059220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25739610:25742197:1 gene:A03p059220.1_BraROA transcript:A03p059220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDTGPLVQTTPLVTFLQRVQLEAHRSYPNEKTPDPKFYIDLSLKLPHHLSTVEAAFNDLTSGSRDLPVPVKKLEKFVHEYFDDAKDLVPHEPEDFVTDPFAFLLNVENDQVREWAREVHSLWKTLCYRVSDSVRESPDRHTLLPLPEPVIIPGSRFKEVYYWDSYWVIKGLMTSKMFTTAKGIVTNLMSLVETYGYALNGARAYYTNRSQPPLLSSMVYEIYNVTKDEELVRKAIPVLLKEYEFWTSGKHKVVIRDASGYDHMLSRYYAMWNMPRPESYVFDQESASAFSSTLQKQRFLRDIATAAETGCDFSTRWMRDPPNFATMATTSVVPVDLNVFLLKMEHDIAFMMGICGDKNGSVRFVKASEAREKAFKTVFWNEKAGQWLDYWLSSDGDEPETWKAENQNTNVFASNFAPIWISSFNSDENLVKKVVKALKNSGLIAPAGILTSLKNSGQQWDYPNGWAPQQEIIVTGLARTGVKEAKEIAEEIARRWIRSSYSVYKTSGSIHEKLNVAEFGEYGGGGEYKPQVDGLWVVKRSYISILGGVWMAF >A03p064750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28204647:28207908:1 gene:A03p064750.1_BraROA transcript:A03p064750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVELNPFPSRSVFLGVDVGTGSARAGLFDESGKLLGSSSSPIQIWKDGDCVEQSSTDIWHAVCAAVRAACSLANVSDVEVKGIGFAATCSLVAVDAEGSPVTVSWSGDSRRNIIVWMDHRAVKQAERINSFNSPVLQYCGGGVSPEMEPPKLLWVKENLQESWSMVYKWMDLSDWLSFRATGDDTRSLCTTVCKWTYLGHAHMQQQMTEKASRDMEACGWDDEFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGNGLTATAAKASTKQSLTSLELGLLAGTPVGTSLIDAHAGGVGVMESKSDSDSLKKESDVDTLCTRMVLVCGTSTCHMAVSREKLFIPGVWGPFWSAMVPEYWLTEGGQSATGALLDHIIENHVASPRLANQAASHKVSVFELLNNILKSMSQEDTSSPFVAALTSEMHILPDFHGNRSPVADPNSKGVVFGMTLDTSEKQLALLYLATVQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNPLFIQEHADIVGCPIILPRESESVLLGAAILGAVAAKSYPSLHDAMKALNAAGQVVHPSSDPKVKKYHDAKYRIFRDLYEQQLSHRSIITQALS >A05p009090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3748920:3750721:1 gene:A05p009090.1_BraROA transcript:A05p009090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDQKTIHLFHPHLKSISFILPWLLSIYFVITKKPLQSLSVLDMSYVPPHKRNSKDPVQPSPFPDSPLLTKFRRNIDDLKSTYFQGNGIVYSGKYFTKWFLVSSNGIEDEVPPSVNLVPLSSDSSDCINGLKALELMNNDFHKDMITEESEEERTRWLLVAEKVADDLVFAYEQANKRKEDHEISDNAKLRLVARFGKIVFYGRKAGPVVDYSLKNSRRIFSTDVPTSFIQNIKSKAIPSHEFCIDGEKQKYIVKINGPNGIINCKCTAELHPVRHLTIDVSCIDKNLDMRLMLAGKRKIKTLTEKEISNIQGLLGSAVVDLNVKGRLRWPLGKTSSEGGYKIFEVCHARVTIYKKHTLGLKVRETNRFNERYATAEMEKGVTLILKEMNTKLQEQNIERVCVLEMLRDALGTIWDFLCCDANLMQ >A09g514580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43521754:43522357:1 gene:A09g514580.1_BraROA transcript:A09g514580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDEDLKSVAGPPTHEINHTSYIGASSNIGSLKEGYLCNHEEFNRETSCYRFSTQAEHAANWFHTKRSNGLGDMPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVG >A01p042510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25484658:25487924:1 gene:A01p042510.1_BraROA transcript:A01p042510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFVNFIIRPPRAEYDPEHDLLEKDFMMKGRWYHRKDLEVKNSRGDVLQCSHYMPVELPEGKPLPCVIYCHGNSGCRADGSEAAVVLLLSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVEYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMILDSPFSDLVDLMMELVDTYKFPLPKFTVKFAIQFMRRAIQKKAKFDIMDLNTIKVAKSSFVPVLFGHALNDDFIRPHHSDRIYEAYVGDKNIVKFEGDHNSPRPQFFIDSINIFFHNVLQPPEVVGPTLYDPLDDYFAKGGWSSMHDTTIPQSSMQKSLPSSSSISDAINEVRTKRPMSRTDVPSNVTSSGSASETKEKENHDGRDSSSSPDMISFDLLNGDEDVEYHLEDIPSNAEEEERMLMRAVMESLKDLEVQSLENKEAPEKRVYGNNAFRTAQQSLLSTQANQPETDSGSGDALPSSSESSAPSETPASSLPVSGSSSEKVNETGDMSAVAKPTVTVERSSSSSKWDFNFFKNSK >A01p044530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24295245:24297229:-1 gene:A01p044530.1_BraROA transcript:A01p044530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSSPSEEIVRLIKRLSSYVAFKMSSLFSTPIRNLDSRSIGAIAGLAIAVIFTWRAIRTQPGETQRRRPKRRLQSPESSSAAPDEDNGVQDVVDQFFHPGKPTLGQIVRQRLSEGRKVTCRLLGVILEESSPEELQVKQATVRSSVMEVLLEITKSCDLYLMERVVDDESEAKVLQALETAGIFTSGGLVKDKVLFCSTEVGRTSFVRQLEPDWHIDTNPEITTQLARFIKFQLHVSAAKPERTVPNVFTSQSIEQFFGCP >A04p031030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18381556:18385165:-1 gene:A04p031030.1_BraROA transcript:A04p031030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLVSNIASQARNARKCTPQFGSMLSSTRSYSAKDTRSGVKELADTGQVTIGPKGYNVTIEQKDDGSITITQSWRAPKVTKDDVTVAKSNESKGRVNNVGASPVKQVAKATNNVAGDGTTYATVLTRAIFTEACKSVTPEKSAYHAAYNAMHLRLGIKLAVDTVVKTLKSRARMISTFEEIAQVGTASANGDREIGELIAKAMESVGKEGVITVQGGNTLFNELEVVEGMKIDRGYRSPLFITNEKYQTCKILILIHEKEITSPDSTSTVLESALRFVNDPLPYIHDNKVHDIESLSKVLDLALKKQRSLLIVAEDLDSFSVAGLVWKTPRSKHVARLRLSWKTPPGEMKVITEERGMSLEKIELGMLGTCKKVIVSKDNTVFIGGAGDKKYIGERCEQIRSMVEANESDYDKDMLQDRLANLSGSIAVIKIGGTSEREVSEKIDRVNNALNATKAALEEGIVPGGGVALLYASKELEKLSKNYISQKNGVQIIQNALKIPVYTIASNAGVDGKVIVDKLLESNNPDIGYDAAKGEYVDMVKSGIIDPVKMIRTALVDAARDSNAVVTEIPTKEDASPVMGGMGF >A08g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11313040:11314892:-1 gene:A08g506550.1_BraROA transcript:A08g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVRIVQGVWEKTLTGEWRFDENPALEGETVLIKPEDSYEGLVEMIRIRLTLGVLTPVALTYQLPDWMLLPEGSRTPPITLSADKDVETMLSVREYMTEPVMYVTSGPELVAKYQFLCRTPFKIGENSFLGEGVTEEQHHHAIKELVGGHPIVCSKTMLEMLFNEPQLLIVYRVSLKIEMVYAPSNEAREKFPRLTVDDMIAIVEGEPLSTEEERNNVPNEEVLHGEPMDLEQLQPTIPNFQAPNIQGQLTPLEVEPLSQIPRFPPTWEEEHEEEAYWEEMLDAERHFAVNVPPAPRPTNGVLGLPIGPNLRVTAPPTPTSVLIVDDDEASYTASSDALNDSENNLVLPPPIPNSENVINLSEAVGQGEDSDAIQNGITAPLTQHAIGGPPTANPNNGGPSLDLTLGIGNTGHTAAEATIEIEDSESDVDGDSGGFGSDPFF >A04p028690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17223811:17225969:1 gene:A04p028690.1_BraROA transcript:A04p028690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNNISGTLTLPSSDKECQQVLEDHNVPSSGTKEAGHTGWRKTENPSLQRSLDAITSSLNYIGTAVEEGITSVENRTAGIIQETRKKIKKKPSLQNPEIQADLEIQLKASRDVAMAMAAKAKLLLRELKMVRLQLETLLAEKARLAHENSIYTRENLYLRGVVEYHQLTMQDVVHFDEKTEEVTEVYPVNVTSVSSSSSSNPQVLEFKLVCLPPAGIATGQLAPWIFWHLWLARNEHIFNNKEVTPATIIGKAVAAAREWLQAQETTSTETPTLQQEEEPTILTTSTIVQTDAAWREDLQLAGLGWCVGERNEKLSILAHCHYVSSPLVAEGLALREALQFCIEKNIRMVRCETDSLVLVKALNSGPPVAELYGIVADIACLSLAFDSISFSWIKRGKNGDADALAKQALWNASKFMLLVNNGS >A09p079920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58673369:58674993:1 gene:A09p079920.1_BraROA transcript:A09p079920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSATSSFFHVPSSSSLDTNGKGNRVGSTNFAGLNSTPSSGRMKVKPNAQAPPKINGKKANLPGSVEISKADNETSQPAHAPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRSDMIMDPFGLGRIVQDGLVFRQNFSIRSYEIGADRSASIETVMNHLQETALNHVKSAGLLENGFGSTPEMFKKNLIWVVARMQVVVDKYPTWGDVVEVDTWVSQSGKNGMRRDWLVRDCNTGEIVTRASSLWVMMNKLTRRLSKIPEEVRGEIEPYFVNSDPVIAEDSRKLTKLDDKTADYVRSGLTPRWSDLDVNQHVNNVKYIGWILESAPAGMLESQKLKSMTLEYRRECGRDSVLQSLTAVSGCDVGNLGTAGEVECQHLLRLQDGAEVVRGRTEWSSKTGATTWDTTTS >A01g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24515500:24517250:-1 gene:A01g509070.1_BraROA transcript:A01g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDWKLPTTASAANPPPFSAGEPPTPALPPDPPDPTSPLSPHEFPPLSSTKTGSSTISKKKGSVNLLTHQTGARVEHLPTTPSTTGLVLAPEGSKTGQSETANTVHGYETQKFTIQKPSPVDKENSAPNLIALPPKSTSPLLSNIASSFPNPSNHIKSSHSNPPTPAPAVETLSSNTPTTAASAPAVPAAPSLVERLRSAEDKSLERLAPVTLSAIGRPRILIPDSVFEEGAQLHKDFIICYYNGRALPFNQIQSVFNHMWSKGKKLEIHNNPLNRSTIVRIPNAYLRQKILEKNIWYVGDSMFHTAQWTSKHSKATPSLQAIKIWAHLTGVPLDLRHKKGLSLVAGLVGDPKETDDFTKNLVSLSESHVKVEVDLTRPLPSIVEFERQSGEVVEVLIQYPWTPPKCSHCNELGHVIRNCLHYVPPLPQTPASNKEVGKSLKNPKPFASKPSSSKPQPVYRKKTTDPTPPPSSSATTDLSLSIIPPPPTPPHQNLSDHPVAMITDTSVPIPPASPSTSLIISQKSFSSPDQVPRPSLKPSPFKRHFVYF >A01p020450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10025290:10028983:1 gene:A01p020450.1_BraROA transcript:A01p020450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMQAIETSQPTVAPPAKHSRQLGAQLSGSMSFSSQMSIEDEEMSRTALSAIRAKEEEIEKNKMEIRERVQAQLGRVEEETKRLALIREELEGLAEPMRKEVALARKKIDSVNKELKNDLSKLGVPSNVLDLMFDWTGANEDYLKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGAKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHMKCVAAMISLFGEASDRLCQKLDTAASTGEEVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGVIEAVYTVLREAEDRSVSPIPVWDMPIWKDISPRQRKVATSLKLINDTLNDLIATCKEEELQFHEEYMNERDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTTNPKVVAKLQEEVDSVIGDRFPTLKDIKKLKYTTRVRKKEREYKEALEAFNEKNREKSICINKVVDTLSLGRVLGFCCLSTSYERCITSFFGYSVRYLAKISVYDNNDQAVFMLLGDSGHELSGKKASELVESYFEANEDEGSDNLVPVPQALIDTIGRTRKFIVKVSTHNLTGKTQTLTVTKVLTPEDPDIGVNLEESDGERVKRAAENIEGEEPKRAKCG >A08p044990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24744335:24745855:1 gene:A08p044990.1_BraROA transcript:A08p044990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRSASVFILVLLAVSTLALVANGEDKTIKVKKVKGKKVCTQGWECVWWSEYCCNETISDYFQVYQFEQLFAKRNTPVAHAVGFWDYQSFITAAALYEPLGFGTTGGKLMGQKEMAAFLGGYGVATGGPLAWGLCYNREMSPSQSYCDETWKFKYPCSPGAEYYGRGALPIYWNFNYGAAGEALKADLLNHPEYIEQNATLAFQAAIWRWMTPIKKAQPSAHDIFVGNWKPTKNDTLSKRGPTFGTTMNVLYGEYTCGQGDIEPMNNIVSHYLYFLDLLGIGREDAGPNEELSCAEQKAFNPSTAPTSSSS >A01p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4474821:4475282:1 gene:A01p009280.1_BraROA transcript:A01p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPKLSWINCRHEREVELRVACNRASSVVSRRKVVTSCSLFALIHLQREIDIYLLKLALQANIYSIWRERNSMHQGTPLRADQMVRYIDKTIRNMISSL >A07p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18447773:18450400:1 gene:A07p033770.1_BraROA transcript:A07p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLVLSLKLNHCFNSLNTCTPCLYPPPLRLSPCYSRRFYSPVTVNAAKKTSQIIRSELDDRINGSDSRFLDRQKALEAAMNDINGSFGKGSVTRLGSAGGALVETFPSGVLTLDLALGGGLPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSAGKIKSSKGDEDIGLRARVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCVLDCAEIMEVVVKKGSWYSYEDQRLGQGREKALQHLKENPSLQDEIEKRVRLLMLDGDVHRSTPLLSSSSDSVASHHEEEDDNSLDDFQ >A05p019900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9312285:9317918:-1 gene:A05p019900.1_BraROA transcript:A05p019900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVHWEEFGRDRRRTGGLIAFRFLAASVFGCICCIWFYRVVEPLEKDENRTGFFRLIWLVKLILEIWFGLYWLVVQSLRWNPVWRSTFTDRLSRRYGNDLPRLDVFVCTADPVIEPPLMVVNTVLSVMALDYPPEKLAVYLSDDGGSQLTFYALAEAAEFAKTWVTFCKRFDVEPRSPGAYFSSKSNVLDSEVAELYREMAARIETATKLRRVPEEARLKYREGFSQWDSDATQRNHATILQILIDGRKVNTIAIPTLVYLSREKRPEHHHHYKAGSMNALLRVSSKITCGRIILNLDCDMYANNSKSALEALCILLDEKEGKEIAFVQFPQFYDNLTRNDLYGSMMRVIAHVEFNGLDGNGGPLYIGTGCFHRRDVICGRKYGEEEEESDEIVEAEKIKSLASCTYEKGSEWGKEMGVKYGCPVEDVITGLAIQCRGWKSAYLTPKKEAFLGVAPTNLHQMLVQQKRWSEGDFQILLSEYSPVWYAQGKISFGLILGYCCYCLWAPSSVPVLLYSLLTSLCLFKGIPLFPNVWSWWFIPFGYVAVAVNTYSLAEFLWCGGTLRGWWNEQRMWLYRRTSSFLFGFTDTLLKKLGVSESAFVITAKVAEEEAAERYEKEVMEFGVESPMFLLLGTLGMLNLFCFAAAVMRLMMTTSREAGGDLQTMGLQFVITGLLVVLNWPLYQGMLLRKDKGKMPVMVTVKSVVLALSACTFIMRKEDNRFIQVHEGEPLFDTRRKTGRVIAYRVFSASVFFCICCIWLYRVTVPTEIDENRTGLVRLIWLVMFIVEIWFGLYWVVVQSLRWNPVWRFTFDDRLSRRYGSDLPRLDVFVCTADPVIEPPLMVVNTVLSVAALDYPPEKLAVYLSDDGGSELTFYALAEAAEFAKTWVPFCKKFNVEPTSPAAYLSCKAKTSVLGSAAAEEVPRLYKEMAERIETAARLGRIPDEARLKYVEGFSQWDPDATRRNHGTILQILVDGRKANTVTLVYLSREKRPEHHHHFKAGAMNALVRVSSKITCGRIILNVDCDMYSNNSKSARDALCILLDEKEGKEIAFVQFPQCFENLTRNDLYGSMMRVGADVEFKGLDGNGGPLYIGTGCFHRRDVICGRKYGEEEEEEEEEEEEEEEEEESEDISETELIKALASCNYEENSQWGKGGGCKIRLSSRGCHNWFSDSMPWMEISLAPTNLHQMLVQQRRWSEGDFQILLSEYSPVWYAQGKIGFGLILGYCCFCLWAPSSVPVLIYSVFTSLCLFKGIPLFPKVWSSWFIPFGYVTVAVNAYSLAEFLWCGGTLRGWWNEQRMWLYRRTSSFLFGFMDTILKKLGVSESAFVITAKVAEEEAAERYEKEVMEFGVESPMFLLLGTLGMLHLFCFAAAVMRLMMTSREAGGDVQTMGMQFVITGLLVVINWPLYEGMLLRKDKGKMPRTVTVKAFVLALSACTCIALS >A09p015900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8296836:8297249:1 gene:A09p015900.1_BraROA transcript:A09p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 5 [Source:Projected from Arabidopsis thaliana (AT1G64160) UniProtKB/Swiss-Prot;Acc:Q9SH66] MFGVDDVQNATSAAVTNPPGLGNFKFGKLVIFDDPVTIDKNYQSEPVARAQGFYFYDMKNDYNAWFSYTLVFNSTQHKGTLNIMGADLMMEKTRDLSVVGGTGDFFMSRGIVTFETDTFEGAKYFRVKMDIKLYECY >A08p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21496393:21497230:-1 gene:A08p036760.1_BraROA transcript:A08p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGLSEALPPTKDCNDRTIEFQNKLGPGKILGYSCDWATRPTNVPKWSCFLRAKGLYYPLIVELSPPTPPCNNGLRSWIVKSDGIYFERNGKKPMKLVGRWKSGPY >A02p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1220773:1223721:-1 gene:A02p002880.1_BraROA transcript:A02p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGEMGMFSKNPVEMVESRGVMFSCFVAALVGILTIAYTAFQWRRNINLSWTKAIARSKKNPKARHKTPVAPHSWELHSISRAKNLNCCVCLKSMSPSQTIVASETFVHRCTICGAAAHFSCSSSAPKDCKCVSMVGYEHVVHQWAVRWTEGADQTDDSSFCSYCDESCSSSFLGGSPIWCCLWCQRLVHVDCHSNMSSETGDVCDLGPLRRLILCPLYVKELARNPSGGFLSTITHGANELASTVRASIRSQSKRYKQGNETSVDSGNSGSNCDESTESTADTGPAVNGTHAVLENSGSVMNGGSSHGDSDSNGKLEKKPSVKRSGSFGQKDEHQALRSKLKYELADLPSDARPLLVFINKKSGAQRGDSLRQRLNLLLNPVQVCELSSAQGPEVGLFLFRKVPHFRVLVCGGDGTAGWVLDAIDKQNFVSPPAVAILPAGTGNDLARVLNWGGGLGSVERQGGLSTVLQNIEHAAVTVLDRWKVSILNQQGKQLQPPKYMNNYIGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGARSIMDRTFEDFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYENFDPQSMHDKIVEVVSISGTWHLGKLQVGLSRARRLAQGQSVKIQLCAPLPVQIDGEPWFQQPCTLTISHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNEVINASQKRALLQEMALRLT >A06g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6788157:6788536:-1 gene:A06g501840.1_BraROA transcript:A06g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEDGWVFNVPVDAKGFGLHDYHTIVKEPMDLGTVKAKLGEGLYESPLDFAEDEKWVPIELQYHNLHREIKPASFVEPLPAPTPSSVSSACGA >A08p028430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17801635:17802207:-1 gene:A08p028430.1_BraROA transcript:A08p028430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIQLKSKACEASKFVSKHGTTYYKQLLEKNKHYIQEPATVEKCQELSKQLLYTRLASIPGRTESFWKEVDHVKGLWKNRADLKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >A05p052600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30620987:30623854:-1 gene:A05p052600.1_BraROA transcript:A05p052600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT3G04870) UniProtKB/Swiss-Prot;Acc:Q38893] MASSIVFAATPATAFLSSVPPWKTPRRFHVRCSLDADVSDMSVNAPKGLFPPEPVPYKGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSRTFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFINKGSEIGELDFRFPVGAPIHGIRAFLVTNQLKPYDKLRNSLALALSPVVKALVNPDGAMKDIRNLDSISFSDWFLSKGGTRASIQRMWDPVAYALGFIDCDNMSARCMLTIFSLFATKTEASLLRMLKGSPDTYLSGPIKQYITDRGGRIHLRWGCREILYDKTADGETYVTGLAVSKATDKKVVKADVYVAACDVPGIKRLLPKEWRESRFFNDIYELEGVPVCTVQLRYNGWVTELQDIELSRQLKRAVGLDNLLYTPDADFSCFADLALASPADYYIEGQGSLLQCVLTPGDPYMRLSNEKIIEKVAMQVTELFPSSRGLEVTWSSVVKIAQSLYREAPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASSYICDAGEELAELNKKLSSSTVSDELSLV >A08g510550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24137999:24140596:1 gene:A08g510550.1_BraROA transcript:A08g510550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLSNGFQAATSFFLEKAKYILELEDNLEALQEVARRLKAMKDDLQNQLEMEERKGLRALEEIKVWLSEVKAIQPKVTKLLEDRTSEIERLSMYGYCSSNFLLTYHYGKNVFETLEKVRSILSSKPCGEVVARRILPPGVNDIDTQRTVGLEKTLEDAWSLLMEKEVGILGIYGMGGIGKTTLLKQINEKLLEKKDEFGVVIFVVVSQNLQVEKIQKEIGKRLGLCDEEWEKKDQKEKATCIKEVLTSKRFVMLLDDIWEKVKLQEIGIPFPSADNGSKVVFTTRSKYVCGRMGAHDLEVKQLDQKNAWELFRQKIRGTTLDSDPKILELAKQICAKCKGLPLALTVIGETMSYKTSVREWQCAIDDLDSNADNYPEVRDEILKILKLSYDDLKDETLQQCFQYCALFPEDKEIYKDELVEYWVSEGIIDGDGERERAINQSYKIIGILVSACLLMPVDTLDFVKMHDVIRQMALWVASNFGKEEEKFIVKTGAGLHQMPEVRDWNAVRRMSLAENEIQNIAGDVSPVCPNLTTLLLKDNKLVNISGVRTLESISVISSLVNIEMLFLHEGTFLSLELIEDIKLLKNLKGLGVSINDVVVLERLLSIPKLARCIDAISLDGVVAKGGPLQFETAMTSLRYIMIERCIISDIMDHTRYGCTSTSAICFQNLGYVNISVVSCIQDLSWLIFAPNLAVVFVEGPSPELQEIISREKVCGILNKGSSIVPFRKLHTIYLEDLEELKSIYWERLELPSLKRMEIKYCPKLKKLPLSKERAYYFDLHEYNEEWFETLEWEDEATEE >A07p031190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17280148:17280766:1 gene:A07p031190.1_BraROA transcript:A07p031190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTKKVGIVGKYGTRYGASIRKQIKKMEVSQHSKYFCEFCGKYGVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQIEG >A06p011730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4385251:4385832:1 gene:A06p011730.1_BraROA transcript:A06p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIPPSTIIVRKSLLLSTTTFSFPASSLFPSIKLPQKHTHRRLLLASSSSAAVPSDSKPAAKKETVYFDGGAHYGDLLANLVLGLTILWLPLTLAAVSRAFNLRYRFTNLHVTVISGLTGEDRSDFSYKVIKDVQVVPRFIGEWGDIIITLRDGTKVDLRSVPKFREIAKYCLSMADQPAVVKESGAKGF >A06g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18165128:18165891:1 gene:A06g506490.1_BraROA transcript:A06g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLCTLPPCKALSSFASSLSKEQSQVELELTLLEALEIYPPVKLRGNGVQAIGIHHHFVLYGLMEYLGRSFDRQFLADEVLHLLDEMLKSDDIDILNH >A08g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16465628:16466159:-1 gene:A08g508790.1_BraROA transcript:A08g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRNLCFFGFLCGSGLQVYYEVIFIIVLDKSELQSCAVCLKYLYAPPVPQTGVPGSRHSTFESLRVGHSSQNIASNLLAYGIP >A09p068150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53518602:53521793:1 gene:A09p068150.1_BraROA transcript:A09p068150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C14 [Source:Projected from Arabidopsis thaliana (AT3G62960) UniProtKB/Swiss-Prot;Acc:Q9LYC5] MERIRDLSSKTAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDKDSEGREMERALRALGSSNPAVPAVFVGGRYIGSAKDIISFHVDGPSRPSSFTTNKETYITAKDKSFLDRSKPFDQNLIMDKVMRMSSEKGVVIFTKNSCCLCYAVQVLFRDLRVQPKVHEIDNDPDCREIEKALVRLGCANAVPAVFVSGKLVGSTNDVMSLHLSGSLVPLIKPYQSFHN >A01p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:149591:151474:-1 gene:A01p000390.1_BraROA transcript:A01p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKESEYYDVLGVKTDASAADIKKAYYIKARQVHPDKNPNDPQAAKNFQVLGEAYQVLSDPEKRTAYDNYGKEGVQQDAMVDPAAVFGMLFGSELFEDYIGQLALASIASLDAEVESHEPEIRKKLLQDKIKAMQKEREDKLVTTLKNKLEPFVEGQTDEFVNWATAEARRLSTAGFGEAMLHTVGYIYTRKAAKELGKDKRLMKVPFLAEWVRDKGHQIKSQVMAASGAVSLLVLQDEVSKLNQGENKEEHIQKAIEAKKDAMLQSLWQINVLDIESTLSRVCQAVLKDPSVSKDVLRARARGLRKLGNIFQGSKKPYSRENSLRHEGAAVKLDAGDSSKPAT >A10p009290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5068784:5070920:-1 gene:A10p009290.1_BraROA transcript:A10p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFCSFACARVRERGMSHQSRLMEDVSNAIVTVSTPPDVELYNRRVLWGKVYQLPDLFYTSSIFAKVRVTPLNPKLIAGYMDVYASGALYGIEGYKDVVLAAHRYRVCFMLELGLHSRETDGHEIRVLQFGIIAEFNPRSDPGRQFMRLEFQRFLMFQDWDIFEKKMTPFCPVFFDVEVILGFLLGIRDEFTSDLFFNLGRVLWDKVYQLPNLFYPSSILAKVRATALNPELV >A08g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6032048:6033028:1 gene:A08g503230.1_BraROA transcript:A08g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGSRARNRDEKRKLKLRCLIESGTTLWNRHFERTLVNGKRKIVHSHIFSILGLPRTASRSSPQD >A09p030750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18747597:18748793:1 gene:A09p030750.1_BraROA transcript:A09p030750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLLQIRYCRRASDSGQTSYSIIMTLTSKWFISQVPKNHSAPLPIPLATIRRRRPSNFDCPDKSCTMKKCMMRWGDDDDEEDSSEESSSSDSNADTTETDKKKRKNKKTIARFAKKEKKAFDYESLQQHGYKAVGLPDLPPPVEKADWSWATGKDKQRPEEVKESFREREATRAAVAGGETIANAQLRSDRKSLSFSQKEKKKRDLGQASRGKNYVEEEKRQLRESGVYSGFDS >A09g517220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:50571949:50572212:-1 gene:A09g517220.1_BraROA transcript:A09g517220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQKDKKKEKERDLPPGKRMPKVSGVDCKQTALFGVYADQACGRFVKLLHLFSISLPNRFFSLYCVRFELIRQGTTEPRPCEFSN >A07p044660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24320673:24322858:-1 gene:A07p044660.1_BraROA transcript:A07p044660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLPSVRPNVSEMFKCSSLRRFHSPVLKQPTSARFSTTFSSESSPYLPPLFSVAPMMEWTDNHYRTLARIITKHAWLYTEMIAAQTLVHQQTNLDRFLAFSPQQHPIVLQLGGSNVESLAKAAKLSHPYGYDEINLNCGCPSPKVAGHGCFGVSLMLNPKLVGEAMSAIADNTNVPVTVKCRIGVDDHDSYDELCDFVYKVSTLSPTRHFIVHSRKALLGGISPADNRRIPPLKYEYYYALVRDFPDLRFTINGGITSISKVNAALREGAYGVMVGRAAYNNPWQTLGLVDTAVYGVPSSGLTRRQVLEQYQVYADSVLGTQGNGRPNVRDLVKPLLNIFHSENGNSLWKRKADAAFKECKTVGSLLEESLKAIPDSVLDSPISESPESGEDDVFANVHNVLPPPYKAVEQVMLCA >SC178g500220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:388117:388782:1 gene:SC178g500220.1_BraROA transcript:SC178g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMTRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVIFMRLRYL >A10p030880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18595110:18596314:-1 gene:A10p030880.1_BraROA transcript:A10p030880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTDSKKALSFKRYIEDGDLVIVYERHDVMKPVKVTKDGVLQNRFGFYRHSDWIGKPLGTKVLSNKGKFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEVVPGCVVLESGTGSGSLSTSLARAVAPTGHVYSFDFHEQRAVCAREDFEKTGISSLVTVEVRDIQGQGFPEKLSGLADSVFLDLPQPWLAVPSAAKMLKEDGVICSFSPCIEQVQRTCEVLRSDFIEIRTFEVLLRTYEVKEMKVETGAMIGESHDEEDNGGQRPSKRKHISNDDGYAISQDNSSSATSTVMARPCSEARGHTGYLTFARLQCLS >A01p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10419325:10420071:-1 gene:A01p021420.1_BraROA transcript:A01p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKRFDAYLMTVMLMSLGFAIGFSNGYKFYVGGRDGWVLTPSEDYSHWSYRSRFQVNDTLYFKYPKGKDSVLEVSEEEFNTCNTTHPITSLTDGDSLYVLSRSGPFFFVSGNSENCLKGQKLPVKVMSAAHHSHSPRQPSPSPSPSPTLSPSHQALSSPAPSPRVVLSESEALAPAPEPAKAHNSAGLVSPRAVSLGLVLVVIISFVV >A03p072390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32005052:32007251:-1 gene:A03p072390.1_BraROA transcript:A03p072390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGLNQSNDTRSPRCRNAWSQNVVSTCGVSRCIPSSHVASHLDVSGVLLHVCDTCLTTYVQHVSPCMRTEACGLTHSHSGGAILLVEAFINTSILPSVSFIFLTPKSLQNVDRERENRFFTEKPSSVQSKIFCDCYAEALPKSIRPRKSYSFMIKWSSVVVLKLDTPLKVRRTVQKLKKVMLELSSVQTSIRRDSVQFKISPDLSIQVVHWFLAKSSLINQPLIGIEHCELPPVSPIEDRGTTIPIEDRDRVIPECLRLCGVIVKGLPVSIVWRKNDFVALDKDDRIAWCWTLGTPV >A06p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18896253:18898164:1 gene:A06p034870.1_BraROA transcript:A06p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPENLPSSSSDMGRLEQIVSHFFPKALHIVLDSRIPSLQSRGRTRDRNLKKSDKWFNLIMGDRPAALDKLHSWHRNILDSMIIDIILIHPSHHDHAETVIERWVVQYENPLIMSPQSSDPCTRYQKVYKKAIILLRSLYAQTRLLPAYRVSRQLSSSLASSGFEMVYKVSSFSPPVTETMTEFRFSPVEVPPGRLCASVTYRSDLSGFNLGAHITLPPRIITDYVGSPATDPMRFFPSPGQSFEATSSFHGRAGRGPPPSPSERPHSWTSGFHRPPTPNQSFSPQFSPDFHCSRTEHQLSPPFSPSTPRGSNSPRINLRPGTAPVTIPSSATFNRYVSSNFSEPSRNPLPPFSPKSTRRSPSSQDSLPGIALYKSSRSGESPSGLINHYPAHKLTKESKYDSGRFSGLLSSSGSPRFGFSRSPSRLSSDLEDPDCSCPFDFDDVDESSAGLQYSQSLDRRKTSSSISQSLPIGRKSQDAAVGVLVQMLKTAPPLRQDSSTYMASSMSGVQREGSSVSGTESEFSMARSTSDALEELRNYKQLKDLLLSKSKSVGGATRVH >A07g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16245363:16245800:1 gene:A07g506640.1_BraROA transcript:A07g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISKEEISKTRRYSSSLWRCIKTIFVLFTMFLSFILFSAPIFLSVADAVLPSIILSSFSSLLRLSPATVSSYISNYDFRYSLIDIPLISIIRSSIILCVYGLCDGPKLSRGPYLTITMI >A04p034850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20141252:20143664:-1 gene:A04p034850.1_BraROA transcript:A04p034850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEKRRRFQPITSYEIKDRSNQTVAADLDGTLLISRSAFPYYFLVALEAGSLLRALILLASVPFVYLTYLSVSETLAINIFIFITFAGLKIRDVELVVRSVLPRFYAEDVRPDAWSIFNTFGKRCIVTASPRIMVEPFVKTYLGVDKVLGTELEVSKSGRATGFVRRPGVLVGQHKCDAILREFGGVASGLPDLGLGDSKTDHDFMSICKEGYMVPRTKCEPLPRNKLLNPIIFHEGRLVQRPTPLVALLTLLWLPVGFLVSLIRVYTNIPLPERIARYNYKLTGIKLIVNGNPPPPPKPGQPGHLLVCNHRTVLDPVVTAVALGRKISCVTYSISKFSELISPIKAVALTRQREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFNGTTTRGYKFLDPYFAFMNPVPTFEITFLKQLPAELTCKGGKSPIEVANYIQRVLAGTLGFECTNFTRKDKYAMLAGTDGRVPVKKEKI >A09g512970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39251748:39252434:1 gene:A09g512970.1_BraROA transcript:A09g512970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHDNSQLRDSHSQISTEPTRNVRSDHRSVRPMPRTLSLDRPWPWRSRRSKENGCICQENRPQGRTDHPQARGRSLQSTPLTLGVLSPGGKSFSFSLYFCLVSIFTACPSHAQNTPASQHHHTRFSSLTAGLSLSFFLDPLFIEKASPNCPGEIDQAVKEKGHKTAPFHLFRSNRPPALPFLWAVRKSGP >A02p012950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5672512:5674197:1 gene:A02p012950.1_BraROA transcript:A02p012950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASSWIRRAKFSQTVSYRLNSSKLASFPVKLNQEKKLPALKPRPDTSSSSSSTSTQADVYVVDSQVQRNPVTNKQRSVSPSPQMALPDVFKEARSERQRFSTPHPRRVDSEKGMKPKLSHKNSFDKRRSFNLRSPSVPIRDLSTLRIQERVKSMKDTGWSKIFDNTGRKVSADDAAEEFRIDTSKLFLGLRFAHGLYSKLYHGKYEDKAVAVKLITVPEDDENGCLGARLEKQFTKEVTLLSRLSHPNVIKFVGAYKDPPSYCVLTEYLPEGSLRSYLHKPDNRTLSLKKLIEFALDIAKGMEYIHSRRIIHRDLKPENVLIDEDFQLKIADFGIACEEEYCDMLADDPGTYRWMAPEMIKRKPHGRKADVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVHKNIRPAIPEDCPAVMKALIEQCWSVAPDKRPEFWQIVKVLEQFRVSLEREGSLNLTSNKICKDPRKSLKHWIKKLGPQGGGTAGGGAGGSSSSGGLGRSAMPNPKFA >A05p046500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27609518:27612875:1 gene:A05p046500.1_BraROA transcript:A05p046500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLAILKGDTHSSKALSFLMQESYKKLQDFYHVPLSTDWNTGLTLNRVLLQNSCRDKTTAKAVLKLMNAEGLTILPCTKLAGEKKNVNSEEKKLALSNSEADEKKKKKRKDRAMQLTMQMEVQKQLHEQLLDRTLLLNPKTINVNLLRDVAGLRTTMNLKILRGFAVSLLIRQTRRLDSVWVNENERRVRCKHCGKEMTGLNRLKRHLSGTSSRMTPCTQVTPTIGEAFRDEVTKEEFDLTAAKSKRAGEVQMGNDHKRGREEEEEDSSRKNESAENELLLLNKAQKCIGEIKIPDSEELNGPMLQEAVEEVQDHVNKIKDSWAITGCSILLDAWVDQKGHDMVTFVADSPAGPVYLNSFYVSDIKKDVAALTSLVYGVVEDVGVHNVVQIIACSTSGWVGELGQSFKSNNLNVFWSVSVSHCFNLMLVEIGKMDSFGDVLDKVSNITEFINNNPLVWELFKDPTHGTDMTVSSSEFEFVTPYLTAENILKAKNNLEAMVASLDWNKEEGTTVSTLVKDCSFWECVERLVKSTSPLIRSLHLFSTVYNSQHAGYVYDTMDGIKESIAKELNNEEQCYKPLWHVIDDVWNNHLHSPLHVAGYFLNPAAFYSTEFQNDTEVTTDLISALGYLVQECCVVAKIGRQLKMYRLGKGCFNEASQADQVTGVIPGEWWAQKASEHPELQSFAIKVLSQTCEGASRYKLKRNVAEKLLLSKVVSPCEKQHLEELAFVHYNLHLQSSKLQSQTK >A01p041870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22561877:22563067:-1 gene:A01p041870.1_BraROA transcript:A01p041870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVKRAWHSDRHQPIRGSIIRQIFRLSMEAHSAATKKNKEWQEKLPVVVLKAEEIMYSKANSEEEYTDADTIWNRVNDAIDTIIRRDESTETGPLLPPCVEAALNLGCIAVKASRSQRHSNVRTYLCPKFQQPVSASTNEPQYHHQAQKSNKPSPTAQPAIPVDVHDDSNNRGPPFLHESMQMHQKPLARKLGASTAPAPSPAPINLGSVSSRVPIIIGMPISIKAPEERTERFCDLSLKLSVSSDPPSTRVDVGASRSYRGRNQEELCLFPEVKKKHDSFSNYQGQHSDSRVKKHKTFCGDFL >A08p016340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10622324:10624696:-1 gene:A08p016340.1_BraROA transcript:A08p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFHFSRSSQLSSKLPVNHAVVYGLLVRKIIGWASSRVLGPFGPSSDSTRLLRNGGKHGLSLLRSSGDSVRRFDENARTGVVSMFGKVQSLHSDRILARARSLRSDRAGRALGRYVATELWLELSRYVATELGRARSLSSNRAGRSLGRYVATELGLSSVATQSDHEVSKLPELEQCKMDSSIDTDEKSFPSETGVPCTRPEWDWRLDLQSQMPVSSKLDVEDNISLFDSQRHHREEDVTHSRFLSSSSSSNSDANHLAARSSLPCEPSSVNGSNLRFPSDRGSSNRLHLPNGFGEQSMFTVEHSLFANEGRNKVRSAEDEIISNILSLDFDPWDESLTSPHNLAELLGKVDQRSSPVKPSNLLKQHNSQSRFSFAQESSNQAFDRENHSIYGQFSRERPIQESVVSRDIYRGNLGNLNGFASNYSGGLDNVSASPLFSSYKTPAVTRPQVSAPPGFSAPSRLPPPGFSSHERVGLSSDTAPGTRFLDSAAMLRNTYQVQPPVGNPSGASDIEFADPAILAVGRGMVNADLDMRSSFSSQMNSYGNETGLQILRHQSLSAAQQQVNGFHHDLRNLSPSLAETYGFSSRLMDHQAHGSNLSLFSQHPRQQPSANPVLSNGHWDKWNEGQSVNSLGMAELLRNERLGFNGSLYNNGYEEPNFRLPSPGDVYKRTYGM >A06p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7659592:7660155:1 gene:A06p017100.1_BraROA transcript:A06p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKNHLGCEIKCMLWMYHRGENDYVACLEHVLLKFFHIQISDHLTLKPTQPGAIACIHHLRTLNYKLLFNSSGCLFPLPP >A07g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20483093:20484221:-1 gene:A07g507540.1_BraROA transcript:A07g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLQHNNGEKYLQLQHTISDLSLKQQMIYTALPYLARLNPSVFLSGFGDHTAVLVASLMLRVSETNLSRVVIVASFIPPPCDPLDSIGKAKGKNYSETLLAEEETLDLFGVVEKLNQRTETEDRSQVVVLILLRSDMQVMVRRRPSFVLAAARANGDVSHGSTSCPVPLTVPAEVTWLAHVVVVVVTEFGVCAFAPRTWKYLVRFLEILELIGDKISDAAFGGSLRWDNV >A08p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3885195:3888805:-1 gene:A08p006730.1_BraROA transcript:A08p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G47480) UniProtKB/Swiss-Prot;Acc:Q9SX78] MESTKKQVSLEVLPWLVVHSDGTLERLAGTEVCLPGLDPETGVLSKDIIIDPKTGLSARIYRPDSIQPGQKLPVVLYFHGGAFLIASASLPNYHTSINKLVAQANIIAVSVNYRLAPEHPLPTAYEDSWNALQGVQAGNEPWINDYADFNQFFLAGDSAGANISHHLAFRAKQSNHTVKIKGIGMIHPYFWGTQPVGSEVTDEARKQMVDGWWRFVCPSDKGSDDPWINPFADGSPNLEGLECERVIITVAERDILRERGKMYYEKLVNSKWRGNAEIMETKGKDHVFHILEPDCDEAKEMVRRLALFINQVEA >A04p029090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17425957:17426643:1 gene:A04p029090.1_BraROA transcript:A04p029090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLDKAASTLNEAKESVTATVESVGASLTDAQKNVAASTETARTSLADAQETVAATTETVKSEAEAAPGKASDVSTQARDVLGNYMSRGIEGAKTLIHGLEEKKTEVSTKLVGAFTNAVGGASSSTTVASRDLPISTDNQPLLTGERGVDTTPWWKNCCGVLDLLKTSTTK >A08p011090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3238092:3238532:1 gene:A08p011090.1_BraROA transcript:A08p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSWTSTAQFSGMGWVWKDTMGKIQLMGLRNLQRRETALHSELETLQWAMESMIQHATCQRFGTDYKDLIVMIEQPQDMTNFSTELEIIQTLRLCSLDFKISYFPRTQNEIVDSLARNAHFLHRSLCFIGCSIPVWLPGHFKFE >A08g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16731376:16735940:1 gene:A08g508890.1_BraROA transcript:A08g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARELGMLWLSSSGFHLLERLRDLILSPVSIAASPHRRSAICDLRYIEACRKPIESSTSGIRALVMAIQGFIELMSFIMQRQHQRKKKVMFACGRRRRRRKRRKERSQGKIQSDLLVSARLWKKYAVLFQKIEKMAVGALMNLRFQDSSERKLQRSMTVRFTQLWNKTGSDFEASRLVQFSEVLSCWSAGSVSGVEGQILVDVGELRKDEDASVYETITGHNIQRMVEALSVWWYMNCFEEAMTPGGFSGGAVLLVQTNFSSLLSEGKENQVECVQENVKCSDIKAESEREKQFLGGAIFSKESCIIRVLSSRLRNKFLLKFSQSVSLQEGIMRRVKDLMMRDMVKGYKESVQVQVSRLSWLDRRLVESGLIQGGNKYVSGAFEVNQMGLQRNQVAGSQQFVWTEIEQRFSTSKQRCRVVFKSVCSSSGCEENLRLSSWCLLFVEIGFKGFESRSFWFEASDSCSANDSITCQASSEQLMDCVELLMGGRLKFPVL >A05p049880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28915168:28917450:-1 gene:A05p049880.1_BraROA transcript:A05p049880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDPKNGGGFFASLASSISNLGSAMTKSVNGLVGYEGLEVINPEGSTEDAAEEANRGRWKQEDRDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLLDMADQTEDPYMRMVYASSWAISVYYAFQRTWKPFNPILGETYEMANYNGVNFISEQVSHHPPMSAGHAENEHFTYDCTSKLKTKFLGNSIDVYPVGRTRVTLKRDGVVLDLVPPLTKVHNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNASEEPKILMTGKWNESMSYQQCDGEGEPLPGTELKEVWKLADVPKDDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEMGDMSKSGNEKSSLEERQRAEKRTCEEKGQSFTPKWFDITEEITPTPWGDLEVYQFNGKYSEHREAADSSEDNTDPKSIQFNPWQFQDLST >A02p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4040812:4043292:1 gene:A02p009570.1_BraROA transcript:A02p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLNSSQAPILFFFILVILLCSTQTQCHTKGLRLRPRNQKNVNTTSQRQNPEEEFIKWVRFVGSLKHSVFKAAKNKLFPSYTLTVHKNQNKGDFTNIQDAIDSLPLINLVRVVIKVHAGVYKEKVNIPPMKSFVTIEGEGAETTIVEWGDTAQTPDTKGNPMGTFNSASFAVNSPFFVAKNITFKNTTPVPLPGAVGKQAVALRVSADNAAFFGCKMLGAQDTLYDHSGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIADKLGAVTAQGRGSVLEDTGFSFVNCKVTGTGVLYLGRAWGPFSRVVFAYTYMDNIILPRGWYNWGDPSREMTVFYGQYKCTGEGANYAGRVAWAKELTDDEAKPFISLTFIDGSEWIKV >A06p022740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12080669:12081162:1 gene:A06p022740.1_BraROA transcript:A06p022740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKATFHLLMIFCLTLSQFFFFFPTNASRFGSLMERPDQVFLPQQDTILDVKKDVEERVTMDLNDYPGSGANNRHLPRGRGCIDC >A01g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16913326:16915467:-1 gene:A01g505700.1_BraROA transcript:A01g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDGRVKKRLSKTWKYIKQAMIRKYVSSLPSPEIRENYPWTFSSHVSKEAKRVVPQQGHRSLIHQDQIQPNQRSTVLYDQYQPYEVPKTMEKKNFVSQDTLARHKEKSDKSIFQEKAKSDLLVPNSCVMHLSLSKGIVSGLKEQAFKREEPPGVTFVIDKKMVQDTKLSMLLKEAKPVIKVSHQGKCLTPPRDTSTDVGVLDVWSKNESYMLTEVPRKEPDHKLSHKPPHKWKPKSEQWIVQIPRPMVSSMTDIMNLLLVQNVEIISSYTEESFKEIPPDNLLLLGESIPRETRNVATKFLRTIHLRIDAVTISRSEALSSFEVKTLSRVECDAAVKPVAEMEVDPIPYSASQDANHDICALKMPYLTNKEGPSICKYATLEEDSSPVKRRPEPKPIIGVNRSLSDFHKSEDHEKWSRNYEVMIQSLKPAKPVLQLSQLESNRFNQHQTRHWRPGDHFNQSGGIPEVVSCTITHWIRRILEEVIKLVQNYLWKDWTIFRFNPFQPNQLRPEDIKTKRRI >A07p043060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23318193:23319449:-1 gene:A07p043060.1_BraROA transcript:A07p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKDTEIKLFGTTISSLLAVDHHDPSSLSSIHGVSNQSKEAYSSSSSSCSPSTGPNMVLANNHEHGNSRFKGPCILSDLNEPPRAAFEISSPTSSKTNGDQQSEITTTTTSTSGDKPTTLKKPDKLLPCPRCESANTKFCYYNNYNVSQPRHFCRNCQRYWTAGGSMRNVPVGSGRRKNKGWACSNHYLKVTSEDYENNNNSGTILSFGSSESSVTETVKHQETKTHQGFLASQVMSPNNTSPWPYQWSPTGPNTSFYPIPFYWGCTVPIWPISETSPCLGKRARDQTEVETKNTTLRTSEATKNVVYPELPTKPEKNTEGGLSLFNRFETKGSNRRSLVPETSINLQANPAAMSRSMNFRESMQQ >A02g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18386001:18388065:1 gene:A02g506560.1_BraROA transcript:A02g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVIWTDLCLVFRNSEKLAAIETWDDGKTYEQAKTAEIPMLARFFHYYTGWADKICGLTIPADGNNHVQTLHERIGIAGQTIPWNFPLLMFLWKVVPTLACGNTIVLKKLNKLIALLFTSASFSLKAQECHKLSSLQQAANKWLERLQVDQPDYNFFICSIPPMRGKHIIYHQFGSGLNKRPIICYSTLHIAYTEGTNRPTNEPIEPPVSAGYNGDEDQTVTIRHQACKLRHQWPSTKLGSLAIAVGAYDVGKLNLELEVRMISVFIQLGFEDQSDTDILLCKVFIKISIG >A09p076810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57398066:57399610:1 gene:A09p076810.1_BraROA transcript:A09p076810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTFPSKILNLEGNGSLFLAVLNGYVTSFLNLCFGVSGAAASAAATASMKTVVVRFADAVAYYIATAGFISVSRRTRRQTPLPASTK >A07p013140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7678278:7686424:1 gene:A07p013140.1_BraROA transcript:A07p013140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVIGGGVSGLGSAYDLAREDGIKEVVLFEKEESFFGGHGKTMRFEGVDLDIGFIVFNPVTCPNMMELFKNLGVDIEVSDMSFSVSLNNGRGYEWGTRNGLSSLFAQKRNILNPYFWHMITEFNKFKEDALNYIEEMERNPDTDRNETLREFVKSHGYSALFQKAYLVPACSSIWTCPIDSALNFSAYSVLSFCRNHHLLQIFERPQWLTVAGRSETYAAKVRAELEQRGCKIRTSCNVQSVSTSEDGYILVTTEDGSQEVFDRCILAVNAPDALRLLGEDATPDETRVLGAFQYAYSDIYLHRDTDLMPRNRVAWSAWNFLTSSENKASLTHWLNIIQNLDEEHDSYFLTLNPEVSPKKTLYKWTSGRPLPSVSAWKASQELNKIQGKRGIWFCGAYQGSAGMGAAQSLLGKDMVPLLSNPKHMVPSLTEKGARFLFTRFLRHFISTGCVTVYEEGGTMFTFKGKDLRCNLESVIKIRSPHFYWKVMTQADLGLADAYINGDFSFVNKETGLLNLIMILIANRELNSKNLNLAKKRGWWTPMFMTAGLTSATQFLRHFSRQNTLTKARRNISRHYDLSNELFALFLDDTMSYSTAVFKSDDEDLKTAQMRKINLLIDKARIEKDHEVLEIGCGWGTLAIEVVKKTGCKYTGITLSIEQLKYAEEKVKEAGLQDRITFELCDYRQLSDARKYDRIISCEMIEHVGHEFMDTFFSHCEAALAEDGIFVLQFISIPEERYNEYRLNSDFIKEYIFPGGCLPSLARVISAMASSSRLRQIMDLGFDDKFIRTWEYYFDYCAAGFKTLTLEDYQVVFSRPGNVAAFGDPFRNFPSAHN >A09p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10224532:10225033:1 gene:A09p019280.1_BraROA transcript:A09p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFWTPQPSTRNSWLINKLLKIRPIYDCIKLRVGGGGGGNCITCRFWTENWSTFSSLKHYLHNDSNFSLGIQEDATLSILYSCNQILPHARLDEHLQLHAYLTTIQLSKGKTNTRYSTGQVYGILSAKGLEVTWNYIVWITGGIPKPKHFILASEN >A02p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24488028:24489692:-1 gene:A02p037460.1_BraROA transcript:A02p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVESEKSTDTELPTSNDTAQPEAGKFSLTKPANEKVVQTELNGQTSNEVSQTEQGTEIPVKENSTLTKGENINLSMQDYLNPGRTYSNRTAIKIPGDDTKKSKFNADYYRMVRQNPFQIKNSLNSLHSFLQNKHQSDIAQIDDNTLSDTDDYLDEGTNCSDPYSTGISNSHPILLNDLDPNPSQENRKTTAEKVKEKAIDLELEEDTEIEDEIDRQYETNVDRPERPTNDRQPEKL >A04p003540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1745013:1748688:1 gene:A04p003540.1_BraROA transcript:A04p003540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGRFGARSGDGVPFREAAALESLHIGGGEEFRQMVPPENAGGFTALLELPPTQAVELLHSSSSSASQATTLHSLGTLSFPSNPLLMERASRFSVIATDNQQNGNVSGETTTTSSVPSNEHIKTEPAETETCDQAAVEKQSNRCGKRKELDKKVKSSTKKKNKSSEENEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCDKIQGTALVLDEIINHVQSLQRQVEMLSMRLASVNPRIDFNLDTILASENGSLMDGSFNGATMQLAWPLQVMETEQSYHHRQLQQPPSQQWPFDGLNQPVWGREENQDHGNDHNNLMVGSTSLHPNQVKMEL >A07p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1128227:1129506:1 gene:A07p007240.1_BraROA transcript:A07p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGATYQRFPKVKIRELKDDYAKFELRDTDVSMANALRRVMISEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMSMRFSRDCDACDGDGQCEFCSVEFRLSAKCVTDQTLDVTSKDLYSADPTVTPVDFGDSSGADSSEQRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPDIIINEDMMDTLTDDEKIDLIESSPTKVFDFDAVTRQVVVVDPEAYTYDEEVIKKAEAMGKQGLIEIRPKDDSFIFTVESTGAVKASQLVLNAIDLLKQKLDAVRLSDDTVEADDQFGELGAHMRGG >A09p071600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55199931:55201870:-1 gene:A09p071600.1_BraROA transcript:A09p071600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSDQNKAFGWAANDKSGVLSPFHFSRRENGDNDVTVKILFCGVCHSDLHTIKNDWGFSHYPIIPGHEIVGIATKVGKNVTKFKEGDRVGVGVIIGSCQSCESCNQDLENYCPQLVYTYNSRSFDGTRNQGGYSDKIVVDQRFVLSFPDGLPSDSGAPLLCAGITVYSPMKYYGMTEEKGKHLGVSGLGGLGHIAVKIGKAFGLKVTVISRSSEKESEAIDRLGADSFLVTTDAQKMKDAIGTMDFVLDTVSAEHSLLPLFSLLKVNGKLVALGLPEKPLELPIFPLVLGRKMVGGSQIGGMKETQEMLDFCAKHSIVADIELIKISDINSAMDRLAKSDVRYRFVIDVANSINSA >A01p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7151141:7152361:1 gene:A01p014780.1_BraROA transcript:A01p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENYILSSSAAESVGIVSVKITQEMVCAFIEFESWSSADKALKKYVLTSWRTLLETGRTQILFYDVRSEKFCLINNDIDIPPGLADHTNFLTLLNYKGESIVTGNKFVEMTSTCELMWSSYLSHDLSNHFHVFFYNLERNTFTRVNTEGFRHHSVRRIHTFIDFVEIGEYEVYYSDLRSYETEDSIHIPV >A06p038260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20622483:20624771:-1 gene:A06p038260.1_BraROA transcript:A06p038260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFALHSLSTTATSASFSHHPSRQSLLRRISSRSPPSSISLRSHSIKPLAFPLLKPIHRFSTRIAAAPRDDSPPPPPSPQPPQGAKLVPLILSLSVGLILRFAVSVPEGVTPQGWQLLSIFLSTIAGLVLSPLPVGAWAFIGLTASIVTKTLSFSAAFSAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGIFLPIIKSLSLSAGSKPGDPSSRKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGVVIANPWVSWFKAASLPAIISLLCTPLILYKLYPPETKDTPDAPGIAALKLKQMGPVTKNEWIMVGTMLLAVTLWICGETLGIPSVVAAMIGLSILLLLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGVVSWMSDCVAKALQSLSLSWPAAFGLLQAAYFFIHYLFASQTGHVGALFSAFLAMNIAAGVPGILAALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPDVFKIGFVMATINAIIWGVVGTFWWKFLGLY >A04p006210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3199584:3200933:-1 gene:A04p006210.1_BraROA transcript:A04p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASLPGKNSGALNGLSSSENADAKNLRVKLVLLGDSGVGKSCIVLRFVRGEFDATSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYSALAPLYYRGAAVAVIVYDITSPESFKKAQYWVKELQKHGAPDIVMALVGNKADLHEKREVLTDDGMELAEKNGMFFIETSAKTADNINQLFEEIGKRLPRPSPSS >A02p000290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:175597:177675:1 gene:A02p000290.1_BraROA transcript:A02p000290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKIGSIDACKPTNGDVGSPPSGAVATIHDSAPSSALSVGSSEATLGRHLARRLVQAGVTDIFSVPGDFNLTLLDHLIAEPELKNIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDFGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVNNLEDAHEQIDKAISTALKESKPVYISISCNLAATPHPTFIRDPVPFSLTPRLSNKMGLEAAVEATLEFLNKAVKPVMVGGVKLRMQYGSIGWSVGATLGYAQAVPEKRVLAFIGDGSFQVTAQDVSTMLRNGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVRYEEELVEAIKTATTEKKDSLCFIEVIVHKDDTSKELLEWGSRVAAANGRPPNPQ >A09g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21945253:21953186:-1 gene:A09g507580.1_BraROA transcript:A09g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGTYRNYNLQHLNSGPASNIISNLSQRSISLDATTSSSIDTHNQPSTDTGPSSSIDPSRPTTIDTTPCTSIDNVSSKMVNIIILTQDENGNLYDQAGHLRNATVVRNENLEKGDFEIESSMSLGGSQWCRPMSMNSHQSTNHDEDRWTDCSRHRSTTSADSTECNAHTHPPSPFYEKNDRSVNSTIDRQSEPDVNRHNTPPIDRQAPLTYRVRLPSIDNDYINELRPPPKPLANPPEPTPNPLNSRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDNRRMFHQVRGKMKHKITLTKKSDPGKFAIPCIVKGVEFPRSMCDTRASRKVINYVDYGRELGFIGACHCGAQYESEYETEYSESIDTLTFPSIDSKVSMVIDDHNNTSLDVMHPLEHFTSPNHCYQYSAFQPPTRRGHDDYSIGSWADSGFHESFAVNTVITSPHEEHTEEYDEDYWKERAIEISLQDERLETHNFTNTFPTSLDAVHSTSIDTHSRPAKQPLTSIDTSKRTSIDIRAAAKIQEQENIPSLARDPDGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDHNNHAGVTTTETNPDLSRQPKGQASIDGIMETSIDRITPTSINMDNQTSIDRRYECGNRAFDMDEYGVYRDERGHARSTASEMIPVTKDNIRKILERASLSEESHICLPEHATSFTPTRLEPELYTKEEIDEMVFGICGAQEKLGEELKTLQLEEEATTSASIDAPCAKSIDVSLPTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPFNNNVNWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSTSINRLRGPWIDGRKPVELLLYTAAEFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNEHIIASIDAESTTIGEQLIHKTVESMQKELTDISAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRSFIASWSRMRRDDVDACLSTYQLHTCLEPYESSKRDLEAAIFKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQIPHKGHFTRADHLEVDERKNNRSIRISADDRYQEMPRQMKINIDRCTHVPSIDAWLEPIDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTGETQNLLGIYRNYNLQHLNSGPASNIISNLSYIYHLLASHYCYFI >A08g510510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23955837:23960920:-1 gene:A08g510510.1_BraROA transcript:A08g510510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAHVCNDYWPTARQLWKPAVVVVELGVTDCKYLLYRWISYTCNESIQLSSCTAPRCTLSHTQTLLLLHSLFLSLRLIVFGNPSRGRNDLIDVHLSNQELKDVHPAVEGNPSRERNDLIEVHLSNQDLKDVPPAVEANPSLGRNDLIDVYFSNQDLKDVHPAVEANPSLGRNDRTDVHLSSEDLKDVHPAVEANPSLGRNDLIDVHLFNEDLKDGHPAVETNDSVCPSPPRDRLAAYSVGAVKRNGGCIFFAQGHCPFGIDCWFSHLGGERSCIGEASTSRLGRCFSNELGLPGISPPPGNEHEPPWNNHERAAVANPSLGRNNLIDVQLSNQDLKDVHPAVEVNPSLGRNSLIDVHLSNQDLKDVHPAVEANPSLGRNNLIDVHLSNQDPKDVHPAVETNDSVCPSPLRDRLAADSVGAVKRNGGCIFFAQGHCPFGIDCWFSHLGSERSCIGEASTSRSGYWFSNELGLPGISPSTGNDDEPPGNDDEPPGNNSERAAVIRNIVCELENQSLLPDLVRELFGSAVYRSCCKEGKPRGISCWFQPEVQSLPIFNNQFLSWRSPKRSNSPWEDGVTRLDRRWVQLTVEEKNIVGPIRSKQEEDIVGLVRDQKVHLLCWAYVAVDLVSAMRLINGQDDTFVPLSVRELCFYARPRERFLRTVQKIAHRCHELRVEFAFDYIMSTGVRRQGGNEETFDCVEGGPGEGEDTVRIEDYTFLGKDFKAALKRLQLQPIGASLHVFEEYWDIKKGDIYRGPTSNSTKYYRLHAVVVVDAFFIDGELIFWCKSSSGKQLHDEGYIMVSAAIMVLGLHYESDNDEPTFGIRDERRSKTALYEPAYLISDFVYPRMKAKSKKGKRKRSDL >A01g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16230652:16231355:1 gene:A01g505440.1_BraROA transcript:A01g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNGSGYVGAEAYGNAEARSFKKLRNGYVMEAEYFRSVLEARFRKLPQGSDSDSGSEAGSGRPMKLPCNVDS >A08p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19558935:19560986:-1 gene:A08p032280.1_BraROA transcript:A08p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSKRQRSSDHTVVRIWERELGQLPNRSFSNRFSASEHLLNRLGLHKKLQEHTGCVNTVSFNADGDILVSGSDDRQVILWDWDTATVKLSFDSGHCNNIFQAKFMPFSDDRSIVTSSADGQVHKLAIEPGSPFSFYTCGEDGVVKHFDLRTRVATNLFTCKEAKYNLIVYLNAIAVDPRIPGLLAVAGMDEYARVYDIRSYRSETWYNYSEPVDHFCPAHLIGSDHVGITGLAYSDQSELLASYTDEFIYLFSPGMGMGPNPPSSSETDTETETETEVRKTIQPQVYKEHSNRETVKGVGFFGPKCEYVVSGSDCGRIFIWRKKDGELLRAMEADKHVVNCIESHPHMPLMASSGIETDIKIWTPGGTEELGSPRTAEQASVSGNSRWFMFYDEEGDDHARGYYVADCDDDDEEEEDEDDSDDESSDDDESAEEEGDSDVDVEIRKDNDDDDEDQG >A06p038470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20701644:20703236:1 gene:A06p038470.1_BraROA transcript:A06p038470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 12 [Source:Projected from Arabidopsis thaliana (AT5G64470) UniProtKB/Swiss-Prot;Acc:Q9FGE9] MEFGSRRTYTTMPSKLRSSRLLLISLLLLLFYSTTLLRRPTTPNILSPPPCDLFSGRWVYTPETPKPLYDETCPFHRNAWNCLKNKRENMEVINSWRWVPDGCGLSRIDPTRFLGRMRNKNIGFVGDSLNENLVVSLLCILRVADPSAVKWKKKKAWRGAYFPKFNVTVAYHRSVLLAKYNREANEDGVKGAYRVDVDVPADEWINVTSFYHVLIFNSGHWWGYDKFPKETPLVFYRKGKPIHPPLDIIQGFEVVLQHMVSYIQRQVPAKTLKFWRLQSPRHFYGGDWNQNGSCLLDKPLAKDQLDLWFDPRNNGVNKEARRINQIIKSELQNTESEIKLLDLTHLSEYRADAHPAIWLGKQDAVAIWGQDCMHWCLPGVPDTWVDILAELILSNSKTE >A05g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22543224:22543526:1 gene:A05g507820.1_BraROA transcript:A05g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCKSQAACCACSLIQSHDCHQLLAAFFLGGKGQHNFLCYKTPPSLFWSSITTRKSERNFQKNQRERREKI >A02p014080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6167193:6167585:1 gene:A02p014080.1_BraROA transcript:A02p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKDGSLLSRLRRAVNKVKFVLSFKINSLWGLVPMLGCSSSSSALRFSFNDRPGLAAACAEENESDSACSSRGALYRAGSYDPSSDEDIDNKAETFIANFYKQLKIERQISLELKYLGNNQSFNYRSP >A09g511330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33931819:33932343:1 gene:A09g511330.1_BraROA transcript:A09g511330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09g513230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40223517:40227320:1 gene:A09g513230.1_BraROA transcript:A09g513230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPVLQRDENGDMHDPEGHLCNAAVSLVEQDVEKDENHDHIQMMEKIIRIQQKTSTYLNLRLDVLFKGLNRKYETLDGHVRMLDAHVSQTAKAVKKQEALVKEKTVESERHQVDVISDNYIGEVLEQEKLKEDAFLVESSMSIGSSYWCRSTPTTEHQWTPTTKHRSTLSVEHQSTSSAEHRSTPLLGSDKTVRIQNHSNFAARHPHPPILYCAKRDNIDRQQYNEIDRQRHGKTERQQQPSSDKCPPLTYRVRLPSFDVDRLNATRNQSQTSICLKTPEKTSQKPADAPKQEQSIVAETSFIESIIRRHKSDVDRCWVKNGHDEVNIQISVKISMNAFKKSNLRKEIFTKNLAVKSCTNLDREPAGRYVATDQATRSDRPNLSFGRSVAADPERIRSLRSDRTVSDIDQRVRPKSMHSRLHKRVKRVPKDMSFEDAYYKYRLGNFFRESRETDKDIGLLFNKVSCKPKRTLKKEQDPGKFMIPFTIHSHNLPNALCDTGSAELISCIEMFEDPGPTADSNREPAILDQRRSTLELQHRSTFSPHNRSTISLQHRTTLSA >A02g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15886331:15890452:-1 gene:A02g505070.1_BraROA transcript:A02g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEELRDRLTCDSLLGSFDRMRTEPDRYTSFEFIQQDVDIKETINKDVKTEERWAKWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYINRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLQVSKLIEAHIQACPYTQTSLRRGIKKEWRGGFKCLAILTNIQQTDAREKSFVSTPKWRQEARRKGETSSGHKKKLKGDLTVKQLAPIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLSSKCTKISLSLTEDDDDDPVMS >A08g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10152404:10153628:1 gene:A08g505910.1_BraROA transcript:A08g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDVACVLRKMCLDAKAPHLSLTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIVVIRRGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAKTKLKSAVQLQDLLDATRMLVPRTRPGRESDSDPEYLEHAEKLRQVKAVIEEVL >A08p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21761770:21768988:1 gene:A08p037300.1_BraROA transcript:A08p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:Projected from Arabidopsis thaliana (AT1G21650) UniProtKB/TrEMBL;Acc:F4HY36] MGTVLNLVNPPNTTPCLLSPSNKFPWTKPFLSGVFIARPVTPVQRCHVVRRSRVSASLLGNLGRLKRNLQDVTSMNYWVVRDYYRLVESVNSIERQIQSLSDEQLKAKTLEFRERLARGESLADLQAEAFAVVREAAKRTIGMRHFDVQIIGGGVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQELGFDYLRDNLTSNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANENAARYPVAAKVAELLVKDIHYKVELKENSVELTEEGISLAEMALETGDLWDENDPWARFVMNALKAKEFYKRDVQYIVRNGKALIINELTGRVEEKRRWSEGVHQAVEAKEGLEIQADSIVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQIPVIEVPTNLSNIRIDLPIQAFATARGKWEYVRREVEDMFGQGRPVLVGTTSVENSEYLSALLKEWGIPHNVLNARPKYAAREADFIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSILSYLTSEVLADDIDDNELSQKVLSKIKVGPSSLALLARASLMAKYVGKSESKSWSRKKAKSVVTESLEKSQTMDPMELQNLVNEQSEMYPLGPAIALAYLSVLKDCEAHCLYEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISKITNDEDLPIEGDTIVKQLLALQINAEKYFFGIRKSLVEFDEVLEVQRKHVYDLRQLLLTGDNESCSQHIYQYMQAVVDEIVVGNSDPHKHPRNWNLAKLLKEFMAISGNLLDERTMLQSLENLHETSSIDMDNFHLPNLPKPPNAFRGIRRKNASLRRWLDICSDDLTGSGRYRTSINLLRKFLGDYLIASYLSAVQESGFDDGYVKEIERAVLLKTLDCFWRDHLVNMNKLSSAVNVRSFAHRNPLEEYKIDGCRFFISMLSATRRLTIESILQYWSSPMESQELFVS >A09p078290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57962591:57965461:1 gene:A09p078290.1_BraROA transcript:A09p078290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVVSAVENMQQPLLEPSKSDVDFRMESVLTDPHLPYYRRVYLAALIEIKFLFHLAAPAIFVYVINNGMSMLTRIFAGRMGSMQLAAASLGNSGFNMFTFGLMLGMGSAVETLCGQAHGAQRYDMLGVYLQRSTVVLLLTGLPVTLLFIFSTPLLTLLGEPADVASTASIFIYGMIPMIFAYAVNFPIQKFLQSQSIVTPSAYISAATLVLHLLLSWLAVFKLGWGLLGLSAIHSLSWWIIVIAQIMYIKMSPRCRRTWAGFSWKAFDGLWDFFRLSAASAVMLCLEAWYAQILVLLAGLLKNPELALDALAICMAISAISFMVSVGFNAAASVRVSNELGAGHPRSAAFSTVVTTGVSFILALFEAVVILSWRQVISYVFTDSPAVAEAVAELSPYLAITIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYIVGIPIGYVLGFTYDMGAKGIWTGMIGGTLMQTIILLIVTIRTDWDKEVETASRRLDQWEDSQAPLLKQ >A04p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11395537:11396446:1 gene:A04p019020.1_BraROA transcript:A04p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G40950) UniProtKB/Swiss-Prot;Acc:Q9FLN4] MAIATSTSLNLIGAFKGLSLSSTSSFLKGDLNLNPKTSFTVTLPLEKLQAPVPLTIESAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIVRQRGTKFHAGKNVGIGKDHTIFSLIDGLVKFEKFGPDRKKISVYPREIVPENPNSYRARKREAFRVQREKKKARRENYTYTLPTPELVLASAAVDDEETNADC >A03g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17448086:17449057:-1 gene:A03g504860.1_BraROA transcript:A03g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDFSLKETCPKIGGGRSIPGHHSTSWSECHFSTSDSSKLELYLLTTHLSRPTKKRLLVSVSSKSLRFRRGSPGPDSPLAPQWYRLEDINRNKFGGEVMLSVWMGTQADEVFPEAWHSDSVKVSRDNASLILSLEDKLGQKEECLGKCEIKLSQVETRVLPGPVLPIWYNLVGDSRGFAGRLHLRVSLDGGYHVLDESTQV >A06g500940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3663944:3664222:1 gene:A06g500940.1_BraROA transcript:A06g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRGERAVGHAYIYMLGWRESPTKLDEYWRRIKTSLHGIVVARLPVYMTTYQETRAAITSLCQRNLRKLEPPERCHVNDMDNYCELCLCYK >A07p011710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8538845:8548417:-1 gene:A07p011710.1_BraROA transcript:A07p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24320) UniProtKB/Swiss-Prot;Acc:Q84LK0] MHWIATRNAVVSLPRWRSFAFLFRSPFRTHSSLKPSPLLLLNTRYSERRYCLGDGKSVKGITTASSKKVKTKSTDVLTDKDLSHLLWWKERLQTCKKPSTLQLIERLMYTNLLGLDPSLRNGSLKDGNLNWEMLQFKSRFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPKAGCPVVNLRQTLDDLTRNGFSVCIVEEIQGPTPARSRKGRFISGHAHPGSPYVYGLVGVDHDLDFPEPMPVVGISRSARGYCMISIFETMKAYSLDDGLTEEALVTKLRTRRCHHLFLHASLRHNASGTCRWGEFGEGGLLWGECSGRNFEWFEGDTLSELLTKVRDVYGLDDEVSFRNVNVPLENRPRPLHLGTATQIGALPTEGIPCLLKVLLPSTCSGLPSLYVRDLLLNPPAYDIALKIQETCKLMSTITCSVPEFTCVSSAKLVKLLEQREANYIEFCRIKNVLDEVLHMHRHPELVEILKLLMEPTWVATGLKIDFETFVNECHWASDSIGEMISLDDDESHQNVSKCANVPNEFFYDMESSWRGRVKGIHIEEEITQVAKSAEALSLAVTEDFHPIISRIKAMAASLGGSKGEIVYAKEHESVWFKGKRFTPSVWGGTAGEEQIKQLKPAFDSKGKKVGEEWFTTQKVETALVRYHEASENANARVLELLRELSAKLQTKINVLVFASMLLVIAKALFSHACEGRRRKWVFPTLVGFSTDEAANPLDGGATRMKLTGLSPYWFDVASGTAVHNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESAYIPHFDSIMLHMKSYDSPVDGKSSFQVEMSEIRSIVSQATSRSLVLIDEICRGTETAKGTCIAGSVIESLDASGCLGIVSTHLHGIFDLPLTAKNVTYKAMGAENVEGQTKPTWKLTDGVCRESLAFETAKREGVPETIIQRAEALYISVYAKDASFGVVRPNKTETSSDNEISKPVRSERSLEKDLAKAILKICGIKMNEPVGLECLSIGARELPPPSTVGSSCVYVMKRPDKRLYIGQTDDLEGRIRAHRAKEGLQGSSFLYLVVQGKSMACQLETLLINQLHEQGYSLANLADGKHRNFGTSSSLTASDVWDMDEVVVQVEKTKREWDDAYEKTIEHILAIQEYGKSRRGGEEKISLQRLNGLAQDGLSLLNSLQFNLDLLAPQLPSDDQVQSTQSLLETWKNQYQSLRVNLRSANLKAKDNMRKAAQQERELLLGGGTESTDLRRKRQANAGVTSDAESITESLRRSRQLMVQEVERSTNTLVAFDESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRQDVIDRVILIIGFSLFVCAVLYVVSKRIGILKLQQMATAAIKAQLAGKAANGDAMPLGQEFDGGNTVPNVGIPLQQRLHDEL >A07g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17098054:17101563:1 gene:A07g506760.1_BraROA transcript:A07g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSSVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKGKEGSLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAFMDEMQNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKSYIKWCANMAQSLNVGVPWIMCQQNDAPQPMLNTCNGFYCDDFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMEKILTYGNISTIDFGNSASATIYRTKEGSNCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFLGKNMSLRVNSTAHVLHAFVNGKHIGNQYAENGKFNYIFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPISITERNVDETIVKDLSAHKWSYKTGLNGFENQLFRTESTSKWSVESVPFNRTMTWYKATFKAPLGNDPVVVDLLGLGKGTAWVNGNNIGRYWPAFISSENGCAAKCNYRGPYHAEKCLTNCGEPTQR >A07p051390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27287271:27289068:1 gene:A07p051390.1_BraROA transcript:A07p051390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLKVDPLAKVISASTTSMKSRQSEPYYGTLETYQGLPCPYGGYYGFYYPSLDGSVGEAKDNGYYSYGTEAQYPVMQGENGSLVYMMPGFQSYDVSSTYMPISPACVSSQALHSPMYAAQGYYQNQYGYGDVSSPTYLWEDKYAYGVASSNQPLKQNISCSSSHNHRNYYSKSKTSFTSQSTGARPKKSGVLNRDETEKAKARNKDNVNSTEGECESCVGSVIKRDQYNLPSFETKYEEAMFFVIKSYSEDDIHKSIKYSVWSSTLNGNKKLDNAFQESQKKVAEKGGTCPVFLFFSVNASGQFCGVAEMTGRVDYETSMDFWQQDKWTGYFPVKWHIIKDVPNPQLRHVILENNENKPVTNSRDTQEVRLPQGNEVLNIFKNYAAKTSILDDFDFYENREKVMVQKKLRFPPAQIKKKKEEEELVADLQTMEISKSEKLT >A09p069100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53943991:53945370:1 gene:A09p069100.1_BraROA transcript:A09p069100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIRSSPALAFLVLQISLIFFASTLPYSSGSEDSYTITGRVKIPPSNVIGHLSKFSNVKVILNGGQSVTFLRPDGYFSFHKVPAGTHLIEVSAMGYFFSPVRVDVSARHHGKVHATLTETRRSLTELVLEPLREEHYYEIREPFSILSVVKSPMGLMVGFMVVVVFLMPKLMENIDPEEMKQAQEEMRRQGVPSLSSLLPSAGASR >A02g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1792262:1799766:-1 gene:A02g500660.1_BraROA transcript:A02g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFVSCFQSNGFLDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSRLPGSRLDFQEVVWTSRKSSGLLESRLDFFERFGKFLIIWYSSSTNLKVFQIWKTSGTTLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNYLAYIRLFQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRENERYVVSIKSFKLVVHGGCGIDDNGNLLSVALQLKYVFFFLCSHSSSSSSSRIHFEVDPITEQLITILRLSGAMMGICLMKRCCSRLLFLSLFCALMNQNEAISPDGEALMSFRSVVSSADGVVGKWRPEDPDPCNWKGVTCDAKTKRVIALSLTHHKLIGPLPPELGKLDQLRILMLHNNNLYGSIPTALGNCTSLEEIYLHNNFFTGPIPSEMGNLSMLKNLDISNNDLTGAIPVSLGQLEKLTSFNVSNNFLVGKIPSDGLLAQFSKDCFIGNFKLCGKKIDMECPDENSSTGSRSTGGGKTGKLLISASATVGGLLLVALMCFWGCFLYKKLGRDESKSLAIEVGGGASIVMFHGDLPYASKDIIKKLEALNEEHIIGCGGFGTVYKLDMEDGNVFALKRIVKLNGGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDQALHEYMQSGRATEKTDVYSFGVLILEVLSGKLPTDTSYIEKGYNVVGWLNFLISENRPREIVDRSCEGVETESLDALLSIATKCASSSPDERPTMHRVVQLLESQVMSPCPSDFYDSSSD >SC261g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000110.1:18841:26303:-1 gene:SC261g500030.1_BraROA transcript:SC261g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRDPNVGSRNQHESSPAPVGTNQSPHLDREGGRESETQEDGQDGAGLSQAGEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENGNTLGTLGYSFGASYE >A10p028970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17842758:17847132:1 gene:A10p028970.1_BraROA transcript:A10p028970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDF [Source:Projected from Arabidopsis thaliana (AT5G16780) UniProtKB/TrEMBL;Acc:A0A178UP47] FFFFSSQNRFPSRLSEFRRRFLPASFQPFAVLIEVSGICLGVLRMEVEKSKSRHESREERGDNKGSPSRESRDGRRKEKDYRSKDKEKDYDREKNRDKDYRRDKEKERDRKRDKEGDTEKEKSRDWDRERDRDRDRGKDKEREKERDKHRDREKEKLKEKSKEKEKEAYKDKDRSRVKDRSSKKSYEDEDENEKRAETKDHYDSEDNADAAPSGKEQSATEVEERIKKMKEERKKKAEEASDALSWVARSRKIEEKRNAEKQRAHQMSRIFEEQDNLIQGENEDGEDGDHLSGVKVLHGLEKVAEGGAVILTLKDQSVLADGDVNNEIDMLENVEIGEQKRRNEAYEAAKKKKGIYDDKFNDDPGAEKKMLPQYDEPDTDEGIILDAKGRFTGEAEKKLEELRKRIQGQTTSTFEDLNSSAKVSSDYFSHEEMLRFKKPKKKKSLRKKDKLDISALEAEAIASGLAAEDLGSRKDGKRQALIEEKERSENEKRSNAYQTAIAKADEASRLLRMEHVQSTKREEDELADDAEDLYKSLERARKLALTKKEEVRSGPEALAHLLASRTNETTDDNSGSGAETQENTMVFTEMNDFVWGLERGEGVVGKPESEDVFMDEDEAPKAPEEVKEEQPDGWAEVKDTDMDEGEVPSDTKEITPDETIHEVAVGKGLSGVLKLLKDRGALKEKVEWGGRNMDKKKSKLVGIVDDEGGKERFKDIRIERTDEFGRTLTPKEAFRLLSHKFHGKGPGKMKEEKRMKQYQEELKLKQMKNSDTPSLSVQRMREAQAQLKTPYIVLSGNVKPGQTSDPQSGFATVEKDVPGSLTPMLGDRKVEHFLGIKRQSEAGNSNSPAKRPKH >SC179g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:435898:437484:-1 gene:SC179g500200.1_BraROA transcript:SC179g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQAIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A05g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3265429:3267987:1 gene:A05g500900.1_BraROA transcript:A05g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVLSLNGSGAGAASVPATTFLGKKVVTSSRFSQSNKKSNGSSFKVVAVKEDKQTDGDRWRGLAYDTSDDQQDITRGKGMVDSVFQAPMGTGTHNAVLSSYEYISQGLKQYNLDNMMDGLYIAPAFMDKLVVHITKNFLTLPNIKVPLILGIWGGKGQGKSFHPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDKIKDEDIVKLVDQFPGQSIDFFGALRARVYDDEVRKFVEGLGVEKIGKRLVNSREGPPKFEQPEMTLDKLMEYGNMLVMEQENVKRVQLADQYLNEAALGDANADAIGRGTFYGKAAQQVNLPVPEGCTDPAAKNFDPTARSDDGTCVYTF >A06p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26206817:26208355:-1 gene:A06p049650.1_BraROA transcript:A06p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYNSKKTDDICDDVCGQQGSKAGATISRLKCVLRGFDVRTLLCLFILMPFAIFAIYLHGQKLTYFFRPLWESPPKPFHTIPHYHTENATMQSLCSLHGWGVRDSPRRVYDAVLFSNEKDLLTVRWKELYPYVTQFVLLESNSTFTGLPKPFVFKSNKDQFSFVEERLTYGNIGGRFRKGENPFVEEAFQRVALDKLLRIAGIEEDDLLIMSDVDEIPSAHTINLLRWCDDIPPVLHLQLKNYLYSFEYYVDSKSWRASIHRYNPGKTRYAHFRQSDVMLSDSGWHCSFCFRYINDFVFKMKAYSHSDRVRFSHYLNPVRIQDVICKGTDLFDMLPEEYTFREMIGKMGPVPRSYSAVHLPSYLLENAEKYKYLLPGNCVRERQTG >A08p007110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4131757:4133646:1 gene:A08p007110.1_BraROA transcript:A08p007110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDVKVDEKVEEADRLLPPHSLPSNSDEDESEAAFESRDKIVIVDFESADDPSAAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQMLSARVGVATGRHLAELCRDEYPTWARYVLWSMAEIALIGADIQEVIGSAIAIQILSRGVLPLWAGVVITASDCFLFLFLENYGVRKLEAMFAVLIATMGLSFAWMFGETKPSGKELMIGILLPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRQIDPKRKSRVQEALNYYLIESSVALFISFLINLFVTTVFAKGFYGTEKANNIGLVNAGQYLQEKFGGGLLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRAVITRSCAIVPTMIVAIVFNTSEASLDVLNEWLNVLQSVQIPFALLPLLTLVSKEEVMGDFKIGPVLQRIAWTVAALVMIINGYLLLDFFVSEVNGFMFGVTVCVWTSAYVAFIVYLISHSNFFPSPWSSSSIELPKRVTVSDS >A09p018350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9596817:9598115:1 gene:A09p018350.1_BraROA transcript:A09p018350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILRGRRLQKCRLSLNLTPFSSAARKGQNFTVSYLVDSLGFTPKLAESISKKASFNNKCNPDSVLSLLRTHGFTDSQISTIITDYPLLLTLDADKSLAPKLQALQSQVSSPSELTETISKVPKILSKDRSLSVYYDFVKEVMEADKSSKLETLSPQRGKQENKLRNVLALRDLGVPQRLLFPLMISECPHVCGKEKFQESLKKVLEMGFDPTTPKFLEALRVVKGLNKEAMEEKVNVYKRLGFAVEDVWVMFKKFPTFLTLSERKITLNFETMRKCGLLEEEVRLVVKRFPQCIGVSEKNILNSVETFIGLGFSREDVAMMVKRLPPCIGYSVETVKKKIEFVVKKMGWTLKAVASNPQVLGYSMEKRMVPRCNVIKALIAKGLIRSELPPVSTVLVCTDQDFLKRYVRKHDDDDEELVAELMGIFTGE >A10p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17556519:17561855:1 gene:A10p028150.1_BraROA transcript:A10p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAISTKKWTRKSGTAIHAALSLIIFFFLDLADAALCVVYELLDELLEGNSQSCYCNASPHTTGENEVSETLFKRRNVFREMGFLGFVRKFKLSGRMVKSEKTHRWSDCGCQSCNSWTKNEDGNLHVVVKDSTPQEKTEDSAQEPLENVIFIHGFMGSSYFWTETVFEHVNEERYRLFAVDLLGFGESPKPRDSLYRLRDHVEAIERSVIKPYQVDSFHVVAHSMGCLIALSLAAKHSTIVKSVTLVAPPYLPSSLEGSVLTRIAGKRLWPPLAFGTAVMSWYEHVGRCVCFIVCKHHKIWESLIKLCTGKREIPWKIKDITRHTHHSAWHSMHNVICGGSKFADKHLETLVNSSVKIHLMQGDRDEIVPLHFSGDMKRNFQAVEVEIVVGADHESVIGGRREEFDGYQTGNMSKVIRAQSKQSINRSLLERISPHNVDASFPNFITSTHNKPLLVDEVNWLSHRIHCLLPSLRFNGGDNKLKRLLPKELILPPLSLFLPFISRHGYRVPPNSRSADSIGRLRRFRRRCLDPENFKEFKLVTKEQLSHNVAKFVFELPSSTSVLGLPIGQHISCRGKDAQGEDVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMRVGDHLAVKGPKGRFKYQPGQFRAFGMLAGGSGITPMFQVARTILENPTDKTKVHLIYANVTSEDILLKEELESLTANYPDQFKIYYVLNQPPETWDGGVGFVSKEMIQSHCPAPASDIQILRCGPPPMNKAMAANLEALGYSPEMQFQF >A09p056220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47896743:47899190:1 gene:A09p056220.1_BraROA transcript:A09p056220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRDLLVDALSMKEGNGEHSYYTNSHYQCLLRDRTCGDRELHRNVNEFGLSRPEYIKVADLGCSSGRNMLFAMSEIVNTIIQSYHQKGRPNAPEIECCLNDLPHNDFNTTFKLVPSFLEKLKIEVKGKCFVSGVPKSFYSRLFPSMSLPLAHSSFSLHWLSKVPDGLENNNTSIHIKSYLNQFKNDFSLFLRMRSEEIVPNGQMVLTFVGRKVSDPLSKDCFQVWSLLSDCILDLVSEGIIKESEIYSFNMPFYNPNEEVREAVINEGSFKINKIETHEHIVPYKIEKDK >A08p041730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23528041:23534772:1 gene:A08p041730.1_BraROA transcript:A08p041730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYVMIDARSTGKYYHFVRLMGRAASHITLECALQAHPNITIIGEEVAKIETEKMLIQMVETELEKRKQAGSYKGQFMGQSHFFGCGLPTNFDATYCYALGYGAGVLLNSGETGLHEPRGMGFEEPIHQPWTSEARDRHAQSASLLASESSVRLVLFYLTGGVLMKMEAVVTDNGVNLKHNGLMETKPEKDKPVKPTSLSSGSDIEEEEEEEERSVGSLKRVSNKKRKRSIADEDRVAKTKSSREKRAAKRRKEKINGDEKKPRQRSSVKRRAKTKKEDEEREQELSLVPFIEPTDAGNSSESDSHVKNDNFNDCRSMTRSLRAALGELAICHQCFKGERRFLFICTFCEEKLYCFPCIKKWYPHLSHDDVIEKCPFCRGTCNCDVCLQSSGLIETSKRKLGDHERFHHLQYLIGSMLPFLKKLCKAQEEEIETEAKIQGLMTSQVDVSETLCSNEERVFCNHCATSIVDLHRSCPKCSYELCLKCCQEIRGGLFSERPELKLSFVYRGSRYIHGEDAEPSSSSVLEDEANDDKPSVNWTADDNGRITCASKDLGGCGECVLELKRILPLTRMSDLEKKAESFLASCSVKSLTVSNCRCDSDFEMSTMRKAASRNSSNDNNLYSPDSFDVLKEEELLHFQDHWRKGEPVIVRNALNNTAGLSWEPKVMWRALCENVDSAMCIDSLANCEVKIKTRDFFEGYSKGRSYGNLWPEMLKLKDWPPSDKFDNLLPRHCDEFISALPFQEYSDPRSGVLNIATKLPEGVLKPDLGPKTYIAYGNADELGRGDSVTKLHCDMSDAVNILMHTAEVTLSKEQLSAIEALKLKHKQQDEKELQDQNDLDRGEIVAVNDENGFHHDETGSALWDIFRREDVPKLEEYLRKHCREFRHTYCSPVTKVYHPIHDQTLFLTVEHKKKLKAEFGIEPWTFVQKVGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTEEFRQLPKNHKAREDKLEIKKMVIYAVEQSLKEVETLLPDLSLKEA >A04p017830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10771746:10774722:-1 gene:A04p017830.1_BraROA transcript:A04p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLTLKLLIPVLLVLRYFADSASVVKFLPGFEGPLPFELETGYIGLGEEEELQMFYYFIKSENNPQEDPLLIWLTGGPGCSSLFGLVFENGPLALKFEVYNGSLPSLVSTTYSWTKMANMIFLDQPVGSGFSYSRTPLVDKVSDTGEVKRIHEFLQKWLSKHPQFYANPFYVAGDSYSGMIVPPVVQEISKGNYICCKPLINLQGYILGNPVTDTKLEHNSLIPFAYGMALISEELYESMKRICKGSYGKVDSLNTDCLKLTEIYQKCTDRLNKYHILLPDCHKTSPDCHWANNERVHKALHVNKGSIGEWRQCNYDGISYKYDIQSSVPYHKNNSINGFRSLIFSGDHDMTVPFLATQAWIKSLNYSIIDDWRPWMMNDQIAGYTRTYANKMTFATGGGHTADYKPDETFIMFKRWISGQPL >A09g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10095413:10095940:-1 gene:A09g503110.1_BraROA transcript:A09g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTSKSADQNSPNSIMNLPARNRKCHLYKTIHNILCRHHLRLILFLFLFVSYQTLFPLDNYLFILSNNLVLNCLNWITFPLDWIGLRLHRSKMVRITIWDNEAANLRKLNRISTRKNQIVIITSIIPRLHEGNKLNKKFMSN >A10p001840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:946354:947772:1 gene:A10p001840.1_BraROA transcript:A10p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIFEGUARD 4 [Source:Projected from Arabidopsis thaliana (AT1G03070) UniProtKB/Swiss-Prot;Acc:Q9SA63] MHKWNLPYRKDDVESGGERPLYPTMLESPELRWGFIRKVYSIIAFQLLLTIAVAATVVTVRPIAVFFSTTSAGLALWIVLIITPLLVLCPLYYYHQKHPVNYLLLGVFTVALAFAVGLSCAFTSGKVILESAILTTVVVLSLTFYTFWAAKKGYDFNFLGPFLFGAIIVLMVFALIQIFFPLGRISVMIYGCLASIIFCGYIVYDTDNLIKRYSYDEYIWAAVSLYLDIINLFLSLLTIFRAAES >A02g512940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35401329:35403639:1 gene:A02g512940.1_BraROA transcript:A02g512940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAMMLVNVGTVIMTTLTLASLVRGFGVNWGNIASHPLNPDIVVRMLKDNKINKVKLFDAHSWTMNTLAGTGMEVMVGIPNNLLESLAEDYENAKDWVKENVTAYMRKGGVNIKYVAVGNEPFLSAYNGSFLETTFPALKNIHKALKEAGHTDKMKATIPQNAEVYQSANDKPSEGDFRSDVKKTMLDIVNFFHDNDLPFTVNIYPFLSLYLNEHFPVEFAFFDGKGESIPDKGKNYDNVFDANYDTLVYSLKKAGIKDMKIIIGEIGWPTDGHKHATPKLAEKFYADFTGKGRKIVPVAAKGVKYLDKQWCIVNKDTINLDKVAPDLEYACYHGDCTAMKGDSRCSKLDRIQNISYAFNMYYQIQGQDVRACDFKGTARITKDNATVGSCLFPIQIVSGSDDFRISFVFGRFFVVGLVLLGLIATI >A05p001950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:503857:508080:1 gene:A05p001950.1_BraROA transcript:A05p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiosis-specific protein ASY3 [Source:Projected from Arabidopsis thaliana (AT2G46980) UniProtKB/Swiss-Prot;Acc:Q0WR66] MGSVVMATRLASISIGPPLSLFSLSLRADSNSSYLSGDQGVRDLAFQPARALVRGKMSEYRSFGSNFHPSSQSRKMSIGVMADSQPKRNPDGDAAIDRAEKLKSAAASDLQLNKKVTGDGVAAKQRSSAKGTEHVTSPWRSPRSSYRKLGTLENVLCKQTSSLSGSKGLNKGPNGAHQAPARDSFQDIPVSSPRHSDDELIGGRNGEEMDKSPERMQEPPSAVLPQKVASQREEKRGPETAKDGSTDVLRSKLWEILGKASPEYNEDVNSETPEVVKTNSKLNQDKSSDNDPRTKPRHNSDTIETDSESPEVATRRPVTRSLLQRRVGARGIQKRAKTGANLGGKSTEEVNNVFTFEEGLRGRNGTAMNSTGVPKKQRGRKKNTAVKCRKVQSREKDEADGILKETSKSKTPARSESTRTGKRSSLSDRKGSSLEFNQHTKAQNQKPDVRTREEDFQPSPEAETAATPEMFRGLFKNGDEQKGPCEVLREKSVEPENDFQSPTFGYKAPISSPSPCLSPEASPLHPQNISPAFDEAETTIFSFGTKKTPQGTKGEASDKRLHDFLEKKEDYSFGRESSAEPHEDLVLSDPSSDEKDSDGSIEDSPALGHYNSPQAKETANGSNKKSKPGFSSAKRNSNLKGNGRVTSSLSEGMHKTDSFQRFSEVDEDEGLGRAVALFAVALQNFEKKLKSAAKKKSSEIIASVSEEIHLELENVKSHIITEAEKTSNVAKTKRKHAETRLQEQQEKMRMIHEKFKDDVGNHLEDFKSTIEGLEANHSELKGSIKKQRTSHQKLIAHFEGGIETKLDNATKRINSVNEASPFCKSKDAAAEDDCGRIFEG >A08p038660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22350586:22351752:1 gene:A08p038660.1_BraROA transcript:A08p038660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSSSETGGGEKGEIMLFGVRVVVDPMRKCVSLNNLSDYEKSSPPEEEIPKIGDTDAAGYASANETLQIPSSSGGNRERKRGIAWTEEEHKRFLLGLQKVGKGDWKGISRNFVKSRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTETVTGMVHMEQDHHAQDNSLLSETNVTSGHQVMQVFPEVAVPTRTEKAPRTVPVTFQASPSI >A06p004560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1204596:1204856:-1 gene:A06p004560.1_BraROA transcript:A06p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASPQPNQLHPLQKQLCDCLLKLKIPLTIKTLYVEGTLDALAVRARLSLRGIVTDTYCPMYGSSQETIFHILFHCRVARDMWAR >A08g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10784919:10789282:1 gene:A08g506290.1_BraROA transcript:A08g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTIFNHQPSCNRTTNLHELRSCLVQDLFEVLNVSGSIGYPFKFGFGSDNTHNPKYHKTRSIRYLRRVRIGSDSFLSDWIRFGKRRCVKSEKMARISTVGCEFRLLSSNPRNLASLMEHLSESQINEDVSLKNNPPDKEDTDKDTNMEQPPTSPRHRKVIARWLPNEAQRPIVDDAPVFSPSLEEFEDTLAYIEKIRPLAEPYGICRIIPPSTWKPPCRLKEKSIWEHTKFPTRIQTVDLLQNREPMMKKKPKSRKRKRRRNSRMGSSKRRSGSGSGSSPEAEEKFGFNSGSDFTLEEFERYALYFKDAYFEKKDSPSVEEIEGEYWRIVEQPTDEVEVYYGADLENRVLGSGFYKKVDSDKDQYAVSGWNLNNLPRLPGSVLSFEDCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATSLEKAMRKHLPDLFEEQPDLLHSLVTQFNPSILKDEGVQVYRVVQNPGEYVLTFPRGYHAGFNCGFNCAEAVNVAPVDWLAHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWEPSASCVVKENTWKSFCGKNGALTKAIQARLRMEEERLGDLGKDSSSRLVKMEKDFDSNSERECFSCFYDLHLSASGCKCSPEEYACLRHSDDLCSCEVKDRFVLVRYTVDELRSLIRALEGELEDLKIWASKVLGTEHSDEDQSKTGSVINEEKKLEEGSFDLNIDLELNYHEDLKEEVSTSGELNASENFDVSVEPINLGFLNYGKLWCNKHAIFPKGFTSRVKFYNVLDPTRMSNYISEVLDAGLMGPLFRVTLEESPDERFFNVSAQKCWEMVLQRVKDTSTNLGFPTLSRFESINGLQMFGFLSPSIVQAIEALDPNHKLVEYWNHKNQSQSESKDHFISSNCTKGKLFGVDLM >A07p040330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21414273:21414936:-1 gene:A07p040330.1_BraROA transcript:A07p040330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFVQIDTFHWVLDMNHFVGEAYDQIGEMCIFLLNNFTLPPDKALAVYVQSPGSAFAFCGAVTVSRPSAVLSLQWPEPGSAAQMQLTAGDSGPLSAKIGISVEDAASLPSLDVAAERKIERLAMRVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPGFLKSFAL >A04g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19467908:19469497:1 gene:A04g507730.1_BraROA transcript:A04g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTPTDVANVYVLTIPPNRVAMLHRTPCLHQEFSLTRMCLRQLITSLGWLRSEDPYVASMFIALEVTKVETLTIGNLFNCMPFITMDPHHEMATPQWLLDTIGQTYNVKVKVSDRSFTEKYHNCFKGCMSSSLARCKNHLCRSVTADIKGEQFSGPIVTCNSAFGETFYIGSSYGGSVPTNDSEVEQEAKSDYLYKGTALHLAAQIDILDVAGYCFPSVYQCLNCWSPLMDNKSLVAGFVQKLKMSFNHKVIFRASDGGTTHKETLQLLMNLGTSVAQFTVEDGTTIDLIGAKSTILHYASYGGDMSPMLNQIMEQHGYSF >A03p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6117319:6118434:1 gene:A03p015480.1_BraROA transcript:A03p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCGASSTVATLPFRAVRPPLSLQTGAQRVTLGGGSPQFSRASSLISLSRGSKLSAITAQENLVSVLESQIESAVVNEETPDDGEEEDKLPEGFPFRIIDTPGERVFFLTRKFENETILVEIDPSAPFDEAKKEEPNDPQAEVLIGISMVINVSKHDYAPCLEFLANAYIDEIVINAIYVRQPQELSDLDENLQKAFHRFLEIRGIKPNITEFVADYLANKDRRERLQLLKDVKTFVDM >A06p049630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26199939:26201752:1 gene:A06p049630.1_BraROA transcript:A06p049630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g27560/MMJ24_11 [Source:Projected from Arabidopsis thaliana (AT3G27560) UniProtKB/TrEMBL;Acc:Q9LT56] MGSASGFYSNEGFELDPKWLVDPRHLFVGPKIGEGAHAKVYEGKYRNQTVAIKIIKRGESPEEIAKRDSRFAREIAMLSKVQHKNLVKFIGACKEPMMVIVTELLLGGTLRKYLVSLRPKRLDIRLAVAFALDIARAMECLHSHGIIHRDLKPENLILSADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELILNKLPFEGMSNLQAAYAAAFKNLRPSAEDLPGELGLIVTSCWKEDPNERPNFTEIIQMLLRYLSTVSPPQIVPPPVRRVFSSENVVFSPDSPGTCSLMNVRDKDGSGQKVNAADSSEKETKGSFFFCCS >A07p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25630914:25636423:1 gene:A07p047910.1_BraROA transcript:A07p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKRHFFLCVSLFSSCAQRQLLNSSAGTSHICFKSSMEEQRASGLTDSVVQFQNVGSRDGCEAPHKWSSNGGGKKIDVRNQIFCNRSLNMKNIIAVGFDMDYTLAQYKSETFESLAYEGTVRKLVYDLGYPRELLEWTFDWNYMVRGLVLDKKKGNILKMDRHKYVKVAYHGFKELSKQEKVDVYGTSLVRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDNNPDKIPKDVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPNKYINEDATIVPLIKMIRDSGRSTFLVTNSLWDYTNIVMNFLCGGRTVHGPDTCNFDWLQYFDVVITGSAKPGFFHEESRANLFEVELKSGMLINTDNGTPMAQIGDPSPKIPLKSKDKACQVFQGGNVGHLHNLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWELRDARKELILMRNERDSVEDKIHHLSWSLKFEDINEKDKQEMLSTVKDLVCKRDEVRLNLQDAQRESHKNFHNVWGQLMKTGFQSSRFAHQVERFACLYTSQVSNLRLYSPEKYYKPSEDFMSHEFHLLPL >A01p007760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3774879:3775205:1 gene:A01p007760.1_BraROA transcript:A01p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRRSSLALYSSLIMFLLLISRPLPISSHENHGNTAQTLMTTSWRRGFGQNRESLRIFIRKGGRGGGGGGKGRGLVPKSASTRPYLSITFGFGSTVTSLILFMFFTF >A03p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18592001:18599786:1 gene:A03p044550.1_BraROA transcript:A03p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLLLIGGGFIGYLKKGSITSFAGGAGTGLLLILAGYLSLNAFKKKKTSTIAVVLQTVISAALTLVMGQRYLLTQKIMPAGLVAVISALMTCFYVYKIATGGNKIPSKAAEQRLRQDSCMNIKTGDKQGRVKLQFFNLHNVLTSSWQTLSGKPPVVIARGGFSGVFPDSSSKAYEFVSVTTSPNVAVWCDLQLTKDGVGICFPNPNLYNYSDDKDVYPNNKEWFSVDFTWKDLSDVNLVQNVKSRSGVFDGSYQILTVEIVAELGAPGLWLNIQNSAFYRQHNLSMRNYVVSLSKRVDFISSPEISFLKSMKEDVTKLIFRFLNQDQIEPFTNQTYSSLSKKLSYIKTFSSGMLVPKSYIWPVGSDLYLKPHTSLVTEAHRQGLLVFASEFANDFVFSYNYSYDPTAEYLSFIDNGNFSVDGFLSDFPVTPYRAISCFSHLDTKEDEEPAVVTIISNDGASGDFPGCTDLAYEKAVKDGVDILDCNVQMSKDKIPFCMSSIDLLNTTNVFDTSFRNLSSTVAEIQPKTVISNPKEDHALFRNPRNKNVGKLLTLSEFLFLANRYNSLLGVLIKVENAVYMYLAKHQGISVVDAVLNKTERLSTQEGQTTSVAIMFQSTDKSVLMDFKKKKLIYPDELVYRVDQDIRDVTDSAIKEIMSFAGTIVISKESVLPYNGGLVKKKTDVVARLKESGFRVYVETFRNEFVTQPFDLFSDSTVEINFFVQGVKIDGIITDFPATTARYRKSQCYREMGMFRTGELLPFANPRLLSPAQAPYPLLVESDVMEPPLPDVKSKNSTPTKAMAKAIQVFSPVRTMVRQLTRRSSSRQGIKDCIDANNTLSRFENISYKTDSSRRRFISEEISKLGKGNISAQIFTFRELCVATKNFNPENQLGEGGFGRVYKGHIETSEKVVAVKQLDKNGYQGNREFLVEVMMLSLLHHTNLVNLVGYCADGDQRILVYEYMPNGSLDDHLLDLARTKRKPLDWDTRMKVAAGAARGLEYLHETADPPVVYRDFKASNILLDQEFNPKLSDFGLAKVGPTGGQTHVSTRVMGTYGYCAPEYALTGQLTMKSDVYSFGVVFLEMITGRRVIETTKPTREQNLVTWASPLFKDRRKFSLMADPLLGGKYPMKGLYQALAVAAMCLQDEAETRPNMSDVVTALEYLAMTKSEEDGETN >A03p053280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22857025:22858035:-1 gene:A03p053280.1_BraROA transcript:A03p053280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGVGSSPGNVAVSHGGKMKLIDRRLKLTELLLRCSATALALLALILIVTNTQVKQIFTIEKRAKYTDMKALVFLVVANGIAAAYSLLQSVRCVVGSVKGSVLFNKSLAWAIFLSDQAVAYMSVAAIAASAESGLIGIRGEDELQWMKVCNMFGKFCNRAAGGTASAVLASVAMVFVSCLSAFSLFRLYGVATATQRQPNVAVTK >A08g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21151872:21155526:-1 gene:A08g509880.1_BraROA transcript:A08g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSRRKLQYYDPFILGSQADQVCYISYPRVTYRDDPWVTSSVFRRNESSVFRRNILTNLIPSELSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A05p042360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25633696:25635759:-1 gene:A05p042360.1_BraROA transcript:A05p042360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 29 [Source:Projected from Arabidopsis thaliana (AT3G17070) UniProtKB/Swiss-Prot;Acc:Q9LSP0] MMKPKSIAAAFASCFLIISLLCSCISGDQNETNYEGLSYNYYEKTCPRLEEIVRSSISPMFALDPTAPAALLRLMFHDCQVQGCDASILIEPSGDQQFTELDSAKNFGIRKRELIGSIKTSLEVECPQQVSCSDLIILAAREAVALTGGPLIAVPLGRKDSLSTPSKHMADSELPPSTADVDSILNLFAGIDMTIEESVAIMGSHSIGVTHCNNVLSRFDNENATSANMDPRFQTFLRVVCPEFSPTSKTAEATFVPNDQTSLIFDTAYYDDSIAGRGNLRIDSEIGVDPRTRPFVEAFAADQDRFFNAFSSAFVKLSSYKVLTGNNGVVRSVCDKVD >A05p037200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21149545:21150914:-1 gene:A05p037200.1_BraROA transcript:A05p037200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVRRTPPTSSRARTRHRLQQNAFLSVREKVLLYRTSWSSSVIADEFGRKPSRFVFSFAAKSSFLLHVVLSATHLRCTLTVARGGRDVRTRLRWSSLQL >A09g515230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45309596:45310913:-1 gene:A09g515230.1_BraROA transcript:A09g515230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKFASGGEINKKMAASRLEAVLSDERRSWRELQSSDTDVGAVWDAMSMAVSHHAAAFTDGLQDVNYCHRVMVVGFKLLPERMLFFNGCLEFTVSTKCPYLRHLWISLWSLSKLQSLFNQISFHTSQARNLKQRRT >A08p004070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2369187:2371173:1 gene:A08p004070.1_BraROA transcript:A08p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFIKGTRPKRTEPNINRTKNLREKPVEEDAWTNALPPETHVKERRSNLLPALEWRLDGTPPPSRVRPTKKRLTTEPCSRRNKSHTGSAFPKDKSIQSPSSNSKVTIWSAKLRRNLSKFSVNLSQLRDYGRISISGACFDAGQGIILVLQEQTYYKTLHGTCITKALKKPKPRKFGIFDVGTEKENHHYIQQPHFKTHLSEGFSFKNPCGMVHQRALNGRKTYIFLVDGGLRRRSPGLLHYFSFYHIICFPTTLSDDGSRAYARSWKFQEDCVCLDGYEAINGWENMDGLENSMVTWNSRVTSVGNYTAMKWPVDCLHYTHEKTFIEQVVADEIDMECVPPACSRILNWHLHLAALDVPYPQGWAIQNNIKSVIT >A03p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:321031:324435:-1 gene:A03p000490.1_BraROA transcript:A03p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPEPEEEEDPFLKRRLEPRAESAVEIARREREERTKRMRLDRPSRNSHRDHHQFHHNNNTDTRVYDKSKIPQGWLDCPGFGLEIGCIIPSKVPLSESYNEHVPPGKRYSFKQVVRNQRISGRKLGLVIDLTNTTRYYSTLDLKKDGIKHVKIACRGRDAVPDNVSVNSFVNEAIQFIVNQKHPKKYILVHCTHGHNRTGFMIVHYLMRSMPTMNVTQEISQEIVTMSNDDILGDEIPYYQEEAYRKFCYKMLMMSIGGRGCAQFPGSHPVSLDRESLQLLRQRYYYATWKADGTRYMMLLTIDGCYLIDRSFKFRRVQMRFPCRHSSGGIPDQVHHFTLLDGEMVIDNPMNEKGQARRRYLVYDLVAINGESVVERTFCERWNMVVHEVIKGRDVDKQRNHCYRYDLEPFGVRMKGFYLLSTVEKLLKGTIPSLSHEADGLIFQGWDDPYVPRTHKGLLKWKYAEMNSVDFLFEMGEEDEGRRMLFLFERGKKKLMDGYTVEFRDGSDPSSYNGKIVECSWDKEKKVWVSMRIRVDKTTPNDINTARKVIKSINDNITEEVLLQEIREIIRLPMYADRIRMDLRAAGR >A06p049210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26018225:26019496:-1 gene:A06p049210.1_BraROA transcript:A06p049210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKWLISRVKYLPSVEDYLRADRTLSDETVSLKVIKTLNETVVVVLEHLKDAKEHGKKRGDDLLASVRVVGSYLAETPDACKDQNEGCELLAASRGYVAVVECLVKLTQSDGQNGEEDSGSIFLACDTVLNILLKRKQIGFSPELSTFSSLRKALEYWADGSEDLWVVMMAASICSLICDFTSEEALLKQPSFNGSSLDSLARLIARSLSSSGQDRIRASGGARIFLDWS >A01p009030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4334630:4336605:1 gene:A01p009030.1_BraROA transcript:A01p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLKLILWICLSILAFLDFGAASKIGICYGRNADNLPTPNKVSELIQHLNIKFVRIYDANIDVLKAFANTGIELMIGVPNADLLAFAQFQSNVDTWLHNNILPYYPTTKITSISVGLEVTEAPDNATGLLLPAMRNIHTALKKSGLDKKIKISSSHSLAVLSRSFPPSSATFSKKHLPFLKPMLEFLVENESPFMIDLYPYYAYRDSSEKVQLEYALFESSSQVVDSATGLLYSNMFDAQLDAIYFALTAMNFKTVKVMVTESGWPSRGSPKETAATPDNALAYNTNLIRHVVGDPGTPAKPGEEIDVYLFSLFNENRKPGIESERNWGMFYANGTSVYALDFTGESSVPVSPSNSSTTSPGPSSSPGNSTVIIGGGGGGARKWCVASSQASVTELQTALDWACGPGSVDCSAVQPDQPCFEPDTVLSHASYAFNTYYQQSGANSSDCSFGGVSVEVDKDPSYGNCLYMIAPSTDGMNRTMAGNITGNITAIDSPMASPSSSSDGYRQMVVSVAASVLLPCFVISLSLW >A03g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24852070:24853501:-1 gene:A03g507080.1_BraROA transcript:A03g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQGNERRTNRSLETREEGIRRSLFLRQPVKSRILVSKTSYPLQIGGGIRCEQIFGLTFLGVSISFDNRGRRYDRLHGFCTTCSSLRHDQSRCPTTKGATEDGDVGPSDKPDQGGKALSYKGAVESQHTENTSGGESRRQNQQGAGKQDVKGKGIAYEGGRQGGVAKSGPGRRYRENGRPTARYVRQAGYLPPHELNDSYVMATSGINGLRNQEVGGHLDTQQKLMLEAFKSGAKGEVSESKARKALLFESEGHEEGLAVTSGGDPVETVQRREEVMEKSGFSKEVATEETEGMEGNNFVECSNAEQEGMQVLEMGNKEEVVSSEMVAGLDEEDGHLEYEMMEDGVDDVSSEREASGDLNSMDVVEASPVAESEDLVGEKEHQVPKKKNGKITAAAMGGNAKKRLVQSLVSPRKKAMAKQGSKAGDKGQVPTRKALIKPKPDQD >A04p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21205529:21206452:1 gene:A04p037100.1_BraROA transcript:A04p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic-leucine zipper (bZIP) transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G41070) TAIR;Acc:AT2G41070] MGSLRGDMEEPIHQSLPRGNSLYSLKLHEVQTHLGSSSKPLGSMNLDELLKSVLSAEANNPPEEGTEDGITRQGSLTLPRGLSRKTVNDVWRDIQHDQNGCSSNPNKQPTLGEITLEDLLMKAGVVTETMTVPQNVVNVASNGQWAQYPQQQHQGFMPYPVCDMQEMVPPTALMMSGLSETQQVHGRKRVASSGGEFVERIVERKQKRMIKNRESASRSRARKQAYTQELEIKVSSLEEENQKLRRLMEVEKILPSEPPPEPKWKLRRTSSASF >A02p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5860813:5861996:-1 gene:A02p013400.1_BraROA transcript:A02p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAMP-like protein YKT62 [Source:Projected from Arabidopsis thaliana (AT5G58180) UniProtKB/Swiss-Prot;Acc:Q9LVM9] MSITALLVLKCTPDTPNPVILAHAFDFSGFNYFYHPNISEFVRFFGSTVAGRTLPSQRQSVKHKDEVVHAYNRNGLCAVGFMDDCYPVRSAFSLLDQVLDEYQKIFGETWRSAKEVSNQKWPYLVEALEKFKDPAEADKLLKIQIELDETSIIIHKTIDGLLARGEKLDNLVEKSSDLNKASKLEIVFDMRKLMFYKRARKTNSCCTIL >A01p055650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31754910:31756833:1 gene:A01p055650.1_BraROA transcript:A01p055650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQEHDNPFEVSGSHACLYDLSLSLGVFICIKCSGVHRSLGVHITKVLSVKLDDWTDDQVDMLAEYGGNTVVNQRFEACNIDHLKKPKPDSNNDERNDFIRKKYELHQFMDPKDCALCPYQQPSKINDSTPSLCSANHRSTKNRIGNAFRNSWGRRESDHKGHKKSNSLAGMIEFVGLIKVNVVKGTNLAVRDVMTSDPYVILTLGQQSVKTRVIKNNLNPVWNETLMLSIPEQMPPLKVLVYDKDTFSTDDFMGEAEIDIQPLVSAAKAYETSSIKEPMQLGSWVASKENTLVSDGIISQEEGKVKQDISLRLQKVERGVLEIQLECLPLTQ >A05p052790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30537856:30538728:1 gene:A05p052790.1_BraROA transcript:A05p052790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFCSSVSMQATSLAATTRISFQKPALVSTTNLSFNLRRSIPTRFSISCAAKPETVEKVSKIVKKQLSLKDDQKVVAETKFADLGADSLDTVEIVMGLEEEFDIEMAEEKAQKIATVEEAAELIEELVQLKK >A06p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3598274:3599836:1 gene:A06p010080.1_BraROA transcript:A06p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFSVAKPSLQGFSDFSGLRNSSALPFGKKSSSDEFVSFVSFQTSAMGSNGGYRKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQATHLLKYDSTLGIFDADVKPSGDSALSVDGKIIKIVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNAELYSHEDTIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDAAEKELKGILDVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >A08p002940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1615404:1617480:1 gene:A08p002940.1_BraROA transcript:A08p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMENKVLPLTCLDLANFDLHQSAVSLKQACMDCGFFYVINHGISEELKEEVFEQSKKFFDLPLEDKMKALRNETHRGYSPALEQNQIHGDYNKESFFMGTEGLKDDSLGAKPFYRPNIWPDSDVLPGWRTTMENYHLELLRVCKAIARILALALDLDADYFDTSEMLENPIAYMRLLHYEGDICKDKDMKPQKWEYVPSIDGAYIVNLGDVLERWSNGLFKSTLHRVLANGQDRYSIPFFLSPSHDCLIECLPTCQSEKNLPKYPAIKCSAYLTQRYKESHRETRASPENRPK >A06p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6097924:6098877:1 gene:A06p013440.1_BraROA transcript:A06p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVRCLRRTVISTNLSPSFSYRHPRVCLQPIQNSSFLTVPKFLSSGSYVSEMRKSAFEGNILRLIRSEIQSELDHSPPLKPEDRFGPFTVDERPGEQWVSLRRKFGDTEDIKIEATMFDGSVPSSKSTSGDPEDIQLHITFVVNIFKDGQTLEIMCSAWPDTIQISKFFVRKSSKNSPNAYVGPEFEEMEDELQDSVYQFLEERGISDDLAVFLHQYMKNKDKAEYIRWMETVKSYVEQK >A01g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10768407:10772592:1 gene:A01g503400.1_BraROA transcript:A01g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVKLSLGLWTKNSNGDWSFEVTSSYHGEAIIINNNETFDGLVELIRIRLNLGILTPVALTYQLSEWMIVPDGPKTPPITLSCDKDVEILSSVRDYMSEAVLYVTSGPELVARYEFLRRSPFTIGDTTYLEEGVSEAQHRQAILDLVGGHPIVCSKHILEIMFNEPQLLIVFRVALEIEMVYGLPNDNVQAEEATGFPRLTVDDVVAMAEAGTISPEEEFYYAENDEVLYGEPMNIEELQYEIPIGQPAYLLNHSTPIQVKPLNVWRDMTEDEEYWDGIAAHENDYDVYYAQSTHPTEGVIGLPLAPNRRIAAPQPATIIIIDDDDGSTTGSSDALNENNIITSAPPSEVIATIGMELSNNGPSVMEGDLSTAVVNINQAGSSEFPIGPTPEVNSNKAEPTLDLTLTLGNKVPSYGDVPVESLNGSCSDPDEGSGNETNNSEEIYVGKVFRNRADFKQQMASYALRCKFRFRNSRSSPDGMVLQCVSLTCNWRVYAVKLKNVEKYEVRKLNLDHTCSVDERAGQLRNKYITVDGGMLVSQINAGEFDVKGKDGISYHVNLHTKSCSCFSFQTLLIPCPHAIAAAIKEKSSIESQVSNFYTMDTLVAAYAGNILPISSEVNPTVVKAWVITKPHAKWQYKKNVHEVKVGFSRMMKVFDKNGGL >A02p058440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35053679:35055549:-1 gene:A02p058440.1_BraROA transcript:A02p058440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPSKFQGTSPVDIPIVDLSNNEDLVARAVVKASEEWGMFLVVNHGIPTELMRRLKELGTEFFELPEKEKEAVARPADSMDLEGYTTDYEKDKEGRKTWADHLFHRVWPASRINYRFWPKNSPDYRHEEVNEEYAREIKKQSEKIMGWLSEGLGLHRDALKEGLGGETVEYLMKIIFYPPCPKLELLYGAPHHTDLNGITFLIADEVDGLQAYQDNKWVDVKYDDSSIVVIIADQIKRMSNGRYKSGEHRVTMDTVRTRLSWPVFAEPNLDHVVGPLAELVIDDAPKFKPYVYREYKYLKMNKLPID >A01p050550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28476485:28477651:-1 gene:A01p050550.1_BraROA transcript:A01p050550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKAFAHPPEELNSPASHFSGKTPKLPGETLSDFLSLHKDTAFSMNFGHSAVLAYSRPNNSLRQRLFCGIDGVYCMFLGTLSNLCTLNRQYGLTGKNTSEAMFVIEAYRTLRDRGPYPADQVLRGLEGSYAFVVYDTQTSSVFSALSSDGGESLYWGISGDGSVVMSDDVKIIKQGCAKSFAPFPTGCMFHSETGLKSFEHPKNKMKAMTRIDSEGVICGANFKVDACSKINAIPRRGSEANWALTNSR >A02g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23132928:23133360:1 gene:A02g508560.1_BraROA transcript:A02g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRMENSARKLCLCRLDAEIRQAWTDKNPGRRLYGCPRYNEKNGCNYFKWFDVEDGTDWQKMALIEARDEIQEKSRVIEQLNQTIAEVTINLERIQQEEEIVRDFQNLYV >A02p005340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2332945:2336091:1 gene:A02p005340.1_BraROA transcript:A02p005340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVQCHNNTSLTGSPLPRRHRNSPTLHFSPNRVNFTPLKWIGSCTRMVPGPYLKGGTTTVRAAFEEEKKTDAVNEVVEEEKRSFTCVMKFGGSSVATAERMREVADLVLTFPEESPVIVLSAMGKTTNNLLLAGEKAVSCGVSNASEIEELSVIKELHLRTVKELEIDPSVVSSFLEELEQLLKGIAMMKELTLRTRDYLVSFGECLSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNGDILEATYPAVAKRLYDDWMHDPAVPVVTGFLGKGWKTCAVTTLGRGGSDLTATTIGKALGLQEIQVWKDVDGVLTCDPTIYKRATPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGGIPVRVKNSYNPKAPGTIITKTRDMTKTVLTSIVLKRNVTMLDIASTRMLGQVGFLAKVFSIFEDLEISVDVVATSEVSISLTLDPSKLWSRELIQQARDHVVEELEKIAVVNLAKGRAIISLIGNVQHSSLILERAFHVLWTKGINVQMISQGASKVNISLIVNDAEAEGCVEALHKSFFESGDLSELLIQPRLGNGSPVRTMQVDN >A02p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31946779:31949807:1 gene:A02p052220.1_BraROA transcript:A02p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFDAKLWKAVFTMSIINIALSVVNVMFKKMLDQGINRMVATTYRLAAGTLFLIPFAIFLEIHNRPKLTGTILCSLFFSALLGTSLVQYFFLVGLQNTSSTFALAFSNMVPSVTFALALVFRQETLNIKSKIGRAKVLGTMICICGALVLTLYKGPALTRQNAQMQTQTSNGSTTSVTQKWAVGSVMLIISILIWSLWFIVQGKICQTYPCKYTSTTILSFFGVIQSALLSLISERSISMWVLKERFQVLYLLYSGIVGSGLCYVGVSWCLQQRGPVFTSSFIPLIQVFAAFFSFSFLHEQIYCGSVIGSTVIIVGLYILLWGKSKDKPAPVTKQEHLNLDLEGCGTAPKELNGAAHPVSEK >A06p008640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3019597:3019929:-1 gene:A06p008640.1_BraROA transcript:A06p008640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR8 [Source:Projected from Arabidopsis thaliana (AT1G10690) UniProtKB/Swiss-Prot;Acc:Q9SAD3] MGYSDKSYNQLEVEIREATDGKKWVIAGLPPRSPLKPINSSSDVIFTETEDQDQCPTTPTAVSVRIPRVPPCPAAPKKRKPSSKCSYVATGREFFCPPDLETVFIQRAAS >A02g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16567609:16568412:1 gene:A02g505670.1_BraROA transcript:A02g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINQDGKQVRQDQITTRNPKLDENPNFGISEFIWSLLKYLEHQNRSGKIFGIDRGTKIHQKGQNRKDEVERPSTRCHDACNRSMLIDIFTSGRNGGVLYEAETCSQPCGARGVAVPAICACEPTRRGPCVAMHEHQTCSKPNRRGCVSLHVSEACRLTHCRWCGSSLLVDEFYKYPTRPFSFRHSLHTKATSKMWLEREIEKESGRF >A09p005340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2927007:2938198:1 gene:A09p005340.1_BraROA transcript:A09p005340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional cystathionine gamma-lyase/cysteine synthase [Source:Projected from Arabidopsis thaliana (AT5G28030) UniProtKB/Swiss-Prot;Acc:F4K5T2] METEKFEAEIYLSGAGANENVAAFGDGRSLGGLIEETVKSSVSPLIKEMMMAAAGGYPGGGGHGMGVLSPMLMAKIGFGGGGGKGGVGDERWRRQQILELEVYSRRYLVQEQIRATLHELKDNAQWKMKTEFSRQTNMEERYMIKNDVTELIGNTPMVYLNKIVDGCVARIAAKLEMMEPCCSIKDRIAYSMIKDAEDKGLITPGKSTLIEATGGNTGIGLASIGAARGYRVILLMPSTMSLERRIILRALGAEVHLTDMNIGIKGMLEKAEEILSKTPGGYIPHQFLNPENPEIHYRTTGPEIWRDSAGEVDILVAGAGTGGTVSGTGRFLKKMNKNIKVCVVEPTESAVLSGGEPGPHLIQGIGPGVIPTNLDLSIVDEVIQVTSEEAIETAKLLALKEGLLVGISSGAAAAAALKVAKRPENAGKLIVVIFPSGGERYLSTELFESMRGDEGPELPNCVELLSGKESFFTISAVTEISSLALSQRWLIGYTPMVYLNRIVDGCVARIAAKLEMMQPCSSVKDRIAYSMIKDAEDKGLIKPGESTLIEPTAGNTGIGLACIGAARGYKVTLLMPSTMSLERRIILKALGAELHLTDMSIGIKGLLEKTEEMLNKTPGGFVPQQFENLANPEIHYQTTGPEIWRDSAGKVDIFIAGVGTGGTVTGVGRFLKEMNKDIKVIAVEPTESPVLSGGEPGRHLIQGIGAGIIPANLDLSIVDEIIQVTGEEAIETAKLLALKEGLLVGISSGAAAAAALKVAKRPKTQANSLRCFFQVEENVIYQPNCLILLDLKQRICRLNEWPSVTRFGCGTRCLYQDYSLIIPDHL >A08g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8067831:8068334:-1 gene:A08g504880.1_BraROA transcript:A08g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRDKRVLIGLEKLECTKKVMEMLFGELGTFGDGLETRMVILVQKTRSQLSQRPNAAAKLNHWENAHAPAPYVLVAAAK >A09p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7788889:7793042:1 gene:A09p014940.1_BraROA transcript:A09p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A18 [Source:Projected from Arabidopsis thaliana (AT1G62980) UniProtKB/Swiss-Prot;Acc:Q9LQ07] MAIEKDDSRSRFFQGLTRIFIRIMSLLYCARKGSVQGTGKRMKNESSRPGTSWKRIRRFAIQLQIRVSLWDSMEIEGKIGSLGFAMNGNTEDLKAIMGFREDMECWRQSSFEQGVAKLDMPTIALQPIYTTCILQRDIEKTKKEKMDQSLYSKCLVILSVMGMIGTSSAAYAGGPWRRASATFYGDETARETMGGACGYGNLWNSGYGAATSALSTVLFNDGYSCGQCFQIRCVSSPNCYYGSPATVVTATNICPPNWGQNSNNGGWCNPPRAHFDLTKPAFMKIANWKAGIIPISYRRVACKRTGGIRFKFEGNGYWLLVYVMNVGGAGDIKTMAVKGSRTNWINMSHNWGASYQAFSSLYGQSLSFRLTSYTTRQTIYAWNAAPASWSAGQTYKSNANFS >A01p017940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8723796:8724665:1 gene:A01p017940.1_BraROA transcript:A01p017940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYQNPSPKGYQRQRPFGSAGEGGSSGGSDIPREIDDNKKKKKLLHRDIERQRRQEMATLFASLRSHLPLQYVKGKRAVSDHVNGAVNFIKDTETRIKELSARRDELSRETCQTYKSSPDPARTGSELGKSYPASVMVQPCVSGFEVAVSSNSSGPEALPLSRVLETLQELGLEVISCLTTRVNERLMHTIQVEVNSFGCLDLAWLQQKLVEELIL >A05p050970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29671258:29672245:1 gene:A05p050970.1_BraROA transcript:A05p050970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSGRSLKDDQKGLRRVYKVASCVTWKSLLAFFSAKGLSTGKDGGGALVVDGKLSRVGSKRAYSGSQEDITINNLGLNRNKKLKDKCSGDEPLLSGSNKRKLLSEDMQSLKKLKLNKGDSSGRQSEIGKTPLKCSFTSDGLKRTREDDMIASASCKKIR >A06p006470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2161278:2163597:1 gene:A06p006470.1_BraROA transcript:A06p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPQ1 [Source:Projected from Arabidopsis thaliana (AT1G08550) UniProtKB/TrEMBL;Acc:A0A384K8V4] MSYPKGISSHPYISLFLFSLYLPPLLPAELSVSSMSVSTHCFTSPCHDRTRFFSGDDGNKLLRKRIKGTFLVKILPSSQNAYLRVTAKSSRPLSGFRSGISKGVFDIVALTSKNALKELSTPLMLKLVGVVACAFLIVPSADAVDALKTCACLLKGCRIELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDLGEFPAPDPSVLVKNFNVKDFDGKWYITSGLNPTFDAFDCQLHEFHTEDGKLVGNISWRIKTPDSGFFTRSTVQKFVQDPNQPAVFYNHDNEYLHYQDDWYILSSKIENKPEDYIFVYYRGRNDAWDGYGGAVVYTRSASLPNTIVPELEKAAKSIGREFSTFIKTDNTCGPEPPLVERLEKTVEEGEKIIVKEVEEIEEEVEKEVEKVGKTEMTLFQRLAEGFEELKQDEENFLRGLSKEEMELLDELKMEANEVEKLFGKALPIRKFR >A05p010220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4288827:4290133:-1 gene:A05p010220.1_BraROA transcript:A05p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDWVATFLKDYEWLIEYLKNMVKPAAALAVVLLAVALSYSQNLSLEGEMIYSVFRSFLQLSIIGFVLQFIFNQENAGWIILAYLFMVFVAGYTAGQRAKHVPRGKYVAGVSILVGTGITMFLLVVLNVFPFTPRYMIPVAGMMVGNAMTVIGVTMKQLRDDIKMQLNLVETALALGATPRQATLQQVKRALVTSLSPVLDSCKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMIVGAATVSSIMCTYLCWPSFFTKAYQLQTHVFSS >A06g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27229139:27229915:-1 gene:A06g509360.1_BraROA transcript:A06g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPKEMNPTLYKLIEIVFGAVLVGSGAGLALSFLRQGFKLRPAHALSSVASFAVIQAIMYKVKERIKSRNAQDALYTEARAMLSKLRLEEYEKNFKKGHLTDPTLPLLTDRELQEVNIPPGARLLILDHIKRYHKMENRE >A02p041640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26082866:26083891:-1 gene:A02p041640.1_BraROA transcript:A02p041640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45040) UniProtKB/Swiss-Prot;Acc:Q93VA3] MRLHSSPASARCCNLFCSSHKAIPRESEWKFQVPVKHKDLEFLIKRFAAPLTAVILAVSPICNPPESLGQTLDIQRGATVFNRACIGCHDTGGNIIQPGATLFPSDLQRNGVDTEEEIYRVTYFGKGRMPGFGEKCTPRGQCTFGPRLQDEEIKLLSEFVKLQADQGWPNVSTD >A09g517870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53770640:53770879:1 gene:A09g517870.1_BraROA transcript:A09g517870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGRSHGGMKLFDYMGTDERFGKHIKQTGVTIAGVKKALEVYEGFQGVNVLVDVGGVGNTLGVVTSKYPNILTVSIWI >A03p011730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4689473:4690150:-1 gene:A03p011730.1_BraROA transcript:A03p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSDDDKILSYNDVVLRRSDLDILNGPNFLNDRVIEFYLSYLSTVHASPAISLIPPSIAFWISNCPDTESLKDFIKPLGLHDSDLLIFPVNDNTNVELAEGGLHWTLLVYYREANSFYHHDSLMGLNKWSAKQLFEAVSPFVCDGGAIYRECSDTPQQRNGYDCGVYLLAIAQVICQWFSSGGVKNRDELWFTDVKETVPNLANHLREEILGLIRRLISEKSE >A09g513510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41106899:41107251:1 gene:A09g513510.1_BraROA transcript:A09g513510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISHLILLRYYDDAACMLRKMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLPSTFIDSATHFTLESIIERLIMFYLLLLIFINYKIQ >A01p053570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29988899:29991336:-1 gene:A01p053570.1_BraROA transcript:A01p053570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFSLVIFCFLFVSTIVRATDVEYCDDNKEYEVKVQGVNILPYPIVRGEPATFSISANTDNVISRGKLVIEVSYFGWHIHSETHDLCDETKCPVAVGDFLVAHSQVLPGYTPHNHMANFDGPGFSMVDDSWIQTKAIDIEPSTDISPYLSRILEDCVWNGNRAIVFDVYWDVSSVTTKSKRRLSSVKLSTKNLCLFLRLPNQSTDNLKDLYRFFASKFVTFVGVQIGEDLVLLKENHGIVIRSSLEIGKLAAVARGTPIVEFLGARELAHKILSCDMSRLDSIQSKWDEAGGDDRLEAAAIEGWLIYNVYDQLQQ >A03p013280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5204700:5208491:-1 gene:A03p013280.1_BraROA transcript:A03p013280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNRVIRFAMDSGSVNSSSVTSPGSSLNDEPHRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVTRDVRYEELMSKMRELYEDAAVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLGSGDGFTRLRIFLFSSPEMDGSSGYDDQRESERRYVDALNNLVEGTDFRKVQQYPDSPRFNLNNDDFSMVEPFNQLAIESGGGGSQRGNEIPVAQYNNLHQLRIPRVGSGQMIAARYGDVEGTWSPYYSPRHHGHFQEFPSSPSSARYRMPYGEVLDKGFDRMPEDYARPGHHPLYEHQGQVPDNVVWVPAGATPLESKGGFPGNVLHGGPSGYEGGGNICESCRVPFHKNHQPFDQANGFQPVPSAHCAQCPPNRETFMLNADPKPPTPHGAYANETFGHERGWIGQQQVNPNPNPNPPRIDEGRPLLSNVGRPSDHYTLDGPGMNYPFGHRPGPEISNEGFHDKPLGGIPLNPSNPSAEERGFHYGNNLYAPGPESIHSASHSHIYPQQNIWQNVPNHISGAPGLPMQQVNGTANQNAIRNPMDSASRYSIGVENQSVLVGSPQNISGFDAMSSPGQPYYPNPHFQDRAFPLDPNWVPSENQAVRSEYLQGLKPLTGPMLQTNLDGAPVMQTPDYVEVVRPVESKVAQGGEHINCVDTGVSDGVPCLDKHQPLAEGKNDMVEVSPSAAAPPEGAELSVERLSFLPELMESVKRAALEGAAEVKAHPEEANDPVRPELVENESEHVNAQVEHEDSDSDNPNNFKIEPTKAEAEALSRGLQTIKNDDLEEIRELGSGTYGSVYHGKWKGSDVAIKRIKASCFSGKPSERERLIEDFWKEALLLSSLHHPNVVSFYGIVRDGPDGSLATVAEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDTAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQKTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPQWCDPEWKGLMESCWASEPTERPSFADISQKLRNMAAAMNLK >A01p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3703767:3713097:1 gene:A01p007500.1_BraROA transcript:A01p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLVTSVASSSARFHLRSFSSSPSPLSSSFLRFRLPPRFRLALAVTPLYHSSRGRAMAHTLAQATLGLTQANSIDHPKVTFAAKDVDVTEWKGDILAVGVTEKDMAKDVNSKFQNAILNKLDAHLGGLLADVSSEEDFSGKPGQSTVLRLPGLGSKRVGLIGLGKTASSPSAFQCLGEAVAAAAKASQASSVAVVLASSGSNESKLTSASAIASGTVLGLFEDSRYKSESKKPSLKSVDIIGFGTGPELEKKLKYAEDVSYGVIFGRELVNSPANVLTPAVLADEASNLASMYSDVMTANILNEEQCRELKMGSYLAVAAASANPPRFIHLVYKPSSGPVKTKLALVGKGLTFDSGGYNIKTGPGCLIELMKFDMGGSAAVLGAAKAIGQIKPPGVEVHFIVAACENMISGTGMRPGDVLTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKVVDLATLTGACIVALGNSMAGIYTPSDELAKEVIAASERSGEKLWRMPMEESYWEMMKSGVADMVNTGGRAGGSITAALFLKQFVDEKVEWMHIDMAGPVWNEKKKAATGFGVATLVEWVFVFGSFTEHETRSLLEQQKPIKAPQYHKEKSVGSIQFGSFNLVTGSSPVNTNGELKKAQAADGVVKSRPSSSHKEDSAVSQKRVDAPRPSSSHKEDRSIQSSVSQKRVDAPRPSSSHKEDRSIQSAVSQKRLDASRPSSSDKVAKFPGKHTSGVPEHVVENGTIKEVYQRKSLNNGVAVKAGLEKLCVSDGESDALYKATSSKFQALDSEIFSSDSSPASIPRKNNQMVHTEPAPPLKDFTPRGLINAGNLCFLNATLQALLSCSPFVQLLQGIQLQDIPKAESPTLAAFSEFISELDAPSSSSFRNNVTVVESGRPFTPAMFETVLRNFTPDVLNNMSGRPRQEDAQEFLSFIMDQMHDELLKLRDESPRLTGSKSSVLSSASDDDEWETVGPKNKSAVTRTQSFVPSQLSDIFGGELRSVVKAQGNKDSATVQPYLLLHLDIHSEAVCTIEDALHLFSAPEDLEGYRASVTGKAGVVSARKSIKIQKLSKIMILHLMRFSYGNQGSTKLHKPVHFPLELNLGRYLLASPSNGGLKYELVATITHHGRDPSKGHYTADARRKNNQWLRFDDASVTAIGPKQVLHDQAYVLFYKQGVIRPHAQGMSDLLTTLQHAADGFTFGPGRWT >A08p023480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15476919:15477925:1 gene:A08p023480.1_BraROA transcript:A08p023480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit c1 [Source:Projected from Arabidopsis thaliana (AT4G34720) UniProtKB/Swiss-Prot;Acc:P0DH92] SEIKTSNMSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A05g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23805958:23808160:1 gene:A05g508170.1_BraROA transcript:A05g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGKTLGSRVAKKATSRSSKAQFPVGRIAWFLKNGKYAFAGAPVYLAAVLAYLAAEVISRFFRSDKAMVKSEVKTEKLDDDITIKDHKHRRRVVSSAEALDRKFKRTVLCVAKPSYLLSLLERSSTRCNYLKRLPKILSELLRQRNWREASGVLSVLMQGTMGDGFSLVKP >A10p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9703036:9706105:-1 gene:A10p006390.1_BraROA transcript:A10p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGASKNTEEEDDGSNGGGGGQLYVSLKMESSKVQGELTPHVYGSVPVIGSWDPSKALPLQRESASISELSFVVPPDHETLDFKFLLKPKYRDTPCIVEEGENRLLTGGSLQGDARVALFKLEGDVILEFRVFINADRVSPFDLAASWRAYRENFQPSTVRGIPDVSINPDSTVVENCPSESLELDLEHYEVPAPAPSAHSSLVYAADNAENPRSLSASGSFVNDNTPKAASNSPKDSGVSADGSPSAKEMKVIVPDSSDTYSASGVVSPFQQKDGQRGLFVDRGVGSPRLAKSVSASSFSVDLKVDAQTKNSMPAAAGAVAAAAVADQMLGPKEDSHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGVNVAADFFRGDNPEGVEARTEVAALAMEDMIGWMQDGGQVGIFDATNSTRVRRNMLMKMAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYAEGTDFEAGVRDFRDRLANYEKVYEPVEEGSYIKMIDMVSGNGGQIQVNNISGYLPGRIVFFLV >A06p053500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28188681:28190659:1 gene:A06p053500.1_BraROA transcript:A06p053500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSEEEAIDELQKLINQVEGPLKKTFEKVHQGYLRENLSRFLKARDWNVSKAHTMLLDCLRWRVDSEIDSILSKPIVPSELYRDVRDSQLIGMSGYTREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVLLPSMSKKNGRPITTCVKVLDMTGLKLSALSQIKLVTIISTIDDLNYPEKTNTYYVVNAPYIFSACWKVVKPLLHERTTKKVHVLSGSGKDELLKIMDYTSLPHFCRRGSSGSSHHTQSVDCFSVDHPFHQQLYSYVKHHYETQGQGEPAKQGSFHVGFPEPGADGVQIVKTIESEMHNLENRNGLGKPVDDRKVSP >A07p021430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12532125:12535100:-1 gene:A07p021430.1_BraROA transcript:A07p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISTILGTFGFGFGTTVGIVIGYYLFIYHQSTDDPDIKPLVELDSETISTMFPEIPLWVKNPDFDRIDWLNKLIGHMWPYMNKAICNMAKSIAKPIIAEQIPNYKIDSVEFEMLTLGLPPTFQILLPCCLFLFSPLFAGMKVYATDDKEIIMELSVKWAGNPNILVAVKAFGFKATVQVIDLQVFATPRITLKPLVPSFPCFANIFVSLMDKPQVDFGLKLLGADVMAIPGLYRFVQELIKDQVANMYLWPKTLTVQIMDPSKAMKKHVGLLNVKVIKAIKLKKKDLLDGSDPYVKLTLSGDKVPGKKTVVKHSNLNPEWNEEFDLVVKEPESQELQLIVYDWEQVGKHDKIGMNVIQLKDLTPEEPKLMTLELLKSMEPNEPVSEKSHGQLVVEVEYKSFKEDDIPDNLDDPNAVEKAPEGTPSGGGLLVVIVHEAEDLEGKYHTNPSVRLMFKGEERKTKRVKKNREPRWDEDFQFPLDEPPINDKLHVEVISTTSRIGLNMHPKETLGYVVINLGDVVSNRRINDKYNLIDSKNGRIQIELQWRTSS >A10p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20923526:20930134:1 gene:A10p036890.1_BraROA transcript:A10p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEEQPQVAEDCMGLLQLLSNGTVLRSKSIDLITQQIPLANHETVLFKDSVYHKPNNLHLRLYKPVLVSNRTIPVVVFFHGGGFCFGSRSWPHFHNFCLTLASSLNALVVAPDYRLAPEHRLPAAFDDAEAALMWLRDQAVSGEGDHWFEGGPGVDFDRVYVLGDSSGGNIAHHLAFRFGSGSVELSPVRVRGYVLLGPFFGGEERTKSEDGPSEQKLNLDLLDKFWRLSLPEGATRDHPMANPFGPTSPALESISIEPMLVIAGGSELLKDRAKEYAYKLKKMRGKKVDYIEFENEEHGFYNNSPSSDAAQQLLRIIGDFMDNFSNII >A01p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4789891:4791311:1 gene:A01p009870.1_BraROA transcript:A01p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKMNLNNVKVPKVPGGGAASALLKVGIIGGLGLYAATQSLYNVDGGHRAIMFNRLVGVKDKVYPEGTHLMVPWFERPVIYDVRARPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTQRATNFNIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIAHSANKVYLSSDDLLLNLQEMNLDVNPKK >A10p036460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20745577:20747326:-1 gene:A10p036460.1_BraROA transcript:A10p036460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRSHFLALLLGVSISALAILLLFIFCTRRKRSSTESEQHDVESHGFSSETGELVTFRGGEDLTICDILDAPGEVIGKSSYGTLYKASLQRSGKVRVLRFLRPVCTVRSDAKEFNGVIETLGLVRHENLVPLLGFYGGNRGEKLMVHPFFSSGNLSDFIASGDDESVKWINILRITIGITEALDYLHNRMQKPIVHGNLKSKNVLLNSSFEPRVSDYGLHLLLNQTAGQEILDISAAEGYKAPELIKVKEVSKESDVYSLGVIMLELVTGKEPINKDPTGDDEFYLPDFVRNAVIDHKLSDLYRPEILKSSGDMSEERVLKYFQLALSCCSPSPSLRPNIKQVLRKLEDIKKC >A06p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1118794:1120178:1 gene:A06p004370.1_BraROA transcript:A06p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid responsive element-binding factor 1 [Source:Projected from Arabidopsis thaliana (AT1G49720) UniProtKB/TrEMBL;Acc:F4I3C9] LKKKVMGTHINFNSLDVDSSGGNGSDNSQSKPLGRQSSLYSLTFDELQSTLGEPGKEFGSMNMDELLKNIWTAEETTQPIMTTTSSIASVQQPSSGFAPGGGSLVQRQGSLTLPRTLSQKTVDEVWKHLMSKDSSNGTDAPERQETLGEMTLEDFLLRAGVVKEDSQQNQNNCVSTGLGFGFGQPNQNNISFKGNNSSMILNQAPATMQQQQLQPPHQRLPPTIYPKQANVTFAAPVSNNNSGFAAMGRGGVTVASTSPSAENNAAWSSPVPYVFGGQGRRSNTGVVEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEIENLKQLNQDLQRKQAEIMKTQKNELKEPSKQRPWLAKTQCLRRTLTGPW >A03p003840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1663823:1669458:-1 gene:A03p003840.1_BraROA transcript:A03p003840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEKSEMVKMSVLMILMMSSVLMTTSRSVQRARDVDSEDSEIVRRHLLANGLGVTPPMGWNSWNHFSCNINEKVIKETADALVSTGLSKLGYNYVNIDDCWAELARDQKGNLVPKKSTFPSGIKALADYVHSKGLKLGIYSDAGYLTCSKTMPGSLGHEEQDAKTFAEWGIDYLKYDNCNTDGSRPTVRYPVMTRALMKSGRPIFHSLCEWGDMHPALWGSPLGNSWRTTSDINDSWLSMLANADMNEFYAEHARPGGWNDPDMLEVGNGGMTKDEYIVHFSIWANPRNMTKETMEIVANKEVIAINQDSHGVQAKKARMEGDIQIWAGPLSGYRVALLLLNRGPTRLPITAFWDDIEIPPNSIVEARDIWEHKTLKQKFVGNLTATVDSHAFITIIEGNKQINFDQAMVLLGFFLLIITFTLSLSLTLTQVVDGFQSRMLMNNGLALTPQMGWNSWNHFQCNINENLIKQTADAMVSSGLSAIGYKYINIDDCWGELKRDSKGNLVAKASTFPSGIKALSDYVHSKGLKLGIYSDAGGQEDPATWAGAIGNSWRTTGDIRDNWQSMTMIADQNDRWASYARPGSWNDPDMLEVGNGGMTREEYRSHFSIWALAKAPLLIGCDLRSMDKVTYELLSNKEVIGVNQDKLGIQGKKIKKEGDLEVWAGPLSMKRVAVILWNRGSSPAKITARWEDIGLDSSAIVNARDLWAHSTHSGVRKQLSALVEPHACKMYTLTRSKA >A04p016360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10064749:10066767:1 gene:A04p016360.1_BraROA transcript:A04p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39350 [Source:Projected from Arabidopsis thaliana (AT5G39350) UniProtKB/Swiss-Prot;Acc:Q9FLZ9] MSEVLRRAKHTLSIKQYQSLLNQYAATQSLSKTKALHCHVITNGRVSDHIISTLSVTYALCGHIAYARKLFDVMPESSLLSYNIVIRMYVRGGLYQDAVNTFVKMVGEGTKCCPDGYTYPFVAKAAGELKSVPLGLVIHGRVLRSCFGTDKYVQNALLAMYMSFGRVEIARRVFDVMMNRDVISWNTMISGYYRNGYMSDALMTFDRMVDEGVGADHATVVSMLPVCGHLKDLEMGRNVHKLVDEKRLGDKIEVKNALVNMYLKCGRMDEARFVFDRMERRDVITWTCMINGYTEDGDVKNALELCRLMQFEGVRPNDVTIASLVSACGDALELNDGKCLHGWAIRQRVHSSVIIETSLISMYAKCNRVDICFKVFSGASKSQTGPWSAIIASCVHNELMSAALDLFKGMRREDVEPNIATLNSLLPAYAALADLRQAMDIHCYLIKTGFMSSLDAATGLVHVYSKCGTLESAHKIFDGIQEKHKSKDIVLWGALISGYGMHGDGHSALQVFMEMVRSGVTPNEITFTSALNACSHSGLVEQGLTLFRFMLEHHKKIARPNHYTCMVDLLGRAGRLEEAYNLITTIPFEPSSTIWGALLAACVTHENVQLGEIAANKLFELEPENTGNYVLLSNIYAGLGRWKDMEKVRKMMEDVGLRKKPGHSTVEFRQRL >A03p041800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17455941:17457597:1 gene:A03p041800.1_BraROA transcript:A03p041800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:JB67 [Source:Projected from Arabidopsis thaliana (AT3G15850) UniProtKB/TrEMBL;Acc:A0A178VBZ6] MASLLTSPLTKPKPFCLSSSTRILTTSPSLNFTRVSFTHSQKLAPFKLPSLLSAFSEKSQKRDVTASAADRESGDYRRIMLSDVMVKKKKDENPWWEREWSPMDFGAVAVVLSMHVLSLLAPFHFNWRAVSVAFGLYVVTGLLGVTLSFHRNLSHKSFKLPKWLEYLFAYFGAQALQGNPIDWVSTHRYHHQFCDSDRDPHSPLDGFWFSHMNWMFDANTITQRVGERNNVGDLEKQPFYQFLRSTYIWHPLALAVALYALGGFPFVVWGMGVRIVWVYHITWLVNSACHVWGEQAWNTGDLSKNNWMVAALAFGEGWHNNHHAFEFSARHGLEWWQLDMTWYVVRFLQAIGLATDVKLPSEAQKQRMTLTND >A03p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11054520:11055301:-1 gene:A03p026400.1_BraROA transcript:A03p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSMEKMIRSSCVSLLVCLVFLLFSSLYGSLHLVEAGKRRIEISDDLDDVEDNEEDESWKQWGSKASTPEFDPPPDFSDMGFDQIQEEMAKRTFAPVVGFVKLRLGVHRTKDMVVEIAMRWTKVLRTGGLGVRFMAVDRSTVMFNMQNGKEVTELREFVLSQEEAYEVKIGKQEFRRPGDPPLDDVFEKLQANDDDGDTSKNDVTKDEL >A05p029560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14816313:14817467:-1 gene:A05p029560.1_BraROA transcript:A05p029560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPLCYAMHELYPDLVCQVLATATISYKDFSAPSYANCSFSFMADGEYCSLSLDKLNEIYEIANEPRGVAVAKKFAPSNIFWDFIVTGNFTPGKAYQSQIRNPTLRVIAKIISNLLFVKDQTSKKVKTNPGFHFITILCERRLCLMHDTNKKDRSSSLLTPLFKHFSIDLNKYKVNTEIQYLDIKYLIACNIMRDEDTYNFFDKEGTQLFTKLPHPEITRLSVFDNIRFLPPPELLCTDPRAAAPDADMEDVEDITPEADPSYDLGELADVTNDQAYRRWMVDSQPKNNSLMRRILHLVTGGCIGGSNQRQSPAEQTPRSHRPGKAPMGTGPSTEEVHRSRNRRSFDPAKSGESD >A09g511300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33883729:33891075:1 gene:A09g511300.1_BraROA transcript:A09g511300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMKQELEKRVLALMIKLWNQMIKKKELKREAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A03p064540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28074378:28078529:1 gene:A03p064540.1_BraROA transcript:A03p064540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLENIKNETVDLEKVPIEEVFQQLKCTKEGLTTQEGEARVQIFGLNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVCHKIMLCEVASNDLSKRVLDIIEKYAERGLRSLAVSRQTVPEKTKESLGSRWEFVGLLPLFDPPRHDSAETIRRALHLGVNETGRRLGMGTNMYPSSALLGNHKDPALANIPVEDLIEQADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSAFMVLIIAILNDGTIMTISKDRVTPSPTPDSWKLREIFATGVVLGSYLAVMTVVFFWLANETDFFSNVFGVRSIKGNEHELMSALYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFLIAQLVATLIAVYANWEFAEVRGIGWGWAGVIWLYSIITYFPLDILKFAIRYILSGKAWLNMTENRTALTTKKGFGKEEREAQWAVAQRTLHGLQPKEPVHIIPEQGTYRELSEIAEQAKRRAEIARLRELHTLKGHVESVAKLKGLDIETPGHYTV >A09g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18379448:18381789:1 gene:A09g506000.1_BraROA transcript:A09g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPRNIATPTPSRQSHEPLIHSYSITAREQVKIEKLTFIGFRDNQARTDDFHHVKLLFGTEEIRERGKGPNDFERIERGASGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >A09g511920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35195236:35199081:-1 gene:A09g511920.1_BraROA transcript:A09g511920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFGLGFANICWSIDLGRLTSKSSDVQYSTDDLLVSRLNRTEPLILQCTFKPNRIIYRPYISFFLFIFSRNSKTLTPFLSKTISKAIINRSHRRLSLRRYHRRSHHRKKMDIPKLPRRIHTVGEEPPAGHSISESVNGTNAGRKRLPEDKGPDVPADDSSSKDKAPEPSLVLLDKNHFTVSDLQKEDARYQDKRDAALALCRAKSDRTRRLAASQQSHYAANRTAKVIIPNKKLYPGYNPFAPIDKKKLKELADWLKTCPHYRAAQDKKPHDLPISRLHIDAWINVLRKRYHANPQHFRSERMCFVDHLFAQQWRFNFKDFKDSEPDQNGLRRRLPGGAWNYYACTIPSFFQSNKVWETDIDDIYAPVNYNNNHWIAMWISIPKRHIVVFDSICSSISPEELDVVMEPFVYMVPYLLVECASSDEQRAQYSLEPFTFERPDNISQARAGDCGMYTLKYIECHALGIEFTKKDFAKANGKTMRDKMVVDIFQELPDAHEFLNKDNDANLGAYEA >A03p014190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5647408:5648577:-1 gene:A03p014190.1_BraROA transcript:A03p014190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCYKDDCGSSLGFIVEKRKDIEKDQAEDDEKEPKSISLREEDNDDRANSIDLEGDVEEEEEKKHMCSECGKQFMSGKALGGHKRIHVLENQNKSGESEIDCSVCHKKFTSRKALYGHMRFHPHRTWKGVLPPPPPPHPLGNSSSSTLSIDDHHVGEFTSSDHDDDDDDDDGYDNIDAKDLMLLATTAEAVNLDVAETSDSHSAEDMKKKKRRLSEIEKVSSSSSTHDHHHQLGDVAAAAEEGGGAREKHVCVTCNKSFTSYQALGGHRASHNKVKVLESHQARANSEALLLGTEAMITGLASAQASNTSLSSGNNGDHVCDICHKSFPTGQALGGHKRRHWTGPVSSEAVTAAPTAPAAASSSQVTETVQEVKKFEFDLNELPPNEG >A03p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5081382:5083396:-1 gene:A03p012830.1_BraROA transcript:A03p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVLDPKASPPLMDLSTSDEEDPYSRLKSLERQLEFTDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINREDLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRSNVKKPDTDFEFYK >A04p009490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7691460:7691780:1 gene:A04p009490.1_BraROA transcript:A04p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMAETSSSNVRDERPSNKLQRRLPSLKIEITSVTTWNVAIPLLSPLVSSPKSSFDQSAVAPSQNQAEKLADEVKKTPVFKKWQHPASPFCYDQTTFVQPFISV >A02p058880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35263249:35267083:-1 gene:A02p058880.1_BraROA transcript:A02p058880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate transporter 2.2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64280) UniProtKB/Swiss-Prot;Acc:Q9FMF8] KALQSLNLSWPDAFGLLQAAYFFIRYLFASQTGHVRALFSAFLSCEYKIRCFISRTCCSFQHQYFWCFVFNIHVFGEMPDVFKIGFMMVTINAIICGVAGIFWWNNKLNLPRRELRINSTTMESFALRTLSTTTASSPLSYLSLRRSSSRSLSLPHPSISLYTSPPTVRSLSISSPRLTLRATASSPSSSPEIQNNPQSSPPQPPQGAKLIPLAVSISIGLIVRFLIPRPEQVTPQGWQLLSVFLFTISGLVLGPLPVGAWAFIGLTASIVTRTLPFSTAFAAFTNELIWLIAISFFFARGFIKTGLGDRIATYFVKWLGRSTLGLSYGLVLCETFMGLIMPSTMARAGGVFLPVIKSLSLSAGSRPGDSSSRRLGAFLIQTQLQCAGTSGALLLTSAAQNLLCLKLAREVGVVLSNPWVSWFKAASVPAFASLLCTPLIIYKLYPPELKHTPEAPAAAAKKLERLGPITKNEWIMLGAMAFTVSLWVFGEAIGVSSVVSAMIGLSTLLVLGVINWNDCLSDKSAWDSLTWFAVLIGMAGQLTNLGVVAWMSDCVAKLLQTLSLTWPASFVILQASYLLLHYVFASQTAHAGALYPAFLAMQIAAGVPGVLAALCLAFNNNLSGALAHYSSGPAALYYGAGYVDLKDMFRLGFVMALLQAVIWGSVGSLWWKFLGLY >A02g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3536075:3538397:1 gene:A02g501100.1_BraROA transcript:A02g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLALERFCPTEGQSSEDQIRWESSQRGYRERERKEVIDSRVWSILKTDTPPRRPVPSNQRFSTIVTRKLCPIQFVQAKLVQNHGFRSVEVLLDTPPGCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSSRPWGFGQVLSDQPAASRLEHCELVPVIFKDSFIAGGWTIWITVMTLSPKSGLGWWILKLCGIIGWPIMFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPV >A07p046550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25102629:25105269:-1 gene:A07p046550.1_BraROA transcript:A07p046550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEEKKKDKNYGSIFAYCVLCFVLIVEVARFAKPYYGNLQNLMETEALVAEEGFVDVMNSGKLPCPFKTSRSASARSHEKLSGLIRREDRARPPSSYCVKFQNFATMAKLVKDNGDKYESRPFSVGGYNWTLLIYPNENKPVGSGGYVSMYVRIDNSSLIANPHDVYAEITFLTYKSTIDRYHFLQETDAQRFHLFKQQYGQLNFLEIGYYKDPGHGFIFDGGQSVFGVDILVANPFEKWEVFSYEENIRDPLFNWKLTKFSTCNLDSYTSGSFSSGGRDWVLKVYPNGVGHATGNSLSLFLLSASNEKGYVKAKLRVIDQIRSNHLEKQVDGWPNATENGWGFEKFISFADLKDSTKGFLADDAIKFEVEILSFSKTDTL >A02p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6302096:6304509:-1 gene:A02p014370.1_BraROA transcript:A02p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIDQEFNNYWEHNSFLQNEDFEYDSWPLEEAISGSYDSSSPDGAASSPASKNIVSERNRRQKLNQRLFALRSVVPNITKMDKASIIKDAISYIQGLQYEESKLEAEIRELESTPKSCLSFSKDFDPDLLVPVTSKKMKQLDSGSSRSLIEVIELKVTSMGERIMVVNVTCNKRTDTMVKLCEVFESLNLKILTSNLNSFSGMIFNTLFIEADEEEQEVVRLKIETGIGAYNETQSPTWSIDSLY >A03p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2026134:2028088:-1 gene:A03p004820.1_BraROA transcript:A03p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCAGKRLVVPGKASGSVLRENMYKKDENNVSFGRRIGCSAKVTPGVGFTDNNRKVGRPPICSSSNGKEIAGSSSRTTPGGFGYLRKPAKGRRQPSSNLETESSETSSVHDDSAAAEPTLPRLKTKRGIQSQNTVSGGVVMTKAGRSNRGTSTSSHQESDLDTRVGPSVSSSSGSDRTVRDGLSRNGLRNLRCNSMSNVLPTSSSSATKISVSKKKNSDGESSSSSKKGNKSSVSVLKGMNQSSSHENGTTLSDNRRNRVVPTIRDSGVVSSSRSGRRGAVASPVTSRQTPHRATPTNSSSSRSSNSYSRQNRSTGRLRSLMPGSPSLVNRDGLSRYNINGIAEILLALERIEHDQELTYEQLASLETNLFLSDMIRFYDQHSDMRLDIDNMSYEELLALGDEMGTVSTALSEEALSRSLKRSIYQETDETGAISLKKDDDIKCSICQEEYVDGDEVGTMPCEHMYHVSCVQQWLRMKNWCPICKTSAEEEKSLYEMIPR >A05p045070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26998403:27002683:1 gene:A05p045070.1_BraROA transcript:A05p045070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase 4 [Source:Projected from Arabidopsis thaliana (AT3G14225) UniProtKB/Swiss-Prot;Acc:Q9LJP1] MANNERFYSIFLLFLISINLISCEKTEYAWLPLIPPYLQPGNGVNQFTYGVNFASAGAGALVETYQLQNVIPLGSQLNNFKNVEKMLKDKLGDAETKRIISRAVYLIQIGPNDYFYPFSVNASHFESNSKDKFVDYVIGNTTTVIEGIYKLGGRKFGLMNMGRLDCVPGLLTMDPNRIGSCFEPITELIKLHNVRIPNVLRGLQRRLPGFKYSLFDSYTAGTEAMENPTKYGFKEVKKACCGSGPFRGSSTCGYRAGTSRDFELCENVSDYMFFDGSHTSEKANQQTAELMWDGPSDLVGPYTLKTLKRDYNSNMASSKFSSMITILFICTLSLSFGSISCKNDFVTNQAALFVFGDSLFEAGNNNYFDSLPGFKSNYWPYGKTTFQFPTGRVSDGRIMIDFIAEDAWLPLLPPNLQPGYSSSQLTYGLNFATTAAGVFAATFPGVSKDLGTQLSSFKNATQVLRSKLGDAEAKRVISKAVYLFHIGANDYQYPFFANTTTITTTTKERFVDFVIGNTTNVIEELYKMGARKFGFLSLGPYGCTPSMSITDPTKIGSCFEPVSELINLHNQEFPKVLRRLERQLSGFKYSLHDFHTSLLQRINNPSQYGFKQGKMACCGSGPLRGVNTCGYRNGPSQGYQLCENADDYIFFDPGHLTEKAHGQIAELIWSGSSNVTAPYNLKTLFGL >A03p033730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14276712:14279338:1 gene:A03p033730.1_BraROA transcript:A03p033730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 9 [Source:Projected from Arabidopsis thaliana (AT4G00752) UniProtKB/Swiss-Prot;Acc:Q4V3D3] MMRPSRDAIQSYMSITGADESLAIQSLEEHSNNLTEAINAHFRDVERSILDPSSDTRPQYNAVEDNTRHVRSGGGGSESRPVPGGLPSLLSAARSFRPSLLLDPNYRRSLLRQLSGSASSPPPSSHTGEVTGFPASSTWGAANDQIRPPGLGDVGGDGYARHSPSYGSQVYGGTTHRDAESPVYGNDAEEEMIRAAIEASKKDFEEGTRHNVPSSVLSSREAINKEDEDIARAISMSIEMEEQESVMREQLAELMPHSVEQSNTHESSRYKPGSSTLQEKREDIKQKQPIDVSSQHGHEASYPEEWGGIPSKELQEAIMLEKALFSGVARENTSHNNLPGTHTESQSADKRAIGEEAERSSITKKEALPIEPSVDNEDAITLLVRMPDSSRHGRRFLKSDKLKYLFDFIDAAGLVKPGTYRVIRAYPRRAFSLQDGALTFEELSLTNKQEALFLELLI >A04g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6428451:6428886:1 gene:A04g502820.1_BraROA transcript:A04g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIMSNDIFYIKREKLRERIPGRRQGSFSHRRSGLVFQVRFKCGLLFGGAPLYGGRPILSPEVMAFSTSSSPVSVSGRRWLLQHRDRRLLFPGGGGSLSTASAGWFLELPHLDLRTLASLVTLPLVLNLVPHA >A06p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1608660:1610707:1 gene:A06p005380.1_BraROA transcript:A06p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) UniProtKB/Swiss-Prot;Acc:O04714] MSAVLTAGGGLTAGDRSILTAINTGASSLSFVGSTFIVLCYCLFKELRKFSFKLVFYLALSDMLCSFFLIVGDPSKGFICDAQGYTTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVVTVIRSFGNNHSHLGPWCWTQTGLKGKAVHFLTFYAPLWGAILYNGFTYFQVIRMLRNARRMAVGMSDRVDQFDNRAELKVLNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSALDVGTAALMGLFNSIAYGFNSSVRKAIHERLELFLPERIYRWLPSYLRPKNHHLIMHQQQQQQQRSEMVSLKTEEQQ >A01p053540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29996787:29999001:-1 gene:A01p053540.1_BraROA transcript:A01p053540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVDEEIEIPNYFLCPISLEIMKDPVTAVSGITYDRQSIVQWLEKVPSCPVTKQHLPLDSDLTPNHTLRRLIQHWCVENATRGVARIPTPRAPPGKLNIVEEIKNLKKFVVEASGREETLKKLEVLAMEGETNRRMMCEAGVHRSLILFVVKCTREEEEEGQHLKGHLDESLRLLHLIGVPLNDARALLIENDQMLESLTLILHQQDFINKAYTIVLLRNLTGNASSHIVERFGAEILKGIIGFLKDVVISSFNLTSPSVSATLQPSSSSVRSKLDRSLVIKRGVTAALMILLETSSWSRNRTILVDLGAVSELIELEISYSGEKRTTELVLGILSHLCCCADGRAEILAHGGGIAIVTKRVLWVSTAADDRALSILSTLSKFSPENEVVEEMVCVGTVEKLCSVLRVDCGLSLKEKAKEILRDHFDEWKRFPCINLGYQTWCGCTDDSSRAFSILSTLSKFSPEKAVVEEMVLLREHFDDWKKFPCIDVPRLTKLLSS >A03p018840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7690659:7693143:1 gene:A03p018840.1_BraROA transcript:A03p018840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 13 [Source:Projected from Arabidopsis thaliana (AT2G32770) UniProtKB/Swiss-Prot;Acc:O48840] MKKMVVNYTMTSMSFLVILVCAVIIITVDAFPSTVDGPFTPVTAPLDPNLNPVTFDLPESDPSFVQPNSKFLPEQISVSLSYNSDSVWISWVTTDCCEEDSEPLDPNSVQSIVQYREFNSRTRNKHATGHSIVYNQQYNALKKNYTSGIIHHVQLTGLKPNTLYQYRCGDPSLSAMSRDYYFRTMPKSTSESYPHRIIVAGDLGLTYNTSMVLTHILSNHPDLVVLIGGFSYADTYLANKTKLDCSSCQCDQNRTSSDCDSCYNGRESYQPRWDYWGRFMEPLTANVPTMMVAGEHEIEPQTDDNLTFVAYSSRFAFPSNESGSFSPLYYSFNAGGAHFIVLNAYTPYDYSCKVSPTDQYIWLENDLRNINRSETPWVVAAWSLPWYSTFKGHYREGESMRINLEDLLYSYQVDIIFNSQVDAYERSNRVYNYTLDQCGPVYIIIGAGGAGKLETEHVDDPGNCPDHSQRNSIGSCSFNFTLGPSKDEFCPLNQPDYSAYRESSFGVGMLEVKNETHALWSWNRNQNLYNLAGEVIYIVRQPDICSVFN >A01g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12330120:12331289:1 gene:A01g504090.1_BraROA transcript:A01g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQAPPSLLMTELRFSNTGQRGHKKLWVNVMICTEDVANVCRHAIPRQEESGNSLLNVLDRFHSEDEEITMDGSQNGRNKERVESRSKRPNGVAMDNNNIVVSKNQKLKSAEAVRRA >A02p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:752354:754522:1 gene:A02p001760.1_BraROA transcript:A02p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIRIEGLLAAFPKLVGMGKQHTYIETENVRYVYQPIEVLFLLLVTTKQSNILEDLDTLRMLSKLVPEYSMSLDEEGINRAAFELIFAFDEVISLGHKESVTVAQVKQYCEMESHEEKLHKLVMQSKINDTKDVMKRKANEIDKSKIEKTRGDKGGLSSMSSLSSGFNDMSMSHGPGSSFGSGSMLGMISDVEPISTKAKDRSRSSVTAPPKSSGMKLGKSGKNQLMESLKAEGEDIIEDVKPTSQTRAAVALPTDPFTLTVEEKLNVALRRDGGISSFDMQGTLSLQILNQDDGFVQVQIETGGNPEILFKTHPNINRELFNSESILGLKRPDQPFPTGQGGDGVGLLRWRMQRADESMVPLTINCWPSVSGNETYVSIEYEASSMFDLTNVIISVPLPALRDAPVVKQCDGDWRYDSRSSVLEWSILLIDNSNRSGSMEFVVPPVDSSVFFPISVQFAATTTYSGLKVTGMIPLRGGGGATPRFVQRTQLIAQDYQVV >A09p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1788318:1790988:-1 gene:A09p003010.1_BraROA transcript:A09p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 123 homolog [Source:Projected from Arabidopsis thaliana (AT4G05440) UniProtKB/TrEMBL;Acc:Q9M0V1] MRNQFWIFLFSLVLLNFISQVRCKATRPVTMIRPESPIRPEGNTTFLDGTTWCVARPSASQAELQRALDWACGIGRVDCSVIEKHGDCYEPDTIWSHASFAFNAYYQTNGNNRIACYFGGTATLTKINPSFGTCSYDIGSLLRKISSRVQATVDVADVHRTFIVFISAKLTSSPKKKPISSECLSSPSNPKPSIDAGGKMKEEEVNRCQIQNWYPKFKSLTIKTKLHKLPESFVNYLLDDSVPFLLPSSVTNQNAMPNRIHNPDEGEDDESSSSDDETDPDPPPSFPELEIEIRDSIEALGGSIFPKLNWSAPKDAAWISPSQSLSCSCFSEIALLLRSSDSILHDLCNAYDSCSDDKASSRPESFFLALRKWYPSLKPEMEFRCFVKANELVGVCQREVTTFYPVLVSEKEALKGLIEEFFDVNVRNVFELEDYVFDVYVTKEGRVKVVDFNTWCGSTLPLMFSWEELEGFRRVGGELELRIVESRRGVLPGLKTAVPYDYIDVSCGSGWDQVLKKAEEELRNESDDEDVV >A02g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14475091:14476328:-1 gene:A02g504380.1_BraROA transcript:A02g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINDRETGRSRGFGFVTFTDEKSMRDVIDEMNGKELDGRTITVNESQSRGSGGGGGRGGGGRGGGGYSGRGGGDCGSLDQHGFARDKIWIIDDNLPPQFWVSLAIDGQSTLISLERSMANPSDSYLHMAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDKGRYPEVYVKAIVGNQALRTRVSQSRTINPMWNKDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCVIPLQHLDRRFDHRPVNSRWFNLEKHIMVDGEKKEIKFASRIHMRICLEEGDQVREHTQHLHQFFIKLVKL >A05g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9878438:9879566:-1 gene:A05g503360.1_BraROA transcript:A05g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFMAHESLLLDIQQRQRQQLRASMMTEEDEELSLFLEMRGEIIDPNATFSNEEGDVSEGGEFLEPLEEAKLFIENLAYDADSQALAMLFEKVGTVGASLFKRYIQYIGKPTRVVGLDL >A08g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4779180:4782288:1 gene:A08g502120.1_BraROA transcript:A08g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGSIKQRDEVSLSQNTIAVKGFALALQLVMVEAVPDLTAVVLETCSSSESDSCDDDDDFTHKKTKKKTLSPGHAREIDKKNNLRTDANVIVRSIIPEDPDRPLIPANLVWADEVLDVKVENLLKLIAQSHPFTAEMFKGGATKLDVERMRELGRAGGKQKRKTKTQTNIETVEDKRIAAIVLSMLKPEVERVEANVAKAVSMAENTASKFASFDTTVMVSIQNLLNNFKDEVIRSVMQIHNSANAPTQPTQPDVDTTNHVQRKVDIVHPHPDPNDAIIAQVIGSLSQYSTPPRNASVCPGSDGRTCPAASRLPFVLQTQNSPGQDTTLSANSHTKEATKESEVVRNLDAHPSQASVLSHGQTGEIFHETSLQPVGCQTDGQYLDRPTVSVTGQTNNASKTAEPSFSLGLTQPENPPLSGPACDLIFDTVAGYGDEHVDEHTYDVPSPDPFAPLLCRKSKRPKIVPAGLVKDYHCGADILSRARAAQPPFCDYSDPAVVMSKFSNLAEKISTPFVINIAGLAVTSKDITGIVEFTRSLPARVVDILVRFVRATCNMQQHSSLGRIPEFLDTRYVALLFKHFPKFSRSKTPDAYPFPKGLFELLRKDNPTGAAVTHYYFPFDLGNKQWVGVCFDCTAWKLTVLDCNISIRSDSQIATQLRPFAEMIPSLLKRTGRISNTTAYHGVVIERPKVVAQNTNPSHSALTSILLMQTHAIVGLESCRCITPTLLTEEAHRVAVMLYELHEKL >A02g500780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2381816:2382283:1 gene:A02g500780.1_BraROA transcript:A02g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGDSSRFGHQQYPTKPSRNMSSSSSAAFFSANQSPFFSPRSLKPQDHSESTRSDPQCDSFDPLTSSSDLVFQNLEPSSSVFPRFPRGGHDSSSYTQTSSVSVSYNMVRCCDVFLGLHGNKPSLLRFADWLRFGEDEQVGRHCLVSTLQTNKV >A06p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20362529:20364718:1 gene:A06p037520.1_BraROA transcript:A06p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVSSATSEKLTDVDWGKNIEICELAARDERQAKDVIKAIKKRLGSKNPNTLLYTVQLLEMLMNNIGENIHKQVIDTGVLPTLVKIVKKKSDLPVRERIFLLLNATQTSLGGASGKFPQYYTAYNDLVQAGVQFPQRPSSTPPPAVVTAQAVPRNTLNEQLASARNEGTAPPTQQRESPTPSSILQKASAALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKERVMHLVMTSRQVSRILNRHEDLLSGRVTGSGRSAASNGYHSNLEPNRPTSNGSNANTKSSSSISNPNHLNLEDEDEEEEPEQLFRRLRKGKARAMPEDEEKPSPPQALLGSAIHSEKLNRPLIRPLPSEESSRGGDSHSHSQSPPLVIPPPPSKHVEREKFFKEKKVDGASGLPGHMRGLSLHSRDGSSSRSGSVDFSD >A05p045580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27239418:27240674:-1 gene:A05p045580.1_BraROA transcript:A05p045580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGEDGTKLILKPGDKSVFGRGAGFATDDLTVSRRHVSLELKPFAGGTDSDRVSLEVLGRNPVWVRKREPGEKIQTFRKSETGEIKAGDRFCVSGQLPIWFTLKSRDERVSDGESGLDCIDSDPVKEFGFLVIGKEFDQYPKSRVRDVKQWEWFLEDSSKRNSDDEDDDGGKKGRKGLSKKRRRRGKKGNEEDDDWSAESEEDKELMVKSKRVVSPIYSTRSKKTKKDVNASSSSSSSRAQTKQRGSVDIDEEDEDEDDETLGGFIVSDEEAELEEEDEPNTDDEEELVEDDEDEDED >A02p037580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20117722:20122595:1 gene:A02p037580.1_BraROA transcript:A02p037580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAKNCYSDLSKAETGKSRLHSYGDIGVDLIQTFFLEGKGELLLLKLVATCFSKLPLCGREVSLDDPFSPSCDFNECVLVWLRAEGLVFKSLESPKFFSLGFYRSVFRLKFISTKFILKLEEIGHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMAGDLTLGREGTSLASGALVFFGCWSKAIRSILRTSDQLSRNINRVISGQLRSGVSQALSKQKELQNRFVTSLFWTSTSPLSDGCKKDLPKFTVRLFTLGEEPAAMKSIGHHTDDAKLLPAVKAALNEDEWEQLKNSRLGVFIKLWVLKFEWASRLVHYMLCYQLDIKKKYELWCLFGPQRARFSLIEFEHITGLNCEYIKNLDNPTVEVTYELACFWELMGVDIDAGPSSLQIIAACKKCGEWSRDDRMRLAYLAIYTGYIEGKKNSSPTRAMPARLVMNLHEFETYPWGRLAFKWLMDSVKCKDLTSNCYTIDGFVHVLHVWIYVALPEFAASFGKPIRNRPTPPLLAYNGQRGIKCGKEGLNTQTRVFKYVAKEIGEVYPTWDNDAVDVSVKNLVEFMFAKHEWKWTQECWPAQGTKQWTNPVYLKKEPHQRPVKEESRAPKKARTEAGTSEDPIEPP >A07p034400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18714745:18716640:1 gene:A07p034400.1_BraROA transcript:A07p034400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDTQQHSVNVMLRQLSFIRSSLIPFSSYSPRTSPTPLTSIRSQTKATMSTISTQNESTLQTQRPVQVAQRLEKFKTTIFTQMSILGNKHGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARAFGAPELNSAVASRFHQDTGLVVDPEREVTVTCGCTEAIAAAMLGLINPGDEVILFAPFYDSYEATLSMAGAKVKCITLRPPGFDIPLDELKAAVTSETRAILMNTPHNPTGKMFTREELEAIASLCIENDVIVFSDEVYDKLDFEMDHICIASLPGMYERTVTMNSLGKTFSLTGWKIGWAVAPAHLTWGIRQAHSYLTFATSTPMQAAAVAALEAPESYYTELKRDYSEKKEILVKGLKEVGFEVFPSSGTYFVVVDHTPFGFGDDVAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFAFCKDEETLRSAIERMKLKLKRVV >A01g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5086896:5087415:1 gene:A01g501310.1_BraROA transcript:A01g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFMIHGFIPAGRANHYMPFLKAGFIVKVDLFEVARCSSMYKIIDHPFLICSISPTIIDEVIAGAPEINLQSLLNCLTISK >A04p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20174452:20177866:-1 gene:A04p034880.1_BraROA transcript:A04p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVQEQWSAAENGNATMTAKGSSRELRHGGRTAHSMSSSSLRKKSDLRVIQKVPYKGLKEFLSNLQEVILGTKLAILFPAIPAAIIGSYCGFSQPWIFGLSLLGLTPLAERVSFLTEQLAFYTGPTLGGLLNATCGNATELIIAILALTNHKVAVVKYSLLGSILSNLLLVLGTSLFCGGIANLRREQRFDRKQADVNFFLLLMGLLCHLLPMLFGYVGNGETSADLIADMSLSLSRASSIVMLISYIAYLVFQLWTHRQLFDAQDQEDEFDDNVEEETAVIGFWSGFVWLVGMTLVIALLSEYVVATIEEASESWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIGLFVVPLTIIVAWILGINMDLNFNLLETGSLALSIIITAFTLQDGTSHYMKGLVLLLCYIIIAICFFVDKLPQKQPNAVHLGHQLMNNVAAAVGEGVFSS >A03g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29429208:29429898:1 gene:A03g508730.1_BraROA transcript:A03g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLAGDIGQVSLAGGIISDPISYCEIAIEYASNFRYDLINLLNSKNGFGVVSHSFNHSKALHFACDEDFNQIQESIKDCKKKLEACKKKTEEAYSDESAGDDDIERLQKELDEDMELESKINDELR >A03p046590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19664540:19666036:-1 gene:A03p046590.1_BraROA transcript:A03p046590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQKIGRAWDCLFYPTNQCSCFCLNTLENDDEEFEKEPLIDSSSEKSGKVMRLKDVVSADHRQTLAFHLKPKIVELKVSMHCYGCAKKVEKHISKLDGVTWYKVELESKKVVVKGNIMPVDVLESICKVKNAQLWSSS >A02p048450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30143865:30144136:1 gene:A02p048450.1_BraROA transcript:A02p048450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAISMFLLSLVLLCMTLNLSEMHRWQTYRPQRPQKLSLLCLTHARYQKNVMPPIAQAGKLYA >A06p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:939290:941165:1 gene:A06p003910.1_BraROA transcript:A06p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEAPLVRPKRKKIWVDYFIQFRWIVVIFIVLPISATLYFLTYLGDVWSETKSYEKRQKEHDQNVNKVIKRLKGRDASKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILMIDKERMIARVEPLVNMGQISRVTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHVHGLFTDTVEAYEIVLAGGELVRATRDNEYSDLFYAIPWSQGTLGLLVAAEIRLVHIKEYMKLTYIPVKGDLQTIAQGYMDSFAPRDRDPAKIPDFVEGMVYSPSEGVMMTGTYASREEAKRKGNKINNVGWWFKPWFYQYAQTALKKGEFVEYIPTREYYHRHTSSLYWEGKLILPFGDQFWFRFLFGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVDREMEVYPLWLCPHKLFKQPVKSMISPEPGFEYEMRQGDTEDAQMYTDVGVYYAPGPVLRGEVFDGVEAVRKMEQWLIENHGYQPQYAVSELDERSFWRMFDADLYEHCRRKYRAIGTFMSIYYKSKKGRKTEKEVREAEQAHLETAYAEGD >A09g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10877824:10880588:1 gene:A09g503420.1_BraROA transcript:A09g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSARKRRAEAGPPLVPDPREEIGGKEVDVGTLGLGFAGAGFAGIREIGGRRERDRASRRSPSLFFLSEPEKRERRWYLCHYLNIISGHRNLYLRCFGVTQPPHRNTPATIFAVTSDLASISALPFSSNCKKILLQVYDAARTEFSRRVVVFNRAKFLHSRDDIRSSLYNVFAQVASNGRVLTWGAKIKRCLFSSHCLLKTAQRWLQLLLGTMNCPGHWRILLKNFKRLCFRESLGLYVLSKNYVPTKGSHYTAVKKALEMIKQNISSLSKSEGHDAYHFSLQLTGAPALIGNTICLELLQLRVISRYSKLARLQHLYSQLAEKLRREDDKFNVILAALHPTPAVCVLPAEEARLLIKEIGEILASQTGQIVSLPHLWEEELMS >A04g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8826847:8828173:1 gene:A04g504240.1_BraROA transcript:A04g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYIKSVTWRRAYKTDRIRASIISFICQITFQTMGDPKDVKGKGQYHSWSGPEHKLLLRLLVDAINQGFRDASGKFNKLTVESRILTTLQQEVGSKKTYGQYKNRMKILKGRYQVFADFLRCSSGFGWDSETKKFTADDEVWKVYLQAHPNNKYLRDDSFEELRTIFEQNTATGQNAVGLGDSVDAGSYQFEENEKTNDNDFVHVIYEGGGIEHQQTCEPSSRKSIGEKLSHRKKARTDAYNSERKRWVKEAEEKEAEDKANNVWDAIKEIPDLDDDLRYEAMTLVHTLGMKSGFVNMSITDRCGWIKRNLRKPSG >A08p023330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15349036:15354623:1 gene:A08p023330.1_BraROA transcript:A08p023330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGSRLEVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVVWSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSYTARRLKGKSSTARRLPNSLAYIRLLQAHRITNKSHPPIINQNLGFFSSLWRESERYVMFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIFTQRAQQSRILKKPFHLLLLKSPMGLGRSSSSSALKWLGFVTAVWVQSISGNNYTFSNYSDALKSLMNLTQVELNSLSVAKDVGKAFGILAGLASDRLSTPVILLIGCFEGLLGYGVQWLVVSRTIQPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTVVCTALFSSDPASFLVLLSVVPFAVCLTAVFFLREVPPATSTAEENEESRYFAVFNIVAIVVAVYLQSYDVIGIKTGAVSVAFASVLLILLASPIAVPFHAYVRSLNLNEEDVEGRADEPLLRSEITEETVVGAAAAADNELPPYPTLLKEEENNQGGIEKRRPVLGEDHTILEAALTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMMSIWGFFGRILSGTISEHFIKKNGTPRPLWNAASQVVMAVGYLLMALAIPGSLYFGSVVVGACYGVRLAITVPIASELFGLKYYGLLYNILILNFPLGSFLFSGLLAGLLYDAEATPTPDGGNTCVGAHCYRLVFIVMAFTSIIGVGLDFWLAFRTKEIYTKIHASKKVKRSSGSVR >A05p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10485439:10486235:1 gene:A05p021950.1_BraROA transcript:A05p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHRHLQSSLVPSLSSIVATGVCVLKSIMVSVEGSGYHVSLSWVRSMLSARFGVPPLVLWWWCICGVSLVASRLVWCVIVACCICEFASDSVVIVVKRLKAWSCEQETDFDIEFQKDKDSFSSIVCEPLHKRIDE >A03g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16774542:16775389:-1 gene:A03g504710.1_BraROA transcript:A03g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTAEAQVHRITTHKTQISYGQQKNGRSPNKEHGPRPKTATPGLLWTRVKEAEQNTCKNLAHQPTTCRPPRLSRPILAHPPTLSTVTTLRRNSETTEPPRHQSSATPCLPVGEFNQLETITTTQKTWDSTTAARSFNGVGRTEKASILPRNKTGDDGSRVTSISRVERLQHASRSISTASFQNGRAVTPKPTLLNKCIN >A09p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35064871:35066103:-1 gene:A09p043110.1_BraROA transcript:A09p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQHFRPYSSQESIECKSLLCGRTRTTHCKSSEPKWFIYLLKSIFHLKCRFVFHIVVFYTNSTGVLLLEQVPGTRPVDRLKRTTKQGLTNGFTFLFTRRSMNEAEKRPTMSEVVDMLMNNLWRKWVILKNLVLVLDMEVAKLTNIQASEAAQIVGICVDAHIETELPWSLADIVWYIYTFQLKLKNFNFTSKNQNLHHFSRAKVSEAVQPEVVANRSYAKVDKTCCVTEAPSISDGSLAGRTSSCCFGRRNHVWNESTMRT >A10p009500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4877749:4878402:1 gene:A10p009500.1_BraROA transcript:A10p009500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF105 [Source:Projected from Arabidopsis thaliana (AT5G51190) UniProtKB/Swiss-Prot;Acc:Q8VY90] MASSQDQSALDLITQHLLTDFPSLETFVSSIHQRTTSTLSQRKPSLVTISVPTTAPVVQEDDHRHYRGVRRRPWGKYAAEIRDPNKKGVRVWLGTFDTAVEAARGYDRAAFKLRGSKAILNFPLEAGKHEDSGDNNTVALKSKRKRPETQDENHGRNLISHKAVKREETEAQGEACPLTPSSWMGFWDGVDGIGTGLFSVPPLSPYPSLGHSQLGVK >A02p018490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8541148:8547544:-1 gene:A02p018490.1_BraROA transcript:A02p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSTEEATEKHVDSGDKLLPFASDKIKKHKHKLCNRRKINEDDHYMPMENYRRDIISQLPDDLLLRILSSLSLKEVMATSFLSQRWRSLWKLGSKLKIGNKDFSELLVKWVSRSLAISNPQILKSLDIKLIPGELDRNINSLYRFSSLVKTAVSCGLRELKIEFLYTSLELPSIFYACGTLETLILCRLYFADVPPNGSLSSLKTLCLLSVKFSGDESVQKLLSICPVLEELVVRRSGYSNVEIFTINVPSLTSLSIDYIRVGSHQPAGVHGFVINAPSLRYLNIRDRHSNYLLFTNMPELVKANVEAVCDQSESLIGSLASVRHLSLCSKSSNIPYHAGTSFAFLEHLELCTCSSECWNLLSRIITDPLTLRVLKLKLLFLNVCYRISRIWNVNIQRLKAKQVAKYILANAAMENYRRDIISQLPDDLLLRILSSLSLKEVMATSFLSQRWRSLWKLGSKLKIGNKDFSELLVKWVSRSLAISNPQILKSLDIKLIPGELDRNINSLYRFSSLVKTAVSCGLRELKIEFLYTSLELPSIFYACGTLETLILCRLYFADVPPNGSLSSLKTLCLLSVKFSGDESVQKLLSICPVLEELVVRRSGYSNVEIFTINVPSLTSLSIDYIRVGSHQPAGVHGFVINAPSLRYLNIRDRHSNYLLFTNMPELVKANVEAVCDQSESLIGSLASVRYLSLCSKSSNIPYHAGTSFAFLEHLELCTCSSECCNLLSRIITDPLTLRVLKLKSIHRAH >A02p027700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13453168:13455271:-1 gene:A02p027700.1_BraROA transcript:A02p027700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWRETSVELSSLRSKGLHPCGQCWICFCALRSKGSLAVSVLLRPFSFEGRRRLEEVAFLCCCATNKVTSSPAFTISRVSVSERMVVIMVLSRGSQLLTGVRIRDIKSEQKWTADSGDTAVLSLAIVNLPVGVRPSKNLNSSLKSCSFGCRRFYRRQPQDAAFGRGVRRSFLRQRNEQELTQNVDAAAAAGTCGNQTNSPSDAVQRRRNVQGEMAFLKERETVLLPFLSQGRVVVGDGSIYDGMWLKGKRCGLGTFYSRMETGGFISTKVIDGLQISGKEMPAVKEGSIQSQVRYSLDISKMDGDMDSSSV >A03g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25385414:25388939:1 gene:A03g507200.1_BraROA transcript:A03g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDAISVQTDDGAATSFSGNLCSGYTIHSVMVVITVNPKIFGGIGGTEEVLPHVEIFTVPLQSPTTRPIRLIFYVRLGSSVSSSKMVGRQKRSSVACTGCSRRLEKSKTSLQCNRCVSPNVTGVIRLQAAAKHHRCTTNRNNHQHLATSHVMHTRKKWTVRQQNHQALETMRTPASACESLGDKDDESEESSKCPETQTNSSSDSENHRLERVSVTEVRRRFHLCENREAMESTESYAPEELTNRSPEPSEDGIPDPICSF >A05p047940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28158275:28164055:-1 gene:A05p047940.1_BraROA transcript:A05p047940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLHRPALVSSSSSTPCQPRISFVSFVSCKKAAVRLDCLSSPRSQLFVRYGFLERSSHYKKKKARRRLVQPFLLASAEDGVAVNGSSVGEVRAKLTGSLQEESYCNGLIQSLHDAARTLEFAVKEKITPPRFSWFSATWLGDDRNAWLKTLSYQASLYSLLQAVNEISSRGNNRDEDVNVFVQRSLSRQAAPLENMMRDTLSAKHPEAYEWFWSEQVPSVVTSFVNYIEGDQRFLAATSFYTKGKSSAASNEIEVSLLMLVLNSIAAITKLGPAKFSCPPFFSSLPDTTGRLMEKVVDFVPLPQAYHSIKTIGLQREFLTHFGPRAALGLKGDVATDEVVFWVDLIQKQLQRAIDREKIWSRLTTSESIEVLERDLAIFGFFIALGRSTQSYLAANGFDSLENPLEDLVRHLIGGSVLYYPQLSAISSYQLYVEVVCEELEWIPFYPNSTGAQPPKQSHGHRSKPEGPPNYEVIPQVLDVCSYWLQSFIKHSKWPENPSNVKAAKFLSKGHKSVIRCREELAISKNASSAVRESDSFDKALESVDEALVRLESLLQELYVSNSSSGKEQIKAACSDLEKIRKLKKEAEFLEATFRAKTASLQQEGDKNDSRESYEVQKRYFKGKITKNAISSEGEGKSVSRGFWGFFEPRPKSNPDPELSVSTLKSFDHSSAWNSVFSLVNYLGGDIVGKSSGDLLRMDSEPNEISRFEILRNELIELEMRVKRSTAQSIDDEERISEDPPQPSSRPTGVQLVQISKKENMIEKTLHKLRETTTDVWQGTQLLAIDSAAAMKLLRRSLIGDELTQKEKKALRRTMTDLASVIPIGILMLLPVTAVGHAAMLAAIQRYVPGLIPSTYGPERLNLLRQLEKVKELQTNETEPEESIEKIAYD >A01p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:675947:678222:-1 gene:A01p001550.1_BraROA transcript:A01p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATPRVVVKVDLKKRPWEQEQPLHNRWHPEIQPVGEVKAGEFFRVEMVDWTGGAVKDDGSAEDIKSLDLSTVHYLSGPIKVVDEDGVAAKPGDLLAVEICNLGPLPGDEWGFTASFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGIIGTAPSKELLRIWNERERQLEESGLSSLTLCEMEEGTPEWERIAKEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEISFCGAIEMSGFLELKCEIIRNGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDETGRQHYLDATVAYKRAVLNAIDYLFKFGYSKEQVYLLLSCCPCEGRISGIVDSPNALATLAIPTSIFDQDIRPKTQKVPAGPRIVRKPDVMKSTYDGKLETTRNPNSSSYS >A06p043970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23671434:23672158:1 gene:A06p043970.1_BraROA transcript:A06p043970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGDWNCRLCSHLNFQRRDSCQRCREPRTSGITDLVSNFTSRPISSSFAFNTGPDVRPGDWYCNLGNCGTHNFASRSSCFTCGAAKDESSRSAAAAATGFIDMSDGPRRGLFGFGSSSAGGGGMGRSSWKSGDWICSRPGCNEHNFASRSECFRCNAPKEPATNSPY >A06p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8846951:8850026:-1 gene:A06p018160.1_BraROA transcript:A06p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETNPASGDSAGLSNGLVSHGDHKSRRVYQAARSLHSGAVKDLLSLGVCERCVFRLVSVEAFDSDLSSVSASTLRSWLESGDEKDASSEESSCCIVCLGVLQFVFSDAKQTLLRSECGSDYAARVTDLVKEEGHEFDSFGLEVSVPATIAENERAVLLYLKGKYNSESWLQSEKISVKDALKVLVLDPLKVSLGAKSDSSSFQIRLTYSKASDEAQGASETTRERKKRKTDEENGSTSMSENSFEKVYEPCILSVHCNKMPIFFSGRYFKYSRNVSQTRWIIDDERMGEASVEELIGGNILPACLGDSYKFHAAGREDIDVRMLGSGRPFLIEVQNSRKCPSQQSLTEIGEKINKSEKKLVGVKDLKFIGSQCWAMMREGEAEKQKQYAAIVWIARPVEEKDIDSISSLKELKILQKTPVRVLHRRSPLERERTIHWMKVEKIKGSSHYFLLHLCTQAGTYIKEFVHGDLGRTTPSMGSILGCRAEIIQLDVTDVKMGDS >A04p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21736853:21737871:-1 gene:A04p038170.1_BraROA transcript:A04p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMKYLTIWSLFAVLLSQQLFASVTSLKFEDEKTYYSPPSGGHGGYTPTPNCGSPPYDPTPSTPSHTPTPHTPTHHTPPCNCGTPPHDPSTPSHPSTPSHPSTPSHPSTPPSGGYYTSPPPSTPVVVTPPTPIIGGSPPTPIDPGTPGTPFVPTPFPPITGTCDYWRNHPTLIWGLLGWWGTVGGAFGTVSTSSSIPGFDPHMNLLQALSNTRTDAIGALYREGTASWLNSMVNNKFPLTTPQVRDHFVAGLSSTKAATKQAHTFKLANEGRLKPRN >A06p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18596823:18599765:-1 gene:A06p034380.1_BraROA transcript:A06p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48820) UniProtKB/Swiss-Prot;Acc:Q8RY00] MKILQLIFLLALTTGISAVLIYIIGVSNLYDSVGLDESNRLSNEDLEALQSLQNGFQKCVSANGLGLQAATGRDYCKISINFPKDTVPKWKDPKSGELEGLSYEFDLCEAVATWEQVRNSSTILTREYIDALPNGWEDYAWRRINKGIQLNRCQNRSLCIEKLSLVLPETPPYFPRQFERCAVIGNSGDLLKTKFGKEIDTYDAVIRENGAPIQNYKEYVGGKSTFRLLNRGSAKALDKVVELDEKKQEVLLVKTTIHDIMNKMIREVPIKNPVYLMLGASFGSAAKGTGLKALEFALSTCDSVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWLPSRKTIRSARIAAEKLLRRVGAGSVDPLASCSIVKKRSKNKRPMVSDLRKPARDHQKFVRSTTMYPLEHSPGHSQLCITPAD >A03p049810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21383213:21383729:-1 gene:A03p049810.1_BraROA transcript:A03p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAANSNGIAFDETVEEPPCFKYLDSKEYADKYKKYESEFKQWILAKHFYPNAVNLYEGRTTIGGETILSSKWPCTRFYADRVFHLHNKRV >A04p031720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18637825:18641369:-1 gene:A04p031720.1_BraROA transcript:A04p031720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLFFSFLSLSLIISVASSRVLSPLPLNNSVLISDGVHDVSDYKFLTLDPPNTLSGTECEHVYGFLPCANNVGGYVFQVLTFGCLLIVGDYFLSVGRSQLFLTFDVGFYGGIIFPLLTMFPRIVLMLANGLSLGSDVANSFVDNNMGVTAGYTVFALTIQWGACVVFSITGSSLENEERKTITKIIQDWWSQRHNIVKNIVDVDTKNKKPAGIMLLSLAPFLMVTLTAIFDSHSWRHIIILITLIISSSSTILYFVYSYADQDNQRESLEHARFEIMSEVHKLLKKFSPENLLQNGEINKESIKSLFEFLDTNNDEKIQISELKDFKIEFWNFGRRKCEINKIAKEFLKKYDKDADGHLDKDEFEAGVTDMLKNHKIKFDNLEDEKEDHSVRGKGNSKDENTVAKAFSAGVPSFYIVFVIIPLARNLKNTLSARFCHPKDKEKISIHTFSEIYKDITMNNLMGLSIILAVIYARGLAWNCSVEAFILVIVGLAIGLPAYMRSTYPFWICVFAFAMYIFSLVLIYFRYQFLDKN >A02p027720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13457040:13459425:1 gene:A02p027720.1_BraROA transcript:A02p027720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 1-acyl-sn-glycerol-3-phosphate acyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G75020) UniProtKB/Swiss-Prot;Acc:Q8L4Y2] MEVVCGDSDNLKNRPLTPLRTLRGLIILLIFLSTAFMFLIYFAPPFALALRLLSVHQSRKSISFIFGHWLALWPYLFEKVNGTTVIFSGDTLPVEKRVLLIANHRTEVDWMYLWNIALRKGCLGYIKYVLKSSLMRLPIFGWGFHVLEFIPVERKREVDEPVMLQMLSTFKDPREPLWLALFPEGTDFTEEKCKRSQKFAAEAGLPTLSNVLLPKTRGFSVCLEALHNSLDAVYDLTIAYKPRCPSFMDNVFGTDPSEVHIHVKRVLAKEIPASEAGSSAWLMDSFKSKDKLLSDFNAQGQFPNQRPEEELSILKCIATFGVIVSLTVLFLYLTLYSHSCFKVYVGLSFTYLSFATYYKFRPSPSAALLFPPPSSLWKTVALTSCGRESSPATPTPSAKAGFP >A07p047160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25368773:25375218:-1 gene:A07p047160.1_BraROA transcript:A07p047160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTTEEVTWYKGKRMAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPKSMGSNRQTTLLQRSSTNQLWGSVRFRTSKLRSLNLNRTKAGVLRCSTPRASLSVVEQPSLVEKPASEVIHYYRVPLIQESANAELLKAVQTKISNQVVGLSTEQCFNIGLETELAEEKVSVLKWILQETFEPENLGTDSFLERKKREGLHATIIEVGPRLSFTTAWSTNAVSICRACGLNEVTRLERSRRYLLFSNEPLSENQTNEFSALVHDRMTECVYPQKLVSFETNVVPEEVKYVPVMEKGREALEEINQKMGLAFDEQDLQYYTRLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFAGNIVIDGKPMDRSLMQIVKSTWEANRNNSVIGFKDNSSAIRGFMVNQLRPLLPGSTCLLDLSARDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTSGYCVGNLNMEGSYAPWEDSSFQYPSNLASPLQILVDASNGASDYGNKFGEPMIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHTHITKGDPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVVRACIEMGEKNPIVSIHDQGAGGNCNVVKEIIYPKGAEIDIRAVVVGDHTMSVLEIWGAEYQEQDAILVRAESREVLESICKRERLSMAVLGTINGEGRCTLIDSTAKAKCEKEGLPPPPPAVDLELEKVLGDMPKKTFEFKRVDYAREPLDIAPGITLMDSLKRVLRLPSVSSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLEGEGSAMYDAAIALADAMIELGIAIDGGKDSLSMAAHADGEVVKAPGNLVISAYVTCPDITKTVTPDLKLDGGVLLHVDLAKGKRRLGGSALAQVFGQIGNDCPDVDDVQYLKHVFEGVQALISEDLVSAGHDISDGGLIVAALEMAFAGNKGVSLNLDSNGISLFETLFAEELGLVMEISSKNLDAVLEKLRGYNVSAEIIGKVTESPLIEVKVDGITHLSEETSFLRDMWEDTSFQLEKLQRLASCVEMEKEGLKLRHEPNWKLSFTPSWTNDSYMSKDVKPRVAVIREEGSNGDREMSAAFYAAGFEPWDVTVSDLLAGAITLDQFRGIVFVGGFSYADVLDSAKGWAASIRFNSPLLSQFQEFYKRPDTFSLGICNGCQLMALLGWVPGPQVGGSLDTAQPRFVHNESGRFECRFTSVTIKDSPSIMLKGMEGSTLGVWAAHGEGRAYFPDEGVLDRMLHSDLAPLRYCDDDGSVTEAYPFNLNGSPLGIAAICSPDGRHLAMMPHPERCFLMWQYPWYPKSWEVEKAGPSPWLKMFQNARDWCSQL >A10p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9151592:9153167:-1 gene:A10p005050.1_BraROA transcript:A10p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRSVSVFILVLLAISSLALVANGEDKTIKVKKVKGKKVCTQGWECVWWSEYCCNQTISDYFQVYQFEQLFAKRNTPIAHAVGFWDYQSFITAAALFEPLGFGTTGGKLMGQKEMAAFLGHVASKTSCGYGVATGGPLAWGLCYNREMSPMQSYCDETWKYKYPCSPGAEYYGRGALPIYWNFNYGAAGEALKADLLNHPEYIEQNATLAFQAAIWRWMTPIKKAQPSAHDIFVGNWKPTKNDTLSKRGPTFGTTMNVLYGEYTCGQGDIEPMSNIVSHYLYFLDLLGIGREDAGPNEELSCAEQKAFNPATAPSSNSS >A10p040820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22438186:22443087:1 gene:A10p040820.1_BraROA transcript:A10p040820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 20 [Source:Projected from Arabidopsis thaliana (AT5G02070) UniProtKB/Swiss-Prot;Acc:Q9LZM4] MEGLIPFLYKAIVMNKRERSLSSVLFSDHDSPSTAGYYMRLPGNSSADFGPSALRRFGSERHDMFERKRMKSHLFKIFSKMENKRSYYAILIPTLWTVWLACVGQSCARHPKAKPPMPGPPRCPNCGPMVVPYPLSTGPNCGDQAYKINCVGGKLYFGALHGSSYLITSINPVTQRIVIHPPGFASSGSCLSADVSKAGLELDPHLPFSITNSNTILLLGCPEAMLQAPIDCTGTSLCHSYIKSNVSACSKAPFCCTFRTDGSQNAYTIRINGGGCLAYQSFVGLNPNKEVPPPGKKWPDPGLELQWTLPKEPLCKTDVDCSLLLGSKSKCLPDTTSLGLKRCSCKKGLEWDPVSSTCGKCRHGKLCKKKKKSTLVFAGAAVAVVVVTLAIAVAVLANKHSHKRVKKEIHKNIVKERQEMLSAKSTGKSSRIFTGREITKATNNFSKDNLIGTGGFGEVFKAVLDDGTITAIKRAKLNNTKGTDQILNEVRILCQVNHRSLVRLLGCCVDLELPLLIYEFIPNGTLFEHLHGNSDGSWKPLSWRRRLQIAYQTAEGLAYLHSSAMPPIYHRDVKSSNILLDDKLNAKVSDFGLSRLVDLTETANNESHIFTGAQGTLGYVDPEYYRNFQLTDKSDVYSFGVVLLEMVTSKKAIDFSRQEEDVNLVMYMNKMMDEERLIECIDPVLKKTASKVDLQTMQQLGNLASACLNERRQNRPSMKEVADEIEYIINVLSQESPNPRILQKMSLTTVFRRASSRVATLAFRSVRSPLTVRSGAERLILGSQQLSRASAIPLPRFHSTESAVTKTSADENLVSVLESEIDCAVKEEAPDHNLMEDVPEGFPFKIIDTPGERTVLLQRKFEDETIQVEVDSSAPYDDDEEGEEEQAEQNDDEDEEHSVKIRIPMVVSVSKGDGVCLEFGVSAYPDEIVIDSLSIKHPQGSDSELAYEGPDFDDLDENLQKAFHRFLEIRGIKPSFTDFLADYVANKDSREYLQWLKDVKSFVEK >A04g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7538843:7540529:1 gene:A04g503460.1_BraROA transcript:A04g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSYSQPSSSSAEVDVTALLEEEARLYADEARLHAERATADEAESSFDIEKAVQEATLDFQTQLRRVNEEGTEREQKLLLLEKSVHELGKDLARVKLMVCVLVVIALLFFVLRGVPSKASTGTILSPQEWPNKSADVGDPEERPIGVKAAKGGSKKKKKSGREEELSKLQNVLELKEKLSKNKLLDRLLAMKEPLSDIETSLKLKLMSEMI >A07p009710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6020387:6021240:1 gene:A07p009710.1_BraROA transcript:A07p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMAAKLHIPSSFSHKANDSTTSSSSSYSSLLALPQFLCTPCSSGFTQFKLHAKLGGGDGEVKPKEKKKFITKEEEPEQYWQSAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPIR >A08p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:263687:270374:-1 gene:A08p011720.1_BraROA transcript:A08p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHERKEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLEEVAAEEGQTARLEADEAKGVIYSLRQGKKELYQLVGRLREVESELSMVKTHTVSPSWCQGRRKQDVIFGFLMGEICELVEHMCDVWEINKKPDRWKRGTSCTKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLSDPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGVGSGRLL >A02g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23822210:23823187:1 gene:A02g508840.1_BraROA transcript:A02g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEDLKSVAGPPTHEINHTSYIGASSNIDSLKERYLCNHEEFNRETGCYRFSTQAEHAANWFHTKRSNGLGDIPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPTASFSLIISFQAQASGLIKGIFPQPFVATIDPFKLDNSCCLVI >A03p053700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24073776:24076150:-1 gene:A03p053700.1_BraROA transcript:A03p053700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLEEIIRSVETFLKLKTSTQKPYVDPTLDPVLLVPGIAGSILNAVDHDTGKEERVWVRIFGADHEFRTKMWSRFDPTTGKTITLDANTSIVVPQDRAGLLAIDVLDPDLMVGRESVFYFHEMIVEMLGWGFEEGKTLFGFGFDFRQSNRLQEIMELFADKLEAVYKASGEKKINVISHSMGGLLVKCFMSLHTDIFEKYVQNWIAIAAPFRGAPGYITSTLLNGMSFVNGWEQNFFVSKWSMHQLLIECPSIYELMCCPYFKWELPPVLELWREKESDDGAGTSGVVLESCRSLESLEVFTKSLSDNKADYNGESIDLPFNWKIMEWANETKRVLHSAKLPPKVKFYNIYGTNLETPHSVCYGNEKMPVKDLTNLRYFQPTYICVDGDGTVPVESAMADGLEAIARVGVPGEHRGILNDHRVFRMLKQWLNVGEPDPFYNPINDYVILPTTFEMEEHHENGLEVASVKESWDITSDDNNSDGAAASSVSSISVSRPGDDQNPRAEARATLTVHSQNDGRQHVELNAVSVSVDA >A02p001400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:628096:633704:1 gene:A02p001400.1_BraROA transcript:A02p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEGETILFYSVNETFVCWNFDDCPVPVDTDLGSIVDNFEHALHQMGFEGRMSINVDCKKLNSNEEALAQTNLAAEHRRGQDTTVSFLLKLSKQRRMTPINRQRMREARRKAVTKQRTANPELSRPPDKPNPSKMPWWLLRNKNTGEVPSDALNIAFRLRPEATQDYRKFGTRPTLDMTFYMLSVVRETAPIPANLAVVVKPNIDPGSELHRVLHCLKSRGHNVLIVELPPDEECLFSVDSLLKNSRFLGGGKPRAKKELTPEEMRQEEEEEEDGSYDVSDLSNQKMLDFSERIKHVKGPRTVVFWDAIDCPFPLCFSPEQIFQKIKSVLMKKGTNDNITIWAYVDERSWRDKCLGNKTWDSRIYFLPAGDRRVRMLDDMFLQSRDAPLHHCPGLLILVSNHFSGDPFYMELFEDMQHKNYHPFLITPREHSNISESPDEWPLTMGEDEIIVKQTLVYWNMDDYPIPVDTTDDLDPVFRDILKALHVMGFREGSMQVSLYDEQINREGALVDWTLPESETYGACVYKVPDITWYMIRDSSFYRAGPVNYFVIAKPKRELHRVLHCLQSRRHNVLLVKPPPPDEEFLFSVASLLENARFLGGGKPRFKELYVSYASEYDMCFEEYVDIPEDLSKTVDFSERIPTVRGPRTAVFWDAVDCPFPPSSTPDEIYHSISSALVERYLSDNITIWAYLDDDDKKGSALLGGDKTWTSRIYFLPGGDKASRRIRMLNDMFLLARDSPQWNQFIGAGYYINMLQRLDDIRYHILLVTPTLDINKPETPQWPGLLLDRGAASFALETSKISEAHAAAEEEETPKKLDTHGKLGT >A08p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1208786:1210201:-1 gene:A08p002110.1_BraROA transcript:A08p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGSAIKLRGETSCCVSFCRGVMMEMKYPIRCVWCFPNTVYLNLKEPNSIFRTSNSIVSLNATRQLELKSAATVWSFYNVSEIRNKPRLLKMQALEKADREVREEERRRKIGLANKGKVPWNKGIKHSQDTRRRIKLRTIEALRNPKVRDKMSEHQQPHSDETKEKIRASMRQIWAERLRSKRLKEKFTSLWSESIAEAARRGGSGEVELDWDSYEKAKLEISSEEKARTKEHNKVRAEEAKTEKKVRRVVERQKERQERDQRGGKTRKPQQNKESTTTASRSKLKKRLTEIHKKKTSLGKVAIGKDKVVSVAAKLEKLDLELIRKERRRGDISLADQIQAAKNQRGNDFSSRFGLFAMKSMDFD >A05g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24714080:24715424:1 gene:A05g508470.1_BraROA transcript:A05g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDAVEDKFFSASGHRKVKKFYNAMEDEVRTVFSKMNRSSNSEGAKAEQIIFEFFAKTLHIILESRTPFMSSRNFSSSGEQIMNLPSSSSSSSSSVRPRDKWFNLALRECPAALESFDIGRRSTLEPLVVDVVLVARPFDQMNSPGGRELIRNFSGKEYHSDQDDCEGKNEQIIERWVVQYDNRKVRERRSSSNNNNNKLQVMYKKATLLMRSLFVMVRLLPAYKIFRELNSSGQIFKFELVPKVPTISPVRLVAAGFGVSGGGSVNEDSCCSFRRRCRCPCRILYRDRRFYRRQPQDAASDAASCVNETNKS >A09g512720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38034996:38035560:-1 gene:A09g512720.1_BraROA transcript:A09g512720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNRPRTSSSMEIRPQTSQARSIRGDQACTKLGRYVATKRLSRSRPSFVHAWSPRIDRAWLVRGLISILELVRGRFGYMSVRPSSSQARSLCSDRASVPLGRYVAIELEPSSRPSSSQARSLHSNRACVLFGRYVATELFRNVDTTPVHAFSSIL >A09p044180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35948935:35951512:-1 gene:A09p044180.1_BraROA transcript:A09p044180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IWS1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G32130) UniProtKB/Swiss-Prot;Acc:F4ICK8] MGFEDDPYRDVDGEPLVDLDDDFGDDREDFEDNLADDMGDWDGQGSQTPLYDNDKVKPRKRLVKKASSDRQSIDVPELIDEDVEDAAFDEYMEGRGGGTEYDDKVGRKRKKEKERTSSGSGKEKRYKLPSRGERKSEEIDEMWKSIARNPENDEEGVRTMDDDNFIDDTGVDPSERYGGDGGDRSPTHYPQAEEGEDDDEVNNLFKMGKKKKKAERNPAEIALLVENVMAELEVTAEEDAELNRQGKPAINKLKKLSLLTNVLGKKQLQTEFLDHGVLTLLKNWLEPLPDGSLPSINIRAAILRILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRRLAKDLVDKWSRPIFNKSTRFEDMRNLDEDRAPYRRPPVKKPVNKASTMESRDGDFDLDIRQRKSGLTSGQSSRGDSSRNMTMRPEATPMDFLIRPQSKIDPDEVRARAKQVSQDQRRVKMNKKLQQLKGPKKKRLQATTVSVEGRGMTKYL >A01g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4948933:4950209:1 gene:A01g501270.1_BraROA transcript:A01g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTSPPQLYVLRKDVPSFTLNGKTIINAEEKHRLASSVRRRRPASSLPRVKHRDALSSIPLLSLSILHDTAEDQGLGLLRVSDWKMALIMRLGLEVKEGLLLWWLSRGMTENLFRWKFVFFSLLVGAKKGCFFTKDFLQMRNVIISSTWHYQSINHLLDYAFCLFENLVMMIMECFETAKRKLEKSMVADNDSEDEFCREIELLARLHHRHLVALKGFCAKKNE >A06g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23493010:23495145:-1 gene:A06g508380.1_BraROA transcript:A06g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGEEKRNSKRQIDNNNMLGFVADSEYGIPRKCPCGGRIIDEVRRKDEYDTNPGKRFFTCIHHEADGFHYRQPWVIGVQEEIERLTKRVVEAEDVMRGMWKVTKQIETLEEQVQILSGQVADLEKVDWLRTGSAGDGLEVTGVGAHGCWMFAGCLFHG >A05g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6589884:6590687:-1 gene:A05g501980.1_BraROA transcript:A05g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDFIDYILCLRPSILDGEDSFIWTNNKTGCYSVKSRYNAAIAINSTPQPPFQLHDSFQWYKCVFIDVTGSTINKGSRFQTHVSSACMAEALAVRDALIHASTLGFTKIWLRSNAQELVRAIKAHGKSIELQKVLSDISLLSSYFLFSRLLYVSRFLNGKADSIAKACLCTHQNFLDF >A10g505280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:13229982:13230671:1 gene:A10g505280.1_BraROA transcript:A10g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSQGSCGFFKWCNDDAVQSPTSYSFTKSSNLSESDNIGYQTAKTGSGTPCYKCGKEGHWARDCTAQSGNPPYETGLVKPFSAAGECYKCGKEGHWARDCTAQSGIPSYETGPAKPSSAAGECYKCGKQGHWARDCTAQSGNLNSGSEQVKPSFSGGECYKCGKQGHWARDCTGQSGNQQFQSSGQGKPASSGGECYKCGKPGHWARDCTVAVQSTGVAGKRQRQY >A09g514800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44114244:44114749:-1 gene:A09g514800.1_BraROA transcript:A09g514800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSSASYRPYSLTFVIIIAYKPRSVNLPVRSHSSVRRIQEVVSKVRALGSSSLESETMVCDGLSGLTDLYTCVSEDLFKFSPETQQTILNSGLMDELLEVFLKYLEVCGGATDGASRIKKSVVNLHL >A04g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14968135:14970055:1 gene:A04g506630.1_BraROA transcript:A04g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPSGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG >A08p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9593505:9593783:1 gene:A08p014360.1_BraROA transcript:A08p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMDSINSCEATWDQYSVVHPRLKMKLGESSFTGRYTTYPKFGCKSINPLKMIRFVGVIQRVVIILQNRDIGWIGIF >A05g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12435075:12439216:1 gene:A05g504530.1_BraROA transcript:A05g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRISDPGITAASHCGGEYETKYSASIETHIATLIDSGHPKLTDIPHEELYDEDYEDEQATEYKAIFYEEDTLLHHSSWKRNAPSIDIPGSPSIDTQPPQRNRKRALTDIANYSSIDAIVNRVREGDYSIGSWADDHHHESYAVETSISELGEDELHEGFTYEELLNMQRRNKKAQHQSETAWGRTRYTHPIDIAHLPSIDINPSTSIDIRSKPITTTANGAENLFVHQRNIPEYQQKDTKEFYDAAGGIDKSFKISEDEGRQPSHEAEIHGYDLGVPEKEEAISEAGKNVKESQLVLAEEEELESEARRNVIELEASSINLSDEEDDDESIDIWEDFTLEMRRLVEEAEKKLQPNATSPKIMIHMQSTLQLHALGLGVRRLITLTAHKRSKGTSENIESKARVVHIKGGPQPEDEAMQQERKATIVHIKGGPQPEDEAMQQGLQKPPASSNQRTEAKDPIGDPHKEITRCLDAKGKQEVTINNFLIQEAPSYHKTTSRRPYQYRGVVRSFLLKGEPPDLPPKIKPTKYQGKSLEFQKRMKPDLLYLGAGVNPNWKNLQSYSDQEDTNFKNQRFASPPICEYPSLEAVSNPIKKRSDQNQLMEFNMDLLDFQKAKNEEKSQREYGVMAQFSKPVKPVLQTKQWRPGEVYKHLRSISSRIRRIYLWPHLPYLEPLAIKLQQLFSYQLKHDLSTFQTIKKVPRKLSYPLKPFRFKKNQVSHLEPKSHKRLQRLLSDFNGLKGTASQPSSPSFHPVFILTDKIDSSPIHLIDPTFQPATLSH >A04p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5291132:5292379:1 gene:A04p014100.1_BraROA transcript:A04p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGETATFVVASILEYEEGGNGGDYSGHNLMKSVGMICSACIGVTVSNHRLYIKPYVDLNLDMVLLISGITTSILNTVDHDSGKEKRVWVIGNM >A06p034140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18446094:18448541:-1 gene:A06p034140.1_BraROA transcript:A06p034140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKYMFLLVLIALGSNEVFAKKKSSRSKLRRSDFPEGFIFGSATSAYQVEGAAHEDGRGPSIWDTFSEKYPEKIQDGSNGSIADDSYRLYKDDVALLHQIGFSAYRFSISWSRILPSGNLKGGINQAGINYYNKLINELLSKGIKPFVTIFHWDTPQGLEDAYGGFRGADIVNDFRDYADICFKNFGDRVKHWMTLNEPLTVVQQGYALGIMAPGRCSKFTNPNCTAGDGATEPYIVGHNLILAHGAAVEVYREKYKVSQKGQVGIALNGGWNLPYTESAVDKLAAARALAFTFDYFMEPLVTGKYPVDMVNNVKGGRLPTFTAKQSKMLKGSYDFIGINYYSSSYAKNIPCSTENVTLLSDPCASVTGVREGVPIGPKAASDWLLIYPKGIRDLVLYAKYKFKDPVMYITENGRDEASVTGKILLKDSDRIDYYARHLEMVREAVSVGANVKGFFAWSLLDNFEWSNGYTVRFGLVYVDYNDSGKRYLKKSAHWFRKFLHHKKNN >A09g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7971301:7971970:1 gene:A09g502320.1_BraROA transcript:A09g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQERIGCRPDFHRYPQHLLLLDYHRRIIHRFTEPHPSSLTPHLLHRDRQTSQARLNMERINRDRDLIRQSSKQGPRRGFAQTSTFPPDSFHRPPTRAHPTNPNQLNLTSERTNKRKKLNPKMEPGDQKPATMELNDAEQGDLTDLPPRRESRGRRVAENRSQNPNPDPCACRRESPDRNNHTKT >A07p022930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13342428:13343493:1 gene:A07p022930.1_BraROA transcript:A07p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKKTLVRNLFNISKTYSRISGLTRMRPPTKPGIAPDAGDSGIRRRFLHKRAFFSPEIVPRGGNLMEKLKEMSLSNNRLRLDEMLPPPTTTEKTSPANFPAVTVEDVKKLMRAAEMEMVKSRLRDIGKNWVPYSEFVRVCGETNSDPEHGNRVANMLDKAGNVIVLGNFVCLKPEELTKAVAGLIPTHEPTRNAATRQEFEQLEIIKSDIDKKADDLVRRELQAGLGLVIAQTIGFFRLTFWELSWDVMEPICFFVTSTYFMAGYAFFLRTAKEPSFEGFYKSRFETKQKRLIKMLDFDIDRFTKLQKIHRPDLTNSAGRC >A10p024490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15844359:15847678:-1 gene:A10p024490.1_BraROA transcript:A10p024490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-11 [Source:Projected from Arabidopsis thaliana (AT5G22830) UniProtKB/TrEMBL;Acc:A0A178ULQ2] MALTPTPSTLTSRVNISSDHHHPSSPSLHFLLPPPGSLSSPPSSFSLHLSALNRSMICFETLKVLSRSKCFAKSPTTAEDFVGDYDSLNVSGDEDDDDGSSGGDGGREDAKKIDSSSSSSTVSSSSDSTSLGIREPVYEVVEVKATGAISTRKISRRQLLKSSGLRPRDIRSVDPSLFMTNSMPSLLVREHAILLNLGSLRAIAMRDRVLIFDYNRRGGRAFVDTLMPRINPRSMNGGPSMPFELEVVESALISRIQRLEQRLMDIEPRVQVLLEVLPNRLTADILEELRISKQRLVELGSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLRRGNDDMECSLPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRFELLLQVGTFCVAAGALVAGIFGMNLKSYLEEQPFAFWLTTGGIILGAAVGFFLMYSYLRKRKIF >A01p027460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18950896:18954801:-1 gene:A01p027460.1_BraROA transcript:A01p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) UniProtKB/Swiss-Prot;Acc:Q9SG02] MGSEGNMKNSVVTQVSIGGFGESTTAKELTDYLEEEVGLVWRCRLKTSWTPPGSYPDFEITDTSNIPTFDGYKRVEPHAFVHFAVPESAGRAMDAAGQSKLILDGQPLKVSLGPKNPYTLNQRRRTTTPYKLTGVSIEIGTLIARDEFLVSWRAEGVDFLVDPFDNTCRFCFTKSTAFSLKDTMMYAVINCDYKLELLVRDIRTVRQYRTLDGYVLLLQLASSPRVWYRTADDDIYETVPVDLLDDDDPWIRTTDFTQAGAIGRCLSYRVLISPRYEKKMNTALDYLRARRVQEERVRWPPRIRDEPCFGEPITDHFFCIHHREGISFEIMFLVNAVLHRGVFNQFHLTERFFDLLRNQPKDVNIASLKHLCTYKRPVFDAYKRLKLVQEWIQKNPKLLGSHVQLDDISEIRRLAITPTRAYCLPPEVELSNRVLRKYKALSDRFLRVTFMDESMQTMNSNVLSYFVAPIVKDLTSSSFSQKTHVFKRVKTILTDGFKLCGRRYSFLAFSANQLRDRSAWFFAEDGKTRVSDIKTWMGKFKDKNVAKCAARMGLCFSSTYATVDVMPHEVDTELPEIERNGYTFSDGIGTITPDLALEIMEKLKLDSHCSPCAYQIRYAGFKGVVARWPSKDDGIRLALRHSMDKFHSKHTILEICSWTRFQPGFLNRQIITLLSVLGVPDEIFWDMQETMLCKLNRILDDTDVAFEVLTASCAEQGNTAAIMLSAGFKPKTEPHLRGMLSSVRIAQLWGLREKSRIFVTSGRWLMGCLDEAGVLEQGQCFIQVSKPSIENCFSKHGSRFKETKTDLQVVKGYVAIAKNPCLHPGDIRILEAVDVPELHHMYDCLLFPQKGERPHTNEASGSDLDGDLYFVAWDQRLIPPSRTSFPAMQYTAAEESSKGRPVNHQDIIEFFVKNMANENLGTICHAHVVHADRSEYGARDEECLLLAELAATAVDFPKTGKLVTMPFHLKPKLYPDFMGKEEYQTYRSEKILGRLYRRVKEVYDEDAEASSEENSDPSDIPYDTDLEVPGFEDFVPEAWGHKCSYDGQLIGLLGQYKVQKEEEIVTGHIWSMPKYTSSKQGELKERLKHSYNSLKKELRKVFEETKPEHESLSEEEKNVMYEKKASAWYHVTYHPEWVKKSTELQEPGESSGHAVMLSFAWIAADYLARIKVRSGEMGMKMDSAKPVDALAKYLSQRL >A01g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8863090:8863807:1 gene:A01g502630.1_BraROA transcript:A01g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMVMYKREGSFSSVFLSDHHSHQLPVTTGYFLAIPPVYFERQTSGTFKYVLPRFSNCSEDSEGYVLIAGSSVHGSKSNLKTAAKREGSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A09p067050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52987535:52989349:-1 gene:A09p067050.1_BraROA transcript:A09p067050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKRREGVNKAPSNAVIGICKSLIAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTVQGLKYIWRTEGFRGLFKGNGANCARIVPNSAVKFFSYEQASKGILYLYRQQTGNDDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPYQYRGMVHALSTVLRQEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLVKSKPFGIIDNNTSELTVTTRLACGAIAGTMGQTVAYPLDVVRRRMQMVGWKDASSVITGDGRGKAPIEYSGMVDAFRKTVRHEGLGALYKGLVPNSVKVVPSIAIAFVTYEKVKDILGVEFRISD >A03p041520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17343962:17345109:1 gene:A03p041520.1_BraROA transcript:A03p041520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKGKYLVTIILLVSTLSVGMCSNRWIRAHATFYGVNDSPASLGGACGYDNPYHAGFGAHTAALSGALFKSGESCGGCYQVRCDYRADPKWCLRGAAVTVTATNLCPSNNNGGWCNLPRHHSDMSMPAFFRIARRGNEGIVPVFYRRVGCRRRGGVRFTMRGQGNFNMVILSNVGGSGAVKAVAVRGSRGKTWRQMTRNWGANWQSSGDLRGQRLSFRVTLLDRKTMTFLNVVPSSWWFGQTFSSRGQFH >A06g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24883495:24886396:-1 gene:A06g508780.1_BraROA transcript:A06g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRLKEKTVSETPCSVSETERQEETVTEEEVGFNILKAETSFTKMGSQETIPKPTQIHSQPTATFTKTLSKEKDKPSGPATYGMKKPVALHNLSAPNGETKVSEPAVQQQIHNSATPSQPLNQDARSGDNTTVQFGTLPPTSLDNGLERNSTLPSTILESGTTIQHQANINNQQRQEETVTEEEVGFNILKAETSFTKMGSQETIPKPTQIHSQPTATFTKTLSKEKDKPSGPATYGMKKPVALHNRFQLLGIQ >A06p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19553315:19559364:1 gene:A06p036080.1_BraROA transcript:A06p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 2-1 [Source:Projected from Arabidopsis thaliana (AT5G62410) UniProtKB/Swiss-Prot;Acc:Q9C5Y4] MHIKEICLEGFKSYATRTVVSGFDPHFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSVTFDNSERHRSPLGYEEHPEITVTRQIVVGGRNKYLINGKLAQPNQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYENKKEAALKTLEKKQTKVDEINKLLDHEILPALEKLRKEKAQYMQWANGNAELDRLKRFCIAFEYVQAEKIRDNAVHGVEAMKAKLTSIDEETEKAHEEVKGIEKQIEDLTRAKEASMGGEVKTLSEKVDSLSQEMTRESSKLNNKEDTLLGEKENAEKIVHNIEELKKSVNERAAAVKKSEEGAADLKRRFQELSTTLEESEKEHQGVLAGKSSGDEEKCLEDQLRDGKIAVGTAETELKQLKTKIGHCEKELKERKSQLMSKQDEAVEVENELGSRKGDVERVKKILESIPIKEGQMDALEKDRGSVLEVVQRLEDKVRGLSAQLANVQFSYRDPVRNFDRSKVKGVVAKLIKVKDRNSMTALEVTAGGKLYNVVVDSEETGKQLLQNGALRRRVTIIPLNKIQSYVVQPRVQQATARLVGKDNAELALSLVGYSQEIKNAMEYVFGSTFVCKTTDAAKEVAFNRDIRTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHDLAEAESELQGHQRRLADIEAQIKELQPLQKKFTDVKAQLELKTYELSLFLKRAEQNEHHKLGEAVQKLEVELEETRSQIKEKELAYSNCVDAVSTLEKSIKDHDKNREGRLKGLEKNIKTIKAQMQAASKDLKSHENEKEKLVMEEEAMVQEQSSLESQLASLKAQITTLTTEVDEQRAKVDALQKIHDESLAELKLIHAKMKECDTQISGFVTEQEKCLQKLSDMKLEKKKLENEVVRMETDHKDCSVKVDKLVEKHTWIASEKQLFGKGGTDYDFESCNPYVAREKLDKLQSDQSSLEKRVNKKVMAMFEKAEDEYNALISKKNTIENDKSKITKVIEELDEKKKETLKVTWVKVNQDFGSIFSTLLPGTMAKLEPPEGGTFLDGLEVRVAFGKVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVTKQTK >A09p004290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2468665:2470480:1 gene:A09p004290.1_BraROA transcript:A09p004290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMERILERYERCSYAGQDITTPSLNSQGECSTECSKLLRMVDVMQRSLRHLNGEEVDALSIRELQDLEMQLDTSLKRTRSRKNQLMVESIAQLKKKEKELKELKKQLTKKADPREDSEPQTLNQGLASLATPPCEPPHPLPGPLSPHRPLSLGDTSQRNEDGKVDAGTLIRVTNTTLPHWMPRLTGE >A09p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5052619:5054106:1 gene:A09p009850.1_BraROA transcript:A09p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA4a [Source:Projected from Arabidopsis thaliana (AT5G65270) UniProtKB/TrEMBL;Acc:A0A178UKD0] MASGGGGGYGDPSQKIDYVFKVVLIGDSAVGKSQILARYARDEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITRRQTFDHIPRWLEELRAHADKNIVIILIGNKSDLEDQRAIPTEDAKEFAEKEGLFFLATSAFNATNVESAFSTVLNEIFNIMKKKSLAVSEEEQHGSLAGKKIDIVPGPGQVIPAKSNMCCNS >A05p004150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1589453:1589949:-1 gene:A05p004150.1_BraROA transcript:A05p004150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYTPSQFHFHYLLMHLSLVITLTSAQFNGQASDTDLWCVAKNNAEDSALQAAIDWACGPGGTDCSWIQQGGPCYDPADIVKTASYVFNSYYLKNGPEDEACNFSNSAAVTSLNPSKASTFFSLISLKVKEHANSLQGN >A04p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20275646:20276464:-1 gene:A04p035070.1_BraROA transcript:A04p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPDKQNPVEASDVEAATKTETTQGSGTSSFSAITQRWKREDLVKKACPITRGLCLLFSLLAFIIMVSNKHGYGRNFDDYEEYKYVLAIAIISTVYTAWQTFVYLSKREFFDRRTSMLVDFSGDQIVAYLLISAASSAIPLTNRFREGQDNIFTDSAASAISMAVFAFVSLALSALFSGYKLSTHSFI >A07p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15042752:15052880:-1 gene:A07p026330.1_BraROA transcript:A07p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVVVITQEPVFTKTSVEEAHEGLLVDHSTMDVDKVNLSTVLAEVVNGSGNKETEESKHEKEEVMKTISVSKIVKEKASETETDEPKNTDDDAKIILTDVTLEKGKEDETTQKPEEVSVEKPVIEEGQTETKNSQEQEKYISKAIEEIPIKTDEVTEEKDSKTVETSVNGTEAEHHETVSVEEISRNLGENIVKETAPEQDVETTERVLVEAEKDETETVKDPEIVNNEETTVHDLKENEDTVEAIKNSDEVTGDKEKEDDIIHKEEEVQEILTVVETPTIEIKDTESKASKENEEHEQVLVRDIPQDDTLVLTDETENSSTVQESAILKILETKSDETDAEPGLDLKEEEETVTPSDEVQETINVVIEPPKPSPEQRSKGTEEDEHVLGTNMPQGEAESLVTKEDTEQEKTDKFEVPVDLALKVDREELMDEKKETDQAAGAQILERGLALNESEAEETSVIKHPDVESGELMEKPSLESPSKVSEETRKILDEKIQEKPEEEEEEEVAPHQEDQEEGCYGSETVPVPESIEVKERAQEERMLDLAPLQDEQLSSASPEGETLAESKKIEVVKANEEEEEEVPDKIQSILETVDNEPVKSSEETTVHESISLKDDSDPVEAIKNSDDAEQASHEVTGDREKEEDITIHKAQEMQESLTVVETPTIQGEDIELNASKDIEEHEHVLVRDIPQEETLVPKAETLNTSTVHESSEPSLDLKEQEETVKTVTPSDEVQESVTFMEPPKLSQEQISKDTEEGEHVLGSSMPQVDIIPSDLAVKVDKEEVMDENKEADEVAGRQNMERGLELNESEAELVDQNITDETEKRLVEKPSLESPSEATSKTLDEMIQEKPEAEVAPHQEGQESVSLPESSEVEEKAKEERSLDLTPLKEESCLPTAQDEEETKEQIHKHEEVESDEVTQVSSASPEGETDVEAKQNEETKANEEEEQVADKILRIDESNEVNEEKSAETSVNETEDEHSATVLEEGISKNSEIIVHETASEEIKNSHEVTGDREKEEDIITLKTEETQESQNLLLQEENTESESSKDTIEHAHVLVRDVPQIDTLVTDAEDANTSSTVHKFESNEAEVGQETRKDIEPSFDLKEDKEKEEKETVISSDEVRPSDQVDDDVQTEESVEVKSKETLQVESTEEKHENLLDVLSGDSDKLQTETVLAAKTESQDTTEEIPSELVLKEELKDDKTEVDGTQVMGEQRDLELHEPEAEQIDQTKTDEKLLVESVEKMQTSSLERPSEEEEVTLQQEGSSAYGLEIKEEEQEGEIIVDAVKLANEEQVVEEIQRSLEPIEPEEQEQETVSERTEDEKVKKEEPIVEEDGINSHLTEEAKKGDEETEVSDTQQGETIVNEAEAGDTSTVQEAAVLNTLETKINEAEAVHSPIEEETQVTKEDTEPRLDLKEDKEQEIVILSDESQGREESDEVKYKEKDAKLLEKMQRPSLESPSELSEETSKTVDEKIEEKQEEEEEVTLHQESEEIVTVPESSELEVQAKEEEEEESCPTNEQKNETEEQLSEEDSTSAHQTPVEEKSDQASAAPLPQEREAEKIDDMKENEEEQVAEAVEPHSSFLEAAKIEEEEKVKETETMGDTGTGSSKLVEEEKLKQGKEILQAEEVPSSETIPQVMAVQLKREDNATTESHEEEATVAQTRDIETSLTDKFSIDQEEEQQANEESPRDEQEKVISAGEGETQTRELEEENMVEKNDNETLTAEKKKEDDLTAEKKKEDEDKTVGLDASLTCTKQEEEFENLETPKVEDKSQEVSESKGDETPPSFISELEDKIPNQIKEIHEEEIKEAEVVVDQTSSLVSEQVEEIIHEEEEETKESRKVEAPSGQDLPVEASHAHQTPSTELVSELDDETAKEVEEIHQEETNAHKLQQEEEILPTESVPSESFSETQEERHVSAVTGESVGETKPKESDETSTKVTKVEDTKDTDTQVADIVKGQSLSHAPEDACLEQEKLKDLGTPQPGAVMEDQDSVMNENSSDEFTFSKSMGEAEQGDENSSTLPVVGILKELQTTLEEKERGTNVSHEGDSSGNDLNSINAEPEALEKSLVVEATPASEIIEASMLQDSISRELEVNVEEQLQEEAREILECKEETPADSSLTEVLPGEKIMIPSNQEEGKKQEDVNASTSEKISLQEEEHPRDFEVSEKEHNAEAQETAEEEMNEVLTSEKKITEPLLNVAEKELNEEHVSQAVSDDDTKSSNELDFPSEQIPKDQREEAEETSFEVKKVPEDKNEETIDALITSEKVQLQDQSKDFGLEKPSTDLKYVQEDLDDGHDSVLAHKKDSDLIEEKKEVDYVKRQPEDAIKSTEEKNIMSEKVGQEATKEIYQEECKQTDTATTIKEEIKDEEKETTEDSLNSMKNTDDEIKDYGLDSVLAQNKESGSIEEKKEVDYVKREVDDAIKHGVSTEEKNKMPEKIGQEATKEIYQEECKQTDTVTAIKEDIKEEEKETPENCLNSMKNHDDATEKTQPEIQEIEKLSSVSETQEKTPKQEDEVPAQQKREIADDVSKLENPKIEEEKKQKDGEEPARKSLSDLIQKVKVTDKTEVATTELRIDEEAKAEGEDEDGDEHKDDKTSPDSIVMVEAKDTVNIIKTQKKSHGILSGVGSKVKHSISKVKKALTGKSSHTTKPSSPQ >A01p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:549160:550195:-1 gene:A01p001410.1_BraROA transcript:A01p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDSCNTGLVLGLGLSPTPNNYNHTIKKSFTTVEHHFDPSLTLSLSGESYKTKARKGKEREREVFSGDGEEEAEETTERVVSSRLSDDHEDEEGASARKKLRLTKQQSALLEDSFKVHSTLNPKQKQVLARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKMSQPFYMHIPPATLTMCPSCERLSGGGAGGGGGGTVAVDGETGKGAFSIVTKPRFYSHFTNPSAAC >A02p026900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13059279:13061322:-1 gene:A02p026900.1_BraROA transcript:A02p026900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSFSVKPELTIKPDLASLSRWVHSFCIMRFDLEQGQILEESYPPNRLSQEEEIEVSFNSFPDSVSQKQHNRSSIHDCIFFFRFRRDDNNNYLYGYVFNRQRHDERLKRGGEQKSVVILSHAPYSSVFRPLLQIIGPLYFDVGNKAIEHVAGFVSLWPPPVPGKLMELPIGNATLKVNLPPPHSLPLENNGVLYEESASSMAPLLPTNQSVPQGLFHDADLFGVYRGLLLQLWTLWELLLIGEPILIIAPTPPQCSEAVACLVSLVAPLFCSVDFRPYFTIHDPGFARLNSLREGDAFPPMVLGVTNLFFLKALRNMPHVVSVGTPSLNSNRVPFSSRSSAGRLPGGKSSEGLGVQQLSLRRFSPTNLLNAVKLRRDGPLCLMTEHKEAVWTSYAAITKPDTSVLNRLVDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFQVNAPSDGSSPYVAPPPLPSFSADEFLSNLSARGVGKFLSKRMKSNWPDLYRRFLQGPNFVPWFQRRRAVAEQEQRRLWKVARMRTDMRLITSQMNELEAVDSFDAIEKYINEEVKLRESGGGSADSEASFEKLKKDLQAVFSVLPRDMQELLLSNPQRASLLQDPSELQ >A02p002540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1065498:1066817:-1 gene:A02p002540.1_BraROA transcript:A02p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPSILTDKYEVGRLLGQGHFAKVYYGRSIHTNESVAIKMIDKEKIKKPGHSEQIKREISVMSLAKHPNIVELLEVMATKTKIYLILEYCKGGELFDKITKGKVSEKVAWKYFHQLVNAVDFCHSRGVYHRDIKPENLLLDEHDNLKVSDFGLSALEESKREDGLLHTSCGTHAYVCPEIVNREAYDGAKADVWACGVVLFVLLAGYLPFYDANLMDMYVKIGKGEFKCPRGFPVEAKRLLCKMLDPNHETRITMSRIKESSWFRKGLKHKKQQVREVNPMEAGGSGQSESHEPPPPPNLTSLNAFDIISLSSGFDLGGLFGDVHKKQESKFTSRKPASEIICKLEEVAKGLKMKIRKQEAGLFKLEGGKEGRKGKLLIDAEIFQVAETFHLVEVKKCSGDTVEYQKLVEEDLRPALADIVWVWQGEKEEEELVLHG >A02p032990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17390825:17391666:-1 gene:A02p032990.1_BraROA transcript:A02p032990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVAASSSVAVMIPRVTSVSSSFSAVPYLPPLPPHTFGRSSFTVPPKLVSGNGLQKVELMKMRASSSDETSTSIDTNELFTDLKEKWDGLENKSTVIIYGGGAIVAVWLSSIVVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELAEDIDSLKKKIAGTE >A07p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8257933:8261747:-1 gene:A07p012240.1_BraROA transcript:A07p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVPRLVYCGPQPTRFSFSSRRSFVSSIPRRNRSRRILAVATDPKPTTAVNGSSSSSSSASKPVNNTVSTRINDVSKEIKRVRAQMEEDEQLSVLMRGLRGQNLKDSTFADDSIQLRLVETGESSEFLPLVYDPATISAYWGKRPRAVASRVVQLLSVAGGFLSRIAGDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDVAMALIEEELGKPWHEVYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFVIRNLGLFLRKFPQASLRLMAQLMVSVDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKKDLPQVVVPKTYQNYTSRKVLTTQWIDGEKLSQSIESDVGELVNVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPDGVNLAPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPEFAIVDEAYPYIAQRLLTDESPRLREALRYTIYGKTGVFDAERFIDVMQAFETFITAAKSGGGEDMNGGMAELALMQNQTTSLVPSFPASASQPNQPAQTRVALSFLLSEKGNFFREFLLDEIVKGIDAITREQLVQAMAVFGFRNAPPVFGMVPTLGPFRPAALLPSVTEEDKVILNNVQKVIEFLTARSSMSNNPDQVVDVSQVVRELLPVLPGISATVLPEIMSRLGSRVMARIVRDTFL >A07p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20475015:20476583:-1 gene:A07p038490.1_BraROA transcript:A07p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTNNGNNQKTKMSLVLSTDANPRLKWTCELHHRFVEAVNQLGGPNKATPKSLMKAMEIPGLTLYHLKSHLQKYRLGKSLKFDDNKLEVSSALETQEAESGNYSRDFRGSVNEENNHPANEGLKITEALQLQTEVQKKLHEQIEVQRNLQVKIEAQGKYLQSVLMKAQQTLAGYTSSTLGMDFARTKLSRFASLVNPSSSFSELKQVEEYEEEAEDARESFLRKQKIEDARESFLESSESSETKRNNDNDERQSVELPLMDIKSEVMTDKKKRNHYDVVCMECQLLKKIDFEVDDDEQELKLSLNSYKKKYGDVPEPKKRLGFN >A10p004580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8910794:8911504:-1 gene:A10p004580.1_BraROA transcript:A10p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ovate family protein 12 [Source:Projected from Arabidopsis thaliana (AT1G05420) TAIR;Acc:AT1G05420] MPRTMWKDFHLCFPTNLIKPSSEAAASSQEPNRPSILLINNFNHLYDDSTATGRRISKPIIEVIPPSSITTATTFTASTSTSTTGNSSSSSSLYESHNYGFAPEDSPPPDLTAVLATRRFFFSSPGRSNSITDSPDLRPRFEYKTSTTTTAATRLLTGGAAVKQCVQSPDPYNDFRRSMQEMLDAVTDAGDARRYEFLHELLLSYLSLNAEDTHKFIIRAFADVLVSLLSDGHRTN >A09p052890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46075271:46077498:-1 gene:A09p052890.1_BraROA transcript:A09p052890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKEASATDLKRPREEDDAAASMETENGDQTKEPACFSSVIPGWFSEMSPMWPGEAHSLKVEKVLFQGKSDYQDVIVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVARHASVEQIDMCEIDKMVVDVSKQFFPDVAIGFEDPRVNLVIGDGVAFLKNAAEGSYDAVIVDSSDPIGTIIFFVQQPDYNPFCYVEKQPVILTMLALVCVSGPAKELFEKPFFQSVARALRPGGVVCTQAESLWLHMDIIEDIVSNCREIFKGSVNYAWTSVPTYPRHVSLPFNIHGVIGFMLCSTEGPDVDFKNPVNPIDDSSSKSNAPLKFYNAEIHSAAFCLPSFAKKVIESKAN >A06p043290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23268698:23274048:1 gene:A06p043290.1_BraROA transcript:A06p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRFRPNNSGSFQNNRWWSVVVSLFVRLIASIQRVWSKFVRMGWGNIYRRRMKVFSVAIIIYFDYKSVQQREKWIKKSKVPALWEKAHERNAKRVLNLIVELEGLWVKMGQYLSTRADVLPQAYISLLTQLQDSLPPRPLQEVCRTIERELGHSMEVLFADFSDEPLATASIAQVHRATLANGQDVVVKVQHNGIRAIILEDLKNAKSIVDWIAWAEPQYDFNPMIDEWCKEAPRELDFNIEAENTRTVSRNLGCKKTNDEVRSDNRVDVLIPDIIQSSESVLILEYMDGIRLNDVESLDAFGVDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKKLSHPLKQALAKMFLASAEGDQVALLSAFAEMGLKLRLDLPDQAMSVASLFFRSSTPSNEAVKTLKTLNDQRTQNMKVIQDKMQLTPKEVKRFNPVDAFPGDIVIFARVINLLRGLSSTMNVRIVYLDIMRPFAESVLLGSISRGPTVDASWIHDSPVHSDVESKLKKLLIELGSIQKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGVTAGMIHWLVDNRKLQFDQTVGDIWPGFGSSGKETIKVHHVLNHTSGLHNAFDPLGENPLLICDWDECLKRLANSSPETEPGSQQSYHYLTFGWLCGGILEYASGKKFQEILEESIVNPLKIDGELYVGIPPGVESRLATLTLDTDELNKIPSIPSQPELPSTFQPVQIFQIASSLPVLFNTLNVRRATIPAANGHCSARALARYYAALADGGLVPPPHSSLSQPPLGSHTHVPKFSSVNDTMKKRKGKGKEMAATEKLKPKDHKEKKLYDDRESSTESLARLVNDSSSSAGKTEISSNDHQDDIHNMFSNPRIHDAFMGTGDYCDLVLPDGKFGLGFKRVTSQDGSLVGFGHSGLGGSTGFCDIKNRFSIAITLNKMSMGGVTANIIRLVCSELDIPLPKDFSLSSGMDPVIN >A07p023590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13692473:13695655:1 gene:A07p023590.1_BraROA transcript:A07p023590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIRWMMMILVLVTSVSASSSDFEALLELKKGIHTDPSGKVLTSWDANALSSDKCPQRWYGVSCTSSGDVTSIDLNGLGLLGDFSFPAIIGLRKLQNLSISDNQFSGTLSKIASLKSLKHLDVSNNLFRGSLPSGIDNLDKLKHLDLRGNSFSGEAMSLFSQLHSVEYVDVSRNSLSGSLDLGLAKSSFVSSVRYLNVSGNSLVGELFAGGGDGVIPFFDSLEVFDASSNRFSGSLPFFSFVVSLKILRLQDNQFSASLPQGLLQESSTVLAELDLSLNQLEGPVGSITSSTLKKLNLSSNRLSGALPLKVGHCAIIDLSHNNISGDLSRIQSWGDYVETIRLSSNSLTGTLLPSQTSQFLRLTSLEAADNSLQGVLPFILGTYPELKEIDLRHNLLSGSIPGNLLVSEKLTDLKLSNNNLSSSLPLQDASSAGNLSLTNVDLSHNSLSGVLPEELTSFRNLVTLDLSYNNFEGNIPDGLPESLKVFIVSANNLSGNLPESLLRRFPDSAFRPGNELLIGTPKDITLGSKHKYHMKSSVKAALIIGLVVGAALLVLVCVWFRFVLKRQQDEEKMDLTEEKSSLQKNEPSPSPEKISVPSSSVTSTSTANAKLLVSSPRVSENPSSQVSSSIHNSPDTHPRSRQTCAKLDGNLYIFDPSLTLTAEELSRAPAEAMGRSCHGTLYRAVLDSDTVLAVKWLREGTAKGKTEFAREIKKLGNIKHPNLVSLQAYYWGPKEHEKLIISRYIDAPCLAFYLQEAGLLNLPPLLLENRLKITLDIATCLSYLHNEEAIPHGNLKSTNVLLKPPELTALLTDYSLHRLITPEATSEQVLDAAALGYCPPEFASSSKPYPSLKSDVYAFGVILLELLTGKVSGDSDDPGVVEWVVMLAGQNRAAECFDPSIVKTHASGSGVLIDVLQIALSCISQAPERPDMKSVCQELFRIVLIGTN >A02p017810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8086682:8089795:-1 gene:A02p017810.1_BraROA transcript:A02p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLSGKTTMDDVDRLFQCFKCGISPPASAMREKKRSKKMSNPDNNTPPGTRKSLQTTSLSGKRNQIDASPSLDSLSGSSNVQKTIGRQFSPVVFYGSPNGVPPKRPLSLLRLLREIRIDLTEERKAISRKQVWATFPRQDEAVKFVKRHESARVFSYQDHFSGQRRFLVSTYEEFWNRYKSMDPRRRHHYEVIQEGLPCHMYFDLEFSQKENEGKDVDEMVDILISVILEALHEKYAIEGQEEWIVELDSSTKDKFSRHLIVIIPKVAFKDNLHVGAFVGELCSRIVSTKEKDERLRKLFVHKEANDSASLLFVDTAVYSRNRCFRLALSSKAGKTSVLLPTGRFKCKDMTEQDVFMSSLICNVTSDCEKLLVCKMESDCMKTLCFDTEVNNNSIVRDQRAHKFQVDACTSDMSTSYFGGKSPFPLVDQFVESTASTGDVSGKIRCWYWFSEDSLIVYSMLRNRYCERIGREHKSNHVMYIVDIRRGIYYQKCYDPDCRDYRSPIRPVPDSYLPEDIVYDQGEAQNVSNNLYLEGESYIDNEREPDSASSRDSWWLEAAKIADDLESKPKALEPHTLENFEEDDDWWIAVEESLQGISSLRNSPKCPLT >A02g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19076023:19076987:1 gene:A02g506820.1_BraROA transcript:A02g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGIRPTLCLFSVFLCVSGCRRKPWRACKGTDLPSLYRRRGGYFLTIAFLRPRLIAHAHDPTTRALQPIGTHGSSTWPGHCPDPNQLPKTRTLSPAEFLMPLTLLPGYDTLVFGPYDHTGAPPRTAVRPDDPIQNRGHDRRQHFLGDRFTGRDGVTQGEGLGSWGLDWILPGGRKNRRTCTGDVAHLDITILLDIAGRRHLGGEKFLRENAMGT >A04p020840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12709109:12711712:1 gene:A04p020840.1_BraROA transcript:A04p020840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQKRTRKLKTQSAENATCEVIEASVTHLTESPSPEPPETNPSPPRLNRVRGKKRRLSNNPSETTEQLRGGVVSQRSSLPHHHHSDCYNATNVSAAATAESTPAAPSWETVVKVVPSMDAVVKVFCVHTDPNFSLPWQMKRQYSSGSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGRCVGIAFQSLKHEDAENIGYVIPTPVIGHFIQDYEKHNKYTGFPVIGIEWQKMENPDLRKKMGMESHQKGVRIRRIEPTAPESQVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLISQKYTGDSALVKILRNREILEFNVKLAIHKKLIPAHISGKPPSYFIVAGFVFTTVSVPYLRSEYGKEYEYDAPVKLLEKHLHAMTQSVDEQLVVVSQVLVSDINIGYEEIVNTQVVAFNGKPVKNLKCLAEMVENCVDEYMEFYLDYHQIVVLQTKTAKEATLDILTTHCIPSAMSDDLKT >A03p006820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2846246:2846674:-1 gene:A03p006820.1_BraROA transcript:A03p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Classical arabinogalactan protein 6 [Source:Projected from Arabidopsis thaliana (AT5G14380) UniProtKB/Swiss-Prot;Acc:Q9LY91] MARQFVILALLALTVATVFAADAPSASPQKSPSPTTAPTKAPATPTKAPAAAPKSTSASSPKASSPAAEGPAAEDDYSAASPSDSGEAPTDSAASPPAPTPDSTSADGPSEAEAPSSSAVTTVKLSVAGTIITAVSFLFFSL >A01p056670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32162179:32165715:1 gene:A01p056670.1_BraROA transcript:A01p056670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLTNPRLTYPPPHALSTPRPSPTSVANLRLPAADFSRRFKSNFFSAELSSPQTRSVPVPVVFSDQQRRRSMEPSNVYVASSTTGMEIGSQETAKNPSLICAPVMADSIDKMVIETCKAQELGADLVEIRLDSLKDFNPLEDLKTIINKSPLPTLFTYRPKWEGGQYEGDENERLDVLRLAMELGADYIDVELQVATEFIKSIKGKKPENFRVIVSSHNYQNTPSVEDLSDLALRIQQAGADIVKIATTAVDITDVSRMFHITSNAQVPTIGLVMGERGLMSRILCSKFGGYLTFGTLESGKVSAPGQPTIKDLLDLYNFRRIGPDTKVYGIIGKPVSHSKSPIVHNQAFKSVDYNGVYVHLLVDDLESFLKTYSSFDFAGFSCTIPHKEAALKCCDEVDPLAKSIGAVNTILRRQSDGKLLGYNTDCIGSISAIEDGLRSSSGPSSVPSSSSSPLAGKTVVVIGAGGAGKALAYGAKEKGANVVIANRTYERALELAEAIGGKALSLTDLDNFHPEDGMVLANTTSMGMQPNVDETPISKHALKHYALVFDAVYTPRITRLLQEAEECGAITVSGSEMFVRQAYEQFEIFTGLPAPKELYWQIMSKY >A10p005850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9472179:9472439:-1 gene:A10p005850.1_BraROA transcript:A10p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIKRWTSVKKKQQKEEIEATAKETHTWRRLRGMFSSSPSFKWKRVEILHTEIVDGVVYNVMYVVEAVVLVSTLCFFYLCCGCHI >A06g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16079074:16084678:1 gene:A06g505570.1_BraROA transcript:A06g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPLRAPGAVPVAQPKNQKRKLAKSDNGESSPQGGSSLASGLHGKFRSLIDGMISECGSETSRLTGELVELQGRWSETEAMLTAVKDSHSAKVSKLEVAIGDLERDLGKTATSRGIQEATDVLRSEFQARLAKISASLGSLECIRSRDLALATIEGWMAVVRSFQSEAPPTLEAEEARLSGCKGDMAAVDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGGDAAPGSDEATVGKEGDALSSHILLRLRNVSFEICFESSSVRCGLCGGAEDIEYKHRGMVCAVTSRLSFFLLRFLPDSYRFKVRDSAIILVSDVGESSLRDDYVCLGRSRFLRRSILWDSNRANQARSPRIHAVRSLCSNQTRAKLGRYETKHYENRTKYETTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPVKVPGQRSAERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGTFTHPTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRGKGILEVPILNLKLRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRFEAVDHGFSMARLNGRAQQAQALQNRLACYKHIHRTITKKFSKN >A04p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1451728:1454535:-1 gene:A04p002990.1_BraROA transcript:A04p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G58780) UniProtKB/TrEMBL;Acc:A0A1I9LM26] MEEGGSSHDAESNKKLVRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSTRGRLYEYANNSVKGTIERYKKACSDAVNPPTVTEANTQVHYFLFYSLQKKKNITEKKSGVCMHGTPKYYQQEASKLRRQIRDIQNSNRHIVGESLGSLNFKELKNLEGRLEKGINRVRSKKNELLVAELEYMQKREIELQHDNMYLRAKITHCARLDPEQQESSVIQGTAVYESGLSSHDQSQNYNRSYIPVNLLEPNQQFSGQDQPPLQLV >SC378g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000220.1:820:1344:1 gene:SC378g500010.1_BraROA transcript:SC378g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A02p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7585762:7586133:-1 gene:A02p016870.1_BraROA transcript:A02p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSQNLSFNAGQAKGQTQEKASNLMDKASNAAQSAKESLSEGGQQLKQKAQGATEAIKEKTGLNK >A06g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7898189:7898507:-1 gene:A06g502240.1_BraROA transcript:A06g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSVGVGDKGNRDVSIGGCHRPRKTPTSRSIGGSLRRVSTASLAAEVNRKGGLMKRHEPG >A03p019120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7776140:7777479:1 gene:A03p019120.1_BraROA transcript:A03p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSSLIYSFVARGTVILVEFTDFKGNFTSIAAQCLQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVDSAGRQIPMAFLERVKEDFNKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWFQNMKIKLIVLAIIIALILIIVLSVCGGFNCGK >A02p012940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5669368:5671239:1 gene:A02p012940.1_BraROA transcript:A02p012940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIEISFLETFICSAFAACFAELFTVPLDTAKVRLQLQRKIPTGDGDSLPKYRGSFGTLSTIAREEGISGLWKGVIAGLHRQCIYGGLRIGLYEPVKTFLVGSDFIGDIPLYQKILAALLTGAIAIIVANPTDLVKVRLQSEGKLPAGVPRRYAGAVDAYYTIVKLEGVGALWTGLGPNIARNAIVNAAELASYDQIKEIIMKIPGFGDSFLTHMLAGLAAGFFAVCIGSPIDVVKSRMMGDSTYRSTIDCFIKTMKTEGIMAFYKGFLPNFTRLGTWNVVMFLTLEQVKKVFLREVLYD >A08g509970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21471298:21471971:1 gene:A08g509970.1_BraROA transcript:A08g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSFGKKRQTLAAVTLSLSLSLSLSRAISGEVNPFLPLTLVAVPLLLLLYLPSARSEPAKEHCVCMSLYPTVELLKGLRKKRATSKQLITTILFSFSTLFKRNHE >A09g514220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42795664:42798104:-1 gene:A09g514220.1_BraROA transcript:A09g514220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWTRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIETTLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A09g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21452532:21453864:-1 gene:A09g507330.1_BraROA transcript:A09g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRVEISDHVGGEHSSYMIYNVCLYFSPIIQNTMIPVAANDVAFSIHAVVLTALTLFQIFIYERGPQKVSRFATGLVVLVWGFAAICFFIALPSHSWLWLITIFNSIQVAMTCVKYIPQSHLCPVPLMVQPIIWNYDHSLRLYPTPHTVYS >A03p066540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29402685:29403660:1 gene:A03p066540.1_BraROA transcript:A03p066540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHSKSPISSAKSHVGMLFKDISPSPHESELRLIHFSSTNMSVSLFGLIEVFLAFDPIATSELTNRQNSMRSQPHYYLCGKTKSARRSDTFTKNSTLLLPSFFNCSSLLSVYRRWLRKMKAKTGQMN >A05g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24148206:24150178:1 gene:A05g508290.1_BraROA transcript:A05g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITETSQDKSAGGTCLMGEETTANDENVAKTKPSPKLTLLPLVFLIFYEVSGGPFGVEDSVKSGGGPLLALLGFLIFPLIWSIPEALITAELATSFPENGGYVVWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPVLNHVAARVPALIRPQRWLFVDFKKVNWRGYLNTMFWNLNYWDKASTLAGEVESPGETFPKALFGAVLLVMGSYLIPLMAGTGALSESSSGEWSDGYFAEVGMLIGGVWLKGWIQAAAAMSNLGFFEAEMSSDAFQLLGMSEIGMLPAFFAQRSKYGTPTISILCSATGVIFLSWLSFQEIIEFLNFLYALGMLLEFAAFVKLRIKKPDLNRPYRVPLNTFGTLLLCLPPSLLVILVMVLAAPKTFLISGFIIVVGFCLYPFLEFVKEKRWARFIPEDPRRQVLGVQTESQLDEEHGDESSASLLP >A06p024190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15610504:15611223:1 gene:A06p024190.1_BraROA transcript:A06p024190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGRGIPTHCRCGERVRLLTSRTVKNPGRLFHSCPYGDELETLTIEKRTCEAVVYGLQKELQGFEKELQDWKMELSVGDGENMEAAMAFGTILVLW >A09g506290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18780295:18780789:-1 gene:A09g506290.1_BraROA transcript:A09g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFPNVILVSCLLLLLTFIDVEAGCEGSLFAVISTYSENLNSLFSSLASKVTANDGFYNTSTGEGSNKVYGLALFGQGYKKQGCANNDNDNDNASGLVRYANHSVSAKLELFPPTLSDNPLNIEEPKSLLLFRREWEALVNLTMQSATSAPENSSVVLSSAAP >A04p018250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10970882:10972759:1 gene:A04p018250.1_BraROA transcript:A04p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISAINAASLLKRDFSNHRFYSSSSSSSSSSLQLIPRCDDPPKPITFNPLYNLLPNTQNPNRIVDVICSSLNQRDSLSSNLHNEVKSLIPHLGHREISRVLLRFQSDATRALAFFNWVKSDLGKTPNVGNYCLLLHVLAWSKKFPLAMQFLCELIELVVKEEEDVFSVLVSATEECNWDPVVFDILVKAYLKLGLVEESFSAFRKVIAFGFRVSVVTCNHLLNGLLKLDLTDDCWQVYRVMCRVGIHPNTHTFNVLTNVFCNGSGFNQVNDFLEKMEEEEGFEPDLVTYNTLVSSYCKRGRLKEAFYLYKIMYRRRVVPDLVTYTSLIKGLCKEGRVREAHQTFHRMVDRGIKADCVAYNTLVYAYCKEGMMEQAKRLLHEMIGNSVVPDRFTCKIIVEGFVREGRLLAAVNFVVELTRLRVRIPFEVCSFLIESLCREGKPFAAKHLLERIREEEGHKATPETYNNLIESFSRCDAIEEALLLKEKLTSQNQVLDVNSYRALIGCLCRIGRNREAESLMVEMVDSDVKPDSCICGALVNGYCKELDFAKAESLLTFFAMEFRIFDMESYNLLVKAVCETASGYKKVLELQERMQRVGFVPNTLSCKYMIQGLTQRDGLMSEI >A07g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3600768:3602745:1 gene:A07g501740.1_BraROA transcript:A07g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKVVRRQAEKELAQTGSKFPSSSAQVIAPCHETDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTTSSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATNALRTEFQACLAKISASLGSLERIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTRSEDPEGKDPMVGENGSDAAPGSDEAAGEEGTCFESSSARCELCEGAEGIERKHRGMDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTYFFYSAIILVSDDVCEFDVISIGLGGVCRCFDYFAQNKC >A01g511600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34299948:34301710:-1 gene:A01g511600.1_BraROA transcript:A01g511600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGFGLDQVRRSVNLSAGLITGRSHLDLNQTVRRDYGRSGYGWMVLATKAKWDILQSTWGCHGHPVCADGHTRTAMDVLCVLTDTHRRPVCADGHTQTHTDSHRCPVCADEQPQTATNVLCVLVDTHGRPVHTEQTAQVGQNHPNSRREGSAC >A02p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23665651:23676082:1 gene:A02p038060.1_BraROA transcript:A02p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLEDSSATQEYDETVAEFSQALLFLCSEWDRIKLKSDGVDERLMVIDRTLVMYEKCFDSMDHCFDQLTQILHRIETNQMSEKAQGKAITSLLNDKHLYSSATQEYDETVAEFSQALLFLCSEWDRIKLKSDGVDERLMVIDRTLVMYEKCFDSMDHCFDQLTQILHRMETNQMSEKAQGKAITSFGLAASQVLSVQAPQQIQDVLDQFMGEYDETVAEFSQALLFLCSEWDRIKLKSDGVDERLMVIDRTLVMYERCFDSMDHCFDQLTQILHRMETNQMSEKAQGKVITSLLNDKHLRYNHLRDLNL >A01p000750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:296148:296899:1 gene:A01p000750.1_BraROA transcript:A01p000750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 23 [Source:Projected from Arabidopsis thaliana (AT4G39700) UniProtKB/Swiss-Prot;Acc:O65657] MGVGGTLEYISELIGSGGSHSYGKRKKKKQFQTVELKVRMDCDGCVLKVKNSLSSLKGVKSVEINKKQQKVTVSGYAEASKVLKKAKSTGKKAEIWPYVPYNLVAQPYIAQAYDKKAPPGYVRKMDPYVTTGTMAVYNDDPSYTSMFSDDNPNACSIM >A09p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19093918:19094361:1 gene:A09p031590.1_BraROA transcript:A09p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHILGLFKTTKLVGHLKPSLHEILQEKDIQIHLLDKAIKSAAEPEVDPTPYSTNHSANHDICALTMSYLTNQEGFQTNWNRAKLFKGQDIMNFTSRRFLSPSICKYQALEEDSNPTMKHHSEKQIMGYKRDL >A05p053560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30906960:30909082:-1 gene:A05p053560.1_BraROA transcript:A05p053560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKIGINGFGRIGRLVARVVLQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDEKTLLFGEKPVTVFGIRNPEDIPWAEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAAAYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLIVHMSKC >A10p008500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8468385:8470453:-1 gene:A10p008500.1_BraROA transcript:A10p008500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRHSLLVCMSHTSTTGSVRHSLLVCMSHTSTKVAMKALPSSREELKSSMMSRTWKSTETRALPICNSRFLMNPPTFLWNNPLLGSKTVTIVKEKYNFRSKKTNQARSLRNYRTYTLSGRYVATKRSSRSQPSARPARSLCNDRARAKAQSLRSDRARAKVRSLRSDRALPNIDTTPVHAFSSNLQMLSPEAVASSKVRSLSKEIVINVSSRKMAHRDLRHDSRPILLFLNQKPVNHSTVYAWSTKKDKCQVSADKYRTATQLGLAVLGLLELGISPTALEPRLIPCCTGCKFGIRSFCSLFDFLYFIVEIRVFLVYLFKRKSKVRISVPTSTKVNESPAGSIFRPRWSAITLDSMLTEDTMSQSALGVILCLIFSLAWCNILLMSSSVSLVSVKYIHSLWMLGNIFLPLPQGSCHSSLINLHRLWCIFRLLTDVFCRCPEVALDSREVLVDRGLRLTVDWQLILSVDWRFSHFSDRC >A07g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6932601:6934395:-1 gene:A07g503570.1_BraROA transcript:A07g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKIEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALKFVQPGPALDADTGSDSEPDDQNPAEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARLRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLERAAQLTRDHVRAVRKAERKGKIEIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLCRTRADDYVFEEEMSLMKSGMSDRAHAETLIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A01p040000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16074290:16078569:1 gene:A01p040000.1_BraROA transcript:A01p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFSQILNPRIRALLEYTFLFVAVTLFSILVVMHANYVQQPGCSSELTGVELSEAELLQIKIASAGLWSRTDESTAAHVPQVEASADNLEQVSKNDQESCPSEEIADDTFVKIDKEEPRSSFSVSAKETVRAAILRFPKKFYRRISFILQHTARFLRGVRKIWNVIAIPLNLNLPKLFHVLYMDKVNYYAVQWLERRTQEFEPTYLYTMEKGYFLLPDEAKSRHNIRTANVSISARHPCFGNRWQQLTINRVVGYDTIIMNSLQNSAGQGYMYNFQTREFYNLSYSQELSEGSSQFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHAQHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLVLVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALMTTAAFMQHLILYFWNRFEVPALERFLQSRQSHLHQHPDFHITSSTILASTLHITRLNRTTRNRAPSGPNNPTPNQNTESRSPAAVADAGDENQMQNQPQEVNTANAIPVEPNPHAGAMSSFSSMLLRILGGASSEGFNSFLSMFRDVRDEDEAQVFADTSPPNPHHDTLLVD >A02p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1899578:1902692:1 gene:A02p004370.1_BraROA transcript:A02p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFGLFIGIAVSIGLVVAFARYSNVRSTRRAELARTIAAFARMTVQDSRKLLPGEFYPSWVLNWLNLELEKIWPYVNEAASELIRSSVEPVLEQYTPAMIASLKFSKLTLGTVAPQFTGVSILENESGPTGITMELEMQWDGNPKIVLDVKTLLGVALPIEVKNVGFTGVFRLIFKPLVDEFPCFGALSYSLREKKGLDFTLKVIGGELTSIPGISDALEETILDAIEDSITWPVRKIIPILPGDYSDLELKPVGTLDVKLVQAKDLSNKDVIGKSDPYAVVFIRPLPNKTKKTKTISNSLNPIWNEHFEFVVEDVSTQHLTVRVFDDEGVGSSQLIGAAQVPLNELEPGKVKDIWLKLVKDLVVQRDTKNRGQVQLELLYCPLGKESGFKNPFNPNYSLTILEKVLKPESEDSDATDVKSSQSPKKTDVIVRGVLSVTVVAAEDLPAVDFMGKADPFVVITLKKSEAKSKTRVVPDSLNPVWNQTFDFVVEDALHDLLILEVWDHDKFGKDKIGRVIMTLTRVMLEGEFQEWYELDGAKSGKLCIHLKWTPRLKLRDSS >A06p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7190605:7201272:1 gene:A06p016150.1_BraROA transcript:A06p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTWFDDDGMKKGEWTAEEDQKLVAYINEHGLCDWRSLPERAGLQRGGKSCRLRWLNYLRPGIRRGKFTPQEEEAIIKLHAVLGNRWAAIAKKMENRTDNDIKNHWNSCLKKRLSKNGIDPMTHEPIINNLTVTTTNEEECGSSPTNTSLTTESHFSSSPSGSACLLNKLAAGIASRQHDLDRIKNILLDRKITINDQDEEGGLRRDQKIGEEDDFLIWDDGEVRRYMDTDPMEYETTPYDSVLYESTQILDYLF >A04p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18431872:18432307:-1 gene:A04p031160.1_BraROA transcript:A04p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVVEMVNVSRSLRCYMKPSGIQFSGSKEDSDDAGECGGIPEFNFLNVSAFEKMAEELAEMFKREVILKVGLLSLPPNSFCI >A07p015190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9447330:9447872:-1 gene:A07p015190.1_BraROA transcript:A07p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPSELAIFVADGVMSAKTPRKKKKMMFEELKDVSEMGGTVEETLNAFTTKEEYGVSITKADLVRWSILIDRYGRPEISVQIFEWMEKKEMKFTPSQLATFVDFIYRVHSIRAALDYFESVDPDFDNMDYKAKNWSAYDFLARSMSKNWNKRPW >A01p029870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21097178:21097676:1 gene:A01p029870.1_BraROA transcript:A01p029870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVPANEHQNTAHLESDDLEDKSDEHLVELFLERSNRDFEVLGLVEKLHLKVGSEKLEEDKAFVEKLLVNWDSGDGEDNVLVEKLLLKRDFEDGEGEVLVEKLLAKTCFEEDEEQDKCMVCKMESSFVKFLSFFTSMN >A03p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10463505:10466312:1 gene:A03p024880.1_BraROA transcript:A03p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTINEDKTTVEDSISVNEKPNSRRRMNPSQLQLPKPCSKRIFFFTLVLFFSLYYILTTIRTITISSSSQDPHHHHPPLQEPSMHHHSSLQEPSISHYSSPPEPSANQPSPPSSSLSYFPLCPKNFTNYLPCHDPSTARQYSIERHYRRERHCPDLSHEKKLRCLVPKPSGYKTPFHWPESRNYAWFKNVPFKKLAEFKKTQNWVRLEGDRFVFPGGGTSFPGGVKDYVDVIMKVLPLASGSIRTVLDVGCGVASFGAFLLNHNILTMSIAPRDIHEAQVQFALERGLPAMLGVLSTYKLPYPSKSFDMVHCSRCLVNWTAYDGLYLMEVDRVLRPGGYWVLSGPPVTSMVKAKNQKRSLQKEMEKLNDVFRRLCWEKIAERYPVVIWRKPSNHLQCIKRLKALKFPGRCSSGDPNAAWYKEMEPCITPLLNVNDTHIRVLRNWPERLNHVPERHGVTISRFKADTNLWQRIVVYYDTKLKFLSNGKYRNIMDMNSGLGGFAAALIKYPMWVMNVVPFDLEPNTLGVVFDRGLIGTYMNWCEAFSTYPRTYDLIHANGLFSLYLDKCDIVDILLEMQRILRPGGAVIIRDGFDVLMKVKAITNQMRWNGTMYSEADNSFDHGTILILRHFEDKTCVLDINIACSVVCAKYEAEFRPNMSIVVQALQPLLRSSGTATAPHRQA >A07p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16088830:16090377:-1 gene:A07p028450.1_BraROA transcript:A07p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIMKLGGKKFSKSDQDNTSGVNTVVRSSRPTTAAAPPSSTGESQSAAPSPSQTPNHPMFTAPPSLEVLPLLKDVSSSDRPLLFMKKAHMCSCQCDFSDSLIMPREKEIKRQTLLELVDFLHSSSGKVNETMQSELIRMVSANMFRCLPPAHYENTGAPPEGNDPEEEEPYLEPWWPHLQLVYELLLRYVVSSEIEPKTAKKFINHTFVSRLLDLFDSEDPREREYLKTVLHRIYGKFIFHRPFIRCSIYNIFYKYLYETERCVGIGELLEILGSVINGFTVPMREEHVLYLVKAILPLHKSKGISIFHQQLSYCVVQFVEKDYKLADTVIRGLLKYWPLTNCNKEVLFLGELEEVLDVTEPSEFQHCVVPLFRQIGKCLNSANFQVAERALFLWNNEHIVGLIAENKDVIFPIIFEALERNMKGHWNQAVHGLSENVRRMFMEMDNELFEECEKRHLENEAKACELLEQREMTWKRLEEAASLAAN >A05p003590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1320520:1322464:1 gene:A05p003590.1_BraROA transcript:A05p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYHRLIIHHGRKEERFRVSVEEVGSLKKAKQKFLSFLFLTILSCCFIVSPYLFGFSTLSLLDSFSRENEALSSYEPAPVCLEVSNGTICCDRTGLRSDICVMKGDIRTDSASSSLILFTSTNNTTTPQKIKPYTRKWETSVMDTVQELNLIPKHSNKSSDRVCDVYHDVPAVFFSTGGYTGNVYHEFNDGIIPLFITSQHYNKKVVFVIVEYHDWWEMKYGDIVTQLSDYPLVDFNGDSRTHCFKEATVGLRIHDELTVNSTLMSHGNKTIVDFRNVLDRAYTHRIQSLVQEETKTKLDSKKPKLVILSRNGSRAILNEDLLVKLAEETGFNVEVLRPNKRTEMAKIYRSMNTSDVMIGVHGAAMTHFLFLKPKSVFIQIVPLGTDWAADTYYGEPAKKLGLKYIGYKIMPQESSLYYEYGKDDPVIRDPDSLNDKGWEYTKKIYLQGQNVKLDLRRFRETLARSYDFSIRRRVREEVPHLFVS >A06p015020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6699699:6701856:-1 gene:A06p015020.1_BraROA transcript:A06p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxylate/succinic semialdehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17650) UniProtKB/Swiss-Prot;Acc:F4I907] MALCSIFCPRIPVRFRPKPISPFLSKPLFPLSYRVYSSLPPTSSTKDELGSVSIGFLGMGIMGSPMAQNLLKAGCDVTVWNRTKSKCDPLVGLGAKYKSSPEEVTATCDLTFAMLADPESAIDVACGKNGAVSGISSGKGYVDVSTVDAASSILISKQIKDTGALFLEAPVSGSKKPAEDGQLIFLTAGDKLLYEKAAPFLDIMGKSRFYLGDVGNGAAMKLVVNMIMGSMMASFAEGILLSQKVGLDPNVLVEVVSQGAINAPMYSLKGPSMIKSVYPTAFPLKHQQKDMRLALGLAESVSQSTPIAAAANELYKVAKSYGLSDEDFSAVMEALKAARSQQS >A08p003240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1815215:1817500:-1 gene:A08p003240.1_BraROA transcript:A08p003240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSSKRPPTASAESVQFRLLCPASRTGAIIGKGGSVIRHLQSLTGSKIRVIDDIPVPSEERVVLITAPNATKKDDSSNACDPENPSSDQTKPPETAAADKPGEEAQPPPSSSALVRVLERIVFGDDAANGDGSELDKGEFEGLCRVLVRGNQVDYLMSQGGMMMQRIRDESGASVRIASTDQIPPCAFPGDVVIQINGKFSNVKKALLMITSSLQESGAPPTWEECSFPPPGYPPDYHSMEYHQWDHPPNPMAEDVGPFNRPPIVEEEVAFRLLCPADKVGSLIGKGGAVVRALQNETGASIKVSDPTHETEERIVVISARENLERRHSLAQDAVMCVHNRIVEIGFEPSAAVVARLLVHSPFIGRLLGKGGHVISEMRRATGASIRVFAKDQATKYESQHDEIVQIIGNVKTVQDALFQITSRLREAMFPGRIPFPGMGGPPPPFMGPYPEPPPPFGPRPYPASPDRYHSPVGPYHERHCHGPGFDRPPSPMSWTPQPPIDGHPGGMVPDVNHGFALRNEPIGGENLPMTSANVEIVVPQAYLGHVYGENCSNLNYIKQVTGANVVVHDPKAGTTEGLVVVSGTSDQAHFAQSLLHAFILCGQS >A05p015780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7014679:7015417:1 gene:A05p015780.1_BraROA transcript:A05p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH154 [Source:Projected from Arabidopsis thaliana (AT2G31730) UniProtKB/Swiss-Prot;Acc:Q7XJU1] MMMENKRNVCSLEDNSIKRHKSDLSFSSKERKDKVGERISALQQLVSPYGKTDTASVLLEAMQYIQFLQEQVKVLSAPYLQTTPITTHEEELGEYRLRSRGLCLVPMEYTVGVAQTNGADIWAPVKTPV >A03p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17040841:17041430:1 gene:A03p040860.1_BraROA transcript:A03p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPGAITSGLRISGDSGLGPRAEKDATTGAGRIPSRVPLKTIVAVGCFDSDMYLLISSPPEAPTEVAGNTWQSATSPSPSKTPFAIIIPEPPFLLTISPLRRRVTVTSKQTTTVHKRVHPTRFKIRSTVNANARNRFPVSELHIGREVAVDGSGADRRHPRRDVRHR >A03p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16190870:16191872:1 gene:A03p038830.1_BraROA transcript:A03p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISPLLFIGLICLAGGGSLLPAEAIWLTIPSSGERCVYEMIQANVVVVGDYLCIDQDNVGFGPTIDIHVTSPDGKELYKKTNETHGQFAFTTSETGTYFACLSSHHDQGVELELRKSEERVNEISANIIYLRVREAYMREVNDKTNKRVAQLSFMSLGLSITVSLFQVWHLKRFFLKKKLI >SC117g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:366861:367260:1 gene:SC117g500130.1_BraROA transcript:SC117g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCDDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISNKRLIWCVRALQPNKRLGERGRDQD >A02p010390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4480679:4483529:-1 gene:A02p010390.1_BraROA transcript:A02p010390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKIFKDDASEEKGERARMASFIGAMAVADLVKSTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVTSKIHPMTIIAGYRMAAECAREALLKRVMDNKENAEKFRSDLMKIAMTTLCSKILSQDKEHFAEMAVDAIFRLKGSTNLESIQIIKKPGGSLRDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMTKVAEIEGAEKEKMKDKVNKILAHGINCFVNRQLIYNFPEELFADAGVLAIEHADFEGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVAMGQACSIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARKTAGKKSHAIEAFSRALVAIPTTIADNAGLDSAELVAQLRAEHHNEGCNAGIDVISGAVGDMEERGIYEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >A01g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15889072:15901585:-1 gene:A01g505300.1_BraROA transcript:A01g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKIFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPFGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNKQEELVERQTELTRIRIKAGPRATHGLAIKGMTKTPSASSTNPEDTPPPIAKSWEQDWPRSYSLESFRIAPGEDEVKSSINANASDVEARHKSEALATIQPEHPENSIERLTRTKYETTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPAKVPGQRSTERIRGTIHFLATIGKTGRNLLGVRGNRDGIPEPLNPLINRRDKRLSMGMVTHPTLHQAHFLFKHIVIGSRPPKTADRTAALAKVTHRGKGILEVPILNLELRCTSLHHLDDFSFAFCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVETELMPRLGRYVATERSSRSRPSDRSARSLRSDRSARSLRSDRGRTKARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQSRLLRSDRAIVPLGRYVATELEPSLVATKRPSDRPARSLRSDRARSSRSRPSSIVPLGHYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWGQKRFFLTKKLGGRKNRDVRRKLETGRYAATERPSRSVAT >A07p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23217279:23220285:-1 gene:A07p042880.1_BraROA transcript:A07p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSALLMSVGINSCLCVLLFILYSILRKQPHNYEVFLPRRLVAGTSKRRRNKVARYIPSVRWIWKSWRPSEEELMASSGLDGVVFMRMITFSLKVFLFAGIIGVFVILPVNVFGDQLTQINYSDWSANSLDIFSVVNLNTRSPWLWVHFGAIYLVTAFVCCLLYLEFRYIGMKRIEHFHSSKPQPNQFTILVRNVPSSDGATVSDTVDRFFRENHSSSYLSHVVIHRTSKLRSVVDNAKKLYKKVHHKKQADPQRVKKTPMRFFSRKDTPEGHYEKVLQELEHNIRLGQAEVSSPGKEVRAAFVSFKSRYGAAMALHMPQSVNPTYWLTEPAPEPHDVHWPFFSASFMQKWLSKIVIAFACLILTALFLVPVVLVQGLTNLSALEYFFPFLTLILSMKIVSQIITGYLPSLILQTSLKIVPPIMEFLSSFQGHICHSDIQKSACNKVIWFTIWNVFFATVFSGSAFYKLSVILDPKEILFKLAVAVPAQASFFIAYVVTTGWTDTLTELFRVVPFMVSYVKRSLEPTEEEFEVPPMRYHRDTPRVLFFGLLGITYFFLAPLILPFIFLYFVLAYIIYRNQFINVYEPKYETGGMFWPMIHYTMIFSLVLMHGIAIGLFALKKMELATYLLIPLPVFTLLFNEFCRKRFMPIFTAYPAEVLTKRDREDQNDPRMGEFYDNLVSAYQDPALRPLRFSGSRNDSLTSPLLSSTSSEV >A05p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15255399:15264052:-1 gene:A05p029570.1_BraROA transcript:A05p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAPRSTIQNQQQAAQEQAAENATREERGALIGERNFPRNLATNRFPINPPPCTCQDYEIKFALIGLVQKSTAVDRHHEMPRQMKINIDRCTQVPSIDVETSDMRHFGSSRLEALVHAKLRKCPDDIDDLAAKVDQLLKGNQSQVFIMEETTPKKSAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKEDKPVDPTQSNQERVGTTTSLYPDSIEDTEPAVETSSPGPEQPAEAVRPIPEVVPPREYIPKVPYPVPAKATRKDREELKCRKMLEDLTVRLPLMDAIQMMSSIAEAEQSVINIDADGYAKMLDFARSMGRMMAHTKQSAKRMRANCTSSTAPPQAQQQTSATYLSPREQECYYALLRVDMLPTRFCHAETLAYLGIDEDVFETLHAIGIAPLCYSTHELYPDLARQALATATITYEDSNAPSYANCSFSFMADGEYCSLSLGKFNEIYEIANELKGVAVAKKFSPSNAFWDCITNGNFTPGKTYQSQIRNPALRVIAKIISNILFAKDLTSKVTNGELQALYAGIDDEIRASGSGIPIQRSRRTPVSTS >A08g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1916545:1918968:1 gene:A08g500740.1_BraROA transcript:A08g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRKTTPLVSIREIHPSLDTCKIKVNIMKLWRKYIKGTVSIEMVLIDDEVTDKAISATVPLLSASHRRLIRRRLLSQCSAPSPGTMKKKKPKVTPKKSPAKSPSISPSKSPPTANLFPFEKDPDLEVPSDVLDAQIGESADTVAQQLRIDADLAFERNAEPSSKKEIDASSSDPSTSSMKVIDSLMSDPSPLSKTEIDPSKSDPSYPLTAAPLEPNSAGPTAIRPGSVKDGEANTCVELGMEDSLLTENEVDKAKPSTPQPEKESSVLSVDGSVIMNGLDARNVHSDQKEHLQKKEANIGMTPALPRLGPREENKQQKEPTGRKTRRGRSKNKQQWKVVEPNTEVNKTNPAQPTKVVEAHTEAVHTEIVLHSSLGNQKDQTPGETSSTPYYLRPVRHRSVSGASRSTNSEVQPDSSDVESSDTELEE >A02p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21354515:21360202:-1 gene:A02p036910.1_BraROA transcript:A02p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFNHYMEVTIKPCVAKTEVSRFSSCFFILLFICFLSLFRSWTSPFLGSSKASFMRVKLLQETCEPRALWAGVVLRVLLSPFKLNPREKDALSAPRVCQSALEIELDAKIELCEDRATWKSGYLDIDHVAYWDNNCECVPQAVLRSMEIKFNSFMGEGDLSTLSINVDLTELERSGDQHSSSVCYGSRALSVKLGKMSGEGRIMTELRIEGDVGFIGLENGGRMEELFNHYMGVTIKPCVAKTEVSRRGPLLSLVAPRLLLYESKAVARNLWFVARAPLF >A05p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1488327:1490546:-1 gene:A05p003830.1_BraROA transcript:A05p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTAGSLIVNEKLKKGLKLANPFSIDYGHRHDGEKLVVLKEPTGREIKLRYKLGRELGRGEFGVTYLCTDNETGDVFACKSILKKKLRTAVDIDDVRREAEIMRIMPEHPNIVTLKETYEDDKAVHLVMELCEGGELFDRIVARGHYTERAAASVVKTIMEVVQMCHKHGVMHRDLKPENFLFANKKETASLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKQSYGPEIDIWSAGVILYILLCGVPPFWAETDHGVAKAILRSVIDFRRDPWPKVSANAKDLIKKMLHPDPKRRLTAQQVLEHPWLQDGKNAPNVSLGETVRARLKQFTVMNKLKKRALRVIAEHLSVEEASGIKERFQVMDTSNRGKITIEELRIGLRKLGIVVPQDDIQILMDAGDVDKDGYLDVNEFVAISVHIRKMGSDEHLKTAFSFFDQNKSGYIEIEELREALANEFDTTSEEVVEAIILDVDTNKDGRISYEEFATMMKTGTDWRKASKQFSRDRFKNLSIKLKEEGSLNSNNCDAE >A04p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7252337:7253811:1 gene:A04p010300.1_BraROA transcript:A04p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPTFQLFSASNDKSSSQGLGFFDSPEPPRPPPPPPVEVFSSEVSSSVAFSVDKVNIGQVTLLKGRVNTKEVFGLPNSDLVPGVYEGGLKLWEGSIDLVKALEKETQTGNVSFPGKRVLELGCGHGLPGIYACLKGADAVHFQDFNAEVLRCLTIPNLNANLSEKPPSVSVGDKEVRFFAGEWSEVHQLLPLVNDGETGTKGGYDIILMAETIYSISAQKSLYELIKTCLANPNGAVYMAAKKYYFGVGGGTRQFLSMVEKDGVLASTLVSEVTDGSSNVREVWKLSYK >A02p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2431151:2432194:-1 gene:A02p005600.1_BraROA transcript:A02p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDSSSSSSSLPITREEFNAFHSFDRALFTRIVVSLKEDITQSFQVMSFLIYLDRSVCGSKLISNLVSLPDHSINAVVDEVQICRRCLSYTHFPTFLASMGSINAASIPWIRRMTREKLTLAVIHLNRNEIILEMTDILNTICYPAFEDICVLFETHKERKFIQFLCGQQGTSNRVAAGTSNVEGQHVRADERTVFLKFSKGYPISEAEVHDYFTRRFGDIVEAIHMGGGKRKDQAMYACMVLRSAAKIPEIVSDGLDRTKFTINGKYFWARRFIPNRKIR >SC258g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:56305:56829:-1 gene:SC258g500030.1_BraROA transcript:SC258g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1784677:1785141:-1 gene:A07p006160.1_BraROA transcript:A07p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMNETKLGCSLSAVNFVLLRWLPRLLEVVGGVPYLLHIVSVLSLVVPVFVSVGQRLCYVTSAHVSVFRGGIFIGQRLGVYQCDGLLDLFLCFLTALVSSGWWRMVDSGGQVFIHSIAFYGGDVSCRR >A01p005440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2331024:2333431:1 gene:A01p005440.1_BraROA transcript:A01p005440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSRFLYIYLFFESLLLSPGKQYKELYSDHLTPRTCVVFNAHKQISILNIFVRTRFIVAMGLEVGSFSRLTSRTSSFKNPSIERSLSFNSWEIPKETKTDSGFEVSETKKSTPNTLHGRNCESLQIKKPTVTPPEPFVFFSPRPVTELDAAATTLQKVYKSYRTRRNLADCAVLVEELWWKTLDGATLDLSSVSFFKEEKHEPAASKWTRARKRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSSQPFFYWLDIGDGKDVNLEKHPRSVLQKQCITYLGPMEREAYEVIVEDGRLLYKQSMSPINSTEESKSIFVLSTTRTLYVGKKKKGVFQHSSFLSGGATTAAGRLVARDGILEAIWPYSGHYLPTEENFKEFISFLEEHNVDLTNVKRCAVNEEYSSSFKSIPDEEEEAKEISQEVEMPSEQEERARPMFDHAKRLSCKWSSGYGPRIGCVRDYPMELQTQALEQVSLSPRVSTANTYGPIPSPRPSPKVRVSPRLSYMGIPSPRAVKC >A08p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25090480:25092818:1 gene:A08p045910.1_BraROA transcript:A08p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWGLFAAAFKSREDHRKQIELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRNWKSDPNYTKSWYDRGAKIFQAEKYRKGACQNCGAMTHTAKACMDRPRKIGAKYTNKNIAPDEKIESFELDYDGKRDRWNGYDPSTYHRVVDLYEAKEDARKKYLKEQQIKKLEEKNNNQEGDDAATSDGDEEDDDDLKVDEAKVDESRQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDINSAHYDPKTRSMREDPLPDADPDDKFYLGDNQYRNSGQALEFKQMNIHSWEAFDKGQDMHMQAAPSQAELLYKNFKVAKDKLKTQTKDTIMEKYGNASTEDEIPMELLLGQSERQVEYDRAGRIIKGQEVILPKSKYEEDVLTNNHTSVWGSWWKDHQWGYRCCQQTIRNSYCTGSAGIEAAEASLDLMKANIARKEACEESPKKVEEKRMAAWGSDVPEDLELNEEALANALKKEDERKREEKDERKRKYNVKYTNDVTPEEMEAYRMKRVHHEDPMKDFL >A08p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19908628:19912194:-1 gene:A08p032970.1_BraROA transcript:A08p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGDKHSGSQESNSKKPESKNHSGVPKSDLWTDGLICAFEFIRGAKKHVHLKQEDGHTRVTTHSELNHNPFVDSSAADVPRSRSASSLNFIDDHLLPAAQAERYEGSRWIPIGWARISELVQTVQVNAEWPSLELIDDEEDVPVTDLAAPYWERPGGPTWWCHMAAGHSSVEAWLRNATWLHPAISLALRDESKLISERMRHLLYEVPVRVAGGLLFELLGQSVGDPVISEDDVPVVFRSWQAKNFLVTVMHVKGNVSSTNVLGVTEVEELLYAGGYNVPRTVHEVIAHLACRLSRWDDRLFRKSIFGAADEIELKFMNRRNHEDVNLFSIILNQEIRKLSRQVIRVKWSLHAREEIIFELVQHLRGNVARQLLEGLRKNTREMLEEQEAVRGRLFTIQDVMQSSVRAWLQDKSLRVSHNLAVFGGCGLVLTIIVGLFGINVDGIPGAQNTPYAFGLFTLLMIIIGAVLIAVGLVYLGLKKPITEEQVEVRKLELQDVVKIFQHEAETHAQVRRNNLSPTAGDIFDANYILIQGVNLICVGFEAKEKKTAPMADPELEAIRQRRMQELMAQHGAGKQGSQQNPDQERAQEDAKREADERRQMMLSQILSSQARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLITLLEQINSQTTKQTKVTIQRRRGVDDD >A09p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3690650:3692140:1 gene:A09p007050.1_BraROA transcript:A09p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLSKKNNAIRPPGYEDPDLLASVTPWTIVTVAEVEALYELFKKLSSSIIDDGLIHKEEFQLALFRNRNQKNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVHEKIKFAFNLYDLRQTGFIEREELKEMVIALLHESELVLSEDLIEVMVDKAFMEADRKKDGKIDLDEWKEFVSMNPSLIKNMTLPYLKDIKGTFPSFVSSCEDEELELQNL >A09p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:860367:860712:1 gene:A09p001040.1_BraROA transcript:A09p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT0ZI1 protein [Source:Projected from Arabidopsis thaliana (AT4G00860) UniProtKB/TrEMBL;Acc:Q38842] MSRGKYIVGALVGSAVVAYVCDKVISDDKIFGGKFLYSDIIKTKSSTPGTITNKAWGAATEERLQAWPRTAGPPVVMNPISRQNFIVKSRPE >A05p010860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4602557:4603328:-1 gene:A05p010860.1_BraROA transcript:A05p010860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-22 [Source:Projected from Arabidopsis thaliana (AT2G36610) UniProtKB/Swiss-Prot;Acc:Q4PSR7] MEEDNMECWTSPFINGQSSSNFIYPFHSFNNVSGTILETQGGRPLHEHYYAAPPAMVESSYGEASNTNNGYETKKKKMTNEQLKLLEISFQEEMKLDPDRKMKLSKELGLQPRQIAVWFQNRKARWKNKQLEHLYKSLRQEFDVICQEKKLLQEVLMQLKAMIRENGASNNKQQTWGNALSAQFQLN >A06g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6785406:6786271:-1 gene:A06g501820.1_BraROA transcript:A06g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRNQEKTLLELVEEIRTNQERQSSKLRQRSDSLDDRYNRLERLVFENLPQLQSAGKRPVDDAGGSQMMDHTSAEPNRPPDPPDLFRFPQQPTRDPPPSPVTNGLAGRLTKVGFPTFDGTDLRDWICICEQFFDLDGTTPELKVRLAAMHLRGKALQWHRNFMAERFGVFPSWTDYVIALSSRFNALFDDPLADLVALHQGSDSIITYLDKFENARTRLTLPEAHALIRSNHSVCSSTNSLPPRNRPCPHTQPLPASSLQSLNKQKPTL >A06g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3375873:3376111:1 gene:A06g500830.1_BraROA transcript:A06g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAAKAAVAEYGNRDEAAVKEKQKRLNGDGMASRSWSFSDPESRRKRRVAAYKVLLS >A09g511610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34684193:34684861:1 gene:A09g511610.1_BraROA transcript:A09g511610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSPLSLYSLLISLISTDLPSSSYLLHSSSSSHSLSKFPLLTMTHPYQEMKDMKKHKKHYDMLGYICDAQYGIPTSCPCGGEIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEAISFFDDGMHFRQPWAFGVEDEVRRLRMEVNDMAEEIAKLKRIITSTSRP >A05p047130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27844478:27847002:-1 gene:A05p047130.1_BraROA transcript:A05p047130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETKMVKDGDPNYSDDNDEEENFSEDGDWGDWEAAEKDDDDGFESDFVCLFCDSRFVSSDSLFDHCRLSHGFDFHGARKALKLDFYASFKLINYIRSQVAENKCWSWGALGKCQLEAKDVNFPWDEEKYLKPFLQDDSLLYSFADDEEEEDEEEALDREDLIEDLRKLGDLSIVDDEAIGESSVSNNGKCKDVSLISNSDDRKQSCADGLVVVNGKGKEPSVCDGRLVGRNIRKVNENYFGSYSSFGIHREMISDKVRTEAYRDALLKNPSLMSGSVVMDVGCGTGILSLFAAQAGASRVVAVEASEKMAKVATKIAKDNKVFNDNEHNGVLEVANSMVEELEKSIKIQPHSVDVLVSEWMGYCLLYESMLTSVLYARDRWLKPGGAILPDTATMFVAGFGKGATSLPFWEDVYGFDMSSIGKEILEDTARIPIVDVVEERDLVTQPALLQSFDLATMKPDEVDFTATATLEPTESETEARLCHGVVLWFDTGFTDRFCKETPTVLSTSPYYTPPTHWAQTVLTFQEPISVAPATVLSGDDRQGAIGTKECPASSIQLRVSVARASEHRSIDVSLETTGVSSKGQKRRWPVQIFNL >A09p029170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17438876:17442684:1 gene:A09p029170.1_BraROA transcript:A09p029170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP90.5 [Source:Projected from Arabidopsis thaliana (AT2G04030) UniProtKB/TrEMBL;Acc:A0A178VPV7] MAPALSRSLYTTPLTSLPLTPTRLSPLRTASLPRADVGLRTGVSWSLEKRCSRFAVKCDAAVAEKETAEEGSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKVRFLSVTEPALLGDGGDLEIRIKPDPDNGTITITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWESVADSSSYVIREETDPENFLRRGTQITLYLRSWLQEDDKYEFAESTRIKNLVKNYSQFVGFPIYTWQEKSRTVEVEEEEPAKEGEEEKEGEPKKTKKTTKTEKYWDWELANETKPLWMRNSKEVSKEEYNEFYKKAFNEFLDPLAHTHFTTEGEVEFRSILYIPGMGPLNNEDVPNPKTKNIRLHVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLIRKTFDMIQEISESENKEDYKKFWENFGRFLKLGCIEDTGNHKRITPLLRFYSSKNEEELTSLDEYIENMGENQKAIYYLATDSLKSAKSAPFLEKLIQKDLEVLYLVEPIDEVVIQNLQTYKEKKFVDISKEDLELGDEDEVKEREAKQEFNLLCDWIKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEATRVVDLLYDTAIISSGFTPDSPAELGNKIYEMMAMAVGGRWGRVEEEEGSSNVNEGEDTKDGEAEVIEPSEVRAESDPWQD >A05p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6613340:6614827:1 gene:A05p015000.1_BraROA transcript:A05p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKEYANSVMVLTCGSKAEGGSCDVYLIGTAHVSEESCREVEAIVSYMKPEVVFVELCASRLSILTPQAVKIASKLDVLPGAEFRVAYEEAVKYGGQVILGDRPVQITLKRTWAKMPIWHKVKFLYGLVFQAVFLPSPEELEKMLKAMNDVDMLTLVIQQMSKEFPSLMDTLVHERDKYMSCMLSRVACEHSSVVAVVGRGHLQGIKKNWDQPINMKDLLEIPKNKSVFTVKNVLKSLAVLVIGAAIVSRIYLAGRS >A05p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:586063:588461:-1 gene:A05p002010.1_BraROA transcript:A05p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 3 [Source:Projected from Arabidopsis thaliana (AT2G46930) UniProtKB/Swiss-Prot;Acc:O80731] MNLRFAAVVCCLWLCSVVCVAQSGSSSTDDAIWSLESKLMATSNASQLLMVPLTLIQGAASKGAGANRWLIQLEGGGWCNTRRSCIFRKTTRRGSSNHMEKVLAFTGILSNKANENPDFFNWNRVKLRYCDGASFTGDSEDQSSQLYYRGQRIWQVAMEELLSKGMQKADQALLSGCSAGGLASILHCDQFKAVLPGTTKVKCLSDAGMFMDAVDVSGGHSLRNMFQGVVTVQNLQKELSTTCTKHLDPTSCFFPQNLVSEIKTPMFLLNAAYDAWQVQESLAPPSADRTGSWKACKSDHSHCNSSQIHFFQDFRSQMVNAVKTFSASAHNGLFINSCFAHCQSERQDTWFAPDSPKLYGKTVAESVGDWYFDRKTVKAIDCPYPCDKTCHDLTF >A03p015710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6211097:6215188:1 gene:A03p015710.1_BraROA transcript:A03p015710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSTRHKSSKHKDARDHSDSEKEEKKSSSSAVRVSGEKRGKEYYDNGEYYEEYTSSSSKRRRGKGGESGKEDEKGESSKKSKVSSSRRREEGDGEEVRKSSSGKHRESSRRELDKDKDNKKYKESKSDKLYDGDDHHRSKGLSDKSESKAEDQQKSLGTENHTEKRSRRKRDDHGVGDKNQDNSDDEYVKDGKHRGEKSRDKYLEDKEEDVKQIGEKQREDRPTKEHHRSDGKLLPDESKKKSKVQDADHGHEPDSELDGYHERERDRERGRDRDRDYERDRERDRDRERDRDRRDYEHDRYYGRDWDRDRSRDRDRDHDKDRTHEREKDRSSRDYYHEGKRSKSDRERDNDRDASRLDDQSGRYRERREGRKSPDYQEVVTDTRSSRAGPDGDVIKSERQPSSSVVQEENGNVSDQTTKWVSSREAAELSGGSDRGTRQKASEKTPKTEDGVLGEFHGSAKASPRPMVERSPSSTSLDRRFNNNQSSARWSNEAEETGHRNNARDFSAPEDERLRADDTSQGDISFNNKANQNNSSFPPRPESRSGMSSPRVGLREDDNRANTGGRYRRGVDGMIGRGQTQSNNAWRGVPSWPAPLPNGFIPFQHVQPHGFQAMMPQYPSPSIFGVRPSMEMNHSGIPYHIPDAERFSGHMRPLGWQNMMDNSGASSHMHGYFGDMSNSAFRDDSNMYGGSEWDHNRRMQSRGWESGGDEWKNRNGDASMEVSSRSAKDDNSAQVADGESPGGQTSHSENNRGKSVEAGSNLTSPAKDLDARSPKIKVEAAAEDPVAETTDKRSERFCRHYLSKVDMSVELADPELRKCISVLMGEEHQPIDDVAAVFVNLKEGGKRVPKGNSTSLATLSLFPSQNSSLFQIAMELYKEQRFEMKGLLNVETHRPPQASPSSLAKVDVSDSDTSQKEHQIESLPVDAGLEMGTQVERSPSPIPDKSPEADHIEGCEEDGKPDDGAAVDQTMDTAPEHDAVTEGDPVTLTVASPEALEAMEVEEGKVLCEDEEMEEAEEEKEVEAVDGNGDVSPKVKESLVNETDESVISQIHDATTQSTH >A07p013880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6990372:6994700:1 gene:A07p013880.1_BraROA transcript:A07p013880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNTCLRQWKGFRTRNMCQLETFTCTSPIQMTRTDLEKSEQLLETAEELLSKVEKEAKKLKTELETVKEEKKHSLKREQMLSEEKNKILSELERSKEEEEKSEIAMQSLASALHQEASKLKETLLSLGCQDYETHIEDLKLVIKSTNLKYEKTLHEVEITKKQFESSMVDWEMREAGLVNHVKKFDEEVSSMGKEMNRLGNLVKRAMEEAAAALKKESEMKDDLKDVEDEPQPLPLPQSSSFYAFVPPLISDKKNSNNNDNGQFEAEDSKNLERILREASTAEKTVIVTMMNQAYADLNSTFDVFLEGFQVGDGTEKLLRHVLVDMDTVWLRDPFPRLIPDVDFQIACDRFNGNSSDTRNYADGGFKFVVANHRTIEFYNYWYESRLRYPGNNEQDVINKIKGNKYLNKIGLKMRFLDTTHVGNFCQRNWDITKVCVMHGNCCIGQDNKIKDLRQVLDDWTAYFSNGDRAREFRQPMNCWRSLRRQYNKERG >A09p068930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53857173:53861212:-1 gene:A09p068930.1_BraROA transcript:A09p068930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT6 [Source:Projected from Arabidopsis thaliana (AT2G25600) UniProtKB/Swiss-Prot;Acc:Q8GXE6] MADKKKKVWFWGDNDGGGGTGKDAEDDMAGHLSRDETMSQYSHSKGLLPSLGATARSSLRPFIISPFDPRYRAWETFLVFLVLYTAWASPFEFGFLQRPRAPLSILDNIVNGFFAIDIVLTFFVAFLDKVTYLLIDDPKRIAWRYVSTWFAFDVISTFPYESFGSLLHSSIQGYGIFSMLRLWRLRRVSNCFARLEKDRRYSYFWVRSTKLLLCTLFVIHCGACFLYSIASHYPDPSKTFMALTDENWKQSPLAVQYNTAMYWSITTFSTTGYGDIHGVNSKEMTFILFYMVFNLGLSAYIIGNMTNLVVHVTSRTRKFRDTIQAASGFGQRNNLPVRLQDQMVAHLCLRYRTDSEGLQQQEIIDSLPKAIRSSISHYLFYEVVDNIYLFHGISNDLLFQLVTEMKAEYFPPKEDVILQNEAPTDFYILVTGAVVVSEAQGGNVFGEVGVLCYRPQLFTVRTKRLTQLLRLNRTTLLNLVQANVGDGAIIMNNLLQHLKDSEDPVMKGILADTEHMLAQGKMDLPLSLCFAAARGDDLLLHQLLRRGSNSNEMDKNGRTALHIAASRGSHYCVVLLLEHGADPNIKDSEGNVPLWEAIIGGHGEIAKLLAENGAKLSLDSVSYYSCLAVEKHSLEALKDIIKYGGDITLPDGTGTTALHRAVSEGHVEIVKFLLDKGADLDMPDSYGWTPRGLAEHQGHEDIKTLFHNHRPVEKKPERIPGTPEFPVMGKPLMKHSSEPTLPHSGEVPLVQEGGQLVVSQRRKLSNFRNSLFGFMSAASTGDDGGETTRSSVGGGAFYPSRVTIRSSENGEIGGKVVILPNSMEELLKVGEEKMGFLPTKVLTREGAEIDDITLVRDGDCLILARDP >A03p033690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14267192:14269018:1 gene:A03p033690.1_BraROA transcript:A03p033690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 4 [Source:Projected from Arabidopsis thaliana (AT4G01370) UniProtKB/Swiss-Prot;Acc:Q39024] MSAENCFGGGGGGGDQSTKGLATHGGQYVQYNVYGNIFEVTRKYVPPLRPIGRGAYGIVCAATNSETGEEVAIKKIGNAFDNIIDAKRTLREIKLLKHMDHENVIAVKDIIRPPLRENFNDVYIVYELMDTDLHQIIRSNQPLTDDHCRFFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKLGDFGLARTKSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGETMTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVKQLPQYPRQNFAARFPNMSAGAADLLEKMLVFDPSRRITVDEALCHPYLAPLHDINEEPVCVRPFNFDFEQPSLTEENIKELIYRETVKFNPQ >A08p009040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4522001:4522968:-1 gene:A08p009040.1_BraROA transcript:A08p009040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRRGLSSTPQSRKIMVIADPTRESAAALQYALSHAVLEQDEIILVHVENNGGSWKNVFSSFLRLPSSISSSSSTSGSSPGAANANANTANTAASLASEIGQEEGNFLEQMRRICEIAQPKVRVHTECITMEGIKATAILIHGDKLGVDVIIIGQRRTISASLLGSRRPGGSLRGPKGVDTSEYLIENSKCTCVGVQKKGGQNGGYVLNTKTHKNFWLLA >A08g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4227132:4230833:-1 gene:A08g501730.1_BraROA transcript:A08g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFDSREWMDQRIDPESNSVSEVFLGGINAFLQFACNQADYVERQTLLCPCARCKNVKQRDAKVVSRHLFLYGFKGNYYVWTSHGEKFYTIGESSGANHSTGEEEMWGILLGMLMKITTRVIRKMFEEYMTLSVPDITPAAMQKAKDTKFAEWCKDYVSNCDQACFIPYPRVRRQSVDDWWACAKNFPRGIRETSEIALTAWQDDRRDQVAESSLLRVETHVVDDVSDYDITPVNPPDDEYVSDGDVEADRDSDDGSE >A05p004580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1776190:1779554:-1 gene:A05p004580.1_BraROA transcript:A05p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDVAIACLNSIREIEEDVKDAIVYIDAGCTESFQLAGAFPLFLELGARSVCSLENMTSLDAVADWNSKLDCANRIVIMTSRLLNDAHRYMLRCLSTHAGVQRCSVFTSISEGSHSACPDSPLGPDAYREYETLLVQDYNEHTKKSDKISKDKGVPKFSSALESLTMEPITSQNVDDSSGDAEGLVVSVHHFPLIICPFTPRAFVLPSQGSVAEASLSRQHEDSLTFGLPPISTGSMSDTDDVPPGATLTAHFLYHLALKMELKLEIFSLGDVSKNVGKIMTDMSSLYDVGRRKRTAGLLLVDRTLDLITPCCHGDSLFDRIFSSLPRAERFSTQAQLKQGVPSIDRPSLDVQVPLGELLNEEPSKIKESGLPEGVEAFLRGWDSYTSDPQNECDKKSTELLNGSLVATECFRGTPYLEAMIERRTKDGSVLVKKWLQEALRRENISVNVRARPGYATKPELQAMVKALSQNQSSLLRNKGIIQLAAATAAALDESQSAKWDAFSSAEMMLNVSAGDTSQGLAAQISDLINKSALAELQAKKNEKLDSSSSRGLLSFRDALLLTVVGYILAGENFPTAGSGGPFSWQEEHFLKEAIVDAVLENPSAGNLKFLNGLTEELESRLNRLKSEDTKETPSDDQLDIDALDEDPWGKWGDEEDEEDNDNSKADESYDDMQLKLDLRDRVDSFFKFLHKLSSLRTRNVPLREGSLASESSFPGDPSGNKGLLYRLITKVLSKEEIPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADQSVILVFVIGGINGREVMEAQEAVGESGRPDIGLVTGGTTLLTPEDMFELLLGQFSHF >A02g510370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27509962:27510921:1 gene:A02g510370.1_BraROA transcript:A02g510370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKEKEKEKERIDLRIFAGARVEFIITDISLVGMDPIRTHLAPTNILLNESDESPHHFVVETAWTDVVLVVLVAVTSSLLSKHDLFDVCLPPTLSVMSSRIVAVESLHHKMLVTMKR >A06p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20413742:20424698:1 gene:A06p037700.1_BraROA transcript:A06p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVYIYSHTHTHTCSNSNTNIRGRRRETRKRMVSSRCGSCWIILAMVLVLSAAGAGGATAEKGVTYDGRSLIIDGQRKLLFSGSIHYPRSTPEMWPSLIKKTKEGGIEVIDTYVFWNLHEPKLGQYDFNGRNDLVKFIKEIRSQGLYVCLRIGPFIEAEWNYGGLPFWLRDVPGMVYRTDNEPFKFHMRRFTSKIVNLLKSEGLYASQGGPIILSQIENEYGNVQAAFREKGASYVKWAAKMAVGLQTGVPWIMCKQPDAPDPVINTCNGMRCGETFPGPNSPNKPKMWTEDWTSFFQVYGAPPYIRSAEDIAYHAALFVAKNGSFINYYMYHGGTNFGRTSSSYFITGYYDQAPLDEYGLLRQPKYGHLKELHAAIKSTANPLLHGKQTILSLGPMQQAYVFEDARSGCVAFLVNNDGRKVIQIQFRNNAYSLRPKSIGILQNCKTLIYETAKVNVPKNMRVTTPVHTFNVPDKWEVFRETIPTFSGTSLRANTLLEHTKLTKDKTDYLWYTLRFKRDSTCTKPSLFIESSGHVVHVFVNNALAGSGHGSRDIRAVKLQVPVSLINGQNNISILSGMVGLPDSGAYMESKSYGLTKAQISCDGTKTIDLSRSQWGYSVGLLGEKVRLHQWRNLKRVKWSNNNAGLIKNHPLAWYKTMFDAPSGDGPVGLNMESMGKGEMWVNGESIGRYWVSFLTPSGHPSQSIYHIPRAFLKPSGNFLVVLEEEGGDPLGISLNTISTKKMKRATKSIASPAILVLAIVFLFSLKAIATGNVTYDHRSLSIGGRRQLIISAAIHYPRSVPAMWPSLVQTAKEGGCNAIESYVFWNGHEPSPGKYYFGGRYDIVKFIKTVQQAGMHMILRIGPFVAAEWNFGGVPKEKLFAPQGGPIILSQVENEYGYYERDYGEGGKRYAQWSASMAVSQNIGVPWMMCQQWDAPATVISTCNGFYCDEFTPNTADKPKIWTENWPGWFKTFGGRDPHRPAEDVAYSVARFFQKGGSVHNYYMYHGGTNFGRTSGGPFITTSYDYEAPIDEYGLPRLPKWGHLKNLHKAIMLSENMLIGGEHRNFSLGPSLEADVYTSSSGSCAAFLSNSDDKNDKTAVFRNMTYHLPAWSVSILPDCKNEVFNTAKVTAKSSKVEMLPEDLKSSSGLKWQVFSEKPGIWGEADFVKNELVDHINTTKDTTDYLWYTTSITISANEGFLKKQTPPVLFIESKGHTLHIFINKEYIGTATGNGTHVPFKLKKSVPLKAGENNIDLLSMTVGLSNAGSFYEWVPAGLTSVSIKGLNNGTLNLTHTKWTYKLGVQGEQLGLFKPGNSGAVKWTVTTKPPKKQPLTWYKVIIDPPSGSEPVGLDMISMGKGMAWLNGEEIGRYWPRIARKNAPNDECVKECDYRGKFMPDKCNTGCGEPSQRWYHVPRSWFKSSGNELVIFEEKGGYPTKIKLSRRKVTEV >A07p011620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8604962:8606134:-1 gene:A07p011620.1_BraROA transcript:A07p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKRKISQSRKRQQQEADGDISNSWICKNPSCRANVPLDDCYCKWCSCCVCHAFDKTNDPTLWLVCESEKPNDVEFCGLSCHVECAFRKDMVWVNANGNLMKRDGYFCCYSCGKVSDILGFWKKQLVAAKDARRIDVLCYRIELSYRLLDGTSRFSELHEIVKEAKSKLEVEVGPLDGPCARNDRGIVSRLPVAMQVQELSSFAIRRAEYWSSSVARDLVPAACRFDFIDVAPRQVILRLIEHPSAEERGVKGYRLWCDKKGETPEDDQFDTDLSRGEAQRMRILFSDLEPCKEYIFRAVSYTRAGVLGHSIAGCFTKSIEIFQRSRGNSVDGIGERD >A01p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6366321:6375057:-1 gene:A01p013030.1_BraROA transcript:A01p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLQIHGALFGGPSCSSSYWASSSTSLSLPFIFHRRLNSRSRSRSRSRGTRLRRSGFSAMPCSSSETSRVGCGGGGGGGAGESDNACVADFKLNESTFLASLMPKKEIGADRFIEAHPEYDGRGVVIAIFDSGFDPSAAGLHVTSDGKPKVLDVIDCTGSGDIDTSTVVKANEDGLIRGASGVPLVVNSSWKNPTGEWRVGCKLVYELFTDTLTSRVKKERRKTWDEKNQEEIAKAVKNLYDFDQKHSKVDDAKLKKTREDFQSRVDYLKKQADNYEDKGPVIDAVVWHDGEVWRVALDTQSLEEDPDCGKLADFSPLTNYRQYGVFSRLDACSFVANVYDEGNVLSIVTDSSPHGTHVAGIASAHHPEEHLLNGVAPGAQIISCKIGDSRLGSMETGTGLTRALIAALEHNCDLVNMSYGEAALLPDYGRFVDLVTEAVNKRRLIFVSSAGNNGPALTTVGAPGGTTSSIIGIGAYVSPAMAAGAHSVVEPPCEGLEYTWSSRGPTSDGDLGVCISAAGGAVAPVPTWTLQRRMLMNGTSMSSPSACGAIALLLSAMKAEGIPVSPYSVRRALENTSTPVGDLPEDKLSTGQGLMQVDKAYEYLKQFKDYPCVFYQIKVNLSGKTNPTSRGIYLREPTASRQSTEWTVQVEPKFHEGASNLKELVPFEECLELHSTNEGIVRVPDYLLLTHNGRSFNVVVDPTNLEDGVHYFEVYGIDCKAPQRGPLFRIPVTIIIPKTVASRPPVISFQQMSFISGHIERRFIEVPLGATWAEATIRTSGFDTTRRFYIDTLQICPLRRPIKWENATTFASPSAKSFAFPVVSGQTMELALAQFWSSGLGSREPTIVDFEIAFHGIGVNKEELILDGSEAPIKVEAEALLASEKLVPIAVLNKIRIPYQPVDAQLKTLATGRDRLLSGKQILALTLTYKFKLEEAAEVKPYIPLLNNRIYDTKFESQFYMISDANKRVYAMGDVYPESSKLPKGEYKLQLYLRHENVQLLEKLKLLIVFIERNIGEVRLSLHSEPDGPVTGNGAFKSSVLMPGVKEAFYLGPPTKDKIPKNTPQGSVLVGEISYGKLSFDDKEGMNPKDNPVSYPISYVVPPNKPEEDKKAASASNSCKSVSERLEEEVRDIKIKFLGNLKQETEEDRSEWRKLCSCLKSEYPNSTPLLAKILESLLSRSEAGDKISHHEEIIDAANEVILSIDVDELARFLLQKSEPEDEEAENLKKKMETTRDQLAEALYQKGIAMARLANLKARDQILISLLLSTIGKKEDEGEEESSQKDKFEENYKELTKWVDVKSSKFCTLTVLREKRLSRPGTALKVLDEMIQNENETASKKLYEMKLGLLEEIGWSHLVTYEKQWMQVRFPTSLPLF >A05g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27069292:27069777:-1 gene:A05g509020.1_BraROA transcript:A05g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEQSQKRKCIKEQQSLWNNHFSADILLHHDSSSIKVKHLKHDKETMDAKNNLTCEEPEEIPSPKLRYWLKSSTTPWLSPIRHGSTMMMPSTPLAWRLDSADFTLKEYFF >A01p025230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20221634:20222861:-1 gene:A01p025230.1_BraROA transcript:A01p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQPTETDFPASRDSAKPVLKSRLKRLLDRPFTNVLRSSNSDKPLIEAQHGREVVSEFEPSSVVLAKMVQNYMEDSNERQAKNGRNRCNCFNGNNDDSSDDEFDLFGCSVESFNDAYDHFKSLIPCASLMERNLSADAAKILEKNKSVKRKDDLRKLVVDGLSALGYDSSICKSKWDKTRSIPAGEYEYIDVIVNGERLLIDVDFRSEFEIARQTNAYKALLQSLPFVFVGKSDRISQIVSMVSEAARQSLKKKGMHFPPWRKADYMRAKWLSSYTRNSGDNAPEPVVSSAVVAETGIDCLEIELSFEEKFLASVNSSSSPLKSVAGNDDVAEAVEREAKVVTGLASLFKENP >A09p056670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48085068:48085854:-1 gene:A09p056670.1_BraROA transcript:A09p056670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHSEITTSTDQPLCPKPRRVCPSLPDFLKPLSCPLHSSNCQQSSEGRSGVLSIIDKVQPIEGGTVESMWYAGSPPRRTGNPLVHDRHFIHCLDLLPSFSSTKA >A04p041170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23227071:23228288:-1 gene:A04p041170.1_BraROA transcript:A04p041170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQKPMKPMNPIRYMLREQRLVFVLVGIAIATLGFTLFSPSSSLAQSRIEYMSGRGMGSEDPSGSETQRSSGGGGSHLVDRLMARGDNVIVVDNFFTGSKENVMHHFGNPNFDEGKRTAETFAMDYHRGANVEALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGELVGPFNLGNPGEFTVLELAKVVQETIDPNAKIEFRPNTRGNQTSQRPKSFLVGNQRSLSAKGSLLWSKISVSVSLATKSRRPSPPLLLHQQNKSN >A07p032620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17864836:17877361:1 gene:A07p032620.1_BraROA transcript:A07p032620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKRGGGKAAASARREWKVGDLVLAKVKGFPAWPAAVSEPDKWGYSADKKKVFVLFFGTQQIAFCNPADVESFTEEKKQSLLTKRHAKGSDFVRAVKEIAESFEKLKQQVEANDPKSADETTVGCSGNTIDLPQVCENLIGTRLDTQIESNSSHGKDESTLLSEDASAAEQMLALRHNSLSLNVAAKDLCDTAIHSSKRRNETAWSQKCAPQTIILPVQHSKLTSGLELDRLQRPLRQCSDGGHIVDDIDDGDIRRRKRIRRSGHSESDDVVSSALNLHGSDEENASEIATVESDNNSRNEGNGVDSGSKVEHSDDVGEGCEGSHELSKGLDFQISTMVTRKKRKPTRKREASDLIDPPAKVEAIEGFGTKACDSCQGSENSHETLNERPCGENGDEHLPLVKRARVRMSRVFCTDEKGNASSQFEERSSKDSPTSAAMQPSPSVDHENDIVSGQNTFAAKEFNSFELTGKVPGDMVDVGPSHMEKPSGRMSPYKACIQTVGDKKDATELNENEFSVTPDDEVTRAQSNQLGSSVEGNTRISEAVQGCSEVSQTVNCLNIESDPIDMQCTRQNEKNELPLNSDTVDSSANKPPSLSPGLYVAVSSVPAQSPHQHESQDNDSCDHSLLVVEDSSLKEKCENFDKIAQGVQSQAVEHSQLFCSVVNNQEAENMQETENNLRIEKQGTLDKELDSGKQGHIIENPAPCATERYIIVKEAEPQCGTVYSHSEDAEENKKLEKSCETDEQKEQIQATNSVSVSENLSPEKMRLTPDSPARGIPHSNSVCHISTVESANGMQSTNVQFRETKTMSDETVKEERKDETGVTEVKKVVISDVQFTIESFETALSSLVRTKETIGRATRLAMDLVKFGVSAKAMEILAHTLESESNLQRRVDLFFLVDSIAQCSKGLSGDAGGVYLSSIQVMLPRLLAAAVPAGATTQENRQQCLKVLKLWLERRILPESIVRHHIRELDSHSNVPACLYSRRSARTERALDDPVRDMEGMLVDEYGSNSTLQLDGFCMPAMLKVEDEGSDSDGEFESVTPEHESRILEEHVTPSITERHTRILEDVDGELEMEDVAPPWDVGSSARTDQAGNTESASCQPAFGTSHQNVTSSSPLAPPSQNAQCAMSDSYSNGYDCRGYPSMHGDHQTDIPRMNPPPVHYRSPESSYSSRASLSHGEDSNFQHGPYPPPPPSHHYYPYMEPEHHRKPRREGPSYPHRSHYTPDFEERNYHDGHERMRPAACESRDNWRYHPPYSHGPRYHDRQRGAYQSSSHSGHHREYGRFENDRWSHSPRAYNNRHSFHYKPHSEGPAPVAMRDPPGTWHQRRKRERAREVVDKTATNNRRRTWFGSRAPAARRWVVQYQCPKNPSMNSLSSAGKEVDLSVYQGKVLLIVNVASKCGFTETNYTQLTELYRKYKDQGLVILAFPCNQFLNQEPGTSQDAHEFACTRFKAEYPVFQKVRVNGQNAAPVYKFLKSKKPSFLGSRIKWNFTKFLVGRDGQVMDRYGPTVPPLSIEKDIKKALGDTDAFPIYMQPKTIKTKSFGSFLGRITMAATVVLSCSSSTKVRTWKRCSKQIKEQRARLYIIWKCAVFLLSSHD >A01p052300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29243004:29245942:1 gene:A01p052300.1_BraROA transcript:A01p052300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAQTADQSADMLQKLTLDSQPKASEIPEPKKAAVYQYGGVDAHGQVPSFDRSLTPLLPSDAADPSVCYVPNAYQQPFYYGYGASGQDWSEFTGYNPNLEGVDMSAGVYAENGSLVYPGYGYAAYPYSPATSPAPQVGGDGQLYGAQQYQYPAFFPAGPVATPAQGDLTANKAGGVKTLPAESKNVASAAGIAKGAPGKPNSQTTLNTASNFYGNGGPGSGFATGYQDPRYSYDAYYGNVSSYDASKYSDVQRPAAGSGVASSYSKATSVPSSRNQNYRSNSHYTGVHQAASMAGYGTTQGLYNRIYPNKLYGNNYGSSGRYGSSGYDSRTNGRGWVNTTDNRYRSWGRGNGCFYGNENNGDGLNELNRGPRAKGAKNQKDNSEDSLEVKEQTGASDVAETVETENTCIVPDREQYNKEDFPVEYENAMFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLAAAYQEAQQKPGGCPIFLFFSVNASGQFVGLAEMTGPVDFDTNVEYWQQDKWTGSFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKIVKIFKEHTSKTCILDDFSFYEVSEEKTATVDKKESSTTDKESTPAGQTTGDVTKVDENGSVVKPVGVVANGC >A06g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28219054:28221072:-1 gene:A06g509700.1_BraROA transcript:A06g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLHLSTSPSVLFKFVSPRFGLVINFFQRSDFESPSRFNHFDNEVFSQLLASSVVQLLFLANKCERNRFWQAGNANHSASTEEGVDVNKIDKFIGDIKANTENHVFRGEQEAQVGKGSRGDVTILPTIVINNKQPRGERSKDVLLERSMVLKDLCSGFSETTEPHICLNKGPLYPSYTYLYLINTNFNVWFCDCAGRHRNQRVFAKQWRVLGSTLQLAGCATFRGRVCQCPVVQRVKFWVKVKNNAQILELEMVEKEKKEFISRLLQGFGGMLQLKQSLHVFFRCGIGNGRTASFWYDYLTELGHIGDLLGPAGSTTSHTVECNFFSSNTSWKLLLSSRTFS >A04p008420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8311260:8312769:1 gene:A04p008420.1_BraROA transcript:A04p008420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNIGQLDENLILKILSLVPIKTVVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSCEHSVKFFTIKVPSLQRLRIYDDNDEDEFVGYVIDTPSLKYLEIEYLGCPQFSLNAPGLVAAYIGRVSNVISESLVSVRRLVLNVSTSMIYTHEPGWYDLLTWMLEHSPKLQVLKLVGKYRINPDYHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRCRTRKSWMVCSRLLIHATLCSSLNDFYYVLDSAILTI >A02p058610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35138836:35140262:-1 gene:A02p058610.1_BraROA transcript:A02p058610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTSGVVRRKPPSFRFYPYTSGSASGKKDMKDEVIRLGVEFSVSVAESMFLLCDDIRTMLFISLTLWKYVLPEGNPVVERLFLLIHYIYSKDIKPKNGVLYQNGEGKSAQWNLIKTTWNDFVCGIIVLNRLVLVLRVKDCSYDDRLLLSAIAKYKQELKNLEGKLRSAKDVSEANGFARETIKSNIFPFWKSLFDEQGKEEIPREIKNRMLRELFKPLDGETRDIEIKSLPLHSPYILGRNFAKQELKDEVVRLGVELSLYIAQAMFLLCDDIRSMLWLCYKLWRDAGRRYIYPNSLVLERVLRVIHYVYFKYIEPKNGVYRNGGLSVQIRLAIPTWENFDDVILSLNVLVLVLRQEGRCASGRNFMSSMEEQLKKVEEKLRCGKVVSEANGFRREVIEPSFFGLWKSLFNKEANNEATQTLKVIKNRILSDLFLPLHNEVAPPP >A02p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4149091:4149801:-1 gene:A02p009840.1_BraROA transcript:A02p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGFQAFLNSPVGPKTTHFWGPIANWGFVAAGLVDMQKPPEMISGNMTSAMCIYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSSSTKEEEKPAQ >A08g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6176468:6177133:-1 gene:A08g503360.1_BraROA transcript:A08g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTINYFMMASHVRESPASVTVEALTTLLTSRTQDNPGRKFYRCGVIFGDNHLFKWADEAHLEELDVLAGQQALLEKELMDIKADLLELKKMLARLSLCLIALDPSSESEVWVCTISPNYNVVPIYLAFLFRTL >A01p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10841866:10844702:-1 gene:A01p021960.1_BraROA transcript:A01p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPANSFCVLSCVLVLFLSSVSAVTDDPQDKQVYIVYMGSLPSGLEYRPMSHHMSILQEITGESSIEGRLVRSYKRSFNGFAARLSEPERQRIAEMEGVVSVFRSKKLQIQTTASWDFMGLKEGNKTKRNPAVESDTIIGVFDTGIWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYEGEGARDVDGHGSHTSSIAAGNAVAGASFFGIGNGTARGGVPAARIAAYKICDSTGCKTDAILSAFDDAIADGVDIISISIGGVAIRYEEDTTAIGAFHAMAKGILTVNSAGNDGPKPTSVGSVAPWMLTVGASTTNREFLTKVVLQNGKALSGRSVNAFDLKRKNYPLVYERSKVKGEILVSRETVSSETAVATIIEDEINVAIVSARPLSALSPDDFNFLVSYVNSTKSTQGTVLKTEAVFNQEAPKIASFSSRGPNTIAVDLLKPDITAPGVEILAAFSPLGSPSEDEVLDPRHVKYSVISGTSMSCPHVAGVAAYIKTFHLDWSPAAIQSAIMTTAWSMKASDTGVASTDFAYGAGHVDPIAALNPGLVYDLDKADHVTFLCGLNYTSEALKLISGEAVTCTGNTLPRNLNYPSMSAKLSETNSSFTITFNRTVTNVGTPNSTYISKIILNHGSKLSVKVSPSVLAMKTVKEKQSFTVTVSGSSIDPQLPSSASLIWSDGTHKVRSPIVVYTDVAND >A03p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11522161:11522967:1 gene:A03p027510.1_BraROA transcript:A03p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWEPPPSTEPVRKTHTHKDDSFIDERTEELVLEVGETVDPMTLNEDSPNGVSQTASTEQLQDASYLIKNISSMDRQAKGTNAKLVNTNVELLKTDMTTLKGDFIALETDIKLEMVATREPPSTRFYRLLDAAASTAFSDATAYAASPQLN >A01g511510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33324903:33325686:1 gene:A01g511510.1_BraROA transcript:A01g511510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVTTEPYLHHLFLDLPPSTIYTDVLRSFTTKLDTHEPPPHESSNNKEMRTAFSLPAGRTAKSYIASGAGLGRGLGTAGYGGLTRKDPPEIETAAGRATAGRVVYILVIP >A06p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15498228:15500409:1 gene:A06p024390.1_BraROA transcript:A06p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate excretion transporter1 [Source:Projected from Arabidopsis thaliana (AT3G45650) UniProtKB/TrEMBL;Acc:A0A1I9LSG9] MAGDAETQISSTKRHGGGWITFPFMIATLLGLTIAAWGWLLNLIVYLIEEFHVKSIAAAQITNIFSGCICMVPAIGAIAADSFFGTIPVISVSAFVSLVGVSLLTLTAALDSLRPNPCDAASSLCQSPSKSQLGVLYTAITLASIGTGGTRFTLATAGANQYEKTKDQGSFFNWFFFTTYLAGAISATAIVYSEDNVSWTFGFGLCVAANFFSFLVFILGRRFYKHDKPLGSPFTSLLRVIFAAVFKRKAVVSTNEKDYHSESLSMPTNSLRFFNRAALKQENEVKPDGTVHNPWRLCSVQQVEDFKAVIRIIPLALAIIFLSTPIATQLSLTVLQGLVMDRRLGPNFKIPAGSLQVITLLSTCLFIIVNDRLLYPFYRKLTGKFLTPLQQVGIGHVFNILSMAVTAIVEAKRLKIVENGHFLESSSIADMSVLWLFPPLVIVGIGEAFHFPGNVALCYQEFPESMRSTATSITSVVIGICFYTSTALIDLIQRTTAWLPDDINHGRVDNVYWVLVIGGVLNLGYFLVCSWLYKYRNLEDDGHEKDVAVSHSTTLSS >A09p060810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:50308269:50309129:1 gene:A09p060810.1_BraROA transcript:A09p060810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSRNKKVKLSVRKQTLFKKSSTNLLMRQETILKKAGELSTLCGNEICVIHYDRVGNLVRTWPEDESQVQDIAQRYSQLTDEEKQKKSTNLSKFLNKKMHDEKKRSPVEFSQKVQNLEGSLVYKLQLLRDMLAEDHHAEPDQSITNLLMNGSTSTEQDLSRGNDVYNSTATGSLNHPSKYSIFMFNHENATLTQLPHSSLDQSLIPSCYNNLNDSRTLLGTQGFNDNSNLSTTQGFFNFGYDNNALPGEQVNFGYYNSLLGTQGFGGYNNNLNYTQGFNNLCT >A01p017690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8630078:8631173:-1 gene:A01p017690.1_BraROA transcript:A01p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMEAGLKSSIRQEMALKTTPPVYEEFLAVTAAQNDFSSEDFSVDDLLDLSNDDVFVEEEEAAEPKAQQEVLLCVSSEQPNDVEEVLPPGNEFGSLPSNQLPVPMDELADLEWLSHFVDDSFTEYSAPNLTGTPAEKPAWLTGDRKHPVTPASEESCFKSPLPAKARSRRYRNGLKAWSLGSSGPSSSSSTSSSSSSSGLSSPWFSGAELLEPDFTSEKHPVPKKYKKRSAESVFSAKLQQQQPQRRCSHCGVQKTPQWRAGPKGAKTLCNACGVRYKSGRLLPEYRPACSPTFSSELHSNHHRKVIEMRRKKEPTDDSSTGLNQPVKTPQAVPSF >A09p061990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50904061:50906880:1 gene:A09p061990.1_BraROA transcript:A09p061990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCKLYAYFHLFLLFFTSLAQAADPQTDSLLTLKSLLTDNSNTLQDWSLNSNTKPVPSCSWSGILCNQNSTSVISINLSSKSLSGTFPATLLTAFTDLLELNISDNSFSGSLPQEIFNLTTLRSLDISLNNFSGPFPNGASSLKSLTILNALSNSFTGSLPTDLPLLESLKVLNLAGSYFTGSIPAQYGSFKSLEFLHLGGNLLSGHIPKELGGLKSMTHMEIGYNSYQGVIPWQIGFMSELKYLDIAGANLSGFLPKHFSNLTKLESLFLFRNHLSGSIPWELGSITSLVNLDLSDNHLSGTIPESFAELKNLKLLSLMYNEMSGTLPEGIAQLPSLDTLFIWNNYFSGSLPKSLGMNSNLRWVDVSTNSFQGEIPQGICSRGFLYKLMLFSNNFTGTLSPSLTNCSALVRIRLEDNAFSGVIPFSFSQLPDISYIDLSRNKLTGGVPQDISKARKLEYFNISHNPELGGKLLPEIWSLPRLRNFSASSCGISGPLPEFESSCKSITVIELSNNNISGTIAPSVFSCRSLYKMDLAGNIIAGGVPEELAKLPHLKVLDLSDNNLAGSIPSDKVFRSMGKEAYEGNANLCGLPLKPCAAYSSRKLVSVLVACLVSFVIIVAGTLGLYYVRHRSSGRWKMVSFSGLPHFTADDVLRSFGSPEEPPQVSSSMCKAVLPTGITVTVRKIELQGKKRGVVLKVLTQMGNARHVNLVRLLGFCYNNHLVHVLYDNNNLHTGTLAEKMRTKKRDWVTKKRIISGVAKGLCFLHHECYPPIPHGDVKSSNVLFSDDNNMEPCLGEFGFKYMLHLNKGLVSSDQMNDVIRAEQQKDVYSFGELVLEILSNGKLRDAGRLVHNKPKDVLLREVYAENENVVEQEVKRVVEVALLCISSNHSDRPSMEDALRFLSESHSSRIK >A07p023650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:13713019:13713483:1 gene:A07p023650.1_BraROA transcript:A07p023650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSPLLASEQRTSLPTMSKHAERRKVGEVAGGAAAECAAVWCCCPCAVVNLVVLAVYRVPAAVCKKAWRRSKRRRFMTTKRHGLLAEGSQSTVHARLKEEDPTAEIIVFEESAVNVNVNVNGELNDVTVLEGEMLERFYGTGFWRSLSKRNT >A01p040950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21855094:21857451:1 gene:A01p040950.1_BraROA transcript:A01p040950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIETENIPRKLEGKFGAMVVCCILGVGQLVAWNTILTISDYYYQVFPEYHPSRVLTLVYQPFVLGTIFILVFMGKKKKNQKQIAIGYTIFFIGSLLLIILDVATKGEGTLIAYIFLCSIVACFGMANAHVEGAMLGELSFMCPEFIQSFVAGLGVAGAITSALRLVTKAVFDKSPNGLRKGALLFLAFSTLIEFICMLLYIYMFPKLPIVKHYYAKAESNHVEADETKITQLSNKELLDQNMGLAINLFLIYALTLSIFPGFLYENTGEHKLGSWYPLVLVASYNVWDAFSRYIPLSKHLKIESIKWITSCVLVRFLFVPAFYFTAKSADQGWMVLLTSLLGLTNGYLTVCVLANKPKSKYNVLETDALGNLLVSFMLGGIFAGVCLGWLWLIGTKSSF >A06g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17604500:17605001:-1 gene:A06g506160.1_BraROA transcript:A06g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYNSSSSQKPSVFYQAIKTINYNKDSGNTIEIVFVDKEETRIHASVGEQLIKQFEGKLIEGDAKVVQLFKLYYAIGDYWTTAHPYKIGFFQSNFVGTADEFLSEVPEKYFADARYVNLIF >A04p008720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8062518:8063792:-1 gene:A04p008720.1_BraROA transcript:A04p008720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G52450) UniProtKB/TrEMBL;Acc:A0A178VML4] MDQEIEIPSFFLCPISLDIMKDPVIVSTGITYDRDSIEKWLFTGKKNSCPVTKQVITETDLTPNHTLRRLIQSWCSLNASHGIETIPTPKPPISKSEIERLIKDSSSSHKNQVKCLKRLRQIVTENNTNTRCLEAAEVPEFLANIISNLVGTSSSLNDISNILENRFDSSRSLMDEALSLLYHLDASETARKSLLNNKKVTNIVKTLTKIMQRGIYESRTYATLLLKKILEVADPMQIILLERDLFNEVVQILHDQISHKATKSAMQILMIICPWGRNRHKAVEAGAVSMIIELLLDESFSSERRNVEMTMVVLDMLCQCAEGRADFLNHGAAIAVVSKKILRVSQITSERAVRVLLSVGRFCATPCLLQEMLQLGVVAKLCLVLQVSCGNKTKGKAKELLQLHARVWRESPCVPRNLYASYPA >A01g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7505719:7526042:-1 gene:A01g502100.1_BraROA transcript:A01g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLVSFWFVLISSVYANTCFNRSGFFVSNGAYDLNRQAMLSSLPSNVTANDGFYTTSTGQDPNRVYGLGMCFPGTEARSCSDCIMAASNGLVKNCTNHIDAIDWRMYGTTLCLVHYSNRSFYGSLDMEIIRSDNYTRDFQANVTDLEITWEALMIGLIDQASSLYYAARTQKLESSISHVYGVVQCSRDISLENCTRCLQENVIKYRSCCRGTQGGIFSRPSYGKGVSTGTIVAIVIIPILLLALGFAIWKRRKSHKAFTTATGNDISTSGSLQFYFKAIEAATSNFHNINKLGHGGFVSFWFVLISTAYANTCLNRSGFFAPNGAYDLNRQAMLSTLPSNVTANDGFYTTSTGQDPNRVYGLGMCFPGTEAGSCSDCIIAASNGLVHNCTTQTEAIDWRMYRNTLCLVRYSNRSFYGSLDMQIIRSNNYTRDFQFNMTDLEITWEALMIGLIDQASSLYYAARTQKLESSTSHVYGVVQCSRDLSLQNCTRCLQQNVIEYTSCCRGTQGGTISRPSCFVRWEVYPFLALLDNMPPLEKDPVTGDNYDKYEVIRCVHIGLLCVQENPTDRPSMFTICQMLTNTSITLPVPQPPGFFFRVRSENIPLAESFQPAPSSSMSIACSVNDATITCVSPLSFWCVLISTAYANTCLNRSGFFAPDGTYDLNRRVMLSSLASNVTANDGFYTTSTGQDPNRIYGLGMCVPGIEAGSCFDCIMAASNGLVQNCTTQTEAIDWRMYRNTLCLVRYSNRSFYGSLDMEIIRHDYNTRDYQSNGTDFDMTWEALMVGVIEDVSSTNYAAGRGTLESSNTNIYGFMQCSRDISPQNCTRCLQQNVIDYRSCCRGRQGGTVSRPSCFLRWEIFAFLGLPENIPPPERDERSISTGTIVAIVIVPIILLALGFGIWRKRKSYKACTTENGYFSAAKRLTKTYNTAPPDNSGDDISTSGSLQFDFKAIEAATSNFHNTNKLGHGGFGEVYKGTFPNGTEIAVKRLSKTSGQGEREFKNEVLLVAKLQHRNLVRLLGFCVQGEEKILVYDGYMPPEYVANGKFSTKSDVYSFGVLILEIIGGKKNSRFHEIDGSTGNLVWRLWNNDSLLELVDPIIGDNYDKYEVIRCVHIGLLCVQENPTDRPSMFTIFQMLTNTSITLPVPQPPGFFFRVRAENIPLAESFQPAPSTSMSVACSVNDVSITAILVIPESATFRFISASRKILPALKSWMIVSRSSLLLPNKNQASLPNFYAEALPQQQLHSLTLFHLVLMSSIATSPQLVFHYEIYSTRVSCFIKNQVHVLKRLLSQSEVPDSRPLF >A01p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3245698:3249491:-1 gene:A01p006410.1_BraROA transcript:A01p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRDTVWPHDPRTGWSYCVTIPSWVVLPKSRNSDPVVMNFTYVSNILEEQFYRVQISVQSPEGVTTMRGVLRRILINCNVLDGICTFMSSFQLKREFPRKSFPSAPPKGLLRMKSRALLEERRCSLEEWITKLLSDIEFARSVVVASFLELEAAARSACQDVDQNASDATNDKNSTSSSPMVHPSLSLFQTGGGSSLTSDYGSDTAYETSEFGSPSLGQDDVSEIGTEDLTLDEEVTNPMEKLLNLSMSNIDEGLSMSQTILDQLEDFPKHKVRSRYVNNIPGKDVYNGNASKGVLLADNGSRLLSEPEPLAHSVMHDRNLSFESADGFSLHTGETSTSGLLSSSSDSHLDLHRGAGASLGTGLVCNPERQGSAQIVLPLELRKKLNKIMLATNERLVNAKTDMEDLIARLNQEIAVKEYLNKKVNDLEGELETTKQRSKENLEQAIMTERERFTQMQWDMQELRQKSYEMEMKLKSREDGSSHAEPTEQSTISERHVLSKELDARNQQLEDLSRRYDELEAKSKADIKVLVKEVKSLRRSHVELEKKLTQSLTDKTEAEKLLEHERKLLENTVSARKKLLSDCRILHERLKEYNLNLSTDGNGNLVEDSTTVSDALRLLSISDDQIEEAQLLAGFDEAAQDIDKSLSIDTETRIMEDELRKILANIFVENAKLRKQVNSAMLRALQKEVKTTEDVVNEENGDEKEEASTETLNI >A09g517750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53387622:53388866:-1 gene:A09g517750.1_BraROA transcript:A09g517750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRSRRRRRRVMCFPGLMVKMVKTVTGQTLTKTVRGMMYYRKALELQAFLDMAKDEGASRNFVMITSKTCVQIPESALLKERPLVESGKNSQRYIKYTADYLERLLDELFEGKSMNEPRSFELKPDLCQKVSSFELDDSLVHCVADGAVQREEVRRECGKGVTSSKALCGHMACHFEREKRVSCSHFFQVKKSVKSSVISHGLV >A03p001780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:886927:887328:-1 gene:A03p001780.1_BraROA transcript:A03p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDLIQDLPMLKFPSPIKIPSNNTNRDDDGSSGGCTTPTSSDHKIPPSTATTPPPPPQKRRPPPSPSCFIRSCKRKLLTPSKVEIIVNKDEIERFFSSVYNHSTTSSPTTTTTKKALAVVRRRRSFRSCSRR >A08p026850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17042658:17043524:-1 gene:A08p026850.1_BraROA transcript:A08p026850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRIYSEIKGKKVKELPAYIKSTFSVETVKTSVRKSLDNYNDKYIQTSSVDPLLHICFGGMAFSYLVALPNERRHLEHQQHAKEHDDEVFSAMVTTQDCVLLFSFS >A05g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12004806:12006666:1 gene:A05g504360.1_BraROA transcript:A05g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFSPSCDFNHYPRRLEWSARSCLVIILNLEVKKKWNVICLSSSLVIPSQSHEIKGHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMMGDLTLGQEGTSLASVWVPYDISPCPDELTIGYFFFGLKSLE >A07g508990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26061783:26062070:-1 gene:A07g508990.1_BraROA transcript:A07g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICSCVNSLPHNIHLPASKNVLGRNATTSAFGSWQMWFNGRATELGCWVDVTAGSSRLGLFDPVVEDGVEDDETELSRGDEDIFAIDHGLFFA >A09p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18460339:18465738:-1 gene:A09p030380.1_BraROA transcript:A09p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G04970) UniProtKB/Swiss-Prot;Acc:Q9S9U0] MSMRPRPSPATAHAPSQEVYNIIPIHDFLTEHPSLRYPEVRAAAAALKVVGDLAKPPFVDFTPRMDLMDWLGLLFGFQLDNVRNQRENLVLHLANSQMRLQPPPLHPDGLDPTVLRRFRKKLLRNYTNWCSFLGVRCHVTSPAHSRHQTNVVLNLRRELLYVALYLLIWGESANLRFMPECICYIFHHMAMELNKVLGGEFDDMTGMPYWPSFSGDCAYLKSVVMPIYRTVKTEVESSNNGTKPHSAWRNYDDINEYFWRKRALKSLKWPLDCTSNFFDTTPKSSRVGKTGFVEQRSFWNVFRSFDRLWILLLLYLQAAIIVATSRVKYPWQDKDVEVALLTVFITWAGLRLLQSVLDASTQYSLVGRETFWLFVRLILKVLVAVTWTVLFSVFYARIWSQKNKDGLWSQAANDRIIVFLKVVFVYVIPEMLALVLFIVPCIRNWVEELNLGIVYFFTWWFYSKSFVGRGLREGLVDNVKYSIFWIVVLATKFIFSYFLQIRPLIKPTRALLNLKNAPYNWHEFFGSTHRIAVVMLWLPVILVYLMDLQIWYSIYSSLVGATIGLFSHLGEIRNIDQLRLRFQFFSSAMQFNLKPEERLLGPKATVLKKARDAIHRLKLRYGIGQPFNKIESSQVEATWFALLWNEIILTFREEDLISDREVELLELPPNCWNIQVIRWPCFLLCNELLLALSQANELCDAPDRWLWSKICSSEYRRCAVIEAFDSIKFVIRKIVKNGTEEESIVNRLLNEIDENVESRKVTEVYKLTVLLRIHEKLISLLERLMDPDKKVFRIVNILQALYELCAWEFPRIRRSTQQLRQLGLAPVSLNADTELLFVNAINLPPPGDVVFYRQIRRVHTILTSRDPMHNVPKNLEARERLAFFSNSLFMNMPQAPSVEKMLAFSVLTPYYDEEVMYRQEMLRAENEDGISTLFYLQKIYADEWVNFVERMRREGVENENDIWTQKVRDLRLWASYRGQTLSRTVRGMMYYYSALKKLAFLDSASEMDISMGTQIAPEPPRSYYTRDGGDNILQPTASQEISRMANGIGHLFKGSESGSAMMKFTYVVACQVYGQHKAKGDHRAEEILFLMKSHEALRIAYVDEVNLGLGNVEYYSVLVKFDQRLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNHFEEALKMRNLLESFKKNYGIRKPTILGVREKVFTGSVSSLAWFMSSQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFIPRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQALSRDVYRLGHRLDFFRMLSFFYTTVGYYFNTMLIVFTVYAFLWGRLYLALSGVEKIAKDRSSSNEALGAILNQQFVIQLGLFTALPMILENSLERGFLPAIWDFITMQLQLASFFYTFSLGTRSHYFGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARTHFIKAIELAIILLVYAAYSPLAKSSLVYILMTISSWFLITSWIISPFLFNPSGFDWLKTVYDFDDFMNWLWSRGGLFTKADQSWFTWWNEEQDHLKTTGVWGKLLEILLDLRFFFFQYSIVYHLRIADGQTSIGVYLVSWGCIIGIAAIYITTIYAQKRFSVKEHIKYRFIQFLVIWLTVLVVVLMLQFTKLTVVDLLISLLAFIPTGWGLISIAQVLRPFLISTVVWDTVISVARLYDLCFGLIVMAPVALLSWLPGFQNMQTRILFNEAFSRGLQISIILAGKKSA >A08p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8548412:8555046:1 gene:A08p010360.1_BraROA transcript:A08p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRWIMYDTGDWDFKLDSDRMGRAVYAKLITSVEDLKEAIIESYGLVGMSAAVEMSYWLGEHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEIDGKIHFLRPMGNLLKSKEVARSKEMQVGSTSADVHTRNEVNDCETDLTEDEIILMGVAEIEAVYASNGFVMREVDGTACKVQNKVDTTEDAALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDEDGGVGGGGRMNVTYGGVRGEVVTKTRSGRTNSSSNKGSGSSTNKQRTANPPSTFEDYVDEGRDYIGSSRISMENIEEASNNLGVRSSDQVADTENHSDPNQEDDPSLDNSSQMLVLQTPPKPFNMHTWEVDDSDDFVGQVPQCVSCRPTHDTSDGEDEDDDFVEPVPMCVSVGETHETPDGENKDDDFVEPVPQCVSGGQTHETLVGEDEDDDFIEPVPQSRSREEDARRRREKDKADDESLMKSVRAIELYGFEDVEASSNNEAVNDYTVDDIDFTLADADMYTGKLFSSKQEFKISLHIYALKQKVGIPCRHAIAVAMFRDLQHSEFVADAYLKKTWNETTKGVTLPVPDPQDLFIPSEVSDLIMLPPKTKRPPGRPPTKRKRSAGEIPMGLDYSYSQPSESEDYGGNDSSDTEDREVEDLIRRDQAELNYNYAATVQYPPQPEVEFGFPQTCYCGGRPKLATSRTVNDPGRRYYTCDNVNDGDYHVHKWWDEAVMEEMRARDTHTLQLSEKVDYLTFLNDYDPQLNKFKDLQNETEQKLVKLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIFKTPNNLSSQHSTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCNDFKNDGLHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFELAQMREEIKELKQLIMGKMTVAKYKRFFYSLSIVGERTEQQLIQLAKARLKEEIRDGLETDEFATLEVLFEEAEEVEEGLKETPPSSPGKRRRTSSDHRSSKRARKAEKKGDPEDEGYGYDGEGATGLKDDEEGDYWEWMQMETDMDDDASDRTDDTLGSGQFRMDNYPDSSGTDSITSDSD >A01p006370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3233454:3234194:-1 gene:A01p006370.1_BraROA transcript:A01p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIMASSKPLISLSSSTQPTRVNLPKSIKLPQIPKPISSSSSSSSLSSKALSFSSATVKSLALIAALAPPSMAEAMEKAQLFDFNLTLPIIVVEFLFLMFALDKVYYSPLGNFMDKRDGEIKEKLASVKDTSTEVKALDEQAAAVMRAARAEIAAALNKMKKETEVEVEQQLAVGRKKVEAELQEALASLEKQKEETIKALDSQIAALSEDIVKKVLPS >A03p054810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23609270:23610232:-1 gene:A03p054810.1_BraROA transcript:A03p054810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKSDDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFSIESKSTIGVEFATRSVHVDEKTIKAQLWDTAGQERYRAITSAYYRGAVGALLVYDITRHVTFENVERWLKELRDHTDASVVIMLVGNKADLRHLRAVPTEEARSFSERENMFFMETSALDATNVEQAFTHVLTQIYRVMSRKALDGTGDPTSLPKGQTIDIGNKDDVTAVKSSGCCSS >A06p036090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19562860:19564407:1 gene:A06p036090.1_BraROA transcript:A06p036090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDMARLRCGKTIPLLGMGTYCPKKDQESTISAVHQAIKIGYRHFDTAKIYGSEEALGTALGQAISCGSVQREDIFVTSKLWSSDHHDPISALTQTLKTMGLEYLDSYLVHWPIKLKPGVSEPVPKEDEFEKDLGIEETWQGMERCLEMGLCRSIGVSNFSSKKIYDLLDFASVSPSVNQVEMHPLWRQSKLREVCEENKIHVSGYSPLGAPGNCWGSTAVIEHPVIKSIALRHNATPAQVALRWGMSKGASVIVKSFNGARMRENKRALEIKLDNQDLLLIDQLEEWKLMRGDFLVNQTTSPYKSIEQLWDNEI >A01g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10624396:10625177:-1 gene:A01g503310.1_BraROA transcript:A01g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTIARELLEESPLVSGMISVMKLTGAAPDLLGMNSSSVLGISPFKASSVIPFLRGSKWMPCSIPATLTTDVAEIDRGGSVKLELSNKGSNVGNGWVNKILNICSEDAKAAFTAVTVSLLFRSALAEPKSIPSLSMYPTLDVGDRVMAEKLLLDDLPPQTGPLNDTVQEEDFVLEPIDYEMEPMNI >A06g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29888721:29892291:-1 gene:A06g509920.1_BraROA transcript:A06g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTQLPERMFAAGEEPVGERVNTYHKPKRIESILDALEPEEFCAVDTAIEMLKKRKVKDREMRLKYACLAFTSCVLLPTSHSPRIITEHVEMIRDFDEFLKYPWGRVTFEMLSSVALPGFVDAIQLVFMAAVPQIKEVVTPVEPVVVIDSDSDSDSGDSESLADKVEMSKPPNALPASVRYCVNPAHVRDLHEECKVEVTSMLVDGTHTAEELTWEDEVDDVTVDNLVRSIEQGHVLTKAMFSGGLSASDLARMRSEKKLKEKEQKDNKERENQADSPEGEIGEAYDVSHMANLVGRIVTPKIEDTVYKLGDKLEERLAKLIKAEVLNMQGAVIQSIIGLLGKPNPSAGVASDENGGAVDQAQNGRGCTSAGASLLTADAIRSEGQTTTTYVPTSLHNSTEQPLVDLATVIPKQAEKVGEPLQAEKVGEPIQLDNLINMVITDVGGVLDDSEVAPANNHPSIHITVGPVAEGVKTLEDAAHSPTDTDLTTPQPGQNTNFIHHHLSPVDEDGSPHEKEIEFLLSLIEIPTFSLGLSQGELLHEEVCHASVPDVEASNVIAETRKSKRTRILPPLFNDYQCDPKIKAFSREGTLTTTSNNIDEIYMAMRERAGDSRVYTVANGMSVTTDELNEIVDRNQQMTPKVMDVLMYYISLDRNRKGSHQSKIAFYDTNFPALLMKQHGRLTKTAIKDRHRMKYDEAVVKHFIGGSTPDDVYDCIYFPFFIDKQHWVGVSLDLSRGAVQILDCNHGFRSESMMKKDFTPITVVVPHILATSTGNKSADARKPYQMVRVNCVPHNSNSTDAGATTVLLIQAHAANGADGCKDVTPETISSGAKHLAVLVYRDITHV >A07p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11903964:11905484:1 gene:A07p020060.1_BraROA transcript:A07p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEQKQAEIIDQLVRRASTCNGDSLVPIIVDATSHPSLFAFSEILALPNLAQLEGTSDSVYLDVLRLFAHGTWGDYKCNASRIPQLSPDQILKLKQLTVLTLAESNKVLPYDTLMVELDVTNVRELEDFLINDCMYAGIVRGKLDQLKRCFEVPFAAGRDLRPGQLGNMLHTLSDWLNTSENLLVSIQDKIKWADNMSEMDKKHRKEAEEGVEELKKSLSMKGDFGSRGHNEMFGEPSGVLNYKEDGMRSKRRRHPVRR >A06g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15884827:15885579:1 gene:A06g505390.1_BraROA transcript:A06g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGFENEIRRSRAGIPFKPVQTNHGFHLIWMFYSRKDCLLRNENKKDRCGSVLTPLFKHFGITLQAYAVNYNIEYVDTPYLISCQILCDETTYRFMDKEGNFLCANPRAPPPDDEMDEPQDITPDEATAYDLGPLDDDADDATYHRWKEPQPSVHEQTSQQSHRLGKKPAGSSAAGERLPRNRRTAGRSESVESD >A02p015380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6828680:6828982:-1 gene:A02p015380.1_BraROA transcript:A02p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVNLNAWLGDFGLTWLTEHDKSPVSSLSTLTAVTMGYLQYGTATTEKTDAFSYGMLIPEVTCGKRAIDKKPEGQKTVNLVDWVWRLHSGVRVVVKAVD >A02p005990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2549949:2550182:-1 gene:A02p005990.1_BraROA transcript:A02p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLVRFAFVCIVLAVLVMTAESHAGHHHGPAMAPGMAPMPHHHTPAPAPSGATFSAYPQLIATALVGALSFVF >A09p072300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55499928:55502814:1 gene:A09p072300.1_BraROA transcript:A09p072300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSDFLKEFYIPTYVLSPESPIPAPTIAPPESPVLVFINSKSGGQLGGELLLTYRSLLNDNQVFDLGVETPDKVLRRIYLNLERLKDDDLACHIRDKLKIIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDRASVELFLDKVLKAKEMKIDNWHILMRMKAPKEGPCDPLAPLELPHSLHAFHRVSPTDELNREGYHTFRGGFWNYFSLGMDAQISYAFHSERKLHPEKFKNQLVNQSTYVKLGCTQGWFCASLFHPASRNIAQLAKVKIANKNGQWHDLHIPHSIRSIVCLNLPSFSGGLNPWGTPNPRKQRDRDLTPPFVDDGFIEVVGFRNAWHGLVLLAPNGHGTRLAQANRVRFEFRKGAADHTFMRMDGEPWKQPLPMDDETVMVEISHLGQVNMLATHDCRSRSMYDPSTPRHQEE >A02p015350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6822690:6824036:-1 gene:A02p015350.1_BraROA transcript:A02p015350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPGSPGKSTDGHAKSGGGGDPSKPQPKKWLCCMQSPAVDS >A01p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20116317:20120272:1 gene:A01p025430.1_BraROA transcript:A01p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase SAC8 [Source:Projected from Arabidopsis thaliana (AT3G51830) UniProtKB/Swiss-Prot;Acc:Q96328] MEIAPSSSRFKLYDQLELLEFPDKYVVKPVDSPHEGFSVDRRDGNIKPLDDNTSSGNATKVSTIFGVAGTIRLLAGTYLLVITSREEAGTFLGFPIFRVTAMKFLPCNGNLRFATAQEKKDEAYFRTLLQALETTPGLYFSYETDLTVNLQRRCKLAVGWTSKPMWKQADPRYVWNWHLLEELIECKLDGFITPLLQGNILSLSSVSILLGLFIYQVAELQLKNTPSVISLISRRCTRRLGTRMWRRGANLEGDTANFVESEQIVEINGFKFSLLQVRGSIPLLWEQIVDLSYKPQLKINKHEDTPKVVQRHFHDLSQRYGEIMVVNLTDQHGAEGELSKAYATEMARLPNVRYVAFDFHHICGTTNFDNLGVLYEQIGDEFEKQGYFLVDAEGKILEEQKGVIRSNCIDCLDRTNVTQNYMAQKSLNLQLQRIGVLDSAECVSMFEDDYTKFRTIWAEQGDEISLQYAGTYALKGDLVRYGKQTVSGAIKDGISAMSRYYLNNFQDGVRQASSDALDLISGRYTVGTNSPSQLQPIGGSQPSFLPVASALLIGGVTVTSFTIHQAGRNTQQYLASALWAGVTAGVVAMIKANGRHLCSRPRLCHLI >A04p021870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13215974:13216630:1 gene:A04p021870.1_BraROA transcript:A04p021870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREYAVCGEIVNIAQILTLCSHTALLDENATSHKFRARWNPLSYSSYPLYSVSIALHGGSLVTRDMKCLVSDSIFCRGSNGSKLQR >A09p025690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14338883:14339940:-1 gene:A09p025690.1_BraROA transcript:A09p025690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A09p008870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4553512:4556145:-1 gene:A09p008870.1_BraROA transcript:A09p008870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILKRAELKVSLSTRVSPIFTSFTFPSNLTGNLIGDSHFFFSQEPQLWERSMISLMGIEIVGQIDKINGKELSYNDFAERYLAKSQPVVISDLTEDWRVREDWVAENGLPNLNFFATHFGKSRVQVADCDRREYTDQKRLEMSVLDFVEQWDKDSVEDNGEDGDDDDDDDDDGKSVLYLKDWHFVKEYPNYTAYKTPPSFSDDWLNLYLDHYQMHEDRENFQKYDQISCSDYRFVYMGGKGSWTPLHADVFRSYSWSANVCGRKRWLFLPPSQSHLVYDRQGYMKNCVYNIFEEVNETKFPGFKETTWLECIQESGDTIFVPSGWHHQVYNLEDTISINHNWLNAYNLACVWDLLWKDYKDTEESIEDIRDISDDFEALCQRNLAANTGMNLNDFFIFMSRFALGNMVLQESLNPCSSAMAQNMVLNLSTIKTMMMKMISAGGVTAKDVSLDLRETLEDPQFLRFCRDMRRTYKMIHKEEDNEVLFPEEFLLKIMGFTDQKMQICSPKDLVEMIDRCNTCSSNPLA >A05p024550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11947327:11949629:1 gene:A05p024550.1_BraROA transcript:A05p024550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTANNGQDSSSATAAGTTPPSQQPPPPPQQQQQQQWQQHQQWMAAMAMMQQQQMMMYPHQYAPYHHHHPQFQYAPPSYHLKNQQQRGGGDDVKTLWIGDLLHWMDETYLLTCFSHTNQVSFVKVIRNKQTCQSEGYGFVEFLSRSAAEEVLQSYSGVTMPNAEQPFRLNWASFSTGEKRASENGPDLSIFVGDLAPDVTDTVLLETFAAYQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSKALTEMNGAFCSSRQMRVGVATPKRAAAYGQQNGSQALTLAGGHGSMADGESNNSTSAEEAIGSLNGTVIGKNTVRLSWGRSPNKQWRSDSGNQWNGGYSRGQGYNNGYANQDSNMYATAAAAVPGAS >A07p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18645362:18646410:1 gene:A07p034250.1_BraROA transcript:A07p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRGSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSIPGDQ >A01p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29437572:29439920:-1 gene:A01p052400.1_BraROA transcript:A01p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRLLTVFVCLVSTVALVNAGDPYFYYTWNVTYGTVAPLGIPQQVILINGQFPGPNLNSTSNNNVVINVFNNLDEPFLLTWSGLQHRKNSWQDGVTGTSCPIPAGTNYTYHFQPKDQIGSYFYYPSTALHRFSGGFGGLRVNSRLLIPVPYADPEDDHTILINDWYTKSHTALKTFLDSGRTLGSPDGVLINGKSGKVGGQNKPLFTMKPGKTYKYRICNVGFKSTLNFRIQGHKMKLVEMEGSHVLQNDYDSLDVHVGQCFAVLVTADQEAKSYYMVASTRFLKKEVSTVGVMSYEGSNVQPSNVLPKAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLANTKNLVDGKVRFGLNGVSHVDTETPLKLAEYFEMSEKVFKYNVIKDEPAAKITTLTVEPNVLNITFRTFVEIVFENHEKSMQSFHLDGYSFFSVASEPGTWTPEKRNNYNLLDAVSRHTVQVFPKSWSAILLTFDNAGMWNIRSENWERRYLGQQMYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPTPYTI >A03p064980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28317622:28318656:1 gene:A03p064980.1_BraROA transcript:A03p064980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKDENARHMAKLRRIIIACNVVYVIVRMVIFHSSFTWKHWIGLVVTSIGYGVPYKFLDGMAKPSVGDDGELIDGGFDMSTGGICGYLHDVLYITCFVQLASIISGKFWYTYLVIPAFGAYKASGLIRGFMSQGSEGGVEDEKTRKKREKMERKASRGQAVRTRSR >A03g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23313543:23314453:-1 gene:A03g506490.1_BraROA transcript:A03g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVELIQFHKPRFLLLLLPNQTLSSFVFRSAIEDEVRVEHWEWKNHGLVFDLSGLLDVGLNRLM >A02p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10052575:10060974:-1 gene:A02p021280.1_BraROA transcript:A02p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENQLSTHEAASARQKKVDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMGPGVTVMIMSWLITFYTIWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGKSLKKIHDLLCTDCKEIRTSFWIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVKKGVQPDVDYTFRATTSSGKVFNFMNALGDVAFAYAGHNVVLEIQATIPSTPEKPSKIPMWRGVVVAYIVVAICYFPVAFVCYYIFGNNVDDNILMSLEKPTWLIVMANSFVVIHVIGSYQIFAMPVFDMLETFLVKQMMFDPSFKLRFITRTSYVALTMFVGICIPFFGGLLGFFGGFAFAPTTYYLPCIMWLILKKPKKYGLSWSLNWFCIVVGVILTIVAPIGGLRTIIMSASDYKNATVRERKRERSKEREMATNEEIKKEFSRSGFALAEEDEILQRCVTLCINYSLKPSELVSSWELYHLNRQSIDQTVKNEEMDGFLLHLQNQQKESIMKEESGLHIYSNRDVDMLLDGIPEDTEDEIVTTPTSKSQRLLPDPFDSISKSRDYGYSTGKSVGHVTPFGQRGQKFVVRFNIGDAAAQAENGDNNDVENSEDDMIIKKVQTRRRCSLKVNGLGPEPGCRFMYYRTEDRFDSLEKRIVRHADAFAASGLYEEQVDPAVASQRSIFSVGMICCDGEGVLNDKSILLQSSAERTSGQRVPLDLNRLNQFSIFPGQIVGIEGQNPSGHYFTASKLLDTVPLTLTVDVDLPPAKKQNLDQEVLTLAEESREKSEVSFIIASGPFTTLDNLLFEPLSELLAHAKRKPPQLLLLLGPFVDSNHPEIEKGAVDASFSEIFQVEVIRRVQEYVEFMGSEVRVVLVPSLRDANHDFIFPQPPFDIHIPDLEHQITSLSNPGTFEANQVKVGCCTVDVLKQLSVEEMSRNPSGVPTDRLGRLASHLLRQRSFYPLYPPPESLPYDSRLAPQALQISSIPDILLLPSDMKYFVKVLSIGEGEDAAKCVCVNPGRLAKGEGAGTFVELTYKGDPESMHASVISI >A05p048000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28181225:28182727:1 gene:A05p048000.1_BraROA transcript:A05p048000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLKSTQSCHFPSPSSSSSTSCGGNDGNKDPHSLFNIPLEEEEEERSEKDRERFELSSSLEILASAIRKSLIGGCVGEEINLSSMEIGVPTDVRHVAHVTFDRFHGFLGLPVEFEPEVPTRPPSASAKVFGVSTESMQLSYDTRGNVVPTILLMMQSHLYSRGGLRVEGIFRINGENGQEEYVREELNKGVVPDNIDVHCLASLIKAWFRELPTGVLDSLSPEKVMESESEEECVELVRMLPATEASLLDWSINLMADVVEMECLNKMNARNIAMVFAPNMTQMLDPLTALMFAVQVMNFLKTLIVKTLKDRKESRDRLVPGSNPGPRDENGDQSSRQLLHLIEVNKEEAVDTFEVEMKDKEESEVQEPREILGVKSSLIKSCQYNNNGGFGKKQKGWEEKRKMKNASSIVGRVNYRVELFEAWR >A09p019830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10550300:10554188:1 gene:A09p019830.1_BraROA transcript:A09p019830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 2 [Source:Projected from Arabidopsis thaliana (AT1G60810) UniProtKB/Swiss-Prot;Acc:O22718] MYEMYIVRAAELFGVKETREIFNEAVESSVVSENDVKMMCIMFAEMERSVGEIDRARGIYRYASQYEDSQVVWEKWHELETEHGNEDTYRDILSVKQTVSGSGLRPVKKSRSGSPFETLPTSPVKKMRTISEEMARKKIREYDSKRLVKEHFKRLSGKELPIRSVQINQETDLNELVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFAEVATFVKDRLGKEVEMSGCKGPITTFIVEPFVPHNEEFYLNIVSDRLGCSISFSECGGIDIEENWDKVKTISVPTGSSLTPEICAPLVATLPLEIKGELEDFIQVIFTLFQDLDFTFLEMNPFALVDGKPYPLDMRGELDDTAAFKNFKKWGDIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKTRALVIGGGIANFTDVAATFNGIIRALKEKEAKLKAARMHIYVRRGGPNYQKGLAKMRSLGDEIGVPIEVYGPEATMTGICKEAIEYITAAA >A03p023040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9714165:9723607:1 gene:A03p023040.1_BraROA transcript:A03p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATGAKNRTSNVNGAEHFDIEVPDTAHQISSDSWFQVAFVLTTGINNAYVLGYSGTVMVPLGWVGGVIGLILASAISLYANILVAKLHEFGGKRHIRYRDLAGFIYGRKAYCVTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHTMKLPYFIAIAGFVCAVFAIGVPHLSALGIWLGVSTILSLIYIIVAMVLSVKDGVKTPHSRDYEIQGSSISKLFTITAAAANLVFVFNTGMLPEIQATVKQPVVKNMVKALYFQFTLGLLPMYAVMFIGYWAYGSSTSTYLLNNVNGPVWVKALANISAFLQTVICLHIFASPSYEYMDTKFGIKGGTFVLKNLLFRIMARGGYLAVSTLLSALLPFLGDFMSLTGAVSTIPLTFILANHMYYKAKNDKLNTMQKLWHWLNVVFFSLMSVAATIAALRLIVVDSKNFHVFADLVSEPIAETLRRTQYKPQESSKVSTKDVLLSLLPITSPPPPRLLDEEQSLSSIKSLALACALLSSSPSSTHELLSWIPESLSVAGESAFSEISRVYFSDSNAENERLVMELLPVVLPELKDGIEGSSMGKDNDEEDVSAAMTRKPVGYAILAAHQLRWFVTQVDKPNLAKVCNLVVPCALTALDHWSPDVKRHGMISFVHIAKNVSSGGLGSYGDVVLDACCQNIASDDEIWIHVVELSVLLVTKLHPNNPRSSWYERIMNEMLGHLERQPRNKERRMAWLTFVEPLLNSLGLFLLAHFQRIFPLFFQWMHSDDAQTVLLVLERVETVVRLTWIRNSPVFPRLVEELVSLYKESSMRKERDEIRPLILRILKLLRECKRLQFESAWRQYQDDPNLSTVVEYIM >A09p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17388442:17393135:-1 gene:A09p029080.1_BraROA transcript:A09p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCSSAFQRVASLMFMAPKLKPQRLHQIAETGAEQLVKKARTMTTESSMKDAFSQYADYLNNFNEKRERVVKASRDITMNSKKVIFQVHRLSKDNKEEVLEKAGKDLEAVREQHFARLMKELQGTDFWKLRRAYSPGVQEYVEAATFYKFCVSGTLSTLDEINSTLLPLSDPSLEALQINILDYILGLADLTGELMRMAIGRISDGEVEFAQRICQFVRQIHRELLLVVPQMDDSYDMKSKMEVMLQSVIKIENACFSVHVRGSEYIPLLGDDAPTSFLLGGADYGCCGGTFKALDSEISLLQDFLSMNGKTKCRNCSLLSAMSSSASSVPDYLRDEKLTQETRDLISSLPSEKGWLVSQIYQFQGRWHTEALLQGILTCQKHFEAKDSDIILVTNPKSGTTWLKSLLFALINRHKFPVSSGDHPLLVTNPHLLVPFMEGVYYESPDFDFSLLPFPRLMNTHISHLSLPESVKSSSCKIVYCCRNPKDMFVSLWHFGKKLAPQETADYPIEKAVEAFCQGKFIGGPFWDHVLEYWYESRKNPNKVLFVTYEELKKQTGDMVKRMAEFLGCGFTAEEEVSEIVNLCSFESLSSLEINRQGKLPNGIEINAFFRKGETGGWRDTLSESLADAIDRTTEEKFGSSDNVQKIKQVLAGEELSKQRTLRSLCCKTLYDIILVNSPKSVTTWLKALVFALVYRQELQTLESHPLLDNNSQSHCPFPSHSLFPESTKKSSCKVVCCCRNPKDVFVSLWYFMKSLILKEMLGVQWMKWLVGFAESRLFMDPFGLESCLNIGKERYAVILTRIQGKFFRF >A10p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18772461:18775018:-1 gene:A10p031420.1_BraROA transcript:A10p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit alpha-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G13850) UniProtKB/Swiss-Prot;Acc:Q6ICZ8] MSGAGVPDFFYREAQRLGYVARSAFKLLQIQKQYKLIKPGSSVLDLGCAPGAWLQVACQSLGPLRSGGNVVEQKVKVPPLCDARVQTISADVLNFPRQKIRELSPQHMGFSVVLSDMCHSVTGITSRDAALSAELGMRALNLAVGQAAISKSLDDDDEGGDEESRVGVLRQGGHLVIKLLESEDAQDFARICKPIFNKASWLRPKATRPSSREIYLICQGFRHDGEAGGRSKQSRSEKKSRKAMLKLGMKPISGVSRVTIKKSKNILFVISKPDVFKSPASDTYVIFGEAKIDDLSSQLQTQAAEQFKAPDRSNLVSGGETSSGAAAGAAGGDQDDEEVDEEGVEPKDIELVMTQAGVSKPRAVKALKAADGDIVSAIMELTT >A08p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24244819:24247254:-1 gene:A08p043590.1_BraROA transcript:A08p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNKNSSRRFFMFYLMLTSLSFLGLVLRFKPLFLLNPMITSPSIIEIHYSLPVVPVNRNPRWLRLIKNYLPDQKKIRVGLLNIAENERGSYEATGTSILENVHVLLDPLPKNLTWEHLFPVWIDEDHTWNAPVCPEVPLPQVEGTAADVDVVVVKTPCDGFSESKGLRDVFRLQVNLAAAKLVVESGRRNVDRTVYVVFIGSCEPMHEIFRCDERVRRVGKYWVYRPNLKKLKQKLLMPVGSCQIAPPVTELDQETWRRQKNESLSSTATLSSFAAQRVAYVTLLHSSESYVCGAIALAQSIRQSGSRHDMILLHDDSIKNKSRIGLSLAGWKLRRVERISSPFSQKGSYNEWNYSKLRVWQVTDYDKLVFIDADFIIAKNVDYLFFYPQLSAAGNNRVLFNSGVMVLEPSACLFEELMQQSFKIKSYNGGDQGFLNEYFVWWHRLSKRVNTMKYFGEETNIGPKRNLPDNLEGIHYLGIKPWRCYRDYDCNWELTTRRVYASESVNKKWWKVYDKMPKKLQRYCGLTRKMDKNIEKWKKAAKLKGFPEKHWRVQVRDPRKKNLVD >A02g513010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:35737468:35737671:-1 gene:A02g513010.1_BraROA transcript:A02g513010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSSPLVKRRQCVGDAVMEAFIASSDHSSLFPQPPLQERLQGLIEGAMRKLHDFVGETKKAEPS >A07p032700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17902156:17907243:-1 gene:A07p032700.1_BraROA transcript:A07p032700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 36 [Source:Projected from Arabidopsis thaliana (AT1G59870) UniProtKB/Swiss-Prot;Acc:Q9XIE2] MDYNPNLPLGGGGGSMRRSISRSVSRASRNLEDIFSSGSRRTQSVNDDEEALKWAAIEKLPTYSRLRTTLMTSVIEDDVYGNQLLSKEVDVTKLDGEDRQKFIDMVFKVAEQDNERILTKLRNRIDRVGIKLPTVEVRYEHLTIKADCYTGNRSLPTLLNVVRNMAESALGMIGIQFAKKAQLTILKDISGSVKPGRMTLLLGPPSSGKTTLLLALAGKLDKALEVTGDITYNGYRLDEFVPRKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSLVTDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTDATVLMSLLQPAPETFDLFDDIILLSEGQIVYQGPRDHILEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVDRNRPYRYIPVSEFASRYKSFHVGQKLSNELSVPFDKSRGHKAALVFDKYSVSKMELLKSCWDKEWLLMQRNAFFYVFKTVQIIIIAAITSTLFLRTEMHTNNESDANLYVGALLFGMIINMFNGFAEMAMMVSRLPVFYKQRDLLFYPSWTFTLPTFLLGIPTSIFESTAWMVVTYYSIGFAPDAGRFFKQFLLVFLIQQMAAALFRLIASVCRTMMIANTGGALTLLLVFLLGGFLLPRSEIPEWWRWAYWISPLTYAFNGLTVNEMFAPRWMNKLAFDNRTRLGTMVLRNWDVYHNRNWYWIGVGALLGFTVLFNLLFTFALTYLNPLGKKSALLPEEEKEDSSDPMRRSLSRADGNKRGEVAMGRMGRNADSAGEASSGGAAKRGMVLPFSPLAMSFDDVRYFVDMPAEMREQGVTENRLQLLKGVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKVQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLPKEVGKEEKMMFVDQVMELVELDSLKDSIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELMLMKRGGQVIYAGPLGRNSHKVVEYFESFPGVPKIPEKYNPATWMLEASSLAAELKLGVDFAELYKSSALHQRNKALVKELSVPPAEASDLYFATQFSQNTWGQFKSCLWKQWWTYWRSPDYNLVRFIFTLATSLLIGTIFWQIGGNRSNAADLTMVIGALYAAVIFVGINNCSTVQPMVAVERTVFYRERAAGMYSAMPYAISQVTCELPYVLIQTTYYSLIVYAMVGFEWTAAKFFWFLFVSYFSFLYWTYYGMMTVSLTPNQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTVYGLIVSQYGDVETPITVLGGPTGLTVKQYIKDYYGFESDFMGPVAAVLVAFTVFFAFIFAFCIRTLNFQTR >A03p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2804266:2809924:-1 gene:A03p006700.1_BraROA transcript:A03p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKVLPLLLSCLFFLQNTHQLQNSQTQVLYQLRKHLESPKALESWGSYYGDLCQIPPTPHMSISCEGNSVTELKVMGDKLSKPAGSMFHGSSLPNHTLSKAFLIDSFATTLTRLTSLKALTLVSLGIFGELPGKIHRLCWLESLDLSSNYLFGSVPPDVSRLVRLQSLALDGNYLNGSVPDALNSLSNLTVLALSHNEMYGELPDLSKLDHLHMLDLRENRFDSELPLLPKSLVTVLLSKNSFSGEVSRRFDGLSQLQHLDLSFNQLTGTPSRFLFSLANISYLDLASNKVSGKLPVDLTCGGKLGFVDLSNNRLVGTIPSCLGGSSGERVVKLGGNCLSINGVHEQHQELLCEEAEAKRKGFQSRKIGTLVAVVSGAVLLSAQGVPSCRSFSFEELKEATDDFDSSRFLGEGSLGKLYRGTLENGSSVAIRCMLLSKKFSSQSIRSHLDCLSKLNHPHLLSFLGHCTRTNLEYDPTATILYLVYEYMPKGTYRTHLSESCPEKILTWPDRLGILIEIAKAVHFLHTGVIPGSFNNQLKTNNILLDQHKIAKLSDYGVYAIIEENEKLETKSEGHKSKYNVAKREDDVYNFGFILLESLIGPLPTTKGEDFLLNEMTSFGSQDGRQKIVNPTVLTTSSQESLAIAISIANKCVLLEPSARPSFEDVLWNLQYAAQMQSAADAERKSDTSSAAMSGNNNNPQGSAPSPFRSPGMMPSASVPGGFAQSHMATNFQAPFQFTQAQAMAQAQSKVHAQMQAGMGINQAPQEIGGLGQSSSPSMTTPGSSSNVKRLMRPPSGFPNNTVSPVRTMELTPAARKKKQKLPEKSLQERVAAILPESELYTQLLEFESRVDAALSRKKVDIQEALKNPPCVQKTLRIYVFNTFANQNNNPNADPATWTLKIVGRILEDGVDPETTNPLHPKFSSFFKKVQVSLDQRLYPENPLITWENSRSPAPQEGFEIKRKGNQEFAATIRLEMNYVPEKFKLSTALMDVLGIEVETRPRIIAAIWHYVKARKLQSPNDPSFFNCDAALQKVFGEQKLKFTMVSQKLSHHLSPPPPIHLEHKIKLSGSSPAVSACYDVLVDVPVTIQRDLSNLLANAEKNKEIEACDEAICAAIRKIHEHRRRRAFFLGFSQSPAEFVNALMESQSKDLKVVAGEASRNAERERGSDFFNQPWVEDAVIRYLNRRPAAGN >A04p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19168648:19169497:-1 gene:A04p032820.1_BraROA transcript:A04p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMRLRTLMLCEELSLPSFQVIVVNADVGCDHCQDRVSKIVSKMTGIEEYVVDVKNKQVMARGDFKPRLVSHQVKNVASQTLSQNGKRFFRPLNLFLRSIFSICLCPNTL >A01p005720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2985064:2986252:-1 gene:A01p005720.1_BraROA transcript:A01p005720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIMDKKEADMLMESLALCFKNSWTKERSVSYIRKKYGRLFKEDIIKRGFDILTKNKIRDLKYGEGNINIISLVEKDILMESLDFCLKHSFSKANSVSYVTTTHAGLFEEDKIKQMFDIMNKIKMRNLHYQGEAMSNKDVLIQSLVFCFKQYFTEAETLNYIAKYHGGLFEECMIKRVFATISSNTKNRDPHYEADVAARSSFRKLLTQEHAWTQKEKMKLIEDRLSLLCQETKDLVGNFSRLEKEILGFFITKFPEEVGDPYARGGIHPSKVIDKVLHELDIAEGNQEITLEIEKEEEVVEVEKGGGRKTQSGKRKAGGRK >A04p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14685080:14688666:-1 gene:A04p024230.1_BraROA transcript:A04p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAKNNSVGIKEGGSTGVVAVAIDKEKSSQHALKWAVDHLLQRGQSVILVHVKLRPSPLNNNSSLHASSSKLTQEPSLVCRDPEGASKDIFLPFRCFCTRKDIQCQDVLIEEYDVAKALVEYANQAAIEVLVVGSSNKGGFLRFNKPTDIPVAITKTAPDFCTVYVISKGKISTMRSASRSAPTNAPLRSPIQPPSLRPPQPVPSTSNNMRADRQSFESQRRSMEDRRSVEDRRSPFTRRGYGRSYGDLSVPESDISFVSSGRPSIDRMFPNINDMNDPNRTPPRLSNFSDMDYGSNFESSSNYGRRSVDVNSPTDLSSGSFENERFSSASAMDDVENEMRRLKLELKQTMEMYSTACKEALTAKQKATELQRWKLEEERKLEEARLAEEAALAIAEKEKARSKAAMEAAEAAQRIAELESKKRVNAEMKALKESEEKTKALTALANNDVRYRKYSIEEIESATEFFAEKYKIGEGGYGPVYKCYLDHTPVAVKVLRADAAQGRSQFQQEVEVLSSIRHPNMVLLLGACPECGCLVYEFMANGSLEDRLFRLGNSPPLSWQMRFRIAAEIGTGLLFLHQAKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPTVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSLGIMFLQLITAKPPMGLTHYVERALEKGTLSDLLDPAVTDWPVEDTAEFAKLALQCAELRRKDRPDLAKVILPELNRLRTLADESQLVSQ >A06g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21144872:21149780:-1 gene:A06g507560.1_BraROA transcript:A06g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFRVITGLELHWMGDEPAGTKEAENRSVPAAEIFKETTTYFGICPNLSIFSPSRLWFGYIFFFYFSLSYYASLQRGTRPKNSIVGFAYCKERRISTLLRRILNPISFILLIQHSLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNPRTEHCSAIEQPFAETASGAEERAEQSASYGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKYVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLAGVENQNGREIRTTSGTQNDHVLPPSSSHHHISLQKKKK >A05p030660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15892828:15899131:-1 gene:A05p030660.1_BraROA transcript:A05p030660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILSKFPRSPRDSRPRAAAVAGSGKMTNDNNTPIDTTQLNVAATDATVTTAGNITASTAAATTSTILPAGNAADETTRRSLFGAGLYQTEKERAPHARAAAVRPARLGVGVGVGVGLGLGLGLGLGLGGEPLTR >A10p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18795859:18797087:1 gene:A10p031470.1_BraROA transcript:A10p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLLRYDNYQRSSDAPLINYKPENQEEDCTEVDLLKNEISKLQEKHLQMQGKGLNALSLKELQHLEQQLNVSLISVRERKELLLTKQLEESRLKEQRAELENETLRRQVQELRSFLPSFNQQYVPSYITCFAIDPKNSPVNNSGLDDTNYSLQKTNSDTTLQLGLPGEAQARRIEGNRESPSSDSVTTSTTKATPQRINVV >A08p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19446329:19447905:1 gene:A08p032030.1_BraROA transcript:A08p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTDTDMIHRLHSPFGKQPQQLQLNPNLIQFSSDSAKRVGVPPTSPYSQIPTTRPQPHGATHSRSISQPSSFFSFDSLPPLSPSPNNSNNNQPSSLLPPSPFTRCNSDSSRVVLPRRKSHRRSNSDIPTSIPPRPLERGESPDWSNHTPPFVKKESGEDMDDLFSAYMNLENIDALNSSEADMESSRASGTKTNGSDDTEGESSSVNYESGGDRNNSLKRRAGGEGDIAPTTRHYRSVSVDSCFMEKMSFGEDSLKPPPTNSVDGGIEFKNGEFNAAEMKKIMANDKLAEMAMSDPKRVKRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQFTLLQRDMMGLTNQNNELKFRLQAMEQQAQLRDALSEALNGEVQRLKLAIGETSHNESDRSKMQSLNAEMFQQLHISQLTQQPQSQQNRNGTMSAKPESNEL >A08g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13139909:13141132:1 gene:A08g507440.1_BraROA transcript:A08g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYSQISPLILLKYYDDAACVLETKLKSAVQLPGRESDSDPEDLEHAEKLRQIKAALEEGENFSGIYRKVQLKPLKWDGEGEEEKPVEALMILQYGGVLTHAGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVFPS >A07g501550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3171978:3172157:-1 gene:A07g501550.1_BraROA transcript:A07g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKNYRAVILWRAYRGAAMTQCGQIREYIADLKGVSLVKNKKPTKEFFPWGKPFYKG >A09g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5367846:5370543:1 gene:A09g501500.1_BraROA transcript:A09g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNGSRLSYFFIGESVTPEVFLHKSDFQALAVTGVGLEVRRNVFGEITITRLVPSNYNSNLESVKTEPGETDSSQRNFVFSMTSKRAYKQDILIFSQKVSSFPKEFVAHSAAVNCLKIVRKSSRVLVTGGEDHKVNLGPTPSCSVVSLLLSVYLICFVARDSVTFHASEVLVAAGAASQNNRSRKRKELDKKIKGCGGMARKICFLRLNVKGWSFFKDIDKEVYKDLADVEVKFGELEAELSETNVVNDKLQCSYNELVEYKLLLDKVLREALSAKRYSLSTTQNTVATLQLAHTKIFLSAGAIDPTKYASAIAKASLMSKCVVVDIKEPDSEASAAFRARDGGQKDLHDFQCEDEPRPALNPHIQDIQLVHLKIGDHMVVFQAIEG >A03p029650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12468337:12470430:-1 gene:A03p029650.1_BraROA transcript:A03p029650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNQVPNGFVKPVPTEEEKGKIEELRKLLGPLPEKLSGFCSDDAVLRYLRARNWHVKKAAKMLKETLKWRVQYKPEEICWEEIADEAETGKIYRSSCVDKHGRPVLIMRPSVENSKSVKGQIRYLVYCMENAIQNLPPGEEQMVWMIDFNGYSLANVSLKTTRETAHVLQEHYPERLSLAILYNPPKFFEPFWKPKTRNKVKFVYSDDPNTKQIMEENFDMDKMESAFGGNDDSGFDIHKHSERMKEDDKKRLAALKDTASPSLDSLSFLSVSDGATSDSAHLASEDVSEDEHQPHGMKVDAEVSKPARQVSQ >A01g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9478107:9478905:1 gene:A01g502900.1_BraROA transcript:A01g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFKHSKAEQTTLASKLPSSQLNIHCNPIAGKRLHLKEHPKLFPSLKLRVTCKTEGRSSSLDHS >A04p003130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1521882:1524092:-1 gene:A04p003130.1_BraROA transcript:A04p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTHGDLSNPNNRLLSLRNACRKAPTFTRTKALHALSITLWYTLYQPVYICNNVISLYAELGEISRAAKVFDRMPERNTASFNTVISGFSRSGHAEKAWRLFSEMRESGFSPSQFTVGGLLSCPSLDVRVGTQLHCLSLKYGLFTADAYVGTKLLCLYGRFELMEKAERVFEDMPLKSLVTWNHMMSLLGRGGFLKECMFLFRELVATGECLSESSFLGALSSVNDLETIRQLHSSAMKIGLDCETYIVNSLISAYGRCGNTDMAERVFEEAASWDVVSWNAIIGATNPLKALKLFVSMQQHEFSPNQGTYVSVLSASSHTQTLRFGRQIHGTLIKNGCETDIYLGNALIDFYAKCGSLEDSRLCFDSIRDKNVVCWNTLLWGYANRDDPVTLSLFLQMLQMGFKPTEYTLSTALKPCCAIEIQQLHSVIVRMGYEDNDYVLTSLMRSYAKNQLMNDALLLLDWSSEPSSVVQLNIAAGIYSRTGQYDESVTLISMLEQPDTVSWNIAIAAWSRSDNTHREATEIFKHILQTNIRPDNFTYVSILSICSKSCDLTLGSSIHGLITKTDFSRADTFVCNVLIDMYGKCGSITSAIRVFEETREKNVFTWTALISSLGIHGYGHEAFEKFKEMVSLGFKPDCVSFISILTACRHRGMVNQGMELFRKMKDDYGVEPDRDHYCCAVDLLARNGYVREAEQMISRMPFSADASILRAILDGWNRSAEEKRSTLNFVSAQ >A01p008830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4278363:4279435:-1 gene:A01p008830.1_BraROA transcript:A01p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVIVFDFDRTLIDGDSDNWVVTEIFHQLRFTLPWNRLMDRMMTELHSQGRSIQDIETCLQRIMPIDSHIVDAIKSAKSLGCDLKIVSDANQFFIEKILEHHDLLDCFSDIYTNPTSVDENGKLRISPYHGDGGAASTPHSCNLCPPNLCKGLVMDHIRASIPKDQILTRVIYLGDGGGDFCPTLKLRECDCVMPRTNYPLWKRISEKSSLIKADVKEWSSAEELQRILMQLVSTITKEDS >A09p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4157411:4159244:1 gene:A09p008010.1_BraROA transcript:A09p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISQQWQERTSGFFSSSGTKLREARQSAGSFVGEVAKDAKVNVADVAERVGSLFKSRWAILQQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKVEEAAKKTAQKSKTLLTDIERWQKGVASSDVFGVAIEVTVQRQESSRPIPFILMKCADYLISTGLNTPNLFKTEGDKKLIQQLVSAYNQDPSASIPEGVNPVDVAALIKYYLASLPTPLTTFELYNEIKDARSSVTRLRKSLQKLSSVNYNTLEFITALLLRVSQKAQLNKMDSHSLAMEMAPVIMWREEKRPESYREYWRRPSRSPKKSIDFETASPWDLLSDEGEGVVDASSSISLDDIVQVDFGAVDVVQCLIEHHNAIFTDAGETVWR >A05p005970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2376777:2378695:-1 gene:A05p005970.1_BraROA transcript:A05p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMTLGAVSRHYGEALTKTLLYFEAQRSGKLPSNQRVNWRGDSALRDGSDAHVDLTGGYYDAGDNMKFGFPLAFTTTMLAWSSVEMASQLKAHKEHKNVLAALKWATDYLIKAHPEPNVLYGQVGDGNSDHACWMRPEDMTTPRPSYRIDAQHPGADLAGETAAAMAAASLAFAPYNAAYAKKLISHAKDLFEFAKAHPGVYHSSISNAGGFYASSGYEDELLWAAAWLHRATKKQIYLNYLNEASNTGGSRTVFAWDDKFVGAQVLMAKLALEGKVKINKKMEEYKSMAEQFICNCAQKGSNNVKITQGGLLWFLPWNNLQYTTAASFVLSAYSKYLKAANASIKCHGGSLQASDLRNLARAQVDYILGSNPKNMSYMVGFGTNYPKRPHHRGASIVSIKKNRTAVECNAGFNDWYHSPAPNPNVLTGALVGGPDENDAYGDERTDFQHSEPVPATVAPFVGVLAAIA >A01p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19757617:19759110:1 gene:A01p026090.1_BraROA transcript:A01p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSYETSVFHPLLFFASRRWQCFDSPLPLDFRLLFLLQEKGKQKGSTRNLEVSRNIYLLYSHGPNFHNASVRNRRCKRWLENGLTLDLFLVEALQNPPLSNDLERWIVRSIWLILIFATYRFEVMKILMNLIHVFHTIIWIERQAQRALNLMLEKEKRMEIPEKQRMEREESLAREHNLEYKATLRQAVTLDVPHADSISSEYGTFDKTQEDETEEEETEEEEKEETESIASMVEESSSSSSVRPSYKRRRKHGVKTIGSRRRC >A10p032300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19144729:19149596:1 gene:A10p032300.1_BraROA transcript:A10p032300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK7 [Source:Projected from Arabidopsis thaliana (AT5G12480) UniProtKB/TrEMBL;Acc:A0A178UIX4] MGNCCGSPSSATIESGHGKPKNKNNPFHSNEANGSGAGFKLSVLKDPTGHDISSQYDLGREVGRGEFGVTYLCTDIQTGDKYACKSISKKKLRTAVDIGDVRREVEIMRHMPKHPNIVSLKDSFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTIVEVVQICHKQGVMHRDLKPENFLFANKKETSALKAIDFGLSVFFKPGEQFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSESAKDLVRKMLEPDPKKRLSAAEVLEHTWILNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEAAGIKEAFEMMDVNKRGKINLEELKYGLQKAGQQIADADLQILMEATDVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFNFFDKNQSGYIEPEELREALNDELDETSSEEVIAAIMQDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLADLEFQLFEVVHWTIDRPCDIDKFLSFQDEFIKDVSGTELESLPKDLAAAIESGRIPGSVITRFLELQRSAVMRWLMQFAGFRERLLADDLFLAKLAMECGVGVFTKTAAEYERRRENFFNELEVVFADVVMAIIADFMLVYLPAPTVSLRPPLALSAGGLSKFFHNCPDNAFQIAISGTSYSLLQRFGAIARNGAKLFAVGTTSSLVGTAVTNAFIKAKGAVDKTSEGEVETVPILSTSVAYGVYMAVSSNLRYQVLAGVVEQRLLEPLLHQHKLALSAMCFAVRTGNTFLGSLLWVDYARLIGIQKSH >A04p018700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11206216:11206907:1 gene:A04p018700.1_BraROA transcript:A04p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSVFRARETETWRIVALKKVGFDKFEPESVYIAKDFPEPRVFMDSRNYANQKVQSLEAVYPTFSYAMPMTKKSEFFEDKLKKMKLRQDYRSL >A03p019060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7799786:7803546:1 gene:A03p019060.1_BraROA transcript:A03p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIDALFESINVRDLLAGHDLNDPTTPLSAPDLRLLINRLESHSLRIKSKVQSYLVAHHSEFSELFSTCQDAVSRTRLISDDVSDVVELISDRPVDVEIRKVVDEITEKTKEVRLKRESLELVSAIVGICEAVEETKVALRGGRFRFAAERVRELKGVLRVGEEEEGGEPVAYALLRKEWSDCFDEIQEVLARFMETAVRFELDSSKLRISYQLSVGETTGIALTTVLEAMEVIGMLDYGLAKAADSIFKHVITPAVTHASTFNAVEDSCKTSGDITEATLQLDQSSDHKTEDVDGDAIYSGILKVVKFICSSLCFGNVTWIHSFGRLTWPRITELIISKFLSKVVPEDASKLADFQKVIERTSQFETALKELSFVSPSDAEGRLSKYAENVEVHFASRKKIEILAKARSLMLQCNFTIPKGLAMRNASFKSGGAESIDEDSSKHIVRLLFSSEVCMVSEAASQLMHLVHKTLEDVCVSSARVASEFYHAARDSILLYEAVVPVKLEKQLNGINQAAALLHNDCLYLFEEILGLAFEYRASFPSSIKEHAVFADVAPRFKLMAEEVLQRQIQLVVSSLQEAIDSADGFQDTHQMKQFESAKFSIEQVVFSLEKVHMIWEPVLRPKTYKQSMCLVLESVFRRITRDILLLDDMAADETFQLQRLIHLMFENLSSLLGSLKSADETSRPLDDLIPSLRKSRKLPGMSLLTLFTSPLNFNTKLWYMLKLLDMPLKSITSAWESGELLSCNFTRTEVQDFIKAIFADSPLRKECLWRIEDVVN >A02g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22351644:22352536:-1 gene:A02g508070.1_BraROA transcript:A02g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTYRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQVFLQFL >A03g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22331880:22332633:1 gene:A03g506270.1_BraROA transcript:A03g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDLKFGKGLAKNGKAQKLALQHSLEAGEEVNLVEKCPQLKLQQQRTNHIGPLLRLLWKTGNSSTGTVEKCFKLLLWKIAIPNGFSAQHIDSVVRWGEEGTFQHSSFLAGGANVAA >A09p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6673780:6675054:-1 gene:A09p013070.1_BraROA transcript:A09p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKDEGTPKSSASSPLRNPNVTLNERNFAAFTHRSAAAHPWHDLEIGPEAPAVFNCVVEISKGGKVKYELDKNSGLIKVDRVLYSSIVYPHNYGFIPRTICEDSDPIDVLEPVLTGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYRDIKELPPHRLAEIRRFFEDCIHNITSYSKKKLDKKNENKKVDVEGFLPAQAAIDAIKDSMDLYAAYIKAGLQR >A03p043980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18391617:18393376:-1 gene:A03p043980.1_BraROA transcript:A03p043980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSVFDAPEIKSEFESAGINPNFMIPIWKYVIQNPDCVWDEIPSLPTAAYTLLHSKFKTFTSSLHSLFHSSDGTTSKLLIKLQNGAFVEAVIMRYDTRLGMCGGKPRPGGVRSTLCISSQVGCKMGCTFCATGSMGFKSNLTSGEIVEQLVHASRLADIRNIVFMGMGEPLNNYNAVVESVRAMLKQPFQLSPKRITISTVGVVHAINKLHNDLPGISLAVSLHAPVQEIRCQIMPAARAFPLQKLMDALQTFQKNSQQKIFIEYIMLDGVNDQEENAHQLGELLKTFQVVINLIPFNPIGSTSQFKTSTKQSVSSFQKILRETYNIRTTVRKEMGQDISGACGQLVVNQPDNKRPAEPLRDIEDLHL >A06p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9499506:9500711:1 gene:A06p019380.1_BraROA transcript:A06p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYPFGSSNNPNKASYYAYQRGNSDFDLESGSVRKSRKPKTLFAKMLGTRLHHLFKIHPILVLIVLVSFGITVLIFLSSIYESQFRSAVAYKKNDLDNDVYPFANLRNLVMVAGHSVYTSSNCGKMDKEESWFLESYQKHPGQAATFLSHIEEGVEAAGKDEEALLLFSGGETRKEAGPRSEAQSYWAVAESKGWFGKDDVRSRALTEEHARDSFENLLFSVCRFRELTGSYPHNITVVSYDFKEERFAHLHRSAMGFPESRFRYVGTPASLESKEGALKGEAMVRAQFQEDPYGCVGSLWRKKLKRDPFHRTIPYPNGCPEIRGLFRYCGSAPFPGSLPWESSGSIL >A03p045680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19195160:19196099:-1 gene:A03p045680.1_BraROA transcript:A03p045680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 32 [Source:Projected from Arabidopsis thaliana (AT3G22500) UniProtKB/Swiss-Prot;Acc:Q9LJ95] MSQQEQPKRPQEPVKYGDVFEVSGELADRRIAPEDARMMQAKETSALGHTQKGGIAATMQSAATANRRAGFVEPGVATYLDPDRGVSVEQTDVPGARVTKESIGVQDVGQYVEPRPVSTAAMGVSVQSKITIGQALEATVHTAGKKPVDQSDAAAIQAAEVRASSNNVIAPGGVAASAQSAADYNAPIEFDENKIKLADVLTGAAGKLQEDKAVTKQDAEGVVSAELRNNPNLSTYPGGVADSVTAAARLNAKGDI >A07p039820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21169489:21173520:1 gene:A07p039820.1_BraROA transcript:A07p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLKTSAEEFLSSAVKSTLKSSKPSLKTIVNSVKPSSDLSSSLPLALLHSILHHTQSFQNPSHPPPTSPSNSPPPKRQRGNGPPPGSDSDSDQQQHQILASLQVLSHVLHLCVLSPKKAFSASDLLPAAQALHDSLILFESDPVLCLEVAGVCESWWKEGLIYRETLISQLLPFLLSRSLTLKKKVDVHRVYMLREAFALFDFDDEESIGDLRMLLMRCVVSPLYLKTEDGQRFLSFAFGLSRQLMKSGLAVVKAQIPFGRKSVLEGFGGILFRAWREVEDDLRGEIEEGFMQGIVDCAIHAGSSAFAASLRKVLGGFISQRTTQGVEKLLFGLAEPMIFRSLQVANSNVRLNALHLLLDLFPMEDPDATKEEKDKLLDKQFYLLEKLMSDECPDVRSVAVEGLCRVFYLFWEVIPSPTITKIITKIFNDMSHESCSEVRLSTVNGITYLLANPQSHGILKVLLPRLGHLMLDSVTSIRVTMVDLLLLLRDVRTFQFNTVVSLDVLLSVLASDQTHVAKGIARLLMPSYFPSRKRAEEACTRCRTLINRNPLAGARFCEFLVSLGATLQSARQLVGFFLDSILSDDDKLEDNQIEGLLLAAYHLCKDLVAADSGCMASLKELLPGEKLKSLLAFAPTAQAQSAVFDILAMVSPETVSEVLEDCMSLVVNCGGLPGDEGRQVEIRSVHKLLLSSNAFSDLIGTFTSTLQKTAYRCQINFGDEVERKNVYSATRKKSKSSRNSSSAKWKHVSEKNAISFEEDYSVAVGIAWQIKDLLIIEDTRKAILESSDIEELCIALKVVSQTSILQAMDVYPVLAYTSLALHMTLRNLDTDAQLNDTEARTFLLSLLIIYSSLQSGDNGTSDSIALKAHLGKKSKRRNSNDASEGLRSKEGGVLNKVKMLTAILKFIVESTEMGLASHFQARMLKFTSAYLKYAVSSFDHHSTGKLQFEDADLKDMILCAKSSISYAGKLINLVMREASCPLFEAFDLANDLLDLFTAVEISLGSAYASKLVTALNPWIPDLVLALGPCFINNNINNMEEEEEEEDDSYTSRFNHIKLCFPTWLLTCAKLELHEMDDTSESPHLQLPALKRLRDTILSLVKGNSKVVDGIGYVVLMCSAVCIEKKDYSTVLGLLRFLCVKLVSREEEENREWKELDTMLVSLPRIYPMIEREIGEERDEEEVKKLEAARELLLPVWTYHVYETGRFRMMEEEEE >A07p047670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25556816:25559347:-1 gene:A07p047670.1_BraROA transcript:A07p047670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPIAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFALYVSAISNELKVPVRYLREDKPHGSAGGLYHFRNLIMEDDPSHIFLLNCDVCCSFPLPEMLEAHRKYGGIGTLLVIKVSPESASQFGELVADPVTNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIRDVSSQTKDTATLRRVSSVEALQPATRNSTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKSPGMSLRCSELYLSQFRLTSPQVLASGDGTKSAIVTGDVFIHPSAKVHPTAKIGPNVSISANARVGPGVRLISCIILDDVEIMENAVVTNAIVGWKSSIGRWSRAEGVYNSKLGVTILGDSVAVEDEVVVTSSIVLPNKTLNVSVQDEIIL >A03g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4859557:4861249:-1 gene:A03g501610.1_BraROA transcript:A03g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A05p053110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30392596:30394464:1 gene:A05p053110.1_BraROA transcript:A05p053110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPQKQRKVAMVVAPPLNSELLRETINKVDKCMERLQELQYTIAGGTKVVSGVNLSPRSTRTYLKTSLRCKQETLRTKNANNKKSPIGKFPASSPGDWRKMSLPAMLLGETVNEILQASQVTKDIVDALAPKKSRKLRRSIMPEEDEDGPKTPETHQKHPETVSSNIKARRKKEKQNKRSEPTSPRARSRIVFKIVSPQTKAEKVQVKANRVSPKHQPWGKKAVLFPNPLFISGSSTQQAKFSRTMSPVIARNDLTSSKNTKKETPHKFLIKSPTKSPSKFQVRIKSPPKVSVSPNRNGGNLGRKSPARSASLGKKSPPKLSAAGKLRRSFTPTRNGSYVARKSSISPKRVTLQAFLSPARNCDLGKKSPKASISPSKKTQKLSTAAKLRRSFSPSRLAMRLVSPMKSRKNVGWCDDDEKGMMVSGLRQRPVIVPKRFSMGRIS >A05p037980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21587286:21589534:-1 gene:A05p037980.1_BraROA transcript:A05p037980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT3G20500) UniProtKB/TrEMBL;Acc:A0A178V816] MERTLLVTFSVILFASVAADDYVRPKPRQALQFPWQQKSTSQPEQVHISLAGDKHMRVSWVTNDKSSPSFVEYGTSPGKYSFLGQGESTSYSYIFYRSGKIHHAVIGPLEPDTVYYYRCGGGGPEFHLKTPPSRFPITFAVAGDLGQTGWTKSTLDHIDQCKYEVHLLPGDLSYADYMQHKWDTFGELVQPLASVRPWMVTQGNHEKENIPFVVDEFVSYNSRWKMPYAESGSNSNLYYSFEVAGVHVIMLGSYTDYDRYSDQYNWLKGDLAKVDRERTPWLIALFHVPWYNSNDAHQNEGDGMMAEMEPLLYASGVDIVFTGHVHAYERTKRVNNGRSDPCGPVHITIGDGGNREGLARKYKDPSPEWSVFREASFGHGELQMVNSTHAHWTWHRNDDDEPTKSDEVWLTSLVNSGCWTEKKWNENLRKILMEP >A01p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18551261:18553500:1 gene:A01p028100.1_BraROA transcript:A01p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTAVSTYFSYGLLFAFGQLRDYSRRIFDWWSTNNLHGYAPICLAHEDFYIRRLYHRIQDCFGRPISSAPDAWVDVVERFSNDNNKTLKRTTKSTRCLNLGSYNYLGFGSYDEYCTPLVIDSLKKFSPSTCSSRVDAGTTSVHAELEECVAKYVGKPAAIVTGMGYATNSAIIPVLIGKGGLIISDSLNHTSIVNGARGSGATIRVFQHNSKAILMLLHFPPPAHLERVLKEQIAEGQPRTHRPWKKIMVVVEGIYSMEGEICQLPEIVSICKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKDLIQYLKYHCPAHLYATSISTPSAQQIISAITVILGEDGSNRGAQKLARIRENSNFFRAELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRENVAVVVVGFPATPLLLARARICISASHSREDLIKALQVISKAGDLTGIKYFPAAPKKQEEVKDTIKLD >A02p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18746366:18748866:-1 gene:A02p034530.1_BraROA transcript:A02p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCCALMILLLSFLLLVLQNLQVVSAVGCAGSFFNANSTFLQNRDDIFSTLADKVIANGGFYNASLGNSPNRVYALVLCQRGYDQQACSNCVQSATRGIQTNCLHRMDSFTWDKDVEDNVSCLVRTSNPSTFGKLELRPAIIYPSPLGIEASNNMTIFEEEWDGMVNGTVEAATDAETSSVIKYFGAVKAEFTEFPNVYMLMQCTPDITSQDCKTCLRECVTLYKNQFWGRQGGEVNRPSCFFRWDFYTFHGAFGNVTRVPAPPRPPAQEKESSATDKKGRSTRSWVIIAIFVVLTLINILVFIGLIKFFAGRRRSNNGYNIGSAEYSEADGQFMLRFNLNMIMTATDDFSSENKLGQGGFGTVHKGILPNGQEIAVKRLTKGSGQGDTEFKNEVSLLTRLQHRNLVKLLGFCSEGDEEILIYELVHNSSLDHFIFDEERRSLLTWDVRFRIIEGIARGLLYLHEDSQLTIIHRDLKASNILLDTEMNPKVADFGTARLFDADETRAETRRIAGTRGYMAPEYLNHGQISAKSDVYSFGVMLLEMISGERNNSFEGEGLAAFAWKRWVEGKPEIIIDPLLMDEPRNEIIKLIQIGLLCVQENAAKRPTMSSVILWFGSETMIIPLPKAPAMTGSQCQSEDGTMSMSNVFTELSSR >A10g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15833025:15834170:-1 gene:A10g505940.1_BraROA transcript:A10g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLRIKRTESVMRLRYVSGWSHEKKTRDVTEAIEGRIVIVVVEGTEMEEELRDMKAHKAYISMVDFVAEAQQGIPKLCPCGSITKEPVDEEDTYDYLPGKRYFICKDFENDGLHFRQPWVTGVTEEVERLKLRVHEHEKLLRECEALKAQVAMLVKRVTELELLH >A01p001120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:423461:423736:1 gene:A01p001120.1_BraROA transcript:A01p001120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEQLMQILLLIAAVVAFVVGYTTASFRTMMLIYAGGVGVTTLITVPNWPFFNRHPLKWLDPSEAEKHPKPEVAVSSKKKSSKK >A04g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12195132:12198254:1 gene:A04g505870.1_BraROA transcript:A04g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKDSDRTLGYMTRKDTEVKLPRPTRVKNKTPAPVQITAEQILREARERQEAEIRPPKQKITDSTELSDYRLRRRKEFEDKIRRARWNIQVWMKYAQWEESQKDYARARSVWERAIEGDYRNHTLWLKYAEFEMKNKFVNSARNVWDRAVTLLPRVDQLWYKYIHMEEILGNIAGARQIFERWMQWSPDQQGWLSFIKFELRYNEIERARSIYERFVLCHPKVSAYIRFAKFEMKGGEVARARHVYERATEKLADDEEAETLFVAFAEFEERCKEPERARFIYKFALDHIPKGRAEDLYKKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYVRLEETVGNKDRIREIYERAIANIPPAEEKRYWQRYIYLWINYALYEEIETEDVERTRDVYRECLKLIPHSKFSFAKIWLLAAQFEIRQLNLAGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYERYLEWSPENCYAWSKYAELERSLAETERARAIFELAISQPALDMPELLWKIFERANSYYKDSAPELKEERATLLEDWLNMETNFGNLGDVSVVQSKLPKKLKKRKPITREDGSTEYEEYIDYLYPEESQTTNLKILEAAYKWKKQKLASSEEDYD >A09g515320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45541423:45542156:-1 gene:A09g515320.1_BraROA transcript:A09g515320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGITTAAFVKIHGISDVKEMEYLGKESLKGEENLLEAMAFGITSKQRTRETKSKEILGRGVTFELRYSRVDPGYTVSFMPTDTTWSIEVAGDLEKSDGGAKRFSLMKDDL >A03p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4695991:4699996:-1 gene:A03p011750.1_BraROA transcript:A03p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKDQIEGRCPACRTPYDKEKIVGMTVDCDSLAFETNMERKKTQKSKSKPSEGRKQLTSVRVIQRNLVYIVGLPLNLADEDLLYHKEYFGQYGKVLKVSMSRTSSGAIQLFPNDTCSVYITYAKEEEAVRCIQAVHGFILDGKSLKACFGTTKYCHAWLRNAACVNPDCLYLHEVGSQEDSFTKDEAISSHTRSRVQQITGATNILQHRSGIMLPPPLDAFCSDSSSAKPIAKIPSSNAVSVPRYSPPSGSGSSSRSTALPAAAAWGTHNANQQSLATSVTSNGSSDIQRATPVNGTLAFSAVVANAAHGPVSSSNILKRPSGKEENQKAVDKSKLRVLKPLQHNVVVGSGSKRTTSPDRDSPSNRLSSSTDSSYDGRDIDKPLAAVNSSDDSEDGVEDGPTVGNLRVTVTQDGPTVGNLSVGVSQMEMTANSRDNRPDIAISSECDQDSNRQSDHEVSKLPELEQCKMDSSINTDEESFPSETGVPCTRPEWDWRLDLQSQIKVDSKLDVEDMSSFDSQRHHREEDVTHSRFLSSSSLPSEASGVNGSNLRFPSDRGSDRLHLQNGFGEKSMFNVDHSLFANEGRNKVRSAEDEIISNILSLDFDPWDESLTSPHNLAELLGKVDQRSSPVKPSNLLKQHNSQSRFSFAQESSNQAFDRENHSIYGQFSRERPIQESVVSRDIYRGNLGSLNGFASNYSGGLDNVSASPLFSSYKTPAVSRPQVSAPPGFSAPSRLPPPGFSSHERVGLSSDTAPGTRFLDSAAMLRNTYQVQPPVGNPSGASDIEFADPAILAVGRGMVNADLDMRSSFSSQMNSFGNETGLQMLRHQSMSAAQQEVNGFHHDLRNLSPSLAETYGFSSRLMDHQAHGSNLSLFSQHPRQQPSANPVLSNGHWDKWNEGQSVNSLGMAELLRNERLGFNGSLYNNGYEEPNFRIPSPGDVYKRTYGM >A03p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4434163:4436179:1 gene:A03p011070.1_BraROA transcript:A03p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSIPASAWFSGGAIPRLSPPYLLRKLPSRNSRRLSAFNAASRSVSELVGEDVLQMFLKDREENGDFISKVSDRLWLREVLESIDLNLNGASSAIGLDDQESLMDGADDDDESGFLKLKPTQEWIAGESESAPMNRKALAKALRDDSERRKKLNFLKYEALKRELMYLSIVIGTGCSGYCLLALSPQAAVSYGVGVLFSCLYLQLLYGYADGVSREDVPNIFLKKKIKKIGIRSEDLEDFVKRTIRGSGMALSSPRLVIPAAIYALWILSHKYFQNDLFDFQIVPAMVGLFVYKAAALVQVYRDNQDLQLIFPDDL >A07p023350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13513154:13528116:-1 gene:A07p023350.1_BraROA transcript:A07p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKELAAIVQSSLLGSSATSPTQRIELTHAIRNSFSSFENLLSFPPPKASDRAQVQSREIRLPDSLPISLDDQDVAISLKLSDELHLNEIDSVRLLVSANQEWGLMGRDPLEIQRLAIGLWYTGRRDLTSTLYTLLRAVVMDQGVEPDLIADIQGLLEDLIKAGLRQRLITLIKELNREEPSGLGGPLCEQYLIDSRGALVERRAVVHRERLILGHCLVLSILVDRPGPKDVKDIYNVLKDNAVQLTQGNDTINYQINFSLLFSLIITFISDAISALSDKSSMISQDASFRTEFQNIVMASGSDLTADGFVGGIRLAWAVHLMLIYDGISGMDTISAASTTDMGHICSCLESIFSKNVFQFLLDNVLQTAAYQNDEEDMIYIYNAYLHKLTSCFLSHPIARDKVKESKDMAMSVLNSYRINDSLDGSMQTEEADRPLPFISLMEFVSNIYQKEPELLYGNDVLWTFVNFAGEDHTNFKTLVAFLEMLRTLASTQEGASKVYELLKGTTFRSIGWATLFDCIAIYDDKFKQSLQTAGTVMPDFLEGDAKALVAYLNVLQKVVENGNPTERKNWFPDIEPFFKLLGYENVPPYLKGALRKTIAVFVHVFPELRDSIWAFLEQYDLPVVVGSPVGKTDQSSQVYDMQFELNEIEARREQYPSTISFLNLINALIAGEKDVTDRGRRFIGIFRFVYDHVFAPFPQRAYSDPCEKWQLEEDLDGFTEHSQFLVSAETSSLQTQLPVIELLKVDFMSGKTLYRNLMSVLQVGVNSIMSERMSKTYGKILEKAVQLSLEILLLVFDKDLLVSDVWRPLYQPLDIILSQDHNQIVALLEYVRYDSLPQIQRSSIKLMNILSSRLVGLVPMLIKMNAANSLIEDYASCLELRLEEGEVVENSCDDLGVLIMQLLVDCINRPAPNITHLLLKFDLDAPVEGTVLQPKFHYSCLKVILEMLEKLPNPDINFLLFECGFQLLCELSLDPLTSGPIMDLLSSKKYQFFLRHLDTIGVATLPKRSGSQTLRISSLHQRAWLLKLLAIALHNGSGSSSDHLEACQSILSHLFGREVTEAGNELYSSSTYPLQDGLDYAGTSSISKSKVLALLEILQFRSPDASMQLPQIVSSRKYDTLVEEILGNRDPSVSGSIYYYSERGDRLIDLSSFSNKLYQKLHSGFPLVDSFQNVAEVNEVRETIQQLLKWGWKYNRNLEEQAAQLHMLAGWSQIVEVDCSPKNLRSALISACRRISSLDNRSEILYRILDASLSASASPDCSLKMAFVLTQVALTCIAKLRDDRFLFHGALSSDTVTCLDVMMVKHLSTGACHSLLLKLVMAILRHESSESLRRRQYALLLSYFQYCQHMIALEVPTSVVQFLLLSDQDDADLDIQKIDKEQADLARANFAIIKKEAQGILDLVIKDACQGSEFGKTISLYVLEALVCIDHERYFLSQLQSRGFIRSCLGSISNISHQAQDGRHLLESQQRACTLEAEFALLLRISHKYGKSGGQVLFSMGALEHIASCRATSFKPKSTFQGNMRRVNMKLQTDTGYDVQKQRTIITAALRLVFALTSLVETSEFVEGRNKIVREVIEFIKGHQLLFDQLLREDVTQADDLLMEQIILAVGILSKVWPFEENDEYGFVQGLFDLMSKLFIPSREGQIVQKGSELKLSQLRFSLTSFLYFQVTKSSLRLQISNDSCDNSAKLRQPTLMLLASLLSHVTDSLEGAAEKKSLLLHKIRDINEVSRQDVDAIIKMCDFQEYVTASDNIHKRRYIAMVEMCKIVGTRDQLITLLLQLTEHVLNIILIHLQDRSGSSNEWASSYGSKSHQQQEVADLCGKLSPIIERLALLNEGKVGHNLKVFKRLATTVKEMAVQKCL >A05g510340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31821135:31823204:1 gene:A05g510340.1_BraROA transcript:A05g510340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHLFVFFLFLAAVTSDLDSDRRALLLLRNSVRGRPLLWNTSASSPCSWHGVHCDSGRVTALRLPGAGLFGSLPLGGIGNLTQLQTLSLRFNSLSGPIPSDFSNLVLLRYLYLQGNAFSGEIPPFLFTLPSVIRINLGENKFSGRIPDNVNNATWLVTLYLEKNQLTGSIPEITITLQQFNVSSNQLNGSVPDSLSGFHQSAFDGNTLCGKPLNPCEGDAESPNSPPVSPPSGPSGKKDSDKLSAGAIAGIVIGSVAGLLLLLLIIFCLGRKKKGEENGQPRNVEAPVAAATSAAVHKESSAANVPPAKASGSGGGVVSKDLTFFVKSFGEFDLDGLLKASAEVLGKGTVGSSYKASFEHGLVVAVKRLRDVVVPEKDFRERMQVLGSMSHPNLVTLIAYYFSPDEKLLVSEYMSRGSLSALLHVNDLDGIGCTGNKGNGRAPLNWETRASIALGAARAISYLHSRDSTTSHGNIKSSNILLSNSYEAKVSDYGLAPIISSTSAPNRIDGYRAPEVTDARKISQKADVYSFGVLILELLTGKSPTHQQLTEEGVDLPRWVQSITDQQSPSQVFDPELAGHQSEGNENMIRLLKIGISCTAQYPDSRPSMAEVTRLIEEVSHSSGSPNPVSG >A04p038660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21985877:21990525:-1 gene:A04p038660.1_BraROA transcript:A04p038660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSKYLYTLCVFDQEKADKLKQSLPPVADSSSSQLSSRKIIAALKKGSPIHLPLSCFYLRNLSEREDADDLQSFIYLQFIDTFLFQGSSLSPSLSLILSKRSIGGQLCVWPSWRHLCLRKSLLYGVMWLFSMPLKTLRGARKTLQITHFCSISNMPSSLKIELVSVWGNAELKAKYGAKVIGSALDKDRIPGIDILLNDGDKWMFAGHEVRVLSTPGNTQGHISFYFPGSATIFTGDLLYSLSCGTISEGTPEQMLSSLQKIVSLPDDTNIYCGRENTAGNLKFALSVEPKNETLQSYATRVAHLRSQGLPSIPTTVKLEKECNPFLRTSSKDIRKSLSIPESATEAEALRRIQRARDRF >A06p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27316205:27318841:-1 gene:A06p051630.1_BraROA transcript:A06p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWYKTLSSVFGLRPRIKGLLFFILGFVALLTILSPLTSTSYEASSTGSTRVPNIYSNYRRIKEQAAVDYLDLRSLSLGTSLKEFPLCGKERESYVPCYNVTGNLLAGLQEGDELDRHCEFEREKERCVVRPPRDYKIPLRWPLGRDIIWSGNVKITKDQFLSSGTVTTRLMLLEENQITFHSEDGLIFDGVKDYARQIAEMIGLGSDTEFAQAGVRTVLDIGCGFGSFGAHLVSLKLMPICIAKYEATGSQVQLALERGLPAMIGNFFSKQLPYPSLSFDMVHCAQCGITWDIKDAMLLLEVDRVMKPGGYFVLTSPTNKAQGNLPDTKKTSISTRVNELSKKICWSLTAQQDESFLWQKTADSNCYSSRTSVVIKSVYPCLSSTDAILFLVHSSQDSIPLCKDGDSVPYYHPLVPCISGTTSKRWIPIQNRSAVAGTTSAELEIHGKYLFHCVKPEEFSEDTQVWRSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNARFGNLNSALLEQGKSAWVMNVVPVNARNTLPIILDRGFAGVLHDWCEPFPTYPRTYDMLHANELLTHLSSERCSLMDLFLEMDRILRPEGWVVISDKLGVIELARAMATRVRWEARVIDLQDGSDQRLLVCQKPFLKK >A08p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16377202:16379220:1 gene:A08p025350.1_BraROA transcript:A08p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPVLPVSDPPLAGENDTSDGKGVDDRLFKGSAMTKRGAYAALSYMACAVMLVLFNKAALSSYQFPCVNVITLFQMVSSTFFLYSLRRKKIISFIAADSFSGSGSTSAFVPLKTLLHTLPLSIAYLMYMLASMASVRGVNVPMYTTLRRTTVAFTMVIEYMLTGQRYTRSIIGSVGVIIFGAFFAGARDLSFDFYGYGVVFLANITTAIYLATIARIGKSSGLNSFGLMWCNGIICGPILMIWTFMSGDLEKTISFPYLFSPGFMVVLLCSCVLAFFLNYSIFLNTTLNSALTQTICGNMKDLFTVGLGWMVFGGLPFDLMNVIGQLLGFLGSGLYAYYKIIGK >A07p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:580849:581198:-1 gene:A07p000660.1_BraROA transcript:A07p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLCSLLVSCLLRRTSGIHAAAAWILQPHPPANHNASVLMKLIFQSIIYIVWKERNTRIFTAVSTSSQGLHLQLDRLLRDRILSLPARLQPASSA >A08p021940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14626529:14627231:-1 gene:A08p021940.1_BraROA transcript:A08p021940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKLYRGLLIRNIMDRVDVTKMLTETPFRPREKLLEKQRLFQSIQRHTYLKGPMDKVTSVAIPIALAASALYMIGTGIYNMSNGIGKKE >A05p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7920369:7923744:-1 gene:A05p017530.1_BraROA transcript:A05p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRLLLFIHFPNSLSLSLSLSLHIIIFSLFLQTENNTLLGAMNQSPSLIEQGISRQHLKKTVSCANVLTLAYQSLGVIYGDLSTSPLYVYKTTFSGKLSLHEDDEEIFGVFSFIFWTFTLIALFKYVFIVLSADDNGEGGTFALYSLLCRYAKLRVLPNHQEMDEKLSTYATGSPGETRQSAAVKSFFEKHPKSQKGLLIFVLLGTCMAIGDSVLTPTISVLSAVSGVKLKIPQLHENYVVIISCIILVAIFSVQRFGTHRVAFIFAPISTAWLLSISSIGVYNTIKWNPHIVSALSPVYMYKFLRNTGVEGWVSLGGVVLSITGVETMFADLGHFSSLSIKVAFSFFVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPGLAVTSVMLVTTCLMSLVMTIVWKQRTITVLAFLISFGSVELLYFSSCVYKIPEGGWIPILLSLTFMSVMYIWNYGTTKKHEFDVENKVSIDRIVALGPSIGMVRVPGIGLVYTNLVTGVPAVFGHFVTNLPAFHRVLVFVCVKSVQVPYVGEEERFVISRVGPKEYGMFRSVVRYGYRDVPREMYDFESRLVSAIVEFVETEPRTEGEEGCDSLRMRKEETLEIMEAKEAGVAYILGQSYAKAKQSSSVLKKLAVDVVFAFMSTNCRGTDVVLNVPHTSLLEVGMVYYV >A10p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20137003:20139614:-1 gene:A10p034730.1_BraROA transcript:A10p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 19 [Source:Projected from Arabidopsis thaliana (AT5G09640) UniProtKB/Swiss-Prot;Acc:Q8VZU3] MRNLHFLILFLLSISTSIHYVVVTSLHVKYLPGFEGPLPFALETGYVSVGESEDVELFYYFVKSERNPKKDPLMIWLTGGPGCSSLSALLFANGRLGGLRQVANILLLESPVGTGYSYAKTPLASEASDTKQFHQIDQFLRRWLVDHPEFISSPFYVGGDSYAGHIVPGVVQQISLGNEKGLTPFISLQGYVLGNPVTNLKIETNHRVSFAHGMGLISHELFESLEKSCGGNYMDVHPSNAKCVKDLQAYKNCISEIYTEQILLPNCPVDYVPQKLQFLPNIKNGRRRELKEFSGYDTPSLPPPSCFTYGQFLASFWANDEIVRRALGVKKELGKWTRCNFQNIPYTYDIDSPIPYHVNNSRKGFRSLISRIFGDHDMSIPFTATEEWIKGLNYSIVDDWRPWMMSSYQVGGYTRTYANKMTFATIKALFLNPISFSLSSPKPLQGGGHTCAYSPDQCSPMFNRWIDGEPL >A08g507620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13464421:13464627:-1 gene:A08g507620.1_BraROA transcript:A08g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLIQQILVLLHCFEEWSICYVSDQKNSVAQAIAESVVTWARSQSYDGSGSPLWLDQLIQQEAGD >A07p030940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17162580:17164250:1 gene:A07p030940.1_BraROA transcript:A07p030940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAKPHMELNQESQQINVEQSLGGRHEECVLTSANTGEEQEVDIVESDENNIATTDEEDPNATEYSSSFSDTASEDADMLCNELAEDAEVESHYWDETDLGPAYDSFSSIFHYRKKRLTNHWKSFIRPLMWRSKWVELKIREIESRALEYPKELESLHQEKLGVNIDPSVLETYGKGIKSLPFSNPSYRKRAAKRRRKRKKVESTDDIASYMSHHNLFSYVDTKRLSSDGMSVADDFAVKDTRIESKDRVALDEDDSLFDHRDGDSVLEKVLWKIELVHSQVHRLKTQVDLVMSKNAARFSSSENLSLLAASSAPSPTVSAGVYNSSHLMQDYVLGDLMFSSEGVVSSYGDAFHIPDIIESTVGLFADADVTLHHPQVGDSCEDILDDIFIRNGVAEEMNADLMETSSQEEGEKPEEGEGTSVLPLQQLQETEQDSVLRSCLASEMLVVPRNKRTRGGERKASSWCKKHLSDPESQ >A09p082880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59853487:59855280:-1 gene:A09p082880.1_BraROA transcript:A09p082880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQEQKEKKNLPGVGFKKLKKILKKCRRRDHLPSRIASINQKHGNNCPRECAVCDGTFFPELQKEMEDVVGWFNENAQKLLELHLASSFKKCLTWFKGKTSHHLGLIQEGQDLVNYALINAVAIRKILKKYDKIHESSQGQAFKTQVQKMRIEILQSPWLCELMAFHINLKESQKESGAVLASPPSLFGGCSFIFDDGKPFLSCELSDSVKVDIDLTCSICLDTVFDPISLTCGHIYCYMCACSAASVNVVDGLKAADPSEKCPLCREVCVYKGAVHLDELSILLKRSCREYWEERRKTERAERLQQAKEYWDYQCRSFTGI >A07g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8927474:8928667:1 gene:A07g504410.1_BraROA transcript:A07g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSADDRYQEMPRQMKFKIDRCTQFVVNFPNEDIKLVEVTYDNPKIFRNTVITSLKFETTTGRTSTFGLIRDSWGDYGIYDGVKKIKIGLYEEGIAFVKFVYIKGNGLVTGDDHGKITSLGAEEIVLENGEYLTGIEDYYRPIPGAPFGKTVLSSRRTKGRHLCMNWIPVRNTRLRRKATRSQGSMDELPPMLSTVWKPFPGQSS >A03p016860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6709410:6711701:1 gene:A03p016860.1_BraROA transcript:A03p016860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G51300) UniProtKB/Swiss-Prot;Acc:Q9LU44] MDSVELNNSNPSLDQPPPPPSSETVASSKDDNSASLAPDQIPAPEATNGEKNQSDDTTTRRKRRSRWDPPPSESVNNTTAEGGGGDSGTGTRKRKSRWADDEPKPTIQLPDFVKEFGGGIEFDPEIQVLNSRLLDISRLLQSGMALDDRPEGQRSPSPEPVYDNMGIRINTREYRARERLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLFIPMKDYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSMKEGRLQKKDMKYDPAENEDLHVLVEADTQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEFCRLCGEPGHRQFACPSRLNTFKSDVLCKICGDGGHPTIDCPVKDTTGKKMDDEYQNFLAELGGTLPESSLKQSSTTLALGAGSSGSNPPWANNGGSGASAHPGLGSNPAKPSKEYDEKNLYIGFLPTMLEDDGLINLFSPFGEIVMAKVIKDHVSGLSRGYGFVKYADVQMANSAIQAMNGYRLEGKTLAVRIAGKPAPPPGPPAPPPPTQSYPPPPSQQYGAGGQLPNPSYSPAPVPWGGPVPSYSPYAPSPPPPGSYHPVPGQHMPPYGMHYPPPPPPPHVTQAPPPGTTASESQQSFPPGVVQADSGTPPSSVPPNVYGSSLGQPPYMSYPSYYTAVPPPPPPPVPTSSADHSQSMSNMPWASNPPVSSSDHSQGLGNAPWAPNPPMPPSVGYSQSMGNAPWAPKPPAQPPAEYPSAVGDSEYEKFMAEMK >A10p040090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22145953:22148005:1 gene:A10p040090.1_BraROA transcript:A10p040090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKLQNAERLSFGGSLFQILSLAELRGVSFPTFKVQTLTLKTRDEEIAMEIAWIIVYLSALSDIATSMLLKGGILQLLVERLASSDSLQLLIPVLRSLGNLVSVDPKAMLTILIGGKNTEATDIPDVIPESVIGVLAKCLRSEHRVLKKEAAWVLSNIAAGSIEHKRMIHSTEAMPLLIGLLSTSPFDIRKEVAYVLGNLCVESAEGDTKPRIIQEHLVSIVSGGCLPGFINLVRSPDIEAARLGLQFIVLVLRGMPNGEGSKIVEGEDGIDAMERFQFHENEELRVMANSLVDKYFGEDYGINE >A08p000980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:571123:572627:1 gene:A08p000980.1_BraROA transcript:A08p000980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSQRQSPNGSRGFRLQAPLVDSVSCYCRVDSGLKTVVEARKFVPGSKLCIQPDINPNAHRRSKNSKRERTRIQPPLLPGLPDDLAVACLIRVPRSDHRKLRLVCKRWYRLASGNFFYSQRKLLKMSEEWVYVFKRDRDGKISWNTFDPVSQHPQPLPPVPREYSEAVGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGCCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFVADMSTAMVPLIGVVYDKKWFLKGLGSHQQVMSEAYDPESNSWSPVSDGMVTGWRNPCTSLNGRLYGLDCRDGCKLRVFDETTDSWNKFMDSKVHLGNSKALEAAALVPLNNKLCIIRNNMSMSLVDVTNPDKNNPRVWENIAVKGQSKGILSNIWSSIAGRAVKSHIVHCQVLQA >A03p069190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30401301:30403638:-1 gene:A03p069190.1_BraROA transcript:A03p069190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPASSYNSPFFTTNSGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVLDFFSHHPESLNMFTFLFDDIGIPQDYRHMEGSGVNTYMLINKAGKAHYVKFHWKPTCGVKSLLEEDAIRVGGTNHSHATQDLYDSIAAGNYPEWKLFVQIIDPADEDKFDFDPLDVTKTWPEDILPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKYPTPPAVCSGKRERCIIEKENNFKEPGERYRSFTPERQERFIGRWIDALSDPRITHEIRSIWISYWSQADKSLGQKLASRLNVRPSI >A09p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8221239:8224298:1 gene:A09p015830.1_BraROA transcript:A09p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDDKNPTLTLEEGENNPFSSFDDKTLMMMDPSLMFSSDMGPSSSCSPASFHMSAQPDNFQHAEGGDAKQVQRLAKDPKVVVTTYEGVHNHPCEKLMETLSPLLRQLQFLTRVSDL >A07g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14914682:14914992:1 gene:A07g506280.1_BraROA transcript:A07g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTSFTALKNSNCSIPGNKTPHSEEERLFDWFLPMNMVRKSMLNAKEITCTMFNGNYQLGNGV >A09g510190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29944335:29957483:1 gene:A09g510190.1_BraROA transcript:A09g510190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQSELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVALEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFATKRRIIFVLRKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDSKLDHPMSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKSNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNFEKESCVGARSHSDTSRSLQLGARLWERHLEVARGFVVVSRKQSPQSEVSERGRRVAPAGSEVMGATPSRRSRFRRNGHSRTDAERGFTATPRGRSSSERAFWSDTPRSLAFSSTRDARKRLGSDLSQRDPHVAPAPVQVKMVKKTKGRLEAERQEAESQEFAQRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKDGVTWVPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKISFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHTLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGVVSFFVGRLEHYRDWAWYTTDSRPKIGIGGMITPLLQFLNVPLGKDASGPRFIDGNYLRIATYFSGMYGKDYVYHYYLYGKPVEVVLPNRNLTSLEIPGAISFNIPQECFLGEHGPLDPIQAAPSRRRSVPVQPEPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGTASGGELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVALEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFATKRRIIFVLRKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDSKLDHPMSNPYIHEFSFPIVKKVSFSYSY >A09p076590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57239464:57240444:1 gene:A09p076590.1_BraROA transcript:A09p076590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRDITPDVLTFNALIDSFVKEGKLTEAQELYNEMITRGIDPNTITYTTLIYGLCMENRLDEANQMMDLMVSKGCDPNSVTYSILINGYCKNKLVDEGMRLFRKMSLRGVVADTVTYSTLIQGFCQTGKPKVAKDLFQEMVSIGVPPSVVTYGILLDGLCDNGELEKALEILDQMHNCKMDPGICIYSIIIHGMCNAGKVNGAWDLFCSLPSKGVKPNVKTFTVMISGLCKKGLLPEANILLRKMEEDGIVPNVCTYNTLIRAHLSGGDISNSVELIEEMKRCGFSADASTIKMVMDMLSDGRLDKSFLDMLSGPFGDKSSSSLD >A09p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11837895:11838554:-1 gene:A09p021860.1_BraROA transcript:A09p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFARGFRKKGVIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIRKRLHVRVEHVQQSRCAEEFKLRKKNNDVLKAAAKARGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >A10p031640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18869365:18873750:1 gene:A10p031640.1_BraROA transcript:A10p031640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRTAVNKAVEVGNRKNITRTVKNYADSVVQQAGQAVAEGAKLFQDRIRVGAYKSVNQTIQRLEEAAVSFRGHERALLITRWLSVLKEIDKAIGTSSVKDKDVTSEEQFASDEAKRREWVLYYDPDIGGQPLNFRDVFLQSQALEGIVLSMIMEPPHDEEVTLLLEMFRLCLNGGKEVHDAIVSSMQDLATVFSSYKDEVLVKQDELIQFAQNAITGLKINAEMLRIDAEASDLRKKLEKMNASRFPQESDDKEVKEAPLTIEALKETLAKIRICSRLEGLLIRKRQLSNGDSPDIHAQKVDKLKVLLESLANSISKAEKRISENRLQKEEALKARVVKADETGEKEKELSAEIAQLEKQRDELEAELKKVNITLAAAQARFRNATEERDQFGEANNQIIAHLKTKEDDLSKSVVACKKESEVIKTWINFLEDTWLLQCSYTETKDKQTLDELEKHEDYFTDVAFNILSTYKKEVTPLVRRIENYVENLKNLGPGLEKPPNADQGDSQVANPRKSLEQEYLDYETKIIATFSIVDNMKEHFQVLQSKLEKKDDRRVKELFDDMEKLRQEFEAIARPTLEIETPSPKSVASSPKAPKPSSSSMDAPLESTLTVTQKPEVSETAPTTTTTPTGSSQEFNHEAELAELESEFGKVARDYTADEVDGWEFDELEKELQ >A03p050520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21720081:21724222:1 gene:A03p050520.1_BraROA transcript:A03p050520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLISQQWQERTSGFFSSSGTKLREARQSAGAFVGEVAKDAKVNVTDVAERVGSLFKSRWAILQQPATRHAVQEHLITAAATTGTLVRKGITETKEKVSVGKIKVEETAQKSKTLLTDIERWQKGVASSDVFGVAIEVTVQRQESTRPIPIILIKCADYLILTGLNSPNLFKTEGDKKLIQQLVSAYNQDPSASIPEGVNPVDVAALMKYYLASLPTPLTTFELYNEIKDARSSVNRMRKSLQKLSSVNYNTLEFVTALLLHVSEKSQLNKMDSHSLAMEMAPVIMWREDKRPESYREYWRRPSRSPKKSNDFETATPWDLLSDEGEGVDASSSIPLDDIVQVDFGAVEVVQCLIEHHNAIFTDADETLLKLTGHGEKLSFDYSLNSASVSASTDAEAELGKPKKFPVVVSTWPFIEAVRAAWRSVDKGSSAVEAVVEGCSACEELRCDGTVGPGGSPNENGETMLDALIMDGVTMEVGAVAAMRYVKDGIRAAWLVMKHSQHTLLAGEGASAFAISMGLPGPMNLSSPESLKKWLDWKENRCQPNFRKNVVPANGCGPYKPKHGGMDVSTESCEMGTIEYRLIPLVGPHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRVGDGPIAGSSAYADDEVGGCGATGDGDIMMRFLPCYQVVESMRQGMKPEQAAKDAVSRIARKFPDFVGAVVAVDKNGSHAGACHGWTFQYSVQSPDMDDVQVFTVLP >A01g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16199820:16201720:-1 gene:A01g505410.1_BraROA transcript:A01g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHCSAVFLSVVIRYTVFRSAHPSSSDSLWLSSVLSLLSPSYGCWCKINKRGESVEDKINKLDVELCKYREQIQKTRSGPVQQALKACMKDNTTCFIIRHSISIKSLSLLNVSKMLNKLSYMRARLPWGSPSVNNSEFWSPSSAGTQLLKEGTPFLIILETYPLPRYIRKVGTSGKTTRSRKPLRTCGAASINLKRMIRGVAGIWSMAFSHLTKKGHPTSSCPSLGQTGPRAGAKAKKRKLTRIRISLELGQSILRMTSQKSKKKTMATHKSTKSNRVIVDASKSSSHDQVPPQMKKRTNKSMTRTSIPVSDQTRASDQKGQTT >A10p040900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22475592:22475914:-1 gene:A10p040900.1_BraROA transcript:A10p040900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRFVFTLAVIVLCVSLVSSEMELGLEDYNIRVDPTPTTKHSVRGGPIEHGSPLNPYIPKLPPPPPPQPQDAH >A08p016600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10754212:10756698:1 gene:A08p016600.1_BraROA transcript:A08p016600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVEIPSPSPSQRFGFILRDRNQTPIVYKKAPNHHHHQPVSDENSQNLVDSWIQTLNKKKDNNNARSTEKPIALEKSDESNRNGASSLVQIWEARLLNRSTSPSHNQSPVNSSRSDSGVSVQDSRSSESPSIESEAEISDVEIESRSHGGSVSDSCRVADLIRRLSNEAKVISGGGLSTIRTPRPCVSSWSSSEKSSFPMVTPRIRGRQAFTDLLMHMERDRHRELDSLLERNAVSRFTQRGRLQSMLRLRNLKRCQAVQEQNRSNSKSTGLNRIGSGGGSSVLHLRERFRADVDKRKDHHFMNKKTVEETEVTSDNKTMKNGGITLEAFFKERLSLPNPNLEKATLRKGEETVNGTVGSKNNCLQLQETIEVEEVCCDDDDSDKKEEEKTSPSACVDQETQPQSVVPESIEVDQCLEQQETSYLNGWEDEEEYEEDEQSYYYGETNNDWLHEISRPRSYWEELRKTRYLEVMNTRSEKEDIRRLLERGPVTDFLQSGLRDQIDRLMMSRVQTHSNKHSEKWELQHEEEEEEHRNENVEETEEEEEEEEEEPFTEEGEEQDDGDDSSSSPIFASSPAGSWSCQDTEVTSTPVLSVHIPPSPEMELISEMRTQIQQLQQEMSLLRDSVKTCLDTNASLVHQENPMKRKCCVCDETQVEAVLYRCGHMCTCLKCANELHWSGGKCPICRAQIMDVVRVFFDTRN >A03p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10793977:10794364:1 gene:A03p025650.1_BraROA transcript:A03p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYSAFFGSEEPHFLESCSLCSKHLGPNSDIFMYRGDTAFCSNECREEQIESDEAKERRWKLSARSLRKKSSEAAKDSAAGKNVRTGTLVVA >A09g511430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34281388:34282862:-1 gene:A09g511430.1_BraROA transcript:A09g511430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLIFCLGFVSAQSGDRDKAKKIREAAIFTISFVACDSSSGNQLLWSIFKALRTFCAYLTLSFSSNAFRALIYIESLELLQPLQPPFPTPPSTLSALEGPLRPLSFGSFDSRIPGTLTRMESLWASPFSSLMNCLQDEDGTSDEEDEEKCYLEYPNAEDVDNV >A04p028820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17268638:17272438:1 gene:A04p028820.1_BraROA transcript:A04p028820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASESSLCRRNMVAAKENKFLTVAPFECAWSDDLKFREPGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKKDNSPHYIVIIGSNRNRRLKIQVDGESVVDEEASDLCRCSLEFESYWISIYDGLVSIGKGRYPFQNLVFQWQDSKPNCSVQYVGLSSWDKHVGYRNVSVFPVTRDRISLWKQVDYREVKGDEVEEEGNGYDYEQWGLGNFLESWELSDTVFLVGDEEVDVPAHKAILQASGSFPLSGDVIQLRGVSYPILHALLQYIYTGRTQILESELAPLRDLSSSFEVMPLVRQCEEYINRLKLSDRASEPCKRVELSCPISQPLSGFMFPTAFPADVAKLKKFYSSGEYSDVKICLSDHGLTFQSHKVILSLWSVAFAKMFTNGMSESHSSTIYLTDVSPEAFKAMLNFMYSGELNMEDTVNFGTDLIHLLFLADRFGVVPLHQECCKMLLECLSEDSVCSVLQVVSSISSCKLIEEMCKRKFSMHFDYCTTASLDFVLLDQTTFSDILESVDLTVTSEEKILDAVLMWCMRAEEPQRWEDIDELMNYSNPETLFKERLQSLDDLLPHVRFSLLPYELLEKLGNSNLSRQIPVFNRLVKEASRLTCPGNEATSRLQHRRSSFKELQYIRDGDSNGVLHFVGTSYGSHQWVNPVLAKKIIITSSSPTSRFTDPKALASKTYVGTSFAGPRMEDGRISSWWMVDLGEDHQLMCNYYTFRQDGSRAYARSWKFQGSMDGNTWTDLRVHENDQTMCKAGQFASWPITAANALLPFRFFRLVLTGPTADTSTPWNFCICYLELYGYFR >A05p049250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28709718:28710986:1 gene:A05p049250.1_BraROA transcript:A05p049250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNVMFTGFSPTMLSLETPQNPPNPVQFQHPHPHPYTAASDQQTHQQQMKPLYPYAAKAKQLSPISVGGGGGGGEEDDRGSGSGSGCHPEDSAGTTDGKRKISPWHRMKWTDTMVRLLIMAVFYIGDEAGGGLGGDQTDGKKKNITGGMMLQKKGKWKSVSRAMVEKGFSVSPQQCEDKFNDLNKRYKRVNDILGRGTACHVVENQGLLEGMDHLTPKLREEVKKLLNSKHLFFKEMCAYHNSCGHLDQPGLMNQVPVQSKPDFQMARIVERGEEEEEGESDMAEDSETEIEDTDEEEEEETSKKRRVSAAVKRMREETARVLDDPGKSAWEKKEWMRRKALEIEERKVGYEWEAVEMEKQRVKWVRYRSKKEREMEKAKLENQRRSLETERMVLVLRRREIELTELQLAGKRVDPSSATG >A01p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26825222:26829086:1 gene:A01p047560.1_BraROA transcript:A01p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGRKHVSKWDSKEDTHHHHPSVDVTSGSHYRDKDPQPVLFNAGRNANASRRSSEDQHSGQARTRSRVSQNNDNSYYSEQDETRQQFSHRSDSRSYSRSRSRSRSPVYRSRRDHAGSYDRHKTRTRDEFNKPGSDYGWEDKAGREPRETRYHHSNDFREDASLMMMKGARSSDYDDDTEERSRREHLKHDGVSDPRLKRQRSELTGEGRFRKSCDIPCKFFAAGNCRNGHHCRFSHHGGADRKQPQDNKNSFYRQDSNYHSGHNRWNGDERLDNGKLSKGTCETKGSSWIGDMEMSPDWNYSAHNLKKRMKEEPGVGQSSKSRVDDQRSSGMYSYGDNKPMLEKPIVADSHQNYNNVVNTAPPVQAYNQNHHDVLPYQNSPTPGGTQHQVIAAAAAAATDFSVGLNFRNPESVKAYNDNHHSMVEKAVPAQSSVTREQKDQLSNISATIAQLLANAQPIPQLTQALQMHLHSESSMAVQPNHATTQSNNPFGMSTDTATASQATNVDGVQELPVNPKASEENGDTKTTDEASKQEEFKKAGEDVKEADNIVDEDDDDGSDEEEEEDKKEMKDPKGMRTFKFALVEIVKELLKPAWKEGKMKKDGYKNIVKKVVEKVTGSMQSGNVPQTQEKIDHYLSASKPKLTKLVQAYISKAKKTLKSLSSLPSSTSAEAFKIDIFLLLLFRNPVSNGIGTKSMKKLRKNLKMQRKRKKDGT >A05p047880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28123014:28124325:-1 gene:A05p047880.1_BraROA transcript:A05p047880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVASSTALISSTRVSSAKSSLPSPSSLSFLRTVSSPLRSGSALRSSLSSCSRRSFAVKAQADDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYAEFEKLNTEVLGVSVDSVFSHLAWVQTDRQSGGLGDLNYPLVSDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYIQENPDEVCPAGWQPGEKSMKPDPKLSKEYFSAI >A05p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30176769:30181653:1 gene:A05p052090.1_BraROA transcript:A05p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLLLVGFVVVLGTTQANEYLDFNVTEIDRIEELEFGFSKYSSNLNPLLVGLTLIRGADSGAVCLDGTLPGYHLHRGHGSGANSWLIQLEGGGWCNNVRTCVYRKKTRRGSSNYMEKQLQFTGILSDKAQENPDFFNWNRVKLRYCDGASFSGDGQNQAAQLQFRGERIWRAAIADLKAKGMRYANQVLTLSLLSGSKARAALLSGCSAGGLAAILRCDEFRNLFPGSTKVKCLSDAGLFLDTADVSGGRTIRNLYNGVVNFQSVKNNLPRMCTNHLDPTSCFFPQNLISQMKTPLFIVNAAYDTWQIQSSIAPTSADPSGFWHDCRLNHAKCTPSQIRFLQGFRDQMLRVVKGFSMSRHNGLFINSCFAHCQTERQDTWFADDSPVIRKKAVAIAVGDWYFDRAEVKLIDCPYPCDKSCHNLPVENKVDEEAKLMEKEIVLEDKPVSDSSLAVTKEENISQTPAADVKEAAAVVKEEESTEKVTDENGEKKVAEQVEVKEPILVKESVEEVKVEAGDAEKGKDEKGEEKIAEEVELKEPTLVKESVEEVKVEAGDAEKEEGKGKVENGEEKVAEEVEVKEPALVKESVEEVKVEAGDAEKEEGKGKDEDGEEKVAVQVELKEPVLLKVSVEEVKVEAPDVEKAEEKGTVECVAEEDNKDKEESKVLDVSESAGGKQVDVVQLVREVPEETVEDKIKDVEVLEVEPKIEASEKVEVVKTAETTPETTEQAKDELVGKLEDTIVVETKDSKDEQTSESGSIINQDSDTAPKKETEGDASSPADVTEKAITEEKHVVEEPSKDEPENVSETKDVATKVATEEENIKKDTEDVKSEETLKETEGNKQEESVTEKVPEAVETAPPVVKEIEEPEVTTKEEVVVKQKSSNSIMSKVKKSLVKAKKAIIGKSPSSKTISTQEAKEDIKAK >A07p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11793566:11794256:-1 gene:A07p019810.1_BraROA transcript:A07p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRDGALCSRKRYQMNVNGESFHGSFKRIKQGDQTQAQLEKNTTMFYQRSKSEKARLMKPDVQLHLDTKGHLESSEDRRTYLDLELNLSLSASSTVKEIMKKDECSKGKTLIMAPSNKGKPGDIRLSRSPSWLEFEGDDDNDDDKKQEMVTTVCMKCHMLVMLCKSTLVCPNCKFIHPDDHSSTKLFKPLSLFKLLC >A09g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5426630:5428953:-1 gene:A09g501520.1_BraROA transcript:A09g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7582868:7588053:-1 gene:A06p016910.1_BraROA transcript:A06p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPCETLNNSEAFHMSRYHSYNNHSPRISSPWLDLRVFYVRISNVRVEDSTPEALTINHIPLDPDTLLEINGVRMSMYSEGCSSQLRRDRVDKKSEEATYVSTDNIRLNGSVKFEVYDKKELVLSGFLEMSDGNGFTGESKKQWNMTCEAEITVGSGFVKEKSRNGQELLPALPTIEVYVTGCFSGTPIILTKTLQLGLRKKQSRRMALDSIPEYETAQEPQKDISSELDLQAAEYANYKDYEGDRYWRSECLDGEMSWFNAGVRVGVGIGLGACVGIGIGVGLLDQILSSKRINIMRCYNNYSTSILPFVMLMLILASNVVTLSSLCQTESCGSIKIPYPFGVREGCYLNEWYKIECRNNTFPFLFKMGLEVVNFSFPRENSYTTSSYPSSSYGSIRIKSLITSVGCSRDGKDSGLVLNLTDSPFFFEDGNSLVAVGCNGKASLTNIEPTKVGCELNCTASKERLPSKSIPFFDNAWCSSNPVCTKNKGEDERRCDGNGCCEETLEGFQDKRVMGVRIESFEQGNSTFRKCRVAFLTDEVYMLSNATKPESFFSKGYATVRMGWIIQTNNLSYIKSLGCETTKEYQSVPYSKFQEISCICYSVTTSGTSYANCGCNQGYTGNPYLFNGCKGVGAGFGVLVLVGGIWLLRKFLKKRRITRRKRMFFKRNGGLLLQQQLETRDGNVEKTRIFSSRELEKATENFSDNRILGQGGQGTVYKGMLADGRTVAVKKSKVVDEDKLEEFINEVVILTQVNHRHVVKLLGCCLETEVPVLVYEFIPNGNLFQHIHEESDDYTMIWGVRLRIAVDIAGALSYLHSAACSPIYHRDVKSTNILLDEKYRAKVSDFGTSRTVTVDHTHWTTVISGTVGYVDPEYYGSSQYTDKSDVYSFGVILVELITGEKPVITLPDSQEIRGLADHFRAAMKENKFFDIIDARIRDVCKPEQVMAVANLARKCLNSKGKKRPYMREVFAQLEKICSSPEDPLVKIENDIDNDDEEEEGMNMIEIADSWTTGVTAPAFSIVASPSSSDVKPLFPPSTW >A10p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9758168:9760357:1 gene:A10p006500.1_BraROA transcript:A10p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFPHLLRTPHHFFSRIVIKLKTSLPLMSLPQSPFTSSTIPSMKKSPSSEKEDNQMQIENVDRISKLPDDMLLKILKSLSTEKAVQTSLLSKRWEGVWKQMPYLFFDMKNALKVELPVVEQSHFIAQLITKVINNHNGNLEHCKILHMTPQTQDGTLETWIRSLIHVKHTKYLELKRFRVNHRERARVLHLPPNIFSHPMLTSLLLSRYTFESAHAFNNCNNLIILKLYKIKVAVDILNTVIASCPSLKMLVLEIFQNSRSGCLKIHNDNLKFVHLTCPEIDNIEVSAALLDIFSVHGIELESGNNIVLDAPRLLQFGRKLWNILQSLPHIIYNISCDTQGNEKIGHEFVMNIENYSMVVFAILAVNVDMMNPKEVYMLKQVLDAWARDLQVLNIFFKDNDIDKKEGESSIDGIQNKWGNCFLSVDFRVRSVCLHNFNGSDENQFALAASFVIQGKMMRSLMIETFSLPANKKLAVDIAVEKLMKLPKGNKNLNIQYIFD >A09p065840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52487052:52505154:1 gene:A09p065840.1_BraROA transcript:A09p065840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTSLIMGKMIITLTLSERMPSVTTASYAEAKTVVFWDVDECPIPEDLDPQSVYQNIRTALANKGYHREVSIMAFGDRNQIPGYYESAGIQIFPKGDKYERIGQMQLEFFGWMRDNSCEYTNMMVVTRSAMELVSYLEYRNTHHNILFANPLYPQTKACGCKFGARQEDPSAETWVWETLAVGGDPSIKTVEEPCRYREEGGEVATVTRSPSKLEAMTSPTSSEYAGKILPLSLSRSVCSSISCLKLLVNFVFTVSLNVAEAKTGVFWDVKECPIPTGLDPDSIFRNIKSALAKKGYYGELTIMPYCDKNQFPDGPDDFESAGMKLVPEDEARRYKTMSRELCSWFCFDRGDDEFTNLMVISGDNMDFLSTLQMFKQRDPVNILLAQPENAPRWCPKCTRPLENLVAESGEWFWESLAAGGDPITKTKGQEELLEIVSLTRSPSKLEAMPSPASSEYAEAKIGVFWDVKECPIPAGLDPGSIFRNIKSALAKQGYLGELTIRPYCDKDQFPDGPDDFESAGMKLVPPDEARRFITMSCELCSWLCREHNEFKNLMIMSGDNMDFQTHQPVNILLAHPEDAPRWCPKCRKPLEDVVAESGEWFWESLAAGGDSIAKTKCEELLGDKYLRIRGMLPHFLVWVLENSHESSNMMIISRNGMELASAFELCKKEGHNIFFANPLNGPRICECKYEDLTTEMWVWETLATGGDPIVKPKGGAEMSNKEEEEDDKDSRICSICQNPLRIFSKRVIL >A03p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4040500:4044649:1 gene:A03p010110.1_BraROA transcript:A03p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGIETRRPEGAMEETCNVKGAVAKQGEGLKQYYLQHIDELQRLLRHKTNNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKLTPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLHRVELKLKRQIQRWRKLRYNCRTIKKEVNLTVVRIASDLSEKPLPYFLFYKNPHLASSLLNIFLHAHTNTQTHRLILSIPTTWLYLTSKPSITLASSSSLLVTEAQEKQLSSRDILLESSRRTTIGVEVHPLDFFTNCGKIRFYCWDTAGQENIHGQCAVIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVESPALAPPEVHIDVAEQQRNEADLIAAAAQPLPDDDDDAFE >A03p039690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16524559:16529477:-1 gene:A03p039690.1_BraROA transcript:A03p039690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQPPVTPPIDSNGDRSEATLLDLCEKVLFLEGRVCDEALKLFTETKLILSASMSNIGSGTAEEVERFWFASILYSVKMLSVRKQVDGKSVVAGGNGFNLCQILRALKLNIVDFVRELPQFIVKAGPVLCEIYGADWESRLQAKELQANVAHLSLLSSYYKRGYREFFLTYDANAEKTSASSSSYLPSSYRFGWLLFLALRNHAFSRFKDLVTCTNGLVSILAILIIHVPCRFRNFNIQGTSRFVKKDDKDVVDLIASLCKIYDASEDELRATMDKTNNLIETILKKKPSACQTDKLDNIDTDGLTYFEDLLDETSISTSLITLEKDYTDAVCNRSELDERVFINEEDSLLGSGSLSAGAVNITGVKRKFDSLSSPARTFISPLSPHKSPAVKTNSIGGANGLTATPVSTAMTTAKWLRTVVCMLPPKPSPGLEHFLKSCDRDITSDVTRRAHVILEAIFPNSFLGDRCTGGSLQPVNLMDDIWAKERRLEAVKLYYRVLESLCRAESQILHATNLNSLLTNERFHRCMLACSAELVLATHKSITMLFPAVLERTGITAFDLCKVIESFIRYEDSLPRELRRHLNSLEERLLESMVWEKGSSMYNSLIIAKPSLAQEINQLRLLAEPMPSLDAIAALINFSEGLNHASSVQKHETCPGQNGDIKSPKRQCTDYHSVLVERNSFTSPVKDRLLASGNVKSKLPPPPLQSAFASPTRPSPAGGGETCAETGINIFFTKINKLAAVRINGMVERLQLSQQIRESVYRLFQHVLTQQTSLLFNRHIDQIILCCFYGVAKLSQMRLTFKEIVFNYRKQPQCKPLVFGSVYVDSFHCRRQGRVGPDYVNIITFYNDVFVPAAKTLLVEIVPVKNDRDVEANNKPEGHCPGSSKVSVFPSVPDMSPKKVSAVHNVYVSPLRASKIDALISHSSRSSYACVGESTRAYQSPSKDLSAINNRLNNSSNRNRKRTLNFDVELVSDSMVANSLPLQNQQNQNGSDASPSGGAPLKTEPLHS >A01g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7620923:7622054:1 gene:A01g502150.1_BraROA transcript:A01g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLPLEVQTLSQQSKETEARYQKSKDCNKKSQRLASVKSFIQIIAFLPTIGCRIQAVISHYFFFFVKQSSIVYDKKIVKIFWHHCSRKRGHKEIVWHNSWGLNTRLPYICTMPLKMDEGWKQIQLNLPDLTRRAYGTNYAEKLRVQEFAIKAENTIIEMYLRLHTERIFYWPMTRLAPSKVFLSSIATVIRPTPPGTGVMNPATLEASEKSTSPTNRYPDFI >A07p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11096825:11111216:1 gene:A07p018480.1_BraROA transcript:A07p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDAGKVACWRHHRSSPLLVLYVFWLLMMPPSWSPVTSPLEIARSLPSSVSIVRSSAHLYLVCFTMSQSRAFIASSTAHPSVAAFFAHPSAAASFAHPLIFASSTQPFIAVIPLDMCTNTVAAQVEDRLTGSAPPHLLDSMLQWQDLLASISSPSFRVISGQEIVSPLRRHGPPTSSLYIYALSPSNSCYYSTVSFTLPSRHIAEPHHSNTDYGLPNQCFRIHLVQSRDVVLSFDPLFLLPSRVGRDLIVYALLLMNTTVKFKQMRHFSTAITYCSGITHIFLPPNSFHLRQRSFEISDFSVLVPCTDFSMFFVTSVSRFQMKYFYGFLHPFNISIFHIAVAFVYHIVVEYTGCNRLNRTIKQICFSSKSQGSFRMGSLDLPHVSSFKGGSEIFLRNVFENILKTYLRKNPTAKTIWELVQSVDNEKICYDHFTFRTLKVDGYGIDSLSSFFMDYGYKIGGGLDFPKKKLRVLWFSPPDAQAPNDGHGLANGPLPRLVIAEVLVDELSLESQGIIRKYLKPLGGKQAVLSSTLGSLIWEKPTWADFTQLAKESEFAAWTLIHGYTMNHLAFAVHRFKHRFNDIKYVKEYLEEKGFKLNSDGGILKVSQDGLLIQISSISERLAVEFADGVTEIIPASYIEFTQRLALPQFKDMPSDEIKEYHRREAFELDSANHVMESTRNGESINIWTKPWLSCEAQESPMGPAPLQYLNLTVSDFFLPNSREWNVDMIRLVLPMEEQKILAIKPSVTGAPDKLSWLGAKSGSYTTKKNLPPTGVEGQLAPWILWGIWTARNNLVFNDKLTSATETLSKAISLAREWGTCQTISSPLPAVPPTQAHASPNCIVVKSDAAWNETLNVAGLGWVMEGQNRTSSFSLPAHHVRTPLAAEALALREAIWKCRELGFTRIRCESDSAVLVKALKEDTFLTGLYGILIDIQALASSFECISFNWISRKKNVEADVLAKQILSVELALMASPTLGGSELFLRDVFENILKTYLKKNPTTKRIWELVQSVDNEKICYDHFTFMTLKIEGYGIDSMSSFFMDNGYKIGGGLDFPKKNLRGLWFSPPEIKIPEDGHGLSNGPLPRLVMGEILVDELSPASQEIIRKYLKPAGGKQALLSSILGSLIWEKPTWSEFKHIAEENELAAWAFINGYTMNHLAFSVHRLKHRFSDINCIIRYLEENGFDLNQDGGVLKVSTDGLLLQVSSLSEQLPVEFSDGIIKSVPASYIEFTERLVLPQFEDLPHDQIKEIHRREDFALNNADNILESS >A07g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18200751:18201742:-1 gene:A07g507050.1_BraROA transcript:A07g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRDRVKGDVVATSTVERNRADDGGSLSRHCFISQLCLRTAVCSRGAKTIRVRQSSFFFFDVASVLPSELPNSTDRTCMLLDKKTNKIRKVSDPCKQIEQQLSSLDTSSPDQSLETLQTLKPCKEHCPTKLVTNLTNSLATWSSSSPLRQLQDISTDMSLLLYIQRRNHAE >A03p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8766386:8767901:1 gene:A03p021230.1_BraROA transcript:A03p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKESKRVVIAKPVASRPSFTNIRTFADLLTDSVTVSPPHETVDAAIRPKTLRFKQPAAASVSCPQVEGNDKGKSCDDSDTKSYVVYKPKAKLVSQATVSALANMGNHQQVWRQSEAVPYAKSVSQGTRPNLVPRVPSFKESETSAGDRSSVDGYNWRKYGQKQVKGSDCPRSYYKCTHPKCPVKKKVERSMGGLVSEIVYQGEHNHSKPSCPLPRRASSSSSSGFQRPQRELASEGSIGQDSSNVYFHPLWSNQSNDSSKSIAEKMNEGCVITPFEFAIPKSANSTGGTSDSGCRSSSQCDEGELDDPSRSKRRKNEKQASQTGVSQSSVESDSLEDGFRWRKYGQKVVGGNAHPRSYYRCTSANCRARKHVERASDDPRAFITTYEGKHNHHLNLRPPTSPTLPFTSSQHSNQAI >A03p011690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4674986:4676356:-1 gene:A03p011690.1_BraROA transcript:A03p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-4 [Source:Projected from Arabidopsis thaliana (AT5G60220) UniProtKB/Swiss-Prot;Acc:Q9LSS4] MRFLQWPLIVIGISIMVVSLAGFAGACYGNKFLMWLYLFVMFFVIAALIGFIIFAYAVTDKGSGRFVMNRRYLDYYLGDYSGWLKDRVTDDGYWGQIRSCVRDSGVCRKIGRRLNGVPETPLMFNFRRLSPIESGCCKPPTECGYTYVNETVWIPGGDMIGPNPDCMLWNNDQRLLCYQCSSCKAGVLGSLKQSWRKVSVINIVVLIILVIFYVIAYAAYRNVKRMDNDEPAGEARMTKSHPSHFEI >A01p018330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8902205:8902612:1 gene:A01p018330.1_BraROA transcript:A01p018330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GLUTAMINE DUMPER 2 [Source:Projected from Arabidopsis thaliana (AT4G25760) UniProtKB/Swiss-Prot;Acc:Q9SW07] MEGRQYNQNPEGTNHSSSMVVPHSPWHSPVPYLFGGLAAMLALIAFSLLILACSYWRLTGSAERDLEAGDDAKPEGDTHKTKTTELPEKFLVIMAGDVKPTYLATAANRSEQSCTCGDHKEEAGSNQVLRQSTGT >A08p017420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12147451:12154936:1 gene:A08p017420.1_BraROA transcript:A08p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSRKKPPPPPPSSSSAPPPPSSSAAPPPKFPRPQKKSADEPDAVEKMTAILAEAGCTLNNPYGPPCLPSDLHAFRRHLTTRFSSCSVSDLRSVFVAGFSSYIQSPSNLRRVLSTCSSTKRDESLVRNLLLVSPIQLDLQETLLEKLPEYFDVVSGCSLEEDVARLIINHFRWLDFIVNPDVFTDKLMEVLSISPPHLKKEIIGSLPEIIGDHISPAVVDSLEKMLQEDSSVVVPVLDSFSNLNLDDQLQEQALIVAISCIRTIDAEHMPYLLRFLLLAATQANVRRIISQIRQQLKFTGISQPCASQNKLKGKAPAYNSEGSILHALRSSLRFKNILCQEIIKELNGLEKPRDFKVIDVWLLILMYMNGDPIRKSIEKIFKKKVVDGCIQEALLDQCICGNKEFVKDNFGSFISLAEHLLSSKEEKAREFGSHIYTRLFEGFADNYSRQEILGALVTHVGSDNKFEVSSVLEMMTVLAKKYAQQLLPFSSHINGILDYLEGFNVENLHKVYEVFSLLALSARASPDSFRSSISNELMMIVRKQISHPDLKYQKMGLVGTIRIVSSLGDATSVPDCSSSQVSDCGEILELLKTSVDSCRQSNLALIIFYDEFAAILSQKLLQPEIMEWIGKHLGEFESLFLADLENGEMANKSSYSGLEGDLWMNLDGSISPICLNILALASSSSESCCLQILPSNFLLLSSVERLTNGGSLAGIDALLGCPLHLPSSKYFAAAGWQSLTTKQREILSLSLYYATNWIRELLNAFSSQIDKRDGCISQATEKDVTTKLLMRLRNLMYVHATLSPFKASLLESLLSNLITLSPQSLPELHPYSATSQHTDHPERKTEKRKLQDDDASQRKRNMKNKLKKSKQSSVDEHLRQPTILDAFKNAGVVTSQTQLHENPSPSSLDGRIASGSMHETCSGDESLSVKIPQLSPALAAQRFKFRPLLPQCLSILKFPKVQSQNIRNPEYKAELPLYLYLLQDLHTKLDSLVPPGKLLPFKRGSPPGYLGGFKLVELVSQIKGLFPSLRMHLNTAMSLLIKGEETPQTIWRDEFAKAGNPNTSNIVVSESLIYTMVCKEVLFCFSKMLTLPGFETDKSLLLDLLEAFQPTDIPVDSFADLQPFPSPGTKDYLFIGVYCFFEDILNNACSFSFDLAFECLLTLQLVVTSAQKYIGKVSEEVTKNRYVGPIQGLVPSLHAKLGTSAEKLLRHEWIDETTDNKGLKNKGEMVQNILRIYLETSGSTSDLLDELACTILPQASLSKSTGEEDAHDHEFPTLCSATFRGWYKTLHEENLAILNKLVKVVSSEKRQNCQSEATEAHLRDIQKTVNVIVSLVNLCRSHDKVTIHGMAIKYGGKYVDSFLKVFDFLEAHIQDHNELVIQLVKDLQKATRTLQTLCSEAKGMKQTAITSKIPATKRSLERFLFHVKALLHTTSRGSNFWMGSLKHKDLRGQIVSSQAYVDNETDDVEEETMSGGEDPMEADELPLSP >A05p042480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25686093:25688461:1 gene:A05p042480.1_BraROA transcript:A05p042480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetate/butyrate--CoA ligase AAE7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G16910) UniProtKB/Swiss-Prot;Acc:Q8VZF1] MAATKSRDIDDLPKIQANYTALTPLWFLDRAAAVHPTRKSLIHGSREYTWRQTYERCRRLASALADRSIGPGSTVAVIAPNTPAMYEAHFGIPMCGAVLNAVNIRLNAPTIAFLLGHSQSAVIMVDQEFFTLAEESLRLMEEKAGSSFKRPLLIVIGDHTCPPESLNRALSKGVVEYEDFLGSGDPNYAWETPADEWQSIALGYTSGTTASPKGVVLHHRGAYLMALSNPLIWGMQEGSVYLWTLPMFHCNGWCFTWALAALSGTNICLRQVTAKEVYSSIAKYNVTHFCAAPVVLNTIVNAPQEDTILPLPHTVHVMTAGAAPPPSVLFSMNQKGFRVTHTYGLSETYGPSTVAAWKPEWDSLPPETQAKLNARQGVRYIGMEQLDVIDTQTGKPVPADSKTAGEIVFRGNMVMKGYLKNPKANEETFAGGWFHSGDIAVKHPDNYIEIKDRSKDIIISGGENISSVEVENVVYHHPAVLEASVVARPDERWQESPCAFVTLKSGYEKQDQNKLAQDIMKFCKEKLPAYWVPKSVVFGPLPKTATGKIQKHVLRTKAKELGPLPRSRL >A01g509970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27298962:27299147:1 gene:A01g509970.1_BraROA transcript:A01g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVVKRIVL >A07p029150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16376214:16378583:-1 gene:A07p029150.1_BraROA transcript:A07p029150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTNLLATSKAVKLHGVRFFSSFLSRPNHFLACRLPQSITRRVQTSCCYRETSFKAESPEREPSSVSDGVGIIRFLKGKTYLITGATGFLGKVLIEKLLRTSPDIGKIFILIKSKDQESANKRLYDEIISSDLFNYLKQMHGRSYEEFMKSKLIPIIGDIGEDNLGIRSEIAANISGEIDVVISCAGRTTFDDRYDLALNVNALGPGRLLSFAKDCKKLKLFLHISTAYVTGKKEGTVLETPLCIGKNITSDLNIEHELKLASEAVRKLHGSGEIKKLKELGIQRAQHYGWENTYTFTKAMGETLIQTMREDVPIVIIRPSIIESSYKEPFPGWLQGIRMTDPMILAYGKGQISGFWGDSQSFLDIIPVDMVVNATIAAMAKHGCGNSDLKVYNVTSSSHAHPLRAGEYMDLCYQHLCDFPLTVIDLARWKFHSSLDGFTSSVFDIIAKQERGTKNEGGEAEESHTTLSFKGKRILDYFVSLARTYEPYTFFQARFDDTNTRSLIQEMSIEEIEMFEFDIKSIDWEHYIVNVHLPGLKRESLKERSN >A02p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1214751:1216273:1 gene:A02p002860.1_BraROA transcript:A02p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSLSEVKIISESFVKPKTFPEKWKEPYHLSLLDHFLLSLHYIQKGLLFLKPSDGAIKPQDFMETLLQKLKDSLATTLVQFYPLAGRLSTWKTDDASSYSVFVDCNNSPGAGFIHAKSNLSVGDIVGSKYVPLVVQSFFDHHKAVSGEDHTMSLLSIKVTELIDGVFVGLSMNHALGDGSSFWQFFNSLSEIFNSQEETISNKLLCLKNPPIFREVSGPICRFPFTQPDDESIHRTEPPVLKERMFHFSSEKMRSLKLKANQECGTTTISSFQSLSAFVWRSITRARRLPNDQETTCRLAAGNRSRMDPPLAKNYFGVYISLVKTTAKVGNLLENEFGWAALKLHQGVVEHTDKKISSDMEQWLKSPSNNRFFDTNVVHMGSSPWFNKYGSEFGMGKAVAVRSGYGNKVDGKVSAYPGREGGGSIDLEVCLLPEFMEALESDQEFMSLVSSS >A09g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19345199:19345828:-1 gene:A09g506520.1_BraROA transcript:A09g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSFYIIVCRLIFLLYFERSPVRIIIRKCSFCKCFEVRKRLYNHHHDARRSDHIFKLSQLKEASEENKTDRDRSVDVKSIANEALRISERQPLECRLVSAA >A05g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:947033:948517:1 gene:A05g500200.1_BraROA transcript:A05g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFTLFFIVTLLSLTVTSFLSAIKLLLHDIGRFRFSSLRKEKRRRLESSLTVLLSLWLIVSPASAPEGPPVSLYPTAVSTSPPDLDLLPTPPEKNFAVSYGHGTTGDLPLTGVSQKSRSVTIAPSIDRHTWVSRPLRFRYDISVLRCASLLQIWYAGTLINEPTTSSVVASHVLTSELHRPRTSPERSPELSFRLSTVASPLNGHCNSPSAGTTPASSHQIGIGNHCLVSPQRLNGLVIVLVWTRLGLAHLASKSPAVTTWIIKRIGLSNVLHQVNMYPVSLYRTRGSLFQGLLPKSATVLLGSSNFKFRQLRPQLSLPFAGSTVQECGVARFARYYVIAASPSHYAVSSIDGSSQSRLCSPLTPSPHLIATLPKFSISRLYQLLSFRDCTVDDSVCSPTPAASLHSTAMSGDPSIFRDSFQLRSKPIRWVLQRRSRLFGVLSNAGSRTTCEDFLRVSSLLSHIYLSLVLVMLAYQLFVEYLSGCNQFSPAGV >A01g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24131863:24133204:1 gene:A01g508910.1_BraROA transcript:A01g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGYTVALVVITVIASPCVYGKQLSDQQEIKVQRLLKQLNKPALKSIKSEDGDIIDCVPITTQPAFDHPLLKNHTIQMRPSFVPEGGSTFTKKEAKAITQVWHKNGVCPNNTVPIRRTKKEDILRAKSIESFGKKRHKSFGKGTHQSNPGEGHE >SC166g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:148896:150782:1 gene:SC166g500050.1_BraROA transcript:SC166g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGFGCEWYGRPYKAVHGRTVRASSSCSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELN >A03p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15678252:15680568:-1 gene:A03p037430.1_BraROA transcript:A03p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVAKLVCTIFIRSCLWLLLLPGLTRAMESDITCLRSLKSQLKDPHAYLSNWVFGDYSDGYICSFFGMYCYRSSLNKVLSINLGGSGLEGEFPSGVKLCSFMESLNLTGNNLTGTLPSDTFSSLPFLVTLDLSNNNLAGEIPASLPNMSYLNTLLLDHNRFTGRIPSELASSPGLLQFSVAHNDLKGPIPEFTAGNISLSSFDDNPDLCGFPLDPCQDSFYSMAIIAANIAAAAFFPVGACYGWLYDSHKQNQRPRRHGLKKLSFKTIHPLSLFQFQVPTNLRPKAKASAELDSSMEASEPKTNYTPTVETMTSPASSSAATTSVHVSALDGLVNVNSLFTIAVFVGLSIATPGQHSLELRSSCDASADVARKLLVFEVVSFSFFLFSSLVAQGLKLALNLLNSKDVDEIFRAHINITVLRWGMMASAVGSVMGCLFLMLSMVNVIQIRLGLLSCGSESSAQAVATLVTLVSSALLVYISTAIYAFWH >A10p006960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9965677:9967377:1 gene:A10p006960.1_BraROA transcript:A10p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDKKKQQPLHQDEFDHFVRNVFCVRKKMRLRTHGFFFELFRFCPYDQLLTMANTNRQLHGEVSAIRSTINECTQGTHCHVTEYHLHLRRDVNFCVSIFDYLPLAFHKKFESYGAEPKIVVATSQFLNATSGTHIYLDSETAIGKEQFASKLLTGDGTEQTASSSKIIEFLCTAKVTGVQLVLYWLLQMFKETPVACGTVVVRPHKYCLRSSKSLINLSLQEKIVFIALEYSVFCLSAMVDIPLNFR >A02p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:918500:919238:1 gene:A02p002220.1_BraROA transcript:A02p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA-binding protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06210) UniProtKB/Swiss-Prot;Acc:Q9FFZ6] MAALARIGGRYLKPVGVTKASSSCFLTQRRGVATKLFVGGLSFYTTEQGLSEAFSQCGQVVEAQIVMDRVSDRSKGFGFVTFASDDDARKALMEFNGQQLNGRVIFVDYAKAKQTFGGGGGGLPIARGPPRPGEAAATTSNIE >A02g510960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29105809:29108626:-1 gene:A02g510960.1_BraROA transcript:A02g510960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQNGKAHVSSDSEGRVMLFKDVSLGLHAAQLRFRLIHFWEARNPIKKTLIGLEMLMIDEQGTVIQGFIPPGRIKKYLPDMKQGSVYQLDNFFGSKNKPVYRVADHIATVSFTWNSEMSVLHEVPISFDEDRFRFHSYEDFEANCDLKDGTLRCSSTSRFRSFSLMKILKLKFKCGKMYQLNSRRIYFQVLIQLVNGQTLIGRPILDEVEIATSRHIMVHLQSHDGPVMKVYLWDQAAADFCKKFNSCANTPTVFLVTTVNTKHLGGTLALASMSSTRVFMDYDVQPTKDYFTWLGSNPEIAKQVSAEVVTKRETLTIADIFSYMTQESAKDVFFECTATIDDVVHGSAWYYIGCSACHSKTTKGATSLICTNTRCEKVNTTGVAQYRAKISVYDNSEQAFFVLLGDAGRPDHEVPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKILSLDTPPPTEASVENTIAATSEETMQTGNEVCEPSKSRGGSTSEESKRTSASADPEKSKRQRCEK >A05p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:958987:960578:-1 gene:A05p002730.1_BraROA transcript:A05p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEASVTENPAMTFDEASMERSKSFVKALQELKNLRPQLYSAADYCEKSYLHSEQKQMVLDNLKDYTVKALVNAVDHLGTVASKLTDLFDQQSCDVSTMEMRASCVSQQLLTCRTYIDKEGLRQQQLLAVIPLHHKHYILPNSVNKRVHFSPLRRSESRQNHSQAISRLQPSDAPASKSLSWHLGGSETKSTLKGTTTVASSSKDSKAFAKTSGVFHLLGDEENIKPLVGGSQVSGVPGTSSITRQTYGVAQKDMEAPKLMTGHKSFDNPRREIIQAPVRTKSVLSAFFVKQKTPKLKAGYV >A03p028820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12111832:12114291:-1 gene:A03p028820.1_BraROA transcript:A03p028820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEIHATDSATDDDRSRNLNEIDRAALSRPLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKIFVWTVGTIVAAALLAGFITLIVKTVPRHHRKTPPPDNYTVALHKALKFFNAQKSGKLPRHNNVSWRGNSGLQDGKGDSGSFYKDLVGGYYDAGDAIKFNFPMAYAMTMLSWSVIEYSAKYEAAGELVHVKELIKWGTDYFLKTFNSTADSIDDLVSQVGSGNTDDGSTDPNDHYCWMRPEDMDYKRPVTTCNGGCSDLAAEMAAALASASIVFKDNREYSKKLVHGAKTVYQFGRTRRGRYSAGTAESAKFYNSSMYWDEFIWGGAWLYYATGNVTYLDLITKPTMAKHAGAFWGGPYYGVFSWDNKLAGAQLLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPYFNKFNRTRGGLIELNHGDPQPLQYAANAAFLATLYSDYLDAADTPGWYCGPNFYSTNVLREFARTQIDYILGKNPRKMSYLVGFGTKYPKHVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIEGAMVAGPDKRDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGEEEASGTIDKNTIFSAVPPLFPTPPPPPAPWKP >A05p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31460505:31464474:1 gene:A05p051560.1_BraROA transcript:A05p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDCEVEVCSEEEGFVGAWFRAVLEENPTKSGRKKLRVRYITLLSDDGLTPLTEFIEQRFIRSIPPKEMQNSVVLEEGTLVDADHRDGWWTGVISKKIEEGEKFLVYFDSPPDIIEFERNQLRAHLEWTGWKWVVPDKEEVDSSEFRSGATVEVCSAKDKAWYPALMVTEIEGEDGESKFLVKDFNQRLSCIGGEATPSLVVDGHSVRPAPPPSSVGEFELMARVELLRGSGWCQGLVQKILSEERYWVSLDVTKEEYVFKHSELRPLMVWENGNWRDESKQKAVKETPSNTLNKNPMHSCSGPKPFTRVKTVDATAELRTKKKNDAIISDKTPPVTTVTPLIQKETEGEKSSEKRLEQMPKEKNSEATSRKRQRGQDQHKDMNETGNLSTTSSEDRVRQSRQKQKTVKETPKRPMPSSSSGAKKATQHMKKPLNPHDNEENNTLEATGGLGKDTLMMNDKTPEVMSIAKEYVDPSLVIAATPLKQTEARAVENTSPVMNLNDSTPHMTHEEENSEAKSRKRRREQDQHSNLNEEADGTSNVSIGEVNDTASKNLCRDGEVVDQLISSWIGNSSTELSPDQSLKETPAKDNTLMDLPFTKKSPYWKTYETTQAFKSVPQRPHFSTLLEAKKDFFLESAAVGMMVSFYSFLDEVKDLKLDDSTSKLHDLSVSFAELEKNGFDVEAPQAVISKVLSLKDVRDRKAEEQKRYEEDIGEEESASLELKEVRAERRLEIGELQRKISELERQDAVGKQKEEAAEEKIADMKAHVGMIGQEIEDVEAEFQKTISALW >SC163g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:85861:86741:1 gene:SC163g500050.1_BraROA transcript:SC163g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVFHLLFLSKDISATLCGDNRPIQA >A02p043870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27627773:27628866:1 gene:A02p043870.1_BraROA transcript:A02p043870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYSLPVCILLALSAESLIVSCSIRLKTLSWFSFSNICRVGGKQYNTFLSIKHDPKTQNWLFTNGNTYIGYWPGELLPYLEDGAEQVRYGGFTNAQTENLQPFDIVSPPMGNANKPLDDEVDLKHTCYMHFVKYVALDYKSVDINSNIVIEDADFTECYDVNYLDNFGSHRQTFTFGGPDGICDV >SC140g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:226620:227828:1 gene:SC140g500080.1_BraROA transcript:SC140g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSLCSQEVFLVHHPSKLKEEDFAHCVEQWRVEKEVVMRHC >A03p026440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11067441:11068881:-1 gene:A03p026440.1_BraROA transcript:A03p026440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSRGTLENFNKAFFVSLSLWFLENETLVLPTIASKLYLHTEAVYIQYNVYGQLFEVSRKYVPLVEALVVSSARLWVNSVNGEKVAIKKIGNAFDNIIDAKRTLREIKLLRHMDHENVIAIKDIVRPPQRDISQRCLHCL >A09p077520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57588699:57589119:-1 gene:A09p077520.1_BraROA transcript:A09p077520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDLVADALMSMKLEELSVLDALMLPVTLSSPDKSGIASAWNMKEQRLNKIGEVVDGPESRCQDTRFASSWSNSTSYGQDSEIFSLPPQLSSRASDF >A08p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23645410:23646474:1 gene:A08p041960.1_BraROA transcript:A08p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLAAFPCLNPSWLLTPSRSSQTLNSPFLSSPCSVLNLKHAPSLLLKSRIRISSSRRAPTKTLVVLSAQTSLLKVLRTAWNIGKDGIEAGTNLVPVSVPRPVARISVTIAALAVSLFVLKSFLSTAFFVLGTMGFAYFLFIALNKDEAPKQRGGEDNISGSKPMDDDPLEEAKKIMDKYK >A03g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9271298:9272325:-1 gene:A03g503050.1_BraROA transcript:A03g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSSNPETIDLGRVSQLGHDFPREEKSMKFRPRFSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALQSQLSKAEIRDSSMPHISDIPDTPTSKIQINTLANDSDISE >A08p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14643859:14648910:-1 gene:A08p021980.1_BraROA transcript:A08p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSHVFVSANHQVNGEKPPPRICPRCNSDNTKFCYYTNSSLSQPRYICKNCLRLWTHGKALRNIPIGSGGLALEKMDYSSVFAERGNEVNQEKPPPRVCPRCNSTNTKFCYYNNHSVSQPRYKCKECRRNWTHGGALRNIPIGGSGHKKKSTTIDQPFVSQAVSAEIQQVSRHRQPFLHAQETNQFVESFGGSSSGFDVDNHIGSFPEISGDGVLPFQSFPPMDRSYFHDGLFQQDYYNAESNDLIGNHLINQSIGSYNVVSSNHNSYINQEDRDKWNQSLNNTMNINHNASTSGSIEWWDTDHKNKYKGKIKNNCVYESSYHLEKHGP >A03p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8952708:8954293:1 gene:A03p021810.1_BraROA transcript:A03p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional monothiol glutaredoxin-S16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38270) UniProtKB/Swiss-Prot;Acc:Q8H7F6] MAAISFSSVHASPSPRVFRPQSSRNTPGLTLYSRFTPSFSFPSLSSTLRVDTVRSRRPFLIASAVKLLGETEQLPIAEAESIPAESGVYAVYDKSDELQFVGISRNIGASVSTHVKSVPELCGSVKVGIVEEPDKAVLTQAWKSWIEEHIKVTGKVPPGNKSGNNTWVKQTPRKKSDIRLTPGRHVELTVPLEELIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILESQGVDYETVDVLDDDYNHGLREKLKSYSNWPTFPQVFVKGELLGGCDILTSMYENGELADMFK >A01g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21499491:21501729:-1 gene:A01g507550.1_BraROA transcript:A01g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSELVCKKSSLPPSSPHSYLRVRLSGRISRPGKGSSEKEGSKELELENKATLTTIVNTLDIISRKFDHVDSRLEAYELDRNIPLMDQKTIDDRVNALLEERLKDLGIGKIPENHDNPSPPLSNPSPPLSKASPVVRTHQKSVNSPALVDATPRPKKNLAKELEKESGVKRDLDEEFGSVDKDTDMRPLDFLVISPA >A02p001320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:601107:603962:-1 gene:A02p001320.1_BraROA transcript:A02p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQFSSRFSVNRVQCTQNRTTSRTSRAVCRSAFTGTTNSASLSSKSTSTREIWSWVKSKTVGHGRYRRSQVKAEMFGQLSSGLEAAWTKLKGEDVMTKENIAEPMKDIRRALLEADVSLPVVRRFVQSVSDQAVGMGVIRGVKPDQQLVKIVHDELVKLMGGEVSELQFAKSGPTVILLAGLQGVGKTTVAAKLAYNLKKQGKTCMLIAGDVYRPAAIDQLVILGEKIGVPVYTAGTEAKPADIAKQGLKEAKMNNVDVVIMDTAGRLQVDKEMMDELKDVKRFLNPTETLLVVDAMTGQEAASLVTAFSVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPNRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSEKFDFNDLLKQTRAVAKIGSVSRVLGMIPGMGKVSPAQIREAEKSLVIMEAMIEAMTPEEKEKPELLAESPERRKRIAKDSGKTEQQVSQLVAQIFQMRVKMKNLMGAMEGGANPAFTDLADAMKAEQKGLPGTARRKKKAESRKKFVESASSKPGPRGFGSGN >A03p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21817104:21821341:1 gene:A03p050760.1_BraROA transcript:A03p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGMPLLYQQFTALFKKNLLLSWRNKSATCLHVFSSFFFIFLIFCIQEAMKASDVSSSADVNITDPKASASSPIPPCEDKFYVKLPCYDFVWSGNQSRRVSDIVSAIMANNPGRPIPTNKVKSFKRPEEVDAWFTSHPLHAPGALHFTERNATVISYGVQTNSSSATKRGRTEDPTFKFLVPLQIAAEREIARFLIGDPKFGWSFGFKEFAQPAVAKDVTISPLNLMGPVFFLAFSMFGFVLQLGSLATEKELKLRQAMTVMGVYDTAYWLSWLIWEGILTFVSSLFLVLFGMMFQFDFFLKNSFFVVFLLFLLFQFNMISLAFMLSSFISKSSSATTVGFLVFLIGFITQTVATSGFPYSSTYSLSRRVIWSFFPPNTFSAGLQLLIEATSVPGSPGISWSKRDVCSKMDDATCFLTMNKIYIWLVGTFFFWFVLAIYFENIIPNASGVRKKIFYFLKPSYWTGKEGNKVEEGSICSCFGSVPPVEHLTSDDQDVLEEETLVKKQAMDGTVDPNIAVQIHGLAKMYPGTTKLGCCKCTKTSPFHAVKGLWMNIAKDQLFCLLGPNGAGKTTTISCLTGINPVTGGDALIYGDSIRSSVGMSNIRKMIGVCPQFDILWDALSSEEHLHLFASIKGLPPASIKPIAEKLLADVKLTGAAKIRAGSYSGGMKRRLSVAIALIGDPKLKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFITTVSFLENKNDGSGTSPEPLKRFFKKHLKVEPIEEKKAFMTFVIPHDKEKLLAGFFEELQYRESEFGISDIQLGLATLEEVFLNIARRAELESATAEGTVVTLELESGILVEIPVGARFVGIPGTENAENPRGMMVEVYWQQDGSGSMCISGHSPEMRIPQNVSVLYEPPSQVLGRGQRRVRGSVIAYESSD >A01p016370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8101260:8102670:1 gene:A01p016370.1_BraROA transcript:A01p016370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEMVVKPLEEIVTNTCPKPQSAQTQQPSSVGAGGGAERKARPEKDQAVNCPRCNSINTKFCYYNNYSLTQPRFFCKGCRRYWTEGGSLRNIPVGGGSRKNKRSHSSSVSSSSDINKNHSDSTQPATKKHHSDHHHLMSMSQQGLTGQNPKFFETTQQDLNLGFPPHGLIRTNFTDLVHNIGNNNKSTNNPLLSSSSSTTSAMAVSSLDLIRNNTSNNGSSSFMGFPFHNQDPTSGGFSMQDHYKPCNSNTTLLGFSLDHHHNNGFHGGFQEGEGGGEAGDDVNGRHLFPFEDLKVPVSSSSATINVDINDNQKRASGGDASANSGGYWTGMLSGGSWC >SC122g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:642671:649676:-1 gene:SC122g500320.1_BraROA transcript:SC122g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREKMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFGLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRVYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGRGADDRITRKEWRVEAERTTTLDNERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSTRALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEPYHGQIAFRGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMHHWCEVSLKLTRKLGPILNPSLRRGV >A07p043970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24028409:24031227:-1 gene:A07p043970.1_BraROA transcript:A07p043970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEPAHRRYLPCLFLFLLSLLQPITADPRARSVKITCSPQLEHNETVFVPNFVASMEKISQVVQTTGFGTAQTGSGPDGNYALAQCYGDLPLNDCVLCYAEARTILPKCYPQNGGRIFLDGCFMRAENYSFYKEFKGPEDTVICGNTTKRNNATFGDAVRQVVRNAVAAAPGSGGYARGASENGESAFALVNCWRNLSPESCKQCLEDASASMVDKCLPWSEGRALHTGCFLRYSDQDFLNKIPRNGRSRGSVVVIVVSVLSSVIVFMVGIAIGVYICKHRTIQKKRRGSNDVEKMAKTLTDSSLNFKYSTLEKATGSFDNANKLGQGGFGTVYKGVLADGRDIAVKRLFFNNRHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEYLQNKSLDRFIFDVNRGKTLDWQRRFVIIVGTAEGLVYLHEQSSVKIIHRDIKASNILLDSKLQAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYSFGVLVLEIVTGKQNTKSKMSDYSDSLITEAWKHFQSGELEEIYDPNLDWKNRNDSIIIKKEIVRVVQIGLLCTQEMASLRPSMSKVLHMLKNKEEVLPLPSNPPFMDERVMEFRDGSDGDSAACASLATVSQSSFYGR >A09p077430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57555535:57557110:1 gene:A09p077430.1_BraROA transcript:A09p077430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAYAKCYGRVDREPLHKRHRFCGVSVHAKEMQFIDSRDEEVNGERDCMHHHNEKRATAPSSLPNDVVEEIFIRLPVKTLIRFKSLSKQWNLRIKSHSFAEKHLKMASSYQVNHPSLMLFFPSPITSTEIEFHPFCLEGRRPLSHTQLSFPLGFLGWIHYSRSCDGLFCIQSSKSICVVNPATRWFRYLPLSGYQILNPTYLPANLITAAAFVKAADYKLVWLYNSFPFPPNGVTTCEVFDFRANAWRYLTCTPSYRIYGCIYCEPESANGSVYWFTERNNNYKMDVIAFDIHTEKFRLLPKIHSSSDPDLNDHRSMCTLDDRLCILITTSILDKEFWRLKSSEDMWEKIYTINFLSTPLSWIGSESYWRPVTMWKKKKMLLSHPCSGNLVIYNLQTGSVRIIHSHTLCMPYFESLISNI >A02p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26122264:26123236:1 gene:A02p041700.1_BraROA transcript:A02p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BOI-related E3 ubiquitin-protein ligase 1 [Source:Projected from Arabidopsis thaliana (AT5G45100) UniProtKB/Swiss-Prot;Acc:Q9FHE4] MAVEATYMNLFASQFTTTGEYVKFETNMNNDITVGFPTTFGVQKLPYARTIESESDLTYNFNKSPAPPSISKRQRDHTFDINAPMPSQKRRSCAFEPQTSFMDAQIQQQQSEIDRFVAQQTETLRLELEARQQTQTRILASAVQSAIIKTLKAKDDEIARMGKLNGALQERVKSLYVENQIWRDLAQTNEATANTLRSNLEQVLAQVDDFPGTVNGGDGFRHSVEEDAVSSCGSCEGADGAVTGGCRRCGERRASVLVLPCRHLCLCTVCGSALLQACPVCDTVMNASVHVNMSDS >A10p005410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9316000:9317901:-1 gene:A10p005410.1_BraROA transcript:A10p005410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVANVWSIGYALSDSKCFSNSAVSRRTPAALDHKLNGSLKCTPNSSSLVCRVSSGGYRRNPDFSRLNKHGINRQSEDRDGFDGDNSDMLSSRNGSLLSLSNSPKFQATSSPGPREKEIVELFRKVQAQLRARAAAKREDKKAQEEEEASKGRGKESETVDSLLKLLRKHSGEQSKKVNSFNSHGDSSLRGDHVDRRQDRSGGNLVKSWTKDHNASSSSSSFTRPASSFRRKSPVPRFESPPPTYSNEATFDEASSYSVTWTHKKDTAESHDEPEDELQAEIAPEYDEHAPEYESEPDPVAAILEPESDLHLDASSFYQEEEHNVTLDALSEEEESLDDADEEVEEEAVKDEDLSTLKLMELRGIAKSRGLKGFSKMKKAQLVELLSSDSS >A03p035440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14885905:14887801:1 gene:A03p035440.1_BraROA transcript:A03p035440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKIGINGFGRIGRLVARVVLQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHNELKVKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDQIKKAIKEESEGKLKGILGYTEDDVVSTDFIGDSRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLIVHMSKA >A06p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21997477:21998862:-1 gene:A06p040660.1_BraROA transcript:A06p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRERDLERLIPMHKSGEVVLSVPPSPLASPIHVAGRESASYLCVKLFDVLYVQNKQAVYKVIRSWASKKFMTGCVILLPIAVTFYFTWWFIHFVDGFFSPIYTHLGINMFGLGFVTSITFIFVVGVFMSSWLGASFLSIGECSGAFKEVAIIRHPHRGEYAFGFITSTVILRGRAGGEELCCVYVPTNHLYLGDIFLISSKDIIRPNLSVREGIEIVISGGMSIPEILTTLDAETIHRTVAGSFAIPQV >A10p002060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1091710:1094256:1 gene:A10p002060.1_BraROA transcript:A10p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA2 [Source:Projected from Arabidopsis thaliana (AT1G03310) UniProtKB/TrEMBL;Acc:A0A178WGA8] MAVWSPSVGIGSCCLLHNVITEAWRFPSTCFSTCNNNTKRGSKTLRVTSALQSYHRLSKICASKTSTELKEEVSTRSSQVDEPKKVTTSYSFRTKSGALVKVKVEKKREKYSIMVYVSSLELIGGDDGKSSSLVMVWGVYRSDSSCFLPLDFESSSQDSQTHTTETLFVKGSLSESKLGLEFDGKESPFSLSFRLKNPNGGLEMLTHRDTNFCVPVGFTAGRPLPLGLSSGPDEDNSWNFAFFSRNAKSVVLCLYDDTTTDKPALELDLDPYINRTGDVWHASVEKTWEFVRYGYRCLSEEEAEDIVLDPYARVIEKFLGSLSKNPCFDWGRDVSPNIPLEKLIVYRLNVKGFTQHKSSKLPTNIAGTFTGVAEKVNHLKTLGTNAVLLEPIFSFSEQNGPYFPFHFFSPMDMYGPSNSMKEMVKRLHSEGIEVLLEVVFTHTADSGALHGIDESCYYLDSKSYVNCNFPVVQELVLESLRYWVTEFHVDGFCFINASSLLRGVHGEHLSRPPLVEAISFDPLLAGRKLIADCWDPHDMKMPKEVRFPHWKRWAEVNTRYCRNVRNFVRGRGVLSDLATRICGSGDVFTDGRGPAFSFNYISRNSGLSLVDLVSFSGPEVASELSWNCGEEGATNKSAVLQTRLKQIRNFLFIQYISLGVPVLNMGDECGVSTRGLTSRKPFDWNMLASAFGVQITQFISFMTSVRGRRSDVFQRRNFLKPENIFWYANDQTTPKWEDPASKFLALEIKAEREEETTASLVEPTEPKNNDLFIGFNASDQPENVILPPLPKGSKWRRLVDTALPSPGFFSVEGETVVAEEEEEEMNQPVMYEMKPYSCTLFETINASA >A03g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4777245:4779373:1 gene:A03g501580.1_BraROA transcript:A03g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETETVNGAKESKLWKGVFAVSGIMSTLVIYGVLQEKIMRVPYGLNKEFFKYSLFLVFCNRLTTSAVSAGALLASKKALDPVAPVYKYCLISVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYKGFDYFVAFLVTLGCSVFILFPAGDDISPYNKGRENTVWGVSLMAGYLGFDGFTSTFQDKLFKGYNMEIHNQIFYTTLCSCFLSFTGLVLQGHLLLAVDFVSRHRDCLLDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQVIVFGSLYAKNLLNNNKKSQTQPPPPPELPQYEKPEGSSS >A08p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9738441:9738949:1 gene:A08p015010.1_BraROA transcript:A08p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTFIVWFILLAAVVVTVAKEASVEDKKDAKKVEIPTVEGKGEAAAEAVVEEEKETNYEVDNRGGGVGGGGGQGGGWKGGGGHGGGWKGGGGQGGGWKGGGGQGGGWKGGGGQGGGWKGGGGQGGGWKGGGGRGGGGGGV >A04p035470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20446324:20447491:-1 gene:A04p035470.1_BraROA transcript:A04p035470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHVKPLRLAAGTLAAASLLRHHTAAISTNAAGNGVFSQRSRHTAASGRSRTWSPFLESSSLVRLQRLQYTAATSTNATGDEVENGKKTLILHRTKTSATVSSVLMELKPLWGSSLSINCNPPVPREKQRLFQSIQRHTYLKGPMDKVTSVAIPLALAASPFYMIAVKVEVFVV >A06p034040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18405592:18417053:-1 gene:A06p034040.1_BraROA transcript:A06p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLMSFFVIALLIIIISIFSSSSTKKTQETNVNGPPSYPLIGSILSFNKNRHRLLQWYTKLLRLSPSQTITIPLLGNRQYILKENFFNFPKGKPFTDLLGDLLGQGIFNVDGHSWSSQRKLASHEFSTRSLRSFAFEVLREEVETPDVGTTVDLQDVFKRFAFDVVCKVSLGWDPDCLDLTRPVNPLAEAFDTAAEISARRATEPLYAVWKAKRVLNVGSERRLREAIRTVHEFVSEIVRAKRKSLEIGTGQEAKQDLLSRFLASGHDSEAVRDMVISFIMAGRDTTSAAMTWLFWLLSENDDVEKKLLQEVEPLMSLGLGFEELKEMSYMKACLCEAMRLYPPVSWDSKHAANDDVLADGTRVKKGDKVTYFPYGMGRMENLWGKDCEEFKPSRWFDSEPGITEPVLKPVSPFKFPVFQAGPRVCIGKEMAFMQMKFVVGSVLSRFEIIPVSKHKPVFVPLLTAHMAGGLKVKIKRREVK >A03p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5177422:5179950:1 gene:A03p013220.1_BraROA transcript:A03p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 4 [Source:Projected from Arabidopsis thaliana (AT5G57690) UniProtKB/Swiss-Prot;Acc:Q1PDI2] MDSPSSSGDSLTARMIPRHSSLDSFGAMKVVQLVNLASIRVSKAELRQRVMLPKYLRLAIRDCILRKDYSTPASSSSSYLTPEVPLMVFVNPKSGGRQGPLIKERLQNLISEEQVFDLTEVKPNEFIRYGLGCLEVLAARGDECAKEIRERMRIVVAGGDGTVGWVLGCLGELNVQNRQPVPPVSIMPLGTGNDLSRSFGWGGSFPFAWKSAIKRTLYRASIAPVSRLDSWNILITMPSGEIEDPPYSLKTTQECIIDQSLEIEGELPPSRNGYEGVFYNYFSIGMDAQVAYGFHHLRNEKPNLANGPIANKIIYSGYGCSQGWFMTHCTNDPGLRGLKNIMTLHIKKLDSSEWEKVPVPKSVRAVVALNLHSYGSGRNPWGNLKQDYLEKRGFVEAQADDGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEIRGGEWKDAFMQMDGEPWKQPMSKDYSTFVDIKRVPHQSLVVKGD >A02p032220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16891885:16892910:-1 gene:A02p032220.1_BraROA transcript:A02p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIGTSNAFFSIWFLLFLALSVTPLVFSRTLSADPDPCDAMKLRDFQKLRSDQITVLINGYSESRIPLLQSIVAAYSGSSIVSSILVLWGNPTTPAQLLDHLYHNLTRYSLGTASISLIQQPSSSLNARFLPRPSVDTRAVLICDDDVEVDKKSLEFAFSVWKSNPDRLVGMFVRSHGFDLQGKDWIYTVHPDKYSIVLTKFMMMKQDYLFEYSCNGGVEMEEMRGVVDTMRNCEDILMNFVAADKLRAGPVMVGAERVRDWGDARNDEEEQEQVENGVREAGLSSRRVEHRKRRGKCIREFHRVMGKMPLMYSYGKVVNSVGEQGLCRKSGKLVFCDRD >A03g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8624172:8625061:-1 gene:A03g502760.1_BraROA transcript:A03g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGFRTKSTFISYIRMVARWSFGAVTRSGPETWKRYFARCHVEVDLSFSGQVRLQMLFRRRMWRGEGWPSMTLFFFNSRLFFVLLIFAAFGVVVPHQLLVVFVPLRFFQLLDGPLPLCKVAFSVFRALECQFSKRDLFGRAEPRRGRIVSHFVALLHIYSEVKTFIDLGVTIQSI >A09p076400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57158847:57170119:-1 gene:A09p076400.1_BraROA transcript:A09p076400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWQQAYMNYGSLKKCLKDIMAFKLRSNHAAPRGGAKNHHGGGLSRKMTLYRTFSGLVSTPGRHRRGNSHDLEEGVPLTATSGPILVNTTVDHGCVTTFLMTTEEGGEYELVFFRRLDDEFNKVSKFYKEKVEEVLKEAVVLNKQMDALIAFRVKVENPEGWGWDERAVEITQLASDIASSAAAISASSPAGARSMNVSKAHLEAIQEGGSSRAGRTEDVVQEEEEESNGASACTTARDANTTKMRAMRPAPLDILDRVWLNDTKETPRSTIKGLLQMTKQTDLKFSRENLMMVEAKLRQALFVFYQKLRLLKSYSFLNVLAFSKILKKYDKITSRDAMKPYMKMVDTSHLGSSDEVVRLMERVEATFIKHFANANRTKGMNILRPKAKRERHRLTFSTGFLGGCIFSLIVALAAIIRTRHLLQQEDQEQYMNTMFPLYSLFGFIVLHIIMYAANIYYWKRYKVNYSFIFGFKQGTELGYRQVLLVGFSIGVLALLCVLANLDMEADPETKGYQALTELLPLFLFIAMFVVLVLPFKFFYGSTRLFFLTSLFHCIAAPLYKVTLPDFFLGDQLTSQVQALRSIQFYICYYGWGDFRHRKNTCNTGSYKAFIFIVAVIPYLSRLLQCMRRLFEEKNPEQGWNGLKYFLTIVAVCLRTAYSIQKHQVAWRVLAAIFSVIAAIFSTWWDFVHDWGLLNRTSKNHWLRDKLLIPQKKFGKEFSSQMVPEWQQAYMDYGFLKTLLKEIIRFKLRTNNAAPRGGAKNHLGGEGLNRNMTLYRAFSGLVSISRRQRHGNSHDVEEGVQLTTTTTTGPILVNTTVDRGCETTFLMAAEEGGEYELVFFRRLDDEFNKVSKFYKEKVEEVLKEAVVLNKQMDALIAFRVKVENPDGWGWDERTVEISRLASDIATSAATLSASTPAGAKSMKVRSQAHMEAIHEGGSSRTGQLEDNDEEEQQREDINEVKTTRMRAVRPAPLNILDRVSINDTKETPRSTIKGVLQVPKQTDLKFSRENLMRVEEKLRHAFIVFYQKLRLLKSYSFLNVLAFLKVLKKYDKITSRDATKHYMKMVDSSYLGSSDDVVRLMERVEATFIKHFANANRTKGMSILRPKAKRERHRITFATGFSAGCVFSLIVALVAIIRTRNLLQGDGQKQYMNTMFPLYSLFGFIVLHIIMYAANIYYWRRYKVNYSFIFGFKQGTELGYRQVLLVGFTIGVFALLCVLANLDMEADPKTKGYQTLTELLPLFLLIAMFVVLVLPFNFFYRSSRYFFLACVFRCLAAPLYKVTLPDFFLADQFTSQVQALRSIEFYVCYYGWGDFRHRKNTCNTSLYNSFLFIVAVLPYVSRLLQCLRRLFEEKNPEQGYNGIKYLLTIVAVCLRTAYSFHKGNIAWRMVAAISSAAAAIFSTYWDFVHDWGLLNRTSKNRWLRDKLIIPQKKVYFIAMILNVLLRFAWIQTVLDFNFSFMHRQTMVTTVASLEIIRRGIWSFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDD >A09p072210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55467257:55468261:-1 gene:A09p072210.1_BraROA transcript:A09p072210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQLSGQETILGGQYGSTLQVVSGDSTLIYQKLVEEFKNIIVCEATVISEKETGTRSKSVKNMKLRKANQDIGAVKTPYLTNQEKFINETGFPGFYTQQEHTANWFHTKSSNGLGDMPFTSQTIYTASELCWKVHALEDVNWNTLKQPHMNSQRLQLLLPLSCASSFLFGVFFDHQLLMFGSLDLNLSLSVFFFCHWTFLVLNFCYKVLLLKVLDHVINLLINKLSLSLDNNPGLASLFLT >A09p046760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40645093:40657669:-1 gene:A09p046760.1_BraROA transcript:A09p046760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVPNFHHCYTFVLVLLFPALSVSTNTLSAKESLTISSHNTIVSPSHIFELGFFKLPSNSGWYIGIWYKVISKRTYVWVANRDSPLSSPMGTLKISDNNLVIFDISNTTTPVSVWSTNLTRGDVKSPVIAELLDNGNLVLRDSNNNNPDGILWQSFDFPTDTLLPEMKLGWDRKTGFNRLLRSWRSPDDPSSGDYSFKMETKGFPQIFLWKSDSRRVYRSRPWNGVRFSDAPEMQPFNDLVLNFRVSNEEVTYSFQITKNNIYSIFTLSSKGLLERFIWNETEHNWHQFWHAPKDQCDQYKVCGSYSYCDSKKSPVCQCIKGFEPEPMYPVVWGLGNRSGGCARQTQLSCDGRDGFVQLKNIKLPDSMEASVDRRIGVKECEDRCLKDCTCTAFANRDIHRGGWGCMIWTGELVDIRSYTKTTSQDLYVRVVRQAAADQDDKSKKSAKVIGSSIGVSVLLVLSFIIYGFRKWKQKRPSIAGSQDLPMKEAVLSSMGHISRENKTEDLELPLMEFDAVAIATDNFSDANKLGQGGFGIVYKGRLLEGKEIAVKRLSKTSVQGNDEFMNEVKLIARLQHVNLVRLLGCCVDKDEKMLIYEYLENLSFDSHLFDKTRRSNLDWKKRFDIVNGIARGLLYLHQDSRLRVIHRDLKASNVLLDKDMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYAMYGKFSMKSDVFSFGVLLLEIISGKRNNSFYDSDRDLNLLSFVWRYWKEGKGLEIVDPVIVDWSSSTFRPHEILRCIQIGLLCVQERADDRPMMSSVVLMFGSETTAIPQPKTPGFCAGKSPSDSKQREYRSWTVNQITLSILDARNLHLRLTISGAVEQHFWWLIESILARNCTKSRVVSCEEVPQLEMEDQPLEQNPRVSKIGICVEAGAGKMFWRMAGLSTASAVEAILDKDHFTLEELLDEDEIIQECKALNGRLLNFLRERVQVEQLVRYIIEEPLEDGEQKRAFKFPFIACEIFTCEIDMILKTLVEDEELMLLLFSFLEAKETHNSLLAGYFSKVVICLLVRKTIPFMQFIKDHQEILNQLVDLIGITSIMEVLKRLIGTDEHLYSNYTSAMQWVEDTDVLEMIVDKFGSSDSPEVHANAAEILCTVARYAPPGLATKLSSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYHMYGRQLAHESLVTNPETVEGMLGSLGDLLMLLNVSSAEGVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKEVIRLGVVKRVLDLFFEYPYNNFLHHHVENVILSCLESKNSQLLDHLLSECNLIGNILEAEKNSILSDADSDKLHPTVPAEGRKPLRIGSIGHLTRISNKLLQLANSNEEIHSHLQENSNWVDWQTDVLSKRNTLENVYSWACGRPTSLLDRNRDSDDDDYHDRDYDVAALANNLSQAFKYGIYSNDDMDEAQGSMERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWLAFDDEKAAKERSVSSIASPSAGGDKDGDDDVVIGEADEFSETTASSAAVDMETEDSASKNPSENPSELEAEKSSAWVEWRETFESTAPSSKPDEDTILPSGVVQTEKEDTGDDTDKKSAEENPPTSACGDETTESSSDAASCEAEIAEKLTESSCDASKQAAESHENAQSSEPAIPRETEKSQEAEVDDAKETKEAVKEPEKYPPIFLEDKRLWKPMLINYGKSRIWKDLILQYRKDLTMSYNISFRACVIKVDLKCCSGCLNRAKTKLQSLPGVTAAEYNFKKGLMTVTGDVDPMTLVHKLTKPNRKTELVSVSYMHDDDDEEEDDEDEDEDDTSSSDDTSSNPDPRPMERAPQVNTRPTIKRKEGMVRKYLLLGCLRSKPKVVQPFPLAKQMFGSTRFGNGGSDHGGGYGNARRPPAPFHGPMNLQQQYHMMMQPRLPPPQFQMNGAPPMHMIQSGPPQNIPYHWQIDPQYKAMFPQPQPQPLKPDPKMLVNNAIHYSNK >A05p043420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26209246:26210189:1 gene:A05p043420.1_BraROA transcript:A05p043420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVEEKKAPKEEKKMGERRVVVDKAYLYDEDKPLTVCKTSLFHTGDGFTAYDCNGDIIFRVDSYGRDNDEFVLMDATGKCLLTVKSKRPTLHQRWEGFLGERSDGQKPIFSVRRSSIIGRCTMEVEVYDESGKEYTIDGDFSLRSCLIYDTEKRTVAEIKRKVDMSTNVMLGRDVFTLEIKPGFDGAFAMGLVLVLDQINGDDPVEIGDEQVHPFVED >A05p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1886512:1890174:-1 gene:A05p004870.1_BraROA transcript:A05p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPPSSSKSTVPDGGDASNNGYDSAAGATRDFSSLGSQTHYNPPRPPPPRQQHDPNVVGLGDCFPDDPSNIGFDPGASSSSPLFRHRSSPAGFYDQHLPTANGFSLGRPNGGYGGGERGPSGLKPELRFSGGSSSSSSHQERNSLQRISEVEAAAAAIKGVPSTSMTFGNDHNNWDNSSSHISFTIDEPGKRSKTTDFFTLETQFSMPQTSLEMARMESTMNIPEDSVPCRTRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYADMLDLAVEHIKGLQQQVESLEKGMERCTCGACKKR >A03p071190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31317763:31319767:1 gene:A03p071190.1_BraROA transcript:A03p071190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36910) UniProtKB/Swiss-Prot;Acc:O23193] MDAVVHACPLSITRLRAPPSASSPTLIPPRFLSIHSTFSPTLSSLSKSRGPSASSAGATLMAKSSSPRSGVYTVGEFMTKKDDLHVVKPTTTVDEALEILVENRITGFPVIDQDWKLVGLVSDYDLLALDSISGSGSTENAMFPEVDSTWKTFNAVQKLLSKTNGKLVGDLMTPAPVVVEENTNLEDAAKLLILLETKYRRLPVVDSDGKLVGIITRGNVVRAALQIKRTGDRNA >A02p051190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31470438:31488887:-1 gene:A02p051190.1_BraROA transcript:A02p051190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKNVLAICLVFLVASTVVYEAQGTFLLKMYLRRKMFRRARDFTPFACKGMLFLIKRLRGGCPATREFKKFFSLFTSYVKFISNASATSKSTDTQITSQVDGLASAMSELTAVKGRAPSNTDFRDAMLSMGKTLIEQKKSDSQSTTFQQRKELVVALVQWTKTITILVKTAVELRVGKSMDISKLGLDVDVNSIVGSERIQTSSSNITTGGQRNVSTSGSVTTTRSERNTKTGSNNQIGVSTETGSPNIKNGSQNSAWHVVLGNNRLSSCTWTQSYYIGLQSLLDTTKTTLKSFSLSIKTASVSVSIPTILRAILSLTPHSSISFLQGITNMDIFHNNTCNFSFIGIVLIPLFRNFLRNARNFTPFACKGMLLLMSRLKRGCPATEGFKTFFSLFTSYVKFIKTASTTSNTDTQLTTKVDALTNAISVLTGAKSGSNSNFRETMLSMGKTLIEQKRSTSQRMTQQQKKVLVAAMVQWTKTVVTLVKTAVETAGKSIDVSNLGLDVDVNSIVGSGSDESPESGSGSNTNSGSIIYEDTTGGGSGSPSGSPGCSPSSTMTTQSDGSTMGSQSGTATESPSGTTTESPSGTTTDSPSGSPTDTPSGTTTESPSGTGTGSPSGSPTDSPSGATTDSPSETTTESPSDTTMGSPSGSPTDSPSGETTDSPSETTMGSPSGSPTDSPSGETTDSPSETTMGSPSGSPTDSPSGETTESQSDTTTESPSDTNTGSPSGSPTDGPSGATTESQSDTTTESPSGSPTDSPSGATTDSPSGTTTDSSSGATTDSPSDTTKGSPSGSPTDSPSGETTDSPTGATTESPSGTTTESPNGSPTDNPSGTATDSPSSTTTGGPSGSPTDSPSGSPTDSPSGSPTDSPSGSPTASEDATSNTNSQSSQSSTSSSSTTSAKEVEIQTSKEARSFIHGLEKKYAGTVQLDTFFEKLKTSMSASTKISNTDEKRFVSKMSSAVSSVSEAATTVTSKLAKSPEAKNRMESSQEKLMNTYKELEEVNSKIVSENKGKTVSSAQKSELKQILSKWEQVTTQFVENLVSSSSSSSSSSQSQQSQQSQKSHQSEQKMTRFPLAICLMCIIVASSTVYEAQGGFLLRHYLSKLPRLANEFEPFAFKIMIRFIDNLESLCSSKVEYKEFFSKLKAFLIFINSTAGKSSSSEFESQLKAHSEGLFKAITALGVKASADTSKLIESLMSMGKVMAEYKRSGSLTMTSEQRRVLITSMMKWAQVIGQFVKTVREKTGDGDIDLPSLGIGGGDDDAGSAGGGSSGSGSSSMGAGSAGGGSSGGGASSLGAGSAGGGSSGGGTSSMGAGSAGDGSSGSGSPYPGAGGTGGGSSGSGSSTMGAGNTGMGDGSTGGSGNPSSDSGTPSSETGSHAGGGGGSPGGSPTDSSTAAGGSSMGGGGSSSDTGSTTGAGGGSSGDTGSTTGAAGGSSGDAGSITGAAGGSSGDTGSTTGAGGGSSGWKLYSGAGGGSSGDTGSTTGAAGGSSGDTGSTMGAEGGSSGDTGSTTGAGGGSSGDTGSTTGAGGRSSGDTGSTTGAGGGSSGDTKSTTGAAGGSSGDTGSTTGARGGSSGDTGSTTGADGGSGSGGTSAGGASGSPTDDSSGSGESAGGETSGAGGSSGNTSNMSTEESSSMGGGKSSEDSTIATGGNASEESTSGGASGGSTKTTAAGERSMNNGDSYSDSTGGNTMGSPTGSPSGSGGSSFTGSETGSSSYQARGASAGGPSGSTTDSSAAGANSMNSGYSAKGSSKTSAQGSTQGGSGLAEGASEGKSFKGKTGSTRYEGSSNYQKTHSKSLDKSSFSHSSEDKSSGNV >A03p047280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22474468:22475349:1 gene:A03p047280.1_BraROA transcript:A03p047280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISEITDDDNGDKKPQEPPGGETRIITTTWPMVSNVRIDFVTYAVVKQRIYTLSPPSTCVVSRLRRRQGGEIRGKVESVEVVFKTTKSTPSIELSRTVIDKGRPLPRFGEWDVKDEPPGFNKNGHVLGKPTVSDSISSLNSRK >A10p014930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3945860:3947111:-1 gene:A10p014930.1_BraROA transcript:A10p014930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARSVIRGSGRTEGGNEGIVTPHRHAGVFMIAKGRDCTILTKNLVPGKALYNEERLSVQNEDGTEVEYRVWDPFRSDLAAAVKPGAKVLYLGAASGTIVSHEGCVYAIEISEKSGRELVNMAKTRPNVIPVIEDARNPAKYKMLVSMVDVSYALILLSQMRFYLTLHKLFTNIITILHCAMILALNSSFFLKTRPGRF >A09p068210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53550715:53551999:1 gene:A09p068210.1_BraROA transcript:A09p068210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNEVNQNECKRIVPLNTWVLISNFKLAYTLLRRPDGSFNRDLAEFLDRKVPANSFPVDGVFSFDHVDTSTSLLTRIYLPAPLDPSRHGSVDLTEPLSTTDVVPVLVFFHGGSFTHSSANSAIYDTFCRRLVTVCGVVVVSVDYRRSPEHRYPCAYDDGWNALKWVKSRVWLQSGKDSNVYVYLAGDSSGGNIAHNVAVRATSEGVKVLGNILLHPMFGGLERTQSEKRLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNPFGPRGQSLEGVNFPKSLVVVAGLDLVQDWQLAYVDGLKRTGHHVNLLYLKQATIGFYFLPNNDHFHCLMDELTKFVHSVDEDSQSKSSPVLLTP >A08g510140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21913255:21914363:-1 gene:A08g510140.1_BraROA transcript:A08g510140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIFSGVVAPPPTLRVRSGRSAPWRHTMEGPDDMPAHIKSSMFGCQLTIPITKGKLSMGTWQGIWLCEHRDAPTARKVVVTLNGI >A06p012450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5700259:5701008:1 gene:A06p012450.1_BraROA transcript:A06p012450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIKNDEKRLGLVAIELWTRQGNVGGWSACLNSTTAYPSDIPPGIEVKCSRTGVQGEFLYDQDTLVELSNQDSIHSSSLSSLSRTYATVSRSSLLNNMISGTVEIHLVSRIIIVSITAGLAYLMDCIAKSNFSRSIAKALIFTRQYIRGSTRQDSVRSPVVAEALTLQSGFITAENLELPTLKMLSDNSTFVRAINNDNQSKEIYGIVKNIQQHHFRLFSCIGPSSDRILGLRPLLRFNEFVQKKEE >A03p060380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26156218:26159279:-1 gene:A03p060380.1_BraROA transcript:A03p060380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLSHFLPLRRNHLRDDHRRNRCRCCLSSSATNESSTAVVWFKQDLRVDDHPGLIAASKHGAVIPLYVLDRRILSRYTTENLELAIIALEELRSSLKKQGSDLMLRYGNAENVIEDLVKEVRAPFVFVEEEVEHHLCEVLDAVRKKMDGVSFPGESPRVVVWRTPFYGTQSLSDLPHSWEEFKKLTLPVTMPVPAVRLSSPESELQWGSVPTLDDLKDFMKEGLCEKEKSWREMANASAERILMERNLEPNVNETVGKKVNNSAFITRSRDTVGGGTEAVLNGLAGYLRYLEGTSRDDWQEVHARLRDAETRPGASFFKLFGPALCLGIVSRRRVHYEAIEYEKERNAGFISPFGYSASTVTAATDAVCSMEWYSLVALNKETTDDKRRSIRIWRWKGYLIQYTVAGNEGPAVLLVHGFGAFLEHYRDNVDSIVNSKNRVWTITVLGFGKSEKPNIIYTELLWSELLRDFMIEVVGEPAHCVGNSIGGYFVSLMAFRWPELVKSVILVNSAGNVIPGYSPLSVSKERRVPFGAQLGARLLILFLRFNVKKLLKDCYPVKPERADEFLITEMLRASQDPGVVMVLESIFGFDLSLPLNYLLKGFEERTLVIQGMKDPISDPRKKVALLKEFCPGMEIKKVNAGHCPHDEIPEEVNHIICEWIAKVDNDEQQQALKSLIPADLPQ >A10p002310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1190709:1192338:-1 gene:A10p002310.1_BraROA transcript:A10p002310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGDKKGTKNRDVSGQVVPLTEPVVVAPPMVGTRSWIGGLFTRSSRRQDKSIDYTLSPLQEERLQKLQDRLLVPFEETRIDHQESLKALWNASFPNINLTGLVTEQWKDMGWQGPNPSTDFRGCGFIALENLLFSARTYPVCFRRLLLKQKGDRAKWEYPFAVAGINISFMLIQMLDLQNTPKPKCLPGMNFLKLLEEDENAFDVLYCIAFAMMDAQWLAMHASYMEFNEVLQATRNQLERELSLDDTHRIQDLPAYNLLFQ >A09p060140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49981461:49984738:1 gene:A09p060140.1_BraROA transcript:A09p060140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPESLIASASINIGLAILALWLFSVLKKQPRNAVIYYARLLSDRHRAELPLHSSFSLPRFLPSVAWIPRAFRVPEDDILRRHGLDALVLMRLFKFGIKFFMLCSLLGVALLLPVDYYSESDLPTRKEYSMDAFTISNITRGSNKLWVHFSCLWFISFYALFLLYKEYKEILVKRLQQMRELSHRADQFTVLVRQVPICPEHNTRSCGVDHFFSKHHPFNYHSHQMLYDGRDIEYLLGKQKKLKKELEENRDTEEHKHISVSEEKFREFAHKVSHLQSETMLREKELPVAFVTFKSRRSAALVAQTQQHSNPLELITEMAPEPRDVSWRNLAIPQQILPLNKIGVILAAAALTIFFAIPVTAVQGIAKYEKLKKWFPPAMAVEFIPGLSSVVTGYLPSAILKGFMYIVPYAMLGMAYLGGSISKSKEEIKACNMVFYFLMGNVFFLSVISGSLLDEIGEYFTHPRDIPSHLAAAVSAQGEFFMTYILTDGLSGFSLEILQLGLITFDIIRSCTYGRAKENNTYLFSFPYFRVIPTVSLSILIGMIYAVVAPLMLPFLVGYFCLGYIVYFNQMEDVYEMTYDTCGCFWPIIHHYIFVSIILMQVTMVGLFGLKSKPSAAIATVPLILITIAYNEYCKIRFLPSFKHFPIQTAVEIDEVDEKNGETEAHYVDAATAYNRHQPCLERVTLSAEPATNLNQPLLGTGSI >A08p034390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20473677:20476741:-1 gene:A08p034390.1_BraROA transcript:A08p034390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHGLFSKSHSRRSRSKSPVRAVSPIIIRRRKGRYVSQPDRHVSEMLPPVIEGPDPDGEDSGSSGDYSRLERRWYNWMKCQLPVAPPSVSSSSGFKRTDLRLLLGVLGAPLGPVHVSALDLLPHLSIKNTPMETSSAQYILQQYTAASGGYKLHSSVQNGYVMGRIRTVASEFETGSKGSKSKSNSSKAVESGGFVLWHMSPDMWYMELVLGGSKVLAGCDGKLVWRHTPWLGPHAAKGPVRPLRRALQGLDPRTTANMFASARCIGEKKIDGEDCFILKLCADPATLKARSEGASETIRHTLFGYFSQKTGLLVHLEDSQLTRIQNIGGEAVYWETTINSYLEDYKPVEGIVIAHSGRSVATLLRFGDMSSGHNTKTTMQEAWVIDEIAFNVPGLSMDCFIPPSELRFDSHVEELSQGPKIKTLQQVSEYIDRSKHKIMVLSDFTGVGVGFGFGVGCGFGVGWGFGGMPLNVLGVGVGGGCGVGLGLGWGFGTAFGSHYRSSRLTFQGVELEKDAKVENMSKNS >A04p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6887855:6888392:-1 gene:A04p011130.1_BraROA transcript:A04p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQSFNAGQTRGHAQEKAEQWTESAKQTAQSACDKTADVALSARDKTANLTQSTQSKSADASHSTRESAQHGQEQTAGFLGQQKYNFTTKMIFQLIGEQTGESVKNMAQGAFDGVKNSLGMNEKK >A02g512380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33508396:33510089:1 gene:A02g512380.1_BraROA transcript:A02g512380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILRMSLVMNLLSLLLSTAIVYSNQSTMPLRSFKMSENVTYDCIDIHKQPGLNHPYLKTHKIQMKPSLSRPELKMRTEKNETSNQNKIGCPNGTVPILRSTKEFIINSQMFAENNFHMLSADSPGTHIAGVRSSSGPFRGVKAYFSAYKLNVGKDQVSYSQIYVGSGSGSQVNYISAGWTINPGLYGDQRVWTFGFWKGKDGKGCYNTACSGFVQVSKVVPIVQPNDLKPGVPGFLDYFIHQDKNTGNWWITRFSKGAPSVDIGYWPKELFNLLDNGANMAGVGGVVQASRSGSSPPMGNGNFPNGGRLDSAIFTNIEVLNSNYEQRKMNSFHVDYLVDSEKCYGLKVGKKGFFYKNPLGFYFNYGGPGGNSCGV >A05p041070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25007634:25009264:1 gene:A05p041070.1_BraROA transcript:A05p041070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQMKMADDKIIEGDVDLISTLPDVILQDILCFIPTKLAITTSLLSRRWRHVWCDIPSISLDVDTQTAASVNETLTRYKATKTKSFHLIITTMMGNIPHIDSWIEGAMSRDVENLSLDFLHHYYKYKLPDFFYYTYSFKQLDITLFDEFLAKILSGCPVLKYLRLYECGELKILDLSKFLRLRILEVIRHLPVQGPRKILAPHIHCLKLHDSQLSCTLVDVASLTEANLESCNCDITKNSLYREFNGDFLQGMVLKMLEKLKNAEKLTLGRNFTQILSLAEIHGVPFPMFKVKALTLDTEICQYIIPGIERLLQNSPDLEKLTVVEGRVRFYMLVEFLDKYLKSQGFNVNKCWRSKDGASWNKYRKDLKSEHVASLVELVLKNTEKLDKMVVLLDEHFLNFKIEDVVVPTLPHNNNVTIVLSSTNKPMT >A03p019430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7924699:7925137:-1 gene:A03p019430.1_BraROA transcript:A03p019430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKKKIPRQRNYRGVRKRPWGKWAAEIRDSSGHKAKLNFPEHIRVNSTHHYPSTTTSHDPINVTPPPPNAPDVLLDGYGQFQSGNIDLSANLSMTMSSPLSQRGHGPNLEDGCINKRRK >A09p074570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56526908:56528523:1 gene:A09p074570.1_BraROA transcript:A09p074570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFWFSRHKSGVEPSEADGELSVTEKVKIYKYKEIRQATDDFNALNKIGEGGFGSVYKGHLKDGKVAAIKVLSAESRQGVKEFLTEINVISEIQHENLVKLYGCCVEGNHRILVYNYLENNSLDKTLLAGGYTRSGLQFDWSTRANICVGVAKGLAFLHEEVQPHIIHRDIKASNILLDKDLSPKISDFGLARLMPPNMTHVSTCVAGTIGYLAPEYAARGQLTRKADVYSFGVLLMEIVSGRSNKNTRSPTEYQYLLERAWELYERNELVDLVDIALGGVFDAEEACRYLRIGLLCTQDSPQLRPTMSIVVKLLTEEKDIDTKKITKPGLISDFMDMKVREPVETKTEEVNRCNYTNPSSYNVSPSSRTSDNSNGYSSGASSTNAVYSLSITN >A02g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24544199:24547378:1 gene:A02g509170.1_BraROA transcript:A02g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFSDLEDFWDDLHVSRLKYNALDDFQEVFQTTSISVVWTSWKSSGLPGSRLDFLEVSRLDFLEVVWTSWKSSGLHGSLLTNHLNVFGYASFSDLDLICRRLPGSLPDDFHFSRLDFLKVVWTSWKSSRSRLEVVWTSWKSSDKVFFHIKWKVIFAIDFEICYLGRLKNKSSTFVWLKKNSKKARRLTFQSSQTTYNSIVRPATYIRLKCKSSRKVLWRFFCNQTKLDDLTFSRLRKQISKSIEKITSALTRRLPAHIRLLQEHIISNESDPPRIVSFFDSMNHKKCRIKILEWRKKKGKSILVALRASNWLFMVVRILMTMAII >A02p021090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9979070:9980631:1 gene:A02p021090.1_BraROA transcript:A02p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSGKKRMVNLDLSSRLLSEPLIPGLSDDVAKLCLALVPRSSLPSMGRVCKKWRYVVQSKEFITVRRLAGMPEEWLYVLTMDAGGKESHWEVMDCLGHKLSSLPPMPGPVKTGFKVVVVDGKLLVIAGCAMISGSHVASSDVYQFDTCLNSWSRLADLKEARYDFACAEVNGLVYVVGGHGGDGESLSSAEVYDPETGVWTFIQSLRRPRWGCFASGFNGKLYVMGGRSNFTIGNSKLVDVYNPQCGSWCGRKNGVTMVTAHVEVGKKLFCMEWKNQRKMSVFNAEDDTWEVVALPLSGSSSAGFQFGKLNGKLLLFSSQEETGHSTLLYDPEAAPGRQWKTSEIKLSGSCVCSVTIIA >A02p057600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34608073:34608998:1 gene:A02p057600.1_BraROA transcript:A02p057600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRTASSDMSAWCSAVVLLSLILLLSVRENNASNSMRGSQFSEKPCEEIYVVGEGETLHTIGDKCGDPFIVERNPHIHDPDDVFPGLVLRIAPFYFSRKISLIVVVSNYQEECDFRLNRSCVQTPLIFSKLHV >A03p039280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16321840:16324332:-1 gene:A03p039280.1_BraROA transcript:A03p039280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQQESNSMKQLFPLSSSPFLSTFKIKKHIFVGISLLVSFLIFSVTVVDLVGIKPHLCFEFLSSSLTKERRNNGVCDYSYGKWVRSQQRDVDGTSYGEECRFLDPGFRCLNNGRKDSGFRQWRWQPHGCDLPRFNASDFLKRSRNGRIVFVGDSIGRNQWESLLCMLSQAVINQSEIYEVNGNPISKHKGFLSMRFPEHNVTVEYHRTPFLVVVARPPENSPEDVKMTVRVDEFNWQSKRWVGSDVLVFNTGHWWNEDKTFNIGCYFQEGGKLNKTIGVMEGFKKSLKTWKSWVLEKLDHESSYVFFRSFSPVHYRNGTWNLGGLCDADTNPETDMKKMEPDPIQNTYVSEVIQEMRHEHSKIKFLNITYLTEFRKDAHPSRYREPGTPEDAPQDCSHWCLPGVPDTWNEILYAQLLAMNYTTK >A03p054480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23400163:23401074:1 gene:A03p054480.1_BraROA transcript:A03p054480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHFGYLLIYGYVKLTCLVGERPSLKYSRIWNSHHPHQLVSASAAASASAAAAASMRTVVVRFADADAAADAYYVATAGFIGVSRKTRRSDAASDAVFCVNETNKS >A08p006870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3979720:3981120:1 gene:A08p006870.1_BraROA transcript:A08p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPIPVELSHEILSRLPAKSIARFHCVSKQWASILDRPYFKDLFLTKSSTQPRLIFAIEKKCVWSFFSLPQHMSPHEKPSSSLVLTPEFHMKFPPDDTWWIFPRYDRKFACGYASGLIYFSCMSVKAGYDGVPVICNPKTGRYEILPFIRRYRKSYSFFGFDPVEKQYKVLHMAYPCGPNDHRIMTLGTQGMRWRKIHCSLRLENLSEGVCINGVLYYLGDTSQCMEKIRGKSSFAIACFDIRSEKFKFLYPENFCELVNYNGKLGVIYYDDLTDDAIELRVWVLEDVEKEKWSKYSYTLRGDKLFPHYASVVGVISTGEIVLSMADYTSKQPFYIYYFNPERNTIRRVEIQGFGEYHKASKKPSRVYVFLDDCSRFYPSAHHAEDLNVKDPKLLNSSIYVPYVYKGEDEEEEDDDDENGFSQFYGKKRKNKPDESRSRKRRKQKGKKKKKKEVGGEDRDNHWS >A10p035820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20479202:20481735:1 gene:A10p035820.1_BraROA transcript:A10p035820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTSSEASRSRSKKVCVIGAGPSGLVSARELRKEGHKVVVMEQNDDVGGQWLYQPNVEEEDPLGRSSVPTNDALKVHSSIYSSLRLTSPREIMGYSDFPFLAKKGRDMRRFPGHKELWLYLKDFSEAFGIREMIRFNVRVEFVGEEEKTEDVRRWIVRSREKLSGKVIEEIFDAVVVATGHYSHPRLPSIKGMDSWKRKQVHSHVYRVPDPFRNEVVVVVGNSMSGQDISMELVEVAKEVHLSAKSLDISSGLSKVISKHQNLLLHPQIESLEDDGRVIFVDGTWVVADTILYCTGYSYKFPFLESKGRVEVDDDRVGPLFEHTFPPCLSPSLSFVGIPRKLIGFPFFEAQAKWIAQVLSGKSSLPSPDQMLQSVADFYRSRDLAGVPKHNTHDIADFTYCDKYADYVGFPHLEEWRKQLCLSALTNSQENLETYRDSWDDHELLQEALQSSHFTNFDC >A10g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17168152:17170621:1 gene:A10g506210.1_BraROA transcript:A10g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A03p066190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29290837:29293186:1 gene:A03p066190.1_BraROA transcript:A03p066190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brassinosteroid-related acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G31910) UniProtKB/Swiss-Prot;Acc:Q9SZ58] MATHIDINQKLNVYPRSQNQDQKKLITLSHLDRQCPLLMYLVFFYKKTTTRDFDSVFSDLKLGLEETLSVWYPAAGRLGLDGGGCKLNLRCNRSGAVMVEAVATGVKLSDLGDLTQYNEFYETLVYKPSFDGDFSAMPLVVGQVTKFACGGYSVGIGTSHSLFDGISAYEFLHAWAFNSHNHDKSNGKIINKKDNVVIKPVHDRGNLLVNGDTNRSLGVTMAAAIYHLYQLIKQAMMIHQGKNHNFELADSSFVIKTFDLSGDAIETMMKKSPEGFMCSSFEFLAAHLWKARTRALRLRRDAMVCLQFAVDIRKRTVPPLPEGYSGNAYVLASVASTAGELLEQLTLESIVKKIREAKNSVDQDYINAYMEALGGSEQRNDGNLPPIKELTLVSDWSKMPFHNVGFGNGGEPADYVAPLCPPVPQVAYFMKNPKDARGVIVRIGLDPQDVSDFSKHFLGF >A02g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14472776:14481625:1 gene:A02g504370.1_BraROA transcript:A02g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYATNNPYQVNGPRGFTEFKVLDGTAKLFVRIDFPGVRNNSVKILLDPSQKAVFVSGEAPQEMVYDASLREFGTATGLLCDCCRITNVESVLGDGVLRLILSKETIDFHVGSSCSARAYTSVRASSSLSRLIRGYDPEVAGGHPLDPAFTGPDIVPNPLVQTGSTSAYESKQLSDGGLFLRIDMPGVPNDKFAVTVENGCVTVTGRAPAAMDDSSGREYRGNVAVVPRDYDSRQIEAFANEGVIRLIIHSI >A04p015080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5864230:5866125:-1 gene:A04p015080.1_BraROA transcript:A04p015080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMI15 [Source:Projected from Arabidopsis thaliana (AT5G38150) UniProtKB/TrEMBL;Acc:A0A178UJM4] MANSNLGTKTATMKAETTSMYRQHAVTDSFHQFGLPIAKSSSLVEDLHKSRRILDGYIESKRDSESARERADVELSNALELVKELTLLIERSNRSKEFHKKETGALKIDIKVEENDDYSEVMRDLEAAKEEVSRLKLDVDSVLGEKVALEKEVVKIGFNMEEKLRLLESLKKEIEVANEEHFLVELGKIDASMERKEIERLREGEGEEVLDFLVEKNKKIKKMLEEADSSKGIEVELFETTSDVEMLQTQLNLFKKMERRGMSMSRSNRSFERGKCTLTVLKEVTEETEAKKEALASLNAELFKLMMVMDELRKQINQAKEETGQLNKILRKNDVKLQKLNAKMIMAKSKLEIALSAKERVTSLADSLAGSLEKLKKNKEAAKQEECLLIAQKTVTEMETQKTKLEIDEKEKVLDSNLDELEKAKQAEALVLEKLESLIEDKMERRETESKNCSTITISRFEYEYLSRHASLAEETAEKKVAAAEAWVEALRASTKAVLMKTGTLMRESGMMRVEEEREVFRTERSFSRKRLAEDETHKFKRIPEAEAESYLSPKLVRKSTPVQRGKSRRYSSAGTPTFFIIKKKKVPKLVKIFSRKR >A03p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5283961:5285687:1 gene:A03p013430.1_BraROA transcript:A03p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYLSSRMTLGSRILSYRSSLASLHSHATSFGFQQVREEEKSKLVGNVFTNVASSYDIMNDVMSAGLHRLWKERLVGKLSPFAGMKHLDVAGGTGDVAFRIFDAFNSVKRRALRKVDEASHEETQIYVCDINPNMLNVGKQRAAERGLSDNRSLVWVEGDAEALSFDDNSMDGYTIAFGIRNVTHIEKAIAEAYRVLKRGGRFLCLELSHVDIPVFKELYDLYSFQVIPNLGELIAGDRDSYQYLVESVRRFPPQERFAKMIGDAGFEKVEYENLVGGVVAIHSAIKL >A09g515780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46672681:46673115:1 gene:A09g515780.1_BraROA transcript:A09g515780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIQKTLIEHDEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEEERPV >A05p045240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27079418:27081189:1 gene:A05p045240.1_BraROA transcript:A05p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTPRVLIKLLQTMNTNIKVRGEYRSVLLQVISIVPALAGSDLWPNQGFFIKVSDSSHSTYVSLRNEDNELILNNKLGLGQFFYVDKLEPGTPVPVMVGVRPISGRHPFVGNPKDLMQMLVPTETTTPPTQEDNHKQKKDGARSNAVESVRRRQDFVIKEEKTGVASRYMQGVSNARVSGSDSSSGGSNNESEVGSVRASKRVGGLAKGKQREHKDQLHQAGPPQSRPATAPTKAETKKLSLSSNVNCVNRKSSFSEDASWRSLPANLTKMGKGMLRRRNIAALLAAEAQREALAASHLLKCINMFADLSSSASPKNPHTSLRSFFTLQSLLDQAQVTAAPCKEKSSQLLNIHPICTEPEKPSKRASLASSRATTKSSKTLTEAEKLEWVTGNGTEEIKAMRDAMTQETRSWFMRFLEEAIDTGFHASVQVKKGKTKGSRAEEPDNHIAETLSQLKQANEWLDKVKSDHHSGDDSLLENIERLKKKIYSCLLLYVDSAASAIAVSTSS >A07p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1168919:1169734:-1 gene:A07p007130.1_BraROA transcript:A07p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERRWISGENKIHTLVMKTAVEEITYSELVESICRKINANGDGMVKISYFPLVLYSNKPSYIWCDEDVLSYLMQVNHDKYRSVLHVEISNDMDDTYGGISLSGDDDETDDSYVGLYDGDDDETDDIYLGLSDGNEENGTEQDRTDQDHEMDGVVALYTAEQHEDIEMHENLEHGAGVEAARAVVEEWDDGLDLVKGQEFKTKVAMQVLVQRGAHKNGFEYEKTKSDIVRFVEKCREAKEGCKWYLRDQSLRIQIF >A06p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17491731:17493622:1 gene:A06p032670.1_BraROA transcript:A06p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFKTHPDATTCFLSVHFLRKFGEHDQGAGWYRYFCSMAYFVAPIGDRLTRQIINFSILLLLPSDSLYDLSGRGSSTCCSTPHLFGQVGPQQITSLRKKAGNKFEKAMEIWLGKAPQPDARLLIFLDKLVRNRFSSLRKKAGNKFEKAMEIWFGSR >A02g510450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27597185:27598441:-1 gene:A02g510450.1_BraROA transcript:A02g510450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKTAPTFESIGDALGRTVSVDLDNSRVQVVVNAFQQLCFETTVDFKGGEFYEGEEVAISLRYEKLFGFCPICSSLCHKEEKCPLAKPEVKTSPARKRETGAGNGGWFEVGKHDDRARSYKGVVINGNQSHQHRERDHRDYYGKGKGKVVEENDSKWVKVAEKGNKGAFHNRGNYRGDGDGSRQRTSRREEPRMTGQDGRLKAAVGHTGDQRSQRGSRVEAQEEGEITNAEGTDKHLPSQNFQEELARTQATGTEVVSDPMDAENGLQMVQSLVENTTALEEDRVMDMDEIKAVFLEHGIDMDAADDLQDGSDGEFEKAVLELEQENGENVHAEEELATGEEEKLMEDGELAKRQGTRKRLFKTTIGTAASTKLRSASAMVSPRKRGASKPGTRHGEMGKQMEIKGTSNPKTGPQKP >A05g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:357354:358112:-1 gene:A05g500080.1_BraROA transcript:A05g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAAKVEEGVKGENGKPSVGPVYRNLLSEKGFPPIDSDITTAWDIFCKSVEKFPGNKMLGWRPIVDKKVGPYVWKTYKEAYEEVLQIGSALRALGAEPGCRVGIYGINCPQWIIAMXXXXXXXXERERERERERERERERERAFTNI >A01g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16885965:16887761:1 gene:A01g505680.1_BraROA transcript:A01g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSMMKSGLSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A03p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8328276:8329397:1 gene:A03p020320.1_BraROA transcript:A03p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSALIHLIRSQTRRLSSSTFNNTGYGRSIAGTWSPSSSSSVIPKVRFPEVSSFNQRSWASSGAKPNDDDEHKISIGPQDKKEEDNGGGGVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGLNVIMKGAVASTVIFLSASTTAALHWFVSPYVHKLRWQPGSDTFEVEMMTWLATFAPKTLKFSDVRYPDTQRPFVSFKAEGNYYFVDAEHCPNKALLARLTPPKDAHDSAFKNL >A01p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:261396:263641:1 gene:A01p000660.1_BraROA transcript:A01p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDYLLTSPSTLRFSDFISSFSPNTNHKCLRFSPKPSSARITCGAISSKRKLAEREIAERENRVLVRNLMSRISDREPLVKTLDKYVKVVRCEHCFLLFEELGKSDKWLQCLEVFRWMQKQRWYIADNGVYSKLISVMGKKGQTRMAMWLFSEMKNSGCRPDASVYNALITAHLHTRDKAKALEKVLGYFDKMKGMERCQPNVVTYNILLRAFAQSGKVDQVNALFKDLDMSAVSPDVYTFNGVMDAYGKKGMIKEMESVLTRMRSNECKPDIITFNLLIDSYGKKQEFEKMEQTFKSLMRSKEKPTLPTFNSMIINYGKARLRDKAEWVFEKMNDMNYPPSFITYECMIMMYGYCGCVSRAREVFEEVVESERVLKASTLNAMLEVYCLNGLHMEADKLFHNASGFRVHPDASTYKLLYKAYTKADMKENVQMLMKKMEKDGIVPNKRFFLEALEAFGSRLPGSDSAMR >A06p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1084180:1087121:-1 gene:A06p004310.1_BraROA transcript:A06p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 5 [Source:Projected from Arabidopsis thaliana (AT1G49660) UniProtKB/Swiss-Prot;Acc:Q9FX94] METEIVSEFLPFGRIYKDGRVERLTGTEIIPPSLDATNDVVSKDVVYSTEHNLSVRLFLPHNNNNNKKLPLLIYIHGGAWIIESPFSPLYHNHVAELAKSANCLAVSVQYRRAPEHPIPAAYEDSWSAIEWIFSHAGGSGPEEWINQHAYFERVFIGGDSAGANMSHHMAMRAGREKLSPRIKGVVIVHPAFWGTDPVDELDVQDAETRRGIAQVWEEIASPNSVNGTDDPLFNVVGSGSDFSGLGCEKVLVAVAGKDVFVRQGLGYAEKLKKSGWGGDVEVVVEEEEGHVFHLQNPDSESALRFLDRFVEFITDGSNGDDDFVNAELVSKLKSVTVEYNFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKYGPKTFWVTKYSKKMKNRKG >A03p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13578292:13578926:-1 gene:A03p032060.1_BraROA transcript:A03p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKKCILLMMMVSIIIVTMERKVEGNACMDKCLFLCGFFFQDPVACPDECELKCHPPSLSPQSSQMETIGMRKIRG >A08g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10398261:10398866:1 gene:A08g506070.1_BraROA transcript:A08g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKKVISLVETMKSVFPRFILPDDLQVSRLVVDDFQVSRLAVNDLHGSLLVNAKTTNTEVVRLTTYIEAVHDFIPRFWSNLAYLGRFLCKSSDGRLPCKSSRKK >A05g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23645898:23646374:-1 gene:A05g508140.1_BraROA transcript:A05g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKECEERWRRDLSVSTTFQYRLREVTRSNYYFSPLTKNTKKREKETSIQPLQQKSESRDDVRAV >A01p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8344310:8348197:-1 gene:A01p016870.1_BraROA transcript:A01p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALYASGVFKEVKSILGAFLGDSVSDDVVLVIATTSLALVVGFVVLVWKKTTSDQSKELKPLVIPKSLMAKDEDEDVDLGSGKERVSIFFGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAADDDQYEEKLKKETLSFFCVATYGDGEPTDNAARFYKWFTEGTEGDIRLQKLAYGVFALGNRQYEHFNKIGIVLDEELSKKGAKRLIEVGLGDDDQSIEDDFNAWKESLWPELDKLLRDEDDTSVATPYTAAIPEYRVMIHDPSFISEKSVDSSVANGNAAIDIHHPRRGNVAVQRELHTPESDRSCIHLEFDISCTGITYETGDHVGVYAENHAELVEEAAKLLGHTLDLVFSIHADKEDGSPLGSSLPPPFPGPCTLGTALAKYADLLTPPRKSALVALAAYATEPSEAKKLKHLTSPDGKDEYSQWIVASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRLAPNRVHVTCALVYGPTPTGRIHKGLCSTWMKNAVPAEKSRECSGAPIFIRASNFKLPSNPSTPIVMVGPGTGLAPFRGFLQERMALKEDGVELGPSLLFFGCRNRRMDFIYEDELNNYADQGVISELIVAFSREGAQKEYVQHKILEKATQVWNLIKEEGYLYVCGDAKGMARDVHRTLHTIVQEQEGVSSSEAEAIVKKLQTEGRYLRDVW >A08p025760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16527072:16527831:1 gene:A08p025760.1_BraROA transcript:A08p025760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGSTFEAGTAVQDKAAPAALFLTEESSRGGSGIGLRRCVKSLSEEISESSSSSVVVSGESSANEGEEEDCAVSSRGTWFGSSLEDSLPIKRGLSNHYIGKSKSFGNLFEASKAKDLEKVESPLNKRRRLLIANKLRRSSLSSFSIYSKTNNNLSSMPLRALQESDEEDHKCSYDNDDSSDEEISKLQEKRMKMTTNNRDFMVQTHSCLCLTSFQDADR >A10p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17311249:17313018:1 gene:A10p027510.1_BraROA transcript:A10p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLTPKLRKNIFTFSSRDKDAMKRSILSIHILDSLGLAYIFEKEIVETLKHAFEKIDELITDENDLYTVSIMFRVFRIYGHNMLSDVFDRFKRNDGKFKESLMEDVQGMLSFYEAAHFRTTTDHILDEALSFTLDYLESLATDSKAIPPHILKHIQNALYIPQHQNVQVLVAREYLSFYEQEEDNDETLLKLAKLNFKFLQLHYIQELKIITTWWRELDHTKNLPPGFRERTFECWFVGSMMYFEPQFSLGRIMSAKFFLLFTFLDDVCDTYGSIPEVESVVNCLERWDPEYMENLHGHMKTAFKFVMSVYKEFEEILKSQGRSFALEKMIEEFKIVARTNLDLIKWARAGQIPNFDEYVEAGGAEAGSYATIACSIMGLGEIGKKEDFEWLLSRPKSVRYLARKARLLDDITDFEEDMNKGTLQMRLTIT >A02p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9468192:9471212:1 gene:A02p020230.1_BraROA transcript:A02p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMYQAKCNWVEVICFPIQLRNTLFLPSVAVLTRLSTSHCVVKWNRDINTTCAFSNNKLESRDHMLSSEYSALILLNSFKLCWLLVWSGLYMLVPYCEAIPSFRLEIDNFSEKEACIESPTFVSGGCEWYLFLYPKGDSLCDDHLSLYLSVANTQLLQSGWKRSINYYFIVVNQCHKELYRSSRLGGHLFCAENPSWGQRKFLPLEKYQEKGFLEKDRLIIQVYIDILEATDGKDLEVSRIKQRLQNIESCFVKNCVEFKSKEISLEKEESDTDASRIKKLEERVNNLELMISDDVNASRIQQQEERIKSLETMMSDLKVGLDMEKAKSCADGFFVVDHNDVLM >A10p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3837404:3839652:-1 gene:A10p015030.1_BraROA transcript:A10p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNRGKLKSTNDSELPWMAKGSKSLTRRDEKAIVRVADSDVENKDKSSSGTIANESEPGKLLGQHVAETALLPMKKQRMMVASPSPRKSCGRRGISKHRPEINHVWKLNPKNDSNGPDFSGIALLADAACNLSNDLAPAVDILPESEKSSFDLADEKGTASVGGMIVATKGPTESENLAPDSGVLINSDKSSVSEPTEKKNLRLHWDLNVSMDAWGPPCDVEDDASEKDVKGAITNPMPPRESEPIDGSKDHLDGFAASAGQVKFSSPCGPKDEAAARNGNKFKPGYSSPLEDGELREPYRRGKNKVEDEGFYSMAKNNDNKMKDYGKGILAETNLGPLERKSHDALRIGEAHDRRDVEKNDVARMSDLHLKKRSSSSRRSDDNEDLSMSPYNCFGRHDRSSGRGYFRGSGSRLPYVLEPPHPENLGMMGRFDQSGSGSGQGSQPDGYVRKRFSNGGYRGGRFSNGGDHVMRGRHGDNNQFSGRMHNWRSGNRRERRNSPVFRRSRSRSPVQWNGGDRLSHPHDGFRAEERMMESVRFPFQERFLEDQEIGFMSPPRNRMPPPGFDERRSHGTNRNSFRGRRFGLGQRHDAGRSLRSLNSDNSNNFIPFRRQRRFDGVEDSTGGNKFEVRQQQTRLANIDGVDDVAGSGFAKESRGCNQKQQRRRKQRG >A07g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7541729:7542923:-1 gene:A07g503810.1_BraROA transcript:A07g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEIVTTYYLSKIYSLRDAIGAVIEDLGLNGKLKDQRLGYSAKLTISYKRSLGQRKCFSSDGNVEEEPNSIYCLLSIRSILFKIFNSSKPCSNWVISGLIAKGKYPIVMVRSGDQNFESLMRSMGETY >A07p048340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25835397:25837825:-1 gene:A07p048340.1_BraROA transcript:A07p048340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVRRGGVTNRFSNKLSLGKSKKGMFKKLGLSDAEKMMRYKCPSSDLETDVSSKKKMKKSNLPRKDTNGVDHHASVPRKLRSATKKRNLEYVKKKNQEMDAKANVPESISKDEKEVAETLYGLADMFTDTNSIDSDPFLSDGKETSKVDSILVVETASLEPAASFLSSPKPKQIDEEPLQQQDPNQGSLTGLKQNSSVNVSDAALSTRAFETKVATSDIDYKSNGLALWPGLSSTTQSSSHVLSEPSSTKLPHWMMGQAVSPTKNASLLSEQLRVRTRKFKKCASHIYICRLIKALQTSKSSPVALLNQTEERSLKTSSKRYQNPHLLDLGKTHNPKPVQENMTQLGLELYAPHTTQKQNYDFLSLLSQSRFPFPNSFPQYPISGAYNSQLSPAPSSHQMQQMSPYLASRFQTAYNANQQQQLQLQKRLWANQFRQPTSRNSMPPLSNQHSKSSLSLNLTSIQPLHVASSPRYINNISQQQYRLMAASAAAMSMSHRHNNNPSGTVMNRQEHHFPLIYEDTRTPLQLLCNEQS >A04p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20594395:20598367:-1 gene:A04p036220.1_BraROA transcript:A04p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSKDQETPPSPPRSPPPKLSTVACPSRKPRERTSSPFSEVLRRKRPPMLNRELFPQAPPWSKEAVKTPPYEDVEAEKDGVYSVYCKRGRRRWMAMEDRYSAVVDHDGGGRRKAFFGVYDGHGGSNAAEFAAKNLGNNIEAAVAAARSGEDGYSIERAIREAYLKTDEEFLKEGSGGGACCVTALISNGELAVSNAGDCRAVISRAGVAEALTTDHSPNQENELIRIRASGGYVDCHHGVWRIQWTLAVSRAIGDEYLKKWVIAEPETRTLKIKPELEFLILASDGLWDNVTNQEAVDVVRPYCIGVENPTTLPACKKLAELSSTRGSLDDISVIIIQLKHHLAMVNSSWTKSHIEAIWRILERIRRHFLLKYQQILQFSYLLLSFVLRSLKKLDRDVPRPKLQFVGSCRNESDEERLQKLKDRAVELKLDGDVEFYKNAMYRYAGMHGMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDI >A09g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24334180:24334896:-1 gene:A09g508760.1_BraROA transcript:A09g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLEGQEELCFVNANGTWYKKEPNFQYNNYQQKPFYNNQQGGYQARQGQAESSTSAPQESSTDAMLKQILESQTRSEKHIGYELKNLHTKAEAQIVEKVEYKIVERVEIQTVKKVEGMVLQPVRHKAEKPVIGKAVTQLKEIQLEESHVVEQ >A07g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17211169:17211666:-1 gene:A07g506800.1_BraROA transcript:A07g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATPRAATGATSKQERDSPTQGLHALPPKTTGGDKDRENHQNKVAGAKLEVATARSKRRPLASLPSPGTTQIYSINPDLNQERISELHLRRHREKTTEMTMRSGGNRGGSGDRKRTRQPPERGVNAAEES >A06g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10397564:10399137:-1 gene:A06g503450.1_BraROA transcript:A06g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKPKKSPPAKAKSLGSPPIENSPPPSSSPPPSHDEGSLLPAFDEFVSDAHLGFPAAVAAQQTRDEADLSPPVEPFSAKKVIVEESTDPSPERTVTLNTQVELSSEAMSGSSSDSRPVGSVVNVITVAAEPKGILANADAKDVGRKTRRSRSRNKQKWVVVDPQKASITPFSEQAPEGQIPPIIHTKTSLEVITLQSKLGTEKDTVRGEPSGTTGGTPSKFPRSVSANSRSSQPELQADSSDVDSSDSELEE >A05g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24474769:24475370:-1 gene:A05g508400.1_BraROA transcript:A05g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSRYSFEIKQLRSHLYLTCHSPRPSIHSPLNERTLTPLPSLPSHLTPKQDLGEFMKELSQLESVSEKISKLLERLKEFHECKIRRAAVLARLKERRRKLNENKLQLAEMKRLLVEEKKILAEKKRKLNQLESHRDA >A07p033830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18472143:18473688:1 gene:A07p033830.1_BraROA transcript:A07p033830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEQARGCDFHVTTTRKQLITAALPLQDHWLPLSNLDLILPPVHVSVCFCYKKPRNMTNSMAHETLKTALAETLVSYYAFAGEIVTNPTGEPEILCNNRGVDFMEAGADVDLRELNLYDPDESIAKLVPIKKHGVIAIQVTQLKCGSIVVGCTFDHRVADAYSMNMFLVSWAEISRSDVPISCVPSFRRSLLNPRRPLVMDSAIDQMYIPVTSLPPPQETTNPDSILASRLYYIKADALDDLQALASGSKRRTKLESFSAFLWKLVAKHAATDPVPSKNSKLGIVVDGRRRVMEQENNTYFGNVLSIPFGGQNIDDLVNKPLSWVTEVVHRFLESAVTKEHFLNLIDWVETRRPTPTVSRIYSTGSEDGPAFVVSSGRSFPVTQVNFGWGSPVFGSYHFPWGGNAGYVMPMPSSVEDGDWMVYLHLTKGQLKFIEEEASQVLKPIDNNYLKI >A06p052470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27772504:27776105:1 gene:A06p052470.1_BraROA transcript:A06p052470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFSVRLIFLILCIFFNGSLSKLERLEHPVTKSDGSLNILVVGDWGRQGGFNQSLVAHQMGIVGEQLDIDYVISVGDNFYDDGLKGDTDPAFEASFSHIYTHPSLEKQWYSVLGNHDYRGNVSAQLSHVLTQKDWRWFCRRSFVLCSGMVELFFVDTNPFVEQYFTDPEDHTYDWSNVLPRDRYISNLLHDLDLAMKKSRATWKFVVGHHGIKTAGEHGVTQELVDQLLPILEENKVDVYMNGHDHCLQHIGSDGGIQFLTSGGGSKAWRGVILPWDPKELKLYYEGQGFMSLHITHSQAKFIYYDISGNVLHQFTLSK >A01p012860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6307333:6310814:-1 gene:A01p012860.1_BraROA transcript:A01p012860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.2 [Source:Projected from Arabidopsis thaliana (AT4G20430) UniProtKB/Swiss-Prot;Acc:Q9SUN6] MRRVLMVNFGVFLLFCVGLLSTTLGQVSDDSDTNSTTAVYIVTLKQAPTLHLFQQQEAVKTVREQNHQGSKHGDTSTFTTPKLQPRNMSKSRYWRSRRSAIAQAHDSLLRDALKGEKYIKLYSFHYLINGFAVFVSSQQAEKLSRRSEVANIVLDFSVRTATTYTPQFMGLPKGAWVKEGGYETAGEGIVIGFIDTGIDPTHPSFNGTDTSQRQYPIPNHFSGVCEVTPDFPSGSCNRKLVGARHFAQSAITRGIFNSSEDYASPFDGDGHGTHTASIAAGNHGVSAVVSGHNFGSASGIAPRAHISVYKALYKSFGGFAADVVAAIDQAAQDGVDILSLSITPNRRPPGVATFFNPLDMAMLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAATHDRVYSNSITLGNNVTIPGVGLALPTDEGKMFTMISAVDALKNKSSAVDKDMYASECQDYDSFDKDLICGKLLICSYSIRFVLGLSTIKQALAVSKNLSAKGVVFYMDPYVLGFQINPTPMDMPGIIIPSPEDSKVLLKYYNSTLERDATTKEIVRFGGVAAVSGGQKANFSDRAPKIMYYSARGPDPQDSFLNDADILKPNLVAPGHSIWGAWSSAATDSTEFEGESFAMMSGTSMGAPHVAGVAALIKQRFRKFSPSAIASALSTTAVTLDNKGEEIMAQRAYANPDQSMTPATPFDMGNGFVNATAALDPGLIFDTSFEDYMPFLCGINGSAPAVFNYTGKNCLLSNGTISGSDLNLPSITLSRLNNTRTVQRLMKNIAGNETYSVGLVPPFGVLMKASPTQFSIASGETKLLSVTFKAKKNSSIASFGIIKLFGDMGHVVHIPVSVIVKMVSKQ >A03g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23018058:23020554:1 gene:A03g506430.1_BraROA transcript:A03g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMHIYASCGLWKFDPLKGWGFAVDTNKRGRVLYMELTSSFEDLRRMVLEDFGIDQNLVELELSYLPMELIGSIDCPPVIIESDRQVKNFLTYVREKTSTRLCVSTSPISGNNSNIGVDNEKCSSPIREQGGPSSFPPRADSVRSSESSKDVEDNSNSNANKEDDLREQHEGEENCELKLLALKQHEGEEKY >A03p050020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21490145:21492032:1 gene:A03p050020.1_BraROA transcript:A03p050020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEASPSPGADVVGRAFVEQYYHILHQSPGLVHRFYQDSSLLTRPDATGAVTTVTTMLAINDKIMSLKYEDYTAEIETADAQESHERGVIVLVTGHLTGNDNVRKKFSQTFFLAPQDKGYFVLNDVFRFLEEKEVTTQAINGTTTRDVQAPVEPERVVVSHEAEVEPEPVATIEEEEDLENVAEVYDPSDKDEGVVVDAEPIEPPAQISHSEVPSLPQGDAPKHSYASILKLMKSGPAPTQVARSKPRVAAPVVTKPKPAAPPAEPAAAAAENVPNSSNVDVEDDGHSIYVRNLPFDTTPTQLEEVFKSFGAIKHEGIQVRSNKQQGFCFGFVEFETASGKQSALEASPVTIGDRQAVLEEKKTNSRGGGNNGGGRGRYFGGRGGFRNDSFKGGRGGGGGRGGYGRGEYSGRPRSSNPRNNGEGYQRVPQNGGSGRGGGGHGGPRGGASS >A05p051980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30130611:30131751:-1 gene:A05p051980.1_BraROA transcript:A05p051980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRQALLVNMRNKIKELEVKMAETSLTNEDAMEEKQLCDSIAKVRVPMGKAFSNFNFVTIINLNYTNLENEGTIALVNALENSAPSLKAIEMAGNNITYEAAPDIAACLVAKKHLKRLNLSNNDLKDEGCFEIAYIMEPLEVKYVDMSSNNLTREGALSLALVAVKKEVEIWDYVISNSNAKGLLALASDVICNSPSFASPHPFSQTSKTHPRYEPRAGLSLSLTGDIIP >A08p044520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24590761:24592451:-1 gene:A08p044520.1_BraROA transcript:A08p044520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMPTSKHLFLIYYVSLLLCFVSLSSSSSPSDLSGVSLPSSSKVSLSLYYESLCPACSSFVVDHLVKLFEDDLISIVDLHLSPWGNTYLRPDNVTALCQHGETECFLDTVEACAIDAWPKLSDHFPFIYCVESLVTEDKYDKWKTCYDKLNLSSKPVSDCLSSGHGQELELQYAAETSALQPPHEYVPWVVVDGQPLYEDYENFISYICKAYKGDKVPGACAKYSSGDFIRSVKVNRFPMVCMKGVNTMLDLLERIKTYLCSYVNIRGLL >A04p001090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:546862:547770:-1 gene:A04p001090.1_BraROA transcript:A04p001090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof-type zinc finger DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G61850) UniProtKB/TrEMBL;Acc:B3H6D1] MEQIISNTSNNTSQQQQQQQQTFIATTARPNPNASNGGSGAGNDNNTATTMESTRKARPQEKVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSRKNKRSSTPLASPSNPKLPDLNPPFFFATQIPNKSSKDLNLLSFPVMQDHHHGMSQFLNMPKIENNNTSSSVYASSSHGASSRGMNTLLPGQMMDSNSVLYSSLGFPTMADYKQSNNNLSFSIDHHQGIGHNTINNNHRAEDNNHADDMNGASRVLFPFSDTKEFSSTNQEKSHNTYWSGMFSNTGGSSW >A09p079170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58348895:58366107:-1 gene:A09p079170.1_BraROA transcript:A09p079170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMDTNFDTSLAVESGSVAGKNVDDDGGEKRTGTLMTASAHIITAVIGSGVLSLAWAIAQLGWVAGTVLLVSFAVVVNYTSRMLADCYRSPDAGTRNNTYMDVVRAYLGGRKVQLCGLAQYGSLVGMTIGYTITASISLVAIGKANCFHDKGHGAKCLVSNNPAMAAFGIIQIVLSQIPNFHKLSFLSIIAAVMSFSYSSIGTGLALADLASGKVGKTELTGTVVGVDVTASDKLWKSFQAAGNIAFSYAYSVVLVEIQACIFSTSNDTLSSSPPENIVMKKASLVGVSTATAFYILCACMGYATFGSQAPGDLLTDFGFYEPYWLIDFANACIAVHLIGAYQVIAQPIFQFVEKKCNKAWPESNFITKEHSMNIPLLGKCRINFFRLVWRTIYVIFSTVIAMIFPFFNAVLGLIGAVAFWPLTVYFPVEMHISHKKIKKYTMRWIGLKLLVLVCLIVSLLAAVGSIVGLIISPLKMKSFDTSSVVESGAGAGNNVDDDCREKRTGTLITASAHIITTVIGSGVLSLAWAIAQLGWVVGTVILVAFAFIVSYTSRMLADSYRSPEGTRNYTYMDVVRVYLGGRKVHLCGLAQFGSLVGVTIGYTITASISLVAIGKANCFHDKGHGAKCSVSNYPLMAAFGIVQIFLSQIPNFHKLSFLSIIATVMSFSYASIGFGLALAALASGKVGKTGLTGTVVGVDVTASDKLLKSFQAAGNIAFSYAYSDTLRSSPPENKVMKKASLAAVSTTTAFYILCGCIGYATFGNQAPGDFLTDFGFYEPYWLIDFANACIAVHLIGAYQVFAQPIFQFVEKKCNQAWPESNFITKEHSMNIPLLGKCRINFFRLVWRTTYVIFSTVVAMIFPFFNAILGLIGAVAFWPLTVYFPVEMHISQKKVKKYSVRWIVLKLLVLATTLMAHSNIVHNGDFSDGLEPWHPNACQAFVVSSDSSSSDSGYAVVTNRKELWQGLEQDITPQVSPGPPPGKDLLIRSVTVKDSTSCDFQERGENKAAFSVYPPPLNIIANHDFSDGLCSWNSNSCDSFVVGSNECNSESYAVVNNRSETWQGLEQDITDRVSPGHSYKVSASVSVWGPVQRSAQVLATLKLEHQGSETEFKLIGKTYVSNDVWKTLEGTFALSGRPDRVVFFLEGPPPGVDLLIKSVTIHCESDNQFERSRDFSSAPEAAHHHIFLNSSFSDGLNHWSGRGCNLMLHESLADGRILPHSGTCFASATERTHKWSGIEQDITERVQRKLIYEASSVVRLSHSHHTVQATLYVQYLDQREEYIGISSVEANHDDWVKLKGKFLLNGSPARAVVYIEGPPPGIDVFVDHFEVKPAEKPSPSRRPYIESHVFGMNIVSNSHLTDGTIEGWFPLGDCHLRVGEGSPQILPPLAKHSLRTTHEYLSGRYVLATNRSGTWMGPAQMITDKVKLFLTYQVSAWVKVGSGGGLTCPQDVNIALSVDGKWVNGGKVEVKDGDWHEVVGSFRIEKQAREVMLHVQGPSPGVDLMVAGLQIFAVDHKARLSYLKGQADMVRKRNVHLKVTGLDPSELSGATVKIRQTSNSFPLGSCISRSNIDNEDFVEFFLNNFKWAVFGNELKWSWTEPEQGNLNYRNADEMLGFCETYNVQTRGHCIFWEVESAIQPWVQQLSEPTLEAAVENRLTDLLTRYNGKFRHYDVNNEMLHGSFYRDKLGFDARAKMFRTAHELDPLARLFLNEYHIEDGFDSRSSPEKYIKLVHKLQKKGAPVGGIGIQGHITSPVGHIVRNALDKLSTLGLPIWFTELDVSSVNEHVRGDDLEVMLWEAFAHPAVEGVMLWGFWELFMSREDAHLVDADGEVNEAGRRFLEIKREWLTFVEGVVEDGEGGFEFRGYHGSYVVEVVTCEGKYVKNFVVEKGNSPVDVIIEL >A05g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8983991:8984370:1 gene:A05g502960.1_BraROA transcript:A05g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDFILVRQARKGLTQNGQRGVTLRSNESREMVGNKTLIGSKSRGARQESMSEEIRVSNRFGGLNVEEDMEEQVEESGNREENKENENTTNIREPTACSIGSKR >A10g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8030775:8038956:1 gene:A10g503070.1_BraROA transcript:A10g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIKCNLFIVCDPLRLFTSLNIFIRITFFLTHGLEKETVNHLCCYNETNDNKSQIAVVKCRYEGFFRDGSVFAVGDGFPPDDFDGGFKFEDEDEDLSIDIKTIYRILDEKPDSAEGSQDNSSPAASSPDELKNSHLQNGTQMVDDWLPSRDSYNLTFDEPDLSPSPARTFSASLKDWFPVSQDGHPVAQSEMTSSVSSGSMDRYNTCFSDHAGNVSFHPVNCNVDTLSNHDDVITVSKLTSCSPTTFFEEVPGYGAAHNSSTMSGFCCESNSLSDGADNGLSSAQNCYNTSASSSEHAPNFAFQFFPNKEEAVNDADSGVSECQSDDATRVIFDRHGRVDSGSLDRKPVVDFSGARGIGFKCESNPSFSLPCGKPYNDFDSHLADGKAARVKVKPEAESEKVVYSSVRKEESIMDPVYAPGETSHWWSGASGCSVSYRTYIKEGFAFMAQQTSFPSQDSGNSNKFYDSNACLQYVAADPSPATQINGYLNSTIQQGHHEHIQARSSDYNFSNGSFESVQIVSSEYISDSDDDSDVCIIETNGQSANPYRPLAMKSPVVSSQYSSSPHTFNQPGGTRLQSNKENMIYQAALQVPDGLLLFGCVARLQLMTDLSLPNAEASLPDGVLAVRLMRHQRIALSWMANKETTGFPCSGGILADDQGLGKTISTIALILKERSTPSRACEESIKKQFFDLESEGGECASSKPNGRSEEVVGNCVGKVKGRPAAGTLVVCPTSVMRQWGDELRKKVTSEANLSVLVYHGSRRTKDPHELAKYDVVVTTYSIVSMEVPKQPLVDDEDEEKDDNSIDDLYSYFRFLKYDPYSSYVLFCNSIKNPVAKTLVKGYQKLQAILKTVMLRRTKGSLIDGKPIISLPPKSIELRKVEFTEEERDFYSNLEHTSRDQFQEYKEAGTVKQNYVKILLMLLRLHQACDHPLLVKGEYSFTWESSLGLAKKENLSEASLQICGICNDSPEDAVVSVCGHVFCKQCFYECLTGDNNLCPNINCNSRLATVPSMHDSASSVVHVETVDPCSEGLPYESSKIKAALEILQSLARPQDSTYMNQISQKSGDSNLSVIPVKVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVQKKRMMVASAFGEDEKGSRQSHLTVEDLNYLFMAD >A09p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10465333:10466115:-1 gene:A09p019700.1_BraROA transcript:A09p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEESQENNKVTWTNEMTHTLLQCIIVEKQIKDEGNRFFNLSQKENIVKKLDEQFGIEMSWKHAKNRWDNLKKFYNMYKINPENPHLGTNFFEYVAQLDEIYGDYYNA >A01p010250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4996306:4998903:-1 gene:A01p010250.1_BraROA transcript:A01p010250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcription factor KAN3 [Source:Projected from Arabidopsis thaliana (AT4G17695) UniProtKB/Swiss-Prot;Acc:Q941I2] MELFPSQPDLYLKIKRRREEQEEDYKEQEEVQRRLLFGSKASDSDRKASDHLIHTLQFTSNNEPTKIDHNQEHMESLDQDLRSNFMVRPIRGIPLHQNQILDHYYYSPTPPFFFSEVNGQHTNPSYSYNLHHRHHRQAQPQAQRLTAKRGVRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMDVQDLTLAHVKSHLQMYRTIKSTEKPTTSSDIGQSDTCENELKVNSERQARDLQGLWTNSSSEARFHLKAKASGLDMSSNKNVDQRCPSYERLSSDSSSLTGTRPEIETPNLEFTLAIPNLPP >A01g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11214452:11215814:-1 gene:A01g503660.1_BraROA transcript:A01g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSINENEKRGEQVLICYIRRDVPSKKARTQRIERERCFLGVLLVGVEGHSEALSDGVRCGLILVALELALCVVELAIVYSLCGFCVCFSDQAFWCHWCVLGADGELSKGGFIEEICEDCSCRRQCAPGRLKMQPAAMICISSRSTTGGTTSVCHEDEAKCEFGFRDVCGLAELEKERSYVLEDIWTFSIKQKGVCLKIKFSINENGKRGEQVLICYIRRDVPSEKAMPQRIEREMFSWCVTAWCRRTF >A09p045750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40093204:40095954:-1 gene:A09p045750.1_BraROA transcript:A09p045750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVVSVFVLVILVAWPVSAGKDLPGEGGYGGDEEEDTKSWFPLDNLLSLSYYDKICPNFEKIVDTKVREWTKTDPSLGPALLRLLFHDCGVTGCDASILLDHKGSERRSPASKTLRGFELIEDIKSEIEKSCPGLVSCADILTAASRSATYQLGGPYWPNAYGRRDSTNSYARDVEKVPSGRRDITGLLETFQSYGLNILDLVVLSGAHTIGKAYCGTIQSRLYNFNATHGTDPSIDPKYADFLRRKCRWASETVYLDAMTPVVFDNQYYINLQKNMGVLTTDAELVKDPRTAPLVKAFAEQPPQMFRHQFAVSMAKLVNVGVITGEDRTGEIRRVCSKSNSKRY >A09p033130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20061281:20063022:-1 gene:A09p033130.1_BraROA transcript:A09p033130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 6 [Source:Projected from Arabidopsis thaliana (AT4G11280) UniProtKB/Swiss-Prot;Acc:Q9SAR0] MVALTAEKQDHNLLSRMAAGDGHGENSAYFDGWKAYEENPFHPIDRPDGVIQMGLAENQLCGDLMRKWVLEHPEASICTAEGVNQFSDIAIFQDYHGLPEFRQAVAKFMEKTRNNKVKFDPDRIVMSGGATGAHETVAFCLANPGDGFLVPTPYYPGFDRDLRWRTGVNLVPVTCHSSNGFKITVEALDAAYENARVPVKGLLITNPSNPLGTTLDRDCLKSLVKFTNDKGIHLIADEIYAATTFGESEFISVAEVIEEIPDCNRDLIHIVYSLSKDMGLPGLRVGIIYSYNNRVVQIARKMSSFGLVSSQTQHLIAKMLSDEDFVDEFIRKSKLRLAERHAELTTGLDGLGIGWLKAGAGLFIWMDLRNLLKTATFDSEMELWRVIVHKVKLNVSPGGSCHCHEPGWFRVCFANMDHQTMETALERIRVFTSQIEEESLKLTKQMAKKKKCWQSSLRLSFKDTRRFEEGFFSPHSPVPPSPLVRAQI >A08g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:229541:232452:1 gene:A08g500110.1_BraROA transcript:A08g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIVKVNCYHSGKFKTEDGKLIYADGKVEVLEVDGVTIFEDVVFQMVHKTELGNMWYKLPYEDLEDRKSLSNNIDQGKKKLATGGCWMKEIDFYIEKISEDERICGEEVNVEQENVVLEEEERMIGQGVNEEERMIGQGANEEERMIGQGANEEERIIEQGEHENEANTVNEEAEEHGFEEDEDDADYEESGNVSESEDDSWSDLRATDDESDENDEAPEEDIDMINNNYEDEIPDEDEVYPDTEASSDEEEEQAERMARAGLLDGVLSLRQTFSSGEEFKKQVISYILKTRRNVVYDRWEKTKIGARCNGKGCPWRIYCSVETPLNRWMVKVYENKHTCHPTGRPKGKARIKGVMESPKKGKKHPETKVSRKGREMHCGLCGGKGHNARKCPYESEENRVKRRRIREGLASEEVQASEEPQAQAQQEQGPSTSAQTSQHGSDD >A01p004040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1745383:1747050:1 gene:A01p004040.1_BraROA transcript:A01p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT4G34740) UniProtKB/TrEMBL;Acc:A0A178UYQ3] MAATSCFSSSISLNAKPNKPSKAPLRFLRSPFLKPSFSPLPASLSSSSPSLPVKVSSSGNANHPLALENDDYDEKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVTVSKDKVLQTITGVGLVSEVFNESKLDQLPGDIAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYTKLRAELEENGSIFNTSSDTEVVLHLIAISKARPFFMRIVDACEKLQGAYSMVFVTEDKLVAVRDPHGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKSQCLMPHPEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVDCDVVIAVPDSGVVAALGYAAKSGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIVASCYYGVDTPSSEELISNRMSVDEVRDYIGSDSLAFLSFETLKKHLGEDSKTFCYACFTGNYPVKPTEDKVKRGGGDFIDDGLVGGINNIEGGWVR >A10p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1145565:1147267:-1 gene:A10p002210.1_BraROA transcript:A10p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSLVSSPHPLAPFSSHHHHHRLHHSPNLSTLPFPKPIKTKPNHSLRCSVSIEKEVPETERPFTFLRDSDDSSHSSSSTSVRARFETMIRAAQDSVCEAIEAVENGPKFKEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPEAYRAAKGSASDQKPGPVPFFAAGVSSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDFTPAYIFEEDVKHFHSIQKQACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLKFSTECANSVVPAYIPIVEKRKDMEFTEQHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGTEEWKLLDACINPKEWI >A01p011500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5582444:5586668:-1 gene:A01p011500.1_BraROA transcript:A01p011500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLKLSSPLSPSIVNNLQGSSSSYKVKFSNNTSLPKPFLQLDDRGQRLRDQHASHSSVYLRSFRKRVTAKSEGSQGWDIGRFIKTLYFFNGPPSPLKFVSSVFEKLTNGSTTEEPVNEMETSGIILVAGATGGVGRRVVDILRSRGLPVKALVRNEEKARKMLGPDIDLIVADITKENTLVPEKFKGVRKVINAVSVIVGPKEGDTPERQKYNQGVRFFEPEIKGDSPELVEYIGMKNLINAVKNGVGLENGKLLFGVGDNTFKDLPWGALDDVVMGGVSESNFIVDLTGGENGGPTGIFKGNVSTTNNGGFTSVRTKNFPEAEDLSAYDGLELRLKGDGLRYKLIVRTSQDWDTVGYTASFDTSPGQWQSVRLPFSSLRPVFRARTKSDAPPFNPASIISLQLMFSKFEYDGKLNPTFKEGPFELPLSTIRAYIQDPVTPRFVHVGSAGVTRPERPGLDLTKQPPAVRLNKELDFILTYKLKGEDLIRESGIPYAIVRPCALTEEPAGADLIFDQGDNITGKVSRDEVARICIAALESSSALNKTFEVKSTVPFSEPFTVDPENPPPEKDYNEYFKNLKDGITGKEALEQSTKDALLMDENIEHMSHALCPWNTYAFGCVKSESSCHNYKILRIYELSSDSWRVLDGVTDKWRLHHSDDVVSLKGNAYWMHKDREAGHNVLLSFDFTAEVFVSFPLPDQSDDDRRDLAMSVVREEHLAVLHHNTGTFLTEMNVWLSNKIDETKEVSWSKFLVVSFHNFKYPRYPKEMTFWVDDENRVVVTCVRDINHCDHVYIVGEDLHKHVYEVANDTWIWPCFLSYVPSLCHIPKAKEEES >A03p060140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26057604:26058797:1 gene:A03p060140.1_BraROA transcript:A03p060140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCSGDSSDVASQFDILRCPFLRNINEPTNLSFSSSLPFPIPARAGQGPIFEDGPNFDTAFRLFHGQDGVVPLSNSARAEAEKKPEPVFNPLAAKAATISLSSFGPGGPFGFDAFSDMFKNQKRKSDSSKNNKDSSKGGSHEAMSDDWLQTGNCPIAKSYRAVSGVAPLVAKILQPPPGMHYKCPKAIVAARAAISKTAFAKNLRPQPLSSKVLVIGMLGMALNVPLGVWREHTEKFSASWFIALHAAVPFIGILRKSVLMPKMAMVFTIAASVMGQVIGSRAERYRLKSVAQKKMTLSGSPNPSSVEATQMEFPGVSSDGRCGDKVVMKWNPMLLEAASPVSTGATNVVC >A06p055420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28937307:28946627:1 gene:A06p055420.1_BraROA transcript:A06p055420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMFPPRLKKFWDKWNIRGVIMLSLFLQTILIFFAPSRKRTAKKLFLVLIWSAYLLADWAADYAVGQISDSQEEAADKHDKTRELLAFWSPFLLLHLGGPDTITALALEDNELWNRHLFSLVCQAVATVYVILLSTPNRLLTPTVIMLFGGVIKYVERTSALFSASLDKFKDSMLEDPDPGANYAKLMEEYANKRDMNMPTEVIVVEDPEKGRECITPVRPNDDLTNLQVVQYAFKYFTIFKGLIVDLIFTNKQRDESRKFFDSLNPEEALRIIEVELSLIYESLFTKAEILHNWTGAVFRVIALACLVSSLCLFSLKNEAQYEGFDVALTYALLICGIALDSIALLMFCVSDWSIARLRNLKEDLDEKDPWAWVDRVLNWILGFRTLRWKRSICFMDGHEVLDRNFMFRRWSEYVHAYNLIGYCLKIRPKRFHPTKGMIHSFIPCSGTRQFCNLIHRTLSNLSKCNCIILPVTLLRHQLGRLISSVLEFFGLTELVEEIRFTTSDRLTRELWEFIFKQVQHKHRFAEDPESAKSISSARGDWTLVETSSKTTEGGPDHAKLLRYVTEKDYDQSILMWHIATELLYQSPEEKEGITKKEEYTNREFSKILSDYMMYLLIMQPALMSAVSGIAKIRFLDTCAEANEFFERRHINKTRQDEKENLVKEATRAILSVKTYVEPMDVKGDRSKSVLFDGSVLAQELMKLQKGRENMWEVVSKVWVELLCFAATHCDSQEHASQLSKGGELINFVWLLMAHFGLGDQFQINRDDARAKLIVANMRVIPPAVKEILDKWNIRGLVILSLVFQTSLIFLAPLRKRTSKKLLAMILWTAYLLADWTANYAVAQITKNQGKEPKPDDPPKNKKLLALWAPFLLLHLGGPDTITALALEDNALWARHLFGLVSQALAGVYAVVQSMDNPLWPPIALLFITAVIKYTERTRALYTASLDKFKDQMRKPADTGPNYAKLMEEYDSRKDSNLPTDIVLIDEPDKHDRPPTLKPGPDPLTHLEIVQYGFRFFNTFKGLVVDLIFSFRERDESRDFFKELAPEDALRIIESELGFLYESMYTKTAILHTHKGTVFRVIAFGSLLASFLVFHFRPEKSVDFHGADVVITYTLFIVGIALELSSLAMFLLSDWMFAVSSKLNDDQVKDKSCIDSFLNCLLAFRKPQWTPMDACKTMHACKGNRTREVLTTPFLLRRWSGVIYGFNFIGYCLKAKVSRINRRSEFVWEFVVSMFDYVIRRFQTLSGWIKDLESSVRTFIRRWSKKNHMIYCTVYPLYLVFFSVIPWAFSKLWGYVDRIFSVKSHIDQIRFVSSEPLTRNQWEFIFSELKQKSEFAETPERAKKVSSARGEWALHDSKLEEVGRLMRYVANVDYDQSLLLWHIATELCFQEEEDKEVENGSGDSCDDREFSKIISDYMMYLLIMQPKLMSEVAGIGTIIFRDTLDEAKRFFKGRHFKNLRDMKRGSKMILEVSNDIEPMHVKGDRSKSVLFDASMLAKELKRLDESSSSSSASHGDGKWRVLSKVWVELLSYAASHCKATEQVAQLSRGGELLNFVWLLMAHFGLADQFQINKGDARAKLVVGE >A04p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23245756:23247265:-1 gene:A04p041230.1_BraROA transcript:A04p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein GTS1 [Source:Projected from Arabidopsis thaliana (AT2G47790) UniProtKB/Swiss-Prot;Acc:Q944S2] MEVESSANREAQRFGLKNSIPTNFGSDYVFQIVPKVDWAAIAVSLSTNTVKLYSPVTAQYYGEFKGHTDTVNQIAFSSDLLHSCSSDGTIRSWDTRSFQQVACIDASDNGQEVFSFSFGDHLLAAGCKQQVCLWDWRSSKQVACLEESHMDDVTQVHFVPNNRNKLVSASVDGLICLFNTEGDINDDDHLDSVINVGTSVGKIGFLGDSYQKLWCLTHIETLSPAGSIGPAEAILGGGHVDVVRSVLQMPSEYGGSAGLFGWTGGEDGRLCCWTSEENSAAGNSNNRSWTSSELVVKPSRNRQKNRHSPY >A09g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17619943:17621297:-1 gene:A09g505600.1_BraROA transcript:A09g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVRRAATTNLGKFVATIESAHLKTGIMSMFDDLTQDDQNSVRLLAVERCAALGKLLEPQDCVAHVLPMIVNFFLINEMFKIGTFVTEQDKSWRVRYMVANQLYELCEAMGPEPTRTELVPAYVRLLRDNEAEVRIAAAEKVTKFCRILNPELAIQHILPSVKELSSDSSQHIKSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVCLNIISKLDQVNQVIGIDLLSRSLLQAIVELSEDRHWRVSDTSLSYQR >A04g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8175741:8177278:-1 gene:A04g503820.1_BraROA transcript:A04g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRLSSTRNYAAKDIRFGVEGRALMLRGVEELADAVKVTMGPKTMIIASDCISFRVRRDRGFMSQVCKRIHEILFNSEDVYSTHRMVIHVTSDNDVVLMLLCLSV >A02p045120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28360231:28360979:-1 gene:A02p045120.1_BraROA transcript:A02p045120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSEDNKSEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKIVINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRVQTFQSIGRWLNELHTHSDMNVVTILVGNKSDLKDIREVPTSEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMSSQELNKQDPASLSNGKKVVIPSEGESKTGGGGCCSR >A03g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1883215:1886300:1 gene:A03g500640.1_BraROA transcript:A03g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKRKGPSKAARKGPAADESQNDEVVAESLEASTQEESQQPNAEAEAKPEITDSSNQVDEVKDASPSQQQEDVKAEEVDEDKKKPGRPRGGKRKRATKKEVDVKDEKKPPPRAKKARVAKPQEEPEYFEDERNLEGLWKAAFPVGTEWDQLDALYEYNWDFKHLEEALEEGGFLFGKKVFLFGCTEPQLVPFKGANKILHVPAVVAVESPFPPSDKIGITSVQREVEEIIPMKTMKMDWLPYIPLENRAALRHMKEDLVKKYEYCLPYFYQPFKEDELEQSTEVQIMFPSEPPVVCEFDWEFDELEEFVDKLIEEEALPADQKDEFKEYVKVQVRAAKKANREAKDARRKAIEEMSEDTKQAFQSMKFYKFYPLPSSETPDVSGVKSPFINRYYGKADQVL >A07p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15539477:15552131:1 gene:A07p027200.1_BraROA transcript:A07p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPSKVAGHTRYLLEHFNDSDVDSIAQEICQLVDYGVEASVPVLKTCVDCFTVRRSHANTLQLEKLLALVFKRVLKLPNLGTLLSHALQDADVTPEFVRDFATALGFSVSDKITFALALVDFETSHAKTSGRNLLLEEIEQLCANNGQVESTEQIQNVVLFLQTSEDLSGHLESFLRLLSSSQPRDDLSFALTPLLSPFRSIDSAEDDFDAVLAEIDKEVGVGDLIGELGCGFTADAQQCKHFLSSFAPLREATISRILGHVARTSADLEDNHTTFSTFSLAVGCSIPTDLPTPTPTSWNVDILIETIKQLAPGTSWRKVIENLDHSGFDIPNMESFSFFMRLYKTACKDPFPLDAVCASVWNNMEGQLSFLKHAIAAPPEVFTFTHSPGKLAYIDNIMHSHEQQLGLSNQAWLSLDLLDVLCQLAERGHTVLVSSMLQYPLTHCPKTLLLGMTHIKTAYNLIQREVVSVILPVLITNSQDSGFILKLWHQNAELVLWGILNAQNLKSDGILRIIEIFHELKIFSVVLESVPVSFSIRLAVLASLRGFLDIENWLPNCLYVYKDLFAEECLKFVKNVHFSESEDFTSKHFHPSDPLSDRHLDATTLLLKVLKAHDNVITSSQLVEEIEKVNSAILDCNSKLQNGEAKDSSVPSPYGEDVEEEANAYFHQMFSGQLSVDAMVQMLSRYKDSLVQREKSIFECMIANLFEEYRFFPKYPERQLKIASVLFGSVIKHQLISSITLGMALRLVLDSLRKPADSKMFLFGSKALEQFVNRLVELPQYCNHILQISHLRSTHPELVTVIEQALSRISSGNLESDASFSHPGPSQSLPGNGEFSVSGIGQSPLQLPSPVQPQQKNEVHIDDHSKVPSVPSIETKPLLPSSSSTSADVSVIPKNPGISTSSLTSAGFVRPARGATSTRFGSALNIETLVAAAERRENAIEAPPSDVQDKISFIINNISIANIESKGKEFAEILSQQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVDSKLLFKEILQNTYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNYVLRAREIDPKSLIVEAYEKGLMIAVIPFTSKVLEPCQNSIAYQPPNPWTMAILGLLAEIYSMPNLKMNLKFDIEYVAPQRAYTNTSVEDEKVVTLGLSDQLSSPQGPFQSTPPFSVSQQLSAALPNIGNLVVINQKLSGFSKHFPFQRVVPLAMDRAIKEIVSGIVQRSVCIACQTTKELVLKDYASEPDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISGHLRNSLQGPNINNEALEQIVQLVTNDNLDLGCAAIEQAATEKAIQNIDADIAHQLSLRRKHRDGVGSSFFDPNMLAQNSVSFIPESLSPKPGQLSLSQQRVYEDFVQHPWQKQSAQTSHGLSVASSSSGDVGFGNGYGPVSGKYASDFESSAGNDRTDMAYRASDTSMESFQSCPMSLLSSQVDPAAGLQFSKSLSTSELSLVESSEAAMKETGTSLQTLTPAATMERLIGNNTPQPSLSTRDALDKYHIVTQKMENLVANNAGDDEIQAVVSEVPEIILRCISRDEAALAVAQKAFKALYENASSNLHISANLAILVAIRDVCKRVVKELTSWVIYSDEERKLNKDITIGLIQRELLNLAEYNVHMAKHLDGGRNKSATDFSISLLQCLVTEKSSVISELHSLVDALAKLSAKSGSPVSLQQLIDIIRNPVTNTSGLSDSATENEINDRQSKDEKVVCNTIANTEDNTSFEYVESGSMDFRKRVSTLFENWYQLCEVSGANETACSEYVLHLYQTGLLKGDDTTESFFKILLELSVAHCISSEEISSGAAQSPQQAQSPSFLIIDIFAKLVFSILKYFPEQESSSKFFLLSEIVAVTVRSIQKDAEDKKASLSPRPYFRLFINWLLDLCSLDPGTDGANFQVLTAFANAFHALQPLKIPAFSFAWLELVSHRSFLPKLLTVNGQKGWPYVQRLLVDLLQFLEPFLRNAELGGPVHILYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPSSCVQMRNIILSSFPRNMRLPDPSTPNLKIDLLPEIVEAPCILSEVDAALKANQMKNDVEEYLTSRQHDTTFLNELKLKLLVSSSEASSAGTRYNVPLINSLVLYTGMQAIQQLQQAGETGAQNVVALHMFKYLSAELDTEGRYLFLNAIANQLRYPNNHTHYFSFIMLYLFLESDQVVIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYGFWKHGFIRCAPEIEKLFESVARSCGCLKPLDEGIVSAGWVSENSH >A08p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4316144:4318959:1 gene:A08p007290.1_BraROA transcript:A08p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTKIGQDYSYSQPSSSSDSLDITSLLQAEAEMYADEADSSDCNAEPVQYQLQPEADDGIPASYCGAEPVLGCSYTPKDPYRRYFTCHNVDDGNCHVWKWWDVAVMEEMRDFQTQLRQLKEEGDESEQKLLNLEKTVYELSKKKSRAKLMVCLLVLIGLVILILRGIVAKGSNERGKYSLGFEPKDRTARSLRSDRARAKARSLRSDRVIVPLGRYEATELSQARSLRSDRAIVPLGRYRPSDRPARSLHSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDQAIVPPGRYVATELEPKLGRYVATERSSRSVATDRALVSLGRYIATGLEPKFGRCVAIEPFRRSIRHQSLHSRQTFECYLPKTVASSKPRKARSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTLN >A09p038790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000058.1:499:699:1 gene:A09p038790.1_BraROA transcript:A09p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVQFLDIDRWYLCTSIDINLHLSRHLVVSIDSTDVHRSIVVPLVDLYMVSSDEMSFKLQNAPKS >A05p044720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26841459:26851850:-1 gene:A05p044720.1_BraROA transcript:A05p044720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative callose synthase 8 [Source:Projected from Arabidopsis thaliana (AT3G14570) UniProtKB/Swiss-Prot;Acc:Q9LUD7] MSHEIVPVDPIDIPSTSYSRPNLGREDSPERHQHQYTRSLTFREHVSEPFDSERLPATLASEIQRFLRIANLVESEEPRIAYLCRFHAFEIAHHMDRNSTGRGDEEFTVIRRKEKSDVRELKRVYHAYKEYIIKHGATFNLDHSQREKLVNARRIASVLYEVLKTVTSGAGPQAIADRESIRAKSEFYVPYNILPLDRGGVHQAIMHLPEIKAAVAIVRNTRGLPPPEDFQRHQPFVDLFEFLQYAFGFQSGNVANQREHMILLLSNTIIRQPQKQSSQPKSGDEAVDALMKKFFKNYTSWCKFLGRKNNIRLPCVKKEALQYKTLYIGLYLLIWGEASNLRFMPECLCYIFHQMAYELHGVLAGDVSMITGEKVVPAYRGVSHETFLEKVVTPIYKVIEKEAEKNKNGTADHSMWRNYDDLNEFFWSIECFELGWPMRSEHDFFCVEPLDTSKPRRWREKIRFRRQTKKTDEEMEDDEELGPITEEQIKPTQRWLGKTNFVEIRSFWQIFRSFDRMWSFFVLSLQALIIMACHDVGSPLQIFNANIFEDVMSIFITSAFLKLIKGILDIIFKWKTRTTMPINEKKKQMARLGLAAMWTVILPVLYSHSRRKYICYFTSYKTWLGEWCFSPYMVAVTIYLTGSAVELVLFFVPAISKYIETSNHRVFKTLYWWGQPRLFIGRGVQETQISQFKYTFFWILVLLTKFAFSYAFEIKPLIEPTRLIMKVGVRNYEWHEIFPEVKSNAAAIVAVWAPIMVVYFMDTQIWYSVFCTIFGGLYGVLHHLGEIRTLGMLRGRFHTLPSAFNASLIPHSIKDEKRRKQSGFFPFNFCRGSDGQKNSMAKFVLVWNQVINSFRTEDLISNKELDLMTMPMSSEVLSGIIRWPIFLLANKFSTALSIAKDFKEKDEALYRRIRRDEYMYYAVKECYESLKYILQILVVGDLEKKIISGIINEIEESIRQSSLLEEFKLKELPTLHKKCIELVQLLVEGSEDKLPVEKIEEQHSKLVKALQDIFELVTNDMMVHGDRILDLLEPLEDSEEDTGIFMRVIEPQLFESYGERRCIHFPLPDSTSLSEQIQRFLLLLTVKDSAMDIPENLDARRRISFFATSLFMDMPDAPKVRNMMSFSVLTPHYQEDINFSTKELHSAKSSVSIIFYMQKIFPDEWKNFLERMGCENLEALKREGKEEELRKWASFRGQTLSRTVRGMMYCREALKLQAFLDMADDEDILEGYDDVERSNRPLAAQLDALADMKFTYVVSCQMFGAQKSAGDPHAQDILDLMIKYPSLRVAYVEEREEIVSDNPEKVYYSILVKAVNGFDQEIYRVKLPGPPNIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKMRNLLQEFLRNRGRRPPTILGLREHIFTGRVRFHYGHPDVFDRIFHITRGGISKASRTINLSEDVFAGYNTTLRRGCITYNEYLQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIYRLGQRFDFFRMLSCYFTTIGFYFSSLISVIGIYIYLYGQLYLVLSGLQKTLILEAKVKNIKSLETALASQSFLQLGLLTGLPMVMEIGLEKGFLIAFQDFILMQLQLAAFFFTFSLGTKTHYFGRTILHGGAKYRPTGRKVVVFHANFSENYRLYSRSHFIKGFELIILLVVYELFKHTSQSNMAYSFITFSVWFMSLTWLCAPFLFNPSGFTWEIIVGDWRDWNRWIKEQGGIGIQQDKSWQSWWNDEQAHLRGSGVGARCLEIVLSLRFFLYQYGLVYHLDITQSSTNIIVYALSWVVILATFLTVKAVDLGRQLFSTRKHLVYRFFKVFVFVSILTVIITLSNICHLSIKDLIVSCLAFLPTGWGLILIAQAVRPKIEGTSLWEFTQVLARAYDYGMGVVLFAPMAILAWLPIISAFQTRFLFNEAFNRRLQIQPILAGKKKK >A10p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11392549:11393221:1 gene:A10p007480.1_BraROA transcript:A10p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSCTDDVATGLKDVLTHCYKCKGRKVSLSDHEDNDEKLIGDFNYDHEIVVFQIRSRAMEKLRKKPKIGMERLAYTPKVYPSKEESNIEKEEVVEFCSVGSSFSECCTSDLSVEAYMTAKTEFSRSSSLKGVELENEWKMYYLNGIRKGSVIQELCHCQGWPFGLGRKAALLPPLPKSPAESWSWRKPNRVAPIPFI >A02g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20454141:20454862:1 gene:A02g507180.1_BraROA transcript:A02g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIKFKEFRQQFRHNGSLLLVLLLEMKSIMPYLKLPSFFMVMMNCLLQICQQKRLKDKGLPGKKSLYIRLGCRGDLPNIQPPGWDPSSDTGAHPSFS >A10p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:363571:364544:1 gene:A10p000700.1_BraROA transcript:A10p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RABA3 [Source:Projected from Arabidopsis thaliana (AT1G01200) UniProtKB/TrEMBL;Acc:A0A178W3F7] MNEEMSGETPENKHVSRPTLPEKIDYVFKVVVIGDSAVGKTQLLSRFTQNEFCYDSKSTIGVEFQTRTITLQGKLVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITKRVSFDHVARWVEDLRAHADDSAVIMLVGNKADLAADKRAVTTEDAVEFAETHRLFFSEVSALSGGNVDEAFFRLLEEIFSRVVVARKALECENGTTAKLDGSRIDVIISGSDLETGKTNEQASCSC >A09g501180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3819568:3821880:1 gene:A09g501180.1_BraROA transcript:A09g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSQPYCFRGIVTTICFFFFLHPLPNTFASPTRSLCRHDQRDALLELKKEFPSHTLNDELATTLSWNKSVDCCSWWGVTCDDVLGQVISLELQSYSTANTSLKASSGLFKLQYLRHLDLSDCSLHGEIPSSIGNLSHLTYLDLSFNQFIGEVPPSIGNLNQLRYLSLWSNDLKGNIPTSMVNLTKLSDLDVKGNQFTGGVIILANLTSLSELNLSYNNFNSSISVDLSGLHNLERFIGNDNSFFGPFPSSLLMISSLGMIALDRNQFEGPLDLGNMSSSSELGSLDVSYNKFSGLIPPSIWKLRSLAVLDLSHNSFKGIVPRSISSLVNLTSLDLSYNKLEGQIPSLLWRSSTLWSLELSHNMFSSFNKSVEVVDGASLRELRLGSNSLQGPFPQWICKLKDLWALDLSNNQFTGSIPLCLKNATSLSEIRLQNNSLSGFIPESFSNLTDLKSLDVSHNYLVGKLPRSLIHCKLMRLLNVDGNKINDTFPFWLGSLELLKILVLRSNAFHGPVYNPTTYLGFRSMRIINIANNNFVGSLPKDYFANWTEMSIVWSNEDSPESEYMGDDSHLYEDSIDLVYKGVETDFKRIFQAFKAIDFSGNRFSGHIPGSIGELNELRFLNLSGNAFTGNIPPSLSNLAKLEALDLSRNNLSGEIPQGLGKLSFLSYINFSHNHLRGLVPQSTQFQSQKCSSFMDNSGLYGLEKMCNENHVNVPVLTSQRPEESLSDPKEPVLNWIAAAIAYGPGVFCGLVLGHIFISHKHEWFIAR >A04g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2461697:2462208:1 gene:A04g500890.1_BraROA transcript:A04g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESTMKEINKNLRRWKTSATLKREKTAVTLMERATMVALKEVERISRLVSRLVAMERSIRVTAEFAIKKRSSVAVAMGGMEREKKRLKSTLVDLVERREGKAPTPSSGGS >A01p031490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000028.1:58749:60033:-1 gene:A01p031490.1_BraROA transcript:A01p031490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHRSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADKYGQHADMSSVHGSVHESVHGKGQRADMCTDIVHQHTRTDVLCVLTDVPCVLRDVLCVLTDTRTHTDSHGRPACADGRPLCADGRPVCTDGRPACADGHTDSHGRPGCADGRPACADGRLCVLDG >A05p034570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19464705:19466653:-1 gene:A05p034570.1_BraROA transcript:A05p034570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGELINLKATELCLGLPGGAEANENLEKSAVGNKRGFSETVDLMLNLQSNKEGAVDLNNVASASKDKTLLKDPAKPPAKAQVVGWPPVRNYRKNIITQQKTSGKEEASSEKAGNSGGGASGAALVKVSMDGAPYLRKVDLKMYKSYKDFSDALAKMFSSFTMGKYGAQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKYCKNRS >A09p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20203846:20204492:-1 gene:A09p033380.1_BraROA transcript:A09p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLCSTFRPQLLFSPQIRFTDGVLIPRLNFGSSNSVVSFRPVIRCQRVSGGRGGANRSKPAKPPVKEGSNKTVIEGLVTESLPNGMFRVDLENGDNILGYICGKIRKNFIRILPGDKVKVEMSVYDSTKGRIVFRMSSRD >A02p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19436751:19438937:-1 gene:A02p035610.1_BraROA transcript:A02p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKRAAVSESFPEVNQSPFKNAKPVEGTLFGTTQHSSSSLDKQRAELARKHVRALNNQFVSWVQMQLKNHPDELWEDGMHDYISHASNILEKFKDVVNWVKQSKGKGDNVSPESTGAEKKQVGEVENNDVKPASNNSLFALNTQPGIFSTNQSSNFSSSPFGLASNSQSGSFSSGQFGLAKSNSQPSFSFSNNQNPFSSRVTPVSIPTKRDSPEDADGEDEEPQPSSPSVRKTEEKGVTVVHEVKCKLYVKSNDPTDKGAWKDKGAGNLCIKCKEGVDKGTKESKPTILVRNDVGKLLLNALLYTGIKTNAQKNALVAIFHSSEDSNENVTPRTFLIRTKTAEARDKLATAIQEYAPSS >A09p058480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49240523:49245810:1 gene:A09p058480.1_BraROA transcript:A09p058480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSQTYGMEPTIGLYTVEEKEAAMGSFAGSCEIVEEKDEEVRLAKVHSGRYGKPSLGGSSSKDSERKQREYHGSLEYDIDKLFQSIPVKPPTRRLLSSSFHNHFETSASAGPSRTTSPSKRSAMKKPMTPQSPRVADSLSLKQALRDRCISKASEMAAQKRLSKSAAASPRVSEADRIKSLYNQSTRVVPVDKGKGALVDETSVNKDIPSTSRSVSQRSEEPSSPVSEPSQGGTSFGVGNQMLEIKVLHKANRSGSCLSSGSVDCEIALDENVASTSAQSFEDDVQEVDKHVTSLLSDSSKKDNAEELEKKILSTTLDLEQTVKLDGAGTKKSKTVTRMIPRPKQQPKKKILVKKKLKIGIVSTKKDEETDSSANKLLCQRCHCSLKSTSIINNQPPPSYTTSQTPEICSDSLSSISNNVGKEAHQVADENSSGSCNASQSSEAEIIVMKQNVSSSNNDSGNKFEFSLSSKDSLGDYSRSTSMSEESNLSSRFSCGNKPHMSMDVRWEAIKHVKLQCGGSLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKSPRAQAEREILKMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDVHVLRQKQLGRCFPEPAARFYVAEILLALEYLHMLGIIYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLTSTSPPDPARMSGPYNTSNCIQPFCVNEQSCQVSCFSPRLSSNQQQEGRKPKSADQHSKRSSLPQLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVLLYELLYGKTPFKGYNNDQTLANVVLENLKFPDSPLVSFQAKDLIRGLLVKEPENRFGTEKGSAEIKRHPFFEGLNWALIRCATPPELPDCYDFGPGSPGGNDDRYLECKAIGDHLEFECWERHVVINKKEMSIKNSGAAAPASAVNTNGKLPMEENEDEEIWKVAVSRFQAREEEIERKKMTVKEKVQQRLGFAEEATRCLTQTLEELEIMGDPMRKEVGMVRKKIDMANRDIKSLAQSCQKKEKEYKETLEAFNEKNKEKTHLVSMLMELLAESERVRMKKLEEINKTVGALQ >A02g512980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35686935:35688647:1 gene:A02g512980.1_BraROA transcript:A02g512980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGNTDGEFSFSAAAARDALPRITTEKGAKSTTSSDVCHDDTASRGNFQTIDQLHSLQKKRSAPTTPLKEGGGVVMGTSGPTTPVSGESMLQSVSASLASLTRETGPKVIRGDPTSAAKVSHVPQTPTSVPAADVSDSSLKFTHVLHNLSPAELYEQAIKYEKGSFVTSTGALATLSGAKTGRSPKDKRVVKDETTASELWWGKGSPNIEMDEQSFLVNRERAVDYLNSLDKVFVNDQYLNWDPENKIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGKFPCNRFTHYMTSSTSVDINLGRREMVILGTQYAGEMKK >A09p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10679964:10682381:1 gene:A09p020100.1_BraROA transcript:A09p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRESQRLRSVTIMILMVNLVWSVTLQTCIARKGRHWRHHHSSSSSSSTLSDSLSSKKPKSHHNSHSHKSKPQLKTPPPKSGSPVLPQPPPSPQVQPPPPSPLPLQPLEGSQEFNVLDFGAKGDGMSDDTEAFEAAWASACKVEASTMVIPPDYIFLVGPISFSGPYCQANIVFQLDGMIVAPTDSESWGRGLLWWIEFTKLKGITIQGNGVIDGRGTGWWQQDNPFDYPIDDDFKLIVPLNNTVQERPPMPIRSELNGMPSIKPTALRFYGSIDVTVTGITIQNSPQCHLKFDNCVQVLVHDVTVSSPGDSPNTDGIHLQNTRDVMIHTSTLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDSTKACVSNITVRDVVMHNTMTGVRIKTWQGGVGSVKGVLFSNIQLTEVQLPIVIDQFYCDHSKCKNQSSAVSVEGVTYENIRGTYTVKPVHFACSDSFPCVDVQLSGIELKPVQLQYHMYDPFCWKAFGLLNSPTVPPIDCLQIGKPARNGVHTDHDICV >A06p016110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7171177:7173310:1 gene:A06p016110.1_BraROA transcript:A06p016110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan 6-xylosyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G18690) UniProtKB/Swiss-Prot;Acc:Q9M9U0] MATRFKTLRLSSKVLKSWLIQNIQAIWSPFRLLTFDLHHLLVFHWAPANNLKFQSRVVQRGGLQILTSREEAATGEMGQDALRTSGSGGGLPTTAVWNGGGRGRGLLRGWQVQNTSINIKIMILCGFVTILVLLGTVSFGNLGSSNADAVNQNLIKETEPILAESPSASVPTDLEELPKPEANPPTDLEEPPIAEVNPPTALEEPPKPEVNPPTDLEEPPKAEVNPNVTYTLGPRITNWDSQRKVWLSQNPGFPSIVNGKARILLLTGSSPGPCDKPIGDYYLLKTVKNKIDYCRIHGIEIVYNMAHLDEELSGYWTKLPMIRRLMLSHPEVEWIWWMDSDALFTDILFEIPMSRYEKHNLVIHGYPDLLFNQMSWVALNTGVFLLRNCQWSLDLLDAWAPMGPKGPIREEAGKVLTAYLKGRPAFEADDQSALIYLLLSQKEKWMEKVYVENQYYLHGFWEGLVDKYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYADYAEERCFKGMERAFNFADNQVLKLYGFSHMGLLSPKVKRIRNETVSPLEFVDKFDIRRTHEETKP >A03p024110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10199081:10201517:-1 gene:A03p024110.1_BraROA transcript:A03p024110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRAAKPPKSQIRELPTHQQIRRFSEPKNLDFTSWVSENVSRIVIFFLFIVTVASFFFLYNTTDTASLLCFQSQSTQSLQPLTRPQIKWSSIQVLPDKTSPYASFLTEKWIVVSVTKYPTEELKGLVKIRGWQVLAVGNSMTPKDWSLKGAIFLSLDAQAELGYRVLDHLPYDSFVRKSVGYLFAIQHGAKKIYDADDRGEVIDGDLGKHFDVELVGEDAKQEPVLQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEINHEEYYTEVFGGKQFIQQGVSNGLPDVDSVFYFTRKTTLEPFDIRFDEHAPKVALPQGVMVPVNSFNTLYHSAAFWGLMLPVSVSSMASDVLRGYWGQRLLWELGGYVAVYPPTAHRFDRIEAYPFAEEKDLHVNVGRLIKFLLAWRSQKHSFFETILDLSFAMAEEGFWTEQDLKFTAAWLQDLITVGYQQPRLMSLELDRPRATIGHGDRKEFVPRKLPSVHLGVEETGTVSTEIGNLIRWRKNFGNVVLVMFCSGPVERTALEWRLLYGRVFKTVVILSSQKNSDLYVEEAKQDHIYKHLPKIFDRYSSAEGFLFVEDDTVLNYWNLLQADKTKIWTTDKVSKSWTSVKPTGKSDWFSTQAELVKRIVSTMPAHFQVNYKEAAKNSQDTLTVCSSEVFYVPKRLVTDFNDLVELVGDMDLHYKVAVPMFFMSMDSPQNFDPVLGSMVYKRKSSSFNSSLSLYSAQAPAVHPWSISSEQDFIKLVGQMAEGDPLLMELV >A09p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6250547:6253244:1 gene:A09p012250.1_BraROA transcript:A09p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKNKNVDNVNLKQRNIVLVRIKIDESDKEILQWTLEEVAENGDCVVVVHFCSTSRRALKNKSSLDRYLELHSEFYSTKKYKRFVKEAKRYNAMSIVVGVKHQRKLSLEVAKGCAKELPPTTDVLAIYRGNIFFRRSNYYQPPLGSLLKIQVQDQVLSFIMGLSDKDNELKTEESTVKSRVLEITSQETRRVSGRSLSLPHVEVMDQKPGWPLLRTTTLATPVVQHQTRKVSVVNWVMSLPERFPNLTSQQSFCDSQLKDILKEINKWFSYDVLKTATSDFSSENLIGKGGCNEVYKGVLEDGKEVAVKILKSSGKEAVNDFIQEVRIISSLSHQNISPLIGICVHYNDLVSVYNLSSRGSLEEALQGKHVLGWEERFQIAIGLGKALDYLHNQCSNPVIHRDVKSSNVLLSDEFEPQAIPMIEKGDAKELLDPNISGSLDEAQFQKTVLAARCCLTRAATHRPNIREILKLLRGEDEVEKWVKKVEEDEDCFDDDEVYPNSNKDLHLSLAMLGIEDNDSVSVGSLERSSRSIFSSSSSQELQS >A08p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22613409:22615644:-1 gene:A08p039600.1_BraROA transcript:A08p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQWDPDNDFNEQYKKVIDPNSVGAMFGNMTMISRSIPTTIEQMQKFPIDMSSYTKHAVLPKKVLEPVAITTASISPCLQVEPEKTCSPFFLVTGSDSPTVDLSSNFLQGQILSTSVFLHGAFSLNSFSVSNNSFTGPIPSFICISSPQLSALDFSYNDFTGRIPPGLGRCLRLSVLRAGFSNLSGHIPRDIYNLSNLEKLVLPANHLSGKISDDITQLTKLTCLELYSNNLEGDIPKDIGKLSSLQTLQLHTNNITGTVPLSLANCTNLVKLNLRKNRLEGTLSELDFSRFQSLSILDLGNNSFNGEFPWRVHSCKSITAIRFASYKLTGQVSPQVLELESLSFLSLSDNQLNNITGALSILQGRRKLSTLLIGNNFFKETFSSDRLISSDGFYNLRIFASGGYGLRGEISAWLIKLKSLAVTDLSHNQLVGSVPGELPRELFQLRALMFRKGYDATERNNLKLPVHINPNNVTTHHQYNKLSSVPPATYIRRNSLKGSIQVEAGQLKAFHALELSHNCLSGSIPDELSNLTNIERLDLSNKNLSGRILF >A03p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6518036:6519770:1 gene:A03p016400.1_BraROA transcript:A03p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52110) UniProtKB/Swiss-Prot;Acc:Q9FJ81] MSVQVCNFPLNPRFVLQPRSQTAARSTRIFARTESDSPRSDQQQLNLSVLRFTFGIPGLDESYLPRWIGYGFGSLILLNHFSASAPINESQLRSEALGLSLSAFSIALPYFGKFLKGSEAEKRSLPEEGEQVFVISSSIGDSLKEDLAWATYVLLRNTSTVAVMISIQGELCVRGYWNCPGQMSKAQLHDWFKRKVDEIGLADVKETLYFPQYAGSALSWDILPDGTRSVFVQPLVQNTDESEKMDGFLLVASTAGYAYSDKDRAWIGAMADKFRG >A08g508080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:14495205:14495564:1 gene:A08g508080.1_BraROA transcript:A08g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREQRNLRKKGMRDIYSVIVAEGLALKEAVTTCRLQEIKEVRFESDSAQLISAINKRDSPLELYGVVEDILILASEFDRVAFEWIPRERNSEADRLAKNALLLFEQEVVVDDLMPPPN >A10g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12387921:12394105:1 gene:A10g505030.1_BraROA transcript:A10g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHQTRHFGFNRLKNQGQAKLPKCPDEPSFVTSFIWERRSLAWLEPIDRCPQLTIDRCWQTCIGRRLNRLSIDTLFCMPSGTRSNKEKDLLFSDDPAHLERTIHRGQCSTSLDATTSSSIDTHNQPSTDTRPTSSIDPSRPTTIDTSPCMSIDNVSSKMVNIIILTQDENENLYDQAGHLRNTTVVRNENLEEGDFKVESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSRHRSTSSADSTECNAARILTHEEFTAKHPHPPSPFYEKIDRSVNSTIDRQSESDVDRHNTPPIDRQVPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLIIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASVSILPRIMADQLGLTIEPSTESFTFVDLSEKRSGGIIRDLEVLIELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLETHNFTNTFPTSFDAVQSTSVDPHPRPGKQPLTSIDTSKGTSIDIRAAAKTKEQENILSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSISTEKSMKSNHLKNTNSAEITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSRDDIADILQVTNEPDNLFSQQRGTPDVILTDPNNHAGVTTTETNPDLSRQPKGQASIDNIMETSIDRVTPTSIDMDNPPSIDRRYECGRRAFDMYGARKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFKCMAEMRTEIDSLRQQLEKEATTSTSIDAPCAKSIDVSLPTAQTLAEPRCSTQHRNEWEVSYIDTRINDVCCPLNNNVDWLSTKIELLQQDLDTIRKKNQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPIDNKISGLDNHA >A06p015350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6870342:6872126:-1 gene:A06p015350.1_BraROA transcript:A06p015350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLYEQDKHVSSAIMTGQERGVLRCQERTSLLHHWKLTKEQIELCWSYFHLNIDRPKRTTRQFPLALLWKGRQQSRSKNDLFKYRKALDDLDPSNVSWCPFEGDLDIVPQSFKDNLLLGRSRTKLIAPKVVEWHLPDRCMKQFGLCQVIPGEVPHRKSEKTHDEDLLEDMNTADEEWMRRRENIVENEGGNVDETEYMQWFNSITVPKLHRDTSLEADIMNVQAAILQFDEVASTLSLEDLHPEEREAVEEAVMCMSNALRVGDWYEAPPTTNKRKRREEQTECSE >A06p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1645984:1647195:1 gene:A06p005460.1_BraROA transcript:A06p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAMLVSEARNKNAVINTCLGVSFVILGLRSDKKQKYVEALQEQKDSLFKSNKEMKVTMWHWKQKLFAEATSAGPSTVVVPLSTLKAIYSEVTTNTQSGNYSDLSFSPAVRVPIDITSNNQSELPGETVKEESKMSTSRIMFIMDPSVQDQIPECEPVNKDTTYQNSFHFLFKIKKLKIEVTKKKTKHKIRINDERKKQ >A09g510520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31912293:31915081:1 gene:A09g510520.1_BraROA transcript:A09g510520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDDTKAKEDGSSSVVGDEMAMGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGRDEGEKEKEVGEEGEKEKEVGDEIEPRRNDEEAAIIPSRQHETESHADLSRTGQIEGPTNPIGGPSNNAQSGQAHADSVEATGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEKVKSLRLSGPGSDNPAVQDDGDGSDNSESEEEDGDMGGDKESEEEDGGDNNEPDEEDGSDNDVEDAIVDISKDVHREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESVNTKKKRSRKDDGKEAVPVKKVKVDRGDNVRSPIQLRSKAAEKSTRGEKKQKAPAGKKAAAAAKKKAAAEKEAAAEKEAAAEKEAAAEKEAAAEKEAAKKKAAAKKKAAAKKKAAAKKKPKTRKVGKKTE >A09p079220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58384985:58387469:-1 gene:A09p079220.1_BraROA transcript:A09p079220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDQDRHHRPPPSRNRQPPRSKASKRVLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLFVQPLVGHSSDRCKSKYGRRRPFIVAGAAAIAVSVLVIGHAADIGWACGDREGKIKPRAIVAFVLGFWILDVANNMTQGPCRALLADLTGSYNGWYKVFPFTKTVACNVECANLKSAFYIDVVFIAITTILSITAAHETPLASSPSHQTHGQQSSGGGTDEAFLTEILGTFRYFPGSVWMILLVTALTWIGWFPFILFDTDWMGREIYGGEPNQGGAYSAGVSMGALGLMLNSVFLGITSVLMEKLCRKWGAGFVWGVSNIIMAVCFLAMIVTSFVAYHIGYIGREQPPAGIVIAAVLIFTILGIPLAITYSVPYALISIRIESLGLGQGLSLGVLNLAIVIPQVIVSVGSGPWDQLFGGGNSPALAVGAAAGFIGGMVAILAIPRTRIQKPIPLP >A03p025720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10823607:10826842:-1 gene:A03p025720.1_BraROA transcript:A03p025720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLDNEDTVRRCKDRRRLMTESVRARHQLAAAHADYCRSLRLTGSALSSFAAGEPLAVSDQTPAVFLHTPSERSSSNFIPPSPSVYTPPPPAPPSVASSNTKLPPIVTAPHSNRRRKQQQQQPKLPHILSSPSSSERSNFMPTSFYPTAYQNSTYSATPSQASSVWNWENFYPPSPPDSEFFNRKSQERQHHNRFGDQHDLHNRFSDTETERSEHDFFDSRKEKEFDTETERSSHSRKHKQFKTLDSAVEEEAEREEVQCSEWEDHDHYSTTSSSEAAHEEEEEEEEDIESISEVGTRSDFGSSVKTGSMRRQHAPMAQEYSGGGVGQEKYGKGDDAATSYSGRGDVSDMKMVVRHRDLKEIVDAIKENFDKAAEAGEQVSQMLNIGKAQLDRSFSHLKKTVIHSSSVLSNLSSTWTSKPPLEVKYRLDITALEQPGGPKSLCSSLDRLLAWEKKLYEEVKAREGFKIEHEKKLSKLQSQEYKGDNETKLDKTKGSITRLQSLIIVTSQAVSTTSTAIVRLRDTDLVPQLVELCHGFMYMWKAMHQFHEIQNNIVQQVQGLINRSGKGESTSELHRQATRDLETAVSLWHSSFCRLIKFQREFIRSVQAWFKLTLLPVCHDENPGHKEPVDAYAFCDEWKLTLDRVPDTVASEAIKSFINVVHVISAKQSEELKIKKRTESASKELEKKASSLRNIERKYYQSYSTVGFGLPDSGPDNGHGLDARDPLTEKKLELGACQRRVEEEMLKHSKAIEVTRAMTLNNLQTGLPGVFQALTSFSALFTESLQTVCTRSYSIK >A02p060030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35796727:35798050:1 gene:A02p060030.1_BraROA transcript:A02p060030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLYIFFFIPILGFAAIICMHAGAGQESTPIEALNLLQLLPQTTDLELAVSVRDNKTITEISSSIIKAETWLKTHVLSRYPSTKITTIVIFSPDSCQTTPFDLVLSSSLKNLHHSLTRWGLEKKIKVSSGFSYQCLNNLETFKPTLAFLRSINSTFTINPPPNFLYSPDNHLDMLRSVEKLGSLSFNRVNFLNPEPEEAAVAAMASRRNLRSLVNFSTKFTFNFPTLPSPSPENSPVHSSVGYPSPQPSPELSPPEKSPITSPPEQSPISSPPEQSPISSPGLSLSPCIPHQTPSPPAKEKGVEGLWCVAKPSVAAETLQQSLDFACGQGGANCDEIKPRGICFYPDTTVAHASYAFNSYWQKTKRNGGSCSFGGTAMLITTDPSYQHCRFVLS >A10g500640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2117649:2118017:1 gene:A10g500640.1_BraROA transcript:A10g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGNSFLIQVRRRYALFVEDGTKYEDFLCMVCEDDKISEMEAVEFAYMLPNRILEQMPSNTPPIFLSNDIQLASFITFFKTDIMCIYVSLTANKGRHDLNINQERVVRENVAADFGSFGNV >A03p045770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19232589:19233485:-1 gene:A03p045770.1_BraROA transcript:A03p045770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDDFLEDDEMNMINEDEAASDSEAESLSDSDNEITEKLAEPTKTAVYNRDGLLDKLQDISWPEDVDWTHKLTVEIDQGPQAVDVNDDLAREMAFYTQALEGTRQAFSKLQEMGLPFLRPADYYAEMVKSDTHMEKVKSKLLYEKKQMEEAEERRKARDNKKMAKEVQSQKMKERAKQKKDEIESVKKWRKQRQQSGFSEKGGGAGELDLEFSNGKSFQRGGGGKKRPGVSPGDRSGGKGKAASRMGNKKREFRDSKFGHGGRKGLSKQNTAETTNDFKGGFRGGKAGGGNKRQKR >A09p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21230821:21231535:-1 gene:A09p035370.1_BraROA transcript:A09p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGSVLPDGKIFLFDENDQLQTVTVHSLLAGKKVILFGVPGAFTSACSLQHVPSFIEKADQLKAKGVNEIICYGMNDPYVMKAWGKTFPENKHVKFVSDGSGEYTKLLGLELDLKDKGLGIRTRRFAMLLDNLKVTVANIETGGEFTVSSAEDILKAL >A03p029740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12508620:12509694:1 gene:A03p029740.1_BraROA transcript:A03p029740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FBW2 [Source:Projected from Arabidopsis thaliana (AT4G08980) UniProtKB/TrEMBL;Acc:A0A178UUC5] MEGGDGEFRQWDELIPDALGLIFSHLPLQEILTVVPRVCKAWNRAVTGPYCWQEIDIELWSNRCHQSDHLDRMLQMLILRSSGSLRKLSVTGLQNDSIFSFIAQHAGSLKTLKVPRSGLSNSGVVNVAEKLSSLTFLDLSYCCKLGPEAIQAIGRHCKSLIEFSRNMHPLDVASVDSHDDEADAIASTMPKLKRLEIAYHRVSTDGVLKILSSCVFLEFLELRGCWDVQLDNKFFKEKFPDMKVLGPRVIGFYDMINDWEDCCSDYFSDGSDYLAWEFLEDGEMGEFYEEDFEHGWDDHFYADSVNIDMEPHLWPPSP >A07g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7964438:7971226:-1 gene:A07g504040.1_BraROA transcript:A07g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLNLNTRLLTKEYQRVDLTVFREEAAVHVEDEPVIGEFHQDPDSDSSGDDDSETESNDDQIRPRQRRSQGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAALAPATAPAPAPPGPPGVMSVVKLVRQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKNGRLVGLGRRSRSAAPSSAPPPYIDPEVLTAQLKDKDDRKSALETQMAAQQAGYETQKRLNAQMMEMMKRMYPNEVFPNIQDP >A03p046810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19803304:19807081:1 gene:A03p046810.1_BraROA transcript:A03p046810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative kinase-like protein TMKL1 [Source:Projected from Arabidopsis thaliana (AT3G24660) UniProtKB/Swiss-Prot;Acc:P33543] MASLRFLHVFSFFHLIINCHSLSTSSDVKLLVGKIKPSLQGTSESLLLSSWNSSVPVCQWRGVKWVFSDGSPLQCTALSSPPTQWTNLSLYNDSSLHLLSLQLPSANLTGSLPGELGEFSMLRSLFLNINALSGPLPLELGYASSLSDIDLSGNALTGAFPPSIWNLCENLVSLKLHGNSFSGALPEPALPNSTCGSLRVLDLGGNKLSGEFPEYVTKFKALKMLDLSSNVFQGSVPDGLGLLQLETLDLSHNNFSGMLPSFFGESKFGAESFQGNSPSLCGFPLKPCLGSSRLSPGAVAGLVIGLMSGAVVVASLLIGYLQNKKRKSSIESEDDLEEGDEEDEIDGSGGQGEGKLVVFQGGENLTLEEVLNATGQVMEKTNYGTVYKAKLSDGGNIALRLLREGTCKDRSSCLPVIKQRHKIALGIARGLAYLHTGQEAPIIHGNIRSKNVLVDDFFFARLTEFGLDKIMVQAVADEIVSQAKSDGYKAPELHKMKKCNPRSDVYAFGILLLEILMGKKPGKSGRNGGEYVDLPSLVKAAVLEETTMEVFDLEAMKGIRSPMEEGLVHALKLAMGCCAPVTTVRPSMEEVVKQLEENRPRNRSALRHKIALGIARGLAYLHTGQEAPIIHGNIRSKNVLVDDFFFARLTEFGLDKIMVQAVADEIVSQAKSDGYKAPELHKMKKCNPRSDVYAFGILLLEILMGKKPGKSGRNGGEYVDLPSLVKAAVLEETTMEVFDLEAMKGIRSPMEEGLVHALKLAMGCCAPVTTVRPSIEEVVKQLEENRPRNRSALYSPTETRSDAETPC >A03p021370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9084699:9085073:1 gene:A03p021370.1_BraROA transcript:A03p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRLFSSLTHRFGWRIPLFLYGATWTAFLTITVAIISLAPEFAFVSAIYPSSSSVESSRRCGSDAAVLVPLDIPAEVLCLPANLFRRSKMDLVVPPVFAAIVVAVSAVVVRTMGLWEEEEAAL >A02g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4171757:4185270:1 gene:A02g501280.1_BraROA transcript:A02g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKHTYYCVKCEAYNPVTVPRYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKSG >A07p039330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22548584:22549802:-1 gene:A07p039330.1_BraROA transcript:A07p039330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASTVEHSTCKNQSKSNNLSVTGNTELDNWIRVIEYIAKFKNELWMLHDVFEMGPKQLPENLGEDTNEMVAFRCLASLFDSSASKDAESKIEFDSSQSCEHVLQCILDEIPLSELKPGAPGLSKWNLLPFIQSKLLCLPKCALELMIESENDAPCNGEEDMRVDGNETDQVTSPMADREPFVHGPVDGADETSRSQASLENNGSDCYVSSPSENNVYRCIQCNQSGKLLFCSRDGCTVMVHEKCVVDSPPVYDDAGNFYCSLCALGCVSAEYLQSQEEVAKAKKKLLSFLSLMSNVNKKKPI >A09p062080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50936321:50937799:-1 gene:A09p062080.1_BraROA transcript:A09p062080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFAARLANHFRRGRNGYPRSRDFSSLTKKEDLTLEEEAERKIGWMLKLFFAGTATYVGYQFFPYMGDTLIQQSVSLLHVKDPLFKRIGASRLSRLAIDDERRMKVVELGGAQELLHMLGAAKDDKTRKEALKALAALSKSGKSCVNTFFYEAANFLGSKGALSIVKSTPDSAEDSDISTYKSNILKKLDDKDLSL >A03p066050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29205707:29208376:1 gene:A03p066050.1_BraROA transcript:A03p066050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPSTATTHESASSASGFFTRLTGLASLNRSPSSNPDGFSLSTSNALFFNGSRALPARRTTRKALASLSLNAKSSAGSSLRRFISEFNSFIRFHCDTVVPESFASVGVSRDESGVGVNETDGVLGEEGLPLSGVEADGPKKVLILMSDTGGGHRASAEAIKAAFNQEFGDEYQVFITDLWTDHTPWPFNQLPRSYNFLVKHGTLWKMTYYGTAPRVIHQSNFAATSTFIAREIAQGLMKYQPDIIISVHPLMQHVPLRVLRSKGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPSTEVVKRAQKAGLKTSQIKVYGLPVRPSFVKPVRPKVDLRRELGMDENLPAVLLMGGGEGMGPIEATARALGDALYDESLGEAVGQVLIICGRNKKLQTRLSSLDWKIPVQVKGFITKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGEFSKSPVEISKIVAEWFEPRSRQLEIMSQNALRLARPEAVFKIVQDMHELVRQRNRLPQLSCTA >A05p054780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31335283:31337411:-1 gene:A05p054780.1_BraROA transcript:A05p054780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIVPTSEEDPFLAVVQFTSQLAWADAGPEAAEPEISRLCREAEESIVAGKWLELASLMVTSAELVSSKMSEKDLECTYTIICSLVKNSNSPEDVLEMVKAISSKVVQQPNDKASLRLKILFNLYNLLDHPYARFQVYMKSLTLAVEGKVTEYVVPSFKKIDNFLKEWNVDTKDQRELFLAIANVLRENKSLVNESLKFLTKYLATFSNEDAQVLGEAKEEAVRAVIEFVKASSIFQCDLLDLPAVAQLEKDTKYAPVYQLLKIFLTQRLNAYSEFKAANSECLQSYGLVDEDCVTKMRLLSLVDLASDESGKIPYTSIKDTLQVKEEEVELWIVKAITAKLIDCKMDQMNQVVIVRQVLSIETFLLIDIRCSEREFGSKQWQSLRTKLATWRDNIGNVISTIESNKVTEEGSQSSSSAAAIQGLSVR >A03p063980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27831151:27833004:-1 gene:A03p063980.1_BraROA transcript:A03p063980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTKLILPLLLEKKPLELIKPSKHTPSETLSLSTLDNDLFNEVMYATIYVFKANEKNRTDPAPLLRKALSELLVYYYPLSGKLMRRESDRKLQLVFWGEGVPFEVATAALNLSSLNYIENLDDQVALRLVPDIEIDYDSNVSYHPLALQVTKFACGGFTIGTALTHAVCDGFGVAQIIHALTELAAGKKEPTVKPVWQRERLLGQFDNELAKVPGGHITSLLATSPYIPTSDMVTETINIRARNIKRLKDSLMRECGYPKEGFTTYEVLSSCIWKARSRALKLNLDGITVLGIAVGIRHVLDPPLPKGFYGNAYIDVYIELTVRELQEASIFDIAKHVKKAKKTAYDKGYLEEELKNTERLMRDDAKFEGVSDGLFFLTDWRNIGWFGSMDFGWNEPVNLRPLIEQKSAVHIGMILRPSQLDPSMEGGVKVIMTLPRVAMVDFKREMDAMNKLYY >A01p049440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27768113:27772053:-1 gene:A01p049440.1_BraROA transcript:A01p049440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSSTYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKSFMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMVQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNENSDAKISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENVDNFILKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFLGKSMSLRINSTAHVLHAFVNGKHIGNQHAEDGKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSTHKWSYKTGLNGFENQLFRTESMSKWSVESVPFYRSMTWYKATFKAPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSENGCDAKCNYRGAYHAEKCLTNCGEPIQRWYHVPRSFLNAEGDNTLVLFEEMGGNTSLVSFQTTRVGSVCANVYENKIIELSCDRKPISAIKFASFGNPYGNCGSFEKGTCESSNNTVDILTQECVGKEKCSIDVSTKKFGAPDCSGAARKLAVELIC >A04p011760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6456147:6456362:1 gene:A04p011760.1_BraROA transcript:A04p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVHHTLTPSPEEDIYTKDEVMEIIKKGMIDQDKMYDKMHQTMDTLLSSPQQHCLDKQNNGGAAAETRIY >A06p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15603196:15605495:1 gene:A06p024210.1_BraROA transcript:A06p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G45890) UniProtKB/Swiss-Prot;Acc:Q7X6P3] MSCSYLFTGDASVASRRWNDVSFRQRVFLPRQSLRQPLPSLSQFPPLVATANQSLGSFPSLFAGDVGGNNDNGNGSGGDGGWWFNGGDNSDDPSHRFRFLCLLFLVLSCFFQSQLSAAFAKAPESESKGDTAEKDTVWEVRGSKRKRLVPDYFKDEFVSQEPSFELSSSLTPQNLLTQCRNLLIQFLLPEGYPNSVTSDYLDYSLWRGVQGIASQISGVLATQSLLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIMLSKYGRHFDVHPKGWRLFADLLENAAFGMEMLTPLFPHFFVMIGAAAGAGRSAAALIQAATKSCFNAGFASQRNFAEVIAKGEAQGMVSKSMGILLGIVVANNIGTSTSLALAAFCVVTSIHMYTNFKSYQCIQLRTLNPYRASLVFSEYLISGQAPLVKEVNDEEPVFPAVRFLNIKSPKKMKEFVLSSEAKTAAADIEERLQLGSKLSEVIHNKEEALALVDLYRDEGYILTEHKGRFCVMLKESSSPQDMLRSLFQVNYLYWLEKNAGIEATNTYSDCKPGGGLHISLDYVRREFELAKEDSESVGWVTEGLIARPLATRIRLGYDSEPSSSSPSSS >A04g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19094541:19098811:1 gene:A04g507590.1_BraROA transcript:A04g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTVAPPAKHSRQLGAQLSGIMSFSSQMSNEDEEMSRTTLSAIRAKEEEIEKNKMEIRERVQAQLGRVEEETKRLALIREVISYSSPTSAGAECIDSDCSWVEQRIHRAGPREKIYFRPEQVKAAIITCGGLCPGLNDVIRHIVITLEIYGVKNIVGISFGYKGFSDKDLTEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSEIVDSMEERGINMLFVLGGNGTHAGANAIHNECRKRKMKVAVVGVPKTIDNDILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRNSGFIAMQASLASGQVDICLIPEVPFNIHGPNGVLKHMKYLIETKGSAVICVAEGAGQNLLEKTNAKDASGNTILGDFGVHIQQEDVKRAVVPAILDVGGMDTPIPNELLDSVDVLSSNETELSLLTRKHTETFEQFSQAVAISYQSEEIVIRDVYIINENHMCCRHHSTFVEHLSETI >A09p004660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2611170:2614722:-1 gene:A09p004660.1_BraROA transcript:A09p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-2 [Source:Projected from Arabidopsis thaliana (AT5G48460) UniProtKB/Swiss-Prot;Acc:O50064] MSGFVGILVSDPWLHNQFTQVELRSLKSHFTSMRRESGKLTVSDLAPRMGRSKVVGDQNLTTEERAALIQSFHPNLSDEVDFEFYLRIYLKLQAHVNAIIGSGAKNSSAFLKAATTTLLHTISDSEKSSYVAHINNYLSGDEFLNKYLPINPSSNDLFEVVKDGVLLCKLINVAVPGTIDERAINTKSMLNPWERNENHTLCLNSAKAIGCTVVNIGTQDIIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPDKILLRWMNFQLRKTEYKKTVTNFSSDVKCSIESFIVRCTWLIDMVFLQDAEAYTNLLNVLAPEHKSPSKLAAKNPFERAKNVLEHADRMGCRRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTKTKQISFLADDTQISREEKAFRFWINSFDSSMYINNVFEDLRDGWILLQTLEKVSPGIVNWKIASKPPIKLPFKKVENCNQVVKLGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRSHSNGKEITDVDILEWANAKVRKNGSQTRMFSFRDKSLSDGIFFLELLSSVQPRVVNWSLVTNGVTDEEKKMNATYVISIARKMGCSIFLLPEDITEVNQKMILTLTASIMHWTLKEPLHLIKPTGSPDSHNGSSLLDDSTSDSSLE >A09g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6630359:6630925:-1 gene:A09g501840.1_BraROA transcript:A09g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPTAKVNAACALLLSIAGPRRTPRLLYTSCAQLKRTTQSRAVTNHEATVPVLVEIVEAGTQRQKELAVSILLLLCEESVVYRTMVSREGAIPPLVALTQAGTSQAKQKVESLIEFLRQPRSVSSNGGRSSQSVR >A07p026990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15406456:15409276:1 gene:A07p026990.1_BraROA transcript:A07p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEAESRGDDTMIDAPQISNPKPEDFFNVHYLRIYYGNLFPYADIHKWLSYGHDGKHPGCDEYYFGRREFSFTLENDVYLRYKSFKSASALEHAIKSCFPYKIDIGAVYSVDPDKRHAYSQTGTNVFTPVERELVFDIDITDYDDVRYCCSGADVCSKCWPLMTVAIKVIHTSLKEDFGFKHILWVFSGRRGVHCWVCDPKARRMTNEQRSAVAEYFRVYKGNENNARKVALMGYSLHPFLARSYVDLLKGFFEGELQANQSIFSTKDKYDKILEMISDEDIQSELREKWEKSANRSSLSEEAISLVRWEQLKNTLQSKKHKAPTLRMCVEEIVFTFTYPRIDLEVSKQMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPLAVPTLSQLIEEINSGGSKMDVDDDDTDTSILGKSIKFFRSSFLEPLLKSCKEEIESLYKTKIGKPKDSFSW >A01p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10597783:10599796:-1 gene:A01p021720.1_BraROA transcript:A01p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNASSSSFGPGGLDLTSAFFKPILKSDPPIPSNRCTKISVVGVGNVGMAIGQTILTQDLADEIALVDANPDKLRGEMLDLQQHAAAFLPRTRFTASVDYDITAGSDLCIVTAGARQNPGESRLNLLQRNVAIFRHIIPPLAKLSPNSILLIVSNPVDVLTYVAWKLSGFPVNRVLGSGTNLDSSRFRFLIADHLDVNAQDVQAFIVGEHGDSSVALWSSISVGGIPLLSFLEKQQIAYEKQNLEDIHQTVVGSAYEVIKLKGYTSWAIGYSVANLARTILRDQRKIHPVTVLARGFYGVEGGDVFLSLPALLGRNGVVAVTNVHMTDEEAEKLQKSAKTILEMQSQLGL >A03p028680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12055272:12056707:-1 gene:A03p028680.1_BraROA transcript:A03p028680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEKAVETVVVGNYVEMESEGKDSDMKSKLSNLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGILGSWTAYLISILYVEYRTRKEREKVNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLLMTTYTAWYLTIASILHGQVEGVKHSGPSKLVLYFTGATNILYTFGGHAVTVYAFFTFLTPLIHVS >A03p065770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29092124:29095316:1 gene:A03p065770.1_BraROA transcript:A03p065770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCTLLVHYDKGTPAVAKEIKEALEGNDVEAKVDAMKKAVMLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLELIEKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLKETEIVEPLTPSVLQNLEHRHPFVRRNAILAIMSIYKLPNGDQLFVDAPEMIEKALSTEQDPSAKRNAFLMLFTCAEERAVNYLLSNVDKVSDWNESLQMVVLELIRSVCKTKPAEKGKYIKIIISLLSATSSAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLILLDRLSELKSLHRDIMVELIIDVLRALSSPNLDIRKKTLDIALDLITHHNINEVVQMLKKEVVKTQSGELEKNGEYRQMLIQAIHACAVKFPEVASTVVHLLMDFLGDSNVASALDVVAFVREIIETNPKLRVSIITRLLDTFYQIRAGKVCPCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSISEESEPTETSKKIQPTSSAMVSSRKPVILADGTYATQSAASETTFSTPAVVQGSVTSGNLRTLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKTEVNKTVTQALLIMVSMLQLGQSPASPHPIDNDSYERIVLCIKLLCHRNEEMKKIWLESCRQSFVKMISEKQLTEMAELKAKTQTTNAQPDDLIDFFHLKSRKGMSQLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIALEVTVINRTKETLQNMCLELATMGDLKLVERPQNYSLAPETSMQIKANIKVSSTETGVIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCSEAAFRTMWAEFEWENKVAVNTTIQNEREFLDHIIKSTNMKCLTAPSAIEGECGFLAANLYAKSVFGEDALVNVSIEKQTDGALSGYIRIRSKTQGIALSLGDKITLKQKGSS >A07p044150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24118267:24122048:-1 gene:A07p044150.1_BraROA transcript:A07p044150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSLTSPEISPATLSLVLLVVLLSSSAIHAGHDYRDALRKSIMFFEGQRSGKLPPDQRLRWRRDSALRDGSSAGVSLHYLLLSFPATFRHSDFAFFTNFQVDLSGGYYDAGDNVKFGFPMAFTTTMLSWSVIDFGRTMGPELKNAVGAVRWGTDYLLKATAVPGVVFVQVGDAYSDHNCWERPEDMDTLRSVYKIDRAHPGSDVAGETAAALAAASIVFRKRDPAYSRRLLDRATRVFAFANRYRGAYSSSLYHAVCPFYCDFNGYQDELLWGAAWLHKASRKRVYREFIVKNEVVLRAGDTINEFGWDNKHAGINVLISKEVLMGKAEYFESFKQNADEFICSILPGISHPQVQYSRGGLLVKTGGSNMQHVTSLSFLLLAYSNYLSHARKVVPCGELTASPSLLRQVAKRQVDYILGDNPLGMSYMVGYGQRFPRRIHHRGSSVPSVSAHPARIGCKEGSRYFLSPNPNPNLLVGAVVGGPNVTDAFPDSRPYFVQSEPTTYINAPLVGLLGYFSTHSSWR >A06g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14962658:14967107:1 gene:A06g505090.1_BraROA transcript:A06g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSLPRWPYLYFRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNFLRRLAVIIFTIFGPDEAADERKLKLVAWASDLCRASTSDAFALTDGRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVEFAKIADIRCLVSGFPSLSALTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRERKTFRVPLLDGRLLAGVLTGRSFPRDSCSIEWGGEIEPLPADFGGSAGTDSLGPCRGEHLFKLLESRGVGLRVGRRYVRYRSVEIGAAASVKGSLHVIRVRQTVGTEIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYAKFALKKFSLCSSPRTPYILAPRSHKNGDIPFFPIFTIIFKTSVFIRGNLTFILPRGPSANHAVVHGLLVKKNRRMGLESCFRSLWAVFRLDTFTTSFPRFLIREV >A10p027850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17445549:17446872:-1 gene:A10p027850.1_BraROA transcript:A10p027850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRVPILLLLCAIAGSCLPSAFASSADVCDHHDEFEVFRCGIENKCHHSLLPRPPLEVDGDLLDSLMDSNLGNAFTSILFYTSRCQFSRAVRPKFDVLSSMFPLIRHLVVEQSQALPSVFSRYGIHSLPSILMVNQTFRIRYHGQKDLASLIQFYQHTTGLKPVQYVDEGEPTSLDIDGNLITWLHNGSSIKEIAERDPYLVLSLVFLSLKLAILISPIMGARLKALWASYAPHLSLGILGETSQLFGRAMHMIDVRRLWIKLRLAKTRNFQERAKNALASVSLGKSTSQSG >A07g504060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7992873:7993313:1 gene:A07g504060.1_BraROA transcript:A07g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTNLNRLPTRDRLSSWGMQVPSCCCICTTEPESRDHLMLSCPFVLTLWAEIRIRLRCGVPNFSTWSELMLWASASAPAAPSILKLLVVQTLVYSVWRQRNSMLYSNCISPPLVVFKDINRQVINSIYALRHRKKFRNRLLNWLI >A08p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15502295:15510315:-1 gene:A08p023570.1_BraROA transcript:A08p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTFLRYPDDVYPLLKMKRAIEKAEKQIPPEPHWGFCYSMLHKVSRSFSLVIQQLGTDLRNAVCVFYLVLRALDTVEDDTSIPTDEKLPILIAFHRHIYDTDWHYSCKSEESFNLFELSHPFGILLCDLQILINPTLGGTKEYKVLMDQFHHVAAAFLELEKGYQEAIEEITKRMGAGMAKFICQEVETVDDYDEYCHYVAGLVGLGLSKLFLASGSEVLLPDWEHISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRKIWGKYADKLEDLKYEENSTKAVHCLNEMVTNALTHIEDCLNYMAALRDPSIFRFCAIPQIMAIGTLALCYNNVQVFRGVVKLRRGLTAKVIDRTKTMADVYGAFYDFSCMLKTKVDKNDPNASMTLNRLEAVQKVCRDTGVLQKRKSYINDKGQSYNVYGMNFTTDKLRSLVKKWQTLIEAHVDVKTTDSYTLRMFCIGFTKRRANQVKRTCYAQSSQVRQIRRKMSEIMVKEASSCDLKELVAKFIPEAIGKEIEKATQNIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVRVDRPADETAEEPTEIIGA >A09g511170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33751596:33753862:1 gene:A09g511170.1_BraROA transcript:A09g511170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHLCFDPGTTPTTPLSTDIQKHCEKLDLINSLPEMFVKISSQDVKSFGFDKFVKHNKGFDHLEKSFELVLQQPNLCFRKPCDSFVWLKDNGFYLSFSSHELITGNLVASTCVLDKFMVKTLLEHKSPRVKSDFCDSVLKLDSLCVETDKLWHNLRSILKNCVVLSFDVILVYNTFFEKYFELLISDSQSELNLLCSDFEKAKHDLKLLNIISCFDTILVYAHMILSQRSKSIDRDYKPQVWIFMYTRKMASILQESKMDLRTNNFQERENDAPWIVDPGQDGAQLDPTEVSPSDDATMVEPEANLEKKGLREWMQPYGCISVDATPMEGTKWKQQSIDLEDIMELDTHEHFGRVRRSDTYLGELVELNQSDTYISELDELSELSDSSLELNELSDTKDGAGLVSGRNGSVLHQIRPDLSSVHFKPILLKNSKKESERKSWSCMGKRIQQKTLISHILLCISNTCNPINKAYEPRNKATFFLFLLSLSPFFFVKHFLFLGVVSSKNPSFLGLVCHIKQQLKFDSMKKLSVPLVSPVNPYVLPLGSSYPLSPIE >A06p011050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3985560:3986141:1 gene:A06p011050.1_BraROA transcript:A06p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP58 [Source:Projected from Arabidopsis thaliana (AT1G13600) UniProtKB/TrEMBL;Acc:A0A178WP44] MNTIPAELTGYFQYVSPEIYNKQTPITESEYFKMPSSPTSASSFYYINGLMTNNNNNYSSSSNVQDPVTSNNSTSDDDHQQSMVIDERKQRRMISNRESARRSRMRKQRHLDELWSQVIRLRTDNHCLIDKLNRVSESHQLALKENAKLKEETSNLKQLISEIKSNNFLRELEDSISNSRSDSNQMGRDFELC >A02g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15096241:15097306:-1 gene:A02g504700.1_BraROA transcript:A02g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPATANFTGSLFSAGIAFSFLRENPSQPPRPSFSDLPQPPRWFGFRHRRGRLFLLFLLCVMCFRCSARARKFFSLIVVVRPDLRSVLRVFGRGLWLFPYLVLCSSAVSSLWWSLSTVAIFCVVLLRAPTKQRLAFGVEIVTMAIQRSAFRSAFIGRVMCCPTRRIQRSLMKINKSLSYWIVKP >A06p008370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2898765:2899131:-1 gene:A06p008370.1_BraROA transcript:A06p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQNLVIFSLVVILAATIFNTNILVSGVEQENKIKYTYCAKTLCSDSYLPHMCFFYCTTKGFETGTCIVPTPNTPLRCCCGTL >A01g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13784019:13784429:-1 gene:A01g504590.1_BraROA transcript:A01g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPAKPVLHLPQLEASRRILIYFNLPYLDSQALKLQQLFFLQIKHDLSTFQTIKKVPRKLSYPLKPSTVQIQEEHHLHSFGQDSHHKASNN >A09p053680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46534070:46536610:-1 gene:A09p053680.1_BraROA transcript:A09p053680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase LPR1 [Source:Projected from Arabidopsis thaliana (AT1G23010) UniProtKB/Swiss-Prot;Acc:F4I4K5] MDSMLCRRRMNIAMVLILTLTWLGGICGEFEEQLFEVGKLKMFVDELPDMPRLHGFHYVHGVLKPISLQIGMFYTKWKFHRDLPPTPVFAYGTSRRSATVPGPTIEAVYGVDTYVTWRNHLPSSHILPLDLTISPAAPKYGGIPTVVHLHGGIHEPSSDGNADAWFTAGFRETGPKWTKTTMHYENMQQPGNMWYHDHAMGLTRVNLLAGLVGAYILRHDTVEAPLRLPTGNEFDRPLVVFDRSFRKDGSIYMNATGNNPSIHPQWQPEYFGDAIIVNGKAWPRLSVRRRRYRFRIINASNARFFRFFFSNGLDFVVVGSDSAYLSKPVTTKSVLLSPSEIVDVVVDFSKSPSRTAVLANDAPYPYPGGDPVNEESGKVMKFIVSDDYEADTWTIPNKLINYPPADVSNAVLTRYISMYEYVSDTDEPTHLYVNGLPYDAPVTETPKAGTTEVWEVINLTEDNHPLHIHLGLFKVVEQTALLAAGLEEFTGCMTKYNDAVMCRISKYARGKKTAVTAHERGWKNVFKMMPGHVTRILVRFSYIHSNASYPFDPTQEPGYVYHCHILDHEDNMMMRPLKVIK >A03p052690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19967888:19969085:-1 gene:A03p052690.1_BraROA transcript:A03p052690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKSKHDVVTGNTRTVRKPLDAESVKGKENETIRKQESCQCQKSTDVAAAVSADRPDTTLENNTKKEKETKVDCGEKPEEREAGEDEHKAEEKETTILPPVMAVVPENIVTEETSNDVNESVLIVDKQNAEEGDIETVVEEEKSIDDKISGDVDTEISPPEIEEPKPDVQTSETTESEVQDTLTTENVDIVAAENVETASTDHDEFPVLKDEDKVDHVEENLAKEVESA >A10g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5967270:5967702:-1 gene:A10g502240.1_BraROA transcript:A10g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTYTDQSNGSVQHGQSNRRKGLSMLICGAAC >A05g500920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3283638:3284195:-1 gene:A05g500920.1_BraROA transcript:A05g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQERNKLVLTSPVTLISMVKFTQTSSCQGRERSFSISSFSKERFISPKSLFVRGDTLPIFKTGKIYEFPNRLLSCVKARLGPVDATMLILMRVEVLASVATSHAIVTNHVLFVDFEVRFESFIDWIVCGSFDFLYNILSNLYKFVSLSLYPIELYVWDLAFEFACRGLYDVAFALVTVKIYQ >A03p037910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15842575:15844148:1 gene:A03p037910.1_BraROA transcript:A03p037910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLAMFKKIMKGGHRKPSKSEANEPPTTYGPGSNVVVSHASRGALVQSPVTTATPQPPPMTSVEPLPLFRDVPVSERQSLFLRKVQNCCFLFDFTDTVKNAREKEIKRQTLLELVDFIQSGASKIISESCQEEMVKMVSLNIFRCLPPGSHENTGQEPADPEEEEPYLEPSWPHLQLVYELLLRYVVSTDTDTKVAKRYIHHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLIRVLIPLHKPKPIAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCTKENLFLTELEEVLEATQPVEFQRCMVPLFQQIGRSLNSSHFQVAERALFLWNNEHIVGLIAQNRTVILPIIYPALEKNIQSHWNQAVHGLTTNIKKMFMEMDLELFEECQRQYEEKQAKSKEVEEQRLLSWKRLAEAAAKRDGVVGGEDHMITS >A09p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8227136:8228506:-1 gene:A09p015850.1_BraROA transcript:A09p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT1G64040) UniProtKB/TrEMBL;Acc:A0A178WMA0] MEDSVVDDVIKRLLGAKQGKTTKQVQLTEDEIKHLCSTAKHIFLSQPNLLELEAPIKICGDTHGQFSDLLRLFEYGGYPPAANYLFLGDYVDRGKQSVETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKKRYSVKVWKIFTDCFNCLPVAALIDEKILCMHGGLSPELKHLDEIRNIARPVDIPDHGLLCDLLWSDPDKDIEGWGENDRGVSYTFGVDKVEEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKASEKKGNFGFGKNGRRGTPPRKGGGKG >A02p029320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14983663:14986732:1 gene:A02p029320.1_BraROA transcript:A02p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS45 [Source:Projected from Arabidopsis thaliana (AT1G77140) UniProtKB/TrEMBL;Acc:A0A178WPE4] MALVTSVRDYINRMLQDISGMKVLILDSETVSNVSIVYSQSELLQKEVFLVEMIDSISASNESMSHLKAVYFVRPTSENVQKLRHQLANPRFGEYHLFFSNLLKDTQIHILADSDEQEVVQQVQEFYADFVAGDPYHFTLNMAANHLYMLPAVVDPSGLQRYSDRVVDGIAAVFLALKRRPVIRYQRTSDTAKRIAQETAKLMYQQESGLFDFRRTESSPLLLVIDRRDDPVTPLLNQWTYQAMVHELIGLQDNKVDLRAIGSLPKDQQVVSLLQYREVVLSSEQDAFFKSNMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTVGTNIASLKLYSCKDVQLLVLVTVIICECFGFTEDMARFVDNYPEYKKMQGNVSKHVTLVTEMSKLVEARKLMLVSQTEQDLACNGGQGAAYEAVTDLLNNESVSDIDRLRLVMLYALRYEKENPVQLMQLFNKLASRSPKYKQGLVQFLLKQAGVEKRTGDLFGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESITRGRLRDVDYPFVGDHFQQGRPQEVVIFMVGGTTYEESRCVALQNSTNSGIRFILGGTAVLNSKRFLMDLEEAQRISRSGSHMV >A10p029410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18027601:18029416:1 gene:A10p029410.1_BraROA transcript:A10p029410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTNPHLQVFISFRGKDVRGNILSSLKEKLKDEGVNVKTDEEMPRGRKIDENLQKLIKDSKVAVVIFSENYPESPWCLDELVEIEKQIEETKLKPLPIFFKVRATHVALEDHNPFKDILLRLEDNERENARNGSRVGSGRMHCWSCFLSQRLLKDADKRFVRWRGALKSITGYAGLKYIKDSNQALFVNQIVEAVKEMLGKVQSSDDVHDRIRGLHIVRQQKVFISFGGHDDYTRLGFISHLQAGLKRSGINFYINIENMTKGYDPEELIMNVRESRIALVIFTESYLSSAWCLEELVEINKFTMSLVVIPIFYKVEPKYVRDGRLVEINNQLVLNWGAKDARIDRWKQALNSVGEMSGIVSANLSSEAELVKYIIYETKRTLANISSS >A07g505730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:13008315:13008572:1 gene:A07g505730.1_BraROA transcript:A07g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRDRDSSPQRGQKAEEKRFRWKFSGFELRRNEGFGGGAQGLLASMTVRSRQRLTRVALIKRLQHMSCLFDAWIIRGSLIRLA >A02g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15809785:15816888:1 gene:A02g505030.1_BraROA transcript:A02g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKICGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEAEHIDLDPSDVSNDSDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAFSSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSMTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSESHATTQPEHRENSNYIRDDNSPHKAARDSNHQRLVPEIQIRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVAHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLPLQYFALHTGEIPLRFLRLETIFQKKKKISIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFWVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIVILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDCEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDALPPTKESARQRVVAEGSRIINGGLSLLGSAIEAGHREAMVYCFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSMMKSGLSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A02p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7342323:7342659:1 gene:A02p016380.1_BraROA transcript:A02p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKNILIAFVFAILFVLSNVLCADIITDFGVKHEYKKCYKACNHDEDKCERFCGAMSFQLIGKCFSDICCCISKNKIK >A09p073200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55908765:55909884:1 gene:A09p073200.1_BraROA transcript:A09p073200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSSSETGGGAAGKGEIMLFGVRVVVDPMRKCVSLNNLSDYEKSSPEEENEPDAITAAGYASANDAVQITPSTVGNRERKRGVAWTESEHKRFLLGLQRVGKGDWKGISRDFVKTRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTDTVTGMHMEQDHAQDNSSLHETNISSGHQVMQVFPTRTENVAPQTTFHPSDSSSNLVHQVPVTFQANPAFNLNTDAAPVSLNLSLSFNINEPSNSRRSAFTMMPSFSDGDSNSNTIRVA >A10p024280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15737634:15739077:-1 gene:A10p024280.1_BraROA transcript:A10p024280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDMLGNEFYAGAYKVPGQNQWTECSSVELKNRFLDLTGQKQGETCRVDEAGLMAHLRCPGLFLGQTVRKGNTKVQFSKEANNLCNLNSYNHSGYSLTNIEKYNEPDKLKDSDALSDWLFN >A01p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9371167:9374731:1 gene:A01p019350.1_BraROA transcript:A01p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTRNKQKGAKSQTPPLIKRTKSNPTPPPKKAMKSHKPPLKKQRKGVSDEKPEVSNDEEEEEEEEEEEVSEESDEGDELGSDLFSDGDEEEEEEEEEPSDDDFLGGSDEEKGTLGSDSDSDESDIEKESKAIDDERERQEQDAKDELQMNIKEQPDEFRLPTKKELEEEASGPPDLPTLQTRIKEIVRVLSNFKDLRPEGVKRKEFVEQLKADLASYYGYNEFLIGTLVEMFPPVELMELIEAFEKRRPTSIRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYLAGFYMLQSASSFLPVMALAPRENERVVDMAAAPGGKTTYVASLMKNTGIIYANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVFGQNSVDRVLLDAPCSGTGVISKDESVKTSKSANDIRKFADLQKQLILAAIDLVDAESKTGGYIVYSTCSIMIPENEAVIDYALKKRDVKLVPCGLDFGQAGFTRFREHRFHPTLEKTRRFYPHVHNMDGFFVAKLKKMSNETKASEGDEPVETKEQAQLSSDEDDEDEAIKELKKPSGANVDKNKQRIPISKANNKGKKNKNFKTENANAEGPRKQKKKRSQWKAEIAQAREDKRKVMRENTKEPGRR >A04g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11452292:11458843:1 gene:A04g505340.1_BraROA transcript:A04g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKKLGFLSREFRRLRPSLSTIFGDSALILPNFMSNDDQTRPRQRRGRGGTGSHSRGSSSHIQDSVSPHSSYHTSASPLPAPALSAPASAPAPAPPGPPGVMSVAEFNRSGNKISALINRMMYSALDKGHPTFTYFPTEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYKWKKKWKINKVLKSMNDTIWKELCAHWDKEETKETSSTNSNNRRATVEENDGEPIDDLALMKRAYTNKKTGQIDDGLVRDVVTLVQTQVQDEVSQLQTEDDDSTASTNLSRIRINEIVESSVSKKKGRLVGLGRRSRSAAPFSAPPPFVDPEVLTAQLKDKDDRISLLETQMAAQQTGYEAQKRLNEQMMEMMKRMYPNEVFPNVQDP >A10p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19467161:19468550:1 gene:A10p033190.1_BraROA transcript:A10p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHPTIRCEKTRNEDVGGEPGHTVRNHRFKDPVWEDISTLPELFEISCKNHSYRFSLGTRRLIAREVETSEDGKVFEKLHLIGHKSEERVAIFADMREEWFIALQGCFRRNVIVVTIYLSLERKLFVTRSMRYVDCVHGNPQTRNIELHRFLKLNHRLNFFVVMVKWLNCSPKQKYRSETELDGEISLKKTLSLMPGSEPAFKRAGDN >A03p058820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25512878:25516671:-1 gene:A03p058820.1_BraROA transcript:A03p058820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTNRVEDMTSPNTATARETIVEIHSVCLPPKKTTFQKLKKRFADVFFPDDPLERFRNQTWRNKVILGLQSLFPIFTWGSQYDLKLFRSDVISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGSMLSESVSPTQDPVLYLKLAFTSTFFAGLFQASLGLLRLGFLIDFLSKPTLVGFTAGAAVIVSLQQLKGLLGIVHFTGKMQFIPVMSSVFNHRSEWSWETIVMGVGFLIILLTTRHISMRKPKLFWISAASPLASVVISTLLVFLIRNKTHAISFIGHLPKGLNPPSSNMLYFSGTHLALAIKTGIITGVLSLTEGIAVGRTFASLKNYQVNGNKEMMAIGFMNMVGSCTSCYVTTGSFSRSAVNYNAGAKTAASNIVLASTVLVTLLFLMPLFYYTPNLILAAIILTAVIGLIDYQAAYKLYKVDKFDFFTCMCAFFGVLLVSVPLGLAIAVVVSVIKILLHVTRPNTLEFGNIQGTQIYQSLKRYREASRIHGFLILAVESPIYFVNSTYLQERILRWTREEESRIKENNGSTLKCIVLDMTAVSSIDTSGIEALFELRRRLEKQSLQLVLVNPVGSVMEKLHKSKIIESLGLSGLYLTVGEAVADLSSTWKAHGQP >A05p019050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8939173:8940555:1 gene:A05p019050.1_BraROA transcript:A05p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKETEETLNYLLPFGDGNDPMMISDFVGRFCDTQEFPVGFPYLGHFGHSQVQESNKSSLLDPGSVSGTGKTRPNSRKRKESPASNSKMQEENNAAVAGKQNETEKGENKDKEKLSEPYKDYIHVRARRGQATDSHSIAERARREKISARMKLLQDLVPGCNRITGKAVMLDEIINYVESLQRQVQFLSMKLATINPRTEFNAANAMLSAEMLQLGESLSCSESRFPSEYLAVGKNILSEGFVQAEAPAFWENDLQSIVHMGFRDCQQQSINCSEPTVQMKIEPER >A06p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8965354:8965820:1 gene:A06p018480.1_BraROA transcript:A06p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHYSTELNIKNKGYGGRKLCAYRLPGNIFTAWTDKNLKERNNHCSYCEWLNEKKSMVGQRGLCLKHELKSKRRRNK >A07p014830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9229076:9229879:1 gene:A07p014830.1_BraROA transcript:A07p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSAFAGKAVKLSPAASEVLGSGRVTMRKTVAKPKGPSGSPWYGAERVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >SC139g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:207881:213224:-1 gene:SC139g500060.1_BraROA transcript:SC139g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYPRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVETSSVVVRSDAAWRKEDKKAGLGWIVQTATEIRRMKKPVWHVASPLMAECLAIREALLYCRAHDLYSSIRVESDSSLLISAIKRKESLSEIHGVLSDIAMLNSHPSFNLSFHWIPRIQNVVADSLAKEALCMVEGVMTLT >A05p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4760689:4762494:1 gene:A05p011190.1_BraROA transcript:A05p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSTDSASSRSSGVLYKLLVVFSVCIATSTYQALQPPPPKLCGSPGGPSVTAPRIKLRDGRHLAYKEHGVPRDQAARKIVFVHGSDSCRHDNAFAALLSPDIKEGLGVYMVSFDRPGYGESDADPNRTPKSLALDIEELADQLSLGTKFYIIGYSMGGQATWACLKYIPHRLAGAALVTPVVNYWWKSFPSDISNEAYNLQPKNDQWAVRVAHYAPWLTHWWNSQKWFLGSSVVTRNLGLLSKSDKEILFKIGAAGRPHEAQIRQQGTHETVIRDMIVGFGKWEFDPMELENLFPNKEGSVHLWQGDDDALVPVTLQRYVAKKLPWIHYHEIPGAGHMFPLFPGMVDNIVKTLLTNEEVKN >A02p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4454284:4459489:-1 gene:A02p010340.1_BraROA transcript:A02p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSPLLSSMQEFKLFETQSNFYMIGWNGSGVYRVLKIDRLDASELNVSEDSTAYTKKECYELLKRIHEGNKSTGGLKLVTLCYGIIGFIKFLGPYYMLVITERREIGEICGHRVYEVSKSEIISLQNSSVLCNIANSRDENRYKRLLSVVDLTKDFFFSYSYNIMRSFQKNMCDHESGGTTLYKKMFVWNEFLTRGIRHNLRNTVWTVALVYGFFKQTSLSEAGRNFKLTLIARRSRHNAGTRYLKRGINESGNVANDVETEQILSEDVPEDHPMQISSVVQNRGSIPLFWSQETSRMNLKPDIVLSKRDLIYAATRLHFENLAERYGIPIIILNLIKTKERRPRESILRAEFANAIDFINKDLPEENRLRFLHWDLHKHFQSKTANVLTLLGKVAVCALMLTGFFYHQVTPAMMLEGCRSSSSSDAEMSPHNSSDDDSGECDSVEKNFRPSKNVASGDYDNKPGRLQRGVLRTNCIDCLDRTNVAQYAYGWAALGQQLHALGIRDATTVELDDPLSSSLMALYERMGDTLAYQYGGSAAHNKVFSERRGQWRAATQSQEFLRTLQRYYNNTYMDADKQDAINIFLGTFQPEQGKQAVWELRSDSHSNGQNVEISMGEDERFLVKRCLSDGNILHESRTPMSAMSSKHESISRKGFESSSHQVTHIISESSPDMPAAGYVALSRCTPSMPSTYFFGDARKVQHNGSNSNYLSEQEDMSSVSNFVDVEWLSSSENLCENDQLNRPSLLSSYSTAEMSSSENIISEAKQPAPAMSESGSSSRKGKEPMEAEPSAHTKTCDDFTDSFKQWVAYGEALCH >A05p017550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7988017:7994753:1 gene:A05p017550.1_BraROA transcript:A05p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSTSSSSSSSSWIRGSCIGRGCFGTVSKAVSKIDGGVFAVKSVDLATCLPSQSESLENEIAILGSLKTHPHIVRFLGDDVSNEGTTSFRNLHLEYLPEGDVANGGKTVNEETLLRRYAWCLVSALGHVHANRIVHCDVKSKNVLVANGGSSVKLADFGSAMELEKPAAEIAPRGSPLWMAPEVVRREYQGPESDVWSLGCTVIEMLTGKPAWEDHGFDSLSRIGFSNELPFIPAGVSELCRDFLDKCLKRDRSQRWSCDQLLEHPFLCQDHHHSLFTTESSPRCVLDWVNSEFEEEEEIDELRVESMVSAMARISKLATIGGEAIWESNGWTEVRGNASEESGAQWEYLSSLRVESELNMSPESTESGTRTVNEDSELTSVITCEILLLMVLLVVENIQIYATFYTDVFIRTLYSCYHHQNNNKTKLRKNLSFVLSLNFLFEEAMAGQKIETGHEDTVHDVQMDYYGKRVATASSDCTIKITGVSNNGASHHLATLTGHRGPVWEVAWAHPKFGSMLASCSYDGQVILWKEGSQNQWTQAHVFTDHKTSVNSIAWAPYELGLSLACGSSDGNISVFTGRGDGGWDTTKIDQAHPVGVTSVSWAPSTAPGALVSSGLLDPVYKLASGGCDNTVKVWKLSNGSWKMDCFPALQKHSDWVRDVAWAPNLGLPKSTIASGSQDGKVVIWTVGKEGEQWEGKVLNDFKAPVWRVSWSLTGNLLAVSDGNNNVTVWKEAVDGEWQQTAKHLWKKFKEVAKFEEEKEESKDASQAAGLLEKSTVEEKEEKPVEKVASAEAEKAVEEKKTKESVPYA >A02p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14484763:14485173:1 gene:A02p029120.1_BraROA transcript:A02p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRFSVIYSLATGVCQPLVCTHLLLPQALSTADEEETEPLDSLKCWSTMIGALLGFTPQEGDVLQGYSDDDKDSDHKNGDEHVLASSPQPLSLKFKPSNVVNP >A03g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25602311:25602824:1 gene:A03g507250.1_BraROA transcript:A03g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLIHFNERLREYLQFVLKFCYFMVYDHLYKDSSMKYMHRIFFFLELMKIFRGNSDGYLSGRRNFLGIFSFNRANKPPNILRKLKLKILREFRRKISVGP >A05p052050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30166372:30166800:1 gene:A05p052050.1_BraROA transcript:A05p052050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVSGSVGVWQSNNGYYGYGYGGGYMEKRQLFLKSYQFSRKQSLTEKIKRSVRRVVKKVVWMRLKSARRMKRVVWSRLKMAFFYRRRRFFRLLHPNKPSSYCFYCWDKLEVAWRASYLFPTELWNRKLSIYMIPIEFRKL >A06p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22402648:22404784:-1 gene:A06p041620.1_BraROA transcript:A06p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGVVSMSQLKSILSKAGALLLGSALSASALNAPSLSSSAAMETHKTKVCIVGSGPAAHTAAIYAARAELKPLLFEGWMANDIAPGGQLTTTTDVENFPGFPEGILGIDIVDKFRKQSERFGTKIFTETVTKVDFSSKPFKLFTDSRTVLADAVIISTGAVAKRLSFAGSGEGAGGFWNRGISACAVCDGAAPIFRNKPLVVIGGGDSAMEEANFLTKYGSKVYIIHRRDTFRASKIMQQRALSNPKIEVIWNSSVVEAYGDEDGKGVLGGLKVKNVVTGDVSDLKVCGLFFAIGHEPATKFLDGQLELDEDGYVVTKPGTTKTSVVGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEVGVESGLCAKL >A08p025130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16257914:16259428:-1 gene:A08p025130.1_BraROA transcript:A08p025130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLLTTKAHTLNLPFMEHSSNDHIIDIPSSSSHEPLHHEEERPSSSTASVSHPVTASSSSSVRSNPRTPRRRRSPLNSGLWISIELLLTLGQIIAAIVVLSLSKHEHPRAPLFAWIVGYACGCVATLPLLYWRYYHFSHPSEQDPAQHRPNLNVAAGPFAFSISRSSEGGDARQTNNNNNNTSSSRGSSRYPGFISAARLKVLMEYFKMALDCFFAIWFVVGNVWIFGGHSSASEAPNLYRLCLVFLTFSCIGYAMPFILCTTICCCLPCIISILGYREDLTQPRGATPESINALPTHKFKLKKSRSSGSSSIEGGVVAAGTDNERAISGEDAVCCICLAKYANNEELRELPCSHFFHKECVDKWLKINASCPLCKSEVGEKNSDLTSQGVLSSLSSGENDNTQQQRNEHRVDNGLAHSII >A09g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13142139:13142788:-1 gene:A09g504230.1_BraROA transcript:A09g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFLDSIWDEEDIFWDLIAGDVSGNADNTVSVLNRSAFRSYVRDREQTMVSSSSSVNVNKRMLNLLRKIWEEKKNALAPEKERCRQHMMKERTRRMKQKQSYLALHSLLPFATKNDKNSIVEKAVDQIGKLDEYKKELERRMNVLEAKSATDYDKIMTGTKI >A02g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12098541:12098952:-1 gene:A02g503610.1_BraROA transcript:A02g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWALVQQRHPHTVAFHLQSCLLEHHPQNSVDCWLDQHPRDLASPEYVHLCASRSAMCCNKVTTNKQVSVRGELFILCPPPFDHGREVETNRSN >A09p082940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59871118:59872306:-1 gene:A09p082940.1_BraROA transcript:A09p082940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMWAVSSSGSSRSYRSATTAAKYQSGSYRDSGEFDEDYDDDDDDVEVDYPCPFCSDDYDLVELCHHIDEEHQLEATHGVCPVCSKRVKMHMVDHITTYHRDKIYSEQKQTSYMDDPYSSDKYLQSFHDDMPPSINHRTSKAVVADQFLSFLNSPLPKPSKTVQVDSSVEDKALIEDSSTVKDRASSTALSDTEQLEKAKKCEFVQGLLSSAMFDDDVCDFF >A01p012810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6291623:6293679:-1 gene:A01p012810.1_BraROA transcript:A01p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTTPKDALRTSKREMAVATRGIEREITSLQMEEKRLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATTAMVAMNKIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASLLSSAPKGRIATKNAAPTTVNNKNDSESTEVDDLERRLASLRRI >A03g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29349779:29356889:1 gene:A03g508680.1_BraROA transcript:A03g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQKKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNEIDFISVSPAKITKDGKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKKKEKEAELPKKKKEEEAELQRSEECVVTNDEKMSSSSSVSGNTYFHRRHVERGTPKQCWCGEPAELCTSASRANPRRLYYCCRKGYIKRHLFEWADECLVEEVEDMKSVMSDMTKGISDLRVDVGRLEKELGKAEKMKCLMFPVVMDLQRGIPRTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIELDLAAIKVELDDMKKDITEIIKIIECLRMKS >A09p069250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53996117:53999294:-1 gene:A09p069250.1_BraROA transcript:A09p069250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWSGSVKRKQKPGPFPVYLNVYDLTPMNAYGYWLGLGVFHSGVEVHGVEYAFGAHEYPTTGIFEVEPKKCPGFTFRKSILVGKTDTCARDVRVYMEKLAEEYRGNKYNLISRNCNHFCNEVCVKLTQKPIPRWVNRLARLGVLCNCVLPPRLNESKVRRVVKEELSEGEKKRMRNTSRSGPLLTTSSSSSTPDNNHRSHIRAKSTGNHSSSSMGTKEGQRHCAPQAQDKKSPSGHSLYEDEYIFLSRFTEYTSMARRISSEIAGESKLYEFSDEILVISLSGIDDVGGKKEDICPQIMEAFEKWGMVQAVDHGVDTNLMADMTSLARDFFALTTEEKLRFDMSGEAMKDWREIVMYSTYPVSTRDYSRWPDKPEGWLKVTEEYSERLIGLAYKVLEVLSEAMGLEKDALKNACVDMEQKIVVNYFPKRPKLDLALGMRHTDHGIITLLLQDQVSCLQATRDNGNTWVTVPLVPGALVINLGDIGHEVHDFRPSGGGLKSSKLFINIFFFPAPHATVYPLKVRDGEKTIIEEPISFEEITMRKLKDL >A02p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:877756:879736:1 gene:A02p002090.1_BraROA transcript:A02p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARTIEKVVVHPLVLLSIVDHYNRVAKDSRKRVVGVLLGSSSRGVVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFHMFKRINAKEHVVGWYSTGPKLRENDLDVHALFSGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVSTEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTAKLTALKGLDARLREIRSYLDLVVDGKLPLNHEILYHLQDVFNLLPNLNVNELVKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKLLNKEHEKAEDSKPVAIPATS >A07p027590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15733160:15734421:-1 gene:A07p027590.1_BraROA transcript:A07p027590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEEDVDLPRFFKVFLSETASESMAIPMSFIEHLEDPLPQTAKLQGIGGGVWTVSFKKTRDCAYFTSGWSKFAEDHELKDGEFLTFVYDGSHTFEVSVFGRSGCKEIRAEVETVELSDANSDEEEEDSSVVADSNEEEEEEDGDDDSSFIAGEDDEVSQSLSHVDSDDTVSNAEVVAGFSNLEVESNPCFTTTLKNRIYELLIPANVVKEHGLTFCDRIKYIDGEGIMHGAKGKWSDDRICFKGWDRICRRNRLKERDTVHCEMFHIRKKVHTIKIRVTRGRG >A02p052790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32250014:32250762:1 gene:A02p052790.1_BraROA transcript:A02p052790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEYGGGEYCRRGHVPAFGGWDWNDAVPFTQCFETATTQQPSYLHHYPPYPQDRDLYLAGDLYDNHHLVAPAVILLPRRRAKVGQEPKRTSSKEQHKFKKDARESNAPRSCPTPVVKPRTARPKPVDEDLYKVSPRLLSLKSTKKRGGGFGCISRCFLPTRVL >A01p019390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9383591:9388099:-1 gene:A01p019390.1_BraROA transcript:A01p019390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLSLSSKRYRRKSYLFCLERKRKKLSAESWDSEPLVRMGEEDSKAAVEPTATKTTSLEKPSEAVAGNKEENAGGKETQEVKKDEEKAEPEKMEIDAETEKMEEDATVTKDEGHAEATNMDEDENADGKKNQTDDGVSGGGKVKDTVMKENVESKDSDNVKDDEKQETKDAADHKKAGKESEEDVKDEGEKANGTKQEEEKLVDGDKGTENTENVETVDEDKEVQTVEEDPKVETVDEKEKEDSAKEQNEEGLVEEEKEVEEDKIEKVEEGTVKDEDDKEDEKEEIKDDKEDEKAESGDDKEDEKEESKGSKKRGKGKSTVKKVGEKTNNDAEPKTPFSDRPVRERKSVERLVAVIDRDSSKEFHIEKGKGAYLKDIPNVAYKVTRKKSDEILKLLHTILFARRGKAAQIKTNILGFSGFVWHGNEEKAREKIKEKLDKCNKEKLWEFCDVFDIHVSKATTKKEDIITKLIEFLEKPHVKSDVAANEKDKSSTGAKRKRTPKQSSPAAGSSSSKRSAKRKRKSGEGTKAAKKILADSEDESEEEKEEEEKEKNAEEEVKNKEEENENGIPDKSEDEAPQPSESDEKDDSEEHSEEETPKKKRRSKVSAGKKESAGRARSKKPAAAAKSSPPEKVTQKRSSAKRKKTDDDSDASPKASSKRKKSEKATKAPAPSKEKPEVKSSGKGKEKTKGPSDKVLKKAIVEILKRVDFNTATFTDILKELGKDFKEDLTPRKSSIKVMIQSELTKLAEEVDEQEEVEEKKEDDAEKEKAVAEEEEEKKEENAEKEKAVGSASAEEEEKKEENAEKEEKEKAGGSAVAEEVKEGDHAEKEKAGGSTGTEEEKKEESAEKEKAGGSAGAEEVKA >A09p061060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50438038:50438942:1 gene:A09p061060.1_BraROA transcript:A09p061060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPHDFRNGESPTYRDRTHFHSTVAAQKLRRFNSLILLLRLASFSFSLASAVFMLTNSRGSGSPHWYDFDAFRFAFVANAIVAIYSVFEMGVCVWEFSRETTLWPEAFQVWFDFGHDQVFSYLLLSAGSAAAALARTMRGGDTCTANKAFCLQSDVAIGLGFAAFLFLAFSSCLSGFRVACFLITGSRFHL >A04g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10249625:10250663:-1 gene:A04g504790.1_BraROA transcript:A04g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTVLSCPTMLGRIRSTAAEMRTLRSGAKCQLSTVKPSKYSSKFSTDVQLHESPQALFDEYLEDKCRVFKAMFPDKPRSYRLNEEEWRIHMSPINFLFLTARPVVDMRIRCKSNGQDYPPDVPLDITRVLELNMMKWELQGLGQVMEQSDFTLGVQGALYPDRGGRHTRLKGQLEMNVSFVLPSVLAFVPEDVKRSVANAILTGLVDSMKHKVIESLLADYNRFKNERKTHN >A08p021750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14514113:14515253:1 gene:A08p021750.1_BraROA transcript:A08p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTKLKPPQITFYCSAFSVLITLHLTIQLVSQHLFHWKNPKEQKAILVIVLMAPIYAVVSFVGLLDVKGSETFFLFLESIKECYEALVIAKFLALMYSYLNISISKNIVPDGIKGREIHHSFPMTLFQPHVVRLDHRTLKLLKYWTWQFVVIRPVCSILMIALQIVGFYPSWLSWTFTIVLNVSVSLALYSLVIFYHVFAKELAPHNPLAKFLCIKGIVFFCFWQGIALDILVAMGVIKSHHFWLEVEQIQEAIQNVLVCVEMVIFAAVQKHAYHVGPYSGETKKKLDKKTE >A09g517330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51071655:51074998:1 gene:A09g517330.1_BraROA transcript:A09g517330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMKLFLFLYLSALMSLESFGNSHESDKQALLKFKSQVSEEKQVLLSSWNNSSPPCRWTGVTCGRKHKRVTGLDLGGFKMGGMISPFIGNLSFLISLNLTDNSLGGTIPQELGKLFRLEHLDISFNFLGGGIPPSLFNNSRLLEIDLYSNHLGQGFPSELGSLSKLVYLDLGQNNLKGKLPVSLGNLTSLRGLSFGENKLEGEIPDVIARLTQMEIFLFDTNHLSGVFPPVIYNLSSLQYLTMGGNYFSGNLRPDFGNLLPNLRDLSMGDNFLTGAIPSALANISTLQYLAMEINSLTGRIPPSFAKIPYLQELYFNENSLGNFSAGDVEFLIDLTNCTQLQSLDVSWNRLGGDLPASIVNLSMNLIVFDIENNSISGSIPNDIGNLIGLQEFWLSENLLEGPIPASFGKLSGLMALSVHTNRMSGEIPYSLGNITRLETLFLSNNNFARIIPPSLGKCRYLLRLHINNNKLNGIIPQEIMQIPTLVSLNMSNNLLTGSLPEDVGRLENLGELYVAHNKLSGNLPKTLGKCLSMEKLDLQGNSFDGVIPDISGLVGIKAADFSSNNLSGRIPEYLANFSLLEYLNLSFNNFEGNVPTEGKFKNATVVSLFGNQNLCGGVLELRLKPCFTQPPRNSRKQLVIGLSIGISLLLLLFIASVSVCWLKSRKKKNGRREYISCSNLVYMNYGAEYAMGGDISVHGDAYSFGILILEMFSGKRPTDEMFGGDFTLRSGIRSALPEQVLDVADELVLQDGLRIGFPVADCLTQVLQVGLRCSEESPANRLGMREVVKELISIKERFFRARRRARR >A04p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10396559:10398406:-1 gene:A04p016910.1_BraROA transcript:A04p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSEEKNHETSLSPLPLCHRNINIPLDLTVEILKKLPAKSLVRFKCVSKCWSSIISSRKDFIESIVTRSLTQPPRDAHLIEDLYNKTDECLLVISSPCHQMTHKESVSILGTDHEYARGLFFCWSYDHQEGAIYNPTTRQSFNLPKMKHSHPGICFFGYEPLENHYKVLFIPNPVHRVEQACQVFTLGDTTAEEQWRNIQNIEYLYPFLSYRSIVSINGAIYFLSRFKVNDTTTEYKILRFDIRSEKFYNVDLPKTVTLMDLCWYYLINYQGKFGLICCHQRMEIWIMEEDAEKKTQRWSKIFFYEMEGFGNWFISNVTRAGEIVFINTPLDTSRICYYDPKRNSVRYVDLKDSYPKERDWDKGLLIRTFPDYAENTMIDQSRDLITEPAPRTKINRDNSRRRHRRPSHEGASEKGTLKEASNIRSGERTNGWKQRFIGSALVPFTQAEHSKMRFQSVVKG >A06p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19287676:19288421:-1 gene:A06p035640.1_BraROA transcript:A06p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGAKAHVVEGIVLSEFLDLEVQWSAREETLMDYQYIIKHYESRRNHDKLKVSLAMVNRRMRSLWRKARQLKDFESG >A06p056480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29394506:29396555:-1 gene:A06p056480.1_BraROA transcript:A06p056480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRSATGVGVMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLEKVCLLGCGVPTGLGAVWNTAKVEPGSNVAIFGLGTVGLAVAEGAKTAGATRIIGIDIDSKKYETAKKFGVNEFVNPKDHQKPIQEVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYMNKEIKVDEYITHNMTLGEINKAFDLLHEGTCLRCVLSTSD >A07g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22850568:22851620:-1 gene:A07g508340.1_BraROA transcript:A07g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAAAKTRKIAVWWDMRDCPVPEGIDAHRVRPSIEGGFKELGYFAPVSITAYGDKNKPLNTSYELSLPLESLWYMSDLRARAQEHVRSHESNWTMSVVLTSAEWLWDSLLAVSATKRHVLRNCSASVVESTGMFYCKLCYTKRTLLDKFIKHLSSKKHLSEEGCITDRRRRAKKNRLFWLKARVSFSKEQAVEECSLVEELEHVYR >A05p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19230599:19230998:-1 gene:A05p034190.1_BraROA transcript:A05p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTSIQSKDTSRTQVNYATVRAATGENQAVAWHPRTRINHGSYRGPRKHLVNPTVKQDVYTVPESSA >A05p009190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3778116:3778325:-1 gene:A05p009190.1_BraROA transcript:A05p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMINITQLSEYRKDGNPSIYRKQWEPLKEDQVLNPSSYSDCIDWCLPGAPDVWNQLVDAYIVDDHHFA >A10p027240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17158151:17167199:-1 gene:A10p027240.1_BraROA transcript:A10p027240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSQSQSPSPPEMEIQPPALLDDDPSAYSSSALWDWGDLLDFAADEPLLVSLDSDQPPFVPPPPLIATQSDTYHSPDESGGSGSDRVRKRDPRLLCSNFVEGLLPCSCPELDQKLEEAELPKKKRVRGGSGVARCQVPGCEVDISELKGYHRRHRVCLRCANASFVVIEGEDKRYCQQCGKFHVLPDFDEGKRSCRRKLEHNTCSSDQRMEQEASLNFEDRHIPTQGSVPFTHSINADNFVSGTGSDEAQPDEGINDAKFERSPSGGDNKSAYSTMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLATMPVELEGYIRPGCTILTVFIAMPEIMWAKLSKDPVAYLDEFILKPGKMLFGRGSMTVYLNNMIFRGTTLRRVDVKLESPKLQFVYPTCFEAGKPIELVVCGLNLVQPKCRFLVSFSGKYLPHNYSVVPGPGQDGKRSCNNKLYRINIVNSDPNLFGPAFVEVENESGLSNFIPLIVGDKAICSEMKLIEQKFNARLFPEEQDITACCCLTCCCKDFKERQSTFTGLLLDIAWSVKVPSSECTEQTVNRCQIKRYNRVLNYLIQSNSPSILGNVLQNLETLVKKMEPDSFVHCTCDCDVRLLHENMNTARKQQSHEDSKVNPITSACCCDSSFQDMPSRVLNVNQESEAGLDCTKRIHTASPDTGRKETDPLLNKEVVMNVNDIGDWPRKSCIPIQSAQTFRSRQTVLFIATIAVCFAVCAVLYHPNKVTQLAVSIRTRLAHKL >A04g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3364171:3365514:-1 gene:A04g501290.1_BraROA transcript:A04g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKPTKFICDYTTKELGIVRDVKKMWKNMGLGTLGYNSKPLYLDLVIQFLTSVELHYKSEVNKVASEGKLTFLCRGLLYEMSIHKLCTLFGFETRHKACSLPKFPCAYLLCGKIADSSYVSREAKLAMLRNPVLRVVAKYLGHLLLGKSEAGSLTEDEAQLIHYGLPLALRPDFRGLRPLDKKPLDESIGSLLTRIFKHHDIDLSDTPCVDTIDRFDAQFFLNTKILHSVLYTPPPPASKHRPGSSSSGPAQTQCEDDTIPDISVDHTPDPSMEYLLPPYTGQFDSGAPPLDGTQQQQFAWTADTLVKLSTMMQTVWGALAKIRCPPTPSCCRAPQTSEAAGMTGDDAGDEPSDEATDSERGSRLHRSRRAPGQSRSCSPDDHQ >A04g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14728028:14729300:-1 gene:A04g506520.1_BraROA transcript:A04g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDIFTNTLFINDQKTILALTLSLSLSRDPLFFISLSLDLFSSSLSPIHRRSTVSPPSPPSLTKAWISRLSSFSSYFLRFCDLGLLRNHTKALCLSAGAGHAPMAMAQIELSDVTAVELVDSLPLERRADPHNLHFSTVRLISRLLGILMMLCFRGGSWRRWRGRYLTHRFLQLRWSLHLRYIILFIAAKRNRLCNLRHKKKLKNVYKFCTILKLTTRYCDTSTELPDKPKLIIQRKEEFSEIENSHLVEVL >A07p024350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14015741:14016616:-1 gene:A07p024350.1_BraROA transcript:A07p024350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHKQRRTEKGLCFKHYFKWILCFSFTLYCLVAFLVDHDQEDLPLSPSSLSRPNTFLTNPKTKFIASHAVFESKIHDHALIFPPQRPNIRTDVFNNMKIYVYDLPSKFNTDWLANNRCSNHLFAAEVALHKALLSLEGDVRTEDPHEADFFFVPVYVSCKFSTVNGFPAIGHARSLIKEAIELVSAQYPFWNRTSGSDHVFTATHDFGSCFHTMVSSNVTDPSSSDFWNKINIICCGFES >A06p042150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22650361:22652000:1 gene:A06p042150.1_BraROA transcript:A06p042150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGGGLGHASPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRSINPQTIMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLSLAAKYNKAVQEEDELSPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >A03g502510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8018989:8019402:-1 gene:A03g502510.1_BraROA transcript:A03g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIEHKIVFETSLKLLIVAWVERSKQGVRKLHGWKLTLHPHNTPMWSATQHYVPSSLLHGLPVHNSPNHSSFVYNSRYNSSPIHSSPDHMSPDYNSPIHRSPAHNSPIHMSPAQNSIIFQWDLWEAVVGLLFPTRT >A10p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8931163:8932299:1 gene:A10p004630.1_BraROA transcript:A10p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFCLISALIFLSLFSNSPILILAQISTPCSPTMLSSVTGCMSFLTGGGSSPTSDCCEALKSLTGTGLDCLCLIVTASVPINIPINRTLAISLPRACGMPGVPVKCKASAAPLPAPGPVSLGPTTPPTETQSPQGSASFGPTTSPSSSLTPDDQNIPASDKGENPTASTPSASSPSSSHSIKLPLLLLTFFAFQIISLLLS >A03p000840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:490279:491637:1 gene:A03p000840.1_BraROA transcript:A03p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSILTVLSMENHHHHPSTHLSMDSSVSSSHEELDLEMMNNGNRQITLYNPPDINLPLSVGRTSMSWNLDSCDNILDVGLSSHVYETTETFLNVVAPSKVSKKCLKRGDSMWGAWFFFSFYFRPALNDKSKSKVVREAGGGGGCFTGFDKSDLKLDVFLVQHDMENMYMWAFKDKPENALGKMQLRSYMNGHSRQGERPFPFSAERGFVRSHRMQRKHYRGLSNPQCLHGIEFVASPSLLCVSEEDKKRWMELTGRDLKFTIPPDASDFGSWRNLPNTEFELPHVAKPGLSNGKKILNGSGLHLTSNASFSSNGDSSEGGNNSKKRKEFLSPGSSEEECCLTVNNVETHQPGWVKDFTGVMKNVHGPATAAKTIYEDEEAYLVVVTLPFVDLNSVKVSWRNSITNGIVKVTGSSTSRAPLVKRRERTFKLVDQTAEHCPPGEFMREIQFAE >A01g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12378627:12384494:-1 gene:A01g504110.1_BraROA transcript:A01g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRSNSAHMADIKGKGILYEDDDEPIKLTDHDVSQNINEFKLSLIGKILKPKKQSVEKLLQKMPVQWGMEDRITANDLGNGKFLLNFTTEEELNSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLREIGSRLGHVHQDTIELIEGRMLLDLDSRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCPSIQRQGVFARVQLQEHRSQQYSKPLVKKEPTALHSKALAGPYLKQSSYATGRHANEERRYALNNPREAHKGHVDRVVRRRDEPSWRKKYGGAREEAKPYDRHIGATWREKKSQSQARHDGNVVRDRLVHVSLDRADGPDDHQRQRASPPPRESAKCVQADCEDPPLQSPVRPSPDQRGLGRTTGTRRIASTIVTPSRGDGLDGNVTKRLKGTPRSQAFDTLTEQDPKPTTENDQVIETLDDMNITEQLDEGLMDSEMLDDDLMGVELAEMEAKCRQGREVRGSDQKSQRLRGRSSRHIKHGYKSSAPLGIQKKKFEILLRGSPQKRSKSDGSSLVIRIEGLGYGILESYVHPEDIPLIRSLAISSTHRRDTFCWNFTRTYGDKKITRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQIWFPDFNITYVPRAHNQTADFLAKTARSFRRELHFVGCSIPVWLPRPPQV >A09g512080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35356561:35358536:-1 gene:A09g512080.1_BraROA transcript:A09g512080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGNGVLCVYPREKNIKNMLFDPSQKRRRKSTNEFKQVSPVDGTVLRFGELKESRGMNEQVTGHSYSAPDLLGTNSPSHDKIDKSWLKISLDSPKLRESISASPMKGLYYCVIYLRPGDYHHIHSPADWNALVRRHFAGIWKQGFMALAADGATNIGSIEEQVYNPQGHGVKLEKGKEVGVFNMGSTVAVQAVPRITDSVESSNTTPQAAWQIAQPPASGTNPMDGAQKGWTLINQHHPALEMRRRAANAPGVIVGSTIIRLFHQVFFFYITNSTQLLFSVVCVFSIFFLKFPATSSI >A06p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15693456:15695155:1 gene:A06p020820.1_BraROA transcript:A06p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLKYYIDAACVLRKMCLDTKAPHLSSTLPPILPWKFNKPVLPNKGLTHQGNGHSEELRCVIAVSRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRPGRESDSDPEDLEHAEKLRQVKAVLEERGNFSGIYRKVQLKPLKWDGEGEEERPVEALMILKYGGVLTHIGRKQAEEFGRFFRYNMYP >A01p008790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4271036:4272496:-1 gene:A01p008790.1_BraROA transcript:A01p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCINESSDDEDPILNEEYAFREFNEFDDPVHSTDLHKFENSETGYFRDLANIYARFGLHSYNSRKCTNFQLSRVDKYINYGVFSYSLTFEATNPADGSCVTFQARVLRDDNVKGSYYRIMTEGCRIKPEIPGTREIIHRWEFDAEVYKDTLPEWYSDDVLLLSNDQFYQVQDSDVLENDWLNLYAEVAMYTLKENDMSLFESWLPLEIKKVVVQTFEDVKSNEKLKAGNAIFYFSFKNLNAPPHGLCQDHRVIIRRNTDGLPGHVCLDFKSCTGHNIFKASSV >A03g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24095566:24097001:-1 gene:A03g506720.1_BraROA transcript:A03g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCLSASPVPQTSVPGIRHSTFESLRVGRSDSVIHEFIPAGRANHCMRSLKAGSIVKVDRFEVARCSSMNKIIDHPFLICFISPAIIDEVFMDVVGQIRFVQGCDLSKETTRVVIRLLIDPKTNTTTIGDTTDNPDIHKGGNNISTCSLLLPDKNSLHVHCQQVNAIVFL >A09p053290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46343273:46345076:1 gene:A09p053290.1_BraROA transcript:A09p053290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLVLIILRGDPTAFQEYWMSTKMKERCVVVIPGWDLMSYFSDKTNVCWFLRQDLAEAIKALHRAIGNAATEERYIVVGNGSTQLCQAALFALSSLSEDKPLSIVAAVPYYSTYEEEASYVQSQLYKWEGDARTFNKPGPYIEMVTSPNNPDGTIREPVVNRGGKVIYDFAYYWPHYTPITHRQDHDIMLFTFSKIAGHAGSRIGWALVKDIEVAKKMVQYLTINSTGVSKESQIRATAILNELTKSCRIKSESFFKYGNEKVKSRWESLRWVVDKTGDKFTLPDYPLAFCNFFGKSSSTYPAFAWLGCNKDKDLKILLKEKYVLKRGGERCGSDKKYVRVDMLGPNKDFQDFLNRLLTIKYPRCFL >A01g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13541198:13564301:1 gene:A01g504420.1_BraROA transcript:A01g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNNLSNELDKERGMKKTLAKEFGTHAEDEGANVLDFLYVSPAKATKAEDLRRRSTRNRTIKDEDAEDKKKAVQAEAVLKKKEKAAAKRKAAASMKQKQPELKKPKQAELMNEEQAELKNQEQAELMNEELAELKNQEADNEKRKNITTPRANVKRCKVEDSVEDSEFAVMTDEVLAEENEILPESPMASQELIRSAIVKEYREKTSVVTAQCHKSKPSLSDQVAMKGSKRSMKRPMEDVYGAYAVEGYNKGKIETTEHYRALLRLAKEQRQSESEWNDASSKVNSIAARMELLDAIIKAEGKFDLVAELETLTAQHCEAEAELGAVKPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKIPDKGQSEKNLADDIAKADAKGMRAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGRGCRGLGKRNDLAADLERNEVELKKKQKQEETELKRKKKQEEAELKKKQKKEEAEFGNNASLMAIASTLDKLFRKFDLMDARFKKQLVDQKSIDDMVKVAVEERLKVIGI >A06g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8298924:8300255:-1 gene:A06g502510.1_BraROA transcript:A06g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAAKAVSELLKNSESKKSSPCLSPRTPYILAPRSVYAFTLLPLSRHSTKWRYSIFSDLRIYPQNFVFIRGNLTFIFPCAPSVNRHTVYGLLVKKSMVGRHELSSLRSSGDSIFGRYVTTEQNARRVRARRTLGRYVATELCACLVAAYRSSLACPWSDCRTRACPRPYWIHVCFLRTIGIDSVVTDFDPNKDTDSSSLMNCLSLSLRTCRLTDWKKGEDALNMKSWKE >A05p003130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1112441:1117142:1 gene:A05p003130.1_BraROA transcript:A05p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE2 [Source:Projected from Arabidopsis thaliana (AT2G40840) UniProtKB/Swiss-Prot;Acc:Q8RXD9] MMNLGTLSLITTVKSSKPMVSLSFWIPYFTHWGQSLLVCGSAPGLGSGNVKKGLLLKPSQQEDQLVWSGSVSVPPGFTCDYCYYVVDDLKNVLRTEFGMKRKLVVPEALTGGESVQLRDLWQSGDQALPFRSAFKDVIFRNTGDVEVGKPLGVFENKSDQDDSVVVQFKICCPDVGEGTSVYVLGTSGKLGKWKVESGLKLSYVGDSMWEGDCLIPKAEFPIKYRYCKVPKDGSIGLESGGNRELTLHSSSNKQEYIVMSDGLFREMPWRGAGVAVPMFSVRSEDDVGVGEFLDLKLLVDWSVDSGLHLVQLLPVNDTSVHKMWWDSYPYSSLSVFALHPLYLRVQALSESLPADIKEQIEKAKKQLDKNDVDYEATMETKLSIAKKIFDLEKDQTLSSSSFQKYFSENEGWLKPYAAFCFLRDFFETSDHSQWGTFSDYTEDKLEKLTSKDSLHYNTICFHYYIQYHLHVQLSEASEYARKRGVVLKGDLPIGVDRNSVDTWVNKNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGNYFTAYRIDHILGFFRIWELPAHSMTGLVGKFRPSIPLSQEELEKEGIWDFDRLSKPYVQKKFLEEKFGDFWPFIASNFLNETQKDMYEFKEDCNTEKKIAAKLKSLAAKSLLLENEDKVRRDVFDILRNVVLIKDPEDARKFYPRFNIEDTSSFQDLDDHSKNVLKRLYYDYYFHRQEDLWRKNALKTLPALLNSSNMLACGEDLGLVPACVHPRMLKSIVTQVMKELALVGLRIQRMPSESDVEFGVPSNYDYMTVCAPSCHDCSTLRAWWEEDEERRQRYFKEVIGLDAIPPSQCVPEITHFILRQHVEAPSMWAIFPLQDMLALKEEYTARPAKEETINDPTNPKHYWRYRVHVTLDSLMKDVDLKSTIKNLVSSSGRSVPASGEDVNNKK >A01g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13797543:13811533:-1 gene:A01g504600.1_BraROA transcript:A01g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSIDTKPSSSIDPNRSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLCMTSRHTRRNAQGELVTFTNQELASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKLKVVPEKEHGDKGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSIKIDQHRGQFHDSGLFYLSDPSSRLSESDVDRHNTPPIDRHAPLTHRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQETEGRRLRKRKEKIPKNLNREANDKEMDDVLQRNKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASVNYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTKRGHDDYSIGSWADSGFHESFAVDTIITSSNEEHTKEYDEDYWKERAIEMSLQDERLEAHKFTNKFPTSFDAVHSTSVDTHPRPTKQPLTSIDTYKGTSIDIRAAAKIQENIPSPTRNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFKDPDGNARAIDGRILQVSREDITDILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKRQASIDGTTETSIDRVTPTSIDRDDPTSIDIRYEFGNRAFDMYGARKFTWEQRDEYGVYRDECRHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPKHATSFTLTRLVPELYTKYEIDEMVFGICGAQEKLREELKTLVEDTHQPLDRGYDELFRSMAEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCSFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFEKKISGLDSHTEWLQKEVKAIQRQLAAQHQISASIDRTRVKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTIESMQKELTDLSAYAYDNIGWHQVSIDNVQERLHNISNVLEKMDDKWTRKDEATRRHFTRADHIEVDERKDNRLMRISADDRYQEMPRHMKFNIDRCTQVPLIDVETPDASFWTQQT >A10p035470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20351858:20353773:1 gene:A10p035470.1_BraROA transcript:A10p035470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFASSSLCQAHKVALTRQPSPPVNSCSLGSVSVIGFSLPQISSPSLAKCRREQSSFSSVRACVAVEQKTRTAIIRIGTRGSPLALAQAYETRAKLQAKHPELTEDGAIHIDIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGHIDIAVHSMKDVPTYLPEKTVLPCNLVREDVRDAFICLTAASLAELPAGSVVGTASLRRKSQILHKYPSLSVEENFRGNVQTRLSKLQGGKVHATLLALAGLKRLSMTENVASILSLDEMLPAVAQGAIGIACRTDDDKMASYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAAKDEEGNCYFRGLVASPDGTKVLETSRKGPYVFEDMVKMGKDAGQELLSRAGPGFFGN >A05p022270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10626939:10628945:1 gene:A05p022270.1_BraROA transcript:A05p022270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQESYKSRLFHFKNMSEHSASRHVKSWSSDCAMKIDGSDSFDDDDNDLMFRSQPGNFGSVERPPLPSSGDATPNRSDKIGTPRMVSSESMEAQLQAAMEQMKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQRRLEPMPADRKARWRRELGWLISVADHIVEFAPTQQTNKDGSSMEVMTTRQRTDLLCNVPALKKLDAMLLDCLDKFKDQNEFYYVKKDSPDSSETRNDEKWWLPAVKVPPNGLSEMSRRFLQSQKECVNQVLKAAMAINAQVLSEMEIPESYLESLPKNGRASLGDVIYKMITVEMFDADQFLIEMDLSSEHKILDLKNKIEASIVIWKRKMVQKDTKSPWGSGVSTEKREQFEERAETILLLLKQGFPGISQSSLDISKIQCNRDVGLAILEGYSRVLESLAHTVMSKIEDVLYADQLTQEPTNAPSKNRYLVKETMKEERLSFSEDTATGTSLSDVMQWGNKNNEVKKESYYGDREKPLLSKVTGLMTTNKKSSYLETIGVMRSPTARYS >A04g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16646094:16648557:1 gene:A04g507050.1_BraROA transcript:A04g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18617771:18618573:1 gene:A06g506680.1_BraROA transcript:A06g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGQIYDVSQSRVFYGPGGPYALFAGKDASRALAKMSFEENDLTGDVSGLGPFELDALQDWEYKFTSKYVKVGTIQKKDEEGKESTEPSTNTGEEASATTVGETSRSVEEKTIETIEKKDVADDDAAKEE >A09p017340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9004735:9007100:1 gene:A09p017340.1_BraROA transcript:A09p017340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTMKPDTVLILDFGSQYTHLITRRIRSLNVFSLVLSGTSSLTQISSHNPRVVILSGGPHSVHAPDAPSFPDGFVEWAAGNGVHVLGICYGLQLIVQRLGGVVVEGESKEYGKMEIEVKRESEVFGKGRVGEKQVVWMSHGDEAVKLPHGFEVVAQSAQGAVAALENRERRIYGLQYHPEVTHSPKGMETLKHFLFDVCGVSADWKMEDLMEEEIKVINKTVAADEHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKEQERVMDTFERDLHLPVTCVDASEQFLSHLKGVVDPETKRKIIGKEFINIFDEFAKELEKKHGKKPAFLVQGTLYPDVIESCPPPGTDRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRELGRILNVPVGFLKRHPFPGPGLAVRVLGDVTQGNALEVLRQAITSQDGMTADWFNFEHKFLDDVSRKICNSVQGVNRVVQDITSKPPSTIEWE >A07p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20843521:20849015:-1 gene:A07p039190.1_BraROA transcript:A07p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEYRPRVIVNGTRTFHYFYCRNCSRTVQPRNNEINLHDQLDVMRHYWELDADTDTDHELVNTDELVDVMPNERIGPPPASLFATEAVKTVTITEEDLAKEKVCAICNEEFEVGEEGKELKCLHLYHPSCIESWLNIHNTCPVCRFEVNLGISESNLNGGGSNDVENDRSNRRRNRTPPLELLVSISQKFKITRAPFLKLRIDLGFLINTGEQQEEDRIRYNGEGEDLEPLFDYHRVQPSNFVCIDDDDDSDVSEVPVKKRSKTSHTVVKKDEDVKVIEVACDDDWLPPPPKAVFNKSKESGEDSTIKALRSKKMELMSFTKTAVDVMQEAQESAKKEAKVSLKTSSEAAPAQALPGPPINDRAKIVITIQDKDGQKQFRVFADEKFERVFKMYTDKEKLDPQNLVFTFDGDKIDPSTTPSNLEMEDGDMIELKSGSNCGLCCSEAFSKVNACLPNLECQSIRSSLPEFVHQHGTWKGISAKDSGLLESCVTNLSHNSTLLVLPGRIVS >A02p006590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2790559:2792107:1 gene:A02p006590.1_BraROA transcript:A02p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKMNISCFFFIQVLLLLLLSSFPPTNAQGLKVGFYDKTCPKAEAIVKKSVSDAMKNDPTIGAPLLRMFFHDCFVRGCDGSVLLELKNKKDEKNAPPNLSLRGFEVIDNVKAAVEEECPGVVSCSDVLALVARDAVVELDGLSWGVETGRRDGRVTNINEARSNLPSPLNNITSLITQFSSKGLDKKDLAVLSGGHTVGQGHCPLILNRLYNFTGKGDSDPDLDTEYAATLRKKCKPTDTTTALEMDPGSFKTFDESYFKLVSQRRGFFQSDAALLNNKETKSYVLKQMNSQGSTFSGDFGVSMVKMGRIGVLTGKAGEVRKKCRMVN >A02g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20455200:20455521:-1 gene:A02g507190.1_BraROA transcript:A02g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLLFKAISSKIAQKDMDLITAYYQQLREKKISREGFSKKLRMIVGDDHLLKTTITALQRLPHTALKMEPVTCGC >A09p020390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10786821:10788004:-1 gene:A09p020390.1_BraROA transcript:A09p020390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPHVHPMFLLILSLFCLPTLLAIPFQDCGWGDYPMKVTGVEVFEEQNKASLNITGSTNKVITGGFVLLGVNIGNKKIIDDIYELSELITCPVSPGPIVLPLHKLFPYSTEEKMRVSISVNDPDHEEIMCLYFYYDTSSTGVVFA >A03p000940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:522556:524139:1 gene:A03p000940.1_BraROA transcript:A03p000940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTEAQDSTLATCPPELGLVMLNVSLANMEATDSVEIKGKPCFTIVRDVDMKRDFAFVDFSDPRDADDARYRLDGRDVDGSRIVVEFAKGTPRGSGSGYGSREISSSRGGPPPPGSGRCFNCGLDGHWARDCTAGDWKNKCYRCGDRGHIERNCKNSPKKLKRDQSYSRSPVRSRSPPRPSRRNRSRSKSPSYSRSRSPVRTEKARTPEPAARSRSPEPTVVNSPPLKDINHSLSPNEKSPVPEKNGQENGNGTNGQDHSPRDEPSP >A07p008030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4939985:4944166:-1 gene:A07p008030.1_BraROA transcript:A07p008030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSSTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEHDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFPTPLDRAGVARERPSGQNPSEKSPIEKGNPENLPHPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERKTELTRSKRRQARKSTDETSDIHDLRDYIIPRTLHDQLPCLGSKTSREATCWRALGTYVSILISLGEHSAHKRISLGHKELEDSPLDQIKLWKPPDLQQFQHHCRDYQTRSGDGEFTRGNGEVITGAGEEIMFSSQIKERQRDKLSLQKIRKQPTQGNYLDSKKRMKPDLLAVVTGQTVLRFTLFEKKEPSYEKTCPTFLVFPTSTTNQFEAEPIQGCKLLILQLR >A03p018080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7385475:7386124:1 gene:A03p018080.1_BraROA transcript:A03p018080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRDVTLVKGFAWDLKEHMFKRLFSAETAMRQPMPPNTRSAYHQRFLATRIRVALSSTSCSKEVEQSSVPSPQQEQLDLGEVDWLAEIKKLYRQWKFPSFLMFISYNRPMKSNVSNKKPRFEISYDDEEDHFLVPDLGWM >A04p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12967274:12968415:1 gene:A04p021420.1_BraROA transcript:A04p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMVTGRRCEDCGNQAKKECVYMRCRTCCKAKAFHCQTHIKSTWVPAYRRSHKHQSQTQAQPQQQPLSITNPKRLREQHPTSSPSSSGVRIGTSTGHFPAELSSVADFRCVKVSSIDDGKEQYAYQTTVNIEGHVFRGILHDQGLEKVDLDHQPAHHDQALLLPSSSRPLMITSHFTDFMSGTHTKSLH >A06p003080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4861022:4863080:-1 gene:A06p003080.1_BraROA transcript:A06p003080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAYSNLFNNGFDNTNFNCSTSLSYIYNSHNSFYYPNTTNPNYMAPTTSTFPNSPPLREALPLLSLSPIRHQEQQDQQFMDTDQISSSKFLDYPHDVTVDLHLGLPNYGVGGSYIAHVATTDEQDDDQGVEVTVDSHLHDDDDGDLHRDHHYWIPTPDQILMGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPEFLRGTQPTGMLRLPCYCCAPGCKNNIDHPRTKPLKDFRTLQTHYKRKHGSRPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNDHIPCAIDNFGGDHEDYYEAASDIQQQDDCNTNEC >A07p049030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26075586:26078076:1 gene:A07p049030.1_BraROA transcript:A07p049030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 4 [Source:Projected from Arabidopsis thaliana (AT1G76320) UniProtKB/Swiss-Prot;Acc:Q6NQJ7] MLSQMEFETHEDAYTYYKDYAKSAGFGTAKLSSRRSRASKEFIDAKFSCIRYGTKQQSDDAINPRASPKIGCKASMHVKRRPDGKWYVYSFVEEHNHDLLPEQSHFFRSHRIESSDPRLRRNKKSNNPLADCSKHLAVYHDLAFLDGYIRNNNNNNNNNNQHRLAIESHDAQILLEFLTRMQEENHKFFYAVDFNEDNVLRNVFWVDAKGIDDYKSFNDVVSFDTSYVVNKFKLPLVVFVGVNHHVQPVLLGCALVGDESVYSYVWLMESWLMAMSGLMPRVMLTDQDDGIKAAVSAVLPETRHCYCLWYVLDRFPRNLDYWGMWQDAFLKKFFKCVYGSWSEEEFDRRWMKLIDKFHLRDVQWMRCLYDERSFWAPTFMRGVTFAGMSTRYRSESVNSMFDRYVQCETSLKDFLEGYGVMLEDRYEEEAKADFDAWHEAPELKSPSPFEKQVLLVYTHVVFRRFQLEVLGAAACHLTKESEGGTTYSVKDFEDDQKYLVEWDESKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFTIPINYVLQRWTNAARNRHQISGNLELVQCNIRRFNDLCRRAVILGEEGSLSQESYDVAMFAMKEAFKLCAIKVNTIEHPTIDHIHEDNQYGSTSKQIGLEHNIQHAGNASGQGETIKEKRSSLNNTSKKAKHVTESGNVGEGSQEGFQHVVAASRPFQAGLAAQFHNTIPAVFQNVIPTEFHNIQATNMHQNNPPG >A02g511140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29553429:29554011:1 gene:A02g511140.1_BraROA transcript:A02g511140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQWCFIENFALTPATRKYRATSHKYKLSIIGSSVVTSSSLKNDESFLSLTSYESIINGSLDSNFLIGQIQQRQLQQLRASTMAEKVEESLCLEMKL >A03g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23886002:23887538:1 gene:A03g506640.1_BraROA transcript:A03g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPIRERDQRMDQPYDVLCPRQGTSDFHSLPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGVQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1934100:1936147:-1 gene:A07g500990.1_BraROA transcript:A07g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRKGEETDADLELSYLPFGLINSSECPPVIIGNSRQVQNFQGFCKKHQSTQLCVSYKAKQGNPNKVDIDLNKMPTGASTSEENERNPCDIGAASSIVKGAKHNEKRKGKMKQSEVDGDDYDADKHNVKKKGKMKQDEVDGDDYDADNINSKKENREKLAKSPVKYDAQRPRLVAPTSRSRLRERPRWVAARGRSESDFVSSRNKRASDFKESLQGGRSG >A09p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5317539:5321120:-1 gene:A09p010370.1_BraROA transcript:A09p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS15 [Source:Projected from Arabidopsis thaliana (AT5G67320) UniProtKB/TrEMBL;Acc:A0A178UQT7] MSSLTSVEMNFLVFRYLQESGFTHAAFTLGYEAGINKSNIDGNMVPPGALVKFVQKGLQYMEMEANLTNGEMETEEDFSFFQPLDLISKDVKELQDMLRERKRKERDKEKEKDKSKENDREVEGERSRLREKDRHEKLKEREKEREREKMERDKEREREKMERDKEREREKMERETFERERERMKLERERETEREREREKMEKEKAQEKQVGDGDRDMVIDQSDKANAGDEDHARSSAAEPMDITMTPASMPSHIPSSDVRILEGHTSEVCACAWSPSASLLASGSGDTTARIWNIPEGPLKSGRTINALILKHAKGKSNEKSKDVTTLDWNVSAAASSSLLIKYLPGEGTLLATGSCDGQARIWTTNGDLISTLSKHKGPIFSLKWNKKGDYLLTGSVDRTAVVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDTFIYLCKIGETRPVKVFAGHQGEVNCVKWDPTGTLLASCSDDSTAKIWNIKQHNFVHDLRDHTKEIYTIRWSPTGPGTNNPNKQLTLASASFDSTVKLWDAELGKMICSLNGHRDAVYSLAFSPNGEYIASGSVDKSIHIWSLKEGKVVKTYTGEGGIFEVCWNKEGNKLAACFADNSVCVLDFRM >A10p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16557829:16561007:-1 gene:A10p025950.1_BraROA transcript:A10p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLSLALLVFFEAFTVVFAQARFSSETDMKALLEFKSQAAENNTEVLSSWNSSSPLCSWTGVTCGRKRERVVSLDLGGFKLAGVISPSIGNLSFLRVLNLADNSFTSTIPGEVGMLFRLQYLNMSFNLLQGRIPHSLSNCSTLSTLDLSSNQIGHEVPSELGSLSKLVILSLATNNLTGKFPASLGNLTSLQKLDFAYNDMEGEIPYDVARLRQLVFFQISQNGFSGVFPHALYNISSLESLSLGGNSFTGNLRADFGYLLPNLRTLLLGENHFTGAIPITLTNISSLGRFHISSNNLTGSIPLIFGKLPNLWWLGIAQNALGKNSFSDLEFIGGLTNCTELEFLDAGYNRLGGELPASTANLSTKLTSLNMGGNHISGTIPRDIGNLVNLQVLSLETNMLTGELPVSFVKLLELQVLEVYTNSLSGELPSYFDKMTQLQKIHLNSNSFQGRIPKSIGGCRNLLDLWIDTNKLNGSIPREILQIPSLAFLDLSNNVLTGSFPEEVGKLELLVGLAASDNKLSGRIPQTLGGFLSLEFLYLQGNSFEGAIPDISRLVSLSNVDFSRNNLSGHIPQYLAKFPQLKNLNLSMNKFEGSVPTTGVFRNATEVSVFGNSNLCGGIREMQLKPCIDVKASSRPRKPLSLRKKIASGIGIGMASLLLIIIVAALCWFKKKRDKRKKNDTSKYGMGGQPSIRGDVYSFGVLLLEMFTGKKPTDESFSGDYNLHSYAKSVLSGDEEEGGGSNAVDEWLRLVLQVGVRCSEEYPRDRMGMAEALRELVSVRSKFFSTKTDTAEELSPRDAVQSSPQEWMLSADMHTM >A09p046980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40814249:40818016:1 gene:A09p046980.1_BraROA transcript:A09p046980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTAGFNPQPHEVTGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLHPQLICQLHNVTMHADVETDEVYAQMTLQPLNAQEQKDPYLPAELGVPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELMARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDPTRWANSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGLKEDDMSMGMGMSSPLMWDRGLQSLNFQGMGVNPWMQPRLDASGLLGMQNDVYQAMAAAALQDMRGIDPAKAAASLLQFQNPSGFSMQPPSLMQPQMLQQQLSQQQQQQQQLSQQQQQQSYLPVPETHQPQSQSNTLLSQQQQQQQQQQVVDNHNQSASTAAAVSAMSQFGSASQSMTSLCQQQSFLDTNGGNNNPMSPLHTLLSNFSQDESSQLLNLTRTTNSAAMTSSGWPSKRPAVDLSSFGNNSNTQSVIEQLGQSHNTSNVPPNAVSLPPFPGGGRECSIEQEGGSPSDPHSHLLFGVNIDSSSLLMPNGMSNLRSIGIEGGDSTTLPFTTSNFNNDFSGGNLAMTTPSSCIDEPGFLQSSENLGSENQQSNNTFVKVYKSGSFGRSLDITKFSSYHELRSELARMFGLEGQLEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEVQQMGKRGLELLNSAPPSSNNNVVEKLPSNNGNCDDFGNRSDPRNLGNGIASVGGSFNY >A03g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2582331:2582814:-1 gene:A03g500890.1_BraROA transcript:A03g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYLYSPGTRSTGGGSRKERSSGGGSRKERGSGGGISKKRSYGGGKKTERSSGGGSRKERSSGGGSRKEKSFSGGISKKRSYGGGRRQREAPVVALVKEKKNMHPLVDTQQRGSGAGSVRPARPAVTQTAKAQPA >A03g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30063391:30063803:-1 gene:A03g509140.1_BraROA transcript:A03g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALLKNTKVDDYVAGFNELGGKDDFSTDDLEDRLARAQVIHYEGESSSDKVKSMTQARRSVRQSANSDSDSE >A01p002390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1093559:1093912:1 gene:A01p002390.1_BraROA transcript:A01p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSDLRKLGSRSVDRGRIRISDLGREVRRICEFRLRFDEAMMNPRGKRSKKRKRMGDV >A03g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21205105:21206138:1 gene:A03g505930.1_BraROA transcript:A03g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVNAKGELHMNIKEQPDEFCLPTKKELEEESSGPPDLPTLQTRIKESVSGHSEMGDLKGSSSPLQITTMVPSPIFLWRFKVVLFLLWALCCCKIGWDSVMRMSIDLRDLFLYEAFLYYNPLLLVTMMVWLWGRRSHYDYEACSIHRKFSPTLNFNDVSPCQAMSH >A02p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18729882:18730897:-1 gene:A02p034490.1_BraROA transcript:A02p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASDINICETISSYGSIKPRVISAHMEDTKGKGIIYEDDDEPIMLEEQDDSHTIRKFRMSLIGKITGIPLHLWTVKNIGGRLGHFDTMELSAGRLLIDVQSTSEDEVTSKIKYELLFRHCNYCGLMTHEESYCSKKLEVTKSQLAKTDLFSRVQLPQYNSIPQKLLSDHYGRRDTIVMTRDIMIRALN >A01p020130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9885414:9890813:-1 gene:A01p020130.1_BraROA transcript:A01p020130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27370) TAIR;Acc:AT4G27370] MTIPPSPTMKATPEETMESLSQHDDACERLKDYKSPSLPSRRTSQMPSNRQSLPTSLRDTICPERETKEKDCRTEPISEPEWVNNVEFFITKKLGVWCRGPNGEWHLGKIQSASGDVACVVLSTTEVVEVSMKEILPANPDVLEGVDDLIQLSYLNEPSVLYNLRVRYSQDLIYSKAGPVLIAVNPFKNVQIYGNDIRSAYQKKALVAPHVYAVADAAFDEMMREEKNQSIIISGESGAGKTETAKYAMQYLEALGGGNFGVDNEILKTNCILEAFGNAKTSRNDNSSRFGKLMEIHFSAKGKICGAKLETFCLDQSRVVQLSNGERSYHIFYELCAGASPILKERLNLKEASEYNYLNQSNCLTIDRIDDAQKFHKLMEAFNIVQIPQEHQERAFALLAAVLWLGNVSFEVIDNENHVDVVADEAVTNVAMLMGCDSKELMVVLSTCKLQAGRDCIAKRLTLPQATDMRDSLAKIIYASLFNWLVEQINTSLEVGKLRTGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEVIFFSLKLKLYEYEGDGIDWTKVEFKDNQECLDLIEKKPIGLVSLLDEESNFPKATDTTFANKLKHHLKANSCFRGERRGHGFRVSHYAGEVLYDTNGFLEKNRDPLHVDLIQLLSSCNCQLLNLFSSKMRDKSLKPSLSESMNQSVITKFKSQLFKLMNKLEDTTPHFIRCIKPNSNHLPGVYEENHVLQQLRCCGVLEIVRISRSGYHTRMTHQDLAARYGFLLDTKLSQDPLSTSDSIMKQFNLPPEMYQVGYRKIYLRTGQIGLLEERREYVLQGVIGLQKHLRGYKSREYFHNMKKSALILQSYIRGENARRNYIAMQTPATVSSEVTKELDAVIHLQSVVRRWFVRKQLKNSVELKKQSHNEKKKTRRKSRRKVSEVKDLPLEQFQVQPWDLADLQSRVQKVETAIMQKEDENTALKEELQRFEERWIKHETRMKSMEETWQKHISSMQMSLEAACNIMTPDKTASHGNDSEDTISPGTPTKELKGGSLDDIKDIYVEVDQRRVVFDEDVKRHVEIDELEQVSIAIEKQHAEEELSRLKSRFEKWKKDYKARLRGTKARLRLNGHRNWCGKKSF >A08p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23893763:23898374:1 gene:A08p042690.1_BraROA transcript:A08p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSGTGSSPFGTQSLFGQTSNTSSNNPFAPAPATPFGTSSPFGAQTGSSIFGGTSTGVFGAPQASSPFGSTTTFGASSSPAFGSSTPAFGASPAPSPFGGSSGFGQGFSTPQSNPFGSTAQQSQPAFGNSTFGSSTPFGATSTPAFGASSTPSFGATSTPSFGSSSTPAFGATSTPAFGTSNQPSFGATNTPAFGASPTPAFGNTGNTFGNTGFGSGGAFGASSTPAFGASSTPAFGASSTPAFGAAGAPSFGASSTPAFGASSSPAFGASSTPTFGASNTSSFSFGSSPAFGQSTAAFGSTAFGSTPSPFGAQGAQASTPTFGSSGFGQSPFGGGQQQGGSRAVPYAPTVEADTASGTPAGKLESISAMPAYKDKSHEELRWEDYQRGDKGGPRPAGQSPGNTGFGISAAQPNPFAPSPAFGQTPANPTNPFSSSTSTNPFAPQTPSIASSGFGAATSTFGSSPFGVTSSSNLFGSTPAATTSVFGSSSAFGTTTSSPLFGSSSTPGFGSSPSIFGSASGQGATSAFGNTQSASLFSSNPSMPTGSAFGQTGSAFGQTGSAFGQFGQSSAPAFGQTNMFNKPSTGFGNMFSSSSTLTTSSSSPFGQTTPAGMTPFQSSQPGQASNGFGFNNFGQTPAANATGTAGGMGFFGQGNFGQTYGISDISPCKTSVSLLFLVSFCFAPLELQPAPPSSVVLQPVAVTNPFGTLPAMPQISINQSGNSASIQYGISSLPVVDKPVSVRVSSLLTSRHLLQRRVPFFTDDEETPSSTPKADALFIPRENPRALVIRPAQQWSSRGKSTVPKERPTAPVHENGKSPDIATDAANHDKNGKREIGSAEESTHPSANGNQKSNGTTSTDHAAEKDRPYRTLGGHRAGETVTDIEALMPKLRQSDYFTEPRIPELAAKERADPGYCSRVKDFVVGRHGYGSIKFMGETDVRGLDLESLVQFNNREVIVYLDESKKPAVGEGLNKPAEVTLLNIKCVDKKTGKQFTEGERVEKYKMMLKRKAEAQGAEFVSFDPVKGEWKFRVDHFSSYKLDDDEA >A05g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15170510:15171225:-1 gene:A05g505480.1_BraROA transcript:A05g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKISINVFTKSNLRKEIFTKSLAVKYRPNLNRTTKYRLSEGNGHVSKSATDKLEYGNQSADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEHPSRSRPSDHPARSLRSGRARAKARSLRSDRARAKARSLHSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPSDHTARSLHSDRALTPRPARSLRSDRARVATERRRLAV >A05p015250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6743286:6743519:1 gene:A05p015250.1_BraROA transcript:A05p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFRRTCIFVLLFALVFVFSKAPRTHAAGRQTISSGPSRRDIGFFHSYATHTIGSGEFEPEKRKIPTGSNPLHNKR >A09p051110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41062992:41063712:-1 gene:A09p051110.1_BraROA transcript:A09p051110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSTLDQDFLTTRWLHQNGTEVKHSLETQNPIGSTFITIGIQRSQCRPNVSCNQVLPSSLTLIVIKLRLEAY >A02g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16485100:16486451:1 gene:A02g505570.1_BraROA transcript:A02g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPELPRKIHTLGEEPPAGHSIAYHTCWTLHTALKQALHDDEYEELKESKLGELTSFWEMLGVHVEAGPSTQEIIAAFGRCEGWSRDDRKRLAYLAIFTGYIEGRKYSTPTRVSLARVVMELERFENYPWGRVAFKVLMDSVKDRDISGCYTINGFAQALQVWVYTALPELGANYGNPLPNNLSPPILAYKGRKGRRCFKEAILSQRLWKWTMSCWEVTGTWFNTKLAVVSPAKKKVVKEDSPRPRKKARKEAPA >A01p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26032067:26039272:1 gene:A01p046230.1_BraROA transcript:A01p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISAQNPDISGERQSGQDVRTQNVMACQAVSNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYIEEKLVTKVEKLGKVPLINCAKTSMSSKLISGDSDFFANLVVDAVLLVKMTNQRGEIKYPIKGINILKAHGQSARDSYLLNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVVVNDPRELEKIRQREADMTKERIEKLLKAGANVILTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVTTFADMEGEETFDPAHLGTAEEVVEERIADDDVVLIKGTKTSSAVSLILRGANDYMLDEMERALHDALCIVKRTLESNTVVAGGGAVESALSVYLEHLATTLGSREQLAIAEFADALLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHYSSMGLDLVNGTIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKEDGQGDERIQQRFPDTRDGLATNGLSISEQLGEQKSPETPKSPLPCTDFDAAYSHSYAHVRIHEEMIKGIFFFVYPLVWLWWMLAVVEQASFQSSLLKPVLNGDELRVDTVLSLKIGVYAVDASDIAVQDVEIEEEADVIVSEWMGYMLLSRDRWLKPGGLILPSHATLYMAPISHPDRYSHSIGFWRNVYGIDMMQLAKQCAFEEPSVESISGENVLTWPEVVFPCYMQSL >A03p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9141271:9144569:-1 gene:A03p021470.1_BraROA transcript:A03p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGLLTDWPWTPLGSFKYLVVVPLVIDSIYSYATMRDMDKLLIVAVMVGRIVHSQMWISYARYKTAKGTKRIVNKSIEFDQVDRERTWDDQVIFNTLIVYLTKVYVLGTSTVPFWRLDGVVQVALLHAGPVEFIYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVVHPFAEHIGYTLILGIPLVTTLLCGTVSAASIALYITYIDFMNNLGHCNFELIPRSFFSLFPPLKYLCYTPSFHSLHHTQFRTNYSLFMPMYDYIYGTNDKCSDSLYESSLEEEEEKPDAIHLTHLTSLDSIYHLRLGFASLSSHPLSSRCYLVLMRPFTLIISFKLTSFSSRTFVFERNRIGDLTLHSHLLPKFSSHYKSRQQKESINKMIEAAILEAEKKDVRGEELNGYGEMYVRKYPKLKIRIVDGSSLAAAVVVHSIPVDTREVLFRGRITKIGRAIVISLCQNGIKVMVLREEEHSMLAGFIGGHCKENLVLTTNYSPMIWLVGDGLSKEDQKKARKGTLFIPFSQFPPSKLRKDCFYHTTPAMIIPDSAQNIDSCENWLGRRVMSAWRVGGIVHALEGWEEHECGLEVPMANPPRVWEAALRNGFKPLVLPSLETK >A09p005480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2992134:2993646:-1 gene:A09p005480.1_BraROA transcript:A09p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLFHSVGLAQCSHPQKYIVFPRGCYRCIVVGSSETALSRRRQALEQVDSELSSGNERAALSLVKDLQGTPGGLRCFGAARQVPQRLYTLDELKLNGINAASLLSPTDATLGSIERNLQIAGVSGGIVAWRALDLSSQQLFYISLGLLFLWTLDLVSFNGGIGSLVLDTIGHTFSQRYHNRVVQHEAGHFLVAYLVGILPRGYTLSSLEALQKEGSLNIQAGSAFVDFEFLEEVNAGKVSATFIHSSEHHSALTKLSCNQMLNRFSCIALAGVATEYLLYGYAEGGLDDISKLDGLVKSLGFTQKKADSQVRWSVLNTILLLRRHEVARSKLAEAMSKGESVGSCVQIIEDSIDPSDI >A01p036370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16469297:16470319:1 gene:A01p036370.1_BraROA transcript:A01p036370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit TEN1 [Source:Projected from Arabidopsis thaliana (AT1G56260) UniProtKB/Swiss-Prot;Acc:Q6NME7] MANSQIESGAPITLQELYPSSPFFMEARSLRVTGLLKGYSVETAIGVIEDGEKSLKINTQHLRDVSFRVGSVYQFIGELHIEPNNEARTGRNVDGIDINLYRKTIELLRRFLEIEEDNRNMVE >A09p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5967193:5969180:-1 gene:A09p011770.1_BraROA transcript:A09p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-acetic acid-amido synthetase GH3.1 [Source:Projected from Arabidopsis thaliana (AT2G14960) UniProtKB/Swiss-Prot;Acc:O82333] MAVDSSLSSPLGPPACEKDAKALRFIEEMTRNADTVQENLLAEILRRNAETEYLRRFNLGGATDRDTFKSKLPIITYEDLQPEIQRIADGDRSPILSAHPISEFLTSSGTSAGERKLMPTIQEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLPARPVLTSYYKSDKFRSRPYDPYNVYTSPNEAILCPDSFQSMYSQMLCGLLDRLSVLRVGAVFASGLLRAIRFLQLHWSRLANDIESGSLDSEITDPSIRQCMSDILKPDQHLAEFIRQECQMENWDGIITRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMACTMYASSECYFGLNLNPMSKPSEVSYTIMPNMAYFEFIPLGGSKAVELVDVKIGKEYELVVTTYAGLCRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDESELQKAVENASKLLLKECGTRVAEYTSYADTSTIPGHYVLYWELLVRDGERQPSHDTLTRCCLEMEESLNSVYRQCRVADNSVGPLEIRVVRNGTFEELMDYAISRGASINQYKVPRCVNFTPIVELLDSRVVSAHFSPALPHWTPERRRR >A09p070520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54649411:54650616:-1 gene:A09p070520.1_BraROA transcript:A09p070520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFLLTVTLVVTFFAGDVDGQSPAASPTSSPSISPQKPISTAPAISPVSPSPISTAPAISTASPSPISTAPAISPASPSPISTAPAISPASPSPISTAPAISPSSPSPISTPTAAPAKAPAASSSIKAPKSSALAPAKTPAASPSSIEAPSSSVPMTSPPSHTPEASPTPEISPPALSPKADSTPTPSPETDSPPAPVVAPSAHAPAPAPSKHKKTKKTKKHKNAPAPAPAPELLSPPEPSAEAPGPKWCRKNNCDAKCGCGSCGNNGMGSSRAGLLINFWFIMLLCFNSSLDFFSKYVLPSCSHNLSAFDIQFGFLRVQINPFSFFVCINL >A08p029630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18315042:18317471:-1 gene:A08p029630.1_BraROA transcript:A08p029630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDDDDEVQEDNDVASDLESLKGKSHTVASSNIALATLGGGGGSTERINWKRKGVVTCALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLLALTRIWNNDGVTDDNRLSTTYDEVKVFPIPAALYLFKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAGFILLCCGCTTAQLNSNSDRVLQTSLPGWIMAIIMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVANKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGSTVVSVSVYLHSAGKLR >A09p071320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55081911:55083801:-1 gene:A09p071320.1_BraROA transcript:A09p071320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCHFETKSVTIYVAGENEEFQKAEYRCGTNSIIDGCYYVPSLLKVPGFSGRGGGGDLWTLRFFVCKQNIFSLSSSSSLFTVIMMATASNSVLFPSSDSLSHHHNSPRTHLHLSSSKSHSVSLNRTKQQSTSLALHCSNNGDNVSSPIELKFPAFPTVMDINQIREILPHRFPFLLVDRVIEYTPGVSAVAIKNVTINDNFFPGHFPDRPIMPGVLMIEAMAQVGGIVMLQPEAGGSQDNNFFFAGIDKVRFRKPVIAGDTLVMRMTLVKFQKRFGLAKMEGKAYVGGSLVCEGEFMMVSAGSS >A05g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19563423:19563681:1 gene:A05g506930.1_BraROA transcript:A05g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDHPVDGMLEEEIDGEDLLELDLMEMEGNQSQPRPIEVKGRSSNKKPKGTKKLGVKRDLQASVLRR >A01g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21072142:21072875:-1 gene:A01g507230.1_BraROA transcript:A01g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQDVKPKNLFPRQPSFSSSSSSLPMKEDVLKMTQTTTTRYVLFRHIKLISKTKIFLKYFCLIYGVPQPQTAPLTIFYNGEVIVFNDFSAEKAKEVMDLASKGTANTFTGFTSTVNLPKSQTEVRTNIAPTSNQVPHVMKTATQELIMSSSAAMACELPIARRASLHHFLAKRKDRVTSKAPYQLSDPAKASSKTQTGDNTISWLGLAAQI >A05p054940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32468864:32471129:1 gene:A05p054940.1_BraROA transcript:A05p054940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSMRSLTISSSNEFFDSMKIKVAPPLISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSIDCLGRRLGPRLLGRVDDSEQRLVRDFYKILNEVSTQEIPDGLKLPNSFRQLVSDMKNNHYDAKTFSLVLRAMIEKFERDMRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPERLPVLSDNAYHHFVLATDNILAASVVVSSAVQSSSKPEKIVFHVITDKKTYAGMHSWFALNSVAPAIVEVKSVHQFDWLTRENVPVLEAVETHHGIRNYYHGNHIAGANLSETTPRKFASKLQSRSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWDIDLHGKVNGAVETCRGEDEWVMSKRLRNYFNFSHPLIAKHLDPEECAWAYGMNIFDLRTWRKTDIRETYHSWLKANLKSNLTMWKLGTLPPALIAFKGHVQAIDSSWHMLGLGYQSNTNIENVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYLNYSNDFIRSCHILE >A10p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21519928:21520244:-1 gene:A10p038570.1_BraROA transcript:A10p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKFIVIAFVFTLFFAMPIVRCTDIVSDFGIKKVYHICYGPCDDKGVCEKYCQTKSGLIRGDCVGGTCCCEH >A09g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23406004:23411002:1 gene:A09g508270.1_BraROA transcript:A09g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKIQASCKKAYMDELASKVPVGEWINIDNFSLTGVGRTYRTTNNPLKMNFIHKTDISESTLRIENNFLDLVDFETILSGKPDENILIDVIGQVLDLGDLDTVNCAGGKQRKKLEFTLRDIKYELYLEGEVQISNAYDSSQIFINPDIEEAEAFRQIESGESQAITLSETGHNKLEKKFVSHKWLQYEQKNLGELFESTEPEENAEFPDAITSLIGQTFMFGVYIEKDNATGGGVCYKVGKVWKDLSMLNVLTREESVSAHTQGTINSFGSEAPLLLHDSECNESASTPSSTQEWRKHRKRMRSSNDSSTRSNKSSNLRQSGENTNTPQTNEKRSGLLPLKRVFSTVLGDVTNKVRSTPTELQSGVLNHIESVQRQTESSRGLQSQTFLLINDVFIALADYIMAITWFWFDFDSLTSLSYGGHSSRNDSITSNKRRCADIRPTNLFKAFSIAENTEKHTATTSSPRGQQGFLDETNIEDDSENLCPFEDQRFQIYDISSEEEDTNNEDHSDSETTTLPQEEISVQTVQDDHSAQVLKMATIFQNIFKDKPLKKGWTKSKRPGKAFKKNCVLAWS >A03g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9291846:9293207:1 gene:A03g503070.1_BraROA transcript:A03g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYKRVKLLLIIFLGIYSVATTSASPWLPMEGYNPGSYCLSWRLAIETNNVRAWRTVPIQCMRYVEVYMLAGQYDRDVQLIVEQIRVYLREIVLPGDGMDAWIFDVDDTCFSNVFYYRLKRYGCDPYDPTGFRTWAMKGESPAIQPVLELFNELIETGFKVILVTGRDEETLGQATQENLHNQGFTGYERLIMRTPENKKHSATIYKTTIRKQVMEEGYRIWGNVGDQWSDLQGEYSGNRTFKLPNPMYFVP >A01g501600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5881739:5882326:1 gene:A01g501600.1_BraROA transcript:A01g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 4 [Source:Projected from Arabidopsis thaliana (AT3G15210) UniProtKB/Swiss-Prot;Acc:O80340] MVKMGLKPEPVHSNAMEIRYRGVRKRPWGRYATEVRGPGKKTRVWLGTFDTTEAAARAYDKAAREFRGAKAKTNFPNFIELSENVSVGGFERSPSQTSTLYCASPPAATPATSDIVLPQLELRLGGRGGVCYQILMARPVYYLDLMGIGNGVRAVQPPMSLACRSPVMHVATKVACGGQSDSDSSSAVEFDWWIE >A10p025860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16529303:16531717:-1 gene:A10p025860.1_BraROA transcript:A10p025860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) UniProtKB/Swiss-Prot;Acc:F4K5K4] MSTSSSSSSFPRTIVSYTLSTSLFIVFFLCSVFLFTRRALEPSLSPYHADIPLPDPRPPIEPLPSKDLNGKPHDADADLTVDTNPNQDEADPRRDGDVAVNTQPKATEDSDGAELAAKPDLEDEGASRKEESVEENNAESAEEQAVVEKLRGCDLYKGSWVKDGDEYPLYQPGSCPYVDDAFDCQRNGRRDSDYLNWRWKPDGCDLPRFNATDFLEKLRGKSLMLVGDSMNRNQFESMLCVLREGLADKSRMYEVHGHNITKGRGYFVFKFEDYNCTVEFVRSHFLVREGVRANAQGNTNPTLSIDRIDKSNGRWKRANILVFNTGHWWVHGKTARGKNYYKEGDFIYPKFDATEAYRRALKTWAKWIDQNVNPKNQLVFYRGYSSAHFRGGEWDSGGSCNGEKEPVKKGSILDSYPLKMKIVEEAIKEMQVPVILLNVTKLTNFRKDGHPSIYGKINLDGKKVSSRKQDCSHWCLPGVPDVWNHLIYVSLLIQAHS >A09p080140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58798463:58799333:1 gene:A09p080140.1_BraROA transcript:A09p080140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKPNQEKKPKSDGVNKAGGGNTRSSGSKPKKKNTNVQAIVKKEPEVHEISESSSSDSVEETRRDEPMKSNGGGVEDAKMIRFPMNRIRRIMRSDNSAPQIMQDAVFLVNKATELFIERFSGEAYESSVQDKKKFIHYKHLCKLSIDPSVVSNSERYEFLADCVPEKVKAEVALEEWEKSMTDAG >A09p071080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54973482:54975106:1 gene:A09p071080.1_BraROA transcript:A09p071080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDNNLACGETSDSWITDETNCDGGFTNDELFSKDDNFNGNRSNPMMCSPLSPSSLREERIREMMEREVEFLPGADYLKRLRSGDWELRVRNQALDWILKVCAHYNFGTLCICLSMNYLDRFLTSYELPRDKTWAVQLLAVSCLSLAAKMEESDVPQTVELQMGDPKFVFEAKTVKRMELLVLNTLNWRLQALTPFSFIDHFIHKTNGHVSENSIYRSSQFILNTTKAIEFLDFRPSEIAAAAAASVSISGEVNCIDDEKTMSNLTYVKQERVKVCLNLMRNLTGEDGTGATLSPQQPRLAARVVPVSPIGVLEATCLSYRSDERTVESCTNSSQSSPDTNNNNNSNKRRRKQ >A09p021700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11729077:11729556:1 gene:A09p021700.1_BraROA transcript:A09p021700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSESVLMGRLFRLILEKKPQKDANVEKKPQLPLVKEEEYKNIIGFHGENLGPKHHWRRTQLPGQKLLEEHPQHFDRQDAYDI >A03p030750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12842200:12844651:1 gene:A03p030750.1_BraROA transcript:A03p030750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 1 [Source:Projected from Arabidopsis thaliana (AT4G10840) UniProtKB/Swiss-Prot;Acc:O81629] MPTMPGLVSVKTPANAPPLRVSVPDTQQQPPRTPMKKTPSSTPSRSKPSPGRSAKKDSPGVSSSAAAVPDVDDPSLDNPDLGPFLLKLARDAIASGEGPNKALDYAIRATKSFERCCAAAAPPVPGGSDGGPVLDLAMSLHVLAAIYCSLGRFDEAVPPLERAIKVPDPARGPDHSLAAFSGHMQLGDTLSMLGQIDRSIACYEEGLKIQIQTLGETDPRVGETCRYLAEAYVQAMQFNKAEELCKKTLEIHRAHSEPASLEEAADRRLMAIICEAKGDYENALEHLVLASMAMIASGQESEVASIDVSIGNIYMSLCRFDEAVFSYQKALTVFKSSKGETHPTVASVFVRLAELYHRTGKLRESKSYCENALRIYNKPVPGTTVEEIAGGLTEISAIYESVDEPEEALKLLQKSMKLLEDKPGQQSAIAGLEARMGVMYYTLGRYEDARNAFESAVTKLRAAGEKSAFFGVVLNQMGLACVQLFKIDEAGELFEEARVILEQERGPCDQDTLGVYSNLAATYDAMGRIEDAIEILEQVLKLREEKLGTANPDFEDEKKRLAELLKEAGRSRNYKAKSLQNLIDPNARPPKKEASGKKWPGLGFKF >A08p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24835705:24838896:1 gene:A08p045200.1_BraROA transcript:A08p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCLFQRTSKTHSSSSSSTISSRMINCVLLSVFASLVYLLVSLSRFQSKDTIDAYFLSSQDQSQSPTEIDHIVFGIGSSIKSWPARREYVRLWWDAQRMRGCVFVDRPLTSLVNDTDSHLLPPICVSEDTSRFRYTWRKGDRNAIRIARCVLETVRMFNTSSEEVRWYVFGDDDTIFIHENLAKTLSKYDHTSWYYIGASSEIYHQNSLFGHDMAFGGGGIAISSSLADVLAKNFDSCIERYPHLYGGDSRVHACMLELGVGLSHEPGFHQFDVRGNALGILTSHSTRPLVSLHHMAHIDPIFPNSTTFSAVRHLFSAVELDPLRIFQLSVCYDRWHSWTISVSWGYAVQIETRHLFLRDVLRTQKTFRPWKNSGGLASVYTFKTRDVHPDPCQRPVTFFMEHVSSSPGDGTIKSVYKQAYQNCTYDPISSPRKIEEVRVFSARLDPDIRQLKAPRRQCCDILPTSSNGGKVLDIGIRECKEDELIYIHP >A06p032760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17541834:17547654:-1 gene:A06p032760.1_BraROA transcript:A06p032760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSENLDQTVEDTEATCLMLISRVGECGRERGETRVFRCKTCTKEFSSFQALGGHRASHKKPVNNSSDEQSSSLSSGSVTKKTKKPLSHTCPICGLEFPMGQALGGHMRKHRNEKERGALVTRSFLPEAKFTTLKKSSSGKRVACFGMGLDPMERVINLKLEIFFFLELMKIFRENSDGYLSGRRNFLGIFLFNRANKPPNILQKLKLKILREFRRKISCIPRDILTTSSSEYSEDFPTEFRENEFPRIENTQKP >A07g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2061532:2062233:1 gene:A07g501060.1_BraROA transcript:A07g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADQPLNAKMVAEEVKVGVRVETQDGSVQGFVTRKELSQKIRELMEGDGKLVRENVKEYSKMAKEALVQGTGSSWKNLDLLLETLCKSREPTVY >A10p029370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18009965:18016241:-1 gene:A10p029370.1_BraROA transcript:A10p029370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSASAAEHRPSAATLGGGQRDAESLFRAKPMSEIRNVESATRKNIEDKKEELRQLVGTRYRDLIDSADSIVHMKSLCESISANISSIHGNIRSLSSSSSVAEAPTIANPNSVRVNVYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYMRAQHVQQRLVKLEGCGGGEVDQSKLLEKFPLLEHQWQIVESFKAQISQRSHERLLDQGLGLGAYVDALTAVAVVDELDPKGVLGLFLDSRRTWVLQKLNACSGDDAGNVVSVFCDVLSVIEVTVGQVGELFLQALTDMPLFYKTILSTPPASQLFGGIPNPEEEVALWKSFRDNLESVMVIFDKADISKACLSWLRECGGQIVGKVNGKHLIEAIVTGGELGTAEKLIRETMDSKDVLSGSLDWLKGVFGSEVELPWNRIREIVLEDDLNLWDEIFEKAFVERMKSIIDSRFDDLAKAVNVAESVRAFSEITGEKINFQAYLNRPSTGGGVWFIEPNAKKLGLIAGNKTSPEESDFQSCLTAYFGPEVSQMRDAVDQRCQNVLEDLLSFFESEKAGQRLKDLAPYVQNRCYDSVSALLSDVDKELEFLFSAIKKENNDSEAISPAIVIEKSLFMGRLLFALLNHSEHVPLILGSPRLWCRETMTAVSDKLSSLLRQPRYGSNTALTADSPGKQVHTDLRKQSSLAVAALLKAEEKTSPKFEELNKTMRDLCIKAHTLWIQWLSDELSAILLRDLRSDDGLSATTPLRGWEETIVKEEQGESPSELKISLPSLPSLYIISFLCRASEEIHRIGGHVLDKSILQKFASSLLEKIIIIYEDFVSPREANEPQISEKGVLQILLDLRFASDVLSGGDTSTNVEIPKSTVNRSAFRRKQGQQQRKSVSRGRIDGVISQLSQKLDPIDWLTYEPYLWENEKQSYLRHAVLFGFFVQLNRMYTDTAQKLPTNSESNIMPCSTVPRFKYLPISAPALSSRSTNKVSIPVTSNEGSSRNSWNSFTNGEHSQTSDLDDDSSFGVASPFLKSFMQAGSRFGSILSDGQVGIFKDRSAAAMSTFGDIIPAQAAGLLSSFTTTRGFGTSSFISERLQLLLICKNLGDIEEERDIVVFPCHVFFLPVFRLVFLHYNLLLHGLDSDIDFGKRSIQSRASSARYISFLFLTKAKRLNRISLCRYWSNRACSTGCTILSHSIPSGSPRAQPIKMTRSSLSFSNTLVWSQVSTVFSIAYKPSPIKPKKVTTMTETETIAAAINQIDEKKQKLKKAFDDLQSHRSLLSPSFPLSWSDIDSHFSSLQSSLSNRFRLLQSQPPSPNNASPRIETADDPEPPLVVIRPELRALCEKMDSTGLSKFLADHWDDDAMPNQELSAAFRYSPDPATMVLNAIDGSSKGKSVDVRRVFVLLMEALIEINDKNITLDTKEKAKKVADNWNTKINNKPFEALLFLHLLAAFELGSEFNSEELSRYVVMIAKYKQATLLCNKVCLDKERVGEVITKLLSIGKPILAVRFMYECGRTDGFEPVSVLKGYVKEAREAAERVCKEDKYSLKSQNEATDKEVSALRAVIKIIKDRNLEAEFSEERVEERVEELERQKAQRKRNVEPPQPKPKGRKRPRDRTQVYRQEAGGVMIEPSHHHGLQLNPFGVVNPARGGILGPYMSPVAAGLYGAAAIPQPVCYGQQAGFVMPPFHPSYYSQ >A08p005780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3342600:3343285:1 gene:A08p005780.1_BraROA transcript:A08p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQPSLPQFPSLSELESRMQVLRVSALEENQTGETLSQRADWFYQRRPLILSLCEDLYDSYTTLLNRYNHAKPQNLPKPVSHDNDSDTSSEVTSILSFQHMQITTCDKQKIEELVSQLATANTEKDMAQDELRRGEQKFREASKTIELLKKLVTLLDMEKEVAVEETTNLGYKLTSLLEENRDLATEALFMKKKRQ >A07g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5319562:5320207:1 gene:A07g502570.1_BraROA transcript:A07g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A07p052280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27610926:27613258:-1 gene:A07p052280.1_BraROA transcript:A07p052280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSSSLIWSPWQATLHHYNNRFHHVKGYPFPCHAFHPICNSFNFKRRFSSSIFNDDHVISDSSSSSNKQGRFSMSGCGCSWIQDNSMVHPSATKKCSALPTKTVDVSSVSDLFEFICSGPLVDKIGITPENVGQSIDKWLLYGSQLCRLFQLNELKLTIPQKARLYHYYIPVFVWCEDQIALHNSKFKDGDDVPPLVIGFSAPQGCGKTTLVFALDYLFKTTKKKSATISIDDFYLTAQGQAKLREDNPGNALLEYRGNAGSHDLPFSVETIEALTQLTKDGMKMKVPRYDKSAYSGRGDRAAAETWPEVEGPLKVILFEGWMLGFKPLPSEVVKSVDPQLETVNKNLEAYYDAWDKYINAWVIIKIKDPSYVYRWRLQAEIAMRQAGKAGMSDDEVNDFVSRYLPAYKAYLPTLYAEGPSGSDPDRVLAIDIDEERNPILAT >A10p031580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18843476:18846117:1 gene:A10p031580.1_BraROA transcript:A10p031580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSMDSSSTLAITREELNAFHLYDRALFSRLVITLRRDIRQSYQVMSFLLYLETIAPFLSTLIANFASLPDAVVNMVADEVVTCLRCLSFDDFPAFVTHLRRSILSPEIPYLRGVTRGYLTLIFVHNNRENILFEMKKHLTRVCVRAFEDICVRAEMYNREIEERGNAMWEMSQLGFRSAVQNGGSSTSRFSRGRANRTIFLTFSRGYPISKAEVYAYFTRRFGGIVEAIRMGGAGVDEQTLYATMELSSASRVPDILIEGVYMTKYIINGKHVWARKFIPSHRSSSKHRSRHSHGNFF >A03p034090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14419545:14420808:-1 gene:A03p034090.1_BraROA transcript:A03p034090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAMLVRRAASSRNAIAFLRRCSSSSSSSSLVVGRDYSHKATTLLRAPDLDSDLKLPSFLRDSRRGFAKGKKSRDDSGGLADVSPPVDIGPSVKATATSQMEAAIDALSRDLTKLRTGRASPGMLDHIVVETGGLKMPLSHLALVSVLDPKTLSINPYDPDTVKELEKAIVSSPLGLNPKLDGQRLIASIPPLTKEHVQAMCKIVTKSSEVVKQSIRRARQKALDTVKKGASGLPKDEVKRLEKEVEELTKKFVKSAEDMCKSKENEITTQA >A05p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7294396:7295073:-1 gene:A05p016400.1_BraROA transcript:A05p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT2G31310) UniProtKB/Swiss-Prot;Acc:Q9SJW5] MGGLGSPCGGCKFLRRKCVEGCVFAPYFCYEEGSANFGAIHKIFGASNFSKLISNLPVHDRCEAVRTISYEAQSRLHDPIYGCVSQIFSLQQQVVSLQAQVVLLREQASRKFPQEDCMEQGKVLAQDMPQDLHSWFNHVVSDSNLNQMSDVASTSMDRNESFCSSNESLYYPEAMFPWSV >A03p007920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3227120:3230921:1 gene:A03p007920.1_BraROA transcript:A03p007920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSASAAVSLGSSGQRDAESLLRSKPMSEIRSVESATRKNIEDKKEELRQLVGTRYRDLIDSADSIVHMKSLCESISANISSIHGNIRSLSSSSEAKTPALAITDPARVNVYGIACRVKYLVDTPENIWGCLDESMFLEAAGRYMRAQHVQQRLVKLEEVDQSKLLANFPLLEHQWQIVEGFKAQISQRSHERLLDPGLGLGDYVDALTAVAVVDELDPKGVLELFLDSRKTWVLQKLNACSGDDAGSVVSVFCDVLSVVQVTVGQVGELFLQALTDMPLFYKTILSTPPASQLFGGIPNPEEEVALWKLFRDNLESVMVIFDKVDISKACLAWLRDCGGKIVGKVSGKRLIEAIVTGAELGSAEKLIRETMDSKEVLSGSLDWLKSVFGSEIELPWNRIRELVLDDDLNLWDEIFEEAFVERMKCIIDSRFEELAKAVNVAESVRAFSEITDEKINFQAYLNRPSTGGGVWFIEPNARKPGFIAGNKTSPEESDFQSCLTAYFGPEVSQIRDAVDHRCQNVLEDLLSFFDSEKAGPRLKDLAPYVQNKCYDSVSAILADVDKELESLCAAMKKGNNDSEAIPPAIIIEKSLFMGRLLFALLNHSKHVPLILGSPRLWCRETMNAVSDKLSSLLRQPRFGSNTPGAADSPGKQLHADLRKQSSLAVAALLKADEKTSPKFEELNKTMRDLCIKAHTLWIQWLSEELSAIFLRDLTIDDGLSATTPLRGWEETIVKEEQGESELKISLPSLPSLYIISFLCRASEEIHRIGGHVLDKSILQKFASSLLEKIIIIYEDFLSAREANEPQISEKGVLQILLDLRFASDILSGGDTSTNVEIQPKITMNRSAFRRKQGQQQTKSVNRGRIDGVISQLTQKLDPIDWLTYEPYLWENEKQSYLRHAVLFGFFVQLNRMYTDTSQKLPSNSESNIMPCSTVPRFKYLPISAPALSSRSTNKVSTPVTSNESSSRDSWNAFTNGELSQTSDLEDDSSFGVASPFLKSFMQAGSRFGSILSDGQVGIFKDRSAAAMSTFGDIIPSQAAGLLSSFTTTRYE >A02p004640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2021682:2022097:1 gene:A02p004640.1_BraROA transcript:A02p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRSLSKPAFSMFRSATNKPSLRPKSVSSFLGVPPSPGLARPIAQLGSLQSLLPLYSAVASARLTSCLGIDSMNSRSLSQGMICGSNPGV >A05p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25954274:25956559:1 gene:A05p042930.1_BraROA transcript:A05p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT3G16380) UniProtKB/Swiss-Prot;Acc:O04319] MAAIVKTEMQAVGNIQSSSLASLYVGDLSPDVTEADLTAKFSLTVPVVSAHLCRDSVTAKSLRYAYVNFDSAITASNAMACLNHTDLKGKTMRIMWSQKDVAYRRRSGLGNLFVKNLDSSITSSCLERMFSPFGVILSCKVAEENGQSKGFGFVQFATEQSAVAARLASHGSMVDGKKLFVAKFINKDERAAMSGNQEFTNVYVKNLLESVTEDFLHTMFSQCGTVSSVVVMRDGMGRSRGFGFVNFCHPENAKKAVESLNGKPHGTKKLFVGRALRKAERMEMLKQKHKDNFVAKFNVGWFNLYVKNLSEAINETRLREIFGSYGKIVSAKVMRDENGKSKGFGFVAFSTLDESKHAKRELHGFIVDGQSLVVRVAERKEDRFKRMQQYHPVQSRHYMQAAPVPSAAQPVPPSMEYKQYYGVQPPRNYTQALPVPSPAQPVPPPSMSSSPYGYLQPFHIGAYYYPMATQVPQMSGHQNMTTYVPAGQAHLKEKRSVQLVYKRPGYTTFVKSGAKQKLVFKGQGDKTLEAGTCSTKGKTSAEKRKEVSSHLMAMLTPNRNAAENLATLEVA >A06g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2125062:2125936:1 gene:A06g500510.1_BraROA transcript:A06g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKKKRRPLSGASGSNARRNFLSNFQASCSPCVEALHLPAASSELVSSPHPVPDHQDGGGSVRRRQGGTFLSRCGSVDSQRSSMSEVLLYGMAVLLTVSLWLGLRFRFRESEKVRGVSDLGGRVNFRRITALGLRSRTLILWFRRFGDRGRLCGWWSEEKETKSFNTLERREFQHVEKEMKGTVVKE >A09g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12094294:12095151:-1 gene:A09g503840.1_BraROA transcript:A09g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase LIP-4 [Source:Projected from Arabidopsis thaliana (AT1G56670) UniProtKB/Swiss-Prot;Acc:Q9FXB6] MILTGVSMVGFLDPSKGMFISESGFRNALYMIDIGQNDIARSFSIGNSYSQTVKLIPQFISEIKTSIKRLYDEGGRRFWIHNTGPLGCLPQKLSMAQSKDLDQHGCLASYNAAAKLFNQMLDHMCERLRIELRDATIIYIDIYAIKYSLIANSKAYGFERPLMACCGYGGSPYNYNAKITCAHRGANVCDKGSRFVSWDGIHYTETANAIVAMKVLSMQYSKPKAPFDFFCRR >A02p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8731933:8732480:1 gene:A02p018930.1_BraROA transcript:A02p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGFGEDPWFDLWDYIASESEFDKAVYIFQCLTMRFNIGLESFGGSCLGGPWRLRVGFVREIAVKMIDSVKVIVERRMEVGLVRRAFRDLERIVEEQWDWYEAREYRLVKGLLRRLCEIRGMKMESKMVLWRISGTLERNVNEDLRVLSGLDWLNQP >A09p009690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4992712:4994713:1 gene:A09p009690.1_BraROA transcript:A09p009690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALKVADQEGNVGKKAEPTTREDDDHRTLSDIDQWLYSFSAEDDHLHLDNSLTPPSSSLSISREKEMSAIVSALTHVVAGNVPTRQYGGEGTSNSSSSSGQKRRRELEEGGGGGKDVKAANTLTVDQYFAGGSSSSRVGEASSNMSGSVPTYEYTTTPNANTETSLLSGDGPQRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDSAESAARAYDEAALRFRGNKAKLNFPENVKLVRPASTTPTLSVPQTAVQRPTQLRNSGSTSTILPVRHASDQNVHSQLLMQSYNFSYLELAHHQQQFQHQQQSLYDQVSFPLRFGHTGGSTMQSTSTSLSRSMFSPAAVQPKPESASETGHLFDLQSLGKASNNNNNYNNSPSS >A03p048210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22026408:22029378:1 gene:A03p048210.1_BraROA transcript:A03p048210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLLSFGVQKLWELLARESDRFKEVNEQLTVLKSDVNLLRSFLTDVDAKKHASSVVRNCVEEIKEIVFDAEDVIETFILKKDLGRTSGIRKRMRRFAFTIADRRELVSQMEGISKRISKVIRDMQNFGVQQMIVNGSGYSDTIQERQREMRHTFPSDNESDLVGLEEKVKKLVGYLVEEESIQVVSICGMGGIGKTTLARQVFSHEMVKKHFDGVVWVCVSQQFTRKYVWQTIFQRFSSNHDEHRGSDMTEDELQDKLFRLLETSKSLIVLDDMWREDDWDNIKHVFPPTKGWKVLFTSRNENVALRADPECVTFKLKCLTPKESWTLFRRIAFPRKDTSEFKVDVDMLEMGKKMIKHCGGLPLAVKVLGGLLAAQPTLSEWKRVYENIGSHLAGRTSFNDGYCNSVHSVLSLSFEELPTFLKHYFLYLVHFPRDYQISVENLSYYWAAEGIPRPSYSEGATIEEVAEGYIADLVKRNMVISEKNASTSKFETCHLHDMMREVCLLKSEEENFLQIVHGSSSSTACSKSHRKSRKLAVHRADETFSMEKEVYSPNLRSLLFIWGSDWRASGLFFDRLKMMRVLDLSRAHFEGGKIPSSIGKLIHLRYLSLYKAHVSRLPSSMRNLKQLVYLNLCLYARYPVYVPNIFKGMQELRYLSLPSGRMHDKTKLELGNLINLETLKFFSTKHSSVTDLHCMTRLRNLLIIFNEEGCTMETLSSSLSKLRHLESLSIDYNHFKVFAPMDDEKGFVLGCIHLKKLELCVYMPGLPDEKHLPSHLTTISLTGCRLKEDPMLILEKLSHLKEVDLGKRSFCGKRMVCSRGGFPQLQMLLFLGLHEWEEWIVEEGSMPLLHTLDVSYCAKLKEVPNGIQFLTSLKDLCMGEEWKKRLSEGGEDYYKVQHVISVRFGGKA >A08g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6189812:6190084:-1 gene:A08g503370.1_BraROA transcript:A08g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGSIDHRMQGFQTSIWSCRISDQDWTGFHESKLNGGCHQEFFVKASLEDCRLQVPFRTLLLESL >A09p072090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55424497:55425322:-1 gene:A09p072090.1_BraROA transcript:A09p072090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRIASQFQGKRNTAGQRVEPMEPQLGGASSAYLRLRRGKPQILRRRKAPRGLAPPIVHWEAKIIQIELDLHKLWIWM >A07g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9659570:9665909:-1 gene:A07g504700.1_BraROA transcript:A07g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNRLRLEEEVSTTAVKEELSTAVVTEELSTAAVAEVLTTMAVTENSDDGEVDVVTTGVSSVTPEVGPPGFPIMFPELLDQERRSAMVYVSHADETERNARIQRVRHAIDDSAKTPPVILTKFSHDLDKGKGHVFSYPDISSRLQWPATKKLQSTSSAPLVSLESEGEAESSSASLPVVLPPLDVTTGFQLGTSSMDPTTGNIKGGKKARRRPPSWKRKTQGRVAGTASNSAQKGASYKENGWWERLVLRMQFDEAGMEALVIIRLSWIDYQVSDLFIPNTDLWDKEKVRDTFTPEDAERVLKIKPLLSRPDLDVWGFTKHMGDPIQTPDQPPPTPHQWESPPQDFLKCNIGSAWDHRSGLSGAGWLVRDHQGIAINHSRRAYVGSMSKREADLKSLHWAVESMVNMRLNNVILEASSIELRESLLEPHRFPELQYLIANTLLLLSRLVSWSLLHVQESRNRVSNAIAVSVTADLRTQSYVATGGPSWLSHTILSEAQAM >A03g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11094493:11095694:1 gene:A03g503390.1_BraROA transcript:A03g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGIPCHQLGAEERDTCPLKLNAEFHLFWCPFRLGFSGSTRSSSRAKLNLKFPWSCFISFLTIRRAISYRFILLNPPPRSTCSFIFFLTIPTLYRFILLNPPSTIYLECDLHARPWNLDYKKTGRVRHSRRRKAVVMEERRIKAMRDQPCPADTAEGAEIVVLYQLKFSCLVELLWM >A05p006010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2381664:2382101:-1 gene:A05p006010.1_BraROA transcript:A05p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESVIRFSQLIGESLIIASVVVFSLRLFLRLACFLASRPWRRYRTFTIRRRRWGKRTAEEDDSSPYCSICLQDAAEGEKMRRLTACSHCFHAGCIDPWLEKRSTCPLCRAQVPPVPTGNPLLALIVPPGVIELFTKGTFASDA >A01p053130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30268542:30269532:1 gene:A01p053130.1_BraROA transcript:A01p053130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELVARTGRLQQRYKDGSRLVAGCIPFRYINSDENDSNGSGKVVQVLMISSSSGPGLLFPKGGWENDETVKEAAVREAVEEAGVRGILMDFLGDYEFKSKTHQDECSPEGLCKAAMYALYVKEELETWPEQKTRTRTWLTIGEAVENCRHAWMKDALVDGFCKWHKEKIGKGDED >A04g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12780568:12780949:1 gene:A04g506140.1_BraROA transcript:A04g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVESALELFEKFLSFLLAYNTTFLEDSLERNLCCNGLSDGHHYEEVSLGHLRRFGFRELQVVTNNFTSKNQLGKRRLWKCIQRNTWRQYGGCGEKS >A06p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1143654:1147058:1 gene:A06p004400.1_BraROA transcript:A06p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 8 [Source:Projected from Arabidopsis thaliana (AT1G49760) UniProtKB/Swiss-Prot;Acc:Q9FXA2] MAQIQNANGGVAVSGAGAAAAVVGAGQQGTTSLYVGDLDQTVTDSQLFEAFSQAGQVVSVRVCRDMTTRRSLGYGYVNYATPQDATRALNELNFMALNGRAIRVMYSVRDPSVRKSGLGNIFIKNLDKSIDHKALHETFSAFGAILSCKVAVDPSGQSKGYGFVQYDTEEAAQRAIEQLNGMLLNDKQVYVGPFVHKQQRDPSGEKVKFNNVYVKNLSESMSDEELKKVFGEFGVTTSCVIMRDGEGKSKGFGFVNFESSEDAAKAVEALNGKTFDDKEWFVGRAQKKSERENELKQKYEQSLKEAADKSQGSNLYVKNLDESVTDEKLREHFASFGTITSCKIAEMNGKMIVSKPLYVALAQRKEDRKARLQAQFSQMRPVPAVGPRMPMYPPGGPPMGQQLFYGQGPPGMIPPQPGYGYQQQLVPGMRPGGSPMPNFFMPMMQQGQQQQQQQRPGGGGRRGGALPQQPSPMMQPQQMHPRGRMYRYPQRDVNPMPGLTPNMLSVPYDVSGGGAHLRDSPAASQPVPIGALATSLANAAPEHQRTMLGENLYPLVEQLEPESAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMDVLRSVAQQQQAGGAADQLASLSLGDNIVP >A09p058360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49175218:49177985:1 gene:A09p058360.1_BraROA transcript:A09p058360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVILEGLYTVFGISPPPPLAQFLNRGLHTLSLPETAKPEVATICKIIAWMNFVLLPRSSDMLNFVQIAFKVLYIVLCFGDDSSRATESMLLKHSEFTTGTRKNEVDVSMYQDCTLVEPKWCLNVSVRVWVLQRNHTRSAMVMGCCLRMNHTCIVVAQNNIVLEWFMYLSVCKLSELLR >A05g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10852275:10852738:-1 gene:A05g503840.1_BraROA transcript:A05g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRWDPGIGDEIRIGAEGQQGKNQELSEGRFSSNLTRFDWVSGIGNFGIFWPVWVIKWSTISIGMVEMITTVDSQAAILTKEGMGLRYCSYGQYKEVTTEIYGGLYRTY >A02p042810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26858190:26859749:1 gene:A02p042810.1_BraROA transcript:A02p042810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQQDKVEANVFGEMTALGAKERKLYQILYSKKKTVSKLKKKKVLAGESLGSQFPVYTIPPTMFFGFAIGPGAQFDQNQDLQKIWITFAQVLESGKGGAILALLKVSPVSAHIVVFGPGNTVVLACGTSPFPTEIDMTIEDYHYRNYGFEMAK >A07p032000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17631923:17635442:-1 gene:A07p032000.1_BraROA transcript:A07p032000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLNSPLPNGFFNFSSLSSNSNKRLVTKRTQHRFNSPISKFHYHRASILRVSARFGEPSRRRNSLRKKIIGDENFRIPSDPGTKTRNQNSNFDPSDDVLVELSGKDKILPDSNLLNELEDWVTRYKKEAEYWGIGSNPIFTVYQDSNGNVERVEVDEDEVLRRRSSLEDVESVRDKVMYAKELAEQMENGESVIHKDSSLVKFVSSSSEKEEVEFVSSIQNAILRLDLGPKLPAIGRAVLCGYIGLWLLKTVFVYRKSNEVDECSELEKEMMRRKMKAWKEKEVIERGTVEVLQEKPLVSFEKPRFDREELMSSISKVKGSEKKLEVLNSSQVESGESMEFEDKIHEIKAMARRAREIEAGIELNEKEKRDVNKETGEDDEVISMREVDDDDDDDKDESLGIWTESDQENTELSGLAIPVVNGAIVDSGFPNHEMATSDAEKVSNEVPLTPTEGIIHQSSDVSKDKLSMMNNSTGRKSRVIRSVKEAKEFLSTRSGEEEFTQKMAQDSDKISPKQSDEKRKPARRRKLVDKNAGNGTLHSALESTSSEPLGKDDYKKVSEPEDTVDAPGKQGSFANKIGERETSSSGGTEHIEKEQPSLKENWMEKNYHELEPIAEKMRAGFRDNYMAAREKETQEPGTIAEIAEIYRNEDKDELEWMKDEKLRDIVFHVRDNELAGRDPFHLIGAEDKAMFLQGLEKKVEKENEKLSHLHQWIHSNVENLDYGVDGISVYDPPEKVIPRWKGPPPTLEKNPEFLKNYNEQREALFSGKAASVSPPVKKEEQSSLHTSSENTLTSSSEVTSSQPKIVVEGSDGSIRPGKKSGKEFWQHTKKWSPGFLELYNAETDPEVKAVMRDMGKDLDRWITEDEIKDVADIMEKLPERNKKFMEKKLNKVKREMELFGPQAVLSKYREYGEDKEEDYLWWLDLPHVLCLELYTVDEKGEQQVGFYTLEMAKDLELEPKPHHVIAFENAADCKNLCYIIQAHLDMLRTGNVFIVPRPPKDTFREAKANGFGVTVIRKGELKLNIDEPLEEVEEQICEIGSKMYHDKIMGDRSVDISSLMKGVFNLKTKPSGRRRERVKQALKDSNKKSS >A06p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2072245:2074410:-1 gene:A06p006190.1_BraROA transcript:A06p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYNKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGSGPGSNGDAAVATKAKSKGKDGDGEDKEQSKLRAGLDSAIVREKPNVKWSDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDEKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLNESDFEYLARKTEGFSGSDVAVCVKDVLFEPVRKTQDAMFFFKSADGTWMPCGPKQSGAIQITMQDLAEKGLAEKIIPPPISRTDFEKVLARQRPTVSKSDLEVHERFTKEFGEEG >A07g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20153597:20155430:1 gene:A07g507440.1_BraROA transcript:A07g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAGTFSLSDKTPTIVNEGPLDDEEQALKKKLIVCCLGLSTFVAWVERVHGSGAVVNDWSREWSCFLSCSGHESGSFRSGHVVF >A01p007620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3746437:3750137:1 gene:A01p007620.1_BraROA transcript:A01p007620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFTCDDGKLILCVAENGHTFEFNCSETTPVESVMRFVESVSGITFSDQLLLSLDMKLEPQKLLSAYGLPSSDREVFVFNKSMLQSSSHPPSPEDVDLQEVDDALPPAPVHEHHPLDDALDPALKALPLYERQFRYHFHKGRAFYNCTVVKLESCERLTREQKVQQKAVEVATRNLEQYYRVIFQNFLEFMKRYKHQHRLHSDLLVNFGRDVEKLRSVKVHPCLQTDSRRCLLDFVKEDNLKKAAESCGISHKQFENKIAQFQQMFVEVKRKVEELFACRDSLTMKSLEAAVKDHERFINEQKSIMQSLSKDVDTVKKLVDDCMSSQSSSSLRPHDAVSALGPMYEVHDKNHLPKMQACYNSISELLTFCKSKKNEMNSFVHSYMQKITYVTYIIKDAKLQFPVFREAMVRQDDLFADLKLVRGVGPAYRACLAEAVRRRSSMKLYMGMAGQLAEKLAMKRETEVRRREEFLKTHGPFLPRDVLSSMGLYDTPTQCDVNVSPYDTSLVNIEMADVDRYAPEYLVGLHSKVASSRSSLGMSSDSSLSAEAEEISLDKDSFEDILEASELVEIAGTSKMEVENAKLKADLASAISRICSLGSQVEYDVLEDGSEVENLLKNAAEKTAEALQAKDEYEKHLLSMLKEKQRHCDSYEKRIRELEQRLNDEYLQGKRYVNSKDVSGLNLMEEKGNKAHVSGSEPMDEVSCVSNLSSKQPCKAREGMDENMVDSSQVLSHHPLDSSMLESQQNNEKGGKDNVFLSDSSTAESPTKSLGNNVVTNIGLDTKHSDEVILELKNELMEKSNKLSETESKLNAAMEEVASLSRELEMNQKILEESQMNCAHLENCLHEAREEAQTHLCAADRRASEYTALRASAVKMRGLFERFRSSVCAGGGVAGFADSLRTLAQALDNSINDNEDDGTVEFRKCIRVLADKVSYLSQNREELFEKCRNLESTSEETIKELKEKKELVKTLYTKHQLGKQANKEKISFGRLEVHEIAAFVLNQSGHYEAINRNCPNYYLSSESEALFTDHLPNRPTYIVGQIVHIERQAVKQAQTLSASASPEAGKRDYLGSRNLASSSMSSTSSSGATTTNPYGLSSGCEYFIVTIAMLPDTAIHQQAS >A04p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6832343:6834752:-1 gene:A04p011210.1_BraROA transcript:A04p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIICESIMCIFFVFIIYFSAICVSAQTCDNTTGIFKPDSLYDKNRRLILSGLASNVTAHNGYFNGSIGLDPDRVYATGMCAPGAEPDVCSRCIKTTSESLLQTCLNQTRAFSWSTEETLCLVRYSSRSLSGLLVMDPRGALYNTQTFTIRNQTDFDYVCKKLMFGLIARTTSSSSGNNSSKYYAHNESLVPFYGNISSWMQCTPDVSPNDCRTCLERNLIDYENCCREHLGGLISRPSCFFRWELYPSPPSAWLFSSEEIRSKKLNFQTIEAATSNFSERNKLGQGGFGEVYKGMLMNGTEVAVKRLSKKSEQGDKEFKNEVIVVAKLQHRNLVRLLGFSLHGEEKLLVYEFVPNKSLDYFLFDPKKRIHGYMAPEYVTHGQFSTKSDVYSFGVLMLEIISGKKNSSFCQMDVLVNNLVTYVWRLWERKSLLEVIDPCIREDCKSDEVTRYIHIGLLCVQENPAKRPTMSTIHQMLTTSSIALPAPLPPGFFFRNEPRSNPSAQGLKPDQASSKSISCSVDEVTITDVNPR >A06p024430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15479069:15480937:1 gene:A06p024430.1_BraROA transcript:A06p024430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRGSDEGFREIFKREMGFSHPRSISRRISASEGIVKKLDVYGKLNGHEGCVNAVEFSSTGDILVSGSDDRQIMLWNLLNGSRTLTYPSGHCENVFQTKFMPFTDDRTIITSGADGQVRLGQILENGKVETKRLGRHRGRVYKLAVLPGDPNVFYSCGEDGFVQHVFDIRSNSSTTVLYSSPFTHGCRRHHSSSRIRLNSIAIDPRNPHSLAVGGSDEYARVYDTRRVQLAPVCRHIVPDAPVNTFCPRHLRETNSVHVTGVAYSKASELLVSYNDELIYLFEKNMGFGSSPVGISAEKLQEMEEPQVYTGHRNAQTVKGVNFMGPNDEYVMSGSDCGHIFIWKKRGGKLVRAMLGDRRVVNQFESHPHITFLASCGIERSVKLWTPVSNDVMSLPENIEKVTESNRVGREEQSRVTLTPEVIMHVLRLQRRQTSAFTERRYVLTDVDGDEENEAQFISGLVAGDVDSSERECNVS >A01g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8477284:8478117:-1 gene:A01g502460.1_BraROA transcript:A01g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDDNEGVSASSKHLVFAYYVTGHGFGHATRVVEVVRHLIAAGHDVHVVIGAPDFVFTSEIQSPRLKIRKVLLDCGAVQADALTVDRLASLEKVSDVVPVACRAAADAGIRSVCVTNFR >A02p048480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30151505:30151917:1 gene:A02p048480.1_BraROA transcript:A02p048480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKLRPWLGSSGDALSSVVGRLLAPTLHLFVEGERSAYGSGLHCSFVLMAASLPELFPVRALVWFSMVGGSDGLRFKSEAVENSALCSVVRLLEEISI >A03p045640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19177710:19183248:1 gene:A03p045640.1_BraROA transcript:A03p045640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNREARRVPMAAAGNGLSRRRHRAGSFRDSPEEEGPVELPEAARLRDRTRKERDRERDREREHRERDRLHSRSKRRRGERLIMVHDGGDESSEESVNDDEEYENIFSSPLSNHQRKNFPTPPSKNFRSPPTPALPVPSWKAAADEMIGVAVPRKARSACTKRPHESWTSNASPAAPTSPSSSNVKKITLGAKQKQPLPQKVSSSPVAVQDEIEIEIAEVLYGMMRMPTSKQEEKTTVDIRARVSSPISITLAANSSSIAPKRKKQRHVKYEEDENSSGLPSRATKLEAEAPLGDELKRSGSGEENSSVLDSINPELRESNAALDSRSAEKKEETVLPKVESSSGVRSGGDVAKPSSPEKEKFEIDLMAPPPGRSSPERVGEMECVAAEAEPKVTEVETEPKPLLKEDGGSAAIVESEEKKRPRTVDEAEPHTSERSCELKLDLDKSDHVGVMNNQHVQKQPPPQQQQHSVPDKIAQANSLPLHMSMPGWPGGLPTMGYMPSTQGAVPTDTSSLSSAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQQFTKMNPFWPAAIGSAPLYGTKACNLSLMPPTELQGTVLGRSSNQIQDENSQSSSKSSDTAQRNQILLQQALPPGAAASNIMHGPTFIFPVGQQPTITAASVRPPNSGNTASSGATASANSMNGSASATPAGAPTMTFSYPGMPGNETQYLAIMQNNGFPFPVPAHVGAPPAYRGAPGQPIPFFNGSFYSSQMIQSPFSQPQKQQQQPQQQQAGQMLQSHAQNNQNGSVSSGSSAAQKHLQNHQLRPQRNSEGYPTHKVQSQVLTFQQRQQPRENATQHSDTVGENSPSAADSRGSRSNVAYGQNYGMQMQPTNLGLMSSAAPGGGVVVSSSNHGEKKSQQQVSKAGAESFQSQGYAMTFATFNGMSSTAQNHATFQSLPEAARQGYQMMAANVAAQQKMNYSAPSEGKSGSNATAKTLEEQRKTEGASGKTSGVNGGQSIAFSSKHDLGDASVSAVTSGNIVDTSRLLNLGSAQQQSTSSMPTSHHNLQQKMKQHMQQQNHHLQQHMQQQNQHVQQHMQRSQSQQPYTMYLQKQQQYATSVAASAAKNKGPVVSNGTGVADHSISVSPVGTAKFPNANSGFPQNLVQSSSSQVQSPQWKSNSPRTTNTSQAQSPSILSPSSSVAASSSPRNVSHKQQSRPQQSQISFAANSKPTATGSPMQQVQGGTNNQAPSSPMLVGSPSTSSVSKNTGGSPRTTASASSAVNKAGQASSTTHSSSQPSKNLQPASVASSAAVGRNNGPSVLGNPTTSSGSKSQQQQLPKHGLQQQAQLFFSNPYMQSQHQQQQITMSSSGGYYIQRHQQQPGSAAACPPVSTTGSVMATSDPAKAMAAAAAGNNLKGGGKTQQHQLGPPGFGLPYVHAVPCAVQVKPVDQKQQSACAGEREEKDGSKKQTEQMITH >A10g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12351334:12351852:1 gene:A10g505010.1_BraROA transcript:A10g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYRKFFISWKGARFQGPNSGFLLEGDLESSLIGDPRVWVLQLPRSAYRWKIIGRHWEPILELILLLRKIPLDRRQVNFLVSLTILLHSSLWRNMFGSAINDPFAAY >A08p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22916331:22919866:-1 gene:A08p040080.1_BraROA transcript:A08p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAFLPELWTEILIPVCAVVGIAFSLFQWFIVSRVRVSADQGASSSSSGGSKNGYGDYLIEEEEGVNDQSVVAKCAEIQTAISEGATSFLFTEYRYVGVFMVIFAAIIFVFLGSVEGFSTENKPCTYDETKTCKPALATAAFSTIAFVLGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLLVLYVTINVFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHDFTAMCYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKNQLIISTVIMTVGIAVVSWVGLPSSFTIFNFGTQKIVQNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVHTVDVLTPKVIIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKYL >A07p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14817430:14818182:1 gene:A07p025840.1_BraROA transcript:A07p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLIFLAILSLLALTFPVAIASDPSPVQDFCVGVNTPSNGVFVNGKFCKDPKLATIDDFFFTGLDRQRVATNAVGTNVTAVFADNLPGLNTLGIAFARVDYAPNGLIPPHTHPRASEFLIVQEGSLYAGFVSSDQDGNRLFCKILNKGDLIVFPVGLIHFHVNVGRGPAVAFTAFNSQNPGLITIAKTVFGSNPRINPNALAKAFQLDPRIVMSLQTKF >A01p044170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24509702:24509923:1 gene:A01p044170.1_BraROA transcript:A01p044170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >A09p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7315686:7317832:-1 gene:A09p014240.1_BraROA transcript:A09p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAAGSLKKKTDGITNPDPPSTSRDLKRRRSVTFVDVDPHRSHSPEAQTGPSVDAKTSEFAFFNKLKSGFGLSSSEASKKVKQNPKDFESRAGVNAGTDLRAKDIIGNTTTCTFSTPIFNATRGSPLNLTRKDKDPGKKCSSGFNRDKDKFLGDNNNLSCRGSSDEKEEDFFSVKRKRLNQWKEVIPLRGDLVSLLLTRLFPGTDEKHPCRFSKERTDRRTFLDSPGSKFFKRSHESYTEVDHGLQMEKKRAISWPENSIATNHVHCSCIPRDPQDFNFPFSYPKEPVYRPPLLTQKTSLLFPVEETLDSSLHFRNYKSLSLGYHGEDIGYSSEALPHDHREPSSALLLEWNTENASTRKTDDLQPSNHTELITCPNASSSSLADDPWRSDYSSSHDVVTRELYPLPLLSHYTSGSFLLPATNQTGHFEQRHMIDDEDVVAANQKLQTFHHANSSSSDCLTRGHTYYHSPSNSPLDHSPFRSPGREMVSFPFSSISNSDLLQASSPTTQSDRWWI >A02g500150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:543998:544336:1 gene:A02g500150.1_BraROA transcript:A02g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRRAKHQSGVRERRATPAPEESKAGTTSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A08p043140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24084188:24087644:-1 gene:A08p043140.1_BraROA transcript:A08p043140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVMHSYKGNKNSDKAFIAAEFAGVKIDMPSGFEMGVTNKTPEFLKINPLGKIPVLETPEGPIFESNAIARYVSRLNGENSLNGSSLIEYAHIEQWIDFSSLEIYGNIFNWFVPRMGFMPYSVPGEEAAISALKRGLEALNTHLASNTYLVGHSVTLADIITVCNLNLGFSTVLTKSFTSAFPHVERYFWTLINQPNFKKVVGDVKQTESVPAIKKAGQPAKPKEQPKKKEAPAAEAPKVVEEEEAPKPKAKNPLDLLPPSPMVLDEWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYAFGKMLISGSEGPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSQMIEDAEPFEAQALDPTLRTSEASSVERSKETEGVITGIIERKMKETSANGLSWRRVVFFASIALHFVVGLSGDSKNANTGAKAESHTTSSRTGTKVILVLVGLVAVAMFSFFLYKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >A01g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11536843:11537907:-1 gene:A01g503780.1_BraROA transcript:A01g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDASTSEENKRNPCDIGTASNIVKGAKHNEKKKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDDDAGKINSEKENREKLAKSQGV >A08p005690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3255705:3261880:1 gene:A08p005690.1_BraROA transcript:A08p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKTKLFFSSKKSGSSDSSNSPRSVGSSSPIGSDNHKSKSPNSTSSPFAAVFDGFKKKEKDSSSSKAKETSSSDQVPGKSKLSSEASKLTAETPIMASSLGLNRIKTRSGPLPQESFFNFGDEKAVPIVPPKLGTRWDSGSSSSSHTKKKEAANVDRRSNVPAMPALSTGQLKVTPSDAGTPENSYEVEPETPRNQALLRMTSAPRRRFSGDIKSFSHELNSKGVRPYPLWKPRRSNSVEEILNLIRTKFDKAKEEVNLDLGVFAGDLIEISEEYAESHPEWEVTIYDLLILAQKCTKSTSGEFWLQCEGIVQELDDRRQELPPGVLKKLHTRMLFILTRCTRLLQFHKESWGQEEEALRQSRVLHSADKRAPTGEVRDRKGLNTATALKIPSTKKAYSQEQRGLNWKDDFVNRPSPLSSPYNETSNDSESLVNMDRMSSWKKLPSPAPKGVKESTVSKGQTDSKTEPPKVATSDDMAVSKAPDCSPKKLSHEHMTKHRHNISWGYWGDQSYIPEESSIICRICEEDVPTTHVEDHSIVCALADKYDQKGLSVDDRLVAVAVTLDKITEAFIQKDSLAAVESPDGMKISKASLTGESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDDMRCFTSLSCRTRFGPKSDQGMTASSGGSMTPRSPIPTPRSDPVELFLGGKGTFHDLDDIPQMTELADIARRASNAIPDGDRSIRLLLSCLDDLRVVIDRRNFDALTVETFGTRIEKLIQEKYLQLLDDEKFDLSSTVIDEDAPLEDDDVIRSLKTSPVHLHDRISIDDFDEIKEISRGAFGRVLLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILINVRNPFVVRFFYSFTSRENLYLVMEYLGGGDFYSLLKNIGCMDESNARVYIAEVILALEYLHSEGVVHRDLKPDNLLIAHDGHVKLTDFGLSKVGLISSTDDLSGPDFGASSLFLEEKPKWTTSEHEFGSRDKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILFEFIVGIPPFNADHPEQIFDNILNRNIPWPSVPEEMSHEARDLIDRLLTEDPHQRLGARGAAEVKQHIFFKDINWDTLAEQKAAFVPDSEDVLDTSYFQCRYQPSFSDKQCFPTNENGDSSESGSSGCLSNDPNEEIDERGGPAELETNVSKNNPFDNFSFKNLSQLAYINYDVISKGQKEGTPTNLHRR >A09p053150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46222974:46224677:-1 gene:A09p053150.1_BraROA transcript:A09p053150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G23420) TAIR;Acc:AT1G23420] MTKIPNMTTLNQLFDLPGQVSVPFTSLSMVVTVRCGHCTSLLSVNLMKASFIPLHLLTSLSHMDEKGHEEVAATTDGVEEEAWKVNQEKENSPATLVTSSESEDEDRDVSRVYQVVNKPPEKRQRAPSAYNCFIKEEIRRLKAQNPSMAHKEAFSLAAKNWANFPPVQNKRAASDQYFCEDDNNALLSCNALGDHDESNNGFRERKAQRHSIWGKSPFD >A05g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:678834:679824:-1 gene:A05g500170.1_BraROA transcript:A05g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPSKPIKIVSSFLFASDVSNDSKSVRLPNFTGVTPTTTTMALQYSIITHQVKTLCTSPHSSSQSLAQFLKFDLSQKSAHCITYSFLFFSLFASDVSNDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKVSASDSF >A02g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10587986:10589323:1 gene:A02g503180.1_BraROA transcript:A02g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKGRYQVFADFLRCSSGFGWDSETKKFTADDEVWKVYLQAHPNNKYLRDDSFEDFEELRTIFEQNTATGQNAVGLGDSVDAGSYQFEENEKTNDNDFVHVIDEGGGIEHQETCEPSSRKSIGEKLSHRKKARTDAYNSERKRWVKEAEEKEAEDKANNVWDAIKEIPDLDDDLRYEAMTLVHTLGMKSGFVNMSITNSCGWIKRNLQISMAHIVNANEEIQIDVDILLLVFSIIVK >A03p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3617519:3618575:-1 gene:A03p009010.1_BraROA transcript:A03p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGSFRGRGGRDGGGRFGGGGGRFGGGGGRFGGGGGRFGGGYRDEGPPSEVIEVATFLHACEGDAVTKLSQAKIPYFNAPIYLENKTQIGKVDEIFGAINESLFSIKMMEGIVATSYAEGDKFYIDPAKLLPLARFLPQPKGQSTGGRGRGRGAPRGRGGFSSRGAPRGRGGFASRGAPRGRGGFRGRGRGGY >A05g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20680974:20681301:-1 gene:A05g507300.1_BraROA transcript:A05g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLAIFCVILIALFPLHEFVDGQGANAGFCVPVNCDTNDKNRSCATCHIASPRKTLSFKSLAECNAGCKA >A06p030210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000054.1:171953:178162:1 gene:A06p030210.1_BraROA transcript:A06p030210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVRQHTQDVRGCPCVSVCVRLCPSAHTGRPWLSISTHISTLVLGLSTLALPVYCLGDFGPRGLSVQYTGHPWVSASTHMTSVAFCGCPSAHTGRLWLSVCIRVCLWVSASIHRIFAAVRGCLSAQTGCPCVSVSTHKTSVCVCQHTQDVRVCLSAHTGRPWMSISTHISTLVLGLSTLALPVDGSGNFGPRVLSVQYTQDGRGCPSAHTGRPWVFVKTHRTFVAVSVCPCVSVRTHMTSVAVHQYTYQHVGRWTQHANPSRGLFGSQHTQDVCGCPSAHTGRPGLSMSVRVCPCVSVSTHRTSVAVHQYTYKHVGPRTQHADPSRGQFGSHISTLDLGLRTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTRRLWLSVSTHKTSVAVRVCPCVSVGVRQHTQDVRGCQWLSVSTHRTSMCVRQHTQDVRVCLCVSVSTHGTSVAVHQYTYQHAGPWIQHADPSRGLFGTHRTSGAVRVCPLAHTGRLWLSVCVRVCLCVSVSTHRILSISTHISMLVLGLSTLDCSGDFGPRGLSGQYTQDVRGCPSAHTGRPWLSVCVRVCSSVSVNTHRTSVPIHQYTYQHVGPWTQHAGPSHGLFGTHRTSVAVCVCPCVSVGVRQHTQDVRGCMWLSVSTNRTSVCVRQHTQDVRVCLSAHTGRLWLSISTHISTLVLGLSTLALPVDGSGDFGPRGLSVQYTLDGRGCPSAHTGRPWVFVSTHRTSVAVLHTGRPWVSVSSQHTQDICGCPSAHTGRPCVSVSTHRTSGAVRVCPCVSSAHTGCPWLSISTHISTLVLGLCTLTLPVDCSGDFGPRGLSAHTGHPCLSINSHISTLVLGLRTLALPVDCLGDFGPRGLSFQYTQDVRGCPPTHTEHLWLSVAVRQHTQDVRGCPCVLCGVRQHTQDVCGCQWLSVSTHRTSMCVRQHTQDVCVRCVCPSVHIVISARWPFPWTVWVILAHVGCLFSTHMTSVGVRQHTQDVRVCPSAHTGRLWLPCVSVCVRQHTYQHIGPWIQHADPSRGLFGTSVAVCVCPSVHQYLDQHVGTWTQHASPSRGLFGSFWPTWAVCSVHTRTSWVSASTQRTFVAVRDCPSAHTGRPWLSVCVVCVCGCPPAYTGLHTGRPWVFVSTHRMSVGVRQHTQDICGCPSAHTGRLCMSVCVHQHTQDVSGCPLVHISARWSLGSTRWPFPGTVWVILAHVGCLFSTHRTSVGVRQYTQDVRGCLWLSVSTHRTSVTVRVCPSAHTGRPWVSVSTDRTSVCVRQHTQDVCGCPCVSVCVRQHTQDVRGCPSVYISALHRGRPWVSASTHRTSVAVHGCPSAHTGHPWLSVCVRVCPSGHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLFVQYTQDVRGCSPAHTGRLWLSVSTHRTSVAVRVCPCVSVSTHRTSVAVHQYTYQPIGPWTQHSSLLESNLAEEAKLKTKSQSVPTEFLVWNLEWLGDSDVGLL >A07p049500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26288673:26289439:-1 gene:A07p049500.1_BraROA transcript:A07p049500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEDATVREPLDLIRLSLDERIYVKLRSDRELRGKLHVSPLMSDSALCLFGQAFDQHLNMILGDVEEVITTVEIDDETYEEIVRTIKRNIQYLFVRGDGVILVSPPLRTT >A02g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21034363:21035736:-1 gene:A02g507520.1_BraROA transcript:A02g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNHLLKNVSLCLSSSSNQRAGVCHSTFESDSLGRSSQSIASVFLRFWDSLNFKKDREFVGITVIFLVEKVNFVIRGFTPVGRANHVMQSLKADSIVKVDRFEVVMCSSMYKITDHPFLIRFISLTIIDEVITGAPEINLQSRLDCSKSPNMVGKICSVQSSDLTKETTQVVIRLLIDPYYPSNKIEINTVTLADTRHNPNRYKSGNNISTCSLLLSYENVLHAQYQQANAIVFL >A07g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6772606:6774406:-1 gene:A07g503440.1_BraROA transcript:A07g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 3 [Source:Projected from Arabidopsis thaliana (AT3G25050) UniProtKB/Swiss-Prot;Acc:Q9LJR7] MIHTKIFGVFAVALWLWITMVDARFIGGRGVEKYVTFGQNYVVKWGQGHISTLHSGKEVDLYMDQSSGAGFESKNIYGSGLFQMRIKVPGGNSGGVVTAFYLTSLGSNHDEIDFEFLGNNDGKPITLQTNIFANGEGNREERFLLWFNPIKHYHTYGILWNPYQIVYVLVTVLTIKDGMNDRFYVDNIPIRVYKNQNGVNYPSKPMQVEASLWNGDAWATDGGRTKINYAYSPFIAHFQDFSGLSGCYIDGRRDNVATCGSSNYWWNGGKYQRLSGYEQKIYEHIRKKYMNYDYCTDRSKYQSPPRECY >A02p011010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4768533:4769964:-1 gene:A02p011010.1_BraROA transcript:A02p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELTIGFRFYPTEDELIAFYLRNQLEGRNDDSMHRVIPVLDVFEVEPSHLPNVAGERCRGDAEQWFFFVPRQEREARGGRPNRTTDSGYWKATGSPGPVISKDNRMIGVKKTMVFYTGKAPTGRKTKWKMNEYKAVDETVNFSTIPKLRHEFSLCRVYITSGSSRAFDRRPVGSLQTERLLTSDVGVAETSFRVGSSPETSMSGGEHVDLSVNTEMVDGLTEPMWEWEQLNWS >A03g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4383292:4384172:1 gene:A03g501470.1_BraROA transcript:A03g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCTLRTKTKGESLLYCRGDGELLLLYAICNSWYNLVKEVGDATFGNVWRAVNKLTGEVLSCFSREIKSSTLFVFDFRNLMSEIGAFKCLSCMHQRGYFHSDLRPAAHQSYLNILSMNHVYVFFSDAENLLVSKDVIKMTDIGQAERSIRVHHTQCMSRHAGTRNLKYQLESYVYTSKVG >A01p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8784007:8785919:-1 gene:A01p018030.1_BraROA transcript:A01p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MSDHETPMVNGVVEHKIGEEVLPFVEDEYGGVIVEMTTPTYPKCFVATLRYSFTQWRSQGKKGVWLNLPLSQVNLVEPAVKEGFRYHHAEPTYLMLVYWIPESESTIPLNASHRVRVGAVVLNHNKEILVVQEKYGLLRGSGVWKIPTGVVDEGEDIFAAAIREVKEETGIDTEFLEVLAFCQTHESFFVKSDLFFICLLRPTSFDIQKQDLEIEAAQWMPFEDSASQPITHKNELFKVIHRICSLKMEKNYTGFSKKPTTTFFDDKLGYLYLNKQDMNNLIT >A03p055900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24128426:24132969:-1 gene:A03p055900.1_BraROA transcript:A03p055900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYYFVCLLLFLITISTKFNEISSLRRDMTKLLEIQEKIQERLAVTPSLPPLSSPSSPFPKMVGRVIYPISYGADPTGGQDSSDAILEALTDAFQLQTELNMLPRVADLGGVVIDLQGGSYKIGKPLRFPSSGGGNLLVKGGTFRASEVFPGDRHLVELVRSMKMSLEDTFSDQKDQNSGIFFEDVTFKDVLFDSSFRGGGILVINSARIRITDCYFLHFTTQGIKVQGGHETYISNTFLGQHSTVGGDKQERQFSGTGIDISSTDNAITDVVIFSAGIGILLNGQANMVTGVHCYNKATWFGGIGILVKAHLTRIDNCYLDYTGIVIEDPVHVHVTNALFLGDANIVLRSVHGRINGVNIVNNMFSGDPKHNFPMVKLEGEFHEVGQVVIDQNNVEGMVLKSTTGRSKVSGNGTRWVADFSNVLLFPNRIEHYQHSFLAQSGQIPASAVTNVSNNVVVVETDRAVTGTVSVINLNYKDLKGNYYLLIYRKTPQSPSYVTRLSTFPRQAMAMISHRLRRALLTASSSLNRSVSISTSSVSPTADSPLVQRSVLARLTEVTTTRAPVRLFSTRQYKLYKEGDEITEDTVLFEGCDFNHWLITMDFPKDNPLSPEEMVSTYEQTCAAGLGISLEEAKTKMYACSTTTYQGFQAIMTEQESEKFKDLPGVVFVLPDSYIDPVNKAYGGDKYENGVITHRPPPIQANRRTRDRFNQRSDRQGGPQNFQRNPNYGQQPPMQGGGGGYGGGPPGQGTQAPPPPFQGGYNQGPPRSPPPPYQAGYNQGQGSPVPPFQGPPGGYGQGGPGNYSQGPQGGYNQGGPRNGNYGPAPGARNPNLGYGQGYAGPGQEVNQTIPQAGQRNAAGDWNNNNPVGQQVATGEKILDRDMMLAAVE >A01p017770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8663500:8666058:1 gene:A01p017770.1_BraROA transcript:A01p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKS1 [Source:Projected from Arabidopsis thaliana (AT4G25240) UniProtKB/TrEMBL;Acc:A0A178UTC9] MATVSLHFSSPSSALASLLCFLLLSGVSFAADPFVSYDFKLSYITASPLGVPQQVIAVNGQFPGPLLNATTNYNVVVRVFNNLDESLLLTWSGIQMRRNSWQDGVLGTNCPIPPRWNFTYQFQVKDQIGSFFYSPSLNFQRASGGFGPIVVNNRNIIPIPFPQPDGEIIFMIGDWYTQDHKALRKILDSGKELGMPDGVLINGKGPYKYNSSVPDGIEYLTAHVDPGKTYRIRVHNVGISTSLNFRIQNHNLLLVETDGHYTSQTNFTDFDIHVGQSYSFLVTMDQNATSDYYIVASARFVNETVWQRVTGVGILHYSNSNGPASGPLPVPKTDVSAPWSAMTQPRAIRQNTSASGARPNPQGSFHYGQINITNTYILRSLPPTMINGSLRATLNGISFVHPITPVRLADRYKVKGAYKLDFPDRPFDSRPPRLDISMINATYKGFIQVVFQNNDTKMQSFHVDGYTFFVVGMDFGVWTEDKKGSYNNYDAISRSTIEVYPGGWTAGLISLDNVGVWNIRVENLDRWYLGEETYMRIINPEENGQTEMDPPGNVLYCGALRNMQKDQHHSAATSILNGHLKLMFSMLMALLALVFTF >A09g511010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33512612:33518036:-1 gene:A09g511010.1_BraROA transcript:A09g511010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLATQLWWARAQRQTFAFDAALEGGGTETDCTSDAAYVYIYPYEEMRGWEFYYSSQWFACLASHTSRSNSPVTHPSYSFPLLGETDEQEKSLRRRTPADSEPSERDIGELSQPPSTEIRSVTPPPSHSLGNPQTAFSDWLSVGHLVNRRYLSNHWVSRDFKATSVFVTLRQVRSRSFSRRSAATRSHAQEEDSRVNLMRRHLRRTFAGATAAGHRPFAAGKLPPYCRHVSAAAGDFPGVPHLGGSFPGNLGLGFTTAQIPANQPQCPVDLVDLQVCLNVFTNVINPQDPANILNPQDKAQCCTLLTRLGGPVAALCSCELARTRVPGVVNASIITASAGQFLNVCPGVTSPPNFRCN >A01g510850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30141535:30141943:-1 gene:A01g510850.1_BraROA transcript:A01g510850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLHYCQEPNTRGIFLLRIHKRVIDFFSSLDVVKQITSITIEHGTEDKFNTSDS >SC152g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:2297:2765:1 gene:SC152g500010.1_BraROA transcript:SC152g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWASPGRLLGEPMVRGQDGSTKWVLVLGQGVAKLPECELRLSDRFAKGRKGEKPPMGGYGVVMGRFWEEGMGFW >A07p001120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:277105:281789:-1 gene:A07p001120.1_BraROA transcript:A07p001120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTSQHVNGGDQASSRSENTLPGPVEAILEEVYRKHNLGPISDETRQRLSIVSEELASDTLRKVLSSNHVRTTHDRFINFLLDQATNGSPQSPAARHCLRLREEMSLDSEAPSPKCMKRESYGGSQHIPPEILALGELEFKKAFLLLSYIPGKSLAQVITADEIRHWRDLCMVAYEAVVWERLGKDYCSPTDRRVALEWDSGKTHYYQCHVALDGSYTLKGPLLEPTGTHLHKVLGDENVLTVKFEDVPKNSSTYSNDPYSAYKRIAKKVFKDGGKEEKKKDFSTKGVKCYFIRTDSTSSNDVGDPYIFSWKSIHEARMHFMHVHTLPSLAKYMARFSLILSKTKKLEVDMAGINFEEIDDINCHDENDKDVLDKNGKPRIHSDGTGYISEDLARMCPVNIFKGKCLRSDSVQVQGACKQDPPLLIQFRMFYGGYAVKGTFLLNKKLPPRTVQVRPSMIKVSKDRDLSNSSTFDSLEVVTTSNPPKRARLSRYLVALLSYGGVPDVFFLNILRNTLKESKTTFNNKRAALEAALNYGDMDDHNAAQMILAGIPLDEPHLKDHLNILLNILSNTEKNDLKAGRLPVSESYYLMGTVDPTGELKEDEVCVILESGQISGNVLVYRNPGLHFGDIHVLKATYVKALEEYVGNSKYGVFFPQKGPRSLGDEIAGGDFDGDLYFISRNLELLEHFKPSEPWVSLTPPNNSNSAKKPSQLLPEDLEEELFNMYLKTRFHASNVVGMAADSWLTIMDKFLTVGDEKAEEKAEMKKKMLKLIDIYYDALDAPKNGAKVDLPDELKPDVFPHYMERDQKFKSTSILGKIYDFVNSQTAGEHTPLSEINKLSCFKDEPVSDFHMEKYGRWYDDYRREMSKALSNKAESASEVIQRHKQEKNESASEVIQRYKQEFYGAAGFKDSKKSLEELYLQALALYNIAYDHAIKMKKVRNCGFVWKVAGPVLCKFYLEKTRGESFVSSPAVLKELWG >A10p017240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2553104:2556785:1 gene:A10p017240.1_BraROA transcript:A10p017240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNARHRKILEGLLKHPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSRGEKARSPPRVEQERRRSVERTGPLYEHGHSSSPVNLFEEKKTVQAPRTRNSGSATRISLPVPPQGPGQVIKPQQKTESVAAPVETAKPAVNVPAPEPPKVDFATDLFNMLSMDEPTANTSEAAPADDNSWAGFQSAGNGQTAEKSVTPKLDESSSPPATGIEDLFKDAPTLIAQQTPQKDVKGDIMSLFEKTNIVSPFAIHQQHVAMLAQQQALYMAAAAKAAGGIPNGVNQQAIANALSLASANWSNTGYQVPGMTNPGGGQADLQKLMQNMNMNVRPGQHQENTLQYPMSSSYGTGQVNPVTNGMTPNSTGKPQSSTSSQPTSTTPASQLSKDFDFSSLMDGMFTKH >A06p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6702396:6704479:-1 gene:A06p015030.1_BraROA transcript:A06p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHFTQIETTASSLNPLMYSFLFSCLLSLSLFSFISATYFLLKASRRRAALSSQSETKLEPSEISESAHYQTGEDDETRLAKSRLYELLLCDKKEEEESDWEGDQEVSAKKRKRKKRGKKKKSEVRGGGNDESGGDGLVSGSETKPEFVCLYPFTSSSSATQRKIKQQYDHLVKCNSDKGLTLAQVGEFANCLIEAKNELQNKSEVIKRKFSITKALLFKADRSSFDRIRQQIYKLEMEQKRVQEDALVYNWLQQQLKLSPAYKKVLEISASMELKDKLRTELDSQDDEVSDISFEELLEQEKKDSFWHKNGRLRTGSRT >A09p074130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56299352:56303358:-1 gene:A09p074130.1_BraROA transcript:A09p074130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPRDEPRRKLVDLPFLHKFKLYSTHTNYYLIGRDENKTFWRILKIDRTDPTELNLFEDPTRYTHDEIVQLKKWISRGNQKFGGLKAETTCYGIIGFVRFLGPYYMLVIKRRKKVGEICGHAVYGIAETEQIMIPYPSPETRVANSLAERRYKNLLKMVDVRKNFYFSYTYHLMYTLQTNVCNTESGEIHDETMFVWNEYLTHGIRRILQNTVWTVSLVYGFFQQTKCSVSDEEFVLTVIARRSRHYAGTRYLRRGINDAGNVANEVEIEQIVTKEVPEGQKVPMTSVVQMRGSIPLFWSQETSVFTPQPDIILNNKDVKHVATRRHFENLKQRYGRLIIIMNLLKTGKHRESILRAEFGKAISSINKGTTRENHLKAIHFDLNKHYKSGADGAFEHLCNYGKRALELINLFFCEAPLGVGAEAVINDSFFNNPIMNEDEEETSPEEEALKADIFSLQNGVLRSNCIDCLDRTNVAQYAHGLVALAQQLHLLGITGPPIVDKNNPLAKKLMEAYEKMGDAIAMQYAGSDAHIKMFSALRGDWNMMMKHRDMITAVRRHYNNAYMDSEKQNAINMFLGQSGPQLGRPALWELRSDQHNTGNLDIENLRPRISRSFSDNLLLEGLDIEELINENPQPSREGLNGGWETNSEVGFFEPEPASPSVHFEDHLRGTGSKQMFPDSGSTSDSQGLDDVPGFSHSYNTKFTTADEMFERCSSMSSDNMFSDIAESFTSTTGTDHFEFLPGPSQTEGEGNAGYLAHTEGLVIHDNVKHTITATSLSIPDSAL >A10g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6840582:6846460:1 gene:A10g502490.1_BraROA transcript:A10g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRIPIEKLLMKLTSHTGCGCSSEKQREKMKHRITLTKKSDPGKFAIPCVVKGRKVINSVDYGKELGFIGACHCGAEYESEYKTEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKQPVDHFTPPNHCYPHFAFQPPNKRGRDDYSIGSWADSGFHESFADERFETHKFTNTSPTSIAEVHSTSVDTHPRPAKQPLTSIDTRTRTSIDIRAAVKIQEQENIPSPTRFRYTYIKCFAPPKPPPHTRADTQAKKMNTLPSTLTGKSMKSNHLKNTSSAEITLLSIDASVSTSIDTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDCNERAMDGRILQMSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVTTTEINPDLSRQPKGQASINGTTETSIDRVTPTLIDRDDPTSIDRCYEFENRAYDMYGASKFTWERRDEYGVYREEFGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVFGICGVQERLGEELKSLVEDTHQPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSASINAPNAPSIDVRQHKDEWEVSYIDTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTITSLDAKVSAMNERLRTYEDMHDRFISPAKSASINRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNKISRLDSHAEWIQKEVKAIQSQLAAQHQISASIDRTREKSLDGKSPRSTDEHIIASIDAESTPAGEQLIYKTIESMQKELTKLSAYAYDNIGWHKVSIDNIQDRLQNISNVLEKMDDKWTRNDEATRNSTIDAKADQPINYTLALKRMKQPKLISNTKHDITACLGAWYTWDQILQTSLEGLINKDSNKRVRNGTWRRQSSRLAFAKNSWIYPVQSWSLILQWKQTLIQERKLEREKLRTNFYLQLQILRGCQTSIDELCYVRNAGHVEVDERKNNRSMHISADNRYQEIPRQMKINIDRCTQVPSIDVETSDTRHFGFSRLKTQGQAKLQKCPDEKESFGREDHLCLELLAWLEPVDRCPQLTIDRRWQRCIGRRPNRTIDRHSFVVDILTVETRDLRLSSNISCVQQIGIYRYCNLQHLNSGPASNIISNQ >A05p050870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29618979:29623170:1 gene:A05p050870.1_BraROA transcript:A05p050870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLWVFYGLPLVQKDSILVTTSNGVGLVIEAIYLAVFLFYCDKDLQLERIQATGACLIVEIGVLCLFYGHTLLFLENVSARRKLVGVVCTVYTIIMHGLLALQTEDDDEQFRCMYLPFWFSFTNFINAGIWIAYSVIYKIDVYVLVVNVVGALACAIRLIILCCSAVRCLLLSKRVVPCSSVPVSPAVTTWIIKRVGLSNVLHQVNLYPVSLYRTRGSLSQGLLPKSATVLLGSSNFKFRELRSQLSLPFAGSTVQERGVARFARYYVIAASPSHYAVSSIDGSSQSRLCSPLTPSPHLIATLPKFSISRLYQLLSFRDCTVDDSVCSPTPAASLHSTATSGDPSIFRDSFQLRSKPIRWVLQRRSRLFGVLSNAGSRTTSEDFLRVSSLLSHFYLSLVLVMLPYQLSVEYLSGCNQFSPADPYKPKFIQIYKNKSVDEDFPKHSHVVMVMKCSLWVLYGLPLVQKDGILVTTSNGVGLLIEAIYLVVYFIYCDKEAERVSSIINA >A02p057870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34811996:34813353:-1 gene:A02p057870.1_BraROA transcript:A02p057870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKTGVKKGPWTPEEDIVLVSYIQEHGPGNWRSVPTNTGLRRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKINESGEEDNDDFFSSKTISQKQHQSSNKGQWERRLQTDINMAKQALSEALSLDKKPSSSPVIIPQNIPSFSSVLLDNCHDPSSSSSSTTTTTTTTSHTTNIYPSGVYASSAENIARLLQDFMKDTPKPLTLTSSSPISETGPLASAASEEGGEGFEQSFFSFNSMEETQDLTQETNFFHDQESKPAITMDHDFGLISQGSLSLLEKWLFDENMVGMSLEGQEAIF >A07p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12488960:12492861:-1 gene:A07p021370.1_BraROA transcript:A07p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKITLKSSDGVSFQVDEAVALMSPRIKRMIEDDRTGNGITIPNVNSAALAKVIEYCKKHVEANADDTELKAWDLDFARALEGLDKDAFLAVASAAHSLNIGGLFDLTCKSVAEMVSGKTVDQIRDIFHIKNDYTDAEEAKMRKENAWAFDSEGESFEVDEAVALECQTIKNMIEDDCTDGGIPLANVNSATLTKVIDYCKKHVEAAAAAGANAGDKDIYGANEDIELKTWDEDFVKVDQPLLFDLMLAANFLNIPGLLDLTTKTVADMMRGKTVLQIREIFHIKKDYTDAEEAEVRKENAWAFE >A06p054260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28469889:28473876:-1 gene:A06p054260.1_BraROA transcript:A06p054260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMGEENVMTTDNRFASPQPPSSSPATIQNPNFNFNPFNSFSSVIPKEEHGMMSMSMLMMMGDGGVEEMMENGSAGGSFGSGSEQAEDPKSGNEFDVAELQDEEQPPPAKKKRYHRHTNRQIEEMEALFKQNPHPDDKQRQRLSHELGLKPRQVKFWFQNRRTQMKAQQDRAENVMLRADNDNLKAENSHLQAELRCLSCPSCGGPTVLGDIPFNELHIENCRLREELDRLSSIASRYTGRPMEPSQPMINPPLEVQHHQPSLELDMSVYAGNFQEQPCSDMMLLPPQDTACYLQVQTNNNSNGNNMVLADEEKVIAMEIAVSCVQELTKMCNTEEPLWIKKKSDKMGGEVLCLNEEEYKRLFPWPMENHNNKGDFRREASKANAVVIMNSITLVDAFLNADKWSEMFCSIVARAKTVQIISSGVSGASGSLLLMYAELQVLSPLVPTREAYFLRYVEQNAENGNWAIVDFPIDQIQPLSANTPHEYKRKPSGCIIQDMPNGYSQVKWVEHVEVNKRHVHEIFAEYVKSGIAFGASRWLDVLERQCERMASLMARNITDLGVIPSAEGRRNMMRLSQRMMKTFCVNISTSYGQSWTALSETTKDTVRITTRKVCEPGQPTGVVLAAVSTIWLPFSHAKVFDLLRHQHHHSLLEVLFSGSSPHEVAHIANGSHPGNCISLLRINVSSNSWHNVELMLQESCIDNSGSLIVYSSVDVDSIKHAMNGEDPSGMPLLPLGFSVVPVNYPDQVEGVSVNSIPLPSCLLTVGIQVLASNVPTAKPNLSTVTTINNHLCSIVNQITSVLSSTIPPAGDDAVAKQEVI >A03p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14570838:14571941:-1 gene:A03p034550.1_BraROA transcript:A03p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKTVKDVSPHDFVKAYASHLKRSGKIELPPWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGIARHILQQLETMNIVEIDTKGGRRITSSGQRDLDQVAGRIAAEI >A02p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7287992:7288700:1 gene:A02p016290.1_BraROA transcript:A02p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMKCELCEGVARMFCESDQASLCWDCDGNVHGANFLVAKHARCLLCSACQSPTPWKASGLRLCPTVSICESCLARKNNSGAGSNSYGEDDGAESYDEDEEEEEESDDEEEEEEEAENQVVPCDAAAAVQESPVMNSSSSVSSGEERFSLVAKRTRQDSELNSDDEESNDESRPLKRLTRDATLPRSAAMMKSTLKIKRL >A10p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14531829:14535497:-1 gene:A10p021770.1_BraROA transcript:A10p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSPSRYESQKRRDWNTFLQYLKNHKPPLTLSCCSGAHVIEFLKYLDQFGKTKVHVAACPFFGVPYPPAQCTCPLRQAWGSLDSLIGRLRAAFEEIGGGLPESNPLAAKAIRIYLKEVRETQAKARGIPYDKKKRKRPRTAKATQKPDDGEGAGGSGCGDSALVVSATMAQLKRADNCALKEENYRICYENDAIREALKYATKTLTLMNRRFESKMLREE >A10p008100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11137607:11137929:-1 gene:A10p008100.1_BraROA transcript:A10p008100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRTVKISIYNVVVGDVIPLRIGEQVPGDRVLISGQSLAIDESSKTGESQIVHKDEKRCHLTLVTNQREVEDRYVVVV >A06p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23005981:23007170:1 gene:A06p042770.1_BraROA transcript:A06p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active7 [Source:Projected from Arabidopsis thaliana (AT5G24314) TAIR;Acc:AT5G24314] MSSSSFTSSCSSILRIGDARSGNSRASSFKFQPQVSCGIQRDDNGRRIWRRRTLTKKDDMLRYKLQRVPFVEEQVRKIQEVGKVMTMDIERLLLSEDNRFEFVNSVAAEATEYVEKNRDEYGGTKKAIFHVLSNRVNDLGFDRPEAYAESDPYKPGPGYLKEYYT >A03p031070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13076333:13078777:1 gene:A03p031070.1_BraROA transcript:A03p031070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMLQTMGKEISFKPEPIGIRDVRWLSKHRPQHHWHLRLWDSEDICDMLTKGLGTEKIRGIFLDTSKRGKLRLCPNAFKGMYNLKYLKIYDSRCSRGCEAVFKLHFKGLDFLPDELAYLHWHGFPLQRFPLDFDPKNLVDLKLPHSELEEIWGDDKVAGMLRWVDLSHSSNLCRRLGLAKAHNLERLDLEGCTSLKMLPSSINCLEKLVYLNLRECTSLKSLPEETKSQSLQTLILSGCSSLKKFPLISESIEVLLLDGTAIKSLPDSIETSSKLASLNLKNCKRLKHLSSNLYKLKCLQELILSGCSQLEVFPEIKEDMESLEILLLDDTSITEMPNMKHLSNIKTFSLCGTNCEVSVRVLFLSPPLGCSRLTDLYLSRCSLYRIPNISGNGLSSLQSLCLSGNSIENLPESFNQLHNLKWFDLKYCKNLKSLPVLPQNLQYLDAHECESLETLANPLTPLTVGERIHSMFMFSNCYKLNQDAQESLVGHARIKSQLMANASVKRYYRGFIPEPLVGVCFPATEIPSWFFYQRLGRSLDISLPPHWCDTNFVGLAFSVVVSFKDYEDCAKRFSVKCSGKFEDQDGSFTRFNFTLAGWNEPCGTLGHEPRKLTSDHVFMGYNSCFQVKKLHGESNSCCYTKASFKFYATDDEKKKKKLETCEVIKCGMSLVYVPEDDEECMLLKKTNLVQLSWKTEPSCSNGSDDVNIMDDLRPKRGRCQVGGGDEEPYHKRTK >A03g509470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30559581:30559886:1 gene:A03g509470.1_BraROA transcript:A03g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIYCRSPKDMKKAYGPHKGNRAACPIRETRRQGIEPRVEIWNIRETRVARLDLIATETQDRRDFSPTTLSSPELKYRQASDENLTVLHRSIFTAERSI >A03p066750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28530596:28534673:1 gene:A03p066750.1_BraROA transcript:A03p066750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMVERATSEMLIGPDWAMNLEICDMLNSDPAQAKDVVKGVKKRIGSRNPKTQLLALTLLETIVKNCGDMVHMHVAEKGVIHEMVRIVKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPPNLRNTGPGNDMPEASVEPDLPTLSLSEIQNAKGLMDVLAEMLSALEPGNKEDLKQEVMVDLVEQCRTYKQRVVHLVNSTSDESLLSQGLALNDDLQRVLTSYEAIASGLPGTSVQIEKPKSETGKSLVDVDAPLIDTGDTSNQANGAAPSSGNGILNQLALPAPPVTNGSANSKIDLLSGDDLALVPVGPPQPASPAASDQNALALIDMFGENANSPSPATAPTGSSALPSGPLNPQPTSQAGEAGLQQSNGFAPPAGFSQFEQPSYGQGASSPWNSQPGQHLQQPQQPSYEGAQDSMAFPPPPWEAQHQDFSPTAESGSPFSPQMHPSTQIGFSHAQQYPQMPQNNNSPYPQMPQPGMYMQQPMPNQANQGLGQGYPPQQQQQQQMMMAQFYAQQQQAYGNQMGGYGYGYNQQQQGSSPYLDQQMHGMSIRDQASHQVPASSSYLPPMKPKNKPEDKLFGDLVDISKFKPGTKPTSGRAGTM >A07p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4910366:4910744:-1 gene:A07p008010.1_BraROA transcript:A07p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETELSETEFSERELRRSSFDSLESRKRKINRVPLPFTTPAHSAYSSLEDGFDSDSDNEETSLIAC >A06p049900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26297198:26298699:-1 gene:A06p049900.1_BraROA transcript:A06p049900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAAVSFLTNLAKAAFGLGVSATVLSSSLYTVDGGERAVLFDRFRGVLDQTVGEGTHFLIPILQRPHIFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPEVTRLPTIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRDSLIKRARDFNIELDDVAITHLSYGMEFSRAVEAKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFNLNAGR >A01p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4393652:4396073:1 gene:A01p009150.1_BraROA transcript:A01p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 1 [Source:Projected from Arabidopsis thaliana (AT4G29220) UniProtKB/Swiss-Prot;Acc:Q9M0F9] MDSYRFIKSSSKQSTSSETDHHHHQFGSLIPRLGRKYRSLSFDSGLLLIVNQRFESPTRLVMATSALNSDKKIVTGPAGYVLEDVPHFSDYIPNPPTYPNPLQDNAAYSVVKQYFVDEDDTVPQKIVVHPDSPRGTHFRRAGPRQRVYFDSDDVVACIVTCGGLCPGLNTVIREIVCGLSYMYGVKKILGIEGGYRGFYARNTIDLDLKTVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGSQKGAAVIFEEIRRRGLKVAVAGIPKTIDNDIPIIDRSFGFDTAVEEAQRAINAAHVEATSFENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFFLEGKGGLFEFIGKRLKENGHMVIVIAEGAGQDLLAESNEQSTTLKDASGNKLLQDVGLWISQRIKDHFAKKMTLNLKYIDPTYMIRAVPSNASDNVCCTLLAQSAVHGVMAGYNGFTVGLVNGRHTYIPFYRITEKQNKVVITDRMWARLLSSTNQPSFMKHDDNHEPNHSGGEAGTMNW >A08p023200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15282154:15282578:-1 gene:A08p023200.1_BraROA transcript:A08p023200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTVQENFRNHESSHLRTGEVKRRKAYGEDGKEKDVRERQKRGQEKLGWRRRPVQQAYRRSPPTEQRSDVGGARVKRYLGERL >A03g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7693986:7696080:-1 gene:A03g502390.1_BraROA transcript:A03g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIVFFLVIFALQVFVVCVLAMNFHQGHTHVSLLSCHFCSSSVCGVCFGDWTSIKGIRIIISLWWRLMMMVTTRSKIYNKQSKYGLKFVTVVRDKFSIISGLNYQFVVANDDGNNMIKHYEAVVWGKLSLK >A08p024600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15987550:15990873:-1 gene:A08p024600.1_BraROA transcript:A08p024600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNITKNQTMLLLVVTLLMVIAYHEGEAIQCSQITMYLAPCLSYVKGGGNPPPPCCAGLNNLKSSAPGRPDKQAACQCLKNVANAISGFNDDNAKQLPAKCGVSVGVPFSKSVDCNRYIIDCNSIHISHYKEKTLKSFSSYKNNLTRLALSRQTPIAFFHRNLLSFLRSKANVFLKILNHPLHREKAGGVWRYEGGGRWRGEEENTTEEAELFSPTKESLYSFALSTSSSLSLFFAFSTLLLSTSFPITTMYTADEIRRMEESVQIRRSKEPVELVRLVKKMKHDVAIAESSVELSPNVKGKLIDEILELLRRVEEKSNVTLLREAVETWRIGKLKEAKELIQEQNGVNSTVLLEEAGMLVRALELEWDVLSEEIGFWLPAEVNNEVHDDKPEGEEEPEEILAGRPVPAVCNAELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMRCNIWVYCPSEFGCYSPDIYQHKHQECWLKYAEQPKENFKDRYSESYRNNHPKAPTIVPWVSGVVTPSA >A09p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12963019:12964517:1 gene:A09p023660.1_BraROA transcript:A09p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase 2, cytoplasmic [Source:Projected from Arabidopsis thaliana (AT5G43330) UniProtKB/Swiss-Prot;Acc:P57106] MAKDPVRVLVTGAAGQIGYALVPMIARGIMLGADQPVILHMLDIPPAAEALNGVKMELIDAAFPLLKGVVATTDAVEGCTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQAAALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQVSERLSVPVSDVKNVIIWGNHSSSQYPDVNHASVKTSSGEKPVRELVKDDEWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYNVPAGLIYSFPVTCRNGEWSIVQGLPIDEASRKKMDLTAEELKEEKDLAYSCLS >A08p038110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22112698:22114162:1 gene:A08p038110.1_BraROA transcript:A08p038110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKSPIQTVMSWIRRQPPKVKAFLCVVTAMTILVFLRVIVHEHDNLFIASEAVHAVGISVLIYKLTKEKTCAGISLKTQELTALFLAVRLYCSFVMEFDLHTLLDSATLATTLWVIYMIRFNLRPTYMEDKDNFAIYYVVVPCAVVSLLIHPSTRHHIINRLFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWILQVLDTRGRLLTALGYGLWPLMVLLSEIIQTFILADFCYYYVQRFGTSCCSCGQFNGWTARSASSFWCGIRSFVKWIA >A03p047660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22272732:22275897:1 gene:A03p047660.1_BraROA transcript:A03p047660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNPINSIISQAITSAVDGALAKHQHKQRELLRDFIETTASVFIRQQRRRTTQIFGEDDEDYVLSNNLSGNTNSSQNLLHELEEPHDQVRDFVGAPIYDDYDDNFCREPCHKSDMMGKEEDMSLIDIHEINGNMTRETHLDRPIITSNVGSYYVPITNLTDEPIYDVSDDEVFIDSNYCRDPLFIDEDEVQGSNKGGDFHVVVDDGNICVRKEHIDYGLREKDCPQHLRRKPPDRDQNKETSYVGTFETQERRSIGSTYTKLLEETGSVLKLDHGHHDCLRTENGLYRVITASQLTGSKDINLAATYLDAKSMVAHLRVCERSWKYDGGTLYDGLGVTPVSFRGIQGPLFSREKTMDPVIPNKEIVHLYTPICLDKLVVFQTVAKLSGEVSFMDVMFVIYPTSSTWLVYFSRGSFQNFVILGVDMSYCRHQHVCAIMHSDNLFLEKKKQQRRVNLLPLMGSVVFYVRDLKAIGKDEQVKVQIITCLVSLSCLRSCRWSFRRMQSVKWIFEWVRQENKLCWSLIFRATVSHVDLSVDLIKVEFERVRSYLYFVAYSRCVLTSFSSDVVLVFKYMVEHRFVLVYSTISSPIRWKHKHLDGRIEAFDMIQFVWVFGAYKTVAFLELQRSTPLWTLCIHFNVVSIYGILRSSAVWPLSHMDVTVPLVVFPSQWPQIELQWGVSKRKVEVVHSPHTGLGCIFVALICWSDFSCESRNLGEYPNSDFQRVCEAATYFPVVKQIFSPSSRTKFSMVMWAMTRSVGHKLICGVVLKIFKSTHRLLPNKNTRNIFLNDVTRCTFYVGWDLIHSVEVSSENLDLRDKVFHRRLVIYDGELQLVQQKKSVWVAYQCGSRTFFVIKEGKMFSLVRQSWSSLALGSAYPTVHLLPSVSSLHQLHLRVFKREFDIVLLMNLEFVVVILAAISEQVDVTVVHVQSPTVQ >A01g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3992129:3992745:-1 gene:A01g501010.1_BraROA transcript:A01g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQNCCAKSSQEEEVISPPDENLLIYCKPVRLYNILRIRSLFNLILVVSLTHGRVAVIGFFMAYTFVPVMNLDFFSYRNLEVCLKFEG >A07p047800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25595253:25597023:-1 gene:A07p047800.1_BraROA transcript:A07p047800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEADADNSNGGLSQLQSCFGDCSSEEELTVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDQEDDIEVEHTQWNNSSHMISDDSLKTHKAKQRGYRSARLSEKAMCRALSCDSHSKSQSITPRANMKVDLSKLDMPALQRYIQHFNLVDALPNPSKEQLLEIVQRHFMSQEMDELQVIVGFVQAAKGMKKACRWKSKEHQKHSS >A03p051510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20470226:20471606:1 gene:A03p051510.1_BraROA transcript:A03p051510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGNYLRLARLGTGSAVNFSTFRSIGSPNNPTLDRTLFDHHHEIRSSSPLYRQSNSRFISQLVKTNGKRLYLVDTLALVRSLEAQGLPSKQAEAITGAITEVLNDSLGVVSQLVVSKGEMQKAEMTQESNLSKFKSEINSSLDHHFSLLQHENEKLRNDIERIRTDIRHEIDKVTAGQRLDLNLEKGRIRDELTNQNAETSNLTNKLDREIHTLRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRIVM >A07p022500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13142305:13142854:1 gene:A07p022500.1_BraROA transcript:A07p022500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ethylene-responsive transcription factor ERF121 [Source:Projected from Arabidopsis thaliana (AT5G67010) UniProtKB/Swiss-Prot;Acc:Q9FGC9] MNYYQFDPTRMNNSENVQSYVQNENFTPVSQPSYLTRDQEHEIIVSTLRQVISSPGGDTSSSNWIASEALPPPDAGPCPICGVTGCYGCAFPRPAEIKKEKKHKGVRKKPSGKWSAEIWDPSIKARRWLGTFPTYDMAVQSYEEAEYEIAGRRSA >A02p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2920350:2921446:1 gene:A02p006860.1_BraROA transcript:A02p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNTEIIRRLPAKSVARFLLVSKSWANLITNRDFIKSFETRSCSSQPRLLVCFIGRTKPCKRQYCYFFSSSSSSTTFLSRVKCPREDPECFPCLPHYANGLIRLGYGEKKLICNPSTGKSIDLPKVKNIMSVSKSLFGYDPVNDEYKILCMTKKSPDDGVVYFVAKTGAEYLCLMKFDLRYEKWDLLTRLSSDMSRLGEDCTLINYEGKVAITTTNTSGDTFNVWVIDQAAREPGWLKKSFSIESWKFLSKLSIYGSTHTGEFILAPRYYSHDFSVLLYNPNTNGLRKIKVDVKGSDEFKHGRRMRTMVFWEYFQSIRLL >A08p028410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17796290:17799562:1 gene:A08p028410.1_BraROA transcript:A08p028410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSAATMEVNQECPGNLKRQLEKLFDASLRSTVPDNTRVKPVVTTSPLDRSGDYQCNNAMGLWSMIKGKDSQFKGPPALGEALLNNLPTSEMVDSISCSVTGPGFVNVVLSTKWMAKSIANMLIEGIDTWAPTPPVKRALVDFSSPNIAKEMHVGHLRPTVLGDTLARMLEFSKVEVLRRNHVGDWGTQFGMLIEYLFEKFPDTDSVTETAIGDLQSFYRKSKSKFDDDPDFKEKAQKAVVRLQGGDPIYRKAWAKICEISRTEFSKVYQRLQVELEEKGESFYNPYIANVIGELDSKGLIEESEGARVIFLQGFKIPLIVVKSDGGFNYASTDLTALWYRLNEEKADWIIYVTDFSQKQHFDMFFKAARKAGWLPESDKAYPRVDHVDFGLVNGEDRKRFRTRASDVVRLVDLLDEAKTRSKTALIERGKDKEWTPEELDQTAEAVGYGAVKYADLKGNRTTGYTFDFDAMLSDKGNTAVYLLYAHARICSIIRKSGKDIDELKKTVELVLDHPEERALGLHLLRFAETVEEACTTLFPNVLCLYLYSLSERFTSFYSIHQVNGSPEEASRLLLCEATAIVMRKCFHLLGITPVYKM >A02p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28959270:28959541:-1 gene:A02p046380.1_BraROA transcript:A02p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIKQCKYARYQLPETCSFTCPQVNFLELIGREHTLLLHSSFSRPISTLFAHTLIIKHPHIQINQLSHSLSTKHQ >A03g509440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30483721:30483978:-1 gene:A03g509440.1_BraROA transcript:A03g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKTTVGHVLRVQKKLSLSPAIPEKRNKIPVFQTPHADNLSHKLLHTFITVVGNSLHCYFSPLRKFSSIDFTKTYFMFIEFFGL >A02p012860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5636363:5638221:1 gene:A02p012860.1_BraROA transcript:A02p012860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NWLRKMIEAGVISEAILPSSASFPFPSHAVIVHAPRQTQDTNPLPGGAATGVDIDAQLPSTDLVMSAKNDIPQKDGSGDGDDADIKPNTNAPYGDGVDEDDEDLNENDDVKKKKGTNKWDCKFKAGVMQINDKDFFFSEVWDQLVFFSILFVQQKIVTCHFYVPISTTTLFSSLCDTTTSKQPSTAKLFFKVRGKSSFCGCLYDDGGQARTENSAQLQVQLACNFLIHLETSRLRQSLGSSKETLTVIAYDVELISDVEIFSLGTRYVFSDGIGKISSEFAEFWWLGNVTSKEFLHLLFRLHAYGTKG >A02p019750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9202209:9202844:-1 gene:A02p019750.1_BraROA transcript:A02p019750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIFHHQDIVLMLHRSRLVSSSAPSTFSPVNYFHFSLLTASGNMDLLIIKTMLNDEIQGEVASEPSLSGNRRKKN >A02p010870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4721382:4729398:-1 gene:A02p010870.1_BraROA transcript:A02p010870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPNLMLQARELLATPNHERLESLVIHLSTCQETTEYQTALPLFIFCTHNFANCLTLKLLQMYRSSSSNGVLRSKSIILLLKTLAAYKYRRFDLSLVALYVIKPLVISCLRMTQEAETKLFRRIVSVIAHDVMMLDNGGWDELSGFIVELSSTEEPLKALHVFVDLPPVPFGVITGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPRNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAILDRRKPLETLEFEDLLIVKGEGSISLVIEKIILNPILILLNCMIVEDWSLGLQAVVKLGIQVLDSEMRLDMVKGLLALLVKAASDLVDKGMEVFLVRGLADLEMFLSQDKKLCNYNKDQCVFVSVFLFKIKDLGTLTKEATGKIHRLVKSTPSQPRQGHGACSEREWFDRLNSLPPLEMLRIFASTNVEERFRELAIRRLNVLLSDHISREEATGISVLRELQPLLISCLWEKEGVSERMFKVLGEVVYHVSYEVMNCHFETWNGLRDYIASNCKTEFERAVYIFQCLTIWLEHDFVVPILEQLLPEIDKRLNPPREVLVDNSCWVLAFLGAFCAVNHLIEMECYAGLVMEMEDKMVNSVRELVEREMEVGFVRRAFRDVESIVKKQMEWFGKNEYKLIKPLLQRLYLIKGMTMESKMVLWRTNVFVDRGMADLDEQQPDGEIDD >A09g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28296632:28298366:-1 gene:A09g509730.1_BraROA transcript:A09g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAGQKEAKELSLTREPSSSRELCLVRPADDLPSDDPSVVILDKQVSTASDLLLEEARRQTKKKTAMVNLREKSERERKLAPTQQTPFKGNSTAKQIIPNKKVGRGYDPFASYDKKKSKELTEWWRASYPDFKSGNGDANGLGRRLPGGAWNYHAGEIPSFCKSKKNQHWIAIWISIPKRHIVVWDSIISHISREELDEVMEPLFTMVAYLLVECALSDEQKVQYTLEPYTYARQTDGVPQCRAGDCGPFALKYTECHALGMEFPKAFNKRNGKTIRENMAVDIFQEFPMCHEWENQDKDDNLGMYE >A03p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1896752:1898726:-1 gene:A03p004500.1_BraROA transcript:A03p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFSEEILIDKLAKLNSTQQSIETLSHWCIFNRVKAELIVTTWEKQFHSTEMAQKVPLLYLANDILQNSKRQGNEFVQEFWNVLPKAVKDIVSQGDDRGKGVVSRLVKIWEDRRVFGSRSKNLRDVMLGEDCPLPLDVSKKRPRGSKSSKRDRDSKSSRTQKLSSGGGVAEKIASAYHLVVAENSNEEAEMSKCKSAVKRIRKMEKDVEEACSTAKDNPKRESLAKELEEEEYLLRQCIEKLKSVQGSRTSLVNQLKDALREQESELENLEAQIQVAQEQTEEAQNMQKRLKDEDYAPKPTTVAPGSVSTDNNGQSSKMTPASIAAMLTASTSSHMIMQSVLSSFAAEATKTSGLSKSESTVPVSYNNSQNQTPATQGQGQGQYHVIPNPAPPQPQPQFMNPPVMNNPYGFGNIPLMPPGLPPPPPPPHMIGNQQPQSNSAQQQQQPPQQGTFQPSGIMYYGAPHHS >A06p043000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23122396:23125158:-1 gene:A06p043000.1_BraROA transcript:A06p043000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVWRIGLEDLFVSPTVFADVATWPRQPTQLDIFQIFFTRIVTVGSGSVGLGIQISAVGTFARLQDIFLFPLLFLLLLLVLELSSDLVFRKCCEMGEVSDEVVEVTVVEKAPEAGGGKLTRRKMRRKDAAEGGDGLVTWERFLPKISLRVLLVEADDSTRQIISALLRKCSYRVAAVPDGLKAWEMLRGKPESVDLILTEVDLPSISGYALLTLIMEHDLCKNIPVIMMSTQDSVNTVYKCMLKGAADYLVKPLRRNELRNLWQHVWRRQSSLAPGSNFPVDESLGQQKPEGASANNSTSNQVNGFQREEQPVIGNGGGGGGDDQSSCSRPEMQGESADVVEDIPRVSSKEAIDFMGASFRRNGQSHREESVAKHDTSRIELDLSLRRPDTSENHQPSLHPSSASAFTRYVHRPLQTQCSVSPLVTDQRKNVAASGGDDNNTVLINQYNVSEPPPSAQRRNEASFYNSSDSPGPPFSNQMNSWPGQGSYPTPAPIIHFPGPNHTSTMAPASVSPSPSSVSPHEYSSMFHPFNGKPEGLQERDGSMDMEERRHVSSATEHSGTGNHCSTNYIDYHHQQQQLLEKRSEEGYSSSVGKLQQSLQREAALNKFRMKRKERCFEKKVRYESRKKLAEQRPRIKGQFVRQVQSTETSTQEAPQ >A09p013890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7189830:7191803:1 gene:A09p013890.1_BraROA transcript:A09p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFKICFLAAIFSLIQLGFAFYLPGSYPHKYEVGDYLNVKVNSLTSIETEMPFSYYSLPFCQPSEGIKDSAENLGELLMGDRIENSPYRFKMFKNESEIFLCQTEKLSGDGFKLLKKRIDEMYQVNPMLDNLPAIRYTKKDGYVLRWTGYPVGIKVQDVYYVFNHLKFKVLVHKYEEAANVARVMGTGDAAEVIPTMGKSKDSDVPGYMVVGFEVVPCSFAHNADSTKKLKMYERYTTPIKCDSTSVSMSVKEGQSIVFSYEVSFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPSLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRLWRTIGCGEHRGWMSVAWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILILLWFCISVPLTLIGGYFGARAPHIEFPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFVVMILLVVVCAEVSLVLTYMHLCVEDYKWWWKSFFASGSVAIYIFIYSINYLVFDLKNLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVHYLFSSVKLD >A07p049680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26399095:26400500:-1 gene:A07p049680.1_BraROA transcript:A07p049680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETVYYDVLGVTPSASEEEIRKAYYIKARQVHPDKNQGDPLAAEKFQAIYFLATEQVLGEAYQVLSDPVLREAYDQTGKLSAPKETMVDPTAVFALLFGSELFEDYIGHLAAVQREREENLARFLKDFLNQYVHGDKDGFIHRAESEAKRLSDAAFGVDMLNTIGYVYTRQAAQELGKRAIYLGVPFVAEWVRNKGHSWKSQMSAAKGAFQLLQLQEESSRRFKKDGTSPANELESHIQTNKETLMGSLWKLNVVDIEVTLLHVCQLVLRENNLRKEELKSRAMALKILGKIFQVFFSIR >A07p002140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4019188:4022816:-1 gene:A07p002140.1_BraROA transcript:A07p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSEIEPSSPGTLVLSRADESDHRFANLRSIRWRLNLGVIPSSSSSIDELRRATANSRRGYALLRRRLLMNPHLLKDDRDLPTLFIDNPLSQNPDSTWGQFFRNAELEKALDQDLSRLYPEQWCYFQAPGCQGMLRRILLLWCLKYPEYGYRQGMHELLAPLLYVLHVDIMRLSQVRKDYEDYFTDRFDSLSFEEKDISYTFDFNKFMDSMDNDEISSQGYSKKIKTLDELDPEVQSIVMLSDSYGAEGELGSVLSEKFMEHDAYCMFDALMSGTNGCVAMASFFGYSPAKGSHTGLPPVLEACTAFYRLLAVVDSSLHSHLVELGVEPQYFGLRWLRVLFGREISLQGLLLVWDEIFLADNSTRTFTDDDEHNGNNMFKVFVCPRGALLSGMAVSMILYLRSSLLSTENATCCLQRLLNFPEDFDLDKIIQKAKWLQGFVLDVNVRSALSSSVPARTKSVPSGSTSPLFVTPESYWEEKWRLLRKAEEEERQMSPSTQKKKRWLKVTKLIREVTDLSRFRRRSVLEGISQNISSNTEEEATECPVTVSKENTPTQETEEGSMGFHTADEESVKSGEESSSVYSDPTSLVRDSNDSDSSTASNLSLDENHNSVDSLSAENSHVSLEPTSPEARDCSTNLDQQTCVDSPLPISPHSRNENQVTQSKEEDSTDESVSIITKEHKLLTGIFQWFLKCRRSFSSEESKENQVDCYSESHTQALSSPNGDSDSNLMKTLKNLGQSMLEHIQVIELIFRQEPGLVQGGLIRNIDKTKFIEKGQATATTALKELRKISHLLSDM >A09p049860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43997668:44007900:-1 gene:A09p049860.1_BraROA transcript:A09p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATARAQALSLLAAANNHGDLAVKLSSLRQVKEMLLSLEPSLSAEIFPYLAELHLSPETLVRKSLIEIIEEVGLRMLDHSDVLLTVLLVLARDEDPLVAKKAISVGTAFYCTILEEMAMQFHHHGKVDRWVGELWKWMVKFKDAVFSTALEPGCVGVKVLVLKFMETFILLFTPDASDPEKIFNEGSRQMFNISWLVGGHPILNSAMLMSEANRTFGILLDLIQSAGRLPGALTITVVSCLAVVARKRPVHYNSVLSVLLDFHPNLESVKGCHAASVQYSIRTALLGFLRCTSSPMIESRDKLLRALRAMNAADVADQVLRQVDKLIRNNERAAREKWSGKNNQAVNHQNSWDLSKKRIMPQGEDDTVNGEVAPKRLRHNNNMHLTPQGQISDSPHGTVSINGIASGNHPSDSEPTPVEQMVSMIGALLAEGDRGAASLEILISKLHPDMLADIVITSMKHLPSSPPTSTTSLATPADIVVSSSINPMRSPTRQPQLPFDSTLPVGSSLSDVPSLNAGVDPRRDPRRDPRRMDPRRSNPSVGPTSLPVGEGKETVPIQIDISTLASKPLSVPAAGASGSVHPTTVEDSQNKVMGSSVIRRKDQPDCREELLPIPKEYGYLSKGKSSLDVPLSPCRDDEGIRKTKFDLDPVSVPDFNQHSPSEAGPDFDLHPPVDSNITAAEESYRELAPVPSHVELTKEQRNTVGKLALERIIESNRHVCGFDCNKIRMALIARLIAQIDAGNDVAAILKEHISVDHREFKGHELVLHVLYHLHSMANLDTDESSSYASVYENFLIAVARSFLDTLPPFDKSFSRLFGEAPHLPDSAIKLLNELCSTRPDPVGGEACDSERVTQGLGVVWSLILVRPNERKACLAIALNCSVHYEEDIRAKAIRLVTNKLYHLRYISEHVEQFATDKLLTAVNSETDFSQTAEGTKIEAKSQITSTSDSPRSGNSDIHSQQDLQTSRDVSVLSFSDAQRLISLFFALCKKKPSLLRLVFEVYGKAPKTVIQAFHRHMPILIRELGSSYIELLPIISDPPKGSENLLTLVLQILTQELAPSLDLIATVKHLYETKLKDVSILIPLLSSLTKDEVLPIFPPLLNLPPDKFQLALAHILQGSAHTGPALTPAEVLIAIHEVVPDKDGPTLKKITDACSACFEQRTVFTQQVLAKALGQMVDRTPLPLLFMRTVIQAIDAFPTLVDFVMGILSKLVSREIWRLPKLWPGFLKCVSQTQPHSFPVLLELPMPQLESIMKKFPDLRPPLTAYANQPTIRASLPNSAFSVLGLDNGQDSRSQMHP >SC210g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:75203:79198:-1 gene:SC210g500070.1_BraROA transcript:SC210g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKTEFVPHSVHLAENEAWWVAHYGSLTPPKEKPFPVLTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRWRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEDSCIPLFRRLPNDRPFINPFAPLPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQTGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFVGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRSSQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNERAHAKALIPPIDERIQGFWDSIPVSPDTEEVPTEFPDGGEEVDRPADAFGLDGRICIYRDWPLVALNPLPLYAMFETRALGLGQDLGLLSVKVCAVTSRLSALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKVFMVAFGQFRPSGTIARSLRSDRALARARSLRSDRAGRSLRSDRAGRSLGRYVATERDDRSRPSGTIARSLRSDRAWFRFGRCITIGRRVCVVTELGLFGLNPKGYFFVKTSYWLFLRKLHLSFYYLFWKYDLRGFSGGNSVVTTTTRIYFNPTQENSKQNIHHINKPRKAARDSKPPTASPAPNASAGRSTSSPPSGNSVGTSSVSGETGMESQNP >A05g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17576717:17577727:-1 gene:A05g506150.1_BraROA transcript:A05g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTLRENHVIEDPCRFQISPRPSCLLDKITLSKSIVESSLKNLIYHPGRTRNRVVAAAVRALITMRISLRLLQQVKHSLMSELHVGSASSMDLPTSRNKARKLTISTELIVSETGGDSVKVETCEQPHISGGRKESVSLVLEKFRDLNLDDTMESVGGDDKDGLIVTLVQKVKDLEKKLKERKDWAQKKAMHAVVKGETRC >A03g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11833454:11833910:-1 gene:A03g503530.1_BraROA transcript:A03g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHDGIYFSQLEYLKLCISFDYWLTLLFRLLQDSPKLRVLKLYVSCDDSRKYEAGSWNNNQSSVPECLLESLESFKFAGYRGRPEERDFVSFIFKNACRLKSSSITAPHYPDEETCSSTET >A03p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15689757:15691447:1 gene:A03p037470.1_BraROA transcript:A03p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPPGNNQEGSSAAQKVTSSSPAANGAAVKSVDNGGNTTVDNSETIRALRHNPGISVDWTHEEQSLLEDLLAKYASEPTIVRYAKIAMKMKDKTVRDVALRCRWMTKKENGKRRKEDHSSRKSKDKKEKTTDSSAKSSSHMNVHPNGPSYPPPMMPIDTDDGISFKAIGGVSGDLLEQNAQMFNQVSTNFSAFQIHENVNILCKARDNILSILNDLNDMPEVMKQMPPLPVKVNEELANSILPRPPHQKKS >A10g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11594477:11596241:-1 gene:A10g504730.1_BraROA transcript:A10g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANRASGVTFMIPRSDQRPWSPPVGYCCVYESFFGEDSRLWFPIPRLITSYCFRRRIAISQLMNGAIRIAVALMVMAAEIDVSLTVRIFEELTQVQPKPNGLYSVQMRSGLNVFTSPLIKTKRWQRSYFYVKADDAGFVDPLEVDRRVLWSSSIVGHPNTFGHWDAFRRDLPKIVVLRSQEWKDFDRKRIRRQRRRIANVDWAADIPCEEPKGKKRLKLPIMGTPSIVYPDYSEILAAQLRDTNFGPSANTDGTGSAVADTSIDRAPALAIVTVDSGVEGPVDIRPPKKKRKKTKSSKGVTADPPLDGDETEAAQMQLEPMGGDEVEEGDEVAPIEGSTGPPGDKSSGGETREPGEVTRPIDGGMQTTLSVSEFAFADKFAESLRADAEAAARKNALVMEYEKALQKLTLDLKEAEGTIKMKEAGLEAARKEKHDRDKELAAERGRYSRERRQAIQTAADLEEELETARDIISRLEAEKVEEL >A05p026730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14190660:14194145:1 gene:A05p026730.1_BraROA transcript:A05p026730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNSLCCSYRVEIQRPRSFIANNLCLKMGDSDMVDPVTVLGSTISMWFLSIFCSSHPNNTLTVMEDNGLEIIAEKLQRYKFNTQEEVSDSEEKWILLSFLAVMSQEPDVVSSPAVSNLVPTLAVFMQSDQTIDGYFTTQILAALVRSRNDKIIAEIMNSDIVEALINSVGNTESETWNLYALAEELSLLQKPCEATLEALFKDERLRRGSLTQKSIPLLVNLVKPNANKQTLPVVVRLLSNIAEWGDSSKLLIAEAGGLIALAEYLSSSPQDSTELTVCELLGSLFQCPEITHHKTALSSMKQLIGILHLACGSTRYSAARALRELFSSEHIRDSESAWKALSPLVEMLRTTLESERDIALTALVKLTIAKCPRPDIFNCIEGNPLDNIYKILHSESSSLESKTSAARICAFLFTSEHLRESSSAADCMVPIISLIRSGTSTAVEAGMVAVNRLLDSKRYTEAAEEHDCVNMFFGFVASGNYVISEGAISCLVKMAKDNTPRKMDLIKMGIIEQCVGQLSTHSPSSLCSVIAELFRVLTNVGAVARSQEAIKMVQPVLLVLRRKDLDFQGQLGGLQAVANILEKPMLLESINMASSAIITPLIPLLESESITVQHAAAELLTSLLESQRFQEEIATKDLIVPLVKLAGIRVRNLQETALMGLEKSSITWTKEVADAEGIQELSKVIIDEDPQLPVSLWESAAFILSNILRFNPEHYYFTVPVSVLAKMLFSTAESTVILAIDALIIHEKKDSSSVVEMSESGALDALLDLLRSHHCQELSARLVELILRNPKVRETKLCKLVITPLSEYILDIETRSESAKLLVAMALRDISQHEGIAKATDSALACRALISLIVEEPSEEMQMVVMCGLGNFAMYSRTSRKAMAEAGGVGLVQEMLRSSNPQVSTQAALMIRSLFSNHTLQEYVSCEIIKSLTDTVSVDSPSTAAAMERELWTTAMINVEVVRTLNAVLTTFPKLRSSEAATACIPHLIGALKSGDKEARDSALDTIHTLRQSWRTMPTETARSQAVLAAEAIPMLQLMLKSKSPERSFHERGNSLLNCLPGSLTAAIKRGDNLKRSMGNTNAFCSLIIDNCPKKKTKVKN >A05p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21495829:21500964:1 gene:A05p037790.1_BraROA transcript:A05p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRSNLSRVQIPEPTHRIYKHECCLSFDTPRSEGGLFVDMNSFLAFGKDYVAWNHEKTGNPVYLHIKDTPKSVPEDRPLKKPTLLAIGVDGGFDNNETEYEESYSIVILPDFVSLPFPSVELPEKVRIAVDTVLSAVGAERREQVAAWAAEEKKASEHALTLQQLKSGIVIPPSGWKCAKCDKKENLWLNLTDGMILCGRKNWDGTGGNNHAVEHYKETSYPLAVKLGTITADLEAADVYSYPEDDSVLDPLLAQHLAHFGIDFSSMQKTEMTTAERELDQNTNFDWNRIQESGKELVPVFGPGYTGLVNLGNSCYLAATMQILFSTHSFISRYFSHQSLKLAFEMAPADPTLDLNMQLTKLGHGLLSGKYSIPVTEKDAATAEARQEGIPPRMFKSVVATGHGEFSSMRQQDALDFFLHLLDKVERGNNTRPDLDPSRSFKFGVEEKILCSSGKVSYNKRDDCILSLNIPLQEATNKDELEAFNNQKAGKGLEENNMSTDDIVRPRVPLEACLATFASPEQIHDYYSTALKGKTTAIKTTGLTSFPDYLVLHMRKFVMDAGWVPKKLDVYIDVPDVIDISHMRSKGLQPGEELLPDAVPEEVMETAQPVANEEIVAQLVSMGFNQLHCQKAAINTSNVGVEEATNWLFSHMDDPDIDAPISHQASDVDQSSVDTLISFGFAEEVARKALKASGGDIEKATDWIFNNPNASVSDMDVSSSSSAQTPAQTGLPDGGGRYKLFGIVSHMGTSTHCGHYVAHILKEGRWVIFNDSKVGISTDPPKDMGYLYFFQRLEN >A04p007070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9067813:9069183:1 gene:A04p007070.1_BraROA transcript:A04p007070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQIVMLVIKSTRPRFRNNHDKVAFVVHATFVVSGYKLVAIGRHALATLSLPLLLERNREPEPVHYARRPFPLGPQFHQPS >A02g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23936434:23944760:1 gene:A02g508890.1_BraROA transcript:A02g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTRWNGQARGVAMHATEACGQPCVRWGVDLHAHQSCSRPSGRGCVILHETEACSQPCGARGGTAKHEVSRCMRLGHAARHVEDVVSACMTSGARGAATHASGAMRSDTRAATRLVPDWLMIPINRPRTPLISIHPEHIKPTSKHKEKEKKERSSFDISTWRRFCSSEERSVLVETSSSEDQSRRGSTCEGRERVRITEVGFGVKATGPVTWKLDHGRRPDEATMIRNFMYGLKPELGSRLAGSNFSSLSELVEKAVNVETVLEAERKTLPHSGGHTKFSQGETPNFNKGPRSYKGKGRGFGGQANNRGNTVVCYICDQPGHISKFCPNRQRSNQQGYSSIRMEDVTCFSCGHQNGRIKIFGIDRGTKIHRKGRNRPNRPRSSRWLDVYVFRTWWQALDSVCVKGSRRCCSTCNMYIQVDMWSTRWNGQARGVAMHATEACGQPCVRWGVDLHALQSCSRPSGRGCVILHETEACSQPCGARGGTAKHEVSRCMRPGHAARHVEDVVSACMTSGARGAATHASGAMRSDTRAATRLVTDWLMIPINSTWRRFCSSEERSVLVETSSSEDQSRRGSTCEGRERVRITEVGFGVKATINQKLVQLLGSWIMAGGQ >A04p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9824619:9825677:-1 gene:A04p015910.1_BraROA transcript:A04p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFLISIALTITFNNHTSKAANPAVSFKDNVPCRKNNFFSVSDSYRDLECRFRQVKPSFKQKTRGRYRRESHVLCLGIKRCSRNGKNQIFLAVKKHPERKSSRNLKQIRKESQVHFQHKKQQSSRYKKQNIGDKKLFFPPPWFLPPNPFAPPPSIFPPNPFQPPPPSIFPPLPFQPPPAPPPSIFPPLFPQPPPAPPPSIFPPIFPQPQPSPPPSFFPPNPFQPRPPQPPPTPPPSFFPPIFPPPPAAPPPSPPSFFPPNPFPPLPPIFPGLNQPPPPPPPPPPPPPSIFPFPPFPFLPPPRNPGPPPALSSSANKQPT >A04p008030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8559179:8559703:1 gene:A04p008030.1_BraROA transcript:A04p008030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSDLTFGFGSLENRSGGMDVRLLSDGSWWWWSRSGSDLDFGGGFSLFLCLLVLSSRLGGHRCRCFKPVDRSLGGSSELPSVPVRLVCYGFSVAGAHVRRPVVGLVNHGCSSRWWRVRDCKYQGLDLGLPPGRFDSRRSLFSPSS >A09p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000058.1:29591:38750:-1 gene:A09p040510.1_BraROA transcript:A09p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLTDTHGRLVCADRHTRTAMDILCVLANSHRQARMSYVCRRTPTDVLCVLNRQPTWAKITRTVQRKGHHAESKDQHTDVLCVLTDTHGNTRTATDVLCVLMDTHGRSVCADGHPQTSYTATDVLCVLNRQPTWAKITQTVHGKGQRAESKDQPTDVLYVLTDTHGHTRTATYVPCVLTDTHGRPVYAGGHPRTSCPRGPKSPEQSTGMVSVLSPRTNVLLCVLMDSHGRPVCADGHTLTHTDTHGHTRTATDVLCVLTDTHGRPLCADGHTRAQPTWAKITRTVHGKGQRAESKDQPTDVLCVLTDSHEQPRTSCVCWRTPTVRLDPGRLGRGRCLTSLAGSSIVSSNRLVKIYVRSASYKSGQKAHGPSDVPQSEDQSMDSDQNEDQNVRNNATEVQSIDRAEHTGRAVYRLDPHSSGLELQHNPRPDGQINRTEARLSRPVRHVKSFGQARSEVVRVESKSDHGLSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERPGRVLLLTAGRAIGYIEPGQELSPSDSLSLSRTCVSNQAAIESSSSIIGTSASLCVTKQSISSLSLQIEFISSEPVECSFLRVLQVNVRNNATEVQSIDRAEHTGRAVYRLDPHSSGLELQHNPRPDGQINRTEARLSRPVRHVKSFGQARSEVVRVESKSDHGLSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERPGRVLLLTAGRAIGYIEPGQE >A02p056930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34295759:34298503:-1 gene:A02p056930.1_BraROA transcript:A02p056930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTTQATTSREVKIRKKGVDLKVSESDDVLASVSSRPYKPARRAFESQRPKPTRAAFESQRREPVRPSFESSRPPKPFPAIFESQRPKPALATFEAPRHVPDRPIFKPPRPVPPRPTYEPPRPVPARPAPGLRKEIVCGLRAASSMNPTTVRQRTNPTSLSQPRTVELPPSPRALQTRSRVKLPPSPRAFQIPSRVRQQDTTDATIHTIQETVVEAGDKAKDHGPKETSRSTSKERISELLLYRPALLPTWKGRMVDSTTLFPEFDCQFWANPPSNISRKALRLSMAMPIFLEVELVPTGRILNNVLFGRIPKLSDVELYFFLDEKETVRSKGERAHLFETMASRKAMIKARTSHHLDDGNVVDMDIDPEDQKTLGLTLQPIAVSQSTSSSLPPGFRMIWTPTSSRPC >A03p068740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30101999:30104019:1 gene:A03p068740.1_BraROA transcript:A03p068740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKDTAAPPLRKIISVASIAAGAQFVWALQLSLLTPYVQLLGGPHKWSAIIWLYGPISGMLVQPIVGYSSDRCTSRFGRRRPFIASGAAFYAFAVFLIGYAADIGYKMGDKLEQTPRVRAIAIFAVGICFLDVASDTLQGPCRAFLADLAAVDAKRTRAANAFYSFFMAVGNVLGYAAASYTNLHTLFPFAMTNACDTYCANLKSCFLLSIILLLIVTVTSLWYVKDEQWSPPPVNPDEDKTVSDDASFGEIFGVFKVMERPMWMLLIVTALNWIAWFPFLFLDTDWMGREVYGGSSEGDDRMKKLYSQGVHSGALGLMFNSIVVAFMSLGVEWIGRKVGGAKRLWGIVNFILAIGLVMTVLVTTLAADYRKTAGPYAEPSPGIRAGALSLFAVLGIPLAITFRIPFALASSTISSSSGAGRGILNLAIVIPQMIVSLGGGPFDALFGGGNLPAFIVGAIAAATSGVLALTVLPSPPSSNNKKKNA >A05g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11217446:11219451:1 gene:A05g504000.1_BraROA transcript:A05g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELDARSSTSTIKQNQAHPNHQFMRYDSHEQFDDLKIIFDGTTTNGGNSLGLSDTTDAISYNKETRDNKKKLKKEGRNLDWKRSNMKLEKKKKNNVWDAMKEITNDE >A05p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:55105:55383:1 gene:A05p028980.1_BraROA transcript:A05p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWCKDRAFGTAMVRHRVIQEGVRVNHRRKLDEGIGRVYGPQGRTAFELTRPLKAYGGKEYAEHLV >A04p012470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5060170:5061295:1 gene:A04p012470.1_BraROA transcript:A04p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTICLEKVVKEIFGEQDILVLHLVVLEMGFADIELHPPAGSGGVPGDAPCLKRAGPPCGGGPTMENINFG >A07p010430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6541817:6544272:-1 gene:A07p010430.1_BraROA transcript:A07p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLKMQRRFLSASAGNSKTLNHRRWSVKQVTKSNFKSSLDELRTSIESSDFVALSLQNTGSHAAAWHRVSAIDTPQTSYLKAKYAADRYQIFQFALCPFSLRGSKLTVHPYNFYLFPRDELKLGMPSYSFSCQASRLTAMAREGFDFNTCIYEGISYLSREQESASKFLSGNPILPDPITVPSSPSTVADTVFVGRIRSRVKNWRQSCIDSSSKTGDDDLVSSLRKLVLGSEQYGSRLCLTIDVCSERQVQLILEMLTEFSDDVVPLLVASKSRGTQAVRTVFMSSKEDKDLFKRELQDLENEENRRVRGFREVVDLISSSQKPVVSQNYLSDFTSIHAKFLGPLPSNVDDFSSSLSPAFPNVVDLSQLMKEISPLSNISNLPAAMSSLNRFFAPVDVEVANQGCVVKPDEGHQMHGQNVVMISQLFAKLSTIQKSEKSSVQTNEDLQALDSAEHANSITSNDENVKVWSKNSRRVSSENLVFIWGLSKKMTAAMLKNDLQKSHAVFAREFDVKYLDRSCAVVVFWESGSSETFLREVNNEEQLVGSLREMAAEGLRVAGYETYRRACRLGFWEAELAEALDKTLESSDTDLDSDTKPSDISWSSELTINFDEL >A06p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21607500:21611107:1 gene:A06p039980.1_BraROA transcript:A06p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFRSGSSLAKLAIRRTLSQSRVLPSSHTRCFHSTSLKSNAAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPEDLTPGLYGFSEADLDREFFLGVWRMSGFLSENRPVQTLRAILSRLEQAYCGTIGYEYMHIADRDKCNWLRDKIETPTPRQYNSERRVVIYDRLTWSTQFENFLATKWTTAKRFGLEGAESLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTRPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKHLHLSLLANPSHLEAVDPVVMGKTRAKQYYTKDESRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYCTGGTVHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNADDIEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSSLQIYQEKLVESGQVTKEDIDKIQKKVSSILNEEFEASKEYIPQKRDWLASHWTGFKSPEQISRIRNTGVKPEILKNVGKAISTFPENFKPHRGVKRVYEQRAQMIESGEGIDWGLGEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVLHDQETGKEYCPLDHLTMNQDPEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVMFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMDPTLRKQIQECNWQIVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKKCVSNLSEFDDVKGHPGFDKQGTRFKRLIKDQSGHSDLEEGIRRLVLCSGKVYYELDEERQKSGTNDIAICRMEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYQYIAPRLCTAMKALNRGSFNDIKYVGRLPSAATATGFYQLHVKEQTDLVHKALQPDPITPILP >A04g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2351508:2353210:-1 gene:A04g500830.1_BraROA transcript:A04g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAYICAKLEILIIITCRLRLVFPHDGIVQKHLKSSDSHCPVCKEEFELKSEAKQMPCKHVYHSDCIVPWLVQHNTCPVCRKELPSRGSSLSAQSEQNRSTNRRRNLFSSVWPFRSSSSSSTQNRRETNNTANTEEGQYTRYQHHHQHQQQQANMGYSGWPFD >A05g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19485665:19487358:-1 gene:A05g506910.1_BraROA transcript:A05g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYGIYGGEEFQRLRGEYCWGQKRSRRNYHPKTLGNHISERNTTELEPKLGRYVATERPQPKLGRYVATELGQARSLRSDRALVPLGHYVATELEPKFGLCVVIELFQNVDTTPVHAFSSNLQMLSPEDCSKLIRSLSKEIVVNVSSRKTAQRDLKHDSRPILRFLNQKPVNHSTVYAWSTRKDKCIFPFLLFRAATQLGLAVLGLLELGISPTGLEPRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVPTSTCCISLVKLSKPIFFLRLIFPVAGFEILSRTSGWYSFKLHLSILPFEYSRLEASLISAIIFLDRLSANLALFVNRQAPEVSLFTGVAVADLFYLERA >A01g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16502651:16505529:1 gene:A01g505550.1_BraROA transcript:A01g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09g510370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31517170:31519867:-1 gene:A09g510370.1_BraROA transcript:A09g510370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVRQHTQDVHGFPWLSVSTHRTSLAVHVCPCVSVSTHMTKFCPTWAVFSVHTGRLWVSASTHRTSVAVSGCPSAHTGRPWLSVCVRQHTQDVRGCPLVHISRWSLDSACWSLDSACWPFPWTIWVILAHVGCLFSTHRTSVGVHQHTQYVRDCPSAHTGRPWLSMCDRVYPSAHTRRPWLSMSTHITTLVLGLSMLTLPVDCSGDFGPRGQSVQYTQDIPHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPHGLSVQYTHDVRGCPPAHTGRPWLFVAVRQHKQDILGCPCNFAPRGLSFQYTQDLRGCPPAHIGRDFGPCGLSFQCTQVVCGCPPALQDVRGCQWLSVSTHRTSVAVHVCPSAHTGRLWLSISTHISALVLGLSTLALPMDCLGDFGPRGLSVQYTQDVWGVHQNTHYIRDCPSAHTGRPWLSVCVCLCPSAHTGHPWLSISTHISTLVLGLSTLTLPVDCLGDFGPRGLSVQYTHDDIRGCPSAYKKLPCVFVSTHRTSVAVRVCPCVSISTHMTSVAVRVCPSAHTGRPWLSINTYISTLVLGLSMLALPVDCLGDFGPRGLSVQYTQDIRGCPPAHTGRLWLSVSTHRMSVAVRVCPTLALPVDCSGYFCPLGLSVQYTQDVRGCPSAHTERPCVSVSTHRTSVAVRVCLCVSVSTHKSVVVHQYTYQHVGPWTQHAGPSHGLFG >A01p006000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3093737:3095032:1 gene:A01p006000.1_BraROA transcript:A01p006000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVIGNIAVRRHFQRALSSKSGGGSGKPSDVSAAVDSMLLRSLKEHYLEVSKMTPPPKVTPPSPFEIVKGSLEGTGAVLRKSIGNEEINLFVMRLAHGGDDEDEDGINQLFLHVAVSKPNQAESLHFLCGLYPDALGIHSVSMRPKLEDLELSDDPARYTGPSFEELDEKMRDVFHSFLEERGVNESLFPFLQAWLYVKDHRNLLRWFKSVGTYVHESPSSENNA >A03p038220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15955267:15957094:-1 gene:A03p038220.1_BraROA transcript:A03p038220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKERVKVAWSAEEDVVLSNLVEKFGPRNWSLLARSVPGRTGKSCRLRWCNQLDPSLKRNPFTEVEDQAIITAHSIHGNKWSVIAKLLPGRTDNAIKNHWNATLSRRCMDFEMLTTNNLVPGDSGFDRTIASSEETFSSGGGVHVTTPLVSSDGKEATSTDMSEEQCTDKTNEEGNNDPPTLFHPVALLSSFNAYNHMEGSSFSKFQSCKQDAAMLRLLEGAYSERFVPQKCGRACCSDNPVDISQKNSLLGPEFVDHLDPPTFPSYELAAIATEISSLAWLRSGLESSSVRAMEEAAGRLRPQGSRGHRDHCLASKQGKSITNVLST >A01p010850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5303208:5304010:1 gene:A01p010850.1_BraROA transcript:A01p010850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPKGKGLEDGEQFASSDHFTAPLYHIKINASIESLINREYMERDKKINPSPWKKIKAHLEVEFSTHQLRNTHKSCDHLHKKENKVGQQQNRNKM >A05g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6067030:6075515:1 gene:A05g501780.1_BraROA transcript:A05g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRERRPMYNILIVKRPIVSQNRSLNYLPLRVFSLLLSLSTNFPASAILISSAGPEKIKPYLRRSKGLPLHPNFSLSGEVPEVPVVSTKSGLLFERRLIETHISDYGKCPVTGEPLTIDDIVPIKTGKVIKPKLLHTASIPGLLGTFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDSACRVIARLKKERDEARQLLAEVERHIPAAPEAVTANAPLSNGKRAAGDEETGPDAKKLCPGISADIITELTDCNAALSQKRKKRQIPETLASIDALGRFTQLSSHPLHKTKPGICSMDILYSKDVIATGGVDATAVIFDRPSGQILSTLTGHSKKVTSVKFVGDSDLVLTASADKTVRVWRDSGDGQYACGHTLNDHSEEVRAVTVHATNKYFVSASLDSTWCFYDLSSGLCLAKGYSGATRRGRTLILSDAGFSAYAYITVADDSEKVDYTAAAFHPDGLILGTGTSQSVVKIWDVKSQANVARFDGHTGEVTSISFSENGYFLATAAEDGVKLWDLRKLRNFRSFSSADANSVEFDPSGSYLGIAASDIRLYQTASVKAEWNLIKTLPDLSGTGKATCVKFGPDAQYVAVGSMDRNLRIFGLPGDEKANADDDSAQDS >A01p020910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10203865:10206939:1 gene:A01p020910.1_BraROA transcript:A01p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDTHSQEHEGDFINITQLSNPAGDENVGHPSADEVTNQTDVSPPQVTENSKETKCGMGPGTDKTQETSRKDDGPETENDVGKEKHLEKDHEGSWSKKGHEDVLKEIQMPKTHDLYCSNCTHKITGTAKLFKKGQETFPYNIDRFVFVIIFSFKYPFIYIPWLYNITQDPVKTIQSDPIHRPEEPDKTNQLLPPEEPVKRLKIDQPIPPVSPKEPVKLNQPNPLPPKVPSDPKPDNTLATLLVNIREKLLQNRLPRLSPTSQRFLLVLVLLLLSVILLLTLPSRPSDPSPPPPPPQRPSKHGNSKETDVQLGPGENVPENGEGGDVSFSWLTNFLSSNYLSIILLLFLAILAVLWRSMIEVRENDPQDTGAVTNPQELDDVTDQAEGPLTNLNTNHPVGEVIRIKLLQLKLDILKSIVYGGLIESITSFGVVSSAAASGTSTLNVLALGLANLFSGLFIIIHNLYGLFKRPRYQSWNNDHMPELVSVDPYEELLGKRNKVILHCFVVLISFIFFGVIPPLFYGFSFKITDKGRYQEAAIFVAASLVCVISLSFAKAYAFGMDKLKTVAAYTGIAIGGSALSFVASQHARDVFANYDFHKLASDYLKG >A03p030650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12879569:12881444:1 gene:A03p030650.1_BraROA transcript:A03p030650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPESTVGGEGRPVKLPKTKDNEDVVGSTSEATQVPVDSIMADVATNDLDGKTNAGHGVVSVMGRQRAMTTAVSTVVDEIPSYDIFGIFDGLRLAKLLEERLCRLVKEEVTACHGRGVAADWSKVTRSCFSEAVGTVRSTAAKAVVTIVGKEELILLCRGGARAVLYSQGGIALPLCDIHRHQSDVDQKLKIHKRTMIDDFIVLACEGLWDVVSDDDTYRLVKSCLYGELPDGCKSESSSTKAAVILAELAIARGSKENINVIVIDLRSSTVS >A07p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11360382:11361989:1 gene:A07p019110.1_BraROA transcript:A07p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAASSNNKEGESGEEGYTALEKHVAFFDRNGDGVIYPWETYHGFRALGLGRLPSAIAGLFINMGLSKKTRPGKGFSLLFPIEVKNSHFCIHGSVTEAYDKNGRFVESKFEEIFKKHARSHRNALTYKELLQLLKSNRDPGDFGGWIAAYGEWKLLYELCKDEDGLLTREAVKGAFDGSIFRKLEKERLSSSHKKNEKRRR >A08g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1322198:1324583:1 gene:A08g500540.1_BraROA transcript:A08g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNSKDKERSLSFLCCWYLGRRRVAMLLLLSLAFVVFVLGSYTINKESSNSPNIHQSIETIEFGINQTPLSRELSSFYTGDSNNDQTTRGSDVDIIHPPPSLPSHHPCDSFSFPPPPPPGLRRPGPRPCPVCYLSPEEALAHMPKHQFESPVLKNLTFIHEESPVKHEEGQGGSEFGGYPSLEDRTNSFDIKESMTVHCGFVKGTKPGHQTGFDIDEDILHEMDQFHEVIVASAIFGKYDLIQEPVNISEMARKNIPFYMFVDEETHSYLKNTSSYTEDNKRVGLWRIIIPKLLLHRLFPNVKYSIWVDAKLQLVVDPYQILERFLWRTNSSLAISKHYRRFDVFVEAEANKAARKYDNASIDYQVEFYKKEGLTPYTDAKLPITSDVPEGCTIIREHIPITNLFTCVWFNEVDRFTSRDQLSFAVARDKIREKVDWSINMFLDCERRNFVKQVYHRDVLMNMKPPRASSSKVLPEPLPLPRGKSVGGRANTGKKSPGQRGKRRHRKVSAGGRNMR >A03p011310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4556387:4558303:1 gene:A03p011310.1_BraROA transcript:A03p011310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RZFP34 [Source:Projected from Arabidopsis thaliana (AT5G22920) UniProtKB/Swiss-Prot;Acc:Q9FFB6] MEMGFQENQQNQELTNLREIGSGHYGCSHYRRRCKIRAPCCDEVFDCRHCHNEAKDSLQTEQLLRHDLPRHDVSKVICSLCETEQDVQQNCSSCGVCMGKYFCSKCKFFDDDLSKKQYHCDECGICRTGGEENFFHCKRCRCCYSKVMEDKHRCVEGAMHHNCPVCFEYLFDSTRDITVLRCGHTMHLQCTKDMGLHNRYTCPVCSKSICDMSNMWKKLDEEVAAYPMPKVYEDKMVWILCNDCGSNTNVWFHLIAHKCTSCGSYNTRQTQRGPDTTHSCSSGVPQVVGSTG >A01g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6056622:6057261:1 gene:A01g501670.1_BraROA transcript:A01g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIGRLLAPTAHQQVRCSRWLLAPSLTFSSGDDVGFSPELSRFQFLLRFLGSLVPINFRCPQSIASSSLELLTRFFSVCGVSTARVISTASSLRRPVSGVSGGSAASSSGDDSPVVVSLAGHVSKCVRRLALFEPYGEIHWFGCGFKSSMVFPVDLDEISGLSSMDLSVGIVW >A01p053040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30296816:30299075:-1 gene:A01p053040.1_BraROA transcript:A01p053040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASKKSTSNDTTKEIDPTLIPKSPHAPFSLKLGDNVPRNPHFDLKKMDPLVRHQPSKSPEPPTATRGGTNEADSKHSEGDGLGTRKNPPKNLHYDPKKIVPLTTPETPSPSARTHPQRRTKSPDNKRAPRHNADSAYGDCPSATPFKPHTGGDVRWDAINSVTSRGPQIGLDSFRLLKRLGYGDIGSVYLADLQGTSAVFAIKVMDKASLASRNKLPRAQTEREILSLLDHPFLPTLYSYFETDKLYCLVMEFCSGGNLHSLRQKQPNRRFTEEAARFYASEVLLALEYLHMLGVVYRDLKPENILVRDEGHIMLSDFDLSLRCTFNPTLVKSSSVCSGGGAILNEEFAVNGCMHPSAFLPRLLPSKKTRKAKSDSGLNGLSMPELMAEPTDVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGPGNRDTLHNVVGRPLKFPDLPHVSSAARDLIRGLLVKDPHRRIAYTRGATEIKQHPFFEGVNWALVRSAAPPHIPDPVDLGPLTAARGKSKGHGGSDHYNNPMKPDAQVACAAGPATPTDDTAYVDFEYF >A04g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3346051:3346552:-1 gene:A04g501260.1_BraROA transcript:A04g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYPFSVNMSAELLKTCYGERNPSTLNMNGVQFLFTFNLQEEGLAFMKLVVDEGYERAVYTYAMIRKLFLGDEEYFARFTRESVDRIGKLQRGTSSFQPLFLRSIVANVFLFWSEIGSCGSLKTVRVTKCVTAVSGSKRWGSSSVSLNR >A06p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1775687:1777084:1 gene:A06p005570.1_BraROA transcript:A06p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48030) UniProtKB/Swiss-Prot;Acc:Q9M5K3] MICLIASSALLHSSHMYHEAKHAFAHHGIKLASVEVDLPAMLAQKDNAVKNLTRGIEGLFKKNKVTYVKGYGKFLSPNEVSVETIDGDNTVVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLSEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAGDIVPSMDGEIRKQFQRSLEKQKMKFMLKTKVVSVDASGDGVKLTVEPSEGGDQTTLEADVVLVSAGRTPFTSGLDLEKIGVETDKGGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQLKKDGVSYRVGKFPFMANSRAKAIDNAEGLVKILADKETDKILGVHIMSPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >A08p005450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3131888:3132274:-1 gene:A08p005450.1_BraROA transcript:A08p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRKTLLQREIEEENRARNQITVSPTKSQIVVTDANAASTGPSEVAFNVCCLCVYCPLCVLWCCIKQPCTIGWRAILKARGQLSRCTSCGRSYSRRVKAADYSSFSDIDSDDVNCKAHNCSKRNGRL >A05p008610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3557683:3560310:-1 gene:A05p008610.1_BraROA transcript:A05p008610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKWRKMKLALGLNLCTYLPRTLEESPPALNSTERLSDVALLSPLNWPMTPTPSSHGLKLSRNSSKKSSKTCSICLTKMKEGGGHALFTAECSHSFHFHCIASNVKHGNQVCPVCRAKWKEIPMQHPPYLLHRLPTPRRVMNQGRVLPPEPSMFNDDEPLEQQLAFPGKSLKKMMELKIHPEVSSVPRGESREKFDVLVHLRAAGMVTAPLDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLTKMSDSGRHLALQAVNSLVANGGTNIAEGLRKGVKVMEERRDENPVASVILLSDGRDTYTMNNNQPDPNYKMLLPLSMHGRFQFQIPVHSFGFGSDHDASLMHSISEASGGTFSFIESEAVIQDALAQCIGGLLSVAVQELRLEIDGYSSDVCLSSIKAGSYPSLVGGDGRSGRVDIGDLYADEERDFLVSINIPAERCGDETELLKMRCVYKDLLTKEVVTLESHVLKIQRPETVGEEEAVVVSIEVDRQRNRFLAAEAMVKARGLAEEGDLAAAVTVIQEFRQVLAETVSAKSNDGFCVALDREMKEMQERMASRHVYEVSGRAYILSGLSSHSWQRATSRGESGDSSSFVQAYNYQTPSMVEMLHRSQATSYHRLIQPLLSYVSQPRPR >A07p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21560066:21562020:-1 gene:A07p040720.1_BraROA transcript:A07p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALMLRRFICVNASATLSSVAPSPRKKPLIFLGSPQVSVTVLEALFDASSAPNSSFEVAGIVTQPPARRDRGRKVLPSPVAQYALEKGLPSDLIFSPEKAGDEAFLSSLRDLQPELCVTAAYGNILPTKFLNIPLHGTVNIHPSLLPLYRGAAPVQRALQDGVQETGVSLAFTVRKLDAGAVIASESFQVDDQIKAPELLSLLFSEGSKLLIRELPSIFDGSAKSKAVPQDDSKATLAPKIAPDEAWLSFDQEAFILHNKVRAFAGWPGTRAKVLVLDDKSGQQNELELKIITTRICQSTEVLNGEQDYVTFKKGSLVFSCGGGTALEVLEVQLPGKKAIDATAFWNGLRGQKLKKL >A08p040960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23233387:23238338:1 gene:A08p040960.1_BraROA transcript:A08p040960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLDALLGRSFKTNKFKSLLNLALTRLSILKNQRQVRCSQATSDVTELLKLGHHENAYHRVDQVIKDQNTLDVLFFIHGYFTLLIDRVHLFEHNRDCPDEILEAVSSLLFAASRIGEFPELQEIRNVLISRFGKDMAARSIELRSNCGVNPKIIQKLSTRHPPREVRMKVLMEIAAENNIVLKLEEASSTSTEIKSSDVPKAKLTSEDGEGYELSDSVKRGKKKYKDVADAAQAAFESAAHAADAARAAVELSQFSPRGGGNSFSGSENKISEQEGNADDFRGGEVDVRSESKRSMSDSDEIIEDVPVMSFREDPVKLLEKDVVIYDSEEEIQYTTKPNTTTNVKEKQQVMDLPNRADTGHVDHMVHSVGDPFMRKVGLKGPVSVRTRQIVFEKVLSFDGPTTLQEVKNLSSKRKAVEESVNRSSNVTDAIAREMNRGITSCEQDLLKLGEYLPLLFNLVHHADKIKHVSGLKIRWSSGLISQTLIQRKCPKFFQVDNIMFELGMVLYFYALKLRERAMELVSTDVKKSITLYREASGVFHHLSHDLLPSLLPSLPQGKLPELTPWLCTSLSLLCLAEGQAVTTKNAEESGRSASLLSKLHYGTTQMLSEASALLSSRANGECKDLSSRFLEYVSTMRALHALKSQKHLAEVLESEERVGEAVGVLRRASAAARRSMPSKEDKWITIFKNEREEVSKKMAKYEKLNDFLLERIPVETELPFPKGETIVKLIPYIPTRWEQELRFK >A09p069340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54040982:54042311:-1 gene:A09p069340.1_BraROA transcript:A09p069340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid hydroperoxide glutathione peroxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25080) UniProtKB/Swiss-Prot;Acc:P52032] MASSSSYYSPFSALFDVSKPNPSLNPAAFLVPSLKFSTAISNFANLSNGFSLKSPINPGFLLKSRTFNVQARAAAEKTVHDFTVKDIDGNDVSLNKYKGKVMLIVNVASRCGLTSSNYSELSHLYEKYKSQGFEILAFPCNQFGGQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPSTAPIYQFLKSNAGGFLGDLIKWNFEKFLIDKKGKVVERYPPTTSPFQIEVRLTQLLPVQFISKDIKKLLAA >A09g511370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34034990:34035579:-1 gene:A09g511370.1_BraROA transcript:A09g511370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNGLEGSCGWVMGVDNQSGLCLDLSRSGLAVPGRVVTAIGERVQSVPLIKSMARNDTEGMQRLRSNHPFSYIRKASPCLWRHARASSYTLKHKETQRKDRELVGFPIQDPWWCEGHKETVLGQIKGEVENDPLKALSGACEHTQMVRKGGSWPESIPKGQCSLKGRLVWKAVSWGTKREVMHDL >A09g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10533245:10534233:1 gene:A09g503280.1_BraROA transcript:A09g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIHGDQCGNQIGAKFWEVICDEHDFCHSLGGGTRSGMGTLLISKIREEYPECELINLVLPNPPWLYDDDAFYSSCLAV >A10g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1891629:1892146:-1 gene:A10g500580.1_BraROA transcript:A10g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSHLPTRILSYKGHSSLSECIDGLAALLAKKLLGSDVEPKVVLADHIKSNFVRVKNQLSVNICTLGSPH >A01p051800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29027783:29028751:-1 gene:A01p051800.1_BraROA transcript:A01p051800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQDEYEKSSEWFVKYLNRQGDWLEKTRGNLMVAATVIAGMSFQVMVSPPGGVWENDNCLSGTSPVCKAKAGTSQYRFIFCFDEPHSTSHQRLKTQEPADNGDTRNIYGSSGSLNISSVFLCRRIGSVR >A07p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12668176:12671831:-1 gene:A07p021650.1_BraROA transcript:A07p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLACVEDKMKTNGLVNGGTTTTTPQSTLLEEMKLLKDQSGTRKPVINSELWHACAGPLVTLPQVGSLVYYFPQGHSEQVAVSTRRSATTQVPNYPNLPSQLMCQVHNVTLHADKDSDEIYAQMSLQPVHSERDVFPVPEFGLLRGSKHPSEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTAQPPTQELVVRDLHENTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLMVGVRRANRQQTALPSSVLSADSMHIGVLAAAAHATANRTPFLIFYNPRACPAEFVIPIAKYRKAICGSQLSVSMRFGMMFETEDSGKRRYMGTIVGISDLDPLRWAGSKWRNLQVEWDEPGCNDKPTRVSPWDIETPESLFIFPSLTSGLKRQLHPSYFAGETEWGSLIKRPFIRVSDSTNGILPYASFPNMASEQLMRMMMRPHNNNNNNQNATTSFMSEMQQNVLMRQGGLLGDINMQQQPMVQMQPESKLTVNPSASNTSGQEQNLPTQSMSAPSKSENPTFSGCSSGRVSHCNEQQTMDQANQVCNEEKVNELMQKPVALSPCPDISQQIYPPTNGFSFLETEELSSQVSSFQSLAGSYKQPLMDSSAVVLPDTTNSSLFHDVWDNQLNGLKFDQFSPLMQQDLYGNNSTNSNILDPPPLSNTVLDDFCAIKETDFNCLVGNNNNNSTSFTQDVQSQITSASFADNSGGTGTSSSNVDFDDSSLLQNSKGSWQQKLATPRVRTYTKVQKTGSVGRSIDVTSFRDYKELKSAIECMFGLEGLLTRPQSSGWKLVYVDYESDVLLVGDDPWEEFVGCVKCIRILSPTEVQQMSEEGMKLLNSACINDLKTSVP >A02p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9021420:9025985:-1 gene:A02p019580.1_BraROA transcript:A02p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/TrEMBL;Acc:A0A178WLP2] MDGDEDFYSGNEFADNDSDYADSVDADYEFVEDDVDDSDDLLFRRRQQNYSVLSEEDICKLQEEDISRVSSVLSISTISSAILLRHYNWCVSRVHDEWFADEEKVRHAVGLLDKPLVHFVSDAEAELTCGICFESYLSDKLHAAACGHPFCDSCWEGYISTAINDGPGCLMLRCPDPSCNAAIGQDMINALAPEKDRQKYTSYFVRSYVEDNRKTKWCPAPGCDYAVNFVVGSGNYDVNCRCCYSFCWNCAEEAHRPVDCDTVSKWIMKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKYEFCWLCLGAWTEHGEKTGGFYACNRYDAAKQDGIYDETEKRREMAKNSLERYTHYYERWATNQSSRQKALADLKKMQTDDIEKLSDIQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYIPDHEHGKREFFEYLQGEAESGLERLHQCAEKELKLYFEAKGPSEDFNEFRTKLAGLTSVTKNYFENLVRALENGLPDVNSHGAYGRAASSKNKGSTSRASSSDSGGQWACERCTLVNPKSVTICQICEHGR >A10p033060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19389285:19391649:-1 gene:A10p033060.1_BraROA transcript:A10p033060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFKLVTFIFLVSVVQSIESDRNVKSFKVDEKNNKKYLNEKIIYDCVDIYKQPSLSHPLLKNHKIQMEPSFSSWKPKRQTKNKIENRISINCPNGTVPILRNTKEYIANAKYWAEKHFNPLTEDSPGTHIAGVRSQHEGPYHGLAAWMSVHDLNISRDQASYANIYVGSGYNKKTNFIQTGWMVNPSLFDDGRTWSYGFWKGANGAGCYNTICPGFIQVSTTDPLSVPFPYPRKGDRAVNPSISQDYATGHWWTILVRATKKDIKIGYWPKELFDIIGRSVDMVGVTGVVQASPSGISPPMGNGHLPTQKEDESARVKNLLIVDTKYNFMPSRNYKLEKLLDNNKCYGLKDGKKPIFAKEYNLFTYGGPGGDSCGI >A01p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5179614:5182253:-1 gene:A01p010580.1_BraROA transcript:A01p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR2 [Source:Projected from Arabidopsis thaliana (AT4G18020) UniProtKB/Swiss-Prot;Acc:Q6LA43] MVFTSNDISKWENFPKGLRVLLLDCGDGISAAETRSKLESMDYIVTTFTDGTEALSAVIKSLESFHIAIVEVNTSDENESFKFLEAAKDHLPTIMISNDHCITTTMKCIALGAVEFLQKPLSPDKLKNIWQHVVHKAFNDGGTDVSESLKPVKESVVSMLHLDTDMTIDEKDSAPLTPQLKQVSRLLDGGDRQENINSSTEKENMEDQDIGESKSVDTTNHDDNVIVKEEKLDGETGDTKSEKTDSVKFQKKEDETTKHNNKSTGIKNLSGNKPSRKKVDWTQELHKKFVQAVDQLGVDQAIPSRILELMKVDGLTRHNVASHLQKFRMHRRNILPKEDHNHRYIQSRENHRQIQRQYNGFQQQHRPVMAYPVWGLPGVHPPGAVPPLWPPPLQSSGQLPPWHWRPPYPTVNVNAWGCPIVPPVTGPFSSPTVTGTFSTPPATQLDEEMVDQVVKEAISKPWLPLPLGLKPPSAESVLAELSRQGISAVPSSSSSINGSRRLR >A01p001400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:538407:538769:1 gene:A01p001400.1_BraROA transcript:A01p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCFSPIRVTGTTSSSSRRSSSQTNNNKKNPKSNNKTPPPPENNNDKPSTTTKRRTGSVPCGKRTEFGYAKDFHEQYSIGKLLGHGQFGYTYVAIHKSNGDRVAVKRLDKSKVVLFSAV >A03p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6836141:6838745:-1 gene:A03p017070.1_BraROA transcript:A03p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQKPKSKRQEEEEEEEEGNNVGCREEQEQALVALVEHRSAETERLKHHISNYQAKLIEAERSLRDSKAKLANLRGHDDGVSVPSVSSAKKENPLKTLRNVNDDESTRNVTPSRKEYHNSSLPTSNSSISKSKTNTPVVVKQKPETSSRDSISNSKSKTVVVKQTSSRDSPNLKASRDRDRGTKRKFARNSSPTTIKCNSSNQISSQHKRKLRSLILCPVNEQLFATSSLDGMVSLWQLQPGRLAASLLSTTDCLSQKQRRWGEDMAWHPSGHTLFSVYTADDGDSQISILNLNKTRGFTNVRIFDREPEKQLRLFDIRLRRTELHSFGWKQDSSESQSALINQSWSPDGLYITSGSADPVIHLFDIRYNSRKPTQSIKAHQKRVFKAEWHYSQPLLISISSDLNIGLHKIS >A06g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20993994:20995426:1 gene:A06g507480.1_BraROA transcript:A06g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparagine synthetase 2 [Source:Projected from Arabidopsis thaliana (AT5G65010) TAIR;Acc:AT5G65010] MCGILAVLGCIDNSQAKRSRIIELSRRLRHRGPDWSGLHCHEDCYLAHERLAIIDPTSGDQPLYNQDKTVVVTVNGEIYNHKILRENLKSHQFWTGSDCEVIAHLYEEHGEEFIDMLDGMFAFVLLDTRDKSFIAARDAIGVIPLYIGWGVDGSVWFASEMKALSDDCEQFMAFPPGHIYSSKQGGLRRWYNPPWYSELVPSTPYDPLVLRNAFEQAVIKRLMTDVPFGVLLSGGLDSSLVASVALRHLEKSEAAQWGSQLHTFCIGLKVLNLSPELL >A04p021880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13217859:13218790:-1 gene:A04p021880.1_BraROA transcript:A04p021880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFLFLFSEDEYFTLSSSSSSKPFKLTILGGSLSFEVVGAWKRFMRAKEISPSEDFCMTYTEVFQDVISRFWSNLGYHRRLPCKSFTGRLTSKSSG >A07p027680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15768214:15769229:1 gene:A07p027680.1_BraROA transcript:A07p027680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRIPSASWDFRGFVLCFIFLRSFPGTIRTQEVTLDTIQIFTTHEWFSTKPIVYFQCKGENKTVLPDVKITNVSYSFKGQESWQPLTGLNGTKCKRCGIYEDDTFKYDTFDEWELCPSDFTAEGRYTHVKDKEFNATFLCHGCSQLGSGLKKEGGSDKEEGRRGMHPAIIVLIVLLVLGVVTVGLVVGYKYWRKKKRQQEQARFLKLFEDGDEIEDELGLENTL >A02g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4798079:4799443:-1 gene:A02g501590.1_BraROA transcript:A02g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFRSRSLLLISYNLHKPPLAVLSLCFRSSTGGKYLRNCQNEVVKLQSEAVREAISSMITHCKDTKPRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDSMDVEALKKLNKNKKLVKKLAKKFHAFLASESVIKQIPRLLGPGKFPTLVSHLESLEAKVNETKATVKFQLKKVLCMGVAVGNLGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPNRVF >A02p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7535726:7536706:1 gene:A02p016750.1_BraROA transcript:A02p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEATRRMSFSANPLSLTTEAKRPTALSAQLVAVFSLLTINPFSDLAADDFSGDTRTWTTSFFCDSDSYSFPSTSHEARNRVHENVKRFARNYATLFILFFTYELFEMPLALLGFVTSYAFWELFKFCVDRWESNRHPLIRKILIRVALCGKYPVDVSFLVLWFCIVNLVDMDPKMIFLLIPRFRFAATVSFLAFLNVQIAVFYALAISYAVVILHGGFRNLSLSEKQS >A05g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20071884:20072929:1 gene:A05g507070.1_BraROA transcript:A05g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSHVSITDWLLLSALTTTKIGFPRNSRYSVRRRFPEVFSIKSILLSLLDALRSVSSRFTMDPAEERRHSKRQNDYINRLGSVVDSEYGIPRRCPCGGRIIDEVRRKDDYDTLPGKRKQIETLEEQVKILCGQVDYLTVQVATLEKVSFD >A06p056230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29299404:29301007:1 gene:A06p056230.1_BraROA transcript:A06p056230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G44200) UniProtKB/Swiss-Prot;Acc:Q9XFD1] MASLFKEQAKLSAYRDRRFSGTQEEFEEALRTSSTVYIGNVSFYTTEEQIYELFSRAGEIKKIIMGLDKNTKTPCGFCFVLFYSREDTEDAVKYVSGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPDILSLKELEAQRQLVDYGTGSLGDERRGGPRGGGEYGQGGSNRHGRGGDFHRKRQRDDDRYGRDNSRRNSDHETRRDSDHDMRPEKNPRFRESGDSDDDGEDDRKRS >A07p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23160740:23162532:-1 gene:A07p042770.1_BraROA transcript:A07p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNLIRKKRRKRLLTKAAIACKTQAMEKNHKKGHNKKGFNLKKHRKGDPRPTNKGGNDEKPVLFQLGSIAMVSDARLKADPEITNSIPASPSLSSSSSSGNNNAKERKLSELQSSSNTFGSQVSGVTHASSVEPALLSSPSVQLMDREGSDQVSQRNSLPILERNLSAVSNDSLFSLSIGDNGITRDELFSYHEAPSSTQSVSSPITKKKKKKKVKKKNTQQQKKRCSWLCCKDTGPCFSCCQWPNSDYDISCCKRLKYCLCCCGLPQCCFSSCSWFFCCCSSSSKKLIDDEIAMQKPQKAESKTSHNWFCCFPCCAS >A04p022710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13690803:13695676:-1 gene:A04p022710.1_BraROA transcript:A04p022710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase, H3 lysine-9 specific SUVH6 [Source:Projected from Arabidopsis thaliana (AT2G22740) UniProtKB/Swiss-Prot;Acc:Q8VZ17] MVHAESFKVSSLNNGHVPEEKLGGDVLHNVRVTASTKMLKFKRRKVFAHRDFPPGCGTPAMRLKARMAENVNVVGGAHDHHPSVPSSEANMNVANADDPCKEERKSEQRDEHHPRNDMELVVFESNGDKHEPEPKKEESGESTVYTSDRHVEPVAVKPLRICLPDGNDKSAVKASSSEPKRTHGAVKRKATKSVAFHEPLKVAKKMTIDYGEGSKTKKNLYARERGRSPDREELPRQPRTLQVGLPPSRPSGSSGGGDSRTKVKETLRLFYGACRKLLQEEEAKPVKQKRFRVDCEAAKILKEKGKYLNTGKHIMGAVPGIEVGDEFQYRMELNILGIHKPSQGGIDYMKIGDEVFATSIVASGGYDDELDNTDVLTYTGQGGNVIKNKKGKEVPMPEDQKLVTGNLALANSVKKKNPVRVIRGNKKAVLESSGDKKGRSYVYDGLYLVETFWQETGSHGKLVFKFKLRRMPGQPELAWKVVKNTKKAEERVGLCRIDISEGHERLPICAVNEIDDEEPPSFIYTVKMIYPDWCRPIPPKGCRCTRRCAEAKDCACVAKNGGEIPYNYDGAIVSAKNLIYECGPLCKCPASCYLRVTQRGIKFPLEIFKTESRGWGVRSLSSIPSGSFICEYVGELLEDKEAERRTGNDEYLFDIGNRYDNSLAEGMSKLMPETQPAMGGDDDEMSGFTIDAAKKGNIGRFINHCCSPNLYAQNVLYDHEDTRIPHVMFFAMDNVPPLQELTYHYNYIIDQLKRQIGDEKEEGLFTT >A07g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7514655:7519968:-1 gene:A07g503800.1_BraROA transcript:A07g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKEKGTKQELLPSFPDDLSMSCFACVSRLYHPTLSLVSKSFASLLASRELYKTRSLLGCISMFAFSRLLNVVGSLLEIFLFCSSLNTDIHPLPQAQKSNGYVLAPASTLHAPHGMPMS >SC179g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:199734:200889:1 gene:SC179g500090.1_BraROA transcript:SC179g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMKMKVAVTFKGTNYLVWSRMVKTAVGSKGLWKHITSGEAPKVITQGGETESPEESVVEKWQQEDMMKC >A01p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5272570:5272844:1 gene:A01p010800.1_BraROA transcript:A01p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRDRGFDRVLLESDCQHLINVILKETEWPALAPELDDINLLVRADSLAKGGRSRAQTFSDVNVMVLRGRL >A07p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19327475:19328640:1 gene:A07p035850.1_BraROA transcript:A07p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFFDVIYLTSLFFILLSGSQERTMTVGDLSSSTISSPELHVLAVDDSFVDRKVIERLLRISACKVTTVESGTRALQYLGLDGDDAPSALKDLKVNLIVTDYSMPGVTGYELLKKIKESSAFREIPVVIMSSENIQPRIEQCMTEGAEDFLLKPVKLADVKRLTELIIRNVEPEEEDKSKHSYPNRILQNNTDSSSSHDDVSSLDDDTPSSKRMKTRIHGS >A01p051450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28875243:28878059:1 gene:A01p051450.1_BraROA transcript:A01p051450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKFFNIPGLKKTKRWPFTPSVVTLSCTAARSKDSRTRVVHTPATNSTVNVILSELLISSLAMQQLSFRAITWNPRTLRYGEYKNTRTGSLRKGRQLVRKCLRLLSKGLPKLDERSFPYWFGPDDQKSLLTCQWIPNVTVASDGTGDFLTISEAVVKVPESGNSKFVIHVKGGLYRENVILPPKKSNVVMFGDGNTKTIVSAGLNRLDNPLFTTFQTATISVHGRGFVAMDMKFVNTAGPEKNQAVAFHSMSTFSVMYRCTFEGFQDTVYAHAGDQFYRECDIVGTVDFIFGYAAAMFQSCNILSRKPLPNQINTITAQAASDQFAKSGFVIVNSTIGPYLREHLTTYLGRPWKPFATVLVMKTYLGDMVEPRGWIAWNKEAPPTMLRYGEFKNSGPGSGLGSRVNWTGYEPSMTEEKAQKYTVDIFILVEPNLHPL >A03p022840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9631847:9634357:-1 gene:A03p022840.1_BraROA transcript:A03p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g39620 [Source:Projected from Arabidopsis thaliana (AT2G39620) UniProtKB/Swiss-Prot;Acc:O80647] MALTSTALLRMLRECKTLQCLLQVHGKLTVSGLKPHNQLINAYSLFQRPNLSRIIFDSVQDPGVVLCNSMIRGYTRAGLHREALELFRYMSEEKGIVPDKYSLAFALKACTGASDLERGLGIHELVSEMGFESDVYIGTALVEMYCKGGDLVSAREVFDEMPERDTVSWNIMVSGLVQNGCFGEAWLLFRDMCLSGVEIDHVSLYNLIPAVSKIGSKDVCRCLHGLVVKKGFASGFSSGLIDMYCKCADLDAAECVFEDVWSKDDSSWGTMMAAYAHNGCFTRVLEFFDVMRSYDVRVSKVAVVSALQAAAYVGDLEKGVAIHEYIVQQGMMDEVSVATSLVSIYSKCGELEIAEQLFTNIRDRDVVTWSAMIASFELAGHHDDALTLFRDMLKTDVKPNGVALTSVLPACAGIPDSRLGKSIHCYAIKADIDSELATATAIISMYAKSGLFSPALKAFERLPTKDAVAFNALAQGYTQIGDACKAFDLYNKMKLHGVRPDSGTMVGLLQTCALCSDYDRGSCVHGQTIKHGFDSECHVAHALLDMFTKCHALAAAKSLFDKCGFEKSTVSWNIMMNGYLINGQAEEAIAAFRQMKNGNFQPNIVTFVNILHAAAQLAALRVGMCVHARLIRLGYSSHTAVGNSLLDMYAKCGMIESSERCFVEIRNKDMASWNTMLSAYAVNGLASSAVSLFLLMQENELRLDSVPFLSVLSACRHAGLVEEGKRVFKDMEERHEIEAEIEHHACMVDLLGKAGLFGEAVEMVRRMRVKASVGVWGALLSCSRMHCNLWLSNAALCQLVKLEPLNPSHYGQELRLGDTNDGSRIKKLPACSWIQV >A03p059660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25899519:25904628:1 gene:A03p059660.1_BraROA transcript:A03p059660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISQFFVLSQRGDNIVFRDYRAEVPKGSTETFFRRVKFWKEDGNAEAPPIFNVDGVNYFHVKVVGLYFVATTRVNVSPFLVLELLERIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIVRFWLRANYINRGIEVLYVFNDPILIAPARLQPIDPAAIFTQGAKRMPGTAVTKSVVANDPGGRRREEIFVDIIEKISVTFSSSGYILTSEMDGTIQMKSYLSGNPEIRLALNEDLNIGRGGGVSVYEYRSSPGSGVILDDCNFHESVRLDSFDSDRTLSLVPPDGEFPVMNYRMTQEFRPPFHVNTLIEEAGRIKAEVTIKIRAEFPSDIIANTIAVQMPLPNYTSRASFELEHDAVGQKTDFKETSKMLEWSLKKASVGSLIVGGAEHTLRAKLTFSQEFHGNITKEAGPVSMTFTIPMYNVSKLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >A05p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3876924:3879102:-1 gene:A05p009350.1_BraROA transcript:A05p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLQLLGDRPRWGSSTSDVELKFSYVAMVFFGWIIVATFILMRVSHPTNVWLGPNASMLVQPNSIFIKSVKVENVYGSEPGLQLFGFYASPPVAIMNWSESRLVSVSHRSYGSQGWPYYLNKGASLNISLNVKPEGSSVRLVVNKGTATRWLLEEPPFGDLIQGSGVIQVNVSESETYYLNVANPNLKDVEVELDIDVRAVVYDTKEPPFYECNFSNGECTINTMPFVGTSIVLTSAAPRPSELKESSSSTVCKLREISHQVRWTSYAIVTGLVICFLLVALELYKRFERAGEDRHVMDDDSSITSILVHKDDDVSSMCSWSESFAAYDADREDFSGNEGEASYGTKTRCAICFDAKRDCFFLPCGHCVACYQCGTKITEAAGSCPICRKKIKKVKQIYTV >A09g511400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34272271:34273851:-1 gene:A09g511400.1_BraROA transcript:A09g511400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPKVTSMGKMNVSANFGGDKLKTVIYQIDEVQNLMIYLKIIQFKDAVSAIIVFKIAEVLTDLSELKQETPGVTASHASSESQYEPQTSQSLSREHIKNVFCKRLEDV >A09p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5187137:5188701:-1 gene:A09p010140.1_BraROA transcript:A09p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSVRTPSSIQLLRSSVSPIFSKPLFQSSSSFSSSIVSAPSTRLKSNSSISRPRVVLTRASTGVADPVTDYREDIGEILGDVSIFTASGQPVKFSDLLDQNDGVSAVVLLRHFGCVCCWELATALREAKPRFDAAGVKLVAVGVGTPDKARILATRLPFPMECLYADPERKAYDVLGLYYGLGRTFFNPASTKVFSRFDEIREATKNYTIQATPEDRSSVLQQGGTFVFKGKKLLYGRKDEGTGDHPSLDDVLNVCCQTVA >A01g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10579256:10580279:-1 gene:A01g503300.1_BraROA transcript:A01g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVNAKGELHMNIKEQPDEFCLPTKKELEEESSGPPDLPTLQTRIKESVSGHSEMGDLKGSSSPLQITTMVPSPIFLWRFKVVLFLLWALCCCKIGWDSVMRDLRDLFLYEAFLYYNPLLLVTMMVWLWGRRSHYDYEACSIHRKFSPTLNFNDVSPCQAMSH >A10p035660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20416881:20422905:1 gene:A10p035660.1_BraROA transcript:A10p035660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESRCGGNTVAICVRTLSGESTIVQVPSDGTIHDLKVALKSSFAPASSSPNFNLFFKGLKLSLSSRVDTTAVNSGEFLVLVPFVKKQRPQTPKPDLSEPPLTPSFSNSAYSEMIRESLHSRAENHSVGVKRKRDQYTCPVEFLKGVLESDCRDEFEGQNKEKLAEVLKARNCLSSPGFGKCLMSGETSSYSCSCPDWVKLSMETFTFLNLFSSLIESLGEKLYFNRLEESLARLATSGVRVGVEDVKNLSILCPKVVKVVTDEFEAANYENAIVIADFLETDGCEKYEKPGLKKTPLSKVFSSIKKRETSFKAALWESIKSLTLKNRCKNGVTVSLEDMLIFARESARVDDGQTGKDSFRSFRKSCHVRVCSDTDAGTNSLLPLEMVEHLRNGFGSKGQIVHVEDINARKAVYVEIPDELSDITKSALKRIGINTLYSHQAESISAALSGKNVVVATMTSSGKSLCYNVPVFEKLTIDTDACALYLFPTKALAQDQFRALSDLIKGYEASINMGVYDGDTPYKDRTWLRNNGRLLITNPDMLHMSILPLHGQFRRILSNLRYIVVDEAHTYKGAFGCHTALILRRLRRLCSHVYGVNPSFIFCTATSANPREHCMELANLSELELIEKDGSPSSKKLFVLWNPSTSPTTKSEERSKDKNSKGDAADNSSSPLSEVSHLFAEMVQHGLRCIAFCRSRKLCELVLCYTRDILAKTAPHLVEAISSYRGGYIAEDRRKIEGDLFGGKLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERPSLAVYVAFDGPLDQYFMKFPNKLFRSPIECCHLDSQNEQVVAQHLACAAHEHPLSLQYDGDHFGSVLSNTVELLKNRGVLSFDPSRDSSARIWNYIGREKKPSQRVSIRAIETERYRVVEKRSDDVLEEIEESKAFFQVYEGAIYMNQGRTYLVEALDTKEKIALCKLVNVDYYTRPRDHTCIHVTGGETAYAFKAPKDQLNKTTAQAQPCSVKTDWFGFYRIRKKTNEVYDDAGLSLPSYSYQSQAVWIQVPGLVKKALGEDNFRSGLHAACHALLHVVPLFVRCNYSDLAPECPHPSDGSYFPSRILLYDRHPGGTGISAQIRPFFTELLKASVDLLKACCCLAESGCPSCVQNFACHNELVHKVAAIKIIEGVLESENLYFQDGT >A06p027400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8304301:8306450:-1 gene:A06p027400.1_BraROA transcript:A06p027400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLALCDANNFPLTPITFLKRAAECYPNRTSIIYGQTRFTWPQTYDRCCRLTASLLSLNIRKNDVVSVLAPNIPAMYEMHFAVPMAGAVLNPLNTRLDAKSITTILRHAQPKIFCIDRSFETLSREILHLLSFDDSKLNMLVIFIDETDFPKTISSSELNYESLIRRGEPTSSMVAHMFRVQNEHDPISLNYTSGTTADPKGVVISHRGAYLSSLGAIMGWEMGTCPVYLWTLPMFHCNGWTFTWSVAARGGTNVCIRHVTASEIFKNIQMHGVTHMCCVPTVFNILLQGKPLDLSNRSGPVEMLTGGSSPPSALVKKVQRLGFHVIHAYGLTEATGPALFCEWQDEWNRLPENQQLKLKARQGVGILTLAETDVKNTQTQESVPRDGKTMGEIVIKGSSVMKGYLKDPKATLEAFKYGWLNTGDIGVIHPDGHIEIKDRSKDIIISGGENISSVEVENVLYKHHKVKEAAVVAMPHPLWGETPCAFVVLEKGETNQGLREDSLVTSERDLIEYCRENMPRYMCPRKVVFLDELPKNGNGKFLKPVLRNIANGLVVSDENTISSKIVQQRKEKRRVNSLNSRL >A10p003300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1657255:1659275:-1 gene:A10p003300.1_BraROA transcript:A10p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPLLSIQNSPTTFLKPPFLFFNTKLQQLQEKQNKSNTLFIVETKSRRATTFSCLSISRKRRSNGVSESEETMRYEQMGGGGISVLCGLGYWVQGSRCFPWLALNFHMVNTLGLQPSTLQLVQYSCLLPMVAKPLYGVLSDVLYIGSGRRVPYISIGVSLQVLAWGTMALFQGAREVLPSLIACALLSNLGASITEVAKDALVAEYGLRYRINGLQSYALMASAAGGVLGNLLGGYLLLRTPPKISFLVFAAVLSLQLLVSLSSKEESFGLPRRKETSSVVMSVKKQLSNLMEAIQEEDISQPMIWAVVSISMVPLLSGSVFCYQTQVLNLDPSVIGMSKVIGQVMLLCLTVVYDRYLKTLPMRPLIHIVQILYALSILLDYVLVKQINLGVGISNEVFVLCFSSLAEILAQFKILPFAVRLANMCPQGCEGSLTSFLASSLCLSQIVSAFLGVGLANVIGITSSNYSNLPSGIIVQSLAALAPLCFMHFVPMSEPVVEKGAKRGLSTRSRSSRRVGKVVRQESIGLSTRKRVGRSTKIA >A02p056650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34129612:34132136:1 gene:A02p056650.1_BraROA transcript:A02p056650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSSYYLTFSDPFSSHEPLVSRKLFLLRNVQVLELLLALFAFIAIHSLRQKKHYGLPVWPFLGMLPSLAFGLRGNIYEWLTDVLRRQHGTFHFRGPWFSSLNSTITCDPRNVEHLLKNRFSAYPKGSYFRDNLRDLLGDGIFNADDETWQRQRKTASIEFHSAKFRQLTTHSLYELVHKRLLPVLEASVKTSSPIDLQDVLLRLTFDNVCMIAFGVDPGCLGPDKPVIPFAKAFEDATEAAVCRFVMPTCVWKLMKCLNLGTEKMLKESIKGVDDFAEEVIRTRKKELSLEGESTKRSDLLTVFMGLRDEKGGSFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPEVEEKIMVEVCKILRQRDDSGNGGKIDYEPVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVQEDDVFPDGTILKKGEKVIYAIYAMGRMEAIWGKDCREFRPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKSTAAAIVYRYKVKVVEGHKVEPKLALTMYMKHGLMVNLINRSVSEIDQYYAKTIDDVKMVTIRRLFTYFWII >A02g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11074625:11075450:1 gene:A02g503380.1_BraROA transcript:A02g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDDDEGVSASSKHLVFAYYVTGHGFGHATRVVEVFLLTLSLSASFLSVYCVSSIVANLWQFRLSVTIAAGHDVHVVTGAPDFVFTSEIQSPRLKIRKVLLDCGAVQADALTVDRLASLEKYVETAVVPRAEILKTEVEWLHSIKADFVVSDFVLVACRAAADAGIRSVCVTNFR >A08p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9339407:9340513:-1 gene:A08p013930.1_BraROA transcript:A08p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVLKKLDDEFISITTVSEPATCQWETVEWDGSNLVTTIWPKTKGIRGTPDPHNLTDLTMLEFFEYQISGSILDLTGVTRL >A02p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1505137:1507008:1 gene:A02p004040.1_BraROA transcript:A02p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTKETQEQTEPSSLPTLTTQAVPVGLLTAKKFLYGIHKPATLRIFTTRFSFRIDTRGVKYGNYGHGFAFFLAPAEIQIPPNSAGGFLGLFNETDVLSSSFPLVHVEFDTFTNPNWDPLDMASHVGINNNSLVSSSVTSWNTSAHSQDIGRVRIFYDSVRRNLSVSWTYDLTSNPNENSSLSYIIDLSKVLPSEVTVGFSATSGSVTEGNRLLTWEYSSDLELRDIKKSQEDKKKGMIIGVSVSGEKAEEEKENLTSINEDLERGAGPRKFSYKELASAANNFSVDRKLGEGGFGAVYKGYLTGLDMMVAIKKFTGGSTQGKREFITEVKVISSLRHRNLVQLVGWCHEKDEFLMVYEFMPHGSLDAHLFGKKPHHLAWGVRCKVTLGIASALLYLHEEWEQCVVHRDIKASNVMLDSSFNAKLGDFGLARLMDHEVGPQTTGLAGTFGYMAPEYISTGRASKESDVYSFGVVTLEIVTGRKSVDPRQGRVEPEASLVERVWGLYGKGELIKGVDEKLGGDGFDEKQAECLMVVGLWCAHPDRNSRPSIKQAIQVLNLEAPLPHLPTKMPVATYHVSSSSTATSVSSGGAGTATFSSAQLGR >A03p038980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16233419:16234624:1 gene:A03p038980.1_BraROA transcript:A03p038980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL66 [Source:Projected from Arabidopsis thaliana (AT3G11110) UniProtKB/Swiss-Prot;Acc:Q9SRM0] MTSSSPPPRDSMLLYWQENQYDDRNVQIHGRTIFSVMALFSVVLFFAVLTLYIHRSCLVRDPTSLNPPSPPFTSYVGGGLDPAEIRSLPVVLCRREAAEEEMEECCICLGGLEEGEKMKVLPMCRHCYHCECVDRWLMTESSCPLCRVSIRVDSSASYAATGASLLRAAYSRRREGFIFCGFSMRAKWKKKRMRRLKRKRRKMRQRSK >A06g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6396011:6402717:1 gene:A06g501710.1_BraROA transcript:A06g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDNLKLRQYMQNFVFNILLQRQHSPVDAASKAKCMEVARRLEEGLYKMANSKEDYVNRSTLESRIMALMKSRYMSNYNQLLADSSSVGAMISTPGLSHAAGNPSSMVTTSADATVAGNNNSTSTAVNAGNLLAAGGMHEGNVSTGYQHSSRNFSLGSGGNLASLGSQRSTAQMIPIPGFANNGTDNNSDGFSAETTMVPQSQQQQQRQDTGGQNSQMFSSQMTAGHRPDMQPKPAGVSNISVNDGVGVNEKSVDTGEGYRTTNPDTFGLGIRQIQQMLRPQNIGSDPKNSFRNLAVGVELQPSPRGQWPSQSQENTQISNGMSSEQKVQEDFRRRSTGIDEAQPNNSTEGFVIDQNHTSTISESHSLQNSIAYQQRWLLFLLHAQSCKPPVGKCTERNCVTVQKLWSHMDSCVKPRCLYSRCGITKSLIIHFKTCKNIRCRLCVPVRRTYNQQQANARLQARLQNKSIAVNRDVVSNDSLCVTAGAISSAPGCADTLDNLQASSKRLKVEPSFQPVVSDTESCKSSTVSKTETELSQDAEREDHRHSDAHAVLKLENVEVIEEISDISVQAGFGIKEKQHEAFENDPKPRPVSEPGQHDLSGASPKQENIKMEQETKKEVLVESADVVASKSGNPKIKGVSLTEYFTPEQVREHIRGLRQWIGQDEFLRAQLRCSVKQFSPHYLKNKVNAEKNQAMENSMNENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTVVSGEHRHYFCIPCYNGSRGDTILAEGTSMPKARLEKKTNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYILEVEHNERKPLLQSAVLGAKDLPKTILSDHIEQRLFQSLEQERTERARAQGKICDEIPTAESLVVRVVLSVDKKLEVKSRFLEIFREDNFPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSSPNHRRVFLSYLDSVKYFRPAIKCAYGEALRTFVYQEILIGYLDYCKMRGFTSCYIWACPPLKGDDYILYCHPEIQKTPKYLAMLRKAAKEGIVVGTTNLYDHFFLQIGECRAKVTAARLPYFDGDYWPGAAEDIIQQMCHEDDGGKGNKKRILKKPITKRALKGSGQSDLSRDMSIDQLLMHKLGETIRPMKEDFIMVHLQPCCTHCCTLMVTGNRWVCSQCKDFQLCDGCYEAELKRWGKERHPVNQKNQHTLYHVEITDIPADTTDRDAILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVATCNACHLDIESGQGWRCEVCPDYDVCNACYSREGCVNHPHKLTNRPSLGDQNAQNKEARQLRVLQFTIMRDLLVHAIQCRLAKDCQYPNCRYVNRLFQHAVHCKIRVGGGCDRCKKMGAKGTSEKDTAAIRITAKGSRHGDGATESRRSRWDLRLIQLHIFI >A03p069280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30471563:30473374:-1 gene:A03p069280.1_BraROA transcript:A03p069280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKALATLFDLESQERGGSPSFMSQTLSKDPQPTSDGDGGRIPLEEWLPITESRKGNVYTATFHLFCSGLGLQVLLLPAAFAALGWVWGVIILTVGFVWKLYTIWLLVHLHEAVHGIRFSRYLRLAIASFGVKLGKLLGIFPVMYLSGGACSILVITGGKTIKQLVHIMSEDGKVPLTTLQCFVIFSCLAVVMCQFPNLNSLFGLSLIGGVMAIAYCTAIWSLPLASIPHRNQNNISYATKDTSFDTIFNAIGLIAISFRGNNLILEIQGTLPSDSKNPSRKTMWRAVVISHVFIAVCMFLVAIVVYWAYGDKIPATGGPIGNYLELYEQDYSKRAACFIHITFIINCLCSYPINLMPACDNAEMVYTTKRHKPCSVFVRMMFRVFLCLVCFFVAVGFTFLPYLAVLIGAIGLLVTFTYPCFMWISIKQPQRESLMWWFNVSVGSIGASFSVLFVVASALRLADKGLHAKFFNP >A03p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1021384:1021936:1 gene:A03p002170.1_BraROA transcript:A03p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVDMLSSESSYGSDVSDDGIATELMEQATYKCKYCPKTYSEGQALGGHQNVHKREREITKRQERAMLVNMNPPDPYPYPHPFPSQYALPSGFEQRRYIIDEPDILHVVYNSSVGSSSQDQTQTKEPNDATREMDAEKEDHDLSLYLSLKL >A05p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17119505:17124422:1 gene:A05p026920.1_BraROA transcript:A05p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELFQISLLSYMNITLMDYFLILELPEEIQSLVVERVAGNSFIDLYGLRASCKTMKALEERSRVNHFYDVLSVPMRLNMPPKLFKTCYAERNPSTLYMKGVQFFFTFNLQEEGLAFMKLAADEGYERAVYTYAMTRKIFWGDEEYFARFTRESVDRIGKLVRSLKWAWGLSHNDEFLAKWDEFISTVVPSFYSCQCVPVTERDWILWYIENSKGDKMCNRCFWIKELGLFFREYEPMSALVVERVARNSFQDLYGLKASSKSMKVLAERRGVYYFYDVLSVPWGLNMPSQFLKSCYAEGNPSRLYIKGVQFYSTFGLHEESITLMKHATDAGYECAVYTHAITQAIFLYDLQYFHGIPREWVQRIGELVRSVKWGWGLWHSDEFGQNRALFISKFVPSFYKCQCATHVWRPCSCLWHLDTTKDDNMCERCFWIKEFGLFFRDFEPISVIRDTRKW >A08g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15768134:15769372:-1 gene:A08g508570.1_BraROA transcript:A08g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRPRVNQSLPSLSFSTSSPRLLQKLEFEENGGGLTNWTLFLVGGGLRTGASCSWDLEKICNWFTVKGPMRSSSAKLSLRLYHWQLRFLSVTEPALLGDGGDKARTYRLSVLEPLLRDALAVNSDGRYLTTRGVDRHVHKCQGVHLRLGEIESCNYTRKVDKAEKLDGGEGGKRGESLVARTLGV >A05p009170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3769718:3770461:1 gene:A05p009170.1_BraROA transcript:A05p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2E [Source:Projected from Arabidopsis thaliana (AT2G38340) UniProtKB/Swiss-Prot;Acc:O80917] MEKEDNGPNQSSSASIEPSRRRRRAAEPVDTTLSRWVKEEEEEEGLKRTRRVQAKGSRKGCMRGKGGPENPVCRFRGVRQRVWGKWVAEIREPVNQRGGNSKRLWLGTFDTAADAALAYDRAASAMYGRYARLNFPDGLGNGQDDEMKKTDEAESSRSYWLETCNVSETGNSVVVDKKDGEDYLYEDCIELGQDKIEKLGRMADNEIVKSEEDYMFDGFELDNGLLYNESGHYHGGGFEPYLEHFRF >A05g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12009664:12011672:1 gene:A05g504370.1_BraROA transcript:A05g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKRNTVKPNSSRVTQRPATLPAQYDFVPRDPSPSIPPVLPKNKPLPKNNPLPSVRDYPPPRKLFPETNFPPSQSAPSPLTPAAATSQPQQRQTQSTERMNTLPPSQPAPVRASQSPHSSEAQNSRFPEEEEEEDMSDVEAPVQPNLASDHMDLLNSLLNQPGRAKNTIVLTSPPQTRDSWTSSPKKQLHLIPIMFRSFPSPM >A02p048020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29901638:29902736:-1 gene:A02p048020.1_BraROA transcript:A02p048020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVTSFKVGGSWISKKAKEELSNITTDLTTFSSTVEEKAKWVFNKLKGKPLKSLPDLLKEYNLPPGLFPQNIICYEFDETKNKLTVFFSTPCEVTFKDGSAIRYATRVKGILLRGKLMGVEGMKTKVLVWVKVTTISVESSKSDKLWFTAGVKKSRSKSVYDTPHDAIKVVGEF >A05p043230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26090778:26094116:1 gene:A05p043230.1_BraROA transcript:A05p043230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMTSLAASPSRSSKRAMYYVQSPSRDSYTSVTQPSTMMDSPTHDSYSFGRHSRNSSESRFSGISRSSSSDRKNVKKCRSNEKEYETILEEGSYEEMDDVTSIRRSQAILAVFIFISLFAFCCLITWGASRPYKAQISVQTFELRNFYVGQGSDFYGMHTKLLTLNGTLRIGIYNPAPTFGIHVSSKPVSLLYYQLPIATGQLKEHYQPKKSQYTEAVVIEGRRIPLYGAGASLEATERGGKIQVNLRFEVKTRGDVVGRLVTIRHKKRISCSFVIDVAIKGSNENLEMPFLTLFGIEDTKKTVEFSLGLVHGTVTPYYRSEPIPDKQNGNVVTVVGRTFDELVHTPWCHNSQAMSKQVEKLSKHFKGFDNLVFARLDASGNEHPKLKEGDDYPTILLLYKTGEKENRLKLLAKSSAKETAVFINEELKVEGSVC >A09p067280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53128391:53129177:-1 gene:A09p067280.1_BraROA transcript:A09p067280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLWRKLLKLRPEASRFMRCEIWDCYLGIPRQARVAEVCPNEVCGMRSRGRRVFGDVYTAIQNAQKPDTKKGRDVILWRHNEEDYKDHFSTVRTWDQIRDRGAEDRLSIRVRMRQWGITQGCMLCGEPEESMDNLFFACPFTFTVWSTLTATLLGTTASPDWTITVTSLLRRNRNKMNAILLRMVFHTTIYFIWKERNSRRHLGPWVTT >A04p028430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17079620:17081686:-1 gene:A04p028430.1_BraROA transcript:A04p028430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQRLSFVSFIALLAYFAFLASAELHVREFVIEPKPVKRLCRTHQSITVNGQFPGPTLEVRNGDSLAITVINRARYNISIHWHGIRQLRNPWADGPEYITQCPIRPGQSYTYRFTIEDQEGTLWWHAHSRWLRATVYGALIIYPRLGSPYPFSMPKRDIPILLGEWWDRNPMDVLRQAQFTGAAANVSDAYTINGQPGDLYRCSRAETVRFPIFPGETVQLRVINAGLNQELFFSVANHQLTVVETDSAYTKPFTTSVIMIGPGQTTNVLLTANQRPRRYYMAARAYNSANAPFDNTTTTAILEYVNAPTRRGRGRGQIAPVFPVLPGFNDTATATAFTNRLRYWKRAPVPLQVDENLFFTVGLGLTNCSNPNSPRCQGPNGTRFAASINNQSFVLPRRNSIMQAYYQGMPGIFTTDFPPVPPVQFDYTGNVSRGLWQPVKGTKAYKLKYRANVQIVLQDTSIVTTENHPMHLHGYQFYVVGSGFGNFNPRTDPARFNLYDPPERNTVGTPPGGWVAIRFVADNPGAWFMHCHIDSHLGWGLAMVFLVENGIGQLQSVQAPPLDLPRC >A09p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3621446:3626658:1 gene:A09p006910.1_BraROA transcript:A09p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREGSPDWLRSFQPPITTSLLSLSSSSESAPEDSPFRDSETISSLPLPDDTEATTILDSLVTKQLFSRKNATLNLEEKQDGNKVDGEENSTKLRNDLGGDDSVWLVSSDSEPPSTDPIKQQVIISTEEDEDLVILDKEVEPAVKKAPKKKASSSRQIPKEEDSAQETNEDTDSIVAEEVATDKNTKPSSGSSSKLPLVLSEKVNRSKVLVECEGDSIDLSGDMGAVGRVVVSDTTQDVFLDLKGMSPIPLMSLASLFMLLLAMPVEPNRTDYVNVSQSEAKIEAIMNDFIQLTPVSNVYEAETMVEGTLEGFSFDSADEGNKNAKTGSKPPDDQSGDTAEITTKGSGKAKAKCENVVGKKRGRPSKEKQQQQRKLEVLLLLRKQNPRNEFCPTDEELVRYYLRQKINGRDDDVRVIRAVDICKYEPWDLPDLSVVRTTDSEWLFFCPLDRKYPSGSRMNRATVAGYWKATGKDRKVKSGSTKIIGVKRTLVFYTGRAPKGTRTCWIMHEYRATEKDLDGTKPGQNPFVICKLFKKQDVVSEASPECGVEPAASSPTVVDEMRSEVELSEVSLAFPTKEEPKHSTDVSGECQSDISAPEVTTNELDHIDWSSYLEFESLDHTMFSPLHSQVQSELGSVFNGFQYGSNEPFRNQNDPHIQTQYGSNDPDQYMFDLLDSTFQIPYELPEMKHLAQPVPEQILYEPQSLVNTSNKINNDVSETGIKIRTRRAQAQGCAEQFVMQGNASRRLRLQVNNNSPKPETDSPQLRCIKKEVKETTKGCGSFMRSKSSSEFILKKVAAMGCSYRGILKAGVVAVVFAMSVCSLTGQFR >A07g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22839752:22840712:1 gene:A07g508320.1_BraROA transcript:A07g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEEEVFKVFATVSAKLYNKEEYTKDKSNMEKDMIGDARFNTPLLVITEAFEDLADLLKPRRQKREIGRNGVVSNDGLRLDAFCSACTHVSVLFGCLGFAFKFAEMEYVSKVRDLVEASKTFDTLHNIIDLDVMNQTVKTQGSYSRNLRRVRLGLDLIRAIFEQFLMTNEYYSLKDAATKAYTQVCAPFHTWAVRTAVYAGMYTLPTRDQLLLRLNETDQSVEKNMRRYMEASRPIIEYIDKLYIDRNIKLDW >A07p045060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24480040:24486938:-1 gene:A07p045060.1_BraROA transcript:A07p045060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAMQEIEETSPLLDDSQPEGQSRTQSATTKVPEVEIHLYRSGKGPIDVFKSNLGGWEQDQLEVRAILEKYGLKSIFAFNVDKGRGVPIRFQRNGRSVLTYRDGATVYIDGEPQDSMIQPITRIVLGVVFATALITFLMKDPPAWIKNNISIGNFPPWVLACIVIVFTRARKRTRDFFRKCGDHPPMAAAVASGIAPTTAMVDQVHNQPSVTVASPQSTTPFTQVAAVAAAAAAAEALQAHPNSSLYVGDLDQSVNEAHLLDLFNQVAPVQTVRVCRDLTRRSLGYAYVNFANPDDAMRAMDSLNYTPIRDRPIRIMRSNRDPSTRLSGKGNVFIKNLDVSIDNKALYDTFSIFGTILSCKVAMDVTGRSKGYGFVQFEKEETAEAAIDKLNGMLLNDKQVFVGHFVRRQDRARSESGTVPRFTNVYVKNLPKEIGDDELKKTFGKYGDISSAVVMKDQSGSSRCFGFVNFESPEAAAVAVEKMNGISLGEDVLYVGRAQKKSEREEELRRKFELERISRFEKLQGSNLYLKNLDDSVNDEKLKEMFSEYGNVTSCKVMMNSEGVSRGFGFVAYSCPEEALRAMNEMNGKMIGRKPLYIALAQRKEERRSHLQTLFSHMRPNGTMSPMASPMPGFHHHPPGGAMAGPHHPMYVGQNGQGLVPSQPMGYGYQLQFMPGVRPGSGPANFMMPYPLQRQNQPGPRVGFRRGATNMQQHFQQQQMMQQNASPGMRYMGGPGNRRNGMEGSAPQGIIPLPLDASAISHNASQNPQKPPLLPISKLMSALALANPASHPQMLGEQLYPLVEKQEPVHTAKVTGMLLEMDQAEILHLLESPEALKAKVSEALDVLRLSANPPAVSSVDDQFAPSSTE >A04g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8943839:8944804:1 gene:A04g504340.1_BraROA transcript:A04g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFRFPARSDDAEKFSGKADRLDKLRRTRRSLSQRLINTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKELRIYNAL >A03p056510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24415610:24416865:-1 gene:A03p056510.1_BraROA transcript:A03p056510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTCCEKNGLKKGPWTSEEDQKLIEYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIKLHSFLGNKWSAIAARLPGRTDNEIKNFWNTHIRKKLLRMGIDPVTHSPRLDLLDISTILASSIYNSSSHHVNMSTLMMDANRQQQQYPLVYPEILKLATSLFSQNQNQNQNNVVDHDSITHENHTVYHHDVNQTGVYQYQTDHQELQSCLPPFPNEYQFSNMDHQFNGFGEHTLASTSMRRSKIAIFQHLTITKALSFNFTNSVLNTPSSSPTTLNSRSTTYISSSSCSTEDEMESYCNNLMKFDISDFLDANGVII >A03p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7425211:7426527:-1 gene:A03p018190.1_BraROA transcript:A03p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSKTITFTLFIAVTLFAATHFPYGIDLPNHKGSGRFSNGKLIPDILAAKYNIKDLVPPFLQPNLSDQEILTGVCFASASSGYDDRTSLSTQSIPVLVQPNMFRSYIARLTSIVGDKKAMEIINNAFVIISAGSNDFILNFYTVPARRLEYPLISDYQDFILKRLEILVQEIYSLGCRNIMVVGLPPIGCIPVQMTAKFRNIFRFCIEQENIDSVLYNEKLQKVLPKIEASLSGSKILYANVYDPMLDMMQNPSKYGFKETKRGCCGTGHLEMTLLCNAVSPLCWNRSEFLFFDSIHPSEATYNYIGNLLDTQIRGWIAA >A10p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17900935:17906089:1 gene:A10p029100.1_BraROA transcript:A10p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRAGKRSINRRGVSNADSGTCNVCSAPCSSCMHRNVGVTGSKSDESSDENGHGVAGSQCSVNEDSLLPSVVVNSRNGSNNTASEASNFVSSGHDALSENAESRERIRRSGKCDGSGVVAMTSKASSSGSRMKHKVSASVNVLEQEETVDKDSALVSDPVLSRSRKDQDSTIIKSSSVLSDEVKSQSLRNPSSNHEDRISSERGNFKEKLGPGGNEDREEQSVEGSVPSGQKGKDGKSSTSTSFNKSDESVSSVMSESESDDAEVEHDVKVCDICGDAGREDLLAICFGCSDGAEHTYCMRVMLNEVPEGDWLCEECEEAEKQKQEAKRKIETEIINSPQSSGKRHADKIEAAPDAKRQAVEGSTGSPKKSILPRIGPLSRETSLKGLDRPRGKLSHQASFSYTTEGARSTGLQLQPPKGAFLKSSSFNSSSSKPKVQLMDDVILPRKKTGKEYTPVDIKEGGLGIVSKSMSSRTTDTGSSNGNDSEAKILASKVHSQEGKSSKQLKDRSAEANASAASTDQKLTPRSSTRDLKGLQSDGKRGSLTKQVSNLNRNRLENPIASGGNSREQSVSQADCKDELTSTSCAGEGVPSNGNVTSQDGLPRSREFKEVVKKSKEALGKRQRSSLLAGGKGLPSSQKGDKTAESSDTSGVSDSDPSTTKIVREDINKGNRLRAAVDAALRKKPSFSKNRVLEQCDAALASNVPSNSDKTLRDQLPSKMHTTAWPAPDPYKQTIVTNGKQLVPSGADAMPPRSVELEVNPPSVKPVMRDWPLASPLSLLRSSAIPDHESIWQGNLEVRKAREQSAMHSGMQAHLSTLASPKVAEVVNKFPEKFSLNEVPRLSTWPAQFQDIGTKEDHIAVFFFAKDVESYERNYKPLVDNMMKKDLALKGNLDYVELLVFASNQLPPNCQRWNMLYFLWGVFRGRKEICTNPQKNPSLPASNVLPRDQNTKDFCQTSSPSKRLEKASPLRESPRNINETQNRIDVSSHENPSDKESSIERSSNTKEEIAPKEEEPGANHITCQANGSNSGDSLVKKVQQHREEQESDGRKDVHTTVIDSEIPPHGQDNNYSQASQRKRPLWEVTRPATENQKVELSNERLSEGSPNKKLKTENESSSSSFSQDTFGNDSGMMKKSPKVVFPLDLNAEREEEEEEEDMELVDDLIPLGNNNNKQSGRLIGTVPDLELALGGEETRQEEEEGTMGLLPFLAGRSNSGGEQSSSHHSSKGKEKEEDEDMDVSASLSLSLSLTGEERKNADKTPLFLFRDLPR >A03p071380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31426334:31428068:-1 gene:A03p071380.1_BraROA transcript:A03p071380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISIFDKVLKEEPTYPEALIGRGTAYASQRELENAIADFTKLVRPGRGEDRLVLLLGNLLWFQVFSASLLSLIRAKRLAALEKRINMLSQNRGGAGCSTSTSSETSTGYASLEDRSSGRSLCCLGKKFIPLLSDGDKFQSLVTQLCGALCNVYCRDAILRMTYYWYNFMPLARGTAVTGFVVLLGLLLAANMEFTESIPKGLQMYWEAILNVEPGSFVGSVKSWLYPSLKINTSWRDRPEVSSAFSTTRSVVAALSTCND >A07p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24244730:24248556:1 gene:A07p044430.1_BraROA transcript:A07p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQHWCQIMRLVNPRILIRVYMKSCEVDTEQCDAPNRDLPDKVTQQLRGEEENFDEDDVSSEYFSAADSHQSILVSFSSRCVLKESVCERSRLLRIKFYGSFDKPLGKYLKDDLFDQTSSCRTCKELVDAHVLCYSHQNGNLTINVRRHSSMKLPGEQDGKIWMWHRCLRCAHVDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGFGNMVAFFRYSPINILTVFLPPSMLEFNSHPQPEWIRTEAAELMGKMRTMYEEVSVMIKRMEERSSLLESEQPGASELQSRIMGLKDQLVKEKDEYDDALQPIFEESLQNQGSLDILELNRLRRALMIGSHAWDHQLYLLNSQLKKASDGSASRSLETQEPPKPDHRLNEGGDEGEGKTHSDGEANNGDDNKLSPGSSLSERIDSAWLGSFHEKAETDSSAVNSPLRRLAKPIRVQSFDSAIRFQERIQKGLPPSSLYLSGIRSFHASGEYRNMVRDPVSNVMRTYSQMLPLEVQKLDLIVGSAPTYISSASQMADGARMLIPQRGVNDIVVPVYDDDPASVVSYALNSKEYKEWVANRGIANSSSNKESEPSSTFSTWRSLGSMDVDYIHHAVYGSSQDDKKSPHLTISFSDRSSSSSGASEGKVKFSVTCYFATQFDALRKTCCPTEVDFVRSLSRCQRWCAQGGKSNVYFAKSLDERFIIKQVVKTELDSFEDFATEYFKYMKESLSSGSPTCLAKILGIYQVSIKHSKGGKETKMDLMVMENLFYNRRISRIYDLKGSARSRYNPNTSGTDKVLLDMNLLETLRTEPIFLGSKAKRSLERAIWNDTNFLATVDVMDYSLLVGFDEDRKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTIISPKQYKKRFRKAMTTYFLTVPEPWNS >A01p039730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14178773:14181367:1 gene:A01p039730.1_BraROA transcript:A01p039730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1 complex subunit mu-2 [Source:Projected from Arabidopsis thaliana (AT1G60780) UniProtKB/Swiss-Prot;Acc:O22715] MAGAASALFLLDIKGRVLVWRDYRGDVSAAQAERFFSKLIEKEGDSQSNDPVAYDNGVTYMFVQHSNVYLMIASRQNCNAASLLSFLHRVIDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEARILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLQYKKNEVFLDVIENVNILVNSNGQIIRSDVVGALKMRTYLTGMPECKLGLNDRVLLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSKSRVEMLVKARSQFKERSTATNVEIELPVPADASNPTVRTSLGSAAYAPEKDALVWKIKSFPGNKEYMLRAEFHLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >A02g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21116333:21116933:1 gene:A02g507620.1_BraROA transcript:A02g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCILKQRGSTTLLRLGSRRSRLSCRNKIRKVHRSSCPPSNKTKFLNRSVNDVPRARAEHAARMEEESSLRRDLTAAKEVIADHRDKFACMANMFDMMIETTPNVNPALVSRWQSLRPSFFSEPTPEEQADLERRADEHSSDLFDEINLNT >A01p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6984280:7003843:1 gene:A01p014370.1_BraROA transcript:A01p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRAYNWRRSKPVLRLLDIVIRTAFSTHKVIKLLRFFNRHTNTPRMGRQVEFSPWKVDSRKNQTKQEDPCSTVSLPTLQNDHSPHFMDESVSLHRRLSSVESSSEYNMTKDGSHDGPLSSDSGTESNRSSDLTASTGLSTIIRVLSDSLLRTELAEMEMMKAREAARWEAEKRRMEMEVELTRMVLQTHLQVTTSLLVEEQEIVPSQRKRKRSEVIEDESSTTRDSLLRLSVFAMEDNKKRNPNSHECNSWSELPLDLLNMVFKRLGFVDFQRAKSVCSSWLSSSRQSVPKKNHTPWLILFPEEQNNSYCKLFNPEEKERLYKTQDTGLDFSKSVCEASYGSWLLMHDLCYNLHIVNIFTNERIILPSLESQLGLTKERRTKPKNRILSCLFWIDEKTKDYVVVCSLDNLPVVYSKKVNNSWKQIPETSECLGMVYKDHKLYFLNYYGDFCIFDFYGETPQQTFQRELKVESVHSRKISSRLRIVATKLVATVTGNVLLVQKIWRSIPMTWSFLVIKVYSYSSGNLKEHQLVNSLGNESMLFDQGITVLANETYGFIANSIYFSGCDDKKDRNDLFLFNLQTHKTELMHKFDCSKFHWLLMRYPLRSLYVVNLFTNERINLPSVESQLGMVKVERTLDDMRTNDYVVLWGLRDLCVVYSKKRDTSWTQLPKTAGCVDVVYKESKLYFLSVSGCLLIFDLSGETPQQIFQCGVIVERLRLGLVVPTKLVVTVTGEVFKVEKWWRSRSETWSFRVIKVCSPGFLRMRNHQLVTSLGDESMLLDQSITVLGNDGFIRDSIYFSVKRDNTSLISDYLVFVLIVVLFGHRESACETINHSPSDKVQGNGNISVSLEESQVHTTGPLIAEPQKVTTSTPEIIIAPTETDVLEKSATNKSLQEMILSKKLTLFRASAQDPTYTYHVCPNTTTFTRNSTYYTNLRTLLSSLSSPNASYSTGFQNATTGQAPDGVTGLFLCRGDVMQEVCRRCISFAVNDTLKRCPNEREVTLYYEKCMLRYSNGNILSTLNTSGERNMSNGERITSNQTGFRDLLLSTMNQAATFASNSSRKFDTVNAFANSQTLFGLVQCTPDLTSQECFHCLNWTINRLPIDSVGGRVLVPSCNSRFEPYKFYDETDVPTPPPPRPGEGGNSSVIIIAVVVPITVIFLLLVAVFSFRAKRKGMVYETEPLAADEVVSTDRDDITTAGSLQFDFKAIEAATDNFSEGNKLGQGGFGQVYKYCGSGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKRNSSLFQMDGTAGNLAWRLWSNGSRLELMDPSFQDNYETNEITRCIHIALLCVQEEAEDRPTMSAIVQMLTTSSISLAVPRPPGFFFRSRHEQVGRAGPSIDMSALCSDDNASITRDRVEFSMSIAMHIIRTRQPQLDPVSPAPPPLPPAPQGITVLADEGINKNCIYFSSSSDKNTNDIFLFNLERRKMEQLHKFDCSSLQLSSDGWFLPNLPQDLLISLFERLNFANFQRVKAVCSSWHASSRQCVHIPKSQIHWMILFPEDDENNNNNYPCTLFNPEERDKRYKTQDLGLEFAKSFCISTYGSWLLMLYPLRSLYVVNLFTDERINLPSVESQVGMVKVERTLGGYELRTTSPNEKVYKGISIRTPVFWIDERTNDYVVLWGLRDLCVVYSKKRDTVWTQLPKTSGCVDVVYKESKLYFLSLSGCFLIFDLSGETPQQIFQCAVTVERLRLGLVVPTKLVVTVTGEVFKAEKRWRSRSETWSFRVIKICSPGFLTNHKLVTSLGDESMLLDQGITVLGNEGFIRDSIYFSVKRDNTSSIFVFNLRTKKTVPLHNFDCSVAQFSRARWFLPSFTQIT >A08p031000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18980812:18991796:1 gene:A08p031000.1_BraROA transcript:A08p031000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGAIVMKMARVMTQVFVLIFLLQGVHSRTSRNKSNIAKPGQNLASPPVTLKKIGLNQVVVDNGIIQVTFSNPSGLITGIKYNGFNNVLNDKIEDRGYWDVVWYEPEKNPQTDFLKGEKIDIINQTSEHVEISFSRTWTMARRGSIAPLNVDKRYIIRRGVSGVYMYAVLERLKGWPQVEMDQTRIVFKLDTTKFDFMAISDNRQKVMPSDADRNINNGIAAPLAYKEAVRLMNPQNHTLKGQVDDKYMYSMESKDNKVHGWISSDQRVGFWMITPSDEFRACGPVKQDLTSHVGPTVLSMFTSVHYVGKEMNTAYASSEPWKKMFGPVFVYLNSPSSSDPLWTDAKRQMAAEVQSWPYDFVKSVDYPLHHRRGTVEGQLFVTDSYKSKSKLYAEFAFVGLALPGQAGSWQTENKGYQFWTRADKRGRFAIANVRPGSYSLYAWVVGFIGDYKYERDIIITPGKEINVGPIVYEPPRNGPTLWEIGVPDRTAAEFNIPDPDPTLLTKLYLSNANPSQDRFRQYGLWNRYSVLYPRKDLVFTAGVSDYKKDWFYAHVNRYSGKEKYQATTWKIVFNLKTMIKTGNYTLRMALAAATNADLFVRVNKANSNPIFTSGLIGRDNAIARHGIHGLYRLYNIDVQRKFSELAKEMRNGAPVMKMGRVMTQVFVLIFLLQGVHSRTLRSESNNAAVTVNTSGGKQVVVDNGIIQATFSNPAGLVTGIKYKGFDNVLNARIQNRVLIGEKFKIISQTSEQVEISFSKTWDTSRRGSTVPLNVDKRYIIRRGVSGVYMYGVVERLKGWPAVTMDQTRIVYKLNSTKFDYMAVADHRQNLMPLEADRNLTDGSAATLEYKEAVRLVKPRNKLFKGQVDDKYLYSMEGKDNRLHGWIASEQRVGFWITTASNEFRTCGPVKQELTSHVGPTLLSMFTSTHYAGKELNTTYASDVPWKKMFGPVFVYLNSAPSHDLMWTDAKRQLAAEVKSWPYDFVKSVDYPLRQQRGTVKGQLSVMDSFKSKSKLNGEFAFVGLALPGEVGSWQTENKGYQFWTKADKMGMFTIANVRPGTYNLFAWAPGHIGDYKYEREIIITPGKAINVGSLVYEPPRSGPTLWEIGVPDRTALEFNIPDPDPALVTKLYANHPNAPEDRFRQYGLWDRYKVSYPREDVTFTVGVSDYKKDWFFAHLNRRAGEKKLQATTWKIVFNLKQVSQTGNYTLRMALAAANTADILVRVNEPSSKPMFRTGLVGRDNAIARHGIHGLYRLFNIGVQRNLLKVGDNTIFLTQNRVTSIFTGVMYDYLRLEGPSGAV >A10g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6490355:6490749:1 gene:A10g502380.1_BraROA transcript:A10g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMIEDFPQSILEVFRSLLPKVTLENFSEDSWKNFRRLLKDSRKTLGRLCQNISHEVFGKSSEVLCPKW >A01g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9397492:9404029:1 gene:A01g502820.1_BraROA transcript:A01g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVRKEAETHIQRLNKLLAAETDRSRQLESQLTENHKKVRMLSSGTATLDHILTLGQCPSLNTGLGYKGSTSKDTETKFGDSPYLSLQNRNMQPTRRSSRVSEKETAIPDLSSSGPSGSSRKRIRKQRRKMTPPPSSPPAAYTSTDDEVEAFQLKEPRYQASRAIFQARNQENPELLRSHITPFSSRFVTSNSVERYEKLSSREFVIQQRIDVTDENLLDVKRVVVRSGLIYTLIDSDLFHPNVVKEFIANLGAAENIGDGVAVFLRGSMVEFSSSLINAMYLIPGFEEDPDYLAVDIDRVCSFLTDNRVRRSEAMSSKYLTPTNQVLYKLVCSNWIPTTNYTSMNQERLKFLYMLHHHRGFDFGQMVYDQIISFAANISTDRSQRVIFPTLIQQVIDYQRTVLSFEDDEEYTGYPKLVVKDIKAGRGQGGNSSAADLLADIERTIADLKSIRIRLRRGEYPQYPRRTQQNEQEDEVEPDSEESEMRYVCYDVMTCYKLMEVEDIALARSKEDLLLAIF >A08p032530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19660428:19661562:1 gene:A08p032530.1_BraROA transcript:A08p032530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMNPSSLCVGAQPLVYLPPRFNHRPANGQFRGRYYPTRVSMQFQKNQIMFPSLRAVGTRAVARKGLDFVSKNTRPLFVCNAALNAKCSQGQTQTITRESPTITQAPTHGKVRSPKLDDGGSGFPPRHDGGGGGGGGGGESFSGGFFLFGFLMLMGYLKDLEGEHDNSH >A03p011020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4417469:4418064:-1 gene:A03p011020.1_BraROA transcript:A03p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSNFLQLLRTRTILVFSSPSIHTLLGKARHMNQQEAASTLELDLKLNILDSSLPTESPSSSLCSEEAEGGGGEAKSMVVVGCPNCIMYIIMTLDSSNPRCPRCNSQVLLDFITGYNTKKSSN >A03p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2493989:2496449:-1 gene:A03p005980.1_BraROA transcript:A03p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLASPGASSSNNRRLSTAEQLVLDICDPNLRENALLELSKRREIFQDLAPLLWHSFGTIAALTQEITSVYRLLTPPNMTSAQSNRVCNALALLQCVASHPETRMLFLNAQMPLYLYAFLNTSSKSKPFEYLRLTSLGVIGALVKVDDTEVIKFLLKTEIVPLCLRTMEMGSELSKTVATFIVQKVLLDDVGLEYMCATAERFFALGRVLGNMVTSLAEGPSPRLLKHIIRCYLRLTDNQKACEALRNCLPDPLRDPSFSSCLCDDPSARQWLQQLIHNIRVGHPAPRGFEQMRV >A05p008200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3339558:3340268:1 gene:A05p008200.1_BraROA transcript:A05p008200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSSVGLALSIVFGCLLLALLAELYYLLWCKKRRSTTTRPDLQNDYSTPATRELLFILCCSTNPSSSSSPPSFSSPKPIGTQQQCLLPHEGDEVGFGNVVGPGLVPRFLFTIVEETVEEMESEDGGVSTKGKSLNDLFLNMESGSTPPFLTPRASPSLFTPPFTPLTESCNGRREEVFFESSTDAEFNRLVRSSPHSPASSSSPLPRFKFLRDAEEKLYKRKVTEISEEAEEVS >A06g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22292261:22293016:-1 gene:A06g508040.1_BraROA transcript:A06g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCENNNNIVVEPTKTMILQDETRSRKVGQEMKRRVLGEINQNLVGARGYPCKKRKDVKRRSLILCDHQLQGGS >A01p048940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27523150:27523851:-1 gene:A01p048940.1_BraROA transcript:A01p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLDFDVDLEKGLVHCINESPEKPLIPTSTDNKPVITAEETVGSLENDATPVQSAISKPGWGRGDRKENRKKSASKPPRPPRGPSLDAADRKLIREIAELAILKRARVERMRALKKSRAAKAASAASSLGNVLATLLTVIFFFVLVLQGLSPRAAGRSPLVDTGKANGGFVSVQYAGTPSASEPDGGYTGPGLAQRLPK >A04g503140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6895406:6896428:1 gene:A04g503140.1_BraROA transcript:A04g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLKRAKIAEEANNLFAVLSLLNPKLSSESPNDEEEAQVEALSGDDEKEQISDDSSPDDLMNPVAIPSAKRSKSEKPIAVTKPKAVKKRPIETTSVSAKRARTAEEAKKPSSFQRLWSEEDEVSLLQGMIDFKADSGKSPYEDMDRFYELASKSISFEASKIQFVDKIRSLKRKYMGKAKHGAESLTKAHDIDCLKLATCIWGLALDSNSSAKKLGKEEEEEVVAPESANGDKVEEDREVLVSGGEKDKEVLINGGGASKSHGVRADDWFEDSFLVGSIAGLGVSEQFVKQKWSMVTVETKKRMAEKWKLLQAKEMELVLHKTDFMREIGSVIAEAS >A02g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25504417:25505549:1 gene:A02g509590.1_BraROA transcript:A02g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEGSEAKWVKAAERSNTRGAQHQGKRRGDSEGSSHRTYRREEVRSGDQETLNGLFEEQEGRVEDDDMEMDALEANLLESSFDLGAEEDFQTLSEEEDEKALAKGDEVPIQEKGLVESDTNKGESKGAGDAPSKQGNRKRIFKPTSSTVGSTKMRIANALVKRAASKGVTRYGDNGKPPEAKGTSKSQIKNPKFYGSGFISISSMQGRWGLRERSFKVFTQINDRLCFIWFQGSVGCGWVMDT >A10p030600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18483304:18493705:-1 gene:A10p030600.1_BraROA transcript:A10p030600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEGGAGVVDRKITIGVCVMEKKVKCSPEVFSAPMGQIMDRLQAFGEFEIIHFGDKVILDDPVESWPVCDCLIAFHSSGYPLEKVQAYSSLRKPFLVNELDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRTEPNQDLDYFVEEEDFIEVNGEVFWKPFVEKPVNGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQSVCGFDLLRSEGSSYVCDVNGWSFVKNSYKYYDDAACVLRNMFFDAKAPHLSSTIPPILPWKINEPVQSNEGLTRQGSGVIGTFGQSEELRCVIAVVRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKTAVQLQDLLDATRMLIPRSRPGESDSDAEDLEHADKLRQVKAVLEEGGHFSGIYRKVQLKPLKWDKVTKSDGDGEEERPVEALMILKYGGVLTHAGRQQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDTASSEMEEAKTQLNEIITAGTKLVHDYVSSESPWMIDGVGLPPQANESLPELVKLAKKVTEQVRLLAKDEEENPTEPSAYDVVPPYDQAKALGKSNIDVGRIAAGLPCGSEGFLLMYARWRKLEKELYNERRDRFDITQIPDVYDSCKYDLLHNSHLNLKGLDELFKVAQLLADGVIPNEYGINPQQKLKIGSKIARRLLGKILIDLRNTREEAMSVAELKKSQDQVSVSLCSPRKEDRCSQPKLFIKSDELRRPNTGENKDDDEDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEEGLVCQSALERLCKTKELDYMSYIVLRLFENTEVSLEDPKRFRIELTFSRGADLSPLEKNDEEAESLLREHTLPIMGPERLQEVSSCLTLETMEKMIRPFAMPAEDFPPASIPAGFSGYFSKSAAVLERLVKLWPFNKNSTSNAKKTTTGEMGLDDTDAVKAKGNLQLKKQSNESENHKKKKKKNNGGGDEEEEASGCWLNLRFMFGCVPSKPDVDGASSSPSLYATTSPIVESKQANEKPNDQPVSSTTTTTTTSNAGSSSSTPMISEELKVYSNLTKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIQEHGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWCIRMKIAVGAAKGLSFLHEEALKPVIYRDFKTSNILLDSDYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLDKRRFYKLLDPRLEGHFSIKGAQKVIQLAAQCLSRDPKVRPKMSDVVEALKPLPHLKDMASSSYYFQTMQAERLKNGSGRYQGFGSRKGQPQPVFRTLSSPHGSQGGNGFGSRKGQPQPVFRTMSSPHGASPYRPQIPSPKPKGATT >A09g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12746191:12748541:1 gene:A09g504090.1_BraROA transcript:A09g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILFSGLARDFPWMNLGFDVDELEKSDVFQVDFPDVLQAKTSLVQAAVDSRNELRIMAKSLVGVATDIRDKDWFDKLKKSGFMPEINTVWVLEGSLYYLSHTEAMQVTKVEAEKCVVSSTLLLPDCMNKPSATLPNSVFHFYNDWPDQLFIIFMQTSLPATGSMDQPSTVLLLVFGPGFWLTAS >A09g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28026896:28027555:-1 gene:A09g509520.1_BraROA transcript:A09g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGVRLRMDPAEERRHSKRQQDHINMLGFVSDSEYGIPKRCPCGGRIIDEVRRKDDYDTLPGKRFFTCQNYEADGFHYRQPWVIGVQEEIERLTKRVVEAEEVMLGSSNLCKLIDRLEDQVKMLSEQVEDLTVQVATLEKVCFE >A02p002780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1174490:1180096:1 gene:A02p002780.1_BraROA transcript:A02p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKIHGAFKGAVERMTGPRTVSAFKEKGVLSVSEFVLAGDNLVSKCPTWSWEAGDPSKRKPYLPLEKQFLITRNVPCLRRAASVAEDYEAAGGEVLVDDEDNDGWLATHGRPKDKGSEDEDLPSMDALEINERDTTQPRCGGGEEEDEEEDIPDMEDFDEIDNDPATLQSNLLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVMEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLDGTHTFALDCEKQESYWSGSSLYVIRKHLFEDFMGDFDFDASCVSGLWYIEPAMNQVPKQEPDSSSAHETDQSVSTRKKAKRLEEEEEEQRHYRGVRRRPWGKFAAEIRDPAKKGSRVWLGTFESDVDAARAYDCAAFKLRGRKAVLNLPLDAGKYEAPVNSGRKRKRSDVKEELQRSQGNSSSSSNDGETTCE >A07p004280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2912441:2913386:1 gene:A07p004280.1_BraROA transcript:A07p004280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic region/leucine zipper motif 27 [Source:Projected from Arabidopsis thaliana (AT2G17770) TAIR;Acc:AT2G17770] MLSSAKHKINHSDFSVSSSSSSLPHSYSQAKNMTQVTMEEVWKDINLASLHQHRQLNIDHEPMLSNQNPNNSIFKDFLNKPLNQEPQPLPPSSSSSTLHRSLLPPPPPETVLSLNPHSINTHFDESARFGCFGKKRGGQESDESRGDRRHKRMIKNRESAARSRARKQECLSPYFSPPYQLHAYTNELELAISHLQKENARLKRQEEQLKMAEATQHQTKRKLQRSWTTPF >A07g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22779464:22780352:1 gene:A07g508240.1_BraROA transcript:A07g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD42 [Source:Projected from Arabidopsis thaliana (AT1G68510) UniProtKB/TrEMBL;Acc:A0A178W6D5] MRISCNGCRVLRKGCNQDCTIRPCLQWIKSADSQANATLFLAKFYGRAGLLNLIESGPDHLRPAIFRSLLYEACGRIVNPVDGSVGLMWSGNWAQCQAAVDAILNGLPITHTPLPSASSSHQIIPPHRTYDIRHVAKDPTTGGDSSESLALAPRVNGNKAKTQTGRLKRLAETVDYQLGECSHDTWQLQCSGATHGYGQLALKNVALNQSSNLGCDDQVDINEVGLELRLG >A09p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2244495:2245035:-1 gene:A09p003920.1_BraROA transcript:A09p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRQSSSALRISDDQMIDLVSKLRVLLPEIRERRRSDKVSASKVLQETCNYIRKLHREVYNLSDRLSQLLDSVDEDSQEAAVIRSLLM >A01g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8639479:8640223:1 gene:A01g502490.1_BraROA transcript:A01g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIIWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSRLPGSRLDFQEVVWTSRKSFLIIWYSSSTNLKVFQIWI >A09p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11166892:11178951:1 gene:A09p021050.1_BraROA transcript:A09p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRSASMPSRLRQLLSGEGSIGPSIRLDAEPPPEIKSFIEKVIQSPLSDIAIPLSGFRWEYSKGNFHHWRPLFLHFDKYFKTFLSTRNDLLLSDHILEDEDPFPKYSLLQILRVMQIILENCPNKSTFDGLEHFKLLLASTDPEVLIAALETLSALVKISSSKLHRSGKLIGCGSVNSFLLSIAQGWGSKEEGLGLYSCVVANERNQEEGLTIFPSDLDNNHKEADFRIGSTVYFELRGHSAQSIHHGTSSASSSSSRVIQIPDLHLRKEDDLVLLKECIEHYNVPPDLRFSLLTRVRYAHAFRSSRICRSYSRICLLAFIVLVQSSDAQEELASFFANEPEYTNELIRIVRSEEPIPGTIRTLAMLALGAQLAVYSASHDRARILSRSSISFAVGNRMILLNVLQKAVLSLKISSDPSSIAFVEALLQFYLLHIVSSSSSGSTIRGSGMVPTFLPLLEYTDPSHLHLVYLAVKALQKLMDYSSSAVPLLRDLGGVELLSQRLELEVHHILKLTGENNSDMVVGESLDINGDQLLSRKRLIKVLLKSLGSSTYTPGNANRSQSSQESTLPATLSLIYRNADKFGGDIYYSAVTVMSDLIHKDPTSLTSLFEMGLPEAFLSSVVSGVLPSSKAIACIPNGLGAISLNSKGLETVKETSALRFLVDVFTSKKYVLAMNEAIVPFTNAVEELLRHVSSLRATGVEIIIEIVDRIACFGESGSSSSSVSTAMEMDSDVKNINGVSHEQFVQLCIFHLIILLHRTMENAETSRLFVEKSGIVALLKLLLRPGIAQSSEGVSIALHSTIVFKSFTQHHSASLARAFCSSLRDQVKKALGGLQDLSGTFLLDRKLSPSCGIFSSLFLVEFLLFLAASKDNRWMTALLSEFANGSKDVLENIGQLHREILWHIAVYESGTLESPNTSPESEQTELSSNGTEGQRFSSFRQFLDPLFQRRTSGWSAESQFFDLTNLYRDLGRASTGFQPRPISDGPSSSSDASGNRELERDGSYYTSCCDMVKSLSFHITYIFQELGKAMLLQSRRREDTVNVSPSSKLVASSFASISLDHLNFEGHEIAAEASRSTKCLYLGKVVDFIDAVLLDRPDSCNPVLINCFYGRGVIQTVLTTFDATSQLLFSINRSYSSPMETDDVKGKQDEKEDTDHAWIYGPLASYGKLMDHLATSSFILSPSTKHLLVQPVENGNIPFPQDAETFVKILQSIVLKAVLPVWTHPLFTECSYDFVTALISIIKHVYSGVELKSLAGSSSARVTGPPPSETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEEIQEDDELARALAMSLGNSESDTKENVVDETREQIEAEIVSLPPVEELITTCTKLLQMKEPLAFPVRDLLVLICSENNGEHRSGGICCLLSRIKDCCPVFDDTKNNLLSALLHVLALILHEDAGSREVALKAGTVRLVCDVLSKWDSGSIDKEKFNVPKWVTTGFLAIDRLLQVDQKLNTELIEELQKGETSLAIDESKQDKLQSVFGSPQLVDADDQKKLIEIACTCIRNQLPSETMHAVLQLCSTLTRKHSVAVCFLDFGGVQGLLSLPSNSLFPGFDSVAASIIRHVLEDPQTLQQVMESEIKHALATLSNRHSNQRISPRNFLLHVNSVIARDPVTFIQAARSICQVEMVGERPYIVLVKEKEKSKDKEKDKDKDRADKEKSQTSNEVATTTPPGSTKAKVYRKPPQSFIGVVELLLDSLCNFVPPPKDDMVEGDSTSADMDIDHASTKGKGKAVATTPAEKKAISQGMSASLAKIVFILKLLSEMLLMYSSSIHIILRRDAEIKSLRGPQQKGGQVGGIFHHILRKFIPYSRVMKEKKSESDWRQKLTSRANQFLVGASVRSAEARKRIFSDISSIFNDFIGTSNGLRPPVNEIHVLIVLLNDMLSARSPTGSHISSEASNTFVDVGLVKSLTRTLEVLDLDNVESTKTVTGIIKVLELVTKEHAYSADSSSKNETANNSSDQIQSGRGDTTADASEAGEIMLRSNHDSMTADHAENFGGSEDVTDDMEHDQDLDEGFAAGVDDYMQEEPEDARGLENGIGSMGIEFEMHTHVPENLDEEDEEDEDDEDRVILRFEDGINGLNVLDHLEVLRDHRFSDETLHVMPVEVFGSGRQGRTTSIYSLLGRTGDGATPSQHPLLSGSPSLQGSQSQTESTHDHTVGGRDSNGSSSSRLDAIFRSLRNGRQGHRLNLWADDSQQIVGSGASTVPQGLEDLLVSQLRRPGSDNPSDQNPSPLEPQSQAESGQPQEATVRPEIPDENATGNGGANVSAPSIVSPVASAPPDTRATATDSVSSSRSQSVEMQYDLNDSTVRDVEAVSQESGGSGATLGESLRSLDVEIGSADGHDDGAERHDVQPAMRSRRANLSVVPSSTGREASLYSVTEVPENSGHEAEQDNPPEEQPVNRDVASSSIDPAFLDALPEGLRAEVLSAQQGQAPEPSSNEQQNSGDIDPEFLAALPADIRAEVLAQQQAQRVHQSHELEGQPVEMDTVSIIATFPSELREEVLLTSDDAVLANLTPALVAEANMLRERFAHRYHNRALFGMHPRHRRGEASRRGEGVISGNEGIASRRSAAKVIEAAGAPLVNTEALQAMIRILRIVQPLYKGPLQRLLLNLCSHGETRFSLVNTFMDMLMLDARKPVNYSSVSEPPYRLYACQSNVTYSRPQHFDGVPPLVSRRVLETLTYLARNHIYVAKILLLSRLSLPSLQGSVPSDKARGKAVVVSDDHMSRTQQEPESVAFALLLSLLNQPLYLRSVAHLEQLLNLLEVIIDNAERKSESADGSDGSASEQQSTHQALEVENNAENHDMVSGTAGTVTKPIVSSGSSSNRAESECDVHTVLLNLPQSELCLLCSLLAREGLSDNAYTLVAEVLKKLVAIAPSHCHLFITELANAIQNLTRSAISELHMFGEAVKTLLSTTSSDGSGVLRVLQALSSLVDSLLITKEKNSEEHVAVFCQLSNINLALEPLWLELSNCICKIEGHSDSASASTTSPTTSTSSATTRGAGVSQSLPAGAQNMLPYVESFFVTCEKLHPSSQSCDISVPMAASDVEEQPKGPGPSSSSKVDEKYGSFIKFSERHRKLLNAFIRQNPALLEKSFSLMLKVPRFIEFDNKRAYFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDSTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYYKALKWMLENDISDVLDLTFSVDADEEKLILYEKTEVTDHELIPGGRNIKVTEENKHEYVDLIAEHRLTTAIRPQINAFLEGFSELILKDLISIFNDKELELLISGLPDIDLDNLRANTEYSGYSPGSPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSSLQGISGAQKFQIHKAYGSANHLPFNQLDLPEYPSKEHLQERLLLAIHEASEGFGFG >A09p074780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56610459:56612828:-1 gene:A09p074780.1_BraROA transcript:A09p074780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSHFEIRVLPTDTIMAVKKNIEDSQSKDNYPCGQQLLIHNGKVLKDETTLVENKVTEEGFLVVMLSKSKTASSAGPSSAQPTSTTTSSAMPAAASTTQSIPVPASVSTLAQEQPAAPSDTNAQAASTLASGSSTEQMVQQIMEMGGGSWDKDTVARALRAAYNNPERAVDYLYSGIPEREAVPLTNISGADLAAPPTSGGPNSSPLDLFPQEAEAGAGELGTLDFLRGNDQFQQLRSMVNSNPQILQPMLQELGKQNPQLLRLIQENQAEFLQLINEPYEGSDGEMDILDQPEQEMPHAVNVTPAEQEAIQRLEAMGFDRALVIEAFLACDRNEELAANYLLENSADFED >A02p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2138807:2141243:1 gene:A02p004930.1_BraROA transcript:A02p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVASVIHLSPAKPDRIFLNIPVIPPHRLRARGWTQSPLSLLSNPSLVSAANRRLLHIACARGTDQEDDLSSSSSSEKEKIDLLPHKDEDEGLRDNNNNRQEETNKTSFSTVALCVSTAVAFGIGIGFKEGVGKASEFFAGYLLEQSLSVDNLFVFVLVFKYFKVPLMYQNRVLTYGVAGAILFRFSLIVLGTATLQRFEAVNLLLAAVLLYSSFKLFSSEEDDTDLSDNFIVKTCQRFIPVTSSYDGNRFFTKNDGIWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVLTSNLFAIIGLRSLYTLISKGMGELEYLQPSIAVVLGFIGFKMILDFFGFHVSTEASLGVVALSLSTGVLLSLTNKSGDS >A02p015950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7039946:7042681:-1 gene:A02p015950.1_BraROA transcript:A02p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQRPVFLGSLVLGFFFFLFVDGQKCSKSSSLVGYESEFRMLQHQLRGVFTVLDDCSFRVSRFDMLSGSDVHWWGAMTSDFENMTHSGFVISDHKLNQTFNNQSFVVRLLDNVTWDSIGVVSVWDLPTASDFGHVLLSNATEQDSSSSKSESPPSESKDAATPGKSNNSEPLKAPTMFDNCKKLSDKYRLRWSLNVDEGYVDIGLEATTGLLNYMAFGWAKPNTTSNLMLNADVVITGIREDGFPFADDFYITKTSVCSVRDGFAAGVCPDTVYEGSDAVGSSVNNTKLVYGHRIDGVSFVRYRRPLNDSDNKFDFPVNATVNLTVIWALGVIKPPDVINPYYLPENHGGVESENYGHFSLSLSDHVDECLGPLDADNKYDQGVIIADANAPLVVTAGPSVHYPNPPNPAKVLYINKKEAPVLRVERGVPVKFSIEAGHDVSFYITSDFLGGNASLRNRTETIYAGGQETHGVLSSPLELVWAPNRNTPDQLYYHSIFQEKMGWKVQVVDGGLSDMYNNSVNLDDQQVKFFWTIVGDSISIAARGEKKSGYLAIGFGSEMTNSYAYVGWFDRNGTGHVNTYWIDGESASSIHPTVENMTYVRCKSEEGIITLEFTRPLKPSCSHRDRPECKNMIDPTTPLKVIWAMGAKWTDGQLTERNMHSVTSQRPVRVMLTRGSAEAEQDLRPVLGVHGFMMFLAWGILLPGGILSARYLKHIKGDGWFKIHMYLQCSGLAIVFLGLLFAVAELNGFSFSSSHVKFGFTAIVLACAQPVNAWLRPAKPAQGELMSSKRLIWEYSHSIVGQSAVVIGVVALFTGMKHLGERNGAENVDGLNWALGLWVFLGVVTVVYLEYRERGRRRARNLSRGNWVLGNVEEDDSTDLIDSRGGFRDKDDEEGRNGGRSEIQLEPLK >A06p018720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9071155:9074262:-1 gene:A06p018720.1_BraROA transcript:A06p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESQIKKRTLPPRVLSSLDAARIQWYHFKAIIIAGMGLFTDAYDLFCIAPIMKMISHIYYHGDSISTPVLSISYAIALLGTATGQLVFGYLGDRVGRRRVYGLCLIIMILSSFGCGFSVCTSRRSCVMVSLGFFRFILGLGIGGDYPLSATIMSEFSNKRTRGAFIAAVFSMQGSGILVSSAVTMAVCVAFKNFGGGSKADGEAPVVADVAWRLILMIGALPAALTLYWRMLMPETARLSIACFNQFNVMKRRVFHLGMRDIILASPKLMGTSVEQPIFVSFFAMRWSSTIKRYDFLSSETSSHSWCIYILPRRLTYSQSPLPKPLPPPLLLPSNLNHPSQSLNSRLHQPSLRNCHDRIFVLFLTDV >A06g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2106803:2107779:1 gene:A06g500490.1_BraROA transcript:A06g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVGNLSMEEKHIFQNVQMSVNFLVSLLKKNWQNVFVPQEHNGTTSKNLLSSRSCFNAKVIS >A06p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21769422:21771327:1 gene:A06p040210.1_BraROA transcript:A06p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKEMKKERAIARLVKSPVRFLIMARDAYIRSMTSCSVGFITGGGSGGFGLPAGNFQICEEPSTTLPRSFTLNSSTTTRERCRFVTRGGENRAAMRRPLDLRRNYSCMVMGRIDEEKACDEFEQEGLLSGLQKKTFGKLHIRDQGTEISPPSGEQTWKMRRREEKRRKLHEALLETLYPPSSPSSPSSSPSPVGFGDEPVDVTLINPEDYVNIDSSNHGDEDENGDESETKPSRAQRKRIRKKMLKEEAARRRKVIGPLLPAEMVETREDSNGGEEASCVQPARLNASEKEEKVSFEGNDKTKRVKKRREAKKLSKGCSNPIHIQDPTSETS >A04p036230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20587806:20589244:-1 gene:A04p036230.1_BraROA transcript:A04p036230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYESAAKWSDSNSPYNMLSCSLLQSDSDLSRFNLGFSSSSSSGNFPSDEFVGGIEKGESLSRSHRLAEKRRRDRINSHLSALRKLVPNSDKLDKAALLATVIEQVKELKQTATQSPTSQELPTEADEVTVQPETISNDFEPDTIIFKASFCCEDQPEAISEIIRVLTKFQLETLQAEIICVGGRMRINFILKDSNCKETTTNSVSSAKALKQSLCAALNRIASSSSSSTSSVSRIRSKRQRWFLSSHYSQ >A09g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2203242:2203642:-1 gene:A09g500540.1_BraROA transcript:A09g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKERTADEATYQSLLWTVDSLQAHHLNKVIIALDDDTFSKVILRPKAWPNFRCQHIELMGRLRSLERWKIIKEDRLTNRGAFLIAQSVIKYGFGQSYVAAGAPRWLMDIFVNEETVSSL >A08p019330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14758884:14759735:-1 gene:A08p019330.1_BraROA transcript:A08p019330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICRGRIMNAYLLDQPANESYKSLQTPTDLSAQNQDVAVMVNASEVTKVKTLTIRETFAFLKQEPAQAAFLDVCIHVPSYDKGVLAEILEPIVGKGLIPVNLMILYIVSRGYGQNI >A04p000150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:72200:73517:1 gene:A04p000150.1_BraROA transcript:A04p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ANTAGONIST OF LIKE HETEROCHROMATIN PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT3G63270) UniProtKB/Swiss-Prot;Acc:Q94K49] MMAPPGRKRKGEKAKNGAPPLDPEAIDCEWWDTFFLRNSSLSGVRVPLDEDDAFKYFFRASKTTFSYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGDSQVSVGAAFGVGQSTVSQVTWRFIEALEERAKHHLRWPDSDRIEEIKSKFEGVYGLPNCCGAIDTTHIIMTLPAVQASDDWCDQEKNYSMFLQGVFDHEMRFLNMVTGWPGGMTVSKLLNLSGFFKLSESGQILDGNAKTLYQGAQIREYVVGGFSYPLLPWLITPHDTSDDPSDPMLAFNDRHEKVRSVAATAFAQLKGSWRILSKVMWRPDRRKLPSIILVCCLLHNIIIDCGDYLEEDVPLSGHHDVGYSERYCKQSEPLGSELRGYLTEYLQR >A05p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5864899:5867441:1 gene:A05p013430.1_BraROA transcript:A05p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDMDIDDDEVQVNVQHTIAGESRLLARPIEASNSHNDVKKHLDEQLKIINGNLLPNLSGNSGNNLFLEGEKGIILPSLRLPAPGQSYPLVNGPEQSKIINGYVQPNLSGSSGKTLMLEGEKDTVLSRFPAPVQSLPPANGPEQKRPAYPCKFFAQGRCTKGNSCRFLHVNENMNRTSQQQVVNNMAGTSGIQSIEERRPLESKEGIRFPMLSTNGVTSLVNPPAGQRVFPFTNEMRFMPPLENMGRGSLQKLGAVFTENRPVFGNSTSSFPLRSSFVQEYGSFITSNRQTDMGSSGPAWTGSVFSSAPLNQYASPFGNFENRNDINGSEPLPMEQALSVPSVQDAEVDTTSDTKEVSSNDWEPSEPFRPSFTIPPYILPSSDALYDPFTDIENPEDRSPKAQSSTKGKDAQKKSGQQKDGDSASNDKNSSCSQNQFQETVVRKNLEAHGVVEGVATSVVDQNDASATTPSKEISSSAAVENRVVLKRSKPAGHESWHRSDGSSHQKKLKTDEMDGEVRSDAGTKVMRQFRTAVVETIKDMLKPLWREGRLSKDVHNMIVKRATEKIVSAAVQLHQVPTNSESVEKYLSMSSTRIVKLVEGYVEKYGKPQSKTSGIIKSKAS >A09p065120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52193998:52194542:1 gene:A09p065120.1_BraROA transcript:A09p065120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGVWQLQKLVVSYCNWGGSSRGIRAFMESELPALIEKNPQLEVVTELSRGQHPYLKGIYRNRNERVVCVKNMDPDQVLLNATRLRNSLGRKVVKLRTRHVTKHPSVQGTWTTAVKF >A06p042650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22917083:22920772:-1 gene:A06p042650.1_BraROA transcript:A06p042650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTYTWLWTLLAFILTWMVFHLIKRKKVGTEETEVDAEETRDGEAHDVIIVGAGDGRRVHVIERDLKEPQRFMGELMQLGGRLMLAQLGLEDCLEEIDAQEIKSLAIYKDGKNDTLYFPDNKNFPYESAGRLLRNGRLVQRLRKKAASHANVQLEEGTVKFLIEEKGVVKGVIYKNSAGEEITAFAPLTVVCDGCYSNLRRSAVHNKEEVLSYFVGYVTKNTRLEDPQSMHLIFSKPLPCVVYQITSNEVRCAAEVPADSIPSIANGEMVNFLKKTVVPQIPDGNLRETFLKGVEEGLLEIKTTATKSMSAKQCDKKGVIVLGDAFNMRHPIIASGMMVALSDVLILRNLLRPVPSFSNTKKFVELVKSFYIIRKPMSATVNTLAHVFSEVLVAITDEAREGMRESCFNYLSRTSGGFRTSGMMAILGGMNPRPLSLVFHLVCITLTAMGHLLSPFPSPRRFWHSLRVFALALKMLGAHLGDEGLKEMLIPTNAASYRKSYMTTASDC >A06g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20870873:20871484:-1 gene:A06g507440.1_BraROA transcript:A06g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSSNSIDMSSLIEAEAKMYADEAESPYCNAEPDQFAPQPEADDGIPTACYCGAQPVVKCSYTPKDPYRRYFFEVQRHVRQLKDQAFECDQKLLKLQKTVCEVKKKSENTNVFALAVCVMVSAILFIGLAAMYLSGRASKK >A09p038440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000093.1:1:4725:-1 gene:A09p038440.1_BraROA transcript:A09p038440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRPCVSVSTHRMSVGVRQHTQDVCGCPSVHISALHTGRPWVSASTHKTFVAVCGCPSAHTGRPWLSVYVHVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPFPWDCAGDCLAHVGCLFKFTQGHPWVPARTTGPHTARPWLSISTHISTLVLGLSTLILPVDCSGDFGPRGLSVQYTQDVCGCRPAHTGSPWLSVCVRMCPSAHIGHLWLSISTHISMLVLGLSTLALPVDCLGDFGPCGLSVQYTQDVRGCPPANTGRLWLSMVVRQHTQDIRGCPCVSVSSHRTSVAVHKYTYQHVGPWTQHAGLSRGLFGTHRTSVAVHQYTYQHAGPWTQHAGPWTQHAGPWTQHAGPSRGLFGTHRTSVAVRVCPCVSVSIHRTSVAVHQYTYQHFCPWTQHTDLSRGLFGTQTHPVDWLGDFGPRELSVQYTQDVRGCPPAHTRRSWLSVCVRLCPSAHTRRPWLSICTHTSTLVLRLSTLALPVDCLGDFGPCGLSVQYTQDVCGCPPAHTGRLWVSTSTHMTSVAVRQHTHDVCGCPCVTLFVLQHTQTSVAVHQYTNQHAEHTGHPWVSVSTHSTPDVLGCPSAHTGRLWLSVGVRQHTQDVRGCPCVSVCVRQHSQDVCGCPSAHTGRPWLSVCVRVCPSAHTRRSWLSISTHIRMLVLGLSMLAIPVDYLGDFGPRGLSVQYTHDVHQHTQDVCGCPSAHIGHSWLSVYVHVCSCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHRTSVAVRVCPCVSVSTNKTSVAVHQYTYQHFCPWTQHADPSRGLFGTLTHPVDWLADFGPRELSVQYTQDVRGCPSAHTRHSWLSVCVRLCPSAHTGRPWLSICTHTSTLVLRLSTLALPVDCLGDFGPCGLSVQYTQDVCGCPPAHTGRLWVSTSTHMTSVAVRGCPGLSVQITTHGHPWVYVSHYTATTGRLWGPSAQRTSVGCPWVVLCQHTQEVVAVVCVRVCSVSTHRTFVGVHPPQTGRPWTVRVLYVCVPSAPQGRSLAVHQYTYPKLVLGLSTLAIPVDYWGDFGPRGLSVQYTQDVRGCPPAHTRRLWLFVAVRQHTQDVFGCPSRWPFPWTVWVILAHVVCLFSKHRTSVGVRQHTQDVRVCSSAHTGRPWLSMCVRVCPSAHTGRQWLTISTHISHVVPWTQHADPSRGLFSTHRTYVAVHQYTYQHVGPWTQHADPS >A06p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4053866:4057226:-1 gene:A06p010800.1_BraROA transcript:A06p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta 1 [Source:Projected from Arabidopsis thaliana (AT1G12000) UniProtKB/Swiss-Prot;Acc:Q8W4M5] MAPSLAAVNRDLAAASPDNAPAKGRASVYSEVQSSRINNALPLPSVLKGAFKIVEGPASSAAGNPDEIAKLFPGLYGQPSVSVVPDQSADLSGQKLKIGVVLSGGQAPGGHNVISGLFDYLQERAKGSTFYGFKGGPAGIMKCKYVELNAEYILPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVSAQKQTLKNVTDYMVDVICKRAELGYNYGVILIPEGLIDFIPEVQELIAELNEILANEVVDESGLWKKKLTEQSLKLFDLLPEAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQAGSYKGQFMGQSHFFGYEGRCGLPTNFDATYCYALGYGAGVLLNSGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASLREEWALKNRYISPGPIQFTGPGSDALSHTLLLELGAQ >A09p059820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49847651:49849902:1 gene:A09p059820.1_BraROA transcript:A09p059820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKSDLSLPKTFACSAFAACVGEVCTIPLDTAKVRLQLQKSAIAGDVTLPKYRGLLGTVGTIAREEGLRSLWKGVVPGLHRQCLFGGLRIGMYEPVKNLYVGKDHVGDVPLSKKILAGLTTGALGIMVANPTDLVKVRLQAEGKLPAGVPKRYTGSLNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNIVTHILSGLGAGFFAVCIGSPVDVVKSRMMGDPSYKGTIDCFVKTLKADGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKYVRELDSSKKH >A09g518330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55731952:55735010:1 gene:A09g518330.1_BraROA transcript:A09g518330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDVGDGQGHHLTAAAIIGHDGSVWAQSANFPQFKPQEMTDIMKDFDEPGHLAPTGLFLAGLKYMVIQGEPGAVIRGKKSNREREK >A07p037670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20120624:20124081:1 gene:A07p037670.1_BraROA transcript:A07p037670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTIYSWGAATVIFVVLMIVTPTIPQSQAYHDFADQRTFFGIPNALNVISNFPFLIIGLIGLVLCFYPDDYFRFSLRGEKLGWTCFFVGVAAVAFGSSYYHLHPDDARLVWDRLPMTIAFTSIMAIFVIERIDEHKGTYSIVPLLLVGLVSILYWRQAVICRFFDDLRPYALVQFVPCIVIPLMAILLPPMYTHSTYWLWAAGFYLLAKVEEAADKPIYSWTHHILSGHSIKHVCAAMVPVFLTLMLAKRSVQTERISLYKTWKISWTRSRGKGTEEESFECTYTNVAVEEARLDINLENMESSFRFIDQCLLISQTFMSFPHLMIISACLLIAVFSYHSFKPTHIYLIDFSCYQPPDFLRSPIANFIEHLNLSGIFDRECLDLQQKILERSGIGDDACVPVTVHEIPPHSSLSASREETHDILFTVVQDLFSKHKIDPKSIDILVSNCSLFCPSPSITSIIINKFGMRSNVKSFSLSGMGCSAGLLSINLVKDLMKIHGGSLALVLSMEAVSPNGYKGKCKSMLIANTIFRMGGAAILLSNRDQDKDKAKYKLQHLIRTHLGSDDESYESVMQEVDEEGLVGVALSKQLVKVASKALKINVVELGPRVLPYSEQIKYIISFIKRKWRKHKEKEVYTPNFKKAFEHFCIHAGGRAIIEGVEKHLKLEKEDGEASRTTLYRYGNTSSSSLWYEMQYLEAKGRMKKGDRVWQIGFGSGFKANSAVWKCITEIDSREKNAWSDRIHLYPVCGDVSG >A08g500480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1151135:1151407:-1 gene:A08g500480.1_BraROA transcript:A08g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNYGDFLCSSFSSPCADLLKLLVTEASLSSSVLLSLFLLLVPFLSHGYSYGVNQISFVESKVTSPKSPKSPPSNYLSSSVVTETKSGK >A10p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15079344:15081005:1 gene:A10p022960.1_BraROA transcript:A10p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATSSTLFPPQSLFLSPHLPPKPFFCRLKFQCFYVISCSYGGCFSGGSFCDRRSYGQRRRKRFIVPKARVSPYEVLGVSPSATPQDIKRAYRKLALKYHPDVNKEANAQEKFLRIKHAYTTLINSESRRNYRSDRPASGYSGQTNQKSNSQVEEDFYGLGDFFKDLEEEFKSWEASASSSSQGTPKSLWEELAEIGEEFVEFLEKELNISDGDNDGPSKTGERFDFDESFSTDTKNSIEVNIDEIEATLAQLKKYLGL >A03g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22502443:22507127:-1 gene:A03g506290.1_BraROA transcript:A03g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVSSVNGMQKLLGVVNRETKRLRGVHEQVDDLIRQKRALQSFLEDADAKKYGCERLRNLLEDVKDVIEDAEATEDSYLLKERSREEKGIMTCVKRNSCFLYNRLKFATHIEGINKRISKLIAQMCDFRIHKIIDGDCSVSSQERQRVQRETQQTFRPSSENNLVGVEQSVKTLVGHLVDNNDDIQVVSISGMGGIGKTTLAKQVFQHVDVRRHFKGFAWIYVSQEFTQKNIWQRVLQDLRPLDGDVKQMDECTLQGKLCELLETSRYLIVLDDVWKDEAWDRIKAAFPLKRGGSKVILTSRNEGVGLHADPTCFPFRPRTLTLEESWQLCKSIVFPKQDATEFVVDEELEAMGKKIMLTHCGGLPLAVKVLGGLLAKKPTVSEWEKVYTTIRESGEDYLEELVTRNMVTVVKSNSSWETHFPKDYKMDVEELFTNWGAEGIIKSVCNGATIQESKEDYLEDLVRRNMVTIVNSYSSWGSGYCQMHDIMREVCLLKAKEENFVDFINAHTSTTTINAHIPSRSRRLVVHGGSALHMLGRKKNKRVRSILCFGAEGNLWKQSSRGFRSLPLLRMLDLNGAKFKGGELPSSIGKLIHLRFLSLRGACVSKLSHSLGNLKFLLYLNLCVNQVVHVPNVLKEMLELRYLLLPAFMDDKTKLELAALVKLETLWYFPTKNISVTDLLCMTRLRTLRVYLNGGCTSETLSSSLRGLSKLEQFTLVASDKTHVYNGGDFTRDCNRLKSLTLVMHMPRSLEQDQFPPLLAHICLQYCRMEEDPMPVLEKLLHLESVDLSDSCFVGRRMVCSESGFPRLCALQISKQEEVEEWIVEEGSMPCLRTLTIEKCEKLKELPDGLKYITCLKELKIQRMNKEWTKKLEPGGEHYCRIQHIPDVQFINCVGE >A01p008050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3893353:3895986:1 gene:A01p008050.1_BraROA transcript:A01p008050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 7 [Source:Projected from Arabidopsis thaliana (AT4G30340) UniProtKB/Swiss-Prot;Acc:F4JQ95] MEETPRSVGEASTTNFVVARPSAKTDDAVTMRGCGFGNFGVDREELRRRIVMPEYLRLAMRDCIKRKDSTAVPDHLVLPGAAAAAATEELAPHTPMVVFINPKSGGRHGPVLKERLQQLMSEEQVFDLTEVKPHEFVRYGLACLETVAAKGDECARECRERIRIMVAGGDGTVGWVLGCLGELHKEGKSHIPPVGVIPLGTGNDLSRSFNWGGAFPFAWRSAMKRTLHRATLGPVAKLDSWSIVVSMPSGEVVDPPYSLKPSEETALDQALDAEGDVPPKAKSYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPVTNKIIYSSYSCTQGWFCTPCVSNPGLRGLRNIMKIHIKKAYCSEWEEILIPKSVRSIVVLNLDNYGSGRHPWGNLKPNYLEKRGFVEAHCDDGLIEIFGLKQGWHASFVMAQIITAKHIAQAAAIRFELRGGDWKDAFLQMDGEPWKQPMKTDYSTFVEIKKVPFQSLMINGE >A09p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5524313:5524899:1 gene:A09p010900.1_BraROA transcript:A09p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRPIFYIKKYKPVSTSTADDMASDVYLSHSHRTFPAPRQVYKRVQDFFRGQVNKDYITEMMRVEQICQGRSFSLTRRLREVHKPGGVDISEAYTFKSYEDEANLSSKKQSKWFKNKKNMNKFKKTSVSLGNSLKSCLLLLLSCFHV >A02p047150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29474195:29475405:1 gene:A02p047150.1_BraROA transcript:A02p047150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLEEPIEEDDTSDSVPHLREDNESVRLVVVTHEAASQPETVSQSEEMQSRNLLWWWFKALSLCALTLFLTLVFAKWGVPFVFQKVLIPILQWEATAFGRPMLFIVLLLSLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTVGMVLPYLIGLMFRDRLHQWLKRWPRQAAVLRLAAEGSWFHQFRVVAIFRISPFPYTIFNYAIVVTSMRFWPYLFGSIAGMIPEAFIYIYSGRLIRTFADVQYGHQRLTTVEIVYNLISLVIAVVTTVAFTVYAKRALRELQNADASESGEVEVRNEARFEMKNVDQHEDRHRGLGSSHALP >A01p029900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21126979:21128397:-1 gene:A01p029900.1_BraROA transcript:A01p029900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSKAIHNNEEIGIHRIDEKKWKQGVPITSDLLSKTLSSLSNPLDPKCRVSDVSTSVDGTCVHRSILIFICRGISWYRSSALDAHRSIVLPLVDPTWSARVKRPLSSKMLQISLSLIFSLEPKSASIAGSVIKIGHASMNQKLMSSLRKRALKIAASKSRFELFYWSLYESSLNGFSHQVLFRLILSVTFQTCLKNPIPCIPSPKTSGYVRFSVGNQLWLLHTVK >A09p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43909921:43910948:-1 gene:A09p049740.1_BraROA transcript:A09p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVDGELESLLGMFNFDQCSSSKEERPRDEMLGLASLYNDHLHHQNNLPPSDHHALLNPDMFPFSAMIMGGNLPTMLDSWDQNQLQETATLKRKQLNVDNLHNSNFNCDVTRQELVKAKKKQRVSQESNTVDESNSSDDEKASVTSVKGKTRATKGTATDPQSLYARKRREKINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPLAYNGLDMGFHHNLLSRLM >A06p045650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24471231:24471917:-1 gene:A06p045650.1_BraROA transcript:A06p045650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFQLFSPPFVQKHFVHRHDVMCNIGMMSLAAIRLEWHTFGTLSFLADTFIFLYVGMDALDIDKWRIVRDSLGTSFAVSSILIALLMLGRAAFVFPLSFLPNLAKKNQSEKINFKMQLYSFMRPVFGGRGFGPFVSSSPTERDPPGLRGV >A03g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13537475:13538048:-1 gene:A03g503880.1_BraROA transcript:A03g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIFNGGAVGILEELIESAEEEVLLASCRLIKLYPELEHCVGLETIMGCLPFEKFVEACKDPQDETNEMRAKTLYKIWNRQTASSSTGFPYDVQQLLIVKSNYGDHLYETILKGFREARVALKIGYYVKPWNLEASREASLQETVDKVRTIAHRRRRNVISRDD >A05p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20053619:20054992:-1 gene:A05p035370.1_BraROA transcript:A05p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATESRESDPTQTKGIRGTLPLNLQTLTELIVLDIFQNRVSGPIPDLSGLTRIHTLNLHDNLFDYVPIPKNLFSGMTFLQEAYLENNPFSPWEMAMDKHKTGHTMTAGIADRFSVIYNVPFSDAPDAEEANTYAGNERGGAYDMVVDVLIHHTRSLLLSHSEQPQSKTLFSLTRNLFPYFPRRSITLGRCFYHNVAGVDVCNCINPDVLDVEVQRTAVMIYEDNVEVYDSSFPTSA >A03p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:355257:365717:1 gene:A03p000560.1_BraROA transcript:A03p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIQAFFVPLLFLFFSSIATAAIVEHVFQVKNVVVKPLCKEQMIPTVNGSLPGPTINVREGDTLVVHVINNSTYNITIHWHGVFQLKSSWMDGANMISQCPIQPRNNFTYRFDITGQEEQWWDTDIELLNSRPAPISDAYLINGLAGDSYPCSKNRMFKLRVVQGKTYLLRIINAALNTHLFFKIASHNVTVVSVDAVYTTPYVSDVMILTPGQTVDALLTADQPNGMYYMEISPYTSANSLVPVPPSTPIRGLIIYEDAKSTASPSNSLMPSGMNAISTAHRFSSNITSLVGGPYWTPVPDHVDEKMFVTMGLGLKPCPPGTKCIGPFGQRYAGSLNNRTFVIPGTISLQEAYFYNISGVYTDDFPDQPPMKFDYANFGVRTNSEYKMMFPERKTSVKTLKYNSTVEIVVQNTGIITPESHPMHLHGFNFYVLGYGFGNYDPIRDADKLNLVNPQMHNTVGVPPGGWVVLRFKANNPGAWMFHCHMDAHLPYGIIMVFIVQNGPTPETSMQPPPSNLPQCTRDPTIYESLATSVKNVVVKPLCKEQMIPTVNGSLPGPTINVREGDTLVVHVINNSTYNITIHWHGVFQLKSPWMDGANMITQCPIQPRNNFTYRFDITGQEGTLLWHAHVVNLRATLHGALIIRPRSGRPYHFPKPYKEVPLIFEQWWDVNIELLNLQPAPICDAYLINGLAGDSYPCSKNRMFKLKVVQGKTYLLRIINAALNTHLFFKIADHNVTVVSVDAAYTTPYVSDVMILTPGQTVDALLTADQPIGMYYMSISPYISANSLAPVPPGHDIRSLIVYEGAKSTSSPSMSLLPSGTNAIPTAHRFSSNITSLVGGPYWTPVPYHVDENMFVTMGLGLDPCPPETTCNGPLGQHIAGSFNNRTFVMPETISLQEAYFYNISGVYTDDFPDQPPMKFDYANFSVRTESDYEMMFPERKTSIKTLKFNSTVEIIVQNTGIISPESHPMHLHGFNFYVLGYGFGNYDPIRDARKLNLVNPQMHNTVGVPPGGWVVLRFIANNPGAWMFHCHMDAHLPYGIIMVFIVENGPTPETSLQPPPSNLPQCTHDPTIYESPTTNVDLSS >A03p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7081908:7083492:-1 gene:A03p017560.1_BraROA transcript:A03p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASFTDLLASSGVDCYEEDEDFLCGFSPERTGSGLPKFKTAQPPPLPISQSFAFSDLLDSPLLLSSSHSLISPTTGAFPYQGFNGTNNHSDIPWQLQPQTQPSNASSALQERYAVQDLHKKKDPVPREFAAHSLGSDRQVKVPSYMVSRNSNDGYGWRKYGQKQVKKSENPRSYFKCTYPNCFSKKIVETASDGQVTEIIYKGDHNHPKPEFTKRPSGSTSANARRMFNPSSVVSETHDQSENSSISFDYSDLEQKSFKSEYGEIDEEEEQPEMKRLKREGEDEGMSIEVSRGVKEPKVVVQTISDIDVLVDGFRWRKYGQKVVKGNTNPRNYYKCTFQGCGVRKQIERSAEDERAVLTTYEGRHNHDIPTTLRRS >A10g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19830257:19835514:1 gene:A10g506770.1_BraROA transcript:A10g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAPPAKRADSLIESRRREPPRRVERDQGRRFPNQSHGPSLSRSKHLDQYQRGRLSKTQEWRPRDHTSRGPYMEVSQRNSESFRGDSHSHSSPKGRHNIAQNQADDLSTPQNQHYSPRVIASDTRNKEHPSVRGTPLRRSSSTIPQEAVNAAIGEIREAMTQYTNCADPSESAARKERMRQAEAQGDFEESAVQIVKANLARASEETVPVTSDPFSQERFPISARLGPTNRVSDPPERTPIFDRLGPVNDDQRELEQVCNLEAGEQCDRAPLAARLGPVNTETAMVISQPENEPSGPIKRKPGRPPGKQRVTPSLFPAPESSSRKRKAPHDKPPSGRTKPATETVRPRRVVRKVISDTWRDTQDSAVSAKLASTRSSSGSPEDTLSMALTLAREWESKREIETTRKPLAPLPAPQRPSGTVTIRSDAAWTPERTTAGLGWVIFSPEAQRPFSKRVNFVSSPLVAEGLALLEAVRSGVSEERRMVAFESDSAQLIRAINSGEGIPELYGVIEDILSFASVFDFVSFSWISREKNVQADRLAKLALNAVENVVENLVGVGDIIAPN >A06p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1066420:1072410:-1 gene:A06p004220.1_BraROA transcript:A06p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presequence protease 2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G49630) UniProtKB/Swiss-Prot;Acc:Q8VY06] MLLRSVTRSSSNASTSLFFRLFPRSYFSRSSPATGRNIRRLSAAGTGSELFLRRGLRLLPAAASGCLSGQFSLAVSTQTATSYPGQDEAEKLGFEKSREEFISECKSKAVLFRHKKTGCEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLYTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDVHTFQQEGWHYELNHPSEDISYKGVVFNEMKGVYSQPDNILGRITQQAKHRFTALCPENTYGVDSGGDPKDIPKLTFDKFKEFHRQYYHPSNARIWFYGDDDPVQRLKVLSEYLDMFDASPARDSSKVEPQKLFSGPRRIVEKYPAGGDGDLKKKHMVCLNWLLSEKPLDLQTQLALGFLDHLMLGTPASPLRKILLESGLGEALVNSGVEDELLQPQFSVGLKGVSDDKVQKVEELIMSTLRKLADEGFDTDAVEASMNTIEFSMRENNTGSSPRGLSLMLQSIGKWIYDMDPFEPLKYEEPLKTLKARIAEEGSKAVFSPLIEKFILNNPHCVTIEMQPDLEKASQEEVEEKNILQKVKASMTDEELAELARATEELRLKQETPDSPEALKCVPSLNLRDIPKKPTYVPTEVGDINGVKVLRHDLFTNDILYTEVVFDMGSLRHALLPLVPLFCQSLLEMGTQDMSFVQLNQLIGRKTGGITVYPFTSSVWGSDVPCSKIIVRGKSMVGRAEDLFNLMNCVLQEVRFTDKQRFKQFISQSRAGMENRLRGSGQGIAAARMDAMLNAAGWMSEQMNGLSYIEFLHTLEQKVDQDWEGISSSLEEIRTSLLSKNGCIVNMTADGKSLTSTEKFVGKFLDSLPEKPSSGQVTWDARLPLRNEAIVIPTQVNYVGKAGNIYSSGYKLDGSSYVISKHIGNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDIYDGTGDFLRCLDVDEDTLTKAVIGTIGDVDSYQLPDAKGYSSLLRHLLNVTDEERQIRREEILSTSLKDFKEFAEAVDSVREGLQWPWHHKKTLMQQTKNAQIFSK >A01p020510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10064730:10068275:-1 gene:A01p020510.1_BraROA transcript:A01p020510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRRDYVRNGPGSVKMVAEDSDDLWYAYNLISTGDSVMAVTFRKVQREVPGGGRDSERVRLKLEVQVEEVDYDKDASVLRIRGKNILENEHVKIGAFHTLEIDLKRPFVLRKAFWDSLALDTLKQASDPAASADLAVVLMQEGLGQIFLALKKFFENVLQAFVKHVDFSVVRCAVIASPGFTKDQFHRHLLLEAERRQLRAIIENKSRIILVHTNSGYRHSLGEVLNAPNVMNMIKDTKAAKEVKALNDFFTMLSNDPARACYGPKHVEVAHERMAVQTLLITDELFRNSDVKTRKKYVDLVESVKDSGGEAFIFSSMHVSGEQLTQLTGIAALLRFPLPDLEDIEM >A08p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23175848:23176557:1 gene:A08p040850.1_BraROA transcript:A08p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFLGLLLLLALTKSSSAIYCLCKDGIGEKELQTAIDYACGSLADCNPIHDNGTCYQPNNIKSHCDWAVNSYFQKASQVSGSCNFSGTATTNQNPPSNLVTGCIYPSSASTTSPTGTTLTNGTTPAFGPTGTGGFQGNGASSLVISHVLTLFFSSLLFLWGSDVRLGFSHV >A05p012000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5221025:5221807:-1 gene:A05p012000.1_BraROA transcript:A05p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like F-box protein 3 [Source:Projected from Arabidopsis thaliana (AT3G63060) UniProtKB/Swiss-Prot;Acc:Q93ZT5] MNSSRPLRFKQPSRLPSFGETGIENEQVLTLVFESISWDIHTICAVASVSRRFCAIARRVLWRSLCVHRAPGMAAALSGSDPSGRIDGGWQALAKLMFFCGGGESTRSPGHFASESRFSKTSGRFFLPKNCRGDLLYVSDPCEHEAVGGGGDEHLGVFRGVFREFMRSKTRQCLVRRQAELEEKVRCPYCGGRVWSMKAARLVPKSAARRLGSRDGGLEFYVCVNGHLHGTCWLIPLSSDEDERDFDGEEDDDSDDFLRR >A03p049370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21122216:21123650:-1 gene:A03p049370.1_BraROA transcript:A03p049370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRKIHPDCKYSTNPFHECASDCLEKIAQGRGKKTSKKQAATNFSLPESLGKKKVGSQPPSPLNTRPYQNGTANSPRVHPSRPSPVAVTKRSTVAETNISFPSLSSDEVSINLNGQHDSFNHMPEKPSQMVPLSPNNMPDRSKTVSPTPREHEHNGKNDTASEISEFNFVSPPRSYANDDDDDENGVELDLQSVMSDSCVSVGKYRVNSSVSIILRSIIDKHGDIAANCKLESASMRSRYLECLCSLMQELGSTPVAQLTELKVKEMLAVIKDLESVNMDVGWLRAVLEEFSRFQENADSEKQRQEGSVRSKKQELEIHEADLGRMEEEVREARLKVEEMKAELAELETERLRMEEMGFKVDKFKGRSFLDELL >A08p014070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9413357:9413620:-1 gene:A08p014070.1_BraROA transcript:A08p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSEPPVTLRTIMYYLLLRHITISVFKKKKRKNENNVMEKGKKEKKHGTTGKVEQEVPSIEEYVEKSTKQNNQSPVPSSEQPHQI >A05g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27024941:27030934:1 gene:A05g509000.1_BraROA transcript:A05g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKRERLRGVAPIMSLPAGATFRPRSEAIFLDPTMKTRATLECRSRNLAPSWSDLEVKMVKKTKGKLEAEKQEAERKEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKWVAVDRDKAKTPSAEELYDHLKNGVTWATTRFADLDLLKELSLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNISQEYFLGEHGPLDPIQAASSRRRSVPTQPDSPVADTSEHIYGPPCYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMGATSPERHHRVALITLLKRPNQSDREKSLAVSSLEDARTSPERPLGATQRGRSSWERQGEVARGFITRRRENEPGATSRSDTARSLPKPGATCRSDGLRTGSTIIYTTAFVLGALKTPNTRLSLKQMKYCVGSAFSSCIRVLDSSWALLLFRSPDPLCRALTSWDQARSIFTLDASRIFWFGFNSGSSIFGLLSVEL >A02p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12335692:12343483:1 gene:A02p025240.1_BraROA transcript:A02p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVENQRNGLDLSARLPQDPRSLLSSSSPCFPITLKFVDVCYRVKIHGKTGESGKIQRLLGLDHKPSDETTSTEERTILSGVTGMVSPGEFMAVLGPSGSGKSTLLNAIAGRLHGPALTGRILMNDVKPTKQTLKRTGFVAQDDLLYPHLTVRETLVFVALLRLPRSLTRHEKIKAAESVISELGLEKCENTVVGNTFIRGISGGERKRVSIAHELLINPSLLVLDEPTSGLDATAALRLVQTLSWVAHGRGKAVVTSIHQPSSRVFQMFDTVLLLSEGKFLFYGKGRDAMAYFESVGFSPAFPMNPADFLLDLANGVCQIDGMTEREKPNVKQTLAVAYNTLLAPNVKTCIDAAPSLGDNMRFVKTRENAHGITSGITTWFSQLCILLHRLLKERRHESFDALRVFQVIASSLLSGLMWWHSDYRDVHDRLGLLFFISIFWGVIPSFKGVFMFPQERAIFTRERSSGMYTLSSYFMAHVIGSLSMELVLPAVFLTLTYWMVGLRPGLVPFLLALFVLLLYV >A09g516940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49778479:49779394:1 gene:A09g516940.1_BraROA transcript:A09g516940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLYWNGLEVLKLRPTLQTPPGPILTFVFLLHLNLILTDEPRDRDGSRHFSDGSVSIFSKLPSSKHHPNFSDQTHHVYSLERNRRLSRASSVLSINLSPFSFSILVSLQLPQPFKTFSQLLHLELRTMCLASTTSLQVTFCFSKPSHYRFKK >A03p051330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20552576:20553598:1 gene:A03p051330.1_BraROA transcript:A03p051330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDYLAMKTDVDKVALVNSDVEELKIAAKKLFRDVTKLGGAAFGVSFVKWLACFAAIYLLILDRTNWRSKMLTSLLIPYIFLSLPGVIFNFLSGDVGKWIAFVAVVLRLFFPKHFPDWLEMPGSLILLLVVSPHFLAHHIRGTWIGSIICLFIGCYLLQEHIRVSGGFRNSFTQPRGVSNTLGIILILVYPVWALIVRVTK >A04g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6682952:6684772:1 gene:A04g503020.1_BraROA transcript:A04g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVFKKFISNFNSWKKFFFFVHIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFCTSFTPKMVQKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDFMLPGWDPNLAYGDGSGSSEAPILDFDDFFAGLPSGFDAPPPTKESARLRVVAEGSRIINGRSHGLAGYREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVKVMKTRASQFQVEYGNLKNAFTWVGDFRECRGSFGSLWRTRADDYVFEEEMSLMKSGMSERADAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFSDGGEEVDSPADAFGASLSGDFDFGL >A08g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2295069:2295524:1 gene:A08g500890.1_BraROA transcript:A08g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSLMGSFCLSVSPPIYIGSFLKGFNTLKISRTMNPNIKTFYVWMISCFYLMSFTVTKVLRPEALDPESILDGNLDEEAEDGKGKSADEKVKKSSDVVESAEV >A04p015790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9777229:9779383:-1 gene:A04p015790.1_BraROA transcript:A04p015790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKMELKLYNTMTQRKEVFTPINPGKVGLYVCGITAYDFSHIGHARAAVSFDVLYRYLRHLGYEVNFVRNFTDVDDKIIIRANENGEEPLELSNRFCEEYLVDMGALQCLLPTHQPRVSDHMDHIIDMIQKIIEKYCGYDVDGDVFFSVDKSPNYGKLSGQLLEHTRAGERVAVDSRKRNPADFALWKAVKPGEPSWESPWGRGRPGWHIECSAMSAHYLSPKFDIHGGGADLKFPHHENELAQTCAACEDGGVNYWLHNGHVTINNEKMAKSKKNFKTIREMTESYHPLALRHFLMSAHYRSPLSFSASQLDSSSEALYYVYQTLQDLNDALLPYREAMSEDSGKSEQTAEAKDIINKLKSEFEGKMLDDLNTAHILTGAYQDALKFINASIGKLKKMQKKQRMSLLVSLVEIEKAAREVLDVLGLLTTLSYAEILKEMKQKTLTRAGLSEEDISQKIEERIMARKNKEFEKSDEIRAELTVKGIALMDIGKETVWRPCFPLQANSSD >A05p047680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28044607:28046392:1 gene:A05p047680.1_BraROA transcript:A05p047680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEEEEIEIPNYFICPISLEIMKDPVTTVSGITYDRQSIVQWLEKVPSCPVTKQPLPLDSDLTPNHMLRRLIQHWCVENATRGVVRIPTPRAPPGKPNIIEEIKNLKKFGQEALGKEDTLKKLEVLAMEGERNRRLMCEGGVHKSLILFIVKYAREEEEEEGQHRIKGKLDESLRLLHLIGVPLNEARTILIENERILESLTMVLNQKDFMNKAYTIVLLRNLTENTSSHIVERLSAEIFKGIIGFLKDVVSRSNRVNPSVCATVQPSNSRVRNKAPSKLDHSVVIKQAVTAALMILLETSSWSRNRTILVDLGAVSKLIELEISSTGEKRTTELVLGILSRLCCCANGRAEFLGHRGGIAIVTQRLLRVSAAADDRAISILSSVSKYSPGSEVVEEMASVSTVKKLCAVLSIDCSLSLKEKAKEILRDHIDEWKKFPCIDVALISKLLSSSPKDLLTEYYSRVGV >A10g500300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1021505:1022086:1 gene:A10g500300.1_BraROA transcript:A10g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAERRGVYHFLDVLSVPWGLNMPSELLKACYGEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERDVYTHTMTRAIFEGEGKYFDGIPFEFVDRIGKLVRSVKWGWGLWHGDYFRDHKVLFICFFMSSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKW >A08p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14624872:14625736:-1 gene:A08p021930.1_BraROA transcript:A08p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTNRVKYPEGWELIEPTLRELDAKMREAEMDEHDGKRKCEALWPIFKLSHQRSRYVYDLYYRREEISKELYEFCLDQGYGDRSLIAKWKKGTKLLSGYERLCCLRCIQPRDHNYGTTCVCRVPKHLREEKVVECVHCGCQGCASGD >A10g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2373403:2375200:-1 gene:A10g500810.1_BraROA transcript:A10g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKWSSSASAYEELIVPKMEFVPHSVHPTENEAWWVAHYGSLTPLKEKSFPVLIHRGVEKGDVSRSTDEFLAIMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFIVRCRLWFPIPKILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFVQPGPASAANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFADLPPGFNAPPPTKELARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A10g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16040499:16045673:1 gene:A10g506000.1_BraROA transcript:A10g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKCVSFLTADISTYSSAKERGKKRDSHHPRTLGPTQLKRTNPPLFLLRSCGAACPGTLGIRFPCDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEAFTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKVIMFGLQRKNTKEKSPRPSASQSSFKSSLNYFDECVSVQEKPNRWSNEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLILSPQVFEEEPLDFPHQCPCIDTRICLDDDLGPIFDEEDEPGPVFDEEVTSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNYLPDMFVKVSTDDVIRFDLDKMKDFFVSKSVFDNMIISLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALEEILIQKLLEQKSLETENDFRDVEFCGSVLQPDLLSFETDKTWYFLRSLRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLDTSDRGSVQGGYLNIPKVFCHESNFPGKPTQTLFTEAWNHMKIFTDEKVMNFLNRRFFSPSIREYQISKRDSCPRMNRPEPKPILHEPKVFPQSSSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELCSLSCALKEILFRKRHELKFLRPKNQFDFIHDKNFSYLALTLCFPDRFSAWPNFKIDKLIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPYDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYILRTWNWKYLREASSKLQGSFCPKFSFSEFYMILKFFLSDSFSFDSGKIDLRSNPFEEGGNDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLKHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKVIIHVLNVQKSIGLDGFQKDSKTSLFSPNGETDKILAKRKDGFRPGLKGTCLGPYQEYILHLSKSWSWLYEEVVQVSVKDFI >A06g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21289954:21293725:1 gene:A06g507640.1_BraROA transcript:A06g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEILTDILNNSEEISTDSFRRTRHFIRSNQIFFPISLRRTLSPLRFPALSLFSFAISGDSTLILIRGGTESQSRGSSSHIQESVSLHSSYHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTPFNRSGNGISAWINHMMYSALDKGHPTFTDFPTEKQHLWFRQFAVPKSMNDTVSKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVSLVQTQVLDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLMAQLKDKDDRISALETQMAAQ >A03p027080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11283534:11284456:-1 gene:A03p027080.1_BraROA transcript:A03p027080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEEKPVMVVGVDESEQSTYALEWTLDRFFAPYAPNFPFKLIIVHAKPNAVSAVGLAGPGTAEVVPYVDADLKHTAVKVIEKAKALCLSKSVHGAMIEVFEGDARNILCEVVDKHHASLLVVGSHGYGAIKRAVLGSVSDYCAHHAHCSVMIVKKPKIKV >A09p060010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49930574:49932381:-1 gene:A09p060010.1_BraROA transcript:A09p060010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPTNSSKYTYSCDAHTFNFLVDNGFVFLVVADESTGRSVPFVFLERVKEDFKKRYAASIKKDELHPLDDDDEDDDLFGDRFSIAYNLDREFGPILKEHMQYCMSHPEEMSKLSKLKAQINDVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWIQSLQMKLMVGGAVMSFILIVWVVACGGFKCSS >A03p011910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4756802:4757173:-1 gene:A03p011910.1_BraROA transcript:A03p011910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSAVVQFYVISLLLSSSLFMLSNADSSPCNGKCNVRCSKARIQDRCLKYCNICCGKCDNCVPSGTYGNKDECPCYRDMKNSKGGPKCP >A04p013370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4402640:4402906:1 gene:A04p013370.1_BraROA transcript:A04p013370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGGFSEGLGLGLSAFRRATSIFGICTRYVIGTRRPVGAGGCRSMSSSIYRSIVGSPCRSMEVLARRAIRTVSGLGGCGCFAANSS >A05g501250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4774042:4774281:-1 gene:A05g501250.1_BraROA transcript:A05g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAPEASFPSGEHSGFSIGFSELHGVSKPNPPQSPQRDPTPKAPHDHKRMRHHRIYARSSPSHGSELPRSTSSVTAE >A06g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17749584:17751100:-1 gene:A06g506230.1_BraROA transcript:A06g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALRGRKFLWCTGVGQAPSSCATSGTFNLGENDSSPPGKSSGLNVSPQSNFCTKEFTCLSSFWSTNISRSSSGITVKWTESCRQAKGNGRHVNTKSRNRFTSLYFCIRVRVKNGYDKFTEERIEMSDKSSKQVVTQRPNVRPARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRPARSLRSDRARAKAWSLRSDRAIVPLGRCVATEFEPKLGHYVATERSSRSSLRSDRALVPLGRYEATGFEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKICSKRVESEDGPKGPKTRLEAHPTIFLTKSPINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDNLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCKHSYANSE >A04g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8609436:8611409:-1 gene:A04g504120.1_BraROA transcript:A04g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRPLKFIIAYERSKNKKKANESRHAIHKTDHKQNYYRSFLYKDKLGLHLIWKKTLSEDFQEVQTTFRKSRRLSGSPDDFQEEVPPTEVEVVWKTSWKSSSALYSRRLTVRTLYNKKLPNEEKLVIKTYQNTHIYYERETSWKSSGLQIQI >A08p016810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11844012:11845098:1 gene:A08p016810.1_BraROA transcript:A08p016810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14455 [Source:Projected from Arabidopsis thaliana (AT4G14455) UniProtKB/TrEMBL;Acc:Q147J7] MNYRRENRASRTSLFDGLDGLEEGRLRASSSYSHDTTERDNDEALESLQDRVSFLKRVTGDIHEEVESHNRMLDKVGNKMDSARGIMSGTINRFKLVFEKKSNRKTCKLIGYFVLLFLVMYYLIRLLNYIKG >A07p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3954110:3955264:-1 gene:A07p002260.1_BraROA transcript:A07p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSLLPFLNILSSLNCPRRTADSPHELMQFSTKESDIAAAANEALKRFRDKRKKRFCSGGHGIQLPHKGEAGDDVGRRHTAHGTKRNLIQTARSLQTRTKSTFVVTRPANVTETLKKEIPVQYGGNERDDDTKFSNEADSPMKLFLKLSLSLGHL >A03p000750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:442016:443757:-1 gene:A03p000750.1_BraROA transcript:A03p000750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSNRIKTDIASSTWLSSRFLSRDGSKGSSSTSFSHVPRTEGEILQNANLKSFTLSELKSATRNFRLDSMVGEGGFGRVFKGWIDETSLAPCKPGTGLVIAVKKLNQEGLQGHREWLVRGTFYQPLPWNTRVRMALGAARGLAFLHNAQPQVIYRDVKASNILLDSNYRAKLSDFGLARDGPMGDKSYVSTRIMGTQGYAAPEYLSTGHLSAKNDVYSFGVVLLELLSGRRAIDRNQPAGEHNLVDWAKPYLVNKRRLLRVMDPRLQGQYSLTRASKIALLAVDCISIDAKSRPDMKDVVKTLEELHVQKETPKEEEQNLQPSSDNNNNNKSPQAVNYPRPSIM >A09g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16151715:16156947:1 gene:A09g505230.1_BraROA transcript:A09g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTEALSKQIAKMMEIMQSMNAKIDDNMKTQSAHMKTLQERMVHHETTNGDRGKTIKFSTEELSEVEILSGSKGSKGAISFDTRAGTSFTPSKADKDIPVRSCGLANESNYGFSGGPWPNRAVGRERGHRRTDGGGDWNVRPIGAAPHDSHPNVGDYRNSEGLMEGGYYETREDRSNHFDRRGVKAAKIEFPPFDGTTDAMEWLQKCDDFFVDQRIFSDDTKVRQATFVLTGQAYHWIINLRRLVTHRLGWGEFKRICKSRFGKADAVNPVGELSNLRHTGTVDEYCSQFEECLSRQTRLSGDQQLWQFCAGLTDSLRKEVEYLRPETIFEAIEYARDNEYKIDNDKRTRTFGGHLAPITKTIGVSARQENRGSEVKTPKSGPQKQTGQKKFWKKLTPAEMADRRAKGLCFNCDDLYTPGHVCTPVLFHIMPVMEGDNQDDEWIEEDELEISINAMNGEQNERTFQVQANIMTGRGWVLLDTGSTHNFIKSSLVEKLGIPMHRKPGRFVALPNGGRCPIEGFCQNMSMSVQGHQFKTDCFAIPLKGFDVVLGIRWLNALGRVIWDGPNKTVEFNHGSTPVIWHGEAEERGKTNISLHALGADSKGLEHWFSDEEEVFTTQGTSIRRIPQAPKQRICTLNPDYLPTLTLFSRETRVKGSFAIKLFLLLPVITAPVTRAQAARIILFFSGWSFILLAGSVLTIFGFDPPSNKRLSGGISLKLSSLQPENFSTFWTKTK >A08p025710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16501973:16503200:1 gene:A08p025710.1_BraROA transcript:A08p025710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFYDKRLSQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSQDLSVLNLVIVKKGEKDLPGLTDTEKPRMRGPKRASKIRKLFNLTKEDDVRKYVNTYRRKFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKANADAAEYQKLLASRLKEQRDKRSESLAKKRSRLSSAAAKPSVTA >A10p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14338146:14339785:-1 gene:A10p021280.1_BraROA transcript:A10p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERTMSLGIGLNGESSGVRETMWAQQELLQKINQELDAEREASSSAASEALSMILRLQGEKAALEMEASQYKRMAEEKMCHAETSLALFEDLIYQKEMEIASLEFQVQAYRCKLLSLGCSDPAVVENRFPENLIFFGDTSRLNQKKKMKRNLSSPFDGMTSERRLLLSDNEGFEEKKGLESSLSPREDLSTYWEQIRRIDDHVREISESRDAPKESKRPLIKRESVSHALVSQVSNTILESAKSDVNTIMEMLKNPDHKVSAKDESPNVQDIFEVPRTKESLFIISEEEEHEERSGRGKLLSKPPRDTSIKAEHMSLLKEIREQLNGMQSEMRSLRSELHLTPPVSSHHEEDGVLNSVQEAMIHFWL >A09g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10374954:10376021:-1 gene:A09g503190.1_BraROA transcript:A09g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTNRNPAFRHVITALYFSLHHKPLISSDSSRLELINKRLCELGRGGIGVVYKTSLQEGRPVAVKKLTDSGLIKSQEEFEREMRKLGKLMHKNIIEIKGYYWTQSLQLLSYEFVSGEAYTDISMGTSALFASALDRCVLSGKVKSTLGYTALKFECWTVKITEKCDVYGFGILVLEVVTGKRPVEYAEDDVMVLSEMVREGLEEGRVEEFVDGRLRANFPAEEAVPVLKLGLVCGS >A08p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10630350:10632131:1 gene:A08p016360.1_BraROA transcript:A08p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRATINSLNLQQVKQMMWQLQAKWREFKWAVSLLLLTVLLYVVVYHSASLVPNFPASVAPVVAEKQEINNIDSIEAEDMINLEGILKEASMKDKKTVIITLMNQAWAEPNSTFDVFVESFRIGNGTSKLLPHIVVMCLDDKAYSRCLDVLPRRCILLRTAGVDFSVENRYMVGDYVKMMWRRIKFLGSLLKLGYNFLFTDMDTIWLRDPFPRLVADVDFQAACNLFFSGNFSDRQHNEVNGGFKFVTANHRTIKLYKYWYKSRLRFPGKHDQDVLNYIRSDQYINKIGLDMRFIDTVDVGSFCQPNWDITKVSVLHGNCCIGQSNKVKDLRQFLEDWTIFFGNGNKKRSFRQPMNCRRSVGWRPPRKHKRRG >A06p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5760398:5763988:-1 gene:A06p012610.1_BraROA transcript:A06p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSNLMLAIHEKKTNSVDLYRPLRNYITFTYSEREAQLLEDDLETLNQLRSDVERVPDPSPPARRDLLISYYKALCLVETRFPISPEKHHVNAVSFLWHDTFKQKQKATQQNIHLEKAAVLFNLAATYSQIGLGHDRTTVDGRRQASHAFIGAAGAFAYLRDNESTKASIGQSSTTVDVSVECVGMLERLMLAQAQECVFENTIAKGSTPGVCAKIARQVGIYYEEALAALTAPPLKDHFEKGWISHVQMKAALFYSEACYRYGMELHEKEEIAEEIARLRSGSSRLAEAKKSSRGAPAQLIEAMNKLEASISCNLDRAVKENDRVYLMRVPSPASLSPLPTFSMVKPMNMTEILDASKEKMFAILVPDSSAKALSRYTEMVDDVIRTQAERLQQASELTRVRLKEMDLPDSILAVDGHSTLPADLREDVEAVQISGGPASLEAELQQLRDLKRVNQELLVHTEELLQKEATEDTQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAGESDVKIERSVRENSALMSILDRRPIESAIPSLAKPMMSLDATEDAIVGTLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTITGSYEDMFRKEISKYDHICEDISQNIEVQEQLLRQIQAQNEEFSTVFNLEDYKASREKCYNQIQAAISKYREIKENVNEGLKFYVTLQDAITNVKQQCSDFVMTRSIQCREMIEDVQRQMSGLSFQDRRNSGPYPSVHQPTATSSPPPQETHNPSHPHPQAPYYRPPEQVSRPGYSMPPYGPPPPYQAPHGQAPQPYPPQAPQQQQPPYPSWQQGSYYDPQAQQPRPPYSAPNPYLHPPQPQPPHQGGGYYRH >A02g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20401734:20407609:-1 gene:A02g507130.1_BraROA transcript:A02g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSVTRQHRAYPSRDYYGNSPPYSSRRVDRQTQRSDSRKEHSTRGTPKIQGTAIWREKQPTEEYLEQDPQNLVKSARPPVGRNLETHDFPPLPLIPTTKEVMEELHQVTRLYTSCDDPTIESAARKQRVRVSDAQGLMEETAQGIIIAAQKAQAAQTQLQEEILAQALIILLPAKPAKTIGSSTQQPTTGITGKKRGRPARTRDLRISPKTFTGASSHRRIMSSIHSSPGGAAATPRGSKTSRGAGTSHRRNLRQHRAYPSRDYYGNSPPYSSRRVDRQTQRSDSRKEHSTRGTPKIQGTAIWREKQPTEECLEQDPQNLVRSARPPVGRNLETHDFPPLPSIPTTKEVMEELHQVTQLYTSCDDPIESATRKQRVLVSDAQGLMEETAQGIIIAAQKAQAAQTQLQEEILAQALIILLPAKPANTIGSSTQQPTTGITGKKRGRPARTRDLRISPKTFTGASSRRRIMLSIHSSPGGAAGTPRGSKTSRGAGTSRRRNLVHRRKWIATSWSRTC >A06p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19576977:19578360:-1 gene:A06p036110.1_BraROA transcript:A06p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESKDQEIKLFGMKIHLSTVLEAEEDGISAEKNQKETLTDQVEKDKTLKKPTKIIPCPRCNSMETKFCYYNNYNVNQPRYFCKACQRYWTSGGTMRSVPVGAGRRKNKNNSSSSSHNYQHATISETDGPVLNFTHGDDQKVSDNRLMHSWPYMWNPGFYPVYPYWNVPMLSSSPNSSPNSTLGKHSRDEDETIMPKQSYGSVLVPKTLRVDDPNEAAKSSIWTTLGIKNEVMFKGFDSKKEVKISKEETETSLVLCANPAALSRSANFHERL >A07p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19003992:19006139:1 gene:A07p035060.1_BraROA transcript:A07p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRPNIQYGPYKNLAHKFAVGERLVREIEVSHWGNVEVTEHYNLVYRGAQLKGEFSRFEYQDRPNPQGITTPFAVKQSHEIKYSHLDIAGRPVVVLEKNNVVPDHNQNIQVYYKFININLLSEPLMLISRFIVLFITCIIYTRADFSISKCSAAYLAKLQWDEVLATLQEVQSIIHKCLAVHDKLEASLRDLSRTGYIQTCKAARKSTDSLLKDLSKELRPLLASLQSLSHQPLRYLQRGRIKDEDSKRKNRRRGFKEEESNTKKNHKRYEKKSSGRDIENRIASQQQKIIALRQEVEDLLDFIDEI >A09p067330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53157838:53159274:-1 gene:A09p067330.1_BraROA transcript:A09p067330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 66 [Source:Projected from Arabidopsis thaliana (AT3G61910) UniProtKB/Swiss-Prot;Acc:Q9M274] MHDLFLPVYVKVNGQSQVPPGFRFHPTEEELLQYYLRKKISNIKIDLDVIRDVDLNKLEPWDIQEMCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATTVGFWKATGRDKIIYSNGDRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNLLVSSSNLDDDVTLETCEVVGGDEGWVVCRVFMKKSLCKTVNSSPPRSIKTPSFNEETIGQFLEVMEQSCKEETILDPFLKLPNLECPNTVASYQRLMDDQVSNCHVSKLVDPITSWASLDRLVASQLNGPNSYYEIPQSPFHGLNRPGYFNTGLTPDYYIPEMDVWNDTDFGRTTPSSN >A05p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7715271:7717625:1 gene:A05p017190.1_BraROA transcript:A05p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTIPLQSYLWVCFGVTAIMTGSEMALINLEAHVLLLSGGFVVLMLQSKLLFIQPDWNLTMTIEVNGGIIVSVVKEEDWFKKQRSRVKVIDYGEAVIMPGLVDVPLRRARHANVKPRHHHRHPKKPICSSSSLLSCLCLFSKNKDSEMSQTKPRSSSFSVKDDDSLKFMHSPLTPAAAKKLFTSPITTPVSAKPTKKWLSTRDTFEDNAVEDACRSFENYLIQLIVEEGKMDDLMDIEELLSCWKNLKSPVFIELVSRFYGELCRDLFSGE >A05p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9007298:9011114:1 gene:A05p019130.1_BraROA transcript:A05p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGTDTVKELDQTSEEEYATQSKLIKEFITIPSIDKAWIFNSGSGPQAMVAMSQANLLANKRRKFMLSGHISKESSNLSVNFHWAPFPVEMTGASAFVPSPSGLKLLVVRNPDDKESSTKFEIWSSSHLEKEFHIPQKVHGSVYVDGWFEGISWNSDETCVAYVAEEPSLPKPTFDHLGYYTKDNVGLDKDIGSWKGQGDWEEEWGEAYAGKRQPALFVINVDSSGEVEHIKGVPRSISVGQVVWSPSSKGSAKYLVFAGWLGDKRKFGIKYCYNRPCSIYAIKFRDASSGSDEPKDNAKEAFPIHNLTKSISSGFSPLFSKDGKFLLFLSAKTAVDSGAHWGTESLHKINWPSDGKLSEIADIVDLIPVVNCPDDGCFPGLYVTGLLSDPWLSDGHTLMLSSYWHSCRVILSLNMLSGELSRASPNDSDCSWSVLALDGDDIVAVCSSPVSVPEIKYGKKVVDPAGRPSWQWLDIQNPIFKSSEKVTSGLSSLEFKILKVPVSNVSECLTKGAKKPIEAIYVSSSKSKENGICDPLVVIVHGGPHSVAPCSFSKTLAYLSSIGYSLLIVNYRGSLGFGEDALQSLPGKVGSQDVNDVLSAVDHAVEMGLADPSRIIVLGGSHGGFLTTHLIGQAPNKFVAAAARNPVCNLASMVGITDIPDWCFFEAYGDRTHYTEAPSPEDMSRFHQMSPISHISKVKTPTLFLLGTMDLRVPISNGIQYVRALKEKGVEVKVLVFPNDNHPLDRPRTDYESFLNIAVWFNKYCKL >A04g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9579383:9579808:-1 gene:A04g504620.1_BraROA transcript:A04g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQPKHKTRIMHCGICGIDDHNSRSHTKNQVVLLKVLLLKFLLQPLNKLPRLLLQPTICRLLLDGGLYFNIH >A04p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4424384:4426325:-1 gene:A04p013410.1_BraROA transcript:A04p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVPDSSSPTGVLEEFFRTEEFESSEAAKNPSSSRFRRIVELLRSTSKKSLENLKIPFHNNAVKSSLRRCTSLRDNLRFGSSNDAHFLVHSPRRIFTFSELKVATNNFAFENLIGKGGYAEVYKGRLSNGQMVAIKRLMRGNSEEIIGDFLSEMGIMAHVNHSNIAKLLGYGVEGGMHLVLELSPHGSLASMLYSSKEKMNWSIRYKIALGVAEGLVYLHRGCHRRIIHRDIKAANILLTHDFSPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLTHGIVDEKTDVFALGVLLLELVTGRRALDYSKKSLVLWAKPLMKKNKIRELIDPSLVGDYDWRQIKLVLLAASLSIQQSSIERPGMSQVVEILKGNLNDLKCIMKCKVPFYRKAFRDEHISSAS >A03p011760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4703089:4704042:1 gene:A03p011760.1_BraROA transcript:A03p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNNPFSMSTMFNALQQIHLSNTRLKQLFNLMTSCEGVGQFKDLISTFDQSQLQKMASLLTSDSDYFMEVVSNKYGSRRVQKLLGISDDVDAFFYGAILQRFFDIMTDKYASYVAIRATVVFDQVKKHVMYKYLLHYALDIARIQHGCIALNEVLTDADDPLYRNRLLDVVARDALFLSNDLWGNFVVQHVLKLYDLRCTYRVAVSLRGHCVDLSFKKYGSYIVEKLLEAEVSMGMVVVELLKCDGDRLMRLARSEFGNFVVLKALEVTQKMNRVDLFRDLVQKLMPLRHLLLRSHGNKIANVLETCSIATRYSN >A09p082910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59860899:59863695:1 gene:A09p082910.1_BraROA transcript:A09p082910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 1 [Source:Projected from Arabidopsis thaliana (AT1G02800) UniProtKB/Swiss-Prot;Acc:Q9SRX3] MSLTISSSRLIATFMVLLLLLSSGFSSPSSSTLRSIHQRYHLDNHNYKDALTKSILFFEGQRSGKLPPNQRMTWRSNSGLSDGSALNVDLVGGYYDAGDNMKFGFPMAFTTTMLSWSLIEFGDLMNSELPNAEDAVRWGTDFLLKATSHPDTIYVQVGDPNMDHACWERPEDMDTPRSVFKVDNNNPGSDIAGEVAAALAAASIVFRKCDPSYSNLLLQRAITVFTFADKYRGPYSAGLKPEVCPFYCSYSGYQDELLWGAAWLHKATSNPTYLSYVQANGRNLGADEFDNMFSWDNKHVGARILLSKEFLIQNVKALEEYKEHADSFICSVIPGAPFSSSQYTPGGLLFKMSGSNMQYVTSTSFLLLTYAKYLTSARTVVYCGGSVVTPARLRSIAKNQVDYLLGDNPLKMSYMVGYGSKYPRRIHHRGSSLPSVAAHPTKIQCHDGFSMFNSQSPNPNDLTGAVVGGPDQNDQFPDERSDYQRSEPATYINAPLVGALAYLARPFGQV >A05p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6449662:6450398:-1 gene:A05p014700.1_BraROA transcript:A05p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTISVSCASKKSRSPKPQKKITVKVKTQQGGEEDVYKIGYGTHLNKLMQAYCTKRNLDEGTVRFIFGKKQLKPRSTPAQLKMKEGDVIDIVTDQDGA >A01p041030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21892018:21893785:-1 gene:A01p041030.1_BraROA transcript:A01p041030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFQATAAICGSGGGAWWSSPRSVMSPSDHFLSPYFGATITSDDFGCQENNIKSRNTCTDNNIISGQREADSDSGGSTVTIDSTMQMMGLGFSSNSSSDWNHTILQEDLNSSFLRSSQNHGQGFSSTTASPYLLNPACSSTSSSSSLLRTFYDPEPNPYSFVSTTSCSLNNPHASWANKKINPNHQASYGLINNFSDNTNSLPFWSSSLTTNLNNTTHNSYVTTPLIIPPRVDDKTKKTRGQSESLKRGKYNEPASKKQRVTTPSPLPNFKVRKENLRDQITSLQQLVSPFGKTDTASVLQEAIEYIKFLHDQVTVLSTPYMKQGAPIHQQQQDGNDNQELRAHGLCLVPISSTFPVANETTADFWTPTFGGNNF >A01p021370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10392436:10393245:-1 gene:A01p021370.1_BraROA transcript:A01p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSNCQFAGKGKDFNLTAKKRHVKNTAQSLRSPVRACISRDIRFDWPQVETKERTYGHFPFLTILHRKTHTQKKESEEERTLDRESIYTTTTSVTSRQNRIIVRSGVLMADNEEQERTTSYKLFLKVISKRRTWVCLFLVVYAILLSSSWNSLNSIVDWYGENHQTSSGWPAVYASVLLGVVFGVLSMSAALFIAVPAIVVIWISVVVSMAFAGKSRRRVVVEGRKVTKEIAGFVFRVLLKEGNVVALLCALLAYFVFFNSYSISS >A08p005660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3241111:3242142:-1 gene:A08p005660.1_BraROA transcript:A08p005660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA--sterol O-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G51970) UniProtKB/Swiss-Prot;Acc:Q9SV07] MYTVSFMYVWGLVMVSLCYTFYVGKLVGRGIMRQILIFPVLLIFLIVPLLVSSIHLICITSFFIAWLANFKIILFTLGRGPLYSLSLPVFLAVASLPIKIQLIPKPHESREGTLLNYAKKFATLVLIIKVIEYSSKLPDKAVFTLYLMIQNYFSLKVILSTVSVVVRATSNLKLEPQFDEPHLATSLQNFWGRRWNLMVTGILRPTVYEPTMELLSGLGRNRSRYLAVFITFAVSGLMHVLIFFYIGRLRPDWKVMWFFLINGFCTSVEIAIKKNVKGRFPTTISRVLTMGFVLVTSWWLFFPEFKRCNLPQRVFQEYVSIGAFAARVKNTITASLLSAYHTM >A04p036070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20645707:20647060:1 gene:A04p036070.1_BraROA transcript:A04p036070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDFLSCNSFFSIKFRSLACGDQSMAKYYDIDDILTEEEFAPVLFHKPANAVTIDPSAETNSVEQGAKVELPFWLAHELHLRQAVSINLPACFDQKTRLEIQADAACVDLRSRCPYFYEFGCKLQPLVTDRTLGILLSTAFKIRYKERLTKVFTATHLTASKFLPFLTIEETNLYEAAHLSMTAFKKWRTGGPRFQRASILGRKRKES >A10p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4323237:4325437:-1 gene:A10p014220.1_BraROA transcript:A10p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCREKRRRCTKLRVSTDNNDMEKMMHREIERQRRQEMASLYASLRSLLPLHFIQGKRSTSDQVNEAANYITYLHKKIKELSSKRDEIMLLSRGSFSDDSKDEMKMMNHVVVRHCLAGLEIVFSSRCYGGQPRLSSVLQVLSENGLFTPYKLRSASTRSAFKTPSPPEPPDPPLKAPSPMCPPEPLDPPDVLFLNAPLRFCDTSSGPSLQALFQISTVKLPCRIATKSGGGAGAHVSASDTLLAYGLLSHVLYRSIFGCVDCSLFSSCFDLPITPPCKVPHVHLSSFFSSYCATVEWIRQLFVWVTLELRFMTLVGDIPMGLVLFGPTLATSNSVFIPLVRSSA >A04p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19984955:20005054:-1 gene:A04p034620.1_BraROA transcript:A04p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKAEEVAHHIHPLTKVDGVGRYICDGCETYGFGRTYRCASCNYDLHEYCATCPPTLRSSLHPQHELRLVHQDGRWCDICKETTKGMFYQCQPCGFDVHPLCTQQPQGVSHNEEDTNRGEVQEEEEEEEADPGRIRKRDIARFGANVAMETFVIVIIAFASTFAVFIFLAVLTGSGLKGGGGGDGGGGGYGGGGGGDGGGEDGGGGDVELGGGVNGGGFGGDGGLTGGSDFGGHGGVWSHGGGGDGGFGGGGGGGGGFGGDGGGGGGGGGGGGGGDMSPSKAEEVAHHIHPLTKVDGVGRYICDGCETYGFGRTYRCASCNYDLHEYCATCPPTLRSSLHPQHELRLVHQDGRWCDICKETTKGMFYQCQPCGFDVHPLCTQQPQGVSHNEEDTNRGEVQEEEEEEEADPGRIRKRDIAHMSPSKAEEVAHHIHPLTKVDGVGRYICDGCETYGFGRTYRCASCNYDLHEYCATCPPTLRSSLHPQHELRLVHQDGRWCDICKETTKGMFYQCQPCGFDVHPLCTQQPQGVSHNEEDTNRGEVQEEEEEEEADPGRIRKRDIARFGANVAMETFVIVIIAFASTFAVFIFLAVLTGSGLKGGGGGDGGGGGDGGGGGGDGGGEDGGGGDVELGGGVNGGGFGGDGGLTGGSDFGGHGGVWSHGGGGDGGFGGGGGGGGGFGGDGGGGGGGGGGGGGGDISPSKAEEVVQHIHPLTKVDGVGRYICDGCKTEGFGRTYRCSSCNYDLHEYCATCPPTLLSMYHAQHELRLIHDRRGCDICDKTTDGLFYQSEPCGFDVHPLCTQQPPKVSHSTDQGEVQELGEVQELGEVQELIEVQELGEFQELGEVQELGEFQEPSEVQEPREVQEPREVQEPDEVEEPGEVEEPDPDRLRKRDVMYIGARVAWACMTGDVAG >A04p013920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5199172:5200138:1 gene:A04p013920.1_BraROA transcript:A04p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALHMLQMSVTDPIFLQIGRATSSKQAWKILRAEFGETNEIQDLKLTYLKTKFDEMMLEKEESFGEYIKKMMELVNQLKFYGSNMSDQGRLMWEGQQKK >A05p038690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23738865:23740224:1 gene:A05p038690.1_BraROA transcript:A05p038690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN9 [Source:Projected from Arabidopsis thaliana (AT3G20070) UniProtKB/TrEMBL;Acc:A0A384LCV3] MEALYAKLYNKYTTLKERIFSELDEVNMIQEEKFLRFVKASETLTQHLRSEDQNSKESIRRMRDEITEITSARHEERLEFQNRLAEEERKNKGLSEQVEKLKELISEGVPQSGRKQKTPEAPQVTTRSMGKRRRLTEDVVETDMVSPHVSIRQKSTTETLLVSQPQCSKAADVGSSSSAGSPFQALSEQLTGMKLSTNNEGERVCIIASHPSSGLSFSLTLVDNSTGEEAELLYNVVSLGTFERVVPNWMRDVIKFNTSMTPLFFERVSRVIKPRD >A02p010100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4344862:4346551:-1 gene:A02p010100.1_BraROA transcript:A02p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARYNPRTVEEVFRDFKGRRAGILRALTTDVKEFFQQCNPEKDNLCLYGFPNEVWEVNLPAEEVPPELPEPALGINFARDGMQEKEWISLVAVHSDAWLLSVSFYFGSRFGFDKADRKRLFNMINEVPTVFEVVTGSAKKQTEEQPSSVNKNGNRSKSNSKVRDLEVKSSRTIEVNDEEEGVEEEEEDEEEHGETLCGACGDNYASDFWICCDMCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >A04p008150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8512388:8514424:1 gene:A04p008150.1_BraROA transcript:A04p008150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSFIRSERPFCLDVDLGFGYKPWRVSYCGTKNLQAMGGSCEIVEERDEATHSGRYGKAVLGNDVEQREYLEYDMDKLFQSIYVTRLMTSSFRNLETSASAGPSSPSMRMATRTTPHSPRVFGSLSLKQALRDRCVSRASEMAALKRLSNSAAASPRVSEADRIKSLYNQVSNNRSVDKGKGSLVQTSLMPVNSDKASTSRSVPQPPIPIHEPSQAGTSLGSRRVRDQVLEIELDENVASPSALAFVEDDVKEVDKHVTSPPSDSSKRDDARELEKKILSPTLDLEKKGKLNNNTATSGTEKSRTVCKVTPKKKILLKKKLKIGIVSVAKKDEEADVSLDSSATKLLCQRCHCSLKSTSIDNHEICAESTSSMSNSIGKEAHQVASENSSTSCNVSQRSEAEIVVMKQNVSSSNDSGNKFDFSLSSKDSLGDDYSRSTSMSEESNLTRFSCGNKPHMSMDVRWEAVKHAKLQYGSLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKSPRAQAERDILKMLDHPFLPTLYAQFTSDHLSCLVMEYCPGGDLHVLRQKQLGRCFPEPAAR >A07p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2133767:2136239:-1 gene:A07p005450.1_BraROA transcript:A07p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF3-1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G34360) UniProtKB/Swiss-Prot;Acc:Q6NLP2] MAVWRIITRSYLKYASTQLTRNYSQSCLATSLTHVVKQTKLSSFDIPHSDISRKPSKLFDNVRLFATSAQTRKKEEEVESDGPRLNDKITAETVRLVSEEGHCIVSLKEALRRAKELKHDLIEVQRDANPPVCKIADYAHEKYKKAQVGKERAKAKRAEVTIRPEVKEIRFTPKIDAKDLKFKAKQALKLMESGYRVKCHAVPDKDKKKELEPEKLLELLSRFTCYIKDALVEFGPEADKCNAVVVVRHAKFGPPKKGKAIKLKEMNIKTAAKIKDDSPKLDSSEADDDQGVVIEETPEPVKIQNRYAKSEPSNDFSGGRDAKRVEPQAPERPRFQNQQPNGRFDPQSPNQPPGPPRPRFPNQQPAGRFDPQSPSPTQPRFPNQQHAERLDAQSPSQPPSPQRPRFPDRGPNQQPSGPSPDSHLDRQGPPPRFQNQYPNQQATGRFGVQPPKPPPRSPPPPRPPTRLPNETSNQQPTGPGRATGEAPSYGIFSTPNTK >A03g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29651811:29652841:-1 gene:A03g508960.1_BraROA transcript:A03g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLKEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVVWTS >A10p008580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8395384:8397604:1 gene:A10p008580.1_BraROA transcript:A10p008580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERSSIGSLPETLNFENGSSSTNPVVDQQIRWGDRHSIGDNDLQNYMNTAADTTNTSFANSVYPEQCDLHMFSLGEGSSSGAKNKAPTITEKWMAIRHLEELRNDKVELNPLFMQPSSGANRVVGNLNAKHNGLESGLIPESGVRVGSGADGQHKRKALDAGIGQSSSSNVGFRELHRGESSSLVSGKNDLNMSLNHGPRGLGPGAVPNMSALPAIPESSNRNFSVRANPENVFAAGTVIRQPIAPSLSAPGDQQLVDLRYRHAFGNYTPLNPNASAGTIPPLSRNMIPPFQWNPVAAAAGGSSSSAAPVVDRNVVHRVETRERSNMLANAWFVPPSGMINLPHGHVSGPGQVAPSSSRTSAQPSPSLTPYQNNSAQNQRRISEHLRRRSLLSSLATHQRAARSSPPPAPLDQHVLHQSGGDATFQAQSRAYSRAVPRQGQQTTNGVSQSSRSLASTSRGRGRLAELRNVLEQMRRTGTLHLEELLSLGERIGDVCTGLNEETISNRLKQRKYSSDTRCPQEIEPCCICQEEYNEGEELGMLECGHGFHSQCIKEWLKQKNLCPICKTTGLNTVEKPVI >A09p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8878492:8886889:1 gene:A09p017140.1_BraROA transcript:A09p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAITGPAGIGKTTIARALQSQISNRFQLTCFVDNLRENNHSGFDEHGWKLRLQEQFLSNLLNLALKILCRYAFGNNSHNQGFEKLVPRVTKLCDNLPLGLSVVGSSLRGKEADEWEEVLSSLETNLDRDSGTILDRDIDDVLRVGYESLDENEQTLFLHIAVFFNYKSWNLVNTMFDDSDLDVKHGLKILVNRSLIKETIGYEHRIVMHRLLEQMGKKAIQKQDPWKRRILMNAREICDVLQHAKGTWNVLGISFDVSRINELSISKKAFKRMTDLRFLKIYKRQYDGNDRMHIPEEIQFPCGLRLLDWEAYPSKCLPPTFNPQYLVELSMKNSKLEKLWEGIKPLANLQKVDFSGSVHLKELPDLSNATNLEKLDLIGCESLVEIPSSCSNLHKLQKLWVSGCINLQVIPARMNLASLDEVFMRGCSRLKNIPVMSTNIRKLCISETAVEDVPASTKLLTRLTSLSINKGGKLKRLTYLPKNVTDLDLSYSDIQKISDSIKALHQLRNLNLAGCTRLASLPKLPGSVKTIIAEDCESLETVSSSLNTPNAWLNFINCFKLRTEVPEEFDHRGRGTSLTIRPDGNPYSGFVVCVVISPKQQEFSFSQLKCRRIGVAQDDFYPVEMLVYVGEVHKFRREHLFVFDSRFLEFYPSDMSREIVLELSSNSNDFDIIDCGARILTDENGSNECGLNDQVLEEETELESREAFEDSIEHTNEVVCYSEEVDGGKRTDCWSWLFLCFVGNIAGEDFLRWSNEAKVIKKIARDVSDKLNATPSRDFDGMVGLEPHLREMESFDLDVKYGLKVLENRSLIELYNKKETINMHRLLQQVGIKAIHKQERWKRRILIDAPEICDVLELAKGTRFVSGISFDISDVDEVSISPSAFKRMPKLRFLRVYKSKEGGNDVIHIPEEMEFPRSLRLLEWKAYPNKYLPPTFHPEYLVKLDMQGSQLEYLWQGTQPLTNLKEIDLQRSFHLKELPDLSNATNLKGMYLSYCQSLVEFPPSCSSLDKLKDLWMDHCTNLQVIPAHMKLASLKRVSMKGCSRLRHIPFMSTNIRQMDISETAVEDVPASTSICARPMIYSMIGCQKLKEITHLPLYITELDLRKSSVEKIPDCIKDLRLLLKLKISGCRKLTSLPELPSQLMLLSANDCESLEEVASPFHNPNANMSFTNCFRLRQQAIIQQWFCLGSAFLPGRQVPPEFDHRARGSSLTIPDSSFTRFKVCLVLLPNNQIKEDRSSQLLCRRVVNGDLANSDDKSFYFNISRCRAEHLFIFPSGLFEEDEGLPDEVHREIVFEFSSKYNDFDVVECGAKFLTDENSYESESDQAATTIASFMGAMNVDPTPIIASLMRYESMMAEQSDERATVQLFIVNVDNQHTTSCCRNISSLVSSVYSRY >A02p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3272036:3273878:1 gene:A02p007790.1_BraROA transcript:A02p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SET DOMAIN GROUP 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) UniProtKB/Swiss-Prot;Acc:Q6NQJ8] MDSEHQTMETFLRWSAELGVSDSTDPSQSHDSCLGRTLSVADFPLAGGRGLGAVRELRKGELVLKVPRNALLTTESMVAKDQNLRDSVNLHRSLSSTQILSVCLLYEMSKGKCSFWYPYLVHLPRDYDLLATFGEFEKRALQVEDAVWAAEKAIAKSQSEWKEAVTLMKELDLKPKFQSLQAWNWASATISSRTLHIPWDSAGCLCPVGDLFNYDAPGDDSNTSGGPETVNNAEEAGHVVETQSERLTDGGFDGEANAYCLYARRNYQLGEQVLLCYGTYTNLELLEHYGFTLDENSNDKVFIPLETSLYALASSWPKDSLYIHQDGKPSFALVSTLRLWLIPQSQRDKSVMRLVYAGSQISVKNEILVMKWVSEKCRSVLKDLPTSILEDRVILQDIDKLQDPELRLEQREVEAFGSEVRAFLEANHLWDLINGEFCGKTKRIMSKWRLSVQWRLRYKRTLADCISYCNEKINHLSGT >A05p044770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26869039:26869841:1 gene:A05p044770.1_BraROA transcript:A05p044770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMIAVDFLNSFILTFLCFFWLLCYYLFFKRPKDGFDLPRSPPSLPIIVHLHLLLYVLPHKAFQKISSRYGPLLQLCIFKVPLCLVSSASVAYEIFRTHDVNVSSRGKPLKKLGISLFEKEIRRVSSRFDELLERHLREHEEKPEKHQNTDMMDVLLAASRDENADYKITRNHIKLLLVELFLGGTDSAAKLIQWGNG >SC182g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:27032:28567:-1 gene:SC182g500030.1_BraROA transcript:SC182g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTDALLSDCSDTTISIDVQKNPSIDITLYATMLTLFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQRHPWPRPENTPFDEGKLWRLRIRDEHRLLPLPDRTITDFGNNVAQLRCMPDEAFLRNPRNMSRRPPSIRRTRARDAQAPPLPDFPNIPDIPMQDLGDFQRFVVDALQAIWARVSCRSRRATGAHAPAARRDPSPEDDEATDEDTD >A09p046700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40603767:40606341:-1 gene:A09p046700.1_BraROA transcript:A09p046700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-succinylbenzoate--CoA ligase, chloroplastic/peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G30520) UniProtKB/Swiss-Prot;Acc:Q8VYJ1] MANYSRPHLCHCLTRLATVKRNAVVTVYGQRRRTGQEFVDGVLRLAGGLVRLGLRNGDVVSIAALNSDLFLEWLLAVALVGGIVAPLNYRWSLKEAKLAMQLVEPVLLVTDEACVSWCIDVQNIDIPSLKWRVLMESTSTDFASEHNQFLTTEMLTQNVLVPSLSTTYAWAPDDAVVICFTSGTTGRPKGVTISHLAFITQSLAKIAVVGYGEDDVYMHTSPLVHIGGLSSAMAMLMVGASHVLLPKFDANTALKVMEQQHVTCFITVPAMMADLVSVNRTTKNGDQNSCVRKILNGGGSLSTELLKDAAKIFPRAKIFSAYGMTEACSSLTFMTLYDPTTQESCKVTYPLVNQPKQGTCVGKSAPHIELMVKLDEDSWRVGKILTRGPHTMLGYWSLHENAVTSKSSTSGAWLDTGDIGTIDEFGNLWLIGRSSGRIKTGGENVYPEEVEAVLLEHPGIVSAVVIGVMDVRLGEMVVACVRLQENWTWSDVENRGSRDPELSSEALKHHCRTQNLTGFKIPKRFVRWEKQFPLTTTGKVKRDEVRREVMSHFQLLTSSL >SC132g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:137771:138416:-1 gene:SC132g500120.1_BraROA transcript:SC132g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A05p037030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27766427:27767434:-1 gene:A05p037030.1_BraROA transcript:A05p037030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIARPIPAAGLRFDPTSSSQSMKVYMFGVVTGGFSLRKRNQLRGFGKGIKCSLKVQQQQPPPPSKSSLFGKFLLLTIASTLDFISIILCLQIFGMSLWDHKTHNMDALVGLIVSLYLIVPEISIPGQEEEGEGLTLVFLGDQGVGKTSIITSCTVTSTTVIRFLISPSTLID >A02p019980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9294633:9297048:-1 gene:A02p019980.1_BraROA transcript:A02p019980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPIMDTCRKRKRKPSVYSLQRLGEEEFPISRSGSFRDNVRVFLRDFAEVEEYNVRGSPVWCTLLSHEAKNTVIPLYTIEEDVVRSSEPYCDHCRCTGWSNHFVSKRKYHFIIPVDAEWDLPLEDDVFDLQTHLLHGLIHTNGFGHLICVNGLEGGSRYLCGREMVDLWDRICTNLGARMITVEDLSKKRSLDLRLLYGVAYGHSWFGRWGYKFCRGSYGVTKNDYENAIELLGSLELDQIEFDFGEHRQCKRIKQVFRHYREMSEGHLKTFKDLLRFILIIKSHAPKKNKLHSAPPPTLSTDSPPKKRSNRLFMKKSDAVESEKSQGYRSYSTVAANLGSRWPVRRLEYAAEVIVEALKEMKVLKQSGMTRQDVRDSARLHIGDTGLLDYVLKSMNNVIVGNALVQRYVDPLTRILHYTIQELDDATKLVEQKKDAVIEAVPLRVVSESKAGGHVHGDLLLLYTNVLVNYPKSESVRSAAQVVLDSKHFVKEWPLWDNDDKIFRFVCRINNPSLIDLRTEQVTELPPGELVTVSLQATVFDLKQAIEETFRDTYCILTNFEVNEIDELKEDDDDDSNLLFGKLEPCSALTVRGDGIDLESTLKFQGGSDTWMVKCVCRARDDDGERMISCDVCEVWQHTRCCGIDDSDTLPPLYVCSSCCDEFAEQQKRVLQPKYEFQNPGQMLMLGAADDYFAEEDEGCLGMMMFPSESFVSEQFIL >A03p062370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27130797:27134759:1 gene:A03p062370.1_BraROA transcript:A03p062370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAFAFGSRICLAEMEQKSVLFSALGVGVGLGLGLASGQGLGKWANGSVSTEDGLTGEKIEQELVRQIVDGRESGVTFDEFPYFLSEKTRLLLTNAAYVHLKQFDISKHTRNLAPASKAILLSGPAEFYQQMLAKALAHYFESKLLLLDVTDFSIKIQSKYGCVKKEPSHKRSISELTLDKMSNLVGSFSMLTQREQPRGTLRRLTSGNDLTSRGFEGSSHPPQLKRNASAASDISSISSRSGTSVSASSKRSTNLCFDERLFLQSLYKVLVSVSEANPIIIYLRDVEKLLQSERFYKLFQKLLSKLSGPVLLLGSRLLEPEDDCQQVGEGISALFPYNIEIRPPEDESQLMSWKTRFEDDMKLIQFQDNKNHIAEVLAANDLECDDLGSVCHADTMFLSSHIEEIVVTAISYHLMNNKEPEYKNGRLVISSNSLSHGLSIFQEGHSCHENSLKMDRNSDSKGEESEGMINSELKSETTPSEKNECPLPPKVPVNEVPPDNEFEKRIRPEVIPADEIGVTFADIGSLDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMMAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRAGEHEAMRKIKNEFMTHWDGLMSSSSDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVESREKILRTLLSKEKTENLDFHELAQMTDGYSGSDLKNFCTTAAYRPVRELIKHECLKDQERKKREEAEKSSSEEGTEAKEEASEERVITLRALSMEDMRVAKSQVAASFAAEGAGMNELKQWNELYGEGGSRKQEQLSYFL >A02p059830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35665034:35665845:-1 gene:A02p059830.1_BraROA transcript:A02p059830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQGSSPPHMDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKHRSLRRSLANASGHPSADIESSPFKPRPFFPDVKKPQDLSITVVMPGDNTPKFIALPCPCAPPRPENLTVDVQSPPFSPPVKPPRFPIPLC >A03p046190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19465009:19469933:1 gene:A03p046190.1_BraROA transcript:A03p046190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVIGGGVSGLGSAYVLARDDAVKELVLFEKEDSLGGHARTMRFDGVDLDLGFTVFNSVTHPNMMGFLKNLEVDMEDSDMSFSVSLDNGRGYEWGCRHGLSSLFAQKRNILNPYFWQMIRETKKFKEDVQKYLEDLERNLDVDRTETLGEFLKSHGYSNVFQKAYLVPVCGLIWSGSSESVLNLSAYSVLSFCRNHHLLQLFGRPEGLTVAGRSQTFVAKVRAELEKRGCKIITNCNVQSVATSENGCVIVTTEDGSQQIFDSCILAVHAPDALKLLGDKVTYEETRVLGAFQYTDSDLYLHRDTDLMPRKTGAWSAWNFLGSSENKASVTYWLNIIQKLGEEHDPFFITVNPEYTPKKTLFKWTTSHPVPSVSTWKASQELYQIQGKRGIWFCGAYQGYGFHEEGLKALIISIAGMAASQCLLGKDMVTTLTNPKHMVPSVTEKGARFFFTKFLRNFISTGCITIHEEGGTVFTFEGKDSSCHLKSILEVHSPQFYWKVMTQADLGLADAYINGDFSFSDKERGLLDLIMILIANKELNSKNSKLAKKRGWWTPMFLTASLASANNFLKHFSRQNTLTQARRNISRHYDLSNELFALFLDDTMTYSSAVFKSVDEDLRTAQMRKIYLLIDKARIEKNHEVLEIGCGWGTLAIEVVKRTGCKYTGITLSIEQLKYAEEKVKEAGLEDRIKFKLCDYRQLSDAHKYDRIISCEMLEAVGHEFMETFFRHCEAALAEDGIFVLQFISIPEERYDEYRLSSDFIKEYIFPGGCLPSLARVTSAMASSSRLCIENVENIGIHYYQTLRLWRKTFLERQKQINDLGFDDKFIRTWEYYFDYCAA >A03p061480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26721502:26723202:1 gene:A03p061480.1_BraROA transcript:A03p061480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEKILNSDLIGTEIWILFFFQTKVDFHVSLSVNLELFKTRSKICSVEQKMESVSDLGKLFIGGISWDTDEERLREYFSKYGDLVESVIMRDRTTGRARGFGFIVFADPSVAERVILEKHIIDGRTVEAKKAVPRDDQQVLKRHASPMHLISSSPSQHGGGSNRTKKIFVGGLPSSITEAEFKNYFDQFGTIADVVVMYDHNTQRPRGFGFITFDSEESVDMVLHKTFHELNGKMVEVKRAVPKEPSSSSALPLAANRSPLLGGYGGNNYGVVVPNRPSGNNSYFNSFAPGYNSNNIGRFSPIGSGRNAFSSNFGLGLNQELSLNSSFDGNTTLGYNNRIPGSQYFNNASPNRYNNSPIGFNRGDSAYNPSNRDLLWGNRTDSSGPGWNLGVSVGNNRGNWGLSDANGYGRSFGTSSGLSALPFSGSNNNNNTNGFDGSIGEMYRGNSVYSDSTWQQAMPHQSANELDGLSRSYGFGFDNVASDPSGNASEGYPRSYSVGGN >A06p022110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12428519:12431188:-1 gene:A06p022110.1_BraROA transcript:A06p022110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPFEVETTKTDEDQKPYSAVDGGGSDSVDSFDEDQKKLVYRGWTVMPFIIGNETFEKIGIIGTLSNLLVYLTQVFNLKKYTAATIINAFSGTINFGTFLAAFLCDTYFGRYKTLSVAVIACFLGSLAILLTAAVPGLHPTPCGTKSSCEGPGAGQILFLMLGLALLVVGAGGIRPCNLAFGADQFNPKSESGKKGINSFFNWYFFTFTFAQIISLTLVVYIQSNVSWTIGLSIPVGLMFLACVIFFAGHKLYVKVKASGSPLAGIARVIAAAISKRGLKPVKQPWVDLYNHIPRNYANLTLKYTDQFRFLDKAAIMTPEDKLNSDGTASDPWKLCTMQQVEEVKCIIRVIPIWFACAIYYLAISIQMTYPVFQAQQSDRRLGTGGFKIPAATYVVFLMTGMTVFIIIYDRVLVPSLKRVTGLDTGITLLQRIGAGIFFAVLSLLVSGFIEERRRSIALTKQTLGMEPRTGEISSMSAMWLIPQLVLAGIAEAFTAIGQMEFYYKQFPENMRSFAGSIFYVGAGVSSYLASFLISTVHRTTEHSPSGNWLAEDLNKAKLDYFYFMLTGLMFVNMVYFLIMAKFYRYKGSDDKVISAIETSEDETKQKQAKNSV >A02p027130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13134084:13134914:-1 gene:A02p027130.1_BraROA transcript:A02p027130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGQHRFIQIIFTCYLGKSLVLNGYKVRCLRGLSTYPTSLLSHPPLTAHGNLHSSTGVDERFGKGSTYLDTKLYSAKICHAFRSRNIDIGNGEYALALDISRAPCLAKFYNKIEEDRDSADMFPGL >A09p049200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43584340:43584934:-1 gene:A09p049200.1_BraROA transcript:A09p049200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCSYFLILMFVFSVVLVAEGEDDENCIVFMDPKNPCNIVDCRQNCYEGYNGVGKCVKDVKAGGDTCLCTYNWNC >A07p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20225824:20229878:-1 gene:A07p037880.1_BraROA transcript:A07p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 26, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70610) UniProtKB/Swiss-Prot;Acc:Q8RY46] MALQVLGCTSRRPIHISLHRRCSIIATSDIKRKNLRFKPKQSFSLQFSIRNHRLSPVNCSTVNGAAVGSSEYYQGEGDSVSLPERIRHFVGFLRSILPGGSWWRFSEEVDSRFVAEPVTVWRALTRIWELVAEDRWVIFAAFSTLIVAALSEISIPHFLTASIFSAQSGDITVFHRNVKLLIVLCVTSGICSGIRGCFFGIANMILVKRMRETLYSTLLLQDISFFDSQTVGDLTSRLGSDCQQVSRVIGNDLNMIFRNVLQVFFLSIIRYRGFSLFVDPVLAPWCLHIGDLLYFGSGYVCLRDVAQETYSLMRTVRVYGTEKQELKRYNHWLQKMADVSLRQSAAYGIWNWSFNTLYHATQIIAVLVGGVSILAGQITAEKLTKFLLYSEWLIYATWWVGDNLSNLMQSVGASEKVFQMMDLRPSDQFISKGTRLERLTGHIEFVNVSFSYPSREEVAVLQNLSISVRPGEVVAIVGLSGSGKSTVVSLLLQLYEPKSGQILLDGVPLQELDVKWLRQRIGYVGQEPKLFRTDIGSNIKYGCDRNVTQEDIVWAAKQAYAHDFITALPNGYNTIVDDDLLSGGQKQRIAIARAILRDPKILILDEATSALDAESEHNVKGVLRSIGNDTATKRSVIVIAHRLSTIKAADRIVAMDSGRVVEMGNHEELMSKDGLYARLTKRQADAVV >A04p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16375085:16378967:1 gene:A04p027200.1_BraROA transcript:A04p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNDKGEKTCPLCAEEMDLTDQHLNPCQCGYQICVWCWHQIIEMAEKDKTEGRCPACRTPYDKEKIVGMTASCESLVAELNNDRKKSHKAAKPKAASEGRKDLTGVRVIQRNLVYVMSLPFDLADEDQRFQRREYFGQYGKVVKVAMSRTQAGDIQLFPNDSCSVYITYSKEEEAIRCIRSVHQFALDGRILKACFGTMKYCHAWLRNMPCNNAECLYLHEIGAPEDSFTKDETVSVHMKEIIKEITGAVANFPRRSGYMLPPPVDDFVDRHIPKCVPNVSCQLFLESLDIFSSANPQSVAKTSRPNSSNGRSVTLPAGALWYTELLGMHASSQSSVPNTPSSGESLRDKAAADDNNTPYGNALKLPEPLNSQTDFPELSLVNRPQTSNSKALVSANVDNTIQSVCSEAVSVDADSVVDGYGGLRRSDSSDVDQASSHTEVSRDSLQHFVDENREVRPLKKTNEVVVVPREEVNTCSALRSPLVTDISSFIRERLKDPEVFSCQPNKSGFLRTMQPSSSHHDETRSLFGSSSAEIRGINIVDSHGNSRSEIDDRIANILSLDLDEYLTSTHNLSKQQLGGVDLEARSRKLATSCEVKNNQSRFSFARQDESKDQAFGSYNVFNHGSDLYKTSSEQQSSDLDMIGMYNGISSSYLKEMDHVTQNSALPSSYKPPCECDFSFFYLKLLAVPRCPVTAPPGFSVASRPPPPPGFASNGREQQTFNGFSGNHRYSDSPVYINSYHQSPPTENNGGVRDVQFMDPAIMAVGQGFENPSLDYRSNFQGNTNLFAKEAKFQQQHAVQSPLSSNQNCRLTDSLGMVASRFIDQSQGSSSILSRNMGLPNGQWDGLSNEIQSLNRLQNERFTGSTNRMMNGYNGTFRI >A05p003600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1330658:1338676:1 gene:A05p003600.1_BraROA transcript:A05p003600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHQELALQRSFSCNTRKISPETSPARRLHARSRSSSSSLIPPIPEHELFLVPFQSFTSSSKNTVKVNVKLSFFSRAIINLVNLPPSPSSSGVSNQLITGKSSSLGRRVTGTLYGHRRGHVTFSVQDGPRAEPVFLLDLAMSTETLGKEMSSGLVRIALECERRHRSGTTKLFHEPKWTMYCNGRKYGSAVSRGGACTELDLRVLNTVSSVTVGAGVIPTASDVSGGGTELGELLYMRGRFERVVGGRDSEAFYMMNPDENEGPELTAMTHFLFLKPKSVFIQIVPLGTDWAAETYYGEPAKKLGLKYIGYKIMPQESSLYDEYGKDDPVIRDPDRQNVKLDLRRFRETLARSYDFSIRRRVREEVPLFFLSLERKFFICLFRKRFGKHNCEIWANSKGHFCNLQSMGSETELSKVQGPVLQNPQNPPLERSIVLLSGLVDDDPGGYHGGFRARRQASPDLRARHRGGAEPGDGVRGESEHDLGFGGGAERGEEFVVACGGSWLRETTKKMKRPRFEIGLAAVSGSDVTTPLGTKRARAQRRDEPAREKVHVPVQYRLGGAGCDGRCGCDFEMLTGLFRFDPPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDFDSLVLINECLDLICETRKLDELRIEKLASDHIEVCFDKNYLCASIDLEYKFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTFMGSETELSKVQGPVLQNPQNPPLERSIVLLSGLVDDDPGGYHGGFRARRQASPDLRARHRGGAEPGDGVRGESEHDLGFGGGAERGEEFVVACGGSWLRETTKKMKRPRFEIGLAAVSGSDVTTPLGTKRARAQRRDEPAREKVHVPVQYRLGGAGCDGRCGCDFEMLTGLFRFDPV >A04p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3206265:3207904:-1 gene:A04p006230.1_BraROA transcript:A04p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQSFPEDLDCGNFFDNMDDLLDFPGGDIDVGFGIGDSDSFPNIWTTHQDTWPAASDPLFASNTNSDSSPELYVPFEDIVKVERPKCFVEESLVEKKEDSFSTNTDSSSSHSQFRSSSPVSVLESSSSSSHTTNTTSLVLPGKHGRPRTKRPRPQVQEKDKVNDNVFGADSRLIIRIPKQFLSDHSKMITKKKKKKAKVVSSSSWSEIDLETNGNNNVDSCSSEQNPVRKCMHCEVTKTPQWRLGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFTPALHSNSHKKVAEMRNKRCSNGSYTNEENDLHDLVLNNAYIGIGVGKSQRVEKF >SC117g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:292994:294716:-1 gene:SC117g500110.1_BraROA transcript:SC117g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKTFISNFNLWKKFFFFVRLDAASVEESCIPLFQRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEPPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFTGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A06p010100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3609940:3611514:-1 gene:A06p010100.1_BraROA transcript:A06p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor SCREAM2 [Source:Projected from Arabidopsis thaliana (AT1G12860) UniProtKB/Swiss-Prot;Acc:Q9LPW3] MNGDGVWLEDDAGERSQAGYNDEPVPWVRNPEENWFNNSQPHDDSQNEFRFNNGGFPLNPSENLLLLLQQSIDSSSPLQHFTLDSSTPQQQQQQQQSFLATKACIASLLTVPTTTTNNNNNPFDEFGFDSPFLGQLNQTPNSMSFPVMTSPPDFLPSRSCNVFSPLEFEGLASGVFENRVKVLKPLEVLASSGSEPTLFQKRAAVRESSSSKMCNSDSSSSEVRREVGDETSRGIVDIFNYESDEHNNNKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHTELESTPSSSSGLTPSPQTLPYRVKEELCPSSSSLPSPKGEQARIEVKLREGKAVNIHMFCGRRPGLLLSTMRALDDLGLDVQQAVISCFNGFALDVFRAEQCQEGHEVFPEQIKAVLLDTAGYSGLL >A05g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7705452:7707449:1 gene:A05g502460.1_BraROA transcript:A05g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKENPWFSPDRPAALIPPPTTAGSPPPPLPDPPDPSPSPPAEHFPTLQSTIVSPPLTKKQISQAFETASAVLVGASFETTCASPMAQDLLPTTDCPPLESSDFLPTPIVNDLFKGFTVHIPKNSIPFPPPTAAPKNQPPKPSPQKSKTPNKRIPLPVLPNRPTTQTKAPFDQNPTQNTRQTPQSYAQKVKAIANTTLQRLAPLTYSETGIPQVIIPDEVFLRGAELHKDFIQGFFFAKMPSYQALQSVLNFMWGKGTKLDIRTNPKARSFLVRIPNEYIRAKVLEKKIWYVAPLSIPTSIPLWAHLKGLPLDLRSLEGLSFAAGLIGEPKETDEFTMNLTDLELAHVKIEADLTKPLPGLIELKRSNGETFPVEVLYPWIPPSCSHCRELGHIMKYCLQANPAWVKKQTNSQQKTPHEENSGPMEVESPSTENIEVAVTPPIPPTVTPPIPPDTTPLIPPEPTIEPVPSDVEPTDVEPTDVEHTTDSMSPSNSPPPPPPTPPPSPFSILSLFLKQPKIKPLNTLNHLTPPTIQNSPSQPSRPLHPRSQYPYPSRLP >A01p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1669910:1671488:-1 gene:A01p003830.1_BraROA transcript:A01p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREISFLLQPRCLLLLVALTIFLIFALFNTGKEEEKQVIQDFEITQRVFLDVDIDGQRLGRIVIGLYGTVVPKTVENFRALCTGEKGKSSSGKPLHYKGTPFHRIISGFVIQGGDIIHGDGKGSESIYGGTFPDENFKIKHSHAGVVAMANTGPDSNGSQFFITTVKASWLEGEHVVFGKVIQGMDNVFAIEGGAGTYSGKPRKKVVIADSGEIPKDKWDEER >A09p029590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:17659983:17661362:1 gene:A09p029590.1_BraROA transcript:A09p029590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLARSFIRRFHQKSLTTRVEVISRDIIKPSSPTPNHLQNFKLSLLEQLGPTIFGPMLFFYSANNRIKPTEQLQNLKKSLSETLTHFYPLAGRLNGNTSINCNDSGADFLEAQVNSPLSNLLQEPSSEMLEQLIPTSVDSIEARTKLLLAQATFFKCGGVAIGVCVSHKLADATSVGLFMKSWSAITSQGSIKTVGSPVFDTLKIFPPGNFSETSPAPVVEPDIKMNQTLSKRFVFDSSSIEALQAKASSFEVTQPTRVEAVSAFIWKLAMKATRTVSRTSKPSILANSASLRSRVSPPFTKNSIGNLVSYFAAKAEEGEKQRKLQTLVAEIRRAKQRFRDEHIPKLVGNGDATEIICNHQKEAGDMIASGGFDFYILTSACRFGLYEIDFGWGKPVWFAFPSVRQKNIVALVDTKEGGGIEAWVNLNEQEMKLFEEDRELLDFASVNPSVIQPFLHVL >A09p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17889789:17891819:1 gene:A09p030090.1_BraROA transcript:A09p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGYRNQAPEKLQGKYQAMVVCCILGIGGLVSWNSMLTIADYYYQVFPAYHPSRVLPLIYQPFAVATIAILAYHESKINTRKRILIGYTLFTISTFLLIVLDLTTKGHGGIGPYIGLCTIVASFGIADATVKGGLVGDLSLMCPEIMQSLMAGSALAGGLTTALRLITKAVFEKSNGSLRKGAMIFLAISTFIELLCVILYAYIFPKLPIVKYYRSKAASEGSKTVAADLAAAGIQNQSDLTNDYSKNQRLSKKELLLQNIDHAVNLFLIYVLTLSIFPGFLYENTGQHGLGTWYALILVAVYNFWDLVGRYFPLVKWLNLENRKALTIVVLSRYFLVPAFYFTAKYGDKGWMIMLISVLGLTSGHLTVCIITIAPKGYMGPEKNALGNLLVTFILGGAFTGISLGWLWLVGKKNAL >A10p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3734864:3737979:-1 gene:A10p015220.1_BraROA transcript:A10p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIKSLFGRMGDLVSTAHLLSDGSPPDGSPPETSPPDSGSLDGSLDLSLGGSLDGSLDGSVDGSVDGSPLSSTSYSAIRSYSQPSQSEEHRGDDSDSDNNEIEALIQQDQAQLEYVNAQEFVYPPQPEVEFGFPQTCYCGSQPQIATSYSTTDPGRRYYTCTNVDDGECHVWKWWDEAVMEEMRVRDRQTLQLAEKVDSLNFLSDYETDQKLVRLENMVCELAKNKSRSSFDYFVAVMVMVLIFIGIVLIFI >A06p001440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:625605:625889:1 gene:A06p001440.1_BraROA transcript:A06p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRILCCCFCRKKKKPRDVKKGKKGKKDGGLVVVKPTKPKKRRNEPVDVSGCADLCCCFGGDGGGGDGGGGDGGGGGGCGGGGGGCGGGGGGG >A06p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23854681:23858262:-1 gene:A06p044390.1_BraROA transcript:A06p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) UniProtKB/Swiss-Prot;Acc:Q944K3] MGRNQGWCLFVAAAVVAALLPAPTMAGRLELKNEISGVTGVRKLKLAVRCWSNEDALGWDTLKPMQSRVWKFTTMNMWPFQKTEFRCQFRSGFGTTSQDVVTVFSVQSGFRKECGDGGDECIWVAKRDGFYQRRIVRNDNGLMKDVRVISTWTDLTRDSAVYLLFTFSAIKVSQFIYRCFQSSEMSSFSMETHPEALRRERILSSKLYFNVPESKVSIIYSSAYDISFMGIEKLHPFDSTKWRRVCKFLVSDGFLEEKSIVEPLEASNSDLLVVHSLSYLNSLKSSATVARITEVPPVAFFPNFLVQQKVLSPFRKQVGGTILAAKLAVERGWAINVGGGFHHCTAERGGGFCAFADISLCIHFAFLRLSISRVMIIDLDAHQGNGHETDLGDDSRVYILDMYNPEIYPYDYSARRFIDQKVEVMSGTGTDEYLRKLDKALEVAFRNFQPEMVVYNAGTDILDGDPLGLLKASYTLKVIIIFLFEQNVSNLVTLLVQISPDGITSRDEKVFRVAREREIPVVMLTSGGYMKSNARVIADSIENLSRQGLIKTQLDSAST >A02p059480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35499093:35501440:-1 gene:A02p059480.1_BraROA transcript:A02p059480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNVVVSDANTGIITVSNSSVFAPSAQKPPTVPGHISISKKKLLKNLELSGAQSQRLNSWVDSMRASSPTHLKSLSSLSSEDELNSWLKRHPSALDMFERIIEASRGKQIVMFLDYDGTLSPIVADPDRAFITSKMRRTVKKLAKSFPTSIVTGRCIDKVYSFVKLAELYYAGSHGMDIKGPTKGFSRYNKDKPSVLYQPAGDFLPMIDEVFKQLVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSDLALKVRSVVKNYPTLKLSQGRKVFEIRPMIKWDKGKALEFLLESLGFENSNDVFPIYIGDDRTDEDAFKLLQERGQGFGILVSKFPKDTNASYSLQDPPEASDGFLATVGGVETNSTMIMKGAME >A09g515890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46868956:46869355:1 gene:A09g515890.1_BraROA transcript:A09g515890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPFEDQAEQSFKSKKNCPFASSTSTSLYTSPIGGLFFFCLRSSLSLRRNIPFSFDLRVYFWKLHIYLLNLTFIFCRIKDKTVITIGLDFA >A05p022430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10739493:10742335:1 gene:A05p022430.1_BraROA transcript:A05p022430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MYPNLGPLKIKTVIYIEASYSRELVPFSAAKMRLLRRRFFIFTDGISGANRRRYATKYVAKVTSSSPSGRSLSAEVSLPNPLPSDVRGYALPRRHLICRATNLLLRHGTSSDAFSDLSDYLSSLSLSLTPDEASEILKSLNCPRLAVDFFHFVPSVCPSSHHDPFLYNRIILILSKSNLPDRFDRVRSILDQMVKSHVRGNISTVNILIGFLGNTEDLEMCLGLVKKWELKMNSFTYKCLLQAYLRSRDSSKAFHVYCEIRRGGHKLDVFAYNMLLDALAKDDKIDQACQVFEEMKRKHCRGDEYSYTIMIRTMGRIGKYNKAVDLFNEMITEGLTLNVVGYNTLMQVLAKAKMVDKAIQVFSKMVETGCRPNEYTYSLVLNLLVAEGQLVKLDGIVEMSKRYMTQGIYSYLVRTLSKLGHVSEAHRLFCDMWSFPVKGERDSYMSMLESLCGAGKTVEAIEMLSKIHEKGVVTDTMMYNTVFSALGKQKQVSHIHDLFEKMKKDGPCPDIFTYNILISSFGRVGEVDEAIKIFEELESSDCKPDIVSYNSLINCLGKNGDVDEAHVRFKEMQEKGLNPDVVTYSTLMECFGKSERVEMAYRLFEEMLVKGCHPNIVTYNILLDCLEKSGRTAEAVDMYTRMKQQGLTPDSITYSVLERLQSGSHGKSRIRRKNPVTGWVVRVPEAPDV >A07p003000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3468736:3469251:1 gene:A07p003000.1_BraROA transcript:A07p003000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKTNMWNRPTSYRPTFLDKNCGILNPKPVYAVPHQDSPYRQHLGMFSSSSKYNHDLHVLQEGQSSRKSPKPNKSHDNYNSDEDGISEDVQYDGRTHSLPYEKYGPYTCPKCKGVFNTSQKFAAHMSSHYKNETKKERDERYRARIKKKYHKLSNNIHGGSKKIKLETME >A09p016510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8647765:8653400:-1 gene:A09p016510.1_BraROA transcript:A09p016510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLVSSVVSGIHRIPNRHETHSLLRSILFIHGNKPACIDRRRPILHSSYGYLNLTRYRVGAAADVSKTPSSSLLDDELVSSVSAVRDADEALEMISNRFGPNRGGVVEIEDCRSIISAAVSRGNAELALSIFYAMRASFDLGGSEVDRWRWSRPDVEVYTMLVNGLAASLRVSDSLKIIRDICRVGISPAEEVPFGKVVRCPSCLIAIAVAQPQHGVQIVSCAKCRYQYELFSGDITSIESEELGKDIPLWEKGLRLIQIRKNKITSSVHSIVVQTPSGTARTHRFATETAELPAQEGERVTIASAAPSDVYRQVGPFKFTPKSPNLYPGEPMSLTNHKDGRESLLLRPPSKEGDKSLQPSFLIPLLAVLATGDAASGMIDPSLPQLLSVAAVTSLAVGATLNSFVLPQLNQLPERTVDVVGIKQQLLSQYDVLQRRIGDLKEATEKEVWMLARMCQLENKILAVGEPAYRTRRARVKKVRESLENSIKGRIELIDSYARISSMIEIEVEMDSDVLAAEAVNNTENIAQQIEQIMELENLEEELAGDRGDNLTFHQVDLCDKPALERLFSQSKFDAVMHFAGLKAVGESVAKPLLYYNNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEESPLSGMSPYARTKLFIEDICRDVQRGDPEWRIIMLRYFNPVGAHPSGRIGEDPCGTPNNLMPYVQQVVVGRLPNLKIYGTDYTTKDGTGVRDYIHVVDLADGHISALQKLEDSEIGCEVYNLGTGKGTTVLEMVDAFEKASGMKIPVVKVGRRPGDAETVYASTEKAERELNWKPKYGIDEMCRDQWNWASNNPLGYGS >A01g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24169336:24174802:1 gene:A01g508930.1_BraROA transcript:A01g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKWLLPVIASAAQPPSDTAGEPPPSLPPDPPDPSSPLTPEAATSILQSLYSGEVSKQVDLSKPTFTVPSGSEITTSHILSPQTFQTTHTPNLHTTITIPPKYSSPIHTNRASSGTNPTLTHHHPDPPSLEPITNQTQTQPPKQPKQTQPTLIEKLRTAGDKTLKRLAPVSVSPSGRPRILIPDSVFQKGADLHKDFVVCYFNGKPPPFNQIQSVFNYMWGKGKRLEIHNNPLNRESMFHTAQWSSEHSMSTPPLKAIKIWAHLTGVPLDLRYDEGLSLVAGPVGEPKETDDFTKNLVSLTVSHVKVEVDLTKPLPDVVEFERQNGEVVEVMVHYPWVPPTCSHCHELGHIIKNCLHYTPPPKAAPEPPSGAKKQDVKRQQKYQSKAKPSEQGSAVTPSPTPTVLPPDPVSHFEAALPVSKLAPSVAIVTDTMSPLPLSSSLVRSSSNAPPVFSSPDPPPRPSLKRSSSSSTLSPSHQQLKINSLLSLTAPFEPSLPPQINIPLENKLNSLFSTSNSFASLSSSLIPLELPDHSGLNDPNKHRPFVSWLNSHSPLFGAILETHISEPSLNQLMSSLCPGWSFASNHAADPDGRIIIIWRNPIQAHATTLRLKSPLILSGQMIALPKGKPPTLLSYLAWQAMIYWLWNERNARLHSNTFRSADTIYTTIYRQLKNKIQSFRPSNPTMSSAMMQLWI >A10p018400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12893236:12894270:-1 gene:A10p018400.1_BraROA transcript:A10p018400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable long-chain-alcohol O-fatty-acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G55380) UniProtKB/Swiss-Prot;Acc:Q9FJ72] MEEELRNLSKVWIFALISISYCYIISSRISKGVLRLLSIIPVCILFLALPLFISSLHFSGSSTLFLSWLANFNLLLFAFDQGPLCPLPSNLCRFFCFACFPIKVRQKASPNAITNRKNEPMPKWVLAVKVLVFGVLLHVYGYKDVLPRFVVLALYCLHIYLEAELVLVFVGAVVSTLLGCDIEPVFNEPYLATSLQDFWSRRWNLMVSAVLRSAVHIPVQRFFTRFFRANIAVLVGVMASFLVSGLMHELIYFYAIRLPPTWEVTCFFVLQGVATTSEIVVKRTLRWTPPHRAVSGLAVMAFVSVTGVWLFLPQLLRNNVHERATGECLLVIDFAKRKLFISSS >A03p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:250192:251845:-1 gene:A03p000270.1_BraROA transcript:A03p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTFSPEGQKTESFRLLSGHKIPAVGLGTWQSGSQASHAVFTALLEGGYRHIDTAWEYGDQNQVGQGMKRAMHAGLERRDLFVTSKLWCTELSPERVRPALQNTLQELQLDYLDLYLIHWPFRLRQGASKPPKAGDVLEFDMEGVWREMEKLVKDNLVRSIGVCNFTITKLNKLLAFADLIPSVCQMEMHPGWRNDQMLQLCRMNGIHVTAYSPLGSQEGGRDLIHDQTVERIAKKLNKTPGQVLVKWGLQRGTSVIPKSSNPERIKENIKVFDWVIPDQDFEALNSISDQKRVMDGEDMFVNKSEGPFRSVADLWDHED >A10g501350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3919574:3920092:-1 gene:A10g501350.1_BraROA transcript:A10g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSSTIDKKKAKVVVCNCNIEAKVLQAWTVDNPGRRFYTCEGRRVSNGYQTCNFFRWYDVEKPHGWQYTALLGARNVMRQQREEISNLQNQVRELILENVRNGGAVRLENESSGQNPPDSDLNCEACEALRGEILVLNERSMVYRNVLITLAIKFTVVICVFMGILKW >A09g500710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2763529:2764284:-1 gene:A09g500710.1_BraROA transcript:A09g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEGFVLMMMIINQNLDFQGLRSVHPSSTRGLTQQHSVRNMLFEYIHGVGTGQSYGKFTMTSSISVVEKVHCDDILLIHRYRWCLGRRIWDPGKLQAFMKQLHHKIKMRRSILGETNGESFKIYISIQYIWYRNMDKSALSLKPCKPPWKKRSSKIEDEAFLLYGDVLIVVESWLSQFLVFAVESIYWIQNWKISIVLNWDKINIIQQKEVVFMMRCKFKARRRTDRVDTLSKKKTVEEKHIYKLILLI >A10p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16493027:16495307:-1 gene:A10p025790.1_BraROA transcript:A10p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCNGMKILQLSNWNLYRMKRGALRRRAKDISLVFIVLVCATLVLWSWDTTPSSAFLPPDSHFLKLEPEEKVERTPTTLNAETKDSYSSATPFVNKGNYLKEVCAVCCVLKFWKLSFIHFPGLSITEQSKEDPTDNKDTEEEDEEKEEKQVAKVTDSETNQGKTSTIEEEHVQREVTVSEPKYQKTPTSKESKLEQVKQEVAAGEAAAKTTHIKKTNSDPEKKTLATDEERTEENLVKKDDDSSSTARISNQACNYAKGKWVVDNHRPLYSGSRCKQWLASMWACRLMQRTDFAFERLRWQPKDCSMEEFEGSRFLKRMQDKTLAFVGDSLGRQQFQSMMCMITGGKERLDVLDVGPEFGFITPQGGGRPNGWAYRFPETNTTVLYHWSSTLCDIEPLNISDPLTEHAMHLDRPPAFLRQYLHKINVLVMNTGHHWNRGKLNGNRWVMHLNGVPNANKKLAALGNAKNFTIHSTVSWVSSQLPHHPGLKAFYRSLSPRHFVGGEWNTGGSCNNTTPMSIGKEVLQEESSDYSAGHAVKGTGVKLL >A10p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1995219:2001667:-1 gene:A10p004080.1_BraROA transcript:A10p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKKAIHPSNEDLSDVTFDFSKQVLSLIVHLEIVDNVGDDESSSDGVGSEFQAEEEELQLLPLPNLVPAPAPVPLMRKGTKRKASREKGKLLWEIWEKEDQKWVDEHKTEDGDLEQLNNVITETTEPPPDLIMPLFRYQKEFLAWAIKQEQSAAAGGILADEMGMGKTIQAISLVLARREAVGFTLVICPLVAVSQWLDEIARCTSPGSAKVLVYHGAKKVKNAEEFRKYDFVLTTYSTVENGYRGCIVSPEKQCEFCSESFSPQKLIIHNKYFCGPLAVKTTEQTLEEDQIGSVVKEKPILHSVRWNRIILDEAHNIKERSSSTAKAVFALEANYRWALSGTPLQNHVGEFYSLHTRCHSCPHQAARHFCWWGKNVTKVYGNQERGKRAMIVFKKVLKDILIRRTKLELAADLALPPRIITLRRDALDDEEFDYYESLYENSQAQFNTYVQGGTWMKKDADIFVLLFRLRQAVDHQYLVEYSPSNLNDENKKEHECGLCHEPAEDNVVTSCAHVFCKACLIDFSASLRKVSCPTCSKLLTVDWTAKAGTEQQAESKTTLKGFRASSIMNLIKLDDFQTSTKIEALREEIRLMVERDGSAKAIVFSQFTSFLDLINYSLGKSGVSCAQLVGDMPRAAKDVAINKFREDPDCRVFLMSFKAGGVALNLTVASHVFMMDPCWNPAVERQAQDRIHRIGQYKPIRVVKFIIENTVEERIIELQKKKELLFEGTVDGSQEAMRKLTRDDMKFLFTIYN >A07p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4230569:4234053:-1 gene:A07p001620.1_BraROA transcript:A07p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQRIKGFIQEEETLNKIQVMKRDYDETKMKELEQISVTTYNITSNAMMITQVETVAQFGVIFLLFALGLEFSAAKLRVVRAVAIPGGLLQIFLFMCLSGITASLCGGKLTEGIFVGAFLSMSSTAVVLKFLMEKNSISALHGQITVGTLILQDCAVGLLFALLPVLGGTSGVLQGMLSMAKSLAILIAFLAALFVLSRTWVPWFLKLMTSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFSAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHMHFLWNHVDILVAVMLLVIVIKTVVVAIVVNVGMSLAQIGEFAFVLLSRASNLHLIESKLYLLLLGTTALSLVTTPFLLKLIPAVVHLGVLLRWFSPNSSTEVNQKHLCRPVSLCTPLAQTQLFDCLFSSLHVLTLSRFILKRFYPGFVLRFLKSGFHFELFFI >A09p058100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49077371:49081611:1 gene:A09p058100.1_BraROA transcript:A09p058100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTLTNRFESRFLIRLLESPSLFTSSRSLQTLAYEEVRTSSGDRKHESTALILHGLLGSGRNWRSFSRSLASSLSVSSPSGIRLPLSLSLSLPFSFDLFFVCEDWKMMLVDLRNHGRSTEVEGINPPHDLVNAAKDLADLVKARGWSWPDVVIGHSLGGKVALQFMESCARGDYGQSTSPPKQFCNGVCLFDAEQLWVLDSVPGEVKAEQSDGEVEKVLMTLQSLPSPIPSRKWLVDHMVELGFSRSLSEWIGTNLKRSGDSETWAFNLDGAVQMFKSYRETSYWSLLENPPKETEISFVIAERSDRWDQDTTTRLERIAKQRQSVSDGKVATHVLRNSGHWVHTDNPKGLLEILKAETHKRNPRFETMSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >A06p056600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29462607:29466279:1 gene:A06p056600.1_BraROA transcript:A06p056600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP sulfurylase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G43780) UniProtKB/Swiss-Prot;Acc:Q9S7D8] MTSPSTSKNHRCLNLISKCKTVENLKQIHGQFLTIGLSHHTFPLSKLLLLSSTLCLPYALSIFRRISNPSVFLYNTLISSIVSDHQSTQTHLAFSLYAQISRPNEFTFPSLFKASGFHPRWHRRGRALHAHVLKLLEPVSHDRFVQAALVGFYANCGKLRVARSLFDRITEPDLATWNTLLDAYASSDEGEGEIDLEECLRLFVNMRSSVRPNEVSLVALMKSCASLGSLCGGVWAHVYLLKTNLSLNQFVGTSLIDLYSKCGCLSFARQVFDEMRQRDTLCYNAMIRGLAVHGFGLEAIGFYKNLISQGLAPDEATFLVTISACSHSGLVDEGLQIFDSMKAVYNIEPQVEHYGCLVDLLGRSGRLEEAEECIKKMPMKPNAMLWRSFLGSAQIHSDLERGEIALKNLLGLEIENSGKYVLLSNIYAGMNRWDDVEKTRELMKDHRVNKSPGISTNTTTRQLPSMASSAAIVFSGSPFRSSPITSHRVSLHASRPVSLRRGGVFSRRGLAVKAALIEPDGGKLMDLVVEESKRRVMKREAETVPVRIMLNRVDLEWVHVLSEGWASPLRGFMRQSEFLQTLHFNSIRLEDGSVVNMSVPIVLAIDDEQKSRVGDSDRVTLVDSSGNPIAILSDIEIYKHPKEERIARTWGTTAPGLPYAEEAITRSGNWLIGGDLQVLEPIKYNDGLDRFRLSPSQLREEFTKRDADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLNPLGGFTKADDVPLSWRMRQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKQVLSMAPGLERLNILPFKVAAYDTTQGKMAFFDPARSQDFLFISGTKMRGLAKKKENPPDGFMCPSGWKVLVDYYDSVNAESGNGRVSEAAISA >A02p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4352666:4357644:1 gene:A02p010120.1_BraROA transcript:A02p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKRAGDETSGQPDDPDSKQAKSLTSQLKDMALKASGAYRHCTPCTEGEVQPPIKSTPVTTKSDPESDQRFKMLYGRSNSSITATAAAAATREQPRVWGKEMEARLKGISSGEATPKSASGRNRVDPIVFVEEKEPKEWVAQVEPGVLITFVSLPGGGNDLKRIRFSRDMFNKLQAQRWWADNYDKVMELYNVQKLSRQAFPLPTPPRSQDEKAKVEYHPEDTPTTPPLNKERLPRNIHRPAGLAGCSSSDSLDHSSTQSRQFNDSGILNSTPKLSSLSGAKTETSSITSCSSRDADRSEEMSVSNASDVDQNEWVEQDEPGVYITIKVLPCGKRELRRVRFSREIFGEMHARVWWEENRARIHEQYL >A09p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18975341:18977640:-1 gene:A09p031410.1_BraROA transcript:A09p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLQSHQLPSGLYVSGKLEQPKETRPPTMAARAMPYTGGDIKKSGELGRMFDISFADPTSFHGGGAARPPPTRVHSSSASSSNPNSGPVRSGSQSGPVRKSSGPLSQLQPTGLITSGPLNSSGQIGSGSRRSGPLDHHHQTSNLRPSTKPKYGSAVTVLNSDPVRVGFKVPKALVWAVVVVAATALLIGTFLAVAVKKPLIIVAIAAAIVPAAVVFVWNCVWRRRGLLGFIKNYPNAVLRGAIDGQFIKVTGVVTCGSTPLESSYQKIPRCVYVSTELYEYKGCSWGSRHAERYVSDFYISDFQSGLRALVKAGYGSKVSPFVIPETVANVTAQIKDLSPSFLQWLADRSLSNDSRAMRLKEGYIKEGSTVSVMGMVRRHDNVLMIIPPAESVATGCKWWRCLFPAYVEGLIITCDENQNADVIPV >A07g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6125937:6126479:-1 gene:A07g503000.1_BraROA transcript:A07g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPYQEMKDMKKHKKHYDMLGYICDAQYGIPTRCPCGGQIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEDDGMHFRQPWAFGVEDEVRRLRMEVNDMAEEIAKLKRIITSTSRP >SC169g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:33905:35063:1 gene:SC169g500020.1_BraROA transcript:SC169g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDTNFAQIHQSRPNSPYRALESRWKSTILTGWGANCWGRKRSRRTIGNIPKPITDKFEYDNRNTEKPSSVIAQLPHMHAVRSLRSDRARAKLGCYIATELEPKLGRYIATERPFRSRPSVRPAWSLRSDRALPKRRYDTNPCILVYPSTLSPEDRGELSPFAINVSSRKTVLRDLRHDSRPISRFLNQKPVNRRTIYAWFSREDKCQDNYEDRKKWNISIFCYDGLRAEDCDSIRFSRLRVARTRNIADSSRAQAYTL >A04p005040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2612923:2618679:1 gene:A04p005040.1_BraROA transcript:A04p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFQDWPEPIVRVQSLSESNLQAIPNRYVKPLSQRPNLISENYHTRNNPHTAAIPIIDLGGIYTNDITLQAKTLDEISKACREWGFFQVVNHGMSPQLMERAKEIWREFFHLPMELKNMHANSPKTYEGYGSRLGVEKGAILDWSDYYYLHYQPLSLKDYTKWPSLPLHCRETLEDYCKEMVKLCENLMMILSRNLGLQEDRLQNAFGGKDEAGGCLRVNYYPKCPQPELTLGLSPHSDPGGLTILLPDEHVAGLQVRGSDDAWITVEPAPHALIVNIGDQIQMLSNSVFKSIEHRVIVSPAEERLSLAFFYNPKGNVPIEPLKELVTVDSPALYTSTTYDQYRQFIRTQGPRNKSHIDELRSPR >A05p050940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29646757:29647982:-1 gene:A05p050940.1_BraROA transcript:A05p050940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRLESESGSRNTVKDRINGGSGDSSTRSRQVTGKRQRQDDKWEHDLFSSDKPQLSSRRVDSRDLRLKLQKRDDGSQSGRGGGSGVRDLREKLSGTMTVQPKNSDPLKSKVEAAARPSMKSVATDTETEAGASVDSFLESLGLEKYSTAFKVEEVDMDALMHMTDDDLKAMLIPMGPRKKILLALGSKR >A09p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7892572:7893806:1 gene:A09p015070.1_BraROA transcript:A09p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRIASQLRSTGKKIGGSRRFATTVADGTKETYNKFSVTGEFAPVAIIGGFVALAVAMAGHSLKQQLMHAPGVSTRKNRRAAVAEVDDPDNCVSSADKYINKSWLRKVGQIQDKSSAILSDPTRPNPFTTKSQSNGPDSVFDSLDSIKFQVGEIYDAIVAVCNAQYVEPRVAEFLGSFHRFS >A05p030080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15219976:15221241:-1 gene:A05p030080.1_BraROA transcript:A05p030080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPTNQSEGTSRKSIRSKNPNSADKCLPSIDTPVSTSIDSHSKPKLSLSTKKNMSIDYDFLLPDEFGIFRDQDGHARAMDGRILQVIREDIADILQLANGANNLFMHQRSIPDNNPAVPDENRRATTTGIGPHQSCTPVGQASIDKVASTSFNRVTPMSIDKAPLPSIDRRYECGRRAYDSYGTRKFRLEQKDEYGVYKDESGHARSPAGEMIPVTKDNIRKILERVSLFGEGHICLPEHVTSFTPTRLAPEIYTKDEINEMVTGVCGAQEKLGDELKTLVDDTYQPLDRGYNELFRSMVEMRTETESTTSTSIDANKATSTDVKPQTSQIPAEPESLAEKKDEWEIAYINTRINDIYNPLNNNVDWLSTRIDLLQQELDTIRMNDPQPATSIHICNITSIDTRFAAMEDR >A03p012090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4814596:4816142:1 gene:A03p012090.1_BraROA transcript:A03p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLSYPQCIRSKVSICLPELLPFPHRRKMDEKQVKRRIVLVPVPAQGHVTPIMQLGKALHSKGFSITVIQTQYNRVSSSQDFSDFQFLTIPGTLTDSDLQSLGALRFLMKLNQICEASFKHCFGQLLQEQVSRDDIACVIYDEYMYFSAAAFKEFQLPSVIFSTTNATAFVCRSVLSKVNADKFLIDMKDPEVQNSLFPGLHPLRYKDLPTSAFGTLEDSISLYSEAVNTRTASAVIFNSASCLESSSLLRLQRELQVPVYPIGPLHIAASAPSSLLEEDRSCIEWLNKQEPTSVVYISLGSLGLMENKDMLEMARGLSNSNQPFLWVIRPGSVPGSEWTESLPEEFSKLVSERGYIVKWAPQMEVLRHPAVGGFWSHCGWNSTLESIGEGVPMICRPITGDQKVNARYLECVWRIGIRLEGELEKETVERVVKRLIVLGEEGADMRKRAIDLKEKLEASVRSGGSSCSSLDNFVNSLKTKNFMQQ >A03p054820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23611500:23615605:-1 gene:A03p054820.1_BraROA transcript:A03p054820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGTSSIRASPSLSSSSSSSTPSISLICFRPGRICGRALNAGIQIRRKKNRSRHHVSVMNVATEINSTEQQVGKFDSKKSARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVGLLPEITVVAGDPYNSDPLDPEFMGVEVRERVERGEQVPVIATKINMIVEERARFDSDPKEFRDTYETEQEKLQEQISNARSCLSSVQIDRELKVKISKVCSELNVDGLRGDIVTNRAAKALAALKGKDRVTADDVATVIPNCLRHRLRKDPLESIDSGVLVSEKFAEALTNTKLPCFAAKPTTKTLLNPSKSLTLASHHPLPRVPLSLSSTDPLKPRKVTAMATGSSRDLEMSNLTALSPLDGRYWGKVKDLASSMSEFGLIYFRVLVEIKWLLKLSRIADVTEVPSFSKEAEAYLQAIIDGFSVDDALEVKKIEKVTNHDVKAVEYFLKQKCESHQEIAKVLEFFHFACTSEDINNLSHGLMLREALSSVILPSMDELIKSISLMAKEFAYVPMLSRTHGQPASPTTLGKEMAIFAVRLSEERRCLAETKIKGKFAGAVGNYNAHVSAYPNIDWPHVADEFVTSLGLTFNPYVTQIEPHDYMARLFNTISQFNNILIDFDRDIWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELSFLSMKLPVSRMQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIKKLQVNEARLKEDLDQTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNEESIREFIKGLDLPEEAKSQLLKLTPHTYVGAAAALALAVDEALHL >A06p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20873264:20878186:1 gene:A06p038830.1_BraROA transcript:A06p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRLVAGSHNRNEFVLINADESARIRSVQELSGQTCKICRDEIELTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVENDEEEDDIDDLDNEFEYENGGVGFDQVSEGMSVSRRHSGFPQSDLDSAPPGSQIPLLTYGDEDIEISSDRHALIVPPSIGGHSNKSHPASLSDPTIAAHPRPMVPQKDLAVYGYGSVAWKDRMEDWKKKQNEKLQVVRHEGDPDFEDGDDIPMMDEGRQPLSRKIPIKSSKINPYRMLIVLRLVILGLFFHYRILHPVKDAYALWLISVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELSAVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDRVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYCIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNSVRDHPGMIQVFLGSDGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKAPRKTCNCWPKWCFMCCGSRKNRQAKKVAADKKKKNREASKQIHALENIEEGSVTKGSNVEQSTEAMQLKLEKKFGQSPVFVASARMQNGGMARNASPACLLKEAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASILFMALFSSIAITGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPTTLLIINMIGIVVGISDAISNGYDSWGPLFGRLFFALWVVIHLYPFLKGLLGKQDRMPTIIIVWSILIASILTLLWVRVNPFVAKGGPVLEICGLDCL >A09p067860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53365113:53367653:-1 gene:A09p067860.1_BraROA transcript:A09p067860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGSNPRTLAVDQWMEIVNGKGYGVGGATQFHPTKSSTSDFKLSIPPPPGAVPTTRTSAHVPVPWSFITPETKRKKRIATYKAYAMEDKVKTTSLGHGGEQETVDFSDDLGSGSACSTPFVSAPSSPGRDPPPGYFFSAPSSPIHFFLRSAAAASEKSKSKLDSSSPGDFEFDFSSRLSSSSGPLGGVPMTSAEELFSNGQIKPMKLASHLQRPQVLAPLVDLEEDEEEGEAEGRRGRDLKLRSRSVHRKARSLSPLRNAAFQWNEEEEVVVVEESEVKECIRKLQEDYEDETKSSCTENVTSAETTPSCSASSSRSSSYGRNSKKWIFIKDLLHRSKSEGRGNGKEKFWSSISFSPSNFKDKKLKSEAENNAAVENKKQKQKQTTPAAKKTPAAGKPTNGIAKRRGSQPSAHELHYTTNRAQAEEMKKRTYLPYRHGLFGCLGFSSKGYSALNGLARSLNPVSSG >A08p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:970706:974292:1 gene:A08p001610.1_BraROA transcript:A08p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKCNLASVLGGFLVLTLLHNLLNVSGQNIPAVALFTFGDSNFDAGNKQTLTKANVAQGFWPYGKSRDDPNGKFSDGFITPDFVAKFMGIPIPIPAALKPNVNVSRGASFAVADATLLGAPVESLTLNQQVRKFNQMKAENWNDDFIKKSVFMIYIGANDYLNFTKNNPNADASAQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVRQDYNTGMDQCHELLNNLAKQHNEKIGPMLNELARTPGFQFTVFDFYSVILRRTQRPSNYRFFVTNASCCGVGTHNAYGCGLPNVHSKLCEYQRSYLFFDGRHNTEKAQESFGHLLFGADPNVIQPMNVRELIVYPVDEPMSEVWLPTTSAMVQASDSSSSASRGYEFY >A03p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11602855:11603193:-1 gene:A03p027690.1_BraROA transcript:A03p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSWTSRALYSGCGCGWVWMDSLRNIQLMERRNLRRREANFGTDCKDLIGDDKESSCMDELHNRSGGDKDSSNVLFGLQDILHPENA >A02p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9380147:9387027:-1 gene:A02p020100.1_BraROA transcript:A02p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTIDLLPRSPNANVGSAMADVFIDTMIAEALRAEKMDSSDQADRISNLPDVLLVLIVSCLSFKECVQTCVLSKRWRSVYLETRNVSFKETDFLSPSVNANPIRNALGRIVFVDYVRRWVTRIHDQPIDTFEISISYPKTYLDVIESLIAFAVRKRVKNLVLDFSNPAWRTFHDVNLDELVVEIPESVYDLATLESLKVCACNKFDPANLSNLGKLKTVSFGWMELTDPEPFLTTSRVESLTLNDCWGLEFNMIKGNMREVAIINCEFLLNCIFDLPRVDILKYSGDLFPFEFDKMNAIISEVDLDFRVLDNNNDESDDSNAEGGMLCHLLNNLLDDGGRSATTLTVCPFLLKMIPRSEHPHFLRPMETKHLVLKTELHPREFNGIRLLLMNCPKLETLTIDLLPPSPIAMASSYAGIDPQTYWMQNISYECQRETLKAVIVKNFIGGAKELHIVKFFIRSGCKRLERVELYMPFDLDNGRKVFAYAKSEMLQKISKHLQVVVHNS >A01p024540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12188673:12190669:-1 gene:A01p024540.1_BraROA transcript:A01p024540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATIRSEIYDREERKQQYQAHIRGLNAYERHKKFLKDYVRFYGKGKPTEVKLPVKTDHDTLREGYRFIRSEEDDLNPSWEQRLVKRYYDKLFKEYCIADMSRYKTGQMGLRWRTEKEVMSGKGQFMCGSKQCHEIEGLASYEASGEHKQALVKLVTCERCAEKLYYKRRKDAERSESKEKKKQKRKRNRSSSEDDTEEEERRKGKRNKSKLEGGEREGKDDENFDEFMEGMFPGKGDN >A02p059940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35758262:35758582:1 gene:A02p059940.1_BraROA transcript:A02p059940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTTFFFIIVLLLCSTQTNASAQPTPTSVYPGEISVEKLEQGEENCEGVGEEECFLIRRTLAAHTDYIYTQNHNP >A07p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27377791:27382355:-1 gene:A07p051630.1_BraROA transcript:A07p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPRHQQPFQHSMVPGYGNNETVLQTGSTSANIRAPNQNASDVKQVRNFSIQTGEEFSLEFMRDRVNPQRSSNPNAAGDTNTSDCASDVSRMSTMENGLRGYDRTNPSIHEFGNRLGHVQSAPQASFSKDSSLGNLLGYSSSSASGSVIAKVKIFCSFGGKILPRPGDSQLRYVGGETHIISVRKDISWLALRQKVLEVYYQTHVVKYQLPGEDLDALVSVTCEEDLQNMFEEYNEMGNRGGYQKLRMFLFSISDLDDALLGVNKNDGDSEFQYVVAVNGVGIGSGRNSTTLHGLDKSSANNLAELDVWNTEGIKSIAGDVVGVSAQQLMANGFQQSSGQQSESIPPGSSLQYSQSIPPNATYQLQQSVPASSDLHYSQSIPRSSSFQYPQSITPGSASSYGFYPQYYGHVVQHGEGEHFPLYAQNPNYSGLAETTSSIPFQGHVNQQGGWAEGYPYPSPTPQSTQALAEEQKVLPPDMKVHEHVEPENRKNLANGHQDPPHINDAEVTNQNQVREVSAATITPSQEAHLLPPRSDARQSASPKPDTYRDDVFAGLVPLSGKEDQLPTSNGNVRNDSESNLIDLNYPEPVHSPPKVYRSERIPREQLEVLNRLSKSDGSLDSQFLMSQPETNTAQQDAANEAAGKSHENSQTVNGDATHREHKSIETVFEKLGVSDDTLDSEPLRKIANHDDANKNGVVNGADVNAAIGHATPEEQASTKDSDSLHGDILIDINDRFPRDFLSEIFSKAISEDTSAVHPYPHNGAAISINVQNQDPKNWSYFQQLAEELIQRDVAGADRADSHFPSDLKDGGESSRLHLRPLSRDGNSANVVDPKLTLGRDYGDDFSRRNGGGTSTILPSLENEHMKVTESEEFGDMKENVRTPYFAPKDEKTETKHAALSLLGAEFDRSGLQIIKNEDLEELKELGSGTFGMVYHGKWRGSDVAIKRIKKNCFAGRSSEQERLTGEFWGEAEILSKLHHPNVVAFYGVVKDGPGGTMATVTEYMVDGSLRHVLVRKDRHLDRRKRLLIAMDAAFGMEYLHSKNTVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYGTMHYGAIIGGIVNNTLRPTIPAFCDDEWRTLMEECWAPNPMARPSFTEIAGRLRVMSSAAISTQSKPPAHKASK >A08g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10409483:10409810:1 gene:A08g506090.1_BraROA transcript:A08g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGDYQRQLRELKAQADESEEKLVKVEKTVGELAKRKTGITNGYPLVVCVMVSLIFVICVMVTFKWEELQRMKMRLSAL >A09g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11092677:11097051:1 gene:A09g503520.1_BraROA transcript:A09g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHANQDDLAATMALMQQQMQQMRQTIQAQQDAAEQAALAAALAAALAQQEQQAQTNQNKRQPQSNQQAVPANENSQPDELQSLGMMMQQLLQGQQVQAKVLNQVTTEIDTKIGNMFTELNKKYDNLAIHMRKIDVQLAQTAECVKRQQEMLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQPASSEVIAPSKPAETSPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNNMVRRLPKELAFEDALQIRPLLQGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGIDF >A09p043750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:35622551:35624815:-1 gene:A09p043750.1_BraROA transcript:A09p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g32415, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G32415) UniProtKB/Swiss-Prot;Acc:P0C7R0] MRALCVKKVYSFLSKLSLASPIHCRRYLGHAISNHGNHHRGFSNEEALILRRLSEGGLVHARHLLDKISQRGSISRVKYWTSLLTKFAKAGYLHEARVLFEVMPERNIVTCNAMLTSYVKRRKLNEAWTLFREMPKDVVSWTVMLTALCDEGRIDDAVELFDEMPERNVVSWNTLVSGLIKNGDVEKAKQVFDAMPSRDIVSWNAMIKGYIENDGWEEAKLLFESMGERNVVTWTSMVSGYCRYGDVHEAYRLFCEMPERNVVSWTAMISGLVWNDFYREALLLFLDMNKGLDPNDETLISLAYACGGLGVGFHRLGQQLHAQVISNGWESVDSDGRLAKSLVHMYASSGLIGSAQYLLNESFDLQSCNIVINGYLRIGDLERAQTLFVQVERLHDKVSWTSMINGYLDAGDVSRAFDLFHELHDKDGVTWTVMISGLVRNELFAEAASLLSDMMRHCLKPLNSTYSVLLSSAGATSNLDQGKHLHCVIAKTTACYDPDLILQNSLVSMYAKCGAIHDAYEIFSKIVRKDTVSWNSMIMGLSHHGLADKALSLFKEMVDSEMKPNSVTFLAVLSACSHCGLITRGLELFNAMKETYSIQPGIEHYISMIDLLGRAGKLREAEEFISTLPFTPDHTVYGALLGLCGLNWRDRDAEGVAKRAAMRLLELDPVNAPGHVALCNVYAGLGKHEMEKEMRKEMGYKGVKKTPGCSWIVVNGKSNVFLSGDKSAQMSLPIFCCNGMLEDEQEKVLTLCHC >A02g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5506760:5508166:1 gene:A02g501720.1_BraROA transcript:A02g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNSSTPRYDCLLFDLDDTLYPLSSGLSQACANNIIEFMVEKLGIDEEGVVELNQILYKKYGTSMAGLKAVGYEFDNDEYHRFVHGRLPYENLKPDPVLRNLLLTLPLRKLVFSNGDEVHVTKALKRLGIEDCFERIISFETLNPKINETLDYLPEIPVICKPAESAFEKAFDIVQLNPHTTLFFDDSVRNIQTGKALGLHTVLVGKSEKVEGSDHALKSIHNMKEAFPELWSESINKESERIGYATRISIETYVQA >A10p026650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16875191:16876304:-1 gene:A10p026650.1_BraROA transcript:A10p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 19b [Source:Projected from Arabidopsis thaliana (AT5G19480) UniProtKB/Swiss-Prot;Acc:Q6NQD9] MESESAKFGGPRELGGARDLITQYKLLPHHEFFCKRSLPESLSDAHYLHNVVGDTDIRKGEGMQLDQLIPNASHNRDGNARIQPFVLDELKEAFEINDTSPVELPPVRFFSLSIWAEKGALTIASKSKSESKDRDRKHKKHKDRNKDKDREHKKHKHKHKDRSKDKDKDKDRERKKEKGGHHDKKRKHNGNEDLDDAQRHKKSKHKSSKVDER >A04g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6363211:6363857:-1 gene:A04g502780.1_BraROA transcript:A04g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFSVPQTGVPGVRHSTLASLCLGQSVIHGFIPAGRANHYMPSLKVGFIVKVDRFEVARCSSMYKITNHPFLIRFISPTIIDEFITDAPKINLQSKLDCSTISKCGWANPFCPRL >A01p022460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11076098:11080357:-1 gene:A01p022460.1_BraROA transcript:A01p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G16600) UniProtKB/TrEMBL;Acc:W8Q6K0] MNLQRAMVFSCWVLSLLIIETSGYRDQLFQPLEAENANAMTMVMERGLQTRGPEHKNAYATMMYMGTPRDYEFYVATRVLIRSLKGHHVNADIVVIASLDVPLNWIHNLEEMDGAKVVRVENLENPYKKQTNFDNRFKLSLNKLYAWSLSNYDRVVMLDADNLFLKNTDELFQCGSFCAVFINPCIFHTGLFVLQPSMEVFRDMIRELEVKRDNPDGADQGFLVSYFSDLLNQPLFRPPNNRSTVLTGHFRLPLGYQMDASYYYLKLRWNVPCGPNSVITFPGAVWLKPWYWWSWPVLPLGLSWHHQRRYTISYSAEMPWVLIQAVFYLGIILVTRLTRSSMSKLCHRRSDKNLTAFKMVALLLILSAYIIPSFIIPQTIHPLMGWSLYLTGSFALSSIPINAFLLPVLHVLTPWLGILGTLLVMAFPSYPDGVVRALSVFGYAFCCAPFLWVSFVKITSHLQILIDKEVLFPRLGESGSSSSLSKLY >A08p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19032432:19033024:-1 gene:A08p031050.1_BraROA transcript:A08p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKIPTWPWRLLGGKKEKETEALKFPTKINREKGRVFKRKEMGLESFGSSGSESVALASAAGDGPEWSVGWTEPHGPGFQTDEEGDDGGFLVLVPCYRAVSEGSGNNQFLTAVKNLPNGLPPDGKNYMEQWLSSLQNL >A07p028630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16161012:16169038:-1 gene:A07p028630.1_BraROA transcript:A07p028630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDSSSSDEQETSLYTSDGDKRIYHRHSNHQIQKLEAYFKECPHPDDLQQLKLGEELKLKQKQIKFWFQNKRTQAKVQNEKADNASLRTENMKIRRENEAMQEALNTVTCPPCGGPHPEQVDRKLYFQNLSENNAYLREERDKLSILVYKTEGHPKPIVNALAYLHGPSLHASTSNNPHVTYGTSSNHLVEPPSLLRELYLREHISFAQPPQPRQLQCSPPLSNMEKVMMTEAMVAAVTEVITLIQTEEPMWIKSSIDSRLVIDQENYEKKFTKNSYFKTRIESSKEIAVIPLDAKNLVNMLLDTEKWASLFSTIVSKAKTIHVLESSKLMYEQLHILSPLLPPREFMILRCCQQLEEGLWVIADVSYHQVAFEFEFGTPACYKRPSGFLIQAMPNGHSKVTWMEHVEVNDKVRAHRIYRDLLCGGFGYGARRWTATLERMCERLSLYSVSDFPTTDDPGVVKTINGRRRLMDLGERMLKNFAWILNMPEKSDFSQQSATNSSGVNISVRVNKEAGQPVGLIVCACSSLCLPLAPLQVYNFLKNLEVRHQWDVLCHASPVTEVARFVTGANNKNCVNILQPSSAAEGGDLMIIQDSFIDALGGMVVYAPVDLSTAHAAVSGNVNPSDIPILPSGFVISRDGRPSATAELDGGCDNCKTLLTVAFQILVTGPTIYEELQMDKWTTSVDTLISSTITKVKAMLNCHDGQ >A02p010910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4735947:4736759:-1 gene:A02p010910.1_BraROA transcript:A02p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPSVVVREVWADNLESEFELISGIVEDYPFISMDTEFPGVIYKADLRRLNPGYLYKLLKSNVDALSLIQVGLTLSDADGNLPDLGGGGGGSRFIWEFNFRDFDVDRDPHAPDSIELLRRHGIDFERNRREGVASGRFAELMVSSGLICNESVSWVTFHSAYDFGYLVKILTRRELPGSLGEFLRLLRAFFGERVYDVKHMMRFCERRLFGGLDRVARSLEVNREVGKCHQAGSDSLLTWQAFRRMRDLYFVEDGPEKHAGVLYGLEVF >A10p005140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9191447:9196239:-1 gene:A10p005140.1_BraROA transcript:A10p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRRVLPACGNLCFFCPSLRPRSRHPLKRYKHMLAEIFPRNQDAEPDDRKIGKLCEYASRNPLRIPKITEYLEQKCYKELRNGNIGSVKVVLCIYKKMLSSCKEQMPLFSCSLLSIVRTLLEQTKEEEVQILGCNTLVDFISLQTENSHMFNLEGLIPKLCQLAQELGDDERSLQLRPAGMQALAFMVSFIGEHSQLSMDLDLIMCVILENYMDLETNEAGENSIPKMSKWVSFKRNNPVTEENMDNSKSPSYWSMACLCNIAKLAKETTTLRRVLEPLLNAFDCGSYWSPEKGVASSVLLFLQSRLEESGENCHVLVSSLIKHLDHKNVMKQQGVQVNMVNVATCLVLHAKQQASGALTAVIADLIKHLRKCLQNAAESDLSADGTKQNSEMQHALENCIAELSNKVGDAGPILDMLAVVLETISTNVLVARTTASATLRAAHIVSVVPNVSYHKKVFPDALFHQLLLAMSHADCETRVEAHNVFSVLLLRTLRLPWSDQYDEASDGCLSLESLKDVDDGIKSLCSLRLSSHQVNMLLSSLWIQATSTENTPANLVAMASTFNITLLFSVAKRSNHMALVRCFQLAFSLRNLSLNQDGGMQLSRRRSIFTFASYLLIFSAKISNIPELIPLVKESLTAQMVDPSLVLEGDIRLRAACSGSPQEDDCAALNSSAVVSNDSFLKEIVITQFTSKFQILSEEEESNLRKEIESDFSRDEDAHPLGAPMFMDTPGSSSSPLNETEVPAFDEVELSAIVAFEGASPGASGSEPGHNKSLSTNTNPADVLSVNELLESVSETARQVASLPVSSIPVPYDQMMNQCEALVTGKHQKMSVLRSFKPEATKAVTLSEEDELFLLDETEEADEDDHKALTVAQVQPQGQLAFCSLEVEQNSFRLPSSSPYDEFLKAAGC >A04p014130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5340104:5340733:-1 gene:A04p014130.1_BraROA transcript:A04p014130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFCSKDTIGLLASKKECRRRSTVNAKFCLKNNIADWKEKWLDIVENEKPIYISDCVWTGLKAYWAAPCTIRMAASGSVAQMMPDPEENLPIVHNLDIFHMLEWPYRLSEKIHNDVVVKNEERLTQLTQQTPDGTPVQLFTQDMDKIFE >A02p053000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32306419:32308011:-1 gene:A02p053000.1_BraROA transcript:A02p053000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICSKRVVVDARHHMLGRLASIVAKELLNGQKVVIVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARMKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELEAKRKERSQVVYERKKQLNKLRAKAEKVAEEKLGAQLEILAPVKY >A08p043060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24060487:24063468:-1 gene:A08p043060.1_BraROA transcript:A08p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLARLLPTQWRTIAPIVGRTPSQCLERYEKLLDAACTKDESYEAADDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIGGRHKNRKRKGIDYNAEIPFEKRAPAGFYDTADEDRPADQVKFPTTIEDLEGKRRADVEAQLRRQDVARNKIAERRDAPAAILQANKMNDPEAVRKRSKLMLPPPQISDHELEEIAKMGYASDLLAENEELTEGSAATRALLANYSQTPRQGMTPMRTPQRTPAGKGDAIMMEAENLARLRDSQTPLLGGDNPELHPSDFTGVTPRKKEIQTPNPMLTPSMTPSMTPSMTPGGAGLTPRLGLTPSRDGSSFAVTPRGTPFRDELHINEDMDMHESGKLERQRREEARRSLRSGLTGLPLPRNEYQIVAQPPPEESEEPEEKIEEDMSDKIAREKAEEEARQQALLRKRSKVLQRDLPRPPAASLELIRNSLLSANGDKSSVVPPTPEEVADELVRKELLQLLEHDNAKYPLDGKAEKKKGVKNRANSSGCQVSAIEDFEENELVEADKMIKEEAQFLCVAMGNENKTLDDFVKAHDDCVKDLMYFPTRNAYGPASVAVKAEKVAALQVEMENARKKMEEDEKKAEHMKAKYKTYTKGHEKRAETVWSQIEASLKHIEIGGTEVECFKALKRQEEMAASFRKKNLEEEVVKQKETERKLQARYGELLSTLEKSEEIMVGFRAQALKETDVEDSHNLKEAKLATGEEEDVAIFTEASS >A06p053960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28350987:28358734:-1 gene:A06p053960.1_BraROA transcript:A06p053960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLLNYTPLHSRAEVDDINDHRPPKMMMMNKKTPSRRPYQENFTVKRTNYEFNNGFKKRTLDELEASNRSSSGSVVSNSESCDQSNAWETTFPSKKRTCVVGRPKAASSVEKLTKDLYSILQEQQSSCLSGTSEEDLLFENESPMVIGHGSVLLREPHEESEASSLLVESSKSSSMHSAEFVVNKSQRSLNFGCRTKPQVLGRHSLPLCNIDLKDVFNFDEFTEKFTKEEQQKLMKLLPEVDSVDLPESLRSMFECSQFKDNFSLFQQLVADGVFQPLSSSSSSSSSGSKLEELKTLAKLALSDPNKSHLLESYHMLKEQRKGNEESVTKPSDNHSLVTIERPCESLNQNFSETRTVMKSPKEVIKIRSKQIQTKEIIENSVSSFNHMSYGGSMAYSYEDNDISDQDLLLDVPSNGSYPQAELLHMIIDDHVAAPKPKGTCFKQAEAFRNSDHHMMLLLVRKCLRSCIFLRCFQQAWRGGYLSDGTAIMGIKTQAMLEKMRKQVKRMGLVPGINVGDELQYKTSPCCGSSFQDDVDADVVVFTGEGGNYKKMDRARKRSIVYAIRDFPPGCGTAPSNVPDKDHFKNPRTSDDVVVENQSPDHSDADSEPSGASLIEEQKFRTSKHDRGLKQESPLPSSDHGRVLGASKHKGSCSKQEPESQHSDHVVAAPPTPREKVLEVLRAFKDVYRELDRNKQARRGGDSFDATARIDIKAQAFLESEGRHVNTEKRIGQVPGVNIGDEFQYKTELRLVGLHFKTMCGIDYMEVGGVKYATCIVASEGYGYNDKFDAGVVIYTGEGGNVISKHENKTEDQKMLKGNLALANSMKHKTEVRVIRGMERWDGKGKRYVYDGLYLVDKYWLEKGVSGKSMYKFKLCKIPGQPR >A07p003400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3296110:3299600:1 gene:A07p003400.1_BraROA transcript:A07p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGMDQISEKKRGKNKLKSKKKAEDVVDDIAMDDKSDTKHGKNKLKSKKQEAEEHEEQLKRLKETQAEFFEYMKEHDEDLLKFDAAEFEDDADVEAETDLEDNEKQDVDVAKKVNEQKTITAAMVDSWCKLIKEDAKLGAVRSILRAYRTACHYGDDTGDDPSAKFSVMSSSVFNKIMIFVLSEMDGILRKLLRLPATGGMKDTIMELTNTRPWKNYNHLVKSYLGNSLHVLNQMTDQGMISFTLRRLKHSSVFLSAFPSLLRKYIKVALHFWGTGSSSISVVSLLFLRDLCIRLGTDCVDDCIKGMYKAYVLNCQFVNAVKLQHISFLGNCFIELLGTDISASYQHAFVFIRQLAMILREALNTKTKEAFRKVYQWKFIHCLELWTGAVCSYSSQSELRPVAYPLAQIISGVARLVPTARYIPLRLRCVSMLNRIAASTGTFIPVSMLLMDMLDMKELNRPPTGGVGKGVDLRTLLKVSKPAVKTRAFQEACVYSVVEELVEHLTQWSYSVAFFELSSIPTLRLRSFYKSTKAERFRKEMKQLISQIEANSEFVNKKRACVGFQPNEPAAASFLEDEKKAGESPLSQYAMIIRQRAKQRNESLVESDVIVGEDSAVFGKNAPSSDEEEDEADRNEKGAAAFSSSWLPGSDSKEKEAEEEEEKKKPKKRKRKSQAEKKQVEEGAGEDDVVEDFVFSSDEEDDLFDIEGDKDEEDDVDDDAADEIAEPETKKTSKKTKGTYKTWHKNYKKTKNKKKARVA >A06g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3242102:3254530:-1 gene:A06g500790.1_BraROA transcript:A06g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGATKVMPPRQARRGGAYLPISISSSPDSSPPSTPAPLPTPSFEVTPSGSSFESDPSEDSHEYIPLQTPMPMSPDPYYTDIEVDVVHDHPAEHPAAHAAAAEDVPHVHAEAPPAAQPAPAPAPTDPAIVALLELMAEMVNLQHQTLNAQHAPRAPQAGSSRSPLPFPIPPAKRQAISGRAYALELPGPPGPPKGPITGLYSYPIECCMPPRQARRGGAYLPISISSSPDSSPPSTPAPLPTPSFEVTPSGSSFESDPSEDSHEYIPLQTPMPMSPDPYYTDIEVDVVHDHPAEHPAAHAAAAEDVPHVHAEAPPAAQPAPAPAPTDPAIVALLELMAEMVNLQHQTLNAQHAPRAPQAGSSRSPLPFPIPPAKRQAISGRAYALELPGPPGPPKGPITVTSRLLACWIN >A06p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4563715:4565056:-1 gene:A06p003680.1_BraROA transcript:A06p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMLGSSRSFLLSSKTLTPKPTSSLRERGQSCLKMRVGNPIRTYSARSLKCSSVSEDFVERSSPLQVKKELETCFDLIHRLGRGILYLGSARIQPNHSHYLQSQELSREAANLLDCTTWSGAGPGLMDAVTKGALEAEKPVGGIKIEKEAGEWTASKVHPYLPPQNYHTCRFFSARKHGLVDAVVRNNVSDKTAVIALPGGIGTLDEMFEILALIQLKRIGSVLPVPFIVMNYDSFYSKLFEFIESCEDLGTVYKGEVSALWKVCNSNSEALTYLAEFYELPYGSTKPETELREPACG >A05g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6775008:6776678:1 gene:A05g502080.1_BraROA transcript:A05g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLSRSRMTKVSTDQRERLAMSVERLDQSSDKIRESRRTMMETEDLGVSVLQDLIITSKLLFVAGDLGQTFDSNITLPHYEKSPKKGQAVLFVGDLSYADNHPNHDNNRWDSWGRFSERSTAYQPWIWTTGNHELDFAPEIVKLLAPSMEKINRLSHSHIGTVLLTELQAAQNHSGKYTPQYSWLEEEFPKVNRTETPWLIVLNHSPWYNSYDYHYMKGETMRVMYEPWFVKNIVDVVFSGHVHAYERSERISNIAYTVVNGICSPVKDQSAPVYITIGDGGNIEGLTTKMTEPQPKYSAYREASFGHAIFSIKNRTHAHYAWHRNKDGYAVEADTVVLQQ >A05g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9026817:9028407:1 gene:A05g502990.1_BraROA transcript:A05g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDREDGYTSRGETNGQSPTIRDALHYVSAVRNTFHDDIGKYETFLEVMKDFRAQRDDHNAVIKRIKVLFNGHNDLILGFNTFLPKKYTITFPLEEEKPKTRVGFQDAFSFVTKIKARFSSDEHAYKRFLDIMEMYRKERKSIIDVYEEVTILFKGHDDLLVEFLNFLPNCAAISDMLPMHSDKVENCDEKLVVFSGGNSTGNSLAKEGQGGYLNVAENGQIQEYQSGQAGGRDTDRDDKTASSVSQIIASFQRM >A06p038080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20553848:20569710:-1 gene:A06p038080.1_BraROA transcript:A06p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVRSLCVFITFLSCLVISVHGQAAARPGAGSGTRIGFYSTTCPNAETIVRNAVTAGFSSNPRIAPGILRMHFHDCFVQGCDGSILITGTNTERTAVPNLNLRGFEVIDNAKTQLEAACPGVVSCADILALAARDSVVLTRGTSWPVPTGRRDGRVSLASNANNLPGPGDSVAVQQQKFSALGLSTRELVVLVGGHTIGTAGCATFRNRLFNSTTGSADSTIDPTFLAQLQTQCPQNGDASVRVDLDTGSATTFDTSYFNNLSRGRGVLQSDQVLWTDPATRPIVQQLMSPRSTFNADFARAMVRMSNIGVLTGASGEIPTATTRPYTSLPRPRVGYYSSACWNVESIVRSVVQSNYFTNPANAPGILRMHFHDCFVRGCDGSILLDGPNSEKTAIPNQSLRGFNVIEEAKTQLEIACPLTVSCADILALAARDFVVLTGGPWWPVPLGRLDGRVSLASNVDLPEPTDSVAVQKQRFAAKYLNTQDLVVLAAGHTIGTVGCGVFRDRFFNYKNTGSPDPTINPNFVPQIQSQCPLNGNAATRVALDLGSEGQFDTSYLNNLRFGRGVLESDQVLWNDPETRPIVERLLGLRFPFLLFGPEFARSMSKMSLTEVKTGLDGEIPATAQGNRGSSRGGGGRRPRVGYYGNRCRNVESIVASVVRAHVRSNPANAPGILRMHFHDCFVRGCDGSVLLAGNTSERTAVPNRSLRGFEAIEEAKARLEVACPRTVSCADILTLAAREAVVLTGGQGWRVPLGRLDGRISQASDVILPGPFDPVDKQKRDFAAKTLNTIDLVTLVGGHTIGTAGCGLVRGRFFNFNGTGQPDPSINPRFVPLVQNRCPLTGDASARVDLDDGSVGRFDTSFLRNVRSSRVVLQSDLVLWRDPETRAIIERLLGLRRPSLRFGTEFGKSMVKMSLIDVKTGSDGEIRRVCSRIN >A03g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14447528:14448331:1 gene:A03g504050.1_BraROA transcript:A03g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYGAVLQAECCQEAFPVLENSKCEERGGVDGLHLVLLERKVTNLGLHFALITCTHSEIRLYDLSGFDVTKTNQSFRLSDSHVSIRFTEQTQFHELPENRGLIPMELFSIFVNRAMQLLDKLVIHGVEPRVIAATNINPKLAGGRPFLNPASVTLF >A08p044570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24614434:24617726:1 gene:A08p044570.1_BraROA transcript:A08p044570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETKAMSTLDNVVVKSPNDRRLYRVIELAAAAMCVAMGSFLDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEMEHTCYHFEVKREFLQGALKRFSQFFVAPLMKTEAMEREVLAVDSEFNQALQNDACRLQQLQCYTSARGHPFNRFSWGNKKSLSGAMENGVDLRECIMKLYKEYYHGGLMKLVVIGGESLDTLESWVVELFGEVKNGSKIMPTLEAKGPIWEGGKLYRLEAVKDVHTLDLTWTLPPLRHAYVKKPEDYLAHLLGHEGKGSLLSFLKGKGWATSLSAGVGDDGINRSSLAYVFGMSIHLTDSGLEKIYDIIAYTYQYLKLLRDASPQEWIFKELQDIGNMDFRYAEEQAADDYAAELSENMLAYPVEHIIYGDYVYQTWDSKMIADLMGFFTPKNMRIDVVSKSIKSEEFQTEPWFGSHYIVEDVPLALMETWSNSSEVDNSLHLPSENQFIPSDFSIRATSSDGDLKSQSPPKCIIDEPLMKFWYKLDETFKVPRANTYFRINLKGAYGSVKNSLLTELFINLLKDELNEIIYQASQYSKT >A09p060920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50364698:50365569:-1 gene:A09p060920.1_BraROA transcript:A09p060920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSASYIHMVQHMIEKCLIFHMSKEECVEALSKHANITPVITSTVWKELEKENKEFFKAYEERQSKQEQMSEEETNQMIQKIISDSPKESDD >A07p038310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20387942:20389259:-1 gene:A07p038310.1_BraROA transcript:A07p038310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNSGMSFFLFHDPKLLRRRSSSSDSSSCTLLPSCSMPQDLNGFASFIGKQILEEDYSDDGSQMGEKKRRLNMEQVKTLEKTFELGNKLEPERKMQLARVLGLQPRQIAIWFQNRRARWKTKQLEKDYDTLKLKFDALKAENDRLQTHNEKLQAEIMGLENKEQTKSINLNKETEGSCSNKSDNISDNPRLDISRPPPPSIESTITAGHPPPPQTVGRHFFPPSPATATTTTTTLQFFQNSSSGQSIYG >A02p004300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1863467:1864071:-1 gene:A02p004300.1_BraROA transcript:A02p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A02g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12457723:12459014:1 gene:A02g503760.1_BraROA transcript:A02g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWCTLAAVTFHVPLNYWLVMVKRWGVPGVATASVVTNLIMVMLLVGYVSLSGKMQKSQGVSGSMVATVAAQSSVVELVGGLGPLMRVAVPSCLGICLEWWWYEIVIVMGGYLENPKLAVAATGILIQTTSLMYTVPMALAGCVSARVGNELGAGRPYKARLAANVALACAFVIGASNVAWTVVLKERWAGLFTGYEPLKVLVASVMPIVGLCELGNCPQTTGCGILRGTGRPTVGAHVNLGSFYFVGTPVAVGLAFWLKVGFSGLWFGLLSAQAACAVSILYAVLARTDWEGEAMRAMRMTSLEMRKVGKDEESSSLLDDRNRSDEKLGGVL >A01p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20009928:20010635:-1 gene:A01p025580.1_BraROA transcript:A01p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFCCNCSLLSCVFTACSSKWLILVQSEGEESLKTKIVLPVKLFTRADTEKTSESKVEAGKGECVLHMYSSEESTLVLMMHLPSYEKLVTPINGSSFLIVSVIIFGGACGFCLFRKNGRAGNEVPYQSESVVVHDVEITYWDEGWDDDWDDKNAVKSPGGAANGLTARRLGS >A02p046990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29397466:29399600:-1 gene:A02p046990.1_BraROA transcript:A02p046990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATQPQQHEQQKKKQSEPGEDALKKNTDCVYFLASPSTCKKGAECEYRHSEYARVNPRDCYYWMSGNCLNPKCGFRHPALGNLGGLPAGSVPPSHAAAAAHPGAVKQPFPCVFFQKGMCAKGDMCSFMHTPNAAGYKKQHPVEAKPPAAAAVADPQFSTGEKKLTHASLPKAVDMSVAPRVTPAIRDSRGVEGYTSKHVVGVPPLTDGSHHKYGSDDSNSFHNGKDADDVLRESSPGFDVFVDNEATDSEYYHVGDGYGRRSQEGRNSLNEYDPDFSAIADQRFDSYERREDRHAWGHRRSSERGDRSERRAYVEKEGSENILASDLRYRLAKRKGNDYTAPESSMERENRDSRRNTPRESSISSSRLQGRIKLRERSIDDEAHFGRRVERGRDKSDLSQSRLRDRINGRSEENHSGHQERDLRAPWVRRREMEEGFSVSKGSKKEESKTETSLGKRKSLEEDDHPRKRSGDSFAAPLPFSEILKRKRAAASGGSIKNKDQTPEETVSKEEAGDETKLIAEEKTEVVTEPNPTHEAGLEEGTIMEEGEEVNGEEEQVYEEEEQAYEGDELNGEYYYEEDGEYAYEEGEEVVYEAEEGEEATEGGEAEGEEDIEKKTAGMLS >A01g502390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8269808:8270062:-1 gene:A01g502390.1_BraROA transcript:A01g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVKKRDAASKNKKDAVKKMRDATKKSVVVAKKRKLDGGVHGGSSSNPNKRVRNCKRETTSPPDPQCDLFLEPSMATSSTTL >A10p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14746678:14749996:-1 gene:A10p022330.1_BraROA transcript:A10p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHITVLEEVDEVESEHMSSLSLTRGGRETKPPIKFQDLEWKTIQGKGASYGLSFSRLLPSISSSSSTGFKSPVIPIVLDLPLRDSKIEEERLSFEIVGDCKSSHFEMDEEASSWIRRTKFSQTVSHRLDSSKLSSLSFKSSQEKLPGLNTTPSTVDSEVQINPVTNKQRSVSPSPQTPLPEVFKEAKSERKRFSTPHPRRVDSEKAMKPKFSRKDTFEKRRSISLQSPSVPIRDLSTLRIQERVKTQSKKDNTGWSKLLSNGGRKVSASEAAEEYRVDMSKLLLGLKFAHGLHSRLYHGKYEDRAVAVKLITVPDDDENGCLGARLEKQFTKEVTLLSRLSHPNVIKFVGAYKDHPVYCVLTEYLPEGSLRSYLHKPENRSLPLKKLIEFGLDIARGMEYIHSRHVVHRDLKPENVLIDEDFQLKIADFGIACEEEYCDMLADDPGTYRWMAPEMIKRKPHGRKADVYSFGLLLWELVAGATPYEDMNPIQAAFAVVDKNLRPVIPGDCPAAMKALIERCWSVAPDKRPDFWQIVKVLEQFEASLEREGNLNLASNKICKDPRKGLKHWIQKLGPVHGGSSGIGGSAVLPKPKFA >A07p044310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24172718:24173435:-1 gene:A07p044310.1_BraROA transcript:A07p044310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATSLVGKLEADVEIKASAGKFHDMFAGRQGEWLKATPGKIKSCELLEGDWGKLGSVVIWNYVHDGEAAMTKKRVEAVDPEKNLIKFRVIEGDMMKDFKSFVSTIQVISKHGEPGSVVKWHMEYEKIIVDAGHPETILQLAVEISKDIDEYLLAEE >A04p003120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1518898:1520621:1 gene:A04p003120.1_BraROA transcript:A04p003120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQASSSSNVKEEKRSSTDPVTVDENEEREAIEIPLFQVPECYVYLTKLERDGLVLFVCRYLQGKVQLPTATDQELGTNLSNQFLKADEWDVNKWAWEGALKVVSKGEECIIKLVDKTTGELYAQAFLRDGELHPVEAVIDSSRYFVLRVEENIGGRVRHAFIGLGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQHFQNTSSVDYSLKEGETIVLQLKNRNEKDTKTKLVEKSLSNLSLEDKGKSIETIPPIIPPPPPPGPLSPAATTPKSPSSLSVQRSSEQQDLDTKREEVKKKEDQEAKETGVEDAPDDDFGDFQAAG >A09p064400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51900761:51901664:1 gene:A09p064400.1_BraROA transcript:A09p064400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A09p082850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59840009:59841338:1 gene:A09p082850.1_BraROA transcript:A09p082850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione S-transferase F4 [Source:Projected from Arabidopsis thaliana (AT1G02950) TAIR;Acc:AT1G02950] MRYTSHLLMNWTKKVYRLVPNWKRETEVKNLGYKVHGNPFSTSTRRVLAVLLEKGLSYESITVDLKSGEHKTEPFLSLNPFGQIPVFVDGNIKLHESRAITQYIAYVHSTRGTQLLYLQSHETMAILTVWMEIEAHQFDPFASKLTWEQAIKPIYGLETDQVVVKENEANLEKVLDIYEKRLGKYRFLVCNTFTLADLHHLPNIQYLLGTPTKRLFEDRPKVLKWVGEITGREAWKMACDHEKYWFGKQTK >A05g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22416672:22418136:-1 gene:A05g507770.1_BraROA transcript:A05g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSTTNLHHRSTSVQNHHPLDPDGYARAMDRHALQISREDIAEIFLMANGAHNLFMQQRNNPAHQRFHWEEKDEYGVYIDDQGHAKDVDGHIIRVSKDDIRSLLERASMDEHIYLCLREHARSFTQNKLVPEIYTKDEIKEMFYGVCGAQENNEGDFQMKFDGVYYPLNDNISWLTTCMEEMRQDIAKIQTQRAAEATTPASIDRNHSTSIDDDLTHSNPMKSQLDSYTRAEINQLVEGLYRTLETIEERLDRRCDDIYFSMDLTIIASASIYRRNNKSTDSHRQTSVDEATNQGRLVPKVKSDMFDTHNHGEEISADTYATLMRHQFNLESLGDRLQKIENTIASMTDKWRREDEAMRDLAGTWFNKRREEMETCFPISSSFQHY >A02p005740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2474775:2476619:1 gene:A02p005740.1_BraROA transcript:A02p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSRSWVATVNHHHHANPHSFTTSPTKPVFFLTQKPHVCSSRCSLVLEEDEKKSPGRKQDNKWPFFEPAPDVLNRVLSKYLQDPKTHKVSSEFYERAKENSELRTTKHLINHLVSSKNWDLLVSLCEDLREYKALPDPHTCSQLIRSCIRQGKFKITHCLLNVFRTDKSLAVSSSDAAMKGFNKLQMYSSTIQVFDRMKQSAGVEPSPGCYCRIMEAHERIGDSQKVVELFQEFKSKRLSFLAKESGSIYTVLCSSLARSGRALEALEFLEEMKDKGIPQSSALYSTLIRALAEAREVMVVEKLFKEAGEKKMLKDPEMCLKVVLMYVREGNMERTLEVVAAMRKGELKVTDCILCAIVNGFCKQRGFTEAVKAYEWLTKQECEAGQVTYAITINAYCRLEEHDKAEKLFEEMVNKGFDKCVVAYSNIIDMYGKTRRLSDAVKLMAKMKQRGCRPNIWIYNSLIDMHGRGMDLRRVEKIWKEMKRMKVLPDKVSYTSMISAYNRAKEFEACVELYHEFRMNRGKIDRAMAGIMVGVFSKTSRIDELMRLLQDMRVERTRLDTRLYSSALNALRDAGLNSQIRWLQESFDIAQTSASSSRYSNVKNKRTLQGS >A05g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2029512:2034546:-1 gene:A05g500510.1_BraROA transcript:A05g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVENGCPAKGFYTRQAFITAAQSFPAYRGTVAKREIAAMLAQFSHESDSFCYKEEIARGRYCQASSVYPCQPGKNYYGRGPIQITWNENYGAAGKFLGLPLLTDPDMVARSPEVAFKCAMWFWNEKVRPVVDQGFGATTRRINGGECDGGSPTRVQSRVNRYLEFCRQFGISPGTSSCFKEEITGGRYCSLSLRYPCQPGKNYYGRGPIQITWIYNYGRKCAMWFWNKNVRPVLSRGFGATTRRINGGERPDAVESRVNHYLDFCKKLGFAILLTTLFFLILTVSKPVASQNCGCASGLCCSSAGYCGTTDAYCGEGCKEGPCKNSGPGDPTVSLEETVTPEFFNSILNQATGSDCKGRGFYTHETFMAAANAYPSFGATISKLLCHIEEVDGPAKAARGEYCDTTKPEFPCVPGKGYYGRGAIQLSWNYNYGPCGRDLNEGDLLATPEKVAQDQVLAFKASFWYWTTNVRSSFKSGFGATIRAVNSMECTGGGVPSETAANRIRYFQDYCTKLGVQPGENLSC >A07g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6892383:6895403:-1 gene:A07g503530.1_BraROA transcript:A07g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFAWNMRGFNMPRKQKAVKQWVRAAKPSIGCLLETKVKVGNFQKLFDLTFPGWGYLHNYSHHGLGRIWVRWSDEVEVCHVFTSAQMITAWVKYKSSGFLRMDIKDGCSVRFWTDIWHPLGRLIEITGEVGTQNLGIARESKICEVLRDGVCGGFEAVVINTLEM >A06p045490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21458435:21459588:-1 gene:A06p045490.1_BraROA transcript:A06p045490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSKNKENYKKNCSTGCRVWNYAFVYYYFIAGDWIVESYNQSALNICLAGIEDTTEPLET >A09p059450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49717057:49717680:-1 gene:A09p059450.1_BraROA transcript:A09p059450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVMGSPGTVCGLLLRIGQCTSAAASMSVMLSTKNFYNCTAFSYLIASMCFQMLWSFWLACVNVYALRYKKDLQHLTAVSLFVGGDLVTAILSLAAACSSAGVVVLYARDIKYCDVHDCLRYEVAVALSFVTWVQIAVSFHVSFWIYASV >A01p013280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6493773:6494501:1 gene:A01p013280.1_BraROA transcript:A01p013280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTNRVKYPEGWELIEPTLRELDAKMREAEMDEHDGKRKCEALWPIFKLSHQRSRYVYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKSGYERLCCLRCIQPRDHNYGTTCVCRVPKHLREEKAIECVHCGCQGCASGD >A08p041310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23331808:23335655:-1 gene:A08p041310.1_BraROA transcript:A08p041310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MAMSSVSTRFLVLLQDFSAFRKISWRSAATNYHRQSRLLCHVAKEDGSLTLASLELGNNSPRRSGKSKAMKLEGGFVSEMSQGKVRAATNYKVKVVKEKKPAEIVSPLFSVKSFEELGLPDSLLDSLEREGFSVPTDVQSAAVPAIIKGHDAVIQSYTGSGKTLAYLLPILSEIGPLSGKAKSSEKRAEIQAMIVAPSRELGMQIVREVEKLLGPDHRRMVQQLVGGANRMRQEEALKKNKPAIVVGTPGRIAEISKSGRLHTHGCRFLVLDEVDELLSFNFREDIHRIIEHVGRRAGAGPKGEVDERANRQTILVSATVPFSVIRAAKSWSHEPVLVQANKVTPLDSVQTTAPAISLTPTTSEANGQIQTTIQSLPPALKHFYCISKHQHKVDALRRCVHALDAQSVIAFMNHSKQLKDVVYKLEARGMSCAELHGDLGKLGRSTVLKKFKNGEVRVLVTNELSARGLDVAECDLVVNLELPTDAVHYAHRAGRTGRLGRKGTVVTVCEESQVFIVKKMEKQLGLPFEYCEFVDGELVVTEEDKAIIRKDSSEEDMTLMMRRRLIPLHLRFMETGTLKTFRHEFLSCFERDFSCLSSSDRNLSYRERLSSGIADIKKDDAVDLFQSMIRSRPLPSIIDFRGFCNAGRWDDGAQLLRDMITRNITPNVVTFSALIDCFVKEGKLKEAKELYNEMVPRGIDPDTITYTSLIYGLCMENRLDEATQMMDLMVSKGCEPSIVTYGTLINGYCKAKRVDDGMKLFREISLRGLVANTVTYSTLIQGFCEAGKLNVAKELFQEMVSRGVSPSVVTYGILLDGLCDNGELEEALEILEKMQKCKMGIDIGIYNIIIHGMCNARRVDDAWELFSSLLPLKGVKPDVKTYNVMIGGLCKKGSLSEADKLFKKMGEEDETAPSECTYNTLIRAHLGGSGVATSVELIEKMKRCGFSADASTMKMVIDMLADGRLNKRFLDMLS >A09p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9498183:9498515:1 gene:A09p018190.1_BraROA transcript:A09p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLSMGPQQAILDWHLNPVNPTRFLDAVESSEKKLKRSPTTEGKCGDIGFDGGGPVEEAAAVRTETVAFRRLT >A08g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5904474:5910806:-1 gene:A08g503060.1_BraROA transcript:A08g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHNPTDKSSPFCRHSTHESSLYRRVVTRPTRCHSTVLSSLDRQVVTRPTSHHSTDKSSLDRRVLTRPTSRHSTDESSLDRGVITRPTSRHSTVLSSLGADRSLAVARLDLSRSLGADCSRAVAWLDLSRSLSRSPYSAQIDLSQSLRSLSHRGVDVSTQQQGLAEAYPYLLYPSWLHDESSRKQLTHSLAVTRLALLRLLDSLSCGHSTRSLAVTWLGSLSRSHSDCSQVSNMTLSINTSSMATCSGGSEHCPHRSFAQPFRSKKSDWNQKYVDDSSPETNYDWLDSLSGYVGNPSPVVSIWFKLSMKTSPNPTDDSSSRSFTSLLSSSIHTRCRFDLAFQCHWFEVNQHPTT >A10g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2418565:2419095:1 gene:A10g500830.1_BraROA transcript:A10g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPNRGKTVEKKSATSHHVSDRHTIRKRASRPEPSPSPERLRRRSAIGGDNSVKNHPCREASQKQNATESSIQKRYYRLQSILLIPMKSHRIPETEAGEACAKEATASQRQKPEDATPEAKPVGNTKTGNQKQKTSDSLILLTNLETF >A05g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14285558:14287089:-1 gene:A05g505150.1_BraROA transcript:A05g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAYASSKEVIYITTTHIQKSSLDCEASNFRELNHIYTRKNQIVIITSIIPRLHEGKLSLTTTPGSRFYLTTILEDIFINTLFINNQKTILALSLSLSRVPLFFLSLSRDLFSSSLPLIHRRSTISLSRYFFFLSASDSPEIHHLSHSTGSLRFTLSTPTSFPEVVFKLI >A02p029190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14755305:14760340:1 gene:A02p029190.1_BraROA transcript:A02p029190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDEDELLQMALKEQAQRDLTYQKPPSSSSSRPVANLVQQPRQHKPSPARKPSMDEPEVELLSISSEDDDVERESDVRERGRAKKEDDGGGALDGEEPDCWKRVNEAELARRVRDMRESRTAPVVQKLEDKVSEPGKKVVLTSLQSLPRVMECIDPLKLGIIDNKTLRLISESFGSPSKAEKVDNTLREKLIYFSDHLKLFLSRIHQDTSAADLEAGALGLKSDLKGRNLQRKQLVKDNFDCFISCKTTIDDIESKLKRIEEDPEGSGTTHVFNCMKSVTSRANLAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRNSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMLEFKGTLYKTMEDPKIDFTSLENTLRLLLELEPESDPVWHYINVQNHRIHGLLEKCTYDHEARVETLRNETRERAISDAKWQQIQQNVVPYSDAASSTESNEVQVDLQSVEFPSEEIDALKGRYIKIITGVLVHHIPAFWKTALSVFSGKFAKSSQVTDTSANKSEEKVTEARFSTHSLEEVAGMIRKGVNNTFCDFDESCILRPFMSNDIQEVSKACQAFEAKDSVPPQFGMILSVKGEAAKSEDMFAQIEEMLISVRLAFLNCFLDFAGTIFHRNSFTPHEVTSANTYGSVVDPHRRLLMVLSNIGYYKDELASELYNKFKYNWLQSRLALAYLTVIKKVLEHYTFSKANLIRTAATSYLLDSATQPRLLKLYKETVLEIALESVSESVETTPGHNRRPTRGSEDTASDDRQGSSVSADELLVLTKQYSSELLQTEMERTSRNYRGSQSSGSPIHARPRQR >A03p038750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16154551:16159835:-1 gene:A03p038750.1_BraROA transcript:A03p038750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLHLRHSLPTAQFVPTFSSPSPPPPQRVQLRISPSRALFSNLRANSPTSRTTTRRSNTITSSSVSSPVDSDSLVGDGGEARKIPLLEVKDLRAVIVESRQEILKGVNLVVYEGEVHAVMGKNGSGKSTFSKVLVGHPDYEVTGGSIVFKGQNLVDMEPEERSLAGLFMSFQSPVEIPGVSNMDFLNMAFNARKRKLGQPELDPIQFYSHLVSKLEVVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDVDALQDVAKAVNGLLTPTNSVLMITHYQRLLDYIKPTLIHIMENGRIIKTGDNSLAKLLEKEGYKAISGNEIQIRSNPDVESLNVILENFVQAVSAAIWRPRIGAEQQASSHGKGEASKEAASSHGKGEASKEAASSHGKAEVSKEASSSEPLPDQVQNKPPEQVTMSNPRTIPEAETKSIPEPEEVKQEVVVQVETTKPETKSEIKPETKPDPNKPKHMRRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCVEKGTGKEYACKSISKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMELCSGGELFDRIIQRGHYTERKAAELARTIVGVLETCHSLGVMHRDLKPENFLFVSKEEDSLLKTIDFGLSMFFKPDEIFTDVVGSPYYVAPEVLRKRYGSESDVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISEGAKDLVQKMLVRDPKRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKVGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFSYFDKDDSGFITHDELQQACEEFGVEDARIEEMIRDVDQDKDGRIDYNEFVAMMQKGSIMGGGPMKMGLEKSISISLKH >A10p034560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20070846:20073102:1 gene:A10p034560.1_BraROA transcript:A10p034560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRILRSGDSGNLEASIDRLLNEEKQMRLAENVAGTRKAATEILQLCFDAKDWKLLNEQILNLSKKRGQLKQAVQSMVQQAMQYIDQTPDIETRIELIKTLNNVSAGKIYVEIERARLTRKLAKIKEDQGQIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFDADTKKDKKKPKEGENIVEEAPADIPTLLELKRIYYELMIRYYSHNNEYLEICRSYKSIYDIPSVKETPEQWIPILRKICWFLVLAPHDPMQSSLLNATLEDKNLTEIPDFKMLLKQVVTMEVIQWTALWNKYKGEFENEKSMVGGSLGDKSGEDLKLRIIEHNILVVSKYYSRITLKRLAELLCLSIEEAEKHLSEMVVSKALIAKIDRPSGIVCFQIAKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAVLRS >A01p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9246743:9248167:1 gene:A01p019020.1_BraROA transcript:A01p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISALSDDLLLRILSFVPTKDVVATSLLSKRWEGLWTSVSKLSYDDSDHTGDYKNFSQFVYRSLLSNNAPVLDKLHLKLGPDCPFIDVDLWTNIALTRRVRELEIDLFSKEESFNLPNSLYTSETLEILTLISCVLVNVPSSLCLPSLKTLKLDRVDYTDNATLPRLLSGCPNLEVLFLERHVGDETTDSIVVAPSLQRLTMLDTHAGTCGRFVVDVPSLKHLEITDSVAYYDLRRMENMPVLEKACVWITSSGVTQEFLNALTSVHRLSLSLSLSKVMHPSGMTFNQLVHLDLYTLTEGWWGLLICMLLDSPKLRFLRLSNNKLTSESKEIPIGWSPPSSVPECLVYSLEAFVWIGYNGRQDKEMAIYLLKNTACLKTATFSPDSTDLAEKYQMLKVLASVATMSTSSQLLFD >A01p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15981033:15984605:1 gene:A01p040160.1_BraROA transcript:A01p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 32 [Source:Projected from Arabidopsis thaliana (AT1G61130) UniProtKB/Swiss-Prot;Acc:Q4PSY2] MIHQTIYNVSIALYLCTLFAFVFSDSPEAMRDLVTDLPGQSGVSFRHYAGYVPVDKKHGRAMFYWFFEAMSLPNQKPLVLWLNGGPGCSSVGYGATQEIGPFLVDNKGNSLQFNPYAWNHEANILFLESPVGVGFSYSNTSSDYKKIGDEFTARDAYSFIQNWLERFPAYRENNFYIAGESYAGKYIPELAEVVYDKNNENSSLHIKLKGILLGNPETSEAEDWDGWVDYAWSHAVISDEMYGVIKRNCNFSSNTTWDVKECKDNVDEVVKQYHKIDQYSLYTPTCNGNSTPSSSLDSTRFRTNLRNSKMMPRMMGGYDPCLDDYTKVFYNRADVQKALHASDGIHLKNWSICNDDIFDNWTDSKPSVLPIYKKLIAGGFRIWVYSGDTDGRVPVLGTRYCINKLVLPIKTSWRPWYHEKQVSGWLQEYEGLTFGTFKGAGHDVPSFKPGESLAFFSAFLKGVLPALSP >A01p056170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33021674:33023278:-1 gene:A01p056170.1_BraROA transcript:A01p056170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVWRWVLGLTYILAVATIWIASSFVVQSVVDAGVSPFLITFICNSLFVVYLPIFEISRYLEDSYGSSILFWRSKRSHLLELPESEKEALLGQDVVLSDASEVSRVVVREDANGLDEKGRWTRMRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLLFLGERFTWLKLFSVLLCMSGTIIVSIGDSESDSTASAKNPLLGDILSLVSAALYAVYITLIRKKLPDDDERSGRFSMAQLLGFLGLFNFFIFLPAALILNFTKRERFDALTLEQFGLVVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLSGNKPSFTDYIGAAAVMVGFAGINIPSESKETAIELEPETLVS >A04g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21480668:21481432:-1 gene:A04g508250.1_BraROA transcript:A04g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDCQPSNPPGPEPAGTNTHYPRTQHQPSLDLSTPPPLAISFSQSPLSTSPSTCYWSNL >A09p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14505562:14505867:-1 gene:A09p026590.1_BraROA transcript:A09p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIFFIVALFILSCSSSMIMGINDHEDHCHDYRDCEIWCKQFVPEPKCINHICDCKPPPSTSRRALNIV >A05g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22706748:22707249:1 gene:A05g507850.1_BraROA transcript:A05g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPEPVIDHPRISQHTLSSPALDSGSTPHGHHPIQVSVQSWPIHQHSVLYHGRTDAFHQKPSSSPQNPPNQSCQDSPAIRQDPQDQAIALRYHLSTSLSRSIRVGIRTSRIRTRRTCIHRRGLNGRLA >A05p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11439563:11440605:-1 gene:A05p023660.1_BraROA transcript:A05p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVCRRGGEGAWWIGRALSGSSSGVTYWRSLFAVEWSVDACWSCGQGLFVVLRLRSLEVSASSVIYGRLHQQGVALKRKKTVLGSLVLGSSRRLGPSFESLVLNLLASLFLITVTEDPVSSLSSLQPDLLGGSELLYLLPVFGARFPPQSTVRYKCWGDYQNLATPAMSRGVEESGIRGNEVNPTSLWLTSMAKNGD >A09p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5234667:5236525:1 gene:A09p010300.1_BraROA transcript:A09p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEEHATGWGLKRDVDDDDVESLVLTWKGHSVVFASSCCAKSSNMIGQLAISSCVKFSARASRDYCSSQKLKFASLFFFFSLTSFNELEKVTYFHNSQSGFRNSDLNFGTQMPTGQHHVVRTDTSELKSQLEKKIGRAKTERYLNLLSKFLSLKISKPDFDKLVVATVKKENIGMHNALLRGIIKNACLSKTSNGVEGVEKKKQLNGVKSLCNDLPKSPRKGRTQRRLNKDCNGSKGKSQVTEVVSSSFKQQWSMEDGEEVDQLTRCWRSQPIEAPFGVNLRGVSKRRPHVGTCYSSGELPDSISLKKKVEEGMEGEGLEVSAGFANSLNAGLNVFLKRLIKPCLELAASRSSRRGEVCSSSSSISMEDFKVAMELNPLILGEDWSTKLEKIRLATPDFQTS >A07p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25847963:25854666:1 gene:A07p048380.1_BraROA transcript:A07p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVCSYEIIIAMSFSDNIGSRSLPIWLFIYLIFLVLLGKSQKEVLQVKVGVVLDTNLTLADLSLRAINMSLSEFYNTHNRFKTRIVLDIRNSKGTVVGAAASALYLINKRKVVAIIGPGSSMQAPFLINLGNQSQVPIVSFSATSPLLDSLRSPYFIRATYDDSAQVHAISAIIESFRWREVVPIYVDNEFGEGILPYLVDAFQEINVRIRYRSAISFYSSDDQIKKELYRLMTMPTRVFIVHMLPDLGKRIFSIAQEIGMINKGYAWIVTNGIIDQMSLPGGPSLEDMHGVVGVKTYFSRSKELANLEARWRKRFGGEQLTHFGYWAYDAATALAMSVEQISNVNMSFNTTTNTSRGDNGTDLDDLDVALSGPKLLQVLSTVSFKGVAGRFLLKNRKLEPTTFKIINIEDSGERTVGFWKSKVGLVKRLGVDQIGNNISHGSRRLRPIIWPGDTTLVPKGWEIPTNGKKLRIAVPKKDGFTNFVEVTKDANTNALTVTGFCIDVFDAVMRQLPYAVPYEYVPFETPDGKADGNYDNMVYKVFLGEFDGAVGDTTILANRSNYVDFALPYSETGVVFVVPVKDAREKGNGVFLKPLTKELWCMTAALFIYIGLMVWTFESIADQTFRRQGIIEKISNVLYFSASTLFFAHRKPSTSVYTRALIVIWCFVVLILTQSYTATLTSMLTIQELRPTVKHMDELRKSGAKIGYQVGSFTLEKLKQLGFEESRLKTYTSPEEMHEFFLKTSSNGGIDAAFDEVPYVNLFMTKYCKEYTIIEPRYKADGFGFVFPLGSPLVPDISREILNLTEGENMRAIENKWFSGEKYCLDRNTTDTPIQLDHHSFQSLFMTVFGASLLLLLLMLSFRRYREGRGTMNGGPPNNPEDGPDDHLLLLLIMLASRIYQQRRGNIIVAQPNPPGDGPGGQDNARDNQNRDVNEGGQGANETGGAAEPADTEHHRILEVHEGVNVGDGHHEANRIEKVNLELQRQQPQACLVRRRSNKLFSNMPLGMVAPPARMHLA >A06p010810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4050409:4053106:-1 gene:A06p010810.1_BraROA transcript:A06p010810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERPDVERPESRDLLLPVCGVPPPYSPLLSPTRFFPLSGEPSPRQTIKRGKKNRFIRTWYEQRTATTAIGVIVILGVFFLVNWLMLSRLHEGRVWLRTGFAKNTYQIPKWVSSTQNVEVRRFGKSRRKHNGTYDRMLGLAAQALLENKREPKELWQEPKAQALAWKPCADQRSWSPDDGENGYIMVTANGGINQQRVAVCNIVVVARLLNASLVIPKFMLSDVWTDASQFGDIYQEEHFIKYLSPDIRIVKELPKELQSLDLEAIGSVHFISFKVTDVDVMKEAKPDFYMKHILPILHKNKVIHFVGFSNRLAFDPMPFDLQRLRCRCNFHSLNFVPRIQETGALIVKRLRNSSGSYLAPLDVHLLGPKSASSLILDNKSDPPAQKKASSSISSKYLALHLRFEIDMVAHSLCYFGGGEREQKELDSYRQKHFPSLSTLTKTKKFPSPDALRTEGLCPLTPEEAVLMLVALGFNRETRVFVAGAHIYGGNKRLAALTSLYPNLVTKEKLLSPSELQPFKNFSSQLAALDFIGCAAANAFAMTDSGSQLSSLVSGYRIYYGGGKMPTIRPNKRRLSDILLKNNTIAWNVFEKRVRKAIRQTKHVFARPTGRSVYRYPRCKECMCNDQ >A08p004290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2646615:2647448:1 gene:A08p004290.1_BraROA transcript:A08p004290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGGTKRKAEIKKITDKSSKAVTFTKRRDGLFSKAAQLCLLGDAQIAILATPSSSHSNVSFFSFGHSSVDSVVSAYLSGQRPATPPVPEDSKEMREDIAVCMARKELGLGYWWEDEKLLASKSREEIMEAMESMKVLWKAAERLREDEAIDFDQREGSLEKMEDMSNDQTTLISTEDDQIIAVCDSFFNNNNNNNAASLSATPADEDDHHHQIEAVSENYCSNNMNALLSPPAAAAGGGLNDQNLLDLDLANLDLDTIFEGLADLDAEFVASLLM >A07p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19034112:19036642:1 gene:A07p035140.1_BraROA transcript:A07p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVAKRVSDVDHRLLLVLIIPSVSLLFLLSLSTLTLDPLPSLAPLRNLIHTHTLTATTATSDPRRGKRDELVSSKMAVCLVGGARRFELTGPSIIEKILRVYPNADLFLNSPLDQNSFKLSLLKDSPRLAWVRIFEPKPIVETKSMVRVLTPMHSPNGIKGLLQYFSLVEGCITMIKAYQTENNFTYDWIVRTRVDGYWSDSLDPEYFKPGQYLVPPGSSYGGLNDRFGVGDLNTSTVALSRLSLIPDLDSAGKTNLNSESAFKAQLTTHRVPYVTKPLPFCIMSDRTYEFPPSKYGVPVAAISSRGPLNGAKCRPCTVACKGSCVAGVMGKLRRGWSWTEWKHGTMELCDARGEWKEGWEKVFDGVAGEKFARARKRVGGLDMRRCVEEFDEMRGLALKWEAPASEHICKLGLRTK >A04p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:707661:709214:-1 gene:A04p001520.1_BraROA transcript:A04p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSILDKEGQNKRRITNVAYTVGTSGVVFNSGLDFRTRELHSNCCGRSEINALKSSVRNLGHTK >A10p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3549830:3550603:1 gene:A10p015570.1_BraROA transcript:A10p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQQESSMALVPVDSFSYSWLVNFPSLEASIDDYHQTYEDSSSSSFIEMDPRLPPSRRFFINKAHESSFKFDNFVSFSYQDHSLVHADELIRDGYVVPYISKATSAATEEEYKPLDTKKEKKIETRDIKNKSPYRKLKVSKWVLFKYLDFLTPLCKRLRRCRSARSPGGIGSDTRIRVRTLSRSRVYSDETTSSPRISVADDYYWRRSCDSESSIYEAVLHCKKSFEN >A05p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9656301:9659844:1 gene:A05p020420.1_BraROA transcript:A05p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGSLATVLGLILVLTLFHNPITVAGQSIPAVALFTFGDSNFDAGNKQSLTKANVPQGFWPYGKSRDDPNGKFSDGFIAPDFVAKFMGIPIEIPAALKPNVNVSRGASFAVADATLLGAPVESLNLNQQVRKFNQMKASNWNDDFVKKSLFMIYIGANDYLNFTKNNPIADASAQQAFVTSVTNKLKNDISLLYASGASKFVIQTLAPLGCLPIVRQDYNTGMDQCHETLNNLAKQHNDKIGPMLNEMARTAPGFQFTVFDFYNVILRRTQRSLNYRFLVTNSSCCGVGTHNAYGCGFPNVHSKLCEYQRSYLFFDGRHNTEKAQESFGHLLFGADPNVIQPMNVRELVTYPVDEPMREFWLPTTSSVSDSTSSSSHGYEFY >A04g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13222919:13223466:1 gene:A04g506240.1_BraROA transcript:A04g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGLPEVDYFRPNSAGSCQRVESTGFILNVTELDWIQSLPLMFFLILFSHYSHHFSFNESHFAFDDASEVSVKKNEKNSQTERIDL >A06p047620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25273630:25274455:-1 gene:A06p047620.1_BraROA transcript:A06p047620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMG1 [Source:Projected from Arabidopsis thaliana (AT5G47960) UniProtKB/TrEMBL;Acc:A0A178UQI5] MSNLHTNFNQKIDYVFKVVLIGDSAVGKSQLLARFSRNEFSIESKATIGVEFQTRTLTIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLVGNKTDLGTLRAVPTDDAKEFAQRENLFFMETSALDSINVEPSFLTVLTEIYRIVSKKNLVANEEGESGGDSSLLQGTKIVVPGEEAEAKGKRCCGTS >A03g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3769627:3769982:-1 gene:A03g501280.1_BraROA transcript:A03g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERKTIQFLLLSLVHILLCVSLQVGVTEARFRHLGVVKWTKKIGVSPSPPCGAHQRRPPYKRETEKSCRLFPPRAP >A04p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10063085:10064306:1 gene:A04p016350.1_BraROA transcript:A04p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine-containing phosphotransmitter 3 [Source:Projected from Arabidopsis thaliana (AT5G39340) TAIR;Acc:AT5G39340] MDTLVSQLQRQFRDYTISLYHQGFLDDQFTELKKLQDECSPDFVAEVVSLFFEDCEKLIGNMARALDQTGNVDFSLVGSSVHQLKGSSSSVGAKRVKGLCVTFKECCDSQNFEGCVRCLQQVDIEYKSLKAKLQDLFSLEQQIVQAGGRIPQVDI >A08p012700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11529165:11531453:-1 gene:A08p012700.1_BraROA transcript:A08p012700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQVREKTIPVFTVLKNDAILKNIFVVNSRDFSSPERNGNAEDEVEQTLIVGRHPDCDILLTHPSISKYHLQIRSLPSRQKLFVTDLSSVDGTWVRDEKVEADACVEVEEGDVIRIGASTRLYRLHWIPLSHAYDLDNPFVSSTLMEQDQEDNRIFEAESQADTASGDDGDGHLDVTSQVLSEDEEDTYIDTRARDSSVDTQKLQSDEDSQSLAQCVAPEKPSKQHSLEDDEWYVRGDGGHVMSEMESSEDVQVSPEAKSKIPSKEQRPDVHCMSSKSKVNHEPVAPKKKAENSSSQSQSYIDASSTASARNNVSSSNGKNKMKWTIVLDTSSLLHKESRKPLHLLQGLKGTHLVVPRIVLRELNETKRTWNLLLRRRAEIASSALDWIEECKVNTKWWIQLQSLSEETKATAAPTPPVTPQSNGSTSDDQVLECALLYRNLNIYENLVLLSNDVTLKIKAMAEHVICETPHEFYESLKNPLSERFMWPESLPRGRTWSHFDHVVVREKYNNRTCFPYRKKPTLNGGREESAAAAAKGLKLILLLNSHYGHIH >A02p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16924823:16925787:-1 gene:A02p032270.1_BraROA transcript:A02p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKKWASTISVVASSLFAFIIVFQIPLFRVACRNKTCESPLEVISSQLVGSKLVPSPLVKTLLYPGAFAMSLLRGSPLPSYHNLFHFYHFDHLNTPSSDDDIRHLEVFAGCCLCLLGALLSIFKPRRLTFIGTLLIYWGLLRDILLFNSSARVFPTLFLASLSAFLSIRSDVRKIIQCSSSTSKPLSKSL >A08p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2279049:2285946:1 gene:A08p003940.1_BraROA transcript:A08p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGNAVYLLISSSTKPPSRVYSSNLRRHFLSHFRFSSSSVAVMPGSEPSEIQWPARRVRDTYFDFFKGKGHKFWPSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDEKAGLQPDNEARDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCLEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKATGARPYSGKVGLEDVDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGKEILKAEEGFFNGLVSSVIRVMGDTFTELKEHEKKITEIIKEEEASFCKTLAKGIEKFRKAGQAVQGNTLSGEDAFVLWDTFGFPLDLTQLMAEERGLLVDVDGFNKAMEEARERSRSAQNKQAGGAIVMDADATSTLHKTGVLATDDSSKYIWFQDHESEVKAIYTGSAFLESSAAGDNVGIVLASTSFYAEQGGQIFDTGLIEGSFGTFNVCNVQIFGGFVLHIGYLSKETGEVSVGDKVTCKVDYERRKLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVDPEDLRKIESIVNKQIKDELDVFSKEAVLSEAKRIKGLRAVFGEVYPDPVRVVSIGRQVEDLLADPENDEWSSLSSEFCGGTHITNTREAKAFALLSEEGIAKGIRRVTAVTTECAFDALNEASSLEKEVEDASKAEGNALEKKAAALKSRVDSAIIPAAKKADIRAKIALLQNEVRKAQKKIAEQNLKKSVKVATEAAESAASDGKTFCIIQLDVGLDAAAVREAVSKGMSIMVFSTDETTNKAVVCAGVPDKSDKFKQLDVTEWLTTALGPLKGRCGKGKSGLASGQGTDASQVKAALDLAASFASMKLN >A08p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1890600:1891703:-1 gene:A08p003390.1_BraROA transcript:A08p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRSNTGLFFSQPQPIIDDDGHTDDEFDVDRLEKRIWRQGMRLQRLKERSKNKERDDEQLISKCMFKMMEVCNAQGFVYGIIPQNGKPIISASHNLQEWWKDKVRFDLNGPIAIAKHQESNNMVCESNEEEKDIWFNVIDQEEASKHEKMLNTSNFEINVGFQDMNSRENNQPICQNRNGLFASSKFHVMPMHDRNINGNQSYLVEGNQSVNLQPEAQNHQEHWHFGRSEGNIVERSSVEDLMKMSSNNNKKTV >A06p039180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21022240:21024041:1 gene:A06p039180.1_BraROA transcript:A06p039180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVEIKRIENKSSRQVTFCKRRNGLIEKARQLSVLCGSSVAVLIVSSTGKVYSSSSGDIMAKILKHYEVQHADKLKTLDLTEKTQNYVSLNELLETVQRKIEAANVDNISVQSLIFLEEQFKTALSLTRARKTELMMQLVKSLQEKEKLLRDENTVLASQLAEMGKEKEFLETEDERAMLLEYSSGNNPRETLPLLK >A08p023380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15408663:15410754:1 gene:A08p023380.1_BraROA transcript:A08p023380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRAERFVSSSSSFLHSIIITKGVRSLDCAMSSFNQNGDAKNADTSDDIDFSKLLEKPRPLNMERLRSLEERSLTELSTSPPHLRNGDNASASRLQDRADCVVSPSVGFNTPRSLAGFESHPMVGEAWDALRRSLVYFRGQPVGTIAAVDNSEEKLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVFHDPVRNYETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLADMPECQKGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMSLRCALLLLKHEGESKEMVEQIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWVFDFMPPQGGFFIGNVSPARMDFRWFALGNCIAILSSLATPEQSTAIMDLIEARWEELVGEMPLKVCYPAIESHEWKIVTGCDPKNTRWSYHNGGSWPVLLWVLTAACIKTGRPQIARRAIEVAEARLHKDNWPEYYDGTVGRYVGKQARKCQTWSIAGYLVAKMMLEDPSHVGMVALEEDKQMKPVMRRSNSWTC >A04p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11217124:11218986:-1 gene:A04p018790.1_BraROA transcript:A04p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPQGVTPNRGVPHLRGSFPWVRLGSGPLHPGGLYLGWRQSPTPSTAFDDRMGSSPPPGVEPVSMTIGPQGADMTVPYRSECQDNVDKGFETNTPIS >A09g513020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39608032:39609178:1 gene:A09g513020.1_BraROA transcript:A09g513020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYPRQPVKEKRSILRLTGRADISIWSSTDHGSYSFKSGYWLASNNSNAHMSSVSPLDQEIIHLKRKIWKLKTIPKIRLLQRRAVSGALAVADIIKLRGLVVHVECKLCNKKLESINHVLFESIPAQDILQTVNFPSATSPARNLGEKMKLALKIMSDTTVPENIRRAVPTKSTEPRVDIVIGVDNQEAIKALSNASAWALYRTLLDIIAGLGSNFHVATFKQESLKSNFIARKIAQSVLRDGEFLSYLALEGYACNAGLRVVL >A06p051420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27040142:27042941:-1 gene:A06p051420.1_BraROA transcript:A06p051420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVAALHRHKYSGVDHSYLAKYVLQPFWNRFVKIFPLWMPPNMITLTGFMFLIISALLGYVYSPQLDSPPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAYGSTAMCGRDTFWFWIISAIPFIGSTWETYFTNILTLPVVNGPTEGLALIYCGHFFTAIVGAEWWAQQFGESIPLFSWVPFLSEITTSRVVLITMVAFAVIPTLAFSVSNVYKVIQPRKGSMFVALSMLFPFVGLLAGVLIWDYLSPTDLIRNYPHLVVLGTGLAFGFIVGRIILAHICDEPKGLKTNMCMSLLYLPFALANALTARLNNGYLLWLLLWMSFGCFLVIVYSQWHYTCILQPQSFMKSLLHLESTASGLHVKKLEGSSREDNANPNTNLNIIFFTEIKRLIDIFLDE >A08g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1280358:1282911:-1 gene:A08g500510.1_BraROA transcript:A08g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGKKKTTKKSGKSTTAPVAEEHVEELSDGNNSDDMCDPPSEGMKGLKRKRLFTGGGVSSRTRARKAVSNRNEPVREESNPVRGTTVVSLSLDTESEGMSAVSSKQKKIWWKELFESDVAARKFTKTKDKEKVTIVEGSSSNSSLESMLKGVEERIVKAMEEGFSEINLTVETKLEAMNLRMGKLEKNQRILKKKTKKIEDKLTSIESKGNEDEEYRQWNDFDYGRDHGKDREMAEAEKAETGKKISEKGEEDEENSGKDEEDEKNSEKGKEEKDQEPEKDKENSDSVEKGEEYVEESDEENSLLRLHERVRVQAEEFWRTVDDESEAEKETEKEAEKEAEEEGEKEGEEEAEKEVQEEKESEEEGEKEAEKEAEKEVQEEKEAEKENNYMWFFSWATSKGCSYCEE >A09g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20383576:20385235:-1 gene:A09g506940.1_BraROA transcript:A09g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKELALPRSSSVCEEELQALVPETIPEVGTSEDDENETIALRRRRREGRVIEEVSRGALTGDLRSTEVPREIQTSEGQRDRLRNESPAHVTEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYNSSLRRAREQIREGEEAKKREEALRVSTREKADAIAREKALRKAFDETRTSDAAELQMCKESMNNLELVRTDQDIDPAKQASAGAVVLKDRAVPTIVLTDSPAKASKNASSFASSSEDPEKGDDVPAEVPTTDVTVPIPTKFGRVSGPGEGDDGGNKDPPVVD >A01p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3045009:3048179:1 gene:A01p005880.1_BraROA transcript:A01p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METENKEAVPTEAKDMKNEDVDICRICQSPEEPNNPLRHPCACRGSLKYVHTDCIFLWIHRRRRKHCEICKRSYSIVPVYSDNAPEKLPCQELLMSLLLRAFRFMTLILPWLLAITFHSHCMLFLESKRVFELSCFFLGLLYTVEIVTEITFIVVLRVVYEELVRTEHELLRRVHLIANGLRHKGVTRVLLVLWKYVRVLCDWWHDQLLQLPFFHDMFMRGPLALAFVPRNTQLDELGSIRRFIFLLDDNTFAVLAINICWSFLDYMLPYLIGQAVFVLLRCFPPHGWVLENISEITVGHIVLLSVWLAYLKSVFTLIRNPTRARWFSLSVKDALILCIKIILLPLMLGCWIDFCTFPLTGATVSQRLEVVSDYPLMAAKNWCIGIGYLLVALSCMKLIQEIVQKRAFWYLLDVTGPNYKITKLHLRSLLFAFAFHGAVVVIVFHLPIKTITLINRSFFPLKFGVYKDEFMLGLLAAYICCPRWLANSIKQSIKPIVHKWITVVSSCLKLSGFLVRRDGMNHNVRLAFGIAEGSMVSFYGSQRDTTCEDDAHEQRDERFMLRIGLLLVLAALSMFLVSTTFMALPVLVGRAFFQSISFYMLSFGLEHDDICAFWIGLCIMRKIYKITCFVCDHIVTRRVDLLLKHVMKWIQNVLLFSIWIFVVPGLLGLLINLMIIIPSQVPLDESPVYNFLHHWLIGVYVLHICIALTMFTPVTCFATVAWREKLQRIRSVGINHLPFTWLIRDVIGSAINTLLTALCVPYVLVNSLLPVLGFSREANLSVQRFVWPALLAFMVIWFSAKLVRNLIIYLHQVEFDNRYKVGERLVDFTEDL >A07g503830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7572851:7573129:1 gene:A07g503830.1_BraROA transcript:A07g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKESWRLKVNTSAGGTLFTIKEGLKFDEMVEMVHEDFGINRLGNELELSYALPESMLRDMPKDTPPVFVNNDRQLVSASLHLGEEWKPKEP >A08p016210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10529012:10535121:-1 gene:A08p016210.1_BraROA transcript:A08p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQKSSSIPLMVKKKLTEMVKPPKLIPSHTLSLSTLDNAPYNEVMYKMCYVFKPRNVGYDDNQPDYLVREALSVLLGYYYPLSGTLKRRDTDRKLQLSCGSDGGGVAFTVATANVELSSLKYLENIDSDMALKLLPEVQVDKDGYPPFALQVTNFKCGGFILGVALPHSMCDGFGEGHIMCALTELAGGKNMPTVTPVWERERLVGRPKDNDQVPFVPEGDTATSPYLPTDDWVTEKISIRAESIRRLKEATLKEYDFSNEALTTFEVIGAYLWKSRVKALSLDRDGVTSLGLAVGIRNAVNPPLPNGYYGNAYIDMYVSLTVKEVEEFTISDIVKLLKQAKRKAHDKDYLQEELANTESIISMNLTIKDRFCLTDWRNIGIFGSMDFGWGEPVNIVPVVPPEIARILGIVMPASRLEPSMVGGVQVMITLPRAAMVKFKEEMNSVN >A10p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11543591:11548476:1 gene:A10p007320.1_BraROA transcript:A10p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDDELRRACEAAIEGTKQSIVMSIRVAKSRGVWGKSGKLGRQMAKPRVLALSVKSKGQRKKAFLRVMKYSSGGVLEPAKMYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNTDDRNRILVCILNICKDVLGKLPKVVGIDIVEMALWAKDNTPVVTTQRSTEDGEPVAEAVTESELKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILESEPLVDEVLNGLEAATNIVDDMDEWLGIFNVKLRHMREDIESYSASLTGGSFDEADMLQNIEACEWLAKALKGLEVPNLDPIYANMRSVKEKRAELKILKATFVTRASEFLRNYFASLVDFMVSDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKVSRNPTVWLEGSTGSSQNANTDTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALAPPGGAGSDKRPNNDDGNDDDDLGIMDIDESDKKTGKTSPDLTALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLEARVSMQFSRISAMCTLLSVQTG >A08p039430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22683872:22687571:1 gene:A08p039430.1_BraROA transcript:A08p039430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRVKGKDSNAITAVAIDKDKNSQHALKWALDHIVGDSPNCILLHVQTKLRIGEGEYTEAPHDNQEEAHKFFLPFRGFCAKKGIRATELLLHDIDIANAIVDYINKNSISNIVIGASARNTFLKKFKSVDVPTTLLKTTPDTCSVYIVSKDKLLTSRQASRPQTPQHNPQPSKQQSLLSILSDPGPTSFTSTDSGRSSSALPPTRHYKPCLNMSSPGELSNELSSNRNSVESNASFYSILGRSTYGGSSHSSTSLYDITDGDEEGLSGGNITEQENQNLELEAPHHQAAHESEKLEETKVAREMLRELSEMDKQKTQSAIHANEAAHRLAEIEKQKRRLVEMQAKFNEQNMSNTVSYRRYSIKDVEDATDGFSDALKIGEGGYGPVFKAVLENTSVAIKILKSDVSQGLKQFQQEVEVLSCMRHPNMVILLGACPEYGCLVYEYMENGTLEDRLFCKDDTLPLSWRARFRIAAEIATGLLFLHQAKPEPLVHRDLKPANILLDGHMISKISDVGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQILTAMPAMGLSHRVEQGIEKNRLREVLDPRISDWPEEETLVLAQLALQCCELRKKDRPDLATVLLPALSKLREFATEDHEAHSSGRTSSVSRAHNSVPRSPISSSSQVDRGCILTPTIGTSTLDQSEATLAISDCAPPKKFIVDLS >A03p008740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3505806:3506162:-1 gene:A03p008740.1_BraROA transcript:A03p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRRGDPRIYIVTLLFLSCILSGGVLLGLYLHQHDPNPLFLQAGMFFVCVPWLFWLLAYVYSCVLKPCAVSVSKKSVTSFDPEKGEEKNNKNVSENAMPASDPVAEAEPSEKANSH >A08p042950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24013813:24016798:-1 gene:A08p042950.1_BraROA transcript:A08p042950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSRNLGFFSFLVFSLFSIVSSDDLQTLLNIKSSLLTSNPGALDSWKLNSNHCSFTGVTCDSTNSVTEINLSHQTLSGTFPFHSLSDLKNLQKLSLGFNSLSGTIPTDMNNCTNLTYLDLGNNLFSGSFPDFSSLSQLQYLYLNNSAFSGVFPWESLRNATKLVVLSLGDNPFNTTPFPEEVVTLRSLSWLYLSNCSITGNIPPAIGDLTELRNLEISDSTLTGEIPPEIVKLTKLRQLEVYNNSLTGKLPLGFGRLTNLTLLDASTNYLEGDLSELRTLINLVSLQLFENRFSGEIPVEFGEFKDLVNLSLYTNNLTGSLPPKLGSLSDFDFIDASENRLTGPIPPDMCKRGTMKALLLLQNNLSGSIPESYGNCLTLESFRVNHNSLEGTVPAGLWGLPKVEIIDLANNNFEGPITADIKNAKTLGALYLGFNKFSDELPEEIGEAEALTKLELNDNRFSGRIPSSIGKLKGLSSLKMQSNGFSGDIPDSIGSCSMLSELDMAQNELSGEIPHTLGSLPTLNALNLSDNKLSGKIPESLSSLKLSLLDLSNNGLSGRVPLSLSSYTGSFDGNPGLCSTTIKSFNRCTSSSRSHRDTHVFVLCIVFGLLILIASLVLFLYLKKTEKKEKQTLRRESWSIKSFRRMSFTEDDIIGSIKEENLIGRGGSGDVYRVVLGDGKELAVKYIRRSSTDTFTQKNFSSTMPILKENEGRSKEFERELVMVLHYIINIKALLVAEYGYSSKVNEKCDVYSFGVVLIELVTGRKPIEAEFGESKDIVDWVSNNLNSKESVMEVVDKNIGEMYREDAVKMLRVAILCTARQPGRRPTMRSVVQMIEDAEPCRLMGIVISKEVM >A04p012200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6045590:6047757:1 gene:A04p012200.1_BraROA transcript:A04p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQNSGFLQTNLMYRLQQFMSLVMFRPCKLEEKTKKRVQFRLVDTEGNNIACCLWENYAEQLEPFTEDKDQSIVCLIRFAKIKDFRGEVQITNAFDATRLYLNPMIPELTDLTERLSDDHLSVALVDKHDGKKDGKRIKDDWNDAEIKSTAEVNEANQSIVGVKAEELWDGSYEEIEDPEILPEHILSLVGKFFCFGLSISSDNVTNGAVTFVVVEVCSGAKVLSIENGSYSFSGMATTSSTMSSGSTYHLLPRSCAPRCPDEVLT >A03p040110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16714798:16716602:-1 gene:A03p040110.1_BraROA transcript:A03p040110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL6 [Source:Projected from Arabidopsis thaliana (AT3G13040) UniProtKB/Swiss-Prot;Acc:Q949U2] MNRHRLVSTAQDECNKGLGQACSSSLSPVHNFLNLQPENRNSPFIRSHSTDSPWPKNSPQGTFSRSSTFCTNLYISSSSTSESQKHLGNTLPFLPDPSTNSQPPSAVESARSPSIFSEDMSNPFDGDNTLVKDFFNLSGDACSDRAFHDLDCSNDSYCLSDQMELQFLSDELELAITDRSETPRLDEIYETPLASSSNPVTGLSQTQRSLSGGMSIEVVSSHPSPGSAAASNHKPRMRWTPELHESFLKSVDKLEGPEKATPKAVLKLMNVDGLTIYHVKSHLQKYRLAKHIPEKKEEKRNVNTEEKKLALSNNEAAERKKGAMQLTEALRMQMEVQKQLHEQLEVQRVLQLRIEEHAKYLEKMLEKQRKTGMLMSSSSSQTSDCQNMSKTEVSSLSQPKNIASETEDDKCESPQKRRKVENNTESEDPPER >A10p031010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18636335:18639287:-1 gene:A10p031010.1_BraROA transcript:A10p031010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFIFFGFGFVFLSILIFHKSVSKQNETTDHHSSLSGSMGWPFIGETISFFKPHRSDSIGTFLQQHVSRYGKVFKSNICGGKAIVSCEQELNMFILQNEGKLFTSDYPKAMHDILGEYSLLLVTGEVHRKLKNVIISFINLTKSKPEFLLCAENLSISMLASWTNCREIEFHNEAKMFTLSVMVNQLLSIKPEDPARRYVLQDFLSYMKGFISLPVPLPGTAYTDAIKARKRLSARVMEMIKSRENKEEEMNKALREADFLDVIMSNEDLNYKEKVSIVLDILLGGFETSATLLSLVVYFLAKSPSLLQKLKEEHEAIRAKKGDGELLNWEDYQKMEFTQCVMSEALRCGNIVKTVHRKATHDIKFKEYVIPKGWKVFPIFTAVHLDPSLHENPFEFNPMRWTDKAKMNKKTTAFGGGVRVCPGGELGKLQIAFFLHHLVLSYRWQTKSDEMPIAHPYVEFKRGMLLEIDPTS >A10p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22368227:22370026:-1 gene:A10p040670.1_BraROA transcript:A10p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIMTLMVVAALVATTVNARIPGVYTGGPWVNAHATFYGEADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCVNDPGWVPCRKKGGIRFTINGSKYFNLVLVTNVAGAGNVQMVSVKGSNTAWLGLSRNWGQNWQSNAILVGQSLSFRVKTSDGRSSTSNNIVPSNWQFVKAEAASKRKGWDKDKCSPQLSFML >A09g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11326176:11327841:-1 gene:A09g503630.1_BraROA transcript:A09g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKLENQQSPTNYQFHRKEKWVRLEGMFSLPSLPERVVFYVEGPSPGINLLIQSVTIHLESEPELERREGVIVEDENLIPQSGKAFAAATERTQNWNGIQQEITGKIERKRVHCKVSLLLIVQTKNHCKMLNVQATDKEWIQCKRKFLLNSSASRVVIYIQGPHRGTDILLNSLTVKHAEKIPLSPRPPLENPAFGVNILTNRQLSDGTTNGWFPLGNCTLSVVKGSPRVLPPMARDSLGPHEPLIWVKLGSGISSPQNVNVALGIDSQWFTELDVSSINEHTRGDDLEVMMWEAFGHPAVEGIILWGFWELFMSRDNSHFVHK >A01p046900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26397915:26399088:-1 gene:A01p046900.1_BraROA transcript:A01p046900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYSDSRPMFVQSPYGGGWNQTQTNDSMNNEQSESESQSQPSLKRPRLHDDTVFNPTSNNSSWMAPPSMNKGTANIFYKTRMCAKFKAGTCMNGDPCNFAHGMEDLRQPPSNWQEIVGPPPPPAVQDRGRERERERDRERPSSSSVSASNWEDDQKIILRMKLCRKFCFGEECPYGDRCNFVHQDLSKFRDESGKLRESFLAISVGTDPASVENGGGTGSHQVEVNRRGGIPVPASLNNGVVKTVYWKTRICMKFENGQCPFGDNCHFAHGQAELLHYSGEAVKAVASLSRQTVVPGNEAFAMKPSAAQVVTSDASGLNDEGRRKKCLLKWSDSKKINRIYGDWIDDLPVGQKSTKPVES >A07p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3445449:3446193:-1 gene:A07p003040.1_BraROA transcript:A07p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFHSIIFSLGSWIWRKLLKLRDEVYGFLRIDIRDGQTCNFWFNNWTEKGRLIDITGATGTTYLGVLRHARVCDAVNLEGWTIRGQRCRWFQELYRNIFAITPPKPENCADIVKRDKVAWSRVVWLPQGVPRYAFITWLAIRNRLSTWDRMRQCGIVQGCVFCGERDETRHHLYFACPYSYTGWEVLARRLVGNGINPDW >A08p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3158952:3159758:1 gene:A08p005490.1_BraROA transcript:A08p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLNWMYETGVYKIFARNSSESGSSSAAIHNLQVWPWSDLHQRSGFRSAAIQNLQCQMKLQARCYQRPQKRFATPGTAAPPPPAYVHVDSSCWFHNGKVLKGETTLVEIKVMEEDVLVVMLSKFLVGSDILLVQIFGILFKT >A06g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6801467:6801846:-1 gene:A06g501860.1_BraROA transcript:A06g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEDGWVFNVPVDAKGFGLHDYHTIVKEPMDLGTVKAKLGEGLYESPLDFAEDVHSMAKFPLSMFEEKWVPIELQYHNLHREIKPASVVEPLPAPTPSSVSSACGA >A09g518510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56428356:56430829:1 gene:A09g518510.1_BraROA transcript:A09g518510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLSHPHHLQVITRLPLSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAESIHAIHKTDHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQETTFRRLYRKFRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTS >A01p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16918806:16919766:1 gene:A01p035690.1_BraROA transcript:A01p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRILHISKEDIAEIIAMNGSRNLVDTQTRAEDPPSIDYAAAPSINGHFGSRQSTLHQNKKRTPRWESTEATIVTYRLDGVYYPLRDSVDSLTTRLDAVQQEMDMIQRQLDSQAGLSPSINRRTRPSIDGDYAALRNKLVTEKSLHDKLDEITLSQDFLKENVYQKLKDILESTYARLGMQLRSIGNFQHRTHASEIARKPLKNQWTREMRP >A01g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5886848:5887142:1 gene:A01g501610.1_BraROA transcript:A01g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYMLNNKCR >A06g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2006033:2007390:1 gene:A06g500460.1_BraROA transcript:A06g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMSTPRNQNGARRGRKSNNEARTTIDGETTREGQYLWKDKNCQVMLELVIAELRAGDYRSRMPDAAAKKRIENKYFELVGEKICWDPEITNKIGYLRKLWSINGQLMKRTGVAVDQSTGQIDMMQTWWADRIAEYGNKGKFVSVLQKKPLPFKDLLDQIFGEHDVEQDERYSPHMLGQHIQQIQPSLPSNDDTVFDQMQEDQSVEQIADATNDQGSQPPTRRTSARRPPRRRSSFETQVESGFQRVIDTRQDILEELRSRKVQKLSYGDATAVLEKLPIEQLGVFWWAANKLLKNEVDVREAFIKMESQDIKIRYLESLVGIDRYGNPCTHVDLLMTSQNLFQNVGMTGTSSMGTETVRTDFMGLLGMHSSELEESTKNAARVVHIHDDGSDAEKLV >A01p010910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5323645:5323986:-1 gene:A01p010910.1_BraROA transcript:A01p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDAGGSTTVAASTSEDSSLDPIARVRKLLFRQMLVGIKDGRFFLGSFHCIDKQGNIILQDTVEYRSIRRSSPSPTEQRCLGMILIPASCRTSCHVDCSIEEQLSLIQLKE >A06p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19383749:19385650:1 gene:A06p035750.1_BraROA transcript:A06p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITNLPHAGFDAKVLNNQPSNIPSEYVWSDEDKPSTDIKELQIPILDISSFLSGQDNHVAISQASRLASEAAKLHGFFLVTNHGVQEGILARAYKCMGTFFYLPASEKQKAKRKWGEISGYADSFAGRFYSELPWKETLTFRFSAEEKLRSGTETVKDYVSKTMGDGNEEFGTVFQEYSEAMNTLSLNIIEFLGMSLGIERRYMREFYRDNDSILRLNYYPPCKQPNHTLGTGPHTDPTSLTILYQDHVGGLQVYVENQWRSIAPKPQSFVVNIGDTFVALTNGIYRSCLHRAVVNRDRERMTLAFFLCPQMDKVVKPPKELLEVSGQRLYPNFTWSMFLEFTQKNYRSDKNTLQKFSDWLRSKEVIDNKLAGQS >A05p052950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30466649:30470569:1 gene:A05p052950.1_BraROA transcript:A05p052950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEDRRSMEEGLIQQRHQNDIDDPRVTTCVILSTFVAVCSSFSFGCAGGYTSGAESQIMKELDLSIAEFSAFGSFLNLGAALGAFCSGQLAITLGRRRTLWACDLLCIFGWLSIAFAKDVLWLDAGRISLGIGVGLISYVVPVYIAEITPKHVRGAFTSSNQLFQNCGLSVIYFFGTVINWRLLAIIGALPCVIQAIGIYFIPESPRWLAKIGTGKEVEHSLQQLRGRDADVSGESAEIQVMTKRLEEDSKSSFYDMFQKKYRRTLVIGIGLMLIQQLSGAAGVTYYSNTIFRKAGFSETVGSMIFGVFVIPKALLAVIFVDKWGRRPLLLVSAAGMSIGSLLIGVCFTLQGMDILPELTAVVIFICILVYFGCFAIGVGGLPWVIMSEIFPINIKVSAGTIVALTSWTTGWFVSYAFNFMFEWSAQGTFYIFGVVGGASLVFIWFLVPETKGQSLEELQASLTGTT >A04p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8833774:8836234:-1 gene:A04p007500.1_BraROA transcript:A04p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVFSTLSRVCYFTSSAEALKLASHDPRPRYLHPSRQNALCCLVTSVGKTEKRGLQRRVRDVCAHTDKYHYYVYVDGTEVKQQYQNDTTSASRPPKPVDEDFYKIPPELIYSSRRPGTAVKHQARCLLPHSSKSTCSHWNPWPRKNPLRCLAKVALSSSNQSLAVAYRSAGQIEEQP >A03p059030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25653953:25656042:1 gene:A03p059030.1_BraROA transcript:A03p059030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL24 [Source:Projected from Arabidopsis thaliana (AT4G23790) UniProtKB/TrEMBL;Acc:A0A178UXI7] MKLKVFSVSKIHKNVFLIKLFSAILITGLAFSLFIFHSSDFSPVFASVTGRFEARFLPPKVIVPENEDLIPQDIEIEKCNLFAGKWIPDSSGPSYTNSSCGNLIDGHQNCITNGRPDLDFLYWKWKPHDCLLPRFDPRKFLQLMRNKSWAFIGDSIVRNHVESLLCMLYTVEEPVEVYHDKEYKSKRWHFPIHNLTISNIWSPFLVQAAIFEDSNGVSSAAVQLHLDKLDETWTSLMPTLDYAIISTGKWYLKAAVYHENAKPVGCHICPEKSRLVELGFDHAYNASLRNVMDFIADETNRKGTVFFRTSTPDHFQNGEWHNGGTCRQTEPVSDEEAEMKNVHKILRGLEIGQFERAVREKMGEDGGGGNVKLLDFTGMLLTRPDGHPGAYRAFRPFDKDKNAKVQNDCLHWCLPGPIDYLNDVILETIVNG >A02g511460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30491355:30492920:-1 gene:A02g511460.1_BraROA transcript:A02g511460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAACSRFGTRLVKNVVESSPLLITEGKWEFLQLDDVTDEPSVNSSFAALKNWIRNIKQPASDNVEKILLGNKPRSNARPESLVLSFRCTEKYVTQEKVMEFLLSRSEELKQRGMNMSMLSELMELEPVKSSSQLNHMNIMDTNFSMKLSGHVQFSSNSNVALHDLLLIASEFDNEVLTQVVVLAPSKRLKPSQNKQNIIEKLRRETSTRGTFCLC >A05p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28340342:28342767:-1 gene:A05p048370.1_BraROA transcript:A05p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSNVLDKEGKKEPSGRFRGLDKTSSSRLAVVKLYFSNSQLLPPCPMANPTENLDPNPPTRDPEDEAEELYGDKRELEEEEEDEEDTVSNAYRTKTESLFRRMRSAPVPVRVHDVIVKGNSKTKDHIIEAEVEAVIREANTLQELLEASRVANSNLRALDIFDSVNITLDSGPPELPGTTNVVIEVVESKNPLTGQIGAYTRAEAKSSSVEASLKYKNFFGYGDIWDGSMVYGLDSSAEVGLGMYLPRFKALPTPFSSRLYLSTQDWLKFSSYKERSLGLSLGLLSSKYHELVYTVAWRSLIDPSRLASESIRRQLGHSLLSALKYTFKYDQRDSYLRPTSGYAFSSTSQIGGLAPDSRSLRFFKQEIDLRCAVPLGFYRAALNFGVSGGVTFPWGSGYKNRASSVPERFFLGGNSSPVCSLGGPSALWGFSTRGLGPNEARRRGDVERDFVGGDAAVTAFANLSFDLPVRWLRERGVHGHVFACAGNTAKLTENEFRNFSAPKFMETFRTSVGAGIVLPVSLFRMEINYCHIVKKQEHDRAKSGFFLTFSA >A03p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4255850:4261528:-1 gene:A03p010610.1_BraROA transcript:A03p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSSMENGGGSSSSSGSSSLHGCMQEFKLFQTHSNFYMIGWNGSGVYRVLKIDRLDASELNVSEDSTAYTKKECYELLKRIHEGNKATGGLKRVAVCYGIIGFIKFLGPYYMLVITERREIGEICGHRVYEVSKSEMISLQHSSVLGNIANSRDENRYKRLLCMVDLTKDFFFSYSYNIMRSFQKNVCDHESGGTLYKKMFVWNEFLTRGIRHHLRNTVWTVALVYGFFKQTTLSEAGRNFKLTLIARRSRHNAGTRYLKRGINESGNVANDVETEQIVSEDVPEDNPMQISSVVQNRGSIPLFWSQETSRMILKPDIVLSKRDLNYEATRLHFENLVERYGIPIIILNLIKTKERRPRESILRAEFANAIDFINKDLPEENRIRFLHWDLHKHFQSKTANVLALLGKVAACALMLTGFFYYQVTPAMKLDGGMSLSSSDADMSTHNSSDDDSGEYDSLEKNLPPSKNVANGDYDKPGMLQRGVLRTNCIDCLDRTNVAQYAYGWAALGQQLHALGIRDAPTIELDDRLSSALMGLYERMGDTLAYQYGGSAAHNKVFSERRGQWRAATQSQEFLRTLQRYYNNAYMDADKQDAINIFLGTFQPEKGRQAIWELRSDSRSNGQNGEISIEEDERFLVKRCLSDGNILHESRTPMSAMSSKHESISHKGFVSSRQVNHVTSESSPDMPAAGDVSLSRCTPSMPSTHFFGDAKKIQHNGSSSYYLSEQEDMSSVSNFVDVEWLSSSENLCENDQLYRPSALTSYSTAEVSSSENIISEVKQSTPAISENGSSSRKGKEPMEGEPSTKIHDDFTDSFKQWVAYGEALCH >A03p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3113125:3114481:1 gene:A03p007540.1_BraROA transcript:A03p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKFHETKLIRKTNFLEWKREGGHRENLITRRYHMGGRDDYKKYSGLCRMVQKLTNVMKQMDPTDPFRVQMTDLLLEKLYNMGVIPTRKSLALTDKLSVSSFCRRRLSTVLVHLKYAEHLTEAVTYIEQGHIRVGPDTITDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDMLA >SC140g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:47248:52204:-1 gene:SC140g500030.1_BraROA transcript:SC140g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGYLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHSIRSIQPATNTPRPDPEQASAYPLQAHPHLKSKPLKICQNRLHLFKKKQTKAMAFSHGLSTFLLSIKGVPHLLVKMKPLKKRAFPLLMELATWKHEERSGLYSLRYKKVANGHHLSLEETGDQKALEIKGRVDQWYTSSDTSDSPTTKSVKTKVFCHCISSLGHSLVYRKCSMGHYAIRSVTCEALYGDSNTLVPGIRKRAAHKTETITTAVETGGSWPDRSLQRLLRRTIKRAKSVACLGKRLFRAVLARSAVRTAVRTAVRTVRMRSTRNIFSQPSEDIWCLCKNRAQGYGLRSMCKVRVE >A04p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2185991:2187548:1 gene:A04p004380.1_BraROA transcript:A04p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2A [Source:Projected from Arabidopsis thaliana (AT3G56860) UniProtKB/Swiss-Prot;Acc:Q9LES2] ETIPHSYGVDEEENQFLSFRRNQRGEKRRNQRGKFRNPKISILILVNQANGDPGEDEPIQDLLEPFSKEQLLDLLKQAANNHPDVANRIREVADEDPSHRKIFLSINTERSKSKGYGFILFKSRSGSRNALKQPQKKIGSRMTSCQLACKGPVVEGLSVEHTQRKIYVSNVGAELDPERLLAFFSRFGEVEEGPLGLDKFTGKPKGFCLFEPHKTFEGHVLHCQKAVDGPKQGKQQQFDTPSHNNPCYGAPGGFVAGNPAGMGAPAQGVDPAIGQALTALLVSQGAGLGFNPALGQAWLGSFGTHSMSPGAIPNYQTPPLGQGGKGRGQHDIRKQCFNIGLVILLKFIKELMQLKSL >A03p064810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28241500:28242066:-1 gene:A03p064810.1_BraROA transcript:A03p064810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSPPSLLSLTVNTALLNISRINDLSHLPEHIVLELFERTLKAGKLNERVLRVFMGTGNEEVLAIIAALKIKIDLSPIVPTRCDEKFRMNWSRR >A07p044960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24448045:24449990:1 gene:A07p044960.1_BraROA transcript:A07p044960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSRRVLTFLILISLLAVAMNLLSTVEAQNKKKPRRDVPLVKGLSWSFYQKACPKVESIIRKELKKVFKRDIGLAAAILRIHFHDCFVQGCEASVLLDGSASGPGEQSSIPNLTLRQAAFVVINNLRALVHKQCGQVVSCSDILALAARDSVVLSGGPDYAVPLGRRDSLAFASQNTTLNNLPPPFANASQLIADFANRNLDINDLVALSGGHTIGIAHCPSFTDRLYPNQDPTMNKSFANNLKRTCPTANSSNTQVNDIRSPDVFDNKYYVDLMNRQGLFTSDQDLFTDKRTRGIVESFAIDQKLFFDHFVVGMIKMGQMSVLTGSQGEIRANCSARNTESFMSVLEEGILEEALSMI >A04p011410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6731382:6733626:-1 gene:A04p011410.1_BraROA transcript:A04p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGGILLKLLQCMNSNTRPTGEHRSAILQVTGIVPALGGSDQGFYVQISDSLNSTYVSLSQRDTTHLILTNRLQLGQFIYLDRLEFSTPVPRAAGLRPLAGRHAFLGTPEPLIARGSKRDFVVQPVSSSDYSLDPIAVYLNNKRFDDDGDDVAVAPNGRPALAPVNQNQNQNQKPKQKTPQRFSSPASAKQRSVERDPSPAVSGKARRSASPVPSKCIVPSLAAAREEESRKVVREPSIVVPSRYRQPSPNGRKVNPSPSGRRMSISPGRRKKMAVIPKVSEALVGSSAKHVNRKNWDEPVSANAQTEQKDKNKPHLQAILRTQAAMSRRLSDANRRKSVSSACEEKVKSCSSETSLVEEVSDITYHDRKWTDGSVPLDNISGDLAKLAKEAMRRRNFAAKAAARALEEANANECIIRFLSKFSELSSAPKVGNPLLTISQFLVVYEDVVKYSQIVNSIASEDNFRLSSDQQNPISLWVEAALATNLDVVSLVKSQNNHESPLKKSTPTRLSPGCSTKTDNIVGMWTEVEGMKETAKFALNVKSEMQMWFIGFVEESLDKKNAAVQPLDGSSVAAVLSHLKQVNEWLDRVVSDHDNQITTMPLTDKIERLKRKIYGFVIHHVGSTYDNSASAS >A06p054560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28599320:28600136:1 gene:A06p054560.1_BraROA transcript:A06p054560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLRPRKLIRSRRRMCSSSNSRRQIAHSSPWLSSLRSFTEENGNNGKDWMICGSKPGLTGGWLARRGGSRLGLQRVAVYCTVVVAEIEELCLIRAVSLSALKERVSEIAYLRFNNWNEYGECVEFLCMVSAFR >A08p034840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20644137:20646049:1 gene:A08p034840.1_BraROA transcript:A08p034840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNYARSAQEAEDVSKQIEAFGGQAITFGGDVSKEADIQAMMKTAVDTWGTIDSSLTMQKSQWDEVIDLNLTGVFLYTQAATKIMMKKRKGRIINIASVVGLIGNIGQANYAAAKAGVIGFSKTAAREGASRNINVNVVCPGFIASDMTAKLGEDMEKKILGTIPLGRYGQPEDVAGLVEFLALSPAAGYITGQAFTIDGEQKMDSGLSWADQRDYNSDPPSNSNKEEDKQEGRWKQKQFGEGHTWLQMGQGTSQEI >A06p046220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24742587:24746890:-1 gene:A06p046220.1_BraROA transcript:A06p046220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCRYQLGKPSTQYPPWREPTLKTARVAKSIISLLVKQARMARLSFRDVIKKVSEFQKNDNEAYISSDPSAVERYLVLHGQVILQIFAKHPIKELKKCAFITGLASKMEEIHHTKWAIKKRRKILPTKPKNKILPKKPKSKLRIGKGYVASKRSAMQATKTHLVDRIWGQYYSEYGAIGAENGKEKVQEERKNEEEDDVEEPSVLETRWEGDILGRTSAGEPLYQQALVGGEVVAVGGAVLLEVNETSVIYFVEYMFESSDHCKMLHGRHLERGSETVLGNTANERELFLTNACMTVQLKDIKGTVSFEIRSRPWGHQYRKENNAADKLDRARAEERKAQHLPAEYFCKCLYSPVRGGFFSLQPNDISSGTGSCSSCKMREDKNERSKPKLNATMTGFVFNGVDYCMEDYAYVNPKFIYKPKKDKKFERNVDLKSFVVCQLLEVVLPKVSSLNGLFEVIVRRFYRPEDTPLEKAYSSNIQEVYYSEDVHILPPGAFEGKCEVRKKHDMPLRNDYLTLDNIFFCEMPVHIKPKFSTIKDDTLLRKQKGKGIEGETDYDELPKEMRLATLDIFSGCGGLSKGLEQSGVSETKWAIEYEEPAGQAFKQNHPESTVFVNNCNVILRAIMEKCGDKDEYFLLENVRNFVSFNGGQTFKLTLASLLEMGYQVRFGVLEAGAYGVAQSRKRAFIWAAAPGEVLPEWPEPMHVFGTPELKIKLSKRSHYAAVRSTQYGAPFRSITVRDTINDLPPIKNGESETNREYGADPVSWFQKKIRGDMLVLTDHICKEMDETNLIRCENIPKTPGADWRDLKNLKKKKIKLSSGKMVDMIPAWLRNKAKTHNGWKGLFGRLDMEGSFATSITDPRPMGMVGMCFHPEQDRIISVRECARSQGFPDSYKFVGGILDKHRQIGNAVPPPLAFALGRKLKEAVLLKKPPLQQKP >A09g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7567606:7575635:-1 gene:A09g502190.1_BraROA transcript:A09g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPIEKQHLWFRQFAVPKSINDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRILAVKYRPNLNRTTKYRLSEGNGHVSKSTADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEPEPSSVAT >A02g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22053574:22055925:1 gene:A02g507940.1_BraROA transcript:A02g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLYLVGSGQFRSNWSNFMEKEGKWRDLVANEPRQNGERREGQYGRSQGFQGVRGSDKGKGIAREKQGLHKQEGVYHPYKEKFSRGYGEGPSFYGRNSGYGNKKKAFQTKDSQQQQWQGAGEQRPLNPTKLMLDAFKGVTGSHGSGGVKGIGTIGNASSSKARKSLSFDEAVPEVQSEKMDQMEAVLTDTLEVQEQGELVAETKAETEQSLHSEALDEANLMIDGVILSDSELQLEGDDLEDWEQGEIMDFAEEDGLAVGDQDLGMLVLGDQELGDQALGDHNLGVQEQNDPVDEVTGKVPENKSGEEPNDEKASKKKEMKQEAATAGGAKKRVGLAFASPRKKLLAKVAAKQGDKARKGPPKA >A09p033720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20348923:20349557:1 gene:A09p033720.1_BraROA transcript:A09p033720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVTNSESEYADVEKKKQKKENTKGKGASEKNFENVDEKNIGNGVKAPVDERLRVLVRKSTQNDDKLLKVNNLSLPHKSVNSPTAVKTPAKNANAKAIRVKKNLVEEFGTADATIVGSVLDFVIVSPAKEPVYGCGCLGMPKQN >A06p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2102813:2105493:-1 gene:A06p006310.1_BraROA transcript:A06p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:Projected from Arabidopsis thaliana (AT1G08350) UniProtKB/TrEMBL;Acc:A0A178WGW9] MYVSGAPLAHIYSFVKAAQECQIMGQFLLQALLALTFWIGSGSSTRYNAGDHVPLFVNKVGPLHNPSETYQYYDLPFCRPEPVIEKQETLGEVLNGDRLMSSLYELKFKEDKTHSVLCHKRLTSSEIARFRDTIAQDFYFQMYYDDLPLWGFVGKVERDYSVHGGEENAKYYLFSHLNFNVLHNADEVIEIQSLNDPSYLVDISQNVEIDVQFTYSVSWNLTSERSETRMNKYSQASLHPISKKIHYFSFLNSITVVVLVVGLLSLLFMRHLKNELRSSSNGDEEEKREVGWKLIQSDVFRCPRNISLLCGFLGTGAQMLILIIALFALAFTGFLYPYNRGTLLTSLVIVYTLTSVVAGYTSASFHSHFEGAKQRRSVRLAGIIYTVPFFIIVSILNTVAITYGATAALPFGTIVIIILIYTLLNIPFLMLGGVLENRFGLSEFQPPSAIKRNPREIPTQNWYRRKLYQMFLGGLVPFSAVALEWHQLYATLWGFKIYTSPGIMLFAFIVLILLSASVGVILTYIQLSGEDHEWWWRSFSCGGFAAIFMYGYGVFFYLRSDMTGLLQLSFYLGYTALFCYAFFLVLGTISFLASWMFIRHIYRSVKLE >SC273g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000120.1:56530:58246:-1 gene:SC273g500030.1_BraROA transcript:SC273g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGESMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A05p029630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14868190:14870093:1 gene:A05p029630.1_BraROA transcript:A05p029630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEPDFASGSSLIGVRVRSRCKIGDEVYESMNSSGSSLGLSAEIEEIKNAGMRETSPLPEGGGSLPVGPISEIGVEEVAFWRQKFYLSENLVIRIPGPLDTVSDFRAGEVPIYEGFFESGFRDQVPSLIAEVSRAVNISPGQLNPPAWRILIAMQNLGDLEGLVVGVAEVLYCYSVFPLNGGERSYHLHPRGGMLPVQELSRSEKKHHPVFEGIWASKFAFMSLPGFSSTWHTADISRADFSSGRHVIEQMLGLPVDPCEISFLVSEEALDRCSIRGKLHICNFLTLGGAEALEEYKKALEVMAARKAVIHRLVPARGSNIQFTRSGKNQAAPIVAPLSSKKRSKASVFKPSLSASRSCSKAQASLNSKVFPMTPTHPSLDEDTSKVVCSLQGDVLQVASQLFHLKGRMKNRSATKAERDALAIRLREEKDAILAKDEEIDAWKLKVQDLDEERERLKAEDVSLRRRLEDKEEEICELRHAAEVFDADKIKVVNDAKVVVRWELMQEWLDDQTDRWDPITSFEQYKVVKISEAEFLGLPPPSFEYKPKVPGSDEMKGASEPPADDPPIN >A01p042580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25366836:25367648:1 gene:A01p042580.1_BraROA transcript:A01p042580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVSNVSFADDGTQSSPEREIRVAKMVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGTSLF >A02g511670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31337819:31340400:-1 gene:A02g511670.1_BraROA transcript:A02g511670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKVDKPKAEEKSGSVTESKENQNTACRNRGLLRGKQGHHGTSSQAVEKNEVTKVVKRSIPVVSAELKDESQANTLTPKIQDKKVIAKRRNGCHFCGKIGHSVAYCYARRNQVERAWRLNLCFIEPKKYGCVWIAKRDLYPKFRRQTRHGLHLETDVSHKPVAEPVEEVICNFARIEVKEPEIINQASQKLNLRHGLSHLGREKHTADCVCNLSPSRGDQGVTVYGGCDKKKTGTKLIGHMNQMRSIIPKAIVAKTENLSQKDVTHRDESVTHESISGSLIHLTTRRSYLGLTTDICTQWQATSRVSHKLVINNHVKGILKLKLNYSFDTNMMMAGTFKVESVSSWTEELVTATRLRNSYRYIHIPLNGGQQISVVFLHDHATHVNPGKYPLCEPVSFIRICNQVESGYVVTSRGRIV >A08g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:321792:323086:-1 gene:A08g500170.1_BraROA transcript:A08g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHVNGKLPVLKSSVIEYPNGDEIVATLLYEKLERHCSKCGRLDHEVKDCLEAKHEKKALATAQEDALRSKASVTNKEPPPRSINRPGGPTRHSPRREARFNPYSRRAPDHQTRRSYSRDPPRDGSRHSQHHSHYSREQPRRSGNSVGIEDEYYSRLPRDDHPSKRYNSPPRREQRTASGDSQSASSPRPWKDERRESQGRVSQGETISSRHTSHAADARGIPRAQDMYSLVRETLESTRKEVHDVMLQYTNSTDPAESAARRERLRQAEAQGRLDASAARILQVRQEREETRTDPTPTPPTKSASQDRVPLASRLGPLNQGPDSSSRLPIMDRLGPLLDEITEPSLQPLIEAAPIPKRKPGRPPGSRKANASPSAKQVASVKLRKIHVKPPKCKRKLGNRA >A08p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19485856:19487378:1 gene:A08p032110.1_BraROA transcript:A08p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich protein 4 [Source:Projected from Arabidopsis thaliana (AT4G38770) UniProtKB/Swiss-Prot;Acc:Q9T0I5] MRILPEPRGSVPCLLLLLSVVFSATLSLARVVEVVGNAESKIKTPHAFSGLRVTIDCKVNKGHFVTKASGNIDEEGKFSLKVPTHDIVSEDGALKEECYAQLHSAVGTPCPAHDGLDSNKIVFLYKSGDKHVLGLKQNLKFSPELCVSKFFWPMPKFPPFKGFDHPFPLPPPLELPPFPKPCPPPPVPVYEPPPKVELPPPVPVHEPPPKVEVPPPVPVHDPPPKVEHPPPVPVYKPPPKVEHPPPVPVYKPPPKVEHPPPVPVYKPPPKVEHPPPVPVHKPPTIPKKPCPPKSPKIELPPPVPVHKPPTKKPCPPMPPKKVDPPPVPVHKPPPKIVLPPPVRIHKPPKKPCPPKPPKVELPPPVPVYKPPPKIEHPPIYVPPVIPKKPCPPKAPKVDPPPVPVYKPPPKIEHPPIYVPPVIPKKPCPPPVPIYVPPVVIPKKPCPPLPPLPKFPPLPPKYIHHPKFGKWPPLPTHP >A02g510780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28529713:28530111:-1 gene:A02g510780.1_BraROA transcript:A02g510780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSLTPKVENKLNRRCDLASTFDVQSINQHEFQVTDGSRNYLVDLQQMTCTCNVFNVDKIPCKHAAKAATSRDFNPGLYMHQYYSKANIGAAYSESIRPIDEFLEASEIPPHVVAYKWFPPDLKEVLEDL >A09g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11385859:11386560:1 gene:A09g503670.1_BraROA transcript:A09g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPADRSEFSSCLPTTLKTSIKLCEVVINVSSRKTAHKDLRHDSRPNLRFLNQKPVNRRTVYAWFARKDKCQGRRVKAYTDLGAKIGISPTALEPRLIPCSCGINRSPGPLGN >A08g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10335085:10335829:1 gene:A08g506030.1_BraROA transcript:A08g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNINKPNTLISFFFDGISSTISHSFSEAILSLASCDAKEPSKLLVLKDMSKNPTDGFNSVIFRFALPLTSFLVHMSSFNGAWSILGGSASAVKQFRLGLLPSFTLLQRLIVDYTIWCWNNVAFKSAREAMRQPKD >A01p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16184971:16186558:1 gene:A01p039860.1_BraROA transcript:A01p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTRGAEQRRLQCLQDIQNLQEEIKLLQISNEKLNAVGLDDMSFTELASLGSMLDEGFRIVDEQLDNVGAHEEITTKQIFEYDLMGGPDWTQRIEKEDLAYQSLLAGRRVALRNKAREFRLSPPETQPWRSDDPERFKMDIDSLEMEKERLRLFNQRMLGKELDGMSYAELFVLSFEISGASRKVVSMKKIKRDEEMRKTKRPRPSVNKEPISPGQI >A09p074020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56242855:56244797:1 gene:A09p074020.1_BraROA transcript:A09p074020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASILKRRRKAQLESISRRDSISSLPDDILGIILSLLPTKLAASTSVLSKRWMNLLPLVDSSLDFDDSIFLYPDHHRDAARSSAFSEFVDKTVALLSTCPIKTLSVNGRYEKSRVDDWIRVALQPSLSELHLRCPHRIDKDRAELLFRSKTLVKLTLSGGCVIEALPDGHMDFTDQLFMDEKINEDRFFPSLKSLYIGDIVIEESYYHKLILMCPVLEELFIHNDGESHPPSWIGFAPCRTLKRLVIYYVVPPDYVHDVYNDGGEMESLVEARLDLRLLESTTRFDDYTETGRCGDVCPCYHRPKRKGVIDWRTEKVSCLPYLPLKVLEISGYVRRNL >A09p010440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5341201:5343244:-1 gene:A09p010440.1_BraROA transcript:A09p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKQQKSSKPKKQPRQVETNKKKKKEGKDCDLSQFRAQLDALGLKIIQVTADGNCFFRSLADQLEGNEDEHSKYRSMVVQYIVKNREMFEPFIEDDSMSPRWYIRNFDDARTRMIHLSYHDGEHYNSVRSKEDACGGPARPVVIEADAKVSAASKQAKATESKSKSRSDKCDVDAGAVKVVMSGSCCDNAEKAEQVLVQVNGDVDAAIEFLIAEQGIESLTENAAEITNGKEASDSTMESNEATNEEESSSCSNIETVLAKCSLQNDEKIPRNKACPCGSKKKYKSCCGTATGRSSVKLSQTVESKKGRKNPRRGTSNEVETNAPDVGALCI >A01g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14666390:14669193:-1 gene:A01g504940.1_BraROA transcript:A01g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTRRRQLDLEAYYGRTLPKFCSQFEVTDNGALRLLHHVSLRSLWCSATETMVILAATILLPSTTTTAEWNSRLEVRHVFSDAPLPTYNIFAYKYVMKHRYIGYSGSSFIITIRSPSRSSKNSRSATSFSGAALVHRERTKVTAQRKKRAETQQRWLRQSENVTDRIQSSCDTTRKMNTAAWRSSLVEGGLQF >A06g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28687377:28689945:1 gene:A06g509770.1_BraROA transcript:A06g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQSSSLRASPPNPLRTPSNRQSHQITTNARPTTRRSFISASASVSAPKRETDPKKRVVITGMGLVSVFGNDVDAYYEKLLSGESGISLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNERRLDDCLKYCIVAGKKALESANLGGDKLNTIDKQKAGVLVGTGMGGLTVFSDGVQALVEKGHRRISPFFIPYAITNMGSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEADMMVAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKQRDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAHHMTDPRADGLGVSSCIESCLEDAGVSPEESMIGHCLGAAGGLEAIATVKAINTGWLHPSINQFNPEPAVDFDTVANEKKQHEVNVAISNSFGFGGHNSVVAFSAFKP >A09p068450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53639499:53643268:-1 gene:A09p068450.1_BraROA transcript:A09p068450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGEAARSVEIGSDHKLGGVGSRSAGGGQYFRADTLDFSKWDLHMGHTSSSTTAVKSSTSTKAPMHEWEIDLSKLDMKHVLAHGTYGTVYRGVYAGQQVAVKVLDWGEDGQSTAAETTALRASFEQEVAVWQKLDHPNVTKFIGASMGTSDLKIPPANDSGGRGGNGAHPARACCVVVEYVAGGTLKKFLIKKYRSKLPIKDVIQLALDLARGLSYLHSKAIVHRDVKTENMLLETNKTLKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLEGKPYNRKCDVYSFGVCLWEIYCCDMPYADCSFAEISHAVVHKNLRPEIPKCCPQAVANIMKRCWDPNPDKRPEMEEVVKLLEAVNTSKGGGMIPPDKFQGFLCNKSQESEKKQVGRRHKATEGVFTFLVVLSNFASGMLTGSSKSEAFNSMKEFLKKTGGCAIIDGGLATEFERHGADLNDPLWSSKCLLTSPHIIHTVCLDYLEAGADIIASASYQATIQGFETKGFSIEKSEYLLRKSVEIACEARSTYYDKCKATSSSSDIVDDKILKTRPILVAASVGSYGAYLADGSEYSGIYGDLITLETLKDFHRRKLQVLGESGADIIAFETIPSKLEAQAFAELLDEGDVKISGWFSFNSNDGVNVVSGDSIKECVAIAEACEKVVAVGINCTSPRFIEGLVLEIAKVTSKPILAYPNSGERYDADRKEWVENAGVGDEDFVSYVEKWMDAGVSLLGGCCRTTPATIRAIHKQLVTRRSLFSSS >A09p063590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51599993:51601988:1 gene:A09p063590.1_BraROA transcript:A09p063590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPYSNFFTGWFKSNPFHHYPNSSTSPSPPPPHSSFFFPHSGDLHRPPPAPTPPPSPPLREALPLLSLSPANKQQHHHDHQIQEPPSISMDVDYDHHHHQDDHNLDDHDHDVTVALHIGLPSPSPQDMASLLMMSSSSSSSRPTTHYLEDMNGKKDLDNDYHQGGVGGGEDDDEDSVGGDGGCRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKVFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGIDGFDEEDEPASEVEQLDNDHESISK >A03p012700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5038162:5040808:1 gene:A03p012700.1_BraROA transcript:A03p012700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYFPNSNDQILLPVHLGFWPENPDTGGVVSTRAFSARLPVVCRQIHSKQPFGMFRGENAMNYAFSTFLLEAVIIIFFIKITCFLLRPLRQPRIVCEIIGGMMVGPSMLGGSRNFNYYVFPPISNYICANLGLMGFFYFFFITAAKTDVASVAKSPRKHKYIAAIGVVIPAFCVGVTGMAMRHKMNKNMRKISSIGAIAFGLSFSSFPVIYTILRDMNLVNSEVGKFAMSVALLGDMAAILVLTFFEAMMHGDGGGAMAIVWYLVSVAIFSGFMLLVVRGALEWVVSHTPEGKLVDQNYIVMILMGVLVACFLTDMLGLSIGVGPIWLGLVVPHGPPLGSTLAIRSETFIHEFLMPFSFGLVGLNTNVYLLTSDIWGQELSPLVYMVIVGFITKFIAVVAAAVFFKVPTRDSLTLGLMMNLRGQIDMLLYLHWIDKRIVGLAGFTVLVLQSVVITGISTPLISFLYDPNRPYRISKHRTIQHTPPSTEMGLVLAVSDHEALSGLITFLDLAYPTSSSPFSIYAIQLVELMGRASPVFIEEKDVEEGEEEDHHERIRSRRVDQVQSAFKLYQENRNECVTLHAYTAHAPKRLMYQDICELALVKKTAFILLPYQQERLDDAAPTELRNSGMLSVNADVLAHTPCSVCIYYDKGRLKNAVFRSLDDVQQHSTSLSRVRQETYRFVVLFLGGADNREALHLADRMTVNPDITLTVIRFLAFNHEGEDEKEKKLDDGVVTWFWVKNEGKDRVSYKEVVVKNGAETLAAIQAMNVNDYDLWITGKREGINPKILEGLAEWSENHQLGVIGETVAGSIFASEGSVLVVQQQVRNRKGGDAFLNGKFDYKSLVSSWSCYR >A06p056520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29429676:29430119:1 gene:A06p056520.1_BraROA transcript:A06p056520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKFTREEEEDLRVLVNALLSAADLDEESEPLSSYVLSKWHSVESPLDPYIFERHLRELGRTSKTWNQREEACVQPCQHAAFGANTELSRTSLSQDSLWQDINWGLM >A02p022430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10649503:10650918:1 gene:A02p022430.1_BraROA transcript:A02p022430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDYAQKMQRCHEYVEALKEEQKKIQVFQRELPLCLELVTQAIEACRKELSSTSTTSEQCSEQTTSVSGGPVLEEFIPTKKIEENGEHESPTPEEIGNNVDKKKSDWLRSVQLWNPSPDTNEVSNPERVVGKKAKVVEVKPNNNNNCGGFQPFQREKKRETDLQPAAVRAVALAPAVKVVTSAPATTTSSTTETCGVGKEGEEHVLQQQSQSQTRRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRDHMKVDGLTNDEVKSHLQKYRLHTRRPATTVTTQGNGNSQQPQFVVVGGIWVPSPQDVPPPSDVANNGGGAYAPVTLQPPPQSLPPQSPKRSVERSSGRCNSQAASSSTNTTTSSPVS >A03p059060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25666637:25669137:1 gene:A03p059060.1_BraROA transcript:A03p059060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMETASPLVRLCLEEACKSRDAVGRWRLQRRSLERLPSHLADALLRELLLRRLLFPSLLEVFKYSVESIDLRGESSVNGEWMAYVGGFVNLFSLNLSDCPRINNSTLWPITGLTCLKELDLSRCSKVKDAGIKHLQSVVNLEKLWISQTGVKEAGISLLASLKKLSLLDLGGLPVTDHNLSSLQALTNLEYLDIWGSNVTNQGAVSVLKFPNLCFLNLSWTSVTQAPNIPHLECLLMNKCAVVSISSTHSSVLASLKKLVLSGATFSAETEVFSFTSKSSITHLDVSKTSIQDFSFLETMTKLEHLDLSSTAFGDDSVEFVLCVGDTLTNLNVSHTKITYAGVEILSGHVPRLETLSLSQTYIDDLSILCISTRMPCIKALDLSRTSIRGFIQQQSSQEEEEEAKPSLAALKSLTALTTLSLEHPYLSDIALSPLSSLTGLTHLSLKSKSLTDSTLHHLSSLPNLVSLGFQDAVLTNLGLETFKPQSSLRTLDLKGCWLLTENAVTGLCKKHPHIKVMHEFALESSSLDQNKILPRSSPPQSFLKLGRRKNNQSPETSAAVSRSFIDQRVKYNREDLFALQDSPLSRLLPREGEFFSVPEILADDKSVI >A05p054030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31712692:31714093:1 gene:A05p054030.1_BraROA transcript:A05p054030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGQTPHSTTGQSHSPSSSASGSTSTEPVRSRWSPKPEQILILESIFNSGMVNPPKEETVRIRKMLEKFGKVGDANVFYWFQNRRSRSRRRQRQLQAAAAAATTTTNTCDQTMMVSNNLPQHSGSDLGFGGCSTSNYLFASSSSYGAGCDNHSNNGMENLLTMSGEMGYHGANQHHYNYHSSNVESILCPSEQSSNYHYQQGSIMVFINGVPTEVKSGGIDMKVTFGEDLVLVNSSGVPLPTDQFGFLMHSLQHGEAYFL >A09p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1556811:1564558:-1 gene:A09p002220.1_BraROA transcript:A09p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQYYALNSIQSNNLFSTKTTVSPSSSSLSSYVLKISGSPLSLGRDKPRSCSIYCTKLRTQEYPKSHEIQHDIPLIQWQQLQGDAPQISVGSNDIAIEEAVKRVKSILKNLSDGEITISAYDTAWVALIDAGDKTPAFPSAVKWIANNQLSDGSWGDAYLFSYHDRLINTLACVVALASWNLFPHQCHKGIMFFRENIGKLEEEDDEHMPIGFEVAFPSLLEIARGINIDVPYDSPVLKDIYAKKELKLTRIPKEIMHKIPTTLLHSLEGMRDIEWEKLLKLQCQDGSFLFSPSSTAFAFMQTRDSKCLGYLRNAVKRFNGGVPNVFPVDLFEHIWIVDRLQRLGISRYFEEEIKECLDYVHRYWTDKGICWARCSHVQDIDDTAMAFRLLRLNGYKVSADVFKVFEKEGEFFCFAGQSNQAVTGMFNLYRASQLAFPREDILINAKEFSSKYLQHKQEKGELLDKWIIMKDLPGEIGFALEVPWYASLPRVETRFYIEQYGGENDVWIGKTLYRMPYVNNNEYLELAKQDYNNCQALHQLEWDTFQKWYEENWLSVWGVSRRSELLECYYLAAATIFEAERSHERMVWAKSSVLVKAIFSSFGESSDSRRSFFDQFRNYIANARQSDPYFNNRSIRLDRPGSVQASGLVGILIRTLNQISFDHYMSHGRDVISILYQSWGEWLEKWKLDGNEGHGELLVKMIILMKNNDVTNLFTHPRFVRLSEIINRINLIAQYLKTMRNDKKETTIERMEYEMEQMVELVLLEVDTLRDVSITFLDVAKAFYYSASCGDHLQTHMDKILFQKVL >A09g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18336691:18338353:-1 gene:A09g505920.1_BraROA transcript:A09g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRVRNNESLYDKRIHFASLLLRVVPTKDRTNDVYKKDLNSRCRCYIVGSHPSETTSKEVRNPLFDSDSPTPVVAFLSVTSKVAASALATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWRAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSTNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >A09g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22160828:22161594:1 gene:A09g507630.1_BraROA transcript:A09g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRPNNKNPRIHETPPPCGQTLRLRRRPRFETTPGNQPSIHPDPPEFTDSTPSPPQTSSTQVKPKAERINRLFEISSIAIHYNHREEGFTLHLSGTGTGVDGARGTSASRRQNQIAEQGTSLRLPQHDRAFTPETDSPHAALFQSSGKAEERGDESKAKIEGCNGSLRSSGDGTHAHAPAGHRTRL >A03p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21214917:21217192:-1 gene:A03p049520.1_BraROA transcript:A03p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNSSPSMEDPPPQNVHQNHGGSDSQITKFSFSDLNKATENFYLKNIVSENGGETSDTVYKGRLHNGGFIAVKKFMNMAWSDPNQFVEEAHRVGKLRHNSVVNLIGYCCDGNERLLVAAFMPNDTLAKRLFHRKNQTMEWEMRLRVAFCVAQALDFCSGGGFTSYNNLNAYSVLFNEDGDACLSCFGLMKEFKDDQRATGSVNSESLIFGFGTLLVNLLSGKQIPPSHVSDSVQVLSRVLATQAPEMIHGKSVVELIDPNLKGKFSAEEATIIFKLASQCLQYEDIESLNTKDLVTTLETLHTKTNVRALSYGMLEMAKRKDVSLNQLSTLGDACLKMDLKAIHKILVMAEDDKELVEFSFEEWLEEVKDIQEVRKHGDQAFLEQDFETAIDCYSQLIESRRMVYPSVYARRCLCYLFCNQPDRALKDGMVAQQLFPDWPTAFYLQSVALSQLNMITDSADTLKEATLLEAKRQHQEKES >A08p046360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25251271:25252697:1 gene:A08p046360.1_BraROA transcript:A08p046360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G02630) UniProtKB/Swiss-Prot;Acc:Q84XI3] MVGEKVIVDEVETRADAYRVAYVIHFLLGAGSLIPWNALITAVDYFGYLYPDKHVEKTFTVAYMSCSVLVLVLMMTWNTRLSHRLRLNLGFSMFIISMMVSPIIDWVWKGEDNENISYLLMVGSVVLCGLADGLVGGSLIGSAGKLPRQYMQAIFAGTASSGILVSVLRITTKASLPQTPQGMRTSAHCYFIVSSTILLCCLLCCNVLYKLPVMQLHLSLHQPLYSNLKVWMVGRKIKWPASGILIIYTVTLSIFPGFLAEKLKSQLLQSWYPILLITVYNISDFVGKSLTALYLWQNIKSATWACIVRLLFYPLFSACLRGPHWLRTEVPVVVLTFMLGLTNGYLTSVLMIMAPKTVHASEAELAAVFMVVFLGIGLVCGSVLGWVWLI >A08p026030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16656049:16657166:-1 gene:A08p026030.1_BraROA transcript:A08p026030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVEHAGYDDHSVQLYTEMLFPKQLDPVPNHPIDQDMRASLIDTMMVSVRATPYPGAIHLAVLIFDQYFGNFQPAEGEAYLQPQTVMDVALFIAVKYEYRGTGMAKTLSIHNSDELEMELAILGSFDWSLSSPTTKTFVDLFLCVNDQADDTFLCCYLMDLSLLDRDCSQRYPSILAAACAFLAQFMLHPVAKPQAVIPWDYKISDLQTTVVLLRDIILQRRFVNTRAKYMSAQFQMVANLGCGDNIPEDYFS >A05p025260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12306038:12306389:-1 gene:A05p025260.1_BraROA transcript:A05p025260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQERFFGLEERHVDVSLISRFSFQWWCPLGGVASLRQSFEVLHRSNADLEPVSFLAHRVES >A09p036410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24342862:24344196:-1 gene:A09p036410.1_BraROA transcript:A09p036410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLLSILILLLSSSFAPIHARNKSQPANSPSSVAAPAPGPSNSDCSSVIYDMMDCLSYITPGSNDTKPTKVCCGGILSVLQYNPTCVCVGLESSKTMGFAVNNTRARAMPTTCKLPIVATHCPMLDEVTPAASTPVSQSAGTPMTSPSSVASPTSSPSLAESPVMTAPSPSSSGTNHLSASTLTLVVIKVSFVAYISFFFSN >A03p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:456239:456562:-1 gene:A03p000780.1_BraROA transcript:A03p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGAGCKCVGCGGCKMYPDLSFSGETTTSEALVLGVAPSMNSQYEASGETFVAENDACKCGSDCKCNPCTCK >A02g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15538451:15539789:1 gene:A02g504870.1_BraROA transcript:A02g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPYQVAPDPERPVGATAQSRSRFHVRRHTTRSRSDLSQRPSEVAPEAWSDLSRATGRSRSPSWFPETINRSRSDLSQRPSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYAPTRRRIIFVLRKTTKNIWKGISLNELISLLLRILCSYLFPVFLYMINLKSNMGLRGIMEINAKIANRLVSNDCFHIIQPKTFDSLLRIVSRLKVDSLIDHLSSLVRYLITQDLIPKPMSSLFPYALISLRTGSTIFYTTTFVLGALKTLNIKEPTCTEHNK >A10p032160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19083399:19085514:1 gene:A10p032160.1_BraROA transcript:A10p032160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSFASSKASSSSNDPKLSPVEQLVLDICDPELRENALHQLAKKREIFDELAPLLWHSVGTTAAFLQEIIAVYPFLSPPTLTAAQSNRVCNALALCQCIAAHPETKMPFLRAQMPLYLYAFLKTSSKERPFEYLRLTSLGVIGALVKVEDTEVIKFLLETEIIPLCLQTMESGSELSKTVATFIIQKILLDNEGLRYICVCVDRFFALSRVLENMVTSLAEAPSSRLLKHIVRCYLRLTDNTRACLALRVYLPDLLSDTTFTSCLYDEPAAVQWLQQMHHNIRVKPPPGL >A04p034640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20015606:20016076:1 gene:A04p034640.1_BraROA transcript:A04p034640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCCHFIAVALMSLLITLASIEAAGECGRMPIGQAAASLSPCLPATKNPRGKVPPVCCAKVGALIRTNPRCLCAVMLSPLAKNTGINPGVAIAVPKRCNIRNRPAGKRCGRMDSTLLNLISKVTLFHEDTTSVWIF >A07g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10469685:10471393:-1 gene:A07g504920.1_BraROA transcript:A07g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFRSDVFDLLGWEDEIDSGRFCFEFKPERLTLKSYALSIHNYRPIHTDYDTNTNTKLSIRVSAPEIQQQLKLLLGFLFHEAQNVVYKRKQGYTLQIFSIYTKASYGSPWRIHEVFFQQYSNSILWCIDTFPEGLTRKLPVTNKYVKPICIGFGGAEYHDLENLKKQLEDDDLIRGTITAEHQGSEVTKASVHSKSSRHNHSWENQGTAQHGSPLLLEILETNELEFRDINW >A04p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1121637:1125041:-1 gene:A04p002350.1_BraROA transcript:A04p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:Projected from Arabidopsis thaliana (AT3G60100) UniProtKB/TrEMBL;Acc:A0A1I9LSJ6] MVFLRSVSAISRLRSRVAKQSSVSNSVRWIQTQGSSDLDLKSQLQEIIPEQQDRLKKLKSQHGTVPVGNITVDMVLGGMRGMTGLLWETSLLDAEEGIRFRGMSIPECQKVLPAAQPGGEPLPEGLLWLLLTGKVPNKEQVDALSKELASRAAVPDYVYKAINALPLTAHPMTQFASGVMALQVESEFQKAYEEGVVHKSKFWEPTFEDALNLIARVPVVASYVYRRMFKDGSIIPLDDSLDYGANFSHMLGFDSPQMKELMRLYVTIHSDHEGGNVSAHAGHLVGSALSDPYLAFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKEQLKDYVWKTLNSGKVVPGYGHGVLRKTDPRYLCQREFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLPLERPKSVNMDWLDKFMSLSR >A02p013460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5895077:5899626:-1 gene:A02p013460.1_BraROA transcript:A02p013460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRASPSPLTDLEPLSERTSLEIFNPSSGKEASSSSSSKPQDGNRKGSSSKWMEFHDSAKIVERTAEWGLSSVKPDTGEDGISFKVSSEVERSKMSRRSSEESSASESGAFPRVSQELKTALSTLQQTFVVSDATQPDCPIVYASSGFFTMTGYSSKEIVGRNCRFLQGPDTDKKEVVKIRDCVKNGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDQGNTIKFIGMQVEVSKYTEGINDKELRPNGLSKSLIRYDARQKELALDSITEVVQTIKHRKSQVRNSVSNDILMKPDSTSTPGGQVVRSDEASKSARTPGRVATPARPKSKSLSWNKKHDDIPSVEPEELMLSTEVVEPRESWERLERERDIRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQKIRDAIRDQREITVQLINYTKTGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERTEMQSSKLVKATATNVDEAVRELPDANMVRHNNFWLLIKDVKIQASGETIGLHHFKPIKPLGSGDTGSVHLVELKGTGELYAMKAMEKTMMLNRNKAHRACIEREIISLLDHPFLPTLYTSFQTSTHVCLITDFCPGGELFALLDIQPMKFLSEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLKKDGHIVLADFDLSFLTSCTPQLIVPPSPNKRRRSKSQPLPTFVAEPATQSNSFVGTEEYIAPEIITGSGHTSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLVCRQLINMLLNRDPSSRLGSKGGATEIKQHAFFCGINWPLIRGTEPPPLDAPLRITEKDPNAKDIKWEDDGVLVNSMDIDIDLF >A09g510540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31978836:31981316:-1 gene:A09g510540.1_BraROA transcript:A09g510540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYDTLTQHSNLGPGDFVFLLSIGNILSPYHKGQKKELSTDHGPHLNSIHLSLALAKLSPENMTGLDGLNWTELPRHNRRESSIGRSWPSRSGCEEMSWGRGVFIGDTSQSASGWWQPVCRSAWLRTHARRHLVLHMAGCMSRKHAGRHHSSQMSGCMTGAHARRHTSSHMSISMLRLHASRHLVLGRSTSCFYMSSCMCSFHARRHLELLLTLSWLDSCHHVLIPSDQATSSFSVPLRDFDPSCEFLTRDQSRIFFRSHSDSLNIFNKLQMMVFAQLRVFPSCFNPVVLASHIPRKLRDEETSIFKNVELLNRRASKIAAYLNSCFTHDPFFDLLVLQISRSICIARDSTTKLMLDQSSIFFEPC >A07p041310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22335755:22337737:-1 gene:A07p041310.1_BraROA transcript:A07p041310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSLSIIRHSSPLFLRRAAIHHGGHVARLGIGIRRSFHLLTLSARRDNPDVFRKPQPSKNMLRAKHIGKDHSSLAPVLSPDHNPSLLPSQAIGTVATAQANFMRVVVKDGDGEGDDRSSSSSSSKDGVELLCVVRAVLKKIRRRVLVGDKVLVGSIDWVDRRGMIENVFQRRSEILDPPVANVDHLLVLFSLDQPKLEPFTLTRFLVEAESTGIPLTLALNKCELITEEELESWKMRLRGWNYEPFFCSVGTKEGLDAIAFVLRNQTSVIVGPSGVGKSSLINILRSSSGGGDVEDENWFEPIIGNKWFEDQRVGEVSTRSGRGKHTTRNVSLLPITEGGYLADTPGFNQPSLLKVTKHSLALCFPEIRKMIEEEKCGFKDCLHMGEPGCVVKGEWERYPYYLQLLDEIRVREEFQLRTFGTKREGDVRYKVGGMGVKQAEPRLQPKKHRRESRKKVKQTMISELDEYEDEESDLDVEDDPIVQAIENEKER >A09p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2688368:2692183:1 gene:A09p004860.1_BraROA transcript:A09p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase RCH1 [Source:Projected from Arabidopsis thaliana (AT5G48940) UniProtKB/Swiss-Prot;Acc:C0LGV1] MPIPRKVLTVTLFSLFLPFFISSTSASSNEVAALVSWLRSSNSPPPTAFSSWNPSDSDPCHWPYIACSSSDNLVTEISVVSLQLALPFPPNVSTFTSLQKLVISNTNLTGSIPSDVGDCSQLRVIDLSSNSLVGEIPSSLGKLKNLQELILNSNGLTGKIPSELGGCVSLKNLDIFDNFLSGTLPSELGKISTLESLRAGGNSELSGKIPEEIGNCRNLTVLGLAATKISGNLPVTLGQLTKLETISVYSTMLSGEIPKELGNCSELINLFLYDNDLSGTLPRELGQLQNLEKMLLWQNDLHGPIPEEIGFIKSLNAVDLSMNSFSGTIPKSFGNLSNLQELMLSSNNITGSIPSVLSNCTRLVQLQIDANQISGLIPPEIGLLKELNIFLGWQNKLEGNIPTELAGCQNLQALDLSQNLLTGALPPGLFQLRNLTKLLLISNSISGVIPPEIGSCTSLVRLRLVNNKITGEIPKELGLLENLSFLDLSENSLSGPVPWEISNCRQLQMLNLSNNTLRGSLPLSLSSLTKLQVLDVSSNDLTGKLPDSLGQLLSLNRLILSKNSFSGEIPPSLGHCMNLQLLDLSSNNISGAIPEELFDIQDLDIALNLSWNSLVGFIPARISALNRLSVLDISHNMLSGDLLALSGLENLVSLNISHNRFSGYLPDSKVFRQLVAEEMEGNSGLCSKGLRSCFVSNSTLLNTQHGGDFAHSQRLKIAIGLLISVTIVLAVLGVLAVLRARQMIQEGNDSEKGENLWTWQFTPFQKLNFTVEHVLKCLVEGNVIGKGCSGVVYRAEMPNQEVIAVKKLWPVTVTVAKTSGGRDSFSAEVKTLGSIRHKNIVRFLGCCWNKNTRLLMYDYMSNGSLGSLLHERSGECSLGWEVRYKIILGAAQGLAYLHHDCVPPIVHRDIKANNILIGPDFEPYIGDFGLAKLVDDGDFARSSKTIAGSYGYIAPEYGYSMKITEKSDVYSYGVVVLEVLTGKEPIDPTIPDGLHIVDWVKKIRDIQVIDQGLQARPESEVEEVMQTLGVALLCVNPIPEDRPTMKDVAAMLSEIRQEREEPMKGGGNNGCSGSCNNNGGEGRDESLSSSAMQQTAKYLRSSSTSFSASSLLYSSSSSTSNARPNLK >A05g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25084225:25085436:-1 gene:A05g508580.1_BraROA transcript:A05g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASAVAEPAGSSSSSEVPIESQGSGSDSGSEYREPELRSSDPQGVDAEKPVVLTDWPLREASPETNVNPEVDVSATPTVAEEVVVDGEKSNVKKRGQDCILISCLYPWKTRIKLSLGWITKAREMEGNHLKANMFSCSVIERNTKREVFRNYLEPNGILDTLTIDSFSKS >A06p027800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16228832:16229107:-1 gene:A06p027800.1_BraROA transcript:A06p027800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLSNSLSTFVCLDYLLSGSQISTINVDRYTDRFVDQCSFQQALAIGFEFCLLGFLDQISFVSSNPSTI >A03p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14147259:14151191:-1 gene:A03p033430.1_BraROA transcript:A03p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEAGNLEWRINVDNGATQRLVPKSGSSIRIFLWLKGLVMKVILERLSKFMRKTWKIGADDPAKVVHCLKVGLALSLVSIFYYMRPLYDGVGGNAMWAIMTVVVVFESNVGATFSKCVNRVVATILAGSLGIAVHWVATQSGKAEIFVIGCSVFLFAFAATYSRFVPSFKARFDYGAVIFILTFSLVSVGGYRVDKLVDMAQERVSTIAIGTSICITITVFFCPIWAGTQLHRLVQRNFIKLADSLDGCVEEYFKKKDVSENEDEETNMKLQGFKCVLNSKGTEESMANLARWEPAHGSFNFRHPWQQYVKIGAAMRRCAYCLENLSICINYETEVPDQVKKHFGEACVKLSSASSKILRELAEMINNTRKSSKMDFLVFDMNSAVQELQETLKTVPVKTNKPEEEVPNNKEDRTMSMSLHEVLPVATLVSLLIENAARIQTTVEAVDELANLADFKQDTKKKTGDNKAKQPPQMMSRATYIVGALAGSAVVAYICDKVISDDKIFGGNTGSTPGTITNKAWGVATEERLQAWPRTAGPPVVMNPISRQNFIVKSRPE >A07g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5337836:5343010:-1 gene:A07g502600.1_BraROA transcript:A07g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNEANHYRLRVTTLGMSEVHVDRWEVCCDDRRRIHSVDRWFGQPIDRFYHRKGRVGDRPRSTDQYMEPNQPGNQNVQNVSTEVHVFHRTRQTDRAVYCTVPHTSGKELWLEPWPDDRSDNTGACLSRPTSHLKTYGRARIHFGRAGRGETYLAELDELSELSDTTLELDELSKLNDTSLELNELSNTEDGAGSAAGRNGPFQPKEKFIKSSLWDCFFPNSTSPFLSPFQAHSHQEYQEGVSKEVLVFLGEVISKFRSFFCWTGASHPATIECHHSIHQLSILSDLSSYQPYRKSDPYFCSIKWYQSHSFDIRKPAGRRENSAFEVVKAGSPLKLLSFSLVLLWFTRICSLGDLVCSLRTLRDNSCVTIKFENKMFGLHRKCTKEKSPRPSASQTSFKSALNYFDECVSVQEKPNRWSKKHVNTSKGESGPKRQSFQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVNVELTVLQPEHPSSLVLYQHVFEEEPLDIPHQCPCLNTWISLDEVPEPIFDVEDKPDLVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLPDLQEHCEKSELVISLPDMFDKISSLDVIRFGLDKIKENCFSKSVFGNMINSFKIFEPDRFLDQQRFQNDLGISSEIILSFDQSLEQSKVFDHFEKYLELDLKQTNFCATKSFDSFVFKENSFDLNSSRHRLITDDLCWNRIHLKLKLNFLRSSCENFVDLSVDDILVYNTFFKKCLEFLIVVSQSELKLVCSDIDNDMHVLEMINVVAYLDKILVCNVYFDLHLDKLKSVLLVLGNDILIFDLKKYLSCTFDPGLLVFVLSIQERQVQPLNEIIGHAQQPQIWRSFVVQTGYLGASDRCSVQEGYLNSPKVCCLESNFSRKPTHQGFTEAWNRMKSFTDEEVMNFPNQRFFSPSIREYQISKGYSCPIKKRPEPKTIIGFHMDLPASQKDQNQKEWPWDLEVMIHPPKPARPKTALPSSFSQQTRKNTTKEAAECSPHEKQFELMILHDPNVFPQSTSCPKQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNCRKEHELKLLRPKNSFDFVHDNNFSNLTLSLSFHNSFSPWPDFEIDKSIFGNQLTCLMIAHVLDDYPKCLDPVFGVLRIEKPFDYSFTRFDVVSLVALNKQDKLGS >A01p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19674657:19675077:-1 gene:A01p026270.1_BraROA transcript:A01p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVPYEAATFFWQVFLGCLPVRTNFKTRGIKCYIPSERCGQTEEAGNHVLFECPPTIQRITNLLGFFGIFGNNIMKRFLLVLTKILQILYNWQRQKPYYGWSLN >A07p020870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12304959:12306478:1 gene:A07p020870.1_BraROA transcript:A07p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSHSPFGDTTFTKVFVGGLAWETQSGTLLRHFEPYGAILEAVVTFRDPEAARRACVDPAPIIDGRRANCNLASLGRPRLPMQYSVIPGRMRPASPYVGGHPYQQPVAYSYQQGVMYPYGATPYGPEYIYSQSHGLYGPYTGQQQYLQVYGVPGAVNSPGYQYGQFSQNIPAGHNYTAVQGYSVPGSHIQSPYPSVIAGPSPTQSHIIVQTSQHMQRSSSDQTTG >A02p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7949967:7951137:1 gene:A02p017610.1_BraROA transcript:A02p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPDPRNASGLILSATEPMRSFLTLACDDHRLSEELRDIASDLRSRNTVPYKLLRAIWTGSDPSTRPDLLGLFSGSGFVFTSPKPREKSEELKLRLLKLRDIAERKEYAELVKDITPRKQVEEPFSSYKDQLGFGLHVGLTMFTGYLVGYASFRALFNRNPALSAAGGILGLVLAMLVETLLFIIKTSKDDQIQSSKSSSSFTPTTKKNQ >A01p015050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7314583:7316178:-1 gene:A01p015050.1_BraROA transcript:A01p015050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G22880 protein [Source:Projected from Arabidopsis thaliana (AT4G22880) UniProtKB/TrEMBL;Acc:Q0WWD6] MVAVERVESLAKSGISSIPKEYIRPKEELESINDVFQEEKKEDGPQVPTIDLQDIESEDETIREKCIEELKKAAMDWGVMHLINHGVPVELMERVKKSGEEFFGLPVEEKEKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLVYPEDKRDLSLWPKTPTDYIEATSEYAKCLRLLATKVFKALSIALGLEPDRLEKEVGGLEELLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWIIAKCVPDSIVMHIGDTLEILSNGRFKSILHRGLVNKEKVRISWAVFCEPPKDKIVLKPLPEMVSVESPAKFPPRTFAQHIEHKLFRNKQEELVTEKKDEV >A05g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21764623:21767586:1 gene:A05g507630.1_BraROA transcript:A05g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAMEDQCMVMCGEWVCDNGGKWDFVLDKRQMARLIPLYEGMSLFELQRNVLREFCVEEGLFVAALSYWPPSNLELATGIKTPPVLLTSDGGIRYFLQHLRVKGAMNLFVKFERTSSDDFVDDSGMGFVTPLTCKPKGTSKLSSCASKKNTPFVWSATSKWSSCSTQKEAPFVTAEDCNPKVSGGSSSHCFVTREGPTDAPEEAPEEARRKIPTSRVVNGEDVEFVREVERVEEVINCGSVFRHEEVLSGKNVLEDAVDEVDERDVRPRGYDKDFWSPLLNDDYGGSNAVNVIYNEDELVEDLMKNSGGRSSAKSDSDVKKMVLMPPVTKRQPGRRRKNRIPSIGEYPVTKKTKLVPNKCGRCPIEGHNRSSCTNPI >A01g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8748086:8748706:-1 gene:A01g502520.1_BraROA transcript:A01g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNLCDNDPFLFFVQLFHRVKIFETIELGSSCNDSWGFLRSLVLEFVHLTRLQSLSRICIPFHLQCSDRYSAATALLFPSKSKSSGTRSRPMGLATTFDFLAL >A08p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2327606:2328019:1 gene:A08p004010.1_BraROA transcript:A08p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPASLEQFVEAVKKTVLANNKRARICGLMFLFSVPPPGKGALYIRPLLLGSGAILGVAPAPEYTFLIYVSPVGDYHKA >A03p030840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12955952:12957502:1 gene:A03p030840.1_BraROA transcript:A03p030840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLLDDIIRRLLETNNGKAGKQVKLLEVEIRQLCYASKEVFLSQPNLLELQAPIKICGDVHGQFPDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKLKYKLNFFLLRGNHESASINRVYGFYDECKRKYNVRLWKSFTDCFNCLPVAALIDDKILCMHGGLSPDLKSLDDIRRIPRPIDVPDQGVLCDLLWADPEKEVKGWGENERGVSYTFGADIVAEFLQTHDLDLVCRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQIIKSTEKKIRFGFNNVSRPGTPPHKGGRGG >A03p063920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27796315:27797747:-1 gene:A03p063920.1_BraROA transcript:A03p063920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDDTLSAKNHKALLPEEDPPSQSIAPQDNNNGASSTISISSCFIFTSLLIITCVTLSAVFAFVFFSSHTLKKLDKPVVLLISSDGFRLYPAYHGIINGTEAETGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNKFTDPETGNVFTMASHEPEWWLGEPLWETVVNQGLKAASYFWPGSEVHKGSWDCPKGFCQNHNESVPFDDRVDTILSYFDLPSTEIPSFMTLYFEDPDHQGHQVGPDDPRITEAIVNIDRLIGRLINGLERRGIFEDVTMIMVGDHGMVGTCDKKLIFLDDLSPWIKIPSSWVHDYTPLLAIKPPLGHNAADIVGKIKEGLNSGEVENGKYLKVYLKEDLPSRLHYTESERVPPIIGLVDEGFKVEQKRSEAYKECGGAHGYDNAFFSMRTIFIGHGPMFAKGRKVPSFENVEIYNVISTILGLKAAPNNGSHEFPSSVLLPRT >A08g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10452367:10453659:1 gene:A08g506130.1_BraROA transcript:A08g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSYLHLTAAAFSEMKVDDNAMDVTTFHLALLYFLLIRDFSTPQHSIRLLLFPYLLTRASASQLRREPEDLSVQFLVITMANSQVFLADLKAGRCSNVAEVQREARNVRKGGELTSVDMLVVDENFKERFACVLPAFSFYQRNAVNKVRFQVLLTFKYSIGYSIEDVHPNGGIKKFKSSVYSNFIFSFRLNQNWFPNPIVLKSCRSYNKVRWLCLQIGFKGYEK >A07p013310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7535336:7535762:1 gene:A07p013310.1_BraROA transcript:A07p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKVEEMIWTLESLSYVMMYQLLACVKHENPHNLGRRVSSCLSQPWQGLKAGLYPEGSPSRNSREPKTSLGPGGGLEIVFNQQ >A08p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14452214:14454218:-1 gene:A08p021650.1_BraROA transcript:A08p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GA3OX3 [Source:Projected from Arabidopsis thaliana (AT4G21690) UniProtKB/TrEMBL;Acc:A0A178V5K5] MSSVAQLFKNNPVNHDRIIPLDFTNTKTLPDSHVWSKPELEPEPMTRPIPVISISSPEKVLLRHACEEWGVFHITDHGVPLSLLHNVECQMKRLFSLPMHRKILAVRSPDESTGYGVVRISMFYDKLMWSEGFSVMDSSLRRHATLIWPDDHAEFCNVIEEYQKEMANLSHRLVSMVMGSLGLTHKELRWLVPNITGSRTDSSQSFLQLNSYPVCPDPDLAMGLAPHTDSSLLTILYQGNIPGLEIQNLQAEKSRWIGVEPVEGGLVVIMGDLSHIISNGRFKSTMHRAVVNKTHHRVSAAYFSGPPKNLQIGPLTADTDHPPLYRRLTWEEYLAAKATHFNKALSLFRC >A10p023270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15229042:15230876:1 gene:A10p023270.1_BraROA transcript:A10p023270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVFRATPDSNYLVPRRSRDQQQDTSPDRNRIWSEPRHKPVANRKVPVVYYLSRNGQLDHPHFMEVTLSSGDGLYLKDVINRLNDLRGKGMANLYSWSSKRSYKNGFVWHDLSEEDFISPVQGQEYVLKGSEVLDSCLLSNPRSLLGTTSFRDPRSLNPEKNSGDDIPPAVHRRRNQSWSSIDLSEYKVYKATESSAESARRLAADASTQTDDRRRRRKPAKEEIEEEVVKSPAGCENQSVELSRDEISPPPSDSSPETLENLIKADGRLILRQNESTNDHRTVESLSSGRMRASAVLMQLISCGTMSFKECGPVLLKDQGLSLTGGSGCTVTRGTGDNCLERAEKELQSFGRVKLEDKEYFSGSLIETKKELVPALKRSSSYNADRSSRMGPTTEKDEEEAVLAKCIPRKPKSVGLRNNGVHQ >A03p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10965861:10971201:1 gene:A03p026040.1_BraROA transcript:A03p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILATIAIALAATIFIVLSFSIYLTIRIFTGKFIRNKAYSPVHATVFDLLFHSDELYDYQTELARKKPTFRFLSPGQSEIFTADARNVEHILKTRFENYTKGHSSRENLADLLGRGIFAVDGDKWRQQRKLASFEFSTRVLRDFSCSVFRTNACKLVGFVSEFALSGKSFDAQDMLMRYTLESIFKVGFGVELKCLDEFSKEGEEFRACNVATSLRFIDPLWKLKRFLNIGSQARLKKSIDTTDKFVYRLITTKRKELAKEQNTAVTDDILSRFLVESEKDPENMNDKYLRDIILNFMIAGKDTTAASLSWFLYMLCKNPLVQEKILQEIRGVTSSHERTTDVNSFVENINEEALDRMQYLHAALSETLRLYPPVPVDMRCAENDDILADGHRVKRGDNVYYMAYAMGRMTYVWGQDAEEFKPERWLKDGVFQPESPFKFISFHAGPRICLGKDFAYRQMKIVSMVLLHFFRFKMADEKSNVRYKRMLTLHIEGGLHLHAIPRTSKRKVRVKKQKAKKAMEEILATISIALAVTIFIVLSFSIYLTIRIFTGKSRNDKEYSPVHATIFDLFFHNDKLYEYQTEIARKKPTYRFLSPGQSEIFTADPRNVEHILKTRFDNYSKGDNGRENLGDLLGHGIFTVDGEKWRQQRKLASFEFSTRVLRDFSCSVFRTNACKLVGVVSEFALSGKSFDAQEMFMRYALESIFKVGFGVELKCLDEYSKEGEEFMEAFDEGNVATSFRYIDPLWKLKRFLNIGSQSRLKKCIDTIDKFVYKLITTKREELAEEQNTAVREDILSRFLVVNEKDPEKMNDKYLRDIILNFMVAGKDTAAASLSWFMYMLFKNPLVQERIVQEIREVTSSDERTTDVNGFAKSISEEALNKMHYLHAALSETLRLYPIVPLNMRCAENDDILADGHRVKRGDNVYYMAYAMGRMTYIWGQDAEEFKPERWLKDGVFQPESPFKFISFHGGPRICLGKDFAYRQMKIVSMVLLHFFRLKMADEKSNVRYKRMLTLHIEGGLHLHAIPRTSI >A06p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4102364:4103549:-1 gene:A06p011160.1_BraROA transcript:A06p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRILTIRTDAHLIAEKTGGKRKETIWRLLGLIKTGEIRVHENLEELSTGLVDYIAEISEASILKHGAFCIVLSGGSLISLMGLLDRSPNGLFNRKLIESLYNKIVDWDKWYVFWAEERAVNVFPRHIYSINDRVSVDKAATEYEFAIRQMVKKRTVTPSENSECPKFDLFLLGMGSDGQVASLFPNHSALEVKDDWVTHPTNSPKPPPERITFTLLVINSAANVAILATGASKANAIHFEI >A04p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20374179:20376836:1 gene:A04p035300.1_BraROA transcript:A04p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTGNKLERHNLEAEKRLKKVEQDYLHTSQKYIESDSQLQSLYNERRRADSLCSSAEAFTDWCNNLVKKLHEALDKIPTTEETIDHSLQFSMSNSVESLEDEQWQKLDCEAIRDFREVSRELYYIQRKMPRSESRRSIQGLLNEVKEKNKCKDKAVLTCTLIRQCARDLYSDSKRKVKHLWNKKDHLSGQWDEEKKHMLGNKEKHERRIGAYPEAEYIKMQRTNPSLASMASSSSSSPLKFIEKALLATGPFALSYTDPDQKWVIRKHLTSFLQDFSNFDLSTDTFNHNNGTTVQLFRLDGSLRTPQQSTAVQLTIWVHENYPLTPPLTDSIPTKTRSVSRPEALDRLVTSLHYDVLAIMSRSEEEIESLWRLQTEVKQRSESVRTIISDLETERETLKENVLKLEEDTDVLAIWVERNYPKLMKATSKDVGVEEMFEMEEEKKLLVESLAADKAIEDVLCNLEEASRRGEMEIGSYLKHVRVLAREQFFSRHHHLYM >A04g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8576120:8576546:1 gene:A04g504090.1_BraROA transcript:A04g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPAEEYRQMKAWKIDTNMLGCVTDAECGILTRCPCGGGNHQRGVSEPENDGFHFRQPWVFGVQEEVEMLRKRVDAMAAEIAELKYNLTRQNPTTP >A02p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13338597:13340710:1 gene:A02p027520.1_BraROA transcript:A02p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAFSVSSPPFVPSSCKIRRPFLLLGSKSFKPVSVRASVGNPSISIDDKASVQTKTSKWQWKFKGNSIGIHYEEHEGEKSESAKNILMIPTISDVSTVEEWRSVARNIVQRDGEVNWRATIVDWPGLGYSDKLKMDYDTDVMERFVVDFMNSPESPMSQAGNDDIVIIGGGHAATLAVRATQRGLLKPSAIAAVAPTWAGPLPIVFGRDSSMVTRYGLLRGTLRAPGVGWMMYNMLVSNEKSIESQYKSHVYADQSNVTEAIIQSRYELTKQKGSRYVPAAFLTGLLDPVSSRDEFLQLFADLEGKLPVMVMSTKGAPKRSKAEMEALRGAKGVSTFVEVEGALLPQEEYPSLVAQELYNFLQETYASSK >A01g511370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32393170:32394791:1 gene:A01g511370.1_BraROA transcript:A01g511370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFKEDEVTEVQGVDSKGVSNRARSRSRRRSQSRARARARALSSPPGGTEVGTIGTQLGEEPVVALEGLEEGSKSSEVKVKFQKFQKRLRIRVRSGARRVPWRQERMILCGLLNTRRTFGVL >A09p072490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55553597:55555668:-1 gene:A09p072490.1_BraROA transcript:A09p072490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPARNSARGGCFDGESEFLATSCDFTINPEENFPVFADHGDLLDIIDFDDLFGVAGDVLPDLEIDPEILAGDFSASSSSEKTDSQGETNKKGISGEVVSKRDEDERAMSEKMVNYVDGDSNRKRKYSSSSRSSKNNSNEGKRKVKKVDWTPELHRRFVDAVEQLGLEKAVPSRILELMGVHCLTRHNVASHLQKYRSHRKHLLAREAEAANWTRKRHIYGLDSTGVNINGRNKNGWIAPAPTIGYAPPPPAAVASPAIHHHHFRPLHVWGHPTVNQSVIPHVFPKHLPPPSTAMATTPFWVSDNPYWPKVHNGTAPYLTNVATRFRAPPVAGFPQAMPPHHTVYKPDHGYGGSRSLVDLHPSKESVDAAIGDVLTRPWLPLPLGLKPPAVDGVMTELHRHGVSEVPPTAPCA >A07g501930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4072667:4072891:1 gene:A07g501930.1_BraROA transcript:A07g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAERKTVEEREGDERCGESSECCEGDGGYEAELGAGITGYDGGRGLEEGRWSQGTVGMLRDSRALTCCGLWV >A08p028640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17847114:17851128:1 gene:A08p028640.1_BraROA transcript:A08p028640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVCNRPLDKYYHLAKQRGFRSRASYKLIQINAKHSLLHKSHAVLDLCAAPGGWMQVAVEKAPFGSLVLGIDRVPIIPVRGCVTIQQDITRPECRSKIKQVMEKHGVRAFDLVLHDGSPNLGGAWSQELMSQNALVIDSVKLATEFLAPRGNFITKVFRSRDYHSVRFCLQELFEKVEELKPTASRSTSSETYLIGLNYKAPAKIDPRLLDYRHLFKETAEPTRKVVDVLGGSKQKRNRDGYENGESILRKVTSAADFIWSENPLEILGTVTCISLDDEASLPLKEHDLTTEEIKILCDDLPVLGKNDFKHILKWRMQIRKALTPENKEVAKKEPDVGKEDEENEDDRLLNELEEVTNAADRKKKQAKKLLAKRRAKDKTRTATNPQIDALEDGYVDHELFSLAAIKGKKDLMAVDNEEDDNASANDSENDDDRASDDSKDSDIDSDEERQRYTEQMEEMFDEAYDRYMAKKEGSAKQRKRARQAHAEKLEEGIGDEEMKLDYDSDMHKEMDEGNPLVVPLDDGETQTKDKISNQWFSQDIFAKAVEEGDLGEDDSEQKASKASVLTDQSLPISSKKGEDFEIVPAPATDSSDSDSSSDDDELLTKAEMLACLLPKWFLDDEKHHRQPMKPITKEEGIAMKAQFREINARPAKKVAEAKARKKRAAAKRFEKVRKKANVISDTADISNRSKDKMIDKLYKKAAEPRKAKKEMVVSKKGVGVKVGKGQKGVDRRMKSDARQRGGGKPGRKGMKSATGKQARKSD >A03p037810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15802553:15802868:1 gene:A03p037810.1_BraROA transcript:A03p037810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAFFFIFFLVSLCMVTVTVGDICHTDQDCIDIGIPRCKRTGRMPICYNGYCSCFAKRPPPAAPTTPSWTTTNS >A03p007350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3038804:3043102:-1 gene:A03p007350.1_BraROA transcript:A03p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQGHFRNILTYINSPLAPLFFNIVRLAINLLTSTMVKICCIGAGYVGGPTMAVIALKCPSVEVAVVDISVPRINAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETTEGFAAVNALKDVYSQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRIVSSMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARISIYDPQVTEEQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVSVAWDAYAATKDAHGICILTEWDEFKKLDYEMIFENMQKPAFVFDGRNVVDAEKLRKIGFIVYSIEQHQRGVLRPLKNTHSPKEDEPTHQKRDLSCYYGLRQNPKKTTHKSFALESSEPRKKILFRCGECGKGFRYEKCLSNHQAGVHLSTIQRVCEESIKSLCGSFSLVRKKKRSSVVRYKKTSFTTFLGSSSVFGESDEELEVAECLIMLSKSSPKDVVNGKKLVGEATDVIPERLNGYLRGKKVSKVVEFEYGFLSNEQKLLEEGESNEIDQQLVGEAMEAVPETIHGYLRGKKLEERVSIHEASKEPASFLGGSSEIDQQKQRRACGFDSVMISGYETWKEAASFLGDKFELGVGALECSESDDEIVTESSGKGDAEHQCRLCSKVFSSYQALGGHQTSHRMSKSKSKKSCREESVELDDDAAQV >SC179g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:50217:52637:-1 gene:SC179g500020.1_BraROA transcript:SC179g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVCEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A08g510730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25109372:25111920:-1 gene:A08g510730.1_BraROA transcript:A08g510730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p059850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35675125:35676037:1 gene:A02p059850.1_BraROA transcript:A02p059850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKSSVYTEKNWMFPEGVAANQCVMKKEATQNMPKGQIVGWPPVRSYRKNTLATTCKNSDEVDGKPGSAAALFVKVSMDGAPYLRKVDLRSYTNYMELSLALEKMFTTFTLGQCGANGAAGKDKLCETKLKDLLNGKDYVLTYEDKDGDWMLVGDVPWEMFIDVCKKLKIMKGSDAIGLAAAPRAMEKSKMRA >A06g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8867083:8867714:-1 gene:A06g502730.1_BraROA transcript:A06g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSRDHLYFSCSYTWEIWYSVAGRSGFSSPRVWNEILRDLQKLKTPTNTRLLALLAWQASIYCIWAERNARLHRSRFRPPSAIVKEIHTIVKLRIASIRIDDPHLASVLFQAWVS >A01p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16158651:16160339:1 gene:A01p039900.1_BraROA transcript:A01p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIDVLWSLFPLYIFRSARDSLSETESILQVVPETMAASSSTILCNASESDLCRDDSAAFLLKLVAIASILLAGAAGVAIPLIGRNRRFLQTDSSLFVTAKAFAAGVILATGFVHMLAGGTEALNNPCLPEFPWSKFPFPGFFAMVAALITLLVDFMGTQYYERKQEREGADPSDEQLGLEQSPGIVVPVVAEGGDDDDKVFGEEDSGGIHIVGIHAHAAHHTHSHPQGQGSCDGHQKIDIGHGHGHGHGHVHGGLELGSGARHVVVSQVLELGIVSHSIIIGISLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPIGIGIGTAVASSFNSHSVGALVTEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIVSYMMLFLGAGLMSSLAIWA >A02g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15800817:15802200:1 gene:A02g505020.1_BraROA transcript:A02g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPYERRQSKRWGKRRSKRQRADGPSDGHIKMDSHGTSYRKCEERITKSNAAVQALTWKAIDSQTLNKDNEMENNRVSDGDVEMKSHREVMLDTIRPDLHVINNSERAISLKDDGPVTLKPNQAQEEASSKVFPINLLPTSFCAKYLIMFSAVNLSVHSNLIVNNIGPENTNRCDAAATIEGCSEVSEPNSLQTDPVVNTKEETTGADKGPNYKGS >A10p016740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2811975:2815635:1 gene:A10p016740.1_BraROA transcript:A10p016740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAATIGFPQAYLEGKEVKETSSLATELCRHFYTQGWVSGTGGSITMKVHDASIPKPDQLIIMSPSGVQKERMQPEDMYILSPNGSIISAPSPKPYPNKPPKCTDCAPLFMKNRRLSLGKRLRKLGYKAYEMRNAGAVIHSHGMESCLVTMLKPQAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYENELTDSLTKAIEAYPKATAVLVRNHGVYIWGDSWIHAKTQAECYHYLFDAAIKLHQLGLDAATPDHGPIRRHVHSQNHISTKLSVKSGVKDSQNQTEPPRRCIVLDIEGTTTPITFVTDVLFPYARENVGKHLNLTYDTAETQEDIKLLRSQVEEDLRQGLAGAVPIPHADEGKEKVIAAVVSNVEAMIKADRKITALKELQGHIWRTGFECNELKSVVFEDVAEALEKWHSSGIKVYIYSSGSRLAQRLLFGNTNYGDLRKYLSGFFDTTIGNKKESKSYKEITETLGVDDPSEILFVTDVYQEATAAKTAGLEAIISIRPGNASLPENHGFKTVTSFSQI >A05p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3153328:3156673:1 gene:A05p007790.1_BraROA transcript:A05p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRKRDAGDDQPNDDGEDASSTIDSRSNAAAGSEREAGVSPDPLTEQGGTLGEDRVLRWLQALDMQVLGACRGDERLKPLLKLDVSNGVAEDRLLAHLSQHFEPAEIGMLARCFCIPLVSIRVGKINKEGNLMRPTPIRGNLSLMVLPTSDLRLSFIGDNGHSEQLFTYANKSHCSAVSIEEIAADSSGRSFVIRIANGNAFYYWCSEKSKLLGVELRRKMDELIKKKPSVSELTGIEESRLGSVASHLRLYLMGSVVPNNKGCLVPTPPDTSSSSGSSETTATSSASASSISLQARHSGTQLSKSQGRSSSFEENTLRNPSLHISSRDESMQCSEGYFSIFDSLPITSVPTSEEGEEAADDSNGIGQILASEDIGLSLLTLPPQFPMKMGPPVFSPYYCWCPPSTSSIHAPSASYQFPPLSIELPLLPPLSSLLPSSGSDGFLIPSSPLDLSDIPPLPLVHHIQIPGTSSSPSSQQQMVIPIMCDPIVHIPVMDICSSGQAYLVSAGPTGMIPTGMPPLSVENESLMEKGARETLRLLISGASGTTSAPLNHHHGSRGLYNGTRDVSGVSLFAPIGLNQTNAGEGGDGTGESVSSGEAEHVPPKETSG >A08p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25288116:25288666:1 gene:A08p046470.1_BraROA transcript:A08p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIVIAADRRDKETKVWKQKIKATSEIKSASETEQREVDLERDKVLKAVTSFTNNEMGSQEARPKPIQYNKETTAISTSETKHKQKEKQCGPATYGTEQPMALRNRFDLLESNEDELPK >A07p028750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16215225:16220206:1 gene:A07p028750.1_BraROA transcript:A07p028750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKEKRIIMFPLPFPGHFNPLVQLARIFHHRGFSITIIHTSFNFPDTTHYPNFTFRTIHHHNEGEEEEDPLSKPDASGMDLVTFIRQLREGYANPFRESLKAEVGGGERACCLVSDVVWGRTTEVAAEEVGIRRMVLTTSGVASFCAFAAYPLLRDKHYLPIQDSRLDELVTELPPLRVKDLPVMETNEPEELYRVVNNMVEGAKSSSGIIWNTFEDLERIIVLMNFSTEFQVLVFPVGPFYKHSENILPTTKNKEDHVTTDWLNKQDPRTVVYVSFGSLADIEEKEFLEIAWGLRNTKQPFLWVVRPGMVQGTEWLESLPCGFLENIGQKGQIVKWVNQLEVLAHPAVGAFWTHCGWNSTLESICEGVPMICTPFFTDQRVNARYIVDVWQVGMILERSKLDRKEIEKLLRKEMGEEIRESCLKWKDRANVCLSKDGSSSKRFLQGLDPKTTANLFAESVCMGGGGGKAVNDEECFVLMLETQPSGLTTRSKSGMETLKHTVWGCFSQRTGLLVQLEDSYLVRIKTGPEEEDVLWETTSETMIQGYKRVDGIQIAHRGRTRVALLRLDESLENHSKTTMEESWEIEEVGLNKWL >A09g511110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33682594:33686006:-1 gene:A09g511110.1_BraROA transcript:A09g511110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISFVVFVFVTIYIQKSNSVDLKYLSAFNFGDSNSDTGDLVAGLGVHLDLPNGQNYFKISSQRFCDGRLVIDYLMDAMDMPFLNPYLDSLGLPNFKKGCNFAAAGSTILPPNPTSVSPFSFDLQISQFIRFKSRALELLAKTGRRYEKYLPPSDYYAKGLYLIDIGQNDIAGAFYSKTLDQVLASIPSTLETFEAGLKRLYEEGARNFWIHNTGPLGCLAQNIAKFGTDSTKLDQFGCVSSHNQAAKLFNLQLHALSNKFQAQFPDSNVTYVDIFLIKSNLIANYSQYGFENPIKVCCGTGGAPLNYDSRISCGQTKVLDGTTVTAKACNDSSEYINWDGIHYTEAANHFVSDQILTGKYSDPAFSDQMPFLLSIKL >A09p064980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52157596:52159095:1 gene:A09p064980.1_BraROA transcript:A09p064980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGQRSTHDSLLTHHPVLCIIALSIIFIAIDPFNISPVGGREFKPVKHEVAPYKQVMDNWPRDNLSRLGHHGKLEFVDEVFGPESLEFDSLGRGPYTGLADGRVVRWMGEAIGWETFSVVTSKWSEKTCARGVDSTTNKQWKHEKLCGRPLGLRFDKESGNLFIADAYYGLLMVGPEGGVATPLATHVEGKPILFANDLDIHRNGSIFFTDTSKRYDRANHFFILLEGESTGRLLRYDPPTKTTHVVLDGLAFPNGIQLSKDQSFLLFTETTNCRLVKYWLEGSKRGEIEVVADLPGFPDNVRMNEKGEFWVAIDCCRTPVQEVLANNPWIKSIYFRLPIPMKLLATAMGMKMYTVISRFDEDGKVLEVLEDRHGKVMKLVSEVREVQGKLWIGTVAHNHIATLPYPLALN >A05p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9151833:9154876:1 gene:A05p019560.1_BraROA transcript:A05p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED IMPAIRED RESPONSE 1 [Source:Projected from Arabidopsis thaliana (AT4G15090) UniProtKB/Swiss-Prot;Acc:Q9SWG3] MVNPDVAKLKMDLQESDNNQMSDANMVESHNNNNGGGVVVDDLRTGGHLGVEPRDGIDFDTHEAAYTFYQDYAKSMGFTTSIKNSRRSKKTKDFIDAKFACSRYAIELSEEGCVSEENYNIVLRTLVETLKNCVDLNHARNNFADSNSQLNNGAHEEENHVLAAVKATKKKTVVRKRKGQPEAGQMLESQPSLQPMENISSEGMSISGYYGPQQNVQGLGQLNSIAPVQDSFFTNQQAMPGLGQMDFRPPPNFAYNLQDEHLRSAQLPGNSSRQL >A02g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19441980:19442896:-1 gene:A02g506900.1_BraROA transcript:A02g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILLSDLKFGQCCSSAVEVRLLRFWEDMNVKRGDELCSSKYDDNSGTNLASYFIDAWSLVATLKNLSEESLSTESKQTAMKDYGACSSQEAETGLWRLRSEPPSIDGYGGRIVTGVSVWCVLQRPT >A01g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9377737:9378792:1 gene:A01g502810.1_BraROA transcript:A01g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGTGVLLEHNKEKDNEKTSEASVSSSSQSISVSTLADQVSSTLSMAQSGGSKSSGEVKLNEHSDLAETGKRNTYRPSTSSDISDETILHLCSNDMLFSTVLHK >A08g504580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7592440:7592658:-1 gene:A08g504580.1_BraROA transcript:A08g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYHLFFCNPANGTVEKLPLRKRQKASPLSKVDDLFTQKESVEDANEMNALTTITRKLFNLIEGREIRQKQ >A03p005620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2355115:2358058:-1 gene:A03p005620.1_BraROA transcript:A03p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKSSNGGREVVINVSGELSSSKMASPDSAINKTSSPEISKLTGSPHKPPRSPNLSNAGLIQRKSFSRSVYSKPKSRFVEPPCPVDTSNLEEEVKEQLGARFSFRRASPNNNSTRSVGSTTPLTPTKAAEAEKDEDEEIYKKVKLSKEMRRKISLLTLIEIAFFLAVLASLVCSLTIHALKKHTFWGLEVWKWCVLVMVTFSGMLVTNWFMRFVVFLIETNFLLRRKVLYFVHGLKKSVQVFIWLSLILVAWVFLFNRDVDRSQGATKILTAITRTLISLLTGSFLWLVKTLLLKILAANFNVVNFFDRIQDSVFHQYVLQTLSGPPLIEEAERVGREPGTGHLSFASVVKKGEVKEKKVIDMGKVHKMKREKVSAWTMRVLMEAVRTSGLSTISDTLDQTAAYGDGKEKGDRGEITSEMEALAAAYHVFRNVAQPCFNFIEEEDLLRFMIKEEVDLVFPLFDGAAETGKITRKAFTEWVVKVYTSRKALAHSLNDTKTAVKQLNKLVTAILMVVTLVIWLLLLEVATTKVLLFFSTQMVALAFIIGNTCKNLFESIIFVFVMHPYDVGDRCVVDGVPMLVEEMNLLSTVFLKLDNEKVYYPNAVLATKPISNYFRSPDMSETVEFSIAFSTPISKIAHLKERIADYLEQNPHHWSPIHTVVVKEIENMNKLKMALYSNHTITFQEYSERNIRRTEQALAIKKILEDLHIDYSLLPQHVHLTKSDKN >A08g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7517898:7519835:-1 gene:A08g504540.1_BraROA transcript:A08g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDKDKNMENPYVVQKGCDRTQIEHQLVRIMDTAQGVIFQPTQQVKTDGRARIHFGRGGRSVTYLDELSELSDTSLELNELSDTEDGAGLVAERNGHFSAHREIHNKFNLGFFRMRPKAWADHNQTANLDAGRLGGWFESHHRLGGWSQRLGMSQKARVAKGCELPKSVSDQKVISNPYGSVYDLLSQYKYTVYISQGPRPDQDMVDKFILSVIIWIEELRMVLVKPRSREGSVSESLCIVWLDDAREELVIVYETVKKL >A03g502590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8150570:8150827:-1 gene:A03g502590.1_BraROA transcript:A03g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTQLSQQHFSHISNTLSARLCSPPEKSLSAGVPFFRPAPPVLSLFSTRRSVFSPPDHLSLSAGNSSLSQIPANSHGGPLRFLW >A08g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1923179:1923498:1 gene:A08g500750.1_BraROA transcript:A08g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIGQIVSVGSLCELTAKGNPTKKIDIILRDTSGMHLPCTLWGDYATQIFEYSNKHKNSKVVCVFRFVCIKEYK >A09g512300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35947635:35948563:1 gene:A09g512300.1_BraROA transcript:A09g512300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHALPGTLFFVVGVWHIWSSVVRFVSNPNSFRVRVWHPVPGFNGRIKYLELYVVTIGSLIDLCIEFFYSTHLNFFVNGVLNPSHMNDFEHSGMLLMFFILGFIALLSEKTSRFTVMPFSCATHRLLPLPQEALCLIAATAFTAEGLLFFFHSTSHKGLEGYYHLLLVFLVGLCVISSIAGAICPSSFPVDLCNGIAMTLQGLWFYQTAFTLYGPMMPQGCGLKGNSVVCRSVDSVVSGEFLANFQLFSLVLAVLACVVGSYVFAVSRFGTSK >A05g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18762619:18764680:-1 gene:A05g506620.1_BraROA transcript:A05g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLGQKHPDGRIKTQKHPFKLELASIRRTWTVQSIKTRAHVQINTRTVHGKGQHADMCTDMVHQLSKISTQTVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADICTDLVHQLSKFSTRTVHGKDQNADMCTDMVHQLSKISTRTVHGKGQHADMCGQHAYICTDGTATDVLCVLNRQPTWAKITRTVHRKGQRADMCTDGQSMDVLCVLTDGHGRPVCADGHTDTHIQQPTWAKITRTVHGKGQRADMCTDGQPDVLCVLMDSHGRPVCADGHTRTLMLAGQMNIRRMSGDWIASVCRLCASAPNYRPTILLSFFTNIVLCLFN >A05p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14729042:14730839:1 gene:A05p028570.1_BraROA transcript:A05p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSLSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHLGIEEEDVSRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTYKHRLSLKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDYSMLRGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGVLNLLGSAIEAGHREAMVYRFKAEKAERDLARMQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPLIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A06p047070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25050367:25052712:-1 gene:A06p047070.1_BraROA transcript:A06p047070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGEDRSASWNWQVQNYDHQPQSHFSDVTMTEVTLNQEDHSYMFDDHSTPVKACGELGYHVTTDETTKKLEVQSETRSAVKRRRMLLFDEQPMETSLFSSESFSSILKSSAREETFDELLPEGSQLIEGFSADASASSLDLEGLDLYAEEWYADCLNDAETPILPDDLSFGESFGSPDVQVDISVLAFLDTIPMYGAEYLNVPPEPEAREVRRPMTRSSPNVIFKGRKSFARPVPRLPSSIIYPFAFIKPCGVHGDMTLKDINQKIRTPAAKPKEDKLEPPVIQTSAFSGKPVVGKTKIRTEGGKGSITIMRTRG >A05p014390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6276299:6288243:-1 gene:A05p014390.1_BraROA transcript:A05p014390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLKQLCDELGVCKEEALFYLEGFRWDLNAAMEACRTKTLPSPAQPPSSENERTAAEEQRRNDKIARVIELSNGSSSVADATKYLSDNNWSLEHAARAFCAHRFDKPEKKSQHGDTEPWYLHGFSGNEGSTDTMDDVWSKVPPFRHTSTNVRIGSPPPSASTPSFELKPESIKQFRDLLPEAPSQAVIDCLNHCKGNVKNAISYFNDGYSKSRSGIATAQVVVCNLLFLSTSTCLLLSFDSVLFCLLYDQKKFSGMAVDQDSSPESPLVEESSSPTQTRELLNSLKQDELIDIFVDAADGVVTRDIATIYLKASNWNIEQAFSCLVEEDKTTPVQEDSQEVETGSSSRDLKENRDLPLPSMGSLPSQSQFESSYVSSGPTETQKSLEEAGEGDVTVAVPGMASSQVNDKAVEEGSSVETVPDPFANRDRTTVETQAAPSTIMITIRLADGIGTTLELPFRSNQTISDIRNAIDQRYPDNDRGYNLQSMDGVDYMDWNQICDELGVCKEEALFYLEGFRSRWDLNAAMEACRTKTLPSPAPAQPPSSENERTAAEEQRRNDKIARVIVATGATVKEARSYLSRENWNVDNVCLSFSGNEGPDTMHDIFSKVPPFHSTSTNLRIGSPPSASTPPLELKPESIKRFRNVVSDASSQAVIDCLNDCKGNAEHAIQYFYDVYSLKNETPPESLPDEIKEELMASFSSTTGEIRQVAKVYLEQYEWNLVVAVDSFFKHSDSDKQTSALNNRGPPLPTETQKSLEEAGEGDVRVAVPGMASSQVDDKAVEEGSSAETVPDPFANRDRTTVDTQAAPSTIMITIRLADGIGTTLELPFRSNQTISDIRNAIDQRYPDNDRGYNLQSMDGVDYMDWNVTVYRVTRHDSRTLMQIYP >A05g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21510749:21512636:1 gene:A05g507530.1_BraROA transcript:A05g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKQTWDKTIATPSLPHQTTESSYNIGEPVQCPPQPFQYLCGGHTNAEEMRAFETQLSLLKDQVCESDQKLAKLEKTLCDELCKKTSWVTILGVCLLLSLLLLIAVIILGGTASKDSRRVLSDVETVFKDIKLYHTGTCLLSVLLVENLLDLIAVVSVTYCIVNVPLTLIHPNEFGSVANHLSLLGPKLSNQKLLDRLLAKKVPLTEMETSLKLKLMSEMFSSKSEASHGCLWSGE >A09p001460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1086302:1087621:1 gene:A09p001460.1_BraROA transcript:A09p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHFNPTNVDLVNMLRWRIEREQPSGFITDMHNLYEKEPWLLQHVRHISFNENDWFYFTRTKKAADSKRPSRKVGESGRWKTTSTWTQINNQDGVMVGSVKHFSFKAKSETVYDGITTGWVIHEFILEDKPGFQELVLCRIRFCKREDNAQYAARLTPIVIGLEQEDGVAAAAAPVETHQAQGMEQWTGSCSGEAVTYSAPQQTMAQGSNYPMLSAGGMEKHQEFGYYGQQHNHILGQMKQYGQGIGDMVEYQNQYLGQQQNHILGRQATYVSPSAQTMEEDQQQWNGYFGPYSAQPYDQGMMEQQDFGSSVLGLAQNDQYLGQNSELPPVPMKKTLQQHDNNVIRNSAANLQLEDQENVVIDFLMNEDFIELHEGIVGSPTDKELIQETESEMVNFGNEEWAQQLGQNTPEEEAELHAALANCETFESFLNFFCPTK >A03p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12969883:12973763:-1 gene:A03p030890.1_BraROA transcript:A03p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFAKPENALKRADELINVGQKQDALQALHDLITSKRYRAWQKPLEKIMFKYLDLCVDLKRGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFLHLSTEKAEQARSQADALEEALDVDDLEADRKPEDLQLSIVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDMPDLSAPESLQLYLDTRFDQLKVATELGLWQEAFRSVEDIYGLMCMVKKTPKSSLLMVYYSKLTEIFWISSSHLYHAYAWLKLFSLQKNFNKNLSQKDLQLIASSVVLAALSVLLFDRAQSASHMELENEKERNLRMANLIGFNLEPKFEGRDMLSRSALLSELVSRGVLSCASQEVKDLFHVLEHEFHPLDLGSKIQPLLEKISKSGGKLSSAPSLPEVQLSQYVPSLEKLATLRLLQQVSKIYQTIRIESLSQLVPFFEFSAVEKISVDAVKNNFVAMKVDHMKGVVIFGNLGIESDGLKDHLAVFAESLNKVRAMLYPVPSKASKIGGIVPNLAETVEKEHKRLLARKSIIEKRKEDQERQQLEMEREEEQKRLKLQKLTEEAEQKRLAAELLERRKQRILREIEEKELEEAQALLEDTEKRMKKGKKKTLLDGEKVTKQTVMERALTEQLKERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQRRLVEEREFYEREQQFLKRPSPFQREVELSRERHESDLKEKNRLSRMLEFKVRVFKCQVETFQGEVISRRQAEFDKIRTEREERISQMIRARKQERDIKRKQLYYLTIEEERIRKLQEEEEARKREEAEKRKKQEAEHKAKLDEIAEKQRQRERELEEKEKKRREELLKGTDAPPTRPAEPTAAPAAAAAQPAAAPAQGSGKYVPKFKRQTAEVSAPTQTPPAADSDRWGNRGPPPADDHWGSNRGGPSQKPDRWVPGSRGGDRPSGGDAWRSGEERRSPFGSSRPRPAQR >A07p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14036721:14037482:1 gene:A07p024380.1_BraROA transcript:A07p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPNYLLSCVHQNQCGIKTIEELRHSLLHTRVELEQTIRVAQEELNAKDNHIMQLKDLLNKTIKEKDEAQKRYKKLLLDKNIILQHQTVDEQDPHLSGLLSSDGEESVVSCFEPPMQLELDFPDMTLPEKGKLLNAVLKAGPLLQTLLLAGQLPQWRYPPPQLESFEIPPVIIPEATPLSPNSCGSNLNRKRVHCDDSSSKRETKYQRLLFP >A03g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3588395:3590313:1 gene:A03g501200.1_BraROA transcript:A03g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRVSMLLLLLCVIAGSCLPSRLASSVDVCDHHDEFEVFRCGIQRKCPPFLYPRLPLEVDGDLLDRLVDVHNHGNAYTSILFYSPRCPFSSSVRPKFEALSSMFPHIGHLVVEQSQALPSYEPTSAIEYYFWHLLRSLLTDLAIGRVFSRYGIHSLPSILMVNQTLKMRYIGAKDLASLIQFYQHTTGLKPVQYVDEAEPNLIKWLHNGSSIREIAERDPYLVLSLMFLSLKLAILIFPIMGARLKTLWAAYVPHLSLGILGETSQLFGRALHMIDVRRLWIKQRLTKTRDFQERAKNALASVSLGKSTSQSA >A03g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31022063:31023010:-1 gene:A03g509610.1_BraROA transcript:A03g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEDRRHSKRQNHHINMLGFVADSEYGIPRRCPCGERLINEVCGKEDYDTLPGKRFFTCKNYEADGFHYHQPWVIGMQEELERLTKRVEEAEQVMMGVSHLTKQIETLEEQVKLLNEQVYDLNEQTYKLL >A05p042280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25575010:25581507:-1 gene:A05p042280.1_BraROA transcript:A05p042280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSTKERDAPKLLEQTRMERNRRLLQKQQNAAALKIQKFFRGRRSVAIERSKVRREFCETYGNNCQNVDRHCFEPASSFLRQLLFFFKAKNSGDFVILVETCRRLKSFVHSNGDILSLFSGLDYSAEHNLVDFRVKKLAFTCIEAIHQNSRDRLRDQLLVTTEETSSSTAILMETMSLVLDPKLPWVCKTVSYLQKRHIFKLVRDIVITAKESSRDQTMTGNILSLERVLILVVPHVGREPCYCPVVDPRWSFSSMILTIPLIWQLFPNLKVVFANPSLSQHYIHQMAFCIQKDTHVLPIDTSAEFPGYACLLGNTLETANVVLSQPDCSLDMAVDIASVATFLLERLPPVKSSERESKQSSSEEDDMLIDDIPELVLNKTLEQQITTNAIDSRFLLQLTNVLFHQVSLGTQPYDEDKEAQAIGTASSFLYAAFNTLPLERIMTVLAYRTELVVVLWNYMKRCHENQKWSSMPNLLAYLPGDAPGWLLPLVVFCPVYKHMLMIVDNEEFYEREKPLSLQDIRLLIIILKQALWQLLWVNPLTQPSTGKSVSNNLSKKNPVGLIQNRAGVVVAELLSQLLDWNNRQQFTSSSDFQADTVNEYFISQAIAEGTRANYILMHAPFLIPFTSRVKIFTTQLATARESHDHGSQAIFARNRFRIRRDHILEDAYNQMSALSEDDLRGSIRVTFVNELGVEEAGIDGGGIFKDFMEKITRAAFDVQYGLFKETVDHMLYPNPGSGMVHDQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKHKYNYLNDLPSLDPELYKHLIFLKRYKGNIAELELYFVILNNEYGERTEEELLPGGKDMRVTNENVITFIHLIRQQSSHFLRGFQQLIPKECIDMFNEHELQVLISGSADSLDIDDLRQNTNYTGGYNAGHYVIDMFWEVLKSFSTENQKKFLKFVTGCSRGPLLGFKYLEPAFCIQRAAGSASNEAVDRLPTSATCMNLLKLPPYQSKEQLETKLMYAISAEAGFDLS >A07g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21201080:21202875:-1 gene:A07g507660.1_BraROA transcript:A07g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEIICGLLIYKFVRRFFKDDEISDETSSDSTALFSVAHRLEKLYGGKAYVGLRIPDADTSSRQDIDLVLLTKGQVVVIGVKNLSGIVTVASDGSWVCEAGKHDTTQTYPDPLVEVKKQASVLESYLEQRGVTLLEENLSCKVVIPNPNFRTMHAFPSEVITYEEWKHLKPVSRKTLSGWVKGALWTGKEMQESSHQKLNFILGTAPMWDRVELKSSKIVLGEFLEFKGKQEDTLALKQIKRSKVDRISIQQTSMLGFAPSRLQVRYSYRDYRSEGRSGSESKEVTVRSSTEVVFQPRDSTKTKKFKLSSLLSISLSA >A01g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11074356:11074752:-1 gene:A01g503550.1_BraROA transcript:A01g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYLVNDYVNRLKEILGMVPPACCMELPYFHGKVFLLEYVLRFVAAELGDIIGSGRISNRLLPMYLACMGFGIVALLRGLVSHSTKRSPVLARRKRA >A10p017420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2454007:2454789:1 gene:A10p017420.1_BraROA transcript:A10p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLTFKERELDRMRVEISIKTKEVSVASFEFENKSQLLSQAKEIVERQEDEIEALQRALKEKEKEIEIATAKKRLEQEKLRETEANLKKQTEEWLVAQEEVSKLQEETGKRLGEANETMEDFKRVRKLLTDVRFELVSSREALLSSREQMGEKEVLLEKQLEELKEQRRSVLSYMKSLRDARGEVESERVKLRVAEAKNFTLERETSLQKELLEDLREELKKEKSLLEEAMRDVSPIQDELDKKTNEFQVSCPSSTSC >A05g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22437041:22439595:1 gene:A05g507780.1_BraROA transcript:A05g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIEHDQPSIDWNTFPSSDDESEESTDTESPTSIDTAQPEAEIKNSLKTLHSFLQNKHRSDIAQIDENALSDTDDYSDEETNCSDPFSVFHVESFTQAYDTALKSRTRRERFNIRQALTGNRKTKSEFYEKINMVYGELMEKADSLRELIRKLEGQVAEIATAIKRDAGRLPGRTDLNPRRRQCRTRNNSDIGKPDDADETRKSNSHPIFLDKLDPNPSQDNRKTTAEKAKEKAIDLEEDTEIEEEIDRQYGTDVDRPKTPTVDQQPEKPIDRRISDRTDDYTQLMDASIDIANVEANDDSE >A04p008070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8544696:8551923:-1 gene:A04p008070.1_BraROA transcript:A04p008070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 76, subfamily G, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT3G52970) UniProtKB/TrEMBL;Acc:F4J865] MIKLLTMHELIGIFASITLLIYVACLFHTKRRRVNLPPGPNPWPVIGNMFQLAGLPPHHSLTNLSRRHGPIMTLRLGSMLTVVISSSEVAREILKKHDAALAGRKIYEAMKGGKSSDGSLITAQYGPYWRMLRRLCTTQFFVTRRLDAMSDVRSRCVDQMLRFVEEGGQNGTKTIDVGRYFFLMAFNLIGNLMFSRDLLDPDSKRGSEFLYHTGKVMEFAGKPNVADFFPLLRFIDPQGIRRKTQFHVERAFEIAGEFIKERAEVRERERSDEKTKDYLDVLLGFRGGDGVDEEPARFSARDINVTVFEMFTAGTDTTTSTLEWALAELLHNPRTLTKLQNLLRTYFKSPNQKLQEEDLPNLPYLSAVIMETLRLHPPLPFLVPHKAMSTCHIFDKYTIPKETQVLVNVWAIGRDPATWVDPNMFKPERFITDPNPRDFKGQDYEFLPFGSGRRMCPALPLASRVLPLAIGSMVRSFDWALADGLKGEEMDMGEKIGITLKKAVPLEAIPIPYRGD >A03p056690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24560500:24562511:1 gene:A03p056690.1_BraROA transcript:A03p056690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSVVMAQILATEMTNWWEEVNESTQWQDGIFFALCGAYALVSAIALVQLVRIQMRVPEYGWTTQKVFHLMNFVVNGVRAVLFGFHHQVFLVHPKALCWILLDLPGLLFFSAYTLLARSLPTDKLRITYISVNVAVYLAQVVIWVCIWVNDNSTVELVGKIFMSVVSFIAALGFLLYGGRLFIMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCIVVGVSAFDRDLTLDVLDHPVLNLIYYMVVEVLPSALVLFILRKLPPKRVSAQYHPIQ >A07g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23406781:23409671:1 gene:A07g508510.1_BraROA transcript:A07g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQETKVSSEVPVVKGAPEDLKTVDVSVKEVNREITKEDKAMEQEEEDTTFDGGFVKVEKEGTNTKGDEKAEKQVPLTISSSSSQRELEKKEKASESRLEPEPLPLKVSEQESINLKLREELKEKELLVALSKDQEGKIRTANEKLTKVLQEKEILETSVAEITLIATKRKENCDEIEEKLKISDEKFSKTNALLSQALSNNSDLEQKLKAMEALSSEVSQLKSALIVAEEEEKDSSRKMQEYQEKVTKLESSLNQSSARISELEEDLRTALQKGAEHEDLGNVSTQRCLELQGLLQTSKSKLEEKLNDLEAFQAKISSLEAALSVAMGEKKALEETVNVYKVKTTESEERLEKQAKEIEEAKTRSRELEALQKHSELSIQKAMEELRSRDTEVKDLKERVRLYEEKLAEASTHSFSLKQDLDQSSLENELLADTNNQLKIKIQESLSKLKTFEDTIKELEKENGSLAEVNLKLNQELANHGSETSDFQTMFTALEAEKDQTAKELHASKAAIKELRNKLASERERLRSKMDSLAEENNQVNEIYQSTKSELVKLQEQLEVEKSKVDAMVSEIKKLSALAAEKSVLETNFGEVEKQLKISEAKLKEKVEKVDELTSKLHEHEVKTSDRDLEDKKATQLYKELQASHTVISKEKEAVSQKHSELEATLKKSQEELEAKTSKIVHLESLAKDLEQKVQLADAKSKETETMGKGEVQVKSRGINLSGNVTTTKKAETSHFMTLKIVLGVAILSVIIGIVLGKNY >A03p046780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19779556:19780992:-1 gene:A03p046780.1_BraROA transcript:A03p046780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKPGIVMANRDRELLIPVAHSGEDDDHDSTPKPSPSSSSSSSSSQETFYKLIRSWASKKFMTGWYGTSLLSFLLNVIVLIHKVLSFSSVILLPMAITFYVTWWFIHFVDGFFSPIYAQLGIDIFGLGFITSITFIFLVGVFMSSWLGTSVLNLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVAIIRHPRIGEYAIGFITSTVVLQTYTDEEELCCVYVPTNHLYIGDVFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQVLSTLDMRMAPERSRSSRVERS >A05p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9176716:9177285:-1 gene:A05p019600.1_BraROA transcript:A05p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQISLEEEKENCPLITTKIVEYLQPVMCQELLCKFPDNSAFGFDYTQSSLWSPFLPRNYASPSDLNSDSCVCRNLELGEFQEGKKMIKISMNKKMKKSKLVKLDMSLIKNEDSPNSGCFPFHTKGWDGVLKAASKHFKKSKKKRDPIADVKLLNFCNC >A04p000120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:62176:64684:-1 gene:A04p000120.1_BraROA transcript:A04p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VAN3-binding protein [Source:Projected from Arabidopsis thaliana (AT3G63300) UniProtKB/Swiss-Prot;Acc:Q8W4K5] MEREMRRPNPINSSRRPDIGAGSTQLPESPRGPMEFLSRSWSVSALEVSRALHTAKTAGPSTTRTPSLNASIPEETNPEKEECQAENSSQFSFAASATSQLVLERIMSQSEVSPLTSGRLSHSSGPLNGGGSFTETDSPPISPSDDFDDVVKYFRSHNTIQPLFTGTGGTRGTTGNGSNTPVAGAPPKTVGRWLKDRKEKKKEESRAHNAHVHAAVSVAAVASAVAAIAAATAASSQGKNEQMARVDMAMASAAALVAAQCVEAAENMGAERDHLTSVVSSAVNVKSHDDIVTLTAAAATALRGAATLKARALKEVWNIAAALPADKGASSALCGQVETKHSGSSFSGELPIAGEDFLGVCNQELLAKGAELLKRTRGGDLHWKIVSVYMNKAGQVVLKMKSKHVGGTFTKKKKHMVLEVKKDIAAWAGRDLFNGDKHHYFGLKTETKRVIEFECRNEREYDIWTQGVSRLVAIAAERKQKNSMSKWTAP >A09p047670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41404691:41410266:1 gene:A09p047670.1_BraROA transcript:A09p047670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVFSVLLFFIIITSFLASSTSVSPSLHSDELNALEKIATTLGIKGLNLSYGDPCSLGTLKMMQDVDVISNPDTGNSTIRCDCSFNKSTTCHITSIDLKTLGLPGKLPPEFADLPYLQSIDLCRNYLTGSIPMEWASLPYLTSISLCANNLSGPLPTGLQNFKNLTVLGVEANQFSGPIPEELGNLTKLIRLHLTSNLFTGSLPNSLAKLVNLEDLVSDNNFNGTIPEYIGNWSRLQRLGLLASGLKGPIPDAVTRLENLIDMILRNVSLSGAIPSYIWNLPELKSLDLSFNSLTGEVRGIQSAPKFTYLTRNRLSGDAGSGVFLNSKSNIDISYNNFSWSSSCQEKSNINTYQSSYLKNNLTGLLPCAGPINCTSYQRTLHINCGGYNIVIKNSSHKISYEADDSTVYSATNQHFKTWGISNTGVFAADEHSENDTYIILASSKLPGDSPNIYKTARRSALSLVYYAFCLENGPYNVKLHFMEIQFSDEEPFSRLGRRIFDVYAQGELFLKDFNIKEESNGTMTPVVKEVKAVNVTNHMLEIQLYWAGKGTTLIPKRGNYGPLISAISLCHHSMEPQCGAEKIRHHTNYPLIFGTIAALVTIILLALGIYAWRRCIRDKNTSEQDLRVQGLPTVCFTWRQLQAATNNFDQANKLGEGGFGSVFKGELSDGTIIAVKQLSSKSHQGNREFVNEIGMISGLNHPNLVKLYGCCVEKNQLMLVYEYMENNSLAHMLHGKSSLNLDWKARQKICVGIARGLEFLHEGSMIRMVHRDIKTTNVLLDADLNAKISDFGLARLHEEEHSHISTKIAGTIGYMAPEYALWGQLSEKADVYSFGIVSMEIVSGQSNTKQKGSADHVSLINWAVKLQQKGDITEIVDPVLQGDFNTKEAVRMIKVAIVCTNSSPSLRPTMSEVVQMLEGEVEITQVLSDPGLYGHNWSISNLRDIDTDGGLSTSVVTDQTATTMKSSVSGCDLYPLYPASMILNSTVDFSSSSL >A03p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4997075:4997823:-1 gene:A03p012600.1_BraROA transcript:A03p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVEDNKSSHDQLSSQVFLDLVDSVIADVASECHRVARLGLDRDLEVVEEELRLSVEARAKVADPSNNLETNTKYVVDIFGQSHPPVATEVFNCMNCGRQIVAGRFAPHLERCMGKGRKARAKATRSTTASQNRNSRRNTSDNNQLASGPPGFEENVRKA >A07p048910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26045007:26047345:1 gene:A07p048910.1_BraROA transcript:A07p048910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein DWA2 [Source:Projected from Arabidopsis thaliana (AT1G76260) UniProtKB/Swiss-Prot;Acc:Q6NPN9] MQGGSSGIGYGLKYQARCISDVKADTDHTSFLTGTLSLKEENEVHLLRLSSGGSELVCEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESFGAAIWQIPEPYGQSNSSTLDCVASLDAHVGKINCVLWCPSGKSDKLISMDEQNLVLWSLDSSKKSAEVVSKESAGMRHSLSGGAWNPHDVNSVAATSESSIQFWDLRTMKNVNSIERAHVRNVDYNTKREHILVTADDESGIHIWDLRKAKAPVQELPGHTHWTWAVRCNPEYEELILSVGTDSAVNLWFASASSEKQASERSAEASRQRVNPLLNSYTDYEDSVYGLAWSSREPWFFASLSYDGRVVIESVKPFLPRR >A07p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10839687:10842482:-1 gene:A07p017950.1_BraROA transcript:A07p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cpn60beta4 [Source:Projected from Arabidopsis thaliana (AT1G26230) UniProtKB/TrEMBL;Acc:A0A178WH16] MASSLSALPLADRNFRKNSSLLHSSSSRFVLRARAAAKEVHFNRDGSVTKKLKAGADMVAKLLGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNDLAGDGSTTSIVLAHGLITEGIKVVSAGINPIQVARGIDKTAKALVLELKSMSREIEDHELADVAAVSAGNDYEVGNMIANAFQQVGRSGVVTIEKGKYLVNNLEVVEGMQFNRGYLSPYFVTDRRKREVEFHDCKVRTKASHFVFIVLEVQWLRLLLVDEKITNPKDMFKILDSAVKEEFPVLIVAEEIEQDALAPVIRNKLKGNLKAAAIKAPSFGERKSHCLDDLAILTGATVIRDEMGLSLEKAGKEVLGTAKRVLVTKDSTLIVTNGDTQKAVEERNTEENFQKKILNERIARLSGGIALIQVGAQTQVELKDKQLKVEDALNATKSAIEEGIVVGGGCALLRLAAKVDSIKDTLDNTEQKIGAEIFKKALSYPIRLIAKNAGTNGNIVIEKVLLNENMMYGYNAAKNQYEDLMMAGIIDPTKVVRCCLEHAASVANTFLTSDAVVVEIKENKPRPMINPPMPTSPAASSMFPDRNSPRFPQIMPRTRNHFPRK >A05g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17356383:17356861:-1 gene:A05g506060.1_BraROA transcript:A05g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRDRHWKLSSSGFTATESLKIGDWPQGDVTGLARPRLAAESDQELDMRGSSPVSGFCVTGDGDPCDEGHGGDAIIGFDLLSSKPSFMNPSKRITGFTTSRFSAKHERIGGDVFAYPHPFGERVAADSESL >A02p014620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6493830:6495032:-1 gene:A02p014620.1_BraROA transcript:A02p014620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLSRLGCKNRPFFRVMAADSRSPRDGKHIEVLGYFNPLPGQDGGKRMGLKFDRIKYWLSVGAQASDPVQRLLFRSGLLPPPPMVAMGRKGGERDTRPVDPMTGRFVDAEKKTPVIQPKVEDNAEDKSA >A09g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10575532:10578911:-1 gene:A09g503310.1_BraROA transcript:A09g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRRRRRIEEGEPESKKEEKNRLQAYVRSLISTDEVGEDLYRGLGEKEDTSVDTMVALIDDDYPFEHNTWSGGVKADDVKLKKGHAQTSESSDENVPDPVEKDNAHRGGVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAISHLETMVTGEFDKINQLLKSGLRGADMGATYGFSPGRHSSPFPGQNDDFNYTEVNPDRHTTHTGVPESIPRDGEDVVAAETASVGLGQNLDEGERGGGLSPGKQTDSTHGAEFIAETGGEHGGDADIGHDPINVEVGEHGGDADIGHDPINVENPSPSVNVASPDNSDAANPPSRVDMDELNISSERRVDDPVSGVVNKILSEEGIDKNPVRPSAGSGTHVPQTSLDVNPEKVGLDGVHDDRGEADVGNKGEDVDEDDVTITKVQAGRVNTDAAGGQADGGRRFSRRTHTSTKRYTPPAPAVRKKDENKKVARQTDDNPAPPKRVKKVAAEPSNPKPRPQEKHTFIGGFSPFFPPTPAAREAFLKTMAEAKSNAPSLGSISSIASLDDMFNCTGVCSYEAVDRVVGWIRKMRDSNPSSKFDFIPPTFPVSLRSQFMHRPQWFTQVDFLYTLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPHMVSRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALILLEIAAVGKPLIDLALTEEEVRVAAENYAISTLGMFKVVPPNPAV >A04p033030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19230687:19232663:-1 gene:A04p033030.1_BraROA transcript:A04p033030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 25 [Source:Projected from Arabidopsis thaliana (AT2G35890) UniProtKB/Swiss-Prot;Acc:Q9SJ61] MGNVCIHMVNNCVDTKSNTWVRPTDLIMDHPVKPQLPDKPPQQMLMHKDDDKPKLETSGEDPKLLEESDSHQEQQEGSTSEERKKRAARIACGNSKRKPHNVKRLMSAGLQAESVLKTKTGHLKEYYNLGSKLGHGQFGTTFVCTEKGTGEEYACKSIPKRKLENEEDVEDVRREIEIMKHLLGQPNVISIKGAYEDAVAVHMVMELCRGGELFDRIVERGHYSERKAAHLAKVILGVVQTCHSLGVMHRDLKPENFLFVDDQEDSPLKAIDFGLSMFVKPGENFSDVVGSPYYIAPEILNKDYGPEADIWSAGVMIYVLLSGSAPFWGETEEEIFNEVLEGELDLSSDPWPQVSESAKDLIRKMLERDPKKRLTAQQVLSHPWIRDEGNAPDTPLDATVLNRLRKFSETDKLKKIALQVTVERLSEEKIRSLRETFKIIDSEKSGKVTYKELKSILERFDTNLDNSDISGLMQMPMNEHLEDTVDYEEFIAAIVRLKELQDEEANDRLDSSTKV >A10p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20347612:20349729:-1 gene:A10p035450.1_BraROA transcript:A10p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQVTRLLGSLSSNARRCSIGFSEVSPSCQSLTSLTQSRPPAAVFVDKNTRVMCQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPAPFAAAAIMEGIQAELDLVVCITEGIPQHDMVRVKHALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKASGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLNDAGVKVVESPAKIGAAMYELFKERGLLKE >A03p035200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14771013:14775826:1 gene:A03p035200.1_BraROA transcript:A03p035200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPSNALHSTPHFWPIRRSRLLLRPRNFPRFHSGGANFCSLSLLSARNGPSPRFSVRALAGPDASEDGLAFPNHVSVKIPFGNREILVETGLMGRQASSAVTVTDGETIVYTSVCLADVPSEPSDFLPLYVHYQERFSAVGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMPKGFYNETQILSWVLSYDGLHAPDALAVTSAGIAVALSEVPNSKAVAGVRVGLIGGEFIVNPTVKEMEESQLDLFLAGTDSAILTIEGYSNFLPEEMLVRAVKVGQDAVQATCTAIEALAKKYGKPKMVDAIRLPPPELYNHVKELAGEELSKALQIKSKIARRKAITSLEEKVLTILTEKGYVVDEAAFGTTEAQPELLEDEDEDEEVVPEGEVDQGDVHIRPIPRKPIPLLFSEVDVKLVFKEVSSKLLRRRIVEGGKRSDGRTPDGTRPINSRCGLLPRAHGSSLFTRGETQALAVVTLGDKQMAQRIDNLEGSDEYKRFYLQYTFPPSSVGEVGRIGAPGRREIGHGTLAERALETILPSDDDFPYTIRVESTILESNGSSSMASVCGGCLALQDAGVPVKCSVAGIAMGMVWDTEEFGGDGSPLILSDITGAEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLEIMEKALIQAKAGRRHILAEMAKCSPPPMLSLSKYAPLIHIMKVHPSKVYSLIGSGGKKVKSIIEESGVEGIDMQDDGTVKIMANDVASLERAKAIISGLTMVPAVGDIYRNCEIKSMAPYGAFVEIAPGREGLCHVSELSAEWLAKPEDAYNIGDRIDVKLIEVNEKGQLRLSVRALLPESESDKDSQKQPPTTGDSTKSSPRKYVNPSVKDRAATTGASGDELVWKKKDVRRASGGDKPMKGSSSTNEEDRGSLVNGEATIS >A05p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4324691:4326092:1 gene:A05p010300.1_BraROA transcript:A05p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSSSSTITPITLQPRLKPIHAIGTVNFGYSFNSHGISLRRSAVVASAITGASGTETADLLETVKVSDLNGNEIPISDLWKDRKAVVAFARHFGCVLCRKRAAYLAEKKDVMDASGVTLVLIGPGSIDQAKTFVEQTKFEGEVYADPNHASYEALKFASGVSVTFTPKAAMKIIESYMEGYRQDWKLSFMKDTVERGGWQQGGILVAGPGKDNISYIRKDKEAGDDPPVEEILKACCA >A08p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19758857:19760753:-1 gene:A08p032650.1_BraROA transcript:A08p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGSIPAENGTDRDGSALIFLGTGCSSAVPNAMCLIQKSDSPCHVCSQSLSIPPERNPNYRGNTSLLIDYCSSDGNHNYIQIDVGKTFREQVLRWFTLHNIPQVDSIILTHEHADAVLGLDDIRSVQPFSPTNDIDPTPIFVSQYAMDSLAVKFPYLVQKKLKEGQEVRRVAQLDWRVIEEDCEKPFVASGLLFTPLPVMHGEDYVCLGFLFGEKSRVAYISDVSRFLPSTEYVISKSGGGQLDLLILDTLYKTGSHNTHLCFPQTLETIKRLGPKRALLIGMTHEFDHHKDNEFLEEWSKREGISVKLAHDGLRVPIDL >A09p038700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19079591:19080263:-1 gene:A09p038700.1_BraROA transcript:A09p038700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSRKRFPSPSIYESPTFEGDYSPRKELSEPNPIIGFKSDLSAFQKAQYQEKLPQNYEVMIQSPKPVKPVLHLPQLEASRFNQLQTRHWRPGDHFNQSGDIIHGQEEFYKSIPCTSQHRIKWILINSNLPYLEALAFKLQQLFFFEFMHDIRTCQAIQKIPRNLSYPLKPSRFKKDQILYFEPKSHKRTFVSEHYISS >A07p012030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8385651:8387531:1 gene:A07p012030.1_BraROA transcript:A07p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKVFGGDILENKGPIELEVDDEYRRRLEFNREREDRRRYAKAVAEGEIVEDEEEAEESEDVSDPEEEIGVDEVSTWIKSLNKLKEHDPGFIATGQIDDAEKKDRKKKKKDEKKKKTKVMHLKDVQAQHLLEDGPDADEEDEGRSSRVQSYGEQQEELRRAVSDALEAGGEESDDDDDEDLLRVKEGDDDSEEEEEDEELKEMADEYFGKESELGEGDKFLRDYLLKQMWKDKDGKGKTLVIDEAELKQISDDEELVIEQEEFETKYRHEETNAAGGIVMGQSRIVEDSVRKKDNPRKKQRENKVDRKKIAEIERQEELKRLKNVKKKEIEEKMNKVLSIAGFKQGEEFPLDARGLEDEFDPVEYDKMMKAAFDDNYYGAEDSELHSDEDDNDDEKPDFDKEDDLLGLPKNWDVIQSGDGFTATREKVLKHKEDDEEPEEEEVDEEEEREGKRKRKRKASLVKRAQEALMEEYYKLDYEDTIGDLKTRFKFAKVQPNSYGLEKEEILFLDDTELNQYVPLKKMAPYMEKDWEVNKYKLKVQKHKFSELLERIDDPDEKRSKKKSKKRDREEKRDVVVKEKKPAAPIAVEEGEAETSKLSRKAKRRRREAEKKLPPNRMVAYGKTN >A02g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5173187:5174169:1 gene:A02g501620.1_BraROA transcript:A02g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCPQLLEYFRYVRSRLLLFNDCGESGGRAAQLLYVKSVLPYTCTMFHSYSLSLLMICIFLNKTVFDSLLKSQLIILVSLKIAGCLHETDLDAATKGNRYIHSCLSLDVYISNAFTFVILNKFFCGTISRLNEEIKGFVKSGFLVVSLI >A09p067740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53318481:53319684:1 gene:A09p067740.1_BraROA transcript:A09p067740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEMAGHRVAHATLKGPSVVKELVIGLALGLAAGGLWKMHHWNEQRKTRAFYDLLERGEISVVHPEDLPVSFIHPTMATIATSLNIATQRAVITSKSQPARLACPVRLNNPWKLGSRTNRLVSFRPVKSTPEGVISDKVEKSIKDAKESCADDPVSGECVAAWDEVEELSAAASHARDKKKAGGSDPLEEYCKDNPETDECRTYDN >A06p020540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10050573:10052074:-1 gene:A06p020540.1_BraROA transcript:A06p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g49290 [Source:Projected from Arabidopsis thaliana (AT3G49290) UniProtKB/TrEMBL;Acc:Q147L2] MPESREASNYDEASMDQSMLFSDGLKDLRNLRTQLYSAAEYFELSYTTDDKRQIVVETLKDYAVKALVNTVDHLGSITYKVNDFIDEKVDEVAETELRVSCIEQRLRMCQEYMDHEGRSQQSLVIETPKFHKRYILPAGETIKTTNLDKLKYFGSSLEDADDWNQFRNAVRATIRETPPPPPPVRKSTSQASSPRQPPQRSATFSFTSTIPKKEQDKRSVSPHRFPLLRSGSVATRKSASISRSTTPSKSRSVTPIRYPSEPRRSASVRVGFEKENQKETEQQQQPSKSKRLLKALLSRRKTKKDDTLYTFLDEY >A10p001440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:733134:742510:1 gene:A10p001440.1_BraROA transcript:A10p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVAQKNTRGSWSLLRPFQMISISLLSLLVPLSFLFLSRLSLSSVPVTVSRVSSFLHQADVGVLYTILSLIIVSTLVNNLSGKPECSLLHSHLYICWIVLFLVQACVAFGIEGIMSTTTPTNPDENLFLASQERWVLVRVMFFLGLHEVMMMWFRVVVKPVVDDTVFGVYGEEERWSERAVVAVTFGLMWWWRLRDEVESLVVVAEVKCKLLASLDGFDFLNWWMYYICVVIGMVKIFKGVSYFVNMFILTIKRSRKGCESCVVVDVDHNKFKSRVLPSVTAHAAHNHLLPLTRHNGKRNNETAIKMLSSRMEPLVHHFNENDDISYEEVTFKIPDEEVTKLISVKQLPRSLTWVFTDSNQLMAASESVIGKKQFHYVDGEAVELSPDKKKYEDAKKQKMEFSKEVDRKIGQKYSLDDLVVQRTLSKFLELKERYNKLKNDGDIGEISDKSKFISVPATADKHFCRRCLIFDCHLHEEYQPLPRENKSNLFEREDAEKQCSKHCYLKPRSFIEADHVVDNDNSISNDKGNNVVTEMSHTYNEWSPVDKNLYLQGVEIFGRNRNLLSGHKTCLEVYNYMREQDQTPEIDNQVNKEISRKKTKFARKRAKLKKHVCYPPAIKNSAKELNKEYKQYTPCTCEPVCGDQCPCLTSGNVCEKYCGCLKTCKNRFGGCNCAKGQCSNRQCPCFSISRECDPDICRSCSLSCGDGSLGEASQPIQCMNMRFLLKKHKKILLAMSDVHGWGAFTRHSLKKNEFLGEYTGELVSYEEAEERGRAERKNGFSYLFTLNDKICIDARRKGNKLKFLNHSSKPNCYAKLMVVRGDHRIGLFADKNIGEGEELFFHYCYGPGHADWSQ >A05p035710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20224731:20226171:1 gene:A05p035710.1_BraROA transcript:A05p035710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLLYIWTSLIHLFQGAFQTLSATSKHLKVLSFSDCKFTGKIPSSIGNLSHLNILDFGYNNLAGEIPTALFNLTKLSSLSLASNHFTGTLPHNINSLSNLNIFNAASNSFFGTVPSTFFNIPCLESLDLGDNQFSGPLEIGNISSMSKLQSLVLSKNNLTGPIPRSISKLVNLVYLDLSYLNTRGPLDVGIFWHLKSLQQLTLSHINTTIARIDLNAVLSLPLKSLSILDLSGSHVSVENMSSVSTLSSQLIYLFLSGCGITVFPEFISSLQYISMIDLSNNSIKGQVPAWLWRLPELHYAELSYNAINGFKEFPKDVSRTMMTDLFHNASAISSRGVANNHLTGKLPDVYLNDCNLRSLNVGHNQLAGKLPRSLSGCSSLEVLNMEHNRINDTFPFWLGSLPELHLLVLRTCYRFRTWHRDWVDHWSLDAFLQTALVPLQRS >A03g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30293953:30297036:-1 gene:A03g509280.1_BraROA transcript:A03g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDHLGVKRMMEDHLGEKCEMRIRIWNLHRVKRLTVIRIVLGQKGTIITTPMSSPVHHELGERHMRHGPKGTRAYARKPYCDAWVCGVAERPNPEDDREASDFDAPFPTGSRVQAIVPGSGDFPGPRASKEDGSTREGLGPIRMEDSVPMRKRGRPRKIPSIDAESLRSVTGVCRCGTLMQARQGPRSVREYTEEFLESTKRCKPKSAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMADQAMEAKRTLTRRVVAILSSEEDVEVEEDPSEDSEWEEEPAASTGSGRAAGPKPEGELKSPVRRYHGFWRYLETYLFDVYFVLPLIVFGNSPQFWDVKFELKIWNSGRIPNKRGRIVTPKTSPFKIFKCKWASTSFSLIRNLEAKPCREFPEIRSPSRRLLLSPPRRLSFLSLSSPRLSLLTLSSLRLLLSSLSMPPLSLIAVSSREWWCVTSRTVKLPGKGGINYPGRVERKKRGGRSVQKRRRCGAIASDKNGRVRIEAPIRLSHAESWREGVVIHCKGGPYPRNWATGLPGQATSQEAARKGEEWLHRLSVVTRRFSFRIEPTISGNVNGKKGNAPGTHGTSNGTHGDVRKVDMCVLNPAPRNPGRKWEGAGSLAATAEQGYYLV >A06p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10235208:10236222:-1 gene:A06p020780.1_BraROA transcript:A06p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIVSWDEFVDRSVQLFRADPESTRYVVKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDLSEVTGKEPMETQPAKKGRGRKQ >A10p038520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21507103:21508516:1 gene:A10p038520.1_BraROA transcript:A10p038520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIFGAKNNKEPPPSIQDASDRINKRGESVEDKVKRLDAELCKYKDQIKRTRPGPAQEAIKARAMRVLKQKKMYEGQRDMLYNQTFNLDQVSFAAEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSSEIQDSLGRSYNVPDDIDEDDLMGELDALEADMGNETEADGVPSYLQPDKEPDLDEELDLPPPPPGRTTTTGAQPERAAQKAEDEWGLPAVPRASLRG >A09p001000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:832639:834548:1 gene:A09p001000.1_BraROA transcript:A09p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVEPGKLEWRISVDNETTKRLVPKSGPSERIFLWLKGLIMKTWRIGADDPAKVVHCLKVGLALSLVCIFYYMRSLYDGVGGNAMWAIMTVVVVFESNVGATFSKCVNRVVATILAGSLGIAVHWVATKSGEAEIFVIGSSVFLFAFTATYSRFVPSFKSRFDYGAMIFILTFSLVSVGGYRVDKLVDMAQQRVSTIAVGTTICIIITIFICPIWSGTQLHRLVQCNFVKLADSLDGCVAEYFKKRDVSTNENEDETNLKVQGFKCVLNSKGIEEAMANLARWEPAHGSFNFRHPWQQYVKIGAAMRRCAYCLENLSICMNYETEVPDQVKKHFRETCMKLTAASSKILRELADILKNTRRSSKMDFLVFDMNSAVQELQETLKTIPIQTNKPEEEEEVPSDEGEDRTIPMSLHEVLPVATLVSLLIEIAARIQTTVEAVVVLANLADFKQDSKKKTEDNNTNQPPLSS >A02p000980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:488112:491125:-1 gene:A02p000980.1_BraROA transcript:A02p000980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIGESDDKRLKTKYNNAIFVIRRALSLYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKKELTCSNGGISNFPVRTIYFESPSAFTEINSFTYDAAQTYDLQLDIIRQDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYSLCLCRDVWAFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSVNDTTSSSKQKLFKPAYLLSDGRLERAGRVKKNASIKNDRDSDSQKNEVLLASVIAVGDEILSGTVEDQLGLSLCKKLASVGWSVHQTSVIRNDIDSVSEEVDRQRSICDMVFIYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLISEQCTGDRNEMAQLPEGITELLHHEKLSVPLIKCRNVIVLAATNTEELDKEWECLTELTKLGGSASLMELYTSRRLITSLTDVEVAEPLTKLGLEFPDIYLGCYRKSRQAPIIINLKGKDNARIDSAVQTLCKRFKEGVFVDMK >A10p036100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20608264:20612260:1 gene:A10p036100.1_BraROA transcript:A10p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQPFYNQSSLLRINVMVVDDDPVFLEIMSRTLEKLKYRDSSTMEITVIAVKDSREALSTLKIERNNIDLISLTCGAMGFIQKPIKATELTKFYQLALKCKRNGKSTLWTENNHNDTDVSISQQIQFFPEQDNLMMTKTKKFSPRPDSRSMNSSNGTCVSTDASRKNKKRKANGGSGDGVESLSQPSMKSKITWTDDLHDLFLQAIRHIGLDKAVPKKILEFMNVSYLTRENVASHLQKYRQFLRKVAERSSLCSSNMLPSNGIDSIYPYPHTREPYYNNYTSSSSWYGTSLSNNRSFYSNPGHGLGQSRLLSNTSDPVRSNQMPHSYMNRSSTYDTHRIGSNLTLPVESNLNYSSQNVGRRSFLEPTANKTSQTSQALGFEQHGLSAINGSGFNNNTLISYGSLAPNQLGTNSYKGSISTQQGMTNGSLALNQPGMSAYGISTSTQPGMSSHISLTTNQPGRNSYGSLTAPQPRMSTHESLSPNQQGISSYENLTSNQLGMDSHGSLSHDQPRMSSYENLTSNNLGLSSHGFLTPNQPGLKSYGSATHNVGLNSFEGLTTHQPGSSNFSYGLQSFLNNENTAYEPQPQTHAQAAAQTNIEIPQQENLSLFVDLGNINELLCDISNFELDHNKQQEAVSTTQFELPANVSTEMNQFFSLEDDDWTFLNTNQGLSNGETSNNVAPETNSQTFNMSTNHDQEQDAQDFVDWSFLNPEDLANEYDFMDSLFNGMN >A09g518840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57566304:57568615:1 gene:A09g518840.1_BraROA transcript:A09g518840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFPDEVIEHIFDFIASHKDRNSISLVSKSWHKIERCSRKRVFIGNCYAINPERLIQRFPSLRSLTLKGKPHFADFNLVPHEWGGFVHPWIVALAKARVGLEELRLKRMVVSDESLELLSRSFSSFKSLVLVSCEGFTTDGLASVAANCRHLRELDLQENEIDDHRGQWLNCFPESSTALTSLNFSCLKGETNLAALERLVARSPNLESLKVNRAVPLDALTRLMSCAPQLVDLGVGSYENEPDQESFMKLMAAIKKCTELRSLSGFSEVAPLCLTAFYPICQNLTSLNLSYAAEIQGNHLISYFELQELRVFPSDLHDEEDNDTAVTEIGLVAISAGCPKLHSILYFCKQMTNAALITVAKNCPNFIRFRLCILEPNKPDHTTSQSLDEGFGAIVQACKGLRRLSVSGLLTDKVFLYIGMYAEQLEMLSIAFAGDTDKGMLYVLNGCKKMRKLEIRDSPFGNAALLADVDKYRTMRSLWMSSCEVTLGGCKRLARNAPWLNVEIINENENNDVMERNEEDEREKVDRLYLYRTVVGARKDAPPCVTIL >A05p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24948881:24952745:-1 gene:A05p040910.1_BraROA transcript:A05p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g18370/MYF24_8 [Source:Projected from Arabidopsis thaliana (AT3G18370) UniProtKB/TrEMBL;Acc:Q93ZM0] MVRRIKRKGFINTEAAREFFNHLVAERHSLLLLVPLVLAFWAIERWVFAFSNWVPLVVAVWASLQYGSYQRAILAEDLTRKWKQNVFNASTITPLEHCQWLNKLLSEIWLNFMNKKLSLRFSSMVEKRLRQRRSRLIENIQLLEFSLGSCPPLLGLNGACWSQSGEQKILRLDFTWDTTDLSILLQAKLSKPFNRTARIVVNSLCIKGDILIRPTLEGKAMLYSFVSNPDVRIGVAFGGGGGQSLPATELPGVSSWLVKILTETLNKKMVEPRRGCFSLPATDLHKTAVGGIIYVTVVSGSNLHRSVLRGSPSTRSPDVAESSNGGSSSSNKPVQTFVEVELEQLSRRTEMRTGPNPAYQTTFNMILHDNTGTLKFNLYENNPASVRYDSLASCEVKLKYVNDDSTMFWAVGYDNGVIAKHAEFCGQEVEMVVPFEGVSSSELTVRLLLKEWHFSDGSHSLNSVHSSSLHSLDGSASLFSKTGRKIIVTVLSGKNLVSKGGKCDANVKLQYGKTIQKTKTADGVWNEKFEFEELAGEEYLKVKCYREEMLGTDNIGTATLSLHGISNSEMHIWVPLEEVSSGEIELLIEALSPEYSEADSSKGLIELVLVEARDLVAADLRGTSDPYVRVQYGEKKQRTKVIYKTLHPKWNQTMEFPDDGNSLELHVKDHNTLLPTSSIGNCVVEYQRLKPNETADKWIPLQGVTRGEIRVRVTRKVTEAPRRASADSSSPFNKALLLSNQMKQVMIKFQNLIDDGDLEGLGEALGELESLEDEQEEYLVQLQTEQMLLINKIKDLGKEILNSSPVQATSHLPSRSGSGSGGGSYSQLRLPAPM >A01p049340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27745864:27746620:-1 gene:A01p049340.1_BraROA transcript:A01p049340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPPVWTLANYANTFPAAHTGVFWDINDFPLPCLDPHVVYENINSTLLNKETRDYRMTVEMLICALDHPISNLMVLAEDFKEEDAVDNIYLLHHRQQNIFLAYKQQAGSILVPKTHGGFMKLTTTIIIFNSPTLGSFPRSS >A07p025960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14887135:14893527:1 gene:A07p025960.1_BraROA transcript:A07p025960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHPSGSNSFHGGEFKSCHPKPSKVAVASMINSEIGAVLAVMRRNVRWGVRYIGDDDDQLEHSLIHSLKELRKQIFLWQTNWQNVDPKLYIQPFLDVILSDETGAPITGVALSSVYKILTLDVFTLDTVNVGEAMHIIVDAVKSCRFEVTDPASEEVVLMKILQVLLACIKSKAANGLSNQDIFTIINICLRVVHQSTSKSELLQRLARHTMHELVRCIFSQLPYISPLANETELNVGDKVGTVDWDQKSGESKVENGIIDSVSVTLGTDKDPRSSEMVTPETELNNDEKETEVSDDLNVAGESAMLAPFGIPCMVEIFHFLCTLLNVGESGEVNSRSNPIAFDEDVPLFALGLVNSAIELGGSSFREHPKLLSLIQDELFCNLMQFGMSMSPLVLTTVCSIVLNLYLSFRTELKVQLEAFFSCVLLRIAQSKHGSSYQQQEVAMEALVDFCRQHNFMAEVFANFDCDITCSNVFEDVSNLLSKSAFPVNGPLSAMHILALDGLISMVQGMAERVGEELPASDVPTHEEGYEAFWTVRCENYGDPNIWVPFVRKSKHIKKRLMVGADHFNNDPKKGLQQLQALHLLPEELDPKSVACFFRYTCGLDKNLIGDFLGNHDQFCVQVLHEFAKTFDFQNMNLDNALRLFVGTFRLPGESQKIQRVLEAFSERYYEQSPQILIDKDAALLLSYSIILLNTDHHNTQVKKKMTEEDFIRNNRCINGGADLPREYLSELYHSICDSEIQMIPDQGTGFQMMTSSRWISVIYKSKETSPYIICDSTSHLDRDMFHIVYGPTIAATSVVFEQAEQEDVLQRCVDGLLAIAKLSAYYHLNRVLDDLVVSLCKFTPFFASLSVDEAVLALGEDARARMATEAVFLIANKYGDYISSGWKSILECVLSLHKLQILPAHIASDAADDPEPSTSNTEQERPLENPVSVVVSQAQPSAAPRKSSSFIGRFSQLLSFDMEETEPLPTEEELAAYKLARGIVKDCHIDSIFSDSKFLQAESLQQLVSSLIKAAAKDEASAVFCLELLIAVTLNNRDRILLIWQTVYEHISGIVQSTTTPCPLVEKAIFGVLKICQRLLPYKENLTDELLKSLQLVLKLDARVADAYCEPITQEVARLVKANASHVRSHVGWRTIISLLSITARHPEASDAGFEALRFIMSEGAHLLPSNYILCLDAARQFAESRVGEIDRSISAIDLMSNSVFCLARWSQEAKKSVGEDEAMMKLSQDIGEMWLRLVNNLKRVCLDQRDQVRNHAILMLQRSVAGADGIMLPQPLWFQCFDSAIFPLLDELLAVSVENSRKTFKKTVEETLVVAAKLMSKAFLQSLQDISQQPSFCRLWLGVLERMETYMSTKFRGKRSEKVHELIPELLKNTLLVMKTTGVLLPGDDIGSDSFWQLTWLHVKKITPSLQSEVFPQEELDQFQRRNAKPEDTPPAVVRVSNLFGTMSEVFNGYERQYCDLSATLSKKCSSALSLDGEQKKQKLSEIKSGLEIAEALIRKMDLEARSLPPGVKSSLLVKLREFKSDLNNFKTEVKRITSANSNAAARDQLLEADTKTASADQRARLMMSTERLGRTTDRLKDSRRTMMETEEIGVSILQDLHGQRQSLLRAGDTLSGVDENVGKSKKILTGMTRRMNRNKWTIGAIIAALVVAIIIIKLTK >A10g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11219773:11221092:-1 gene:A10g504610.1_BraROA transcript:A10g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRWIMYDTGDWDFKLDSDRMGRAVYAKLITSVEALKRAIIESYGLVGKSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEVDGKILYLRAMGYLLKSKEVASSNKMQVGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTTCEVQNKVDTTEDAALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDDDGGVGGGCRTNLTYGGVRGEVVTKTRSGRTNPSSNKGSGPSTNKQRTANPPSTFEDYVDEGRDYIGSSRISMENIEEASNNLGVKSSDQVADTENH >A02p025150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12413143:12414799:-1 gene:A02p025150.1_BraROA transcript:A02p025150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNQPSPLRKIISVSSIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPVSGMIVQPIVGFHSDRCTSRFGRRRPFIAAGAAMVAVAVFLIGYAADIGYKMGDKLEETPRVRAIGIFALGFWILDVANNTLQGPCRAFLADLAAGDAKRTRVANAFFSFFMAVGNVLGYAAGSFTNLHKMFPFAMTNACDLYCANLKSCFFLSITLLLLVTVTSLWYVKDKQWSPPPVAADEEKKSVPFFGEIFGAFKVMERPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGDSEGDARLKQIYNKGVQSGALGLMFNSIVLGFMSLGVEWIGKKVGGAKRLWGIVNFILAIGLAMTVLVTKLAADYRKVAGPYAGPSPGIRAGALSLFAVLGIPLAITFSIPFALASIFSSSSGAGQGLSLGVLNLAIVIPQMIVSLGGGPFDALFGGGNLPAFIVGAIAAAISGVLAITVLPSPPPDAPALKTGAMGFH >A05p051730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:31543083:31544933:1 gene:A05p051730.1_BraROA transcript:A05p051730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKGAILSPVQSQLLTLRPSPLLRWRLGALTTLVFFLMLVVWSIDGCSIQTFVQPWRLNAYSLRVSTSPSPSPSPSLKPHLLDSDKPHRQNLTTVLNVKKTNLTTNSTRVQQPRWITAEPEKNFTANLTTNSTRVQLRWITAEPEKNFTANLMRNWLAPGGAPCREAKTVEISLPGVDGVDPVVLTAGEIHVFTFQALDESKAAVCIGGDYFETDLSGENWKSRPPVKDFGNGTYSMSLQVHPEFAGEFNLTVILLFRHYEGLKFSTARLGFDKKLRNVRLRFVKKPDVSLPELRSCKRSDFTRDAWSGRWIRLGKNDDCQISNDGRYRCLPAGFPCRKPWCEGAVGGLESNGWVYSTHCSFKLFSGETAWGCLKNKWLFFWGDSNHVDSIRNMLNFVLGHPEIPAVPRRFDMKFSNPKNESETVRITSIFNGHWNETQNYQGLDSLKNRDFRELLKKYFSEEDRVPDAMFVNSGLHDGIHWHSIRAFEKGAETAAAFWREVFDGVKSRGLKPPEVIFRNTIATGGYARLLAFNPSKMEAFNGVFLEKMRDAGLVTSVVDNFDMTYPWHYDNRCNDGVHYGRTPAKMRWRDGEIGHQYFVDVMLIHVLLNALCVR >A05p050450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29364900:29374513:-1 gene:A05p050450.1_BraROA transcript:A05p050450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNEEALSVVAEEPNRLAVRIRAPRACTLSTVDYAEPPIDDDDESKPKAKRGRPRKSPEEDQTTSGTPKAKPGRPRKIPEEVDDKPIRPRKNPVEDEKTNGTLKAKPGSPGKEDQTTNDTSPKAKPGRPRKNPEEEERMNGTPKAKRGRPRKNPQEDGNTDDKPKAKPGKQEDQKTSDKPKAKPGRPRKNAEDDEKTNDTPTAKPGRPPKYPVNEEGEKSTKKVDQGVIACLEKKENGAEEIIKASDGMPRFKKVYSRKRPLKEDEDEKKDDELVSKGSVKVTKRPRKVVVGCLNKDEDKAEESECMMCHQCQRNDNGEVVRCQNCCDRKRYCHKCLETWYPRIPHEDIAKKCPFCWNTCNCRACLRLDTKMEGLNSDLKVSKDEEIQCSKYILQKLLPHLKEINDEQVLEKEAEANILGLEFGEVKPEDTNCSPGERLYCDSCQTAIFDLHRHCSSCGLDYQHGGKEKIGKPTDDKLEPEPLLAIVKPPSTWKTDEVGLITCCCGEGILELKRVLPDGWVSELVKKVEETVEANKLFDLPEMAKERCPCFDSEGHIDMDTNKNVLKAACREGSEDNYLYFPSATDAQEEINLKHFQHHWAKGEPVIVRNVLEATAGLSWEPGVMHRACRQMRSTKHETLLDVNAIDCLDCCEGSINLHAFFTGYQKGRYDREGWPSVLKLKDWPPSKSFNENLPRHCEEFLCSLPLKQYTHPVSGPLNLAVKLPDFCLKPDMGPKTYVAYGFAQEMGRGDSVTKLHCDMSDAVNVLTHVSEVTIKEEEKKSTIEKLKRKHAAQDVKELFGSVPNYKEKIEILENTNEEEVKNLEADGGALWDIFRREDVPKLEKYLLSHHKKFRHFFCSPVSKVVHPIHDQSFYLTRYHKMILKEEYGIEPWTFVQKLGDAVLIPVGCPHQVRNLKSCTKVALDFVSPENISECFRLTKEYRLLPPNHHSKEDKLQIKNMVIFAIDKALEDLHPNYKSPVAKEEEKKVTKGGRKRKNREMSNGTNRNRLASLSASLREGDGRGKESSVRAVEVKKILEDSPLLPKPLSSNQLAETVSNGSRVRVAYQGVRGAYSESAAEKAYPNCEAVPCEEFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHNLHIVGEVKLAVRHCLLANHGVKLEDLRRVLSHPQALAQCENTLTRLGLVREAVDDTAGAAKQIAFEDLSDAAAVASAKAAEIYGLNIVAEDIQDDTDNVTRFLMLAREPIIPGTNRLFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRKNPLRASGGLKYFDYLFYVDFEASMADEVAQNALRHLEEFATFLRADTKDVGA >A05p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8737491:8739350:1 gene:A05p018750.1_BraROA transcript:A05p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine aminotransferase [Source:Projected from Arabidopsis thaliana (AT5G36160) UniProtKB/Swiss-Prot;Acc:Q9LVY1] MGEIGAKRWNFGANEVVERSSSLSIREYLNTLISNLDEGGTRPVIALGHGDPSPFPRFSTDPSAVKAICDAVSSTKFNNYSSASGIPVARKAVAEYLSRDLSYQISPNDVHITSGCLQAIEILISALANPGANILLPRPTYPMYDSRASFSQLEVRYFDLLPENGWDVDLDAVEALADDKTVAIVVVNPCNPCGNVFSRQHLQKIAETACKLGILLIADEVYDHYAFGDKPFVSMAEFAEIVPVVLLGAISKRWFVPGWRLGWMVTLDPHCIMKDSGFVQSLTNVINISTDAVTFIQGAIPEILGNTKEEFFSVKLEKMRECAEICYEEIMKIPCITCPSKPEGSMFTMVKLNLSLLEDISDDLDFCCKLAKEESLIILPGQAVGLKNWLRITFAIELDLLIDGFSRLKNFSQRHSKKKP >A01g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27675596:27678267:1 gene:A01g510100.1_BraROA transcript:A01g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSRVVETRLSLPKSISPSSDSLSTVMLVAIKFTDDFTSNLSRIPHESIPSLFPTSFSADFNLKENTSALLSPNRLSFLFANKKTFPFSIISFVMGLDYSYTQPSDSEEYGLGISADSGNSSTEMNILLDQAEIEAARVQYPPQPEVEFSFPKECYCSGEPLIRTSYTTTDPGRRFFTCENIDDGDCHVHKWWDVAATEEIKAIGTQYNLLSDKVDYVASVSDSESELNQVKDLHYETKLKLAVLEKTVSELSKNKSHYELVLGGL >A04p032560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19031010:19032781:1 gene:A04p032560.1_BraROA transcript:A04p032560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35500) UniProtKB/Swiss-Prot;Acc:O82290] MAALSSSATIFYSPSLNPFTGQRSLSSPTRIRSSPRILRGFHSLRNREFHRFSQNATAGGRFNSFSCKCLSAVSTTTLDYEFTDGGKEVELRLRLKTGETLSPKDISVDADGTSLAVKEKRNGLLITLLETNQLFEKIMPSETIWYIDEDQLVVNMKKVDGELKWPDVVESWESLTAGMMQLLKGASIYVVGDSTEINQKVCRELAVGLGYSPLDSMELLESFSKQTIDSWILAEGEDSVAEAESSVLESLSSHVRTVVSTLGGKHGAAGRADKWRHLYSGFTVWVSQTEATDEESAKEEARRNKQEREIGYSNADVVVKLQGWDPTHAKSVAQASLSALKQLIISDKGLPGKKSLYIRLGCRGDWPNIKPPGWDPSSDTGAHPSFS >A10g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15291909:15292365:-1 gene:A10g505900.1_BraROA transcript:A10g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGISSEYSEAFPTIPAFAFRVSVSSEKPRNIPRKFRGTLVFPRNFLGIFQGFSEEIGFLNRKQRFVK >A09p027800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16793734:16795990:1 gene:A09p027800.1_BraROA transcript:A09p027800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDQGAVVMLDDDASNKNTSNPCCVVSSSSSDPFLTSSENGVTTTNTSTQKRKRRPAGTPDPDAEVVSLSPRTLLESDRYICEICNQGFQRDQNLQMHRRRHKVPWKLLKRDNNIEVKKRVYVCPEPTCLHHAPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKSYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCSVRKVNREPPPPKTAVTVPACSSITASTASTPSSEGNNCGAVAVATPIPLEGRPIHLRNSCFTPSILTNSSSPNLELQLLPLTSNQNPNQENHQHKVNEPSHHHHDTTNLNLSIAPSSSSYHRHYNNFDRIKEIMASEQMMKIAMKEKAYAEEAKREAKRQREMAENEFMNAKKIRQQAQAELERAKLLKEQSMKKISSMIMQVTCQTCKGQFQAVAVPAAADETSLVVSYMSSANTDGEES >A05g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17916239:17917590:-1 gene:A05g506240.1_BraROA transcript:A05g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAEAQQVPPVQVQGHQQPPIQPVPPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSESPNMAGDQSGTPSCERCHCYHFGDCVMCFACGRLGHVAKYCRFTKVDGTGTGQVTAPTTLAAASKKCYGCGQPGHIFRDCPRGGRVENPSPAKRQAIAPRVARGNERVEPADEEVVLKISSG >A01g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14055734:14060874:-1 gene:A01g504690.1_BraROA transcript:A01g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLIWNAPSVEVNVPHRSTQPLRRRSIRTTNCRPTPDHHRRLIPIVRQRSILHRDKNGNLYDQAGHLRNATGQKINAQGTQVVRNEKLEEGDFEIESSYSLGESQWCRLMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTEYNASESDVDRHNTPSIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSQESVQEEQESEGRRLRKRKEKIHINLKREANEKEMDGFTKRVLRISIEKPFDEAYFTHQLWMFFRETKVTELDIRRMFHQVREKMKHRITLTKKSDPGKFAISCVVKGVEFPHSMCDTGASNYGKELGFIGACHCGAKYESEYETEYSESINTPTFPSIDSNVSTVTDDRNNTSLDVMHPVDHFALPNYCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLEDEYDEDYFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTRKGTSIDIRAAAKIQEQENIPSPTRDPDDNARAMDGRILQLSREDIADILQVANGPDNLFSQQRGTPDVIQTYPNNHAGVATTKINPDLSRQPKGDEPTLIDRRYECGNRAFDMYEARKFTWEQRDEYGVYRDERGHARGIAGEMIPVTKDNIKKILEIASLFEESHICLPEYVTSFTLTRLAPELYTKEEIDEMVFGICGAQEKQGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRATSIDVSLPTAQIPAEPQCSTQHRDEWEISYIDTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKTTSKIYTALDTMEEQLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTIAKSMATRRDRPTKT >A07p030010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16774281:16775351:1 gene:A07p030010.1_BraROA transcript:A07p030010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSALKEVLESTSTGMDYEVKMAKAEVNNNKPTKSGSAGVGKYGMHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKARVQNTCPTCRYELGNIRCLALEKVAESLEVPCRYQSLGCHDIFPYYSKLKHEQHCRFRPYACPYAGSECSVTGDIPTLVVHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDENEAKKFSYSLEVGAHGRKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNLALYFSGGDRQELKLRVTGRIWKEE >A10p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19049665:19050662:1 gene:A10p032060.1_BraROA transcript:A10p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDDGSLYAPFLSLKPHQSLSKSESYYRCTYGGCNVKKQVQRLTSDQEVVVTTYEGVHSHPIEKSTENFEHILTQMQIYSSF >A04p032310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18871138:18873520:-1 gene:A04p032310.1_BraROA transcript:A04p032310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSQVEIASLRSNFGCLLRDCNRRNNNDDVIVKKNLHAQVKSPVISDKNSQNRVGSWKGNKPRKKSKSRLGSPEKLRVGQAASNFSDRTKPSLVQIWEARLSPSNGGNSPIHGQGTERSVGASVQEETNLSAPSIDGESESENESRDHDPTVEIESETLHSVPDSGESKWGRVAEIIRRLKLTAGDNVGAVEKTSLPEKSIFPVVSSPRLRGRQAFTDLLTRIERDRRRELQSLLERNAVSKFPQRGRLQSMLRLRSLKRGLVIQDRHRSSPKSPDLNRLQPCSTVLHLRERFRGNAASSREKLSRQKRKIKEAMLLKKETVSNLSYLEPQETIVPEALIRESDDTSPRSSVTHQESQILDNTVESGCQGSQETPFLEKQETSYGWEEQEEYEKEQSCYGEMSYYDWFTDISRPRTYWEDLRKSRYLEVMNSRSDKDDLCRLIERRTVSDFLQSGLREKIDKLIMSRVQTHSVQLIDKAGKEEQNCDIGDEVEDDDLSQTPSQLFASSPAGSWSSQDTGVTSTPDLLLPLHNLQTTEVEIISEMRSQILQLQLEMSELRDSVKTCLDVNASLQKSVHRENPLKQKCCVCNEMQVETLLYRCGHMCTCLRCANELQCNGGKCPICYAKILDVVRVFVDSRT >A06p006650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2251293:2254018:1 gene:A06p006650.1_BraROA transcript:A06p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLLQHMNTDVKIAGEHRSSLLQVISIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKLQLGQFIHVDRVESSSPVPILRGVRPVPGRHPCLGTPEDIVAADALGFLSDDNKNAKAKAKAVTSEVERNRVSVSVSKDEASEKSKKALVRAKSAKTSLVLDVKKEALGKVKVKTSSSSGSKSIPSSPTSCYSLPTSFAKFANGVKQHQQQHVKKTVLSERGRSPLRVESPSVGKKLPMIKNFVQGIEFGAKALRKSWEGNLDIRSSDRERLKLAKRDSTPDSRSLTGPRKSTSSEKLPSKQERANVFAKSSKEQSKTQSTKKVETAGVVDTKEKTSKPKSTSVGKKSTTENGLPGNLVKVPVNSKRLASATVQWGALPSSLSRLGQEVLRHRDAAQVVAIEAMQEASASESLLQCLIMYNDLMSAAKEDDPLPVVEQFLKLHSGLKNVQITTESLSKLISSTSSPENEENKSEEAIKMASEKQKLAASWVQAALVTNLSPFSVYSTKPTKSSASKSKPVIILETPGSNSTNKPRGNVQTRPTIGSKLVAQGMIRKHRENSSSQKANTLAAAGSESPPLNWVKGNGLNEANDLAEKLQTVSQDWFLGFVERFLDADVVETSSNLSDNGQIAGMLSQLKSVNDWLDEIGSKEDDEGLQEVSKETIDRLRKKIYEYLLTHVESAAAALGGSGNGGGVSSPRPKPIETKSKR >A05p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24813627:24816756:1 gene:A05p040590.1_BraROA transcript:A05p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKKEKASEELKKRVRKRNRGKKNDQQKAEEEEEETHKAEENVDEIQNKIEKKVKKVKKQQGRVKTEEEVEEEKKMVVVGKGIMTNETFESLDLSEQTFEAIKVMGFEHMTQIQAGSIPPLLEGKDVLGAARTGSGKTLAFLIPAVELLFKERFSPRNGTGVIVICPTRELAIQTKNVAEELLKHHSLTVSMVIGGNNRRTEAQRIANGSNLLIATPGRLLDHLQHTKGFIYKHLKCLVIDEADRILEENFEEDMNKILKILPKTRQTALFSATQTSKVQDLARVSLTSPVLVDVDDGRRKVTNEGLEQGYCVVPSEKRLLLLISFLKKNLNKKIMVFFSTCKSVQFHAEIMKLINVESCDIHGGLDQNRRTKTFFDFMKAEKGILLCTDVAARGLDIPAVDWIIQYDPPDKPTEYIHRVGRTARGEGAKGKALLVLIPEELQFIRYLKAAKVPVKELEFNEKKLLNVRSALEKYVANDYNLNKTAKDAYRAYIAAYNSHSLKDIFNVHRLDLQAVALSFCFSSPPKVNLNIESGAGKVRKARNQQARNGFSPYSPYGKVKSTPKEA >A01p015240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7412590:7415425:-1 gene:A01p015240.1_BraROA transcript:A01p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFCSTVVGLPFLFVPMVLTGELFRAWTACSQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTALITTARKGVTLLLSYLIFTKPLTEQHGSGLLLIAMGIVLKMVPMDSKPPSKIQARPAVRKDGGEGERGDEEERKSLSIGVSDIVTRKAKHNMERGNRRLQGAYAEYRFLWRVPTAI >A10p014210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4328030:4328461:-1 gene:A10p014210.1_BraROA transcript:A10p014210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSTTVQCFSDGAWDNVTGRGGMGWIIKKQDGATLLQGSSQRRYVASAMVAETLALKEAISSATNAGFTDLLCLSDCKSLTDLITGNSSVTAIQGILHDIGEMSRSLNSISFSFIPRIKNEVADRLAKAALVVVSPISVML >A09p052520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45968648:45969505:1 gene:A09p052520.1_BraROA transcript:A09p052520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKYLGHRKLIFGLDSFRARIWVSGWCLFEELVLGHGLRGGWVACGLADLVSNGGGFSECFLSVLLPSWCLGCEKWRLSGGDQGSAAIIPVH >A06p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13927718:13934673:-1 gene:A06p026400.1_BraROA transcript:A06p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFVVTGGHRNSLSLSNVRAVVTEGLRRRGGCSREWEVITKADFEAFIRALKESGKMLGNTLGYSYSAHTLPSISDKLLDIFKISCTATAHTSITDRLLGVSDPASTLRDLAKGRRDGREDSSDLNLPIVAEVASSWSQLCQSWWVSTASEDWPVEEVCPDNLHISGEVHRRLLWVAMSWCGCRRSGFNRPGELEIPAVEGGDQVLESLHQGRSHTSTRIKSDLEENDDFGAFWIYLEKASKLTIEQDHWSTFRDNNSIDAHPVTYVHRSTAKRAEACLVPAELEPRLHQFTGLPLT >A01p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1618452:1620102:-1 gene:A01p003740.1_BraROA transcript:A01p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLQPPSSSTISSPKFHSRASPFLSRLRSSSLGFVSSRRVSSVSCSSSFWNQSACTTKRCNLATNSVNGESKPNPGSLQRIVGAVSEKRKALSTGTVILISAVATLLLNPLLAPPAFASFQTAAKTGWLASAWTGFLAGCLHTLSGPDHLAALAPLSIGRTKMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVLQTWGTRIVGLTLVIIGAMGIKEASEAPEPCVALETDMSGMVPAEKEAFSSPKKKKIGFATFATGVVHGLQPDALMIVLPALALPSRLAGSAFLIMFLVGTVVAMGSYTAFIGSCSEALKEKVPRITEKLTWVSSLVAIGLGLGIVISPFFGFSLY >A07p050160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26744679:26745653:1 gene:A07p050160.1_BraROA transcript:A07p050160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNPRIMHGVIITVYVDRRTTLVRSFRVRRRNECTWNREIGRARGYDRRANLLAYIRQMRAESLARDSKDEDDVVENNIKPERKKKKRRWLRKMMSKLRLPFLRPFRRKNRTWKYRHFAPDEEEEGEANSKPYRSDLWKKLKHVVGGLSRGCIRSRRNA >A07g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18919685:18921392:1 gene:A07g507220.1_BraROA transcript:A07g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKQQKMGYVSDPKSMNEINGDDETELGLRAVRLANYITFPMVFKAAIELGVIDTLYAAARADVNGSSSFLKPSEIATRLPTTPSNPEAPALLDRMLRLLASYSMVKCQILDGERVYKAEPICKYFLRYNIEEMGTLASQFILELDSVFLNTWAQLKDVVLEGGDAFARANGGLKLFDYMGTDERLSKLFNRTGFSVGVLQKFLEVYKGFEGVNVLVDVGGGVGNTLGFVTSKYPNIKGINFDLTCALTQAPSYPNVEHVAGDMFRMLHDWSDEDCAKILKNCWKALPENGKVIIMELVIPDEAESADVQSNIAFDMDLLMLTQCSGGKERSRAEYEAMAANSGFASCQFVCQAYHLWVIEFSK >A06p021740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10822440:10823066:-1 gene:A06p021740.1_BraROA transcript:A06p021740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTKKSESSLKFLCSYGGRILPRSIDGKLRYVGGFTRVLSVVDSISFSELMVKLEEFCGYAVDLKCQLPDGDLETLISVKSDEDLTNIVEEYNRVYGGKIRAVLSTPKQVSPPSSGGGGGDVSPKSPFSVVASPSPPPAYRRFPQARYQMENLQSGIFRKRAEEYSRCCKCRVQNRDSKLIWH >A09p070010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54403173:54404336:1 gene:A09p070010.1_BraROA transcript:A09p070010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoid-associated protein At2g24020, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24020) UniProtKB/Swiss-Prot;Acc:O82230] MASTTDFTKSLLSPFSQHAFNSQRGSSRQNKNATWPNQHKPNRPLRVNGLFGGGNNKDNNNTEDGQSKAGGIFGNMQNMYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELVKVTLSGNQQPIRTDITEAAMELGSEKLSLLVTEAYKDAHAKSVVAMKERMSDLAQSLGMPPGLSEGMK >SC186g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:65727:67191:-1 gene:SC186g500050.1_BraROA transcript:SC186g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLSKQIIALGRFCPTEGQSSEDQIRWESSQRGYRERERKEVIDSRVWSILKTDTPPRRPVPSNRRFSTIVTRKLCPIQFVQAMEVLPRVSSEPRVQISRSSARYSAGSPKNCPEARGGSVRVQISLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVTLVVRVLGHIGRTTGTIVCRQYVRDPPILGLCRGELVFLQTSYPAGSRKPLIRWIGKTQRDGVMAYG >A01p052480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29457399:29462587:1 gene:A01p052480.1_BraROA transcript:A01p052480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITVMTAGEQIITLDVDSHETVENVKALLEVEANVPIQQQQLLYNGNEMRNSDKLSALGVKDDDLLMMMVSNASSGSVGGSDLGMNPDGSASNPAAFQQHIRGDANIMGQLFQALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEGFARVIMLYVDMEVNGIPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAQGVGQTEILGRIHVAPIKIGKNFYPCSFIVLDSPNMEFLFGLDMLRKHQCTIDLKDNVLTVGGGEKEPLLSSEKDLPSRFLDEGGVPNQASSSGAAVPSEFTEKKSNAVASPASQPSRSEGPEFEAKIAKLVELGFSREAVVQALRLFEGNEEQAAGTGATARDHVLMTSLRPCLHDNAVALNKQHLLPPRILCSEKRDYNSSGLSRYVPKKLREISEEVTSQPLDSPQKEGINASRCDHSVSGSKPNKEEARDDLYMDLQERNDAGSYMNVSLHGSNEGVMSLEKGMTAGRWDHCVLGPKGSSNFREAGAGTHSHLQVKNDAGMFRNVSCDDSEEELEESRDDVRSTRQHKQAYDEIQLYMDAETAKSSKETQDAENMAIRYLGLRAYTAAELKKKLIAKKYPLDIVDKVINDFQHRGFINDNFYAEAFARSRWSSLSWGPRRIKQALFKKGVSNEDSDAAIKLVFEKDNQCKETEPSHGMSKEALDQLYVQASKRWLQGRDLPIENRKARVIRWLQYRGFNWGVVSQLMKRLESPLS >A02p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2099606:2102900:-1 gene:A02p004830.1_BraROA transcript:A02p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIGEMSPPETTASLLSLASASQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFITAADALLAIRQEVSSIDKHLESLIGEVPKLTSGCTEFIDSAENILEKRKMNQALLANHSTLLDLLEIPQLMDTCVRNGNFDEALDLEAFVSKLATMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFGEYEMRLQFLRCREAWLTGILEDLDQKNAYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNMFSKNMSTAVENFQLVLDSHRWVPLPSVGFPSSGINDDSKDDVTPPSYLMEHPPLAVFINGVSAALNELRPCAPLSLKNVIAHELIKGLQAVSDSLLRYNTTRMLRLNESNLFLSLCRAFVEVVFPHCATCFGRCYPGGASIVMDAKSAYEGLSRILAASSSPEPSNKLAKTISIDATSASENGVTSQAEEKQVESPNEKEENSPVPLQIPETTQDES >A04p026000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15733013:15741392:-1 gene:A04p026000.1_BraROA transcript:A04p026000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRSRLPGITNNFLYHKMQDTSPPTIEGASSRRPFTIYFGDIVYGFEFHPSLDIILISPGGLLQLQQVGVAAGDLIAMYIKLVIIEGFKSYKEQVATEIFSPKVNCVVGANGSGKSNFFHAIRFVLSDIFQNLRNEDRHALLHEGAGHQVGSAFVEIVFDNSDNRIPVDKEEIRLRRTIGLKKDEYFLDGKHITKNEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDPERLDLLKEIGGTRVYEERRRESLKIMQDTGKLSVGRNKRKQIIQVVQYLDERLRELDEEKEELRKYQQLDKQRKSLEYTIYDKELHDTREKLEQVEVARTKASEESTKMYDRVEKAQDESRSLDESLKGLTKELQTLNKEKETVEARRTEAIKKKTKLELDENDFKERIAGNIQSKNDALEQLSLVEREMQDSLKELEAINPLYESQVDKEKQTTKRIMELEKKLSILYQKQGRSTQFSNKAARDKWLRKEIEDLKRVLDLNLNQEQKLQDEIFRLNADLSERDGHIKKCEVEIGELESHISKSHEQFNIKKRERDEEQRKRKEKWGEESELSSELEKLKTELERAKKNLDHATPGDVRRGLSSIKRICSEYKINGVFGPLVELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTQIIKHLNSRKGGRVTFIPLNRVKAPHVNYPQSTDAIPLLRRLKFDSRFAPALGQVFGRTVVCRDLNVATRVAKNDGLDCITLEGDQVSRKGGMTGGFYDHRRSKLRFMNTIIQNTKSINTKEKVLEDVRRQLQDILSVTYFDQQITQLVTEQQRLEADWTHSKLQVEQLKQEIANANRQKQAIRKALENKEKSLDDIRTQIEQLRSSMAMKEAEMGTELVDHLTPEEREQLSRLNPEIKDLKEKLIAYKTDRIERETRKAELETNLSTNLKRRINELQATIASIEDDSLPSSAGLKTQELDDAKLLVEEITNELESLCRSIDEKTKQVKKYKDEKAKLKALQDDCDMTVQDANKKLEELFSLRNTLLAKQEEYTKKIRGLGPLSSDAFDTYKRKNIKELQKMLHRCTEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELDAGDEKIKELITVLDQRKDESIERTFKGVARNFREVFSKLVQGGHGHLVMTKKKALFHCEMDHDHDDEDDDDDGGRQADAEGRVEKYLGVKVKVSFTGQGETQSMKQLSGGQKTVVALALIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRGLADDSVSTQFITTTFRPELVKVADKIYGVFHKNRVSIVQVISKDQALDFIEKDQSHDT >A02p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2943999:2945131:-1 gene:A02p006930.1_BraROA transcript:A02p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTTFSVWFILMTLLGISINGGLSQGQQHLMKKTRSVAVVVGTVYCDTCFNGAFSKSPNHLISGAVVAVECIDENSNPSFRQEVKTDEHGEFKAKLPFSISKHVKKIKRCSVKLLSSSQPYCSIAASATSSSLKRLRSSKHGENTRVFSAGFFTFKPENQPEICSQKPINLRGSKPLFPDPTFPPPIQDPVPDNPLPILPILPPLPDLLPTLPPLLPGPSQKSASLHHKKDSYLEDKKTKVLKPDSVLPPNPVKALLPPNPLNPPSIIPPNPVTPLLPPIPLVSSPPSLPPLKSLPPVPVITPPSQPSPSFSVSLPPIFIGIPPALSSFPSHPQP >A06g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25565232:25565933:-1 gene:A06g508970.1_BraROA transcript:A06g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPIKSVLLYDLSGEAQLFTRFVIRFIPTTTIHEVLTAHQPSEVHAQKEFNHPQALANTYFELPGIGSYFASQVYKKQSSTFIYAFKAPLSATSPELLELWSIFSLTSNFYLNFIPANKFYFDTTIPAIEASTE >A03p011050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4427410:4428987:-1 gene:A03p011050.1_BraROA transcript:A03p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKGCKIRKRGGSSSSSSSLARQNRFKRAIFAGRRAAQDNGGSGTPVKSISAAKTPVLLSLSPEKHSVDQFQKLPMSARKLAATLWEISDGGTDPALNSDRDNLRSKKPSRHRRKKSAEISSHRIQLYSSDPISRFGSERTILHERATVPQKQQLIEYKTTGTNSVKTRFKNINDGLKTSKELVKVLKRIGKLGDDHKTASNRLISALVCELDRARSSLKHLMSEFDAEEEERRSLVEKLREEAVVERKLRQRTEKMNRKLGRELEEAKDTERKMKEEMEREKRARDVLEEVCDELARGIGEDKKDMEKEREMMRIADVLREERVQMKLMEAKFEFEEKHAAVERVKKELQRVLEGKGSSEIGRVLEIIDGSDDESDLKSIELNMESGSHWGYVESRRDHRTESRYVGSGEDDDDPVEKRSVVVDNGERDESLKTLKEYIVSNMRFIGSSSSEQWNHRHLPSVEFV >A09p081080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59136874:59137699:1 gene:A09p081080.1_BraROA transcript:A09p081080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA1a [Source:Projected from Arabidopsis thaliana (AT1G06400) UniProtKB/Swiss-Prot;Acc:P28185] MAGSYKVDEEYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATKTLRVDSKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENAARWLRELRGHTDPNIVVMLIGNKCDLRHLVAIKTEEAKAFAERESLYFMETSALDATNVENAFTEVLAQIHKIVSKRSVDGTNREGEGGSSSGLPKKGETINVKEEGSVLKRMGCCSN >A02p021940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10347025:10349365:-1 gene:A02p021940.1_BraROA transcript:A02p021940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSGEGGDYMATMGRERPKTLHNFTLPDLKWGVQRSLRCMKLQSNGGVDDRNRRLWRSAGEEGIEEFGEKMKESIFREQVVDYEEEEGKREREREREASPPWNLRKRRAACKAPVAESGNCDEEAKFMSTLTKKEMEDDYMTMMGHRPPRRPKKRLRTLQKQIHLLHPAFYFTQITEDIYQVPDAAETRKVSSSPDLILLASDQNYFLSNADVLSISELCGWFLVKFQHPTIDLLVTTGIVQEALLGDEITSESAFKQIESCVDCELILLVGLRIGGSDKSRLRRYGVFDSTSGWYRAANEDIINIFRSLLIAILKDCCIGFSYA >SC244g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:159911:169053:-1 gene:SC244g500100.1_BraROA transcript:SC244g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILLVQICMENKENMSGSFWIGLYLDLGQIRLFRSKKRVAARILIHLLCSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRKRPYPSPFIHPRPLQVIQGETAIPGLHQVMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQEVRTINRTATPHAQVIRFSPNQDVQCEGGSL >A09p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5329184:5330789:1 gene:A09p010410.1_BraROA transcript:A09p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNPDPNPDPSQDDLLSSEQQQQQQQPESLSSPGRVLSIDTRVEQAWAHWKKLGKPKYIVAPMVDNSELPFRLLCQKYGAQAAYTPMLHSRVFTETEKYRNQEFTTCKEDRPLFVQFCANDPDTLLEAAKRVQPYCDYVDINLGCPQRIAKRGNYGAFLMDNLPLVKSLVEKLAQNLTVPVSCKIRIFPNLQDTLNYAKMLEEAGCSLLAVHGRTRDEKDGKKFRADWGAIKEVREALRIPVLANGNVRCVEDVDECIRETGVEGVLSAETLLENPAAFAGFRTAEWAKEEGYVDGGLDQGDLVVEYLKLCEKHPVPWRMIRSHVHKMLGDWFRVHPRVREEFNAQNILTFEFLYGLVDQLKELGGRVPLYKKRKIDTPQSP >A07g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24433361:24435733:-1 gene:A07g508800.1_BraROA transcript:A07g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEGVIDKYMKCTGGHRGSSSATFTSQEQFQPPNLDPKDIEVNVLKKEVEMLQKGIRYMFGGGDGAMNLEELLLLEKHLEYWISQIRSAKMEIMLQEIQSLRNKEGVLKNANKYLLDKIEENNNGILDANFATVETNYSYIPLAMPSEIFQF >A10p022000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14616858:14618409:1 gene:A10p022000.1_BraROA transcript:A10p022000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEKSRIVIFPQPNKAYIYMYSIVLLRSESDCRLSDSVVHRRFKFAMDRSQFILIGLPIFLFCSDLFNLFTPPPPKPPSHRPNQPPHIPHQQRPPVVVPGTLDFPSQKPSGLGAVGYGNTVEINFCISCSFKGTAVTMKKMLETAFPGLDVVLANYPPPAPKRLLAKVVPVAQMGVIGMIVAGDRIFPMIGIAHPPAWFNSLRANRFGSMASTWLLGNFLQSYLQSSGAFEVLCNGELVFSKLKEGRFPGEIELRDLISKALTKPSVVTGSY >A01p013330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6513667:6516398:1 gene:A01p013330.1_BraROA transcript:A01p013330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein ERDJ2B [Source:Projected from Arabidopsis thaliana (AT4G21180) UniProtKB/Swiss-Prot;Acc:F4JIN3] MVESEENGVLFPIFILTIIAIPLVPYTFVKLSRAFSKKQRSIHCQCLDCDRSGKYKRSVSQRISTFTSCSNLTVVLLWFVMIFLIFYTKNISRESQLFEPFGILGLEPGASDSQIKKAYRKLSIQYHPDKNPDPEANKYFVESIAKAYQALTDPLSRENFEKYGHPDGRQGYKLGIALPQFILDLNGESGGLLLLFTVGLCILLPLVVASIYLWRSSKYTGNYVKLQTRQAYYELMKPSLTTSKVMDVFFKAAEYTEIPVRKTDDESLKQLFASVKSELSLDPKKMKQDEAKFWKQDPAVIKAELLVQKQLTRESAVLSPALQSDFRRVLEFAPRLLEDLMKMAVIPHNEQGHGWLSPALGVIELSQCIVQAVPLSARKSSSEDTAPFLQLPHFNETIAKKIALQVGSFKEFQELSLEERSKVLKEVAGLSESDVADVEKVLEMIPSLKIEVTCKTAGEEGIQEGDVTTVQAWITLKRPNGLVGAVPHSPHFPFHKEENFWVILADSNNVWFFQKVSFMDEAEAISTASLAVSETMESLGASVEAKNKAVEEAVEKVKSGSRLVMGRLLAPAEGTYNLTCFCLSDAWIGCDQKTSLKVEVLKRTRDVEGEAAEEGMDEDEEDELEEEDYESEYSEDEEDKKRGSKKKVNKKESSSEESGSDED >A10p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:782766:784435:1 gene:A10p001510.1_BraROA transcript:A10p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKHDKDDQLEIEQINKSPTMKTKKISRGMHVFSVVMFMLRRRRRRRKAFNTRFWRRVVESVRKVHSEITIMPKSKSTNTILLPPAPLPATTMEISQDGGDDADVINDESGDRLTEVIEMVTITNLIPFHGILIETTVTNEVCIAKSWILHVLSENQEDPPVIISLNSKTNPQDGAKAATVQLCIKNKCLILQLLHVNQNTNLEECFGDLFRDEKFVFVGIGIAETAKKLNGLVTLVKKVDVRDLVKVNYPISYGVRSRLSLKAMASELLGFGSWKPKRQICPRDLARRVLDEEVIKFLSIDAYVSYELGFKMLTQ >A01g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17630582:17631461:1 gene:A01g505960.1_BraROA transcript:A01g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGQPLKKKTSDQVVDADDLQMDEDEDDNEEDDQEVDHRNRAKTRQLLKMTGLCMTVILGPIILVVCMHYSQTHLFIFHVCSFQKVFLGNNNVCDVENHELPRLVYLLVRLGFDHHKKAGAMNSYESWGFYQLCLTF >A10p003430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1732732:1733079:-1 gene:A10p003430.1_BraROA transcript:A10p003430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLSVVCVLLTMSFVHARARQVPGEFDEGKMTTREDTTTTVVHANAADQSPPKSLGDKKCIGKVGGIGGFAGVGGYAGVGGLGMPLIGGLGGIGKYGGIGGAAGIGGFKGLGY >A01p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:293175:294196:1 gene:A01p000740.1_BraROA transcript:A01p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAELISAIAKEKIKALCMAFYARSEEARQSHSVHKLALEVRVIVGCTCAGRYTLQRLPIQNEIDMLQTYLEGIHQDSMLDLVLSSLPEEALSTGTDAVQPLNQKTLQSHSTWWRRNLSTFTSRYSIVAQGVKGSKAEEIVNAWVRRARNRAITEQAVTLLQSYATCASLT >A06p019010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9210327:9210965:1 gene:A06p019010.1_BraROA transcript:A06p019010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSFHRRDSDSPPTVKIVSVNGDLREYHVPVLASQVLEAESAAASSSSSSSRPSSYFICDSDSLLYDDFIPAIKLEEPLQAEQIYFVLPVSKRQNRLTASDMAALAVKASVAIQNSVGKESRRRKKVRISPIMMLTQPNDNAVNGKASESTTVRKGRPLLNKTAPFKASSGYNRSGSVRNLKKYTSKRAKLAVRSFRLKLSTIYEGTVV >A02p053240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32409656:32414646:1 gene:A02p053240.1_BraROA transcript:A02p053240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) UniProtKB/TrEMBL;Acc:W0TY53] MAAIKVASLLTLFLLTPSLSVDESVLPKFSFSWSDDKNKFKSGEIARISIKVLGNFETNGNASLGQGAFKPTLTVNGKTGNSTYISGVSLDLGADISTWKISFIPIVVGVLNIVIDDETFKVLDSSLHFEVEPGLMHPSVSVVSWMGLNNVFEAGTNASILILPMDAFGNNISFSGKEMELQGFSLSLQNENGSFAIIFNTTHIRWMESGYISIEFVLVTAGKFLLLVEKESQALNGVPLPLEVNSGPLDVSNCVSIWKSELNTWQIFSKMEIMLHQRDRFGNLVSGFYEFDADVVGKETGLSIPVADFQFEYVDPGIQLMSFTLSEPGNFLLTLSDMEHNKSISSMPYEYTVYIGQCLAKTLLHGIKCGYCDGSRSIVNGSGLNASIAGESLGFSIYLKDAYGYPSSVQVNILQVQIIQEADDSSYILPTIKPRETLNGTKVSSYRAATPLNEKHGGEALLNQASVFDVTYTPRQSGVYKIFISSGNIVLNGGQPFIKEVKPGEVNVAYCIVTQFNAKVPREIKNDIVVLLVDNFNNPVPSQPSRLNLEITSANTSSFTTWNFVDNTDGTYIGSYLAMDVGTYRMCVSFDDKHIQPCPFDVNVYSSGYFPKAYDDEVNVWEDESISFYPMENDYFAGDNASLVGFSQPDHGSLLRDGNLLRYTPIKDFSGNDSFLYTIVDINGNLGIATVYILVLTAPPQFVSFSGGLQATEDLISPRSGQVLFIFFGFSGLEISYSDKLENISVTVQALSGSVILSPMLMQFRLPGSGRLSVRNGGDDGRLLILEGQIGVINPALHSIQYLGNENFSGVDSLRLTTRNKNGINQLDVPVFVEPVNDPPFINVPHYIMLESNGTESLIFHKDTDKFNFSVGDPDLAGFPGGESHFLVTFSVEVTDGFLRTNLPSELINTTELKFKNIFRWQPIQTYASISKHVNVKASGIRFRGTIKQCNDLMEQLLHRGGENGAIMTLKMSDMGNYGCFLDCIERISLPLHLVARVNLIRKRPLSSLAAHVLGSVIVVESLVVFSLAIVLLFFTCKCAFLLVHERRRQHSVHMNLQNPTVDNAKLLNDNVLTRIVTRCFPESIWNRHAPNRQVGETSATQNKELPEINIVPFELEKG >A10p013990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4430192:4432891:-1 gene:A10p013990.1_BraROA transcript:A10p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQMLLQLLPLLLIFFNRVGSVPTDELQTLLDIKKELDPEGKHLVSWSINGDLCKDFEGVGCDWKGQVSNISLQGKGLSGKLSPAIAELKHLTGLFLHYNALVGDIPRELGNLSELTDLYLNVNNLSGEIPSHIGKMESLQVLQLCYNNLTGSIPREIGSLKKLSVLALQSNKLSGAIPASLGDLNALERLDLSYNHLFGSVPGKLAAPPLLRVLDIRNNSLSGNVPPVLKRLNEGFAFENNLGLCGAEFSPLKPCNDTAPEEPKPYSATVNGFPSRAIPESADLQLPCNGTECSGGASPNSHQGSILIGLVVSTIALSAVSILLFTHYRRRKQKLSTAYEMSETGLNAKGRKNKGSSPLASLEYSNGWDPLSDNRNLSIFDQEVIQSFRFNLEEVETATQYFSEVNLLGRSNFSATYKGILRDGSAVAIKRFSKTSCRSEEPEFLKGLNMLASLKHENLARLRGFCCSRGRGECFLIYDYAPNGNLLSYLDLKDGDTHVLDWSTRVSIAKGIAKGIAYLHSYKGNKPALVHQNISAEKVLIDQRYSPLLANSGLHTLLTNDIVFSAVKDSAAMGYLAPEYTTTGRFTEKSDVYAFGVLVFQILSGKQEVRSLVKLGTEACRFHDYIDPNLQGKFFEYEATKLARIAWLCTHESPIERPSVEAVVHELGNCSSCL >A03p072210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31909651:31911204:-1 gene:A03p072210.1_BraROA transcript:A03p072210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEVLNRMLDKGVRNKLSLMRSKKVKIMEDTVIETTSTSMASDAGSSVARRRRRLSTVFQHSDLLLCFILFFHKALVYLGYVISSAVAICERCVQYRFYIFWLLCSPSPSLMAAIVPDLCQKTCTPPQVCVSQISDLKLTRQAPPSLSPKTMTFLPPGKIDSTWFWCKRGLCNSPLCSLLARSMSLNGSQNYYQTIQARNLLFDEPPTNRCSLQTPFVATLTIDCSPCLTVSDLNDQRLRFCSVKPLLLQHGNAGVGRLWLDSKLVPSEIGVNPISVSAGRKVSSSLKTDLSQRKFCSTLLGCERDIICGSLISDCARMEYSRFSVHDEQFIQALKYPFVVSQNSLIPPSTYFLCFRGQLLESSKDCQSVSVNSYWHVLPTSIRRPKLSNLISRQCLVSFPNLPKLKTNSLLTLSCVDKFLQTSSRQGRERSLSTSSFSKERIFPPRSLFVRGDHLPASKTENFTNFLAVCYLV >A05g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13604107:13606140:-1 gene:A05g504880.1_BraROA transcript:A05g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVSDPLSIIQSFLNSILMRAHLMLPYISTTTHQAIGFGITLPSDSQASSASSLSYYMEARCQLSIITTLAGKEYYDNSEQNKQPNRCRVLAENFAVEKERINAVSKIRFVGDIVDTDSNCLTVHETKLKVSTYGLACFEKHEFVFYDMLDEEVSKDEVSRDILRLMQHTYRNQGFQLFLSFFEIHGGKRYDLLKERKSVEKHVVILSWLLCT >A01p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8474900:8476490:-1 gene:A01p017100.1_BraROA transcript:A01p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILTSTLKPLAMADSSSSTLLSHPSLSTIHSSKTRCFSNFSLLTGRTNLPFSFSSLSLSLNSKTHLKKSTFVSSVAQTSDWAQQDGEESGDVSASVAVEESEPEATFSEEEGDASEGGGDFPEPPEEAKLFVGNLAYDVDSQALAMLFEQAGTVEIAEVIYNRETDQSRGFGFVTMSTVEEAETAVEKFNRYDLNGRALTVNKAAPRGSRPERQPRVYEPAFRVYVGNLPWDVDNGRLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSNETELNDAIAALDGHNMEGRAIRVNVAEERPRRF >A08g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11625421:11631709:1 gene:A08g506710.1_BraROA transcript:A08g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSASREEAVEETDGTSIDDTALVSINSDARTIRLGEKGRKSFRVLLELLHSPSGTPLVLALMETYLESKIRRRPHKQEKLLKEQQEMTEDLNLHLDSLCKEVDERLETLDTHVKMLYTQASQTEEAVKKQEALFKRKVLFIREKRKEDAFLVESSMSMGSSYWCRPTPTSTHRSTSSPEHKTVRIQSHSDFAARHPHPPTLVRIRPNNVDRQQAERIDRQHHDRIDRQEHVSIDRQEQQIIDRFPSTLYRVHLPNLDAHRLNATQNSSQTSVCLGTTKQISQQTEDATEKEHSTLAETSLVEIDHHQRGYEHVMETQATKEGVQREKRVKYRKHFIPKHLRREVNKVELDGFHKRVKRVPKDIESRETYEDIEQLFNKVCRKPKRTLKKEQDPGKFLIPCSIQNHDLPNALCDTGSAVSIMSIDTADLLGVKMEHSQYSFTFVDNSNANSAGMIRNVKVEIGGCTIPVDFHVLEIKSGKPSSLLFGRAFMATVGAVCDLKKNMMCLTNIDERVYYDPSCLTIKHTADSTREPAKPESASIDNQPSASVDKQPSESIDTKLPASVDAPHSSEHAMTEKSKSGGRTMHIKKKKRKKNIDADFLSLVPSQFQEGSLECRVRCRGSHKPFTKVRVLCDSEMKEKGELSARAFINCINKMRKRDTETCFGLSYRKRSQEDEFAQESTSSASTFNCVDHYSNQWQIFRIGTRVDDCLEYRPIRACRYRSTVHHLQRPMRDCPSVLLEDKQKGSGTFKRNMMILESFGAFGGAELHRRVRCLAMDGDLSTSFELAFQCHRSQVNQHPVAEIMFVLLKSGQSASREEAAEKRKPRRSMQHSARRSMEIPDRGPRIFYDCVKPRSNHKLPECPWTTRNPTYVISKPLLTATLSLHHFSCFRVGEKINSPSEIDWNSIDFIIAFYPIILFRL >A04p036530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20994055:20996576:1 gene:A04p036530.1_BraROA transcript:A04p036530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT6D [Source:Projected from Arabidopsis thaliana (AT2G40420) UniProtKB/Swiss-Prot;Acc:Q0WQJ3] MTPAIKAPLLPNHDPSSPSSSSPPEEKHGSFAGAIFNVSTSIVGAGIMSIPAAFKVLGVIPSLSIIVIIAWLSNVSAGFLMKSTVAGDATTYAGVMKESFGKPGSVAVQVITMVVTFGSMIIFSIIIGWFGSHWWNTRFFSLLFIFVFLFLPLVLCRRVDRLALSSAISFLLALLFVIISSVLAIVALVQGRTKSPRLFPDLNNGGQSFFNLFTASPVIVTAFTFHFNLHPVGFELKDPLQVLSATRVSVILCATIYSATGLFCYLLFGDSTMTDVLMNFDESSGSSIGSLLNDIVRASYAIHLMLVFPLLNFSLRANLDELLFPKKVSLVNDNKRFFGLTFPLLISCFLAAIAIPDIWYFFQFLGSTSTVSIAFIFPAAIVLRNVNGISTLREKIVASVMFALAVATSIIAISTNIYSFTETEEA >A07p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24633270:24634064:-1 gene:A07p045390.1_BraROA transcript:A07p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSFRFRAPTNHPGSSIKTDHSHRLIRFPTKCSSPDLSHYTVLGLTPFASQAEVKRAFKRLALKYHPDVQKGQEKDFKEIISAYECLMQKFESQEEEEVEEITELDATDEWEEWMGFEGGIPSSVYTSF >A03p039820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16569186:16575845:-1 gene:A03p039820.1_BraROA transcript:A03p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFSPGSSRLLQLGVTGSHLRSSSSKRPPEPLRRAVADCLSSSSPPTTSHHGAIPSMAPSEALRNLRDYLSAAATTDLTYNMLLEHTIAERDRSPAVVTRCVALLKRYLLRYKPSEETLLQVDRFCVNLIAECEASLKQKSLPVLSAPLGASPLPVSSFASGALVKSLHYVRSLVALHIPRRSFQPAAFAGATLASRKLLPSLSSLLSKSFDSQLSPAAAESPQKKDAADLSVSNLSNIEEFNALEDTEYISSDLLNWRWVGALQLSSASSESERPVNLQDMNNCNLLEVGAAGLLVGDMEAKMKGQHWKYFGTTEMPYLEQLLQPASVTMITNSVSARSHLRAVTATKRTRAGPQQIWDDSTVSTFRPRARPLFQYRHYSEQQPLRLNPAEVGEVIAAVWSEASSTPSNPMTVSPQLNSKTGKTSMDVAVSVLIKLVIDMYVLDARIAAPLTLSMLEEMLCSTNAACRIRVFDLILNLGVHAQLLEPKISVNASTIEEEYAQETFIDNENRLLLQGTRTRDLPKMSSTSSAIENFESWILKILFEILLVLVQVEEKEESVWASALSCLLYFVCDRGKIRRKQLNGLDIRVIKALLGTSKRNSWSEVVHSKLICIMTNMFYRSPELDGSIKATSSASSFLIEQVDLIGGVEFIFYKYSLATTREERRNLYSVLFDYVLHQINETCSTAGLSEYTDDEIQPLAVRLALADAPEAFYISVKLGVEGIGEILRRSIAASLSGFSNSERLNQLLANITEKFDTIVASFTHLDKEFLHLRQITKSSKYMETIQELRNDISMSVNLAWATLHSLLHSERATNRQNGYIWLGDLLIAEVSEESGGSIWLSIKDLQQKIANCGASDSLITSDIPISVHLLCGLLKSKNSVIRWGFLFILERLLMRSKFLLDENETQRSTGGSATQEQKDTPLEKANAVIDIMSSALSLMAQINETDRLNILKMCDILFSQLCLKVLSTDEGVVPSSSDRNNKFDTSHGKSYKESMDDDDTRPRYNNVSVSTCETASMAAMLLRGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADTVAFQEVGGEEFFRELLDDTDSRTMMTEEPEKYQNMLQKLVFKAQQSNNEKLLENPYLQMCGILQLSNEL >A06p050250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26434375:26435846:-1 gene:A06p050250.1_BraROA transcript:A06p050250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRRPSLSNGDRISELPDALLLQILSLLPTTKDAVATSVLSKRWRFLCKMMPSLRFCYERTNDLERFSDNVCTFLLSHQAPVLQSLHLEMNFGRGSTRDIGVLLGVAFGLHVRELDLQVYSGGEPYRFPTSLYKCGTLETLKLGPNVLVDVPFPVCLKALTTLRLYKVIVKDERSVVNLLSGCSSLENLEVITCTHSDVKTFTIAVPSLQRLTLITSIDEYELAYVINVPSLKYLYLRGLAEGDSCLIENTPELLEANITDVCGFIYEKFLVSLTSLKRLSLEIDSPLDLMPVLDNSPNLQALKLITLWFRKGDWSPPKYVAECLLNRLETFVWENYEGEIEDEREVAQYILRNASCLETATFSRTDIHPEKRLERLKELESVVRASNSCQLVFK >A04p038980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22085503:22087730:-1 gene:A04p038980.1_BraROA transcript:A04p038980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGLVGKKVPSYDSRWDEKGHTMISHIFVSFSEFITCVQFGYLKDGALVLSETFGFSEGSFRTVKLNQDEYITGLSGVVEKMGGIINLTFHTNRGKHGPIGRSSDGCGRSCSTIEIDPAISDRSEFSGFFGTYNSHYLSAIGIYVSPTPMTGTVVKQMFKLEPMGSTRLSTDRSWDEMGKNMISNIYVTFDDYGIQSLQFIYFHEGAHVESQKHGYSGGQHIKRVRLEHDEYVTALSGVHYQHRITSLTFHTNKRKHGPFCKKFEHLKDCKREIDVGVRDRSEFGGLFGSFAEKKYCYLRSIGMYVSHNRSSIDAVPRTRFGATYDHQLIPLEPLDHTTTRLHYQTPKIVDGFPVKPSRRCKSKLKDRILSKINKAI >A01g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25521252:25524089:1 gene:A01g509430.1_BraROA transcript:A01g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQLLAAGSNKTAHHLAPLSLALCFVKPSPESWRFGVLKLTITHVLQPLILIDGQGLCSDQPDPCGDFKSRIFQKPSVISLSSSIVFLSQSHGFKSDRKYSENLRSTIEEHRPCHFRSSTIGGVTKVKHRDKLERIDLTYRCYYHQARVCYPYGRVHYRPVRSQVDTLNTSPDSCVINVKLMLRHDGSTGSILFSMRHHEAYDAKKKKMGSQDTEEGLNRSRHTLFEADLGFNTQSRILTWSFFRELQEGFGSKLFEYECYELLVESQELLQRVEFELDRFHELKK >A01g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23824016:23829513:-1 gene:A01g508700.1_BraROA transcript:A01g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIAYELVGQATSNSLLMFCFCNLIIVIILTGSSKPGSMDSQDYNTFTSSVSFNNLASEDHDCCGDDHDHEEMIVIDVQDESLTDPSSIPDEYEDKESCHCCHDDDDEEEDEDDDDDDDESSEADVEEEEEDDELRKRAEEFIAKVNNEWKHEKLRALNLTMMDPDMFAEDPSFNEKFDIDFEFDAPRFYDFSKPELDSETELWFESAGNYPPSPFSLNLSCIFDDKHLKIPKPVSDKYNGFIYYNQTANYLPKSTQKSKNKPFLRKNSTLTRPTASLLARQNKPLDIYSVQLLTRCQRSLGKLEGKISSSVILSMPQTQDTKRQKLESGFLRKISRLEQTPFVHKVPKKLSKVTVPKEPNLKTAQRATRQRFKANSAPEQVARFSSTMTKTVQESFSHKKSTPGSQDFQRFQLRTSLRAKERSSSAKNAPKDDPTHSLMSKSVVSRSSRRVKESHISKTNSQVYESKIRHLESKVSRKFGETTEIKHENNFPRMENHRCFSSLKEFEAPNVTNSQDEHFIESLRKAVMSRSSRMASLQLLTLLFFFFFTVSKSIDVSKPHAAESFDINLIQNFGSCRYTVIIRTSCSSPRYTRDQISLSFGDGYRNQVYAPRLDDPGSRAFERCSSDTYEINGPCVRQICYVYVHRSGPDGWVPESVQIFSHSSKAVTFTFNTHVPESIWFGHNYCNTI >A04g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9048588:9054050:-1 gene:A04g504390.1_BraROA transcript:A04g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSRSDITKSLCPTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVAPRLLSARFLFYLRAFWSFHYARFALGKTYSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVLEYHMRLFGAQKR >A05g503530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10290137:10290349:1 gene:A05g503530.1_BraROA transcript:A05g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPEEWLAFESYMEDIKLLKRSFNNSNIVHVPRVENLRADSLARSARKQPSFVVHMDMELPIWFTESS >A09g514440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43022500:43023100:1 gene:A09g514440.1_BraROA transcript:A09g514440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLLPLIPSPTICLQSAFGSEKRCRFSINIWETEGINYLRNGGGDDVAGAPPWNPMTMRAACNEPGDESTRITGVKRGSNEGGGDRDSQKLKFSVSLLREEIKKDSTA >A09p069990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54395700:54397814:1 gene:A09p069990.1_BraROA transcript:A09p069990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFYGSKKASMRKQQQQSLTDTTRPPLVPAEKNNAVAASATRRSRTMEVSSRYRSSTPTKTRRCSSPNATRTVSSSRAVSAERKRSSTPTTPTDPSTPVSDVPVASRRLSTTGQGLWPSTMRSLSVSFQSDSVSVPVSKKEKPLVSIDRTLRPSSNVTHKQKSVTTTTTTKNVSAGQSENSKPVDGPPHSRLIEQHRWPSRIGGKPMNRSLDLGDKAVRRMSNKPLQKSSSDTARLLSSYESNGSPTSSEDLESRHRLLSASSLDRATSARVHPLSAPGSRTASPSRSSFSSSSSNSRGMSPLRGLSPSRGSCLRSSTPPRGVSPSRIRQSNTCTQSSTTTTTSVLSFIADVKKGKKTTYLEDVHQLRLLYNRYSQWRFANARAEGVRYIQSLIAEETLYNVWHATSDLRDLVTTQRICLQQMKLETKLDDILNKQMVCLEDWAILEREHVSSLAGAIADLEANTLRLPLTGGTKVDLRSLKLAMSSAFDVMHAMGSSIWSLHSQMEEVTKLVSDLSVIATKENFMLGRCEDMLASTAIMEVEESSLMTHLMQKKQEEEEMMLSLPCCH >A02p025230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12346652:12347464:1 gene:A02p025230.1_BraROA transcript:A02p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSVPPGRSLYLILIIVLALFASITMAESTGEASSEAKVHIIYTEKPTDEEPKDYHLRTLSSALGSEEAAKDALIYSYKEAASGFSAKLTPEQVTEISKQPGVIQVVPSQTYHLHKPVGAGFKLT >A05g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11427437:11428773:1 gene:A05g504140.1_BraROA transcript:A05g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALQGAADVNQLMDQLERHCLAPDGSLVTKSAYYDLQLAREEMSRERLRYLEAMAIYCEAVAMVEEYQQALSLPNHLGTRDVQGLFPQLGLKISPQLVVAEAAQKLRLPLISDDGEIHEEDIEKWSILSRSSLDSASTTSFTISSASNLVNYANSSANSLGAAPDTDVVGGVPNRFLGITPAYLSYVQLQNTMSMDMADYQMFLAREIEGRLKEKCDKLADAIVDDTDSSTGNQNSSARLPERLALPLRFNHS >A05p042760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25830137:25831775:1 gene:A05p042760.1_BraROA transcript:A05p042760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQETLSDPYGEIEISFGYQCNNNNNNNNKKTIGIPEDAIVPDSRGVLAGFRLQKTSSFSCLSGAALSGNPTLANTNICNGVIGSEILPSLDSPKSFRKVPSSPALSKLDILSPSLHGSMASLSCSPSPPEPESCFLTSMSSPSSSLNEGFVLSAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLACTLYESIVFHLQLLDHQMKTHQDVDDGGVSVIVDSSSSDLFRQGVLDCLNRALLQAENDFLRMVEQEMEERPDLVSVGSCVLVTLLVGKDLYIMNLGDSRAVLATYNGNKKLQAVQLTEDHTVDNEIEEARLLSEHLDDPKIVIGGKIKGKLKVTRALGVGYLKKEKLNDALMGILRVRNLLSPPYVSVEPSMRVHKITESDHFVIVASDGLFDFFSNEEAIELVHGFIASNPCGDPAKFLLERLVAKAAARVGFTLEELLNVPAGRKRRYHDDVTVMVITLGTDQRTSKASTFV >A01g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11548851:11550004:-1 gene:A01g503810.1_BraROA transcript:A01g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISRDYVRGRGLRDVWASDATLVVRAGVQRRLDLRCYLCGRVVQRRLYHGRYLRGRGIPIPHGVTPLLLTPPILFPFQNVSRLP >A08p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9314927:9316930:1 gene:A08p013870.1_BraROA transcript:A08p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNIDQQSITSIDRSPLKCVDRQSFKSIDRRLTVLVDTHIKSRYTEPKLTFNLNQFNLLVLGLGIHWIGFLFQTRQMSSYGWRPYDRQSASCSPSYTRFTKEWSVCLARESCREEERISIDAELLKSIDMDDRMRAEHIL >A03p071150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31272447:31275305:-1 gene:A03p071150.1_BraROA transcript:A03p071150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAPRT1 [Source:Projected from Arabidopsis thaliana (AT4G36940) UniProtKB/TrEMBL;Acc:A0A178V5W0] MNVLGKKPGRVVDKPTNPMVTPLLNDLYQFTMAYAYWKAGKQSERSVFDLYFRKNPFGGEYTIFAGLEECIKFLANFTLTDEEIDFVRDSLPGCEEAFCDYLRGLDCSDIEVYAVSEGSVVFPKVPLLRIEGPVAVVQLLETPFLNLINYASLVATNAARHRFVAGKSKLLLEFGARRAQGPDGAISASKYCYLGGFDATRHVLVLLLVNVAAGRLFGIPLRGTHSHAFVSSFMSLDEIVDKALRSSDGKTTCEDFVSLVQTCLAKIQNSSSLSGIFSETNKSELAAFTSYALAFPSAFLALVDTYDVMKSGIPNFCAVALALNELGYKAVGIRLDSGDLAYLSTEARKFFCGIERELNVPDFGKMIITASNDLNEETVDALNKQGHEVDAFGIGTNLVTCYSQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRTYRLFGKEGYPLVDIMTGENEPPPKVGERLLCRHPFNESKRAYVVPQRVEELLKCYWRGNADEAREELEPLKEIRNRCIKQLENMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELH >A09g518350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55755472:55759380:1 gene:A09g518350.1_BraROA transcript:A09g518350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNLTAILNLLALLCSIPITASGIWLASKPDNECVNLLRWPVVVLGVLILLVSACGFIGAYQYKETLLAVYLCCMAILIGLLLVVLIFAFVVTRPDGSHQVPGRGYKEYRLEGFSNWLRENVVDSKKWGKIRACLADTNVCPKLSQRFITADQFFSSSSITPLQAFCYILAPTQFSGFGLSGCCKPPTACGYNFVNPTLWQNPTNMAADADCYLWNNDQSQLCYNCNSCKAGLLGNLRKDWRKANLILIITVVVLICVYVIACSAFRNAQTEDLFRKYKQGWV >A08p015200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10022429:10024885:-1 gene:A08p015200.1_BraROA transcript:A08p015200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRNLRSKETTIGEDAELLFQKKIRDLESVNESLKRDVEELRSKLADVSVTSSGQSSRDFSNKSIATKEKGMSSRSKSSPRSMCSTKKHITESCVKQVDGEVQKLKAQKVKLQCKIKLDSMQFRLSKASLEKETLQLKKELRKSEFEKHVLSALNSRQKLILQLKNTQALTALKRLKLLLQSKKTFSKKNNGPPKGTSSTNQESSNEVGLLLKLNKIHSGYERQMKEIAEEVKKFSLEASVLKAEFEGEQNSFSPSCDNGVNQSPMDSELKELKEEFSKLSTLVSQMEMAKSQFSLTDKVQTVPAEYSIPSKDNEESNLVLSQQKTSEGTIYGTSDESNLILSQERNSVYGTSDESSIEPSQVKETGETLSSEEAHCKKEPRKAEMCCSCTKKSLCKTKNCKCRANGSGCGISCGCIASKCSNRGESVRSDKAVQAVVDGKKPVDDKKDANKQPLRDIGNIQEAGKVGKLRKVQKPVAKK >A05p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15447471:15450604:-1 gene:A05p030260.1_BraROA transcript:A05p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKEVLINTLQASVIDSVNQPPNVHPVSNATVYLASNGTVHPASNDTVHLDIVYLDTVHFGIVDLVLFILSLIIPSLFIRCQTTPLVGRQRRGGPHPKAPVVEHTRIGSSIGTQQKKGWDEPLELCRTLSGSVDGNKENAPETRGTSNETHGDVGYVDMCVFSPVPGNPGRKWERGGRYNWLHEEIQGKLIGVLEPWKFALVKRMAGQPMEAERTLTRRVVAISSSEEEVEEDPSKDSE >A03p050990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20714191:20714623:-1 gene:A03p050990.1_BraROA transcript:A03p050990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLVLAGVLIFDSGVASVPQMVVRPLPPVLSLFVGDEYPASDSASHLPIARDSGGSLGGSFGEVG >A01g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9626242:9629281:-1 gene:A01g502980.1_BraROA transcript:A01g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSGAMPVDSVTPREWRSDLLVVLPIRTKRLDIFPKDVQKQISEAKRMGTLPDLSAMLFAQLGLIGGEGSSVAVPRVDAIPPSNTHNAGKGKKRKRGGSGTERSVEETSDVPPSGELQTKKKRKRTKKKSADEGSGNLERPTETEGGNVQEEELRPEEEVSADRALGEEDDEEEAVDGQESEASLGDAGSDNLEEESEGSPLLIRGRGDEADGEERLPAPISPYAEVPARPNIGAVQTGTSSRGDAILRRVPGVSFPDKVDFHYEGPAPLAYVPEKCGELLRQFRGRAKPLPAVGDLVFGGEYEEAARAKLLGDSAMNIVVDKYDTALKGALNELEQAKREHAEKEEAFARQLGASKANVERLNGMVTRAIARRDELKADLVASRSRRGNEEENVGMFEEVPRSDEMLVSPAARESSVRASELSALNDHESDRED >A01p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1416367:1424174:-1 gene:A01p003140.1_BraROA transcript:A01p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSILSLPSRIHPLSIKLRSALNRLSIWKRSSSSISVSASFGSETLLVGLVNLTELYGCVHELLESPYVRHTLRHHQKGKLLDESLNVSVVLLDVCEAAREVVATMREHMMNLKSALRRKGSVEKEVRAYVNVRKKAKKEISKHLNGLKKMETRDISTNIDQDPAVASTSVLRETIEISVSILRHLLLFLSTTPPPPPARKIKNIIGLFPIPLASRSLADRYLDLIKEAKNLDDVFLGSLEKNKRRDIVEESFGDLEAELDSVFKCLVKNRKQLRSISLPSRSHPSTAGIEEALNKVKAINTTAGSSESILTALAGLEELYNCTEEFLKMGSTQRVMSSDGSEFMEEMLDGSLRLMDICNISRDLMVETHEQVRGVQSCVRRKKIAGGGANQLDVAVAGYVRFRKNMRKEAKRLLGSLKKIDVESCSSSGVSDGQQDEHLAAVIDAMRRVVSVSVSVLKSFLELLSGRQSNIKSKLTSVLKKKKVHHEETKNELESLDSAICCSRDDVQNKLEEVEMSIDGFEKYLEGLFRSDPEKTKVNTEEKTNENSDESDYLWSNEEEEGEMREIVLALPALRLSEVDQETRQKAVAAAGLLIAAAQEAAVTKGNMDQQGVGGSGETAKKEKARRPRTVMLDDLADVAGASGSKATVSAGEEEPAKKPKKKGSPKLINPPEGPPKCNVCGRSFLSWKAVFGHLRVHRDRGYLGFLPPPTFNAAVEASGGDLAASSGGGGLGLSTGGLKIDLNADPIDEVKECGTGSTPKFDLNRSPSPPQDEEDAKEDKAGSISLPKGSHPSTSGIEEALNKVKTLNFSTKSSSESILTGLAGLEELYDCTDEFLKMCSTQRAMSSVGSDFMEEVLDGSLRLMEICSVSRDLMVETQEHVRDLQSCVRRKKVAGGGEDQLTVAVSGYVKFRKNMRKETKKLLVSLKSIDGGSSSYDHEDEHVVAVIDAMRRVVSVSVSVLKSFLEVLSGRQSNLKSKLAFVLKKKKCNLEDATNELERLDSAIRGESCTRDDIQEKLEEVEMSIGGFEKNLEGLFRRLIRTRASLLNIISH >A01p002070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:952241:954781:-1 gene:A01p002070.1_BraROA transcript:A01p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLWKQIHHCATLILDKSKSSRRRRRTREGSDSSLNATKEAALLRKLYEDKLREALEEASENGSLLKSQTIDQDNQVGRSRSLARLHAQRDFLRATALAADHVYSSEDSLPDLLEALANFLAMYPKYQASDKIDQLRSDEYTHLSSSKVCLDYCGFGLFSYVQTLHYWDTCTFSLSEITANLSNHALYGGAESSTVEHDIRARIMDYLNIPESEYGLVFTVSRGSAFKLLAESYPFQTNKRLLTMFDHESQSVNWMAQTAKEKGAKVYNAWFKWPSLKLCSTDLKKRLSYKKRKKKDSAVGLFVFPAQSRVTGGKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPEFIITSFYRVFGHDPTGFGCLLIKKSVMGSLQSQSGKTGSGIVKITPQYPLYLSDSVDGLDGLVDKTEEEARRPAFSGAYTSAQVNDVFETEDNISSDRDGTTSTTIFEETESVSVGELMKSPVFSEDESSENFWIDLGQSPDQHNSKIVSPPMPPVWFSKQKRRSPTKPVVPKSYSNPIYDGGRDVLSFDAAVMSVTEKGTHTAPLRSSGGSSEIKESAIRRETEGEFRLLGGRDGGRSRLLGVEDEHTTSKGRRVSFNVDRISHSVVEHGEASSLASVYDDEYNHTSDEEGADDEWDRRENETEIVCRHIDHVNMLGLNRTTTRLRFLINWLVVSLLQLQVSESGGRSMSLVQIYGPKIKYERGGAVAFNVRDRSKGFVSPEIVQRLGEREGISLGIGILSHIRVVDDDNKPRRGRSREDNCGLHLPSEAGRNGFIRFEVVTASLSFLTNFEDVYKLWGFVAKFLNPGFSREGSLPTVEEDEDAEDSET >A05p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21451690:21454168:1 gene:A05p037770.1_BraROA transcript:A05p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGYSQSPSSSTPPPPSRFKSNPEGDSQFLDDDTTKNFARKVADHYSRRTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARPDDAVLDLACGKGGDLIKWDKARIGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFSFPSRLLCGDCFEVELDKILEEDAPFDICSCQFAMHYSWTTEARARRALANVSALLRPGGVFIGTMPDANVIIKKLREAEGLEIGNSVYWIRFGEEHSQKKFKSSSPFGIEYVFHLEDAVDCPEWIVPFNVFKSLAEEYDLELVFVKNSHEFVHEYMKKPEFVELMRRLGALGDGNQDQSTLSADEWEAAYLYLSFVLRKRGESDGAQRRGGRRKNGKMNLSKDDVLYIDN >A06p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7687199:7689628:1 gene:A06p017170.1_BraROA transcript:A06p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MKSLLLSRQAIRRISLSSPKTPPFFRNFSAAAPSISRSDRHLRSYDEPIPRPVSSSFTRHFHSTLESRLSDSTASSQIHEEEEDDGTTNEFLSRFVWIMRGKVSEAFPDSDKKMVDGMLLLIVEKVVAEIERGGFSKVGGSSSSAPPPSPLSEFSDDLWATIWEVSNTVLKDMEKERKKEKMKVYVQSPEVMEMCRFAGEIGIRGDLLRELRFTWAREKMEEAEFNESLEQMRDLDSSIRESETVDADEEGEESVVDSDEAQPRSISLPKRKGKFKYKIYGLELSDPKWGEVADKIHEAEEEADWREAKPVTGKCKLVMEKLESLEEKDDPSGLIAEWVELLEPQRVDWIALLDQLRDANTNAYLKVAEHVLDDKSFRASISDYSKLIHIHAKENHTEAVERILKKMSQNGIFPDISIATALIHLYSKSGNLERATEAFESLKSYGLRPDNKIYTSMIMGYVNAGKPKLGERLMRDMDARDMKCSDEVYMAMLRAFAQTGDADGAAGIFNSMQLDFKDTNCFEAYGLLVEAYGRAGKADKAKINFDQMRTRGFKPDDKCIANMIRAYKRENSLDKALRLLLQLEKDGIEIGVITYTVLVDWMATLGLIEEAEQLLVKISQLGEAPPFELQVSLCYMYSTARNEKKTLQALGVLEAKRDQMGPNEFERVITGLKTGGFEKDARRMFKHMEARKFLPSDRLKIDMGASPSFGSGFNRVRR >A03g502060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7065623:7066615:1 gene:A03g502060.1_BraROA transcript:A03g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDLFFVKLLGKGSFGSVSLYQGVRYDGAMVSVAVKTSDSQHAESLFREVQILSEFKGCPRIVQCYETRVEASLNRFNGSVEYKIPLGYAPGGSLMSFIKKFKDNKLPDPLIRDFTRMLLQGLATIHAHGYVHCDLKPENILVFPSYAYKNGAWRSSFELKISDFGLSRREGDSSWWEPNHPFAGTSIYMSPDSVSYGETGKDLDLWSLGCCVLEMYTGEGPWWHKHYEVDDLMNGQEPLIPSYLPFEAKLFIMTCFAPRTKDATRLLRHIFVRGDDEKKMTQPSPMNDNSKAKIALQLANFVRNNVSKPQNIRVPAAEVLPDKTIMA >A03p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9455365:9470136:-1 gene:A03p022530.1_BraROA transcript:A03p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYD [Source:Projected from Arabidopsis thaliana (AT2G28290) UniProtKB/TrEMBL;Acc:A0A384L3H2] MSSSSHNIELEAAKFLHKLIQDSKDEPSKLATKLYVILQHMKTSGKEHSMPYQVISRAMETVVNQHGLDIEALTSPRLPHAGGSSTQMEDSGSAHIAGSSQVVGVNNESKASLVENEMSKYDAFTSARQLGGSTSASQAVYQGPGSRSNRSFDHDSPSSLDSKPGNAQSHDRNETMNQRDAKSSAKRKRGESSFSWDQNMDNSQQFDTHGTVDDQTRKMSKVEMPATGDGENLHVGLSSDAYTTPQGGWQNSEITAIRPPAHRDTGKSVAAEDVPPSGQLFKEQQLKQLRAQCLVFLALRNGLMPKKLHIDIALGNVFPKDDGFRRELVDQKGRPHSLSESGSIVEVSAPSARMDNPTGKLAEMDFSSKETVMPRLEDKISNAIFPDGQKLLLQSNTPEAPAQNQVSGSHSELASSSGGVTKHAPVEMVGWTGTINRNDASTFTFESDELCAPDQEEGNMQPPPKYTMSQKWIMDRQNKRHLVDRSWGLKQQKADQAIGARFNELKESVTSSEDISTKTKSVIELKKLQLLSLQRRLRSEFLHNFFKPIANDVENLKSYKKHKHGRRIRQLEKYEQKMKEERQRRIRERQKEFFGEIEVHKERLDDLSKARRERWKGFNRYVKEFHKRKERFHREKIDKIQREKINLLKINDVEGYLRMVQDAKSDRVMQLLKETEKYLQKLGSKLKEAKSLASRFENEADEAPVEDKTVDNDDESDQAKHYLESNEKYYLMAHSIKENINEQPASLKGGKLREYQMNGLRWLLSLYNNHLNGILADEMGLGKTVQVISLICYLMDTKNDRGPFLVVVPSSVLPGWVSEINFWAPTIQKIVYCGPPEERRKLFKEQIVHQKFNVLLTTYEYLMNKHDRPKLSKILWHYIIIDEGHRIKNASCKLNADLKHYNSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFQSNGDNSAEEALLSEEENLLIINRLHQVLRPFVLRRLKHKVSNHVALVSLSVENELPEKIERLIRCEASAYQKLLMKRVEDNLGSLGNMKSRAVHNSVMELRNICNHPYLSQLHTEEVNSLIPEHYLPPVIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEDYLTFKGYKYLRLDGHTSGGDRGALIDGFNKSDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVNTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRKEYLESLLRESKKEEAAPVLDDDALNDIIARRESEIDIFESIDNQRKEDEMETWKSLVQGSGSKSSAPIPPIPSRLVTEDDLKLLYEAMKMNNVPMVAVESNVGMKRKGGSVGGLDTQQYGRGKRAREVRSYEEQLTEEEFEKMCQTESTDSPRGREEESEKNLANATSNILGGTIGETSLANDKVDILTGNTGEKSLATDKVDNLAGSTGDTVLPTSTALALTPQPVEPLQQSQQTPKEVTEPVKRGRGRPKRADKTPNQLSASVPGRTHATGDARSSPVIGSDVASGSLTSPDLSVPPGFQPLPASNSSPMPTRGRGRGRGRGRGAGRGRRVENMLHGTDSSIGTQRTNARASLSGDPVPSNLVTLPVPSVAIDAKVPKPIKGSSSNLELGPSMHSDTTAVKPSPAVSLQESSLLDAPPGFGSGSHVQPLNVSEDSLVKKAASIKNNPAVPGVVKQPVNMPSSTALAPGQSQTTAPLNANQPLSPHLVGSTVEAASVLSPPAPMPVKRQGRKTPNRGETPRRRGRRHVQASPAADGSSARSTISTPQTEVKVGDSSGSKITSVGNKSDVVVQEQPHFNPSLAHSSAGTSQEKRKEISGVGGTGRKQTTDVTDVARVMKEIFSETSLLKHKVGEPSETTVTNEPDGKSSETMNMHIAKTSKAENIIQPADHNLRVEATSSSVTAEKQKSESPVMVDKIIKTSSDVKASVTHSDDITPQDTMLVDSKRPVGSELVETEQKVATSTDPKVQIAVPVPNVSEEKKISDSSMLVHETVNPSSGSKSPVDQSDDTPAQGTVPVDSKSPVDEVLPEINKESQSPEAQNDGNVQSLPTPNTDSAEAPNKQAGPSISPSVSPQAMKMTENFGLISKDSSETVPVSSVCVVEDSKMPSASNEHGSPKKPESPEIHKPSGADLDCRITPTNSSEVAGGSNFSGTSTEVPENLNDSVTKSCLEMSVSISEKVVENELLTPNSDDLKCVPDPEEAKDAVGVRSQLGDTAAKTNLQLNPTNSNDAVSSEKAEIISGGHTSNCPPNTSTEEALADQIVTEETSCGVNNPGSSHFPMNEKNLISDVAHPGSGGPIELVTNRDSGTELSVVADAGNDLVKISGVEADSSVVQLSSGDILPDSIASLTTTEPLPTEQQGTNLSTEVKGEEIDGDKKGTTPLIPVEQMNVQPTVGSHELERSYEESQRSPMRFDESSHVDSKSLDTTNQTSEEVEAKCKEGESPDDQICDVGPSSAAIQLSMSHTDGLKTQTSNKNSISLVHEDYGSPLSDSANAEQDSEESASILGGDSCKLGGEASTADTEMVDASVQLPFSAEQVGVGTDSPSSLPVIEGDKAENPSDERNIVDGEASGINVSVQPEDLCMNLVETEEPAQIGTVGDASDRAEDDMAIDVLGEKEESKDRREHLSSALSSVEDNKAENPSDGRNMIDINPSVQPEDLSRSLGETEGPAQMGEVGDASDHSEDNVAVSVLGEKEESNDQREHLSSELSSGEQTKADNPSDEKNMIDGEASGMNASVQPEDMSRSLIETEEPAQMGKVGDAGDHSENVPVSVLGEKEESQDQQEHLSIALSSEEENKAENPSDDRDMIHGEASGKNVPVQPEDLPMNLVETEEPTQVGEVGNASDQPEDKEKEESNDQGEHLSSALRSVEETKAENTPEEINMTNGEASGINVSVQPEDLSRSLFETEEPTQMEEVGNASDLFEDNIGVLEEKEESKDQREPLSSALSSEEENKAENPSDDTDMIGGEASGINVSVEPEDLSRNLVKTDESTQMEEVGKAGDQSEDNVAGVLEEKEESNDQQEHMSSALSSEKETKAENTPEEINMTKAENTPEEINMTDGEASGINVSVQPEDLSMNLVETEEPTQTEEAGNASGQSEDNVAIGVLEEKEESKEQEEHLSSALSSEEENKAENPSEDRDMIDGEASVQPENVSTNLDETEEPTQMGEVGNASDQSEDNVATGVLEEKEESEGQQEHLSSALSSQKENEESLPVEDPTVGGLDEPEAKCSVSESDVEGDGKKCVGTSESADLDSEAPPESVSSISAAIEPSSEPSNSLVPEESKSEELKDVADV >A02g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24463511:24468525:-1 gene:A02g509140.1_BraROA transcript:A02g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTRYERDDRSMGLGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHVRAVRKAKRKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQRFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASGGLVILLSVFGQVWPFEFGFCLGLDGRICIYRDWPLVALNPLPLCAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLLFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLNVFRHVLKLRGQSCSQDFAIGHGVSSGLVELAEGVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRALCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPFPMDFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLERRGVGLRVGRGYVRYWSVEIGAAASIKRSLHVIRVRQTVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKVRNRKERANSLPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSAET >A09g519170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58997286:59002723:1 gene:A09g519170.1_BraROA transcript:A09g519170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASKNTEDEEDGSNGGGGGQLYVSLKMENSKVEGELTPHVYGSVPLVGSWDPSNALPMLRESASMSELSFVVPPDHETLDFKFLLKPKYRDTPCIVEEGENRILTGGSLQGDARVALFKLEGDVIVEFRVFINADRVSPFDLAASWRAYRENLQPSTVRGIPDVSINPDPTPVENCPSESLELDLEHYEVPAPAPSAQSSLVYAADNAENPRSLSASGSFVNDDTPKAASKSPRVSAVSEDGSPSEKYMEIIVPDHSDTYSPSGVGESKSAGILSPIQQKDGQRGLFVDRGVGSPRLVKSVSASSFLADLKLDAQTKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGVNQCADFFRADNQEGVEARTEVAALAMEDMIAWMEDGGQVGVFDATNSTRVRRNMLMQMAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYEEETDFEAGVKDFRDRLANYERVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESMDNVRGRTGGDSVISESGKIYAKKLANFVEKRLKNEKAASIWTSTLQRTILTAGPIVGFPKVQWRALDEINSGVCDGMTYEEIKKNMPEEYESRLKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPQIEMPLHTIIEIQMGVSGVQEKRYKLMD >A07g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7625341:7626554:1 gene:A07g503870.1_BraROA transcript:A07g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRFIKCVTVGDGATGKTCLLISYTSNTFPTDYVPTVFDIISANVIVDGNSINLGWVPELRHHAPGVPIFLVGTKLDLRDDKEYLLEHPGAVPISTSHVTLLIIVFFEGVELMKLVGASAYTECSAKTQQNVKAVFDVAIKVVLELPKNKNKKNKKSQKGCSIL >A01p047040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26524816:26527942:-1 gene:A01p047040.1_BraROA transcript:A01p047040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPSSPSVKPNPYAPKDSLPQNDDSTPARTSTAVKASPFFPFYTPSPARHRRNKSHRDGGGGESKSVTGTPLRQLARAFHPPSPAKHIRDVLRRRKEKKKEAAPPAARQQEEEEREEVGLDKRFGFSKEFQSRMELGEEIGRGHFGYTCSAKFKKGEFKDHEVAVKVIPKSKMTTAISIEDVRREVKILRALSGHNNLVQFYDAFEDNANVYIVMELCGGGELLDRILARGGKYTEDDAKAVLIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEPPWPSLSLEAKDFVKRLLYKDPRKRMTASQALMHPWISGSKKMNIPFDILIFRQIKAYLKSSSLRKAALMALSKTLITDELLYLKAQFAILAPNKNGLITLDNIRTALATNATEAMKESRIPDFLALLNGLQYKGMDFEEFCAASISVHQHESLDCWEQSVRHAYELFEMNGNRVIVIEELASELGVGSSVPVHTILHDWIRHSDGKLSFLGFVKLLHGVSTRQPLAKTR >A02g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6789711:6791952:1 gene:A02g502140.1_BraROA transcript:A02g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNTLTIVDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKITLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDDAVEADAEMPPLEDDADAEGSKMEEVD >A09p048430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43162456:43162896:-1 gene:A09p048430.1_BraROA transcript:A09p048430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKGEVVLRLEEVLLLLPSNLFNEVFLDCIGPFPQSYREGSYYDRKLRMCYLSNNNGFIKKQSENAGSGGIKPRGTGVFLPARPVSSPEEKRPKKKTCPIISSRSKQVFLPKEWAY >A03g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11734418:11737077:-1 gene:A03g503500.1_BraROA transcript:A03g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSYPSSSWKRTFAKGFMSCFMETKFISSGGTGENWEIEDGGRSCWDKDYEFRKDIDVEGVMSVV >A01g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23834055:23835594:-1 gene:A01g508730.1_BraROA transcript:A01g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNTCSVTFDKLRLMLNARGLKKHLKRLNAPKHWDLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNKLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRTIQLGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKVVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLSAQQAA >A05g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29697466:29701077:-1 gene:A05g509910.1_BraROA transcript:A05g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSLNLKKISWLSSRIVSGCRRPVAIGGDRDIVSNTLVGTRGFASVKRVSRASSSPHADLLSYVRASLDKLEGPSHHWLNRDFETKQLFKDKGTYLVLVGDLLNGDPSGFFEKLKLLQQRSPGVCFMGIHFSDQARITDDRAAVAELIVKEYLTFPVLLSQKDFPKSSGEEVRYFVFRDFKNPLIYQDKDLDIASVAKALDSLSQDTEKSKSVKLFTNTWSKQADAIKEPHFSSFLQDLFFYFPGCISADEVGDCLFLSDSNHHRIIISNSNGEILDSIGCFPGFEDGEFESAKMLRPAATLYDEEEDCLYIVDSENHAIRRANIKTRVLETVYPKVIKKSVGLWSWVMEKMGFEKDEETTVDADAKSEESDAPSLMFPWHILKRDDDSLVVINKSFSKLWIINLASGEIEEVVEGFQGIMEVYRELITEKLSVLKHLPSTWLQQQTKAITSCKEQPSAALLSSFSEFGDHIVMTDTAGQRVLKLNRESGACSSIQFSNIGILGFPYWLSTPLERVFNLASGVQEAHISHIHQLRLLPGKISIRLSIEIPQCTELVEPLQESCIWRQARGSITEVSNAGSAVEPSEKVGVSQQWYDELDNLAKEIVNPELAEEKEEEQEEDVSQVESEDDGRIHIDCSVNTSPGTSELIVYAALYLRLAKNEETEGASQEKLARRIADVLKPARNMTTMDEELFVKVLLKSKREVRDIVFLKPVHVRIRFDTMDHPKADNSRDIILTDSSAQVDVSL >A10p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2428973:2429833:-1 gene:A10p017520.1_BraROA transcript:A10p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLELVVPCFGSQQFHQSAATADDSISGDTQSLMKQRRRRKRVRVAGQPGQAAEWTPSLSVISEHKPAVKETSEKVKEKRKIRRKSDGGGGDASSRSGGGHVRFRSDDFGRNAFEPVIPAFSPTPFMF >SC165g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:26031:28773:1 gene:SC165g500020.1_BraROA transcript:SC165g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQREFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSYTKQGDPVSVEQKKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRATPSEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMKKRFVSQWKLSKLKPEAATKITILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIKQIEESHKNEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRHKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGRDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQKDSTI >A07p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6561455:6564435:-1 gene:A07p010470.1_BraROA transcript:A07p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVKALLAASVLLSLRFSLTESAPESALVTKISGFSGTFPSKHYAGYVAIDKERNKNLWYYFVESERNASTDPVVIWLNGGPGCSSMDGFIYEHGPFNFERTKTKEPRLHLNPYSWSKVSNIIYLDSPVGVGYSYSGNKSDYNTNDSKTASDSYAFLVEWFKMFPEFQSNPFFISGESYAGVYVPTLASEVVKGNKNGTKPAINLKGYLVGNGVTDPVFDGNALVPFAHGMGLISNELYEDTKAACKGEYYKEPDVSVECAYLLGKVSDDVKLLNIYNILEPCFHGTSTSPIDMGSIPPSFLKLGKTERPLPVRKRMFGRSWPLGAVVRPGFVPSWPQILANSQVPCVDDRVATAWLNDPAVRKAVHAKEESEIGRWQLCTDNLNYTHDAGSMIEFHRNLTLSGYRALIFSGDHDMCVPYTGSEAWTKSMGYKVVDEWRAWMSNGMVAGFTQGYANNLTFATIKGSGHTVPEYKPHEAFDFYSRFLEGKNI >A02p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14407939:14410919:1 gene:A02p028540.1_BraROA transcript:A02p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 20 [Source:Projected from Arabidopsis thaliana (AT1G75940) UniProtKB/Swiss-Prot;Acc:Q84WV2] MERFHKFPLLGLVLFLGFIGSPTKAIVHACSSTELTFSRANFPEGFIFGTSTAAFQVEGAVHEGCRGPSMWDTYTKKFPHRNNYHKADVAVDFYHRYKEDIKLMKDLNTDGFRFSIAWPRIFPHGRMEKGISKAGVQFYHDLIDELLKNEITPLVTVFHWDTPQDLEDEYGGFLSDRIIKDYTEYANFTFQEYGHKVKHWITFNEPWVFSRAGYDIGKTAPGRCSKYIKEHGDLCHDGRSGHEAYIVSHNMLLAHADAVEAFRKCDKCKAGKIGIAHTPAWFDQNELTDEPHKLSEEEHATPATNLIDFVLGWHLNPTTYGDYPQSMKDHVGDRLPEFTEAQKNKLKNSADFVGINYYYSLFALHGEEQDPSKPSWQSDSLIDYEPRYVDRFNAFTIKPDVAKVDVYSNGLRRLLNYIKDKYGNPEVIITGNGYGEDLGEQDRSLVLALSDHHRTYYIQKHLLSLHQAICEDKVNVTGYFLWSLMDNFEWQEGYSARFGLYYVDYKNNLTRHEKLSAQWYSSFLQDGKEFEFDQHDEYHEHDEYHEHDEL >A08p009710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7611083:7613359:-1 gene:A08p009710.1_BraROA transcript:A08p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHEEDRWMDYSSHRLTSSAKSTECNAIRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTHKGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDR >A10g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17939857:17940270:1 gene:A10g506310.1_BraROA transcript:A10g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKKKLLNLMLKYNGGKPRAECSSVARPIRCNKNVSFPYKVVRVIVIHKTLNMLRSFAKLKQLLK >A02g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14497626:14498555:1 gene:A02g504410.1_BraROA transcript:A02g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFYNGFYPPIKAPNRFMVDLTKFFDTMRSWGLAHAHLDDVLAHIRETLYCYPWMKSDPVANLLNLTGDVKYIYKGKYFKAFVTIYLPESYPNDPPQAWVVCEDGITGINHKQMHVAANGSVSIPYLWDWDGKSSTLLQFILHMSVEFTSQPPTFVIDVGIYLSDNQRELLKIIIDYGIMHLYDEIFKITPARTYAFFDEVARRYPPVLVDLAGHIRTSKRGVKNFIDIVAELLGQPSKTISTVDVADHSFLP >A09g510890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33305794:33310054:-1 gene:A09g510890.1_BraROA transcript:A09g510890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEVQRPLADFPVNIWEDIFTSFSNSDLGSDKLKEKHITLKETVKESFMASRANPIENIKFIDTLCRLGVSYHFEKDITDKLEKSFDCLDFNQKIRQERCDLYTVGIVFQVFRQFGFKLSADVFNKFKDEDGKFKAHLVADARGMLTLYEAAQWSTPGEDILDEALAFSNAHLEEISSRSSPHLAIRIKNALKHPFHKGISRIETRKFISYYEAEEKCDSTLLEFAKIDFNLLQMLYRHELACVTRWHKEIEFESKIIYAKHRVAEACLWAVGTYFEPEYSQGRVLLANVVILLTALDDTYDAYGTKEELELFTYALEKWLPEAPNGIPDSMKHLYRTIIDFYDKLEDELEKQGRSGCGFHLKKSLKSTSNGYMQEVNWLKKDYTAKFDEYKENAILSSAYYAIMGVTFVGMGEVAKLDAFEWLSSHPKIRTAAEIICRFTDDITSYDFEHKREHVATGIDCYMKQFCVSKELAVHGLFNIVSNAWKELNQELMRPHSFLFPFLMRILNLSRIIDVVYRYEDAYTHPEFLKEHIVSLLIENIPI >A07g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12084839:12085206:1 gene:A07g505440.1_BraROA transcript:A07g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCILLLTLELIGLELKPAIIILALHLPATSYLTIRSSPSRVGLIQGHQDRLASGEGAYREAQKIVLITAKNPNLKQGRSGPDLKPYKLGL >A05p028970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:259826:261819:-1 gene:A05p028970.1_BraROA transcript:A05p028970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDERRLVLMWLELAGDLTQGKGLRLLHLNWMDKMSQGCKGKAPLPFQLIPTAHLIHLNLPFPPRVDCTVDGTDLLSVPLALSLYIALSSFLVAPDIFMAHPRLYKAVLNALSLHQPSIFSLTPPQPSHDQSNNSTRLCALNNSASPLHGTAFLEKLGHDQIIFTTLVRLINTSHTACPLHRTGLDLPLSTDFTAFLEKLRDDQMSSHSAIAPSPWHHQLLGKAEWIHQLLINHFTIEAALINSPSSLEPRLEGAKLVMIICIPMELGCLNHHRETHKTHFSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKTFVSTFLAVGELHIIPLGSNQDNSSCLITNATAIYKP >A02p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5626767:5628262:1 gene:A02p012820.1_BraROA transcript:A02p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRVEQDYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDITKRQTFDNVLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVAEEDGRCLAETEGLSFLETSALESTNVEKSFQTVLTEIYKIISKKALAAQEAAGANSAIPGQGTTINVEDTSGAAKRGCCST >A01g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8782618:8783122:-1 gene:A01g502580.1_BraROA transcript:A01g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGQRLMKLTWGHIVGEVLGKASSSWWLGELLSLIVECLCIQDAWTEQWFPLSRFEVPGFWSYRLAASSSDKCGLGAEVEGTGWRAIGSSGTSRPLTFTLHPSVGFSCPSVGFSRRFLWAFGSGAISFCCQLVYEYR >A06p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:792152:792648:1 gene:A06p001740.1_BraROA transcript:A06p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSMFAMTISHKKFRKALQMFSEFFHCPLFNMTSLEAELTAIENEFELKMLNELIRLEHLKGHTAFESHLFNCFGHGNRNSFKNYSTEELR >A08p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12230256:12230824:-1 gene:A08p017610.1_BraROA transcript:A08p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSQEEEEEKENFPLITTKTVEYLQPVMRRELLRKFQDNSAFGFDYAQSSLWSPLLPRNYASPSDLDLDTFVCRNLELGEFLESKKKMKISIKKKNKKNKLVKLDMSLIKSDDSPKVGCFSLPTKGWDGLLKVASKHFKKSKKKRDPVADVKLVNFCKC >A02g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15931067:15931463:1 gene:A02g505110.1_BraROA transcript:A02g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINQSTFFSTSFLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRKRPYPSPFIHPRPLQVIQGETAIPGLHH >A07g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15925493:15926057:-1 gene:A07g506550.1_BraROA transcript:A07g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVEDSMVKLDKICREANVKVVFVRSYGLAGLEHTIIDSKPDHFLDDLRLNNPWPELKRFVVEFH >A03p070430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30986145:30989990:-1 gene:A03p070430.1_BraROA transcript:A03p070430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNAYDSFQLLTNCPARIDAVESHKSKLFAGCYDGSLRIYSPQSPSSEELRQDSYLLETTVTGFSKKPIVAMKVLASRELLLSLSESIAFHKLPNLETVAVITKAKGANAYSWDDRRGFLCFSRQKRVCVFKHDGGGGFVEVRDYGVPDTVKSISWCGENICLGIRKEYVILNTANGTLSEVFPSGRVAPPLVTSLPSGELLLGKVRLLRSPYPLIQTIVLQNIRHLVKSNNAVIVGLDNSVHALFPVSIGAQIVQLTASGNFEEALALCKLLPSEESSLRAAKESSIHTRFAHYLFENGSYEEAMEHFLASQVDITHVLSMYPSILLPKTTMIPPPDKMLDISGEEASLSRGSSGFSDDMESSFLESEGNAALESKKMSHNTLMALIKYLQKKRPSIIEKATSEGTEEVISDAVGKTYGAYDSSKTKKSNKGRGTISLNSGAREMAAILDTALLQALLHTGQSGAAVELLKGVNYCDVKICEEILMKSKSYSALLELFKSNSMHHEALKLLNQLSEESKSNQSQTEMKQIFSPELIIEYLKPLCRTDPMLVLEYSMLVLESCPTQTIDLFLSGNISADLVNSYLKQHAPNMQGRYLELMMAMKETAVSGNLQNEMVQIYLSEVLDLHAALSAQQKWDDKDHPPERKKLLSALENISGYNPQTLLKRLPRDALYEERAVILGKMNQHELALSIYVHKLHAPDLALAYCDRIYESVSFLPSGKPSSNIYLTLLQIYLNPKKSAKDFAKRIVALGSFESSDTTKMMESVLSSKVKGGRSKKIVAIEGAEDMMRAGLSSSTDSGRSDVDVEEPMEEGNSTVMISEVLDLLSQRWERINGAQALKLLPRETKLQNLLPFLAPLLRNSSEAHRNYSVIKSLRQSENLQVKEELYKHRKGVVLVTSDSMCSLCNKKIGASVFAVYPNGKTLVHFVCFKDSQGMKAVSKTSHGRRR >A06g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14191054:14193287:1 gene:A06g504610.1_BraROA transcript:A06g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVTGDVPRFVDQSIRANKHGRQDVLNNLIETTNFPVLKLVFPGQLDILRPTVEQDLTWIMPDLTWIMPDLTWIMPDLTRIMPDLTWIRPDLAWVVKKPKTDMHSHPADHPDSPASVLIFTPCIHLVRMNLDILTSLLHFRALTRSSLLHFLCLDLVKFIFSMHSNSSLCVLWWLALDCGYIKSHSAFLDDPFNPSQFQKCRLPSRIISNTQLKATEPKEGSGGEQNCGHQEEPSSIHKPDRTQDLRTNLFEEEGNDVPRFVHPTVPDTLTEPSRVCPNWSFGWNHDQTTEFHVLKLVFPDQLDILRPTVEQGLTWIMPDLTWIMPDLTRIMPDLTWIKPDLAWVVKKPKSDMHSHPADHPDSPASVLIFTPNSSLCVLWWLALDRGYIKSHSASLDDPFNPSQFQKCCSSTGVGHRQRRWSLPVSKVVRRQRRFLAAPELRRRTVADDGGGDIRRRRVKDTRAVGVTSGGAYGFV >A10p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8960109:8965045:-1 gene:A10p004670.1_BraROA transcript:A10p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein CLASSY 3 [Source:Projected from Arabidopsis thaliana (AT1G05490) UniProtKB/Swiss-Prot;Acc:F4I8S3] MDWIGSRIKFRNKERLEEVKKMKPAEEEAHVSSRRKRKRKRRHRDHDSDLEDITETYHNNNLPADVTQSKSSGSVRRRRRRKDHDSDIEDITEAYHKDHDSDLVDVTETCNTDHDSDLVDVTVTYNNNLPAEVTDNNFSDGDGVKRTESEHNMEEEEEGLFSVRVEEVDTSVPGPSGLSIKEKLLSDEVLDLDMVKSAPSLPDEVLGLDVVKSVPVLSDEIPDLDVIKSVPSCSSESSIQQKLCDEIMDVIRSAESSSESSIKEKLSEEIMDVDVVKPVPKLSDGIMDVDVVKSVPSSSGSSNKGNESLDSDVVKSVTSSSGSEENTCVKDKCSSEVRGVEAAKPVPAEIEIISDSESETKHSTKKKLSFAECSRVLDSTSESSEEEGASETNNNAKEDVTVESLSSSSSSSSSSSSSASALSLSSSSSSSSEDEVSSKEVVGESDDDDCRKASSPIRKVSEVRRKPLGRYKRAGPSSITPRKQFQKIRKLNHPEEEEEDRLQAKPKEFKMIQKLNRPEEEEEDRFQAKPVKEFKRLQKVNRQEEEEERFQAKPVQEFKRLQKLNRQEEEDDRFQTKPRNEDKTIQKFNLQEEEEDRFQDKPRNEDRRIQKFHCPEEEQQHIGVERVTKEHSNVVFTCVHCDKENTGIFDSDSFCFRPHAITRGEEDADDLNKHDYVPINTEKSPEKPSTSRPETENPKEVITPARPSTSRPETVKAKEIQAPEMPPRQFSLKTSMPVTPAEGLATPSLVNETVDNESASSIISGDESGYESEPSLKEKEAAKSNNNNSGWRMLDGSRKEVDLFRLLVNSVRENDRLGEEEEDDILVSSPEEEQPEEQDERKYDDDGLLIIRPPPLVEMFGMVEPAPPVVSEAQIEEDTMWEEVAFYTNANEIEKEISADDSPGAACRQGNHELCLDLEIGLKCIHCCFVLREIRGLDVSEWGERNTSGRRKNDRSEEEENSNFIGNLEFEANSNNNLKEGFESTQGTVWDKIPGVKSQMYPHQQEGFEFIWRNLAGTIMLNELQDFENSEETGGCIMSHAPGTGKTRLTIIFLQSYLECFPNCKPVIIAPASLLLTWAEEFKKWNISIPFHNLSSLEFTGRENSAASKLLMQKNSSARSNNEIRMVKIYSWIKSKSILGISYNLYEKLAGVKDEDKKTKGKPDKELEDIREILMDVPGLLVLDEAHTPRNQRSCIWKTLSKVETQKRILLSGTPFQNNFLELGNVLGLARPKYLERLMSTLKKSGMTVTKRGKKALGDKINNRGIEELKAVMLPFVHVHKGSILQKSLPGLRECVVVLNPPNLQRKVLESIEVTHNQKTKNVFETEHKLSLVSVHPSLVSHCKLTGKESLTINEALLAQLKKVRLDPNQSVKTRFLMEFIKLCVVIKEKVLVFSQYIDPLKLIMKHLVNWFKWTEGEEVLYMHGKLEQKQRQTLINEFNDPKSKATVLLASTKACSEGINLVGASRVILLDVVWNPAVERQAISRAYRIGQKRIVYTYHLVAKGTPEGTKYCKQAQKDRISELVFACSSRPDKGKEKIAEAVTEDKVLDTMVKHLKLGDMFDNLIVQPKEADLVEGFSILMP >A04g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3021540:3022883:-1 gene:A04g501130.1_BraROA transcript:A04g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGATTGWVFSADEKGARLLLLESSSTLEVFKRMVLEDFDMEEDSLPDLELSYLPNELINTSTCPPVIIANDRQLQNFVGFVQKCISTRLCVTSKAKVENLNEPDFDLNKSPADSSSAQEEGNSVDRGNEPAPVFVERQCEKKKEKIRRVE >A10p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20183982:20185953:-1 gene:A10p034860.1_BraROA transcript:A10p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSRKNLKRSFLEDEDSDKQPPEKRVRFPKGKKPKPEQIVEEDTIARRQARDAALERARLRNLNTASLFTDDDGVDQAEEIYENDGNRTEDGIQIEAFSLDREKEEGYFDADGNYVEYVREKEDKDAWLDSIEMNPMYIGRSAANDNEMEVEGGDEKPADELSREDIGVLKRRIASVLEPGETVLRALRRLKGNTNNRKEKMNSETKLIFDQLTEDANKLIQNGDYNVYNEEQEVFQREVDAYERLVQERAKACDMFGDDEEDTAGAAQQGVTNDGSDYVYDETSGYYYSSSLGYYYDPNTGLYCYAATGKWYKYNEVKKEYEEVVAEVAPVEV >A04p019390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11608085:11609068:-1 gene:A04p019390.1_BraROA transcript:A04p019390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRRADLDNGPPYYYVSVLSLQYPRATEERVPLSTALNRTSSAISSGVVVVDTNLETSSPNAYIPPPLPIPFDVAIRVPQNPENGEEAACVDIREVSVETANTEPAQETVDGITLGVPATTCPCKETESKLQTEIDLESTEEDIDPKKLSKDVFVPIEEEEDCPICLEEYDMDNPKLLAKCEHHFHLACILEWMERSETCPVCNKEMVFDSPLD >A02p015550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6882446:6888154:1 gene:A02p015550.1_BraROA transcript:A02p015550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPAKIGESRILGNISTSSIRNLLPRSIYAKQKSIQSQSFRSNDENAPPCDPNAVTPHNDLQLKNKSPQKVFPSALPEEHTQILKVGPNTYSEDKDDTVPKSPSKFEGRSVLATRSNSMDNEIIEEDDELGDQIRELKEELIRTKSDGYKPDGSKSGGYFARESLSQLRMSINKSLVMSSDNKEEDDCDDDDDVMELNKHVEKYCDADDLRDSVQSSFASASCCEAESMSGDEICSEDVEIHKECAFSESVGSGISISLPHQTRVLEEPILSESPKLRNFRKSVAASTKFQASARNVTESSNKKSLNPTDSLAASLQRGLQIIDTHQRSSLSNRSSVSFSFGHLSLKPCDEAEILSASVKSLQEDRPKEGGSSILLCLSCRQKLDQEAEGGCTDEKHLKNICAEQATKIEQLTCLLDQYKNNTIQEPSKLMNTNDGGDKTNQLSEKEALLKEIAELKSKLQPTKSTENLRSSLLLRSFQMRKSTDLTRNTENNSDGLEEERERWTEMESEWISLTDDLRLDIDNHRRHAEDLEIELRKEKTASEELNDALGRAMLGHSRFIEQYTELQENYDELVERHNVTVAGIVDVKKAAAKAAVKGRHGKSFAKAFSAELTAIRAEKEKEREFLKKENKGLKIQLRDTAEAVQAAGELLIRLREAEQYVQSSEERFSLLEEENAKLKMQMEKLKSKHKTEMSTMKQYLAESKLPGSALQPWFTGNEEHLSEDRNGLVKESEEHESEHRTGVVSYDDYADDQAWRAEFGAIYQDHQQDPSWPLTLEWLGAALDLLLVSLTVKLFELFTTTETSNHKPQDVTYTIDATRGLVYLSGRASPEIVLRIRKAKKHAKLIHMDYGHVIPPPYNPPNPYEAVPITYNCQNTWPPSPYQSPMYQPTAPPSPMTQYMYYRQDPNIQQPHPMAFPYNYYPYYVPEVLQSPPPYIPTRDGVTSEQQCRIL >A09g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28052056:28052770:-1 gene:A09g509550.1_BraROA transcript:A09g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEIFKDLSAREIQKLFPIQIYKVVLGHELERLLLLAFLSLTKSKSINTTAKEAEGAEAKAKAAQAYTEEASKTLRGRNICKRH >A06p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5869632:5870653:1 gene:A06p012910.1_BraROA transcript:A06p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFRVSLRLLPVSAAVTRRGAIRFPVSTPGSSSHFLNHSLYKFSSSSSSVKANAGWLLGLGDKKKKPTNLPDIVAAGDPVLHEKAREVDPDEIGSERIQKIIDDMVKVMRLAPGVGLAAPQIGIPLRIIVLEDTKEYISYAPKEEVLAQERRPFDLLVMVNPELKGVSNKKALFFEGCLSVDGFRGAVERYLEVEVTGYDRQGKRIEVNASGWQARILQHECDHLDGNLYVDKMIPRTFRTVDNLDLPLAEGCPRLGPQ >A07g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19440974:19442867:-1 gene:A07g507320.1_BraROA transcript:A07g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEFERKEGKCFIGMTEEEQRIIGLAAAVGISSRKHRLDRIKNVILPEPRQAVEEDKLMISSKEEEEEVNVRPREILSGPIPKVRRSDEFPPLQRRRSFPFPLKQLLSLSMVLMVTPFPSRFRPPPDPPPPDLPPWSLCKSRPFKARFLIVPPEPPEPPDVPLLLAPLLQTLESSINPVVFLPRCSSLVPVAVASPLRFFASTIGLTGTVFGLFGVCVSTAWCRFQHSSSFQLEPQFIFAETSSLLVKLSKGFVSVSLWNKSYMYEPCLVLGVSCLKMSPLPLNEDIVLPLNLILPQFGDVASDRSLHLYEDGASDLLSLPLYEDVALPSASSAGFSKLQEIWDSIVLFSALHSGMDLNEIAGCLLTNLATLFSPLSFNFYQCTTMFLYYSILRYE >A07g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4677452:4677817:1 gene:A07g502130.1_BraROA transcript:A07g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIKQDGKQARWENSVGKTQEKRITEPNEQSNSPIRRVEPNTTSNSPIRRVGLDLMPFTGPRRISPSFRIDWSYRWNFTIRTAKTRFPE >A09p057220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48346772:48347976:1 gene:A09p057220.1_BraROA transcript:A09p057220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKAGTLVIILLGLTLLSDARSFLHSSLDSEKVIKNEKVCTLCEEYVNVAISYLENNQTQAQIIEDLHDRCSHMRGFAQQCVTLVDYYVPLFFIQLESFQPEDFCKRMNLCDKVAALVEEVRQDSCAVCHKTVSDILIKLQDPDTQLDIVELLLKGCKSFKNYEKKCKKLVFEYGPLTLVNANDFLVKNDVCKLLRACPDEKTVLTQPGTADS >A01p059770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34035451:34037744:-1 gene:A01p059770.1_BraROA transcript:A01p059770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFDRLKVDGDDDEADKGGTITVGIFWNVTIAQRKERIGRRIRFLDGGLTEEKKVRLSGIRRSDERQQEEDMDAAIEVDLKLRDRRRWMNVYTKSNHNPQLSNKAMNLGAQTGDIGFDYYAAEPEIHFFSAIPEEGSISKDDLEKKLDPSVFKIGSTQAAKKRWVAMGKQVSRKVQHVEDKVKESLLQIQQGLELDKESLNSLKTRKLLVTQGWTGYSDVEKGPNYAPKRKIFATDLTRENLHIWKELEFKEYNFNAKGQPLDASYLHPLLKVSDSLSFCPSDQQSFMSELDSQVSTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTKKEYIYSNILKDLKKEFCCNGTLVHDSELGHVHVYFTARYLRTSRKSFVATVHWLQTQ >A05g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9795600:9796427:1 gene:A05g503330.1_BraROA transcript:A05g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRRVTSLLQGVTELMRQAIEATRHAEMEAIDELIGQWQKDRVSSSQVAEKFSKWVLYVTCEPCIMCASTLSFLVKKLKEEKVLAPKPHRPVSSKREELAS >A05g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2691449:2692310:1 gene:A05g500730.1_BraROA transcript:A05g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVLSFDCQYLIYGAWRVLVWSEDAVVGKGFCGGEEVFLDQGSNPGRGGFYSSVVVGFSPGGGGSCSSAVVGFSFREGEAYLAPSSPSCYRRVEATIALHCRRVEVVVSGSNPERRVGGSDESVVCPMTLSVEDHRRRR >A10p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13480850:13482519:1 gene:A10p019720.1_BraROA transcript:A10p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INIELGRSSLGFLYMDKISSLSDDLLLKILSSLRTKDVLSTMFLSKRWKFLWTMVPKLDFAHGTSCYDDTKEEYTRFCQYVDRIAIARRVRELEINRYDVDKLLRGCPVLEELVVDKTDNWSVRSSSVVVPSLERLSVLKSLNYVDIIDYGHLCLSEDMPELVEAHVKLVCKNHEKLMRSLTAVKRLSLCLFNHSMVQHRIGFDQLVHLELCGCSPKWWDLLTWILKSSPKLQVLKLNQEECFCSVKPIERWWEELNTCPIKPMEGRWGQLSSVPECLTFHLNTFEWNYYNGRREEKKLVAYILRTAKRLKIAEISAWDLDPEEESQILKEVASLHRASKSCKLLLGS >A07p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:737918:738561:1 gene:A07p000380.1_BraROA transcript:A07p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQCYINENGDKLYTTKKESPLGLATESAHPARFSPDDKYSKERVTLKKRFGLLPIQGAPVKY >A02p050330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31087880:31089963:1 gene:A02p050330.1_BraROA transcript:A02p050330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 11 [Source:Projected from Arabidopsis thaliana (AT3G27320) UniProtKB/Swiss-Prot;Acc:Q9LK21] MPSVGVKLYSVFFKFLLKHRLQNRIQSEDSSSSSSSDPFGVTTRPEESVSPPNPLFTDGVATKDIHIDPLTSLSVRIFLPESALSPAAGSHSGKPRSFNSLAGSDLLLRRNSHGSSNSLSSHKSEARRSSYAHTTTASEEEVYRGYAPSSSGKCRKLPVMLQFHGGGWVSGSNDSVANDFFCRRMAKHCDVIVLAVGYRLAPENRYPAACEDGFKVLQWLGKQANLAECNKSMGGGLRRGGGGGEVSKHVVDAFGASLVEPWLASHADPSRCVLLGVSCGANIADYVSRKAIEAGQNLDPVKVVAQVLMYPFFIGTVPTQSEIKQANSYFYDKPMCILAWKLFLPEEEFSLDHPAANPLVPDRGPPLKFMPPTLTIVAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLRTPQAQACAEDIAIWVKKYISLRGHEFSY >A04p009220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7828826:7829675:-1 gene:A04p009220.1_BraROA transcript:A04p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT3G51920) UniProtKB/Swiss-Prot;Acc:Q9S744] MADAFTDEQIQEFYEAFCLIDKDSDVDLYMVACNTGFITKEKLTKVMKSMGKSPNEEQLQEMMSHVDIFGNGGITFDDFLYIMAQNTSQEAASDELIEVFRVFDRDGDGVISALELGEGMKDMGMKITVEEAEHMVREADLDGDGVLSFHEFSKMMIAASY >A07p029870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16717075:16717938:-1 gene:A07p029870.1_BraROA transcript:A07p029870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQLLEAFWFGRKNWIRVMRRGRGKGKRQNATAREDHGSGEEEEKIPAYRRRGRPQKPVKDETDGEEEMVEKDDDINGSVTSKEEVTENGRKRKKAVESKESNVTEEENGLGSKSSTDDSVKSSLSTGFRQIGSRRKNKPRRAAEAVVECH >A07g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25947083:25947653:1 gene:A07g508960.1_BraROA transcript:A07g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRMGPSGFQLAGDNSFDDGALDFDGVKNVSIGVREKQIVYISLTYSRGEEKETITHGEQPNENMEITFGKGGGYCKTVGGIYKRGTPQLPTGYISNLYFVTSEGQKTESYVRAAADESDEAFSFTADGETQLVGLFGRFGQKGLITIGALFAPE >A01p019200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9300516:9301889:1 gene:A01p019200.1_BraROA transcript:A01p019200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQINRDMGAAVTKSDGQETKLEAKIIEAVQRRASRGTTMKSFNSIVLKFPKIDEGLRNCKAIFQEFDEDSNGSIDHTELKNCFRKLEISFEEDEINDLFEACDINEDMGITFTEFIVLLCLVYLLKDDSSTLQKKWRLGMPKLEPTFETLVDTFVFLDENKDGLVSREEMVRAIDESGERSSGRIAMKRFEEMDWDKNGM >A10p027530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17326373:17328349:-1 gene:A10p027530.1_BraROA transcript:A10p027530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLNDALSRKYSGLELWEIIVIVLSAIFVLVLAISLYLTFRRKNSRSSSSNQLPVSHQLPPTVSEEIKEIRVDEVSSSNGTGGNGYPSISEKFGHKEPEKGIVVADSENGDSSRSGSFNHLEKKDGSSVSSANPLTAPSPLSGLPEFSHLGWGHWFTLRDLQMATNQFSRDNIIGDGGYGVVYRGNLVNGSPVAVKKLLNNLGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLRGDNQNHEYLTWEARLKILIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDKFNSKISDFGLAKLLGADKSFITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYARPPPEVHLVEWLKMMVQQRRSEEVIDPNLNTKPSTSALKRTLLTALRCVDPMSEKRPRMSQVARMLESEEYPIPREDRRRRKSENGPTRESDPPRNSTDTDKSEFQEPKA >A03p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:482558:484237:-1 gene:A03p000830.1_BraROA transcript:A03p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLIVTPEKQRNRHAVRLVKTPVRRKLIADDDDDDDHEKKGQSRTTGGGLRQFSVMVCHKLEAKKITTYKEVHHSTQSVFPYPQNDLLVVEVADEIISDFATIKQNAEKPLNENEYNEKNIRRRVYDALNVFMALDIIARDKKEIRWKGLPITCKKDVEEIKRDRNKVMNSVQKKTAFLKDLREKVSSLESLMLRNQEMVVKTEGPAEGFTLPLILLETNPHAVVEIEISEDMQLVHLDFNSTPFSVHDDAYILKLMQEHKLQQNRASSSSSTHHQSQHSSSSSCIASGTSGPVCWNSRSS >A02p025020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12479905:12482432:-1 gene:A02p025020.1_BraROA transcript:A02p025020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSQRRCVFVGNIPYDATEEQLREICGEVGPVVSFRLVTDRETGKPKGYGFCEYKDEETALSARRNLQSYEINGRQLRVDFAENDKGTDKPRDQGQGGTGLPPAVAATTESQKQVGGAVDSSMHQPVGIHLAMQAASVMAGALGGPQVGSQSVLQVPASDPLTLHLAKMSRTQLSEIITSIKLMATQNKEEARQLLVSRPHLLKAVFLAQIMLGIVSPQVLQTPNIVQAPNHMTGSSIQDTHLSGQNLLPPLAQRPQQLNRPPHSQFPVQQSSKQPFSQIPQPGVNPLPRSQVKGLSETAAPFQRQKQVVPASNAIQPSQVPRPPLTSSVMQQGGQMASLHYGKRINNEGPHESIDRPSKMMRVDDRRTTPFHTGHASNTMLPNPLQLQEKAPQALLSPDVQSTLLQQVMNLTLDQLRMLTPEQQQEVLKLQQALKQQDHVMQPS >A08g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12531850:12533553:1 gene:A08g507190.1_BraROA transcript:A08g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFLLHNGALIPILLSASAFERWALLQHCRGSFAEVLGGEMGPVRRDIVIPKRCTRWEALGTTPHHVQVQGLDDLTLVDIDAGGSHSTSLTGKGDILKRVLKLGGRLGKREHGGLGLGDNDKISKIVPQKVNLLPDKDILHVIRFLRLNTFRCFEKRWQNIIGCSLETQETCFKVYFISKESFSYHCLCDQIGRGDHGRRLWKECNTWTAIGVVYIFHHLKEALITPERSKENGQLNRLLAVSSTVLPSWNVCLIIFDPPVTEQVTKTRKKYVAGIKAKGEYALLKGGGGRENVIIIS >A05g510330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31818605:31820248:1 gene:A05g510330.1_BraROA transcript:A05g510330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1 [Source:Projected from Arabidopsis thaliana (AT3G02875) UniProtKB/Swiss-Prot;Acc:P54968] MHACGHDTHVAMLLGAAKLLQSRKHLIKGTVKLVFQPGEEGYGGAIEMLKDDILDDLDGILSVHVFPTIPSGGIGSRPGTVLAGAGLFTVTVHGQGSHAATPHLSKDPVLAASSAVVALQQIVSREMDPLEAGVVTVGYIQGGHTQNAQAAVYLCKAEVDFGEKEPSLHPVMKNDEGLYEHGKEVAEAMIGKNNFHDFPVTMGAEDFSFFTQRTKAAIFVLGIKNETLGAGKPLHSPYFFVDEEALPLGAALHAAMAVTYLDKHGNDHEVKTEL >A02p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1397401:1398576:1 gene:A02p003370.1_BraROA transcript:A02p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHLKLLRCFSFTASRDWLFKQSFLNAGLCSVTTDLSHDNPLSSTSMHCWIPKSPNRSKPNLLLLHGFGANAMWQYGEHLRAFTGRFNVYVPDLLFFGLSSTSEPNRSESFQAQCLMRLMEAHGVERMSIVGISYGGFVGYSLAAQFPEKVEKIVLCCAGVCLEEKDMEDGLFKVPNLEEATGILIPQTPEKLKELIRFSFVKPIKGVPSFFLWDFIDVMCMEYVEEKRDLIKSILKDRRLSDLPRIKQKSLIIWGEEDQIFPLELGYRLKRHIGDNAEIVVIKKAGHAVNLEKSKEFLKHLKSFLIDAL >A03p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8966906:8967454:-1 gene:A03p021790.1_BraROA transcript:A03p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPDESLQSSPGASKETRRITIDGEDELETNRSTRTVKAKARAAKSDVADLRIDEEFNRLQSIFKPPTYLHGTTERAAYYISYLRWNSAIE >A03p071350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31417170:31419403:-1 gene:A03p071350.1_BraROA transcript:A03p071350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 8 [Source:Projected from Arabidopsis thaliana (AT4G37370) UniProtKB/TrEMBL;Acc:Q9SZT7] METKALILTVLFVVLTLRFLIGKLKRHPNLPPSPPWSLPVIGHLRLLKPPIHRTFLSISQSLGDAPIFSLRLGNRLVFVNSSHSIAEECFTKNDVVLANRPNFILAKHVAYDYTTVIAASYGDHWRNLRRIGSLEIFSNHRLNSFLSIRKDEIRRLILRLSRNFSQEYAKVEMKSMLSDLTFNNIIRMVAGKRYYGDGVEEDPEAKRVRQLIADVVALAGAGNAVDYLPFLRWVSDYEKRVMKLAGRLDEFLQALVDEKRDAKEKGNTMVDHLLSLQETQPDYFTDRTIKGNMVALILAGTDTSAVTLEWALSNLLNHPDVLKKARDEIDCKVGLDRLIDESDISNLPYLQNIMSETLRLYPSVPMLLPHVASEDCKVGGYDMPSGTILLTNAWAIHRDPQLWEDPTSFKPERFEKEGESNKLMSFGLGRRACPGSGLAHRLVNLTLGSLIQCLEWERIGEEKVDMSERNGGTMPKAKPLEAMCRARAAVGKIFHEGA >A09g510360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31502830:31511825:-1 gene:A09g510360.1_BraROA transcript:A09g510360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARFVPYKCHDPNPGPSDIQRSPKPFPEAQVRKSPELVAGATVRRDHVQLATPDQHPRPPSCSSRRDEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSLSAEATANSGHSPPSPTAVRQSRRGRPPSVRRRESSAASLPSPPLIFRAGDSPATRQLGRVDAMPPRRRTTRAQTARVVRDDVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQETARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQQIPAQHDHQDPVQQVPLPQVPLQQDPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRFAGVGISEEDLIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAGLAEEQKLTKAVQVKSGKAPESQRRAGDPSGLPICPRCHRSHSGQCMRCLICGKIGHIAKFCRVKPLDTPPVRQIAAPAAPAAAQVCFGCGQPGHFIRDCPRRGNAALPPPPKRLAIAPLRKSPELVAGATVRRDHVQLATPDQHPRPPSCSSRRDEAVDTNHTAIGARTKPLEPPEPPQIPATRRRLRPPFAGAAVDGRRPSAAEKLPQRRRLAAVAAADFPVSRRHPPPSPPGLVPVIRRRLANSAESTRFRVYLSSWMETDTETDFCMPDCMRLWPTIVDRLSCSLEVSINRPRAVSEHYLELCYVRPTSRSDYRTGAIGLLGFYRFYRFLSLSGL >A07p036040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19429582:19429949:1 gene:A07p036040.1_BraROA transcript:A07p036040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQVSGTSRISEEQINDLIIKLQQLLPELRDSRRSDKVSAARVLQETCNYIRNLHREVDDLSERLSELLANTDTAQAALIRSLLTL >A07g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4841125:4843588:-1 gene:A07g502260.1_BraROA transcript:A07g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFLGPSRKEPAGQCTIRKSKREVSIDTLQAASIDSVSQASMTLFIMCRKTLFIAVLFIRVLFIMLLFIRVLFTTILFTEVLFINVLFIQIDTVHPVSVDTIHIPSIDTIHPVSIDTIHVPSMDTVHPVSVDNIHLPEAVATRSKRFDYMVDKMIADHEKGIITSLSHISISQIMDFVRSEQNGGFEIPTTHVKEPGKVEEADTKDPTSASIDSSNSESIDIRTLETSIQIFVIDRYPLQSMMLPLCMIGLDDRKQLETITVLRIIEEVDINDMSSEPIDTPTSTSIDPSLQTSIDTNSCCRSIPLEIPEKSSCPQDIADSTLKSIDVSSCYPHQKIEKEITMEDFLELEEFLELEDGQQLGDLDSSEEVTMEDFLELEEWLGDLDQNQKQKFDDQHTSGKGLENSLKADDIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPDCIARYPPDCID >A06p007460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2581917:2588770:-1 gene:A06p007460.1_BraROA transcript:A06p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNLDVFDFNEEDELAESASGKLLEKFTNPNSSISPVLQRQRVQSVCQDKSAQKEDASCAEAATAIVDGHCEDAPALATEAEESTRDADHVNPGLAFGLSNDDRAKEVDVDHDDNHGLMFGLNTEDHVKETDVDHGLGSFSCQPSAKSFYAETSAYSQPQLDSPLSDSSSSEEQTDMMSAIDESLSDRSALSDASDSEGDEDALEDWVAERCLDDIEKIDRSTTVIMIPEYVVLKDMPCAASLVIFSCNGIKIKSYLENNEDGPKCEFGVEDIVSIQYNWYQNVGLIILRIRVLLKDEKCQEDMQQTTDIEELKFAVKEHNWPEKQRQINSLHVKYPAVWSADLDDEVEVSGENLHQQKRYFPRFDEPFEEVIYPKGDPDAVSICKRDVELLQPETFVNDTIIDFYINYLKNQIQAEERQRLHFFNSFFFRKLADLDKDPSSIADGKAAFLRVRKWTRKVDMFGKDYIFVPVNFNLHWSLIIICHPGEVANCTDLDFDDSTKVPCILHMDSIKGSHAGLKNLVQSYLCEEWKERHKETSDDISSRFMDLRFVSLELPQQENSYDCGLFLLHYLELFLAEAPKNFSPFKIYNASNFLYLNWFPPAEASLKRTLIEKLIFELLENRSREVNSEQNQSCESPVALNNNAGIEVLSGRGGVDCNGSMTQLQDDQGIEMTLLERSSMKNMQAVNDSGMVLRDLFDSGANNTGSLLGQLQQTFEEPSSFYHLGNSSLASEQVDMETGEQFMCLNSGESNFQRITGTAPPRESSWNLSMMPVQKESEADSLSETSKSSSSDSEDICIIEDFPIPVENFYEEETDESPPREAVSLFSVALGPSTDHTTENEELASTQDELVVVSSQDDRDELKQLENEDLGIGDKTSGDIGIELKTNEDLETKDKSSDALRIGEKMSDDLGTEDKPSDDLGIVDKSNDDRGIGDKTIEHLGTEDKASEELEVNEKMSEKDLGIEEKPIEDLGTEVKASEDVGDDCDQKEEEPVEEEDEKRAAKRPRLSSTDEAEKMEE >A08p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20183341:20189644:-1 gene:A08p033750.1_BraROA transcript:A08p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSDEEDQIKNVNVGDVNKNKKKKIDTFNNKDSLSSKSKRQMKTPFQLQTLEEVYAEETYPSEATRAELSEKLDLSDRQLQMWFCHRRLKDKKDGQAKKPVQPAATPALSSVNELPAADDRSGSGAGSGCSPYSESRRNFESGSGSSRDEYETMGKGGCEPPRLSVMVRRAVVCVEAQLGEPLREDGPVLGMEFDQLPPGAFGTPIGMEKHQGHLYESKMYEPHDVRPRRSAARSFHEQPSLDDPSSYTPEINGRYSESHARGMDYETSRSKSSSYMHGNGPPPRSYGTHGNASLNGSTSQQDMSSPIVPSAHGDSFLLERKVNDGRVGRGSGQKDPEKLEIQRKKYEERMRKEMERHERERRKEEERLMRERIKEEEKLQREQRREMERREKFLQRENERAEKQKQKDEIRRERDAIRRKIAIEKATARRIARESMDLIEDEQLELMDLAAASKGLPSVLQLDHDTLQNLELYRDSLSAFPPKALQLKMPFTISPWKDSEENVGNLLMVWRFLISFSDVLDLWPFTLDEFIQAFHDYDSRLLGEIHITLLRSIIRDIEDVARTPYSGIGNNQYTTANPEGGHPQIVEGAYAWGFDIRSWKNHLNPLTWPEILRQLALSSGFGPKLKNKSSHVTQTAHKDEAKGCEDNISTIRSGSAAESAFASMLEKGLLAPRKSRHRLTPGTVKFAALHVLSLEGSKGLTLIELADKIQKSGLRDLSTSKTPEASISVALTRDVKLFERIAPCTYCVRAPYVKDPADGDAILAEARKKIKAFENGLTGPEDLNNLERDEDFECDVDEDPEVDDLATPARASNGLSGKGEDAMFCDVKADAKSIVPQYHSKDSAVSCVDDNNDVVEDSNKGQSWIQGLTEGDYCHLSVEERLEALVTLVGIVNEGNSLRASLEDRMEAANSLKKQMWAEAQLDNSCMRDVLKLDRDPSQRMDESKPMEAVSNDLHKGFSNQEATQENCSSKRSRSQLKSYIGDKAEEVYPYRSLPLGQDRRRNRYWHFAASASKTDPCSGLIFVELHDGKWRLIDSEEAFDFLVASLDMRGIRESHLRIMLLKIEGSFKENAYKAKSVVNHSPADSVSPSSAIFGSNSDSMEASTSIRVELGRNDREKESLSKRFHDFQRWMWTETYSSLPSCARKHGKKRCELLATCEVCVASYLSEYTHCTSCHRRLSMFDSSERKILDSALTASPLPFGARLLKALLVFLEACVPDEALESFWTEDKRKDWGFRLNASSSPEELLQVLTSLDSAIKKESLSSSFVSAKELSGAADDSGSVDVFPWIPKTISAVALRLLDLDAFIIYVKPEKPELISEDDKEQISLFPGKSLIKGKGAKEQEDQDEVIVSNSGKKSKKRRVRFGRVSNRNVKRKKVQESPDRFVAGRSDVSVDRNLGSVALNHHQVPGRGKRTVRKRPERNDEDNYRLVSRMADIVRPNSEDVEEEEEEEQTFRDINEDWASETPREMEVMTPMQVDDESDNSVGVESEDDDEGQFVVYDQRNKWGLDWNSNPNEAMEDEEEVVGAAQVEREDVAEMSEGSEDDDVPANNATANNYESGSEDYSSSDS >A06g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26787625:26788871:1 gene:A06g509290.1_BraROA transcript:A06g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNPYRRGGLTLGWEKKHFISTQHEKKKIALGHKEAIVFLISLGRKATKARKKAFLSATKAIVLLLISLGDKSDKGEKKKLFVYTNLEFRFLKFYSYLFPFPAMVDKGQVISLCRSLLRAGHQYPDYNIREYAKRRTLEGFRMNKNLTDHSKVEEAYAEGKKQLEVVERVVKVYLAYPPKTKNIMELKLQ >A02p051270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31525819:31526150:-1 gene:A02p051270.1_BraROA transcript:A02p051270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVQGYDAGWVNAHATFYGRSDASGTMGAACAYGNLYSQGYGTNTAALSTALFNNGLSCGTCFERSGVRATGRGVYMVLLLL >A07p031140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17257115:17259839:-1 gene:A07p031140.1_BraROA transcript:A07p031140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPFVSAAEMGLTQWLQLNSPCMKEHISITMQLAFLAFFVIHFAWKRLGAVRNRGVHDTEEDLKKQSITVKQSFSYTLSLLCSVSIFGTHCFILLLLFQNSTVPRCDSSVSVFSTEISQALSWLIVSLYVIKIKERRLLEFPWMLRSWWLCSFILSLTFTAHFITANDHKPLGFKDYADLTSLVASLFLVSLSIRGNTGLHLLESTEEVTEPLLLCSETEQIKRKSSSFSPYGNATLFQRITFSWINPLFSLGYKKPLEKDDVPNIDVEDSAQTCSQAFDKNLKTTIEQEGPGRAFFYKSVLRFVWKKAAINAVFAVVNASTAYIGPYLINDFVVFLTEKQDQSLNYGYLLALGFLSAKIVETVTQRQWIFGARQLGMRLRAALISHIYQKGLLLSSQSRQSHTSGEIINYMSVDVQRITDFIWYVNNIWMLPIQISAAIFILQKHLGLGAVAALVTTLMVMACNYPLTRIQRTYQSDIMNAKDERMKATSEILKNMKILKLQAWDNQFLNKVKRLRKKEYDCLWKSLRLQAFTTFILWGAPALISVVTFVTCMLIGVKLTSGAVLSALATFQMLQSPIFGLPDLLSALVQSKVSADRIASYLQQSETQKDAVEYLSKDDTELSVEIENGAFSWEPEASRPTLDEIELRVKTGMKVAICGAVGSGKSSLLSSILGEIQKLRGTVRVSGKQAYVSQSPWILTGTIRDNILFGSIYESEKYERTVKACALIKDFELFSNGDMTQIGERGINMSGGQKQRIQIARAVYQDADVYLLDDPFSAVDAHTGRQLFEVMIMLNERMLNGDTEREDSTLCYTSS >A02g511550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30841059:30844019:-1 gene:A02g511550.1_BraROA transcript:A02g511550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSLKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFNSQEWKKKMGKSILGALRASNWLFMVVVVLMTMAIL >A07p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20260535:20262774:1 gene:A07p037990.1_BraROA transcript:A07p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVLVVGILILLCSEFVASAPSANSPAKIVSGFISNHGSLLMKWLWSLKSTSTKTTIATRSMVKFENGYTVETVFDGSKLGIEPYSIEVLPNGELLILDSENSNIYKISSSLSLYSRPRLVTGSPEGYAGHVDGRLRDARLNHPKGLTVDDRGNIYVADTVNNAIRKISEGGVTTIAGGKTARNGGHVDGPSEDAKFSNDFDLVYLGSSCSLLVIDRGNKAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGSIVSSHDQEMFESDHDQKPSRPSLIPTGDEQQEKQDESFLVSMGNLASNAWVSVMEILRIKQPAATYQTKQSSAAFSTTAPWPIQESFVVRDEDEPPPVEHKNQTPRKTYAFMSKDAEKMQQLRQSRAFYSSSWEAEFPNQQQQKQQQHRRHYSSIPHTYYEQNSEQTNEIVFGAVQEQSSKRAAKPKESGEQINNSNDTQQNLHYRAHSVSYPYGYYPYT >A08p029420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18213635:18215220:-1 gene:A08p029420.1_BraROA transcript:A08p029420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKESSELGNLPTSPMAKRSRTCVEERLPLFIALRYRELAYGFDVNYNKQLPPRLVPVPSTWSKNYVIGLYGRIGLQCYNLQKGTNLKFKHLEKHSTELTGYFSYYITLEATDPATGSVCSFQTQFSDAGSRISLGTRITWFTIASRIKQIPNEPVDDEWEEEDTPGINEFYKGPMPKWFSDEALERDSKKYYVVPESELHDNDWLQLLMEVAFFSKADRRLDAYLPLELNSVVVETLEDYTTEPSEKLKADNAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVALTKEQE >A05p038320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23571827:23574693:-1 gene:A05p038320.1_BraROA transcript:A05p038320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLWQSKRLEEEERTPGGDHQFQTEIRELLILQSWPMTMTLCLLDSEKKLEMLVDPDMHVNYTEAKVEQLIQVALLCTPMQRPMMSDFVRMLEDDGLAEKRDEWQNQVGSGAVLDSTENLHARTITSRTQPRTYRLHQLMENHPNGNGNGGGDGAFPELHNVDANGNAHHNLVQNANVQDNLVQNANAQQNFEAMLQFDENEAMLQHDENEAVQEEEDEDAEMQALIQLIEDTLSEDMIMEHEENIAVVNGEDMIMEHAVIADGVAAEIDGAAVQQENIAEVALVQNEEIQNEGVPNQDDDDVDEAEV >A09g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9278682:9279116:-1 gene:A09g502770.1_BraROA transcript:A09g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKQVISQVETMKSVFFQIYLPKRLLGKSSGCRQLTWKRLTLKLSLRRLTRKSSMTLF >A08p018760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12886528:12887157:-1 gene:A08p018760.1_BraROA transcript:A08p018760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHKCKLCSKSFCNGRALGGHMKSHLVSSHTPTRKKLGDSVYSSSSSSSDCKTLVYGLRENPRKSLRVFNPDPESSTIYNSETETEPESVDPVRKRSRAEVSKKKKTKKRSKKRVFESGKKQKTSHVNSNESQEPASSVSDGSPEQDLAMCLMMLSRDTREIELKKHVLAAEETKPEKIHFPELRRCVIDLNLPPPQESDIVTVVSAI >A04g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11751362:11756527:-1 gene:A04g505540.1_BraROA transcript:A04g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLASKKSDASGGSLKQRPLLIEHPVVPPGFAPLFPEMPQKERDMALQYISHSDPTERQARITRVQQSLQPGFEDNLISAPRISHDINKGKGHVFNFQEHDRPGKRVALTRERSAFSEADMIVVKDRTLFPSDNLEVSSSSSSLGPTVFRMGTSSGNLPTGANEAVKKSRRRPQRWKRICSQRPSGQAQDLDHTGKRDSPVGDGEESTATLGGNQAKRKAPERARQVEESYDYLIEERYGTWDVQRVRHLFVEDDANRILEMKPQLNRQDTMTCKRSRLEERTRLVFPWILWNIWKSRNKFVFQQVNVGAEEIWRKSQLEFEAWNAANVGDKEDIDENVGESALACWRKPCPSFIKCNVGSSWIDANQNCGVAWLTRNHLGVSLIHSRRSYSMVASQLEAELLSFLWAAESLSTLRHKNVVFESSSYLAGEAILNPDNFPSFRGLIDEIREKLSRLQLWSIAYVHSGANQCAEAIARSVTRDQRYASYVGKDGPSWLLPMIHADAVRADNGY >A09p069950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54379697:54381334:1 gene:A09p069950.1_BraROA transcript:A09p069950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSSLPDELLHQILSLLPTKSAVVTSSLSKRWLNLWKLNPNLDIDDSLFIHPQDGKGETQHIRQSFVRFVDSVLAMQGDSPINTFSLKCITGIHPDTVNRWIRNVLQRGVSDLSLFTDFTCEDTEEDSYQLPRELFLSRTLVKLNLRSEHCVDWWWPGGIWSDSLALGVLKSLSIDSDLIFCGEVEEFIPSFTALEELRMGSMEWRESDVTVSSATLRTLSLHGTGCEEFENPTSVSFDTPNLRVLSYYDLVAEDYPLVNMKKLCDATINLILTDKQVKRLREPNNEFWEEEEEEDEGNVVVNFGNVVKLMNGIQNVQKLSFTADTLEVLSQCCDTMPVFNNLKFLGITSEEGRGWQAMPALLKNCPRLETIILEGLLHYVTDKCGDACPCISREGKGRSLRACPVNRLEIQGFRATMKEMTMIKHFLDYFPGLKRLDVVIEDNEPTQLRNPELSKCVKEMFSLYSRLYPSCNVELMVSSFLQKKWRAQGHI >A03p053750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23079590:23089416:1 gene:A03p053750.1_BraROA transcript:A03p053750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYFHSLSQTPHRLKSRMLATWTPDQEVNQVRLRSGADMKRKLRWFDLVSLGVGGMLGVGVFVTTGPVARDISGPAVFISYMIAGFSALLSSLCYTEFSVNVPVAGGAFSYLRVTFGEFIGYFGGVNILLEYVLSNAAVARSFTEYMCTAIGVSDPNAWRVKVIGLAKGYNELDFPAVVLVLLLTLCLCHSTKESSMLNLVMTVFHIIFFGFVIIAGFCHDDGVKALVEPRGLTPYGARGVVNGAAVVYFSYIGYDTVSTLAEEIQNPSFSLPVGIIGSVSVVSVLYCLMSLVLCVMVPYNEISKSASYAVAFRRIGWGWAGNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVHPSTGTPLNATLFLGICTATIALFTDLEIVMELISLGTLCVFYLVANALLYRKYTLTGQNAPVHTLSFLALLSSFSLAFSLWWKLNKPWWGLTLFIAIAIAVTAFFQYHTWSISSTVSKNLLRPSCPSKWSVPFMPWPAAASVFLNVFLTTTLKTLSFQRFAIWTCLVTMFYVLYGVHRTYEAEEMGRVDVVEIQVTSTRVQPDKFDNVQMAIP >A07p000580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:625882:629046:-1 gene:A07p000580.1_BraROA transcript:A07p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNYAMLVLLLLLLHSLASFPVCFARLFPMSFPFTRSKSHQMHFFHPHLYPPASSPAFSPNPSHIPTPRHSAHHHQHRRWHLRRNVTAAPPPSNDCQQTCVEPLTSTPFGSPCGCVFPMKVQLLLSVAPFSIFPVTSELEIEVAAGTYLEQSQVKIMGASADSENQGKTVVDFNLVPLGEKFDNTTATLIYQRFRHKKVPLNESVFGDYEVTHISYPGIPSSSPYGDIVEGVPTASTDGLPVTANVANKSQGIGFRTIAIIVLSGFVLTLILAGAIFIVRKWNKVGKSSTAVGPGLPPSMNKRLGARSMFSSSARSSGSDSLMSSMATCALSVKTFTLSELHKATDKFSAKRVLGEGGFGRVYHGSMEDGTEIAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLIYELVHNGSVESHLHEGTLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGEENLVTWARPLLANREGLEQLVDPRLAGTYDFDDMAKVAAIASMCVHQEVSHRPFMGEVVQALKLIYNDADETCGDYCSQKESSVPESAGDLAFSDSSWWNLTPRLRYGQASTFITMDYSSGPLEEMENRPHSVSSIPREGGLYLPNRSGPLRPVRTRRNFFRLRGSMSEHGGPSSSRHLWSGNGDWF >A08g510210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22243810:22244943:-1 gene:A08g510210.1_BraROA transcript:A08g510210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTSAAAAMAATRRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPPSLPGDVAGSSSRATPYSSYNQSPFESPILSYQVSPSSSSFPSPSRGGGDTHNNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPSSKHPKALPTWGECFTNQSAKQSMSSFNYPFYAVSAPASPTHHRQFNAPPATIPECDESDASTVDSGHWISFQKFSQQQPFLGVSAVPASPTFNLVRPPVPQQLSPNTGATQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNAKGRM >A10p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14461537:14463573:1 gene:A10p021560.1_BraROA transcript:A10p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVLDPKSSPPLMDQSTTDEEDPYSRLKSLERQLEFTDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINREDLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVSYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEFDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRSNVKKPDTDFEFYK >A09g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15533178:15534989:-1 gene:A09g505150.1_BraROA transcript:A09g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPTEGRTTNPVDPTLQLAETLVADALPAATSHGRPGRFRTDEDPLAARQRIEVIRAQPEPSSYEESDLEEALDTLDLRTLLKRKTASTNNKTFGPSDLRVELNAKRTKHALSQGSSLASTDDNPIVDLRDQLKRESTIC >A09p054340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46859122:46861471:-1 gene:A09p054340.1_BraROA transcript:A09p054340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQVDPPQAYEVRIKIICTSLCHTDVTFWKLDSGPLARFPRILGHESVGLVESIGEHVDGFKQGDVVLPVFHPNCEECKDCKSSKSNWCARYANDSISNTRRYGMASRFKDSTGEDIHHFLFVSSFSEYTVVDIAHLVKISPDIPVQKAALLSCGVSTGVGAAWKVANVEEGSTVAVFGLGAVGLAVAEGARLRGAAKIIGVDLNPDKFELGKKFGFTDFVNPTLCGEKKVSEVIKEMTGGGVDYSFECVGLPSILSEAFISTRTGSGKTVMLGMGKHAAPISLGSFDLLSGRTICGSLFGGLKSKLDIPVLVDHYLKKELNLDSFITHELKFQEINKAFELLEEGKSLRCIIWMDK >A03g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3412310:3414236:-1 gene:A03g501110.1_BraROA transcript:A03g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCKFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGLPVKSSGSRLNFLKVFWQSRLNFLEVTTYNSVVHETTEM >SC245g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:123977:124421:1 gene:SC245g500040.1_BraROA transcript:SC245g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDASDVLSLDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFMPGFGDIRKLCVRSNQN >A03p064630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28124291:28124961:-1 gene:A03p064630.1_BraROA transcript:A03p064630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSLIHLSLATVSQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVSEDEELGDAEQD >A09p051860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45577908:45579539:-1 gene:A09p051860.1_BraROA transcript:A09p051860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) UniProtKB/TrEMBL;Acc:F4HPB8] MGEEQFEQQEDRERSPELLQSLRSKATELLLREEWEESIQLYTKFIDLSRIQITNLAGSDPDSIHKLKKSLCLALCNRAEAKARLHHSSEAMRDCDKALEIENTHFKTLLCKGKVLLGLSKYSSALECFKTALLDPQASESVTEYMEKCKKLDFQAKTGAFDLSDWILSGFRGITPELAEFIGSVEIKKSEASGRGLFATKNIVVGALILVTKGVATERGIIGSDESGEKAQMVMWKNFVEEVTKSVRRCDRTRRLVLSLSTGEEEEKLEIPDISLFRPEESSETCRESKQDLDMEKLLSILDVNSLVEDAVSAKVLGKNKEYYGVGLWTLASFINHSCVPNARRVHVGDYAVVHASRDIKAGEEITFAYFDVLSSPLEKRKEMAESWGFSCKCSRCKFESLLSVANQEIREFEMGLERGVDAGNAVYTVEEGMKRWKVKGKDKGLLRASYWGVYEEVYNSERLMRRWGRKVPTMEVVVDSVSDVIGSDERLLKMLVEGMKQKKNGGCSGNIAEMERIMKLGKGVYGKVVSKKKAMKTLLGLE >A08p003130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1772014:1775575:1 gene:A08p003130.1_BraROA transcript:A08p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSVKWQKKVFEGIEIDVTLPPYVFKAQLYDLTGVPPERQKIMVKGGLLKDDADWSAIGVKDGQKLMMMGTADEIVKAPEKAIVFAEDLPEEEQATNLGYSAGLVNLGNTCYMNSTVQCLKSVPELKSALSNYSLAGRSNDVDQTSHMLTVATRELFGDLDRSVNAVSPTQFWMVLRKKFPQFSQLQNGMHMQQDAEECWTQLLYTLSQSLKAPTSSEDSDAVKALFGVNLRSRVHCQESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKGELEKISPALGRTAIYLKESLIDSLPRYLTVQFVRFFWKRETNQKAKILRKVDYPLELDIYDLCSEDLRKKLEAPRQKLRDEEGKKLGLQTKSSSKDGDVKMTDAEAPSNESGESSTAAQQEGGSSSEKGTHMTGIYDLVSVLTHKGRSADSGHYVAWVKQESGKWIQYDDSYPSVQREEDITKLSGGGDWHMAYIIMYKARFVSM >A03p017670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7200756:7201567:1 gene:A03p017670.1_BraROA transcript:A03p017670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAENIPTNVASGAAEGAKAKKTKEAKPKKKPAAEKKKAPKKKTSSSPPSHPKYEDMIKDAITTLKERTGSSQYAIQKFIEEKEKSLPPTFRKLLLVNLKRLVAAEKLVKVKGSFKLPSAKPAAVKKKPAAVSKPKAKVAAKAPVKAKLAVKVAKKPAAVAAKPKAAKPKAKTKTVAAVSKTKAVKAKERPAKAARTSSRTSPGKKAAPAKKAAAASKKVAPVKSVKAKTVKSPAKRASTRKVKK >A03p015900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6334372:6335908:-1 gene:A03p015900.1_BraROA transcript:A03p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGTEMNQERDSTLVKHIQAPVHIVWSLVRRFDQPQKYKPFISRCVVKGNMEIGTVREVDVKSGLPATRSTERLELLDENEHILSMRIVGGDHRLKNYSSVISLHPETIEGGRIGTLVIESFVVDVPEGNTNEETCYFVEALIKCNLKSLADISQRLAVQDTTAST >A02g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22650059:22650586:1 gene:A02g508270.1_BraROA transcript:A02g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIKQISKSVAKITSALTRRLPIKSSTARRLPMLLQAHIISNESDPPIIVSFYDFMNHKNVKSKSWVFLAQCGEKVRDMLCLVHKIEKRRRVIDDNGNLVIT >A05p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1724122:1725211:1 gene:A05p004400.1_BraROA transcript:A05p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDLDQQSQRRIKCKRGLKYTYWRSRSQKVFLALPCLEELVLDVGKNVKQSGVALEALNSKEVFCCCSFFLPPQDRDVNQRSLSLPGE >A09g516540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48808251:48810641:1 gene:A09g516540.1_BraROA transcript:A09g516540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A01p046840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26348895:26352723:-1 gene:A01p046840.1_BraROA transcript:A01p046840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSPAKGTPDGHPPPPAAAVEAGTTDDSLASKLSASGISTWAKSLKVPQPFSSARTDSDVENNEKSAFAKFTSGLGLRLSPKSPQPDEAGEGTSASAAQPGFIGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGFFGYVEGFYRNQMEEVISFLETQHKGKYKVYNLCSERLYDVSLFEGKVASFPFDDHNCPPIHLITSFCQSAYSWLKEDIENVVAVHCKAGMARTGLMICSLLLYLKFFPTAEECMDFYNQKRCVDAKGLVLPSQIRYVKYFERILTYFNGENQPGRKCMLRGFRLHRCPYWIRPSITISDHNGVLFTTKKHPRTKDLSPEDFWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHANQGDFYCWMNTTMMENRVILKTSELDGFDKRKLPSPGFMVEVVLADIDTTIPANPSSEPASKAPEETSGANSSPAEGVTPVPGPNKETENPDKDDVFSDNETESTNPTKTTPSASSQNPEAKHSADETSGLARATEKVSISGNKGPSQPFSKAEATEKPTGVNASSSESSEFKVMAADASVFSFGDEDDYESD >A09p020850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11072052:11072404:1 gene:A09p020850.1_BraROA transcript:A09p020850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECRFVFDYDDDVARRKALDYINNSKGVKSVTLKDNLLIVRGDGLDQDKMEKKLDKIFSPKKGCVWCMAF >A09p080960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59083922:59097812:-1 gene:A09p080960.1_BraROA transcript:A09p080960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKLASYDRVTELKAFDETKTGVKGLVDAGVSEVPRIFHHSSLKLSNPKPLSSDFTTIPTFDLGGRLFEDETKRKNVIQGIKEASEKWGFFQVINHGVPLDLLERMKDGVRGFHEQPPEVRKQYYGRDFSRTFRYSSNFDLFSSPAANWRDTFSCTIAPDPPKPEDLPEICRDVMLEYTKHVVNLGEFLFEMLSEALGLEPNHLNEMDCSKGLLMLNHYYPPCPQPDLTLGATQHSDNSFLTVLLPDEIEGLQINREGHWYDVPHVPGALIINIGDLLQLITNNKFISLEHRVLANRATRARVSVACFFTTGVRPNPRLYGPIRELVSEENPPRYREITIRDYSAHTTKLASYDRVSELKAFDETKTGVKGLVEAGVSEVPRIFHHSSLKLSNPKPLSSDFTTIPTFDLGGRVFKDKTTRKNVIQGIKEASEKWGFFQVVNHGVPLDLLEKMKDGVRGFHEQPPDVRKQYYGRDFSRTFRYSSNFNLFSSPAANWIDTFACNIAPDPPKPEDLPEICRDVMLEYTKHVMNLGEFLFEMLSEALGLEPNHLNEMDCSKGLLMLNHYYPPCPQPDLTLGATQHSDNSFLTVLLPDEIEGLQINREGTWYDVPHVPGALIINIGDLLQKKKKKKKKSMETTKLASYDRVSELKAFDETKTGVKGLVEAGVSEVPRIFHHSSLKLSNPKPLSSDFTTIPTFDLGGRVFKDKTTRKNVIQGIKEASEKWGFFQVVNHGVPLDLLEKMKDGVRGFHEQPPDVRKQYYGRDFSRTFRYSSNFNLFSSPAANWIDTFACNIAPDPPKPEDLPEICRDVMLEYTKHVMNLGEFLFEMLSEALGLEPNHLNEMDCSKGLLMLNHYYPPCPQPDLTLGATQHSDNSFLTVLLPDEIEGLQINREGTWYDVPHVPGALIINIGDLLQLITNNKFISLEHRVLANRATRGRVSVACFFTTGVRPNPRLYGPIRELVSEENPPRDVMLEYTKHVMNLGQFLFEMLSEALGLDPNYLNEMDCSKGLHMLNHYYPPCPEPDLTLGTTQHSDTSFLTVLLPDQIEGLQVNREGYWFDVPHVPGALIINIGDLLQLITNDKFISLEHRVLANKARQARVSIACFFTTGLRPNPRLYGPIKELVSEENPPRYRETTIRDYSAYVNSKGLDGTSALLHFKI >A10p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15815097:15818309:1 gene:A10p024410.1_BraROA transcript:A10p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLALTPAPWPALSNVEVCNRAFFNISSYGILENYEAPSVIFGYALPLLELQILIIFVLIVLSHMFLRFIGVPQFVSYMFTGLLLGRHLFDLQDFSSHRLSLDVALDGNIALEGVANLGLIMFTFLMGVKTNKRAMFHIGKGTVAIAVLSFVVTMTAGLAFRNFQLDKADPLYMPLKLAPTERTVIVSVQALTLLPVITHLVYELKIPNSELGRLAISIATINDLLGFITLQCVSYVASYRYVSPRIANRDALAMTILILVILFIIKPTAQWIVDTSPEGKPVRELYVYGTIMSAIAASICTTYFHQVHVLGAILVGMAIPDGPPLGSALEAKFEGLVTNIFLPISIVVMTMKADISKVLYAFDDIAINIFLVGFTLVVKWTATFGSCLIFKLPTNESIILAIMMNYKGFVDLCFFEGAANHRNLSQATHTFMIIYVLLIAGVLPTTVKALYDPKRKYIGYVKRDIMHLKPNSDLKILTCLHKPDNISGVLSLLQLLSFTPDGESNKDRGVIAVTALHLVKLAGSSFPILIPHDKRTKPQLHQNSYIQTMMLVFSEFQQENWASTTVSFFTAYSQEDLMDHDICNLALDHHTSMIIVPSGRKWSPDGLYESDDNVIRSVNASLLDRAPCSVGVLNDRSYRTKKKSNGTVNVGVIFIGGKDDREAISLAKWMRQNPRVSLTVIRFLSGQEPDKNKNWDYLVDNGVLNDLKETYASSGNFVYAEKIVNGGPAMATAVRLAAEDYDLMIVGRGRDDDLLDVSGLAEWMELPELGVIGDLLACKDLKTRVSVLVVQQQQQHE >A08p016660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11783626:11784318:-1 gene:A08p016660.1_BraROA transcript:A08p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLLLIFLITTVSFLTPAAFPPSITPQDQQHADRIIQAMIGAGEFRDWAADFLSAVDDQFGIPLSATIFIPSDFDAAGITSSSGGGATNPGRLSVAYHIVPQRLSFADLRILQPLSRLPTLLPGNSIVITNNSVSDFTVDGVLVSEPDLFLSSSIAIHGVASPLDFSRYGDFENGGDTALADSLRPLSQNRRRRRPEFNSNRTSASVSIAHLSTCSFLLPLALALF >A06p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10166374:10166770:-1 gene:A06p020660.1_BraROA transcript:A06p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVIASSSFDCGIGSWDLKTGNEQLRFKQCASPAHGLTAVGEKFLAASQLRNASGSSGSVFFWSWNKPLVEVKSFPVESITALTSNSEGTYIVGGGASGDIFITFQ >A04p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1309146:1310261:1 gene:A04p002670.1_BraROA transcript:A04p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSPISHHLGFSSYIELLLYFFSDGGCGERANIRTENGTSSSLRAILPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSRYLYTLCVFDQEKADKLKQSLPPGLSVQDL >A09p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4574634:4577123:-1 gene:A09p008900.1_BraROA transcript:A09p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDESGVSLPSGPDGRKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHSLIVHYNLHRRLEISRPNLADASDIGQFHSPDYVDFLRSVSPESMGDHSAARNLRRFNVGEDCPVFDGLFDFCRASAGGSIGAAVKLNRQDADIAINWGGGLHHAKKSEASGFCYVNDIVLGILELLKMFRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDIGAEKGKYYALNVPLNDGMDDESFRSMFRPLIQKVMDVYQPEAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYTLHVDPGPMENLNTPKDMEKIRNTLLEQLSGLIHAPSVQFQHTPPVNRVLDEPEEDLEERPKPRIWSGTANYESDSDDDEKSPPLVGYSGINDPPMDRDSTGEDDMEDEPEVNPPSS >A01g502300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7980675:7981199:-1 gene:A01g502300.1_BraROA transcript:A01g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLAKCFNGLQERYESVLEAKSIFKDDLTSPLNGSECSVEPIKKSRCLQRAKRIDRSLRFEDEDEEMAKPLKPRKVVRFQLEKNKIFEPNKPVRYEFEPEEKPLEEKEGSNKVEGKEEVVRVKIKMTKQEAQRLLAKCKDDNVLDLEHVVDQIAYFSNHQLQLDVVVVASKL >A09g516170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47759798:47760196:-1 gene:A09g516170.1_BraROA transcript:A09g516170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPQVCSFPIYDGHGGCLAAEFAKKHLHLNVFQLGYRQNFYMIGKDKRTEPFEGLSS >A09p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10754757:10756723:1 gene:A09p020320.1_BraROA transcript:A09p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 1 beta-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G60390) UniProtKB/Swiss-Prot;Acc:O80760] MNIIEAAFFLCFISSSNVHFAGATKQTAGNITPSENPFTPKASLIRYWNKRINGGSPPPSFFLSKASPLTTVDSTRFASLASRHALDTRLSDFCTAAKLFCFPELAAHSVTEKHGDDVGFSVYSDKNFTNYGSDRLAGADTFKNYSGGDNIGVDSFRRYSRDSAGHDDGFTNYAGEVNVADQSFTTYATGSTGGSGDFTNYHTNANQPNGRFNSYSDEANGRSQSFMTYSENANSGAQTFTSYSKNGNGAPNGFSGYGSGSNVVKSGFSGYGETANGANDTFTSYGGDGNLPVNDFKKYGEGGNGAVYGFKSYRDQSNIGADSFSSYAKDSHNEKVNFVNYGKSFNLGSDDFTGYGQGNDGGNVSFKTYGQGPSFKAYTKDGVVFARYFNNASSSGKKVNKWTEPGKFFRESMLKEGTLMQMPDIKDKMPKRTFLPRTIVSKLSFSSSKTGEVRRIFGAGENSSMAGIISSAISECERPPSYGETKRCVGSAEDMIDFATSVLGHGVVVRTTENVVGSKKKIVIGKVKGINGGDLTRAVSCHQSLYPYLLYYCHSVPKVRVYEADLLDPESSEKINHGIAICHIDTSAWSASHGAFVALGSGPGRIEVCHWIFENDMTWTTVD >A08p025930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16616884:16617226:-1 gene:A08p025930.1_BraROA transcript:A08p025930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDAVVTQSAFDVLRLGRSSQFTVACLLCFWDFKNIKKQGHIWRTGFECNELKYVVFEDVAEALETFKCCEIGTFRCCIDSVFKAII >A01p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:402543:404436:1 gene:A01p001040.1_BraROA transcript:A01p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39980) UniProtKB/Swiss-Prot;Acc:P29976] MALSNATSSSLSTRSLYTGLSHRHSHSNRQSSIPFHRSVSLVTALHAADPSRNAAVSVKESVSCALEWTPESWKLKKALQLPDYPDAGELDSVLKTIEAFPPIVFAGEARNLEERLADAAVGKAFLLQGGDCAESFKEFNATNIRDTFRVLLQMSIVLTFGGQVPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYKGDNINGDTFDEKSRIPDPNRMIRAYTQSAATLNLLRAFATGGYAAIQRVTQWNLDFVEESEQADRYQELANRVDEALGFMSACGLTTDHPLMTTTDFYTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMVWCGERTRQLDGAHVEFLRGIANPLGIKVSNKMDPNELVKLVEILNPNNKPGRITVIVRMGAENMRVKLPHLIRAVRRSGQIVTWVCDPMHGNTIKAPCGLKTRAFDSILAEVRAFLDVHEQEGSHAGGIHLEMTGQNVTECIGGSRTVTYDDLSSRYHTHCDPRLNASQSLELAFIVAERLRKRRTATQRLS >A07p040690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21551651:21553070:-1 gene:A07p040690.1_BraROA transcript:A07p040690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSITIKRKRAKVMKIDGEVFRIKTPATAREVTADYPGYVLLDSEAVKHFGVRSKPLEPSQLLKPKKTYFLVELPKLPPETTSGTDNKLPLRRVMSGIHVGAKERLEMLMLSRRTVSDVAVGRSDGGDGLGLGPGQTSVRFRLPRSQITKFMEESNDDSEIADKILSFYRESSGEIGGGDSHRKIGTGEIKAREKQVSFAGDGGRELPVLWSRTGNQSSSIG >A06p008090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2808123:2808830:-1 gene:A06p008090.1_BraROA transcript:A06p008090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSPPLLELHTTTKKLPPSEGMENKVTVVFETVIDYIHNDSVTGNQTLIGSSPDHSLFFAINFSTASTCPSHISDLIIRCLLNYYPSTIKSLTMRIGGNAWTWGRLACRIASAGLRLGFGYEINLLLTTTHQRILSVGSSPSLLRKLVFKGRIDVEELEILKMGKEPCSICLEDLSCGGGPGGVPSRMPCSHVFHIRCLLKWFSRKSTCPMCRRLVLSHMTKKQHREGQYEVFN >A09p033280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20140995:20144288:-1 gene:A09p033280.1_BraROA transcript:A09p033280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QADRRDRCTHVLFLAYQSFGLAFGDLSISPLYVYKCAFYGGLRHYETEDTMFGAFSLIFWTITLLSLIKYMVFVLRADDNGEGGIFALYALLCRHTRFSLLLNQQAADEEISTYYGPGDANRSLPSSDFKRLIEHNKRSKTALLLLVLAGTSMVITIGVLTPAVSVSSSIDGLVANTNLKHSTVVMIACALLVGLFVLQHRGTNKVAFLFAPIMILWLFSIATVGLYNIFKWNPSVYKALSPYYIYKFFRDTGKEGWLSLGGILLCITGTEAIFAELGQFSAKSVRFAFCCVVYPCLVLQYMGQAAFLSKNFSALPTSFYSSIPRLACMSLAFVTTWLMPLIINFVWNRHLVFSILFTILFGTIELVFVASSWTKIPHGGWITILLSLLFTFTTYVWHYGNRRKYLYDQHNKVPMNTILTRGPSLGIVKVPGIGLIYTELASGVPATFTHFLTNLPAFYQVVVFICSKTVPIPYIPQKERYLIGRVGPKTYRMYRCIIRTGYKDVNKDGDDFEDELVDSIAEFIQLESEGSGSNRDRSAHDGRLAVVKTSNKFGTRLSRSISDANIAGSSSSRSQTTVSNSKSPVLKRLKAEYEQELPKLSMRRRFQFRPMDTTFRQPQVKEELFDLVEAKDAEVAYIVGHGHVKAKRNSTYAKQLVIDVAYSFLRKNCRSPAVMLNIPHICLIKVGMNYYL >A03p050920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20750238:20751539:1 gene:A03p050920.1_BraROA transcript:A03p050920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative mitochondrial outer membrane protein porin 5 [Source:Projected from Arabidopsis thaliana (AT3G49920) UniProtKB/Swiss-Prot;Acc:Q9M2W6] MSKGPGLFADIGKKARDLLTRDYNTDQKFSISTNTLSGVALTSTVLKKGVVHAADVSTQYKYRNALFDVKIDTDSNVMTTITITEILPSTKAIASFKVPDYNSSKLEVQYFHDHATITATAALKQNPLVDLTATLGSPTISFGAEAGYDTTSRSFTKYNFGISVTKPDKCASIILGDKADSIKASYLQHLDESKRSAAVGEVYRKFSTNENVITVGGLYAVDHLTSVKAKLNSNGKLGALVQHEVLPKSIVTISGEIDTKTLEKYPRFGLSLALKP >A09p071660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:55220349:55221518:-1 gene:A09p071660.1_BraROA transcript:A09p071660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISDIPDGDNGGAPNKKPQEQPEEVISLESLPDVLIEHIIARVPRSNHPEISLTSKLFRRIIASRGLRLTRSLLAISEHVLYALIAFPPHPLSWHILYRSNTASLRLRRVTTLPPMPYGPAVVTVGHDIYVIGGYGGTRYLSSVTVVDCRTHTCRSLPSMRVPRYRAAAGVIDGKIYVMGGCENRISKDWVEAFDLERQIWLGTGGEVSDESGGFVTYDVVKDKIYALGLRQSLHVCEPKEGGVIIIWEGDASELRGLWQGSSCVVDDLLYTIDPMCWRGHPIIVFDPEEGVEGVWKPVKGVYGLPPCFPWFAYESKMANVGGKLVILIGNQSWLWNYYGYKYIWCVEIALERRQGGEIWGRVESVDVVFKTAESSPIIELCRTVIV >A05p010270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4301154:4307176:-1 gene:A05p010270.1_BraROA transcript:A05p010270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEMRVELSEIGRNMGTSFRRSSSRSEPEDEAEYALQWAEIQRLPTFERLRSSLVDEEGESEENGKRVADVTKLGAMERHLMIEKLIKHIENDNLKLLKKIRKRIDRVGVEFPSIEVRYENLSVEAECEVVEGKALPTLWNSLKHVFIELVKLSGVRTQEAKISILNDVSGIINPGRLTLLLGPPGCGKTTLLKALSGNLDKNLKVSGEISYNGQGLNKFVPQKTSAYISQNDLHIAEMTVRETIDFSARCQGVASRTDIMMEVIKREKDGGIIPDPEVDAYMKAISVEGLKRSLQTDYILKILGLDICAETLFGNAMRRGISGGQKKRLTTAEMIVGPTKALFMDEITNGLDSSTALQIVKSLQQLAHITNATVLVSLLQPAPESYDLFDDIVLMDQGIIVYHGPRDQVLSFFEECGFRCPERKGVADFLQEVISRKDQGQYWLHQALPYSFVSVETFSKRFKDLEIGRKLEEALSKPYDRSKHQNDSLSFNAYSLPNWELFRACLSREFLLMKRNYFVYLFDTFLLLLSAILTMTVFIRTRMGVDIVHGNSYMSCLFFATVVLLVNGAPELNMTVERLAVFYKQKQLCFYPAWAYAIPATVLKVPLSFFQSLVWTCLTYYVIGYTPKASRFLRQFIMLFAVHFTTISMFRCIAGIFQTGVASMAAGGFAVLITFVFAGFAIPYTDMPGWLKWGFWVNPISYAEIGLSVNEFHAPRWQQMLPTNVTLGRTILESRGLNYDDYMYWVCLSALFGLALIYNTIFTLALSFLKSPTSSRAMISHDKLSELQGTKDSSDKKQNKSTERKEDSGKMVLPFKPLTITFQDLNYYVDVPMEMRGQGYTEKKLQLLSNITGAFRPGVLTALMGISGAGKTTLLDVLAGRKTSGYIEGDIKISGYRKVQETFARVSGYCEQTDIHSPNITVEESLIYSAWLRLVPEIEPETKIRFVKQVLETIELEEIKDALVGVEGESGLSTEQRKRLTVAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELVLLKTGGRMIYTGPLGQHSSHVIKYFESIHGVAKIKDNYNPATWMLEVTSQSIETELNIDFAKIYNDSDLYTTNSELVKELSKPDPGSTDLHFNRTFAQSWWEQFKSCLWKMSLSYWRSPSYNLMRIFHTLFSSLIFGLLFWKQGHKIDTQQNLFTVLGAIYGLVLFLGVNNCATALQYFETERNVMYRERFAGMYSAFAYALAQLVTEVPYIFIQSAEFVIIIYPMIGFYASYSKVLWCLYSMFCNLLCFNYVALFLISVTPNFMVAAILQSLFFVAFNLFAGFLIPKPQIPKWWVWLYYLTPTSWTLNVFFTSQYGDIHETIDAFGESTTVERFLEEYFGFHHDRLVITAIVLIAFPVALASMFAFFVAKLNFQKR >A06p028720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:47395:48694:1 gene:A06p028720.1_BraROA transcript:A06p028720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHPVQSLMKKKAEAWRVPLVPHPVLYLLRWRLSLEAKVKEGSFELMVQDGGHELKEKEVGDDLDSQFQQQSWPVSQNAKGINLVPCCSQEVFSAHHLSKTRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGR >A02g513100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35938459:35941343:-1 gene:A02g513100.1_BraROA transcript:A02g513100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDENNRCFETPNPGSSKFPRSNDDQTRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPASAAAPAPAPPGVMSVAQLVRQSGRDHLPYLTEYPHGHGQTRFNRSGNGISAWINRMMYSALDKGHPTFTDFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFIHKVMDNYGKHMYEWKKKWKANKVPRSMNDTEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGELVDDLALMKMAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSLLQTDDDDSTASTNLSRVRINEIVESSVPKKNGRLVGLGRHSRSAAPSSAPPPYIDPEILTAQLKDKDDRISALETQMAAQQAGYETQKRLNVQMMEMMRRMYSNEVFPNIQDP >A10p018320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12828411:12830070:-1 gene:A10p018320.1_BraROA transcript:A10p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55280) UniProtKB/Swiss-Prot;Acc:Q42545] MAISPLAQLNELPISSSFLATSHSLHSTRINGGFSKQRSKPTRLRCSFSPMESARIKVVGVGGGGNNAVNRMISSGLQSVDFYAINTDSQALLQSSAHNPLQIGELLTRGLGTGGNPLLGEQAAEESKDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKDAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFSQSFQKTLLSDPRAAKLLDKTGSSGQQQENKGSHQRQSPATINTKSSSPRRLFF >A10p039210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21753902:21758173:-1 gene:A10p039210.1_BraROA transcript:A10p039210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF6 [Source:Projected from Arabidopsis thaliana (AT5G04240) UniProtKB/TrEMBL;Acc:A0A178UAR7] MGSSNVEIPNWLKAMPLAPVFRPTDTEFADPIAYISKIEKQASAFGICKIIPPIPKPSKKYVFCNLNKSLLRCPELASGVDVSKLGQEDRAVFTTRQQELGQARKKSRGGEGGDVKQVWQSGGVYTLEQFESKSKAFYKSQLGTVKEVSPVVVEALFWRAASEKPMYIEYANDVPGSAFGEPEGHFRHFRQRKRRGRGFYQRKNGESSLPVVSPSLSSQDPSKKKNMDVVVVDEMEGTAGWKLSNSSWNLQMIARSPGSVTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNYLHTGSPKTWYAVPGDYALDFEEVIRKNSYGSNLDQLAALTQLGEKTTLVSPEIIVSSDIPCCRLVQNPGEFVVTFPRSYHVGFSHGFNCGEAANFGTPQWLNVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRQGNISIFPLVPRSLLPGGRSSRLRDRQREEREFLVKKAFVEDILNENKNLSVLLGEPGSRLVMWDPDLLPRHSVLALAATGVATTPAVSSPAEAKSEIENKEENPSLLEELSLFMEKLKDVYYDDDDGQLNDFQIDSGTLPCVACGVLGFPFMSVVQPSENALKDLSERQGEIDALEDKAESSEKSNCEWNTSSRYIRPRIFCLEHTIELQRLLQSRGGLKFLVICHKDFQKFKAHAAIVAEEVKVPFRYDDVLLESASKEELSLIDLAIEGEENNEYGADWTSKLGINLRYCVKVRKNSPTNKIQHALSLGGLFSDSSHVLDMSTIKWLQRKSRSKAKPCSTTSGFTSRERLEVKAERRLGEKEEVESQGGRKEEKIIQYSRKKKLNPNANRSRHASAVDSEMNNEIGDSADLTSVTREHQGHSVALEPRTTNANSEEEEQSQVVLPTNREAVSEDIRHTEQQEEQTHSSAQVGLEVPETNIASEKIVVDMVRDDDPLASNGDEASSSGLQAADDENSMESEVASSGNTKVIVEAKKKRKIELESETNDYHPESSIGFIKSPCEGLRSRGKRKVTCEETSSNLNEASDEEKKHTAKRLKKTPNTGSTTTQHNLCYQEGCKMTFKSKADLAAHKRNRCTHEGCGKKFRAHKYLVLHQRVHNDERPLACPWKGCSMNFKWQWARTEHLRLHTGERPYKCKVEECGLSFRFVSDYSRHRRKTGHYVT >A09g508300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:23464146:23464361:1 gene:A09g508300.1_BraROA transcript:A09g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIDAERPRHVAPTSRSGLRERPQWVALRGRSRLRFVSSRHRDASDLGVSLWEVAPRGV >A06g503040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9768210:9768779:1 gene:A06g503040.1_BraROA transcript:A06g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFEEAELWFVANSPQRDSEPTPSVKTWIKPPCGTLKCNVGSSWVCARRNCGAAWILRDHQGRTVAHSRRSFSAINSAKEAHITALLWAVECMHDMRQHNITFETSTEDTREIMLSSSLCPSLLYTTSRITALLHKFEYWSLDHADDERNVAANMIAGSVTTGHRYQSYIASQGPAWLHSLLAREARG >A04p034000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19748324:19750174:-1 gene:A04p034000.1_BraROA transcript:A04p034000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEGMPFSSHWNSSTGPNAYTASGHSVERLHYNPGTSGPSHVPVMSSAAATFSAPHEHYVTSASSSYCNSQTWSLDSYVDLHMENVRGAQKRKTPCDSSVYEMGSSSHYHGGRTSSDIPFSSELDMGKSTTRDHGPHYMPWLMNPSYRSNNLSIRGESSSRNVRSRPTLDLETSVDRNIFSRSLDLDSHPTHHNVDHSSSGQFPGQTSHRNKDWNWNFPRHSPVPRDLNGFSPKTNNFLPTRSVANSTSVDTSWYHQGLIGNRNSTVSHGFPGTSTQSATSSRFSHRSTPTYRSSSNGLRLEHSASSSGDRSPFVTETYPSRHLRPPPHISWRSSDRPGRRRSSYERFQPPFDEVALHEPFSSQGFMAVDHQQHYGSRNMHDHHRELRLDIDDMTYEDLLDLVERIGSVNTGLSKGAISTCLLETIFYPLYQTDEERKCAICLEEYKEGEELGELKGCGHDYHGGCIKKWLSLKNSCPICKSPAFT >A04p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15754867:15757377:1 gene:A04p026040.1_BraROA transcript:A04p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase LSG1-1 [Source:Projected from Arabidopsis thaliana (AT2G27200) UniProtKB/Swiss-Prot;Acc:Q9SHS8] MGKNEKTSLGRALVKHHNHAIQETKEKGKNYKSQNKKVLESVTEVSDIDAIIEQAEEAERLYAIHHDSATPVPINMDAGSSSSGVTAKEWKEQRMREEALHASSLQVPRRPPWTPKMSVEQLDANEKQAFLSWRRKLVSLEENEKLVLTPFEKNLDIWRQLWRVLERSDLIVMVVDARDPLFYRCPDLEAYAREIDEHKETMLLVNKADLLPPYVREKWADYFTRNNILFVFWSAKAATATLEGKPLKDQWTTSPETSHNTDDPSVKVYGRDELLDRLKLEAQEIAKRRKASADSHQERVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRYEMIACGVLPIDRMTEHREAVKVVAERVPRDVIEGVYNISLPKPKSYESKLRPPLASELLRTYCLSRGYVAASGLPDETRAARQILKDYIDGKLPHFAMPPEDDENETGETGDGGSVSEVSEEARGLGLDQVLEDLSSFDLANGLGSSKKKQVESHKQHKKQPQRKN >A01g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7890179:7896160:-1 gene:A01g502260.1_BraROA transcript:A01g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFQDFPNFDNHDHSVFASHNHNGSSNNSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERFRRASVDRSLPNPLGRYVATELRPARSLRSDRARAKLGRYVDRPARSLRSDRAQPKLGRYVATESTSTEPARSLRSDRARAKARSLRCDRARAKARSLRSDRAIVPLGRYVATELKPKLATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNYSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNFPYLNGNRQCEFRFPQFGARRRGIRINLTRKSHTQSDMSTNDADNMQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEITSDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A05g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6536355:6537272:-1 gene:A05g501930.1_BraROA transcript:A05g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFGSSRISSSKAKEHLKVSSSFRVCCDGSRSAAIDVFILIVIIISYGFLIIPCSKLITVKAVFINLAARRLHKNGLGSVEVVYMRSSAAYQAMKSYINVQLAEETVAHRQARALERVWICNQDTAEIFSDAKYNIYDADKTYENDGPD >A03p003010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1342502:1344883:-1 gene:A03p003010.1_BraROA transcript:A03p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G06850) UniProtKB/Swiss-Prot;Acc:Q9FL59] MAKDGAKSQEDYKLKDMKPDLGEKWPHGGQRGGSGWISSERVASTYDLVEQMFYLYVRVVKAKDLPPNPVTSNCDPYVEVKIGNYKGKTKHFEKRTNPEWNQVFAFSKDKIQSSTVEVFVRDKEMVTRDDYIGKVVFDMHEIPTRVPPDSPLAPQWYRLEARRGEAKKRGEVMVAVWLGTQADEAFPDAWHSDASSVQGEGVQSVRAKVYVSPKLWYLRVNVIEAQDVEPSDRSQPPQAFVKVQVGNQILKTKLCPNKTTNPMWNEDLVFVAAEPFEEQFFLTVENKVSSAKDEVMGRLISPLNAFEKRLDHRAVHSKWYNLEKFGFGALEGDKRHELKFSSRIHLRVCLEGGYHVMDESTLYISDVKPTARQLWKKPIGILEVGILSAQGLSPMKTKDGKATTDPYCVAKYGQKWVRTRTIIESFSPKWNEQYMWEVYDPCTVITLGVFDNCHLGGSEKSNNGAKVDARIGKVRIRLSTLEADRIYTHSYPLLVLQTKGLKKMGEVQLAVRFTCLSLAHMMYLYGHPLLPKMHYLHPFTVNQLDSLRYQAMSIVAARLARAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIVSVFSSLIAMSKWLGDVCYWKNPLTTILFHVLFFILICYPELILPTAFLYMFLIGLWSFRFRPRHPPHMDTKISWAEAATADELDEEFDTFPTSKGQDVVKMRYDRLRSVAGRIQMVVGDIATQGERFQALLSWRDPRATCLFVITCLVAAMVLYVTPFKIVALAAGMYWMRHPKFRSKMPSAPSNFFRKLPSKADMML >A07p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23856472:23858464:1 gene:A07p043680.1_BraROA transcript:A07p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRNLIYLLLLLLGLAFSVDLSQSLKVPFSVNDVLPMLPRQVSWPVLNSFHSAVDLLPVFVGSVTPNNNASLEWKGACFKGNEARLDITRSDRDEPGLGGGLLHLKTSEAHSLTCMDLYVFATPYRITWDYYFSARVHTLSFGSWEETAELDYVKEHGVSVFLMPSGMLGTLLSLIDVLPLFSNTGWGQNANLAFLTKHMGATFEKRPQPWRSVINPEDVRSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDVGRLWVGESGHENEKGEEIIVVIPWDDWWELTLKDSSNPQVALLPLHPDVRAKFNNTAAWEYARSMLGKPYGYHNMIFSWIDTLADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEELDLYGILEETARRGMSFDELLTIPEQDEWVYSDGKSTTCVAFILAMYKAAGVFGPLADHIQILDAYTLRLFEDNQTRLPSWCNTEKGKLEFCQILGEFRMELPGYNTIDPYPNMNENCPSLPPDYERPSKC >A03g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7309405:7310073:-1 gene:A03g502270.1_BraROA transcript:A03g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSERSVELAVDDDNEVPRFVVFDREVSKKDANILALAEMRGDEGTEIPNCVELLSGKESFFTISAVTEISSLALSQRWSSSSSQAPVVDVQAGQATSSASNTVDAAKRAMGVDEAKLPSFEDEPNSPNAP >A02p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12871470:12873013:-1 gene:A02p024290.1_BraROA transcript:A02p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDEVIWQVIRHKHCSYMAKIETGIFCRNPYNVTGICNRSSCPLANSRYATIREHESVFYLYMKTIERAHMPKNLWERVKLPRNYEKALETIDKHLLYWPKLLQHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRRDKKRESRREEKAIKAAVLDKAIETELLERLKKGIYGDIYNYPELEWNKVLDEEKQVAEGVEEQEEEEPEIEYVEGYEELEEEEDMEDFSGFPSNGSGFDDDDELDSGDEEEDDDVEEQVVIHKKGKRDSRKADDVGKSKKKKRVVVEVEQEDGDVRQTLKTAH >A08g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9471791:9473141:-1 gene:A08g505650.1_BraROA transcript:A08g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQWLDPKESVYIYINSTETTRDYGKTVTMIQQPRVPSSGLMAASDVLIRAKEVCYSLFHTYASHLSIWLGISNLRLFEFLVNGLPARAEITYAANGRKLSKSVKSNEQPAGPVSSSSSTTATTTNYESSLSTHMISEKIKMYSHLKQFTFLDLKLVTSNFRPESLLGEGGFGCVFKGWVGENGTAPVKPSTGVTVAVKTLNLDGLQVIKSGLYDPL >A01g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5754227:5759103:-1 gene:A01g501500.1_BraROA transcript:A01g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLAFANSAAAQSAQPGPLTHLSAEDTRNVAHEAEPFYRRRDRHGRPFGDRVSTKAPLVQPLRNKITPRQGALYSEKGEHHREQQRHLSPPYTRHREHRRASRADNPQLYKQRMEPVVRHPRAPSATSRDTDVQATLPALPRGEGRPPAPKRRTTLSRHLNGSVSRKNNLSRTQRLSGTGNGLTTDIVSWVLWGIWKARNLLTFENRAIPAHKVMTVAISSAREWSSAQLANAPKTSTTAGLVMPPMLPRPLLTCNSDASWIQATKMAGLAWVLSDSRNDQVHTGQARSLSVSSPLMAEAL >A01p039840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14106671:14110345:-1 gene:A01p039840.1_BraROA transcript:A01p039840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDSTNLRPYTVGVGPLIRKEAIYDNILDLLPLRNNVILDKSLIGFSSQSQRGKMNYEGNGDSVTLATDDNNDKENYIARESSPFKSRDSHDLDKDSSRSRDKDKDKEKGRDKDRDREKSRDRDRQRDRHCRDRHRDRSRERSEKRERERDDLDDDDDDDDHYRSRHRHRSSRDRDRDREERHRRRSRSRSRSRSERRSRSEHRRRSQHRSRSRSRSRSKSKRVSGFDMAPPASAMLAATAVAAAAGQVPSVPATAGIPGMFPNMLAMVPGQQMGALPVLPMQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGVPVKVRRPTDYNPSLAAALGPSQPNPSLNLAAVGLSSGSTGGLEGPDRIFVGGLPYYFTEEQIRELLESFGPLRGFNLVKDRETGNSKGYAFCVFQDPSVTDIACAALNGIKMGDKTLTVRRAVQGVIQPKPEQEEVLLHAQQQIALQRLMLQPGGTPTKIVCLTQVVTADDLGDDEEYEDIMEDMRQEGGKFGNLVNVVIPRPNPDHDPTPGVGKVFLEYADVDGAAKARSGMNGRKFGGNQVVAVYYPENKFAQGDYEG >A02p036040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20561067:20564370:-1 gene:A02p036040.1_BraROA transcript:A02p036040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSAILILLVAMVIISCVTAMDMSVVSYDDNHHVTAGPGRRQSIFDAEAELIFDSWIVKHAKAYNSVAEKERRLTIFKDNLRFITNRNAENLGYRLGLTRFADLSLREYKEVCHGADPGPPKNHVIMTSSDRYKTSVGDVLPKSVDWRKKGAVTEIKYQGDCRSCWAFSTVGAVEGLNKIVTGELVTLSEQDLINCNKENNGCGGGKVETAYEFIVENGGLRTNSDYPYKAVNGVCDASLKANNKNVMIDGYENLLANDEHALRKAVAHQPVTAVIDSSSREFQLYESGVFDGTCGTNLNHGVVVVGYGTEKGRDYWIVRNSWGNTWGEAGYMKMARNTADPRGFCGIAMRASYPLRNSFSTDIRSMA >A09p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34928406:34929577:-1 gene:A09p042980.1_BraROA transcript:A09p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPESVSIKVHAKVIEVEGPRGKLVRDFKHLNLDFQLIKDAETGKRKLKIDSWFGSRKSSASIRTALSHVDNLITGVTRGFRYKMRFVYAHFPINASIGGDSKSIEIRNFLGEKKVRKVEMLDGVTIVRSEKVKDEIVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKSKIVEEE >A06p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12528975:12531468:-1 gene:A06p021910.1_BraROA transcript:A06p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 8 [Source:Projected from Arabidopsis thaliana (AT3G48150) UniProtKB/Swiss-Prot;Acc:Q9STS3] MEGERSSDLLDLQKSQGRGITEFSSIFFCPTPFNLSRAAASSAMVSKESCRNEIRAAIGQLSERCLHSAAKWAGEQLVGIEQDPSNFTPSNTRFQRGSSSIRRRFSTNESISTPQPSVGFSQAATPLPEEDDVIDGDLYHLAKSYFNCREYRRASHVLRDQMSKKSVFLRCYSLYLAGEKRKEEEMIELEGSLGKSDAINRELVSLERELSALKRIGAIDSFGLYLYGVVLKEKGNETLARASLVESVNSYPWNWNAWSELQSLCTSIEILNSLNLSNHWMKEFFLASAYQELRMHTESLAKYEYLQGIFSFSNYIQAQTAKAQYSLREFDQVEIMFEDLLRNDPYRVEDMDLYSNVLYAKEACAALSYLAHKVFLTDKYRPESCCIIGNYYSLKGQHEKAVMYFRRALKLNKKYLSAWTLMGHEYVEMKNTPAAIDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALHYFRKSIFFLPNDSRLWIAMAKCYQTEQIYMLEEAIKCYKRAVSCTDTEGIALNQLAKLHQKLGRDEEAAVYFEKDVERMDSEGLEGPNMLEALVFLATHFKTHKKFEEAEVYCTRILDYSGPEKEKAKSLLRGIRMEKTGFPSMDMEHFPL >A06p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3825788:3828932:1 gene:A06p010580.1_BraROA transcript:A06p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYGMGGVATDFVVEKLCSCFSLEVHHICRLDKNLETLVKDMKILEAKRDDVLRFVRSEEDRGLQRLSGVDVWLMAVGNIENEAREMLMACTSELQGLSLCDGCSRKLMARFRFGKEVFSMLEDVEELTGRKLTEDINALAVPPVRKVVRERDLEQNIVGQETLLESAWSRLMDEGTKVMGLYGMGGVGKTTLLDQLRNNFCGANDGSDIVIWVVVSKVKQKEKIQDEIAEKLGIFEQESWKHKTEAQKAYSIHNSLKTKRFVLFLDDIWSKVELKGIGVPFPTRENKCKIVFTTRSRGVCVHMGDTNPVEVSCLDTDKAWELFKVKVGESILGSHIGIPELARKVAGKCHGLPLALNVIGETMSSKDTIQEWRHAVKTLTSNAAKFSGMDEILPVLKYSYDSLKEEHAKPCFLYCSLFPEDFEIRKDMLIEYWIGEGFIQENQSRENAFDEGYSIISSLLRACLLLEEPVYKVKVKMHDVVREMALWVASDLGEHEGRYTVQAGVGLREIPEVESWGPVRKMSLMNNEIQELSGSPCCPELTTLLLQENKLITISSKFFRRMPRLLVLDLSKTDLFNGLPDKMSLVALRYLNLSGTRIKRLPVGLQESRMLIYLDLEFTKSLDNVSGMISKFSRLRRLGLLRSKMKLDMSLLEELQLLKYLQVVTIGINSCLVAEKLSNYDRVVKCIKKLDYSDLRDESFRVLTLPTMVNLCDLRIEKCGMTEIKTEGAATSSCNRSPTCPSFLNLSTVLIIDCNGLKDLTWLLFAPKLTYLRVSRSTQMEYIISQEKATNGVTEKEAGSIVPFASLKYFNLDSVPMLKSIYWSSLPFPCLKGIRVRDCPNLRKLPLDSKSVATVEGFVIEFTESYWKETIEWEDEATKLRFQASWKRY >A10p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16701537:16709102:1 gene:A10p026270.1_BraROA transcript:A10p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKKPKVEQGEEANTLSTQIPDDKEGLIDFMDQRAKSIEALKDQLSNLERKLGEERRLMADAEAKFLRVDRVENKKNVPGKTGSLLGIAEFWTERDNNVKKTANNGTSTPHPRGEKIEYESSTPQTHTEIKPLKMPSIILPPSFKRKASAPARPEANETAQHVATNDSNVPKEVRNGSEAKRSRTVVPNEVVREKFHGHEELIALIGRSSLRATIESRTLAMLPSGHTKRMRSLALSPSNRDLFATSALDGVVHFWKLQSDRSSATLFKTVNRVEVDQKRWAEDIAWHPHKSALFSVYTADEGHAQISALYLNEARETCESKFLKDRPHSKGLINRIMFTPWDDPCFITGGCDHAVVLWREQCESNAWKSRLLHKDLHTSAVMGVAGMRHNNLVLSCGDDRRFIGFDAREEKVTFKHRLDNKCTNLLPNPRDVNLVMLQTRQLDRQLRLYDVRLPQTELFSFGWKQESSESQSALINQSWSPDGLHISSGSSDPAIHIFDIRYNAASPSLSIKAHKKRVFKAEWHSSNQLLVSISSDLEIGIHKLCMKEETAQRPYMICSPKTQFQLLWQEPVAIKSTVFGSPQNESYIIFRLRLRLFSPGETTRSQPSPWACLPSSVPVSSMASPEEMVDDASETPSPPKSTYKDPDDGRQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIEYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNPNFRSAMAHPANKELAHRQQFYYWKNYRNNRLKHILPRPLPEPVAPQPPAVPSSSLPPAPSATAAPSPSPMQYNNMLAKNETRNMVSAGIDRRKRKHHGILHMLSCVFLERETCLTQVTKIDGNSLLIPWHRTVVGSCNTTLNQYQSLSFVSLGIKIDDIEPLGFIVNGSYEIDSVYNVPNYSLGSCSRLIIAMNMNNDQSKLMNPPPPQQRMNPPPPPLQVMNPVQPRIMNQAPPMLNQSQSLNHPIMVMNQQQPQAMLNNNNQPLMMNPRNYNLSSEYHNQPNNFPSKMNRNNNWKGKKIVNDKRPPNPMMRMRNNNSAIPIYNNPGGGGSGGYKPPALNELQSQNRLKTRKFYPKKKYGANSNRHVPYAPRNTTSFIIRAKKSGGIAELVSPSPVTPAVLPTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSDGHDLEPYDDEDEDEGGSSESDVEEHVEVERRLDHDLSRFEMIYPSYGGGSEYNNVLENRVDDQDSHIAQLEEENLTLKERLFLMERELGDLRRRLQYLERRNMVAEDVNEEVVENESESEGDDTGGSDARTSGDTKENRVVAEDVEAKETQHTIREVSGEQCEEANLVVVGKDQSKGNEMAAEKVEDASGNDSIGEQGTTI >A07p000320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:749472:750918:-1 gene:A07p000320.1_BraROA transcript:A07p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINIRDSTMVRPAAETPSTYLWNSNVDLVIPRFHTPSVYFYRPTGASNFFEPGVMKEALAKALVPFYPMAGRLKRDDDGRIEIDCNAAGVLFVVADTPSLIDDFGDFAPTLDLRQLIPDVDHSPGIHSFPLLVLQVTYFKCGGASLGVGMQHHAADGFSGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPAFDHVEYQPAPSMKIPLDPSPDNTTVSIFKLSRDQLVSLKAKAKEDGNTVSYSSYEMLAGHVWRSVGKARGLPDDQETKLYIATDGRSRLRPQLPPGYFGNVIFTATPLAVAGDLLSKPTWYAAGLIHDVLARMDDNYLRSALDYLEMQPDLSALVRGAHTYKCPNLGITSWVRLPIYDADFGWGRPIFMGPGGIPYEGLSFVLPSPTNDGSLSVAIALQTEHMKLFEKYLYEI >A02g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18446979:18449045:1 gene:A02g506610.1_BraROA transcript:A02g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVSVATGAFSRRSLRRRVESAIRRCAFVFFISHLIRSKLISAMANVLALLSDLQTGRSSSTVEVRLLRFWEARNVRRGGELMGVDMLLIDSQSTMMPATVNVNRLTSHRPNLEEGGSVYYLTGFEVTRCNQNYRLSDSSLLIRFSDSTSFMKVTEPAEPIPLESFRFRNHSEMLGLANSNNQLPGNAPFTISYTSVIGYLIVSFTDLIGEITGVKSTVSDPPQDKNRVMPTIKMENGTSVTRKRYSSFGETPSIVSVNDDFDMVYVSCFGEDCMRLLSNFIQHFFLGIIIMARQSLLLANLNAGRCSSTVDVQLLRFWEARNTVAVAS >A05p050930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29643406:29646332:1 gene:A05p050930.1_BraROA transcript:A05p050930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSDHPNPTTSTPGTTPEPDPKTTRSSKPGLKRLLITTTVLFSFLSGLPFLWKSVEIYRSQLPFHDIDSLSDQIKSTPLRFPCTFHAVFIGFGSNDPDQLRSEIQDGVNQLTGENSQCGSCNVSISVTVQSPDELCSGTFPSTCSYRCGVIKKDSFGRGLDDEVDESLDDVFGGCSGGIGKMYSVVVVNREKGSDGGDEVRAVVGKRRHAWIVGSGLEERFGDVVGRVSEVFVKVFMNGGREDDSIQGEFMPVGSDGRLVLSFSLLNSNPRDWIYDWDFQRIDEALLAPVTKALAPIADISVESQVLYHTPKSSFSSWDEKLQSYIFRTSDLPFFVNSNEWHLDTSAGASGRSKILQFVVYIPSGKECPLHLQLPNGEISKTNGFISPMWGGVIVWNPRNCDKDSESPSRNTISPQDLEKIVEVFLGQFRQLFGFKSEATYTSGFGSYKILPSERGFTEWELDVLSRKHTCFNLHSCATTLGSLSRLVQSLPRMIIKDEIGEQVKYSLKAAKLAQSNASLGGYSSSASSSREARSLAENAFFHPSIMSVSYFSYEHCFAVYSPFFLPVAGHVVLAALREWKRYKQEKAKYLTWLTRKKTA >A01p024440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12097033:12099053:1 gene:A01p024440.1_BraROA transcript:A01p024440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVRHMIVKAMEDRYPKWGEDKPPDDLDNMIVDILNNQLNDKFWDVVPLTKCQKRKTQVSAPSVPERVDTSPSTKRRKEKETAPEMEESHTDMPIINNIIQKLVEAVDNLSGRVETMDVSVAERVIKTLEASVQAQVEARMALFETEMKNKMAILEEDMNVLKGKDEEKVTSNAGNFKAHEDDDACSNTMLNDQSISTEGWENHLKWQKSVKCRVALEALASSLEEPTRKRKTKLTKTQVFPYVGNSTVKRIVSGKTVSKESYDPLDKVAPEKLKKMVDPKLRTKPDKQFTYRRYKKIPQNDDPGDCGLYTLKYIECLALGYNFVGLSDQIIPAMRLKMAAEIYDEVAMED >A04p016890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10391108:10393622:1 gene:A04p016890.1_BraROA transcript:A04p016890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g39710 [Source:Projected from Arabidopsis thaliana (AT5G39710) UniProtKB/Swiss-Prot;Acc:Q9FIX3] MIISKTLIRRSLSSLASPPSNSLLVDKALTFLRRHPYQLQHISADFTPEAASILLLKSQNDQALILKFLNWANPHHFFTLRCKCITLHILTNFKLYKTAQTLAEDVAAKTQDASLVFRSLQETYALCDSTSSVFDLVVKSYSRLNLIDKALSVIHLAKGHGFMPGVLSYNAVLDATIRTKRGITFAENVFKEMLETQVSPNVFTYNILIRGFCSAGNLDAALQFFDKMEKKGCLPNVVTYNTLIDGYCKLHKIDDGFELLRAMALKGLEPNLISYNVVINGLCREGRMKETSLVLTEMNRRGFSLDEVTYNTLIKGYCKEGNFHQALVMHAEMLRHGLSPSVITYTSLIHSMCKAGNMNRAVEFLDQMRVRGLCPNERTYTTLVDGFSQKGCMNEAYRVLKEMVDHGFRPSIVTYNALINGHCVAGKMEDARAVLEDMKEKGLAPDVVSYSTLLSGFCRSYDVHEAVRVKKEMVGKGIQPDTITYSSLIQGFCEQRRTKEACDLFDEMLRVGLTPDEFTYTALINAHCAEGGLEKALNLHNEMVEKGLLPDVVTYSVLINGLNKQARTREAKRLLLKLFYDESVPSDVTYQTLIENCGNIEFKSVVSLIKGFCMKGMMNEADRVFDSMIEKNHKPDGTAYNVMIHGHCRGGDVRKAYRLYKEMVSCGFLVHTVTAIALVKAFHKEGMVDELSSVIDNVLRSCELSEAEQAKVLVEINHREGNMDVVLDVLAEMAKDGTASLSTG >A08p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14292154:14294483:1 gene:A08p021280.1_BraROA transcript:A08p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVSLHYLINTFISKPQGFCSGTVSAPRPRSSFVRERQNSIVKPIKVASLETQPFPLFQSPASEESSLSELEPADPDFYKIGYVRRVRAYGVEFKEGPDGFGVYASKDIEPRRRARVIMEIPHELMITIRQKHPWMFFPDIVPIGHPIFDIINSTDPEKDWDLRLACLLLFSFDREDHFWRLYGDFLPAADECSSLLLATEEDLAELQNPDLVSTIRQQHKRVLEFWEKNWHSDVPLKIKRLAEDAERFIWAVSIAQTRCISMKTRVGALVQDLNMMIPYAGKYMLNHSFEPNCFLHWRPKDRILEVMSNAGQAIKKGEEMTINYMPGQNNNVLMERYGFSTPVNPWDALPFSGDSRIHLNSFLSVFNIFGLPEDYYHDSELSGDDSFVDGAVIAAARTLPTWSDIDLPPIPSAERKAVKELQDECKKMLAEYPTTSEQDQKLLDSMLEARTTFATAVKYRMHRKMFIGKIIKALDIYQERLLF >A08p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1552951:1555508:1 gene:A08p002810.1_BraROA transcript:A08p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK15 [Source:Projected from Arabidopsis thaliana (AT1G52290) UniProtKB/Swiss-Prot;Acc:Q9C821] MSSNNTIPSAANPPDPVFPFTQPANTTTSSPSPPSPIEPSSTPPFPAARASDRGLAPGQWNQSTTSSDNVFTYQDLAKATDNFSSTNLIGQGGFGYVHKGVLTDGTEVAIKQLKAGSGQGEREFQAEIQTISRVHHRHLVSLLGYCITGSQRLLVYEFVPNKTLEFHLHEKGRPVMEWGKRMKIALGAAKGLSYLHEDCNPKTIHRDVKAANILIDDSYEAKLADFGLARSSLDTDTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVVLLELITGRRPVDKSQPFVDDDSIVDWAKPLMIQALNDSNFYGLVDPRLEGNFDISEMTRVVACAAASVRHSAKRRPKMSQIVRAFEGNISLDDLTEGVTPGHSTIYSLDGSSDYSSTQYKEDLKKFKKMALESQTFGSSECSGLTTSDNGQNPSGSSSITEGLRTTQEIEPEKKTDETTS >A08p045020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24749969:24758303:-1 gene:A08p045020.1_BraROA transcript:A08p045020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 5 [Source:Projected from Arabidopsis thaliana (AT1G05820) UniProtKB/Swiss-Prot;Acc:Q9MA44] MWISRLKRVRKTIIVLGIANSAIIVSGGVLTLVSNSNCDSAAQLFPLYAVCVAACVKLAVMVKVGSTQELMAMTIMDSPTHYSLERKMKYKTWLWWTRFAMVITLLQFIGASYLMFRVSTFVSPDGLPRHCVLGLSSDTRGWKQTLQVAFLITVCFVALAQCFTGSDILQWRSFYATQDDAWKAHYQEVGVIEEDEVCSVARLLGDLVSYRASGTGHLEFLAGLALLQNNSQFPESHEDCIEAPAFHLQEAATLHKFAEAAYTGPLLDVGRNPALFLCTWICRQGILTPWSRKWRPKLDGDNWWRGHAAAFLKFIDFPAHVLRRGRICSEKCKATYFVVVLHYLRCVVIAVRGTETAEDLITDGLGRACSLTAEDLEGLTNNIHVMDSSRTHYGHSGIVEAARDLFMQIEGDPKFGGKSSENIVTTQGFSKLIIQMECAESESTGFLSSLIGDGCECDGYSIRIVGHSLGGAIASLLGVRLRCRFPNLYVYAYGPLPCVDSDVAEACSEFVTSIVLDNEFSSRLSYGSIRRLQVAALKVLSQDPKADTALIFRLARRFLSASKRQRQNVVQGEEAIPSSIITVEDSAEAEVRQHDEEFINPFHELVASTDNPVTQFMETVPTRGGEEDDEAPEMFLPGLVIHIVPEVNNMSVPIWRGWPICDVTSGGYKAYVAKRERFKELMVSPSMFLDHLPWRCRHAMHKVLESRNLFSDLTSEPDIVGGDVTCRIHAAICYVLRLVKLLLRVAMSLPPFSCRILAAAVAFYLTGLLCLGAGEAPSKDAAAPKIPGCSNEYQMVKVENWVNGENGEDFSGMTAQFGAVLPFDKDKAVRLPVVLTTPLNSCANLTSKLSGSIALSVRGECTFTAKAKVAQAGGAAALVLINDKEELDEMACTEGEAPLTLTIPVLMITTSSGDALKKSLMANKKVELLLYAPKSPVVDYAVAFLWLMSVGTVFIASVWSHCTGPKENDDEYNELSPKKSSVDGATKDATKDDDETLDISATGAVIFVISASTFLVLLFFFMSSWFILILTVFFCIGGMQGMHNIIYTLITMRCNKCDRKTVKVPLFGNVTILSLMVLLFCFVVAVVWFINRKTSYAWAGQDIFGICLMINVLQVARLPNIRVATILLCCAFFYDIFWVFLSPLIFKQSVMIAVARGSKDTGESIPMLLRFPRLSDPWGGYNMIGFGDILFPGLLICFIFRYDRENNKGVVKGYFPWLMFGYGLGLFLTYLGLYLMNGHGQPALLYLVPCTLGITVILGLVRKELRDLWNYGTQEPPAPDVNPSPGA >A06p044860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24073199:24074713:1 gene:A06p044860.1_BraROA transcript:A06p044860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-cysteine desulfhydrase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26600) UniProtKB/Swiss-Prot;Acc:Q3E6S9] MASLSPPEETAAAAASFHHRRSKRYSSSTTNGVEPVPDKRHKISPPPSFISPSEIESEFSHHDPAFARINNGSFGSCPSSVIAAQRDWQLRFLRQPDRFFFDELKPNISASRAAIKRLINAEHEDEVSIVDNATTAAAIVLQQTAWAFREGRFDRGDAVVMLHYAFGSVKKSVEAYVSRSGGEVIEVQLPFPVNSAEEIVNRFRTGLALGKANGRKVRLALIDHVTSMPSVVIPIKELVKICREEGVDQVFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFAPPSVAFLYCKRSGGDAGDNLHHPVVSHEYGNGLAVESTWVGTRDYSAQLVVPSILEFVNRFEGGIDGIKRRNHESVVEMGEMLVKSWGTQLGCPPEMCASMVMVGLPVSLGVSSETDAVRLRNLLRERFSIEIPTYFRPPGQGEGEIDSITGYVRISYQVYNKPEEYHRLKDAVNELVRDGFKCSETSYFEGQ >A04p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9513586:9514396:-1 gene:A04p015280.1_BraROA transcript:A04p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSSAAVVTSPAQATMVAPFTGLKSSAAFPVTRKANNDITSIASNGGRVSCMKVWPPVGKKKFETLSYLPDLTEVELGKEVDYLLRNKWIPCVEFELEHGFVYREHGSTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKTEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTGA >A09g510250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30455714:30458534:1 gene:A09g510250.1_BraROA transcript:A09g510250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHQHTQDVRGCLWLSVSTHKTSVCVCQHTQDVRGCRSAPTGRSCVSVSIHRTSVPVRVCPSAHTGRLWLSISTHISPLVLGLCTLALPVDCLSDFGPRGLSVQYTQDVRGCPPAHTGRPWRSVCVRQHTQDVRGCPSVYISARWSLDSARWPFPWTVWVILAHVGCLFSTHRLSVQYTQDVCWCPTHMRSVAVHQYTYQHVGPLTPHADPSCGLFGTSVAVHVCPSVSVSRHRTSVAVPQYTYQHVGPWTQHAGPSRGLFGTSVAVCGCPSAHTGRPWVSVCVCQHTQDVCGCPSAHTGRPCVSVSIHRTSVAVRVCPSAHTGRSLLSISTHISTLVLGLRTLALPVDCLGDFGPRGLSAQYTQDVRGCPPAHTGRPWLSLSGRVCPSAHTGRPWLSISTHIKHGWTSVRLPQHPQDVVGLSQAHTGTFVAAISNTYPALGPLTRTIDPLLTVRWLWPLGCILVTRPSRVSARQRTGSSGFISDTYQHCWSFGLCTLALPVDCLVICGPSGYLSVHTSPSVGVASHTKEGPWFVCGCPSSTHRPSAVWLLCCVWSVSTHRPFVAVHQYTYQNTWSCATLHAGHSRRDCLVDFGPPWGYLLQYTTGCPWVSQPTPHDVLVAVCVSVSTHRTPTWAVCSVNTGRPWVSASTHRTSVAVRVCPSSHTGHPWLSLSTHIRTLVLGLSTLALPVDCSGDLGPRGLSVQYTQDVCGCPSAHSGRPCVSVSTHRTSRDVRVYPCVSVSTHRTSVAVHLYTYQHVGPWTQHAYPSRGLFG >A03g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28100968:28102354:1 gene:A03g507970.1_BraROA transcript:A03g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSILLADLKVYNHRRGLSLEFWAMARFNIGRFLTPPRQEQIISCIWELANQQIYFSDLKMVLREDCVAELNNYIDPLPENLDGKLLTRILEVDEHRPNIDLKGEYLLELPRMGNLEKVMELIRCCKGVIEK >A04p036600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21011686:21012328:1 gene:A04p036600.1_BraROA transcript:A04p036600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRKWRIAVGLSRILTWKLRNLVIGYTETEKIDELVFTELRAQKAEDLEILIWKVKTRTLEHLMLGLVVGTLQNPNQLESLEKLKQIEKCS >A01p011750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5694793:5698167:-1 gene:A01p011750.1_BraROA transcript:A01p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKEKKDLISRDETINKIRELLGKDGVPSRTVLVGEAGIGKTWLAKQVSKRVTQAASSSYSVLWLHLNKKIGDYKTLYENIAAQLSLFFEYEEGASQTQVETKVDDLVETLKKNISNEIIKTKKKNLLLILDDEGSMTTEEHVMEELNLRQFLEDVVKDTTLKILVTRRGEIQKKESKTTIKVEPLTEKESQELLSDSQSLLKSYTIIEDWPVLLKSLCDSGEIKEPTLMSCIARKSQGLPAAIVVLTKTLNSIKTLSAKQRNIFKELILSPKSLEAAAASKNAVDRSRYNPVLRLSYELLKPEEKIERPVIIACFWHVLDFYKYSGCAYYRDLIVHWMLEGYFDPVKSVEKAYQEGHSILMEFMNRGILKMQEDNTVVPEVSMRILLDLEDCGFFGRSCLGFNRVYGGDKAKGLGRTILIDDMIRTIQSKEDKITTLIVSGNRLRREVPNKFFERKEMQDLEVVVLFDPTFQDLVQSLSKLKKLRVLVIRDCDLIKNIDELKDLEKLQVLEVSGASYLDKISDDLFKNITNLQSLNLSGLSIESSPSSIENLSMLRCFILRDCPNLQDLPNFNVATKELEVIDIRGARALKSYFDRVKDWKDYKGKNKNFAHLRKLEHLDFSGTQIIRLPIFHLKDSTNDFRTMPALTRLLVRNCTELKRLPQLKPLTKLQLLDVSGAKKLVEMLEVCLEEKDELRILNISETALPELADTINDDVHLNQLLVRNCSAMKELPNIEKLTHLEVFDVSGCDKLGKIDGTFEKMSYLHKVNLSGTDLRELPKGMSELANIKELVIRNCSKIQALPNLEKMIHLEILDVSGCGELKKIEGSFENMSYLCEVNLSETKVKKFPELPKQSILCSSKRIVLADSKFLKDDDWGKIKEKLENDPEGASFPGLADKTGEEREKIVHQGDRYRVLDPEVPSGVQIFDTNRPVDDDMSKEEYVSVSDNEMENVSLILDKFKMSSVKGCWVERCKKMENLFVSSLEILWISNLPLLKRVDTGGNGGSAVFKNLKKLSIDCCRNIETIFQGASQVPENLEVLRVKFCDQLKKLFDVEEAGELPNLRKLYLLDLPVLSSDGVKFRSLEKYTKDKCPRFTATLEELKMGTKKTEEASSSKEDQPHK >A03p006770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2831440:2831655:-1 gene:A03p006770.1_BraROA transcript:A03p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLIRFAFVCIVLAVLVMTAESHAGHHHGPAKAPAMAPGAPAPSAATFSAYPQLIATALVGALSFVF >A03p053140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22810608:22815406:-1 gene:A03p053140.1_BraROA transcript:A03p053140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSDSRSSSFSSLLLVAFLAVLSGLVPRTESAQQPFRREPGHAHWHHSAFLDVRESVRSDVRRMLHSRAEVPFQVPLEVNVVLVGMNGDGGYRYDVDPHKLEEFLKVSFSTHRPSCQETGEPLDIEHRLVYNVFPVGQPELIALEKTVKEAMMPAGTALEVDFGRLLPAYDVEATKVESAFNRLYSYIFDMDVGAGSAGTADKPIPSAIFVVNFDKVRMDPRNTEIDLDSLMFAKLPELSDADKEKQEADYIYRYRYNGGGASQVWLGSGRYVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRNIVLPGNVSPVGHQSTHDIFSGQLASLVATTIEHVIAPDVRFETVDLATRVLVPIIVLQNHNRYNIMERGQNYSINIEEIESEVKKMIHEGQEVVIVGGAHPLHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMERSTDVLAAGLLDVSDPGLSNKYFLRQSWEDESEGSSDSIIKHKPLWSSYSSKLQKGKKKKAVKKKGDLYRAYGTRVIPVFILSLADVDPKLMMEDESLVWASSDVVIVLQHLNEKIPLSYVSETERQHAVPSQVQRHILAGIASALGGVSAPYEKTSHAHERPVTNWLWAAGCHPFGPFSNVSQMSQMLQDVALRNTIYARVDSALHKIRETSEAVQNFASEYLKTPLGEPVKDKKNKTRTELWVEKFYKKTTTLPEPFPHELVERLEKYLDTVEEQLVDLSSLLYDHKLYDAHLNSSEILQTTMFTQQYVEHVLETERENMRCCKIEYKYTVGVKSYQTLVYGGILIAGFLVYFIVIFFSSPPSR >A06g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15568891:15570977:1 gene:A06g505260.1_BraROA transcript:A06g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGCMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQHAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4923318:4925853:1 gene:A08p008290.1_BraROA transcript:A08p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G43190) UniProtKB/Swiss-Prot;Acc:Q6ICX4] MAESSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVSSAITALQFFTNVQPTIRGRNVYIQFSSHQELTTAEQNIHGREDEPNRILLVTVHHMLYPITVDVLHQVFSPYGFVEKIVTFQKSAGFQALIQYQAQPCAASARTSLQGRNIYDGCCQLDIQFSNLEELQVNYNNDRSRDYTNPNLPSEQKGRLPHPGYGDAGVAYPQMANTSAIAAAFGGGLPPGITGTNDRCTILVSNLNTDSVDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNYSKHPNITPGTDSHDYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDVTEEEVVNHVQEHGAILNTKVFEMNGKKQALVQFENEEEAAEALVCKHATSLGGSIIRISFSQLQTI >A01p042070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22671542:22672423:1 gene:A01p042070.1_BraROA transcript:A01p042070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCTKLGVLQNRNVPMLASLRYMSTKLFVGGLSPGTDDHSLKDTFSTFNGVTEARVMTNKVTGRSRGYGFVNFISEDSAKSAISAMDGQELNGFNIHVNVAKEWPSLPLSLDQGAIEDEKKNNKMVSRSVWKDPFVDAFLMKKKNAALNRKIWSRRSTILPEYVDSSVRIYNGKTHVRCKITEGKVGHKFGEFAFTRKVTKHPRAK >A06g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3614200:3614835:-1 gene:A06g500910.1_BraROA transcript:A06g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSEDETLFGNNDDSDYSDTEDLIRRDQAELSLERCSPVHYPPQPEVEFGFPQVCYCGAQPVLATSNTRNDQADYDTEEKLRKLEKIVGDMAKEKSCMIKGFEWFVIGIVVLVVVICMVVMLV >A10g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5800021:5802622:1 gene:A10g502190.1_BraROA transcript:A10g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLSPSPFSFRWISSSLLAVSPPRASRAAGGGGGGRPRGGGGDRPSVVMVVAVWCCGGNHISSLSCLLVPDLSRSRSRLRWSVSNPSLSHETLAVKLLSSFSLFFSHASLSPSPFSFRWISSSLLAASPPRASRAAGGGRPRGGGGDRPSVVMVVVVWCCGGDQISSLSCLLVPDLFKSHLLCFLFQIQIQI >A03p044560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18600370:18603146:-1 gene:A03p044560.1_BraROA transcript:A03p044560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESPVRHRQSPRRRSPSRSPSPRTKRLRRAQGERSREREDSRGERERRREGDKDRTRRDVADVEVGDKRRRGGSGREETEERKRAGADDERQTRGRRQRSASPLDRSSRKSRRSPERAPASRHDEGSNARGSGEEPNDEDDSIAKMKAAEEALAAKKKEEPSFELSGKLAEETNRYRGIAILFNEPPEARKPNKRWRLYVFKDSEPLDEPLQLHRQSCYLFGRERRIADIPTDHPSCSKQHAVIQYREVTVEKPDGMVEKQVKPYLMDLGSTNKTYINKDPIEPQRYYELREKDTIKFGNSSREYVLLHEGSAE >A02p001880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:795616:796691:-1 gene:A02p001880.1_BraROA transcript:A02p001880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRKRVQAFRRAADGSAFDKCEECGVMIAIALFDMHECGEKRREPKRFKCVSSDSKPIGSFEDEPRSPFVFFLEDFRKSYDGNMVDASRICFIVWKNMSREDQKPFIARAEEVDLAHNLKLKEEAQSIHKADDEADSKAATKFDKLCDCCDHEGEDYDSSDHFEHEFWEE >A06g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13693927:13694370:-1 gene:A06g504340.1_BraROA transcript:A06g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILEDFSRNLQEVFRSLPTKVISYKTLGKLLKHFLGIFLMYFMLQDFSRNLREVFRSLLPKVVQRNDVKWSPSLSMLRNDI >A02p019910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9262349:9263115:-1 gene:A02p019910.1_BraROA transcript:A02p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDVVPDGSISFFDENDQLQTVSVHSLAAGKKVILFGVPGAFTPTCSMKHVPGFIEKAEELKSKGVDEIICFSVNDPFVMKAWGKTYPENKHVKFVADGSGEYTKLLGLELDLKDKGLGVRSRRFALLIDNLKVTVANVESGGEFTVSSADDILKAL >A01p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13632375:13635037:-1 gene:A01p030890.1_BraROA transcript:A01p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTPLVTGERVVVAVVVSRVILSLPLSLISHGFSLFLLCLSAFLVEIRAETSPFLLSRFRPRRGASSGILLGAVTLPSVMLSKLVQLTRAISLHEAGQDELSHVTMQYWAASASCCAILIYLSVIMSQAKRTESLSSSLWLTRVSLTGTVFYGVACFVSLSMISHTGLNTSLKMLWMLFHGLAAVKLIRHLLCTFPSCASIGEALLVTSGLVLYFGDFLACTIAKICENLIPVDLVSISYGIKRTETGIIVQVGLLLGLLLFPMVFRCVVHIYETFLRKRDGGQRNCSDAAKSILFFVSLVFFMALAVPSWMQFVHDFHQHPFLWVLTFVFSEPLKRISLCIYWVLLIVVSVSRFYSISRSSKVERILLRKYYHLMAVLMFLPALVLQPKFLDLAFGAALAVFVALEIIRIWRVQPLGEPLHHFMNAFTDHRDSELLIVSHFSLLLGCALPIWMSSGFNDRALSPFSGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSVMAVCFVLVPVLASMGYILSQGWWSLLLAVTATGMLEAYTAQLDNAFIPLVFYSLLCL >A09g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13844474:13846086:-1 gene:A09g504510.1_BraROA transcript:A09g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFIIVLDKSELQNYAKMSLSASPVPQTGVPSVYHSTFESIRLGSSSSQNTVFGLLRFWNSLNFKKDKEFMGIKVLFLDEKVHSVIYGFIPARRTNHYMSSLKACSIVKVDRFEVARCSGMYKITDHPFLIRFISPTIIDEVIAGAPQTISSHKKQTQPHREIQETIPTYTKATTTSPTAHSSGLMKIAYMPSLNRSMLLSSYEKYIYSLKTH >A03p031230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13138114:13141002:-1 gene:A03p031230.1_BraROA transcript:A03p031230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKILLLMFFVNSRFCSAADPYSFYNFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNENLVVNVRNKLDEGLLLHWSGIQQRRVSWQDGLAGTNCPIPPKWNWTYEFQVKDQIGSFFYFPSLHFQRASGGFGSFVVNPRSVIPVPFSTPHGDITITIGDWYTRNHTALRKALDDGKDLGMPDGVLINGKGPYQYNKTLVPDGIDFETITVHPGRTYRLRVSNVGISTSLNFRIQGHNLVLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARMVNETIWRRVTGVGILHYTNSKGKATGHLPPGPQDEFDKTFSMNQARSIRWNVSASGARPNPQGSFKYGSINVTEVYVLRNMPPVKINGKRRTTLSGISFVNPSTPIRLADKHKVKGVYKLDFPKRPLTGPPRRETSIINGTYRGFMEVILQNNDTKMQSYHMSGYAFFAVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWSAILISLDNPGAWNLRTENLDSWYLGQETYVRVVNPDENNKTEFGAPANVLYCGALSKLQKPQKISSSATRSIEFTKVSMVVMALVMMLLL >A09p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:472883:477091:-1 gene:A09p000710.1_BraROA transcript:A09p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENAAASAICSICYEDLKPAAENLQSISACGHVFHELCLQQWFEYCPNTNKRNCPICKQKCYLKDPFRLYFQSSGNQIESQKVGGIEEDPVVLRGEVKRLQGKMQNLTSALEEQKKVNVEVSDQLHQCKEKLKEDKVKRVEAMQEVSTNQRLLKLKSEECVQLTSKCTQLQDRTMALAKELASLKLVSDISLEEDDVLKLALLGNNAKTKDTIDTLVKSLVIRNRSYKELLAKCNQLGRGEARSSEKLEKALEKTDKLKKRVRELEMITEENENKAIRDMLKASKNCSYTDVSKPATESLDRISTPLGKLEKNDGFTNRGSCFDGREDFFLGKRESVIVVDDDVHEATLSGIRHSDSTMKGEKGEDSNVQDKRDDPVIKDIKFNIREGSTPSDSPCSNGAGGSWLSSVENQNLRRWSKQGDRNKSPHSLGGSVSGKDDLISVGPDGKGGRIKVLRSKPQFSNANASSGSGKRLRTGTKTSDESPPLKKAMAFSSLPKDVAWQILARVPKRLYPIVACFSKNLRSLVRSPEIHRIRSLLRKDSLYISFMHTDKTNGVRTPHWFTLRRTDETNPSHENQFISVNLVFPDHEEIMPPSVVSYGPELFFISGSLIPSSALWIFDSRTSQFRQGQSMNADHFVKSVGLVGSKIYVVGGYVNGESHQAESFDLKTQTWETAPRPNPERLFHATADVSLDRKVCALLSLGAIVVCYDPSRDGTCTSFVLPKDKWWKTGVCVIDNVLYVYYARFGLMWYDTELNVWRVVNGLDDMKKVRSVAMAEYYGKMAFLWREYGVVGGVRKEIWCRMIALDRSEEGINGIAESGQLMGSVPRGYTWQHCLSVSE >A01g500920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3575731:3575913:1 gene:A01g500920.1_BraROA transcript:A01g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYIVAQKRTCTSAWFKIALALVAMAQSEVLRCPHRLDTSRQGNIHKALALSSLCALSY >A05g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25520765:25521688:1 gene:A05g508700.1_BraROA transcript:A05g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREIGIWMTEIELSMEFHWCPFIPESSSEHLAVSVTLAWLNNGGNEDGGSCLAQWRGLEPFSANSVSQFSADSGHASLSFMEARDMKKGKHGVDNLTTLD >A08p044630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24634133:24636506:1 gene:A08p044630.1_BraROA transcript:A08p044630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNLSLLCRSALRFIDVSACRVMACFLNCFRGRDDRSISHSSLADSKRGGQESQNHLSALFQSEEEAASSPCLGKERFDLDSIHIDKGLRDEARFLKACGTIPETPVEIRKESQKLNSPQHSGTSYFHSWISSSSALGFHLDESPTPLKACEEVGRPSLASEQTPSSCVIDDGDTARVSSASGDADEVESIGTALKGELDRSGRPMLTAGKTKSVRFECDLDQSQSSNSSENSSSRKPEMGGKTTVSSPNPTPLKLSDEMQTPGTVYPANMESARKGRPRIRSQFVHSVSNLMEDASLYNVHDDSYGSLEQEQIEGETPTSATSDEKISKFEASSSPSLYPINEDGGEDFGVLIDITPGVNAMTPGDRPIIGLVAAHWNENEQTEVSPKWWDGNGIPNSTTKYKEDQKVSWHATPFEVRLEKALSEEGGMSLFPRRNLEVMEEDEEDSDISQLQHSVQPCSVVSF >A07g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9633715:9641296:1 gene:A07g504680.1_BraROA transcript:A07g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFFGLAKLSPVSDVLVSFSSLESWFPSLLSSAHKRRRFRLFSSSLATTTPYLSLGDTLKKDSPDDCTETRDVVFFDPVKEESSDGERSVCMSNVYHPSSPKSNPEIIPLPSLTALPDNQTGVVWNVAMSSSSPSDDDDEDCVVAIKFLGNQLSLCRPNRDLRWTNVETLGMLETSNLIPELHQVVFRDLPELAESEWGLLDMVCCRTEHCYLNKRERNYAQGYPWSEKFKYMIRRFMVFREEETPQGRYMCYTEDIGDVCIFLSMSEAFCVQATSCPGLRPNSIYFIGKGFGIYSLADNKTISSFKVPSSSGLYWLPPSCI >A03g505030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:17952800:17952991:1 gene:A03g505030.1_BraROA transcript:A03g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHLSLSPPPLPIIQTQSKRYFPFNILVSQISNIIDRRLHRKNARSTQSRPILSHHLLRLFLRS >A03p007830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3190288:3191233:1 gene:A03p007830.1_BraROA transcript:A03p007830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAQNKIKKDKNAEPTECDVQVAQALFDLENTNQELKSELKDLYINQAVNMDISGNRKAIVIYVPFRLRKAFRKIHPRLVRELEKKFSGKDVIFVATRRIMRPPKKGAAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRLDGSKVMKVYLEAKERNNTEYKLETMVGVYRKLTGKDVTFEYPVEA >A09g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21454871:21456062:1 gene:A09g507340.1_BraROA transcript:A09g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRLQERSLTEQVDKIRSLHDILAANVKSQTDSLNARFDRLEAMMMRLVLPEAHALSIFLANMNTHLSLHTRQFEVTTIAGAAKIAMLHESSLSHTPTRQSRAPFNPYSNQRSYPKPTNPSPIRQPNETTNNHKPTFIPRNSPDKPTRKYSYQVMQDRRSKGLCMFCDEPLTPGHQLKHKRSQIYIMDADDIDSVSDDISTDHETDETQLAATNKTDQPEAT >A03p048880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20886596:20888419:1 gene:A03p048880.1_BraROA transcript:A03p048880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSTNQFSGIRWVWKNNTKKIQLMETHNLRRRETTLHSELEALKWTIESMFQHSTCQSFGTYCKNLIAMIKYLQAWPNFSVELEVIQIILMCYLDFKITYVPRTQNEIADSLVRNTRSFHRSLCFIGCSILVSDYLSSNIFLFLCNLRKEMTFSAMRRDHGTLQAMVGSDLNELATAAKNLANHTLMLTGLGFGTSILEWIASIAAIYLLVLDRTNWKTNMLTSLLIPYIFFSLPSVIFGLFRGDVGKWIAFVAVVVQLFFPKHFREWFELPAALILLIVVAPGLIAGTFRDNWVGLVVCLLIGCYLLQEHIRASGGFRNAFTKAHGISNTLGIIALVVFPIWALAF >A03p028360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:11900753:11901988:1 gene:A03p028360.1_BraROA transcript:A03p028360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGMNMIHVLCVIIFAITNTSHSLHEKPQAFLQAIRKDITTNLYYSPLSIGANVHNINLAIDLGGSAPLLLTCAAAAKSRSYHPIKCGSSRCIQAKPDPVSCPTNTSKKATCHKSFSTSFTEQPVKARLLRDTVSLLYTDNGFTYMGGGIDMTMTIACTDVKPFPSIVVGTLGLAKTHMALPSQIVSLYKLPFKVALCLPSPNSGESSGSGSLFIGGGPYFMALYPEDISKIFASTPLLPSDQSRGEYFIDVNYIQISGKIVPFFKKSTKICTLAPYTVLHSSIYKALVLAFAEKAKMTKVPAVKPFASCFSSKGLGRWMMGSRVPVIELLLRGGAKWKIYGSNSLVKVSKDVVCLGFMDGGVNLTMGMIIGGYQMEDNFVEFDVKASKFSFTSSLLLINSSCSQSRHF >A10p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4117552:4118044:1 gene:A10p014580.1_BraROA transcript:A10p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSLLLDPFHAEGIVRQKIQRHGTVKGTMDVKELVLLLAKNSMNHQSLLLVKDCATPPALKKEVSAAGERDKEGREVGEKKTEGG >SC122g500210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:334061:336673:-1 gene:SC122g500210.1_BraROA transcript:SC122g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEEADEYNISELDWGEEPGYSWEDQNYGDGSEEDDQCRESRAEDGYEEGPCRGELDSKPQDHYKNHTINKSYSKPWLKFTDKFYDYSPTVFTKTLVSFSGNENYSRWEEDMENYFWEYKVPEHKKLSIALDTLVGEAYQWWLQEEECRIYFKEPTPHWEYVKELMYEHFEMRRLPPRTCPKRFVKLKPRQLHEREVTLTSHYNSYDQFRLYKFSGKGEDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTEKAQRYWKREEKYREQFQEPPIRTWEQFKGIMRDRFAPYIPTQHAQKVSTKRVVQPQVLQPANQRQSSKPVHTPHVKHNQGEYSKSIKPPEVICYRCQGQGHLAKDCPTKRAVKMALHEARETNLEISDSFTRIDKKFDDLINLIKAGSNSVSSNSMTVLTHLSSAQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDKVISELNVTYRNYQNTGMMHLYSVQNVYKGLGNEETRPEAQQQENNEQSILETSTPADHALEVANTEAESMQDNQVSEALNFTQYCFFESSTSSMKNLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPCIYQGKTLASQIRMKPNLLYLGAGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIKDQRLFPLLFRHDLETI >A04p029380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17570510:17579937:1 gene:A04p029380.1_BraROA transcript:A04p029380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNNDGMPLAPPGTGAPMIPPPHPSYTSLPPPSNPAPPPVEPTPEEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFVPHAVFKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYMAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVHTWFYDHKPLVKTKLINGPSYRKWNLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMPSFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHSPMVMYIKTEDPDLPAFYYDPLLHPISNTNKERRERNVFEDDGEDDFILPEGVEPLLKDTHLYTDTTAAGISLLFAPRPFNMRSGRTRRSEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLAATKFFQSTELDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEALAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQQQREELGLIEQAYDNPHEALSRIKRHLLTQRGFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVSAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQFYGLLLDLLLLGLTRASEIAGPPQMPNEFMTFWDTKVETRHPIRLYSRYIDKVHIMFKFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHKWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSVVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLNAVTTRTVNVHGEELIVTTTSPYEQTAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICVADLRTQIAGYLYGISPPDNPQVKEIRCVVMVPQWGSHQQVNLPSALPEHDFLNDLEPLGWLHTQPNELPQLAPQDVTAHSRILENNKQWDGEKCIILTCSFTPGSCSLTSYKLTQAGYEWGRLNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPENGPWNYNFMGVKHTVGMKYSVKLGSPKEYYHEEHRPTHFLEFSNMEEADIAEGDREDTFA >A02p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2586628:2593142:-1 gene:A02p006150.1_BraROA transcript:A02p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSVKMLEGFRREREFMEKVRQCGGFDIEHLMKTKPGLCNFVASETTKDKPAPRYIVLYARLGIHKYNMIQGTNLELHGIEKYNVFRKIPYSIHFVTAVAKDPAAGGSLVTFQTSFYEEVFGVKSLSCFIARPKPGPHEEDNAYNLWVQSDDEFEDKSELPEWPGENAFDDKKHYYVVKKSELRENDWIRVYLELAFLTAHLSIRSGTNLELHGIEKYNVFRKIHYFIHFVTAVAKDPAAGGSLVTFQTSFYEEVYGKSLRIMLIIYGFNLMMNLMMKLKTKVNCLSGRERMLSMIKSIITWWRNQSLEKMTGFVLICRSWSLLK >A03g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30134836:30138088:1 gene:A03g509180.1_BraROA transcript:A03g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRPEIEILEEERKIMKMGSLKKKALSASNRFKNSIKKKGRRSRVMSVPIENDIDAEDLQSIDVFRQVLVLDELLPYKLDDLHMMLRFLRARKFDIEKAKQMWKDMIQWRKDFGADTIIEDFEFKEIDEVMKYYPQGYHGIDKEGRPAYIERLGQIDANKLLQVTTMDRYVKYHVKEFEKTFNIKFPACSVAANKHIDQSTTILDVQGVGMKNFSKSARELLQSLLKIDNDNYHETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYHSKLLEMAINREVKCAPISEDEHEHVDQGRSISVPKSVERYNKKKDEDNAQEEQITTIDKSMDMSRYQKAENDPLSKGLECYVRKGAPNKGGGSLVGGVMAFVMGIVAMVRLSKDVPHKLTEAAIYGNSVCYEESRSKKTKVQFATPVSSSEYMLMTKRMAELEEKWMSLDLKPANIESEKEEKLQAALTALEEALVSQEEILAYIEKKKKKKMKKKL >A02p031320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16207232:16209705:-1 gene:A02p031320.1_BraROA transcript:A02p031320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQMFLRSVIQFYSKRPYTTEFNISSEVIAILSKAKPIEPALEPLVPFLSHKTITSVIKDHLNPQLGFRFFIWASRRERLRSKESFSLLIDMLSKENGCDLYWQTLEELKSSGVSVDSYCFLVLISAYAKMGSAEKAVESFGRMKEFGCRPDVFTYNAVLRVMMRKEVFFMLAFAVYNEMLKCNCAPNRYTFGVLMDGLYKKGRMNDAQKMFDDMTGRGILPDRVTYTILISGLCQRGSVEDARKLFHEMKEAGEAPDSVACNALLDGFCKLGRMVEAFQLLRMFEKDGFVLGLRGCSSLIDGLFRGGRYDEGFELYEIMLKKNIKPDVVLCTILIQGLSKAGKIEDALKLLSSMPSQGIPPDTYCYNAVIKALCEQGLLEEARSLHLEMSETESFPDEFTQTILICSMCRNGLVRKAEEIFNEIEKRGCSPSVPSFNALIDGLCKSGELKEARLLLHKMEVGRPASLFLRLSYSGNRSFDAMVESGSILKAYKDLAHLADAGNSPDIVTYNVLINGFCKAGDIDGALKLLNVLQLKGLSPDSVTYNTLINGLHRVGREEEAFKLFYAKDDFRHSPAVYKSLMTWSCRKRKITVAVSLWMKYLKKISCLDDETANEIEQCFKAGETERALRRLIELDTRREEFSFEPYTIWLIGLCQAGRFQEALMVFSVLREKKILVTPPSCVKLIHGLCKREQLDAAVDVFAYTLDNNFKLMPRVCNYLLSSLLQTRDRVEIVSRLINRMELAGYDVDSMLRFRLLKRLRHRKSRVMIDESLELEAEGKNEKCEV >A08p044070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24425878:24428880:1 gene:A08p044070.1_BraROA transcript:A08p044070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNRISKLALVGLILLCSVLTSFGELEFGHCERAVKKWADASSSSSSEEQYTNKDKRSLQDLLFFLHVPRTGGRTYFNCFLRKLYDNAEECPRSYDKLRFNPRKEKCKLLATHDDYSLMEMLPRDKTSVMTIVRDPVARVLSTYEFSVEVAARFLVHPNLTSAARMASRIRKKNVISTLDIWPWKYLVPWMREDLFARRDARKVKGVVIIEDDNPYDMEEMLMPLHKYLDTPTAHDIIHNGATFQIAGLTNNSHLAEAHEVRHCVQKYKSLGERVLQVAKRRLDSMLYVGLTEEHRESASLFANVVGSQVLSQVVASNLTAKNKNTKSEERDTVSESGSDKSEINNGTSEVASDKIEAKSGNMTVKTLMEIYEGCSTHLRKSQGTRRVNSLKRISPANFTTETRTRVPREVIQQIKTLNNLDVELYKYAKEIFVKEHELVSKKIIVSTSKRSIVDLQKVFGEMDDEKLWTVALMLLLFFLFFLFVHARRRRTSKVKI >A10g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7787968:7788956:-1 gene:A10g502830.1_BraROA transcript:A10g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEDIFTNTLFINDQKTILALTLSLSHDPLLFRSLSLSLAIFFLPLCLRFTGHPPSLSHFTGAVLWQKMFRLSISDFECLMDLETENRIASVLLREAAELRRQAEKDGVRAYLEKPNVRHRPNSRFLTTTVLANSAVETNEMGKARELENERLKRKSREESSRSSSQMKRSSSFSKRSLDVAPLMMRERSLINHHRTKDCTWMMMMKV >A03p016470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6554465:6556966:-1 gene:A03p016470.1_BraROA transcript:A03p016470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSSSVRDEGTIPLLPKLSPHEKLHLNKNYSAPLTHFANEAISIARISLPLVFTGLLLYFRSFVSLYFLGGLGRPTLAGGSLALAFANITGYSLFSGLTMGVESICSQAFGAKRYNLVTATIRRGVLLLLLTSLPVSLLWINIEKILKTLKQDEDLASEAHIFLLYSVPDLVAQSFLHPLRVYLRTQAKTLPLSVCTAVASVLHLPITFLFVSYLGFGIKGIAVSGVVSNFNLVVFLFIYIRFLEDKVGINEEVLVEEEVEDSVREWKKLINLALPSCVSVCLEWWCYEIMILLCGFLINPKATVASMGILIQITSLVYIFPSSLSFAVSTRVGNELGSDRPMRARRAAVVGLGLSIALGFTALTFTVSVRNMWARLFTDDEEIIKLTSMVLPIVGLCELGNCPQTTGCGVLRGSARPRIGANVNMAAFYVVGMPVGMVLAFWFGFGFKGLWLGMLAAQMSCVCGMMVATCRTDWELEAVRAKELTAVDGGSSGDGVDVEVGKIRSEKGANSTEDFSSAVGSEAIRLTIEAEERKLPIVEQPMDQRHSRCPANLLSELNQQHSPALDNGRVIESRASRFTMTNNTTSRANRR >A06p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10292517:10294487:-1 gene:A06p020900.1_BraROA transcript:A06p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCGCCPLLECVYCLGCARWAYKRCLYTAGHDSQDWGLATPHEFEPVPRFCRYILSVYEEDIRNPVWEPSEGYGINPDWLLLKKTYEDTQGRAPAYVLYLDHDHKDVVVAIRGLNLAKESDYAVLLDNKLGERKFDGGYVHNGLLKAAGWVLDEECEVLRELVVKYPSYTLTFAGHSLGSGVATMLALLVVRRPDRLGNIERKRVRCFAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCIRCMKDTCVPEQKMLKDPRRLYAPGRMYHIVERKPCRLGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALNLMLEKEKRMEIPEKQRMERQESLAREHNLEYRAALRRAVTLDVPHADSIESEYGTFDKTQEDETEEEEEETESITSKVGESSSASSSSVRQSYKRRRNRGVSWDELIESLFERDESGNLTFQKSDLLR >A07p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10642114:10643464:-1 gene:A07p017600.1_BraROA transcript:A07p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIMKKRCNENEESAEQRKGPWTLEEDTLLTNYIAHNGEGRWNLLAKSSGLKRKGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHCKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIDSSSHQFLEVVRSFWVPRLIHKMKDYSNTNSKAPPTDSLGPVVQDNNFSHNSGLNNIDCSTSMSQDLAKISQLMDLSDLETTNSMCLEGSRGSSNQYVNEDHRCLEEEYIVTTMGNSDILPLQDCHVAYSTYEEDVTQDPMWNMDDIWQSEEYAPFN >A10p023690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15434590:15444246:1 gene:A10p023690.1_BraROA transcript:A10p023690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTTGTHSVPIPTTLSDCRWRRRSQLLSLPDLVLHSAHRRLPSSLGVKVTRGEEGIPTRQSLAYGETIETLTVEPGSSKVSGVKGSFRGDLMYRLYFKGLVSEETGTGKGKGKVSDVAAGFGVAICDQRDALLFESKGQLVGRGANRQGAEIQALTIGLTEAWELGIKHVSIFCDSFPIFQFVRGSWTPKQKKIAMLMDDLQRIRQQFSFTQAVLVAGNEVKYAYKLARESIVSQATPHETPRQAKVAARKEECLICFNDIDPERMFSIGKCSHRFCFQCVKQHVEVKLLHGMIPNCPHDKCNSEMVIDACGKLLTPKLGEMWKQRIKENAIPVTERVYCPYLRCSALMSKTKISESAKSLQSAYPASGVRRCVECRGLFCVDCKVPWHGKLSCAEYKKLHPNPPADELKLKSPANNKMWRQCGKCQHMIELSQGNCRCGHEFCYNCGGGWNKKTGTCAKQCPTWDEAYIMRQDPAPARVYVLPNNYFDDYDEDEYDEDEYDDYEADEDFGYGYGDFPFNLEHHMNDVNPEAPFDLPDEEEEEEEEDDEEVEEEEEDEELLTPKLGEMWKQRIKENAILVTERVYCPYVRSSALMSKTKISESAKSLQSAYPASGVRRCVECRGLFCVDCKVPWHGKLSCTEYKKLHPNPPADDIDMTLKSLANNKKWRQCGKCQHMIELTQGCNHITCSTRHEEKTKKGVFFESLLLPMEFLGGRDTDSEYAFRLQMEEALAASLASRSRAPPSPPVVARSGFAVVVEDEEIARQRNTGGGEGNSRGKGKTHHETVSGIRRDDRNPNSNASAYVGDAVRRGSFRGDLMYRLYFKGLVSEETGTGKGKGKVSDVAAGFGVAICDQRDALLFESKGQLVGRGANRQGAEIQALTIGLTEAWELGIKHVSIFCDSFPIFQFVRGSWTPKQKKIAMLMDDLKRIRQQFSFTQAVLVAGNEVKYAYKLARESIVSQATPHENPRQAKVAARKEECLICFNDIDPERMFSIGKCSHRFCFQCVKQHVEVKLLHGMFPNCPHDKCKSEMVIDACGKLLTPKLGEMWKQRIKENAIPVTERVYCPYLKCSALMSKTKISESAKSLQSAYPASGVRRCVECRGLFCVDCKVPWHGKLSCAEYKKLHPNPPADDVKLKSLANNKMWRQCGKCQHMIELSQGCNHITCRCGHEFCYNCGGGWNKKTGTCVKQCPTWDEAYIMRQDQDPGRAYVAPNNYFDDHDEEDDDEDYEDFEYGYGDFPFNLGQHMNIVNPEEPFDPFFDLPDGVIFHPSMLSPRSRQQFYDSDDDSDEETLRPPHLRQSNAPSGNETARVNGHLRQSNAPSGNETTRVNAPPEEEEEEVNDCPYFGTYGKYAIVYDSDGYEIEDYTNPFHPDYYP >A06p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24273148:24274514:-1 gene:A06p045250.1_BraROA transcript:A06p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLTKKVFLIRNPILFLHLLISFSSGAMKQDSKGVCVSKGGRFPPYESEGKPPKPVGKGSKDLTLCQVFRKRTCCSPAQTNPAFVAVRNLATFGEASQECQHLFELLECSICNPNVGVQPGPPRICASFCNKVFEACKDAYFASNALTQMIGPCGVNDIICVKTSSWESNGTSFCEAAGFSVQRNDDSRKEPCYGSKASLEPVVESSWRRKPKKKKTPLKTETLSCFKDLLQWVRVMTTIQKVSLGVSFLVAGMFLIRQWNNRNRKQRLVAIQRAARRLGGKTKGNSYSAAIDRRAVQS >A03p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14899472:14900009:-1 gene:A03p035480.1_BraROA transcript:A03p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTSTGDIKKTKSVEVKKKLNVLIVDDDTVIRKLHENIIKSIGGISQTAKNGEEAVNIHRDGNASFDLILMDKEMPERDGLSATKKLREMKVTSMIIGVTTLADNEEERKAFMEAGLNHCLAKPLSKAKILPLINNLMDA >A03p066690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29462070:29465047:1 gene:A03p066690.1_BraROA transcript:A03p066690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPLLTWRFKVAMTASRGAGPDQGPSQPQQRRIMRTRTVGNLGESFDSQVVLSLLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIKRIKAIMISSQERQRFCNSEKWKTEVYGDEVLIIVEGTALSHTDTLHHLSLSLAIGIQCSITIESIVDIYALLSGGGYAKKVVEGHSPLQKLDEILEDPMTTQDGVRREIDGRCNRDGDYDLEAKNIKTSKDL >A06g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11790082:11791928:1 gene:A06g503830.1_BraROA transcript:A06g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDPFVAALDEATKGKQYIHSCLSRKQYGEGLKADLKINPADGFIIGSPEKKTEGDDVGMLDGNAAESALPHVEPVSGGVDKREGCRSNKEK >A01p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:589174:591572:-1 gene:A01p001460.1_BraROA transcript:A01p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCDNDDSNTTNLLGFSLSSNMLKMGGGEALYSSSSSSVATSSVPPQLVVGDNSSNYGVCYGSNLAAREMYSQMSVMPLRSDGSLCLMEALNRSSHSNNHHHSQVSSPKMEDFFGTHHHNTSHKEAMDLSLDSLFYNTTHAPNNNTNFQEFFSFPQTRNHHEEETRNYENDPGLTHGGGSFNVGVYGEFQQSLSLSMSPGSQSSCITASHHHQNQTQNHQQISEALVETSAGFETTTMAAAAAKKKRGQEVVVGQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQGGYDMEEKAARAYDLAALKYWGPSTHTNFSVENYQKEIDDMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGTNAVTNFDITRYDVDRIMASNTLLSGEMARRNSNSIVVRNISDEEAALTAVVNGGSNKEVGSPERVLSFPTIFALPQVGPKMFGANVVGNMSSWTTNPNADLKTVSLTLPQMPVFAAWADS >A09g511090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33648423:33652811:-1 gene:A09g511090.1_BraROA transcript:A09g511090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAAHNSIQDLYGLKASSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDRSGKLVRSVKWSWGLWHGDYFRDHKVMFICFFMSSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRVTRIVEENIEKLMATEFDHAHNNEIQAVVVERAARNSIQDLFGLKASSRSMKALAERREVYHFLDVLSVPWGLNMPSELLKACYAEGNPSTLYIKGVQFFYTFNLKEEGLSLMKRAADAGYERAVYTHAMTRAIFWGEGKYLSRIPIESLVRIGKLVRYVKWCWGLWHTPEFKERMALFISHILPKFYSCQCGNPVERDCPCLWHIDVTKDDNMCPHCLWLKEIGLFLRDFEPVSLYRDTRKW >A02p035730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20421600:20422049:-1 gene:A02p035730.1_BraROA transcript:A02p035730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYGYCDDEPDTFISFDPVFTDTQHNLKNPNFSFVFDFELVYRRAPEPNSDSDSDEDLCNLETRILRQTHEFDRDWLIGGDREQIQANVFQILEMIQVPSYSDIVYTLTFKIFDLKKRESESDSPEIERIRVGIDVIVPRSPGANDDV >A01p052620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29514093:29519096:1 gene:A01p052620.1_BraROA transcript:A01p052620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSSMKDNGLLTTNSSRSFLLEPLLLRWVFGFLHVVLLLVLFCLWVRNKVRGDNVFGAVTKSLKSKAVLFCGLALSLLNLVLLLLSGFSWYKSSCSDNEQLVSLVVFLLATFSWGILSICLHRCCDYEQTKPPSLLKLWLVLYLLVSCYSLVVDIVMYKKDKTVHVHLLVYDIVASSAALFLGYVAFFKKARGSSSYGVLEEPLLNGDSSVGATPYSRAGILSLLTFSWMSPLIETGNKKALDLEDVPELHVSDSVVKLAPNFRSMLESSSDGGGVTTFKLLKALFFSSHWEILVTAFFAFIYTIASYVGPALIDTLVQYLNGRRQYSNEGYVLVITFFLAKLLECLAKRHWYFRLQKIGIRMRSCLVTMIYEKGLTLSCHSKKGRTSGEIINFMTVDAERVGSFCWYIHDSWLLLLQIGLAMWLLYMSLGLASIAALVATFLVMLVNIPFGKMQERFQEKLMEAKDSRMKSTSEILRNMRILKLQGWEMKFLSKVFDLRTCEEGWLKKYVYNSAVISFVFWGAPTLVSVSTFGACILLGVPLESGKILSAIAIFSTLRQPIFYLPETISMVVQTKVSLDRIASYLCQDNLNPDVVERLPKGSSDIAVEVSNSTLSWDVSSANPTLKDINFKVFHGMKVAVCGTVGSGKSSLLSSILGEVPKISGSLKVCGTKGYVAQSPWIQSGTIEENILFGKAMERERYEKVVEACSLSKDLEVLSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGTHLFKEVLLGLLSSKTVIYVTHQVVFLPAADLILVMKDGRISQAGRYNDILNSGTDFMELIGAHQEALAVVGSVHASSASEKPGLVRDAIDSEEKQESQDLKNGKSDTGEANRQLVQEEEREKGSVALDVYWKYITLAYGGALVPFILLAQVLFQLLQIGSNYWMAWGTPVSKDVEAHVNLSTLMIVYVALAAGSCFCILVRSTLLVTAGYKTATELFHRMHRCIFRSPMSFFDSTPSGRIMNRASTDQSAVDLDIPYQFSSLAVTVIQVIGIIGVMSQVSWLVFLVFIPVVAASIWYQRYYIAAARELSRLDGVCKAPLIQHFSETISGSTTIRSFNQESRFRGDNMRLSDAYSRPKFYLAGAVEWLCFRLDMLSSLVFAFSLIFLISIPTGVIDPSLAGLAITYGLNLNTQQAWLMWALCNLENKIISVERILQYASVSSEPPLVIESNRPEISWPSLGDVDIRDLQVRYAPHMPLVLRGITCTFKGGLRTGIVGRTGSGKSTLIQTLFRIVEPSAGEIRIDGVNILTIGLHDLRLRLSIIPQDPTMFEGTVRSNLDPLEEYTDDQIWEALDKCQLGDEVRKKEHKLDSSVSENGENWSMGQRQLVCLGRVLLKRSKILVLDEATASVDTATDNLIQKTLREHFSDCTVITIAHRISSVIDSDMVLLLSNGIIEEYDSPVRLLEDKSSSFAKLVAEYTSRSSSSSD >A10p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18590628:18591912:1 gene:A10p030870.1_BraROA transcript:A10p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQKLIVKRIRIRILIQLKRSESKYNISFPCSSLVNVDASLVDKRRSTTLSPAKNRLLPATGVFLLLCSSHRRKILWAQDSADWNSDDDLDLQIDNYQSSPPSSPPAAVPSSDELFATLVDMGFSPENIARAIDEHGPNADTVVIIDAISKYTVNCEASSSKSKTIDHFLAMGFEEEKVIKAIHEHGN >A06p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23206549:23208498:-1 gene:A06p043130.1_BraROA transcript:A06p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNLKASAVDSWTGEIRRRVVCDVCADSSIRPDDHVLEGFLTIILGFRTFVWTAVSYQLKDRHQFFLAVQNIGSAMADVVIDAMIAEKASFSDLQSVSGCAMAVGGICGSLLGGYALNNLKMETICLLFTVLPVLQLLPCALLEEIPSSNEPFPEMLEEKRNDNYRNTNKSNTRRRKKGQKQKKKGRRGGSDGILSERQKKQSKLFQSLKSATSELCRAFKQPIILRLIHALLINCVLFGSALTDTINQLKYVVAFLYLL >A10p031480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18798210:18798905:1 gene:A10p031480.1_BraROA transcript:A10p031480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRRATVDDLLAMQACNLMCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMDEESNECHGHITSLAVLRTHRKLGLATKLMTAAQAAMEQVYEAEYVSLHVRRSNRAAFHLYTETLGYKIHDVEAKYYADGEDAYDMRKYFKGKQNHQQSHGHHHHHHHHHGGGCCSGDAVDTTPAEDAIATTS >A10p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9994522:9995653:-1 gene:A10p006990.1_BraROA transcript:A10p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLCSSPSSPRFFATKSELYKAPFLSFSILKRCHSRPPRNLNSNDSTYRDGNLFTICTSRPPYLPLATSRFKLFLDSGKDAVSDLQTLVSLDVQEINYAVCWRCAFKGGLQGRPKLVVRRDRSLGWEGSAQNNFPKWWPASLPRHSLEVDKEDYQREVALMGLKPLGNVVTTDLLRISACRKVDPCTGPEKC >A02p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5491358:5491668:-1 gene:A02p016330.1_BraROA transcript:A02p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYGGCRVVMEVSMAFVGGLCYAASWSPEVSSHLLTYLSGVGGWCLCQAQAWVGVGALSHLWNTIFTVQINRF >A05p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10351781:10354934:-1 gene:A05p021790.1_BraROA transcript:A05p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caffeoylshikimate esterase [Source:Projected from Arabidopsis thaliana (AT1G52760) UniProtKB/Swiss-Prot;Acc:Q9C942] DSTSYNVGPPLDGDPSEFISDKELLGDIPEEEYYTSQVVRNRKSYFETPKDKLFTQSFLPLEGEIKGTKICMSFSTWGYAVFTANLLGHGRSDGIRCYMGSFLSFFVFVALCKTGYWVLSDPDTWTVLIFSSPLFVIPEDMKPSKPHLLADTWTAMLDNKMVGKAIHHPEKLKIIASNPQRKSLDVVALQILRYTGKPRVRTMREILRKTQYVQDNFGRVIIPLMTVHGTYDGLYDGMYHSLIQGEPEENAATVLKDMREWRVDR >A05p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:308108:309291:1 gene:A05p001560.1_BraROA transcript:A05p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFCSGRFSVALFLVISAVPISYLVSLERAVPSTHVFSYQSSGFFRECAKWDDAGRRFLVSFMDGGGIGEVVPSDDGDIDALREVTLVKDADLAGNASLGIVIDRDRNRLLVAVADLLGNRYSALAAYDMSTWRRVFLAELSSQSKEKSFADDVAVDARGNAYVTDAKGSKIWKVDVNGELVSTIESPLFTPPGWYNNMVALNGIVYHPDGFLIVIHTFSGLLYKIDLTDDKVTVVEVTGGTLRFGDGLELLSPTKIVVAGSPSARLVKSSDGWRTATVTGWFSTGMVHRIVSSATVKEGRVYLNHIVGFGSNKRHILVEAVF >A04p038440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21886960:21889187:1 gene:A04p038440.1_BraROA transcript:A04p038440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLFLSLLSSFLCFVCLFLDHSSSPLVPVASHFDSSSLVYCSLILCFCLLFCVMDRDFHRRGGQVAKDRSPSSRFVRLDKPRSVDDLDIGKKGKMRRWLCCSCHVQESNHPRVEHNRSRTPPTRHYDYGRNDKKPPPPMKPAVLKEPPPIDVPTMSLAELKEKTENFGSKALIGEGSYGRVYYAKFNDGKAMAVKKLDNASEPETNVEFLTQVSKVSRLNNDNFVQLLGYCVEGNVRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLEWMQRVRVAVDAAKGLEYLHEKVQPAVIHRDIRSSNVLLFEDFKAKIADYNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRSSGAAAPPPNPHT >A08g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18845059:18845500:1 gene:A08g509480.1_BraROA transcript:A08g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQISLSELKTGRCIGTIVTRLLRFWEARMLRRMVFSQFLFSMHMKKGDTIFVGQYLFTGTETTSVWLETR >A08p022340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14800527:14806340:-1 gene:A08p022340.1_BraROA transcript:A08p022340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLQGQNHLSWFQRHQAIARTDYTAVLELLKSHEANREIEKERSNEMKRVLSLISIFLVLALSGRCSDVYSRNDFPKGFSFGSATSAYQWEGAAGEDGKKPSVWDTFLHSRNLENGDIACDGYHKYKEDVQLMVETGLEAFRFSISWSRLIPNGRGPVNPKGLQFYKNFIRELVTYGIEPHVTLFHYDHPQYLEDEYGGWINSRIIQDFTAYADVCFREFGNHVKFWTTINEANIFTIGGYNDGVTPPGRCSSSPGRNCSSGNSSTEPYIVGHNLLLAHASASRLYKQQYKEMQGGCVGFSIFTIGFTPSTSSKDDEIAVQRAKDLFFGWMLGPLIFGDYPDEMKRTVGSRLPVFSLEESEQVKGSSDFIGIIHYLAASVTSIKFKPFLSGHPDFYSDIGASMTCWNPKLISFESQKNTLFSTSYTYVFLFVCVIIISSWWYCLGGTDLGNFSAFEYAVAPWAMEGVLEYIKQSYGNPPVYILENGRPLKQDLQLQQKDTPRIDYLHAYIGAVLKSIRNGSDTRGYFVWSFMDLYELLRGYEFSFGLYSVNFSDPYRNRSPKLSAYWYTSFLKGNTTFLGSQATMRLQSNFSSSSLVLAVNNGYYGYNPSVASYLPEKPQNIMNPVDSCWRHNSDWSANRKDLADCAVGFGSSALGGKKGNLYVVTNPNDNAANPKPGSLRYGVIQDKPLWITFAKDMVITLENELMVNSYKTIDGRGAKVEIAYGPCITIQQVTNVIVHGISIHDCKPAKYGMVRSSTTHVGHRKGSDGDAIAISGSSNIWIDHCYLASCTDGLIDVIHASTGITISNNYFTQHVKVMLLGHNDNFVQDVNMKVTVAFNHFGPGLVERMPRQIYNHLRKTLACRVRRGCAHVANNRYDKWIMYAIGGSADPTIFSEGNYFIASDKSNSKEVTKREVKGGWNNWRWRTSKDVFKNGAYFVPSGYGSVDLPYSSAQRFTVAPGNMVPSLTADAGPLNCNRNLPCY >A01g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11658114:11663812:-1 gene:A01g503880.1_BraROA transcript:A01g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLDSGATRVTVPRESKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRSAQGELVTFSNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFSMEQPTTGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQSASSGVTAPSEPAETPLSRVYVPKVPYPIPPKHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLKFFRNCRETQEEIKVLYTKALSTPALKVLPKVDDPEKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLLSDEDPCTDPTKFRGVENQNGREIRTTSGTQNDHVLPPSSSHHHIKINVMEKGKKEKKHGATGKVEQERSNPSDCSEGVFQRQRFLRKQQLISGLALIIPSFSPSRLWL >A09p067580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53268161:53270903:-1 gene:A09p067580.1_BraROA transcript:A09p067580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVDYISISNEVSQKVCKLVTLLSPYLRRLQLLPPPLPLTIKEEEKVEMAGPSGKKEKVDKAGPSGGKKKKDVKKETGLGLSVKKDENFGEWYSEVCKHEMIEYYDISGCYILRPWSMAIWEIMQTFFDAEIKKMKVKNCYFPLFVSPGVLEKEKDHIEGFAPEVAWVTKSGKSDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADTEVLEILELYRRIYEEYLAVPVVKGMKSENEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEINFENEKGETEMVWQNSWAYSTRTIGVMIMTHGDDKGLILPPKVASVQVVVIPVPYKDANTQGIFDACTATVAALSEAGIRAEEDLRDNYSPGWKYSNWEMKGVPLRIEIGPRDLENDQVRTVRRDNGVKEDIPRGSLVEHVKELLEKIQANMYEVAKQKREACVEEIKTWDEFIVALNKKKLILAPWCDEEEVERDVKARTKGETGAAKTLCSPFEQPELPEGTLCFASGKPAKKWTYWGRSY >A09p073440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55996078:55997171:1 gene:A09p073440.1_BraROA transcript:A09p073440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSSASFSNANAASPPPPPPPSSPSSPSRVDEKSNGPERPRFFDGKAKNKCWSNADVVPGRHPERWRKDAAGNVVCKRFGNCGGCLCFEYDHIVPYSKGGESTAENCQILQTRVNRFKAAQENVDAETLKGYSCGLQFTDKELDVIEMAVYGDVLRPGKECRCKTVAELLGQYKAKDGKAACELPS >A02g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26438911:26439651:1 gene:A02g509880.1_BraROA transcript:A02g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFLELYNEEVTDLLAQEDSSRSSSEDKQKKHVSLMEDGKGCVVLRGLEEEVVYSANDIYALLERGSSKRRTADTLLNKSLLTLGRVINALVEHSSHMPYIELTRLLRDSLGRKTETCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKLSKPVLLIYLYLELERMKEGLHKCKSGKG >A03g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31366950:31367311:-1 gene:A03g509740.1_BraROA transcript:A03g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFNLAKRATTSANSTVTGLAYQKTVTIQVADKEKDVELGTTKTKKQTSLSSMLTSL >A08p031340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19132600:19134752:1 gene:A08p031340.1_BraROA transcript:A08p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFVEENTKHMEKETGNISNTDVTTLKKKVLQDLSINNGGGFVNSWVDSMRACSPTHLKSLMKQSSWLTEHPSALDMFEEILHVSEGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRRTVRKLAICFPTAIVSGRCREKVYNFVKLTELYYAGSHGMDIKGPAQGSKYKEGKSLLCQPATEFLPMIDEVYQKLVEKTKVTPGANVENNKFCASVHFRRVDEKNWSDLANQVGSVIKEYPKLRITQGRKVLEIRPIIKWDKGKALEFLLESLGYANCTDVFPIYIGDDRTDEDAFKILRDKRQGLGILVSKFPKETNASYSLQEPDEVMDFLQRLVEWEQLRSGA >A01g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20247089:20249896:1 gene:A01g506890.1_BraROA transcript:A01g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPYVFFFFPSTEPRFSKSLFHLLPQNRKRYQIKSRFGLAASSLSVSTLAILDLPTRMRTVTEAHKIVIWLASPVLVLQCSSATLIHYLTMTGDPSKGKVSVIYVTSDFCQWWYLVGSYGKRSLWNGNSLLHFTLLCLRLSLMSLVEAQCLLQLLLGTMNCLGHWRMLLKHFRRLCFRLRRESLGVHVLSKNHVLTKGVYYPAVEKALEMIKHKSSSLSKGVFARNSRIIMDSDINPIAWLAKLQSEGHDPYQLCLQPPGASAFIGNTIWCLHEALAAARPRAASTARDMEIEFGLLINTLKARYRNSEAHYVRGIQNYFRNNNTYKAYNIYTCTTIEVYNNNIYEYGIMIYSISLHEVKVTRLSVYIVTYLNVKATITWHPYTTGIQCDTCICYKEIKNFVFMI >A07g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1416252:1417876:1 gene:A07g500720.1_BraROA transcript:A07g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLHDSHWRALCLEPDKNKQCNLAICLMRMGRIQEAKSLIDAVRDSSAEIEFGDEPFTKSYDRAVEMLAEVESKDPEDGLSDKFYAGCSFANGTMKENKAPRNANRNHSHVPPSPASVRQTEMRQQRFVGILSLLYIVAFLAKNKSECLQEEWGVLEKSPEAALDVDQKIFVTVLW >A02g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20554082:20558369:-1 gene:A02g507260.1_BraROA transcript:A02g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSTIDRCRDTRHDILDSADLKTKAKPNYQNALTKPVDRCPQVTIDRCWQKCISRRLNRPSIDTLLCLHLTGETQDLLGIYRNYNLQHLNSGPTSNIISNLSYIYHLLANLNKLSSQLLDAQKDIENITNQSFLQVTSASIDRQRGPWIDGKKPVELLPYIAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNKHIIASIDAESIPIGEQLIHKTVSAYAYDNIGWHKVSIDNIQERLQNISNVLGKVDDKWTRNDEATRKFETGLGGGNLQGSLSQRTLGYRSKRSEQNLVATTIKFLPAAPDTCLKSLHPVIDTPKHKGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQAWLEPVDRCPQVTIDRCWQKCISRRLNRPSIDTLLCLHLTGETQYLLGIYRNYNLQHLNSDPASNIISNLSYIYHLLASYYCYFI >A01g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13332059:13336863:1 gene:A01g504350.1_BraROA transcript:A01g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIISYASFSLSGLEITGMPLKGGQKLKKKRKRKFEMGGGGGGNWVSVWLWFAAPFLKATESVIDVDSYSGDTVGRQRFHRLAFFKIGRNADSPSALLPVSRLFLSFPKHFFTSPPLSISTLSHHSYTFSLSILFLYSLKIHGFTLSLLLNMTHPYEEMKEMKRLKKHYDMLGFVADAQYGIPTRCPCGGEIMTNVSPTPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRGEVKELAEEIAKLKRLITSTSRP >SC179g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:556499:558156:1 gene:SC179g500260.1_BraROA transcript:SC179g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSNGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTE >A04p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2257351:2258896:-1 gene:A04p004500.1_BraROA transcript:A04p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFTTAAVVTGENGFTVDPFLVEALQNPRHRLTILRMELDVQRFLQSQEQQQFEFQRFPTSYLRLAAHRVASHYGLATSVQDGGVDGSENIILVTKTAVSKFPTVKLSDIPAAKQSESGKFEHMKVSIKTRPSKGSGFEGGEAEKKRGPLRSVEERKEDYDRARARIFNGLTCFNGDESETQVYERSPSLGKDDSPAPTKNLSLRETGPTSRVAILRDSEKDRFDPDYDRNYKRYIRSLPVNQNSHPPPFNMMQPPYYQMGFTGYNHIPNSHASLNFGLPGNAIMSPYGTTVVHPGDAMYMQVPMMYAHSYEQLRNASLQAQFCQQPLSFEYMQNR >A02g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17925237:17926193:1 gene:A02g506280.1_BraROA transcript:A02g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFASFLFLSFLRRPARCCGLALRCGSHLRLEGFSLECPARRVEWSGVVGARSSLGVVRYLTFLFFRPSGSSESFLEARLWWFRLLCGFRPATVDACGLRLVLDWAVYDLCLDLSGYRFCVVFMFIAALVLCITCTVWYFFLSGSSAVSVVTSAVVSPKRLCRAPIGSRCEREASSASLKETRLGEQLRMWLSSLPVFLQLALCSEAECNKCDIGSLVASSRIALKSA >SC124g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:183735:203312:-1 gene:SC124g500110.1_BraROA transcript:SC124g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRSLHGGISRRQWGVKSVEMRALWYEEETLGSVEALERKMEASCTDCTDRVKGTDDQAVPSCAAELDPNVERIRKSASDSLARAGKSFWVRFKFKTGCGVSSEAVWVEDRGCEGFYKESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSTSLEKLGHDQIIFTTLVRLINTSHTACPLHRTGLDLPLSTDFTAFLEKLGNDQMSSHSAIAPSPWHHQLLGKAEWIHQLLINHFTIEAALINSPSSLEPRLEGAKLVMIICIPMELGCLNHHRETHKTHFSLHNDPCYTSCSLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPIWCGDAWPSLPLFGLHISLSCFTLILVMICNGTRPLSLHSWLLASYMYHFPCVPNTTTTQCKIRSSHWDQTMIIHPV >A07p024580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:14155898:14156257:-1 gene:A07p024580.1_BraROA transcript:A07p024580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGDPCELKKASLEPKAPTAADPDSVSPSGSDPCLSEEEELLTDEEIIESVYQSLLLIILSLQLQQGSAEIWCFDCCKTPPPSRVAETAPDTCPGAPMKLAKISRTIDSGGLRRKLF >A03p023170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9762062:9770363:1 gene:A03p023170.1_BraROA transcript:A03p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G40030) UniProtKB/Swiss-Prot;Acc:Q5D869] MEEASSSDILEAEIVGISFALATHRQIRLASISDAGINHASQLSNAFLGLPLEFGKCEACGATEPDKCEGHFGYIHLPVPIYHPAHVSELKQMLSLLCLKCLKIKKIKSTSSGLAERLLGVCCEEASNITIKDKSSDGASYLQLKLPSRTRLQEGFWNFLERYGYRYGSDHTRPLLAREVKEILRRIPEETRKKLTAKGHIPQEGYILEYLPVPPNCLSVPEVSDGSNSMSVDPSRIELKDVLRKVVAINNSRSGETNFESHRAEANEMFRVVDTYLQVRGTAKPTRNIDMRFGVSKISDSSSSKAWTEKMRTLFIRKGSGFSSRSVITGDAFRNVNEVGIPMEIAHRITFEERVSVHNIGYLQELVDNKMCLSYTQGSTTYSLRDGSKGHTVLKPGQIVHRRVMDGDVVFINRPPTTHKHSLQALRVYVHEDNTVKINPLMCGPLSADFDGDCVHLFYPQSLTAKAEVLELFSVDKQLRSSHTGQLILQLGLDSLLSLRVMMEQVFLDKASAQQLAMYGSRSLPPPAVAKSSKSGPAWTFFQILQLAFPERLSCRGDGFIVGGSDLLSFDFGVDALASIINGIVTAIMVEKGPKEALRFFDSLQPLLMEHLDPQGFSLSLEDLSMSREDMGVIHNLIVREISPMVSRLRLSYEDELQLENSSIQKVKEVAANFMLKSYSMRNLIDIKSNSAINKLVQQIGFLGLQLSDKKKLYTKTLVEDMAQFYKKKYVSTSSSGDFGIVKGCFFHGLDPYEEMAHSVAAREVIVRSSRGLAEPGTLFKNLMAVLRDIVITNDGTVRNTCSNSIVQFNYELSSDNENQGLFEAGDPVGVLAATAMSNPAYKAVLDSSPNSNSSWELMKEVLLCKVNFQNTTNDRRVILYLNECRCGKKYCQENSAYTVRNKLKKVSLKDTAVEFLVEYRKQQAISEIFGMDICLHGHIHLNKTLLEGWNISMQDILQRCEDAINSLVQKKKKKAEDFKKMNLSVSECCSFRGPGSSKDSDMPCLMFSSYNATDPDLERTLDVLCNTIYPVLLETVIKGDPRIASANIIWNSPETTTWIRSRHASRRGEWVLDVTVEKSDVKQSGDAWRVVIDSCLSVLHLIDTKRSIPYSIKQVQELLGLSCAFEQAVQRLSASVRKVSKGVLKEHIILVANNMTCSGDMLGFNSGGYKALTRSLNIKAPFTEATLIAPRKCFEKAAEKCHKDSLSTVVGSCSWGKRVDVGTGSQFELLWNKKETGLENDDETDVFSFLQMVRSTKTADAYVSSPGFDVTEEEMAEWAESPERDSALGEPKFDDSAEFQNLLDEGKASESKWDNGSLWENGCSSGSEWGVSKNAGGEENTQSGWGKAANVEKEDASSGWNSKKDAQETTNTDSWGAWGSKTKDDAENATPNWGTRPAQNDSVVMENGEPSSDVWGPKAVSDKPWGKKNSETEPAPAAWGKTNSESESAAAAWGSTNKKNTGTESDAAGWGSTNKKNPETESDAGAWGSGAKNKETEPAPAAWGSWGKKSSETVSGGADWGNRGKRVSETESGAGGWASRNPSLETQSGGATWGSRDKSKFETESGGAAWGSQAKNNFESESGSGAAAWGKKKPETESGGASWGSQAKNKSEIESGSGAAAWGTWDKKKSETESGGGAWGSQAKKNSETESGAGASTWGAWDKKKPETESGGDGAAWGSQAKNNSETESGSGAAAWGKKKPETESGGGAAWGSQPKKNTESQLGAANWGSKDTNNSENGSDSAAWGKKKNSEAEPTSVAWGSWGQPSPSASDKDAQEDDGNPWVSLKATNSGEKEGNETSQWGVPNKRYPSAGSQSQGGGGGADWKRNRPSRTPGSESIMGPMFTATRQRVDMFTSEEQELLSDVDPVMRRLRKIMHQSGYTDGEPISDEDKTYVLEQILNYHPEKDAKLGPGLDFITVDKHTTFTESRCFFVVSTDGTKQDFSYRKCINNYLVEKFPNLAEEFIAKYFRKRDNENRDKNSQEATPPGEKDSQTQPIDNGSQDSQPQSIGNEGGDTQPQSQAEDTQPQSQIEDTQLQSQVEDSQPIGNGGEDSQTEPQG >A09p068560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53683614:53686768:-1 gene:A09p068560.1_BraROA transcript:A09p068560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:Projected from Arabidopsis thaliana (AT2G30390) UniProtKB/TrEMBL;Acc:F4IMT3] MNCPAMSASASSSRSYSTFRPRPLLPQTSHDSQRSVLHYSRLPSEVFATSPLRLLGKHSLPSRAVVTSKNISSSSGVISGDEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPPLFQFLQKPLAQFISVARAPKSKEGYASIGGGSPLRHITDAQAEEISKCLSEKNVPAKVYVGMRYWHPFTEEAIEQIKTDGITKLVVLPLYPQFSISTSGSSLRLLERIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIQNELGKFDSPSQVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELDKRNITNAYTLAYQSRVGPVEWLKPYTEEAITELGKKGVENLLAVPISFVSEHIETLEEIDVEYKELALKSGIKNWGRVPALGTEPLFISDLADAVVESLPYVGAMAVSNLEARQSLVPLGSVEELLATYDSQRRELPAPVTMWEWGWTRSAETWNGRAAMLAVLALLVLEVTTGKGFLHQWGILPSS >A01p022690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11170770:11171218:-1 gene:A01p022690.1_BraROA transcript:A01p022690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSKRLSRRKEWMVGIGSVNDIPRMRACHVAAREEISQLWEALLVANTVMAENMTQMIAKASMFDLMADANPEFAEMWWVVRPNINPNRTPEEQAELERHTERRSSELRDDLGL >A02g511040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29232311:29234864:1 gene:A02g511040.1_BraROA transcript:A02g511040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNCIAPRPEENGEATVQGLTDMSETQGKPVLAFPVATSQPGLQLSMYPITLKFEEVEYKVKIEQTGQCLGSWSCKEKTILNGITGMVCPGEILAMLGPSGSGKTTLLSALGGRLSKTFSGKVIYNGQPFSGCIKRRTGFVAQDDVLYPHLTVWETLFFTALLRLPSSLTRDEKAEHVDRVIAELGLNRCTNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAHRIVTTIKRLASGGRTVVTTIHQPSSRIYHMFDKVVLLSEGSPLYYGPASSALEYFSSVGFSTSMTVNPADLLLDLANGIPPDSQKETSEQEQKTVKESLISAYEKNISTKLKAELCNADSHSFEYTKSAAKNIKSSEQWCTSWWYQFTVLLQRGVRERRFESFNKLRIFQVISVAFLGGLLWWHTPKSHLQDRTALLFFFSVFWGFYPLYNAVFTFPQEKRMLIKERSSGMYRLSSYFMARNVGDLPLELALPTAFVFIIYWMGGLKPDPTTFILSLLVVLYSVLVAQGLGLAFGALLMNIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGIQYTDDDYYECSEGVWCRVGDFPGIKAMGLNNLWIDVFVMGVMLVGYRLIAYMALHRVKLR >A02g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20812574:20813846:-1 gene:A02g507360.1_BraROA transcript:A02g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTPQKTMFLYEAGFRSKRFSSRSGVIGITQPRRVAVPTTAKRVAHELGGRLGRVVVFATHERSLNTDFLLWMLTRTINIRQELYNDQQKFYNLEAQWHQKTKSSRCF >A03p047720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22249362:22250452:1 gene:A03p047720.1_BraROA transcript:A03p047720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT2G05630) UniProtKB/TrEMBL;Acc:F4IHC1] MAISSFKQEHPLEKRQAEASRIRDKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAIMSAIYEEHKDEDGFLYMSYSGENTFGIF >A01g511150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31370482:31373671:-1 gene:A01g511150.1_BraROA transcript:A01g511150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCNMYPNLTFSDETTTTNTSVFGLAPAMKNQYEASGEGNAENDACKCGSDCKITFRPTVPHCHLPNLIGVCIYAKLLKSLPARFKVDVRVAPGSHATEASVNKRLGDKERIAAALENPDIMSLLN >A05p052250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32359030:32360614:-1 gene:A05p052250.1_BraROA transcript:A05p052250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFQSPRIRSYKSYEGDRNLQLVDPADLKPVRGIYVVRESKRNRSPMTSDLWRKISYKDLPVRPRRASSHSTSLKGWWNDPEMKRKRRVAKYKIYSVEGKMKTSWRKSYKWIKTTTPHSFSITQVSENRKKAISYKCRKKKQKLQNGKDPQFHLLHHSLARIPKSEATCTKYLGEAILAYPCKESYCEAKCAESYHESCRGECEDHDHHHGVHLTNDHDDHCHCYGRY >A09p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7298384:7305308:-1 gene:A09p014220.1_BraROA transcript:A09p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAAVERLHQLLEASRKSLSPSEVTSLVDSCLDLLKDTNFRVSQGALQALASAAVLAGEHLKLHLNALVPAVVERLGDSKQPVRDAARRLLTTLMEVSSPTIIVERAGSYGWMHKSWRVREEFARTVTSAIGLFASTELPLQRVILAPILQMLNDPNQAVREAAILCIEEMYMQGGSQFREELQRHHLPSYMVKDINARLERIEPQQRSTDSHHHVVNEIKASNVNPKKSSPRAKTSTRENSLFAGDADITEKPIEPITVYSEKELIREFEKVASTLVPEKDWSLRISAMRRVEGLVAGGATDYSCFRGLLKQLVGPLSTQLSDRRSTIVKQACHLLCLLSKELLGDFEACAEIFILVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIAESAKHDRNAVLRARCCEYALLTLEHWPDAPEIQRSVDLYEDLIRCCVADAMSEVRATARMCYRMFAKTWPDRSRRLFSSFDPVIQRLINEEEGGIHRRHASPSVRERHSQPSFSQTSAPSNLPGYGTSAIVAMDRSSNLSSGGSLSSGLLLSQSKDLNKGSERSLESVLQSSKQKVSAIESMLRGLHVSDRQNPAALRSSSLDLGVDPPSSRDPPFHASSAASNTLKNSTTAESMPSINRGSNRSGGLGLSDIITQIQAAKDSGRQSHRGNLLSESHPSFSSLTAKRVSERNERSCFEDNNDSREARRFMVGHLDRQQIDYSYRDLNASHVPNFQRPLLRKNAGGRMSAGRRRSFDDSQLQVGDMSNHVDGPASLNEALNDGLNSSSDWSARVAAFNFLQTLLQQGPKGAQEIIQNFEKVMKLFLRHLDDPHHKVAQAALSTLADLIPSCRKPFESYMERVLPHVFSRLIDPKEVVRQPCSSTLEIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNRYAGNPEISGNSGILKLWLAKLTPLTRDKNTKLKEASITCIISVYNHYDSTGLLNYILSLSVEEQNSLRRALKQYTPRIEVELLNYMQSKKEKQRIKSYDPSDAIGTSSEEGYPGASKKNIFLGRYSGGSVDSDSGSGRKWSSSQETTTVTGGVVGQSVSSGTQEKLYHNFRSGISSASDLLNQKDSDYTFTPAGENLIRTSPNGSSDNVENLDGLSPQHLDKNGLNMTNADSLEERHGDAVSRDLDLTHYMLSSIKVNPTPESGPSIPQILHMINGSDGSPSSSKISGLQQLIEASVANEESVWTKYFNQILTVVLEVVDDEDFSVREVALSLISEMLKSQKDAMEDSVEIVIEKLLHVSKDSVPKVSGEAEQCLTTVLSQYDPFRCLSVIVPLLVTEDEKTLVACINCLTKLVGRLSQEELMDQLSSFLPAVFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQVRLVTIYANRISQARTGAPIDSNT >A09p056250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47905024:47913950:1 gene:A09p056250.1_BraROA transcript:A09p056250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance RPP13-like protein 4 [Source:Projected from Arabidopsis thaliana (AT3G50950) UniProtKB/Swiss-Prot;Acc:Q38834] MSSSDSSSAETRLATAKTVLTTAASVAATAMLAKSLVQDYLPDEVHQYISYGFRIIFGYFSSQMTIVIEEFEGFVHNEVYEAAEAYLATKISPSNKRIKVSKHEKENNYNVTVERDEEVVDTFNGVKFRWVLHCRHVESKNFHNPRDLNSTLKSEVRSFELSFHKKFKDMALESYLPFMVKRAAVVKQEKKTLKIFTLDPDNMYGNYSEAWTSVILDHPSSFKTIAMDSDVKRNVMDDLDQFVKRRDFYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFDVYDLELTAVSNNSELRRLLIATANRSILVVEDIDCSIELKDRAADEPPRESEESNDPRYKKVTLSGLLNFIDGLWSSCGDERIIIFTTNYKEKLDAALLRPGRMDMHIHMSYCTPSTFKVLASNYLEIKEHKLFSKIGEGIDATEVSPAEVAEQLMKNDTVDKVLEGLVEFLKVKKIQNEEEKAKKEEKELENKDKTTKGKDSEVKKNEVVDEQVTRNDRVDKVLEGLVELLKAKKIDDGQDEVKHEEASNINLSPETSHLATAKTALTAVASVAAAAMLARTVIQDYMPAEVHDFVSCGIRKCFSHFGFQMTVVIEEFGGFENNQVFEAAEAYLASKISPSTRRIKVNKLEKQRNFSVTVERDEEVVDTFDGVKLSWVLVCLPVKKKDFRNPRDLNSTLKSEVRSYELRFNKKFKKMVLESYLPFVVEQAALMKQKTKTLKIFTLGSYSEWTSVTLDHPSTFQTLAMDPEEKKNVVEDLDCFVQRKSFYGRVGKAWKRGYLLYGPPGTGKSSLIAAIANHLNFDIYDLDLASVKSNADLRMLLMSTANRSVLVVEDIDCSIEFKDRTTDAHSDLLDKPVTLSGLLNFVDGLWSSCGNERIIVFTTNYRERLDPALLRPGRMDVHIHMSYCTPADHVLFEEIEELVREIKVTPAEIAEQLMRNDSVDQVLRGLIVFLRAKKFENDESRTKSRRSKHHELQILVCSHSLPLCLEKALNILEEKGRVVSEYNKQLKDLQDELQYMQSFLKDAERQKRTNDVLRKLVSDLRELVYEAEDILVDCQLADGNEAEDDNNNNEQRPSNAWLSRLYPARVSLQYKKSKRLKEINEKITSIKTKVEPYFKFRTPSNVGRDNGTDRWSSPVYDHTQVVGLEGDKRKIKEWLFNSKDSELLMMAFVGMGGLGKTTIAQEVFNDKEIENCFERRIWVSVSQTFTEEQIMRSILRNLGDASVGDDLGTLLRKIQQYLMGKRYLIVMDDVWDKNLSWWDKIHQGLPRGQGGSVIVTTRSESVAVKVQAREKTHRPELLSADNSWLLFCKVAFAANNGVCERSELEDVGKEIVTKCKGLPLTIKAVGGLLLCKDHVYHEWKRISEYFQDELRGNTSETDNVMSSLQLSYDELPSHLKSCFLTLSLYPEDCVIPKQQLVHGWIGEGFVMLRNGRSATESGEDCFSGLTNRCLVEVVDKTYSGTIVTCKIHDMVRDLVIDIAKNDSFSNSEGLNCRHIGISGNFEEKQVRVNHRLRGLVSTTKTGEVNKLNSELAKKFTDCKYLRVLDISKSIFDAPLSDILDEIASLKNLACLSMSNTHPLIQLPRSMEDLQNLQILDASYCQNLKQLQPCIVLFKKLLVLDMTNCGSLEYFPKGIGSLGNLEVLLGFKPSMSSNGCKLSEVRNLTNLRKLGLSLTRGDQIEEDELDSLVNLSKLMLLSINCYDSYGDDLITKIDALTPPHQLHELSLEFYPGKLSPSWLSPKRLPMLRYMSICSGNLAKMHQIFWETETNTHWRIEALMFHSLSELEMDWEELQLSMPYLRTVHANWCPELETFPIEDVGFRGGVWTKTPTHRT >A06p039720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21439412:21441415:-1 gene:A06p039720.1_BraROA transcript:A06p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase IX.2 [Source:Projected from Arabidopsis thaliana (AT5G65600) UniProtKB/Swiss-Prot;Acc:Q9LSL5] MANYILFISLFILFPFVVDSLYFNITSFQPDDPSQNIVYHGDAAADEDGTVNFNSAARTSQVGWITYSKEVPIWSRRTGNASDFNTSFSFKIDARNLTSDSHGICFFLAPVGAPLPAYTPSGFLGLFGPNIDYKSSFDLVHIEFDTFSNPGWDPRDVASHVGINNNSLESSNYTSWNASLHSQDIGHARVSYDSVNKNLSVSWGYELTASDDESSSLSYIIDLAKVLPSEIMLGFIAAAGLNTGEHRLLSWELSSSLDPEKADNKTGLVIGIAVAVFVLVTVLVISTVVVWSRKRNSREITNMVSSSSINEDLEKETGGPRKFSYKDLVSATNGFSHQRKLGEGGFGAVYSGNLKQINKMVAVKKLSCGSRQGKKEFLNEVKVISKLRHRNLVQLIGWCNEENEFLLIYELMPNGGLNSHLFGKREGILSWDTRYKIALGLASAILYLHEEWDQCVLHRDIKASNIMLDGDFNAKLGDFGLARVMSHKLDSHKTGLAGTFGYMAPEYVMTGCASKESDMYSFGIVLLEIVSGRKSLERRKEDEEEEGNSDDDDSESDEKSLVEKVWELYGKKELLSLGVDEKLGDDFNIEEAECLLVLGLWCGHPDKSSRPSIKQAIQVMKLESPLPANLPLKRPVATYYNSASSSSPPSVNSSRASITFSSKEFGR >A06g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25569511:25570693:-1 gene:A06g508980.1_BraROA transcript:A06g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAEKREDGKIYRNIPRPISRDLLQSFVSKKNKNMSVEDGEVEIELDLGLSLNGRFGVDPLAKTRLLTRSSSIPDFVVNDGRSELLSRTCSLPVETEEWRKRKDLQSLRRLEAKRKRSEKQRNVRVLREKHKTGAGAGGGEEKIVEEGSIGSSGSGSSGLSELDNTPPPPPPPVQATTNKSIETSPPSAAPAARNIIDDMPSVSTTGDGPNGKKVDGFLCRYRKGQEVRIVCVCHGSFLSPAEFIKHAGGSDVTHPLKHIVVNPSPFL >A04p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18417064:18421303:-1 gene:A04p031120.1_BraROA transcript:A04p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAISGEVPEEPVVSTKSGLLFERRLIERHILDYGKCPVTGEPLTIDDIVPIKTGKVIKPKPVHTASIPGLLGTFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDSACRVIARLKKERDEARQLLAEVERHIPAAPEAVTANAALSNGKRAAGDEEMAPDAKKLCPGISAEIITELTDCNAALSQKRKKRQIPETLASIDSLERFTQLSSHPLHKTNKPGICSMDILHSKDVIATGGVDATAVIFDRPSGQILSTLTGHSKKVTSVKFVGDSDLVLTASADKTVRIWRDSGDRNYACGHTLNDHSAEVRAVTVHATNKYFVSASLDSTWCFYDLSSGLCLAKVSDDSEKVDYTAAAFHPDGLILGTGTSQSVVKIWDVKSQANVAKFDGHTGEVTSISFSENGYFLATSAEDGVRLWDLRKLRNFKSFLSADANSVEFDPSGSYLGVAASDIRLYQTASVKAEWNLIKTLPDLSGTGKATCVKFGPDAQYVAVGSMDRNLRIFGLPGDQIANADDDSAQDS >A05p043370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26172421:26174511:-1 gene:A05p043370.1_BraROA transcript:A05p043370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGAVLFSEFVRIAQISLHHPIFDLLIDQKSFGDREKYKVDIKCLVAKIDCFLANVLDGSKLLWPPGTLTVWALLPFPLMISPVALRICLVSFSIIINTTVMQFAKILGDRTFDTFEYLRPRPVALLFIRSKALWPFSPTFITVSLVFVHTFSFQVGVCYDVCKKGIEVVVCTKYGSNYTSTTTMEWTDVACDPSKWVICSFFHHVIRFVHGAAILGSPSSLQWSLLLCEILKDVDEFLDTRSERLTVLMAVALSHAQLWVAILTLGEGWHNNHHAFQFSARHGLEWWQLDVTWYLIRFLEAIGLATNVKLPTEAQKKRMALI >A05p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31285986:31287541:-1 gene:A05p054670.1_BraROA transcript:A05p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQPTRIGLAGLAVMGQNLALNIASKGFPISVYNRTTSKVDETVERAKKEGNLPVYGFHDPESFVNSIQKPRVIIMLVKAGAPVDQTIKTLSAYLEKGDCIVDGGNEWYENTERREKAVSENGFLYLGMGVSGGEEGARNGPSLMPGGSFEAYKNIEDIVLKVAAQVRDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELHSVFTEWNKGELESFLVEITADIFGIKDDKGDGHLVDKVLDKTGMKGTGKWTVQQAAELSVPSPTIESSLDARFLSGLKDERVQAAKVFKEGGFGDVLTDQTVDKKQLIDDVRKALYASKICSYAQGMNLIRAKSMEKGWGLKLGELARIWKGGCIIRAIFLDRIKQAYDRNAELANLLVDPEFAKEIIERQSAWRRVVCLSINSGISTPGMSASLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDVEGSFHTEWFKIARQSKSNM >A01p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2346761:2348687:-1 gene:A01p005460.1_BraROA transcript:A01p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSPCPSVISLSSSSKNYIKPFGLNQTFFNHPQVLSTSSLKGLLFQEKKQTRRRRRRSCVFRAAISQQAPPETSTNDSSSSKPKRVMVIGGDGYCGWATALHLSKKNYEVAIVDNLVRRLFDHQLGLESLTPIASIHDRISRWKALTGKTIELYVGDICDFEFLSESFKSFQPDSVVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTINVLFAIKEFGEECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELRNRLDYDAVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKAGEFRVFNQFTEQFSVNELASLVTKAGSKLGLDVTKMTVPNPRVEAEEHYYNAKHTKLMELGLEPHYLSESLLDSLLNFAVQFKDRVDTKQIMPSVSWKKIGVKTKSMGA >A05g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26756621:26763379:-1 gene:A05g508970.1_BraROA transcript:A05g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLLIFMYILVSLVFSGFASSQKLATDEVDVLRAIAKGLHQNNWDFNVDPCGVASTVGGWRIPNADSDFTNAVTCNCSSSVCHVASIVVKGQNLNGSLPKEFAGLPFLQEIDLSRNFLNGSIPPEWGALPLVNLTLLGNRITGPIPKEIGNITSLVSFVLEFNQISGNLPPELANLPNVRRMVIQASGLVGPIPSTISPLSKLTDLRISDLSGPESPFPPLQNMTLMRTLILRNCNITGELPAYIGQNTSLKLLDLSFNKLSGPIPVEYRALSNVENMYFTSNMLNGEVPIWMIDRGEKIDLNYNNFTNDPTTAQCQRNAVNMFSSTSPLLANNYSNVSCLSSYKCPKTFYGLHINCGGTELTINGTKYDADTSARPIFYDSGNGWVSSNTGNFLEDARSPRGSTIWENTSELTIADPSLYTHASLSAISLTYYALCLGQGNYTVNLHFAEIMFSDDETYRSLGRRFFDVYIQGKLVVKDFNIVDEARGVGRAVVKSFPVMITDGKLDIRLFWAGKGTQGLPTRGVYGALISAVSVNPNFIPPKEAGTGSGGGSSIGTLVGAVLASTVFLVLLIGGILWWRGCLRPKSQMEKDFKNLDFQISSFSLRQIKVATNNFDPANKIGEGGFGPVHKGTLADGTVMAVKQLSSKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPLETQIRLDWPTRHKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNAKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGRSNTITRSKVETFNLLDWVHVLREQNKLMEVVDPRLGTDYNREEAMTMIQIGILCTSQVPSERPSMSTVVSMLEGSSTVNVEKLLEASLRRENKKDEESMSAMKKHYAMTEQPISADGPFTSSSTSTANASDLYPLKLDSAYWNSRV >A10p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1924420:1925597:-1 gene:A10p003940.1_BraROA transcript:A10p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G05010) UniProtKB/Swiss-Prot;Acc:Q06588] MESFPIINLEKLNGEERGLTMEKIKDACENWGFFECVNHGIPHELLDRVEKMTKEHYKKCMEDRFKESIKNRGLDSVRSEVNDVDWESTFYLKHLPASNISHVPDLDDDYRTLMKEFAGKIEMLSEELLDLLCENLGLEKGYLKKVFYGSKSPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGEWVDVPPVKHSIVVNLGDQLEVITNGKYKSVEHRVIAQTDGEGRMSIASFYNPGSDSVIFPAPELIGKENEKKDNYPKF >A09p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1730086:1731520:-1 gene:A09p002600.1_BraROA transcript:A09p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-D6-1 [Source:Projected from Arabidopsis thaliana (AT4G03270) UniProtKB/Swiss-Prot;Acc:Q9ZR04] MEFHLEHPLSHSPSIHNNNNETNFNDDTLPPNSLFLVEPQHMPSPHYFHTLKSSASLLSNRSHAVSSIIQYSRKLDDPSLTYLAVNYLDRFLSSEDMMPQSKPWILKLISLSCMIERMENVILGALKWRMRSVTPFSFLSFFLSLLFELEEDHSVLKHSLKAQATDLTFSLQHDIKFLEFKPSVVAAAALLFASSEICPQQFPCFSNRICQCTYVNKDELMECYKAMQERNVVEDNEGSNDTAVNVLDQQFSSCEESAITASSPKRRKITTSTSRRC >A08p000250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:176013:176999:-1 gene:A08p000250.1_BraROA transcript:A08p000250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCIDTSRSTGKALLSQDDDSRFHYINLFPPGNLFPGRISRSPITITSSLVPDFDRDDGDVWFKMLDEAKSDVEQEPVLSSYYHSSITSHRSLESALANILSVKLSTLALPSNTLFELFISVLEESPEMIESAKQDLLAAKERDPACVSYVHCFLSFKGFLACQAHRIAHKLWAQDRKILALLIQNRVSEAFAVDIHPGAKIGKGVLLDHATAVVIGETAVVGDNVSILHGVTLGGTGKQCGDRHPKIGDGVLIGAGTCVLGNITIGEGAKVGSGSVVLKDVPPHTTAVGNPARLIGGKENPKMLDKIPGLSMDQTSYLTEWSDYVI >A01g510800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30070075:30070668:-1 gene:A01g510800.1_BraROA transcript:A01g510800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYRYLLATYWANDESESVRKALQIKKSIGRWIRCNLDIPYSNDIISNVPYHMDNSINGYLPYIGTQAWIRSLNYSVIDNWRPWMINNQLAGYTRSYANKMTFATIKA >A05p052740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30555310:30563080:-1 gene:A05p052740.1_BraROA transcript:A05p052740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTTPMYMARSNLAKSGQISRNALCPCGSRKRYKKSHDDRTSVNRTVHDSGHRAGFRSYRFKASVERIRGGVCVDEETVRKHVLEAKKKEEESDVKHSLETHVMMLALEMQTEEEVAKKHEELKKEVQVKKEELEARENELKKREEELEVRRKSLEVKEKSVEERQKEESTEIEKRNQKQEAEAREIEVKRNSLELKEKQLEEREERAKSRKRSRDEDCLTRKKHKHDAKEKETASDEDPEPYSCPDADFNTFNNNTISSFAVGQIWALYDPSDKMPRYYARIRKVLEPKLRVGIRWLESKPAPIACGEFKYGEKTTSSHLMFSHEMHHVRTGKKTVSINPRKGETWALFRDCKQHKRPYGYDFVQIESELDSDHGVGVAYLGRVEGFTSVYELAEQHGLLKMMIPSDEMLRFSHRVPSFKLTGDEEKGVPAGSFELDPAAIPKDCLEPLKVKQERSSLPELDPEYQWDEELVERCLSRAAETATGMLDLSGQVREYKEMMVESVRKQSLEMEEKEKESDLRRSLEAELLILVLEMQMVEEVEREFRVRKEKLEEREEVVRVLGENVQERCDEMEKREEDFELKAKDVEVKRKELEKERRLLDETFKERSNEMEKREEEFQLKAKDVEVKRKELELKEKSVEEIMSEMEKREKEFELKARDVEVKRKELELKEKSVEEIMSEMEKREEQFQFKARDVEVKRKELELKEKSVEEIMSEVEAREKAQRLLDETIKEKSNEMEKREEKFQLRQDSEAKEIEAKMRSLELKEKELERKEKEFEELSKQTETRKRPRDEPETSLFAENDNLTHSVKRLKSIGEHSHEDLDCVILDSTDDDEADDDEDPEPYNCLDADFNNFNNTMSSFSVGQVWALYDPLDHMPRLYAKIKKVLELEMRVEVTWLESKQKSHIPISCGEFKYGERTVKSHLTFSHLMDHIGGTGKKKKSIITVNPRKGETWALFRDWKKQQKRPYSYDFVQIVSELDSGGIGVAYLGRVEGFTSVYELAEQNGVLQMMVRCDEMLRFSHRVPSFKLTGDERKGVPAGSFELDPAAIPRDYLEASEFLTFPTISEDHHHHLSEATMAVPWLLVVHGIITLTVVISFLCGQWPIFKGTPFQWTHYFLTRGAYHYLLRFVGMVFGSKGTDVVLTVEQFCCDRPNPILQIIYIGIIGSVYFIIAKSSFIYIPGYYIGDVHKYTSFLAVVVGVILFLLTSFCDPGTVNAENVSQYISAYPYDDIIYSEKECSTCRIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFMAFLFWHFLLCLYGAIAIGFVLAGRVKELRVVHILTVYYGVDSSFRSLAPRWLAGTYNTQILVMVFLAIVSLLLAGFFAYHLKLCLTNTTTNETFKWREYISLRKKLSDAKATSAALKAGTPYCEVKKRKCFGLCGRSSAHHDDEAEAKAQAIVKRNMYDRGSFQNLSEIVFPLSSRPHTSYKPNPKSE >A08p003050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1732130:1734711:-1 gene:A08p003050.1_BraROA transcript:A08p003050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSRNGEISPKLLHLIPQGRRNWFHHEKVITASLWFFNRRTILDVMVSISIYSILDESPFVVREENHEIYREIYGDPIYDIYEDDVLHIDFIFKEGSIEISRAKLGQNRICEDFLQNLNYLSDANFVQKRICEDSSYKQISAKIKASVYNTEEKNLELKLGPPGEDEDEDGSSLTRRFKKEQKDKSILSLAGNHHFSPSITTNKPTSQKRNAPGPVVGWPPVRSFRKNLANGSSSKLGNESTSVLKNQKCDDDNGREKTKEPKRQGGLFVKINMYGVPIGRKVDLSAHDSYEQLSLTVDKLFRGLLAAQRESLSFGKEEKPITGLLDGNGEYTLTYEDNEGDKMLVGDVPWHMFVSSVKRLRVIKTSEISSALTYANGKQENMGS >A03g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20182011:20184079:1 gene:A03g505720.1_BraROA transcript:A03g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKVFFSDLKTGRCSSIVEARLLRFWEAKNVKRGGELMWMDLLMVDVNSTMMQVTISAGRLPQFRDRLLAGAMFSLSGFDVSRCAQNFRLTDSSLMIRFSETTSFQLLTEPDSPLPEEAFRFRNQQELIGLANTNTQLPENDTGFELDVDIIGEILSVKSTVCDPPEEKNRVMVTLKLERSDNFDFPIRLMLVEMNLVLDRYFLYELQRRRHCDLKDGGRIKDISHEKKHMKQFLIKECHRCFDTSPKRADELLRNMARVEIPIIIISNEFNITIHISNINGLSISGFEMQQLVTGVSSVYDYERILHYRTSL >A01g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5788857:5790473:1 gene:A01g501520.1_BraROA transcript:A01g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREIKLVTRAVSKLSQSTPIRNLKAKPCGESPEIRSPSRRLFLSSLRRLSPPSLSSPRLSSLSLSSPRLLLSLSAASLSPRREQPRVVVVAAWCQRLQIPFLLLSILRSRSRSRLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQERGGLVRLSCVGPRELGVVTSRFSFRIERTISGNVDGRRGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSQSIAGSVGLGS >A06p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10243823:10245013:1 gene:A06p020860.1_BraROA transcript:A06p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVSSKIKRLLASTASGNSSPKDGDDVDVREEYANAFRTESYNQFWTRVISLNRKKPTLSSSSSPIESSSTSARLMSYRLFAHNLLEPGPNTVNRILDLSRVRRPARSLLADYFLETANAFLLCTLLLKNIHRLRSKYESLKPKFHSETHSSLAFLDQFTELSRWFDPFISSGSRIQLTRTGCLNLLKWLESSRDKTRTKLKLINGLTHSSGLLVLALTTTLIVTIASHAFALFIAGPTLLTGRFKPVGLRNKLTKTAARLDVAAKGTYILSRDLDTISRLVTRINDEVEHVRAMAEFWAGRGSGRVRGGEEVARELKRCEESFSEELDELEEHIYLCFMTINRARNLVVREIMHPDDPPDCSFAPKSK >A06p014890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6659742:6660200:1 gene:A06p014890.1_BraROA transcript:A06p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESKQSSVSDDKTSVIGRSSKEEKQSKVHEKETHGTSEDINEETRVDDVRGPGVFGRMKEEVEAIVDAVTPSKSSADDK >A03p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2875050:2876840:-1 gene:A03p006910.1_BraROA transcript:A03p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRLSPSSSSSSSSLPTETKFSENQTETSSNNSSFIFLEWKRGKGGNPLVRGIRSRLLRPKSMPLNTLVNARDGSSRVVLSEPSGSYAEAQMKPPKAIRGGLPICFPQFGNFGALERHGFARNRFWSFDNDPSPLPPANQQSTVDLVLKSTEDDLKIWPHSFELRVRISISPGKLTIIPRVRNTDPKAFSFMFALRNYLYVSDISEVRVEGLETLDYLDNLVRRERFTEQADAITFDGEIDRVYLNTPTKIAIIDHERKRTIELRKEGMPNAVVWNPWDKKAKSITDMGDEDYTTMLCVDSGAIETPILLKPCEEWRGRQELSIVSSSYCSGQLDPRKVLYGDH >A02g512460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33797842:33804844:-1 gene:A02g512460.1_BraROA transcript:A02g512460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLISTGFRLTTLPENYVRPLSDRPRLSEVSQLEDFPLIDISATDRPRLVQQIHQACARFGFFQVINHGVSKATIDEMVTVAHEFFGMPMDEKMKLYSDDPTKTPRLSTSFNVKEEEVNNWRDYLRLHCYPIDKYVHEWPSNPSSFKEVVSKYSREIRELGFKIEELISESLGLEKDYMKKVLGEQGQHMAVNYYPPCPEPELTYGLPAHTDPNALTILLQDATVCGLQILIDGHWFAVNPRPDAFVINIGDQLQALSNGVYKSVWHRAVTNTENPRLSIASFMCPDNGAVISPAKPLWEAKEEEAKPVYRDYTYAEYYKKFWSRNLDQEHCLENFLND >A10p036770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20871957:20874050:1 gene:A10p036770.1_BraROA transcript:A10p036770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALCLGDNTNKFSFMEKPSKTNNNPSASSTSTSYKDLGFNMGLDVLGFGGHRSLSSSSSPSMEDEKNKNKRKLAPKEIDSDGFRVSSSVDPSLQLQLHFPWLPENSRQGGRMLSGATTFPEEEEEAALSMSVSPPDSVTSSFRLDFGIKSYGYERRNNKRGNENDEVERSTSRASNEDNDDDNGATRKKLRLSKDQSAFLEDSFKEHSTLNPKQKIALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCESLTEENRRLQKEVKELRALKTSSPFCMQLPATTLTMCPSCERVATSVSQPSSTSAAHNIDLSKSSFHSPLIPVKPGPGKQV >A09p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:867345:877136:1 gene:A09p001080.1_BraROA transcript:A09p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWFKSFKWACLRNPKPNISGETMSTVGELACSYAVMILEDEGISITSDKIATLVKAAGVEIESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGGGGAPVAAAAPAAGGGAAAAAPAKEEKKDEPAEESDGDLGFGLSSAVTSPSERTNRVLDSTETKRKFINMKNSHVELDLDSFLVSDSDSEPDSDPSSVPHRTIDEILNASTSSSPSSSPPPSPPLGRDQLNRRNQNDLSRRLSESVRPQSELLRGFPSLTDPARRSSAPSTSLRQLPLPSLFAGVRSNVKPGAALAAAVAASRLVPTPHAAIIKSRRASSASSELLLGGAAGESSSNQDEDDHEVLSSNGDSAGIENPSFDRESRLEDEDDGVVVASQDNEAKVMEVQASDIDDYEKNSKPDLVTSSSGVDVEEEVSTEQEGMAATEAGDADAMLVAPSAESLESQNLNENSDDVKVSNDEESSDGDVNKNDAKEEEGGDDAFIPDDGSSVSGISELVEERIEEMEKERMSKREKLKSRSFRKQLVLAEEFEKKQAYTGLHWEEGAAAQPMRLEGVKIGSTNLGYFDVDTSNIISRTISSQAFKRDHGSPQVLAVHLNFIAVGTSKGVIVVVPSKYSSDTADQMESKMIWLGLQGERSHSPVTSVCFNQQGGLLLAGYGDGHVTVWDVQRASAAKVITEHTAPVVYAFFLGRDSQGSRIFKCLLDGQKNGMVLSASPLPDEYFGSSLASSKAGNTATPSSSISSMVGGVVGVDSSWKLFNEDSSAVEEGVVVFVTYQTVLVVKLIPDLEVYAQLPRPEGVREGSMPYTAWKCSMENSSKEAKDRVSFLAIAWDRRVQVAKLVKSKLKECAKWSLDSAAIGVVWLDDQLLVIPTVTGHLYLFTRDGVVIHQTSFSVDGSSGNDLISYHTYFTNVFGNPEKAYHNSVGVRGASVYILGTARLVISRLLPWKERVDVLRRGGDWMGAFNMAMSLFNGQAHGVVDLPKTVDAIREAIAPSLAELLLSYVDEVFSYITIAFSSQIEKNGVTNEPSSGTNNVNLEIEEQYNRVGGVAVEFCVHINRMDLLFDEIFSRFVAVQQRDTFLELLEPYILKDMLGSLPPEIMQALVEHYSRKGWLQRIEQCVLHMDISSLDFNQVVRICREHGLYGALLYLFNKGLDDFRSPLEELLIVFRNSERQRSTAIGYRMLVYLKYCFLGLAFPPGHGTLVPTRLPSLRTELIQFLLEKSNSPESSRCVSSPRIYLNLYHLLGLDTEATLDVLRYAFAESEMVDHETLLLESGEVSLESKTDGSIPEDRCNDMLIQNVIEALVHIIDGGVSQLDESGDPDDSKSDKKWPSKEDTSHLFEFVAYYAARGRASIPKSVLAQILDYLTSDHILPTYNMSPKMRENQFLNLLQAVPETDWDVAYVSQLCEKAHFYQVCGYIHTIGRRYVAALESYMKEAEEPIHSFCYVNKMLSQLNGNEFTAFQSAVIDRIPELLELSREGTFFLIIDNLKDNITRIQEQLRSHPRSMFLYLKTVIEVHLSGSLDFSRLRKHEAVDCLGENTRRNIPKEAELYLEGLNNFPKFIQDNPVNVTDDMIELYLELLCKYEPKSVLRFLETFDNYRVEHCLRLCQKYGIADAAAFLLERVGDAASALSLTLSGLGEKFIALENAVTCLISELKLGASEVATLEQSNSALELKEVNDIQGVLQACIGLCQRNTPRLNPEESEILWFRFLDTFSEPLMDSYREPRTVDEINKGTSSVKSLELCVDEAIDTIKWRIPRSNVAGTQILRKLMSQFIKEIVEGMIGYVRLPTIMSKLLSDNGTQEFGDFKLTILGMLGTYGFERRILDTAKSLIEEDTFYTMSLLKKGASHGYAPRSLLCCICNCPLTKTFSTLRVRVFNCGHATHLQCEVSESETSSSSSSGCPVCMTKKTTQSSSKGKSFYLDYGLISTVSSSTGTSQRPSPYSYENEMIDHSHSQQISRFEMLTKLQKDQRLVQIESLPRLKLSPPAVYHEKVSRVSGFTPGESSGKDSKPSQSGLMSKKTKVKGSIFRPRLGQDEQKIRKFLTNLISETGCGLVTANLIGMKDTRVVMW >A09g518770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57207558:57214496:-1 gene:A09g518770.1_BraROA transcript:A09g518770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFACICLYQSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYARFYFLNLCFITLSILDHPRSNPYTHEFSFPLVKKEDPLAVNEVEGLEGQKELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFCHQEADHLLFLENHQKPLESDLFESIDQFLSTCILGALISLRTGSTIFYTTTFVLGALKTPNITLSILNQLLPLTVQQYKSNQSLPTISIKP >A10p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21252961:21255870:1 gene:A10p037780.1_BraROA transcript:A10p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase 1 [Source:Projected from Arabidopsis thaliana (AT5G05700) UniProtKB/Swiss-Prot;Acc:Q9ZT48] MSSKKVKSANDASSSRDGLGGGESVIADHGRRRSTCGYCKSPARSSISHGLSAETLTVSDYQALIDRGWRRSGCYLYKHEMDKTCCPSYTIRLKASDFVPSKEQQRVSRRLERFLDGKLDVQPKEQTEHTGVSSSLGTATSEEKSKVEPVMDDLSKTIDQAVQICIQSGEFPSNMQIPKASVKKVLCDKRKKLAKGPEQLLYTSNIAFPIAAAIKRTHTSEKVEINGNKLSPETISEILLTAMSKLGETPGISIEVSKGHINFLSASKASLSEKDVVPNETLHAKKDSRKLKLEMRLKRSSFDPEEHELYKRYQLKVHNDNPSHVVESSYRRFLVDSPLIYVQPLGENDEKVVPPCGFGSFHQQYRVDGRLVAVGVVDILPKCLSSVYLFWDPDYAFLSLGKYSAIQEINWVRENQARCPSLEYYYLGYYIHSCSKMRYKAAYRPSELLCPLRFKWVPFEVARPLLDKKRYVILSDIKESQNQCSLLPHASETLATSEHEDMEQGETNDDFMGGSDDEDEEMDESESEDAYIESDIDNIVIGLYGSQYRYKDLRKMLNPVGRKQLEPMLQSYRKVVGDELSERMVYEIR >A03p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6893213:6895833:-1 gene:A03p017170.1_BraROA transcript:A03p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPHSNLLPEVDSLPDGFVDGAADPPPVVTEEHNRNDDVPIEKAEKPRTFPVPLCEETDVNDDGGGVDDLIKVSSKLSVEQEESSPPPPPPPPQALSEGSTQNLNLAKDKATDSTQSVDSVKPRKQEAVETTKRKNSKNVFKSEKEFLEFMLKYQQVLSERDSAITVRDKLESLCRELQRQNKMLMEECKRVSTEGQTLRSDLSTKFQEAIKDVSIKLDEQKDESLSQLKENEMLRTKLKHLADQYMLSEQQHEQRLKQKSLELQISELKIKQHEEKLIHEQSQMKVYADQVSQLLATEKNLRVQLTSDGEKFQQFQDALVKSNEVFETFKQEIDKMSKAIKELRKENAFLKSKTERSDLTLIELVEERERLKKQLEKTKNQKDKLESLCRSLQAERKQKETNTSDSSTAQA >A02g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25147466:25148045:1 gene:A02g509430.1_BraROA transcript:A02g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLREEMIAVFKPIQEETFCSVVQKSGCKGDREVAAFLLDHPALEGEEGFGRVPPTTIVRAKYYNGDRKTEWERGSLQGFIPNKKWKPKEFAVDDVQRLSLIDIRFGNNDRHCGNILKSNINGGRLIPIDHGEYFPMEWNNYTFI >A09p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4204572:4207308:-1 gene:A09p008130.1_BraROA transcript:A09p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKFFSRPSPIINYLKLAVSSFLIDVARYNWPPSRGEPFHWWKQQIDTLQYSTGSTGIEYIIIIQSFLENYNPLYSFSDLLSGEPPLRFPMMRDRQLFSAVLLVLAFVSLQKLCYCDDQTVLYESFDEAFDGRWIVSKNGDYEGVWKHEKSEGHDDYGLLVSEKARKYGIVKVLDEPLNLKDGTIVLQYEVRFQEGLECGGAYLKYLRPQEAGWTPEGFDSESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANLLSGEDFEPALIPDKTIPDPEDKKPEDWDERAKIPDPNAVKPEDWDEEAPMEIEDDEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGMWEAPKIDNPKCEAAPGCGEWKRPMKKNPAYKGKWSAPMIDNPAYKGIWKPRDIPNPDYFELDRPDYEPIAAIGIEIWTMQDGILFDNILIAKDEKVAESYRQTTWKPKFDVEKEKQKEEEKAAESADGLKSYQKVVFDLLNKVADISFLSAYKSKITELIEKAEEQPNLTIGVLVSIVIVFFSLFIKLIFGGKKASPAAASVEKKKPEVGESSKSGDESEKKEETAAAPRKRQPRRDN >A09p083050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59906982:59910776:1 gene:A09p083050.1_BraROA transcript:A09p083050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVPVFVAYNLYLSLDPESFPNLMHFISSVEMSSIASGTVPTTKSVACFRKSASSSSLLHRSSSSRFMPTSLSPIYTKLINSNNSSSVSSSSSSSSPKPLRPVMRSREADRLEEERLRQVHWQDVTVKMVVDAPASVAYNLYADRDLFPKWMPFLSSVEKFELNIIYSCNLSQQPIPDRKLHWRSIEGFANRGSVRFFPRGPSLCLVEINFSFEVPHALAPVAFLMKPFMEKLIRGGLERFAAFVKTS >A10p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1660287:1666281:-1 gene:A10p003310.1_BraROA transcript:A10p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Benzaldehyde dehydrogenase (NAD(+)) [Source:Projected from Arabidopsis thaliana (AT1G04580) UniProtKB/Swiss-Prot;Acc:Q7G191] MAMAMASSSLPYVVVGSSSYEESIQCFGSVYPSMATHPRERVYGRNQSASQHHRKSQFRFPIVLKLLCCGEKMACEDLVFAVNGERFEVFSVHPSTTLLEFLRSSTPFKSSKLSCGEGGCGACIVILSKYDPVSDKVEEYSINSCLTLLCSINGCSITTSDGLGNTERGFHPIHKRFAGFHASQCGFCTPGMCISLYSALSNAHNSNPPDYLTASAAEKSISGNLCRCTGYRPIADACKSFAVDVDIEDLGFNSFWRKGESREEMLKKLPPYNPDKDLVAFPDFLKAKIKRQHNVLDQTRYHWSTPGSVEELQEILATINSGGDRGLVKLVVGNTGTGYYKEVKQYSRYIDISHIPEMSMIKKDDRGIEIGAVVTISKVIDALMDENTSGYVFKKIGIHMEKVANHFIRNSGSIGGNLVMAQSKNFPSDITTLLLAADASVHMMNAGRHERRRIGEFLVSPPIIDTTAVLLKVQIPTWTASSTTGLLFETYRAAPRPFGSALPYINAAFLAVVSHDALSRGVVVDKCRLAFGSFGGYHSIRAREVEDFLTGKLLSHSVLFEAVRLLKGIIVPSIDTSYTEYKKSLAAGFLFDFLYPLIESGCWDSERKHVDGHVVPTLSLPSLSSAQQVFENKEFQPVGEAIIKYGAEMQAAGEAVYVDDIPSLPNCLHGAFIYSTKALARIKRVGFRGNVTPVGVLAVITFKDIPQAGQNVGYISMFGTGLLFADEVTICAGQIIALVVADTQKHADLAVKLAVVEYDLKNIETPVLSVEEAVNRSSLFEVPSQYKPEPAGDVSKGMAEADRKIRSVELTLESQYFFYMETQTALALPDEDKYLVVYSSTQASEYTQAVIATCLGIPENNVRVITRRLGGGFGGKAIKSMPVATACALAAQKLQRPVRIYLNRKTDMIMVGGRHPMKITYSVGFTSDGKLTALETTMLIDAGIDVDVSPMLPKNIVNSLKKYDWGALSFDIKVCKTNRPSRTSLRAPGEVQGSYIAESIVENVACSLNMDVDVVRRINVHTYESLSKFYTEAAGEPDEYTLPLLWDKLEISSDFKRRAVSVKEFNAGNVWRKRGISRVPIVYHVKNRPTPGRVSILWDGSVVVEVAGIEMGQGLWTKVQQMVAYGLGIIKCEGSQDLLERIRLLQTDTLSMTQTSYTAGSTTSENCCEAVRLCCAILVERLKATMNEILENARSVTWDMLIQQAYAQSVDLSARTFYKPETSSADYLNYGVGASEVEVDIVTGRTEIIRSDIIYDCGRSLNPAVDLGQIEGAFVQGIGFFMNEEYTTDENGLVIQEGTWDYKIPTIDTIPKQFNVQILNSGHHRNRVLSSKASGEPPLLGAASVHCATRSAIREARKQYFSWKCVNGGDRRDDFDLGFELPVPAIMPVVKQLCGLDSVEKYLEWKTYPLK >A09g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7404899:7407814:-1 gene:A09g502070.1_BraROA transcript:A09g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p057650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24998917:25000804:-1 gene:A03p057650.1_BraROA transcript:A03p057650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFKFCSALRALGSIMILFVIGIIGFTYYALVLVNYGPSLLLGGLDSFIALLVLALFHFLLVMVLWSYFSVVVTDPGGVPPGWRPELDIEKGDGNEAAIAEASPLSVGDSSSHIVRHCRKCNQYKPPRSHHCSVCGRCILKMDHHCVWVVNCVGARNYKSFLLFLFYTFLETTVVAVSLFPAFLVFFTDGDDEITVSLGSLAATFIAFILNIAFALSVLGFLIMHIMLVARNTTTIEAYEKYTTPNSPYDLGRKANFEQVFGRDKMYWFVPLYTEEDMKRLPALGGLDFRSRLEESEPLQSL >A09g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18530252:18531698:-1 gene:A09g506170.1_BraROA transcript:A09g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSGRVKAQGDNYQYYDREGNLYKEHQADRLNKYATLLIMGDERAAFTPDNADDGAGHRYAINRIVALILNEAEIENASDDILEDAWLDFAMFETPLTCPQQKNDVGGSFVVQRSQTIPYSQSGIVIREPIIRLVSPQTEARCKGKEKAVATEGNKCGRDVQFLLPETSTNNGVGGGNGESSRAVRRRLFEDPMEANNAAIGRDGQTETQADPIESPTTAEPSGVAQQSSLYTWTRFQDLLHDLLNDESTEPVLFARDAAPVIDSGEVDGIDAALEAIPYEGDNLFVG >A03p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9619192:9620169:1 gene:A03p022820.1_BraROA transcript:A03p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITKPLYIFFIFHIGFNIRGDYNIRPKQSRVLPSLLSTSSSPLPLIKVSAAAKLTSRIQLLSPHQILKWQGKVQTRAMSPAKVDTTKKADPKAKALKAAKAVKSGQAFKKKDKKIRTKVTFHRPKTLTKARDPKYPRISATPRNKLDHYGILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >A03p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6157638:6162248:-1 gene:A03p015630.1_BraROA transcript:A03p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKKVFSSDEVSQHNKRDDCWLIISGKVYDVTHFMDEHPGGDEVFLSSAGKDATFDFEDVGHSEDARELMKEYYIGEIDSSIPATRTYVAPFLVLILILPLVVPKRWKDPKGEMASDKKVFTFDEVSQHNKRDDCWLIISGKVYDVTPFMDVHPGGDDVLFALAGKDATIDFEDVGHSEDARELMKEYYIGEIDSSTTVPATRTYVAPVQPTNNQDKTPRFIIKILQFLFPILILGLALFVRQYTKKE >A09g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:789391:791179:-1 gene:A09g500220.1_BraROA transcript:A09g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSDMEYQGWNFEENYNLSNNRRPLRPQDELVELLWRDGQVVLQSQTNREQTQAQTVKHDHHQETLRSQTFLDDQETVSWLQYPPYEDQFITDGFSSHFFSTGNPLERPAPETVKHEGGPDPPDQAMPPPKFRTRKGTILSVVTVGPSHCGSSQSHNNLDVSVSHDRSKTVNERLYHNAGSSSGGSSGCSFGKNIKEMASVQSITTDRKRKHIMDTDGYASQPDVTNRTNHKDQRAGVEQLKFIISPKGYSSRRRDRINERMKALQELIPHCTKTDKASILDEAIDYMKSLKLQLQVMWMGNGMAAAATAPMMLPDVQPQPFIRQMQSPVQLPRFPVMNNPGIVCQNPVQNQVFSNRFDRYIGVFPQMQAASQPMAMLRFGSQAGQQSQQPSAPTKTTDGSR >A05p002070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:617750:622998:-1 gene:A05p002070.1_BraROA transcript:A05p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCGNSYGIFSYSRLSFVSSTLRSLLALYKCSHPPLNLSLRRGSPYTITKQRERWTEEEHNRFLDALRLYGRAWQKIEEHVATKTAVQIRSHAQKFFSKVEKEAEAKGVPVAQTLDIAIPPPRPKRKPNNPYPRKTGTGSGSLPISKTCLNDGKQSIASGKVSLPETTNEDLQEDNCSDCLTHQHVSAASNASTFLEFLPSREQEQGKNKESNSANYSEPQTYPRHIPLLVPLGSSITTSLSHAPPSDPDENSHHPHTVSGHHQSFPDHIMSTLLQTPALYTAASFASTFGGGPQGNLAAMAAATVAAASAWWAANGMLPLCAPFSSGGLTCHPPATAYGPSGEVDHTKTVDQEHSEASKARSSLESEEAKNGSKPDCHHHPCAATETDAKGSDGARDRKQVDRSSCGSNTPSSSDDVEADALVERQENGGTTNEEVKEVDGDNTNNPQTSESNARRSRISSSNLADPWKAVSDEGRIAFRALFAREVLPQSFTYQREEGQQQQQRYPMELDLNSAADDQEENRNIAFLGASKQQLLSRGRTGFKPYKRCSMEAKESRVINSTNPIISVEQKDPKRIRSQHIRCDDQQMSEETYEETMESNESPRPAPKLNERILSTLSKRSVAAHPWHDLEIGPEAPLVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEMDDKIIAVCADDPEYKHFTDIKQLAPHRLSEIRRFFEDYKKNEHKEVAVNDFLPSEKAHEAIQYSMDLYAEYILHSLRR >A02p015110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6744621:6745897:-1 gene:A02p015110.1_BraROA transcript:A02p015110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSGNKSNCGFSLQRPRSTQEEEQAEDDERQAKSIDLREEEEEEEEHEDDDGATSADLEGDEEEEEEEEEEKKHICCACGKSFTSGKALGGHKSLHMLQTRKISTVRPKMVSAGDERGEFERMERSFASSSSPISTDDDDDDDGDDDDDHWELENVVDLKESVKVSWTTGKRARRSALKIDESDIDARNLLVLATTAEAVDLDVVGTSDSLSGEEVMTKKKKKKKRLSEMEKEPSQLEVVVGGADEEGGGAREKHVCVTCNKSFTSYQALGGHRASHNKVKVLENHHARANGEALLLGTEAMITGLGSAQGPNTSLSSIEDHVCNKCHKSFPTGQALGGHKRRHWTGPASSEVATAVPSAATAPGGASSSQVTETVQEVKKRKLLEFDLNELPPNEE >A07p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3927761:3930393:-1 gene:A07p002300.1_BraROA transcript:A07p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSKLDDEESVQICKDRKRFIKQAIEHRTKFASSHIAYIQSLRKVSDALHDFIQGDNYKPPHDFLQDSFVTPVKRLPPSRSRRLSSSSRSGGGGGGEFITISPSSMPQKMIQDKPRTKVRASYLMANRTRPVRVEERSPETFRLESFSPPPSHEADGFFGMNMNMNMNTSAASSSSFWNPLSSPEQQRLSTHNIPPPSPQNSQWDFFWNPFSSLDYYGYNNSYDRGSVDNNRSGTMDDEVRGLRRVREEEGIPDLEEDDEPQPPQPVRFHTPKVVVEESKSCCKEEVKVEDVDDNDDDDDDDEFTDSGCEESENEGDEKCVGKKEQRTVEVPRVETTGSVVVEEVKNVVNVAKRETPGFTVYVNRRPTSMAEVIKDLEDQFTTICEAAREVSGLLEASRAQYAPSSSDHSAMKKLNPVALFRSGSSRSSSSRFLLTSSSGGSKESGSESRSDGSDESCMVSGSHQTTLDKLFAWEKKLYDEVKSGERVRRAYEKKCMQLRNQDVKGDDPFAVDKTRATIRDLDTQIKVSIHSIESISKRIETLRDQELLPQLLELVKGLTRMWQVMAESHQIQKRTLDEAKMLLAGTPVSKRHKKRQQQQPSIMPETINSQRLAQSALNLEAQLRNWRGCFEFWITSQRSYMTALSGWLLRCLRCDPDPDKVRLSSCPHPIYRVCIQWSRLLNSLNENPVLDKLEFFASGMGSVYARQVREDPNWSGGGSRRYSGSESLDLVLADRVGEEDVVMTAEKLAEVAVKVLCHGMSVAVSSLAEFAINSADEHSKLVVNQPEETADAKPDVNSNS >SC179g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:358485:362304:1 gene:SC179g500160.1_BraROA transcript:SC179g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGGLRNQPGSLIDPNTLVSYPFWSLSGVSGSQEVSSAHHLSKKRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQGTQEEEGHHLCHEEGRLLPTFCGKSTACSKEGREDVPTHQMSVERTVDMQRKSITRRVHKGSDTCNSPSTKNVETKVLCHCISSLGHSLVYRKCSMGHYAMRGVSCETLYGDSNTLVPVTSRCKGFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGQFLASLRWLRSLLRGGDPNQFYEEGKPFSKKAVKSVERGRPQTSSMKRKDLD >A06g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5159135:5160385:1 gene:A06g501360.1_BraROA transcript:A06g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALENKQQLKKKHENKLAQDVIVQDTIEVGAKKNAVAWVQDAVVAGFSQFNLFKEPGKQEDTTAHQDHHCKNRLKESLSLAEKLSYSEFQANGSFKYLENSLKKGLKAVNCWLDDLIKNRCEASEKVKELRKKLHQFLLKHIESATGETM >A03g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15454966:15456367:1 gene:A03g504370.1_BraROA transcript:A03g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLNQSKKKKGPSRISISTIVVCNLVVAVVVLSLVTTYRHWSQRSRNAIETQSQRFEDTNAASEQKSYDLPGYADISTSKGLITVELFKDASPEAVDRFLDLCQKDHFKGMPFHRVIKNYLVQAGHSQSSIPVEEWTSKGKLRGRLNTSPKHEAFMLGTPKTKGNNNNKDFELLITTAPIPDLNDQLIVFGRVLKGEDVVQEIEEVDTDEHYQPKSQIGIISVILKREL >A05g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1089984:1090553:1 gene:A05g500240.1_BraROA transcript:A05g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGVPKLPKIQPADIQAAVGWGVAAAAGAIWVVQPFDWIKKTFIDKPATEEN >A09p074750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56597053:56601286:-1 gene:A09p074750.1_BraROA transcript:A09p074750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSHRQQHPFQQHHMEPGYMNDSLPQPQAFTPNQTGPTSANDRPLNSNTSEVKPGLHYSIQTGEEFSLEFLRDRVISQRSANPNAANDMVGNGMREYDRTNYPLHEFGNQLGHIQSAPEASLCQDTSLGNFHGYASSSSASGSVTAKVKVLCSFGGKILPRPGDSKLRYVGGETHIISIRRDISWQELRQKVLEIYYRTHVVKYQLPGEDLDALVSVTCDEDLQNMMEEYNEMENRGGSQKLRMFLFSVADLDGSLLGVKQNDVDSEFQYVVAVNDMDIGSRSNSTLNGSANNLAEMDVRNTNGVAPPQLPSSIQYSDSAPQSSLLQYPPQSIPHSAAFQFQQAVPPSSTLQYAQSIPPNPSLQYPQSIPLQYPPSVSPSSYGMYPQYYDERQQFPMHPEHSSSNYSISMPFQGQQPYPYPSVIQQNAAVQGRELNIKPEMRVRENVEPEFRQSPPQADNVEVQEPSVSPTVPSQDATHMLPPRRDPRQNTPVKPAATYRDAVVREQTPVSGEDDQLSSSSGTCGLAQTDSESNLIDLDYSEPLPPTRRVYRSERIPREQLEMLNRLSKSDDSIGSQFLMSHSQAQQDPAKQGEGKSHEVGASNEHKILSPDAANKNQMVNGGGIEAEAPNLSHKDTATTSQVSPEGQASSGVVIDINDRFPQDFLSEIFAKALSEDVPPGGVNAYQHDGAGVSLNVENHDPKNWSYFRKLADEQFSERDDLPPGFPSDMEDSGGITKLHHVAPLNRDDPQENLGQAYVAGANEDAQMKVTESEEFGAMVENLRTPDSGHEDEKKETRNAVPPLGSSLADYDKNDLQIIMNDDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKSCFAGRSSEQERLTGEFWGEAGILSKLHHPNVVAFYGVVKDGPGGTLATVTEYMVDGSLRHVLLRKDRHLDRRKRLIIAMDAAFGMEYLHAKNIVHFDLKCDNLLVNLKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGAYSLTYDPWFDIETDYQTRLGGIVNNTLRPTIPSYCDSDWRILMEECWAPIPTARPSFTEIAGRLRVMSTAATSNQSKPPAHNKASK >A07p041760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22506873:22507148:1 gene:A07p041760.1_BraROA transcript:A07p041760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVQSIVTMFSHSKRFWSMVQKEEIRVVLQSDQTKPRRSSTRRRPVEKAVPTVLVGGVWRVLGFPVWSPGEFQRLGGELALELGICSTVL >A06p032740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17541302:17541811:-1 gene:A06p032740.1_BraROA transcript:A06p032740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSESFDRTVEDTTTNCLMLLSRVGECGGGDAEGRVFRCKTCMKEFSSFQALGVHRVSHKKAVSNSSGEEQSGIFIKKKTKKTGWHHRCSICGLEFEMGQALGGHMRKHWNKDDSGGALITHSFFPEAAMTRTLKETSKEKGVACLEPGSDSIEGINLKLELGRTMY >A08p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22810602:22815796:1 gene:A08p039760.1_BraROA transcript:A08p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLLAPRREVLQSLHSPRPFSALDLTTTTRQRRPLLSGSTSSSTKPYSKTDKPRRSDGGDAEDRGLGWFLPFIALCYLRYMSATSNIVHDCDEVFNYWEPLHYLLYKSGFQTWEYSSNFALRSYLYILFHELAGRPASWWFGDDKVRVFYAVRLFLGLVSAVSDTVLVVALSRKYGKRIATYAVAMLCLTSGCFFASTSFLPSSFSMYAMSLSSGLLLFEKYAMAVAVSVFGVILGWPFSILAFLPIVIYALVKRFKQAFISGAVTSIFLLGLSVLVDHYYYKRWTSSVLNLLIYNVLGGGESHLYGTEGPLFYIKNGFNNFNLGFVLAILFIALFPIIRRKYDRCLLVVISPMYIWLAFMSLQPHKEERFLYPIYPLICVSASVVIENIPELFREKYSTRESLLVTITKYIRPVILGLILCASHARTFSLINGYSAPLEVYKLLEHHDDAGPGSVLCVGSEWHRYPSSFFVPDYISEVRWIDDGFRGLLPFPFNSTLGGTAASPSYFNNKNQASDEQFLKNIETCTFLIELQLSRPYAYRGSDLSTWEAIAVLPYLDRELSPAKYRSFFIPYKWQEKNVFGKYETQRLLSEPAPGISASPSEENMRYFNVMVLGPTQSPYEGGVFKLELFLPEEYPMAAPKCNPTILTERIVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >A04g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5467844:5468577:1 gene:A04g502260.1_BraROA transcript:A04g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFVFYSSILFEKRQMKDCESAKRLKNQKSSHLSCSLRLIALKNNEIAGLENPYYHNTVFTKTSHMIDKDKLILKMAIGYDLCLLRCFLRPSVIDPYLLYLI >A06p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21999242:22003269:1 gene:A06p040670.1_BraROA transcript:A06p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFVSRRVRAASRRSESDGALISSQVSTRFFSARSTTGDYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNSDGTCQGVIALNMEDGTLHRFHAGSTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGARGEGGILRNSEGEKFMDRYAPTARDLASRDVVSRSMTMEIREGRGAGPMKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTREPIPVLPTVHYNMGGIPTNYHGEVITVRGDDPDSIVPGLMAAGEAASASVHGANRLGANSLLDIVVFGRACANRVAEIQKPGEKLRPLENDAGEKSIEWLHRLRNSNGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCDLIDKTWDSFGDVKVKDKSLIWNSDLVETMELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWEEGKVKLEYRPVHMNTLDDEVDTFPPKARVY >A02p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20722216:20723213:1 gene:A02p036210.1_BraROA transcript:A02p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGQLDRFKKQQERCQSTLSSINASRAPSGPSRPSSVPAAPSLKPTPPVKFSNDTERLQFINSIRKGPVGAQIKRVIELLFETRQAFTPEQINERCYVDMLSNKAVFDSLRNNPKVYYDGRRFSYKAKHDVKDKNQLLSLIKKYPAGIAAVDLKDAYPNVMDDLQALKASKHIWFLSNADSQEDIAYPNDFKGQIEVDDEFKSLFRDIDIPSDLLDVEKELQKIGLKPVMNTAQRRAAAQIQGVSNKPKQKKKKQEISKRTKLTNAHLPELFQNLNASSSRN >A07g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21097915:21099159:-1 gene:A07g507650.1_BraROA transcript:A07g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGFNLATRLLRVTPSDTVSRQVSHSHWRRGVNVTRWKAERESFLSFSRKKLGFPPPAISRATPISLHFSLLFSIDSTADCLLQEQSFVFQMDPLEEIRDSKRQLEFLNHLGDVADSEYGMPRRCACGGRMIDELRVKDEFDTQPGKRFFSCVNYEADGLHYRQPWVIGVQEEMVRLRKRVEEADEVIKGVPILNKQIESVEAQVKRLTLLLDKLTGDVYNLTVQVAALE >A06g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23335496:23336489:1 gene:A06g508300.1_BraROA transcript:A06g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICVMNLLTNKTIRSFEDIRAEEINVFMGKLEKASSSSSPVKLSKLFINLSNDVITRVVLWKKYSTEGGEYFSQNVVRKFMELVGAFPLGDFIPKLAWVERIRGLDKKVEEVYKEVDGFLEKAVQDHENADEERSDFVYVLLSIQKDKTTTRDKTTPFEFERKDLKFVLLDMLFGAATTTFALLEWTMTELMRHPECMKKLKDEIHFVSTHNLYVTEQEAEKMSYLNLVIKEALRLHLGVPIAPRQLSEDVKVHGYDIAAGTQVMSLHHILRSNPL >A03p009190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3688918:3690560:1 gene:A03p009190.1_BraROA transcript:A03p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSLCTLNYNAFTSFHRPDVGKTFISHLQKQFTSNRITMFDDEGVERGHTIDPALTQAIRESTISIVVLTKNYASSSWCLDGLLEILKCRQAGKLIVMPVFYGVRPNDVQRQTGDFGKGFEKTCRGKTILDKGRWSQALNKVCSLQGFMFSPRDDESELFEKIGREVTGILQRIEYLIAREDTLNPTTSTNKSRCSIDLVFLVVIINLLLEITSAVADQLSSSTRKPCFARISLVMSILSLILTIIDFTDKIRVHKVHFRCKLPIPWFYYPARDYSTRFGSSTDNILLFCVVGQLIVSTINFSFTERGRDGPIKVSVWPLVFAIGIVVSKFTEKPPISKNN >A05p014970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6602466:6604813:-1 gene:A05p014970.1_BraROA transcript:A05p014970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 6 [Source:Projected from Arabidopsis thaliana (AT2G32290) UniProtKB/Swiss-Prot;Acc:Q8L762] MTTVLRMMSPNLINGRNLFKGSQILVRGEESKSRSHWRFSTKEVSLRTPQATASSGVSSTTTEPKGREFNTTTYDDKMLANYVPVYVMLQLGVITNDNVLENAEKLTKQLKKLKQSQVDGVMVDVWWGIVESNGPKQYQWSAYRNLFEIVQSCGLKLQAIMSFHRCGGNIGDDVNIPLPKWVLEIGETNPDIFYTNKSGNRNEECLSLSVDNLSLFRGRTAVEMYRDYMKSFRENMEDFISSGVIIDIEVGLGPAGELRYPSYTETQGWVFPGIGEFQCYDKYLRSDYEEEVRRIGHPEWKLPENAGQYNDVPEQTGSFEYSNGTYLAEEGRFFLSWYARKLILHGDQILDEANKVFLGCKLKLAAKVSGIHWWYKTESHAAELTAGYYNLKNRDGYAAIAKMMRRHHAILNFTCLEMRNTEQPAKAKSGPQELVQQVLSCGWREGIEVAGENALPRFDRDGYNQIILNARPYGINRDGKPRMFGFTYLRLSDRLLSEPNFTTFKTFVKRMHANQEYCSEPERYNHELFPLERSKTNESLEKLMEETEPVDPLPWLEETDMSIRPFESVLSLLKSTFLRNKS >A07g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7742316:7742564:-1 gene:A07g503960.1_BraROA transcript:A07g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCNKRFKMLFSRMKAEEFQFPPTPQDEMSAGMSYFHETSWKEVLKFLRRDYTALKNI >A02p047430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29588787:29589402:1 gene:A02p047430.1_BraROA transcript:A02p047430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHLEKLAQEIRKGAASVDGVDPKLWQVLETLQEDLLSKLSAAPKSDAPLITPSDLAEADEFVFGFPTRFSMMAAQF >A05p036100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20422244:20423189:-1 gene:A05p036100.1_BraROA transcript:A05p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSVSKRLLLIHVLAIQLLLISSELSLNTTNAYLNHKCLVSQGKYKPGSDYEKLFRKIIKMFYKASIEKQGYDLLGSDTLSAILQCRGDSYGPKCRDCFVTSLAVLRRKCPWSKGRIIWYDECLLTISATYATGKIDFDNIFCMSNAKKLGDKLGFGDVWNNLMDNLTTVAISRVNYTEPTALYSVGETRFKGDTVYGMVQCTKDLSPEACEECLVFNSIHFQDCLNDKRGARFVGPSCTFRFEFYPFIAVRNFKELNK >A03p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12476397:12479908:-1 gene:A03p029680.1_BraROA transcript:A03p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDIS1-interacting receptor like kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G08850) UniProtKB/Swiss-Prot;Acc:Q8VZG8] MACKDKPRGDDLQFLLIIFTILSCSLTASATVSEANALLKWKSTFTNQTSSSKLSSWVNPNTSFCSSWYGVSCLRNSIIRLNLTNTDIEGTFQDFPFSALPNLTYVDLSMNRFSGTIPPQFGDFSKLIYFDLSINQLVGEIPPELGKLSNLETLHLVENKLNGSIPSEIGRLTKLHEIALYDNLLTGPIPSSLGNLTNLANLYLFINSLSGPIPPEIGNLSSLAELCLDRNKLTGQIPSSFAKLKNVTLLNMFENNLTGEIPPEIGDMSALDTLSLHTNNLTGSIPSTLGNLKNLAILHLYLNKLTGSIPEELGDMETMIDLEISENKLTGPVPGSFGKLTKLEWLFLRDNHLSGPIPPGIANSSVLTVLQLDTNNFTGLLPDTICRSGKLENLTLDDNLLSGPIPKSLTNCKSLIRARFKGNSFSGDISESFGEYPNLNFIDLSNNKFHGQISPKWEKSRKLVAFIATDNNITGPIPPEIWNMTQLNQLDLSSNNISGELPETISKLTRVSKLQLNGNQLSGRIPSGIRSLANLEYLDLSSNRFTFQIPATLDSLPRLYYMNLSRNDLEQNIPMGLTKLSQLQTLDLSHNNLDGEIPSQFSSLQNLEKLYLQHNNLSGPIPSSFREMKSLTYVDVSHNNLSGPIPDNAAFENARPDALEGNRDLCGSNATQGLKPCEITPSGKKKSNKDNNLLIYILVPIIGAIVILSVCAGIFVCFRKRKPQIEEEADTESGETLSIFSFDGKVKYQEIIKATGEFDPKHLIGTGGYGKVYKAKLPAMIMAVKKLSETTDEEISKPTVRNEFLNEIRALTEIRHRNVVKLFGFCSNRRNTFLVYEYMERGSLRKVLGNDEEAKQLDWRRRINVVKGVAHALSYMHHDRSPPIVHRDISSGNILIDDDYEAKISDFGTAKLLKVDSSNWSAVAGTYGYVAPELAYAMKVTEKCDVFSFGVLTLEVIKGEHPGDLVSTISSTPLDRTMSLKGISDRRLPEPTPEIKHEILEIMKVALLCLHSDPNSRPTMLSISTAFA >A08p041890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23590988:23597657:1 gene:A08p041890.1_BraROA transcript:A08p041890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPVKIPTTTLDDYAHSPFHYAVVLGDHAGLIRLVSSLPKLTEPEQIHTESDSVSQERAAEIISAVIDRRDVPFRETPLHLAVRIGDVLAVKTLSSAGADAALRNVAGWNALDEAVRRGNAEITEIILRHQRRSAWCKWRRRLPRLIAVLESMRDFYVEVSISFESSVIPFFGKVAPSDTYRIWKQGGDLRADTSLTGFDRFKIRRANRRFLFLSDDDVSSSPGTLLVLNREDKTISNAFENAGETVSEREMDVTKAELVVMKNWRGKEKVETVESGKRNVTRSRTKSVSLPAAEVSVAGSVPRIKGKETVKSLSPLVWLTDDFPLTTEELLPVLDILAINVEAVRRMKELLTVKFPAGTFPVKMSIPVIPTVKVVITFSKFVALPSMDQFYTPVSSPSHISAGVEDQCDVESDTRTSTSRRSFSWLRLKATKKSSQRRLKKEQAQKEDPFAIPAGYKWTSNTD >A08p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2332845:2339660:1 gene:A08p004020.1_BraROA transcript:A08p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPSPIASNLALDPNGIPRVCLLLSPYKKPSVKSFSKHINPPKLGTPSCSRCLHLSKMAPSVPHSSSVPLLKSEANEKYANVNWEELGFSLIPTDYMYMSKCKQGESFSEGEIVPYGDIPISPCAGILNYGQGLFEGLKAYRTEDGRITLFRPDQNAFRMQTGADRLCMTSPSSDQFVQAVKKTVLANKKWVPPPGKGSLYIRPLLIGTGAVLGIASAPEYTFLIYASPVGNYHTASSGLNLIVDHTYRRAHTGGTGGVKSCTNYSPVVKPLFEAKSSGFSDILFLDAATGRNIEEVSTCNIFITKRRHHDLYTVERATKEKAKGDFFGGELTAVRSKVTKPEEEAINERNEDFVSLADVLDAEHDPHVTDYATDDGVWGGEGRGLESIEPGNIVSTPPTSGTILPGITRKSISELASDIGYQVQERDVSVEELLEAEEVFCTGTAMVVKAVETVTFHDKKIKYRTGEEALSTKLHLMLTNIQMGIVEDKKSWMVEINGCDE >SC221g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000076.1:73960:74652:-1 gene:SC221g500030.1_BraROA transcript:SC221g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRCAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKFKLVRVVEDRGVIRGLRQGEDECYQLVERLREVWSELDVVKTHTSNPRCCQERRKQDVIFSLLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIVLT >A07p049060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26096942:26103706:-1 gene:A07p049060.1_BraROA transcript:A07p049060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFSCLDTRTKDMRINIDTTSYLTSGSSVDTRSDATGGGAGSKSGILVNGKVNSPKPGGGARSFTFKELAAATKNFREVNMIGRGGFGSVYKGRLYSGQVVAIKQLNPDGNQGNQEFKVEVRMLSVFHHPNLVTLIGYCTSGAQRLLVYEYMPMGSLEDHLYDLEPDQSPLSWNTRMKIAVGAARGMEYLHCKISPSVIYRDLKSANILLDRDFSPKLSDFGLAKDGPVGNRTHVSTRIMGTYGYCAPEYAMSGKLTIKSDIYCFGVVLLELITGRKVIDLNKPQGEQYLVAWARPYLKDPTKFGQLVDPLLRGKYPKRCLSNAISITEMCLNEEANRRPRIGDVVVAFEYIAAQSKSYEEKRMARKSTDSDRSRGERKQTVKHGKNPVNQKAPPPVVVMPPARGSNPKFPAAETRTVDNTPRQKQHEKKPRSVETPPSKPVEKQGVGKRAVPPSGKIVTPNLKMFTLTDLMTATKNFRPESMIGEGGFGQVFKGWVDEETLSPSRAGVGIPIAVKKSNPDSAQGLHEWQAMRFLGKFHHPNLVKLLGYCWEENQFLLVYEFLPKGSLENHLFSKGDGLTWDTRLKIAIEAAQGLTFLHNSEKSVIYRDFKASNILLDSNFNAKLSDFGLAKHGPINGYSHVTTRVMGTQGYAAPEYVATGHLYVLSDVYGFGVVLLELLTGLRALDPNRPSAQQNLVEWAKPVLTQKKKIQKLMDPRLENKYPLLAVSKTAALILRCLEADPKNRPPMDDVLRELEIVRTIREQPKEEKRNRNNGHGSPHVRKTGRTR >A03g502600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8150885:8151073:-1 gene:A03g502600.1_BraROA transcript:A03g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWIAINHQGGLHPTRLSGPSISVSGPSTFLPRLDKLKTQSTGAFMSFDQVYLCGQYVLNV >A07p051710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27412572:27418139:-1 gene:A07p051710.1_BraROA transcript:A07p051710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 9 [Source:Projected from Arabidopsis thaliana (AT1G69730) UniProtKB/Swiss-Prot;Acc:Q9C9L5] MIEKEIRKTKLFPSKRKLSPRIYLRKPVYASYQISPFPDQLVLVGVEKSTSRTHLESGRAAISIHDKEVVKITPYSISIKHSIASKGCSSDGEEELESLLNLTGTPFYFDQSNTLIAAGCGITASLTNVEPVVAGCNSRSGKKSRTPTQDFLALDECITTYSSDAEDCRKRSTADEKRCSGIGCCAANIPGGRQQIVGVRIDSTTTTSGGCKVAFLTDEDYLLSNGSDAQRIHSKGHATVLLVWLISTSNPSFFDSLGCHTKKEYRQARQNPFAITYGINCTFDNYSSFSTYGICECTEGYRGDPYVVGGCKDINECLEGKDENGNPVRCTNSICVNLQGGYNCVYENRRRPVIAIGVGSSFGSLIFVAGIYLAYRFIRKQRRLNQKKKFFRRNGGLLLQQQLTSTQGNIERTRVFTSKELEKATENFSLNRILGQGGQGTVYKGMLVDGRIVAVKKSKVVDEDKLEEFINEVVILSQINHRNIVKILGCCLETHVPVLVYEFIPNGNLFEHLHDEFGDNMMATWELRLRIAIDVAGALSYLHSSASFPIYHRDVKSTNIMLDEKYRAKVSDFGTSRSITVDHTHLTTVVSGTAGYMDPEYFQSSQFTDKSDVYSFGVVLVELITGEKPFSFLRSQENRTLSSYFTLAMKEDKLFDIIDARIRDGCNLNQVTAAADIARTCLNMKRKKRPSMREVSMELEKIRGSSEDMQSHEYVDEDKEDKNKRVVEVNIGESSWTHVAVTAPASQNSVATSSLSDTEPLFPHQTRSPSCVIKDLVSQLSRQIINFAMVYTQCFGLRERLFLCIPLSLLTLFIFNGPALITTAQNINSSSTSCNRICGGISIPFPFGIGQKHCYLNDWYKVVCNTTTTTTTTTSGNLLAPFLSKINRELVTITLRNSIDTSYGVVHIKSPVTSSGCSQQPAVKPPALNLTGKGSPFFITESNRLVSVGCDARALVTNIESQIIGCESSCDGNNNKNKSRSDKICGGYRCCQAVITAVKPQVIGVGLESSGGGDDCKVAFLTNETYSPANVTEAEEIYSDGFSVVELGWFFDSRLSDPVGCVNLTETGIYTSAPSCVCEYGYFSGFGYSNCYCNNIGYRGNPYLPGGCVDIDECEERKGRNSCGEQTCVNVPGSFRCEPKETGKFKPVLQGLVLGLVLLFLVLGIWGLIKFVKKRRKIIRKRKLFKHNGGLLLKQQLTTQEGGNVETSKIFTAKELEKATDSFNKNRVLGQGGQGTVYKGMLVDGRIVAVKRSKVLDEDKVEEFINEVSVLSQINHRNVVKLMGCCLETEVPILVYEHIPNGDLFKRLHDDSDDYIMTWEVRLRIAGEIAGALAYLHSAASTPVYHRDIKTTNILLDEKYRAKVSDFGTSRSINIDQTHLTTLVAGTFGYLDPEYFQTSQFTDKSDVYSFGVVLVELITGEKPFSVMRSEENRGLAAHFNEAMKQNKVLDIVDSRIKEECKQEQVLAAAKLARRCLSLKGKKRPNMREVSIELERIFSSPEDLEVTFQEEEEEREMEINIDDSWSVEMTAPASLFDQSPKLDVEPLAPHQTW >A09g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25640573:25643761:1 gene:A09g509150.1_BraROA transcript:A09g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVFRTYGHNMSSDVFKRFKEDDEKFKRYLINDFKGMLSFYEALHLGTTTDYILDEALSFTLSHLETIATGHLASPGHISRLIQKSLHIPQHMNIEALVAREYISFYEQEENHDETLLKLAKLNFKFLQLHYFQELKKITMWWRGLDHTSKLPPNFRERTVETWLAALMMYFEPQFSLGRIMSAKFYLAITFLDDACDTYASIDEVRNLVDCIERWDPDYMGELQGHMKTAFKFVMCVYKEYEDILRSQGRLFVLEEMIEEFKILVRTNLQLVKWAREDCMPSFDEYIEAGGAEIGSYATIACSIMGLGEISKKIDFEWLRSRPKVVQVLAAKTRLMDDITDYEEDIGKGYTANALNYYIKQHGVTKEEAIKVFDKMIKYINKIVNEECLKTTHISRRVLNQIINYGRSLDVLYTSDDVFNHREGMLKEYITTLLVDPIHL >A09p022490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12371199:12376134:1 gene:A09p022490.1_BraROA transcript:A09p022490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTTKANIIINNGVNHQTTNRFLPNSLKFISSCIKTASSGVRSASASVAASLSPDSQEPKDQVLWSSFDRLHTSESCFKNILLLGYANGFQVVDIDDASNVSELVSRRDDPVTFLQMQPLPDRCEGVEGFRSSHPILLAVADESNGSVRNGFDDPIALSPTVVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLGSQIYCFDALTLENKFSVLSYPVPQLGNQGISEVNIGYGPMSVGPRWLAYASNSPFSSSIGRLSPQNVTPGVSPSTSPSGGNLVARYAVESSKHLAAGLLSLGDKGYKTISKYCQDLKHDGPGSSLSSSPGWKVGRAASSAESDVAGTVIVKDFESRATIAHFRAHTSPISALCFDPSGTLLVTASIHGNNINVFRIMPSKNGQSYDWSSAHVPLYKLHRGMTSAVIQDICFSSYSQWIAIVSSKGTCHIYVLSPFGGENVLEIRNSHVDGPTLAPTLSLPWWSSPSFMNTQFLSPPPASVTLSVVTRIKCNNFFLAASSAIGKQTSFPSGCLAAVFHRSVQSSAHALDYLLVYTPSGHVVQYKLVPSLGGDQAESNSRIGGAAEEELRVKVEPLQCWDVCRKTNWPEREENICGLTFDGRKISEDVARPLEKHHVYLANAEVMINSGRKPIWQNSEISFYPLLNDGKKMNLHHHHGGGEIEIEKVSANEVDIRRKDLLPVFDNFQSVYSSMRRGFSVERDSDSSSSSDPGQVKDMAYTESEERSGSITFKQPVVSISSSAVKDSDYTIDDAHMLLKNTSLPAETTIENSSGTSGASNLSSNRSNLSMNAGEESEEGAIDGSPDFQQFFKETAKEAEDKDDPSDQRKLDDDGDDDDDMLGGVELGLCYGQAPVEKSLTKSRLLQTYSLMNLNSLEKHSKSIHILSSVSRLDGGVIVFGIGEKKFFVSTKAKKKKTSQLSRAIVGSHLRDSTPSDPQGKLTKMGRYSYSQPSSSSASIDITSLLEAEAQLYADEAQSSFDNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDPGRRYFTCNNVVDGATHI >A10g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9587574:9588046:-1 gene:A10g503770.1_BraROA transcript:A10g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPNISYAPKPIKYLNQFLDISPTTRFFICSIASAKSRSVAAAADYSATPIQLQAIVHYATFTITPQQNIHEISISFNVLKELAPANFLVFCMGRVEKFRRRRRGNKREKKRKKKKKSCVFFC >A01g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8111971:8113313:-1 gene:A01g502340.1_BraROA transcript:A01g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPALSLSNNPLFSTSALDEVVYFCKLQSNRYNLHKSYLLAFYTADEGQAQISALHLIEAREARKTPHVRTPEIFKLQIFFRLGSQGFEHTEVDPAAVFVCFLQASSEECVCKLALA >A08g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17762843:17764012:1 gene:A08g509160.1_BraROA transcript:A08g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFQEILSFVHSTVWKVLFGKVADSIEKGTEHEDEYMISEKELLVYSIREAAANNLKRFAEEFGSEWAMQHLVPQVLDMVTNPHYLHRMMVLRAISLMAPVMGSEITCSKFLPVVAEASKDSSKRQVQCCKTSAIPHPHSRPISGGESNTQCLVDLSEDPDVDVRYFANQALRSIDNAAAAQS >A05p008240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3353953:3355722:1 gene:A05p008240.1_BraROA transcript:A05p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTWKPFLTVVSLQFGYAGLSIIAKFALDRGMSPHVLAAYRHIVATIFIAPFAFFLDRKIRPKMTLPIFFKILLLGLLEPTIDQNLYYTGMKYTSATFTAAMTNVLPAFAFLMAWIFRLEKVNIRKIHSQAKILGTVVTVGGAMLMTVVKGPLIPLPWAHPSDNHQDSSNLGVKQDLTKGALLIATGCICWAGFVNLQAITLKSYPVELSLTALICLMGSIESTIVALFIERGNPSAWAIQLDSKLLAAVYGGVICSGVGYYVQGVIMKTRGPVFVTAFNPLSMVIVAIMGSIILSEVMYLGRILGAIVIVLGLYSVLWGKSKDEPANSFSDTDKELPVSNIQVVSFSSKANADKDTMDANVVILRPTTNDSV >A08p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15892690:15897205:1 gene:A08p024410.1_BraROA transcript:A08p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHQLTSSMISSSSSTFLAPSLLNLRARNACLPMAKRVNTCKCVATPQEKIEYKTNVSRNQNMSKLQAGYLFPEIARRRSAHLLKYPDAQIISLGIGDTTEPIPEVITSAMAKKAHELSTIEGYSGYGAEQGAKPLRAALAKTYYSGLGIGEDDIFVSDGAKCDISRLQVMFGSNVTVAVQDPSYPAYVDSSVIMGQTGQYNTDVQKYGNIEYMRCTPENGFFPDLSSVGRTDIIFFCSPNNPTGAAATREQLTQLVQFAKKNGSIIVYDSAYAMYMSDDNPRSIFEIPGAEEVAMETASFSKYAGFTGVRLGWTVIPKQLLYSDGFPVAKDFNRIVCTCFNGASNISQAGALACLTPEGLEAMQKVVGFYKENTNIIIDTFTSLGYDVYGGKNAPYVWVHFPNQSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENILEACLLAFLEASLTLTMELRPLGNTGLKVSAVGFGASPLGSHYTPVAQDDAIAAVREAFRHGVNFFDTSPYYGGTVSEKVLGKALKALQVPRSDYIVATKCGRYEDGFDFSAERVRKSIDESLENLQLDYVDILHCHDIEFWSLDQIVSETIPTLQKLKEEGKIRFIGITGLPFNIFTYVLDRVPPRTIDVILSYCHYSINDSTLLDVLPYFKSKGVGVITASPLSMGLFTDTCIETYRIRSACKFAVAHCKSKGKKITKLALQYSLANKAISSVLVGMGSVSEVEENVTAFTELEGLGMDQETLSEVEAILEPIKNLTWPSGIDHK >A02p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3056692:3057751:-1 gene:A02p007250.1_BraROA transcript:A02p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRXF2 [Source:Projected from Arabidopsis thaliana (AT5G16400) UniProtKB/TrEMBL;Acc:A0A178UDV9] MTLSLRIVPSPTSFRYSPAPSTPSGVGLRPAKQRCRIPNSGVAAKTGSCSGVGGVLDSGKSIGGSCVARCSLETVNVSVGEVTEVDKDTFWPVVKAAGDKLVVLDMYTQWCGPCKVMAPKYKELSEKYQDMVFLKLDCNEENKPVAQELGIRVVPTFKILKDNKVIKEVVGAKFDELLSAIEAARSG >A05p042150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25513136:25514473:1 gene:A05p042150.1_BraROA transcript:A05p042150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCNKTVDYAFRKAVQGFDIEGKVSTLLLPNDEGFDEETLGGLRRVSSIAPPVFLVYCCADLFRLMVDDMFNELSESLLEDLRMLVGWGKCSFANWNVWLAHLQQAVDMKLEDAFSKDEVYDEYDKPSDEEWVKIETFSRLVGCIYKVAVELFEGGLYARYAAASDVCQKPICSVDTVDSKEEDENDDGEGEDCDEEEEEEDYEDEEDYDEEQEEEGKKYDFDSSEEAEREARKNREEKPDACKDFAFFQEFLKFEGSSPREFGESELDAYLKEPVMEWNKDFKVLEWWREDGGHKYPILSRVARDILSIPVSRVTSYDAYVTGKREPPAFVVSLEAKVANAMMCSKKWLRL >SC280g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000127.1:19850:20374:-1 gene:SC280g500010.1_BraROA transcript:SC280g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSNGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07p017280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10481097:10487363:-1 gene:A07p017280.1_BraROA transcript:A07p017280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRPEAATGPSTQNAPFHLFFSIVGFLWSGKCTSVIEARLLRFWEARNIKCGGELMWVDMLLVDVNATMMQATINASGLPRFQSRLAAGTMFSVSGFDVSANHLNQKLLALDVEPEVMPLPVITSSSWERAVPASSTSSIKYGGADKIETVTVSELNTYVLNSTPQIHCLYLS >A06g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15365132:15365788:-1 gene:A06g505220.1_BraROA transcript:A06g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKILPAVESSWKDVSGGGCGFAMATSESGKLITCGSTDDLGQIYVTSGKHCETPEPFPLPPEVCVQKAEAGWDHCVAVRESHEVYTWGWKKCIPTGRVFGQVEGDSCEMNTSFSAEQ >A01g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12143075:12145389:-1 gene:A01g504010.1_BraROA transcript:A01g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRVLLGDLKAGRCSNVAEVRLLRFWEARNVRKGGELMSVDMLFVDENSTLTQGSVGANRQLRFRDRLSEGSLYTLTGFDVTRSNTNFRLSDAPFSIRFNEGTKLDKIPTSVRPIPTELFRFMPYSQILELANTGKQLPDIIGELSAIRSTITDRLPGARRVMLTLLLESGENVCVSMFDSMALAFHTKLDSYGREPRVIIVTSVNPKIVGGRLFLNGTSGTHLYFDSETSAGKELFDTLPGHGADPGSSTSKVVHAQKVEPMTISELNKFITTADSQIIEFLCTAEVTGIQLDDGWCYIGCSGCSKKLIREISSFTCVSCNETNAVAALRYRVKLSVSDHTDSASFLSFDMEMAKLTNIQASEAAQIVGIGVDAQVDTELPRSLADIVGKTYTFQLKLNDFNFSSKHQTFTISRIFPERLLAPMPAFVVAEGADVPDDAPPEIVAQPPNANVGTTSTGADSPASTDASAAKRPPTAKDQDDVDKTAPKKAHVE >A01p002340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1071151:1074314:-1 gene:A01p002340.1_BraROA transcript:A01p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQASSPQDNQPSNTNSTDNNNNNNQLPSMDEHVMRSMDWDSIMKELELDDDSTPNPLKTEFTTTDSAIGPLYAVDSNLPGFPDQIQPSDFDSSDAYQNQTTGYGFTSLDSVDNGGGFDFVEDLIRVVDCVESDELQLAQFSGISPIPLFSHFTANQAILDSLNSQTSSSPFVHVVDFDVGFGGQYASLMREIAEKSISSGFLRVTAVVAEECAVETRLVKENLTQFAAEMKIRFQIEFVLMKTFEMLSFKAIRFVDGERTVVLISPAIFRRLSGIAEFVTNLRRVSPKVVVFVDSEGWTEIAGGGSFRREFVTALEFYTMVLESLDAAAPPGDLVKKIVEAFVLRPKIAAAVETAGDRRNVGEMTWREAFCGAGMRSIQLSQFAMFQAECLLEKAQVRGFHVAKRQEELVLCWHGRALVATSAWSGFASQIPSMALLGSGFTSEVGLRVLLSPLGSNIVLRTACCSIGIGLPVYSTFKAIENRDQSAQRKWLIYWAAYGSFSLVEVFTDKLISWFPLYYHAKFAFLVWLQLPTIDGAKQIYNNRLRPFLIRHQVSVDRLVDGVYGEMVKVVRTHQGGIRLVRSIIIKILGSGNEAAPPSERQEETPNISPEPEPEPEPSTATIRDLEESESDHED >A02p060210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26599715:26601615:-1 gene:A02p060210.1_BraROA transcript:A02p060210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYSQISPLILLRYYDDATWVLRKMCLDAKAPHLSSTLPPTLLWKFNKPVHPNKRLTRQGNGHSEELRCVIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAEMREAPAFLPGRESNSDPEDLEHAEKFRQVKAVLEESFSHSREPFGKAQGENFSGIYMKVQLKPLKWDGEGEEKRPVEALMILKYGGVLTHVTLAEELGRFFRYNMYPGKGTGLLRLHSTYRRDLKIYNSNVGRMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDTVSIEMEAFKARLNEIVKSGKKMINELLLFIIIKS >A09p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35351413:35355518:-1 gene:A09p043310.1_BraROA transcript:A09p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIGRCLLEKRKGHKRDRESDHKSSSPYLCRFLSNARKKCFSGFGFPSISRIFSYSSSSSDSTCDSIKMTSSDSESMGCDFPCTLEEERRIVTGLMSEAEDQLKEGNLYFVISNRWYTSWKRCVSQEISSGEASEVTRPGPIDNHDIIDSESDASDPQLLKNLEEAVDYVLVPEQVWKKLVEWYKGGPPIERKLISQGFHSKSYSVEVYPLCLKLTDSRDGSSSIIRLSKQASVGQLFETVCATRGVSKEKARIWDYFQKSKSVLLDPLSEKTLEESCLQIDQDILLEVDGSASSQHDMSSAGNELALVPVEPTANTMLSQGTVSNGHSNGSMFSLWKNPFKDDGGSSSGFGKRDKRGLAGLQNLGNTCFMNSTLQCLAHTPPIVDYFLKDYSGDINEDNPLGMRGELAVEFGELLRKLWSSGQNTVAPRSFKTKLGRFAPQFSGYNQHDSQEMLSFLLDGLHEDLNKVKQKPYIESKDSDGRPDDEVAEEMWKYHKARNDSVIVDVCQGQYKSTLVCPDCGKISITFDPFMYLTLPLPTSRTRSMTVAVFYGDSNRLLTPYTVTVPRDGSLRDLSSALGAACGLKDDESLLFADVFSHKVFKYLDNPLESLNEIKDNDRIVAYRFNQMHRGPGKAKLEILHWEPKKFGIGRDTKYFGIPLVTYVNTEPLSKSDIDAIISGLLSPLHRTHSSSSTVHVGEENGDIPDVAGETSGISSPKDTEIEEDNAAGDGELSFNVFFTETYSSSLKPLEPGFVANPCSATKVVVKWSEKEHEKYDSSSLDVDLPEIYKSSLFVKKPKKEVSLFSCLEAFIAEEPLGPEDMWYCPGCKEHRQAKKKLDLWKLPEILVVHLKRFTYNRFLKNKIDTLVNFPIHDLDLSKYVMNKDGQSCLYELYAVSNHYGGMGGGHYTAYAKLMDENKWYDFDDSRVSAVDESEIKTSAAYVLFYRRVKSESASSYMDED >A03p068820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30141696:30142465:1 gene:A03p068820.1_BraROA transcript:A03p068820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIILSEIFLSGFMLNSTIRRRTHLVQSFSVVFLYWLYYTSLFVCVCVCWMDSSSSGTTSSTIQTSSGPEENLMEQRKRKRMLSNRESARRSRKKKQKLLDDLTAQVNQLRKENSEIVTSVSITTQHYLTVEAENSVLRAQLDELSHRLESLNDIIGFLDNTNGICSNTLSGPESDDFLVSQFNMNMFYMNQPLMASSDALLY >A06p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1336632:1339270:-1 gene:A06p004800.1_BraROA transcript:A06p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQINVASDQFCSLEQVDAVDMTLEDQEDACRDASCDKHKFSMEQRKLIQVMNVRRKRDFLCLEKVNGEMVNILEGLELHTDVFNAAEQQKIVDKVCELQEKARKGELKRAFTPKGKGRSAIQFGCCFNYRTSKAGTPAGILRHETVEPLPALFKVIIRRLVEWHVLPPTCVPDCCVVNIYDEGDCIPPHVDNHDFLRPFCTVSFLSESNILFGSNLKLEENGEYSGGSYSLPLPVGSVLVLNGNGANVAKHCVPEVPTKRISITFRKMNESKRPIWFTPEPDLQWIQPLPFEQESSSSSDHTQKKPVKINKTGTTRVCMHAPLNPKHVSICFTLYLLSLFQSRYLIHLSFYLVMASDIQHRPVYGSTTVPRSTNINNPVASFLRQLQSKAPNHSGQLFGLLAFFISGGILLLLTGITVTAFVLGFIAFLPIIIISSPIWIPLFLLVTGFLSLAGMVFGTAALISWTYRYFKGMHPVGSDQVDYARSRIFDTAAHVKDYAGGYFHGKQKDAAPGA >A08p039710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22802191:22802913:-1 gene:A08p039710.1_BraROA transcript:A08p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSTAKAEGRKEKIRRPKSWKHPQPISRAELTQMREEFWDTAPHYGGKKEIWDALRAAAEEEDLSLAQTIIESAGVIVHNNDLTICYDEKGSKYELPKYVLRDPSNLIRTK >A08p000840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:521273:522632:-1 gene:A08p000840.1_BraROA transcript:A08p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKQCRDENGFKCHCMSESHQRQMQVFGQNQRRVLQGYSEEFEKTFLDLMRRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFIKYLGKTGKCKVEETPKGWFITYIDRDSETIFKERLKNKRVKSDLAEEEKQEREIQKQIERAKEGEGEGEGEEKKKGEDFGLKSGVVKVGFSLGGGAKQVVTTGESSRRVFEEEENEREEKKRKKGGDVEKERRSALDELMKEEERKKERMNRKPYWLFQGIVVKVMSKALADKGYYKQKGVVRKVIDDYVGEIEMIDSKHVLRVDQEELETVIPQIGGLVKIVNGAYRGSVAKLLGVDTEKFCAKVQIEKGVYEGRVIQSIDEFKTTHCYDKTECSKDSGIWFLFILFCPAKRTGLHLRGFKMMGLTAIMIPDGLFHESPKVLQMRF >A09p061130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50482484:50484298:1 gene:A09p061130.1_BraROA transcript:A09p061130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSRVNNKSSGLYDLHLSSVQSSSSAAHRREGEILSKANVKSFTFNELKLATRNFRSDSVVGEGGFGSVYRGWIDETTLTPTKSSSGLVIAVKRLNPDGFQGHREWLVWLHTTLFLLSAGVKCKPLSWTLRVKVALDAAKGLAFLHSDPVKVIYRDIKASNILLDSDFNGKLSDFGLARDGPMGETSYVSTRVMGTFGYAAPEYVSTGHLNARSDVYSFGVVLLEILSGRRALDHNRPAREHNLVDWARPYLASRRKVLLIVDSRLESQYKPEEAVRLASIAVQCISSEPKSRPTMDQVVRALIQLQESMVKPAKVEPGKDTKKVVGLRTEDKYQKKGFNKKTVGL >A03g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21631490:21632371:1 gene:A03g506040.1_BraROA transcript:A03g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFGMRLRTKLKECLPLHYKVDIRVSPGSHADEHSVQIRAEGLRLNRRVGIEYLAVWLTRSGSVPRYNLMEDAAPAEISRVQNWQWIRYEVELDGDGLGVRVNKELFERVVEEEMERIEKEVGKDKFKKGMYKDNCKKFTKQCTASELDDFLTLAVYDHIVAHYPNNVSRP >A06p009630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3403367:3405081:1 gene:A06p009630.1_BraROA transcript:A06p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPLQLVENKMGKKLDALLGRTFKTKKFKALLNLALTRLSILKNQRQVRCSHATSDVTELLKLGQHENAYHRVDQVIKDQSTLDVLFFIHGYFTLLLDRVHLFEHNRDCPDELLEAVSSLLFAASRIGEFPELQEIRNVLVSRFGKDIAARSIELRSNCGVNPKIIQKLSTRHPPREVRMKVLKEIAAENNIVLKLEEASSTSTEGQGQSDVSKAKLTNEVREDEIGEGYGLSDLVKRGKQKYKDVADAAQAAFESAAHAAVAARAAVELCQFSPCGPDNTGNNGGGSSSSGSGNNKTEQEANDDGDLSKGEVDVRSESKKSISDPEDTIEDVMSLREDPVKLLEKDDVLYDSEEETKQIAKTNTTTEVKDEESLKDCSDRADAGHVEDVHLSEGEVDVRSEPKKSISDTDDNIEDVMSFREDPVKLLEKAFVVYDSEEETKHNAKTNTTTEVKDEESLMDGSDRADTGHVDNMVHSVEEPIIRKAILKGPISVRTRQVRGY >A02p003050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1276626:1277421:-1 gene:A02p003050.1_BraROA transcript:A02p003050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGSSTANYLGVDKGLELFRDICAGGHSPDVIAYNVMIKALCKARRATKAESLFNEMVSKGLKPSVATYNSMVDGWCKEGEIELAMSCMARMYEDEKDPDVVTYTSLIHGLCASGRPGEAISRWNEMKGRGCCPNVITFMALIKGLCKCGWTSKALVYFREMEEKDMEPDSGVYVFLVISFLLSGNISAGFVIFREMVCKGRFPVLVDRNYMVAVDAADKFAEDYRSSCYVTCQIKDRRLSIVDVVSRK >A03p045030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18794860:18796748:-1 gene:A03p045030.1_BraROA transcript:A03p045030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKDEAKRITIPPLFPRVHLNDTGRNGKSMSLHKRSSLPSLTNKISDSSSTLSLSLLPPADNACLTTDQPEKNHFPSICNTSPTTNLEEKLNKTATNYPSPRESSVTNTKPSSIKQNEYHFKSLANLDSLKVPVLRRSQTDPQADTDLSVLQFCNSGGEADGSKAALNNLHIPELLLPQCFEDESQNESLNVMKTQKKPKTVPPCEQDVSDCSAIDSLSGISASSNDAARVIGDKRFWKMRTYMINQQKIFEAQVFELHRLIMVQKMVARSPNLVLKSKFNGDTMRLSPSHLPEMAASKVKKTITKKHKPVTEEYPEHMKPKLPLPSINKDLMTPMWPQQLVPPPGNQWLVPVMTPSEGLVYKPYTGPSPPPPSAFMVPFYGQDSLNTTLGFPVTQLSHNYFPQPNARTSLDQKSPFGQLQRWSNTSSHMTQAVPFSLKKSQESNESDVHGSTDSSPPEKHKSDVLPLFPTKPTHHTDEYEQKQKPMCRAIRAIPHNSTSASESAARIFRSIQEGLRSY >A03p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10801338:10802188:-1 gene:A03p025680.1_BraROA transcript:A03p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELENPGVMPKLIAFLSALLERVAESNDLTRRVTTQSQSDSVFHGLSRPSITIQSYLERIYKYADCSSSCFVVAYVYLDRFTHRQPSLPINSFNVHRLLITSVMIAAKFLDDLYYNNAYYAKVGGISTKEMNLLELDFLFGLGFDLNVTPNTFHAYFSYLRKEMTLLQPLSLVVVPPRSVITFNDDEASHQKQQQLAV >A07p014050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7094602:7094963:1 gene:A07p014050.1_BraROA transcript:A07p014050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNVSPPIALNLMFADPQLQSIEIPLLAKASDPNEGQLNTSSFTSLFTASSSALSTFGAIEIRFVNKILHYDEVSEQALICHTRANLSSLPLSAHCLS >A10g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8569541:8572335:-1 gene:A10g503310.1_BraROA transcript:A10g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVLGKLSSKDDHAKSKHRHHNNKRDGASGGGGAGGSIGAGGGAGGGIGVGGGIGGGGSAGGGGGIGSGGGVGGGGGGSGTGGNGGSCAGGHGGGGCGSGGGGGRGSGGGGGGVGGGVGGGGRGVGGGGAGGGVGGGVGGGVGAGGGVGGGAGAGGGGGGAVGGGAGGNAGGGVGAGGGAGGGVGGGVGGGGGFGSGVGGGGGGGLGGGGGIGGEKKAKNAAIKQREALEASQRGRRLDAIEAQIKQQAQEKAQNLPYEDDLARKRLHTDHEAQRRQISCVFVFLKRILACVPTLSLAPIEAYPVVTSNSRDTSCSLVLEIQYCSLILNSLMLQ >A09g515570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45931127:45932539:1 gene:A09g515570.1_BraROA transcript:A09g515570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLEAVPLIGFVLSLVSLISKSFCNINKRGESVEDKIKKLDVELCKYREQIQKTRSGPVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQVSFAAEEFWSPSSAGTQLLKEGTPFSYNAGNLSSSKILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLPNLINLLFDSNNLNGQIPQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGDSSKPKTGTIAGVTVVLF >A06p000730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:159013:159366:1 gene:A06p000730.1_BraROA transcript:A06p000730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLQFVVIFVLCSHLVTCQSKGVRENADHPSQCVYRGSCESNRDCKSQCGPPEFPPETIGSCQASPRGHGNICCCAKD >A09g511050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33602356:33602813:1 gene:A09g511050.1_BraROA transcript:A09g511050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCSHLEHTKCARSETVWKEKWRYQGDAAKSTFISKTTWIGLKAYWNFPRSVRRSYNCAVAQLTSDAEGNLPLPHTSEKVSHTGVSLNMAAEEGEAPSLSLLYKKTHQLLKDGHNAPWEGLHS >A01p014330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6984755:6986348:-1 gene:A01p014330.1_BraROA transcript:A01p014330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGVPSKIKTGRKELDSYTIKGTNKVVRAGECVLMRPSDAGKPPYVARVEKIEADARNNVKVHCRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSGHTIEGKCIVHTFKNYTRLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPGCVGMTIEEAKKLDHFVCAECSSDDDVKKSQNGFTASPADDVKMFYYLDNLSLYRSVCTLTMSLTSI >A09p048300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43077371:43092364:1 gene:A09p048300.1_BraROA transcript:A09p048300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISSLYSGSSRWCVVTSVAKFVAAFHAFDAAACSGSSSPCVSSDSHGFISFFSTLGISILSCLCAMYASIHCVYVSRIAFDAAVYLTSMVALLYLNNFSMIGELSIADYFQQWMNNFSRVYSNEPKKQTRLEVFKKNFAFIENLNTKAIIFKNPQLTSFHFAFSPPKPLLARPGNQNLRMSTNKTSVVIAATTAPAASEKQKKRYPGESKGFVEEMRFVAMKLHTKEQAKEGEKETKSPEERPVAKWEPTVDDAEFKNTGLERSEKLAIDLEWFKEQGYEIPEPTAPGKTYSQYLKDLAEKDPQAFICHFYNIYFAHSAGGRMIGRKVAERILEGRELEFYKWDGELSQLLQNVREKLNKVAQEWTREEKNHCLEETDKSFKYSVDLRITQATSRVTFHESSIADFHQQWMIQFSRVYSSESEKQTRLKVFKKNLEFIENFNTKGDQSYKLGVNEFTDWTEEEFLATHTGLSDINVTSPSKGINETMSSWNWNVSNLVSDSKDWRMEGAVTPVKVQGECGGCWAFSAVAAVEGLTKIARENLVSLSEQQLLDCARPGNNGCNGGTMQNAFNYIVSNRGISSEDAYPYKVKDGSCGSNAKPAMQITGFKDVPQNNERALLEAVSRQPVSVGIAGRGDSFIHYSSGVYNAPDCGTTVTHAVTIVGYGTSPEGIKYWLAKNSWGETWGERGYIRLRRDVEWPQGMCGVAQYASYPRERMRRETKRELSSTFAAARVGLRTEIPTSLGTSALDGGSTTSGSHPQTETSSFPSSHHKPSSFLPVQATCSTAEIKRNGQT >A10p021440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14409789:14411210:1 gene:A10p021440.1_BraROA transcript:A10p021440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLILKAAPETSDPVILANASDVSHFGYFQRSSVKEFVVFVGRTVASRTPPSQRQSVQHEEYKVHAYNRNGLCAVGFMDDHYPVRSAFSLLNQVIDEYQKSFGEAWRSAKEDSSQPWPYLAEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSMASQMFYKQAKKTNSCCTI >A05p010430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4401238:4402605:1 gene:A05p010430.1_BraROA transcript:A05p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHHHARLNRLRTTSQLLRQTTASLSSHPLTFIFLTFLLFSFHSLLDHFSLLLTSFVDTDPSLRSLLSRLPNSPTRPHPHPHHPRAPFLQLTRLGTLDDDFFSTDEHDPSRRSLQGSPFRSPLNATTLILSGFDRIPGFHRPIGDNGLSLPQIIRSGFSLKDQEEDASGHDQKPQSDKKDQDFDDSFVDLNLFLKGLDLGLGGGDAAALFYLVTFLSAAYGWVILGFTTVYSLVLAITFVTVVNDLLGRFPSFVDSVWSGSRLGFKRVTGFVLMRWAVRDALTQLLGLWYFGEVEDQSSFFRLFVRLKLMPFTVMPPWIRGFEKEVSGFLFAWFLTDTLVGLVLALDAFVAVVDPRMRGREIVKEGVYLMSLLLHQAVQIKCLEAILCGSFFRWGLVRVVGKGFASVVQSALEVYFMAVWLVFYLAVRCKDANAEGRRFGRREMENMVDGIR >A04p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22261562:22261941:1 gene:A04p039440.1_BraROA transcript:A04p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYNSFFGSEEPHFLESCSLCRKHIGLNSDIFMYRGDKAFCSKECREEQIESDEAKERSWRLSARSLRKKSSEAAKESAAGKTVRTGTLVVA >A09g517670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53079499:53084154:1 gene:A09g517670.1_BraROA transcript:A09g517670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRTYDEDLKSVARPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATKTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPTASFSLIIYFQAQASGLIKGIFPQPFVATIDPFKLDNSCCLNTFIFFLRYLILNLVDMATEEEADEYNINEVDWGEEPGYSWEDQNYGDGSEEEADEYNISEVDLGEEPGYTHGKIKTMEMALKKTTSVESQGLKMDMRKDHVVGSLIPSHKTTTRTIPSTRAILNLGKENYSRWEEDMENYFWEYKVPEHKKLSIALDTLVGEAYQWWLQEEECRIYFKEPTPHWEYVKELMYEHFEMRRLPPRTCPKRFVKLKPRQLHEREVTLTSHYNSYDQFRLYKFSGKGEDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTEKAQRYWKREEKYREQFQEPPIRTWEQFKGIMRDRFAPYIPTQHAQKVSTKRVVQPQVLQPANQRQSSKPVHTPHVKHNQGEYSKSLKPPEVICYRCQGQGHLAKDCPIKRAVKMALREARETNLEVSDSFTRIDKKFDDLINLIKAGSNSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKNVDKGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPCIYQGKLVLRTKPFEEGGNDEDLKSVARPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPTASFSLIIYFQAQASGLIKGILPQPFVATIDPFKLDNSCCLVI >A03p049670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21271954:21289009:-1 gene:A03p049670.1_BraROA transcript:A03p049670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATRVRLCLDRRANGTDSLLSDSLAQWQGPSLLAYNDAVFTEEDFVSISRIGGSGKHGQAWKTGRFGVGFNSVYHLTDIPSFVSGKYVVLFDPQGAYLPNISAANPGKRIDFVGSSALSHYEDQFLPYCAFGCDMKTPFQGTLFRFPLRSPEQAGSSRLSRQAYFENDVSLMFDQLFEEGVFSLLFLKCVLSIEMYTWDAGDPEPKRLYSVSVSSPKDDTVWHRQAVLRLSKTSISGDREMDAFTLDFVSESEKGSQSKRRTDKFYIVQTMASASSRIGSFAATASKEYDIHLLPWASVAACISDDSSENNFLKLGHAFCFLPLPVRTGLTVQVNGYFEVSSNRRGIWYGEDMDRSGKVRSAWNRLLLEDVVAPSFARLLLCLREVLDPRDSYFSLWPSGSFEAPWNILVEQIYKNVCNAPVLFSDLDGGKWVSPADAYLHDEEFSRSKELGDALLQLEMPIVCLPGPVFDMLLKHPSFFIPKVVTPDRVRSFLKGCKTLSTLKKSLKLVLLEYCLDDLTDDDVCTQASNLKLLPLANGDFGFFSESAEAVAYFICDELDHMLLQKAYDRVIDRNIPPGLYSRLFAIAESQTANLAIFGIQNLLQLFPRLVPAEWKYRTKISWNPDSNPDHPSSSWFVLFWQYLEKQCPSLSLFRDWPILPSTSGYLYILSAQSKLINAEKLPDAVRNVLEKIGSKILNNNFKVVHSELSSFVSDATYTGVLESVFDAASSDMDGVQNLIYNLNVEEKDELRSFLLDPKWHMGNQIGDLCLRICKILPIYRVYGETCTQGSDYSDLVNPPKYLPPSDVPACLLGCEFILSSKSSEEDVLSRYYGIERMRKSNFYRQHVFNRIEVLQPEIRDQVMLSILQNLPQLCIEDRFLREELQNLEFVPTVNGPLKRPSLLYDPRNEELYALLEDSDCFPGSGFQKSAILDMLQGLGLRTTVSPETILESARLVERLMNMDLEKAHPRGKVLFSFLEVNAVKWLPDQSSEDDGAINRIFSRAATAFRPRNLTCNLVKFWNELKMICWCPVLVSAPFQTLPWPVVTSTVAPPKLVRPKTDMWLVSASMRILDGECSSTALAYNLGWLSHPGGSAIAAQLLELGKNNEILIDQVLRQELALAMPKIYSILAGLLGSDEMDIVKAVLEGSRWIWVGDGFATLSEVVLDGPLHLVPYVRVIPTDLAVFRKLFVELGVREFLTPSDYADVLCRIAERKGDSPLDPQEIRAAVLIAQQLAESQFLDKVTIYLPDVSGRLFPSSDLVYNDAPWLTASDNHNSSFSADSTMLLNAKRTMQKFVHGNLSNEVAEKLGARSLRRVLLAESADSMNFSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVTFLLDRTHYGTSSLLSPEMADWQGPALYCFNNSVFTQQDMYAISRIGQGSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHANHLPGISPTHPGLRIKFAGRNILDQFPDQFAPFLHFGCDLEHTFPGTLFRFPLRNANVAPRSQIKKEIYTPEDVLSLFTSFSGVVSEALIFLRNVKSVSIFVKEGAGQEMQLLHRVCKDYNVGKDTEPKQTNQVFSLLDENLSAGMNKDQLLKKLSSTVVKELPYKCQKVVVTEQDSSGCTSHGWITGECLNAGVSKNHLNLPEMSHKLIPWASVAVLINSVKTDKAENVVASNSDIFGPSTISVQNRRNFGGRAFCFLPLPITTGLPAHINAYFELSSNRRDLWFGNDMAGGGKVRSDWNLYLIEEVVVPAYGHLLKKIASELGPCDLFFSVWPVTLGAEPWASLVRKLYSFIADNGLRVLYTKARGGQWISTKQAIYPDFSFPKADELVDVLADAGLPVINISKLVAERFVEACSSLHILTPQLLRTLLTRRRREFRDRSGLVLALEYCLIDLEVPFTADLLFGLPLLPLADGSFTTFSKSGTAERIFFAEENGYELLKDTLPHQLVDREVPEEVYSKLLSVAQSEESCICLLSCSLLEKLFFKLLPADWHLAEKILWTPGQHGHPTVEWIRVLWSYLKLSCDDLSVFSKWPILPVEDRCLMQLTVNSNVIQDDGWSENMSSLLLKCGCRFLNRELPVEHPQLERFVQPPTAAGILNALLGVSGGQENIKGIFLNVSEGELHELRNFILQSKWFSAGQMNEVHFETIKHLPIFESYRNRKLVSLNCPVKWLKPDGIREDLLDDDFVRLDSERERAIFKRYLQIEEPSKIEFYKACVLNRMSEFLSQQEALLAILHDLNDLVADDVSLQCALSTTPFVLAANGLWQQPSRLYDPRVPGLQELLHKEVYFPCEKFLDSKILDALVGLGLRTTLDCSTYVDAARSVSILHDSGDQEASRYGRRLFFHVKNLSVKLSSKTGEANDDESQDLMSKTSEDSPDGETYPEYEVGSNLGSLPTEQSEHEFWDQMRSIAWCPICLDPPIEGIPWLESSNLVASPDRVRPKSQMFLVSATMHLLDGECHSPYLLQKLGWMDCLSIDVLCRQLIGISKSYKEQKSRSTVNPDFESMLQSQIPLLYTRLQEHARDNDFLALTSALNGVPWVWLGDDFISADVLAFDSPVKFTPYLYAVPSELSDFKELLLELGVRLSFDAADYMSTLQHLQNDLKGSPLTEEQICFVLLVLEAIADCFSEASQDCDRNLVLVPDSAGLLVPLDDLVYNDAPWVDSSSLSGKRFVHPSISNDMANKLGIQSLRCISLVDNDITQDLPCMEFTKLNELLSLYGSKDFLLFDLLELADCCKVKKLHIIFDKREHSRKSLLQHNLGEFQGPALLAILEGATLTREEVCSLQLLSQWRVKGETLNYGLGLLSCFFMCDLLSIVSGGNFYMFDPQGATLSASTTQAPAAKMFSLIGTNLVERFSDQFNPMLIGQDKAWSLTDSTIIRMPLSSEILKDGLEAGLNRVKQISDQFLENASRILIFLKSVSQVSYSTWEQGNVEPHQDYALHIDSASAIMRNPFSEKKWRKFQLSRLFSSSNSAVKSQIIEVNLHIGENKLLDRWLVVLSMGSGQSRNMALDRRYLAYNLTPVAGVAAHVSRNGRPVDVHPASPIMSPLPLSGSVNLPVTILGCFLIRNNCGRFLFKNQKGRDMLDAGDRLIDAWNKELMSCVRDSYIEIVVEMERLRREHSSSSIESSTARQLALSLKAYGHELYSFWPRSNQHDGALVTEMPKPEWECLVEQVIRPFYARVADLPLWQLYSGNLVKAEEGMFLTQPGSEVAVNLLPVTVCSFVKEHHPVFSVPWELLAEVQAVGIPVREVKPKMVRDLLKKSSASIDLRSVDTYIDVLEYCLSDIQFTEALNPEGANLDEGNSTSGSMSMPTQAQAGSSDAFEMMTSLGKALFDFGRVVVEDIGRTGNSNNRYSNLDPRFLSAVNELKGLPCPTATNQLTRLGTSELWLGNKEQQALMLPVSKRFIHPKVFDRSSLSDIFLKSSVQAFLKLRSWSLPLLASNMKYLFHDDWVNYISESNTVPWFSWESTSSSSDDSGPSPEWIRLFWKNFNGSADELSLFSDWPLIPAFLGRPILCRVRERHLIFFPPPPSQPISGSATDMPTTSVSDGSLSELIQHYASGFDLAQRKHPWLILLLNQCNIPVCDTAYIDCAERCKCLPSPSMSLGQAIASKLAEGKRAGYITDISSFPTSGRDELFTLLANDFSSSGSRYQAYELEVLSSLPIFKTVTGSYTHLQRQGLCIIAGNSFLKPHDECCFCYFLDSVECHFLQALGVAVSHNHQTLVRFGLTGFESRSQSEQEDILIYLYGNWRDLEADSTVIEALREAKFVRSSDEFSSELSTPKDLFDPSDTLLVSVFFGERKRFPGERFSSQGWLRILRKVGLRTAAEADVILECAKRVEFLGRERDRPSEEDSFETDLVHSEKDISVELATLAGSVLEAIFLNFARFYSTAFCNTLGQIACVPAESGFPSIGGRKGGKRVLTSYSEAVLLRDWPLAWSSVPILSSQRFIPPDFSWTAFRLRSPPIFSTVLKHLQVIGRNGGEDTLAHWPNDPDVMTVDVASCEVLKYLEKVWGSLTSSDILELQKVAFLPAANGTRLVGASSLFVRLPINLSPFAFELPSLYLPFLKILKDLGLNDVLSVGAAKDILSKLQKLCGYRRLNPNELRAVMEILHFLCDEINATKAPNDSTISSDAIVPDDGGRLVHARSCVYVDSFGSRYVKYIDTARLRLVHPLLPERICLDLGVRKLSDVVIEELESSEHIQSLDNIGSISLKAIRRKLQSESFQAALWTVSRQITTVHDLSFQDVQHSLQSAAEKIEFVRNIFTRFLLLPNSVDVTLVSKESMIPEWENESRHRTMYYINLHRTTILVAEPPGYISLLDVMATVVSEVLGFPTSLPIASLFSCPAGSETEISACLRLSSRALTNTGTAVDSTVGQEILPQDAVQVQLHPLRPFYKGEIVAWKIQQGDKLRYGRVPEDVRPSAGQALYRFKVEMTPGETGLLLSSQVFSFRGTSVENEGPSSLAGVLPAVSDNKSQGNSESSRTNKASSSSQVKTICGLLIGFVLCDLQPVNETQYGRVTAKELVEAVHEMLSAAGINMELENQSLLQRTITLQEELKDSQAAYILEQERAEASLKEAETAKSQWICKICLIKEVDITIVPCGHVLCRECSASVARCPFCRLQVTRTIRIFRP >A08p030460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18670154:18671857:-1 gene:A08p030460.1_BraROA transcript:A08p030460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKLISSSSSSTTSIYDTRSSNNSNHHNPPSSSDEISLFLRHIFDRSSPLPSYYSPATMTTAVHGDPHAENPRRFVSPQTSKVLVGSGVGSSSATACYGFSRVGGGNNNIVQGNSSGTRVSSSAVGASGNETDEYDCESEEGVEVVVDDELLCKSRTSSKRCRAAEVHNLSKKKRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGVNLHPLCLPGTTLHPLQLSQLRPGVPPEATNDSLFNHTNHFASTSNAPAMINTDALEPSIRSHFGPFPLLTSPAVRSSEMSGEGGLTHPRLSIGHSNTNLTGRQAVFNGQQPDIKDRFT >A06p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13708441:13712989:1 gene:A06p026680.1_BraROA transcript:A06p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRTLKLREAHKVCGSGAAFCSILWDQKAQHFVTSSSSDPSISVHDGRSPSSSPPTILRHHQDGVTALALSQDSSFLASGSIDHCVKLYKFPSGEFQTNITRFTLPIRVLAFNGSGSLLAAAGDDEGIKLINTVDGSIVRVLKGHKGPVTGLDFNPNGELLASLDSNGTVLCWELQSGIVSYTLKSVAPDTGFSTCVVNILRWSPDGRILAVPGLRNDVVMYDRFTGETLFALRGDHLQSICYITWAPNGKYIATSGLDNQVLLWDLDKKQDIDRQTFEERICCMAWKPNSNALSVIDVRGKYGIWESLVPSSMVAPTVGIPDILPKRRNEILTFDEEMEEELSPSLNDDDDGESHQPSRKRIRRKTVIDDDVDLDEEFYDDGSSIPGYRKKSHGVRSGLPRSIARSTNFKMQKPFQPGATPPEQGKRTFLCYNMLGCITTIEHEGNYRIETDFHDTGRGPRVSSMIDIYGFTMASINESGCVFANPSKGEKNMSVLMYRPFRSWASNSEWTMRFEGEEVKVVANGSGWVAAITSLNLLHIFSEGGLQVSSFAFDCLSCVTIDKHVISLSGPVVTATGCRDQLAVVTHVSDCLPSNEQVMEFRVLNISKMTQQLNGRVALTPGSHLTWIGFSEEGSFSSFDSEGVLRVFTSQYGGSWIPVFSTTKVKKQEENYWVVGLNTTSLYCIACKHPERFPQVTPKPILSILDLSLPLASSDLGAASLENEFILKQLRLYETQRRVDDMAFAEVDTTALEDEAFDLEVSQDRCILRLISSCCSRDKFVRAGELMRLLSLEKSMRAAITLVTKLKLPFLAEKFCSILEEKLLEEANEATENHPQNPSREVVKSKVQNSPALVQTSENTEAVLKASAPKPSATTLVRKAKVSEGLNLGKEQIKRDETDDVKKREVNKLNLMKNPVNSVNSEDKGLRKEANQPDARPSSNPFLKSIV >A06p003780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4522020:4523354:-1 gene:A06p003780.1_BraROA transcript:A06p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMLQEDNGTSSVASSPLQVFSTMSLTRPALLSSPSSPFKDLKPEERGLYLIHLLLTCANHVASGSLQNANAALEQLSLLSSPDGDTMQRVAAYFTEALANRILKSWPGLHKALNATQRKTSNVSEEVHVRRLFFDMFPILKVSYLLTNRAILEAMEGEKMVHVIDLDASEPAQWLALIQDFNSRPEGPPHLRITCVHRHKEVLDQMAHRLTEEAEKLDIPFQFNPVVSSLESLNVDQLRVKTGEALAVSSVLQLHNFLASDDDILRKNGCSLRGDSASSLPLPSSGRIDRFLNAMWSLSPKIMVVTEQDSDHNGSTLMERLLESLYTYAALFDCLEAKVPRTWQDRIKVEKMFFGEEIKNIIACEGSERRERHEKLEKWSQRIDLAGFGNVPLSYFAMLQARRLLQGYGFDGYMIKEESGCAVICWQDRPLYSVSAWRCRK >A03p004680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1968509:1968934:-1 gene:A03p004680.1_BraROA transcript:A03p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTVMVFLIMAIFAASTLAQAPAPTPTATPPPPASTPPPVATPPPVATPPPAATPIPAPTTTPPPAATPAPATTPPSAAPSPSVVPAASPPAPEGPALSPSGLSPGPSDEAAAPSAAFSNKAFTVGTAFTAIVYAAIMV >A09p023500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12856642:12857163:-1 gene:A09p023500.1_BraROA transcript:A09p023500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRLSLPYILVKDDPSVHVLMHDSNDKDSCGTVRMVCRNVEMVGKDEFWYGQFGCLDVVPALALFWTYAGRSGTRLGQSDRYGWMDEPQLNCSERPDLHAELVPYTDMWTGAHQFGFSFLSSSQRISSGLFGG >A01p055150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31319327:31322947:1 gene:A01p055150.1_BraROA transcript:A01p055150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTEISSNMNWEEKTSLVNKVFSWSIEDILNKDIFKTNIRTIPDTFRSVDEYLKCFVPHLLEETRTELSSSLGSLSKSPVSRISSAQPTKIEFPSKTSNSFLVSLMKFNAEGSRTTYEPKCGDLIALTNISGPRRVYDLDPLVLAFVFSVEDELGFSVHLSTSTSISIDEGFPFSSCVYLMTLTTNTRIWNALHGCGNLSLINSVLQTNTAETENSVPSRDWGKDILDMIRSANLNSSQESAVSSCLETRNLRDKTCVKLIWGPPGTGKTKTVATLLFALFNLGCKTVVCAPTNTAVAEVASRLLVLFKGSSSSEHSTYGLGNIVLAGNRGRMGIDSKNEDLLDVFLDHRISKLKKLLSPLTGWNIVLAGKRGRMGIDSKNKDLLDVFLDHRINKLKELLSPLTGWKQILELVIKFLEDPESHYKEYLLICEEKEKERDRRNKSIVLSFGEFIKKSFDRLSEKLETNMVDLYTHLPKSFISCDHVKKMVQGCEALLGVKQFLEENSSKDDFKIGSSLFDSFNNIVGLDFLQPLRLLPSRFGVQASLEDEDIRKFCLTNAPIIFCTASGAAEMTGERTGSVELLVVDEAAQLKECESAAALQLQGLHHAVLIGDELQLPAMVQSEICEKAKFGRSLFERLVLLGHNKHLLDVQYRMHPSISVFPNIEFYDGKISDAANVKESNYQKRFLEGSMFGSFSFINVGLGKEEFGDGHSPKNIVEVAVISDIISKLFKVSCETKTKMSVGVISPYKGQIRAIQERVGDEYTPLFGDELFTLNVRSVDGFQGGEEDVIIISTVRSNDNGKVGFLSNRQRANVALTRARHCLWVIGNETTLALSDSIWTKLIRDSKRRGCFHDAANDKNLREVMNDALLEVDMSDVFSSFQSLSIRKGRRNAW >A05g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4563929:4565284:1 gene:A05g501190.1_BraROA transcript:A05g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVVSSLACLFVTVLSLNEKYTHFHQASLVLVVDLNGGGNFTTVQSAIDAVPDSSSFRTLIVINPGIYREKVTVHKKKTNVVLQGKGYQKTIIEWNDTALSSGGTFYSFSFAVYADNFVAHNVSFVNTAPEPRPEVKGAQAVAMRLQADKSAFYGCGFYSAQDTLLDSEGRHFFKECYIQGSIDFIFGNGRSIYQDCTIRSIAKETSSGISGIITAQARDSESDQTGFSFVNCTISGTGKIWLGRAWRAFSTVVFSNTYMSEVISPDGWNNFGNTTRDRTVTFGEHKCFGEGANYTKRVAYGKQLTDAEAAKFTDISFIDGQQWLNQSDIFLQAEL >A02g506430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:18190979:18191173:1 gene:A02g506430.1_BraROA transcript:A02g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSERAIASWSDLVGLWYRSPRNLEWTHKVLCKASIAIPFGISIFDTTALNFSMYSRRLWSFF >A04p024170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14657351:14659331:-1 gene:A04p024170.1_BraROA transcript:A04p024170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEKDHEGTVADTGDQNDSAAVPSETVGGGEKKTTTTKGKGMFSRIWNWIFRVKGDDFEKRLKGISKEEATIRSRMKRRSVTRRKLIRNLIAFSVFFEVIAVSYAIMTTRDEDLDWKLRSFRILPMFLLPALSFLTYSSLVSFTRMCDRRDQNTLEKLQGEMLGKIDELKERTNYFTTLDILRRFDPDPAARAAAATILASKLGADSGLKVYVGDESQLDPATGKSNDMEIKHSHGLRNRKQPNAKRSVAGTTSSTHHSDNESNHSGTSEGTTEQNPQMAFEHFNPQGYAAHDGSWISRIAALLVGEDPTQSYALICGNCHMHNGLCRKEDFEYTTYYCPHCRALNKPKHSGEQSLVPPANTVSLNPMESEVINSSSSTSERGDSPVPAEIVGEAPQTTES >A02g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18052293:18053176:-1 gene:A02g506350.1_BraROA transcript:A02g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A09p041830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23626479:23629154:-1 gene:A09p041830.1_BraROA transcript:A09p041830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKDPLAKRKVVVRHLPPSLAESDLLSQIDSRFGDRYYWFSFRPGKSNYRTQKHSRAYFGFKAPEDVYDFASFFNGHVFVNDKGAQFKAIVEYAPSQRVPKPCDKKDPREGSITKDPDYLEFLKLLAQPVENLPSAEIQLERREVEQSGASKSAPIVTPLMEFIRQKRATVIGSQQQGSLDGRRGGRRARAISANKPSSRPSKRNSDKKKYVEKDNSKGVPRTASSDAGSSKQDYNQANSSGKDIPATGTASVIDSSLPGIALTMDSGKKKILLLKKDRDNPVNSPQQAEQQTEANLSGSSSTSRQNQKIDVGGRLIKGILRRNETRPSQASSLVLPEQRVEPTDAENSKRPPRPANIRAGKDYHVSGTNSEKLERRARNKDRPDRVVWAPRRADGSNIIEDQPTSSAANNGEVVNSSGGHTLENGSARHSSRRIGSRNRKEDGFAMTIEGKTSRRGGGGGGPSSHEALCIKPIPMDYDVLQRESIDLNRNGE >A08p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16923273:16924381:1 gene:A08p026610.1_BraROA transcript:A08p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLLLSLSLTSLMQPTSSMNEQFLKKWQMGLQIFRPSIDNTSVSERKRAIKLSADVAMASLRKGTTCWSRALIQKAATQDNFLVRQMLSGIKEETLINKKLPKIVCHRKIVRRSKKILMRRKSKSAMEEVAAKAKKLVKRKTQGLRNIVPGGEFMSNNVLLIQETLDYIVSLQTQVNVMRSIVDAADAGVER >A09g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23511887:23513586:-1 gene:A09g508340.1_BraROA transcript:A09g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEKKRNISYGSIFVFCFFCFVFVAEVVRFVKPNYDFKQNVFTERDVTVTEAIAEAVVEGSHFVMDSISPCNSRRSGLPRRKQHEKLEAPIGQWRERPPTTYCIKFESFRTLLNLVKDGKYVSRPFSSGGYNWTYEIYPNGDKRVGANGLISLYVRIDNSTLITNPQDVYAEIKFFVYNRKQDKYYSYHEPEAARFHLFKTEWGIPSIQSTANYLDPTTGYVFDGDQCVFGVDVFVAQPFKKWEVFSFDEHVNEPIFSWKLTHISTSFSDSYTSGTFTSGGRNWVLKVYPNGDGYGKGNSLSLYLLSESNEKAYVRAKLRVLDQINSNHVEKLVEGWPNAAENSGWGFEKFVPLADLKDQSRGLVVDDALKVEVEIIAFSKTDSTLNA >A10p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1706621:1708378:1 gene:A10p003410.1_BraROA transcript:A10p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRFMLMEVYLDPDKDLLGEGTPVILTKLNLSEAIKDSILVNFGECGLASCLGSFHVAYVNPVTKLCIVRSSRDEHRRVWSAMTLVRSVGNCPVVFNLLDISGCVRACRDAALKCETEKFNQCGKGLSEEETREMNRCLDKLKLLKMRSPRTLEVWKLDTVNYLKSLKLQDKLVSERKANRIPDTLLSLQHPPTYTLGKRRTDHNLLIPEAELKSIGAELHYTQRGGDITFHGPHQAVLYPILSLRSIGFGARSYVEALERSMIEFSSIYGVKARAGNKCETGVWVGDRKIGAIGVRISSGITCHGLAFNIDPDMKYFEHIVPCGIADKEVTSLRRETDAQLPSEEVIHEQLVTCLAKVFSYDDVVVKEDPSAILDTLEDND >A02p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20944035:20945181:1 gene:A02p036520.1_BraROA transcript:A02p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFLNCVRFDVTKTITDKPTSKEGSVAVSSVTCYSWDDVEALTSNFSRLIGSGGYSSIYMARLSSSAKAAIKVHVSSHRLYKIFRLELDILLRLQHPNIVKLLGYFDDSEENGALLLEYLPQGNLQENLHSNSKQVLPWRNRVAIAFQLVQAIEHIHEKCIPQIVHGDIKSSNVLLDKNFNSKLCDFGSAKVGFSSMVQPSAISPRSRHVTMVGSPGYTDPHYLRTGVASKKMDMYGFGVVVLELISGKEAVSAGNGEMLVHVAAPLMHEILDSSIDIAEDSVRGFSDPRMLRDSIDIDEVKTMLGVAALCISSPPSLRPSASQVTETLIQKIPSLSFLGSGKRV >A01p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15152555:15156238:1 gene:A01p037820.1_BraROA transcript:A01p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58200) UniProtKB/Swiss-Prot;Acc:Q8L7W1] MKIDSHLRRQEDVWSLQLLETLRGSKAPVSSCSNAFVCRAALFPGSGSHGPIVKSTALFLTRACDALHGNPHLVKLLSAVAILAFGTWGIRPLLGLTRATLFEGNDANSPKSSTQYIVVSYLQPLLLWSGALLFCRTLDPIVLSSSASQAVKTRFLSFARSMSTVLAFACCLSSLLQQVQKFFMETNNPADTRNMGFSFAGKAVYTAAWVAAASLFMELLGFSTQKWLTAGGLGTVLLTLAGLQILTNFLSSIMIHATRPFILNEWIQTKIGGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHQFSVNIVRNLTQRTHWRIKTHLAISHLDVSKINSIVADMRKVLSKNPQIEQQKIHRRVFLEDVDPENQALRILISCFVKTSRFEEYLCVKEEVLLDLLRVIRHHGARLATPIRIVQRMRNETEADSAAFSDIVFNQAAMNRRLMLIEPSYKINGDDNAKSSRPKSSEQKGEEKDPEGEATDSKAETENNGSNTKNEKPKVSLDSNSSTGNKGSRTASTDQSIEHKSEEKKKDSAGESTKSEKENVSDGESATEQTLKPKARQGTEKSNGDEKARDVRGSGTSSSLEENIVLGVALDGSKRTLPIDEELEASSSLM >A05p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9125922:9127758:1 gene:A05p019460.1_BraROA transcript:A05p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPR4 [Source:Projected from Arabidopsis thaliana (AT4G14965) UniProtKB/TrEMBL;Acc:A0A178UY73] MSLRRILLSPFVGVSLIVVLLALYFRSSFKSPPQHNQRLFSAEELALYNGTDETLPILLGILGSVYDVTKGKSHYGSGGGYNHFAGRDASRAFVSGNFTGDGLTDSLHGLSSSEVKSIVDWRGFYSRTYTPVGKLVGRYYDSQGNPTKHLKGAEAKASRGAQLMEKQKIEEDKQKNCNSRWSQDEGGEVWCDVGVPRLVQRPLEIAITGSMSKRCACFEEDQLDQSGLEIYKDCEPLAKTCKV >A09p069060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53913509:53915519:1 gene:A09p069060.1_BraROA transcript:A09p069060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVRKLHVSVKPVRLHGLPVVLGGETVAKNVFAMVELKWKGPVSGFGLGLVPFYRSNRPANHTTSKPIALGASHVEWEDEFERVCCIVGPWNLSFTVFYGETIDAKNKKAIVGKASLDLSELPSKLESTVERKLPIRSKGLLWKEATLVVNVTFSQVRNEPDDFTQLGPVTVDSAITTNMPSRRGGMDFDSSSSPATASNSGGVSPILGTGSNSSPENQSEPGHKAGFNWWKRRRLSFSMTWRREPREEESTTKTPPSAATESEKPATELSFEPNRWVAKDLSSRDGKSKLRSEVYTASIDQRSEQAGGEAACAAVAVVVAHWFQANPRLINPSETEFDSLITQGSSLWQSLSDEESYLTLFPDRHFDLETVVSAKLRPVKVCTDKSFTGFFSPERFASLEGLMSFDQIWDEVEKEVRAASEIGEARVYIMSWNDHFFVVKGDIEGYCVIDSLGERLFEGCKQAYILKFDDSSLMYEKEKLVCKGKECCREYIKRFLAAIPVAELAAKEEKGDADVSLLHEKLQIDLHHIMLIGD >A06p053050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28020916:28025489:1 gene:A06p053050.1_BraROA transcript:A06p053050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase homolog RECG, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01440) UniProtKB/Swiss-Prot;Acc:F4INA9] MAAVTLSLVQPPCSMCFAGRRLRSVIVIQAQRGNWSKMRFSNLFFSSKVWKITYRSKHSFPDNLLEQVEKCANARLETQSKLISKVAALMEYDDDDVFDQKSDKQVKEELESACKRFPAISLGYSRPVELYSRNKFSEEANRSILKTPNENSFLPTPMPVGWLDPDSLSGTLSSFSPEPLMNVREEISDGSSFTVQTATSEVETTPEEEDSASAQLFLSCTIGSMPGLSKRQSYQLDTCGFHTMRKLLHHFPRTYVDLQNAHLDIEDGQYLIFVGKIVSSKAVRASSSFSFLEVIVSCEVTGRDQNPENLSCNADDKVGKTFYLHLKRFFRGARFTWQPFLNSIQEKHRPGDFVCVSGKVKALRAENHFEMKEYNIDVLRDEDESSLSAQVRPYPIYPAKGGLSPKFLSDVISRALRILPTNMDPLPKEITTIFGLPSLHDAYIGIHEPKNLDEADLARKRLIFDEFFYLQLARLYQMLQGLGTKLEKDVLLEKFKNPVLNSVYIEDWSTLSKSFVKALPYSLTPSQLSAASEIIWDLKRPIPMNRLLQGDVGCGKTVVAFLACMEVVASGYQAAFMAPTELLAIQHYEQLRDLLEKMEGVSSKPTIGLLTGSTPTKQSRMIRQDLQSGAISIIIGTHSLIAEKIEYSALRIAIVDEQQRFGVIQRGKFNSKLYGASVISKTGSSDSDDTTKADLNMAPHVLAMSATPIPRSLALALYGDISLTQITDMPVGRIPVETHIFEGNETGFKEVYSMMLKDLESGGRVYLVYPVIEQSEQLPQLRAASADLEVISQKFPNYSCGLLHGRMKSDDKEEALRKFRSGETQILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGTRKSKCLLIGSTANSLKRLNMLGKSSDGFYLANIDLLLRGPGDLLGKKQSGHLPEFPVARLEMDGNMLQEAHIAALKVLGDSHDLEKFPALRAELSMRQPLCLLGD >A04p039250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22192800:22193349:-1 gene:A04p039250.1_BraROA transcript:A04p039250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKPDEQLFQLLSGLLQQVESLTNTEEVELRSKIEALGLEVTKVPSKSSQPLTEVEIANELDKLSAKIDDVDEMISSALASDPQVQSLLSGTADVWLPVITANSEERLNFTASIDDVEDITTNKDKKSSS >A09p008480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4372096:4373730:-1 gene:A09p008480.1_BraROA transcript:A09p008480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTLEIEDGRTVPLIQYVVGLAVTEAVKSVCDKKGLPYTDVKIKWRNDIYLNSLKIGGVLPQRTDQRSVGLNVDNEQPTTCLNAVLKDLSPMSDLFKKKNFLVPSLIRLKRYLIYSCAQRFISKDMASQVTVMSSVAFSVWLLSVKGFKSRSFTTGHGFTGLTSSGYLLAIGDDNQMYELHPDGNSFDFLKGLVRRKL >A03p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7674229:7675283:-1 gene:A03p018780.1_BraROA transcript:A03p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATIFTLSEVSEHNHANDCWIVISGKVYNVTKFLEDHPGGDEVLLSSTGKDATDDFEDVGHSESAREMMEQYYVGEIDPTTIPKKTKYTPPKQPHYNQDKTSEFIIKILQFLVPLAILGLAVGIRIYTKAA >A02p010710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4637802:4638455:-1 gene:A02p010710.1_BraROA transcript:A02p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKYTGVRKRKWGKWVAEIRLPNSRERIWLGSFDTAEKAARAFDAALYCLRGPGARFNFPDNPPEIPGGRSLTPQQIQVVASRFACEEVLPPQQQQQQQPPASPRGDKTEDGGGISARGDISGGSGGPTSGQVGEDNNNHEDNSNDTTSYWPFVWEENHVVPTTSEEFGTFFMDDDSTNLYTQQQHQLSSDIYDDGAYAVVDDLSHYNINLWNF >A09p078730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58139601:58142536:1 gene:A09p078730.1_BraROA transcript:A09p078730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMDNHFVPPSTSTTGLVFSANYAASGLQPAVDSLASVAGVKSEAALVMDWSPEEQFVLENSLAKLTNEPEISKYVKIAATLPDKTVRDVALRCRWMSGKRRKRKENSAGKNIFNRKVVDTSPELSMLANVPQQNALYVMNNMCHSTHMPREGLSDAVMDLLQQNAQAFSQISYNLSVCKLQDNISLFDQTRNNISAILTNMKEMPGIMSRMSALPVTVNDGLASHLLSSTTQVKIYCFNGSKFFANIIHHSPKQPPEARAENLMAKSWILTDAKENSTSTW >A01p023030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11367494:11372335:1 gene:A01p023030.1_BraROA transcript:A01p023030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSDSRSTSVPSLLLVVILTVLSGLVSRSESAQQPFRREPGHAHWHHSAFLDVRESVRSDVRRMLHSRAEVPFQVPLEVNIVLVGMNGDGGYRYAMDPHKLEEFLRVSFSTHRPSCQETGEPLDIEHRLVYNVFPVGQPELIALEKTVKEAMVSAGTAVEADFGRHLPAYDVEATKVESAFNRLYSYIFDMEVGSGSAGTADKPIPSAIFVVNFDKVRMDPRNTEIDLDSLMFAKLPELSDADKEKQEADYIYRYRYNGGGASQVWLGSGRYVVIDLSAGPCTYGKIETEEGSVSPRTLPRIRNIMLPGEVSPAGHQSTHDIFSGQLAALVATTIEHVIAPDVRFETVDLATRVLVPIIVLQNHNRYNIMERGQNYSINIEEIESEVKKMIHHGQEVVIVGGAHPLHRHEKLAIAVSKAMRGHSLQETKTDGRFHVHTKTYLDGAILKEEMERSTDVLAAGLLDVSDPGLSNKYFLRQSWEDESEGSNDSIVKHKPLWSPYSSKHQKGKKKKAVKKKGDLYRTYGTRVIPVFILSLADVDPMLMMEDESLVWASSDVVIVLQHLNEKIPLSYVSETERQHAIPSQVQRHILAGIASALGGVSAPYEKTSHAHERPVTNWLWAAGCHPFGPFSNVSQMSQMLQDVALRNTIYARVDSALHKIRETSEAVQNFASEYLKTPLGEPVKDKKNKTRTELWVEKFYKKTTTLPEPFPHELVERLEKYLDTVEEQLVDLSSLLYDHKLYDAHLNSSEILQTTMFTQQYVEHVLETERENMRCCKIEYKYTVGVKSYQTLVYGGILIAGFLVYFLVIFFSSPPAR >A10p031770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18912219:18916569:-1 gene:A10p031770.1_BraROA transcript:A10p031770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRQCFALIEMLFSGCGPTKARGLRGPTLRSNGCFFFDPTAATLPKTSPFPRKIQRTDHFTSERREISPSIRIQRKTPAKMLPPELQPRLFRPHISSTSSSSEQASSSPNYSPHMSPASTRSFIDRRTSGATSRSSNSRFSPSSFAYNGRIALALVPCAAFLLDLGGAPVIATLTVGLLISYIVDSLDVKFGAFLGIWMTLITAQIAFFFSSSLLSAFNSLPLGLLAAFLCAETTFLIGCWTSLQFMWLQLENPSIVVALERLLFACAPFTASSLFAWATISAVGMSSSSYYFLVFACVFYWLFAIPRVSSFKTKQEAKYHGGEIPEDNYILGPLESSFLSLNLMFMPLLFHVASHYSVMFSSAASVSDLLLLFFVPFLFQLYASTRGGLWWVTKDSHQLQSIRIVNGAIAMVVIVICLEIRVVFRSFGKYIQVPPPLNYLLVTTTMLGGAAGAGASVLGMISSGLSSAFFTALAVIVSSAGAIVVGFPLLFTPLPAVAGLYFARFFTKKSVRSYFAFVALGSLMVIWFVMHNYWDLNIWLAGMFLKSFCKLIVANVIIAMVIPGLVLLPSKFHFLTEAGMVAHALLLCYIEDRFFNYSTIYHYGMEDDVMYPSYMVILTTLIGLAVVRRLFADHRIGSKAVWILTCLYSAKLAMLFLSSKSIVWVAAALLLAVSPPLLLYKEKSKSASKMKPWQGYAHALVVAISVWFCRETIFDALQWWNGRPPSDGLLLGFCIVLIGLACIPIVALHFSHVLSAKRSLVLVVATGCMFILMQPPMPMTWSYHSEMIKAARQSADDISIYGFMASKPTWPSWLLIVALLLILAAATSLIPIKYVVELRAFYSVAMGLALGVYISAEFFLQAAVLHALIVITMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRINNDLGDNAAWGWDAREEDKKVTAMLAIEGARTSLLGLYAAIFMLIALLIKFELTSLLREKVSEKSGPSKTQGGASGMFPTRTRLMQQRRATSIQSFAIEKMSEEGAAWMPAVGNVATIVCFAICLILNIHLSGGSSQAIFFLAPILLLLNQDSDLLSGFGDKQRYFPVTLAISTYLALSSLYVVWEEVWFGGNAGWGVEIGGREWFFAVKNLALLILTAPGHIIFNRYVWSYSTKNSDPSPMLTLPLSFAAVVITDVFQVRLLGVLGIVYSVAQYVISRQQHIKGLRYI >A02g511190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29678162:29680417:1 gene:A02g511190.1_BraROA transcript:A02g511190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRRWNPGIGREIQIDTISAHYNQDGKFGNQGRNRERRSVSDLRGFAGESFQFGFRSAISIFTKTNPSQTEGIISVIIKTESFRIVSLRVSFISRLKIVGFWKARILILWDNVIRVIRRILDCGFVCRFSIDNVDYGRCGINGIDLSQGKKYLGDYYIMGFGSHHFNTTFESFSTYVSFSLICCLVSWLVVMDDAEYGLNDRARSYKGVVINENGGQQDRGREKREYQGKGKGKMFEETDSKWVRAADRESKASNHKNHRNGHRGDEGNSRPRNSRREHTRTHHQDDRSRNSAGTRGERVSRTEFQPEGLEEGEIKEKEMERSTQMEEKVPERTQPSQAFLDALMETQGELSKVLSNPSSGEQELGVENMDLGIVDGNNVETDGSMGLAGNYIEDASFGNHEGFGEDEVQMLAEEEMEEEVKAQVVMPEALEEKKKLEDMDGKDGVAGEVEKRQGIRKKVVKPSVGAAASNKLKMAQLVSAKRVVAKPGIRHGDHSKQGEDKGTSGPKHDSAKQVKDP >A09g512050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35338543:35339682:-1 gene:A09g512050.1_BraROA transcript:A09g512050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAKKTTLQRYLSQLQQHPLRTKLECCLVLVMLFRRNSLGYKPIFEFYRLLQQIFADGFLGPVGHFFHTTMDKIFQGKKDTDCCKEGNRGTADIVTIEPFAFHDLLWRTPWNLVRGRIKKTYPTVQLTAWAVSDRKCCVYYQCIVFPIMGWVNYKYVPLHFRVILHSLVAFFW >A03p047450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22412372:22413173:-1 gene:A03p047450.1_BraROA transcript:A03p047450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFFIPSANVTSIGGDAVYVATVPLRATGGPPQLIMSMAYSLNIWNLQHFMVLIKPSSSIPQEVIVFDFQPVNPESIEAAVSIISGKSVPGVVMQRKLKNVPKQRCWMAGSPKGNNAMEMAIEFNSSWETDLRVGFHDCRHYTNELVQHLTGEIQIVERLTKSISS >A05p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29385754:29387186:1 gene:A05p050480.1_BraROA transcript:A05p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSNTKKYTPPGLRNPSGGNKKTGDRAGSQQNKDGERSQTQASGSQMEKASPKIITLKDCATSEAYQLLSERWAAAMHQYNDPTVDLSERPVMYYGGSVWGKLPHQILASANNTLPPKITPADYRAELRRGLLTPRSSSN >A03p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1770594:1773053:-1 gene:A03p004130.1_BraROA transcript:A03p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLSSLLRSSSGRSAAKFTSRNPRLPSPSPARCAAPFGNILGRVAEYSTSSPAPPSSAALAKDEAKKTYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A01p043640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24773195:24774245:1 gene:A01p043640.1_BraROA transcript:A01p043640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFSLQLSRLRKLFSYHSTDSPETACSTFSPYRGYTEAVRSAWNRNGNAVPKKR >A03p027220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11353619:11354373:1 gene:A03p027220.1_BraROA transcript:A03p027220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEVKLLGIWASPFSRRIEMALKLKGIPYDYVEEILEHKSPLLLALNPIHKKVPVLVHNGKTILESQVILEYIDETWKQSPILPQDPYERSKARFLAKLVDEQIITAGFVSMARADEKGREALAEQTRELIMNLEKELVGKDFFGGKSVGFLDLVAGSMIPFCLERGWEGIGLKVITEEKFPEYSRWVKNLEKVEAVKDCIPPREKHVEHMNYMGERIRSA >A02g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2358055:2362094:1 gene:A02g500770.1_BraROA transcript:A02g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSVQSETHPALPGTRTFRHTTPFIIKNQQTMLPRFDPTNPLACMSVLEDVTSNAKQIQDSVLEAILSRNAQTDTGTSGGYPKLIPLTAEDMEQRILFASLYAPLVFKDRVQVSPHEISTCVDTSQAMYCQLLCGLVQRENVARLGAPFASSFLKVINFLEDHWTALCSNIRTGRVSDWITDAQCVSGVSKFLTAPNPDLASLIEKECSKTSWEGIVKRLWPKAKCIEAVVTGSMVQYIPLLEFYGGGLPLISSWYGASECFIGINVDPLSKPCDVSYTIVPSMAYFEFLEVEKDHQETGHNPTKNPVVVDLADVKIGHDYELIITTFSGNAVRNETKSYSPGLMWSGRPNHT >A05p051420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29977119:29979202:-1 gene:A05p051420.1_BraROA transcript:A05p051420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGRTLKNNNMEDEMDLKRGPWTAEEDFKLMNYIATHGEGRWNSLSRCAGLQRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYLWMPRLVERIQSASAAATVATTTKTTTTTGSAATSSSNTTSNNQFMTYDYNNNSMGQQFGVVNNDYITPDNSNVAVSPMSDLTEYYSAQNTYPEYYSGQVGNNYYPDQDLVVPQILPDNYLDYSGLLDEDLAVMQEQSNLNWFEDINGATSSSDSLWNIGESDEDLWFLQDQQQFNNNGNF >A10p030950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18622884:18625782:1 gene:A10p030950.1_BraROA transcript:A10p030950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPEPASSGDENNNAVLEHRSFARIGFLGNPSDVYFGRTISFTIGNFWASAKLEPSDHLLIKPHPYHDLVRFDSLDNRVCRLKSEGYYGGVRLLMAICKVFRSYCKDNDIHLHDRNFTLSYDTNIPRQTGLSGSSAIVSATLSCLLDFYSVRHLIRIEVRPNLILNAEKELGIVAGLQDRVAQVYGGGLVHMDFSKEHMDRVGYGIYTIMDINLLPPLHLIYTENPSDSGKRTDRQTEQDQNSEAQPQGGRPEKLAVANHHTRNKGEAVHSTVRRKWLDGDEFIISSMAEIAKLAEEGRTALLNKDYTKLKLLMNRNFDLRRSIFGDECLGAVNIEMVEVARKIGAAAKFTGSGGAVVAFCPDGPSQVKLLEEECKKAGFIVEPVKLVPTRLNNSDLKTLSKP >A02g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21641022:21641441:1 gene:A02g507820.1_BraROA transcript:A02g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQKSPPSHGHQRLDSLPIALRPPLLRPPLLPLGEDTQDLRVMRFNVSPENNCFILKRQSKSLRHLIFPAKSRAGAVQKAR >A03p037840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15818200:15825481:1 gene:A03p037840.1_BraROA transcript:A03p037840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVKLPTAPSSLRTQMSPHHFHHLPLPHRSFRLKPVIGITRSRSHHVSPVAVLFREETSLAPLDLPLPRLKVSPNSLQYPSGYLGAVPERASDHDNGSIVEAMEYLTNILSTKVYDIAVESPLHLAKKLSERLGVRMLLKREDLQPVKSFKLRGAYNMMVKLTSEQLAKGVICSSAGNHAQGVAMSAAKLGCTAVIVMPRTTPEIKWQSVEDLGATVVLVGDSYDEAQAFAKQRAEEEGLSFIPPFDHPDVIAGQGTVGMEITRQAKGPLHAIFVPIGGGGLIAGIASYVKRVCPEVKIIGVEPADANTMALSLHHGERVILDQVGGFADGVAVKEVGKETFRICQNLVDGVVLVTRDAICASIKDMFEEQRNILEPAGALALAGAEAYCKYYGLKDVNVVAITSGANMNFDKLRIVTELANVGRQQEAVLATLLPEKPGSFKQFCELIGPMNITEFKYRCGSEKESVVLYRTRNLTTSDLVKDHLRYLMGGRSSVEEEVLCQFTFPERPGALMNFLDSFSPRWNISLFHYRAEGGAGANVLVGIQVSEQEMEEFRNRAQVLGYEYVLKPLHNSNKMEPNGFSAFDPRMLTLETPQNPPNPVQFQHPHPYSTAGDQHPHQQQPLKSLYPRSSKAMQLSPLSGGDDEDRGSGSGSGCHPEDSVGTDGKRRVSPWHRMKWTDTMVRLLIMAVYYIGDEGGLVGDQTEAKKKISGGGGGGGGGGGGGGGAVLQKKGKWKSVSRAMVEKGFSVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQALLETMDHLTPKLKDEVKKLLNSKHLFFKEMCAYHNSCGHLDQPQQPSQDPSHHRPEQQSCIHATEVAEESETAEDSESEMEEEETSKKRRRGEGVSGAVKRMREETARALDDTGKSAWEKREWMRRKALELEERKVGYEWEAVEMEKERVKWMRYRSKKEREMEKAKLENQRRMLETERMVLILRRREIELVELQSLGKRVDPSSATG >A10p008240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11245301:11246895:-1 gene:A10p008240.1_BraROA transcript:A10p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 5A [Source:Projected from Arabidopsis thaliana (AT1G45233) UniProtKB/Swiss-Prot;Acc:F4HRC1] MSDGSMQREMSKDAMAPLELLRESKSSIEEIISRMLSIKNQGLPKSENRELLTQMFLNFINLRQANRAILMEEERVRRERESSKSPMDLTSLQLHNLTYEKSHYLKATRSSREFKSKYPNIDLISEQDFFRDAPEAIKSQTLSNDASHDLMLKRLNFELHQRKEVCKLRAELEQRKNCLLEANSERAKFLSSLPLHLKSLKKASLPVQNQLSLPNPKKLKYHDLAELLPPPLYVMYSKFMAQKEAFEEKIDIEVSGSLKDAQSYARQQAELNQESSDDDGKRQRKRLKKESSDEAGLYRVHPLKLVLHIYDDETPDPKSHKLVMLKFEYLLKLNVVCVGIEESQDGPEKNILCNLFPDDAGLEPPHQSTKLILGDDHAFDESRTSRPYKWAQHLAGIEVLPEMSLFVTGKNNTQNSDTASVSDHYSVQTVLRRIRAQKKG >A07p029290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16426356:16434171:1 gene:A07p029290.1_BraROA transcript:A07p029290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQGCVLKAWEVTVRKTQQAKKRANNIFGTVSVAPQTDDEATATEENDDETSTNRSSIGELYNAERVLPSGDYYTGQWYDSFPHGHGKYLWTDGCMYIGEWYNGKTMGKGKFGWPSGATYEGEFKSGYMDGIGTYTGPSGDTYRGQWVMNLKHGHGIKSYANGDVYDGEWRRGLQESQGKYRWRDESYYIGEWKNGMICGKGTFIWTDGCRYDGFWDDSFPSGNGTFKWDDGSFYVGHWSQDPEEMNGTYYPSGNEENLEWDPKDVFNNLSEYKICSGERVPVLPSQKKLSVWNSSKRVEKPRRISVDGRVSVGLDRAFEKMNMWGSESGEGAADIDSTTRRDLDAEIMRLEAEGFIQSLKPSPVPMRLPRAGKKQGETISKGHRNYELMLNLQLGIRHAVGKQAAVVSLDLKHSAFDPKEKVWTRFPPEGTKYTPPHQSSEFKWKDYCPLVFRSLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLRMLAAYYNHVRAFENTLVQFVIMGNLFCSEYSVHRRFDLKGSSLGRTTDKPESEIISNTILKDLDLNFIFRLQKAWYQEFISLLVGIHFREASVAGELIPSGARTPIGEFEDESAPRLSRADVDQLLSDPTRWASIRLGGNMPARAERTMRRSDCELVGEPTGEYYEVVMIFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPRLYSRRFRDFIFKGLVDLLEFKPIPAGSDSPHSIVRWLCLQNL >A04p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13769325:13772002:-1 gene:A04p022770.1_BraROA transcript:A04p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMDH1 [Source:Projected from Arabidopsis thaliana (AT2G22780) UniProtKB/TrEMBL;Acc:A0A384LAR8] MDANQRIARISAHLNPPNLDQIGSGSGLEGVGCRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSLLHLYDVANAPGVTADISHMDTCAIVRGFLGQSQLEEALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVRTLSEAIAKCCPKAIVNIISNPVNSTVPIAAEVFKKAGTFDPKKLMGVTMLDVVRANTFVAEVMSLDPREVDVPVVGGHAGVTILPLLSQVKPPCPFTQNEIEYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLKGLRGDANIVECAFVASHVTELPFFASKVRLGRCGVDEVYGLGPLNEYERMGLEKAKKELEGSIAKGVTFVKK >A03p036090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15107008:15109899:1 gene:A03p036090.1_BraROA transcript:A03p036090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYMTMNMLYSEKYFDVCLQNQMMRMLGLGYSGSPKPVRIVVVGEKGAGKTSLIIAALNESSQPQPNIPPVLPYTTFPSEWFRDPIPATIIDTSSKPEDREKVVKEVKEADAIVLTFVVDIAETLDRLSEYWLPLFRQLEVRVPIILAGCSVTNREYHNQSGMEIITTSIREQYLEIETCFDWSAHHIARKVFWYAQHAVTNPVGPIYDKETSSLKPRCVAALTRVFVLSTRDTDFILNEAGLNNIQAQCCYEPMTPSQIRGLIDFVQARSPLGVDENGITIEGFLFINKYLVEQGRIRKVWDILRKFGYNNELRLADDMIPYSSFKRMPDQSVELSDEATRFLRGVYKNLDEHSGNNLGPQMIGFVFQISPENPWSIAPYKDASEKTDDGGLSLEAFLSLWNLLTLIDPARSLECLICLCYPSSAVRVTRRRAIDRKEQNSDRRVFQCFVFGPENAGKSALLNRFIGRPYDDDNNNGSNEERYAVNMVDNDGVTGDVKKTLVLKEIQMQEDGFLSSNEALGACDVAIFLYDSSDESSWKKAIDLLVKVATASEDAGLKFPCLMVAAKTDLDSFPEAIQEATRATQEIGIEAPIPISSKLGDCDNLFQKILTAAEHPHLGIPKIKSKKKRSRKLMKRSLMLVSIGTGALIVGLASFRLYAARKQR >A06g507190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19966123:19966689:1 gene:A06g507190.1_BraROA transcript:A06g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRNDPVDRIPLFVSALNGAILVASFMANPADMPVNLRLSMWLLSLSMLSAALSSFLASGLRGRAWRRCVGDASSSAAVFFCGGIIPLLFIAVLSERFDRIQFLLGVTFVMVGAILVVYLVMRDRKMIPLIPQGAEQRPFPWLVFSVGMVGSVLVVLFAAKINGLRSELFKDTYGCGRYNPTYKLF >A05p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15479949:15484665:-1 gene:A05p030280.1_BraROA transcript:A05p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKSKKKVHVEDEETPPQYEVGGPSSPDLRLPRRLFAMDRFPTRRLNIYSSPDLLPFIRNVLRDTLEFETIRRSCFGNLFDLPARQCPVSCKLIHPFLTRQLVCLPKNTLWSAFGGYPFRYGLEEFGTVTGLPCGSYPERYKPNTGKAIVAGKDRVWKRLFGKKKVVTIADLCRMLETDKDMDGWKKIWIALIIIVDGVLIAHKQEARPTPRYVRMVENLKTESFLKTISCMKPLNFVPKKCEDPVATLVKTLKQRSFRLQGFPLSLQLVAFCAIPQLLDYIPAPLNNLTVMDLEDGTLPQHKSINAIHIRRVEFDPNLVVTPIIPIESQPQPGWGLFPDDAKDDSHGRVISEPIINKPKIQVKKKSATIKQSLQTRQPSARKQRRISSYFTRSTTQSFTNVQLTEMVIQFSTQMKQLKREMKRRKKRSHARLSSFNKPFSRRKQSNTPPHTPEPSHNQDQAPMETDDLPQKTSPIISQYEAQLHRDSADDPQASSLSPTTASTQRVSPFHLTTTTQVPTHPPTTKFTVVNSPPPPASDHCIHIQSVHVSPNHNNACVHTSPDHNYDRRQVSPVFNQTPPPSQMITHPNDATDDYDEPPRTLVSVQPPWDELSSVVYDKSDHPNSPEINHILYHGVRIYDPINPDPPIFDSSIPRSLLLLSPQPKTMLTSPTKSNDILSGFAVHATTVNAFTATASSNSPPSLPFKEANTHGVFDLTATKDVDSNVPSLEENHLANKLSKSPLIHALTLISPLPGLEWDLFYNIVSTKMDVWPDFAPCRKRSTFLWDERIFDIVLHQGKKWMEDVHTIYTPMLWNCKHWVDLAINLDMGYIEILDPLPALYADSRLPCDKRSHSLLIFSKPRLTMSSSSSASRSQTHTTRGIPSKYWCGSNLTTFGAQTKENLYRRFYRCEIAMKRQSEHHLFKWIDEAIIDEIRMVDKKVTHLQSDFQSFKRTTTMRLQEHGKKIDESLLEMKRIFHDQTILLEELRNKSTSVLDAKSHCPLLNVAAAAIALGTLAWLYAKMTSI >A02p014790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6575010:6577603:-1 gene:A02p014790.1_BraROA transcript:A02p014790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKRNKAKIVNGPGGYILQDVPHLIDYLPDLHAYPNPLQDNPAYSVVKQYFVDADDSVPEKIVVHKDGPRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVSSLSCMYGVNRILGIDGGYRGFYAKNTIPLDSKVVNDIHKRGGTILGTSRGGHDTAKIVDSIQDRGINQVYIIGGDGTQRGASVIYEEIRRRGLKVAVVGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESNENGIGFVKLMGRYSELLLLIKKAKPGFIAMYATLASRDVDCCLIPESPFYLEGEGGLLEFIERRLKENGHMVIVLAEGAGQDLMSKSMESNTLEDASGNKLLKDVGLWLSQSIKDHFKKNKMEMNLKYIDPTYMIRAVPSNASDNVYCSLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPYYRITEKQNHVVITDRMWARLLSSTNQPSFLGPKDIPEEKKELPVTPLPDGEKSDAVVDIPPVTKEVTK >A05p014460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6306600:6307514:-1 gene:A05p014460.1_BraROA transcript:A05p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRKPMVKKKQGMMEAVDDPDLSFSKIKKDFQLFASSHMTWKDKKALENQKVSGLGGKPQRKQRLPLSVARVQMKKQKEREEKTFEQNVIFGQFGGTSRKKPAADKKRKPEERVLKSTFGNFRGGVLDVKDLLRSGGSSRTNDRDNNYGKDKNKSKGMEVEEETSRKQRKEAVRGKASNIC >A08g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3849495:3850131:-1 gene:A08g501490.1_BraROA transcript:A08g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLLPSKHDDYDMIMRFIKARKLDIEKAKQIWADMIHLGKEFGTNTIIQDFEFESTDISAGKRRRSLRGEEGARDVSSNVRGGGFGSKSIGIRHKYIDIHCSSLTTRDSRSYWTCITTSMWDQFAKAVKEALARRTETPTHRRV >A09g515950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47150196:47151034:-1 gene:A09g515950.1_BraROA transcript:A09g515950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKRENVERREIYFGGSALGRRVSVRAGAGASRLVRALVPTSSLTVVVVLVLEQQRSRQEAPGGGSGMHVQWWCFPGGGGFRRSTAAGSSFREGSLLQIRLRRLLVMESGGYHSSALPLWIPRFFGKRFLGLSGVTADEILVEDKALRRDDDSQGKKEMVSWVACLGFEVCQSRRRSRDSIDLSDGMTRRSDEQGSRGCLLRWRQGGGDQVEAVTTCDALMEQITPRVEPPP >A04p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4459997:4461429:-1 gene:A04p013440.1_BraROA transcript:A04p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLWRKRVSLGKKVLAAVLVMFFAPFLVPPLIVASTIALIASLPYCFLLTSYVCTEKLMRKLLPANAFGGRGDKMVLPHDKVGHGDLYDDGMARVAMSDPCCVKAEEGGTTAICFKEGDNDHITTPLRVTNIMFDVYQSPEDIRKESKSLLESIRDDESSANQSLGRGISEKAFGEGEDNKDSRRVEDLSRKKKVYGTRIEGALESTRKEASREKDMEKSSNEMKVLYNEEQIWAKMEALRKIVGYSVARSTTYSEELKALYMFMGVELPTLMMLEKENQDIAHVSERLCVLLSVIGIK >A09p041030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23178765:23180066:-1 gene:A09p041030.1_BraROA transcript:A09p041030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKDMETGEDDLCQNNWGSASILDYEMAMEEEEEEPNLHVFKASSSGQDNNNNNGGQAWDPRTMLCNLSFMEQKIHELQGLVHLILGRNGQLQDEQQQLITADLTSIITLNNGGASQELEDDAEEGENLPPGSYEIKQLEKEEILAPHTNFCTICGKGFKRDANLRMHMRGHGDQFKTPAALAKPPTKETLPGSDAPMLIKRYSCPFPGCKRNKDHKRFQPLKTILCVKNHYKRTHCDKSFTCSRCHTKKFSVIADLKTHEKHCGQNKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEDTKPSSQRGSSGCGNNNNNAAMVSFSLGSATNANQETSQPCFMDGKISFEESFSPLSFDTCNFGGFPRSIFDDSFQMLISSACGCSPINGGDTSL >A03g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30358914:30359738:-1 gene:A03g509350.1_BraROA transcript:A03g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGESSAGELHVQEICTCPALPFGHYVIFDATLLRRGIISVPTYYTKLTATYMKTLPVHVLINRSDAKPFNFFV >A03p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1139014:1140842:-1 gene:A03p002490.1_BraROA transcript:A03p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMATNGRVFTIGLVTSWYTANIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYAAVAWLKIVPMQTVRSRVQFAKISALSLVFCVSVVFGNVSLRFLPVSFTQAIGATTPFFTAVFAWLMTMKREAWLTYLALVPVVAGVVIASGGEPSFHLFGFIMCIAATASRALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPAALIMEKNVVGITIALARDDFRIIWYLLFNSALAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFKNPVSVTGMLGYALTVCGVILYSEAKKRSK >A06p055810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29102105:29108525:-1 gene:A06p055810.1_BraROA transcript:A06p055810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein REV1 [Source:Projected from Arabidopsis thaliana (AT5G44750) UniProtKB/Swiss-Prot;Acc:A3EWL3] MKRSIGSNSSGNSGSSKKSKKSNQKTLGAAWGAASRPSSSSYRSSPFSNFGSYMEVKNRKLQNQFETEASSGVSGSEELIFQGVSIFVDGFTIPSHQELRGYMLRYGGRFENYFSRRSVTHIICSNLPDSKVKNLRAFSRGLPVVKPSWIVDSISANRLLGWVPYQLDQLNDTQPKLSAFFAPRRHSNPPVTSSQPETGYSEAEEGSSIIAGDSEESRDNVVDEIDGVYTEKTTPEMTAQTRTDKLKSSEVNAETLGNYDKEEKELTSELQPTSNLPSASDNKSSHGKPVAPAAGSSVRRHSTVEDPNFVENYFKNSRLHFIGTWRNRYRKRFHGSFNGLKWTDSGQNTAENANKSTIIHIDLDSFFVSVVIRNRLELQDKPVAVCHSDSPKGTAEISSANYPARAYGVKAGMFVRHAKDLCPQLVIVPYNFEAYEEVADQFYDILHRHCRKVQAVSCDEAFLDVSDLRDVEPEFLASTIRKEILDTTGCSASAGIGGTMLMARLATRVAKPAGQFHISAEKVEEFLDQLAVGTLPGVGSVLKEKLEMQNIQTCRQLRLISKDSLQKDFGVKTGEMLWSYSRGLDLRSVTAVQESKSIGAEVNWGVRFRDQQDVQHFLQCLCKEVSLRLQGCEMIGRTFTLKIKKRKKDAGEPAKYMGCGDCDNFSRSITVPAATDDIEVLQRISKKLFGSFNLDVKEVRGVGLQVSKLEGADPSNKGSKTLTSWLNSAPASIQTEKDDHVFAAEVREIPADCKRRDTGGVSSLRECNSAEPSVQSGDTNSSLPPMCHLDMEVLENLPPELLTELDGTYGGKLFELIEKKRGKRKINSDSSHVSLDEPHVAHPPFARAPNERAIETNDLMPLSLSQVDVSVLQELPEELRADVLGAFPAHPRQQSSSDAPMETCKKQDKETTYINDGENEIGFSSSSLWFGNPPLWVENFKVSGNSTLEKISEIYCNVAQSRPMLSTVLQRVISEVGSFHDASINDLEKTVDDVWEFLKEYIKIKVEGDIEEIYLCFRLLKRLAARSQLFHQVYEIVSPFIQVHSHSCLTIRRMWILKGIVVNAHEAALTVVGK >A09p051870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45579926:45581242:-1 gene:A09p051870.1_BraROA transcript:A09p051870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVNKLHGTPSIVTASSTARRHRSSILSIHYPTKRVSPFSLTVTRCSITRSNETGQDSTLESSSLPSSKKGLVLDLGTDSWDSEEIGSPVVKRFLSDNEERWYMWYYGISSKQSPVSDSVGLAVSNNGIHWERGKGKVESTDDVGLVMGSCEDWWAFDKASVRPGEVVIMSSSKVRADSSVYWMYYTGYTTETVEFQSQGLNFELGNPERFEKGTVFRSLPGLAISQDGRHWARIEGEHHSGALFDVGSEKDWDFLYIASPHVVFHGSGDLRMYYHSFDAKTGEFCIGMARSREGIKWVKFGKILGGRKEGLVCFDEMGGRYPCVTRNKRDGSYVMAYEGVDRNGKMSIGLAVSEDGIKDWRRVQDVGAVLDVGEGGAWDNEGVGCPYLIEMDGDSDHQWRLYYRGVGNGGRTGIGLAVSEGNEITKFTKQTGICL >A07p007410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1020815:1021084:1 gene:A07p007410.1_BraROA transcript:A07p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTHRPTRRKGELDRTGRPTSQFGQLDREGHPTRPFGELDRASRQNCPFGELDQLACLHPVLVAPYFEIGSNLLLFHLDRSHRWNFTI >A10p032680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19255001:19259946:1 gene:A10p032680.1_BraROA transcript:A10p032680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 6 [Source:Projected from Arabidopsis thaliana (AT5G12150) UniProtKB/Swiss-Prot;Acc:Q9FMP8] MAASFAAMQRPQVGASNTVYKSGPLFISSKGLGWTSWKKRWFILTRNSLVFFKNDPSVSPQKGGEVNLTLGGIDLNSSGSVVVREDKKLLTVLFPDGRDGRAFTFKAESLEDLYEWKAALEQALAQAPNAALVIGQNGIFRTEATNTIEGSFNSWRDQRPLKSSVVGRPILLALEEIDGSPSFLEKALQFLETYGTKVEGILRQSADVEEVERRVYEYEQGNTDFSPEEDPHVVGDCVKHVLRQLPSSPVPASCCTAFLEAYKIDHKEARVKSLRSAIVETFPEPNRRLLLRILKMMHTITSHSRENRMTSSAVAACMSPLLLRPLLAGECDLEGFDALEDNSAQLLAAANAANNAQAIVTSLLEDYGNMINDEGLQRCSTSTDSHIGESGPENSSDEEDIEVKRPDLHNVDIEDGHTYDDDNDVMLSRKPSESSGYAGSDLYDYKEYGVEDSDAESPKDIHCSVESTGDFPTRVKRQIEEPFKDIEIASVSPTENCYQSGREAMPSVNPSTPLTAPRYTTSAEKPVNKTAGLSTVSSKRSSPWGRGSGQKTPAKGSFDGSGNDELLIQRLELMKDELRQRIAKEAKGNAVLQASLERRKQALHERRLALEQDVGRLQEQLQAERDLRSALEVGLSSSCGHFSSQAADSKTRAELEEIALAEADVARLKQKVAELHHQLSQQRHHHLSSLPDAQSHHQFLQNHNTQLKSFQQDFDSILAFVNQERNQRTDESSLRAEWRNGRGNNRQAPGSPRLNEASLGIPMEEFSPVMEYARHEHNNQPPPGASAALMELTTRLDFFKERRSQLMQQIHNLDLSYGSSSSVHRSSSPPWN >A04p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000090.1:8614:29931:1 gene:A04p012360.1_BraROA transcript:A04p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKDNGNIHGYSFCASMIARTIEMTPNVAEIARIDSQKMGLACGSARREEKEERGNEWGWFSQMKTTLKSCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRRLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESLWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKVEDSGVLREQEKGSGADDRITRKEWRVANEGEVRDVGDGKSLVAYTGGPSNNDYIRRSDMDALIKMLKENGNKSSINYGYSFGASLIENITDSIETERTTPLDHERGNGTESHEQVHNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQEEPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPTIQGDLVSLSSKPSLAPTHRLRETSTVQRRAIQFEAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQTARIGPIRGQRVELRGQPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETSTVQRRASSLLEHPLSIHLQWDNFFIPTTMRFIQLPGHSPPLYVSKSSFSQSDSSWFDWNDKEAVLFPNWETGIVEMRDVSVRTGRTRWPYK >A10p032500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19218490:19220123:1 gene:A10p032500.1_BraROA transcript:A10p032500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTRRHNLPTSDSGAFTDWAATTTTSSRATEDLSLGFNAGPSVVHGGLASTSAAAGVPSWPLGSSLRYGLPSSPAAAEMSMVGLRDVYLVAPAYHHHHHQNAGVVSGSDQINSNAALGVGMIPLLTAAPPHQQNVEDTDINFLGDSRRWQNNNNSHNQTQYLHFKSAHQTAVASSSNNSGSGSAASGTATCQDCGNQAKKECKQRRCRTCCKSRGFDCSTHVKSTWVSAARRRERQVMPTASTSSGTKKPRIVGSQQQQQATSHTSTSNTPPQSFDTSSSRQVNSGYNYVDLVITNNNDALYAQDGGPREAWPGQVRAAAVFKCVRVTAVEDGDDEYAYQAVVKIGGHVFKGFLYDQGLEPKEGFPNMSDLHLGGGADNNNGVSVASQPNLDPPPNLYGGGGSGGFYG >A08p038780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22404041:22414108:1 gene:A08p038780.1_BraROA transcript:A08p038780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKSKKAKTDEENVEQIDGELVLSIEKLQAIQDDLEKINEKASDEVLEVEQKYNGIRKPVYDKRGDIIKAIPDFWLTAFLSHPALGELLTEEDQKIFKYLSSLEVEDAKDVKSGYSITFNFNPNPYFEDGKLTKTFTFLEEGTTKITATPIKWKEGKGLSNGVDHEKNGNKRALPEESFFTWFSDAQHKEEDVEVEIHDEVADIIKEDLWANPLTYFNNEADEEDFDDEDDEGEEGDSDEDDDAEGEDVFSEKDEKRTRNVALYSITNILKKSIESSRSAKNALILNGTNFRASAMLRIPIEMVSPIADEFTNNFFGLEDEIISSGNYDYYLPSASNFLALPDLEPISIVSHEDLLNEYGSVSWTEKESMFDEHQREDFDLVKKTETVKKRVREECDYSCYVGKPLSKETISLYYDMPIAQAAKELNIGLTLLKKKCRDLGIQRWPHRKFMSLDNLIENVKEKLEKGEGNADEQRKKLEKLKKEKKRMKESPDLEYEDKTKRLRQACYKANHKRKRRLVIPEPEDAGTIRVTATIFGEDKNLTFTTLSLAKDFIDDENDECKSKEDLNYFLMEAGITDDLSCDAIMKLILYVDEVTCPTSSEYSPGCAMKVRLDLVPNYLDDECLIKWVDTNPTFTFLEEGTTKITATPIKWKEGKGLSNGVDHEKNGNKRALPEESFFTWFSDAQHKEEDVEVEIHDEVADIIKEDLWANPLTYFNNFNRAPLAKASASILLSRGTEFTNNFFELQDEIICSGNYDYYLPSASSFLALPDLEPISIVSHEDLLNDYGAVSWTEKESMFDEHQREDFNLVKKTETVKKRVREECSSSSYVAKPLSKETISLYYDMPIAQAAKELNIGLTLLKKKCRDLGIQRWPHRKFMSLDNLIDNVKEKLEKGEGNADEQRKKLEKLKKEKKRMKESPDLEYEDKTKRLRQACYKANHKRKRRLVMSTSTA >A05p046580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27649963:27651144:1 gene:A05p046580.1_BraROA transcript:A05p046580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLNHLYSSNNRERMNPVEANGLVYNTQMRYNTVQATTMPFNHAMECQTSLLNPVYNLSPFDCLAQQSMKPMIHSVESSLTFNSETNGNVGYLCPVSSSSMRKRPIEESAVVNHMPSQKRCTDPLMFLGQDLSSNVQHHNFDIDRLISHHVEIMRMDIEEKRKAQGRKIMEAIEQGLAKTLRAKDEEINHIGKLNLFLEEKVRSLCVENQIWRDMAQSNEATVNSLRSNLQQVLAAVERNKWEEPTAADDAQSCCGSNDEGDSDERWRLAGEAKSVRTITSTMCRGCGKGEASVLLLPCRHMCLCTVCGSSVNTCPVCKSPKNASLHVNLSS >A05p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9304672:9306297:-1 gene:A05p019870.1_BraROA transcript:A05p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVALRSRLVPRLLKLRCRTSRLLSTEAASSSKKAAPGLSHSLFSDLHHQLPPPEAALAKERKGLKFLSNVFIWALTGATAAVGYTSYAYTLDEVNQKTKAFRESAFKTTQQLSLHSSGIHKYQAMLYSAAVKVPARAIDMYLELREAVEEQVKGFAEPLSEKLLPDMHPAEQNVIYTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLSKFYEIVLYSDQMDAYVLPVCEKLDPNYYIRYRLARNATKYENGKRYRDLSKLNRDPKRVLYISGNAFDTSLQPENCVPIKPYKLESDDTALVDLIPFLECYYRSFLAFCFFFIY >A03g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30946212:30947219:-1 gene:A03g509590.1_BraROA transcript:A03g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKADYFMPMQTIVSESGAEVTDSPHREVEIGSLRILEQNKLCTHSRASPRATRFVWKWNLCNTEKGRVCITETPGCLASVAELLDSDVTEEQENAISILLQLCVEKIEYCSLVVREGVDIYSSLFLISNNGTEEAKVGASELLRARAGLQGGQTVRPPRVRTRVPPAPISVEPALLRALEEVDSNSPPEGATTSQAVITPVKHQEQMLKTPSLKKSGLFGLRFPFSSRRNVC >A09p055010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47177472:47177771:-1 gene:A09p055010.1_BraROA transcript:A09p055010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSVICARDHWLKPTGVMYPSHARMWFAPIKSNMADRKKNDLDGAMVDWDNFLDEIKTYYGVDLSVLTKAFC >A06p003100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4856912:4857418:1 gene:A06p003100.1_BraROA transcript:A06p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKTGCQSPPEGPKLCINNCGFFGSAATMNMCSKCHKTILFQQEQGAKLASAVSGSPSNILKETFTAALVDAETKSIEPVAVSVQAVAEVVAPEEAAAKPKEGPSRCTTCNKRVGLTGFKCRCGDLFCGTHRYADVHNCSFDYHVAAQEAIAKANPVVKADKLDKI >A02g513090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35932430:35934128:1 gene:A02g513090.1_BraROA transcript:A02g513090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDDAACVLRKMCLDAKAPHLSSTLPPPLPWKFNKPVQPNKGLTRQGNGHSEELRCAIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRIPGRDPEDREHAEKLRQVKVVLEEAEDLGRFFRYNMYPGEGTGLLRLHSTYRHDLKIYNSDEGRVQMSAAAFAKGLLDLEGQLTPILVFLVSKDSSMLDGLGTASIEMEAGKVCPMT >A03p031730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13404257:13407818:1 gene:A03p031730.1_BraROA transcript:A03p031730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSLLSSPSESSTSLLSEISNASTRAFVLAFTVGSCGAFAFGCIIGYSAPTQSSIMKDLNLSIADASSILSILYSLFGSILTVGLILGALICGKLTDLVGRVYTIWITNILFVIGWFAIAFAKAVWLLDLGRLLQGISIGISAYLGPVYITEIAPRKLRGAACSMSQLFTGVGISVVYALGTVVAWRNLAILGSIPSLMILPLLFFIPESPRWLAKVGREKEVEEILSRLRGENSDVSDEAGEILAYTEHVKQQGDDRGFLKLFQRKYAFSLTIGVVLIALPQLGGLSGYSFYTESIFISTGVSSDVGFISTSIVQMLAGVLGTVLVDVSGRRSLLLENHYWETGTPILALISVTLYFGSYGLGMGSIPSIIASEIYPVDVKGAAGTMCGLASSISSWLVAYSFSFLFQWSTTGTFLMFTTVTGIGFVFIAKLVPETRGKSLEEIQSLFSF >A08p042870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23982264:23983964:1 gene:A08p042870.1_BraROA transcript:A08p042870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGENNEGEMGFKHVDDESGISRVGVTSTDHFFSSVDWDPVVSGGGFSSSHYTSMVMDNPGMSCFPHYQTGSGYPDMPPSLLPFGDCVGGGPVLGSDKKGESVGRLIRAGESHQVSDDVVLGASPSRKRRQLEAESSQRNKKAVEEFLEEPQRGSDQSQKKHKNDQSKEKESSQSEEAPEKNYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDIDRILAKDIMQSRDVRTTPTLGLNPFSGFQGTIPNTTLESELQSLYQMGFVSNPSTMSSFSPNNGRLKPEL >A02p017420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7882826:7885451:1 gene:A02p017420.1_BraROA transcript:A02p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRQEKFVRFQDWKSDKTSDVEYSGRNEPPNGIFRRTITSISDKFHRSSARIKTFRRTYKSYSFKEAVSKGIDSSHKILDPQGPFLQRWNKIFVLACIIAVSLDPLFFYVPVVDDAKKCLGLDNKMEITASVLRSFTDIFYVIHIIFQFRTGFIAPSSRVFGRGVLVEDTRQIAIRYLSSHFIIDILAVLPLPQRETVCWKQACNRNRNICDITSLYCDHKAAGGNAFLNASCPVQTPNATLFDFGIFLNALQSGVVESQDFPQKFFYCFWWGLQNLSSLGQNLKTSTYIWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMAHRLLPESLRKRIRRYEQYKWQETRGVDEENLLSNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLQPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEHLGAGDFCGEELLTWALDPHTSSNLPISTRTVRALVEVEAFALKADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRHVKKKMEESLKEEENRLQDALAKEACGSSPSLGATMYASRFAANILRTIRRSGLVRKPRMPERMLLQKPAEPDFNSDDYCI >A06g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27259516:27259997:-1 gene:A06g509420.1_BraROA transcript:A06g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEKSITLFKMGRGNSCGGGQSSLNYLFGGGDAPPPPPKPAPAPPAEPANRTSAPAPAPAAASATAAATTTVEPAKINKEIPAGIKTPVNNYARAEGQNTGNFITDRPSTKVHAAPGGGSSLDYLFTGGK >A03p071580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31541170:31543170:-1 gene:A03p071580.1_BraROA transcript:A03p071580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSEGDNVRYVSSDLATDVIVTIGDVKFYLHKFPLLSKSARLQKLIAATSSNEDNEIHQEEDEIVIPEIPGGAASFEICAKFCYGMTVTLNAYNVVAARCAAEFLEMHETVEKGNLVYKIEVFLNSSILQSWKDSIITLQTTRALSPHAEELKLTGRCLESIASRACIDTSRVEWSYTYSKKKNLDNGLRRPQAVPRDWWVEDLCDLHIDLYKRVIAAIESRGKVSADVIGEALHAYATKRVPGFSKSSSSVQITDYAKYRALVGSIIEMIPEEKQSVSSSFLTKLLRASIFLGCDEETGLINRVGERLDEASLSDVMLYDVDLMLNLVEVFLKSRSEEDVTRKASVAKLVDGYLAERSRDSDKLTLQKFLAIAEMVSGFPRQSHDGVYRAIDMFLKEHPEINKSEKKRICRLMDCRKLSVEACAHAVQNERLPMRVVVQVLFFEQARANNNNNGSSSTGNSTPEIIPASRSTNTEDETESWDTEDIKTLRGELASLRLAKNQQQENIKGKLVKGGGLGVSRVFSKLWSGKERSGEMMSSSGTSSPGSINDDSKSSSSTNKKH >A02g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22482010:22483972:1 gene:A02g508140.1_BraROA transcript:A02g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DANGYAKASDGRILHVSREDIADILQTANGADNLFVQQYNFPEHQQKVTKEFYDTAGGIDKRRAVDLFSTRKFYWEEKDKCAIYRDDQGYARDVDGHTIHIHNKDIRRLLERASRDEHSYICLPEHASSFTPTKLVPEIYTKDKINEMFYGICGEQEKNKEYFQMKLDGVYYPLNDSISWLTTCMEEMRQDIARIQREIGVSRPTSIDIYRQASIDSRLHASIDNRLPTLVDDNPPHSHTMKSKPDFHTREEIDQLVEGINRALETTEERLDRRCGDIYFPMDLTISALTSKIEAIQGELVEIQSYIARRPEASSSIDRRNKKSTDIHRQTSVDEASNRGRLVQKVTSDMSDTKNRTEEISADAYARLMRHQFTLKSLADRLQKIEDATTIMKDKYVEEMKQCDTSLNLLIADSTKDTKVDQPVSYVTLAEIV >A08p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17659431:17666513:1 gene:A08p028100.1_BraROA transcript:A08p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSADDRNTKLRDQNIFTSSSRDFISHQKQKLQTLSESRVSSLSLFFDYQILETLILESDQQSFMSELDSQVPTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKDYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGSQVNDDNNLGCCLLYLKGRTRGREAVMLCLSNSLKLFDHLSSFSPFPLSCVLRNLGNTVEMKKIRYVHLNLLLLLLPLINLLLFPTPSLAQDYGEDAEKKDTPPPGLARCNGVYMSYNSGGREKLYPRTKNVTAQAWSFKATAMIVNTGTEEVKGWEMFVGYRHREIIVSATGAVSSDGDFPYDASNGTTFIGSPNTDLKTSIETAGDYTQISTNIEITGTLFGGRGTATPLPRTIKLVNDGWECPAANSKGGTMQVCCKRNPKFKAKEKKKTKFMRRRHGDLNIIYDVTIDNDSPLGRLDHWNLTWEWMRGEFIHSLRGAYSVEKNPSECLHSKAGQFYGDLDFSQVATCQKKPIIKDLPAERKDDKLLGKLPFCCKNGTLLPAHMDSSKSRAIFQLQVYKVPPDQNRTAFFPPQHWKIDGIVNPQYKCGTPIRVDATGFPDPSGLQATTYAFASWQVVCNITKPKPKAARCCVSYSAFYNDSAIPCNTCACGCGDIDTDTCNANARPLLLPPDSLLVPFENRTLKAKVWARRKHMAVPKKLPCPDNCGISLNWHVNSDYADGWSARVTLFNWGANAVEDWFAAVDLGKAGLGYENVYSFNGTRVPPKNQTIFFQGVRGMNFLIGLTNGSNPARDPQVPGKMQSVISFKKHLGSLNIPRGDGFPKRVFFNGEECELPKYFPKKSYGERLSCIRFLPSILLVITTFLVIINDRRLRCCSSHFASHLPNLPAAKMVFKRYVEIGRVALVNYGKDHGKLVVIVDVVDQNRALVDAPDMERIQMNFKRLSLTDIVIEINRVPKKKALIEAMEKADVKNKWEKSSWGRKLIVQKRRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKEITA >A08p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25125777:25127524:1 gene:A08p046000.1_BraROA transcript:A08p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGEKKEKKNRDGSGQVVPLTEPVVIPTATVGTRSWIGGLFTRSSRRQDKLIDYTLSPLQEERLQRLQDRLLVPFDETSPDHQESLKALWNVAFPNVNLTGLVTEQWKEMGWQGPNPSTDFRGCGFIGLENLLFSARVYPVCFRRLLLKQRGDRAQWEYPFAVAGINISFMLIQMLDLQNAPKPKCIPGMNFLKLLEEDENAFDVLYCIAFAMMDAQWLAMHASYMEFNEVLQATRNQLERELSLDDIHRIQDLPAYNLLFQ >A10g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14624068:14630091:-1 gene:A10g505710.1_BraROA transcript:A10g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILVHKTKSQLSQRPTAAAKTSRWRNPLLPVRYLEDAAAKRQETGRWKGQEELCFINANGTWYKKEPNFQYQNNYQQRPFYNNQQGGYQANQSPQTQGSSSQTQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASYLKALESQVASMPSSSKQPMGSLPKKPEKNLKESCNVVFSTTFPEIELSDHEKEEDEIERLVFGTEFGEVEIFVVVTAEAQIVKDAARKVEATNLQKVEHKAAKQVEERADNKLKKVKLEEATDVEPSPYDKLPFPQRVLTKAQKKVLSKFRKDLSDVGIRLPEISDMREAHVMEMLFGEFGTFGDGLETRMVILVHKTKSQLSQWPTAAAKTSRWRNPLLPARYLEDAAAKRQETGRWSVQRPSAAAK >A10g504480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10972922:10973617:-1 gene:A10g504480.1_BraROA transcript:A10g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMTFLDLPSEIQQLIVSCVAKISFQDLYRLRSTCKSMRALADTPDVYSSFDLYKYPWWTGLRNTLLRRCYDVGNPSTLYIKGVEYFYALQRHEEGLALMKRAADAGYERALYTYAMTRKLYWDDEEYFARFTREAVGTIGWLVRMDDVPWVPVVNEGFLTKKFMFMSTDRPLFYNCPCAPTLNVDWDLWHIEFAKTDDMCNRCFWIKEVGLFLRDFPCATTFSPFDSWQ >A10p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6601297:6604114:-1 gene:A10p011070.1_BraROA transcript:A10p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLQLFLRKVSLPKVVAADANPVLITRRIENTTKALAAELKKMSKEIVNSQMLYGKNLCGTIKFAALKAPGFGERKSQYLDDIAALTGAPSTPVLVSGRKRLPQLLFRRLLFPGRQGLYSLTSPALGVEVPSWVRVWGLILDPVFYGFGLVLMVDRGGPWCLVSVIAVKAQIVKVSICLRQLSVVRRKRSSEEVVSVEARYFTSSGESREMLRRRCDAAAVRPRRRCHVQLQLVVLMRSRYVRWKISVEDRFCCCDRAFVSGDIHFRFRRCRCMEAEEQMTDSV >A09p008600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4421934:4424570:-1 gene:A09p008600.1_BraROA transcript:A09p008600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLTSLSPADSKSLSSFLDTSSRFNPTPKLPGGFSLRKRNQRRGFGKGVKCSVQQPPPPAWPGRAVPELPRQSWDGPKPISIVGSTGSIGTQTLDIVAENPEIFRVVALAAGSNVTLLADQIKRFKPALVAVRNESLLNELKEALADLDYKPEIIPGKQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLANKHNVKILPADSEHSAIFQCIQGLPEGALRKIILTASGGAFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTMSWPDRVPCSEVTWPRLDLCKLGSLTFKKPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHRNELVSSPSLEEIVHYDLWAREYAANVQLSSGARPVPA >A03p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19614944:19615324:-1 gene:A03p046480.1_BraROA transcript:A03p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNNLGEKEQLRRSLICRTVCKKTSEPSKCGVCGTTDVLLYCDAHRDFFCFECDRWEHNFDNNIWAWRHVRRMMCSVPTKLPQAVFEINATFSDSEDDEEND >A02p014330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6269889:6271671:-1 gene:A02p014330.1_BraROA transcript:A02p014330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILFLLPFVLLILAYKFLISSKTQSFNLPPGPTPFPIVGNLHLVKPPVHRLFRRFAEKYGDIFSLRYGSRQVVVISSLPLVKECFTGDNDVILTNRPHFLTAKYVAYDYTTIGTAPYGDHWRNLRRICSLEILSSNRLTGFLSVRSDEIRRLLTKLSRDYNGRVVELEPLLADLTFNNIVRMVTGRRYYGDQVHNKEEANLFKKLVTQINDNSGASHPGDYLPILKVFGHSYQKKVKALGEAMDTFLQRLLDDCRRDGESNTMLSHLLSLQHEQPKYYSDVIIKGLMLSMMLAGTDTAAVTLEWAMANLLKNPEVLKKAKAEIDDKIGQERLVDEPDIVNLPYLQNIVLETFRLCPAAPLLVPRSPSEDIKIGGYDMPRGTIVLVNSWAIHRDPKLWDEPERFMPERFEDKAAANANKLMMFGNGRRTCPGAALGQRMVSLALGSLIQCFDWEKVNGEDIDMTENPGMAMRKLVPLRAVCHQRSIMTNDLA >A08g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3800658:3802707:1 gene:A08g501460.1_BraROA transcript:A08g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTREGWDKLPKKGGMNYPGKGGKKGNAAAGKNRGAGKIESRRVLAGRGRNTLQRRSEPEELGGGPTRAGDFTGSSKKRGGIVRLSCVADRLHRSSVVTRRFSFRIEPTISGNVDGKEGNAPETHGTRNGTHGDVGKIDMCVLNPASRNPGWKWEGAGVSISFSLPYFDDSQYVMQFRQEQDKAQRDLESIREVYTLLKEFSFFYIKANKYGCLQDAHFCCCIYFSVFCSTIPQKWRFILSGDHKRDIVSKVVEFFLEINTVRIHQIDIYGSCRAPKTLGIITTVYLRCLAPR >A05p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9391245:9392420:1 gene:A05p020010.1_BraROA transcript:A05p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGCLRDRIQPWLRDYVKLQSLAVILIYAQIGCALIGSLGALYNGVLLINLVIALFALVAIESNSQSLGRTYAALLFCALLLDISWFILFTQEIWSISAESYGTFFVFSVKLTMAMEMVGFFVRLSSSLLWFQIYRLGASVVDTSLPREPDSGLRNSFLNPPTPAIDRQCSGAEEILGGSIYDPAYYASLFEEAEAQSNLSSPNATQVNHYSAENNGSPSAAEASQIKFPTSRSLHAIDVRRERFEATAGDVFIMSKSPFKKGGGAILANSKHTCVYFALAEA >A05g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5038137:5038397:1 gene:A05g501370.1_BraROA transcript:A05g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSFSTKVLSGFLIILLVIQLHFETTTGARHAPVVSWSPPEPPNDDFVWYHKINRFKNIEQDAFRPTHQGPSQGIGHKSPPGAS >A04p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20310201:20310794:1 gene:A04p035130.1_BraROA transcript:A04p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMKLVCLVLACMIVAGPITANAALTCGTVNSNVAPCIGYITQGGPLPGACCTGVSKLNSMARTTPDRQQACRCLKTAASALGPSLNAGRAAGIPKACGVSVPFPISTNTNCNSVK >A07g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5429637:5433045:-1 gene:A07g502700.1_BraROA transcript:A07g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFHDLKNYHLRIHTKLLEIDIVGVKNGYDGITTRKSSEIIFPKEIFLRRSILEDSNQTNQARSLRNYHAYTPSGRYVATNDRTSDPLGRLLRSDRARAKARSLRSDRALIPLGRYVATGLEPKLGRYVAHSRGRRIGRYVATEPKRLSFGLTRLHSDRAGRAFGRYVATELWLELCRYVAAELWLEPGRYVATERDERSRPISARTWLLHSDQTACMRDNYATTELGLFGLNLQGYFFLYLSFYYLFRKYDLRGFSGGNSVVTVFDPNNINLQELDTYSHKCVTDMICQYFLAAARRKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEKNIKITMEDFLHAIHEVQPAFGASTDDLERCSLFNCIATIDNVVWDSRCNEETFHFLRKSFRLNTSDFYSNQSPRTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRKPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHSALTTRRDYGAGERQADWAREQRTQHGLQTRQEVNVFPENEGYKELSQIVEQAKKRAEIARLREIHTFKGHVESVVKLKGMDIDTSGHHYTL >A02p053780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32702652:32705652:-1 gene:A02p053780.1_BraROA transcript:A02p053780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESSTTLSPHVHQESTNPAPDMKHRGGWRAIKYIIANESFEKLASMSLIGNLSVYLTTKYNLGGVFLVNIINIWSGSCNFLSIPGAFVSDAYLGRFWTLLLGSISSFLGMGIIALTAALPRLRPEACKDPTNCSNPPERWQLAVLFAGLGLLAIGSGGIRPCNIAFGADQFDTDTKKGKSQLETFFNWWYFSFTVALVIALTGVVYIQTNISWVIGFVIPTACLALSVTTFLIGKHTYICAEPKGSVFADIVKVVAAACKKRKVKSGEGVTFYLGPSSDGSSSTLVQDRQRLRFVEKAAVITDPNELNEEGKAKNNWRLCSVEQVKNLKCVTGILPVWVTGIACFMLTDQQNIYGILQAIQMEKTFGHNFQVPAGWMNLISMITLAVWISLYECVILPIARQITGRKQRLNMKQRIQIGIVMGIACMLVAGFLEKARREAALKKGSFVSPVSIVMLLPQFMLAGLTEAFSAVALMEFLTVKMPEHMRAVAGAIFFLSSSIASYICTLLINVIDSVTRKEGRSWLGDKDLNKNRLEYYFFIIGGVQVLNLLYFRFFASRFVTEKNKDNRDF >A10p034270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19923291:19925842:1 gene:A10p034270.1_BraROA transcript:A10p034270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G10250) TAIR;Acc:AT5G10250] MDSASASQLKSSDDDETVADKVCNKSIVVPHRVVALANSFEKKDRSWYVKSQIPNDLSIQVNDITFQAHKFPLISKCGYLSNIELQPSTSGNGYHLKLENFPGGAETFETILKFCYGLQLDLNPLNVAPLRCASEYLYMTEEFQDGNLISKTDGFITFVVLVSWRDTLTVLRSCTSLSPWAENLQIVRRCCDLLAWKACNDSHDIPEDVENNERSLYSGIANLQLDHFMRVVTTVNARRGKPETIGRLIMKYAEKWLPLIDEDLEGIRGYGSGNNELQFSVEGERTEENSLGCQEQKRIIESLVSVLPQQPEAVSCQFLLRLLKTAIVYSASPALVSDLEKRVGMALEDANDYTIYNVDVVHRILEYYVMHEQQQQEQQIQGKPSITKLLDNYLAEIAKDPCLSITKFQVLAEMLPENAWKCHDGLYRAIDMFLKTHPSLSEHDRRRLCKTMNCEKLSLDACLHAAQNDRLPLRTIVQINTQVLFSEQVKMRMMMQEKQAGNNEENPEGCDDKRTSRDSEVRKLKGELENVRSKMAELQDDYNELQQEYEKLNSSKHKSTQYWGSRWQKVKRSFQMKREDDETRDTPRRRRSSTGPRTSLTRRRMSMS >A08g505520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9270765:9271244:1 gene:A08g505520.1_BraROA transcript:A08g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDSSIRFQFLILLTRWFFKRRKSVAMLKSSLTPKVEKKPNRRCDLASTFDVQSINQHEFQVTDGSRNYLVDLQQMTCTCNVFNVDKIPCKHAAKAATSRDFNPGLFMHQYYSKANIGAAYSESIRPIDELLEASEIPPHVVAYKWFPPDLKEVLEDL >A04p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22857440:22858216:-1 gene:A04p040460.1_BraROA transcript:A04p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRKQSKAKASCSEEVSSIEWETVKMSEEEEDLISRMYKLVGDRWELIAGRIPGRTPEEIERYWLMKHGFVFASKRREFVRK >A06p023760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11521904:11522320:-1 gene:A06p023760.1_BraROA transcript:A06p023760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKCIDNGVKNETDVLSVEKVTSYTNLHKWPMAEVEFVQSIRHGNSQHHTMVLNNISCRQMYLRSYTFTRKENEGEGGRGGGKTGDQWNRGEKKKSAETTKKGMRKKSKATPCRGFVLRLLWKCFSCTSSTKVNIDP >A02p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16470576:16471915:-1 gene:A02p017410.1_BraROA transcript:A02p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRDYTAAATQPSTSLPEALTVKPIVPMSGTKRIKSCNAKCKGSKKKKKCITTTGLWDRHIPTEILEGILSRLGLKDNIHASAVCKTWCESAVSVRKLPCRPWLLHPIDDWTIPGSPYLLLDPLKPHHDQSQKYNLDFPHMRFTPAGMSCSRDGWVLAKSPHLMYAFFFNPFTKKIFVLPRGSIYHLMSRLAFSAAPTSTSCVVISYSRIPKTADFRIETWRPGAARWTTHRFENSVLRRWDKCVFSNGVFYFLSTCGCLGVFDPCEATWNLLPVKPLLFPEVDSPVFLMEHEGDIFVMCSRLDSNHMVFKLNMKQNVWEEKRDLGGLTVFASCPGSFIRACLSAEEMNRIYPSFTDFYLIYGSTSCRPPRTNLSCRVAWVEPPQNNVDLL >A08p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10440042:10444881:-1 gene:A08p016040.1_BraROA transcript:A08p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTERTPAVNTTSPDLLKNTPSNIARLEDVIEQCRGRQKYLAQTTSPSDGSDVRWYFCKVPLAEHELAASVPGTDVVGKSEYFRFGMRDSLAIEASFLQREDELLSLWWKEYAECSQGPIPQLNPKNKSIKQPTETLSEASVSSTLYAVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNRRVLRGHWFALKGGLDWLPIPETVAEQLEVSYRNKVWHRRRFQPSGLFAARVDLQGSSLGLHALFTGEDNTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQKGEKSNLVDDVGNFRQITAGLGERHLTSHQLGTQRVLFIPCQWRKGLKLSGEAAVDKCTLDGVRRLREMLSATVHDVLYYMSPIYCQAIIDSVSNQLNKLYLKFIKRNPDYDGKISIYGHSLGSVLSYDILCHQHNLSSPFPMDAVYKKFFPDEESPPIQASADEPCSSHRSSKIEPDKSNQLNNTEEITGEDNDMMDKKTTLLEHQDVFQEGPSLVSDSVVDIVGLGKRESQEDDHHDDSSSAISSQDGPDGADCRTPDSSSCSPEQSWEKKCENSNNDEMIKLLREEVKSLRSKVAQLQSENARITDEKTKTSLIPEQHINEKAPTKDATAPSSFTPYIKYRKLEFKVDTFFAVGSPLGVFLALRNIRLGIGKGKDYWEEGNVIEEMPACRRMFNIFHPYDPVAYRVEPLVCKEYLPKRPVLVPYHRGGKRLHIGFQDFKEDFAERSQRLMNHFASVRTRVLTICQSKSSDELEETEETDDEKDGRSYGSLMMERLTGTRDGRIDHMLQEKTFKHPYLQAIGAHTNYWRDNDTALFIIKHLYRELPDEPNSPMESREGDDSPKDSSRPHSWIDRGETDDADEELPLTFSNKEIARSFSAEAKKYLKKP >A04g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13412505:13418950:-1 gene:A04g506300.1_BraROA transcript:A04g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFQSREWMDQRIDPESNQVSEIFLGGVDAFIQFACNQEDYKERETLLCPCARCKNVKQREARVVARHLFLYGFKGNYYFWTSHGEKFNDLGPNDIRFSYNYPDVPPLFYHEGRINGQCPTGWLNDKDNTVLQTFMMLNCETFAPYERMFEEYMPRSIPDITPVAMQKAKDTNFAEWCKDYDDRRDQVAESSLLRVETHVVDDVSDYDLAPVNPPNDEYVSDVEMNSTRAPGTQAASPPMPPGATGPAVYHAASPPMPPGATGPAVYHAGSPPMPPGATGAAPNHAASSSRSNSYPQMTLNAMLNSPARLSQPHLHPDKPNGALWFGIDPCIHAFIRATWQGYYMGPWKSWNKVPEERKDSWWQTFVQNFYWEPQFNDLVYGLWKKETMTTTQASGEPPSYTALVRETHSRPDGTFVDYRAEELVTQAEMEATQLSNTEGSPGSPSASSAPSRLMLNKAYLKNAKSKREYVYGLGSEQFREHAPSSRVTNGIARNLDLEMRVGGLETTLQSVSSDVAGVKQDVSDMRQDFAATREAINQLLQTLRPPQAPTGQTSDHQAQAPTGQPNPLNGI >A09g515520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45851589:45851777:-1 gene:A09g515520.1_BraROA transcript:A09g515520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKTKWQCGRGSVVKLKSKMIGPSVGGTDSGLWGGLATSELARQWQSENLQREEADWSLL >A08g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3672376:3672940:1 gene:A08g501360.1_BraROA transcript:A08g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISSPSLLFFSRFSLLHSNLSFSYFIYLFSPISLNASLPSLSTPLSSNHHRVSSSSHHHRVSFSSHHHRVSSSSHHHCFPPCLTTTTVDIGGGAKVHGGWRKQGSWRLEYASLVAAGGIKVHGCWKRDKDMEEAAGAFSLGLGFLGLVKCPKL >A07g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9094830:9100357:-1 gene:A07g504550.1_BraROA transcript:A07g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHSLLRIVSRLKVDSLIDHLPSLVRYLITQGLIPIPMSSLFLSQESQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A09p067400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53194544:53194885:-1 gene:A09p067400.1_BraROA transcript:A09p067400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSTYYGELSSCLLDHIKLNESDLSPSFSSCNWKFKKDLAAKTKKGRREGMEGTKKYVILVFKKIMITIYEA >A03p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3032719:3033450:-1 gene:A03p007320.1_BraROA transcript:A03p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein 2 [Source:Projected from Arabidopsis thaliana (AT5G15460) UniProtKB/Swiss-Prot;Acc:Q8LCS8] MAEVKDHLEIKFRLNDGSDIGPKSFPDATTVAALKETVVSQWPKEKENGPKTVKDVRLISAGRILENNKTVGDCRSPVSNLSDAVTTMHVLIQPQVTDKEKKTKKKPKGDLKQNNCVCLCFGRRL >A08p028320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17761209:17761579:1 gene:A08p028320.1_BraROA transcript:A08p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGESFSSFPIVSANKALIVPSDLGLEALSMSFCYPSSPRKLAMTVAFFASGAALFAVGMHLSYLNVAPQQARTKARNDFVKERLRQKQGK >A10p030040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18231490:18232292:-1 gene:A10p030040.1_BraROA transcript:A10p030040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTVVKLQTLLLGFLFLIYVLHVLRGGSLAGDLLIGRKLTSRVETTNVATRSLKDSVSTDLEREVDHLMRHEYPSPVNPKKRSPVHN >A02p023270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11168747:11173446:1 gene:A02p023270.1_BraROA transcript:A02p023270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGKKIAPPVSNKPRFNFIEETFVSAGGVKTKVWTLPAAEMHFVMNDSDSDSDGEPYPNFGAGVNPHESVLSNCDRAEQKISVGRNLGFTRRCKLNLLRKRGQRLEHKLIKKSAPSVPRFNFIHDTFVSASGVKTKVWTLSPAEMDSETFVTPCGIKTKVWRLPESALNGPVMDSALDEDSDESDGYLHRELGCGCNPHEPVLSNSSVPHIKNRIKVSLGYTRRCKLNVLRRRRRRQRLAHKLIKNRAPSVPSSNFIQETFVTPQGVTMKVWRLPPGEMESVLNGPVMDSALNEEDEDESPDESAGILMERLGIGTQRSNPAIGGERAPLLSLLHTAVFDTSFRASNALVLCISDDAGAVPSMEQKELLFRSIATLLSKNGLSESADVLMQGPHSIGSK >A08p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10624590:10626311:1 gene:A08p016350.1_BraROA transcript:A08p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAWPTRKDKCQVSADKYGTATQLVLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVSTHLKSGRCSCWVFGMGTLGKAISRRGTLREIRVCQRSWNCSEVLDMNCFMLRLHNCHLKWLIHICRLTSLRFRSVLLFFFLFCKIGFCDIMLVPKIALGIAVYVLNVTYDDFEGSFLQEAINIAAKLWVMSPSSPTDKLLLVQSLRRSGHVVAATEEGTNDGPALHEFFASKVKKILMLGGAFFALRKVNLTDEANVQHRKTSS >A06p003590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4601358:4608058:-1 gene:A06p003590.1_BraROA transcript:A06p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGIFIFLLFLTLVGETSSSSDLPRVCECEQVQFTSNNIEDDTPQILLPWSPSCQEMQLIVTGGCAKASTDYKWLTSDTSIISVSPYGIMKAKRPGIATVKVVSTIEPQNFDEIFVKVLVPPPMVMWQNVLVQTVVASRLQIGVTMKYSKGAQNFNTTLHRNSTHTDSVKEALSSRRCSTYPLKFRKNKFVALDNGKKATFECYVKPPFIGTSKPWIELQTGNIYCIFFSNLNNMKPLKGASSALLLGRLSVSGTRKTMNITSEFNNVIITILENSDVQIHRRKKESLSMSSRVDVGPKTEVEMIVTLSAAGQKMIIRYEVDESQIPVNPYFVYLLMVPFLDFIVRLSNKPCPAKLESHKQSSDTTKSNHIKTDRFRSAKRDNMGTTRTLPAQTRSAVPFIPLCQMAFWAS >A05g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26687838:26690661:-1 gene:A05g508930.1_BraROA transcript:A05g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYCMVMAGDWICGEDGKWNFFVDKQQMSRMVPFREGITLSELEANVMKEHQDPPVLLTNDGAVGFFSRHLKVGAPMNLFAKFDAFDRGNQSSRDDSRAKGYRTPAQAMKRKMFDDVWSSGKGGYVSSAASKIDNVVVEEDELLREVEKVEEKIRGESLRSNEGEPCETIDSDSSLADEVDDRDVRPRGYDKEFWAPFIREDNGGSDVVDKVFNAEDTTRRTYSCTTNNAFDHTVVAGGSSPSNAKTTAEPEDEKPQDVEIPEDISERILYPPITKRQAGRRRKTRIPSTGEFPVGKKTKVVTIRCGRCKMEGHNRTRCQNPI >A02p044400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27982833:27984983:1 gene:A02p044400.1_BraROA transcript:A02p044400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHIYTTCGVWEFGATTGWVFLADEKGARLLLLESSSTLEVFKRMVLENFDMEEDSLPDLELSYLPTELINTSTCPPVIIANDRQLQNFVGFVQKCVSTRLCKKKEKIRRVEVDEDAYDADTMISAKEDNHNMSMFSLLNVVKKGQLFENKTLLKATFEICAMKHKFHYEVIKTDRQLWYVRCEDNACNWCVRAECLKDSAYFIIKKYVGEHTCAPSSKTKPGLELHWMGDGLAGTKEPERTRIGDFHERNNLAGCSRACGATRVHVPATEIFKENF >A09p018610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9768332:9772130:1 gene:A09p018610.1_BraROA transcript:A09p018610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILSALIFLLLFAILRIQPINDRVYFPKWYLKGLRSSPVTSGAFVTKIANFDFGSYLRFLNWIPAALKMPEAELIDHAGLDSAVYLRIYLIGLKIFVPIALLSWSILVPVSWTSDGLQVAQLRNVTSSDIDKLSISNVVYGSDRFWAHLVMEYAFTFWTCYVLMNEYEKIASMRLAFLQSEKRRADQFTVLVRNVPPDSHESISENVEHFFMVNHPDHYLTNQVVYNANELAGLVEEKKKMQNWFDYYQLKYTRNKEHRPRVKLGFLGLWGKKVDAMDHYTAEIEKLSEQIMAERKRVKKDENGVIPAAFVSFKTRWGAAVCAQTQQTKNPTEWLTEWAPEAREVYWPNLATPYVSLTVRRFVMHVAFFFLTFFFIIPIAFVQSLASIEGIQKSAPFLNTIIEKKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFVSISSLERRAAFRYYLFNLVNVFLGSIITGSAFEQLDSFLKQSADQIPRTVGVAIPIKATFFITYIMVDGWAGVAGEILRLKSFVIFHLKNFFLVKTEKDREEAMDPGQIDFYATEPRIQLYFLLGLVYAPVTPVLLPFIIFFFGFAYLIFRHQIINVYNQEYESAAAYWPDVHGRIISALIISQILLIGLMSTKGKAQSTPFLVILPILTIGFHRFCKGRYESAFVVNPLQEAMIKDTLERAREPNLNLKGFLQNAYIHPVFKDEECEDDEYEELIEDSDDENCIVVPTKRQSRRTTATSSNPNGGSSQPLSCNQPDTGKGKPEP >A02p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17353514:17355621:1 gene:A02p032930.1_BraROA transcript:A02p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVENLDTDMSLAILSCLDDPSDLVRASAVSRSWRDFVIKHSLSKNLCLKLFHQLTSVDRIIDTSNDMKESFEAGSSRDDTRVLEREHRVYALLAKGCTSSPIRSCIADAIIASSTDNFPAESILNTLDERDRIGGTPSYWSSTGHHKTSVPETLLYQLKGDLCVITELSVQPFQAYFQPGTPIYSSHYVRFRLGHLDNNEAETAGKFPVENKYVWTYTSQEFPMAQENRLQNFKLPEPVICIGGYMLVEFLGRVQTQEMDGLYYICVSHVKVMGRSLAKSFQVVDPDESGKFGLKVLSYSDPQEMDENEEEGLSPFRPMRNLEQLLNFLHRHPLDVEYVWPESDEEEEEAESDGEVFYQRALHPRTKQASPSYDAAPLRTCCMKTYHISNLISTQLRQPRDPPAPAPHPAEFLPSSWRRAYAREIERLDWNEKRMMKIET >A09p001840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1423034:1426478:1 gene:A09p001840.1_BraROA transcript:A09p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKRKSSWSSKTLAKSKKRKGPHLPNSILKMIATHKRPLNSDDNEIDSDVDLYEYEEGIPEEESRKNNRYGRLDNYEFKLPDDFEDEDVESEDDEDGLNSEYDGDDDRHTRMLQVLTGMPSAAFNSENKSKPLLFTEPYPEGEFNPTRDVLSGKNILTEEDFLAPLEEYHKTSKQISRMRKDTYKSLVHPPLPKPDRERLERKAARGLVDEEFSKWVHLVKRNREAPTVYFNQEVVNLGKSTVGAIASEFQPRTEFEMRMASVLNDNEVSEAHREDGARLLELNQASSVIYFIPCRLHDVSMEDHIKDYNHIAKMRSLLFNHERKSKQIKKIKSKLYHRLKNKDLRNSVLGALMDPEMAKEEAMKQEARRVEERMTFKHKNRGKWAKRMVRRGLNVKYNGTGEAITEQLQINAELSRKINSLRDGSSSDEEELSDGSDQDTPYKLLAKAKEKTLRALEDDEVCNSGLMSLPFMVRAMKKKNEEANEEAKRAVEEYEEWENSGGAVKPKKTISVSGRRVFGAIEAPKESKKDSDNFYDNNSDSDIDDNDLEGVRDTASPARRNTGTTTETEVTRDEGGEDSESEAEQMVDGIFEVPSQAELINRAFAGDDVVGEFEKEKQEVLNQEVPEPEKPVLLPGWGQPWPTNKRGYHQIKTTRKEEDAQRKREEALKTRKDFRLKHVIISEKVDKKAEKLHTTTPPFPFTSKEVFEHSMRMPIGPEFNPSTVVGDLNRPEVVKKTGVIIKPVKFEEVNPNEEVDDEHPRNHQKPRLNKKTSRRQSKVK >A07g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9462507:9463263:-1 gene:A07g504610.1_BraROA transcript:A07g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKKTAQKKTQITTRAQGPPTTRSTRRDPAAARTHATAQTTTPFGTSPGKPTVDSPGRIGNHSIHPSPPQTPSTPVKTKLGERQSRDRDLIRRRTKGSEAKQRNTFTPRDLDRRRQSYGSLYLAGTGTGVDGAEGASASRRQNQITERETLPRLPHSDRALAPETDPPWTAISRAQARRLEEETKPKLKTTASLVAGGLR >A05g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:409217:409712:1 gene:A05g500110.1_BraROA transcript:A05g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYSDDLTSKSGNVLVKETLCLPPTLTLLQGRRVKQKTSTHKDPTPYDFYSPQQNAYESSSRDCEG >A01g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4621232:4621951:1 gene:A01g501210.1_BraROA transcript:A01g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLFRCNLFISFPLRSRIRKEGEFVFVWTSEDVGTEEEALLKNVERMESDIVAGCFCNAAQVIGMKCLVTAVEVREAAMHLGRTEKILEIVDRRCMPALSHHEVAKIDEWTEVGALYSPITFLNSN >A06p017860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8042093:8051192:1 gene:A06p017860.1_BraROA transcript:A06p017860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSMVHSCSYSITFRFVFFFISFCIFFLTTTHAQVVHRRLWPWPAGPWPSRPWPTRPWPFPWPMEPPQPGPPPNPSPKPGPTPGPSPNPPIPPKPQPKPPAPGPSPCPPIPPKPQPKPPPKPQPKPPPAPTPSPCPPQPPKPQPKPPPAPTPSPCPPQPKPPPAPGPSPKPGPSPSPPKPPPSPAPKPVPPPSPSPKPSPPKPPAPSPKPSPPKPPAPSPKPSPPKPPAPSPPKPQNKTIPAVFFFGDSIFDTGNNNNLDTKLKCNYRPYGMDFPMGVATGRFSNGRVASDYISKYLGVKEIVPAYVDKKLQQNNELQETDLLTGVSFASGGAGYLPQTSESWKVTTMLDQLTYFQDYKKRMKKLVGKKKTKKIVSKGAAIVVAGSNDLVYTYFGNGAQHLKNDVDSFATMMADSAASFVLQLYGYGVRRIGVIGTPPIGCTPSQRVKKKKICNEDLNYAAQLFNSKLVIILGQLSKTLPNSTIVYGDIYYIFSKMLESPEDYGFEEIKKPCCKIGLTKGGVFCKERTSKICPNTSSYLFWDGLHPSQRAYEISNRKLRSFSFFSEIDSYRAMKQYWLLRFVFFLLSSFCIFFVTTTHSQVIHNRRLRPWLTQESGPGSPPNPPPQNQSTPALFYFGDSIIDTGNNNNLTTEMKCNFSPYGMNFPTGVATARYLGLKSIVPAYLEPNVKLEELLTGVSFASGGSGYHHLTAKISRVRSMLEQLTYFEQYIARAKRLVGKDKTDHLLAKGLAIVVAGNNDLLITYYGQGAQSLIYDIHNFTSMMAKSAASFVTQLHGYGARQIAVIGTPPLGCVPSQRTLKGGPRRDCAQDLNYASQLFNAKLFITLDQLTHALPNSNVFYIDIYSPFSDIAVNAQDYGFEEITKGCCGTGLVEAGILCNRFTTDVCSNVSAYVFWDSFHPTQRFYKIVAKKLIERYIHHLK >A05p041330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25118965:25124003:1 gene:A05p041330.1_BraROA transcript:A05p041330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g18110, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18110) UniProtKB/Swiss-Prot;Acc:Q5G1S8] MHDRRIGALAFASPLSPSPALSVRAAFSSSPVTVSEIKDEQGNSNSTQKFTYSRASPAVRWPHLNLRETYDSTTSTQSHPHPLPPSPVSPIADSPDSGEFIDSAASSDHQKANEEAAVAARRRRVKKMNKVALIRAKDWRERVKLLTDKILNLKPNQFVADILDARLVQMTPTDYCFVVKSVGAVSWQRALEVFEWLNLRHWHSPNARMVAAILGVLGRWNQESLAVEIFARAEPAVGDTVQVYNAMMGVYSRSGKFTKAQEMLDEMRKRGCVPDLISFNTLINARVKSGGLTPNLAVELLDMVRNSGLRPDAITYNTLLSACSRDSNLEGAVKVFEDMEAHRCQPDLWTYNAMISVYGRCGLAAKAESLFAELELKGYFPDAVTYNSLLYAFARERNTEKVKEVYEAMQKMGFGKDEMTYNTIIHMYGKQGQLDLALKLYKDMKGLSGRSPDAITYTVLIDSLGKANRTVEAAALMSEMLDVGIKPTLQTYSALICGYAKAGKREEAEETFSCMLRSGTKPDNLAYSVMLDVLLRGNETRKAWALYRDMISDGHTPTHSLYELMILGLMNENRAEDIQKTIRDMEELCGMNPLEISSVLVKGECFDLAARQLKVAITNGYELQNDTLLSILGSYSSSGRHSEACELLEFLKEHASGSRLLINEALIVLHCKVNNIIAALEEYFTGACVHGWSFGSSTMYESLLHCCVANEHYAEASQVFSDLRLSGCEASESVGKSMVGVYCKLGFPETAHQVVTQAETKGFHFACSPTYTDIIEAYGKLNLWQKAESVVGNLRQSGRTPDLKTWNSLMTAYAECGCYERARAIFNTMMRDGPSPSVESINTLLHALCVDGRLEELYVVVEELQDMGFKISKSSILLMLDAFARVGNIFEVKKIYNSMKAAGYLPTIRLYRMMIELLCKGKRVRDAEVMVSEMEEAGFKVELAIWNSMLKMYTAIEDYKKTVQVYHRIKETGLEPDETTYNTLIIMYCRDRRPEEGYLLMQQMRNIGLEPKLDTYKSLISAFGKQKCLEQAEQLFEELLSKGYKLDRSFYHTMMKISRDSGSDSKAERLLQMMKSAGIEPTLATMHLLMVSYSSSGKPQEAEKVLSNLKETEVELTTLPYSSVIDAYLRSKDYNSGIERLLEMKREGLEPDHRIWTCFVRAASFSKDKNEVMLLLKALQDIGFDLPIRLVVGRPELLVSEVDEWFEKLKPIEDNAALNFANALLNLLWAFELRATASWVFQLAIKRGIFSRDVFRVADKDWGADFRRLSAGAALDASLEGYPESPKSVVLITGTAEYNGISLDKTLKACLWEMGSPFLPCKTRTGLLVAKAHSLRMWLKDSPFCFDLELKDSVSLPETSSMELIDGCFIRRGLVPAFNHIKERLGGFVSPKKFSRLALLPDEMRERVIKTDIEGHRQKLEKMRKKNKGNEMVNVNTRRKFIRSK >A02p057980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34844681:34845013:1 gene:A02p057980.1_BraROA transcript:A02p057980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQTSLMCIFIISLFTLHQCARIYIREMDKSNIIIDSNCYHTNWFNKRGWCCTALKGDPCWGDKASCDSTCPRPLSSSP >A01g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18977955:18983208:1 gene:A01g506530.1_BraROA transcript:A01g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNKNDKIHMLPISDASSSSSQTRVFTSRTRSVPLSNPTEETGNSKAATLGYAGSLPSQRPPLFPMTGPLSSSTRRSSGYFGDLEEVNSSDNDELLKHAHRLRSGKLGMCNDPYCTTCPSNYNPKASRLPNPTVSASTFHNALYDDARSWARRFASSVNRCLPGIMNPHSKFVQIWTKFFAVSSLLAIFIDPLFFFIILVQKNNKCIVIDWPIATAFVIVRTLTDVIFFANMLLQFRLAYVARESTVVGAGQLVLVLSVIPAQLAISGTNYAKNLLRTGILVQYIPKLYRLLPLLAGQTPTGLIFESAWANFVINLLTFMLAGHVVGSCWYLFGLQRVNQCLRNACGHSGRECRGLIDCGHGNSNISASLRAIWRNSASANACFQEDGFSYGIYLKMVNLTTHTSLLTRYSYSLLWGFQVMVYSNNVWSLEMTLRRRDVEQWMSHRRLPEGIRKRVREAERFNWAATRGVNEELLFENMPDDLQGDIRRHLFIFLKKVRIFSLMDESILDSIRERLRQRTYISGSTVLHRWGPVEKIVFVVRGEMESTGEDGSVLPLSEGDVCGEELLTWCLERSAVNLDGRMLSKGLLSSRNVKCVTNVEAFSLSAADLEDVTSLFSRFLRSHRVQGAIRYESPYCRLRAATQIQVAWRYRKRRLQKLSTAQKKQYSSELIQKDMAKT >A08g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20828865:20829763:1 gene:A08g509830.1_BraROA transcript:A08g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGMIEEGKATLGMKQDMQNDNCNMYDLIAYRIKAKERRLEHHIREELNKTAPRRALVVLNPLKVVITNLESDKVMELDAKRWPDAQNDDPSAFYKVNSVSHILVIYTYGFPIKCTNVVFADDNETIREIHAEYDPEKKTKPKVIFFNHHYNSPSHISIFKPPLIQVFNYNFCDALGYFAVDKDSEPGKLVFNRTVTHRDSYGKGGK >A02p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27553100:27555905:-1 gene:A02p043760.1_BraROA transcript:A02p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSVEKLDKLVVVLSLQSGPCNLSQISDRSHYPTLSLVSKSFRNLTASSKLYKRRSQLGITQHRVYAVLRNRSTGDFSFYITQQCVSVGILPSLGGINSLLGVECSFSIPLINKIPTLIMGMDVSHGSPGRADVPSVAAVLLYSYFASVRSQSQKLEMIDSLFQPVDDPVNGDNRYHETSNARKPKQIIIFRDGVSESQFNQVLNIVVDQIIKAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGIIVSFDFFNLKSFTPHCICLCFTLPISQGTSRPAHYHVLLDEIGFSPDELQNLIHSLSYVNQRSTTATSIVRYAHLAAAQFAQFTKFEDVSEEKVPQLPRLQERVESNMFFC >A05p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8336171:8337878:1 gene:A05p018220.1_BraROA transcript:A05p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGFQVSGSICDVSSRPERETLMQTVSKMFDGKLSILVNNVGGIRLKPTTEYVAEDFSFHISTNLESAYHLSQLSHPLLKASGYGSIVMNSSVGGVVSMECGSLYGLSKGAMNQLARSLACEWATDGIRTNSVAPNFILTDMTAPVLGDACYRKSLFSRTPLGRAGEPKEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYQPKP >A01g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24868174:24868907:-1 gene:A01g509180.1_BraROA transcript:A01g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHHFITWRFAGLLHHFVKYAGLRAKVLLSTALYGAGDVAQIRRTHGSEQEFPWPPFLSL >A09g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12689023:12691184:1 gene:A09g504070.1_BraROA transcript:A09g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEFFLPCHSFIHTTHGPLRFVFLPLHHFRFSFASTSKSHKVHLLIIKSHLASSESSFTFQSNPRGVSGSASRSNRKPPLKIEPPNRTEWIQFATANPQPEQLPVHVSAEEKMHYRGVRMRPWVKFAAEIRDPNRRGTRVSLGTFDTEIEAARGAKAIVNFPLEVGTWNQHADVGQNKRKRDGEEEQTFTTTHCTGETVLSNHHLSPPISPTSIAHLARKQTIGSLLSYSTYIKRLEVLAYDVKPKESVLGSGYNGGTEGVDFHRERCIEKIQKTVSKAKGVSGFSIDHEKQLVTVKGTMDVKKLVRSLTEKLKRSVEIVATAKNGNAKEKQYVAAQPAHGSAYFPGEDGDTIEYLAPQIFSDDNPNACVALHHPFTAPRPEDMDDLPSARALAYDMVYNGVEIGGGSLRIYKRDVQEKVLEIIGISAEEAEAKFGYLLEALDMGAPPHGGIAYGLDRMVMMLAGASSIRDVIAFPKTTTAQCALTRTPSEVDPKQLQDLSIRTN >A07g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4925754:4929686:-1 gene:A07g502310.1_BraROA transcript:A07g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSPTSPEEGTFNRTRPTVQSGHRATALVQSGHCRLLHDDRSPQYGRVTMRQPQRHLHLTITEYDWPGRIDMDRASASQSYRIIDWTELAFSGSWGIYRRHQPISFRLVAARVSLRMAPDACTATPRAPHGWLHVQDTCRTPPLLPDVRMHDWSSCKATHIFTHVDQHASVACAETPRAWPFHLVLLCVKLHNVLAICIGTPRASWSVYAIFDPSGIFLSRDQSRIFFRSLSDAQNNFNKLHLISEVEGKYVLSLMFLEKFHNTEIRVFGQFWVFSSFFNPVVLASRRAYFPSCLIPIMFPMSSK >A02p044590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28100208:28104609:1 gene:A02p044590.1_BraROA transcript:A02p044590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLVNYTPLHARAEGDEVEIEDRRGGQKMMMINGMFMNKKRKPYQESFTVKRTSFEFSNGFKKRALEEEASNNRSSSGSVVSNSESCDQSNAWETSFAACKKRTCVGRPKAASSSVEKLTKDLFSILQEQQSSCCVSGISEEELLFENESPMVIGHGSVLLRDPREDSEASSLLVESSKSSSIHSHNFGGKAIKQEQLKRTKSQVLGRHSLPLCNIDLKYVFNFDEFKEKFTKEEQQKLMKLLPQVDLPDSLLSMFESSQFKENFSLFQQLVADGVFGTSSSSGSKLEEFKTLAKLALSDPDKSHLLESYQMLKEQRKGIEDSSRFSNQTPSDNNRRVTVERPCESLNQNFSETRVVMKSPKKVTKIRSKHIETKEIIENSVSSLNHMSYGGSMVCGGYEDNDNSDQDLLLDVPNFLSFPATISFAGAGEKTQTSSKKKKKIYQSFTVLDPRRSIVYAIRDYPLGCGTHPQRSIKIPRTSDVKQQDPAGFKISVRDCDVAAPTRERTCLKQEPAFRNPLHDRVLAAPRFKGACSKQEAAFRSSDQHVLAAAPKPQGACLKQDPELKNSVRDYTVAAPSPKGTCLKQEPAFRNSHHAPVVAAPRFKEASSKQEPAFRSSDQHGLTPREQVLEVLRLFKDVFRQLDRDKQARLLGGDLFDATARIDIRTLDVLEKMGKQVNTEKRIGVVPGVNVGDEFQYKTELRLVGLHFKTMCGIDYMNVGDVKLATSIVSSEGYGYSDKFGAGVVVYTGEGGNVVSKEKKTEDQRLVKGNLALANSMRKRSLVRVIRGEERLDKKGKRYVYDGLYLVDKYWLEKEVRGTTVYKFKLCKVPGQPPLC >A04g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10296244:10299725:1 gene:A04g504830.1_BraROA transcript:A04g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWDLSRLASASPPSSSSTGDKRNLIPPDPPDPTPTLSLELFPVLSPTTSSKRLNRTRGSVLSTESSPFSHSNQTAQQPSVAGPLLTADTEMELEIVSGSVPSPRSETTVAGSVTDAHSNSNVNLTVLPPKTSSPLQTNKAISPTHLSTANPSNHHHSNATDTNRVSATLPPNPVTAEPKPASAPTQSGTQPKAHSFPTLVEKIRRFEDKSLKRLAPATTSATGRPTVLIPDEVFQKGAALHKDFIVCIFNGRPPPYSQIQSVLNHMWGKGTRLEIHNNPASCSLLVRITSDYLKEKILEKGYWYVVDSMFHTEQWTSTHSTKVPSFKSIQIWAHLTGIPLDLRHQDGLSLVAGLVGEPKETDDFTKNLVSLSLSHAKVEVDLTKALPDVVEFTRQSGEVVEVTVSYPWLPPTCSHCKEMGHIAKNCLLIPLPQKNPPIIPPSKTPSKTSTSKTPAKNPSKTPSVPYYRPKTIPIKVTGAATEVNSPGFTPLAPFADLLSPSLTVPPPTLPSTSSSPAELDPPLSLNNTVLASPPKFTSPSKPSRFTKIKTLATLSPITSPLTKQPPSLNIPSPTYQPSLKRSRSDPSLSPPNSLSLLTSSLKPPIYPLTSNHFSLLAFLDSSHPTRESAPPFLMCTKIFFWNVRGLNVPAKHTPFCDWLRTHKPSFGILLETHIKDQNVTSLLDKLCRGWKFATNHASDEDGRIVIIWKDDVRVRILHQSRQTLTCEVTLPATPPFNYTAVYASNLRAERIDLWVELLDICQSHQLHLQPWILGGDFNEILNPSEHSLSEVSVTTPQMQEFKDCLTQLDVFDLRFQGPLYSWSNHCPESPIAKKLDRLLVNSNVISAFPNCSATFHPPLFSDHSPCVIDLAHPLPLAGTKPFRFFNYLTRHPSYHQLVNETWSLAGSLALNLTKLSWKQKSVKGVLKQLNRENYSNIQVRVLEANSLLQSVQVQALNDPSTTTFEEERRVHENWVFLRDIEESYFRQKSRINWLLEGDQNTAYFFRIFQTRCSYNSIRSFVLTSGVILSDPHLMSLHAISYFRNILGPDVLVVPQLHSSPACIPYL >SC218g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000074.1:56173:56928:1 gene:SC218g500020.1_BraROA transcript:SC218g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHRSSTTMELEEERDGDWSRWAKKALESCGLWSSHGKGKPFMEMVTEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVNYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQHMVIGECSYSAYMGETVGDSADMRGMDTKRADECG >A05p003950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1535465:1537122:-1 gene:A05p003950.1_BraROA transcript:A05p003950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAIRAAIQRPQSLASHLKTALFHSTPVLERKRRTSWESSWHDDGYDDDDEPSSRKQKSWYKKKYAKKETKGKWDPRHFDFCEADDDFDIDYVFRTAFGGPRGFSFSFTFEEDEPPRWHHRDHSSRFSNNSNKKSWRSKHRIYEEEDGYTSTESSDSESEPNQASHRQALGLSPSGPLNLKEVKNAFRTCALKWHPDRHQGSTKEAAEAKFKLCSVAYESLCEKLAVN >A02g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:662278:662668:1 gene:A02g500180.1_BraROA transcript:A02g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCQPNKHTATTTTITTASSDPLNWNAAAEKGTARWRDADYRSGYGPGVATGGTTVELSEEARAGVNWVMESMNRGTDSYGITTGFGFSSARRTTNQGAARQKELIRYV >A06p051260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26966297:26968133:1 gene:A06p051260.1_BraROA transcript:A06p051260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIHSVLFLFLVFRWCIAGESSSPEVTDDGAPMEISEREALYSTIQGFLGDSWNGSDLYPDPCGWTPIQGVSCDLYDDLWYVTELTLGLVHENSLACAAKDWISLGSNLESLEFRSNPGLIGELPETFGSLTNLKSLVVLENGFNGKLPTSLCNLSSLKRFVLAGNLFTGTIPDCFNGFKDLLILDLSRNSFSGTLPSTIGEMVSLLKLDLSNNQLEGKLPQGISFLKNLTLLDVRNNRISGGFSQNVEKIRSLTDLVLSGNPMDIDDMMGIKWENMGSLVVLDLSKMGLRGDIPYGLTKLKRLRFLGLNDNELTGTVPSKELETLPCLGALYIHGNNLTGELRFSTKFYEKMGTRFKASKNPNLCQYDIVSESRSYVPPLGLKPCSKMKKTEGGLVILQTLRNLKKEESSSSMSLMVTRRVLSNGLTWDLLLELSLIVLLI >A09p016490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8644303:8645337:1 gene:A09p016490.1_BraROA transcript:A09p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPHGGHRRPSNRTNLASCAVATVFLLFLLAVFLIVYFTVFKPKDPKISVNAVQLPSFAVSNNTANFTFSQYVAIRNPNRAAFSHYDSSIQLLYSGNRVGFMFIPAGKIESGRTQYMAATFTVQSFPISPSSSSSDIATVSAAVVPDSPAMPGPPDFTVSPGSPRIPDSPDFPGDPETPEFPGPPRKPGSPDFPRDPGSPITPRNPGSPEFPGNPPIDPGSPVVPGNPGSGFPRNMGPPGFPGVGAPPGFPGIGAPPGFPGTGAPPGTPVGFGGVTGPTVGDGYANPGYGYASRVGPTMEIESRMELAGRVKVLNVLTHHVVAKSDCRVTVSIVDGSVLGFHC >A01p040530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21622730:21624523:1 gene:A01p040530.1_BraROA transcript:A01p040530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKNKKIKRIDELPEDLTVELPEHLVEYIISTYFPIQYVLQNRVVSKTFREAAIRSRDLDFGRIYSRRRSQSEVVHIIEEIFNQHKGSEINRFVLILNHIGVEDKVLSWVKTCLSKNIQELMLNFSKSKKVMDLSVDFSAIETLTVLNLRWCKFEIPNNTPKGLRLLRTLALMKSNVTPEMIDAIFSNCIHLETLELTRCITHGVLSINAHNHKKFKELVLYCMPNRLQIILDAPTLECYKYEGFVRILDFSKVDALKEAKLHYIQNYNWRYYDSSNMVLANMVAYTGVHVLSTTNIFLEIKEFTFEPGMLWIIHQMSYMESSNCEFNSIKEVTIDGYKNHWHELDIVEFFCGHAKSLKKLKLFMPKNIKKRARGLDYARLDYIRSRFPGVKVEV >A03p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4998806:4999958:-1 gene:A03p012620.1_BraROA transcript:A03p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPENSVLSDVCATGISGVVAFSCLGFWGEIGKRGIFDQYVLSDLGLKQNIKLIRKLVHINIGLVFTLCWPLFSSGLQGALFASLIIGVNIIRMLLLGLGVYQDEGTIKSMSRHGDRRELLKGPLYYAIAVTLACIFYWKTSPIAIAVVCNLCAGDGMADIVGRRLGTKKLPYNRNKTIAGSIGMAIAGFLASVVYMFYFASFGYIKNSGWDMIARFFIISIASALVESLPISTAIDDNLTVSLTSALLGTLLF >A08p028720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17885353:17887836:-1 gene:A08p028720.1_BraROA transcript:A08p028720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELVRYKNETRTISKELLLLVLGLGRDNSCQLLQFQHSTGIMKPVFCGNFEYDAREGDLERLFRKYGRVERTSMNKEYPKCHVMKKQCHVHSTRRLWNNHFPTTSQPKRDHICAAWWKGYLVPSTAEGEGRTVFLFAGGFAFVYMEDERDAEDAIRALDRIEFGRKGRRLRVEWTKGERGGDRRSGAGSRRSSSMRPSKTLFVINFDADNTRTRDLERHFEPYGKIVNVRIRRNFAFVQYEEQEDATRALDATNNSKLMDKVISVEYAMKDDDARGNGHSPDRRRDRSPERRRRSPSPYKRERGSPDYGRGGSPVAAYKRERTSPDYGRRRSPSPYKRTRRSSPEYGRDRHRGNESPRRRERGASPRYSRSPDNKRERVSPDHSPFKKESSKNGDGEVDSPNERRERSRSSPENGQVESPGSIGRRDSDGGYDGADSPMQKSRSRSPPAEE >A05p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4042047:4042397:1 gene:A05p009660.1_BraROA transcript:A05p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWVIIIIAIASCVGVLIFIVALAGGRFWSSGGGGSSWFGGGGGFGGGDGGFGGGGGGGGGAVMEVEVAVEEEVAELRCLMSL >A10p028070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17515859:17517386:-1 gene:A10p028070.1_BraROA transcript:A10p028070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSEAALATRAKLRGGIGQTKVKRYWPGKAPEWADEAEDDEDVRMHKADVSDRKHDDLGVARKDDPRLRRLAQTRAENREEVRADHRRVRQAEIISTEEEELRNQDEEEDEDALEERRRRIREKNLKRAQEEADLLPVEEEDEVEEEDEEEEESEYETDSEDDMPGITMIKPVFVPKAERDTVAERERLEAEEIALEELAKRKLEMRKIETKQIVVEEVRKDEEIRKNMLLQEANIGDVETDDEINEAEEYEVWKTREIARIKRERDAKEAMLREREEIEKLRNMTEQERREWERKNSKASSVQPKKKWNFMQKYYHKGAFFQADPDDEAGSVGTDGIFQRDFSAPTGEDRLDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWSNPWTSNDPLREKYNKKMAGMNGPIEKPKGSKKMKDWET >A05p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:755961:758176:-1 gene:A05p002270.1_BraROA transcript:A05p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKLHQNVIREIDGVAKSEKKKRGEGTSEIEKNTKAILDQVGLVDALDNWFDGVLTIGTFGFDTLKFQEEAEIDDGDERESVGLDYVVIDGSIIKNVNQESDPLISNENKVYDHHEDLEALCINHFESVKTVERAVVVAAAETEVEPEKKRTTLAELFMEDRVKDDDTKHDKKKPKNRNLDVDGQEIKYHKQNGSKLPSKLSFAKKMIITKPKDTEDSRPIKNEHDSRPIKKVHQMIKRMLKKKIHPDMDTSKASKKDGPYKPALKCEALETLYLLNVPDCVA >A04p033870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19677212:19678733:1 gene:A04p033870.1_BraROA transcript:A04p033870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQEGAKVEQEKKAAAVVASTETTDNKPKSGGGGDSAAAPVAAAPSPFVYKVDMHCEGCAKKIKRMVKHFEGVKDVTADMGGNKLTVVGKIDPVKLREKLEERMKRKVVLTNPPPLPSKVDAPAAPAAAGEKKSDGVDKAAAPSPPTPAAPKESSVALKIRLHCEGCIQKIKKIILKIKGVETVAIDAARDMVTVKGTMDVKELVPLLTKKLKRTVEPILPAKKDDGAAEKNKSEAAPPVAKKEDPAGGVTEAKKEGSEAGEKKKEGGDGGEKKKEAGDGGEKKKEAGDGEKKEGAGGGGGAPVATVNKMDYYGYSHPTVPMYWQEGHVYGQSYSTEGQTYPIGGQSYPGSGYNYASGSYVPYSHPNMDVAPGMFSDENPNGCSVM >A05g509350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28363763:28364077:-1 gene:A05g509350.1_BraROA transcript:A05g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLTGVSPPFQFSPLSVVSFGSTVITEGCDAASSVSWIHAWTVSHGVITQVREYSNTSLTVTRIGSVVAGRSAEIRASHCPSVWESKFSGRAGKSVPGLVLAI >A05g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20571158:20572177:1 gene:A05g507250.1_BraROA transcript:A05g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFQSGVEIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMEEADADDAPTFSYDYCFLAEVTATNQKDDKMFLPVEAMWCGALNQQCKEVKLVNKEGKSWTASFGFSESDGAYYISRGWRKFCRDNRCTNGALFVFNVVGDGTTTPLLCVCTERKECTELLINHFSRIDGSIASTSRN >A05g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18239065:18239639:1 gene:A05g506390.1_BraROA transcript:A05g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRNWHCNPSSSTVFENKRKSYQRIRRSASSYIAKNCNKRLHASHDSKSETWDKEEATSTYQ >A06p044280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23821684:23823889:1 gene:A06p044280.1_BraROA transcript:A06p044280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurene oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G25900) UniProtKB/Swiss-Prot;Acc:Q93ZB2] MNAFLHQFLLFHNNHTTSMPSMICFLLGFVVSSFLFIFVFKKLLSRHNMSEVSGLPSVPVVPGLPLIGNLLQLKEKKPHKTFTRWSELYGPIYSIKMGSSSLVVLNSTETAKEAMVTRFASISTRKLSNALTVLTCNKSMVATSDYDDFHKLVKRCILNGLLGANAQKRKRHYRDALIENVTSKLHAHTRDHPEEPVNFRAIFEHELFGVALKQAFGKDIESIHVDELGETLSREEIFKVLVHDMMEGAIDVDWRDFFPYLKWIPNNSFESRIQQKHKRRLAVMNALIQDTLKQNDSDDCYLNYLMSEGKTLTMEQIAILVWETIIETADTTLVTTEWAIYELAKHQSVQDRLCEEIQSVCGGEKIKEEKLPLLPYVNAIFHETLRKYSPAPLVPIRYAHEDTEIGGYHVPAGSEIAINIYGCNMDKKRWEKPEEWWPERFLDDRYELSDLHKTMAFGAGKRVCAGALQASLMAGIAIGRLVQEFEWKLRDGEEENVDTYGLTSQKLYPLMAIINPRPSSS >A10p011710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11683859:11685629:-1 gene:A10p011710.1_BraROA transcript:A10p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAEDLSGKENDLYLELKALRNRLADRIVDDELLYERIEDVHDHQRITSTRVVKSEAKLCREFPEAENPSRRPLSLLRRLSLLLSLPLRDPSLLSLLLAGAVWWWLQPIGGGGQISPLSCFLVPDLFRSPLPCLLFLDPDL >A07p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20267998:20270080:1 gene:A07p038010.1_BraROA transcript:A07p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVGEFVPFVAMVIMEACTIALTIMAKTALTGGMSPFVFVVYTNALGSILLLPFSFFFHRKDRTKESIFSWPLFVRVFFLGFTGIFLFQNLAFVGLSFSSPIVVCAMGLLIPSFSFLLNLILGRSKLDWRNTSTRARVMGTIISLSGAFTEELYKGPFIRPASSASPTRLLKSIPKLLVYYNIPDNWFLGCIFLAAAVFSVSLFNVIQQTGTVKKYPHVMKVASFYSIVGTIQCLIFSLFMERDLSAWKIEPNYDLCLIIATCNTDKRTSKVYPNERTILRAIIQTLWHILGALFGTSFFVNSLHYGSVLGAVIGGVGYYTVSWGQLRETEEKQNSKEERKPIKTIHHHEDDEYKIPLLINQEESPV >A01p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5836352:5837762:-1 gene:A01p012020.1_BraROA transcript:A01p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPCRTINANNAIAAPSPSGMIFHGKRLVKSSSPGLNLPTKRLAWSLSSPGRFLSSPVASSSTSAAVTSNSTNRLEALEEGIEKVIYQCRFMAFLGTLGSLLGSVLCFIKGCQYVGDSFVQYSVNRGKVILLLVEAIDTYLLGTVMLVFGMGLYELFISNLTTSETISHDSVSNRSSLFGMFPLKERPQWLEVKTVSELKTKLGHVIVMLLLIGLFDKSRKVAITSVADLLCISASIFLSSACLFLLSRLNGSH >A08p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15497521:15498386:-1 gene:A08p023540.1_BraROA transcript:A08p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWTEARALKASLRGEAIKHQVIVAEDLSRTSSAEDFSVECFLDFSEEGQERDEEQLVSVCSSQEEQEQDYCIFSSQPCIFDQLPSLPDEDVEELEWVSRVVDDCSSPEVSLLFTQTLKTKPSFSSSVPVKPRTKRSRNSLTGDRVWPLVSTNQHATGEQCKKKKQETAVLFQRRCSHCGTNTTPQWRTGPAGPKTLCNACGVRFKSGRLCPEYRPADSPTFSNEIHSNLHRKVMELRKSKELGEERGEATTKSDQVKFGS >A06p055890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29157736:29159942:1 gene:A06p055890.1_BraROA transcript:A06p055890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactan beta-1,4-galactosyltransferase GALS2 [Source:Projected from Arabidopsis thaliana (AT5G44670) UniProtKB/Swiss-Prot;Acc:Q9LTZ9] MAKEKDQNTKDNKNLLICFLWNFSAELKLILMALLVLCTLATLLPFIPSSFSISASELRFCISRIAAPDAVNSTSLAVVTDPGLENGVIKRPLTDKAVVNNGDTKRLFSGNTVVDNGDVKPKSVLNNGVTKRSFTGYGWAAYNFVLMSAYRGGVNSFAVIGLSSKPLHVYAHPSYRCEWVPLNQSENRVLTDGSRILTDWGYGRVYTTVVVNCTFPAAVNSKNSGGTLLLHATTGDADRNVTDSIPVLTESPNAVDFDRYDTNRRREKYDYLYCGSSLYGNLSPQRVREWIAYHARFFGEKSHFVLHDAGGVQEEVLEVLRPWIELGRVTLHDIRDQERFDGYYHNQFMVVNDCLHRYRFDAKWIFFFDVDEFIGIPPNNTISSVMETLEEYSQFTIEQMPMSSQLCFSGDGAARTYRKWGFEKLAYRDVKKVPRRDRKYAVQPRHVFATGVHMSQNVQGKTYHGTGSLIRYFHYHGSISQRREPCRHLFNGTRIMFDNNPYVLDTTMRDVGLAVKTFEIRTIGDRLIRTRQ >A06g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24413015:24415467:1 gene:A06g508650.1_BraROA transcript:A06g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHKPMFQVENFDDEFVQKLVYDALVWCSLHGLVVGDKTYQKSGTVPGVGMTHAPISLLPTQFPESYWKQACEVAPIFNELVDRVSLDAKFIQDSLSRTKKADIFTSRLLDIHSKMLESNKKEDIRLGLHRSDYMLDEETKSLLQIEMNTISCSFPGFGRLVTELHQSLLRSHGDHLGLDSERVPKNTSNSQFADAMAKAWLEYNNPRAVVMIVVQPDERNMYDQHWLSSSLAEVETEGSVEEDGTLIVDGQEVSVVYYRSGYTPRDYPSESEWNARLLIEQSSAVKCPSIAYHLAGTKKIQQELAKPGVLERFMDNKDDVAKLRKCFAGLWSLDDPEIIKKAIEKPELFVMKPQREGGGNNIYGDDVRENLLRLQKEGEEENAAYILMQRIFPKVSNVFLVREGVYHRDQAISELGIYGAYLRNKERVIINEQSGYLMRTKVSSSDEGGVAAGFAVLDSIYLN >A06p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13760809:13766384:-1 gene:A06p026600.1_BraROA transcript:A06p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPTASFSLIISFQAQASGLIKGIFPQPFVATIDPFKLDNSCCLIGKTPMEDYSEEEVEEYNTSEVDWGEEADQDCWDDGDDHTEGHWCADSVPEYVPNDEQEYPEVEPESMDRYSTCYGPKSQLIYEDSSEGKYYSQACPRREKTTVAVPSRSYHGSLSRHAHSKPWNYNGDQFYQNRLAAPSIHFSGHKQGPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNPLKPSEVICYRCQGKGHLAKESPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTSVSSNSMTVLTHLSSTKKVESISGTNIEIKEQETNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKPQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYIFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPDGQCITKPCIYQGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIEHAANWFHTKKSNGLGDMPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGARLRDYPDIKGDPTDAFISAQTHKIQGEKTTSIHGQILHQLSPKASFSLIISFQGKAKMFEEDKPCASFQSKSDSMAPRDQRSLSVGFSKSKLHEKTETKAGTDGAFSVKLANAFSALDGASDTG >A03p035990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15088398:15094243:1 gene:A03p035990.1_BraROA transcript:A03p035990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDVMKLQTCVLKVNVHCEGCKHKVKKQLQKIEGVYSVKADVEQGKVTVTGNVDPAILVKKLSKSGKHAEIIGGGGGGGGGGKGGKESNGKANGEEKESKGGGGKTGKADGKSGGGLLGFFKKGKGEKKGGGKKGDGGGGGDKVKSSGGGGGGGEVHHYDDGPKKGGGKSKGGAHGAHDIDELMKQIKAGGGGNKGNHNQISAKGMGGPMGQGGPMGMMGPMGHQGGGAFPAVQGLPMSGGGGYYPPPPQAMNQQQYMQMMMQQQQQQQAAAYGGYGGGHGGDMYHPMMYARPYPAVNYAHPPPMPPPHSGPYSDMFSDENPAGCSIIRVMETKCALIWLVGMMFIRSNCKGLKDAFVRLQSKCSVHVLVTALAFICAIASLSDNFSNQNPSTEIQILNINRFKKQSYENDEVSLTLDITADLQSLFTWNTKQVFAFVAAEYETPKNSLNQVSLWDAIIPDKEHAKFRIQTSNKYRFIDQGHNLRGKEFNLTLHWHVMPKTSKMFADKIVMPGYSLPDAYK >A09p081550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59306779:59309709:1 gene:A09p081550.1_BraROA transcript:A09p081550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQKDHSTVESVDKVEAVLHLLRKHSPLTLKQEKFCNRACVSRFLRTKGDNVKRAAKQLRSCLSWRSSLGIESLIADEFTAELAEGLAYVADLDDECRPVLVFRIKQDYQKLHTQKQLIRLVVFTLEVAISTMSRNVEEFVILFDASLFKSASAFMNILVTTLRIVAEYYPCRLYKTFVIDPPSLFSYLWKGVRAFVDLSPTTTIVSMLDFQNSYDYDDFTSSYPSRVSSLRYDKSPTKPTDKISSSASSRFAFTVSRDGLDTVKPWCLTLTDTSSSSKLGHTSAYISPLNARSFSFASPAARREPIDGPRRSFFASTPMPARTSDRHSIGTLRDPRIPRPSFFQSPAVFFRRESHVSKTEKPRDSFVPFLKFYRRPYDEMTYRSKMRPPLGGLVSIVSSQIRRRHVSLSQRF >A06p017720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7975075:7981384:-1 gene:A06p017720.1_BraROA transcript:A06p017720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSSSRCCCCSWFIGIIVLIAVVLAIVFTIRHNKSNKHPDDGADIVPLPGSIDKNYADALKIAMQFFDIQKSGKLENNKITWRGDSGLEDGSEAGLDLSKGLYDAGDHMKFGFPMAFTATLISWSILEYGHHMDSVNQLDPAKDSLKWITDFLIHAHPSANVLYIQVGDPETDHKCWDRPETMSTKRTLTKIDTETPGTEVAAETAAAMAAASLVFKQSDPKYSSTLLKHAKQLFGFADNHRGSYSVNIPKVQSYYNSTGYGDELLWAASWLYHATEDKTYLDFVSKNGDEFGNFGSPSWFSWDNKLPGTQILLSRLTFFKKDLSGRKGLQGYKETAEAVMCGLIPSSPTATSSRTEGGLIWVAEWNALQQPVSSSFLATLYSDYMLTSGIENLACGDTSFKPSDLRKFARSQADYMLGKNPEKMSYLVGYGDKYPEYVHHRGASIPADANTGCKDGFEWLNSEEPNPNVAYGALVGGPFLNDTFIDARNNSMQNEPSTYNSALVVGSNSIHKPKIPATEKRRDKSGKRVDDVFHSVRSSSCSSNHVQPNLSFTVHSLHSTSLADLYSPRSMSLLIRSSYLSQSHIQPRNSKPSSHTNQTPLKLVFLSSFNHNPLVSLVNKRNPTMQPPTFPPSMTVKSSLIDPDGGELVELMVSESEIKLKKAESETMPKVKLTKIDLEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKDGSLVNMSLPIVLAIDDDTKEQIGVSKNVALVSPQGDVIGSLRSVEIYKHNKEERIARTWGTTSPGLPYVEEHITPSGNWLIGGDLEIFQPIKYNDGLDHYRLSPKQLRKEFDNRQADAVFAFQLRNPVHNGHALLMNDTRKRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVEKKMAFFDPSRAKEFLFISGTKMRTYARTGESPPDGFMCPSGWNVLVKYYESLQESDESSKQQQAVV >A02g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7170670:7172782:1 gene:A02g502230.1_BraROA transcript:A02g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKPRSEKNRDINRNRNRKMQLHISPSLRHVTVVKGKGLREFIKVKVGSRRFSYQMMFYSLLFFTFLLRLVFVLSTVDTIDGASPCSSLACLGKRLKPKLLGGRIETGNVPEAIYQVLEQPLSEEELKGRSDIPQTLEDFMSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSINAAARLQLPEAELVPTLVDNNYFHFVLASDNVLAASVVAKSLVQNSLRPHKIVLHIITDRKTYFPMQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSVIVANNNENPVVVAAKLQALSPKYNSMMNHIRIHLPEVNLIRVTEKNALNIRLTTSLSLQLFPSLNKVVFLDDDVVIQTDLSPLWDIDMDGKVNGAVETCRGEDKFVMSKKFKSYLNFSNPIIATNFDPEECAWAYGMNVFDLAAWRKTNITSTYYHWLDENLKSDLSLWQLGTLPPGLIAFHGHVQTIDPFWHMLGLGYQEKTSFSEAESAAVVHFNGRAKPWLDIAFPHLRPLWAKYLDSSDRFIKSCHIRAA >A08p034420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20498310:20499604:-1 gene:A08p034420.1_BraROA transcript:A08p034420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKVSGDLCSPTITFLRSIKILSLSLSQLANKANPRSSASRRRQILPPTAAAGGIINTAMAEHLASIYGTEKDRVNCPFYNKMGACRHGDRCSRIHNRPAISPTLLLANMYQRPDMITPGVDAQGQPLDPRKIQEHFEDFFEDLFEELGKFGEIESLNICDNLADHMIGNVYVQFKEEDQAAAALKALQGRSYLGRPIIGEFSPVTDFREATCRQYEEENCSRGGYCNFMHVKLVSREMRRKLFGRRSYRRGSRSISPRGRREYGRRGDRDRDRDSPRREFSHRERDGEFYRHGSGKRSERGGRDRDGSRRRERSPGGGREGSED >A02g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24933487:24935817:1 gene:A02g509320.1_BraROA transcript:A02g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPFSPSCDFIQCVLVWLRAEDGQGEYSDQPDPCDGHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMVGDLTLGWEGTSLASVRVPYDICSCPDELTIGYCFFGLKSLECYLIGALVFFGYWSKAIRSILRTSDRPSRNINRVISGQPRSGVSHR >A06g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1730493:1756937:1 gene:A06g500400.1_BraROA transcript:A06g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSTEALRISFWKGDVVLKDLKLKAEALNSLKLPVTVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAYPAPDGRTEEHREKLLETKLQQIEEAESATLEARAKSKLGSPPAGNSWLGSRIATIIGNLKVSISNVHIRYEDSTSNPGHPFASGITLASLATVTMYEEGNETFDTSGALDKSLQLERLALYHDSNSSPWEIEKQWDDISTEEWVKMFEDGIKEKTEHNLKSKWALNRRYLLSPINGSLKYHRLGNQERNNQETPFERASVNINDVNVTITEEQYHDWIKLVEVVSRYKTYIEISHLRPVVPVSEAPRLWWRFAAQASLQQKRLCYRFSWDRIHHLCQLRRRYIQLYTNFLQQSSNANNPEMREIERDLDSKVILLWRLIAHAKVESVKSKEAAEQRKLKKSGWFSFNWRTEAEDEPEVDSVAEGSKSVEEGLTKEEWKAINKLLSHQPDEDMNLYSGKDMQNMTHFLATVSIGQGAARIVDVNQTEVLCGRFEQLDVTTKFRHRSTQCDVSLRFYGLSAPEGSLAQSVSSEGKTNALMASFVKSPIGENIDWRLSATISPCAATIWTESYDRVLEFVKRSCAVSPTVALETAAALQMKLEEVTRRAQEQLQIVLEEQSRFALDIDLDAPKVRIPLRASRSSKCSSHFLLDFGNFTLTTMDTWSEEQRQNLYSRFCISGRDIAAFFTDCGSDNRGCSLLMEDFTNQPMLSPILEKADNVYSLIERCGMAVIVDQIKVPHPSFPSTRISIQVPNIGVHFSPTRYMRIMQLSDILYGAMKTYSQAPVDDTPDGIQPWSPADLASDAKILVWKGIGNSVATWQPCHLVFSGLYLYAFESERSPDYQRYLCMAGRQVFEVPPANVGGSLNCLAVGLRGTNLKKALESSSTWIIEFQGEEKAAWLRGLIEASYRASAPLSGDVLGHTSDGDGDFHEPQTGNSKAADLVINGALVETKLYLYGNVSCDQIKDECDEQLEEVLLLKVLATGGKVNMRSSESGLTVRTKLHSLQIKDELQQQQSGSPQYLANSVLKNEDIQDSPRCDSYDKEMSVRHADDEDAFTDALAEFLSPTEPGTPDMDMIQGSMLIDYDEHLGVEDAEKDTSQGKGLCDEVFYEVQDGEFSDFVSVVFLTRNSSSPDYNGTDTHMSIRMSKLEFFCSRPTVVALIGFGFDLSAATYVENDKDVNTVAFEKSDMEKDTNDEGGRIEGLLGYGKDRVVFYLNMNVDSVTVFLNKEDGSQLAMFVQERFVLDIKVHPSSLSIEGTLGNFKLCDKSLDSGNCWSWLCDIRDPGVESLIKFKFNSYSAGDDDYEGYDYSLSGTLSAVRIVFLYRFVQEITAYFMGLATPHTEEVVKLVDKVGGFEWLIQKYEMDGATALKLDLSLDTPIIVVPKDSLSKDYIQLDLGQLEVSNEISWHGCPEKDPSAVRVDVLHAKILGLNMSVGINGSIGKPMIHEGQGLDIFVRRSLRDVFKKVPTLSIEIKIDFLHGVMSDKEYDIIVSCTTMNLFEEPKLPPDFRGNSSGPKDQMRMLVDKVNLNSQTIMSRTVTILAVDINYALLELRNSVNEESPLAHVALEGLWVSYRMTSLSETDLYVWVPKVSVLDIRPNTKPEIRLMLGSSVDASKQASSESLPFSLNKGSFKRANSRAVLDFDAPCSTMLLMDYRWRASSQSCVLRVQQPRILAVPDFLLAVGEFFVPALRAITGRDETLDPTNDPITRCSGIVLSEALYKQIEDVVHLSPCRQLVADSPGVDEYTYDGCGKSGSRLSKCIYLSDDSSCLFSPEDGVDISMLENAKSNSENVLSNAYKPSDVSDIRQSDLKSGQCFTFEAQVVAPEFTFFDGTKSSLDDSSAVEKLLRVKLDFNFMYASKENDIWVRALLKNLVVETGSGLIILDPVDISGGYTSVKEKTTMYLTSTDIYMHLSLSALSLLLNLQSQVTGALQSGNAIPLASCTNFHRIWVSPKGMASVTLDNYTIDYFLLGMRAENGPGNNLTIWRPQAPSNYVILGDCVTSRAIPPTQAVMAVSNTYGRVRKPIGFNCIGLFSVIQGLKEGNGQHSPDRNECSLWMPVAPAGYTAMGCVANLGSEPPPDHIVYCLRSDLVSSSSFSECIYTVPSSSLIESGFSMWRADNVLGSFYAHSSTEAPSKQYSCGLSHCLLWNPLQLKTYPLCDPSSTNGSQSEQTNDQTGNSSGWDVLRSISKPSSYYVSTPNFERIWWDKGGDLRRPISIWRPVPRPGFAILGDSITEGLEPPALGILFKADDSEIAAKPLHFTKAAHIVGKGLDEVFCWIPVAPPGYVSLGCVISKFDVEPHVDSFCCPRFDLVNQANIYEASVSRSSSSESSQCWSIWKVDNQACTFLARSDLKRPPIRMAFAIGESAKPKTQENVNAEIKLRCFSLTLLDGLHGMMTPLFDTTVTNIKLSTHGRPEAMNAVLVASVAASTFNPQLEAWEPLLEPFDGIFKLETYDTSLSQSSKPGKRLRVAATNILNINVSAANLETLGDAVVSWRRQLELEERAAKMKEESSVSRESGDLSAFSALDEDDFQTIVVENKLGRDIYLKKLEENSDVVVKLCHDENTSVWVPPPRFSNRLNVSDSSREARNYMTVQILEAKGLHIVDDGNSHNFFCTLRLVVDSQGAEPQKLFPQSARTKCVKPSTAVVNDLMECTSKWNELFIFEIPKKGLARLEVEVTNLAAKAGKGEVVGSLSFPVGHGENTLRKVASVRSLYQSSDAENISSYTLQRKNVEDIHDNGCLLVSTSYFEKTTVPNTLRNMESKDFVDRDTGFWIGVRPDDSWHSIRSLLPLSVAPKSLQNDFIAMEVSMRNGRKHATFRCLATVANDSDVNLEVSISSDQNTSSGASNHNALVVCGSSYVLPWGCLSKDNEQCLHVRPRVDNLSYAWGSCIAVSSGCGKDQPFVDQGLLTRQQTFKQSSRGSAFALKLNQLEKKDMLFCCQPSTESKPFWLSVGADASVLHTDLNTPVYDWKISVSSPLKLENRLPCPVKFTVWEKTKEGTYLERQHGVVSSRKIAHVYSADIQRPVYLTLAVHGGWALEKDPIPVLDLSSSDSVSSFWFVHQQSKRRLRVSIERDVGETGAAPKTIRFFVPYWITNDSYLPLGYRVVEIEPSENAEAGSPCLSRASKSFKIIPAFSMERRQQRKNVRVLEVIEDTSPLPSMLSPQESAARSGVVLFPSQKDSYVSPRIGIAVAARDSEIYSPGISLLELEKKERIDVNAFCSDASYYKLSAVLNMTSDRTKVIHFQPHTLFINRVGMSICLQQCDCQTEEWINPSDPPKLFGWQSSTRIELLKLRVKGYRWSTPFSVFSEGIMRVPVGREDGTEQLQLRVQVRSGTKNSRYEVIFRPDSVSGPYRIENRSMFLPIRYRQVGGVSESWQFLPPNAAASFYWEDLGRRHLFELLVDGNDPSKSEKYDIDKIGDHPPRSETGPTRPIRVTIVKEDKKNIVRISDWMPAVEPTSSISRRLPASSLSDLSGGSQQSQLLASEESEFHVIVELAELGISVIDHAPEEILYMSVQNLFVTYSTGLGSGLSRFKLRMQGIQVDNQLPLAPMPVLFRPQETGDNADCILKFSVTLQSNAGLDLCVYPYIGFHGRENTAFLVNIHEPIIWRIHEMIQQSNLSRLSNSESMAVSVDSFIQLGVLDISEVRFKVSMAMSPSQRPMGVLGFWPSLMAAFGNTENMPVRISGRFNENISMRQSTMIDSAIRNVKKDLLGQPLQLLSGVDIIGNASCVLGHMSQGIAALSMDKKFIQSRQRQDNKGVEDFGDIIREGGGALAKGLCSGVTGILTKPLEGAKSSGVEGFVSGFGKGIIGAAAQPVSGALDLLSKTTEGANAMRMKIAAAITSDEQLLRRRLPRAVGADSLLRPYNEYRAQGQVILQLAESGSFLGQVDLFKVRGKFALTDAYESHFILPKGKVLMITHRRVILLQQPSNIMGQRKFIPAKDACSIQWDVLWTDLVFMELTEGKKDQPNSPPSRLILYLKSKPNDSKEQVRVVKCSPNTKQALDVYSAIDTTINLYGQNDSKALVKNKVTRPYSPISESSWAEGGSQQMPASVAPSSTFGTSPTTSSS >A02g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31102:32162:-1 gene:A02g500010.1_BraROA transcript:A02g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGKFLIIWYSSSTNLKVDLLFLDMYFCVFCKGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVVWTS >A06g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14259713:14260951:1 gene:A06g504640.1_BraROA transcript:A06g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLIVVQMIGRMTTTTPLLMLTQDKICIHTSMIKTSRRNEPLSTEPSEVKLLHHSSWKRNAPSFDMISLPSIDTQPQQRCQKRVSTDTAYYKSVDTDFNRVRDGDYLIGSCVDEHHHERFAVETVTYTPGAGKLRDSFTYEELLNMQKRDDTDQFQAEATWERTHSSQSIDTRHPQSIEKLPQQSIDINNTTDPNGFTKAIDGCTLHVSREDIADILQKANGADNLFIDQRSNHEQKTTKEFYDTAGGIKNSFKQRSCHTTHPSINIDVPTVTRQPEFGKRAYDLYGNKKFYWEEKDEYGVYRDDREFARDLDGHTIPIHTKDIRRLMERVSRDEPAYICLLVHASSFTQRKLVPEIYTKNEINEMFYGVCGEHERNK >A08p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9517921:9518242:1 gene:A08p014200.1_BraROA transcript:A08p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALDLQSFILRARVLKLYRQALKIAHRAPPQARGELKQSIRQEMEKNSECKDKQKIRYLISEGLERIKQLDEMLDMQGH >A08p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15021774:15024925:1 gene:A08p022810.1_BraROA transcript:A08p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDEDMEIEPTAFEAKEIDLEYEFDASRWFDFTRMESAEESQSAEFWFHSAPSYAPSPFVTKLLLSEEVSDDKTEAAASTRSETAEDVCERDRETYQPSHINKTGNGMRFGMFSSQQGSHLKKLPSQPICKGPTVSNHNQNDKPKFRAKSSIRSTPRSSTLMRPTASQLAKQNNASKFHMQVDQIHEKGICGTEVQAAKRQKLDGGLLRKVADTKQEMNFVHKIPKKDTTLDRNSQQTRTKITIAQEPDFATSQRAHRTRHKNDAKLEQDSTTVYRFKARPFNRKIFDAPSLPIRKKSTPKLPEFQEFHLKTSERAMQHSSAVTTRSNQGNDVYKGSDKSNITDALDGVNRANRRPSAMDISNHNVSEGKHIFKARPLNKKILSSKGDMGIFKNSKRETTVPLDETVFENATQEFSFHSEKRVQPDLPTDLFSKLSIKSELKQNNGSGTRFHQAKGFKENRVNSFQAGNEVTNRLAAGQQIQSSNSGVVINQTNQRWTASR >A09g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6927444:6928585:1 gene:A09g501940.1_BraROA transcript:A09g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILGSFCEFHARCHFCGGKYLHWLQQYVSSLSYHFQIAFYLHIQTHVFGRPVLFTRPLVFATAFMSFFSVVIALFKDIPDIEGEESDHSLRFRHVFHYLQMAYAVAVLVGATSPFIWSKVISVVCHVLLATTLWTRAKSVDLSSKTEITSKYLLLSFFEVNIRREEGRSHYYPYVYLFRQDHEIR >A03p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5655653:5660719:-1 gene:A03p014220.1_BraROA transcript:A03p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 28 [Source:Projected from Arabidopsis thaliana (AT5G60740) UniProtKB/Swiss-Prot;Acc:Q9FF46] MGRRKLCFQETFVSFFVSLVFIFHRGVICQDDSSLDNPAANRLYNQFVFDKISNLTEVFEDDIKRELGFCITNVKEDYNEAFNFSSKPGFLNKCGKTTKGDMMQRICTAAEVRIYFNGLLGGAKRATNYLKPNKNCNLSSWMSGCEPGWACRTAKDVKVDLKDDKNVPVRTQQCAPCCAGFFCPRGITCMIPCPLGAYCPEAKLNRTTGLCDPYHYQLPSGQPNHTCGGADIWADIVSSSEVFCSAGSFCPSTIDKLPCTRGHFCRTGSTAEKNCFKLATCNPRSTNQNITAYGIMLFAGLGFLLIILYNCSDQVLATRERRQAKSREKAVQSVRETQTQEKWKSAKDIAKKHATELQQSFSRTFSRRKSMKQPDLMRGLSQAKPGSDAALPPMAGGSSDTTKKGKKKDKNKLTEMLQDIEQNPGDTEGFKLEIGDKNIKKHAPKGKSLHTQSQMFRYAYGQIEKEKAMQEQNKNLTFSGVISMANDIEIRKRPTIEVAFKDLTITLKGKNKHLMRCVTGKLSPGRVSAVMGPSGAGKTTFLTALTGKAAGCTMTGMILVNGKVLVVERVIESLGLQHVRDSMVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLICYQGSVKKVEEYFSSLGIVVPERVNPPDYYIDILEGILKPSTSSGVTYKQLPVRWMLHNGYPVPSDMLKSIEGMASAENSAHGGSAHGSVVGDDGTSFAGEFWQDVKANVEIKKDTLQNNFSNSGDLSQRQVPGVYQQFRYFLGRLGKQRLREARTLAVDYLILLLAGICLGTLAKVSDETFGAMGYTYTVIAVSLLCKITALRSFSLDKLHYWRESRAGMSSLAYFLAKDTVDHFNTIVKPLVYLSMFYFFNNPRSSVGDNYIVLICLVYCVTGIAYALAILFEPGPAQLWSVLLPVVLTLIATSTNDNKIVESISELCYTRWALEAFVVSNAQRSLAYHSLWITDGEWLQHQALPSLPHLPDINRHLEPLCSVLLYGNFPKEVALSSFYACKTILDGSIVNIEKPQRFYKKKQYTIIAEDHNTI >A03p044200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18448082:18450009:1 gene:A03p044200.1_BraROA transcript:A03p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVSQTPGVRNYNYKLTPKVFITCFIGAFGGLIFGYDLGISGGVTSMEPFLKEFFPHVYKKMKSAHENEYCRFDSELLTLFTSSLYLAALVSSLFASTITRIFGRKWSMFLGGFTFFVGSAFNGFAQNIAMLLIGRILLGFGVGFANQSVPVYLSEMAPPNLRGAFNNGFQVAIIFGIVVATIINYFTAQMKGNIGWRISLGLACVPAMMIMFGALILPDTPNSLIERGFAEEAKEMLRSLRGTDEVEEEFQDLIDASEESKQVKHPWKNILLPRYRPQLIMTCAIPFFQQLTGINVITFYAPVLFQTLGFGSKASLLSAMVTGIIELLCTFVAVFTVDRFGRRVLFLQGGIQMLISQIAIGIMIGVKFGTVGTGNIGKTDANVIVALICIYVAGFAWSWGPLGWLVPSEISPLEIRSAAQAINVAVNMFFTFLVAQLFLTMLCHMKFGLFFFFAVFVFIMTIFIYLMLPETKNVPIEEMNRVWKAHWFWGTFIPDEAVGVSAAELQQKAV >A09p033230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20115483:20115788:-1 gene:A09p033230.1_BraROA transcript:A09p033230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKPSVIRAGKFEMVVDFTFSDLFDKVIRRFERPQNEIPIIFTTRGGAPRKRGDVKNICVVVV >A02p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12292288:12294198:1 gene:A02p025320.1_BraROA transcript:A02p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKILYKTTTCSTPVLSPPVTSFAGSLISTQPIAIPSLLSPRFKTSLKNPSLCSTPRPFSTSAMPTTACSVSTNGAVLSGILQKTRVLRAASLRFSASFRCSVNGRIHSTSRRNQLFHSESNGGLSSVNAVVEDDSDGGEDEKPMRMSRRNRRSSNGSCDGNPDLLKIPGVGLRNQRKLVDNGIGDVAELKKLYKDKFWKASDKMVDYLRSSVGIIHRNHAESITTFIKESVDSELKDPNANPKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVDKWQDVGPDHFNILDAFYSEPQRYAYTFQNYVFVTRLMQEKESASGVKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSALPGLVPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLKYLQDLHEKHESWLLPFESGNHGVLSVSKPSLQMDNNSLHPDIKDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSRDIEAKRQYARQVAEFFEFVKKKQETSQEKGNSQSPLLMPPQKGGLWMGPEGKHVPGLELESLDFRKAMSLLTRPSA >A05p036820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20880143:20881197:-1 gene:A05p036820.1_BraROA transcript:A05p036820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFQVFLMLVVIALGISVVSMHMIKYLKVQTLAPTTFISTSDEITTLESFIKPPSNVWHSMNDSELLWRASIEPRRYEYPFKRVPKMAFMFLTKGPLPFAPLWERFFKGHEGFYLIYVHTLPDYKSDFPSSSVFYRRQIPSQHVAWGEMSMCEAERRLLANALLDISNEWFVLLSEACIPLRGFEFIYNYVSKSRYSFMGSADEDGPYGRGRYSYAMGPEVQLSQWRKGSQWFEINRELALYIVEDIIYYHKFKEFCRPPCYVDEHYFPTMLSIRYSHLLAKRTLTWTDWSKGGPHPTTFGKSVITEMFLKMIQEGQSCL >A03p030620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12894557:12899452:1 gene:A03p030620.1_BraROA transcript:A03p030620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLDSHVQVSDHHLLREPVAADLNHPSPSPPCANGHRSTVSLRTDQGGTFCFLCFSDLVSNPRAPTVHVSYALHQLSIALSEPTFLRTLLSSHVRFLVSPLVNALSIFDDAPIASQIMDTISLLCSAEECSVSEEFVERVSAQLSSGALGWSRRQLHMLHCFGVLLSSEKIDINSHIIDKESLVCLLVEGLQLPSEEIRGEIFFVLYKFSALQFTEQDVDGAEILSSLSPKLLSLSLEALAKTQRDEVRLNCIALLTTLTQQGLLANSRTSPVSSMSLDEVDDDPMLTAETVTVRPCLDILFAEAIKGPLLSTDSEVQIKALDLIFHYVSQESVPSKQIEVLVEENVADYIFEILRLSECKDHVINPCLRVLDLFSLAELSFRKKLVIGFPSVIQVLHYVGEVPCHPFQVQTLKLVSSCISDFPEIASSSQVQEISLVLKMMLERYYSQEMGLFPDAFAIICSVFVSLMKTPSFAETPDVFKSLQDSLRHAVLVCLSLPEKDSTQISHAVYLLNKVYAYCTSPTSINNTGCIELRQCVIDVCVSHLLPWFLSDVNEVNEEAILGIMESFHFILLQNSDVQAMEFAEILVSADWFSFAFGCLGNFSSDKMKQRVYQMLSSLVDVLHRQKLGSHIRDALFCLPSDPQDLLFLLGQDSSNNQELASCQFAALVIFHTGWIHNDRLADDKLVFASLEQYILVNRTSLISDSPAVLQLVNLYSLCRSLQNKRYQISYSLEAERIVFHLLNEYDWDLGSCDIHLESLKWLFQQESISKGLTYQIQKISRNNLIGKEVHNVYGDGRQRSLTYWFAKMISEGDNYAATLLVNLLTHLAENGDKESDVISILNIMTTVVSKFPTASNHLSMNGIGNAIHRLVCSFRNSSMGTSFPTLLVLIFNILASVQPGVLKNDESWNAVFIKLLHYLSLRDTAITQNHEGMMVIGILCLVLYHSSHGALLDSSRIIMVNSYLVSAINNVVDVACSRGPALTQSQDETEIWEALAFTLPLCFFSLRSLQIVLAGAVDWQTFCGPSSNLETLPVVCIHCHNLCRLMHFGTPQIKLMASYCLLELFTGLSQQIDIRKEQLRCSSSYLKAMKAVLCGLVFYDDIRVATNSALCLSMIIGWEDMEGRTEMLKTCSWYRFITEEMSVSLAMPCSASNTFVNHHKPAVYVTVAMLRLKNKPVWLRSVFDESCISSMIQNLNVTNISSEIVILFRELIQAQLLNSDQVTKLNLVFLASRKQMQRNGTLDETVEEQMQRTVSSIHDHGEVCSYLVDMMLSSSFGHTSGSEATCIQKKKQVLAEMEQFSELLSTRRDYVTDSRPCKVYTRQRKRIHAK >A02p034080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18479682:18482798:-1 gene:A02p034080.1_BraROA transcript:A02p034080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFHQLIEKMAFHHLRFQHGSEDPLSKEKPTLSLRIKKTPTERRMGSDSTPQLPVIYLSDQTLKPGSEKWVEVRNDVRKALEDYGAFEVSYDRVSEELKQSVLEAMKELFELPVEAKRRNVSPKPYTGYSTHNGLSESLGIQDAEVLEKVNEFTQLLRPDFEGNKSISDNANVVADTSDIASGIANVHINDDANIGAKANGDIGADVDAKTTNGGADDEEKKLGLPSHTDKNLFTVLYQYEIEGLEVLTKDEKWIRLKPSHNSFVVMAGDSVYALMNGRLFRPFHRVRVTEKKKTRYPIALFSTPNAGYIIEPPKELVDEKHPRVFKPFTYVDLMSFYHTEGGRRARSTLHAYCAVSEA >A04p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6996495:6997165:1 gene:A04p010840.1_BraROA transcript:A04p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGVPEWLNSSLWSSSPSPIPDDRPLRPPPAAAATVPPSPPIVERPSPSPSPSAISTAPAPIRPPSKSETNDLQNGSGVDGPGSVTPAAEDVSRKTQVVAELSKKVIDLKELRKIASQGLPDDAGIRSLVWKLLLGYLSPDRSLWSSELAKKRSQYKQFKEELLMNPVSPLD >A09g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24230428:24231602:-1 gene:A09g508640.1_BraROA transcript:A09g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQSSCKESIETNRVQIRVLPSEFSVNNESLSLVRALYFSPHVFKFSFSTGALEYAMGDAHMPIQARLNQALHKLSHSLYTLIIFINQTLHVVVFVAARCEGPVYRRVSLRCNVRVMHGYAYPTEDPECYKIFEKNESEQLAFGA >SC234g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:23330:31697:1 gene:SC234g500020.1_BraROA transcript:SC234g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKDNGNIHGYSFGASMIARTIEMTPNVAEIARIDKNVKEKEDERQSHHQTRKVWNGSDRLWIRLERALSIQLLDRLDGKSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITITDTTPTSDHGGERSEPETTQESSGASGTHDQDVEGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYSCVTF >A10p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9570178:9573125:1 gene:A10p006100.1_BraROA transcript:A10p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIRRWQRILFLSLLSISVLAPLVFVSNRLKTITPVGRREFIEELSNFRYRTSDLRLNAVEHEDGEGLKGPRLILYKDGEEFDSSVSDEKENTIDLPNIDDGNNHKYSEEKVIDSQLTKLSSDSKDHNLLVNQLGNKTEFKPPLSKGEKNTKVHQSDRATDMKIKEIRDKIIQAKAYLNYAPPGSNSQIVKELRTRMRELERAVGDVTKDKDLSKGALRKLKPLEATLYKASRVFNNCPAIATKLRAMNYNTEELVQAQKNQASYLMHLAARTTPKGQHCLSMRLTSEYFALDPEKRQMPNQEKFNDPSLNHYVVFSDNVLASAVVANSTISSSKEPEKIVFHVVTDSLNYPAISMWFLLNIQSAAAIQIQNIDDMDVLPLDYDQLLMKQNSNDPRFISTLNHARFYLPDIFPGLNKMVLFDHDVVVQRDLSRLWSIDMKGKVVGAVETCKEGEPSFHSMSTFINFSDAWVSGKFSPKACTWAFGMNLVGLEEWRRRKLTSTYIKYFNMGTKRPLWKAGSLPIGWLTFYRQTIPLDKRWHVMGLGRESGIKTVDIEQAAVIHYDGIMKPWLDIGIDKYKRYWNRHVPFYHSYLQQCNIHA >A03p068940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30197266:30198452:1 gene:A03p068940.1_BraROA transcript:A03p068940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHIFYRDASDLREKFNANQDVEDVDRIDKLIAHGEAEYNKWRHPDPYIVPWAPGGSKFCRNPTPPAGIEIVYNYGQEDNP >A08p041210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23310452:23312777:1 gene:A08p041210.1_BraROA transcript:A08p041210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQSSQLLDEEEEEEDDEEDEPEDANDGLNRRRTELDNLLVKKVLEQEPEMLPCHASASPLSPQLSSLGTPRIGPSIKVWDPYNVLLAPPPPPPLFSGVSSSAVDHDRAAAAVTEVYLISHGECDLDLRPDLIGGRCHVAALTPNGKRQARALAVFLNSEGVRFSSVFASPLDRARSMAVSVCQEMNFPEEHVQSSEAIVEMSLGDWEGFHRSEIYTPETLSLIERCQPDFSPPSGESLRQVEFRMVQFLNGVRSTHHSNARGGLSQSSTPHLLVASSHRPSLTRKKSGKSRFQVMNTTGGEEMFNHQNDEQHLGDVNSKSSASQLSTCVGVFTHSLPIKCLLTGVLGCSSVMTHKICVEDSSVTVLQHSWKTGWQVKRLNDTAHLRLTEGKEKETPMALSSSFSVSVFLLIVVSVQWTLVCSEPTILASPAVLPYGNAPDMSSFFPSPTKDRTFDTAASPAPETEAPGPSSGQFNGKVSGISMRLCPDLPLVLVIVGIYSLLS >A03p023870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10092184:10094403:-1 gene:A03p023870.1_BraROA transcript:A03p023870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRKFWTWTVATAIIAVLVVFVGPSIIGPESMVGSKNVLTTAKMIPLPVDGPESLDWDPRGEGPYVGVTDGRILKWRGSDLGWVEFAYSSPHRGNCSSHKVEPACGRPLGLSFEKKTGDLYFCDGYFGVMKVGPKGGLAEKVVDEVEGQKIMFANQMDIDEEEDVFYFNDSSDTYHFGDVFFAFLCGEKTGRAIRYDKKSKEAKVIMDRLHFPNGLAISKDGSFVLSCEVPTQLVHRYWAKGPKAGTRDVFAKLPGYADNIRRTEKGDFWVALHSKRTPFSRFSLMHPWIGKFFMKTLNMDLLVFLFEGGKPHAVAVKLCGKTGKVLEVLEDSEGKNMKFISEVQERDGKLWFGSVFLPSVWVLEHQ >A01p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23251033:23252241:1 gene:A01p035150.1_BraROA transcript:A01p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSGKRLVTNTKNNTKIGNSANADETGKRNSQPILLDDPDPKPSRENRKSTAEKNKEKTIDLEVEDDLEIKAEIDRRYGIHVDRPVKPVVDQHSYNPIDRHNRTDDYVRLMDASIEVANIEEDDDSDII >A06p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:137160:137858:1 gene:A06p000790.1_BraROA transcript:A06p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:Projected from Arabidopsis thaliana (AT1G54210) UniProtKB/TrEMBL;Acc:A0A178VZU7] MAETESPSSVRKGEVSVYMTVVVHLRATGGAPILKQSKFKIPGTDKFAKVIDFLRRQLHSDSLFVYVNSAFSPNPDESVNDLYNNFGFDGKLVVNYAFSMAWG >A08g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1847005:1848802:-1 gene:A08g500710.1_BraROA transcript:A08g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAARESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A01p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4805889:4807550:-1 gene:A01p009910.1_BraROA transcript:A01p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRSASDDDLKKAYRKLAMKWHPDKNPTNKKEAEAKFKQISEAYDVLSDPQKRAVFDQYGEEGLKGNVPPPNAAGGSSYFSAGDGPSSFRFNPRSADDIFAEFFGFSTPFGGGGAGGSPFGGGGGAGGSGIGQRFASRIFGDDMYGSSFGEGGHPPPHHHHHHGAARKVAPIENKLPCSLEDLYKGTTKKMKISREIADISGRTTQTEEILTIGVKPGWKKGTKITFPEKGNEHPGVIPADLVFIIDEKPHPVFTRDGNDLIVTQKISLAEALTGYTVNITTLDGRTLTIPITNVIHPEYEEVVPREGMPLQKDQTKKGNLRIKFNIKFPARLTAEQKAGFKKLLG >A07p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:786363:788404:1 gene:A07p000280.1_BraROA transcript:A07p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVARSMLRAASSRGGAAASTGRFASQAKSVPPMFRATARRSSLLSPLRNPVEMSFCVESMLPYHTATASALMTSMLSISGHTYGWLSDEPKLGRFKDCLAYTVQLCLSKELDEVERINYKWKKSRINI >A01p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21533178:21539283:1 gene:A01p040380.1_BraROA transcript:A01p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGYKLQEFLAHSANVNCLSIGKKTSRLLITGGDDYKVNLWAIGKPTSLMSLCGHTSAVDSLAFDSAEVLVLGGASSGLIKLWDLEEAKMVRAFTGHRSSCSAVEFHPFGEFLASGSNDTNLKIWDIRKKGCIQTYKGHTRDINTIKFSPDGRWVVTGGLDNAVKVWDLTAGKLIHDFKFHEGSIRSLDFHPLEFLLATGSADRTVKFWDLETFELIGSTRPEDTGVRSIKFHPDGRTLFCGLDDGLKVYSWEPVVCHDSVDMGWSTLGDLCISEGKLMGCSYYQNSVGIWVSDISQIEPYGIGSVDKKECVEKVLSVLDDQSSERVKSGARRSPSPDYETKEIKNIYIDCGNSTVADKPGSRSTSKVNATSTGQAGDKSFILHGDTGKDSSDSGKESITFSKTKPGMLLRPAHVRKTPSKQSVAVQSSTPKQSGSDGEKTLDTKTVLDSEQSGRKPFDASDSIIKSITSKFEKALFPESPTDETKSMLLKPPRVQRSPNTKYNETRWAVSVDSENLDSHQSGLEESRDADLPIELADDRGCNPTEEDASDAIILSKPERVLTPEKAGDEQKGVESPGGSKESDSVKVVRGVKVVSGRTRSLVERFERRETITPSEEDKAASAATVQSTNSVEEEAKSAFIPAVSTTPTQVMAVKLDEATNSTTVEAPVVSTRRPRSIPARVMPVVLGRDTNMSTDVPSVTLTGPDRTSAANLTSDESSVTSTRQSRSSPAPVMPVVINQTTKMKSDDPPVTSTRPDRSSAANLTSDESPVSSRRQATSPAPVTPLILNRSRSTNMKPDEPHVIPRRPLRSSSTRIRPVMLNQTTNTHDERPVQSTRSARTSPARVIPMKLSQADDIPSYELPVALTRSARNSPVRAIPSKINQANNVTSDASHIRSRHRFSPTQTLATPSVIDQMADMTLDEAHITQTQPDYDISKQKEDPRISERENEDDISETLMQTHNEVLNTLQSRLTKLQIVRHFWERNDIKGAIMALKKLSDHSVQADVISILTDKPEILTLDLFSQLAPVLTGLLGSRTERPVSVSLEMLLKLVAVFGAVVQSNVSARRSVGVDLHAEERLQICQSCSAELQKVQKILPLLTRRGGLIARKAQELNLVLQTP >A03p056010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24178741:24186230:-1 gene:A03p056010.1_BraROA transcript:A03p056010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTGFDHGSVRTFKMLQPSQWDDYFLYAPIDELELDVFASELEAIKPNVRDMLMSSSPAELGHGSVKRKVLLIYLMTSLGVAYHFENEIEKTLAHAFEKIDDMIANEHDLYTISIFFWVFRTYGYNMSADAFKRFKGDDGMFMDSLAKDAEGMLGLYEAAHLRTTRDYIMDEALSFTMEHMESLAGRARPHLSRLIQNALGLSQHWNMEILVAMEFISFYEQEEDHDETLFKFSKLNFKLLQLIYVKELKMVTKWYKELDFASKLPPYYRDRIVELHFFVISMYFEPQFSSARIMLTKFYTVETITDDTFDRYASISEAESLANSLERWAPDKDMDTQPDYLKFVFKFILDAFKDFEREVGSEGISYSVKGTIEEFKRLVKSNLDLAKWVQIAHVPSFEDYMEVGEVEITMYATMAGTLMGMGYIATKETYEWLKSRPKLIQSLSINGRLMNDMAGFEVRGNDSFRKCEVKWDDMSRGYVTTGVNCYMKQFGVTKSEAFKKLHQMRVDNDKIVNEELLKIKDVPKRVLKEAINCARMTHVAYGYGEGLTHPEGKIKEYIIALYIDLIRL >A10p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:163452:164045:-1 gene:A10p000270.1_BraROA transcript:A10p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin depolymerizing factor 11 [Source:Projected from Arabidopsis thaliana (AT1G01750) TAIR;Acc:AT1G01750] MANSASGMHVNDECKLKFLELKAKRNYRFIVFKIDEKAQQVTIDMVGNPEETYDSFTKCMPENECRYAVYDFDFTTPENCQKSKIFFIAWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPTEMSLDIIKGRVNL >A01p053840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29827634:29829329:1 gene:A01p053840.1_BraROA transcript:A01p053840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEARATPDSVVSEFEGTILRNEDPFSYFMLVAFEASGLIRFAALLFLWSVITLLDVFSYKNAALKLMTFVATVGLREPEIQSVARAVLPKFYMDDVSIDTWKVYSSCKKKVVVTRMPRVMVEMFAKEHLKADEVIGSELIVNRFGFVTGLTRESDIDQSVLSRVADLFVDRKPQIGLARPGKTISTTFLSLCEEHIHAPVPENYNHRNKNLELRPLPVIFHDGRLVKRPTPPTSLLILTWIPLGIILAAIRIFLGSVLPFWTTPYVSKILGGQVIVKGKPPQPPAAGNSGVLFVCTHRTLMDPVVLSYVLERSIPAVTYSISRLSEILSPIPTVRLTRVRDVDAAKIKQQLSKGDLVVCPEGTTCREPFLLRFSGLFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPVEATCSSGKRPHDVANYVQRILAATLGFECTNFTRRDKYRVLAGNDGTVSYLSFLDQLKKVVSTFEPFLH >A08p001140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:667832:669517:1 gene:A08p001140.1_BraROA transcript:A08p001140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLQKWTLGVLQKKGNWDYFSDYLRQRKDLAGDGMLQLLEKSSYGSMLFTSVTTNSSRRDKELLEKDTIPMETEDKSASGKANDVNNAKKIKAYMDKVYTERNLLVEKLMILLPRPSTIFFNKMKGNTITAILHKKPLITIVTTAADASNFSVLYYEIMSSP >A09p046290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40419670:40420081:-1 gene:A09p046290.1_BraROA transcript:A09p046290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGSLPKFWKTSGIILGRLSDSEDFLGNLMYFMPEDFPRSLQKFFQSLLSKVVQRDDVKWSPNLSMLRNNI >A04g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6467421:6468392:-1 gene:A04g502860.1_BraROA transcript:A04g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDVWWVWAVDGRMVSVEGGRRVSFDEQVLLSIDVLRFPLQMVRSRSAGSEKRSACYLLSIARSRISAVAFCRPIPTTGCRSIHLSARRSMQLASCRSLNLPGSVIARNQTSACALLSKGKVLVSYSRTHVLRTV >A01p053110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30274233:30275714:-1 gene:A01p053110.1_BraROA transcript:A01p053110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETILRIVAPCWRKPSVKGDHSTRGDVNGRCDGLLWYKDSGNHVAGEFSMSVIQANNLLEDHSKLESGPVSMFDSGPQATFVGVYDGHGGPEAARFVNKHLFDNIRKFTSENHGMSASVITKAFLATEEEFLSLVRRQWQTKPQIASVGACCLVGIICSGSLYIANAGDSRVVLGRLEKAYKIVKAVQLSSEHNASYESVREELRLLHPDDPQIVVLKHKVWRVKGIIQVSRSIGDAYLKKAEFNREPLLAKFRVPETFHTPILLAEPAITVHKIHSEDKFLIFASDGLWEHMTNQEAVDIVNTGPRNGIARKLIKTALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSHLVSRSILRRPLISISGGGELAGPSSTP >A09g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9635628:9648711:-1 gene:A09g502840.1_BraROA transcript:A09g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDLPERRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFTMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINGHSSRHRVCLGLCPSLSSKLDHPRSNPCIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGVVRESEVSQRHLKVAPAGREVSRATLQGRSRFRRRIKKNRLRARSRSEAERSLQLGATLGSDTSESLAFSTKRSSKTDPERPQSEVSRATLQGRSRFCRNTTRGNDSGATFPSDTLTSLPNRSSFRPCSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERKEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHNTAHVRQGWGKITFKVNGRKYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITRNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLYPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGCLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQDYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDLPERRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFTMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEISDSKLDHPRSNPYIHEFSFPIVKKFKNPSKSLIALRLSDYLHFRCFDISQNWFDNHLYYSICLRSLENSYAQCYARLTSNVD >A03p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18549514:18551243:-1 gene:A03p044430.1_BraROA transcript:A03p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYYIDTLCIISLLSCHFITSSFAGPVPNVVSGSQKLIPTQVSSRDSKVSLSSTVKGLRLRPPSSYSLKLESFSTLMKSTYTERYDSRPFRVGKYNWTLVVYPKGNKNDSGTGYISLYVAIDSSTLTSPRQVVPPDLRFYVFNKKEKKYFTIQDSDVWQFTINKTMWGFPRVLPLSTFSNLKNGYLYDMDQCEFGVDITIPPIFEKSELFSVAKSFPNKRFTWFIQGFSTLPSDYLSEEFIIGGISWNLRVFRNGFGAHEGKNLSLYLNLGPQELLKTKPYDKIYARAMLRVPNQGQSNYIVERPLDNWFSPQNIGWGYADFMPLSDLRDSSTGFVRNDMLVVQVEMEAISTTKYFPS >A09p052240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45808598:45812456:-1 gene:A09p052240.1_BraROA transcript:A09p052240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTKGEAVKLRSHLDKFLVADDDQETIRQSRKGDARRAVWTVETVEAKPNLIRLKSSHGTYLTASNKPLLLGMTGEKVTQTHSSNKPMDWQTQWEPVRDGFSVKLKSWCGKWMRANGGTPPWRNSVTHDEPHTSKTKNWLVWDVITVDGSDLENMSNGDESSVSSPVSSHISGSDLGSEPASPVSARSMKSIDRFASLGLSSMSPRWSSKPKASSFNQKEKTSSLNQNDTVSAMEFFQKAKAIRMRNSHNKYLSADDDEETVTQDRNGSNKNARWTVEPVRDSYHVIRLKSCYGKYLTASNERFLLGATGKKVIQLKPSRLDSSVEWEPVREGSKIKLRTRNGNYLRGNGGLPPWRNSVTHDNPHLSATQDSISWEVDVVEILVNPQVTAETEFTPSPKTQPPPQKTQPPPHRRPSRTQSSLSERSEQDSVVSPPKSDGRTIYYHIADDEGHVEDESSVGYAFTFKGNSVAELTQTLREETCMEDAVVCTRSPLNGKLFPLRLQLPPNNGTLHVILVPSSASL >A08g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:37214:40132:1 gene:A08g500020.1_BraROA transcript:A08g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTFCKVVWKSSELPKSLLAKSSEHRGSRLDFLEVTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNLESKSWVFLAHCGEKVRDMLCLVHKNGKRRRMMRVRE >A07p042490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23000050:23006837:-1 gene:A07p042490.1_BraROA transcript:A07p042490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLVCRLMSKYLSSDKNGTNEQNLSFYTSACEEDPELKSFDSSLDQRLSKLKRSLTTGGKTGNNSLNAVKSVCGFLVEVNQNLAENIIANKDLLKSEDLTFLVGLYHESSTSTLDLFNTVRNCTNKAKLSIVIIQIAIQQFKKESMDTEIGGNKKKYAETLEELNNVKAMGDPFGDEFKEKLKSVRAEHLMLLGKLGELEKKLEKQQDKLKKKRKLTTIAFASVAASILAVEVCACCVVPQAALNGAVQGAAGLTQLMATGGLYANAKMKNRENDLDRQKEVVDIMFDNTKVNIQGTNTINSLVDKLINSLSLILVNVEGAVVKREEEAVKLRMEAIRDEVEAFATAIKEVGEAVATCNSCVASGKLQVLEHITNSMSSKGKKNSDKDETNEQALCLYTSACEEDPELKSFGSSLEQQFSKLKSSLAITGGKTEPLKSVKSVCGFLVEMNQNLAKEITDNKDVLKDEELRSLVDLYYESSTKTLDLFNTVGNCANKAKLSIVITRTAIQQFEKESKDTEDSGGNKKKYEDTLEELNKVKAMGDPFGDEYKNQMKSVHDEQIILLEKVHELALKLDSKKKILKRRRRLVTIVYATAAMSFVAVQICLCVVIPPLGPYVALAAGIGLSYVIGTVGVMVHAVLKNREKDLDRQKEVVNKVEDSTKINIQGTNTINSLVDKLIISLSLILVNVEGAVVKREEEAVKLRMEAIRDEVDTFATAVKEVSEAVATCSTCVASGKLQVLEHITNSMSSKGKKLHVLERITNKMSSKGKKNLSCRPYPSYTIGS >A05g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17654166:17661213:-1 gene:A05g506180.1_BraROA transcript:A05g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELDFGLMFQPHFRPACLRPFIFENKREQPNLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFILFYLASYNRPSPTPSRPSSRPIAVHPFFANHPFLPLLSQDKTMGDLNGAPTQAEINAQLMANHAELQAALATVTEQLAQIAGRDRANVPRPRRRNQPIPEEQQSQSSEDNSDTDRTEPEEPRRERAGRFTKAVQHILDQDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELGPDSREQPNLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFILNQIGHLPLHRVRLAVP >A03p066490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29386662:29389160:1 gene:A03p066490.1_BraROA transcript:A03p066490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTIFEAKAMRIFFTVFLTCLALLPDPLHAGVANTGSITPGFVGSQMNYINNNGIFLESNNSIFGFGFVTTQDSVTLFTLSIVHQASSRVIWSANRASPVSNSDKFQFEANGNVVLRREQGGGGSEVWRLDNSGKNVSRIELRDSGNLVVVSGDDGASIWESFDHPTDTLITNQAFKQGVKLTSNPSPSSNVSYTLEIRSGDMVLSVNTSLTPQVYWSMGDERGKIVNKDGVVTSSSLLGNSWRFFDENRSLLWQFVYSDDKDGNATWIAVLGNNGVISFSNLGSGASAADASTKIPNDQCATPEPCGAYYVCSGSKVCGCVSGLSRARSDCKSGMVSPCKKNENNATLGVQLVNAGDKVDYFALGFATPFSKRTSLDRCKEFCNKNCSCLGLFFQNSSGDCFLFDWIGSFKSSGNGGSGFVSYIKVATDGLGGGDDGRHFPYIVIIVLGTVFIIGMLIFAAFRIHKRKKTHFDDEESGGGKSSGPTDFYSENDLSAVRLSGPR >A10g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2239869:2241059:1 gene:A10g500740.1_BraROA transcript:A10g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRIINIPEDDITDTMLPIPEMMFAAGEEPVGVRVLTYQFSLCEFTIVTVLNSGEFPKRAKAKSKMKMNINEKPYWPELFGKAEDLRVSTTLKMLRRKTITENKCDEDEDLTHKKTKKQTLSSGHVREVDKKTDVLVRSIIPEDPDQPIVAANLVWADKVVVVKVDNLLKLITQRHLFIVEMFKRWRYQTGC >A06g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3346942:3348461:-1 gene:A06g500820.1_BraROA transcript:A06g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Undecaprenyl pyrophosphate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT1G11755) UniProtKB/TrEMBL;Acc:Q8H0V2] MDFNDPMLALSSWIGQIGDFGLGLLWRFIHIVVTLCHIVSGIFEAVESYAISLGLIQKYSSTDIEKLKCLAVVVDIEAARDVSKVVELLEWLTTIGVKQVGLFDSQGLLKKSKDMILEMVPRSVLLQETGEKDTSGIALEFISSSDNKEAVVKAANILFQKYLKSRHPGKDEEGENVFTESHLNEALRVVGESVHVPDLLLVYGPVRSHLGFPAWRLRYTEIVHMGSLKYMRYGSLLKAIHKFTGVRQNYGKGLMVITESRDSNRNF >A06g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1759076:1759542:-1 gene:A06g500410.1_BraROA transcript:A06g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKLHLRNNRLCGFSWGLVARVISQQIHGRTGVLIPVGGRQIISLAFTINVLKSASSSMRIGDLRGIKRQTAVAKGHWKKTCIAVDINRSYQVKYANRFVRTHC >A01g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26917011:26921509:-1 gene:A01g509860.1_BraROA transcript:A01g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVPDGSERDKTTPPPSSSSSSSSPIPVVTSFWKDFKKASAEDKLGMFNSLLKGYQEEVDNITKRAKFGENAFLNIYQKLYEAPDPFPALASIAEQERKLSEVESENRKMKVELEEFRTEATHLKNQQSTIRRLEERNRQLEQQMEEKIKEVVEIKQRNLAEENQKTLELLKDREQALQDQLRQAKDSVSTMQKLHELAQSQLFELRAQSDEEKATKQSELSLLMDEVERAQTRLLSLEREKGHLRSHLQTANEDTENKNSDNVDSNSMLENSLTAKEKIISELNMEIHNVETALANEREDHVAEIKKLNSLLNKKDTIIEEMKKELQERPSAKLVDDLRKKVKILQAVGYNSIEAEDWDAATTGEEMSRMESLLLDKNRKMEHEVTQLKVQLSEKTSLLEKAEAKGEELTAKVNEQQRLIQKLEDDILKGYSSKERKGALFNEWEFSEAGVAEQSEPMDQKHVPSDQDQSSMLKVICSQRDRFRARLRETEEEIRRLKEKIGFLTDELEKTKADNVKLYGKIRYVQDYNHDKVVSRGSKKYVEDVESGFSSDVESKYKKIYEDDINPFAAFSKKEREQRIKDLGIRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSALSYLSHGAEETPVTETTTNLPRGF >A02p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10811150:10812658:-1 gene:A02p022620.1_BraROA transcript:A02p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGKVVCVTGASGYIASWIVKLLLQRGYTVRATVRDPNNQKKTDHLLQLDVIYSQLIYMSQPLLLILQAELIDPAVKGTLNVLKTCVKMSSVKRVILTSSMAAVIAHASPTGPNGVVDETMFSDPSFCEQRKQWYALSKTLAEDEACKFAKANEMDLIVMNPGLVIGPILQPTLNFSVGVVVELTKGKDPFMSKSYRFVDVRDVSLAHIKALETPSANGRYIIDGPVIATLKDIEKVLREFVPDLCIGDDKNNEDIDLDLVTYKVSVEKVRSLGIEFTPTETSLRDTVLSLKEKCLL >A05g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10649457:10650634:-1 gene:A05g503730.1_BraROA transcript:A05g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLLMANSCAFSDLKSGQCSSVVEARLLRFWEARNVKCGGEIKWMDLLMVDVKATMMQVTISSSRLPQYRERLIVGTMFFVSGFDVSRCAQSFRLTESSLLIRFNENTTFEEITDHVSPLREEAFRFRNQSEMIGLAKTNTQFPGEILGVKIVSRHTAIELLTFLTLTENMTLSSVLQFTFRRPTTLSANKVNQLPV >A08p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8570576:8571571:1 gene:A08p010410.1_BraROA transcript:A08p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILESGDADVLYTSLLVIVFNNFHLLFLGFLVFFTFSFHKPIIEFLEVNGTRLYTADLWSDLVFVSFGQVFMPVAENGGADRISKQRKQQEGNRRRKYVLSVLWYRMMYGPEDAMHKRLKKLLTVAISMEQSLTATILKLTEQTAPAKETTRYPHQSSSQADAQDSGT >A02g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25876246:25876884:1 gene:A02g509700.1_BraROA transcript:A02g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRESDSDPEDLEHAEKFRQVKAVLEEGRNFSQIYRKDGENEEERPVEALMILKYSGVLTHACRKQAEELARYNFLQVSN >A03p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4263983:4265932:-1 gene:A03p010620.1_BraROA transcript:A03p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEQRRNQNTVQQQDDEETQHGPFPVEQLQAAGIASVDVKKLRDAGLCTVEGVAYTPRKDLLQIKGISDAKVDKIVEAGIASQLHAQRQEIIQITSGSRELDKVLEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPMDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSALFAGPQFKPIGGNIMAHATTTRLALRKGRAEERICKVISSPCLPEAEARFQISTEGVTDCKD >A01p016690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8245073:8247750:1 gene:A01p016690.1_BraROA transcript:A01p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPASKAAEFAIGSIGCGYDLAIDVRLKYCKESRLLDIQDGDDTREIVLPGGITIPNVSKSIKCDKGERMRFSSDVLSFQQMTEQFNQELSLAGKIPSGLFNAMFEFSGCWQKDAAYTKNLAFDGIFISFYSVALDKSQMLLLEHVKQAVPSTWDPASLARFIDTYGTHIVVGVKMGGKDVIYAKQQHSSKLQPDDLQKRLKEVADKRFIEASGVQNIASDRIHPTSKVEAKEQRLRFADTSSLGSYANKEDIVFMCKRRGGNDNRNLTHNDWLQTVQTEPDAISMSLIPITSLLNGCPGSGFLSHAINLYLRYKPPISELHQFLEFQLPRQWAPVFSELPLGPQRKQQSCASLQFSFFGPKLFVNTTPVDVGKRPITGMRLYLEGRRSNRLAIHLQHLSSLPKIFQLEDAPNTTMRHDSHDRRYYEKVNWKNYSHVCTSPVESEDDLAVVTGAQFLVESHGFKNVLFLRLSFSKVVGTMLAKTYEWDEAVGFAPKSGLISTLISHHFTAPQKQPPPRPADVNINSAIYPGGPPVPVQAPKLLRFVDTSEMTRGPQESPGYWVVSGARLLVEKGKISLKVKYSLLTAIMEDEAMEETYEG >A03g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13541140:13542958:1 gene:A03g503890.1_BraROA transcript:A03g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSAQVKDVDNISKLPNDVLLLILSRLSTEEAIRTSVVSKRWEHVWKQLSHLVFNIPRIINSTELRDSLNRVDILITKVINNHRGHLESCVINHFPYHGVTGILNTWIQSVTCVKHTKVLTLTNDFLCRNPTNKIFDIPTNSFSHPSLTSLSLSSYILRSSHPLINCSNLKTLKLVLITAPEVGVFNRVLTSCPSLEVLVLDIVCVDNKSGVPLKIENNTLKVLHVQAMHYIDGIQVSATSLDILIIEDASFGRDGFFLRSPKLQFDRNFWAPGRFVPHMSYNISQEENSIVHEEFMNNIPRPFFRSVAIMAASMSVSVDLRNQTQVERLRQVLRLWTRKMMGLEIIFKQDYNDATTTEENSESWHKKFWKDNINKDAFPSAKFRVKTVWMNNFSGSEEEFAFASCLIKQGTVVDKMMIKTSSLPPRKKLEIEAAVVKLEALQTEDERELTIHCF >A08p003700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2096042:2097974:-1 gene:A08p003700.1_BraROA transcript:A08p003700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTSPPRLMIVSDLDDTMVDHHNDPENLSLLRFSSLWEEAFRHDSLLVFSTGRTLPMYKKLRKERPMLTPDVIITSVGTEIAYGKSMVTDDSWVEIMNHKWDRGIVEEETSKFPELTLQRDCDQRPNKVSFFIDKSKAQQVTKELYQRLEKRGLEIKIIFSGGKALDVLPKGGGKGQALAYLLNKLKAEGRLPVNTLVCGDSGNDTELFTIPNVYGVMVRNSQEELLEWYAENAKDNAKIIHASERCVGGILEAIGHFELGPNLSPRDVSDLFECKEDNVNPGHEVVMFFLFYERWRRGEVENCDAYIASLKASCHPAAVFVHPSGAEKSLIDTIDELGKYHGDKKDKKFRVWTDQVLATETTHGTWMVKLDKWEQTGNERKCCTTTVRFISKENEGLVWENVQQTWSEESEMKNDSNWII >A06p052760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27858504:27860866:-1 gene:A06p052760.1_BraROA transcript:A06p052760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQMRFLTHQAFFSSVRSGDLNQLQELVEKLTGDELIDESSPSSAVSELMSVQNDAGETAVYIAAAENLQDVFSYLITFCSLEAVKIRSKSDMNAFHVAAKRGHLGIVKELLDLWPELCKICDASNTSPIYAAAVQDHLEIVNAMLDADPSCAMIVRKNGKTSLHTAGRYGLLRIVRALIERDAAIVGVKDKKGQTALHMAVKGQSVEVVEEILQADYSILNDRDRKGNTALHIATRKCRPQITSLLLTFTAIEVNAVNNQKETAMDLADKLQYSESALEINEALVEAGAKHGRFIGREDEARALKRAVSDIKHEVQSQLLQNEKTNRRVSGIAKELRKLHREAVQNTTNSITVVAVLFASIAFLAIFNLPGQYFTDGSRAGKAEISGSTGFRVFCLLNATSLFISLAVVVVQITLVAWDTRAQKKVVSVVNKLMWAACACTFGAFLAIAFAVVGKGNSWMAITVTVLGMPILVGTLASMCYFVFRQRFRSGNDSQRRIRRGSGSRSFSWSYSAHVSDFEDESDFEKIIAL >A03g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12115769:12116659:1 gene:A03g503600.1_BraROA transcript:A03g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMLEPCSQFGMVFRSGLLLVVQGVWGLCLCSPVAFRRNRVGVSGKVASFCCRFRFRSALLVQRGGESWQWCAGVFLFRLMAAGLEFSIWWWRRVKLSLGALGELVAARYNLYVCGCHMSPLCNSFSSGGSRWMSCLLVWLCGVAIRAVQALARSDQLRHSTAPLSSASSFDWDGSSFSNASV >A02g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1019078:1026287:1 gene:A02g500280.1_BraROA transcript:A02g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSKHPVLNFLKLFSQGTNKAGEIIFSPTTLPGRAQPFYVFYYNPVTKNMRKVRIHGIADTEEFWSRYGLIDVCCASFSPQHTDTTFTAMKTHHKKELLESRDEGDKSPPRNKLQLLPLDMEVEILTRLPVKSLMKFLCVSKTWSSLIRSQTFAASYYARSYETRSRFRVFVHGGAQRLLIFSGEEETFSSSLDANLDMTVPSVTLALGGSKCTSVHGFFGCCHGSNFTICNPSTGQIITFPCKGPYTSLGYDPVDDQFKALTLVPALYSNPSFIVHEVITLGGRGGRGVVSRSNVTSPTYCPMTKGLNINGFMYFGAWAPSHRTTPVFVCFDVRYERILSFITTPKSIYIEYKGKLAVIVPHPSGDPCFDCFDLWILEDVTKHEWSKQTFELPLSLPFTAGMGKRMISQGTNKAGEIIFSPATLPGRAQPFYVFYYNTDTKNMRKVRIHGAADTEEFWSRYGLTGIRCASFSPQHVDSIAFL >A09p070560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54712450:54714882:-1 gene:A09p070560.1_BraROA transcript:A09p070560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIESFVWEPTTSVFAFFLLSTFLSIFLFPYFAKSRTFGTFDHSVSSSFARFQRWFLAIYTLSSVMEGVWSVYGESELASYGVSKESMVSYLCVGYSTSLVLGPLLGVLSDLIGQKRICLLYCVLHFVVGVWKRITMSPSAWFANVCLSLAGLVHSFGFETWLVVEHEKQSQRNDSLNETFWLMTFLESASLIGGQVLANWLADGNVQSGVALSATASLFLSVVAIVCIVRTAKEPVKTLPLRDYSAAFYAYVLGDKRIWFLGTAQACLQFSTAVFWILWAPTIVADGREVNLGLIYPCFLGSRMLGSTVFPWLMSGQSFLRLEDCLVYIYAILAVVFSIVAYDYQEISTLIVLFCLFHGFTGLVLPLLARLRTMYVPNELRGGMISLSQVPANATILYCLIQRGYSGKIENSTMMALSAVSLFSASGCIYLLRRWGKSPHQDWHKL >A08g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8915136:8916546:1 gene:A08g505300.1_BraROA transcript:A08g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGNDIRYRATIQVLEIIGVAMSRDIGELSESDEGEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETSTAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRG >A01p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3797187:3798532:-1 gene:A01p007820.1_BraROA transcript:A01p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCNFPKRHNTEEKKKQEKFSILFPSSSFTILMTTPIFSVCLSGLSHKLLSTKKKKRRKIQKFNYNNNLEIVMMWWLLLCGFISHWSRREEFHTKRNKTLSPPFKPNPPFLLLFLLSTFFFFSICDSLIRDPMKEITDSVDPRIMFKHQSLLQDYHELRKETEFKMRKLEMMKQRRSNLDAEVRFIFPSHLLTFNWLCGDGYIGVALLSVFFDQKRYRFLRRRYKHLKQDQTLETSSPNLLGLSESGDVKVPSKRKSSSLRQKEELALDNKNSKRRRGDEVLTNATPLPDLNGGGSTFKVPAFDLNKISREEEEPEANGGQVVVEATKKAMLGSGNDDLRCEMKLPICRDVEKELNRAAVKRKVSWQDPVALSV >A05p039040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23922792:23926452:1 gene:A05p039040.1_BraROA transcript:A05p039040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQSSSFDFLSHASVSRRLLCPCSNEHGLILFRDRFARRRILRRKTRVQVTNASSRFVSGGDSARKVARSLVVARFSNDFEDEQESSSSSSQIQSDRSSFTNYREDPIVDKLRTQLGVIHPIPSPPINRNAIGLFAFFFFVGVVCDKLWAWRKRRRQDRQQRAGPWAQLPSPSFEKDLQRKESVEWVNMVLVKLWKVYRGGIENWLVGLLQPVIDDLKKPDYVKRVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLTLKFGIIPVVVPVGIRDFDIDGELWVKLRLIPSAPWVGAASWAFVSLPKIKFELAPFRLFNLMGIPVLSMFLTKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVSEDIKPGDMQEGNKDFVGELSVTLVNAQKLPYMFSGRTDPYVILRMGDQVIRSKKNSQTTVIGAPGQPIWNQDFQFLVSNPREQVLQIEVNDCLGFADMAIGTGEFEYSASGLILVNINPLVDLGSLPDTVPTDRIVVLQGGWSLFGKGSAGEILLRLTYKAYVEDEEDDKRNAKAMNADASDDEMSDSEEPSSFVRDKIPSDDLGPESFMNVLSALILSEEFQGIVSSEAGNKVYEGEASVPPVPSKATEDSKSQPDDSGNGGISDLEVKTPSSDRSSVDDGGLALLWFSVITSVLVLVAINMGGSSFFNP >A03p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16299041:16302375:1 gene:A03p039200.1_BraROA transcript:A03p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFIDTIPSLSYDDDKRDDKCAFVRALRMSGGDGANSYSANSLLQRRVLSMAKPVLVKNTEDMMMNLDFPSYIKVAELGCSSGQNSFVAISEIINTINVLCQQLNQNPPEIDCCLNDLPENDFNTTFKFVPFFNKELMITNKSSCFVYGAPGSFYSRLFSRNSLHFVHSCYALHFLSKVPEKHGNDKGSVYITSSSPQSTYKAYLNQFQKDLTMFLRLRSEEIVSNGRMVLTLIGRNTLDSSDPLYRDCCHFWTLLSKSLRDLVFEGHVSESKLDEFNMPFYDPNEQELEEVIRNEGSFEINDLEKHVFDLGLSNNNNEEDDYEAGYNEANCIRAVTEPMLVAHFGEDIIDVLFDRYAHHVAKHASCRNKTSVTLVVSLTKK >A06p015920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7086847:7087023:-1 gene:A06p015920.1_BraROA transcript:A06p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGADAVKINPTDGFIIGSPEKTAERVAAGMLDGTAVESALPPIEPASGGSQQLRMDH >A08p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1729422:1730502:-1 gene:A08p003040.1_BraROA transcript:A08p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATRWFKRLFSTKKSLDSDSEKEQNKQAIAVATTTATTAEAAVSAAKAAESVVTGDIITRDERWAAMKIQKVFRGSLARKALRALKGIVKLQALVRGYLVRKRAAVMLHNMQTLVRVQTVMRSKRNRRLNKEYNDMFQPRHSLAEVAAGDALKRRSKSRKKHDVASMSEHKDGFVYQRNDLELNLPKEKWKFARTPRLSSSLHSHSANNRYYVMQSPGKSVCGNATCEYGMSTPGYMEKTQSFKAKVRSHSAPHQRSERMRLSLDEVIASRSSVSGESLQQQPRYSCS >A04p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19714883:19716769:1 gene:A04p033950.1_BraROA transcript:A04p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEKKRTVVIKSQSSIKSQRLSSNLLSPASDLLPISLSSSSNHQPSLPSRPFSISSGDHMAESQTKSPGDHVSGGDQSPRSSSHVREQDRFLPIANISRIMKRGLPANGKIAKDAKEILQECVSEFISFVTSEASDKCQREKRKTINGDDLLWAMATLGFEEYIEPLKLYLTRYREGDSKGSARGGDIANAKRDGQSSQNGQFSQLAHQGSYPQGPYGNSHAQHMMVPTLGTD >A08g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11764457:11765869:1 gene:A08g506810.1_BraROA transcript:A08g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKATVALDRSVQLKAFDETKTGVKGLVEAGISEIPAIFRAPPATIKTPTPPSSSQFTIPTIDLQGGSTDSTSRRSLVEKIGDAAERWGFFQVINHGIPLDVLERMKEGVREFHELDPEVRKGFYSRDPSNKLVYSSNFDLYSSPAANWRDTLGCYTAPDPPRPEDLPAACGEVMIEYSKEVMKVGKMLFELLSEALGLNTNHLKDMDCTNSLLLLGHYYPPCPQPDLTLGLTKHSDNSFLTVLLQDNVGGLQVLHDQYWVDVPPVPGALVINVGDLLQLITNGKFISVEHRVLANGAGPRISVACFFSSYLMANPRVYGPIKELLSEENPPIYRDTTITEYSKFYRSKGFDGTSGLLYLKI >A06p008210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2828460:2833158:-1 gene:A06p008210.1_BraROA transcript:A06p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSSSSPFGSQTQSLFGQTSNSSSNNPFAPATPFGASAPFGAQTGGSIFGGTSTGVFGAPQASSPFGSTPTFGASSSPAFGNSTPAFGASPASSPFGGSSAFGQKPLGFSTPQSNPFGSTTQQSQPAFGNSTFGSSTPFGATSTPAFGASSTPSFGATSTPSFGASSTPAFGATSTPAFGASNQHTFGATNTPAFGASPTPAFGGTGTTFGNTGFGASSTPAFGASATPAFGASSTPAFGSSSTPAFGASSTPTFGASNTSSFSFGSSPAFGQSTSAFGSSAFGSTPSPFGAQGGQASTPTFGSSGFGQSPFGGQQQAGSRAVPYAPTVEAETGSGAPAGKLESISAMPAYKEKSHEELRWEDYQRGDKGGPLPAGQSAGNTGFGASPAQPNPFSPSPAFGQTPANPTNPFSSSTSTNPFAPQAPTIASSGFGTATSNFGSSPFGVTSSSNLFGSTSSTTTSVFGSSPAFGTTTSSPLFGSSPSIFGSTPVQGATPAFGNTQSSNLFSSNPSIGQTGSTFGQTGSAFGQTGSTFGQTGSTFGQFGQSSAPAFGQTNIFNKPSTGFGNLFSSSSTLTTSSNSPFGQTMAAGMTPFQSSQPGQASNGFGFNNFGQTQAANATGNAGGLGFFGQGNFGQTPAPPSSVVLQPVPVTNPFGTLPAMPQISINQSGTSPSIQYGISSMPVPFFADDEENSSSTPKADALFIPRENPRALVIRPVQQWSSRVKSTIPKDRPIAPVQENGKSSEIATDAANHVKNDNGEVGSAEERTRPSVNGNQKSNGATSTDHAGEIDRPSRILGGHRAGKAAVVYENGADIEALLPKLRQSDYFTEPSIRELAAKERADPGYCRRVRDFVVGRQGYGSIKFMGETDVRRLDLESLVQFNNREVIVYLDESKKPAVGQGLNKPAEVTLLNIKCIDKKTGKQFTEGERVEKYKMMLKRKAEAQGAEFVSFDPVKGEWRFRVDHFSSYKLDDEDDDEA >A09p063680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51621143:51624068:-1 gene:A09p063680.1_BraROA transcript:A09p063680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWRRKKEKGKARKCFLKNGSMLLQKLMADCNGISNPIRLFSSDQISKATSHFDPEPFFQDSEFIGFNGVIEGRSYTIKINTGEEDQSGYNDIVLSARVSNHSGFLKLIGCCLEYPLLVLVFEDLDCRVLNHRGTVGAPLLPWNVRLKIAKEVAIAVTYLHTAFPRIIIHRNIKTTNVFLDKNGTAKLTDLSHAVTLPEGKSWIEEPVLGTYGYLDPNYYTTSLVTEYTDVFSFGILMLVLLMGRPPFLDEPDGSSVHDRRFIGYVRDLHEKGEPVEFGGDSNDMRPAQMKMFLELALRCCDWRSVDKPNMVMVAKQIKLIEKGSFDCSEMLENDEDHEVLEKQEEREEETVILKATNGFDPSRCATSDLYYTWFTGNIEDRSYMYPEEKVRGDGDCIRAVYNDIFISFRVPITSTS >A01p045370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25647635:25651049:-1 gene:A01p045370.1_BraROA transcript:A01p045370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITFRFNETDRAAFTINVPSGGGFTVNFKPVGQLVPPAGGRLNNNGSHVHPQASGGFAINVNPIGLPVPPANGGLNVNGVHVHPPSAGGVKEKCESENPKHSDPSLKMANPRVFFDMTVGGKAAGRIVMELFADTTPRTAENFRALCTGEKGIGKFGKPLHYKGTIFHKVFPNYTLCGGDIIGGGEEPGGECIYGSRFFDDENFIKTHSGPGILTMWNCRENTNGSQFMICLRKIVEFDQECVAFGQVVEGLDVIQNIEKEVGRPDLSGVPNKLVVIADCAAVTMSGGKFTVNFNPVGPLVPPAGSRLNDNGSHVHPQASGGFTINVNPLGQFVPLAGGGLNVTRPMFILLRVALKRDMDLKILNSLIHL >A09p063210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51401971:51415358:-1 gene:A09p063210.1_BraROA transcript:A09p063210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSESRILYLSPMLLILLSLLMASFLDTTAAQIGVCYGMSGDPRPSPSDVVALYKRRNIQRMRLYAPDADALNALRNSDIELILDVPKTDLERVASSQAEADAWVQNNVKNYDGVRFRYITVGNEVKPSESAGSILFQAMQNIDNAVSGAGLAIKVSTSIDMGATTDTYPPSNGRFTDEYKNFLQPVIEFLVSKQSPLLLNNYPYFSYKDNMNSIPLEYALFKPTPVVNDDPYSYTNLFDANLDAVYAALEKSGGGSLEIVVSESGWPTEGGAGTSVENAMTYVNNLIQHVKGGTPRKPGKAIETYIFAMFDENQKGPPELEKFWGMFLPSQQPKYDVFQIWKTSGTTYLLVVWKSSGSHSGSLLTKSKSSGLLGSRLDFSERFVDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAILIVSLSPMLLVLLCLLMASFFDTTAGQIGVCYGMLGDPRPNPSDVVALYRQRNIQRMRLNAPDPEALNALRNSDIELILDVPKTDLDRVASSQAEADTWVRDNVKNYDGVRFRYITVGNEVKPAEPAGMILFQAMQNIDNAVSGAGLGIKVSTAIDMGATMNTYPPSQGTFTDEYKNFLQPVIDFLVSKQSPLLLNNYPYFSYKDNMDTIPLEYALFTSPSSLVNDDQYAYQNLFDANLDAVYAALEKSGGGSLEIVVSESGWPTEGGPGTSVQNAMTYVNNLIQHVTTTGTPRKRKKPIETYIFAMFDENKKGPPELEKFWGMFLPTAQIGVCYGRLGNPRPSPSDVVALYKHRNIQRMRIYDPDHDTLNALRNSNIELILDVPKADLERIASSQAEADTWIRNNVKNYEGVRFRYITVGNEVEPSEPAARALFQAMKNIDNAVSRAGLGIKVSTAIDMGATMDTYPPSHGRFRDDYINFLQPVIGFLVSKQSPLLLNSYPYFSYKDDMKDIPLEYALFKPTPPVIDGQYSYHNLFDAQLDAVYAALEKSGGGSLEVVVSESGWPTQGGAGTSVENAMTYVNNLIQHVKSGTPRKPGKAIEAYIFAMFDENQKGPLELEKFWGMFLPNQQPKYNVNFN >A03p000960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:542742:546140:1 gene:A03p000960.1_BraROA transcript:A03p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLSSNPVVSEERPAETSTDLTETTTEAPEKKVRKAYTISKSRQSWTEGEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVEKNGTFAHVPPPRPKRKAAHPYPQKAAKSAQMSLHVSMSFPPEISNLSGYGSWDDDTSALLSIAVSEVILPKDEIDTLFVIELNGSTSAVSPSASGIGSSSRTLPDSEGLTPVNQAPSMQGLPDFAEVYNFIGSVFDPDSKGRMKKLKEMDPINFETVLLLMRNLTVNMSNPDFEPSSDAAEEGISFQVMSQAFLLNDNREIELNPFSLTITGNLDRIVIHRRRSINRKEKSTVSPAARMLQHQIAQSPARLGLTTGPGSPSVQNLTPSRHGHPTSSSSSQSHHQQILTHLRSRYGASRDPRSQKKKKKKKKRKEILDLQDAKQKVAREVKSKDSSLLSFANKLKEAERVLALRPLASTSPRRVAASVASQLYTFADLDIGLPKTVENIEKKVEALIEPPPPESMMNLSAIQNLLPPNIEVPSGWKPGMPVELPKDWPMPPPGWKPGDPVVIPPAPRAQEQQQMLRPPPGLHRPPDVIQVRAVQLDILESDDSSDYSSDDASSDDGE >A09p009370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4833970:4835328:-1 gene:A09p009370.1_BraROA transcript:A09p009370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVVISETIVQPESYEDGSDRVKIHLTPFDLFFLRTEYAQRALIFPQPDPETNIISQLKSSLSIALKIFYPLAGRLVKTHNEDDETVSFFIDCNGSGVRFVHASAVTVSVNDVLDPANAAVPGFWNAFFPLNGVESWEGVSESLVAFQVTELKDGVFIGYGNNHMVADGISMWSFFRTLTEICCSAGGQKMFPPLPLREWFLDGIDYPIRVPISETIFAKVVSPSSSPPDLRKKIFRFTSRSISELKEKANDEVVGFDDDEDTQISSFQAVMAHMWRSITKNSDLNPQEVVHCKLAMDIRQRLNPPPEKECFGSMVGLATATTTAGEMLNNGLGWVALQLNKTVRSQTNENWVKTPNIPNGLVVNNSLVVASSPRFNVFGNDFGWGKPIAVRPGPGIAGHGKILVYPGTEQGSMEVHTSLWSHVLEKLLAEGEFLQHVVRLY >A02p050270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31073235:31073798:-1 gene:A02p050270.1_BraROA transcript:A02p050270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESSKTKALALPLHILGFEIDELSTTRVTGRLPVSQICCQPFKVLHGGVSALIAESLASIGAYMATDLKRVAGIQLSINHLKTADLGDTVFAEASPVSSGKTIQVWEVKLWKSRKDSENKTLISSSRVTLLCNLPTPGHAKNVSDPLKMISKL >A02p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5982599:5985986:-1 gene:A02p013740.1_BraROA transcript:A02p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSVWPWESYGNLKYLLYAPLAAQVVYSWTYEQDYSRALWCLHILIICGLKGLVHVLWSVYNNMLWVTRTLRINPNGVDSKQIDHEWHWDNYILLQAIIASMICYMSPSLMMMNSIPLWNTKGLIALIVIHVTFSEPLYYYLHRSFHRNNYFFTHFHSFHHSSPVPHPMTAGNATLLENLILCVVAGVPLIGSCLLGVGSISLIYGYAIMFDFLRCLGHCNVEIFSHKLFETLPILRYLIYTPTYHTLHHQEMGTNFCLFMPLFDVLGNTLNSNSWELQKKIRLASGERKRAPEFVFLAHGVDVMSAMHAPFVFRSFASMPYTTRLFLLPMWPFTFMVMLGMWVWSKAFLFSFYTLRNNLCQTWGVPRFGFQYFLPFATQGINDQIEAAILRADKIGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRGVRVLMLTMSIERFQKIQKEAPAEFQNYLVQVTKYNAAQNCKTWIVGKWLTPREQSWAPAGTHFHQFVVPPILKFRRNCTYGDLAAMRLPKDVQGLGTCEYTMERGVVHACHAGGVVHMLEGWKHHEVGAIDVDRIDLVWEAAMRHGLSSVSSFTN >A05p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2410374:2411635:-1 gene:A05p006100.1_BraROA transcript:A05p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGRSGITMGGGGSSSRSELLGGATDRKRINEALDKHLKKSLDKDSFPSTSTNKSHLQEAAVESEGSDVSGSEGEDEDTSWISWFCNLRGNEFFCEVDEDYVQDDFNLCGLSGLVPYYDYALDLILDIESSNGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMVLLKKCPRVFCSGQSCLPVGQSDIPRSSTVKIYCPKCEDLYYPRSKYQGNIDGAYFGTTFPHLFLMAHGNMKPQKPSQSYVPKIFGFKVHKKQ >A04p030800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18252979:18254287:1 gene:A04p030800.1_BraROA transcript:A04p030800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECRDDELFATAGVSRCIKVFDFSSVVNEPADIQCPIVEMSTRSKLTSSDYEGIVTVWDVTTRQVKVWCTRQEESVLNIDMKANICCVKYNPGSGNFIAVGSADHHIYHYDLRNISQPLHVFSGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNLPVRTFRGHTNEKNFVGLTVNSEYLACGSETNEVYVYHKEITRPVTSHRFGTPEMDNAEEEVGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >A05p018180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8301968:8302345:1 gene:A05p018180.1_BraROA transcript:A05p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDLQEMILELEKKKRKQAALDMETFARAHEETRKQTNTTKEKLYEENVEELQKEESLPLSKTSTIKSDALIVTRLEKSNHETKNDLGGRQIPKVLKKTSSRTFRKIWSCQIHITEGIMIRDYG >A02g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13105106:13111173:-1 gene:A02g503990.1_BraROA transcript:A02g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIFLISLLLLSLLSAVVSDDASFQKLPVPGKRSGPESFAFDSTGKAFYTGVSGGKILKYTPDKGFVDFAQITKTSTSSWCKGVFGTALAKKCGRPAGIAFNPKTGDLYVADAPLGLHVIHPAGGVATKIADSVDGKPFKFLDGLDVDPTTGVVYFTSFSSKFSPSEVFIAVGVKDASGKLFKYDPATKAVTVLMEDLSGAAGCAVSSDGSFVLVSEFIKSNIKRYWIKGPKAGSTDDIFSSSVSNPDNIRRIGSTGNFWVASVINKVVMPTDPSAVKIDSNGKVLQTIFLKNEFGNTLLSEANEVDGKLYIGTLTGPFAGMLRPSKNFRCREKGQALKLSPLIPPEKVSTPEFPAVKSLNIYRGRVTSILAISQKSQWCDGALGIAYMSKCGRPAGIAFNNKTGELYVADAPLGLHVIPSGGGYAKKIADSADGKPFLFLDGLDVDPTTGVVYFTSFSSKFSPGDVLKAVASKDASGKLFKYDPSKRVVTVLLEGLSGPAGCAVSSDGSFVLVSQFTKSNIKRYWIKGSKAGTSEDFTNAVSNPDNIKRIGSSGNFWVASVVNTATGPTNPSAVKINSDGRVLQTISVKDKFGDTLVSEVNEFEGRLYVGTLSGPFAGIIDL >A02p006750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2879862:2881098:1 gene:A02p006750.1_BraROA transcript:A02p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFTVVIVLLTIVCHQLMSTSAATNAENGWVDAHATFYGGRKGEETMQGACGYGSLFEQSYGLATAALSTALFNNGTTCGACYEIICVNAPQSCIKGARPIRVTATNWCPPNYRDGSWCNPPRKHFDLSLPIFLKIAKYKAGIVPVKYRRVMCPKKSGVKFQLAGNPYFLMVTVFNVGRVGVVVEVKVKGSKTGWIQMTRNWGQVWDTNTVLTGQSLSFLVATSDRKRLKFNNVAPSNWQFDKTYDGKINF >A04p032090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18751749:18752877:-1 gene:A04p032090.1_BraROA transcript:A04p032090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKYGAVVLPVFALAGVYVAWEYIYHRLWRKNNENTDIPDSKNSIRKSLVEKRRDDNVKNTSKEASSRRSGRAQNTLSRSVSMGAIRGGKLALKRLLDLHSYSADTSSLANAEIEFESLLSKENPDFELLQRDIVKMETSGKEAKGVEILKKALEKARKEERGHEAYEIEMLLVEMLIYLGNIEEALKCKCLEDEVITDARRPFYQASHIAIIQYLSGHPEKQVEETFNRFREIQIGLQWPGSSSEECETREVTLDEFKKVLESLKQKIQDSTNIKIVNSTPLDKQH >A01p048850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27475239:27475840:-1 gene:A01p048850.1_BraROA transcript:A01p048850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGPVKHVLLAKFKDDVTPEKIDELIKGYANLVNLIEPMKAFHWGKDVSIENLHQGFTHIFESTFDSKEAVAEYVAHPIHVEFANMFLGSLDKVLVIDYKPTSV >A05p002020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:592293:595068:1 gene:A05p002020.1_BraROA transcript:A05p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 32 [Source:Projected from Arabidopsis thaliana (AT2G46920) UniProtKB/Swiss-Prot;Acc:Q8RWN7] MGNGTSRVVGCFVPSNDKNGVDSEFLEPLDEGLGHSFCYVRPSIFESPDITPSNSERFTIDSSTLDSETLSGSFRNEVVLDDPSFLNRHNSKGLGETTFKAISGASVSANVSTARTGNQTALCSSDVLEPAATFESTSSFASIPLQPLPRGGSGPLNGFMSGPLERGFASGPLDRNNGFMSGPIEKGVMSGPLDVSDKSNFSAPLSFRRRKPRFQRFMRSVSGPMRSTLARTFSRRSGGGLSWMQRFFLHPETRVSWPGGKEGKLHGEDHPESCLESNRHLQWAHGRAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFVMSHLYKAIDKELEGLLWVYDEPSEDNPLQPDQEPHSTTPGNLLAPETISEQQSKSVQEGSEEVMTDNNSSLENADGPPGNLAGPGKKSTRLYELLQLERWDGEEVGLKHSVSDMTNQVEDASTSGGNDQCTNENTVLDEIPNSGQLHGTKKSQISSKIRRMYQKQKSLRKKLFPWSYDWHREEGTTCVEEKIVESSGPIRRRWSGTVDHDDVLRAMARALESTEEAYMEMVEKSLDINPELALMGSCVLVMLMKDQDVYVMNVGDSRAILAQERLHDRHSNPGFGVEEGMGHKSRSRESLVRMELDRISEESPIHNLTTQISVSNKNRDVTSYRLKMRAVQLSSDHSTSVEEFRELDPNIQKMSSPYSKTESKASSKSLELSVLDFSKSNEEIVAHVTWFIENVPDGDPAQYLIAELLSRAATKNGMEFHDLLDIPQGDRRKYHDDVSVMVVSLEGRIWRSSGQYYPERKPKFNR >A03p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4868662:4870610:1 gene:A03p012240.1_BraROA transcript:A03p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEKQNNSSKPPPTPSPLRSSKFCQPNMRILISGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKENLKKWIGHPRFELIRHDVTEPLLIEVDRIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLIRLMEGDDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLNVPKN >A06g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20030914:20031196:1 gene:A06g507240.1_BraROA transcript:A06g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKEVKSQRNRRSDMIRHQRQLEMRIRKSDGDLEERETVRKKHEKARRLKQHARRNQKRKKRIQR >A09p083380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60022026:60023732:-1 gene:A09p083380.1_BraROA transcript:A09p083380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLKMGTEVENLWVFALASKFNLFMQDHFVYFLVAIAISWFTLSIVFWSVPGGPAWGRYFFTRRFTPYFNRKNKNVIPGPRGFPLVGSMSLRSSHVAHQRIADVAAMNNAKRLMAFSLGDTKVIVTCHPEVAKEILNSSVFADRPVDETAYGLMFNRAMGFAPNGTYWRMLRRLGSNHLFNPKQIKRSEEQWRVIATRMVNAFTSNAGNVFGVRDLLKTASLCNMMGLVFGKEYELETNNNVESEYLKGLVEEGYDLLGTLNWTDHLPWLAGLDFQQIRFRCSQLVPKVNQLLSRIIHEHRTAACNFLDVLHSLQGSEKLSESDMVAVLWEMIFRGTDTVAVLIEWVLARIVMHPKIQSTVHEELDRVVGRSRAVDESDLPSLTYLTAMIKEVLRLHPPGPLLSWARLSIADTTVDGYHVPAGTTAMVNMWAIARDPHVWENPLEFKPERFVAKEGEAEFSVFGSDLRLAPFGSGKRVCPGKNLGLTTVTFWVATLLHEFEWLPSVNANPPDLSEVLRLSCEMACPLVVDVRPRRKTT >A05p006640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2672153:2674779:1 gene:A05p006640.1_BraROA transcript:A05p006640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVSLSLILSFSFLFLSHSQSSPSELDILLDIKSSLDPQKRFLTSWTPDSDPCSPGSFDGVACDGNRRVANISLQGMGLTGTIPPSIGLLTSLTGLYLHFNSLTGQIPKDISNLPFLADLYLNVNNLSGEIPPQIGDLDNLQVLQLCYNKLSGSIPTQLGSLNKITVLALQYNQLSGAIPASLGDIRTLTRLDLSFNDLFGPVPVKLAGAPLLEVLDIRNNSFSGFVPSGLKRLNNGFHHTNNHGLCGDGFTDLKPCTGSNEPNLNRPDPTNPKTFRTTDDVKPESADLQRSNCSNNNGGCLSKASKSAPLGVVMGLIGSLLAVAVFAGSTFTWYRRRKQRIGSSLDAMDSRVSTEYNFKEPSRRKSSSPLISLEYSRGWDPLGRGQNSNNNSALSQEVFDSFMFNLEEIERATQSFSEVNLLGKSNVSSVYKGTLRDGSVAAIKCIAKSSCKSDESEFLRGLKMLTLLKHENLVRLRGFCCSKGRGECFLIYEFVPNGNLLQYLDVKDESGDVLEWTTRVTIINGIARGIVYLHGENGNKPAIVHQNLSAEKILIDHWHNPSLADSGLQKLFTDDIVFSKLKASAAMGYLAPEYITTGRFTDKSDVYAFGMILLQILSGKSKISHLMILQAVESGRLNEDFIDPNLRKKFPETEAAQLARLGLLCTHESSNQRPSMEDVVKELNKLS >A02p012030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5255494:5256619:1 gene:A02p012030.1_BraROA transcript:A02p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSPAEDCVPKFFRVYMPGISGDDLELPVCFNSFLPKPLPKNVTVKSIYGKIWRMALRRCGGDAERYMLLNGWKRIAKDESLTTGNVLEFQLDDDGSLCFSFSIYEPLTMCKRLGTTTSVQETEDADNVLVLSDDDDDDDDFQDSDYSCADDNDASAEDDDHGVAAGDDDVALDGSDDDEANHDDERRYLDDRSNAFFRVKINPKKISQLRIPSKVINDYGLSFSEAESINIIDPLVKKFGKLTKKIKVQTNGSVFIKGYGAIYRRNGVRSTDKMICELKKAGNKNVVHTIKFHVIK >A09p066870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52900814:52910567:1 gene:A09p066870.1_BraROA transcript:A09p066870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPLLPGSCPSSQRIVYLKLAGKLLLVVSPSHLELWGSSQQRVRLGKYIRDEKSVREEGENLQAVWSPDSKLIAVLTSSFFLHIYKVKFMDKRIKTGERQPSELCFASISLLLSEQVPFAGEDLSVSNFVRDGKTMLLGLSDGCLYSISWKGEFGGAFTIGSQRSDSNDDRLLSYPVGNGLVSGVDSAALASDDKISTKSAIAQLELCTLSKLLFVLDSDGTLVVCSVNKKGLKYTESIKAEKRLAGDAVCASMASEQQILAVGTRKGAVELYDLSQSVSLLRTVSLHDWGYSADYTGPVNSIAWTPDNSAFAVGWKSRGLAVWSVSGCRLMSTVRQIGLSSASSPKINPNQDSRYEPLMNGTSAIQWDEYGYRLFATEEASCDRILAFSFGKCCLNRGVSGKTYIRQVMYGEDRLLMVQAEDTDDLKLLHLKLPVSYITQNWPVQHVAASEDGQYLAIAGLHGLILYDVRFKKWRVFGDVSQEQQIHCKGLLWLGKIVVICNYIESSETYELLFYPRYHLDQSSLLCRKVLLGKPMVMDVYQDYVLVSYLPFIIHVYHVKLYGELTPSSKAYLELSTVRELSIMTAKSHPAAMRFVPDQHPRESDVDNDHLSSDLSDREPSRCLILRGNGELSLLDLVDGRERELTDSVELFWVTCGQSEEKTNLVEEVWYPSLGDDPFIQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSASAEFPCFEPTPQAQTILHCLLRHLLQRDKNEEALLLAQLSAEKPHFSHCLEWLLFTVFEADISRPNANRSQISGPGHLKKLSLLRKACDLIKHFPEYYDVVVNVARKTDARHWADLFSAAGISTTLFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYDLAGELVRFLLRSGREIEQAPTEADTLSSPKLLGFLIFGSSHKKSSLDKSSSFKEQSPHVASVKSILESHASYLMSGKELSKLVAFVKGTQFDIVDFLQRERYGCAQLENFAAGLELIGQKLQMSELQNRLDAEFLLAQMCSVKFKEWIVVLATLLQRSEVLYDIFRSDLRLWKAYSMTLQSHLGFAQYHDLLQILEEKLSATAGEGSSRDTKEAMKNILSCIVTIITLSFLTTNAQGRKVSQSYETYEYTAITCRSHSASITDFGGVGDGKTLNTKAFQSAVDHLSQYSSDGGAQLFVPAGKWLTGSFSLTSHFTLFLHKDATLLAAQDLEEYLVLKALPSYGRGRDAAGGRFASLVFGTNLSDVIITGNNGTIDGQGSFWWQKFHGGKLKYTRPYLIELMFSDTIQISNITLIDSPSWNIHPVYSSNIIVKGVTIIAPVKSPNTDGINPDSCTNTRIEDCYIISGDDCVAVKSGWDEYGISFGMPTKHLIIRRLTCISPYSAAIALGSEMSGGIEDVRAEEITAYQTESGVRIKTAVGRGAFVRNVYVRGMRLHTMKWVFWMTGNYKAHADSHYDPHALPEITGINYRDIVAENVSMAGRLEGISGDPFKGICISNATISMAVKHKKVMWMCSDVEGVTSGVDPKPCDLLDGKQEKMDGGCEFPSDVLEIDNVELKSCSYQMN >A10p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21887593:21889960:1 gene:A10p039600.1_BraROA transcript:A10p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANVDGKCKMMSACSSAKLFLFMVPLVVVSGFLFVNPTSFLTSLSTNQISPPLPSYPSLPPAAPSPSPPTSSLSTNAESIQGNYNRTIQLNPTNIASTSSNVTSTASLKLMKKRVISNLEKIEFELQKARVAIKAASMNDPLDDPDYAPLGPMYWNAKAFHRSYLEMEKQFKIFVYKEGEPPLFQDGPCKSIYSMEGNFIYEMETNTRFRTNNPEKAHAFYLPLSVVKMVRYVYERDSHDFSPIRKTVRDYIDLVGDKYPYWNRSIGADHFILSCHDWGPEASFSHPHLGQNSIRALCNANTSERFKPRKDVSIPEINLLTGSLKGLVGGPSPSSRPILAFFAGGVHGPVRPVLLQHWENKDSDIRVHKYLPKGTSYSDMMRNSKFCICPSGYEVASPRIVEALYSGCVPVLINSGYVPPFSDVLNWASFSVIVSVEDIPNLKTILTSISPRQYLRMYRRVLKVRRHFEVNSPAKRFDVFHMILHSIWVRRLNVRIREV >A04p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15529905:15532004:-1 gene:A04p025650.1_BraROA transcript:A04p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ domain-containing protein IQM4 [Source:Projected from Arabidopsis thaliana (AT2G26190) UniProtKB/Swiss-Prot;Acc:O64851] RKIRLSTFDIDLDSTACVQVMGLSLSLLVSACKEVLTNQFFSFKNPVESFLGVRSFGLTSRTNSFKSEAPANSPKAAGMERSLSFNSWEIPTEAKTEATTNQADDQVVDVKKPKRNSLNGRTCERIQITKPTITPPEPFVFFSPRPLAELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWKTLDSAALKLSSIDPRHRYGHNLHFYYDVWSASMSAQPFFYWLDVGHGKDVNLEHHPRNVLQKQCIKYLGPMEREAYEVIVEDGRLMYKQNMTLISSTEESKTIFVLSTTRTLYVGQKKKGVFQHSSFLSGGATTAAGRLVARDGVLEAIWPYSGHYLPTEDNFKEFISFLEENNVDLTNVKRCTVNEEYSSFKYEEETKEEEAENNKPVETNITEDKEEEEKETQRPVFELSKRLSCKWNSGVGPRIGCVRDYPMELQSQAFEQVSLSPRISPASARFPSPYGPIPSPRPSPRVRLSPRLAYMGIPSPRVQVNC >A08p019440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13255980:13257748:-1 gene:A08p019440.1_BraROA transcript:A08p019440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSKRKTPPGILLLRRVRGRNWSPKTFRYAILLITFIAYACYHASRKPSSIVKSVLHPEPSTKPPQQEHINMRPWPLGNVFVKEEETDVNRRGSANKGWEPFNGKGGTSRLGEIDVAFLACYSIGMYVAGHLGDSLDLRLFLTWGMIGSGFFVGLFGMSYFWGIHAFWFFLVMQMAAGLFQATGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNICGSLIAAGVLQYGWGWSFIAPGLVMSLGGVLVYLFLAAYPEDVGFPDINSNSGKFIKRRRDIEEEVEEEVDVEDEGESSGSGSGQGYENKRSVGLLQACMIPGVIPFAMCLFFSKLVAYTFLYWLPFYLSQTTIGGEYMSVKTAGNLSTLFDVGGIVGGILAGYISDKFKARATTAATFMYAAIPAMLVYHSYGGVSQTVNVVLMMVAGLFVNGPYALITTAVSADLGTHKSLQGDSRALATVTAIIDGTGSAGAALGPLLTGFLSTLGWEAVFYMLVVGALCAGLLLTRLVIAEIREKLGYVDEVTACEPLLNERR >A07p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20189142:20191661:1 gene:A07p037790.1_BraROA transcript:A07p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNKQKKNQNAKGNRILISVTVLGSAGPIRFVAFEEDLVASVIDTALKCYAREGRLPLLGSDFNDFLLYCPMVGPEALSAWSAIGSLGARNFTLCRKPEEKKVVKEGEGEGRSNSINGARKGGSLKAWINKSFNLKVPSSHVPEPVNKTSVDWINQRPIEALGAFALWAFDCGKKGAQHTSSKSQVAVFVALAMVLRRKPDALSNVLPTCPTLREKPEYEGQDKLPVIVWMMAQVVIGNPVLAKEATSLAVTENIDCCKHWENVYKEILDASEWKEHSVKISSSPSNALTLNGTMKSFRLKNEDAITEGTANGSLHREADKSCKVISGRLFRGSVCLKGRAIIFVVLAAAAVLYSNHEATTELKNLVDSQQKSFFLCS >A10g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7802135:7803087:1 gene:A10g502860.1_BraROA transcript:A10g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSPLSIGQTLSSPGGFYELGFFTPNNTRNLYVGIWFKKIVPRVVVWVANRDTLVTNSPANPNISINGSLILLDGEQDAFTSNKCHAELQDTENLVVIDDVSRTTIWQSFKNIGDTMLPHSSLMYDLSHVKKRVLTSWKSNSDPSPGSLSLEITPLFEETYVSPFTVVQDLATSTGSVSYYMLRNFNLSYNTLTSEGEMKIYWDQGKKWMHHLTEPEHPCDLYGTCGPFGLCVRSSTPKCICMKGFVPKSDEEWRRRNWTSGCVRRKQLSFQANSQAKETDGFYRVTNEKTPDMH >A02g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15816316:15822665:-1 gene:A02g505040.1_BraROA transcript:A02g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVHIGLTVQGRTRPYGPYTSRQVKPRPRPFEDKRGAALQAGTAGKARASLSKILDAPSMIITVGVKNGYDGITTRKSSEIKYSLGFKPNDRPARSLRSNQARAKARSLRSDRVIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSVATDRAIVPIGHYKATELSQARSLRSDRARAKARSLRSDRAIVPLGRYVATELKPMLATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRPIPCCNVHTQIRNKIYFALFSISYFYRCYSRFPYLKGNRQCEFRFPQFGARRRGIRINLTRKSHTESDMSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIHGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNYESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAISSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEITSDEGKSSVNANASDIEARHISEAHATTQPEHPENSVDPATIDTNYIRDDNSGRGFKATNGQSPKYKYVEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTQPTLHHAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A09g510150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29557875:29560370:1 gene:A09g510150.1_BraROA transcript:A09g510150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKEKPLQEMTANEGQAWSLRSEERAVQGNHTRCEIGAVCGSRSGLEKVCEVKGTRSSLKRGAEELHQLVGKLKYLWKELGVLRTRASDPEMIQKRKEQDVVLSLLVSLNSSYGQLIMLVIKGEEQADMDGLCDLIQAAYEVHEKNKKRIKRRNGIKCKRASLRRLSNIWIRGRKTRMKRRQLEVELIVIKEIQQLMVMGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELIKHVGNGSESGEQEHNQEDSGQHDQGETQEVENVAQSSGDEQGESTGIEESEAPTGLREEAQEN >A09p037960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000243.1:2080:2521:1 gene:A09p037960.1_BraROA transcript:A09p037960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLSNVLSVGFWPTASHCFRTNTISGLAKAGCLVAFSLTLFVPGLVISGSYAYDPTKTEVLAKDDA >A10p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22479574:22482322:-1 gene:A10p040910.1_BraROA transcript:A10p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVPVNTSSSSQLPAATTRRRVADSQEDHCNVSTVGGGGNAVVYVPDEEEEATSCSSLSEGGGGGISSCCPSGSHNNYLVGFLSLRKVRLVWMLMVDNKSQWTAKNMRSASNLGRVILSLLGILVVTFFLIVAFSGGRRQHVEEKHEFVFSIHPRNSIEKIIREEESSSSSIQLLLPRRKPIPEIWNQPDTGNYHKCLTRPKNQRPIKQTNGYLVVHANGGLNQMRTGVKDVNIVEYLPQELASIKPLEKNPVSWSKASYYRNSISKLLKKHKVIVFNHTDSRLANNSPPPSIQRLRCRANYEALRYSPEIENLSKVLTSRLRQNNEPYLALHLRYEKDMLAFTGCNHSLTSEESIALEKMRYSIPHWKEKVINGTERRLEGNCPMTPREAAVFLKAMGFPSSTKIYIVAGEIYGENSMTAFHQEFPNVFSHSTLATEEELSTIKPYQNRLAALDYNVALESDVFAYTYDGNMAKAVQGHRRFEGFRKTINPDRQRLVKLIDRLDAGLMSWEDFSSKVKRLHGKRIGAPYVRRAGLSPKLEENFYANPLPGCLCDTSSDEPRQPRGMNRLERSSLRAQSLR >A09p063420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51509117:51509850:-1 gene:A09p063420.1_BraROA transcript:A09p063420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVDDCKQIDFLPFTCDRCTQVFCLDHRSYNNHNCPKGNRGDVTVVICPLCAKGVRLNPDEDPNITWEKHVSSNCDPSSYEKTVKKKKCPVPRCRELLTFSNTIRCRDCSVEHCLKHRFGPDHGCAGPKKPESRWSSLLASAEASISRLGTDLSQKLQFANGNSEKMPEKNGKVTVDVCPKCSRGFRDPVDLLKHIDKDHHGTSKA >A02p027570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13386649:13388727:-1 gene:A02p027570.1_BraROA transcript:A02p027570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IQ-DOMAIN 31 [Source:Projected from Arabidopsis thaliana (AT1G74690) UniProtKB/Swiss-Prot;Acc:Q8L4D8] MGKPAKWFKNVLLGKKSSKSSNGSKGYERVVSGNELVVTAKVEESDVVSQHVEPEEISDDEIHLPESKPADSQNAAPVQDKSLSDAERIQQEIAATLVQAAFRGYLARCAFWALKGIIRLQALIRGHLVRRQAVSTLCCVMGMVKLQALARGKEIRNSHIGVQVARKCRLKMLQENKVVNSTDAYLGIKKLTANAFALKLLASSPKVMPVHIPYDSSNPNSSSIWLESWSASCFWKPVPQPKKTIGRRVTEAETAKPKKSASVQTSFEFGKHKRSFRKVPSQSVEPPAIEDPQVELEKVKRGLRKVHNPVVESSIRPQPVPHIEVEETKHETIEEAVKVFEENKKQEVPEQPDEVEVHTPGPLETNEALDSSLVNQIGEAKDATEEKTTKPNSKESSAGKENQKSRRKGSATNKTEREESNGHHHQTSPSIPSYMQATKSAKAKLRLQGSPKSAEQDGTEKANVPRRHSLPSSGNGRMTSSSPRTTRLASSGDKTGNKKEKPLLSSAKTTPVERKR >A06g504270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:13407145:13407690:1 gene:A06g504270.1_BraROA transcript:A06g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQTFRTTPSRVNDIDPTRSHSGTETLPAGPTGADGAIRTTKTQRAPPSGTSSQDRFSPTDRTLLPDPTSMPERVGARLWNRPGKRQSTDDLTRTTRPIYPTPLAQTREEVTKLQGMVSSLIVETRNQKITYRTITNQLDQVKRELAQHRANVRERNQTPPDPLRGMSNPQTTGLFSTP >A01g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21850425:21851514:1 gene:A01g507720.1_BraROA transcript:A01g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPAMNRHTATRSDSYGKEPKVIIATSVNPKIVGGDLLTDQESFNNAKQWLNQIGRYTSDNVNKLLVGNNCDLTSQKVVYAETTKMNMRSHSWRHVPRMLPMSKNATVFVTFDGEMTKLISVHAAEVPQIIVLTKKQM >A08g501810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4364400:4365197:1 gene:A08g501810.1_BraROA transcript:A08g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLSNSKVKLPAVKAVMIAHPEAEWFWRIDSYESFIVVKFMASLHYRYQNLVVYGWPYVIQSWIVVNTNVFHIINCQWSMEVIGTWKSMGPASLEYAKRGSIQKTTFKDKLFPKSDDLIVHFGEIYGDNIEWETLEWNVVIDDKKRDGDTSNVWCYWFQNERISMPWIVTTKHVISCSGSVWDGLRCNGWIASNDLLREKRHLLIRTESHKKHLTRPRTKWKKASESAYPTNTLGSIVLLLSLLKVLINNMFDVIYISCNMLH >A01p048250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27177421:27179630:-1 gene:A01p048250.1_BraROA transcript:A01p048250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive receptor kinase RLK902 [Source:Projected from Arabidopsis thaliana (AT3G17840) UniProtKB/Swiss-Prot;Acc:Q9LVI6] MTPSMACSLSTFLSTLLLLSLPLPSTQDLAADKSALLSLRSAVGGRTFLWNTEQTTPCNWTGVLCDGANRVTALRLPGFALSGNIPEGIFGNLTNLRTLSLRLNALTGTLPLDLGACADLRRLYLQGNRFSGEIPPLLFGLSNLVRLDLGENEFTGEISSGFKNLTRLKTLYLENNKLAGSLLDLGLGLGLGLDQFNVSNNLVNGSIPKSLQKFDSDSFLGTSLCGKPLGACSDEGTVPSQPISVGNIPGKRKNKLSGGAIAGIVIGCVVGFLLIVLVLMVLFRRKGDERTRAVDVETIKQLEIEVPGEKTAVEANEPSTAAVNSSGTRKLVFFGNATKVFELEDLLRASAEVLGKGTFGTAYKAVLDAATMVAVKRLKDVTMADREFKEKIEVVGAMDHENLVPLRAYYCSGDEKLLVYDFMPMGSLSALLHGNKGAGRSPLDWEVRARIALGAARGLDYLHSQDPLSSHGNVKSSNILLTNSHDARVSDFGLAQLVGSSSATPNRVTGYRAPEVTDPSRVSQKADVYSFGVVLLELLTGKAPSNSVMNEEGMDLARWVHSVEREEWRREVFDSELMSLERVDSVEGEMEEMLQLGIDCTEQHPDKRPVMVEVVRRIQELRQPGSELVD >A04p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1032112:1035068:1 gene:A04p002150.1_BraROA transcript:A04p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLDSEDTVRRCKDRRRLMKEAVHSRHHLAAAHADYCRSLRLTGSALSSFAAGEPLSVSDQTPSVFLNPPPPPPQQSPAIHIPPSPAPPLLKLKQAPPITSNRRRKQQHRPKVPHILSDSSPPSSSPMSQRSNFYQNSTYSATPSHASSVWNWENFYPPSPPDSEFFDKKSQERRQKQKPDNNPFSHDTESEKSEYDFFDSSMKKNKKQFESVNSTVDEEEAAEEETETEREEVHCSEWDVHDHYSTTSSSEEEEDEEGDDNIESVSEVGTRNLMSHRHYHHQEPSPMPQEYGIGVGKERYHDKADDATSGGGEMEMVVRHRDLKEIADSIKENFDKAAAAGDQVSQMLELGRAQLDRSFGQLKKTVIHSSSLLSSLSSTWTSKPPLAVKYRLDTTALDQPNSVKSLCSTLDRLLAWEKKLYEEIKAREGVKIEHEKKLSQLQSQEYKGEDEAKLDKTKASITRLQSLIIVTSQAVTTTSTAIISLRDTDLVPQLVELCHGFMYMWKAMHQYHETQNSIVEQVRGLINRSSKGESTSELHRQATRDLESAVSSWHSSFTHVIKFQRDFIHSVHAWFKLTLLPVCHEDAAVKEPTDAYAFCDEWKLALDRVPDTVASEAIKSFINVVHVISAKQADEHKIKKRTESASKELEKKASSLRNLERKYYQSYSMVGVGIPDSGPEHMLDARDPLSDKKSELEVCRRRVEEEMVKHSKAIEVKRAMTLNNLQTGLPGVFQALTSFSALFMESLQTVCTRSYSIK >A10p027680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17369148:17369925:-1 gene:A10p027680.1_BraROA transcript:A10p027680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQGRYDNNYRKKSGQIPRFGDWEDANEMPITQYFENARQAGLLRHHHNFTTSSSSTATSSSSSSSAEALKLASHHPRPRHLHHSRQTAGTKEKRGPQRRVRDVSSQTDKYYVDVTGVKHDVAPASRPPKPVDEDLYKIPPELIYSSRRKRRSGFLACLVPCAS >A08p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14637147:14641208:-1 gene:A08p021960.1_BraROA transcript:A08p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BREAST CANCER SUSCEPTIBILITY 1 homolog [Source:Projected from Arabidopsis thaliana (AT4G21070) UniProtKB/Swiss-Prot;Acc:Q8RXD4] MRTWRAPYGTHPRLGGTWRWSRRLSAPRAMAQKASAILNTDIACINQTCFLFDFSACIVKSMKVDATCPVCKVPFHRREIRGAPHMDSLVSIYKNMEVASGVPMFVSQTKPSSPSEKEKHVGDASIEKENGKKRQGSSKGRTSKKRGSRKTKETDVDSSGPIVIKPSSQTNKRVQLSQNHSSQSLTKSLESAEKLKDYTDRTVIRLNEHPSLDKEENLAPLFWLRDEVDGESLSQRTESDQLLDVTPVDVPSFSDLKDSDHDTPSKAVEQEKPNPGDMFDSEMFEWTQRPCSPEILPSPVKAKPLGKGEIDLPQRKLSKDASSNQKRKAGSARKKVAKVRIGVSKEDHIASSAGENIGEKQETSGTSGKSTRKDENVKAKRATRNKGQTSEVQSGVKKSVEAEGKQGRKKKRSSVKVSAEHPVAVSNELSLGTENVGKGDQEPEKQSPAEKPSLKKRRKSQTGDLSGRSEKKTSEKRSKIDSCATPSRVTQSRGKKILSDELNQVGDRQDSTNKKPSLGGSVHLRRCSGPPTNKFTCAFCQSSDETEASGKMSHYHKGDPVSADFSGGSNVIHVHKNCAEWAPNVYFNRRTAVNLDVELTRSRRITCSCCGLKGAALGCYNESCKNSFHVTCAKLIPECRWDDKNFVMLCPLDASSKLPCEEASPKGRKRKRAPEGPLQAQANQVSEKSDISELQSKPFHGLPKKMVLCCSGLTDEENSVILEFTELSGVTVSRKWERRVTHVIASINENGACKRTLKFMMGILEGKWILSIDCKYYQQRNMYVCDTSNQQDVCIYFLAGIKACMKNGEYVSEEPYEISIDVHGTRQGPYIGRQRALNKEPKLFNGLKFYIMGDFEVAYKGYLQDMIVAAGGTILCRRPVSNDDNEASTIIVFNVEPSKKKTLTERRSDAEALARSVNARAASSSWVLDSIAGCQVLELI >A09p067930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53417352:53419878:-1 gene:A09p067930.1_BraROA transcript:A09p067930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 zinc finger protein ATL5 [Source:Projected from Arabidopsis thaliana (AT3G62690) UniProtKB/TrEMBL;Acc:Q0WRF5] MGIVDDNSKTLWENMTHGPSSRYALNGKIMLASVIILFVAVILILCFHSYARWLFRRQNRRIRRRISAHLRSLSAARDPTQSSSLSPLDPTVLEKIPIFVYSAKTHKSPPEDCSVCLSEFEEEDEGRVLPKCGHVFHVDCIDTWFRSRSSCPLCRAPVQPEESVTESGLRNSEPVAPVFQSVKPIEDTEAGSSSSSDESESSTPSSSSGSPVRFPTEECGREPIDLVGIVVEIPREFDASNSGLPGDDGLNNRGLLRGYGVEWSFDTVTRTQIDVESKTKLGPSDQLACGVHGQRNMSLGTRGNKKTEIEYQSSITEFDNGEIFGEIFNPLLSDDADSSSSASSSTIRLGHGNQEHDIIKACFLFGLGAEIASDTTVASMRKNSMEGIATRARYVAFRIFTDAVAKKNGRDPNVRNNYLISGLEPSDAV >A01p050170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28190641:28192133:-1 gene:A01p050170.1_BraROA transcript:A01p050170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFTSCCGKGFEGKKKVETEPARKIFSLKELHSATNSFNYDNKLGEGRFGSVYWGQLSDGSQVAVKRLKAWSNREEIDFAVEVEILSRIRHKNLLSVRGYCDEGQERLLVYDYMPNLSLVSNLHGQQYSGECSLDSTARIKIAIRTAQALAYLHNHVVHGDVRASNVLLDSEFEPRVMDFGYGKLMPDDDEATKARSSNGYLSPECVASEASDVYSFGILLLELVSGKRPIERLNATTKRGITEWVLPLVYERKFGEVMDQKLKEEKVEEKLKKLVLVGVMCVQTEAEKRPTMSQVVEMLMNESKEKISELEANPLFKNPYREHQEVADEISEEQQ >A05g502060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6766906:6767553:1 gene:A05g502060.1_BraROA transcript:A05g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIVIDDSFKRPGTVPFSWEIRPGVPKTPPGNTPHLQLQPPNYLSPLRLKPLSHSQPFLPPELSPPSSSFISKSKSRSLSPLAPSFSTPSNLKPPPPSHSGFYSSGPSFRSSPRAFSERWQLIRSRSESHPRPDFAFAGFGCFPTPKFRLRKNKSSGGLRKTMSRLERGYCSDMETMSLSTVSSRRSVSLRWDSPKSSSFSSLRFSPRLADEAE >A07p023640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13710438:13711090:-1 gene:A07p023640.1_BraROA transcript:A07p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPAPEDPLLPLFLFFLLPSPLQPNSKTRYVLLLFVGVTPKTCVVIRVISWHSWRSCESKEGGSRAGWLLELRGADESIFHFLLRRSSHGDGTWLNGSGPRFSRKTHCRVLLHRRGIPEGELYSGYAVKVHIPPSATIPISFRRRYSEPRRVITGFVPQGDEMLG >A01p052940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29658786:29660311:-1 gene:A01p052940.1_BraROA transcript:A01p052940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQDAHRYKYIISVSLGLQAPSSSSPKTQASQMNKAPSMDRDSMAELKKRFKKMSVVPDEDSFSNAIRSLKEHQKPAIVIKNTSFLDARFFYLSNLRLARLCIQAKLSTVIREFTIINEPACIDFFEHYFDDLDLALAQLKYATQLLMKIARYFIQLMEKDDCNTLDRCKYLKVNAIGCMYTVATRCLPILAYIEKVRQHMLAQDDDPTHENAPPSHIVLAKEDCTIPHTSAFEVEKAAGLVDPDTLRWLEELEEKHGFRMHDDLAAAAGQAISSLENQLCIIDRYKIRFLEVWDLIIAEDAMENEARFEEKEWELEHIENFCSGTR >A02p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10327522:10328563:1 gene:A02p021890.1_BraROA transcript:A02p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLINENPTVYERKERRVRTDSTNTDEDIRDPEHPYSLEQLKVLTEDSVEVDDFKSYVRVTFTPTVEHCSMATIIGLSVRVKLMRSLPPRYKIDIRVAPGSHATEAALNKQLNDKERVAAALENPNLVEMVDECLSPSFE >A01p048350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27243258:27246596:1 gene:A01p048350.1_BraROA transcript:A01p048350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKENDDFPMLPISDPSRTRPFTSRSRSVSLSNTCSTIDGFDSSTVVLGYTGPLRAQRRPPLVQMSGPLSSTRTPEPLFLLPPPSDSVGISSSQPERYPSFATLEHKKSDDEFVLKHANLLRSGQLGMCNDPYCTTCPSYYNRKAAQIPSSRVSAFFDSKFHNALYDDAKGWARRFATTANRYLPGIMNPHSKFVQSWTKFFALSCLLAIFIDPLFFFLILVKQNDKCIVIDWPMAKAFVAVRSVTDILFSVNILLQFRLAYVAPESTVVGAGQLVAHPRKIARHYFRGKFLLDLFIVMPLPQILILWIIPAHLGASGANYAKNLLRAAVLFQYIPKLYRLLPLLAGQTPTGFIFESAWANFVINLLTFMLAGHVVGSCWYLFGLQRVNQCLRDACGNSDHECRNLIDCGRGESSEAFAAWKGNASASACFQEGGFPYGIYMKAVNLTSHTSLFTRYSYSLFWGFQQISTLAGNQIPSYFLGEVFFTMGIIGLGLLLFALLIGNMQNFLQALGRRNLEMTLRRRDVEQWMSHRRLPEGIRKRVREAERFNWAATRGVNEELLFENMPDDLQRDIRRHLFIFLKKVRIFSLMDESILDAIRERLKQRTYISSSTVLHRGGLVEKMVFIVRGEMESIGEDGSVLPLSEGDVCGEELLTWCLERSSVNPDGTRIRIPSKGLLSYRNVKCVTNVEAFSLSVADLEDVTSLFSRFLRNPRVQGAIRYESPYWRLRAARQIQVAWRYRRRRLQRLYTAQSSYSL >A07g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11622746:11623595:-1 gene:A07g505290.1_BraROA transcript:A07g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVPISTSDKMYQRFEEGKIYHIRYFNLLPNNQRYSLTVQPYIINISETTIITQIEKNIPPIPSYIFRPQRYPQLINLASATNFLPDHHWIAFTQIENGTSNYLGQRGGKFQGAKSHIYQKKQIIIITSIFHDYMKGNYHSQPHLDRAFTSTPTLISYNAFKRGINCYTKLEAITPHGMNNKTSNNTGQLF >A01p049410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27757273:27758255:-1 gene:A01p049410.1_BraROA transcript:A01p049410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFAPNVTKAKGRKLTAEELWSELDASAADDFWGFHSTSKTQSTNQQVTLKEEAAEKEKEPVTEKRRKRKNVYRGIRKRPWGKWASEIRDPRKGARVWLGTFNTAEEAAMAYDVAAKRIRGDKAKLNFPDLLHHPPRSPATPLASSPVSEVQPPAKKHCVVSQSELTQPSFPVECSGFGSGDEFQLDYDLKQQISSLESFLELDGDTVEQPSQLDESVSEVDMWMIDDVIASYQ >A05p032690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25288925:25290407:1 gene:A05p032690.1_BraROA transcript:A05p032690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSASIKCERKGILLLEVADIRMTISFQQNLENDPKKKNTPNTAQELTLAVMYLELKTMALLKKMTLPHKENS >A02p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5711507:5713544:1 gene:A02p013030.1_BraROA transcript:A02p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMVYIVSVVFTCLALLIIPVIIITRRLSVHLSFKNILRFIKLVASQLDDEEENNEESGTIGEEDKRRRLPKHVAIILDGNRRWAEKRGLGTSEGHQAGARRLIENAKDCFAMGINTVSLFAFSTENWARPEDEVNGLMALFEKHLRSEMAFFRSDKIKISVIGNRTKISQSLLGLITEAEEATKNYEEKHLIIAIDYSGRFDILQACKSLAEKAKNGLIQVEDIDEDVMEKELMTNCSEFPNPDLLIRTSGEQRISNFFLWQSAYTELYFPNVLWPDFGEAEYLEALTWYQQRQRRFGLRV >A08p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3388955:3389244:1 gene:A08p005870.1_BraROA transcript:A08p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWSWHGKEKPDGIPERLFATDRYPSERVNMYSTVDHLLAARDALNNIPEMVKLIGS >A06p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4716660:4719120:-1 gene:A06p003390.1_BraROA transcript:A06p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSSSSSHPITEEREEVMVSEKGHTFIKTHFLKPIATSSVAVAELPRQRLSVSSSPSELLKRLSSAKSLSGFWVAERRFVSWVGKMEALHEPTWRKAGIFEAIKASTYNITKNPSLLLSVSQKWCPETNSFVFPWGEATVTLEDVMVLLGFSVLGSPVSDSVHSSEMEDVVEKLEKAWEEKKAGHGMVREEPWTSRFFGRGDLEHEAFLVLWLSLYVFPERTRRSISKCLVPIAVRLARGERIALAPAVLAGIYKDLGQISGRCDGKLNLKSLLKLVQVWTWERFKDLRPKPRDIPKGEPRIAQWDSLQQRHKNVRLRFDDFEWRPYTKPLKNWNPLRFYIEEAKWVTVGKSLGDEFAPFARCVRVSQLVGDGFVESYYPNRVAMQFGLAQDLPGLVTRHGDFTEKEAWDDYNKSLDGLKLYMPSRLASGSVTARYRDWWVKETTETLNARNRFDDDAVSPKVLPLSQVVQNLEKSFTATRSSMRRLANKDKIGELVKSLVSSRWKMKGAQEHDEEEEEEEEEEEEEEDNMTIAQIRSRRKYSDADKAGGDVSEPLGKRRRKFQVMDSDDDSGSCQKLASVKIEAKNEEYDETASNIQHKTRQICDDDEVDVNGDTPGKESMIVDEAKKAECWLHEAREKKRCNEKRREDILEKLKLRNLSIKEKELKLEAHSFGGGFHPTSDPVQCH >A05p031170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16157922:16172699:1 gene:A05p031170.1_BraROA transcript:A05p031170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase At1g29720 [Source:Projected from Arabidopsis thaliana (AT1G29720) UniProtKB/Swiss-Prot;Acc:Q9ASQ6] MNNYAVKALGEIANTLGIKTLNLRNGDPCHLGILKFDDAQNPESTNSIICDCTFNDSTTCHITGLKLKTLSLPGKLPPELVKLQYLQSIDFCRNYLSGTIPMEWASLPYFTSMSLCANHLTGPLPAGLQNFKSLTFLGLEANQFSGPIPDELGSMTNLTKLHLASNQFNGSLPITLARLVNLKDLVSDNNFSGTIPAYIGNWFGVQRLHIHASGLKGPIPEAVARLKNLNELRISDTTGINVFPILSSGAIQTLTLRSVGLSGPIPSYIWSMPNLKNLDLSFNKVTGGVQELEKAPANTYLTGNMLSGNVGSALSIYEEANIIGLLPCAGPVNCKRYQRSLHINCGGESTTVTNTLVKITYEADNSETKSVTNQHFQNWGISNTGLLSNDIYTISTSLTLPGGSPDFYKTARRSAISLVYYAFCLENGAYNVKLHFMEIQFTDEKLYSRLGRRIFDVYIQGELFLRDFNIKEEANGTLKPVVKEVSLNVTDHVLEIQLYWAGKGTTLIPERGNYGPLISAISLCHSSREPQCGAEITKHHTKNTLIFGVTGAVVAITILAFGLYALKRCRGDKNTTERDLKAQGLQTVCFTWRQLQTATNNFDQANKLGEGGFGTVFKGELSDGTIIAVKQLSSNSCQGNREFVNEIGMISGLNHPNLVKLYGCCVEKNQLLLVYEYMENNSLALAFGYMAPEYALWGQLTEKADVYSFGVVAMEIVSGKSNVKPQGNDDHVSLINWALALHQTGDAMEVVDPVLQGDFNSKEAVRMIKVALVCTNSSPALRPTMLEAVQMLEGEMEITPVMSDHGLYEHNLSISKMRVTTTIGSSSTSGVTNQTETTMKSDASGCDLYPLYPESMILNSTSDLSSSSL >A01p057640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32651229:32652791:1 gene:A01p057640.1_BraROA transcript:A01p057640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFEATELRLGLPGENHGGGMAAKNNGKRGFSETVDLKLNLSSTAMGSVSEVDLVNMKEKVVKPPAKAQVVGWPPVRSFRKNVMSGPKPTTGDAVQATEKTSGSNGATSSASIGATAAYVKVSMDGAPYLRKIDLKLYKTYQDLSDALSKMFSSFTIGSYGPQGMKDIVNEGKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRIRIMKGSEAIGLAPRALEKCKNRR >A08g504680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7813182:7813466:-1 gene:A08g504680.1_BraROA transcript:A08g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLQHSTCQNFGTDCKELIAMIKDPHAWPSFATELERIETLLICFPNFDIIHVPRARNQFSDFLVKTARSFYRKLHFIGCSVPVWLPSPPQV >A01p043210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24987108:24987707:-1 gene:A01p043210.1_BraROA transcript:A01p043210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKVNELNLKDTELRLGLPGTEQDKEEQEVSCVRSNKRQLQSDNEEESTLPTKTQIVGWPPVRSYRKNNNSVSYVKVSMDGAPYLRKIDLKTYKNYPELLKALENLFKFTIGEYNEREGYKGSGVVPTYEDKDGDWMLVGDVPWDMFSSSCKRLRIMKGSDALALDSAL >A08p008410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4852265:4854161:-1 gene:A08p008410.1_BraROA transcript:A08p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRFVLNEQSKYPESRGDFTILLSNIVLGCKFVCSAVNKAGLAKLIGLAGETNIQAEQKKLDVLSNDVFVKALVSSGRTSVLVSEEDEEATLVESSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYTMSHNDEPTTEDVLKPGHEMVAAGYCMYGSSCMLVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGNIYSVNEGNAQNWDGPTTKYVEKCKFPKDGSPAKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKKRALDLVPEKIHERSPIFLGSYEDVEEIKALYAADE >A01p058740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33501171:33502364:1 gene:A01p058740.1_BraROA transcript:A01p058740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLMWLTALLLGAVLGYYISTLRRRIFVPSSKSVAESSGNKKIKSKEPLEIEELTVSRKRFKMVLVARNDLKMGKGKIAAQCSHATLGLYKKLLRRAPKALNRWENCAQPKVVVKIESEEEMLALRERAKSLKLPTHITIDAGKTQIAPDSRTVMAILGPVDVVDDVTGGLKLM >A10p016500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3012079:3012647:-1 gene:A10p016500.1_BraROA transcript:A10p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKFHFHVPHLHTHHHHNHHHVPKGCVAIMVGHEGDEEGLHRFVVPLMFLSHPLFLSLLKEAEEEYGFKHAGLITIPCRVDEFKHVQEIIDEETHRRHSHGHNYHNHHHNHLPFSDDDDEKQRVIECECFSSITNEIVRSSKFQFVVVG >A03g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29588212:29597429:-1 gene:A03g508880.1_BraROA transcript:A03g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKHTYYCVKCEAYNPVTVPRYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFG >A05p048950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28557601:28560227:1 gene:A05p048950.1_BraROA transcript:A05p048950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIDRISALHDDLLVNILSQVPTKDAVTTMVLSKRWALVWTMVPKLEYEDTSKEGGKNLWRLVDKSLQLHKAPVLESMHIQSERQFTDDADVRKCVSYAVDHNVRELVLIIPSLILPTQPKILLLPSNFYISKTLVNLTLSFSALVVDVPSLACFPLLQTLALLKVVFKDESSHVRLLANCPALSYLRVNRYFGDNVKTFIVKVPSLKSFTYTQNDRRASRSLVLDSTGLRHLIIFDYGDLGSIQNMPHLETAYVGHLVRQPNDKFLRSFSSVGSLYLRLMDVACFSAINFPRLMKFKLHLLKPSTAEFVPGYSEYCSLEPLMFFLHNSPILKVLTISYDVGPDFEDLPLSWNQQSSVPGCLLAHLEIFVWDKFGGIRRQERECVAYIFANSKCLKTATVLPRCSYRLEEIVEDIKSMYRVSASSQLITHSDSTILEGQMTKVSSAAVASAPTAPSGQIAQEEAPPIFWDVGINVEDYTTQGTSPLPPEERADNQVMLSDGLANEQLAVECEPNVSLPTHNQNVVGENGDMDSNVANNTVINFATQDVGFEDGGGSSAGSTDVSLVCGRHQVSMPTNMVADENSPVIPDPNRG >A05p040220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24650802:24652076:1 gene:A05p040220.1_BraROA transcript:A05p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLTNSNNMSLIRMLRGIICLMVLVSTAFMMLIFWGFLSAVVMRLFSLHYSRKCVSFFFGSWLALWPFLFEKINRTKVIFSGDKVPCESRVLLIANHRTEVDWMYFWDLALRKGQIGNMKYVLKSSLMKLPLFGWAFHLFEFIPVERKWKVDEANLRQMVSSFKDPRDGLWLGLFPEGTDYTEAKCERSKKFAAENGLPELNNVLLPKTKGFVSCLEELDSSLDAVYDVTIGYKTRCPSFLDNVYGTEPSEVHIHIRRISQNQIPNQEKEINAWLMNTFQIKDQLLSEFYSRGHFPNEGTEKEFSTIKQLINCLAVIVFTIICTHLTFFSSMIWFKIYVSLVCAYLTYATHFNLRPAPLVETAKKSFQISKKMNF >A03p042360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17748144:17748767:-1 gene:A03p042360.1_BraROA transcript:A03p042360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSVESKSKVDSAGELSDVDNENCSGSGGCGSSGETKRTCVDCGTLRTPLWRGGPAGPKSLCNACGIKSRKKRQAALGIKPDEKKRNRRSNSSDSDLSIDHHRNDKNKINKDYDHKTCSTSSRSSKRVSKFLDLGLDVPVMKRSAVEKKRLWKKLGEEERAAVLLMALSCGSVFS >A05g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5207223:5210101:1 gene:A05g501470.1_BraROA transcript:A05g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKVNPWFPPVPASVLLPPATAGTASFGSGNGKSSLLLCSSSGPAPEKVKESLFHTRSADFKSTLDVTVHNSFTGFTVLPPKNSSNYPSNYTPSKSNHSPGHNPTIKLPQLNPNLSTSKPNQSSSSQPATPSSKPASPPISYAEKAKPIADKTLRRVAPLSYSENGVPQVTIPDEVFQRGAELHKDFVLGSFLAKMPSYQAIQSVLNFMWGKGQKLDIRTNKEKRTIMVRIPNEYIRKKVLEKRIWYVGTAMFQVTPWSSRGSVSAVDIGSIPLWAHLNGLPLDLRTLEGLSFVAGLIGEPKETDEFTKNISDVNIAHVKVEADMTKPLPTLIELRRTSGEIIPVHVEYPWTPPVCSFCKQIGHISKDCLTATHVWVEKKTDLQPPSSDEATPETNMPAQQKPDTQMTDAPYPETETDDFEADLQEIMSTPHSSASESRLIEIRPISSGANPFSSLAIIPSSQAPFSPPRDLLPPITFSSSSKNSHFVVALAANPIQTRRSSPFKHKVTKKRPSPPSHLSITLKNAFSTLDSSLLDPPPPILSPAPVSDPPDSWLASSKVHFGALLETHIKAPQLNHVLSKTSNGWNHFSNHASDHDGRIILIWKPHLTVNILHQSRQSITCEVTIAPLQQFVLTACYAANTSEERSDLWADLINVQQTYSLDSSFWIVGGDFNQITHYSEHSLPSVNCFDLHMTQFRDTLSHLSLFDLRFTGPLFTWSNKCPSYPIAKKLDRILINQSWIATFPHSQAFFLAPEISDHSPSVVDLAVDLPTPGTKPFKFFNYLTKHPLFFQTVLQAWDQSGGMAWDLSHLCAGAGVI >A04g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5311940:5318200:1 gene:A04g502200.1_BraROA transcript:A04g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVDVSRVSDVVAQDANLNDRSSTSTEDENIYDKLKKTAQEGDIIRLYELIAEDPNILCHFDKVPFCEIPLHIASEKGHTHFAMELMILKPSLASKLNVSAEWRARGLVSIDNSLVSIKGRGGITPLHHVARTGDAELLSELLFTCPSSIEDLTIKCETAVHIAVKNQQMMAFKVMKLLRRIVKVKAKNLDGKTAMDILQTHQSPCFPEARKLFYSIKERLLCRSTTTLAGYLSKNLSFIEKRNNLLGLSNLSLTRERSVHSSDHRDAILVVAILIVTATYQAGLSPPGGFRQDNSDHHLPGEMIMDWRNALFFMVLNGFAFILSLYVIIVLIVGLPLWKLIYGSVAGISIAMLASYATIFPRSEDISVTLFTLALPLMIGIMLFATFMAFIVDKRRRNRVDFQASCFSISDSADPGYLLLFSGICVTAVLLVLYLFR >A03p010100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4027199:4036993:-1 gene:A03p010100.1_BraROA transcript:A03p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYATSSLVVGYALCSSLLAVINKFAITYFNYPGLLTALQYLTSTVGVYLLGKLGFLHHDAFTWDTAKKFLPAAVVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTVFRSQPRPSKLTFLSLLVILAGAVGYVANDSAFTLTAYSWALAYLVTITTEMVYIKHMVSSLELNTWGFVLYNNLLSLMIAPIFWFLTGEYTDVFTAVNANGGGSLFDPVAFCAVALSCVFGFLISFFGFAARKAISATAFTVTGVVNKFLTVVINVLIWDKHASPVGLVCLLVTICGGIGYQQSVTVAKKPANGPDQVLNESEKVDEESVELIPGKVATNYHFCKLVTLILLSINTNIQISNITENNMSSSQFTIFCIILIALFPLHELVDGQGVGAKPTCKQTPCHELKPNHTCSCCSSKHASPVGLVCLLVTICGGICYQQSMTVAKKPTSGQTQVLNNSEKVDEESVELIPGKVATNLEIKITVSCFVWKDLKWTVVFFAVALSCVFGSLISGFAARKAISATAFTVTEVVNKFLTVVINVLIWDKHASPVGLVCLLVTICDGIGYQQSVTVAKKPTSGQAQVLNNSEKVDEESVELIPGKVATNV >A09p055770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47630648:47631304:1 gene:A09p055770.1_BraROA transcript:A09p055770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGGRRREGETADENEKTNVKRRKLEEEEESRILILSHNPSSEYDLLDSASSSSSVSCCSSSEEKSKLRTNGGEFDQTETSWIYYDNFDNRRVPEEEESVKEVESCRVKKQKRRETVKEAEMEDFFQAAEKDVRNNMLECSSKYSFDFEKDEPIDGRYEWVKLKP >A05g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10564787:10566328:1 gene:A05g503670.1_BraROA transcript:A05g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWSRVTSLSNLLLTPPFPFFPVRRTVSRSIWMMFSLDHYEWRMPRMHYGRRNTREYAQRRHYDMEGNLVLPMFPDPEEQYREFPFRYPHEQTVRHKVLMPHFQRMAMEERLLQGNPRFQLATEEGPPRKRGRPCKPPIAGYTEDFINQAELCKPKNAETWCVWYKNGLRKELQAQLRGVLEPLEFALVRRMAGFAMEAEEKIAADVAALSSMEGGNPGRDVDGHEVPVGELAKGKRGRPRKPPTVTCDCDVLVQMVQKPRKVRDYLEEFLDTAKRCQPKPAEDWCHLFKAGLRVDIREELVGVLEPLEFALVRRMANQALYAEEWLAESEAEAEYDRVAEGDEDLGSETRCPSPCQCG >A07g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7893944:7897487:1 gene:A07g504010.1_BraROA transcript:A07g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSQLKRFVDSSIRFQFLILLTRGFFNRRKSAAMPKSSLTPKVEKKLNRRCDLASTFDVQSINQHEFQIPCKHAAKAATSRGVNPGLFMHQYYSKANMCAAYSESIRPIDELLEASEIPPQLVAYKWFPPDVKRGAGRPVKRRYECFGEQATAQKKARKQVCSRCHRSGHNRTFVLDIFSAMFGFGDPGRSRTCSWNLNVACSAVGVGVVSSLGLYRWPLKLLAEWASHEGGRVVQASDPVCIRRDASFWQKLNGSMFVGLSCYPRRLTFPQPFSYALRDLVVSLLGFKIRHNRRRVSVVLVFGLQRCSVSQCRRSCPWLV >A09p042790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:35006235:35007455:-1 gene:A09p042790.1_BraROA transcript:A09p042790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISDLPADLLDEILSRVPATSLIRLRSTCKQWYNLFKDQMFAEKHLRNVPKQLRVLTLKENRLFLPSVDLNFVPPSIEFSDELSLNNSNNSEKVHIDSAFHCDGLLLCTTRDELVVWNPCLGETMWIKHSEGSKRVKERKPMYALGYENNQSCRSYKILMFWDCDELYDGQVDEFKVYDFNSNAWRVVNYPNCFIMNSHGVNLKGNGYWNAYDDNYVDYILSFDFTGERFIRLCLPPPSQGCMNTSLSVVREELSVLRCVKGSSKIEMWVTTNKMDTSASELSWSKSFTMNFGFPVLVYTGLLIDEDKKVVLCNTIVGDHLRGACTIGEEDKYYSEIAFEGMSLSPPPHIFNYVPSLVRIQEGTELDVFGKSPRRRGDDPPTRRGDTAMCDHDFQFSQEIDDMMS >A09p083020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59898930:59901726:-1 gene:A09p083020.1_BraROA transcript:A09p083020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTETEIVKVDNVYEVTILSEIQTVADEDEQTPTRGQVATGAGENAVTGAGENAVAGAGGNAVTGADGNAVTGAGGNAVTGADGNAVTGAGENASTGAGEKMLARRGENAVTGAGGNAVTGAGENAVTGAGENAVTGADGNAVTGAQGIFRTFFTWFVGLFPSDALPNDSEQEALLSSLVDGEPTLPVVTGGGNGDVAGGRNGGGAGGGNGVGAGGGGWFCRIWGSLHREGPNDIEAGSTAPKDTALVAPRGGWFRRIWGSPHREGPNDTEAGSTALDDTALVAPRGGWFRRILGFRRHREGPNDIEAGPAAPEVVAPVRVVKDLWLEFVGEANGFIKWVITSCFLFVPVNYLLKGSNDVTSTLSTLQLQLPTIFLPTVFSYVTCILVSKLFQSAKIKTVFQGFGIIMGVVACVEAFYFVGHEAHISAIIVMVVIIVATLITAVATMSNAKRLKVFNLSDTKVIVMCHPKVAKEILNSSQIKRLEEQRRMVVTQMVNAFTLNVGTVFEVRDLLKTASLCNMMGLVFGKEYELETNNTVESEYLKGLVEEWYDAELDPIIFLD >A09g510940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33390884:33397573:1 gene:A09g510940.1_BraROA transcript:A09g510940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVGQVQHEDQNSPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHESPSSDHADRPDHVLLLTAGHASRFIESGQDPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHESPSSDHADRPDHVLLLTAGHASRFIESGQE >A09g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6757186:6759187:1 gene:A09g501860.1_BraROA transcript:A09g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQVNPWFPERGCDSGLNPGEFPVHPPDPPDPPDPDFPPLPSSPATITSQTASSMKMKTASTASSQDPSIKISVNLKQITSSDSAISKSGSEKITAQPTVQNSPRFTIHLPKPSSPLRSNPASSAPPSSPIPPTPSLPVSNPNSLLPGSTPESVAENSQPPQTYAQKARNTVDRSLKRLAPTSTSADGKPQVVVPDVVFQRGAELHKEYLVGTFLGKMPDYGPIQSVLNYMWGKGVKLEIHLQPQKRSFLVRIANEFIRSKVLEKQLWYVGTSMFFVSQWGSPNSSVIPEIESIPLWAYLSGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNLTDLNVAHVKVEADLTKPLPSSGELVRQNGEIILISIEYPWTPPSCTHCSRIGHIKNDCIYAPVNDTRKGTSKASQVGVPDPPYDDEAPDHSTSVIIPADNITEPTILADSPDDLEMENVPEISYPITTGVPDPPDDDMNLIEEGEITAEPPLEPTHSLNPLPPFVFGLAATYAPTFGSFITTQQAAAFNAPAITLPPQFCVPSRPPLTFSSSSQKANHQNHFLPSFDPATAPKEPPPGGTPPPCL >A08p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14409319:14410965:1 gene:A08p021550.1_BraROA transcript:A08p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTEQRQTRDPIRISHYTMLTTRPTFVFFLLFLSLPLSSFSQSSNPVYNSFLKCFSDRTKTPQAQNVFSQTNPSYSSVLRAYIRNARFNTSSTPKPTLIITPRSASHVSAAVLCAKPLNFVFKIRSGGHDYDGLSYVSDKPFFVLDLSNLRDVTVDIADQTAWISAGATLGEFIESGAVDMVHKWQSVGPRTDRNLFLRMLLQPVTRNKVQTVRATAVALFLGRADDIVSLLRKELPELALKKENCTEMTWFQSALWWDNRLNATQIDPKVFLDRNLDSSRFLKRKSDYVATVIPRDGIESLFKKMIELGKVGLVFNPYGGKMAEIAEDATPLPHRKMLFKIQYSVNWQESSPEIEKGFLNQSRVLHSFMTEFVSKNPRRAYLNYRDVDIGVNDHGPNSYKEGEVYGRMYFGKNFDRLVKIKTAVDPGNFFRNEQSIPTLPSKA >A03p065410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28854374:28855807:1 gene:A03p065410.1_BraROA transcript:A03p065410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIVFMYGSSIFAHGVQEIIQMDLKTSKMILRDKHMRAKVSDFSLSKLAVDRAVHVSGIWSMAHNMHQTVIENRGLRCASDSTSGCLQAATVQSSIFARTYRLMAHTGKHSNLGNGWLWMKCFSTASVTILGEKKAQSTELEDKAKEVPELKTERHKKKLNIDKLEGIARLKLAEAAMLQLKANKA >A09p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40023912:40024407:-1 gene:A09p045660.1_BraROA transcript:A09p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWLRATETVEGVKVLTAVMELSAKSSGFKQVEEKRQRLRTEKLCEDQNVRKLKQANVELASQAIKIEDLKHKLRERDKETNVMKSSYHLGERELDRINKVSVAVSGFGTKSQLLNQANKIVKRREDEIHSLQRALKEKEEDLDMSIAVKRLELIQ >A05g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18565609:18566691:1 gene:A05g506510.1_BraROA transcript:A05g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRPPFDVLKARVLVVTTSSLALLFFTETPVTPPELLFFTDPPPELLIFLEPPPELLFFTDLPLHVGACLLHRSTTACRNFSSSPSYHRLPELLFFTDLPPHTRASLLHRETTGASLLPQATTGSTPLHRATTVASLPHRDTTRAYLLHPATTGASLPQRVTTGATPLHRATTGATPLLVRDRIGRAMVTDHCLLILHSHFDHMGAADSTTFDDLSSHKVAIEDFRLPAFLPMVNKEASGP >A03p049830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21396272:21398200:-1 gene:A03p049830.1_BraROA transcript:A03p049830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHRLRSSFTRRFSSTRNTQRSGGSTKVPTLYTSPEIASDSTTLQLLSWGRGASGQLGGGIEEIRMYPSPVANLLLRPDQSFSLAQTPGRIDTVNGSGFRVGVSSGLFHSGLTVDGDLWVWGKGDGGRLGFGQEDSVFVPKLNPRFEERSIRCVALGGLHSVALTHKGDVFTWGYGGFGALGHSVYTRELVPRRVEGSWDCKISAIATSGTHTAAITESGELYMWGREEGDGRLGLGPGRGPNEGGGLSVPSKVKALSVPVASVSCGGFFTMALTQEGQLWNWGANSNYELGRGDNLGGWEPMPVPSLEGVRITQIACGGYHSLALTEEGKVLSWGHGGHGQLGNASLRNQQVPTEIEALADKKIVFIACGGSSSAAITDGGELWMWGNAKDFQLGVPGLPEIQTSPVQVNFLTEEDELGPHKVISVSIGASHALCLVSRSH >A02p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13688029:13690094:-1 gene:A02p026090.1_BraROA transcript:A02p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNNGLSAALVSNLQDVLSKRKGGSDGSAEEEEPPPSTSVSVDVAAKEEIDDSRPIVLVTNGDGIDSIGLVSLVEALVKEGLYNVHVCAPQTDKSVSSHSMTPGETIAASSADIKGATAFEVSGTPVDCISLGLSGALFAWSKPILIISGINQGSSCGHQMFYSGGVAGAREALISGVPSLCLSLNWKKDESKESDFKDAVGVCLPLINATIRDIEKGVFPKDCSLRIEIPTSPSSNKGFKVTKQSMWRQTPSWQAVSANRHPGAGNFMSNQQSLGAQLAQLGRDASAAGAARRFTTQKKSIVEIESVGVAAKTDSRVKKYFRLEVSVTPLSLLPKMDSETQAAASEWISKALNADQ >A09p080690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58985653:58989135:1 gene:A09p080690.1_BraROA transcript:A09p080690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVNTARECLTEEAARALNDAVAVARRRSHAQTTSLHAVSALLAMPSSILREVCVSRASRSTPYSSGLQFRALELCVGVSLDRLPSSSKSTTTTEEEDPPVSNSLMAAIKRSQANQRRHPESYHLQQIHVSGCQTTVLKVELKYFILSILDDPIVNRVFTEAGFRSSDIKLDVLHPPVTQLSSRFSRASRCPPLFPFSGSSGLDENCRRIGEVLCRKERRNPLLVGNCANEALKTFTEAINSGNQTFLAQEVRGLSLVSIEKEINDVLADGSRTDEKLDDLVRVAEGDGSKSSGMVLNLGEFKVLTSETSSNVLKSLFIGCASSNETYAKFVDRFPTVDKDWDLHVLPITSSRPLTQGVYPKSSLMGSFVPFGGFFSSTSDYRVPLSNTLNQTLPRCHLCNEKYLQEVAALVKTGSSLSTSDQSSEKLPSWLRAAESELDKRPTSSTKAIDDDTNTLASQTTALQKKWDNICQSIHQTPAFPKLGFPTVSPQFPVQTLESSLETRKLLNQPISNPNPKENLTTSVSNRIVSSPLSCVTTDLGLGVVALNSSLEHTCQNDFKSLRESLSRKVPYQIEAVNGISQIICDARRNRTSGTWLALLGPDRVGKKKVASVLSEVFFGSQENCISVDFAGEHSYRGKTVVDYLTGELSRKPHSVVFLENVEKSEFPEQSRLSEAITTGRLRDSHGRVISMKNVIVLAASSIDKEKDHVTFEPVTFSEERVLSARSWKLQIRLADTDKVGVKKRKHEEETEVRAEKVQRSYLDLNLPVDETGASFDHETEEARAWFDGFMEQVDGRVTFKEVDFDGLAKNIREKIVSHFKMCFGGETCLEIDDEVMVQILAAFWSSGEEERDVVDQWMRTVLALSFAEARVKYGLNPKVVVKLVASRDLSGGVELPERVDVM >A09p045000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39673063:39677939:-1 gene:A09p045000.1_BraROA transcript:A09p045000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTERSSPAVNTTSPDLLKNTPSNIARLEDVIEQCHGRQKYLAQTRSPSDGSDVRWYFCKVPLAENELAASVPRTDVVGKSEYFRFGMRDSLAIEASFLQREDELLSFWWKEYAECSIGPIPQVNSKKKSNKQSMETLPEASVSSSLYDVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNRRVLRGHWFARKGGLDWLPIPETVAEQLEVAYRNKVWRRRRFQPSGLFAARVDLQGSSLGLHALFTGEDDTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQKVEKSNLVDDVGNFRQITAALGERHLTSHQRGTQRVLFIPCQWRKGLKLSGEAAVDKCTLEGVRRLREMLSATVHDVLYYMSPIYCQAIIDSVSNQLNRLYLKFIKRNPDYDGKISIYGHSLGSVLSYDILCHQRNLSSPFPMDAVYKRFFPDEESPPIPERADKPCSSHQSSNLEPEKSNLLNNKEETTGQDNDVVDKESKVLEHHHVVQEASSSVSDSAVDDVGLERRGSEEDDRHDSSGAISSQDGADCRSPGSSSSSPEQSWEIKCGDSNNEAAIKLLQEEIKSLRSKVAQLQSENARILADEKAKASVMPEQQINEKALTKDANGPTSFTPYIKYQKLEFKVDTFFAVGSPLGVFLALRNIRIGIGKGKDYWEEENVIEEMPACRRMFNIFHPYDPVAYRVEPLVCKEYLPKRPVIVPYHRGGKRLHIGLQDFKEDFAARSHRVMNHFDSVRTRVLTICQSKSSDRLEESEETDDEKDGRSYGSLMMERLTGTRDGRIDHMLQDKTFEHPYLQAIGAHTNYWRDNDTALFIIKHLYRELPDEPNSPTDVDNTPKDSSRHHSWIDRSEADDTDEELPLTFSNKKIARSFSEEAKKYLKKP >A03g500290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:952545:952724:1 gene:A03g500290.1_BraROA transcript:A03g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITNVCDLKPFKSMWKIRVKILHLWKQYSASGGLTIEMVLIDSNVRHLYSFCSVIFM >A02p010930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4741238:4741504:-1 gene:A02p010930.1_BraROA transcript:A02p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQEAASTLGLDLKLNILDSSLPRESPSSSLCSEETGGGGGEAVVVGCPNCIMYIIMSLESNPRCPRCNSQVLLDFLAGNCSKKSSN >A08p011260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:161601:163987:1 gene:A08p011260.1_BraROA transcript:A08p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLALAFQLVPACLKLSSLDQTLSKPSLFIQTPPGVFHSPNGCKVTSQVFYLSYHSNNKVTVVLPAHFHLTELHSLLHVSPPDHADLNPLGYAPAPLIQIHSPSHDQEELFLQLLQLD >A04g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4152079:4158973:-1 gene:A04g501700.1_BraROA transcript:A04g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEEAQSDLSRATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVEGLEGREELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRPPGRLSFDLLRNTQELSGEVHLLDFDLLKVDSLIDHLPSLVRYLITQGLIPMPMSSLFLLSRKLSEYLHSQCFDIPQNWFDNLLYYNICLRSLENS >A04p023180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14059061:14061670:-1 gene:A04p023180.1_BraROA transcript:A04p023180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISALVDSISSFLNLSSSRHIDLDPFDKYYKRLEELLRVLKPIADAALLNSDLALEERLCKSFQELTQDVDHFRDLFTSWHPFSSKVYFVLQIESLITKMRHTIVDTFQFLQSLKHDIPDELSPASLEKCLEKIKHLSYEEISSVIDGALRDHRDGVGPTPEILVKIGENTGLRSNQEILIEAVALERQKENAEQSENNAEVEFLDQLIVIVNQMHERLLLTKQTQTSSVSILADFFCPLSLEVMNDPVIVLSGQTYEKAFIKRWIDLGLKVCPKTRQTLTHTTLIPNYTVKALISNWCDTNDVNLPDPNQSSSLNELNPLLSCTDSIHASPSRSNDSPEMNTDENHHHHLRSPSASISSVSNEEFPRTDGNENSEESPHATTPYSSDASGEIRSGPLAATTTSSAAPPWRDLSPRFMDRRNNRGSQFWRRPSKIISAPSNSARRDLAEVESQVKKLLEELKSSLLDVERRATAEIRLLAKHNMDNRIVIGNSGAIVSLVELLRSTDSATQENAVTALLNLSINDNNKSLIAEAGAIEPLIHVLENGSSEAKENSAATLFSLSVIEENKIKIGQSSAIGPLVDLLGNGTQRGKKDAATALFNLSIHQENKGMIVQSGAVRYLIDLMDPAAGMVDKAVAVLANLATVPEGRNAIGQEGGIALLVEVVELGSARGKENAAAALLQLSTNSGRFCNMVLQEGAVPPLVALSQSGTGIAQLLQEPKAWKCWARLMDE >A06p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10735899:10736786:-1 gene:A06p021650.1_BraROA transcript:A06p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYSLWFPWLYCVTNILQVLVIGLLYEEVVAGWLLRTDVTKFSSFKKLPLKKSLRFHLTEAPEVPGDQKSIQND >A05p052210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30274979:30278480:1 gene:A05p052210.1_BraROA transcript:A05p052210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLTSTAYGGHHVNPIKNPLLFKTLSQLTGSNRSSLELGCHAFCSSQSDYAADSKAAKSDSDTKSSSGVVSKASKLDDYQTVIALPMLNKSHQSVVTCLISQKMCQSDTDDGRVLEISHSMMNGWGEESQGGRSQITPEMANNFPDEFHGATPIWFGGLGCEDTLVQEGLYPPLNLTYTPTQEHFNKIESKFQEERQKQLYSTENTKALTTHETPTTTEKLKAMNFEISKITIGEWTHKSVYPHDLIAKFYFAKKRLMWEILDEDSKLKRKIEMQWSDVLSFRASFPPQNETGTLEVELGKCPTFFLEVNPQRAKHTQWKQLDQDFTPGQSASKYRRHTLQISPGDLKMNLEKLVSAVSFWSKLAKVNFPTLPQSLYFDNGNSNNNGNSNLCPNGNCTTLGINGNHLYPQGLGHVPVENVNFNMATELCPNNQMNPIFQDDHQDETMSQLPGMQVTHPSSQHINMGRYIISGSHFNNPMIPDDCHTSNTGKLRGPYLQDILAQEEVIQNKKCIRQFQTNGEYCNCNQCFNNINGSLPPDS >A01g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2002297:2003772:-1 gene:A01g500440.1_BraROA transcript:A01g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLFNYLPITPLSLHTSLINPTSSSFSLPPPPLSNPRRRSTFSPLVTASAVFAAPSDVNNSVPSKNGGYTVGDFMTGRQHLHVVKPSTSVDDALELLVEKKVTGLPVIDDDWNLVGVVSDYDLLALDSISGRSSQNDTNMFPNVDRSWKTFNELQKLISKTHGQVVGDLMTPSPLVVRGSTNLEDAARLLLETKFRRLPVVDSDGKLIGILTRGNVVRAALQIKRETENST >A06g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30139196:30141811:-1 gene:A06g510000.1_BraROA transcript:A06g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDQLVEPRPTLNQFKPIKPPVDRVTELTHRVDSAELASRRRITGTSPGGNGGGWRRLTEKSAAATAAASRRRTDGGRSRRLRRTAVGDGGEWPECTVAPADGDGACVSRPRRGETSGGSSGFVRAPIAVWLVSTASSRREEHDGVCCHNKEDDVDQCETEEKKGIMEKIKEKLPAAKGQDSRPSQAPEHEDGKEKGSIKGSIVCIEKIKKKLTSHTKHEDDDEKGNLVN >A03p004760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2004217:2008030:1 gene:A03p004760.1_BraROA transcript:A03p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTNWLTFSLSPMEMLRSSDQSQFVSYDASSAASSPYLLDNFYGWTNQKPQEEEEAQITASMADSTILTSFVDSQNHSQNHIPKLEDFLGDFRYSDNSQTETQDSSSLTHIYDPRHHQNQTGFYSDHNHDFKTMTGFQTAFSTNSGSEVDDSVSMSRTHLAGEYLGHVVESSGHELGFIHGGANTGGALSLGVNINNTNNHASNDNNKISEYNYRGNNNGERIINNNNREKTDSEKEKPVVAVERSDSSNKKVADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWNTAATTNFPITNYSKELEEMKHMTKQEFIASLRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIMKSALPIGGAAKRLKLSLESEQKPIIGHHQLHHFQQQHQQLQSSPNDSSINFALCPSSAAQSQMIPCGIPFEAASLYHHQQQQQQQNFFQHFPANAASDSTDSNNNSNVQSSMGLMAPNAAAEFFLWPNQSY >A07p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6374057:6379058:-1 gene:A07p010190.1_BraROA transcript:A07p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDPMNNTAGTDDETIAQRRKRLRRVSFADREITSVHIFKRDEDYETPPSPSASKPRNVETSESEDKVIRFFGELADSEDTEGDEPVEKLFLRPKSSPSSGGSTIASATTDDEDSFFGPVSSHFINPGRLSDATTISEDHHDMTMDSTAFSMHFRSLVMSESGDLSSHRVPVEVEEKTPTQVTFRSDDTGSAMVLTYPKKLFPKSPVPVDKGSGGGDSNDMSLVGDDSRKYDYGHITPALAALLGDESKEPIPASQDNSVEARSPVPEFSLFPQNGSIPVGINSTDACQMLSPCASGIHPQMELQESGRESAYFVGRMQQSSLSCVTPSPQQLGSFVSRETLALVESLSTIQKSKSRLGLIPPSPASALSQRIEKSKLQLSGRRSDTTPSTIGREDTGVRPKTRKDIPITNLDDLLSTHDNRKPVSENHGAPDQLSCGALSPVVDSSDVFTFLNPEGISNSKIEGSLLKEQERNQTASTPDKFVSSLAKSSDATTSALNNCVTLQDQEQKSKAIGNPETEDGRLAKDSVSNPSLNTLSDHMDSLLVESSAILSETGFLNRSAQQNDEDSVLNKNKKGTNNIRAAHCETEVISTEDCPVLVTQDRPGTAGSSPLDRSRNEASHAKGPSRLKRKARDGDPAAKSCSPKVRQNTQDISNPVMDHPDGDNVSNCRVVLEQIPGKVSEEINQMFAPLANKLNSRQVCKLEDMLTYLKKVHLCEMLCLQIKSQKVCNDLTDAKTKRRAESRSLLCKLAYEKANLELLHLKQEIMMKKSQVVTTGLQTSETLRLNCAKLLRQHGSNPTGLLTAVQPHEATTSKVAEKTQEIEELDSKIKTLIKCFPACDKITGEPAYTDAVMIAEDELKKKMSCRLIRQDILVWKVDSLGEKNDCQSIVLNYGGLIHQRLTLKPGHASCVIISNNLSDAFIKHLPDMNVSTAFNSLFNAEYSREYVGTSTLLEITQKTSLVVHNLLNVAEELQLARMEIPNLVQGQFESPSAEQLYLQISFVDCKSLRKAIITLDMACLTHGMYPGDIIPGEVSGTETDGVASKQLMKEIESAVDGVGVGYPRILRLCRCVSKLLQSQSRR >A06g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19540635:19543332:1 gene:A06g507050.1_BraROA transcript:A06g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLMEEYQRVIKIFIKLFQQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANKIPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTASTNLSRVRINEIVESSVPKKKRRLVGLGRRSRSAVPSSAPPPYVDPEVLTAQLKDKDDRISALEIQMAAQQAGYETEKKLNEQMMEMMRRMYPNEVFSNIQDPKFFFFLTKNSECFI >A06p000370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:367893:374006:-1 gene:A06p000370.1_BraROA transcript:A06p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEEYGSLDFDISEEDERRRSKIGNLKKKAIHASTKFTHSLKKRGKRKIDYRFPPVTSIEDVRDEKEETLVLEFRRHLLHRDLLPPRHDDYHTLLRFLKARDLNIEKTIQMWEEMLSWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSKLMRITTIDRYLKYHVQEFERALLEKFPACSIAAKRRIYSSTTILDVLGLGMKNFTSAGASLVAAMAKIDNSYYPETLHRMYIVNAGTGFKKMLWPAAQKFLDAKTIAQIHVLEPKSLSKLHEVIDSSQLPDFLGGSCSCFGDGGCLRSNKGPWNDPETMKLIYRGESSLFRQITRKLSDPQNSSSYISIHPSKAMQAESSAAESIFCSDVPTGRMFSASAHVNSAYEEVRTSDVNGYYSCDDKFAIPTNRRGQERQSHYQMLEHDLSLKGTLDSIKPESLAKRILSLLLKLAAVFRYIPFELSRKKHTITPSSPREDESRCTLTPTPTETTMKDRIGPCLERIHKLEKKYEEIRNKPVEIPAEKERMLMDSLDRIKSVEFDLEKTKRALHATVMKQMEITEMLESIRESQLHVPLYNPTKIKMSNFQPEDPAPYMNHVGDQMQEVHDGLDDGFHGDAWDSDVDEFDYSNNKIGDTSAAQARKGKDIQGIPWGRLSITISRDQYRQTRLEQYINYENVPNSGDSSAKDCMVTQKGSLFYDFWRNSRSIKSSIIHFQLRNLVWATSKHDVYLMSNFLVNHYSTLKCRKHEVLNLQGHVSPSEKHPGSLLEGFTKIQVSSLAVKDKFLVAGGFQGEIICKHLDRPGVSFCWRTTYDDNAITNAIEIYNKPSGALHFIASNNDCGVRDFDMERYQLVNHFHFPWPVNHTSLSPDGKLLTIVGDNPEGLLVDPNTGKTLGTVAGHLDFSFASAWHPDGLTFSTGNQDKTCRVWDVRNLSKSVAVLRGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVSKGYETEQEIDFFGEISGISFSPDTEALFIGVWDRTYGSLLEYGRHHNYSYLDSYL >A10p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20444831:20447573:-1 gene:A10p035750.1_BraROA transcript:A10p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TT7 [Source:Projected from Arabidopsis thaliana (AT5G07990) UniProtKB/TrEMBL;Acc:A0A178UNZ9] MTNLYLTILLPTFIFLIVLVLSRRRNNRLPPGPNPWPIIGNLPHMGPKPHQTLAAMVTTYGPILHLRLGFADVVVAASKSVAEQFLKVHDANFASRPPNSGAKHMAYNYQDLVFAPYGQRWRMLRKISSVHLFSAKALEDFKHVRQEEVGTLMRELARANTKPVNLGQLVNMCVLNALGREMIGRRLFGADADHKAEEFRSMVTEMMALAGVFNIGDFVPALDCLDLQGVAGKMKRLHKRFDAFLSSILEEHEAMKNGQDQKHTDMLSTLISLKGTDFDGEGGTLTDTEIKALLLNMFTAGTDTSASTVDWAIAELIRHPEIMRKAQEELDSVVGRGRPINESDLSQLPYLQAVIKENFRLHPPTPLSLPHIASESCEINGYHIPKGSTLLTNIWAIARDPDQWSDPLTFRPERFLPGGEKAGVDVKGNDFELIPFGAGRRICAGLSLGLRTIQLLTATLVHGFEWELAGGVTPEKLNMEETYGITLQRAVPLVVHPKPRLDRSAYGLGSA >A03p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16594549:16595514:1 gene:A03p039880.1_BraROA transcript:A03p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSLTLFFFFASAFLYTPSDSFNITTILSQNKDFSTFNKLLSQTGLASTINSRQTITVLALANDAVYLFGDQSMEDNKVVLSLHVILDYYDIKKLKSLSKKTAILTTLFQQSGQAKGQQGFVNVTVKDNGDIAFGSAVPGSLLDSQVIDSVASEPFNISVLHINQLFETKKIKEDWQGKEFKLSPKTLKKEQSLDHKKK >A05p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20415367:20421035:1 gene:A05p036080.1_BraROA transcript:A05p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRLCIKKKLRVTNTYILSTSPNLIYPLLETMETKEEKKILTEEEPEWKKRKLSRHSLKWRGSHITIDGPVDPDSCLESRVESLIQNSNSEFSVIILQMNEQDCILISCLYPWKTRIKLSLGWITKAREIQNKQERNAAIVLARSFDCNTQGNRLHLPSIRPLNSLHVSNTPTYILMSETINFIKTIGLLRKTINHRPLPFFFQNEKISNYNIDSYPLKSPPSLCIKKKLRVTNTYILSTSPNLIYPLLETMETKEEKKILTEEEPEWKKRKLSRHSLKWRGSHITIDGPVDPDSCLESRVESLIQNSNSEFSVIILQMNEQDCILISCLYPWKTRIKLSLGWITKAREIQNKQERNAAIVLARSFDCNTQGNRLHLPSIRPLNSLHAEDGERPFITFKYHISGREEGYPGAVSNTPTYILMSETTMKLNMEASAENKNTPINLAQNTFGVHISPMWINTQIMQDSYGKGISFRFHHKKWIGESTREVGIRYDHNYVLDCPDQEKDGLKHATKLKDGASEQVILHGCGLGN >A02p016860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7582659:7583384:-1 gene:A02p016860.1_BraROA transcript:A02p016860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSTSSICSKPEQIMQNYPPIITCPRFQPQTRSPSHHHHDQHQHLSNPYPTTFVQADTSTFKQVVQMLTGSSTDTKTENHHKAPSPVNNNKVGFSIPPIKKTNSFKLYERRQNNNNMFGKNNLMINTLRLQNSQRLMFSSGHNGQSPRFSPRNSSSENVLLSPSMLDFPKLGLNSPVTPLRSNDDPFNKSSPLSLGSSSEEDKAIAEKGFYLHPSPVSTPRDSQPLLLPLFPVTSPRNP >A06p005520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1668588:1671288:-1 gene:A06p005520.1_BraROA transcript:A06p005520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSGILVVYLISTLLFGQLIYVARGRFHYHKRRGLSDPPPPPPPAVTANPPQVPSDPYPNPNPDPAPGDSDSGCIFDVTSFGAVGDGSCDDTAAFKDAWKAACAVESSVVLAPEGGVFKITSTIFSGPCKPGLVFQLDGVLMPPDGPEEWPEKDSKSQWLVFYRLDGFTFAGKGTVEGNGQKWWDLPCKPHRGPDGSSSSEPCVSPTMIRFFMSNNIEVGGLRIQNSPQFHMKFDGCEGVSINDIQISSPKLSPNTDGIHLGNTRSVAIHNSVVSNGDDCISIGTGCSDIDIQGVTCGPSHGISIGSLGVHNSQACVSNITVRNTVIRDSDNGLRVKTWQGGTGSVSNLLFENIQMENVLNCIIVDQYYCQSKECRNETSAVRVFDVQYRNIKGTYDVRSAPIHFACSDTVACTNITMSEVELLPEEGELVDDPFCWNAYGTQETLTIPPIDCLLDGSPVVEEGYNSNPGC >A04p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9828673:9829801:1 gene:A04p015920.1_BraROA transcript:A04p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSSRSVYIRSKDETFSDLEPKLDRGDGVGGVGNGGSNSENIGFSGRFLLPGDYGEEQALKGRSEFMKGFDEGVKSVSNSKFPSVHRMSVFRDGEFLRDYLTITEIDQILVYLTAMFGVYELMSKKSNHIR >A10p000070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22401:23441:1 gene:A10p000070.1_BraROA transcript:A10p000070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQKQAEIIGQLVDRASKCSGESMWPIYITSFSFRLFQDFGSPQLPRSCFRNSHLNNALQLEGTTHSVFLDVLRLFAHGTWGNDNRIPQLSPHQILKLKKLTLLPNSEDKAAHSLSSPLISTYVTNVRQLEDFLNNECMYDGIVRGKLDQLKRCFEVPFAAGRDPRPGELGDMLHALSNL >A09p023960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13250210:13250374:1 gene:A09p023960.1_BraROA transcript:A09p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKQRNHERGKLHQTTIEVAPADQPKLVEASVVVTHLHQSILLFSSLPDLTST >A01g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24521161:24521858:-1 gene:A01g509080.1_BraROA transcript:A01g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKGKITVIVITTPNLSKWYITIFIILRNFQVSDQIYLRKYNLRDWLCVIALNNDKDRFLSVPRNKCLAFFLCLLKRIGRLCLRSCRRKKAFSMYCQTDCKTDCKKRRAATTSLISMYCQTDCKKDVCLVPVTATAIVRQTVEELLYIFGSAFFMEIENSDCLVVCSESLIALV >A07p042520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23025190:23027511:1 gene:A07p042520.1_BraROA transcript:A07p042520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRREMDVSEKDELRNGDDTTVNYDESANNPPPDWRVSGSNPVSDSYPTENLMMETLWYDPTNVQAVGYGGFNGGGNPSSSSSFRGNIDRSLEMGWSLPNLLPPKGGNGMFLQNATHNDASAAMKETNVISSEQGNKQNVSEDTQSSGGNGQKGGETSSKGFDSKKRKRNKQNADADQSNRSQQSEEEPGNNGDGGGDKKRNDEQSPNSQGNKTNSGKQQGKQASDPKDGYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQMDFNLEGLLAKDALQLRAGTSSATPFPPNMPMVYPPLPHGFMQQALSSMGRNISSPLSPPINGGYKRQETNGWEGDLQNVIHINYGAGDVPPDSQAAVATEASLPSSNMKVEP >A08p033130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19962755:19964434:-1 gene:A08p033130.1_BraROA transcript:A08p033130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLRKWCLVSVLVLYLGLGFNVKAEPQVPCYFIFGDSLVDNGNNNGLSSLARADYYPYGIDLGGPTGRFSNGKTTVDEIAELLGFDNYIPAYSDVSGEQILQGVNYASAAAGIREETGQQLGQRIPFSGQVQNYQNTVAQVVELLGDENTAADYLRKCIYSVGLGSNDYLNNYFMPQYYSTSRQYTPEQYADDLINRYRDQLNALYNYGARKFALVGVGAIGCSPNALAQGSPDGTTCVERLNSANRIFNNRLKSMVQQLNNEHSDAKFTYINAYGVFQDIIANPSAYGTHNFSCILSLNSITK >A01p013390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6551522:6553821:-1 gene:A01p013390.1_BraROA transcript:A01p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 27 [Source:Projected from Arabidopsis thaliana (AT4G21230) UniProtKB/Swiss-Prot;Acc:O49564] MFRYTSRTILGEMEPVPLYHILSNVSVMDKEGFSKGLGELLDSLGSKIVDAYETSARVKGTVYALAQCIPDLSKSDCRICLSQIFAGVPTCCDGKSEEKQPLSPPKNDTKIINGSKTLVFAVIPIVTIVLVLISLSLFIYLMRRKKNLKEEAEITCPASEFQSADEIESTYSLHFDFDTIRVATDDFSLTTKIGEGGFGAVYKRHCLVLIIGISRGLLYLHQVTEFSIIHRVLKSSNILEWQDNLIFIRLKQQQKELLELSMEKLVEGTAVDLIVHVLLKSYSKEQSMQCLEIAFSCVQENPTKRPTMDSVVSMLSSDSEPLRLPKPSQPGFFRRSMSFSIGHNVIIENCCLLCGQVGIAGSTQKVKNIFRRFGYYVPLGGRDAVRDHVSIVSMVLRGFHRFKKKRFLVLLCSRKPLFVVWFEQEET >A09g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14874843:14879439:-1 gene:A09g504880.1_BraROA transcript:A09g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKGVAVDRDREKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTTHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNMHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGAMSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSQRRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDLSQRPSEVAPEAQSDVLERLAEVAARRLSARIHKNHQKPLESHLFESIDHSKLDHPRSNPYIHEFSFPIVKKFKNPSKSLIALRLSDYLHSRCFDISQNWFDNHLYYSICLRSLENS >A08p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18026837:18030138:-1 gene:A08p029050.1_BraROA transcript:A08p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATSLVVKVSYGGVLRRFRVSVNANEQLDLDMAVLRWKVIRLFNFPVDADFSLTYSDEDGDVVALVDNNDLFDVTNQRLKFLKINVQSNTNSLAPEGTRSSTASAMPNSLNPVSQIQKGINDETISKVYIDLASKAASSSPVVGELFDCISKLGTLSGPQEGSLLSPVAIPVLSGPYPSREVPSFGEKKSQPGKKPVDLNEPTGFAVSKTSGPVPTSSGLDASFNECPFSGSTVNRSGPNPSNFKKHARRVCHSKKSSNGDYWTSLGVFHKGIRCDGCGVLPITGSRFKSKVKEDYDLCTICFSVMGNEGDYTRMDKPASVQHSHPFRGQLTPISNPWVGHVPQPQHGGLHLRCTRPKLDSRFVLDVNVLDGTVFAPSAQFTKIWKMRNNGSLVWPHGTQIVWIGGDRLSNSLSVDLQIPVEGAAPIDSELDVKVDFVAPELPGRYISYWRMASSSGAKFGQRVWVLIHVDASLENCVVNKFHGLNLNASPDENSSSEFTGINHKPTRAGSSSVNSEVVKGADLEGEAAGSKVPEKDDLPVGEAEPATLSPSSSSSSFNMIEFPNMPAVEALGGGSSSTKDIPVDLQEDIEKNEVEITMLKELEEMGFKEIDLNKEILRENEYDLEQSVDALCGVSEWDPILEELQEMGFCDDVTNKKLLKKNNGSIKGVVMDLLTGEKEA >A09p076280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57119543:57120552:-1 gene:A09p076280.1_BraROA transcript:A09p076280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAAKSDRSNSPSHDFSFGPHEPYWRTNSSFSPPSSRWDLHGLTDGVSFYGSSTSSNANVLRSPDLSQTLHWTPSDFESATRRDQTPKRFFLSKPVHPILHPSDNAREITSDSADACSWSSGTPSSIDSTDVPEPLLDAQRVVASSTFKCGLCNRYISQKSPWGSRSIMRNRDMPVTGVLPCQHVFHAECLDQSTPKTHGNDPPCPVCTKQEGEHSNKSHNIGLRLKPLCEDGTSTRQWGCAQVGDCVESAINVPPRNTMLMINRNRIRKNLSLRGNSSKDSPRKIKKSNSFALENQVSLVHSRGKQKAA >A04p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7375520:7378770:-1 gene:A04p010110.1_BraROA transcript:A04p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANGNNNKKRGGGLSSLAPREISVQKFSEARAAELESLHSIVSERLNKDFRSKRNQRRRTNAYTSQPSKRRNIKRQRSESLSIGQASSSHETKIPRRVKRRMELKGNPESGFSTTGDGTKRLRTHVWHAKRFSMTKLWGFHLPLGLHGRGRGSRDILNKSRQGVLVHDASYHIAVQLEGPEGSLLSILNMLLEPSPSSRSKEVFDSILTGLSYGNSMLYHVEPPVSQVISPVIYMWRPSQLPKRRDEEEVGDCVGNDGCLVSNGDHADSRKLWVWIHASSFSEGYASLKLACQKQMNETGVLVDCLSLEGQLAKLELFGTKASQLLQKILHPVTSSSENPPSVLRKCSMEKAEAKNVVDPYKEECIPSCAILPRFVIDPRLIPNNPCDDTTMSAEMTKTEPTESLEMLTTSTEAETFKCLWDANSELYPPEEENILCWEKHQSRMKSFCIDDPADEFPKISSKPRSSRSCPLLLLKHRKLGDTATGWSLILPLSWVKVFWNAFVSKGAQAIGQREKRWLYCDAGLPFFPSDFPDCKAYSSLTMSEAADVEEKAQRRPLAVRPFRIPIPPPWSSIHVTRCVKESSDQKLTSGWTSGVEIFSNGGNLFDGIVARTPDSLPTFLQTFTNRVETIQEDETKARGQIHKSSDNKLCLVRVLLHAFKESSFEEGAVVCAPSLADVSLLKSGCSEGEEGGVTIPQSSVSSYFLEQPSGTWELNVPEDTHTKQSHRWPIGFVTTGFVRGSKKPTAEGLCDAVLLGRLREEQWRGKDVKRRKKEIYVLVRNLRSCAYRLALATIVLEQQDASGDDVHCF >A01p049030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27565571:27566095:-1 gene:A01p049030.1_BraROA transcript:A01p049030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTLPPTPRRDQSFSSELKLFVGNLPFNVDSAQVAQLFESAGNVEVVEQPGCLEITHHGQVFMLRRAPDEPRGHAAAIMNEGLLDAECKGVINACQKKELLQQMALHLS >A08g510750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25177112:25178750:-1 gene:A08g510750.1_BraROA transcript:A08g510750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGEGSTEEKYDVDIATTASSLGGSGVFHIINDILGFVLYMHQQIPSVLQDMSLDFDGLQTEFTDLEANLTQPDVKPLVRRKLLSRKREVKHEIKKMQKLMSTISTLRSALQLLIREAPGVQRVVLILGGSPLRPQKAYELFFTHSVDVLRFEGDFSKSKATEALSKKTIRALISTGAGSTSCPGPMRLFILVQAPPSLNLPQHFLPKRDFRYNRKFVPLKLRFKCKTQDNETNPPFNYDTNDLIWFQCRHVIKGLAFQQPVDE >A10g501960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5163695:5164078:-1 gene:A10g501960.1_BraROA transcript:A10g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIELGISSPFHVLDDSHTEPPIGKNLAFRLGEERTRERSRRVSWRRATDTGDVVRLRRRQQTRETPPFRRTKPPIARDVAYCHREKERERERKKRRNATEANDTSPQANSFATRARLGTTLPVLN >A05p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12528566:12529557:-1 gene:A05p025680.1_BraROA transcript:A05p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-Cys peroxiredoxin PER1 [Source:Projected from Arabidopsis thaliana (AT1G48130) UniProtKB/Swiss-Prot;Acc:O04005] MPGITLGDTVPNLEVETTHKNFKLHDYFADSWTVLFSHPASPIYLLSLLTNGLKGDFTPVCTTELGAMGKYAHEFEQRGVKLLGLSCDDIQSHKDWIPDIEAFTPGSKVTYPIIADPNKEIIPQLNMIDPIENGPSRALHIVGPDCKIKLSFLYPSTTGRNMDEVLRALDSLLMAAKHKNKIATPVNWKPDEPVVISPAVSDEEAKKLFPQGFKTAELPSKKGYLRVADVS >A03g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24454046:24467112:1 gene:A03g506880.1_BraROA transcript:A03g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQVLEYHMEFLETFGCIWSSKEVRCALPLGATTLERRPEVARSFDETELKTEAGATSRSDTLRSLPKPRATCWSDLPRSLRVAYMLEFMFSQGPFCHFIMHAFYSENLCFNTLKNHQKPLESHLFESIDQFIIENSVFLSIFPEFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQRHLKVAPAGSEVSRATLHGRSRFRRRIKKKSPQSEVSERGRRVAPAGSDIMGATPRSRSRFRRNGAQKLTRSDVLERHLKVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKKEAERTEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTYEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDIEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGQDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWLHFAYMSPYQVLEYHMELLETFGCIWSSKEVRCALPLGATTLERRPEVARSFDETELKTEAGATSRSDTLRSLPKPRATCWSDLPRSLRVAYMLEFMFSQGPFCHFIMHAFYSENLCFNTLKNHQKPLESHLFESIDQFIIENSVFLSIFPEFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLRLSDYLHSRCFDISQNWFDNHLYYSICLRSLENS >A01p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18071940:18072672:-1 gene:A01p028670.1_BraROA transcript:A01p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFLEFGYFQGIVEDTKRKVSCVWAQGLLGYLEIVIGAFRRTSMKVSRDVSTYSIQSGASTMGFIEIPVFSGDDLRPWINWMENRFAVEDFTDDQKMALAYAVIRGETESWYNNRVSRRPFQNWKDLKDAMLLEVMRPSPSFVVTDSIPEADASNIEATDSCLVIDCDHDNDGNDHDDSGFVQEALHYEETILAEEEEVESKPEDRRQNKMSLAPKS >A07p044160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24126345:24128007:-1 gene:A07p044160.1_BraROA transcript:A07p044160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRNSQKSDLGMTKSMNFFQNIIKPFKRSSNRGIEDDIERIAALEQKVFPFQVLVSATKDFNPTHKLGEGGFGPVFKGRLPDGRDIAVKKLSQASRQGKREFVNEAKLLAKVQHRNVVNLWGYCTHGEDKLLVYEYVANESLDKVLFKSNRRSEIDWKQRFEIITGVARGLLYLHEDAPNCIIHRDIKAGNILLDEKWVPKIADFGMARLFQEDATHVNTRVAGTNGYMAPEYVMHGALSVKADVFSFGVVVLELISGQKNSSFSLKHADQTLLEWAYRLYKKGRTMEMVDPDIAASADPDQVRLCVQIGLLCVQGDPHQRPAMRRVSLLLSRKPGHLEEPERPGVPGSRYRRRTHHRPSGTSSVGTLSTTGSSTDSFGSNLNTNSGTGTGRATPVSARTPTRGHATRSAGASSSSDPHGKRPMTSY >A01p021120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10309939:10310587:1 gene:A01p021120.1_BraROA transcript:A01p021120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPKIIKQVKRQKLEMGEIKARRVILLSIMFLIFFFSQTLLLCSANEHGSRSLAVVMRKRVKYRGSRSRNSTSSASTMTFLSSFHMGAASSFVLALLL >A03p058760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25477741:25479984:1 gene:A03p058760.1_BraROA transcript:A03p058760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKCCGDWNFSGSHAAREASTAHLGFYSSKILAQCDFEKTIYPPLGEPALAHDVAENAVVQAVKNGRGNAYAPSIGLPAAKKAVAEYLNRDLPKKLAPEDVFMTVGCKQAIELAVDLLAKPKANVLIPSPGWPWEVARSIYKKLEIRKYTFHPEKNFEIDFESVGKHADENTFAIFIINPHNPNGNTYSRDHLEKLANLAKKLKIMVVSDEVFRWTVFGNNPFVPMATFSDIVPVMTLGSISKGWCLPGWRTGWLALHDLDGVFKCTEVVSAAKEFLGITSKPPTVIQAAIPTILDETPQSFFDTRQSFLRHKAELAYSRLDDIPSLKCDFIPEACTFLWTKLILHKFVDIKDDVDFCEKLAREENLVVLPGIAFGDLSGWVRHSIDMDTLTLDIAFDKLTDFCDRHTNFSLATASSTTDGA >A06g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16906240:16906636:1 gene:A06g505850.1_BraROA transcript:A06g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLYKVSACEVPRWLGHVLPLVARNRGRDLFHAPTFSVRSLLSSVNEGFQYFHETGSHIHPPERPGSEASYLAKCLPPASVRRPLLWIRLMHPPRRSP >A09p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4597463:4600589:1 gene:A09p008970.1_BraROA transcript:A09p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPETIAVKHEPTTVDSPSSSSAVAVDNKPARPQKLVVLADLNFNPPESDDLDSSSIQIPTPSIITRLSNEESNQDGGLLTCKEVEPGELEAKKISKVGKCRSRSKIEGSSDCAVDADGDQASQGVPASREEKISNLKMGLIHVARKMPRNAHAHFILGLMFQRLGQSQKAIPEYEKAEEILLGCEPEIARPELLLLVQMHHGQCLLLDGFADSDSVKEIEGEELEEILSKLKDSMKLDVRQATVWNTLGLMLLKAGCLMSAISVLSSLLALVPDNYDCLTNLGVAYLQSGDLELSAKCFQDLVLKDHNHPAALINYAAELLCKHSSTVAGAGANGGPEASEDQKAHLNVAKECLLAAVRADPKSAHAWVNLANSYYLMGDHRSSSKCLEKAAKLDPNCMATRFAVAVQRIKDAERSQDASEQLSWAGNEMASVIREGESVPIDPPIAWAGLAMAHKAHHEIAAAFVADRNELTEMEERAMFSLKQAVSEDPEDAVRWHQLGLHCLCSQQYKLSQKYLKAAVSRSRECSYAWSNLGISLQLSDEQSEAEEVYKRALAVSTEDQAHATFSNLGNLYRQKKQYELSKAMFSKALDLKPGYAPAYNNLGLVFVAERRWEEAKFCFEKALEADSLLDAAQSNLLKAKTMSRLCTCFTSSTVVQDS >SC263g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000112.1:25310:27157:1 gene:SC263g500020.1_BraROA transcript:SC263g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSEVDGLGGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGDSFGASMIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDGHDHGVIQTPSQDE >A03p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:428241:430798:1 gene:A03p000710.1_BraROA transcript:A03p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEQEREDLYHTDDDEDEESQAHSSVPSTPLSRNDSNNDAVSWPRSYRQSMDLLTGVTPPISTNLVSSFRKRRQSSAFGSFASSSSKQSLLIDKDETQNSIVTSIKSFIDSHLKLSVPDDLSIPQENRKCTISQSVINGVNVLCGGALLTMPYALKEGGWLGLLILFSFGIITLYTGILLKRCLENSPGIHTYPDIGQAAFGTTGRILLSIFLYMDLYATCVEYIIMMSDNMSGMFPNTSLYIAGISLNSNQVFAVTTTLIVLPTVWLRDLSLLSYISAGGVFSSILLALCLFWVGSVGGVGFQLQGQVLDLTNLPVAIGIYGFGFGGHAVLPNIYSSMKEPSKFPLVLLMSFGFCTLFYIAISVCGYTMFGEAIQSQFTLNMPQYFTSSKIAVWTAVITPMTKYPLTLTPVLLSLEELLPSSSRKMRSKGVSMIIRTILVLSTLVVALTVPFFATVAALIGSFVAMLIAVIFPCLCYLKIMKGRLTNFHMAICVLIIIVGVVSGVCGTYSAIVRLIGEMT >A05p033170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:2120:6792:1 gene:A05p033170.1_BraROA transcript:A05p033170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTERTIGGLPAKFMKEREARAHVSDGAGPSNRGAEGEGREGDGKALVTYSATPNIRGNDHDFIRRSEMDALIKMLKENALRVVKMLELSTPLLEMLG >A03p016280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6480492:6482851:-1 gene:A03p016280.1_BraROA transcript:A03p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQSQMTRPYGHDQAEDPIRIHHPEEEEHHEKGATKVLQKVKEKAKKIKNTLTKHGHEHDHDADEEHYEYDEPDPEVHGAPVYESFVVRGGVTGHPECLSHPGETNLPAPEEIIPPGTKVFPVVTTDYTKPIEPEPLQDISYEHDAPSHPDMSEKEERRDAPSHPLRVFDISEREESREDHQMPMHTPASLLSSTEDVTRTFSPGDDENLGGQRRVNIGRPRGLEEDPGAPGGESVSNYQTKVTDPTHQGGGEAGVPAIVESLGKMKVRDESPVHKSGRDFEREIPTRSHEFGLKDEHAIGKDSAAEFGGESEDGMGKYFRTRSHEFGREDGSGADKNSATVLASGSIAGLGEDFPAKSHEFGVKDTAKGCGEETGPGLEKHLPPISDDVKVENVLRRDLPTGTHDQFSPALSRPIERDDLKERHESKSTTYTEKIGSATSYVTDKAVAAKNAVASKLGYSGESGGQEQSHVGDETTPRSATGYGQKVAGTVADKLTPVYEKVKETGSNVVTKLPLSGGGSGAEEKQQVEGKGVSTKDYLAEKLRPGEEDRALSEVIAEKLHLGGGDKKRTTVKEVEVTVEKIPTDQILEGREQGASFTDEGKAVGGGGGMVGKVKGAVTSWLGGTTEHVRPKSSDSVEDESSQSLGSTVGTMGFSDSGGTLPGQRGLQASGN >A02p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5511000:5512196:1 gene:A02p012610.1_BraROA transcript:A02p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREISSTLRNLKFMQRSALKEEEKKKIDEEPNGSFPSLGTVAKKCVVITDWDPQPGASLGRMSFQSFNPSIEKLNEEAISGGQTDASPTSSSSNGGRMSFSEPKKVETSRETNGGDLKRKLSEEQNHPSKSKRSSDKPSPSNRQGDGFKKPKSWSGLKPPKSQTKN >A03p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2439656:2453644:-1 gene:A03p005870.1_BraROA transcript:A03p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDQTALLLTKIRSLEPDYAPKIIGYLFLQDFSEKDLMHLALGPQSVLHSIISKVKTQLGLLSNNLSAPSTPPSPYPISRPPINGRGVGGHSHSNGFMGFRRNSPSSPSSSSPWSINGDSAADLLDDQQLNDCLSFLDDSCEKTEDVADHIDNGETHLHRRSFSADNVDGNDIATDSPRKVEDFVRHEEMMRFKMAYQRQRLASSQILGRVPQFPYEKRMDFLLHQHPHRDGGLRFGDERYWSSSPGRLERMELMAMHFNDLSNSVARQVYLTFPAESTFKDEDVAAYFSLFGTVQDVRIPYQQKRMFGFVSFAHPETVKAVLARGNPHFICDSRVLVKPYKEKGKALDNDNRKHHHLLQQQIELGNYSPCSSPSGLDPREQSDFQLDSKMFYERREMMRRKMEQADRQRAIEFERRRFINLQLPEFKNHHRGFSVGSPGYFPSASNQSADIQSELNSAADGFEVVDDTTVLHPYSVTDPRSINNDNDSNGAKERTNESEPDTGSNIELVLPSNLFPSATSTDDSAETNADAGVSASSSYGNDHEPPATTWNKKEEENGTLTSYPNHLPDRPRFRAACTVNMRSTRIQDMMAQDSKCRWGNYFGFIILPFHMGLQTDPLVYLKLSKSMMARKKHSYHALLVYFSIKIAIKVFGTKAAATILNRPVKNLTTCVSNIVGPMEEISFRGHPITYIALSSYGHSQPLLVHYVSYAGKMIISLAVDPTIIPDPHNICDDMEVSLKSMKAALSERGMNQYFNLEEEPLSPMARVFQSPDVDYCVVTIMGFKNQDLSRQAKINVQDHVAVPYIDPEEIGEDGQGFVDDYISRLTMIPLDRSRPLWDIHILNVKTSDAEAVGVIRSHHSLGDGMSLISLMLACTHKTLDPQNTAIPSLKRRETVLHGLRKQGWFLRLRCTVCSIATLLWNTLVDMLLLLATVLFLKDTKTPLTGGEDTGRNRKRFYHRVISLDDIKLIKNAMNMSINDVLVGVTQAALSRYLSRLYVNEQGKNNEEDDGALTSYPNRLPDRLRFRAACAVNLRSDIGFKPLADMMAKDSKGRWGNYFSFIILPLSIGLQTDPLVYLKLSKATMARKKHSYHAALVYFIIKMVLMVFGTKAAATLFNQPVKNLTACVSNVVGPMDEISFRGHPIAYIAFSSYGHSQALLVHYISYAGTMMISLAVDPTIIPNPHKICDDMEQSLKAMKAALWERGKERMREEEEEPLSPMARVFQSRGIDYCAVTMIGFKTKIKPAVVLDALKHNVYKHPRFSSKLSSDGAKWIETEVNVKDHVVVPYIDPEEIGEDGEGFIDNYTSRLTMNPMDRSRPLWDIHILNVKTSDAEAVGVVRTHHTLGDGTSMVSLLLSCTHKTSDHNRVSTTIPSLKRRGRYKNKGWFLRSMFTIGSTMTLIWNTIVDMLLLFATLLFLKDTRTHIKGGADVGSNPKRFYHRTISLDDIKLIKNAMNMTINDVLVGITQVSLSSYLSQHTKNEEDGALIPYPNNLPGGIQFRAGCTVNLRSEKGLKPLADMMVKDSKCRWGNYFSYIVLPFSIGLQSDPLVYLKLSKSMMDRKKHSYHAHLAYMMIKICQNLLGAKVAAKLFNRTVINTTTSLSNVIGPMEEISFDGNPITYIATSGYGHSQALLMHFMSYAGRMTITLAVDPTIIPDPHKICDDMEQSLKEMKAALWERCKKRKQRYLPQNRPAKKKGAYPLKPGVQGFFISCDGGREHQASQEAINVIDSFFEELMHGTGLKVNSSGMLEKPVNKKVTFSYSDDEDGNEEDGEGDNGDEEGNKGDGDKTEVREGGNDQVNEKEVASEVKQLAETKTAKEEEDEVNDQNGVKEPPRKKACTEEASESTKVSVNAEKSIDKLIDAELKELGDKSKRRFMKLDPGCNGIAFIQMKRRDGDPSPKDIVQHGLTSAAATKKHISRFILRLVPIEVSCYPSEEEISRAIKTLVEQYFPVETDNPRKFAVLYGARANTGVDRMKIINAVAKSIPAPHKVDLSNPEMSIVVEIVKTVCLIGVVEKYKELAKYNLRQLTSTK >A09p011600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5865491:5866731:1 gene:A09p011600.1_BraROA transcript:A09p011600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAKSMLGLSIASPKGVSDSSSRSVGVLRACVSMEGSQTMSHNKNGSIPELKAVNGHTGQKQGPLSTVGNSTNIKWHECPVEKVDRQRLLDQKGCVIWVTGLSGSGKSTLACALNQKLYQKGKLCYVLDGDNVRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRVDRDICRTLLPEGDFVEVYMDVSLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEISLGQEGTGTSPIEMAETVVAYLEHKGYLNA >A06p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7569765:7571233:-1 gene:A06p016880.1_BraROA transcript:A06p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDRTQQLRGSRIAIAILIGIIIGCVCAVMFPNGFFNSSSSLTANERVQVGSSSCESPARIKMLKSDFASLSEKNVELKKQIRELTEKLRLAEQGSDNARKPQIKAGPFGTVKSLRTNPTILPDESVNPRLAKILEDIAVDKEVIVALANSNVKAMLEVQIASVKRLGIKNYLVVALDDYIENFCKSNDVAYYKRDPDKDVDTVGKTGGNHAVSGLKFRVLREFLQLGYGVLLSDVDIVFLQNPFRHLYRDSDVESMSDGHSNMTAYGFNDVFDEPAMGWARYAHTMRIWVFNSGFFYLRPTVPSIELLDRVADRLSKAKLWDQAVFNEELFYPSHPDYIGLHASKRVMDMYEFMNSKVLFKTVRKDQELKKKVKPVIVHVNYHPDKLNRMQAVVEFYVNGKQDALDSFPDGSE >SC347g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000190.1:7191:7959:1 gene:SC347g500010.1_BraROA transcript:SC347g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRMCTSLAKSILTWTVHQSKLSLCQISTRISQREGPAKADMCTDGACSCPKSVHGLPTGGPHAESVVSMLKMSFSITISARSVYGIIQRDVHGQSHGKGHIADMGGQMLMEFVQRISPRSYRQPRKWASNADMCGRHAEYEFSTRISPRISTRSNNGSVQHGRRTGRAQQAEIVWSSIADRIQYIGYSTDHTSDSPREGQSI >A06p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18256785:18260453:1 gene:A06p033800.1_BraROA transcript:A06p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPVSLEGIQKGGNVVSDLLRELTALPTGTHSQGEKHIYQLEGAGFEDTRNLMPRLQITEPVTERFFDSEEENWKLVSGKAHSPHVASIHVDNQRSLQIAEDDSSFVVSSSRFSPLLEDVDEEEEHLEDSSNGVEGMEEGELVEDKSNPSKQVTGKGRRAVGALPQKATVKEATNLNGWYVSGKRNRRFQDLYNKILAQPLPDEPFGIDKVLWKHGEDDFKDSFSSTET >A03p050540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21725557:21734449:1 gene:A03p050540.1_BraROA transcript:A03p050540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKGARALREHLSLPPPPPPPAVAVAINGKKKSKYVAFWALEKFIPEGFSDFKLLYVRPPVTYIPTPMGNAISVTELRDDLVSAYKQELDWNTKEILQPYKKMFDRRKVQVEILVLESNDPAAAIAQEIAGTGVTKLVIGMSLRGFFSRKIDMSSMIATAVPRFCTVYVVSKGKLASVRPSDSDASGSIRLETSSSTSGSTDSPRLPSEYQDFNSFVSEAQSRVSEMRSSGVAHMDTSCSETGQSDVSRERGMQIVLSGGGGNEGRRSNYNNNNESFSASFPMGEEAYHAMSWTSRWRDHEERRSIMSSSSSNNHELANMEWGAVVPENYSWVSHQASNMSDGRISFHSFNDNQVNLTFEIEKLRSELQHLQEMYAMAQNENVDASKKLTELNQRRFEESEKLVDLKEKEEAAKDTASKERQRYEEVMKEAEKVKELMVKEALHRREAEIKAEREAKEKDKLQASLVCPGIQYQHYSWEEITAATNDFSEDLKIGVGAYGTVYKCNLHHTTGAVKVLHAGETQLSKQFDQELEILSKIRHPHLVLLLGACPERGCLVYEYMDNGSLDDRLMLVNDTPPIPWFERFRIALEVASALVFLHKSKPRPIIHRDLKPGNILLDQNFVSKLGDVGLSTMVNQDDAASKLTVFKKTSPVGTLCYIDPEYQRTGIISPKSDVYSLGVVILQLITAKPAIAITHMVEEAIGDDAEFMALLDVKAGSWPISETRELAALGLCCTEMRRRDRPDLKDQIIPTLERLWKVVEKAQNSLSRTSLDPPSHFICPLLKGVMNQPCVAADGFTYDREAIEDWLRENDTSPVTNLPLPNKNLLANYTLYSAIMEEGALIVAVAIKGNNSKTKGVIRWALQEFASQEHVVFKLLHVQPRDSMSVSTSRKDSTTMVYKKDVDRKTREMLHPSSSMFAHREVQLDMMVLESDDVADAISKAVQDHGISELVIGASSSIIFSWKLKRSNLSSRISDATPRFCTVHIISKGKLLNVRKSDVDIETSIADDRSESQFSSSSQSGSVSSTSSHQFSSTSLLYQRVQALSTVNQKVGTNMGTKKSIDTHHSRAASLDVDEPNQRGYYRTNSSLVRYKESDIHSRRSSLTEEGSSSGCYSDPTSSSSQMNKDFELEKLKIELRHIKGMYAVAQSEVLDATKKMQDLNQRRSEEATRLKNLTIREE >A10g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11489831:11494462:1 gene:A10g504690.1_BraROA transcript:A10g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10177683:10185080:1 gene:A04p016570.1_BraROA transcript:A04p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGDLLSELLLSLPEDVFALISRFLSPSDVCNLSLCCKTLRDLVDSEKIWLVQCEVVKVLPLSEIVQWRAGISSYKALCRFLVEVTKPLVGVWVHQNPELGNVVYVMSGFLSVIGCRIIPQEVGSLGIQEGRLLWSPVFEIVSGFDGSARFFLHGIDRESSYLYPGFVTSIDKCCNVLLLEVEPKRREIERRAEKVLFPFCKLPFCDRRKLLYLVTGHVGLPVPELSLKDDKAKSLERRTMLLKSGGNWSHMSLEDELCYDPIQVEINDELWTHLGYGGDFRHVDDEVQVQGTQRKSLSKYFRSGIKSILRRSNSTGSSSSSAKQQASCSSEIRRFNLQKFLSAGDFVGLSVKASKIKLTSYRGWPSMHETHFALYKLPIKIPVEENQEYAGLWGGTFGWPPGKCTEDKPGKALFLLMLTYEKSQDGSERLLVGTKILEGTHYVMHPNGSAMFVVKIGSPTSEIFPFDDTTNGEEKYGFECCYTGEGIAKGYGFRYPGYKPGSLFVTSKGLLMFVWKETKTVLTLQRLNLEELLKKGKT >A05p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8764789:8769973:1 gene:A05p018790.1_BraROA transcript:A05p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RRP6-like 2 [Source:Projected from Arabidopsis thaliana (AT5G35910) UniProtKB/Swiss-Prot;Acc:A9LLI7] MSDGNMDVEESPEVSSLEALVVDGSSFSRNLSKLSSSSRLIPTSKDFHFFYNFDDFKRPTDDVSATSQSLLETIGDSEHVLGKSITFPGDIEEDDADDWLCNVNDEFLESFDVSVDEFQRVRKEEEEIGRTLLPPASDFEEVGFQMVYGKKKKPLVGGSGRDGGSVNDVKVAERSLSGKAKVPFHVPTIKKPQEEFNILVNNANQPFEHVWLERSEDNQRVLHPLEKLSVVDFVGNSVSQMEPVKPLPLEETPFKLVQDVKDLKDLVSKLRTVEEFAVDLEHNQYRSFQGLTCLMQISTRTEDYIVDTFKLRVHIGPYLREIFKDPKKRKVMHGADRDIVWLQRDFGIYVCNLFDTGQASRVLNLERNSLEFLLQHFCGVTANKEYQNADWRIRPLPKEMTRYAREDTHYLLYIYDVMRLELQRVAKADHQTDSPLLEVYKRSYDLCTQLYEKELLTENSYLHIYGLQAAGFKAAQLAIVAGLCEWRDYVARAEDESTGYVLPNKLLLEIAKEMPLSVAKLRRLLKSKHPYIERNVDSVVSLIKHSIQNCAAFESAAISLKDASPGTVMENIEPLSERKDMYTRTQDVASPNLKENSLQVQNNTSGLVTVAADASELKDLGTGLFGSAKVPAAVLISKKPSSGLGALLGSSASKKKFRTDKNVNEEAKLEQIRSSVNLQFQSFTDKSSEEVSTTMPASVSKQHGVTALKDDSEEASEIVGTSDRVSDAEVSCFETENVIPLDDGGGKEVDAEDDPMSLSELSTNFQKCFNSMSKSNNKAQKPEFLNIEPFDYEAARKEVTFGEGQKGRQGGKKEGGSKAGGQKKGSAPEQSEFGQGKRRQAFPASGNRSATFKS >A02g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17934915:17936017:-1 gene:A02g506300.1_BraROA transcript:A02g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEAHVHCYTHRQGSLTISVKKLQDYLLPGEKEGKIWMWHRCLRCPRPNGFPPAILRVVMSDAAWGLSFGKFLELSFSNHLAASRVACCGHSLHRDCLRFYGFGNMVACFRYSTIDVHSVYLPPSVAERAELLFSEVLNAISQIAVKGSRRRIGELEELLQREKAEFEENMQRMLQREVKEGQPRVEILELYRLRRQLIFQSYMWDHRLINASNLQKLESSDDTKREENDKPPLAKSQTLREMNAGTNTLLAGSEVDPNPDGGSTGDTLG >A08p027970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17593894:17609352:1 gene:A08p027970.1_BraROA transcript:A08p027970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRSKPSPPPPRLTKSSVTKSDGSSPSSVHSTRLSLDRSPQSVNSKPSPDRRTARKEVESVRSQHALDISALLSTTEELHRVQQELAMTADAKNKALSHAEEATKIAEMQAEKVEILSAELSQLKALVSSEEQKKATEGDEVVSKLRSEIEMLRGELENVSVLENKVKDQEECIEQLHVDLEAAKIVESCANSLAAELKNELEKQVDESNKLKMSASESLDSAMKQLGENSHALHEAELDNAALKEKIESMVMTIARQEEDLQESQRQVCTLKQETSMLEKLVESVKADLATAQEEALENEKTTTSHIQNLLNEKTELATELESCRKEEEETKKAMESLTSELQEVSVEAREAKERLLTCQAEVEHCGTQIESLKLAAKETNEKYERMLEDARNEIESLKISLGKSQDEIVSSKTEWELRELHLMACVKKSEDETFSLQGELSKVEDLLNLKENEVKAAKEEEANTKRKLEELEEELKDMQERVGEAKAESVKVKESLLEKENELENAAADNKKLGDELSKINESLVDKETILQSIIQEAEELRGRELEYLKKIEELSAANLSLLDKETKLLSSVQEAEELRGRELEYLKKIEELSAENVSLADKETKLLSIVEEADELKGRELDYLKKIEELSAANVSLVDKETKLLSIVQEAEELRGRELDYLKKIEELSATLSAANANLVDKETKLLSIVQEADEPKRTEIACLKKIEELSAVNESLVDKETKLLSIAQEAEELKRTEIAHLKKIEELSALNESLTNKETKLQSTIQEIEVLKEKEYVYIKKIEELSLPNEILAEKEAKLHSAVKENEELRERESSYHKKLEELSKVSDNVAAREIKLHISTQENEELREREAAYLKKIEELAKLQESLLDRETELEGKVLEIEDLKAKDSLSQKKIEELSNLNKTLLVKESELHNVVCENEELKSKEASSLKTIEELSDLKQSLVGKENELKTAVVENEELKANAASLAQKIEELTTLKQSLLDKENELQGVFHENEELKAKEEASLKKIDELLHLEQSWLEKENEFQRLHQENEELRVQEASKAKKIEELSILLDKEAELQAVIHDNAELKTREASAVKKIEELSELLEKEEKPDENGKHSTGEQKVQETPVEAIDNHLNGDTPVHLLAHKDEGEKDKDSTEGEGYHIEKREASSERESEHEFAEEEEESKAEGSENFDQLSNGLSSAEHIEYSGSLHSKELEQKKKKPLLRKTGLMETPRSKPSPPPPRLTKSSVTKSDGSSPSSVHSTRLSLDRSPQSVNSKPSPDRRTARVPTPPEASQNKLHPFDIIKTSKEVESVRSQHALDISALLSTTEELHRVQQELAMTADAKNKALSHAEEATKIAEMQAKKVEVLSSELSQLKALVSSEEQKKATEGDEVVSKLRSEIEMLRGEHENVSVLENELKDQEECIEQLHVDLEAAKIVESCANSLAAELKNELEKQVEESNKLKTSASESLDLAMKQLGENSHALHEAELDNAALKEKIESMVMTIASQETDLQESQRQVCTLQEETSKLEKLVESVKSDLATAQAEALDYEKTTTSRIQNLLNEKTGLATELESCKKEEEETKKAMESLTLELQDVSVEAREAKERLLTCQAELEHCGTQIESLKLAAKETNVKYESMLEDARNEIESLKNSLGKSQDEIVSSKTEWELRELHLMACVKKSEDEAFSVQGELSKVEDLLNLKENEVKAAKEEEANTKRKLEELEEELKDMQERVGEAKAESVKVKESLLEKENELENAAADNKKLGDELSKINESLVDKETILQSIIQEAEELRGRELDYLKKIEELSAANLSLVDKETKLLSSVQEAEELRGRELEYLKKIEELSAENVSLADRETKLLSIVEEADELKGRELDYLKKIEELSAANVSLVDKETKLLSIVQEAEELRGRELDYLKKIEELSATLSAANASLVDKETKLLSIVQEADELKRTEIACLKKIEELSAVNESLVDKETKLLSIAQEAEELKRTEIAHLKKIEELSALNESLTNKETILQSSIQEIEVLKEKEYVYIKKIEELSLPNEILAEKEAKLQSAVKENEELRERESSYHKKLEELSKVSDNVAATEIKLHISTQENEELREREAAYLKKIEELSKLQENLLDKDKDSMEGEGYHIEKREASSERESDHEFAEEEEESKAEGSDNFDQLSNGLSSAEHIEYSGSLHSKEREQKKKKPLLRKFGNLLKKKSTTSSSSSHK >A09g515390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45667564:45668572:1 gene:A09g515390.1_BraROA transcript:A09g515390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGWVQTMFRFLEAKNVKLGGTHSCFDKKTYAGEVTFTSKLVSKNTENTSAASLLRGYAKIEPLPMADINEFIITAIPKGLNASSRLFSMFLEMSPGTSLKRWKVSPPSLKCPSMAPSESGSMICAWFARQTPSRRWVPAEMSASEPTMNVAAPSRKSAYPTSESS >A07p024870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14320638:14322945:-1 gene:A07p024870.1_BraROA transcript:A07p024870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDADSPESTQYGDSYADRKTSDGSGNRSETSTSSVINAEADDDSCSTRAFTLNFDILKVGSSGDGGGGGGDESAAVTKEFFPVAGDCGHLRGSSSRSWIDLSFDGSRQGETNLVVPAPAPAPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGVDADINFTLGDYEEDMKQVQNLSKEEFVHILRRTEHRYVYLGLFDSEVEAARAYDKAAISSNGREAVTNFELSSYQNETNSETNNQGAHDKLDLSLGMSLSPGNASKQNGRLFHYPSNTYETQRGVSLTIGNEFMGKPVNAPLPYGSSDHRAYWNGYNNPVEGRATEKRSEAEGGGMMSNWGWQGPGQTSAMRPQPPGPQPQLFSVAAASSGFSNFRQQPPNDNVSSLGYFYPQP >A09p080630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58950099:58950679:-1 gene:A09p080630.1_BraROA transcript:A09p080630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDFEGSSEPVDLINHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGRMVCTGAKTEDSSKLAARKYARIYNSETWIPSKVQRFQDSEHRLAYYHHAFASYEPEMFPGLIYRIRGMKGMKGAQIVLLICVWKDCYHWSQEERGDLQSL >A03p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:953224:954409:-1 gene:A03p001930.1_BraROA transcript:A03p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIFGAKNNKEPPPSIQDASDRINKRGESVEDKVKRLDAELCKYKDQIKRTRPGPAQEAIKARAMRVLKQKKMYEGQRDMLYNQTFNLDQVSFAAEGLKDAQQTMTALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSSEIQDSLGRSYNVPDDIDEDDLMGELDALEADMGNETEADGVPSYLQPDTEPDLDEGLDLPPPPTGRTQTAGAQPGRAQAEDEWGLPAVPRASLRG >A09p067570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53264335:53266679:-1 gene:A09p067570.1_BraROA transcript:A09p067570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFLILHRPHSVSITEFGAVGDGVTLNTKAFQNALFYLNTFSDKGGAKLFVPAGQWLTGSFDLISHLTLWLDKGATILGSTASENGTIDGQGSIWWDWFRNGELNYTRPHLVELMNSTGLIISNLTFLNSPFWNIHPVYCRDVVVKNLTILAPLDSPNTDGVDPDSSTNVCIEDCYIVTGDDLVSIKSGWDEYGISYARPSSKIKINRLTGQTTSSSGIAIGSEMSGGVSNIYIKDLHLFNSNTGIRIKTSPGRGGYVRNVHVSNVKLDNVQKAIRFTGKYGEHPDGNFDTKALPAIEKITFENVSGEDIGVAGLLEGIEGDEFKNICFLNVTLTVKKNSKKAPWRCSNVRGYSQWVSPEISCDSFEESIFPEHSSDCFGLSENNVETSSGLSRSPWLLFW >A08p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24503851:24505831:1 gene:A08p044260.1_BraROA transcript:A08p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLSRAVPLRYLTSNRRLQKPPWMTYPAAFYSDSTEKKGKVTPLQETRMRDRFTLYARGGEGGSGCSSLRRSRTDRFGKPDGGNGGRGGDVILECTHAVWDFSGLQPHVKGGKAGHGTSKNRIGNRGEDKVLQVPIGTVIHLQEGELPSQIQVGSPKSSDPWDLPGTLVDDPASDENSDVDQDTTPDSEEESVAMHVDMEKETDFEEDDEEGQVRYNVAELTEEGQSIIIARGGEGGLGNVSATRYLRGTKFAKTSTLRTMEGDSDDEDGNGNGQRTSIKCGSLGTESVLILELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGNVNYDDFSVTVADIPGLIKGAHQNRGLGHNFLRHIERTKVLAYVVDLASGLDGCEGVTPWQQLRDLVMELEYHEEGLSDRSSLIVANKIDEDGADERLEELERRVRGVRIFPVCAVLEEGVDELKDGLKMLVNGEGSERLKLENISVD >A09p033840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20459959:20462327:1 gene:A09p033840.1_BraROA transcript:A09p033840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGFDTHVFQIWRSGFDIPVFRYKADLGRLMGRLLKYNALERLPKSEGRLAGSLLTESSSISSGTYPEVFWANISGKKTDFIASTSEITCLAHMSLLQAPRISNKSDPPNIVSFNGSMNHKKFRIKILGFFG >SC163g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:241010:242881:-1 gene:SC163g500190.1_BraROA transcript:SC163g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRDPNVGSRNQHESSPAPVGANQSPHLDREGGRESETQEDGQDGAGLSEEEEESVSVSHNQGEQSQEDEEHQSGVQGPSTQVFQSHTIKVSKAKKMKNIRVEFKD >A09g512330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36136513:36137150:1 gene:A09g512330.1_BraROA transcript:A09g512330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNRRLGVRHSTFESLRLGCSSQNIVSGFFLFLDSLNFKKDMEFVGITVLFLDEKVNSVIYGFTPVRRANHYMSSLEAYSIVKVDHFEVARCSSMYKITDHPFLIRFISLTIIDEVITDAPEINLQSRLDCSTISKCGWANPSCLELWPHQ >A02p047780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29779185:29779962:1 gene:A02p047780.1_BraROA transcript:A02p047780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVFARPAENEEKGSEIIVGAQKGESSDPAANANEQTPSKTIVKKRIVKKVAKKKVAEVDKSMDSDSKVHGDDDEKKVVEAGKKTPDSGSNEMKSPEEKKEEEPPRPGFILQLKRNKDSKLRSLSYVRVEDMRITIHSLGKFISHRDVKELVQSAFAREQHRKR >A01g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1390112:1401441:1 gene:A01g500330.1_BraROA transcript:A01g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFPFSPAEVSKVRVVQFGILSPDEIRQMSVMHVDHSETTEKGKAKVGGLSDARLGTIDRKVKCETCMANMAECPGHFGHLELAKPMYHVGFMKTVLSIMRCVCFNCSMILAHEEEHKFKQAMKIKNPKNRLKKILDACKNKTKCADDDNLEDVQDQDADGPVKKLRGGCGAVQPKLSIEGMKMIAEYKNTRKKNDEKDQLPEPAAMKQTLGADRVLSVLKRISDEDCQLLGFNPKYARPDWMILEVLPIPPPPVRPSVMMDATSRSEDDLTHQLAMIIRHNENLKRQEKNGAPAHIISEFTQLLQFHIATYFDNEMPGQPRATQKSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVDYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLIDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCHQETGYITPGDTQVRIERGELLAGTLCKKTLGTGNGSLVHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFTIGIGDTIADSSTMEKINETISTAKTAVKDLIRQFQEKKLDPEPGRTMTETFENRVNQVLNKARDDAGSSAQKSLAETNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFEGRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKSEFDRTFKYEIDDVNWNPTYLSDEHLDDLKGIRELRDVFDAEYQKLEADRYQLGTEIATNGDSTWPLPVNIKRHIWNAQKTFKIDLRKISDLHPVEIVDAVDKLQERLLVVPGDDALSVEAQKNATLFFNILLRSTLASKRVLEEYRLSREAFEWVIGEVESRFVQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLTPEASRSKEGAKTVQCALEYTTLRSVTQATEVWYDSDPMSTIIEEDYEFVRSYYEMPDEDVSPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGAKGEGQDESAEDDVFLKRIESNMLTEMALRGIPDINKVFIKQVRKSKFDEEEGFKTSEEWMLDTEGVNLLAVMCHEDVDPKRTTSNHLIEIMEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAAYAETDCLRGVTENIMLGQLAPIGTGDCELYLNDEMLKNAIELQLPSYMDGLEFVGMTPGRSPFSGTPYHESIMMTPNYLSTPNVSDAQFSPYVSGMAFSPSSSPGYSPASPGQSPASPGYSPTSPYYSPTSPTYSPTSPIYRPTYSPTSPIYRPTYSPTSPTYNPTSYSPTSPTYSPTSPYYSPTSPTYSPTSPYYSPTSPTYSPASPYYSPSSPGSSPTSPSYSPTSPSYYSRTSRSYSPTSPAYKAYSPTSPAYSPTSPSYIATSPSYSPTSPSYGPTPYSPASPGYSPTSPSYSPTSPSYGPTSPSYNPQSAKYSPYLAYSPSDARLSPASPGYSPTSPNYSPTSPSYSPTSPSYSPSSPTHCPSSPYSSGASPDYSPNAGYSPTLPGYSSSSTGQYTPHEGYENDKTGKDASKDAGHVEKKEERAFQKHLHSGYLCDTVFLQQQQTEPLFVRALGLLLAMAEKVSDDVMLLHGDLDLKIVQARRLPNMDLFSDRMRRCFTACNSCAKPPEDDEDPRNRDGGDRNIRGHRKVITSDPYVTVVVPQATLARTRVLKNSQDPLWDEHFNISVAHPMPHLEFQVKDDDVFGAQIIGTAKIPVHQIASGQRISGWFPVLGASGKPPKKETALYVDMKFTPFHQIEAYRNGIAGDPDRRGVKRTYFPVRKGSKVRLYQDAHVMDGTLPEVGLDNGKVYKHGKCWEDICYAVSEAHHMIYIVGWSVFHKVRLVREPTRKLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKFGISTPGVMGTHDEETRKFFKHSSVICVVGTLFTHHQKCVLVDTQAVGNNRKITAFIGGIDLCDGRYDTPDHRILHDLDTVFKDDFHNPTFPAATKAPRQPWHDLHCRLDGPAAYDVLINFEQRWRKATRWKEFSLRLKGKTHWQDDALIRIGRISWILSPVFKFLKDGTSIVPEDDPVVYVSKEDDPENWHAQVFRSIDSGSVKGFPKYEDEAEAQNLECAKRLVVDKSIQTAYIQTIRSAQHFIYIENQYFLGSSYAWPNYKDAGADNLIPMELALKIVSKIRAKERFAVYVVIPLWPEGDPKSGPVQEILYWQSQTMQMMYDVIVRELKAVQSDAHPLDYLNFYCLGKREQLPENMPATNGSAVSDSYKFQRFMIYVHAKGMIVDDEYVLMGSANINQRSMAGTKDTEIAMGAYQPHHTWTNKGRHPRGQVYGYRMSLWAEHLGKTGDEFVEPSDLECVKNVNEIAEGNWKKFTNIEFSELQGHLIKYPLQVDSDGKVSSLPDYDSFPDVGGKIIGAHSMALPDTLTT >A02g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6477526:6478076:1 gene:A02g502070.1_BraROA transcript:A02g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIRLNFQSSQVTDLKVNCKNNLCVDQTTSSSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18338024:18341760:-1 gene:A05p031560.1_BraROA transcript:A05p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEAALRSSMVGLALVMVLVWLWTQSLKKTVITYAIGVSLIAGIVLPDWDFFDRSFSRWTYPVTAEERAAALSRKSQSSRFRVYPMRMVVYVAVYGYAVYRWWMFIKFFSTITKYPADIPFRRSLAINSTHRRDTLCWNYIKNDQYTIKSGYWIAQKLLNTEEEKEVLEPSITKLQDFAWKIKAPQKICHLIWQLITCHVAVTRNLVWRNIRCNNYCPRCGEPEESITHDIFECSLALRAWSLSTTPTSPNIFSVPSMYAISFAEKQHCQTRTRQRSLSLDNLVFFKRPGKQTL >A06p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3532686:3536570:-1 gene:A06p009850.1_BraROA transcript:A06p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKEPKHMFCFFPFFCFFFSFIAQNTVPNMAYPLSTSSRWIVDENGQRVKLACVNWPSHLQPVVAEGLSKQPVDDVARKILEMGFNCVRLTWALDLMTNETLANNVTVRQSFQSFGLKDDIVGFQTNNPSIIDISLIEAFKMVVTTLGNNGVMVILDNHLTKPGWCCANNDGNGFFGDKFFDPTEWTAALSKMAATFDGVSNVVGMSLRNELRGPKQNADDWFKYMQQGAEAVHAANKNVLVILSGLSFDADLSFVRSRPVKLSFTKKLVFELHWYSFSDGNWATNNPNDICGRVLNRIRNGGEFLLNKGFPLFLSEFGIDERGGNADDNRYFGCVSGWAAGNDVDWSLWALTGSYYLREGKVGLVEYYGVLDSDWISVRNSSFLQKITLLQSQLQGPGPSTDAYNLVFHPYTGLCLVRSLNDTTMLTLGPCNSSEPWSYTKQTLRSKDQSLCLQSNGPGNRVTMTKTDCSSPGSIWQTISASNMHLASTTSNNTSVCLDVDADNNVLANDCKCLSKDSSCEPMSQWFTITKATRPLKGSRLYKQLENLSPKSDLL >A02p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3075281:3076475:-1 gene:A02p007310.1_BraROA transcript:A02p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLLMEDDDDDHNEVLIPPKNFWEVEDGIYRSGFPQLENFGFLSTLNLKSIIYLCPEPYPEENLKSLESNNIRLFHFGIHGKTDPPTPMPKDTVWSALRVLVDVRNHPVLIHCKQGKHRTGCLVGCLRKVQNWCLSSVLEEYQKCAGLKWRQRDLRFIEDFDVLGLRQCLYSIIYQYNGYGLKRRKLMYQEENVVQEQHKPQATKG >A02g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16011194:16012312:-1 gene:A02g505160.1_BraROA transcript:A02g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDGGHELKVKEVGDDPHSQVQQSMAGFMKGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGAVASLFKRVLKLRQSTLGCEKMKATLSRRLLVSSDWRDS >A03g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2282036:2282793:-1 gene:A03g500740.1_BraROA transcript:A03g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSANKGLELNGRNAEILISSSTLRIHAKPALDEGVELNGGIRNSSIISRMVVEGYDTSPRREDVEEALKKHFASRRIKLMHVSVPVDYKCRNRRRALIYVNGECEAEALKLDGSYVGGLVSKKKVTVIDEYRQHTLKVRGFDTCLSLNDIEKMLLRVFPGSDCFPLWDGSVLLYLRGQYARDEALKLSGGSVEGFKFAVTEVLPETVIETGISLATARSFGFRG >A03p048850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20876977:20877944:-1 gene:A03p048850.1_BraROA transcript:A03p048850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCG-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G15890) UniProtKB/Swiss-Prot;Acc:Q9XIM0] MIKSVTLRPFPLPIEFHGKPQFVEPSKSCFAVVRCSSTRDVPKLELFSRGKFDRVLQDPPLIDKAETELSDYCSTLEGDASYSCWRAYFELKDLEKEKPKVEVENLILQTGGLKLLIGCLHGLASMKKESKTKNGLEVTEETDSDKMKPHVHVPDGLPKSQEEMEEEEKSRMPDSAFTRLLRAKGTIPAWFSHAPDHETD >A06p006590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2221406:2222323:-1 gene:A06p006590.1_BraROA transcript:A06p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHQGGRKLTVLQLLCAVAVFSILLFVTIQSSLFATDNSNRKLFFHPEVIKILSVFQSSVQQCVGDRGLGLSAHSIDHCNLILKFPQGTNNTWYNKQFKIFEPLEFKYNVCEALLLWEQTDENNIRPNITLAL >A01p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18655993:18658548:1 gene:A01p027810.1_BraROA transcript:A01p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTLSTWTILITLACLMLRASLSDAQLTPTFYDSSCPNVTNIVRETIVNELRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAAGNANSARGFPVIDRMKAAVERACPRTVSCADMLTIAAQQSVTLAGGPSWRVPLGRRDSLQAFLNLANANLPAPFFTLPQLKASFRNVGLDRPSDLVALSGGHTFGKNQCRFIMDRLYNFSNTGLPDPTLNTTYLQTLRGLCPRTGNLSALVDFDLRTPTVFDNKYYVNLREQKGLIQSDQELFSSPNATDTIPLVRAYADGTQTFFNAFVEAMNRMGNITPLTGTQGQIRLNCRVVNSNSLLHDVVDIVDFVSSM >A04p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16414428:16419213:-1 gene:A04p027250.1_BraROA transcript:A04p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNAKRGGGGSVKSPCQTPRSTDKSNRDLRSADGNSNSFNKSEKEKGVNIQVIVRCRPFNSEETRLQTPAVLTCNDRKKEVAVAQNIAGKQIDKTFLFDKVFGPTSQQKDLYHQAVSPIVFEVLDGYNCTIFAYGQTGTGKTYTMEGGARKKNGEIPSDAGVIPRAVKQIFDILEAQSAAEYSLKVSFLELYNEELTDLLAPEETKFADDKSKKPLALMEDGKGGVFVRGLEEEIVSTADEIYKVLEKGSAKRRTAETLLNKQSSRSHSIFSVTIHIKECTPEGEEIVKSGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRESKLTRLLRDSLGGKTKTCVIATVSPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSAIMKDLYGEIERLKQEVYAAREKNGIYIPKERYTQEEAEKKAMAEKIEQMEVEGEAKDKQIIELQELYNAEQLVTAGLREKLDKTEKKLRETEQALLDLEEKHRQAVATIKEKEYLISNLLKSEKTLVDRAVELQAELENAASDVSNLFAKIERKDKIEDSNRSLIQEFQSQLLTQLEVLNSSVAGSVTQQEKQLQDMEKVMASFVSAKTEATETLRGSLAQLKERYNSGIKSLDDIAVTLDKDSQTTLNDLNSEVTKHSCALEDMFKGFTSEAHSLLEGLQSSLHNQEEKLSAFTQQQRDLHSRSIESAKSVSEVMLDFFKTLDTHACKLTKLAEDAQNVNEQKLSAFTKKFEESIANEEKQMLEKVAELLASSHARKKELVQIAVQDIREGSSSQTGALQQEMSAMQESASSVKVQWNAHMVQAESHHLDNISAVEVAKEDMHKTLLKCLEDSRTGTQQWKTAQESLVDLEKRNVGNADALVRGAKENNEKLRAQLSSAVSNTLSEADSANRDILSSIDNSLQLDKDASADINSTIAPTYGSLKELRSHHDNNVVEIKQNTGKCLGREYKVDEATSSTPRKRQYNIPTVDSIEELKTPSFEELLKAFRDSSKSPKQMQQSNGEAKHVSNGGRPPLTAIN >A02p007340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3084460:3085016:1 gene:A02p007340.1_BraROA transcript:A02p007340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDEINKNDVDIVIGALNADLTPFLNTWRPFFSRFHLIIVKDPELKEDLNIPQGFDTGQPYVWRNERGDAVESLRKKWERKGSMKLMEKSVPFFESLKLPESAVTVEDCVVELAKAVKEQLGSGDPAFTQAADAMVNWVQLWSEVNSSG >A01p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4516334:4524470:-1 gene:A01p009340.1_BraROA transcript:A01p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNEEKMSKKAAKKRAAKLEKLSRKQEREEATSSSSSLPLEEEEEDESFSSNYGDVTPKSAAGRCWREAVEGKELTDVSNLVEEIVGSEVSIRGRVHNHRLVGKKLFVILRQSVSSVQCVVEEARVGTSMFKFVRQLSHESVVELIGVVSLPKKPLTGTTQQVEIHVTKMYCLSRSSLNLPLVVEDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQATFRIQCHVQIAFREFLLSKGFLEIHTPKLIAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRKQYPFQSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESERKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIMSGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGVGLERVMATVTPSSISKPWLVPGVAFTVKKNDCSIKCCFSRKAGKQIPPSTQRLVLPLSTSLKLFPTHGRHFVLHPHRTRATTETDVVAAAVEGQDSPPVAETDANDKSEEAAPTQSRGTSRPGRKSEMPAVKNEELVAGATFAGKVRAIQPFGAFIDFGAFTDGLVHVSQLSDTFVKDVASVVSVGQEVKVRLVEADIESKRISLTMRSNDDPPKRQSGGGGGGGDSKPRPGGKRGGQKKEDGFSSKYVKGQMLDGTVKNLTRSGAFITIGEGEEGFLPTNEEADDGIGSMMMGGGSSLQAGQEVKVRVLRIARGRVTLTMKEEDDGKFDETLSQGVVHTATNPFVLAFRKNEEIAAFLDKREEEAEKPVEPVKESEEAITSEKVDESLSVSSEETSEEVVSSETPKVEEEEVIETKAEEETEEQTETLAAAAEVEEVEKIEETPDVPPVPETKSEEEVSENSIPQSSATDEVSSPEAVVSEDVEKKEEVVAEVPVAEAVPDEVPSSEAVVSEDVEKKEEVVAEVPVAETVPDEVSSPEAVATEDVEKVSEVPVAEAETPAAVVTEASSEETGIKAGISPALVKQLREETGAGMMDCKNALLESEGDMVKAQEYLRKKGLASADKKASRATAEGRIGSYIHDSRIGVLLEVNCETDFVSRGDIFKELVDDLAMQVAACPQVEYLVPEDVSEEIVKKEKEIEMQKEDLLSKPEQIREKIVEGRIKKRVDALALLEQPYIKDDKVIVKDLVKQRIATIGENIKVKRFIRYTLGEGLEKKSQDFAAEVAAQTAAKPKAEPEKEQPKAEELPKEAVPSPPTAVVSAGLVKQLREETGAGMMDCKKALAETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDARIGVLIEVNCETDFVGRSEKFKELVDDLAMQAVANPQVQYVSIEDIPEEIKQKEKEIEMQREDLESKPENIKEKIVEGRISKRLGEMALLEQPFIKDDSVLVKDLVKQTVATLGENIKVRRKTKKPSSSRSVSVIFPVQMNFGLPSISWFNSTSSKKDVTMVQTVTSTTSLLEQQDDQGQSLFGIKIWTFSLGSVFPWAATSPDGKQQKPTTINRGLKRHAVSRRSSRVNTVTTVYRFRPYVSKVPWHTGPRAFLSQLFPRYGHYCGPNWSSGKDGGSPIWDQRPIDWLDHCCYCHDIGYDTHDQAELLKADVAFLECLESNKRVVTRGDAQVAHFYKTMCITGLKNILIPYRSYLVKIQYGQNLLDFGWLMNGLSKRSWNFQKN >A04p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5657785:5660506:1 gene:A04p014710.1_BraROA transcript:A04p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGLECVFCVGFSRWAWKRCTHVGSDDSATWAAATPEEFEPIPRICRVILAVYEPDLRNPKVSPSIGKFDLNPDWVIKRVTYEKTQGRSPPYIVYVDHDHREIVLAIRGLNLAKESDYKILLNNKLGQKMLGGGYVHHGLLESAVWVLNQESETLRGLWEENGREYDLVFAGHSLGSGVAALMAVLVVNTPAMIGGVPRSKIRCFALAPARCMSLNLAVKYADVIFSVILQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRIYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSSNATSDHAILWIERESEKALQEMREKSAETVVTKAPQEKRMERLNTLEKEHKDALERAVSLNIPHAVSAAEEEEEECNNAEASEPKSKKKNWDEVVEKLFHRSNSGELVLNENVDGTDR >A02p004470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1941537:1943607:-1 gene:A02p004470.1_BraROA transcript:A02p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCLEAEHSPIFVNGPIIVGAGPSGLSVAACLSNRGVPSVILERTDCLASLWQKRTYDRLKLHLPKHFCELPLMKFPKNLPRYPSKQQFISYIESYAARFNIKPVFNQTVEKAEFDVVSCLWKVKTQDAVYTSKWLVVATGENAEPVVPDIPGLKNFTGPVVHTSAYKSGSEFANRKVLVVGCGNSGMEVCLDLCRYNALPHMVVRNPLHVLPRDFFGLSSFGIAMTLLKWFPLKLVDNLLLLLANSYLGNTNRLGLRRPKTGPIELKNATGKTPVLDVGAISLIQSGQIRVTQALKELTKKGAKFVDGQEMEFESIILATGYKSNVPDWLKENSFFTKEGMPKTPFPNGWKGENGLYTVGFTKRGLLGTAFDAVKIAEDITDQWMKSNGPLSASNICSSCIIHFHFNKS >A09p075710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56940336:56942728:1 gene:A09p075710.1_BraROA transcript:A09p075710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIASRNSKRKAGATPFAAKNLPSMSSIRKRALISPLSAASGSNLLSAVDDNVSCGSSRVEESSKLKKIRIEEEEEEVSGPADPKLRRITRSYSKVTKEKEGDEIEVSETSFTRSDVTFAENKESDVVSGVESCSKFGSVTGGGGGDYEETEISKPSGHVEAKTEVVTIGFVSDLACAETFSDEEDESSEIFSQYSSDYTSSIFSDSGSEFSERSSSDDSPVSHTRSLYLAFKEQFCRSTIPNDLESSREELSRETQSDLLRFEDEEVEESYQRLRERERSHAYLRDCAKAYCSRMDHSDFIPQLRLIMVQWIVEVEHLLSFSSSSLWCSFVDNCLLTDFTQQCSAMELQPETLFLGVSLLDRFLSKGTFKSERTLVLVGIASLTLATRIEENQPYNSIRRRNFYIQNLKYSRHEVVAMEWLVQEVLNFKCLSPTIFNFLWFYLKAARANPEVEKKARSLAITSLSDHTQLCFWPSTVAAGLVVLACSEHNKISAYQRVIKVHVRTKDNDLPECVESLEWLLEQ >A04p004660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2383470:2383757:1 gene:A04p004660.1_BraROA transcript:A04p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPHSSIESFPRGATPRPRCSIDASCHQDDALFGGGMVLTDEDGVTAFGSFTSNQSLTPPPPPLHVELHTLLWVMKSSFQLNHLDMTFETDSQQ >A09p029910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17807586:17809289:1 gene:A09p029910.1_BraROA transcript:A09p029910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQSIISQEDMEDQSIISQEDMGDDYSILSQEENQIEPEDEIMVSYYLKMMINNRKSWPDHFLRDEEANVYNLNPWSSFSAPNSDHYSIFVKRRTDSCGKTDGSASGCWRIMARDKLIKCEETGRILGFKKILKFCENNKKRSKEEEEIIWVMEEYRLVDKWKQDQVICTIRELLQHEVTTLLAKHLSFLPKWIRPFSRKHLMPMWDLCVPDPPRDEIISYHLKMCVDVRNDWPSHFLPSEQVYGVAPWMIVDPDQSSVDLQEGPYFFVNRTASSGRTDGCDGGCWRIMRRDRVITSKRNKVLGFKRLFKFCVKGEAEPVYKFWADEKYKVNELKVTWVMDEYRLAKKKEQVLMKRYKDPFEAAIEEEHDESSLDSPVSDGSDDDVGLLRQVKKLKISEDMEVDLTKTPANDREKKVAKMHAIIHRGSNESI >A10p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12955875:12960064:-1 gene:A10p018530.1_BraROA transcript:A10p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TORNADO 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) UniProtKB/Swiss-Prot;Acc:Q9FJ57] MASETDQTFKDLSWFIQAIRDPHFNLLQTLSFSSSSGKTTHCQLLTDTSMNINVTRDNLASLSQIFIELATSLETQTSLRNLEFEGISWDIELLQSLGLLLDNASTIKQVAFRKNRLNEQCLNELSEILRRNSSLREVMFSESRIGSRGATLLASALQVNDSLEELQIWEDSIGSKGAEELSKMIETNSSLKLFSIFDSSPLTATPLISSVLGRNRETEVHVWSGDHKRDRTSKVVEFLPESNALRLYQIDTFGSCRVAAALGMNTTVRSLDMTGATLNSRWAKEFRWVLEQNRTLREVKLSKTGLKDKAIVYVAAGLFKNRSLQSLYVDGNRFGSIGVADLLCPLSRFSALQLQANITLKTLVFGGSKTKIGRDGLTAILKMVTTNETVVHLGIHDDASLGPDDFVHIFKSLQKNASLRRVSLQGCKGVRGDRVLEAITETLQVNPLIEEIDLDRTPLQASGKADEIDQKLGHNGRNVDEAETDSFRDMPLTKPKSVRAFLCGQDYAGKTTLCNSIMQSFSASGFSYVENVRTLMNPVEQAVKTAGGMKIKTLMEEGTKISMWNLAGQHEFYALHDLMFPSPCLFLIVLSLFKKPSNKEPKTPAEVEGELQYWLRFIVTNSRKAVQQCMKPNVTVVLTHSEKTNQQSESFQATVGSIERVRGKFQDSVDFFPTVFTVDARSSPSVSSLTNHIRTTSKAILQRVPRVYQLCNDMVQLLSEWRSENSNRPVMRWKAFGDLCQYKVPSLRVQSRNENTETVETRRRAIATCLHQTGEVIYFDECGVLILDYEWFCGEVLSQLIKLDSRKQRNGFVSKKEMEKILKSSLQSPIPGMTSKVLEHLDVCDLVRLMKKLELCYEEDPSSPDSSLLVPSILEDGTRKTQKWQINNTQDCVYSGRHLQCDDSSHMFLTPGFFHRLQVNLHNRIMELKNQHGATYSLEKYLIDITIQGINIRVELGGQLGDYIDVLACSTKSLTETLRLIHQLIIPAIQNSCHGVILLEHIIRPQCVQDFTPPRFRQSQFVSLQRLKEALSSVPAEVMYDYQHTWDSVVDSGKTVLRAGFDLARDLLSDDDFRQVLQRRYHDLHILAQELQVPTEDDPEAVPVTNELENVDPSFGGIAKGVEAVLRRLKIIEQEIRDLKQEIQGLRYYEHRLLIQLHHKVDYLVNYNVQMDERKVPNMFYFITPENYGRRLITSIVPGMVSLRIHMLCEFRREMHVVEDQLGCDVMQIDNRAVKCLAPYMTGFMTLVTFALRIGANWAAGMGHMIPDLGHAIAHLATPSVMAGAAGAAGAVGVAAALGRNRGRDRDIQEQEQRAAQQWLIDYLREQNCSTGKDIAEKFGLWRVRYRDDGSIAWICKRHMITRANEVIQVPL >A08g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15919440:15921500:-1 gene:A08g508600.1_BraROA transcript:A08g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVPCCRIFEIPSFPFMASSSPTHLSLSLDPSSSTSLLNLQTQRTISGSNDFEKTHLRIPVSFRKKAANLQMMASGKTPGLTQEVTIDREDNADVSDDMKQRFLAFKKHKYMDNLEHFKKLADAQAPKQQFLVIACADSRVCPSAILGFQPGDAFTVRNIANLVPSYESGPTETKAALEFSVNTLNVENILIIGHSRCGGIQALMSMQGEGDSRSFIHNWVKVGKKAKESTKAVASNLHFDHQCQHCEKASINHSLERLLGYPWIEEKVRKGSLSLHGGYYDFVNCTFEKWTVDYGESRGKKEGSGIAVKNRSVW >A07p011790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8493573:8495087:-1 gene:A07p011790.1_BraROA transcript:A07p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1 member 3 [Source:Projected from Arabidopsis thaliana (AT3G24300) UniProtKB/Swiss-Prot;Acc:Q9SQH9] MSGPLTCSVSDLSTMLGPNATAAAEYICGQLGTVNNKFTDAAYAVDNTYLLFSAYLVFSMQIGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGGSSEGFIGRHNFALRDFPTLTSDYSFFLYQWSFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSPFGWASPFRSAEDRLFNTGAIDFAGSGVVHMVGGIAGLWGALIEGPRRGRFEKSGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILVPYETGSSYGQWSGIGRTAVTTTLAGSTAALTTLFGKRLLSGHWAVTDVCNGLLGGFAAITGGCSVVEPWAAIVCGFVAALVLIGCNKLAEIVQYDDPLEAAQLHGGCGAWGLIFVGLFAKEKYLNEVYGETPGRPYGLLMGGGGKLLGAQLVQILVVAGWVSATMGTLFFLLKRLGLLRISEKDEMAGMDMTRHGGFAYMYYDNDDESHRAIQLQRVDPGSPFPRSVTPPRV >A07p012880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7833237:7834864:1 gene:A07p012880.1_BraROA transcript:A07p012880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRFEVKTLGFSSLELKNLIFFLGAVGRVAEVAGKSSVMMEIRTPKTHKANRVLEKRAPKLVENGKKTLILHGTKTSATVSSVLMELYRLKKGGAIKYSRRNENIRPFESGGETSLEFFSLKTDCSIFVYGSHTKKRPDNLVLGRMYDHHVYDLIEVGIENFRSLLSFSYDKKIAPHEGSKPFICFTGEGFENVPELKQLKEVLTDLFRGEVVENLNLTGLDRAYICTAVSPTKVFLTHCAIKLKKSGTIVPRIELVEVGPSMDLVIRRNRLPNEGLRKEAMKSSKDKPKKKVKNVDQDDVLGKLGRVYIPEQEVGKIPLPDKSKGVKRERREGKVKKNKEEGSASKKLKESE >A04p028220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17020037:17028780:1 gene:A04p028220.1_BraROA transcript:A04p028220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEPPSLITSLPDDIVLDILARVPRWDYPTLSLVSQHFQSLVVSPEIYSRRSLLRCTENFLYVLVLSKRGYCLYILHRKAFVHISSLSDIPYCESFVAVGSRIYMFGGVVGVSSNAFSIDCRSHTVKPLPHMPICLTHTVAGFMDEKIYVFGQCSMKSMVMVVFNTKTHMWEPGLIKPDISPETWEFGSMVVMADKIYIRSNPSSFIYAPKESKWETDEMLNSKNWDNDSCVVDDVLYYYDSYEDRLRAYDPKQKCWGVVKGLDEELFDEIRRGPYWPYTACFGRNLLLYFYKRYQEPRSRTPSKIWWAEISLERRQGGEIWGKVEWCDRVLYEQSEFAMPVSVMDEQPPEPTSLITSLPKDVIFDILTRLPRYEYSTLSLVSKQFRSLVRSPELYERRSLIGVTEPFFYALFYDSQSRNTRWNILHRKANGNLRLSLIHSLPAMDDYGSFVAVGSSIYVFGGSDDHTKYRALKIDCRLHTVEQLPSMPVPMSNPIADIIDGRIYVIGDHYEESKKVMVVFNTESQLWELVTTKLNIEFGHTCLTRYAVMDGKLYMRDNVQSNVYEPKQCKWEREREERLNFHNWRNASVVDNILYYYDFDYCWNKLRSYDPKQRYWGVVEGLEESLPKTRHSYWTGTASCGGKLALVFPNEGWRTSYLRCAEISLEKREEGEIWGKFEWRDQVLVDENLYMKNFLAVMGDDFQR >A07p049300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26206925:26210594:1 gene:A07p049300.1_BraROA transcript:A07p049300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGPQVAGPVSIHHPIGRKRDLYYQMSNRQPYWLVPPQPQQNDWNPKMWEWDSQRFEAKPVEAEVGNCSTQFDLNARSNSEDDNNNNNNGGLSYEGEERRGLDLNLSSGVMEETTRPNKKVKSGSPGRNYPKCQVDNCNEDLSVAKDYHRRHKVCEVHSKATKALVGNQMQRFCQQCSRFHLLSEFDEGKRSCRRRLAGHNRRRRKTQPEEVTSGGAVAASDKNKANMDVMALLTALACAQGRNGSQSNGSPAVPQREQLLQILNKINALPLPVDLVSKLNSIGVLARKNLDQPTNSRNDVNGGDSSPSTMDLLAVLSSTLGSSAPEAIAFLSKGGFDNKESNDKTKLTSPEKRTLEFPPFVGGERTSSSNNPSPSQETRSSSLSLQLFTSSPEDERQQPTVASSGKYYSSASSNPVEDRSPSSSPVMQELFPMQTSPETMRSNNCKNSSPSPRNNCLPLELFGGSNRGAAAAAAAANPSYASSGSDYSPPSLNSDSQDRTGKIVFKLLGKDPSQLPGTLRTEISSWLSSIPSEMESYIRPGCVILSVYVAMSASAWEQLEENLVQRVSALVQDSEFWSSTRFLVNTGRQLASYKHGRIRLSKSWRTLSSPELITVSPVAVVAGEETTLVVRGRSLTNDGISFRCAHMGNYTSMEVTGTAHRSTKFDELNVNKFKVKGPSSGSLGRCFVEVENGFRSDSFPLIIANATICKELNRLEDCPSSREEVLCFLNELGWLFQKKCRSESDFSLPRFKFLLVCSVERDYSSLVRTILDMMVERDGVMSKESLDMLAESQLLSRAVKRKNTKMAETLIHYSAKRFIFLPSVKGPGGITPLHLAASTSGSEDMIDVLTNDPQEIGLSSWNTLVDASGQTPFSYAAMRNNHGYNTLVSRKLADKRNGQISLNIENGIDQIGVSKRLSTELKRSCNTCASVALKYQKKVSGTRRLFPTRIIHSMVAVATVCVCVCVFMHAFPIVRQGSHFSWGGLDYGSV >A09p027270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15393966:15395806:-1 gene:A09p027270.1_BraROA transcript:A09p027270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVLEQAIDEFQELIDKVEEPLKTTFKNVHQGYLRETLIRFLKARDWNVTKAHTMLVECLRWRVDNQIDSILSKPIVPSELYRDVRDSQLIGISGYTREGLPVFAIGVGLSTFDKSSVHYYVQSHIQINEYRDRVLLPSVSKKNGRPITTCVKVLDMTGLKLSALSQIKLVTIISTIDDLNYPEKTNTYYVVNAPYIFSACWKVVKPLLQERTRKKVHVLSGCGKDELLKIMDYTSLPHFCRRGSSGSSHQTQSVDCFSVDHPFHQQLYNYVKHHYETQGQAEPAKQGSFHVGFPEPVAERVEMAKTIESKLHKFENCNGLSKPVDDRKASP >A03p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15879880:15882167:1 gene:A03p038060.1_BraROA transcript:A03p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPRFSFLLQCRKWAKSDWLVASIGFVLVVFFLSFFFDPTVPSITSPTNLVKLKLSSKAKERGAFCLDGSLPGYHFDKGSGSGSNSWLLHLQGGGWCNTIASCSARAMTRLGSSNYFEDEVEFPGVLSNDPSQNPDFFNWNRVVIRYCDGASFAGHPEAEFKNGTGLFFRGQLIWEAIMDELLLMGMSDAKQGILTGCSAGGLATLIHCDYFRDHLPKDADVKCVSDGGFFLNVLDVLGNPTMRSFYQDVVTLQGVDKSLDQNCVAKMEPSTCMFPQEFVSNIRTPVFLVNAAYDSWQIQNVLVPASADSDKSWAKCRLSIKECDAAQMNVLQGFRSSLMDAIGTFHQNKAGGMFIDSCFIHCQTVTQGTWHSPTSTRIENKTIAESVGDWYFNRKPVKLIDCPYPCNPSCHN >A09p023110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12723335:12727728:1 gene:A09p023110.1_BraROA transcript:A09p023110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:Projected from Arabidopsis thaliana (AT5G42740) UniProtKB/TrEMBL;Acc:A0A178UPP5] MASPTALISETQAWKDLKGHVEDINKTHLRDLMSDAHRCESMMLEFEGLLLDYSRQRATVETMDKLLNLAKAAHLSEKISRMFNGEHINSTENRSVLHVALRAPKDAVIKADGKNVVPEVWNVLDKIKDFSDKIRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANIDPVDVARNINGLNPETTLVVVVSKTFTTAETMLNARTLRNWITTALGAPAVAKHMVAVSTNLALVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFSVVEKFLKGASSIDQHFQSTPFEKNIPVILALLSVWNVSFLGYPARVSMESNGKGVSIDGLPLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGTVKSQQPVYLEGEVVSNHDELMSNFFAQPDALAYGKTPEQLQKENVSENLIPHKTFSGNRPSLSLLLPELSAYNVGQLLAIYEHRVAVQGFVWGINSFDQWGVELGKVLATQVRKQLHSSRTQGAAPEGFNYSTTTLLKRYLETSPEPKM >A03p011570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4623203:4623412:-1 gene:A03p011570.1_BraROA transcript:A03p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDPATDPPPDDAPPPPPSLRRRMPAVAAADPRGAARPGLAVDEAVEVDCWDWEEPLAIAVLYGKG >A09g519030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58254409:58255058:1 gene:A09g519030.1_BraROA transcript:A09g519030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGHQWVNSERKVSNSVLMQVEAIYLWMHQGRLTGLYYAFDFQKLNEGAYNVTSEYYYSVMVRSGDQNFESLMRSMGETY >A03p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1029640:1031862:1 gene:A03p002180.1_BraROA transcript:A03p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDRKLLIRSRSIPATLRFIGNITTSTSVSPTSSAPLVFAAAGTLSLSIPVVDLKCKSSVDGLPDSCLQLSDAKGSNRFANLAMLEEDITHFVYNSSLPSTPSSFPDLFVDNVVATSSDSLDLSQRSRGNRTPLGDGRPWSYGFWRGVNGAGCYNTVCPGFIQVSKDDPLSEPLPNAPEGKRNIALTIQQDTDTGHWWVTDIEEGNKPDIHIGYWPKELFDLMGGGANILGIGGAVQSSPSGVSPPMGNGHLPTKKDMDSARVSEFLYRYENSDFKNYYQYSKLDKLLDSEKCYGLKKGDDYFFTFGGPGGNSCGI >A09p065440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52327778:52329251:-1 gene:A09p065440.1_BraROA transcript:A09p065440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSITSSSLTVDRSESKNQIIIICLTSSAGSSSIQNERQKKLGSVCMEVNTGLRDAISWLPDEVLGKILSLLPTKLAASTSVLAKEWKHTLVKLTLGTQIYLDKFEPDAYLPALKSLVIDSIVFEGDDLCDVLLRGCPVLEELYSCLNYRDYALEEYTDVNLASLVEARLDILFSIRIKDPDLSGLIIGISNVEILHLSPASADVIARCVENGLVLPVFKNLVSLSFGSNNKRGWKLLPYLLKQTPKLETLIIQGLEGYAGNATIRPFQVKVDELLKRWIT >A05p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6119505:6131495:1 gene:A05p014020.1_BraROA transcript:A05p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLRQHCLTPTKSHLSPQNALPRRSFLKLSDRRHTQTPPPPQLTIKDQKPISHNRSLYSPSLVRCSTDHDEPQSPHDEKIQTLQKPKNGKSFWAAVSLIIGTAVGPGMLGLPAATIRSGSIPSTIALLCSWVYVISSILLVAELSFAAMEEDNASEVSFTGLATKSFGDKFGVFVAFVYASLSFALMVACVSGIGSIVSQWFPTMNPLLANAIFPLVSGVLIGFFPFNAIDVTNRCLCFLMLFSITSLVAIGLSVARSNVLASFGQSCWKVSAVLPAVPVMVLTLGFHVITPFICNLAGDSVSDARRAVLVGGVVPFVMVLSWNLIVLGLARIKLPAGSSSAVDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPKQLLDTWRLVSKQSNGTDGRARVYANCFLFGVLPPAMAYIQQSRKKLRSWVLPGGNFSFYPCYKLVLSKRLFLSLRLQDEELWVEVKRMLRITSRFEGNLGITTLNILPGTPTWILCPLSLSLFPATRGRDKASKQEKQTKKNIQKKNSRKLLSTGKGKKSIKGFKAASVKVALGSCVWVEDTDEAWLDGEVVEANDDVVKVKCETKTVTAKVNAVHPKDPEFPELGVDDMTKLAYLHEPGVLLNLKSRYNANEIYTYTGNILIAVNPFKRLPHLYGNEIMERYKGSDFGDLSPHPFAVADSAYRKMINEGVSQAILVSGESGAGKTESTKMLMQYLAYMGGKAESEGRSVEQQVLEETERYKLGKPSTFHYLNQSNCHALSAFDDSKEYLDTRKAMDVVGITSEEQDAIFRVVAAILHLGNIEFVKGEESDAAEPKDDKSRFHLKVAAELFMCDEKALEDSLCKRVMVTRGESITKSLDPGSAALSRDALAKIVYSKLLVTTINNSIGQDPSSKYIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALIDEACMFPRSTHETLAQKLYQTFNSHKRFTKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVGEHQSLMNSSECSFVSSLFPKPREESSKSSKFSSIGSQFKQQLQSLLETLSTTEPHYVRCVKPNNVLKPDIFENLNVLHQLRCGGVMEAIRISCAGYPTRKSFSEFLTRFRILGPDATNKSFDEVDACKKLLAKVDLKGFQIGKTKVFLRAGQIAELDAHRAEALGHSAKIIQRKVLAYQSRKKYLMLQSASTEIQAFCRGHTARRQFKSMRREAASLRIQKQARTYIRQTAYKRLCVSAIYVQTGLRARAARVELQYRKKRRAAVIIQASLKPHFDDSDLSFVLSINNMRFLRMKKAAVTTQCGWRVKVARRELLKLKMAAKETGALQDAKNKLEKELGELTSCLELEKQMRMKLEEAKTQEVEELKAALDDMKLQLGETQESKSEEILKLQSALQDMQLEFEELSKDLEMTHDLAAENEHLKELVSSLQSKTDGTETKDKETSKLSEGQIKQEVPVIDHDVIIKLEAENEQLKTLVSSLEKKIDALDLKHDETSSNMREQLKESASSDYEIVSDLAAENERLKALVSSLEKKDNENDSPTEHKEGTHILKEEESVTDDVSVDNEMTNKLAAENKELYDLVDLLEKKVQETEKKYEEASKLCEERLQQVVDAETKLIELKTSMQMLEEKVSDMEAEEKILRQQALINSASKKMSPQVSFSGPPPMENGHHVSLAPIPSGKFGAMSFKRTLEQQPHNPEDNDNLTYWLTNTSTLLFLLQRSLKTHGTNGASANKPPQPTSFFGRMTQGFRSTSSASLSGDVVQQVDARVPALLFKQQLTAYVETIFGIFQENVKTELEPVLSSCIQGLKDSSHELYAENLSAETSEQNSPAKPSEEIPPDKLSEGNSPAKPSEEKPQEKTSEENTEAKTSEESPQEKTSKESPQAKTSEENPPATASAENSPEKPWQGIIDLLNRLLGTLKKNYVPMFLTQKIFSQTFQGINVHVFNSLLERECCTYHMGKKVNAWFNELEAWCSQATEEFVGSSWEELKHTRQAVVLLVTEQKSTIIYDDLTTNLCPALSTQQLYRICTFCKIDDNEDQNVSPDVISNLKLLITDEDEDSRSFLLDNDSSVPFAADEISNCMEEKEFANVKPAIELADNPNFQFLKG >A06p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5770287:5772855:-1 gene:A06p012650.1_BraROA transcript:A06p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTALEKTAEVLRHEIDELRRQQREITERLRDPRGIRRGGLSGAGPRNQPRRGFLRPAERNDVEDEPPAKRRLSSAVVKETQVEGEDVSKVDDGNETHVSAGENGNSDLRDRKLEGHRRGSWSQRDAEHRGVKKGFEAMELPEPAPRQLPKNEDPKLVNRNRRMLGNLLGTLERFRKEDKQLSGTDAYARRSAALQKAEQKAREESERLRLQERETLTEKRRRDLTLRARVAAKAEQKELELLFLRWCEQKKKLGNFIRTKAEPHIYYAPTRPLEEDTTEAEQRKEQAFLEWKAAKRQDVSEYQKEIEEKYLSNVEKELEKWENARKARKANNGDMNLQETMDKELETHIMEHGPKKRKMPGGGGGDEEEEDEVEDINGGEDEMTMDDVLEEGDGNDKEVATDTAEAEAVEGDIEQ >A09p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4860284:4865676:1 gene:A09p009420.1_BraROA transcript:A09p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding transcription activator 2 [Source:Projected from Arabidopsis thaliana (AT5G64220) UniProtKB/Swiss-Prot;Acc:Q6NPP4] MADRGSFGFAPQLDIQQLLSEAQHRWLRPAEICEILRNYQKFHIASEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEDNDNFQRRCYWMLEQELMHIVFVHYLEVKGNRISSSGIKENNSNSLSGSTSVNIDSTANTSSTLSPLCEDADSDHLALLKVLPLPFLGNRDGWIHGNRVKESDSQRLVGVPALDASFENPLARYQSPPYNPLLTQTNPSNAALMSVEGHLRNRLQNQVNWQIPVQDSLPLQKWPMDSHGTDMALHENFGTFSSLIGSQNQQQPIGGGSFQAPFTSVEAAYIPKFGPEDLLYEASANQTLPLRKSLLKKEDSLKKVDSFSRWVSNELAEMEDLQMQSSSGGIGWTSVMVIGTFLLSPQEVTSYSWACMFGEVEVPAEILVDGVLCCHAPPHEVGQVPFYITCSDRFSCSEVREFDFLPGSARKLNTVDIYGAYTNEASLHLRFENLLARMSSAQEHNVFEDVGEKRRKISRIMLLKDEKESFLTSTVEKDLTEVEAKERLIREEFEDKLYLWLIHKVTEEGKGPNILDEEGQGVLHLAAALGYDWAIKPILAAGVSINFRDANGWSALHWAAYSGREDTVALLVSLGADSGALTDPSPELPLGKTASDLAYGNGHRGISGFLAESSLTSYLEKLTVDGKEDASTDSSRAKAVQTVAERTATPMSYGDVPETLSMKDSLTAVLNATQAADRLHQVFRMQSFQRKQLSEIGDKNEFGLSDELAVSFAAGKTKKAGGHSSGAAVHAAAVQIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRAIIWSVGLLEKIILRWRRKGSGLRGFKRDAVTKAPEPVCAAPAQEDDYDFLKEGRKQTEERLQKALTRVKSMAQYPEARAQYRRLLTVVEGIRENEASSSSAMNNNNNNNNNSNTEEAANYNEEDDLIDIDSLLDDDTFMSLAFE >A09g514940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44528561:44530703:1 gene:A09g514940.1_BraROA transcript:A09g514940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVPLGSHPPQHDRAFDSLRLGRSSQTIHARLLRFWDTPNMINSNEIRGITMVLLDEKDSSINAFIPAEWAKLYRQCLQEGQIYEVAHFEVDSCPYMYKTTEHTFVIRFIAQTSLHKVINNGPVINLHKFMIRNSDHLHILANTNLELPDVVGVIKSVKGFGLLSTDIISPILIRFLISPNVEVYLSLLDEAAARFKGLLNSGESTKSVMVVTSLNPQKKGDHLYLNSTAATKFYFGNNLAAITEFTMSIDSSLSDAIGDDLPSLNAETVITTKELSPPGDLSKFLSNSSTQEAYFTCIARIVEVVAQKGWYYVSCTHCGKEVGNSATSHPCNQCHDTTATTVVRYKVELLVDDGENYATFLVLDNEMMKLTKQGAATLLDDEVNRRLRNRLPKCIAELQGQKFIYHVNVTTDNLTDNRPTFTVSGMSAILNKEILTINGKQKKGKMEYGESSTSAAATYTSANEADKDGPTYSTE >A07p007580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4663455:4663703:1 gene:A07p007580.1_BraROA transcript:A07p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRAVTHSLHSQPGDGILCAGVSPPPCLAARLRMPRLRLQILITLRHEAEATSRGSDYASWMRLLSLTDLMEFSKKGEDD >A02p029820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15149011:15150567:-1 gene:A02p029820.1_BraROA transcript:A02p029820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSKLTRTQSSLLRSSPTIRSSIHSLSSITERDFSEASRNDLEAGEKEEKQRRSKKQSKSINRTGLIRIKPGLTFTLASLSLASFLLFSVFFSQTGTSENLLLGLIFLAVALFFASRNMAVINQTVLAIKQTSKKLTLRTKPKPVQWYIGDSKPEQTKPEEFVREGVQLFSNGDIYEGEFNRGRSHGSGVYYYYVNVRYEGDWVNGRYDGYGIESWSKGSKYKGQYKQGTRHGYGVYWFYTGDSYSGEWFNGQSHGFGVQTCADGSSYVGEFKFGVKHGLGSYHFRNGDKYAGEYYGDKIHGFGVYHFANGHYYEGAWHEGRKQGYGTYGFRTGDAKCGEWDDGHLVNRLPLETGPVHKAVQSAREMARRGVDQRRVDEHVMRAVAAANKAATAARVAAVKAVQNQMDGKLCEQ >A07p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10648822:10650390:-1 gene:A07p017610.1_BraROA transcript:A07p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGEMTTPTRRRTERPKSLHNFTLPDLKWGSQRHLKCSRIDSLSSGSGDHRLRRRSPPFKLPASIPSDHRRSINNQHRRAPFESVENGGEEEEEGIEEFRVKIMSDLKTVRDKITQSMFREHALEDEEEEGKTDESGREKVSPAKPWNLRKRRAACKEPVSERIVNPSPPRVKERGGVVEAETAAKEMMMRRGKFSVKLSKKEIEEDFMAALGHRPPRRPKKRPRTVQKKLDSLHPGFYLSEVTLDAYKVPEETKIELRPNACVGDLKLLFPLSGVMVLSLVLAG >A02g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12059761:12060590:-1 gene:A02g503560.1_BraROA transcript:A02g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKSRALSILLNVSSDWQIEAAFDVLYSQPQPKSNGDMRRLKELFNRYKGNMLFLMCFMVLFILKPFATTCLCHCELGLYKAQVMLPFNAFGTMAMARKVSSFSSFLSSQLNIYIYVIVWLST >A05p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2844428:2846222:1 gene:A05p007040.1_BraROA transcript:A05p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKPGVIALFDVDGTLTAPRKEATPELLHFIKELRKVVTVGVVGGSDLTKISEQLGKTVTTDYDYCFSENGLVAHKDGKPIGIQSLKLHLGEDKLKELIKFTLHYIADLDIPIKRGTFIEFRNGMLNVSPIGRNCSQEERDEFERYDKVQNIRPKMVAELRERFAHLNLTFSIGGQISFDVFPKGWDKTYCLQYLEDFNEIHFFGDKTYEGGNDYEIYESPKTIGHSVTSPDDTMAKCKALFMS >A04g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10679825:10680181:-1 gene:A04g504940.1_BraROA transcript:A04g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTVVSASAAASIRTVVVRFADADAAAYYVATVGFIGVSRRTRRSDAASDAASCVNETNKS >A03p025300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10644150:10645596:1 gene:A03p025300.1_BraROA transcript:A03p025300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRGHVLAVPYPTQGHITPIRQFCKRLISKGLKTTLTLTTFIFNSIKPDPSGPVPIATISDGYDDHGFDPSGSIHDYLQNFKTFGSKTIADIIRKHQTNDNPITCIVYDAFMPWALDVAREFGLAATPFFTQSCAVNFVYYLSYINDGILKLPVVDLPFLELQDLPSFLSVSGSYPAYFDMVLQQFTNFEKADFILVNTFQELEPHEKELLSNVCPVLTIGPTIPSMYLDQRIKSDTAYDLNIFDSRDAAFCTSWLDTRPQGSVVYVAFGSLAELNNAQMEELASAVSNFNFLWVVRDSEEAKLPSGFLETVDKDKGLVLKWSPQLEVLSNKAIGCFLTHCGWNSTMEALTFGVPMVAMPQWTDQTMNAKYIQDVWKAGVCVKIDNESGIAKRGEIEFSIKEVMEGEKSEEMKENAKKWRDLAVKSLSEGGSTDVNIDTFVSKVQSK >A07p048950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26057939:26058283:1 gene:A07p048950.1_BraROA transcript:A07p048950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQSSTSSSSPPSSLPNGVTAGANNPNREEPTVTSTQQPNSDATTGANNPNREEPAVTSTRQPNPVAVPSPHRCHDPNAEVVALRPRTFLAAGICELCGKEFTQEQIRDFNR >A03g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25004202:25009141:1 gene:A03g507140.1_BraROA transcript:A03g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHILFFLWSRQLRGESESSATFYSPNHLTSFHDALTHLSLLSMFILCSHHRWRASHFTSDPFHGEREQKEKVRRECCVSEPSITDLHRHEPLVTGELHHVTGDQDASIVAMFEKTVHWVWCLLRHSVVDLLCQGVEVDKSSTGVCYRAHIRWCFGLYRVLQRVRIKLYLFGVLCPGPWTSGETDEYMIFGWIGATGLYFGFLFGLRVSGVVYMGYYAMRYYWWHAVLQIGGDGCHNLASHESKPSVSDPAFALQRFGRGSEASTGASEERVRGAAAEPAEPTYMIPFSFCSSVFFPNSSMTILSVLLTTLLLSLPLPSTQDLNANKAALLSLRSSVGGRTFCWDIRHTSPCNWAGVKCDNNRVTALRLPGVSLSGTIPNGVFRNLTRLRTLSLRLNALAGSLTLDLTTSSDLRHLYLQGNRFSGKYPRACSVSLTSIESMESTVLFSSFGENEGVRVRRIVIFGGRVN >A02p013330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5832494:5833498:1 gene:A02p013330.1_BraROA transcript:A02p013330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 18 [Source:Projected from Arabidopsis thaliana (AT5G58310) UniProtKB/Swiss-Prot;Acc:Q9LVL9] MSEHHHFVFVHGAGHGGWCWYKLANSLRENGHKATCIDLKGSGINLTDPNTVSSLDDYNQPLYDFLSQLPLDQKVILVSHSVGGGSMTAAMCQYPSKVSMAVYVAAAMVKPGTIIPPILKEVLKICSGMIETEAEKIWDFTFGNGPGNLPTSMMMKPEYVRDKYYNESPMEDYTLATTLLRPAPVMAFAGIVDIPAAPEADKIPRVYVKTGKDNMFQSSRQDLMVTLWPPAQYFLLEESDHSAFFSQPEALYKILTEAASSISP >A06p037550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20373368:20375336:1 gene:A06p037550.1_BraROA transcript:A06p037550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKELPNDGRTPKTKIVCTLGPASRSVPMIEKLLKAGMNVARFNFSHGSHEYHQETLDNLRAAMQNTGILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITISTDYEIKGDEKTISMSYKKLPVDVQPGHTILCADGSISLAVLSCDPKSGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDVEDILKWGVPNKIDMIALSFVRKGSDLVNVRKVLGSHSKSIMLMSKVENQEGVLNFDEILRETDAFMVARGDLGMEIPIEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAFPEIAVKTMAKICIEAESSLDYNTIFKEMIRATPLPMSTLESLASSAVRTANKARAKLIIVLTRGGTTAKLVAKYRPAVPILSVVVPVFTSDTFNWSCSDESPARHSLIYRGLIPVLAEGSAKATDNESTEEIIESALKQATEKGLCNHGDAVVALHRIGAASVIKICVV >A03p003470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1522954:1529463:-1 gene:A03p003470.1_BraROA transcript:A03p003470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDHLLEISERLYVFDCCFSSDVMGEDEYKLYLGGIVAQLQDHFPDASFMVFNFREGDQRSQISDVLSQYDMTVMDYPRHYESCPLLPLEMIHHFLKSSESWLSLEGQQNVLLMHCERGGWPVLAFMLSGLLLYRKQYQGEVKTLEMVHKQAPKELLHLLSPLNPQPSQLRYLQYISRRDLGSDWPPSDTPLLLDCLILRDLPRFEGREGCRPIVRVYGQDPKARANRTTRFSLDNVHYLDLIMQEECILVKLDIQCRVQGDVVLECIHLHDDLVREEMVFRIMFHTAFVRANILIVQREEMDIIWDAKDQFPKEFKAEVLFSGADSVVPTIATAPVSDDDENDFDMASPDEFYEVEEIFSDAVDGHELKRGDSDGFVVVDSASDDSEGKEVWKGDVEPNAFLDCASDDSNHKHEASADPVKDITVDDVQYRSDGTSIDSVKDIGIDDGDEQRKRRTMEAKENDSRTAEKAQAKPRKQVGANAKLAGDALKPKSNVRMAKPNAVSRWIPSNKGSYKDSMHVAYPHTRINSAPASITTSLKDGKRATSPDGVVTKEAKSKNLRASVSSPDVRSRAPSCLSPEFSPKEKPPSLPASPHYAPPPPQHSHAPPEQPSLTSEAASPPPPTYSSPSQNDSYSQTSQIPPPPPPPPFASKRPNSGSMLPPPPPPPPLASVKPKTGTVLPPLPLSPPWKSVFASALATPTTCSTSQPHPPPQTSSHSQASQLPLPPPPPPPPPFASVRRNSETMLPPPPPPPPWKSVYTSTLETHEGSTSYNFPPPPPPPPPLPPFSSSNTPKENGDHVTPSSLPYLSNAPSPSPKSSHINGFSAPPPPFSKPHSVPPLPPPSYGSPTPPPPQPPPPPPPPPFGKTSPPPPPPPPPFGSSGPQAPPPPPPFGSSCPPPPPPPPFRSGGPPPPPMGAPPPPPPPMHRGAPPPPPPPMRGGAPPPPPPPMRGGAPPPPLPPMLGGAPPPPPPPMRGGAPPPPPPPGGRAPGGPPPPPPPGGRAPGPPPPPGPRPPGGGPPPPPGPRPPGPPDLKGAGRGRGLSRPGLGSSAQKKSSLKPLHWVKVTRALQGSLWDELQRQGQTAPEFDVSEIETLFSAIVPKPVDKSRKKSVGAKPEKIQLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDSDQIENLIKFCPTKEEMELLKNYTGDKAVLGKCEQYFLELMKVPRVESKMRVFSFKIQFGTQITEFKKSLTAVNSACEEVRTSPKLKEIMKKILYLGNTLNQGTARGAAVGFKLDSLSKLSDTRAANSKMTLMHYLCKVLAYQGSDLLDFPKDLESLESASKIQLKSLAEEMQAIIKGLEKLNQELNASESDGPVSEVFRKTLKDFISVATTETAAVTSLYSVVGNNADALAHYFGEDPKRCPFEQVTATLLNFIRLFKKAHEENIKQEEMEKKKAAKEAEMEKEKAKGINLTKTADDDDS >A07p037650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20113879:20117378:-1 gene:A07p037650.1_BraROA transcript:A07p037650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 4 [Source:Projected from Arabidopsis thaliana (AT3G63500) UniProtKB/Swiss-Prot;Acc:Q84TI3] MKRLRSSSDLDSYNDNHTKVEGDPPFNSSSDRPLSASSHRWGRRGPLSPSRYDRDRSDDPAAGFSRSLSRRRSNLDFDGGSSNRRIKDPDARFGRDDRLLHRSESASSRRAFPKGFRSERERPNREASVSSSWRRFGGPGNDFGRDRRGHWDGERERERERSVKSPSWSRDESNEQVRVKVDSRNSRSRSKSLASPTWSKDSGSEQSKSVGKKSEEVYGGKSGSEMEEGELEPEPEPQSQPETVSGDQNNERIDSSFREMKKNADFDDNDREMKTAESVEKEENVAEHATESMHTSQNNVNDSSTALASEHEVSDDRNTAVVNEVTDVVDDKEEEYQEEHEVKLEESLYPAVVPEQSQAEELNRVNGSDGNANAAEVESPKRVEENALGNTPFVSDSSVHKSEDKGKNLDVPFDDLHENAVFSERKPEDLTERDRDEDDNFGGPSTRGFELFSRSPVRKTEQSGVGKPKDEKLLEPLDLSLSLPDVLLPIGGQDTNQPLGSPVRSGSVRSMTDTFRTDSEGFTMSMSFSGSRSFNHNPSCSLNHNIGDNEQSVHSRPIFQGIDWQALSHNDPRYNENTVYQKLMENGNGSAPPQSMKGLLIPGQADEEHLRLTDGSSKAVDRLEKQLSFQKSVDLRSAGSLENGSKHHAEKKKAMDFFGGSISGISGTNAGGDESFEIVMRYILSDSMHVMTKRFHEMTTRYIASLKEYIRQMMMNKDKNARLGVFQDALRNRTDITLEMLTKSHRAQLEILVSLKTGRSDFLELDESISSTHLAEIYMNMRCKNLSCRVLVPVDECDCKVCSSKDGFCSACMCLLCSNFDMASNTCSWVGCDVCLHWCHTECGIRESYIRNGISASAARGTTEMQFHCVACNHPSEMFGFVKEVFLNFAREWKSERFCKELEYVSKIFSSSKDFRGKQLRQAVDAMLASLTSKLIDLPEACNKILGFISDCDSSTPGEACAPLRYEQPKPRHERGSPSQDTAWLRSVCSEKPHHQPKRSASVVDGFHIERQGEIFGVETGSKRETQTEPRFEELESIVRMKQAEAAMFQGRADDARREAEGLKRIAIAKKEKIEEEYKRRMGKLSVEEVEERKRRKLEELVAMERGQREFYEMKMRMEEEMRGLLTKMEVTKRTLAL >A05g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16049991:16056338:1 gene:A05g505720.1_BraROA transcript:A05g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSLRRQTPADLEPSERDIGELSQPPSTEIRSVTPPPSHPLGHQCVRDVETSPEQEFQPEIRRDAPTRAGGSSARESHAPPSPPDIRRSNRSRPLSVRRREAAAGDFPVSHHRRWSPPATGLRRLAGRLAAGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWTLLERAGKSLRRQTPADLEPSERDIGELSQPPSTEIRSVTPPPSHPLGHQCVRDVETSPEQEFQPEIRRDAPTRAGGSSARESHAPPSPPDIRRSNRSRPLSVRRREAAAGDFPVSHHRRWPPPATGLRRLAGRLAAGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWTLLERAGVRLTSRSDCYRIGALGVLFFLFSDFRFYDFIDISGFISFIAFMVIYWIYDFGVDF >A04p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14720211:14721984:1 gene:A04p024290.1_BraROA transcript:A04p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKSDGEGKAKPTTVTTPTRQTRSMDRKTRSQTQRDSSGGGGSSSSKLFTYESPEKKKRKPKAKDAGPATKKIKQEKDDEAKKAEEDDDDVAAAATEEGDESKKPVEDDDDDDVAAEKEEEGDDGAEHKRIEIEHCKQCKSFKERANELKDGLEIAVPGIIVTMNADKPRRGCFEIREEGGQTFVSLLDMKRPFKEMKDLDMEQVIADIVEKLK >A07g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5262832:5267083:-1 gene:A07g502530.1_BraROA transcript:A07g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHCSLFRTLLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRKSDPYFGSIKWYQSHSFGIFDLFVVWWWKRKPASRRENPAFEVVKEGSPLKLLSFSLVLLNKIFGLHRKSTKEKSPRPSASQSSFKSALNYFDECVSVQKKPNRWSKKHGVDKTLKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDLPYLESEFINDYEQANVELTVLQPEHPSSLVLYQQVFEEEPLDIPHQCPCLNTWISLDEVSEPIFDVEDKPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLPDLQEHCEKSELVISLPDMFDKISSLDPDLLSFENDKTWNFLRSSCENFVDLSVDDILVYNTFFKKCLQSLIVVSQSELKLVCSDVDNDMHVLEMINVVAYLDKILVCNVYFDMHLDRLKSVLLVLGNDIFIFYLNKYLSCTFDPGLLVFILSIQERQVQPLNESIGHTQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSLKISKGYSCPIKKRPEPKPIIGFQMDLPASQKEQNQKEWPWDLEVMIHPPKPARPKTALPSSFSQQTRKNPTKEAAKCSPHEKKLELMILHDPNVFPQSTSCPKQKHCKDHELIASTLHENCRKEHELKLLRPKNSFDFVHDDNFSNFALSLCFHNSFSPWPDFEINKSIFGNQLTCLMLAHVHDDYPKCLDPVFGVLMIKKPFDYSFTIFDVVSLVALNKQDKHDQFLRKASTNGRQNRLTVQCTGQSRIRPERSFGWNHGQTDLTILGLPFPQSISSTFSSRIPRGSQQGSLGRAWEKEFNKNH >A07p051520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27335355:27339115:-1 gene:A07p051520.1_BraROA transcript:A07p051520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSAPLVTHIEDEDTSPPLTFDKIFEQSLSDLGLSQFLQILLVGLAFAFDSQQIFITVFTDAYPTWHCLDHTVCNPATTDICDLPRSAWEWDGGFKGKTVISEFDLECSSSLLRGLPTSAFYLGSIVGGVFMAMIPDSFLGRKQLLFFTTLAMSFTGISIFFSTNIWIYVFLKFIIGFARSQICTYAFNLIGERVSTKWRPRGAMIPFTLFVLGFMSLSGIAYLVRHASWRVLYLCTSVPAAIHSVLIYLFALESPRWLHVQGRNEEAIEVLKRISPASRAYLESVSARLPSKDDVESTPSSSIKDLFIRKWAFRRILVVMTIMFGLGMMYYGVPLAVRDIDVNIYLSEALNAAVELPTFVIAPILLEKFNRRSSVLANCLVGGALAVFCFVLTLLGLTNIAFVFELGSFFCARIGFNLMAVYMIEMFPTCVRNFATTMLRLSLVLGGAVCPIIASVGRNVPSFSFAVFGFTVSGLGFFALLLPETKGSSLCDTLEAQEQREQRAMETSNTPLLTHLEAEDTSSTLTFDTIVEQSLSDFGLRQILQIMFIGLAFTFDSMQIFITVFTDAYPTWHCLDHTICNSDSTNMCGLPRSAWDWDNDFKGKSVISEFDLECSSSFLRGLPTSAFYMGSIVGGVLLSTIPDGFLGRKQLLFLSTSTMSVTGISIFFSSNIWSYVFLKFLVGFARSQTCTYALVLISERVSTRWRPRATMIPFTLFVLGFMSLSGIAYLARHASWRVLYLCTSVPAAVHSIFLLFFALESPSWLHLQGMNQEAIEVLKRISPETRAYLESVSSRLLQQQETPEQAPRYTIKDLFIRNWAFRRIMVVMIIMFGLGMSYYGVPLAVRDIKLNIYLSESLNAMVELPSFVITPILLERFNRRSSVLVNALVGGASGVLCFFLSLFGRTKIAFALELVSFLCARIGFNLMAVYIVELFPTCVRNCAMTMLRQALVVGGSCCPIIASAGRHVPSLSFAVFGFAMSGFGLFVLLLPETKGSSLCDTMEAQELRDQALKISPSC >A09p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4294726:4297256:1 gene:A09p008350.1_BraROA transcript:A09p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSHIPSGYRFHPTDEELVDYYLKNKVAFPGMQVDVIKDVDLYKIEPWDIQELCGRGAGEEREWYFFSYKDKKYPTGTRTNRATGSGFWKATGRDKAIYSKQELVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGPLHEEGWVVCRAFKKKLTTINNNNPRTMMGSSSGQDSNWFRQQMDVANAMKPTKAGLEEPLEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRTKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >A08g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15646408:15648213:1 gene:A08g508530.1_BraROA transcript:A08g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWSRNHRASALLPPPLTSGDDRNSIPPLPPDPPDLVQYPPLSPSISVTPQTSRRSSLLLPAASPLEPASSVSDSETPDTEMILVDSTAVVLGPTENSTTLVVSRSEGTVATRSENTIAVKTSTENYTILKPKFSSPLHTNRASSSPPAPLKPTFSTTPQTTTVDLMKPLPSIVEFTRQSGEVVEVLVTYPWLPPTCTHCKELGHIVKNCLHIPLTKSPPLASKEKTKTQTKEVDKSATPTKTDKPKDARQSRTPTKQASFYRAKRASSADGSKVVGETSGTAETHVSFGPLKTIPSPPATTSTSQQPSLTPPVPPIGQSLPSQQPSLTPPHHPSLLFSPCLPQYLK >A08g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17364103:17365108:1 gene:A08g509050.1_BraROA transcript:A08g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQVVSSQLKQVGGRSTVNLQPESCGKDLQAVHQMFNHPCLRNQWTKRKHDESPRISDENSNKAGSKNSETESSSKRGASAASMKKSIKQDFLEDDGKSGKAVGTSTPDKGVIYLERRQAFLALTKPSPGISANGGLATGSSKVLVLSTKSLDIHRV >A05g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23487752:23488375:1 gene:A05g507970.1_BraROA transcript:A05g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKQLVVGPPWKKRRLHRSEFVAVVTGLELGGSMGGGEWRREEFEVVMKFCQSKALATSQCSSSCPVTWQRNLAVVVEVKPSKKKKRGGERETTKTHKEEEDTARWMGEDNTCG >A05p029260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000167.1:14321:15980:1 gene:A05p029260.1_BraROA transcript:A05p029260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGRRDEFGLSDPYKAVQAVPSVHRTSTKLTKVKISYQGNLVERRISFGVDKTAEEEGMRRGLTVWHGLESCLRTPFEDQAERSSIDRAGQEIELPGRVRLRIPNLKSSSSCSSPRTPYILAPSPFFPIFTIIFKTSVFIRGNLTFILPCGPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFEGFDENARTGVVLTFGKVQSLHSDRTLARARSLRSDRALARARSLRSDRAGRSLGCYVATELWLDLGRYVATGRDDRSVAM >A09p075650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56901018:56906727:1 gene:A09p075650.1_BraROA transcript:A09p075650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEEIVMRDVTNAGLCIGDRIGREAASQLDLEEALEASRYASHPYSTHPREWPPLIEVGDTWELPSVLIERYNTAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKRDGQCPEYSGEDQAICAVGLAKCRPGVFVEAIQYLLVLATPVELVLVGVCCTEGPDGRDPYAEISVQPLPDYTISSDGVAMTCVTCTSKGRIFMAGRDGHIYELLYTTGSGWNKRCRKVCLTAGVGSMISRWVVPNVFKFGAVDPVVEMVVDNERQILYARTEEMKLQAYVFGPNGEGPLKMVAEERNMLNQKDVSQGNRQSTAAGRSNKPTIVSISPLSMLESKWLHLVASLSDGRRMYLSTSSSGSSFSGFSNHRQSPNCLKVISTRPSPPLGAGVGLGFGAASLAGRTQTEDLSMKVETAYYSVGTLVLSDSSPPAMSSLLVVSRDPSVHSQVGGTSGSSSRNSRALREVVSSLPIEGRMLFVADVLPSSDTVATVQSLYSELEYCGVEVSGESYEKACGKLWARGDLSTQHILPRRKIVVFTTMGMMELIFNRPVDILRRLLESNSPRSLLEDFFTRFGAGEAAAMCLMLAARIINFEDLISNVVADRAAEAFEDPRLVGMPQFDGSSGLSNTRTATGGFSMGQVVQEAEPIFSGAHDGLCLCSSRLLFPIWELSVMSKKPSSDAMSADGLVICRLSTSAMHVLESKIRSLERFLRSRRNQRRGLYGYVAGLGGVTGSILYGTGSELGATERNMVRNLFGAYSNGGESANKRQRLPYSPAELAATEVRAMECIRQLLLRSAEALFLLQLLSQHHVARLVQGLDANLKQALVQLTFHQLVCSEEGDQIATRLISAVMEYYTGLDGRGTVDDISGRLREGCPSYFKESDYKFFLAVERLERAALASDAEEKENVAREAFSFLSKVPGSADLRTVCKRFEELRFYEAVVCLPLQKAQALDPDGDAFNDQIDASIREHALAQRKQCYEIIANALRSLVSSMLEEASRRQYISQIVHLGVQSTDRAFREYLYTAMIELGLENELLEYGGPDLVPFLKNAGSPASEVGAVSSGSSGTYISYDQAKYFDLLAKYYVSKRQHVLAAHVFLRLAERHTIIPGDSPTLEQRRQYLSLAVLQAKNASNSDGLVGSAQGASDSGLLDLLEGKLAVLQFQIKIRDKLEAIASSLESSVAMQDSDQNEPVLDGDSSDDSSLANAANEKAMELSLELKNITQLYNEYAVPFELWEASPKLSYVASICLEMLYFASYSGDADSSIIRETWSRLMEQALSQGGIAEACSVLKRVGSHIYPGDGVVLPLDVLCLNLEKAALERAERNEMVGDEDIARALLAACKGAAEPVLNAYDRLLSNAAIVPSPNLRIRLLRSVLVVLLEWAMSVLSDRMGQSPTRSSLILGGSYMTEVRRLTLPPTKTESVYAGFKELDESLITPFSF >A05p043210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26085177:26087400:-1 gene:A05p043210.1_BraROA transcript:A05p043210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPKNSSLFQEEKTEGFKNREPLLRFKKIMEMVKAVEREDKGSHKFGLYDFENMKEKNASSRNVKRWNSSSALRTEDPDIDDDTVFKRTAVSSFLPMLPIRPPQTCEATEREQMKERFAKLLLGEDMSGGGKGVSSALALSNAVTNLSASAFGEQRRLEPMSEDRKERWRREMGWLLSVTDHIVEFSPTQQTNKDGSSIEVMTTRQRTDLVSNIPALKNLDVMLTDCLDKFKDQDEFYYVTSDSPEHLNSNSSRNNDKWWLPTVKVPPNGLSETSKRFLLSQKECVSQVLKSALAINAEVLSQMETPESYIDSLPKNGKASLGDMIYRMITLDMFDVEKFLLEMDLSSEHKILDLQDKIEASVVIWKRKIVQKDNNKSSSPFSTNLSMEKRQLLEERAETILLLIKLRFPGISQSTLDISKIQFNKDIGLAIMESYSRVIESLAHTVLSRIEDVLVADQLTQDPESLLCKRYIVKETESPKNEEERNFCLLEERPIKQKAPISLSEVMQWNMDDKNDAPLKDSGKKLLTRVSTMIMANNKKSTSYLESLGTTRSPRAGQYS >A04p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21878003:21885942:1 gene:A04p038430.1_BraROA transcript:A04p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDATSNEPWGPHGSLLADLAQASRNYHEYQLIMGVLWKRLSDTGKNWRHVYKALTVLEYMVGHGSERVIDEIRERAYQISTLSDFQYIDSGGRDQGSNVRKKSQSLVALVNDKERIAEVREKASANRDKYRTSAPGGMYKPSGGYGDKYDYGSRDEERSSYGREREYGYRDDDRNSRDGDRHSRDSEDRYGRDGNREDDYRGRSRSVDNFQTGSRGRSSDRERTFEDDGHSSRGSGARADDNSQDERGQLQRKFSEQNIGAPPSYEEAVSDSKSPVYSERDGGETPQVAAPGVASPPPPQTASPGAASPPPPQVAAPGAASPPAGSNTNNNSAAFANESSPQKFEAFDEFDPRGAFSAGPPAYASADGVSAPPAVASTSAPPTSNSVEMDLLGSLADVFSSNALAIVPADSTSVETNGQPNAPSFSTSQPSTQTFDDPFGDSPFKAFTSTDTDSNPQQSFGAPFQATPPAFTSEASHTDSAQNFGFGDSFSAVANPEPAVQNVQPPSNPQDFPQDQFDTSQSDIDILAGILPPSGPPPSLPQQPGASGPTSQFPPSGNNMYEGYHPQPVSSAPNMPGQTPFGQAGPPASLPQQPGASVATSQFPPSGNNMYEGYHPQPVSSAPNMHGQTPFGQQYNMVPPHSQNMGGATPYNSGGFMHQPGSASYNPGAVTSHPTNESFLPRPVAATSSSSQTPYTNPSGPAGQFMGHQGHGMPPSHGLQRTQSVPVNMQGNHNFMGDMFSQGGPTGSLTSSSSHQDLTPLTGAIEIVPQPQKKFEPKSSVWADTLSRGLVNFNISGPKTNPLADIGVDFEAINRREKRLEKPTNAPAPTSTINMGKAMGSGTGLGRAGANSMRPPPNPMVASGMPMGGGMNIGGYGGMNQNQQPMGGMGMGPGMNQNQPMGGMGMGPGMNMNQNQPMGMGMGPGMNMGGGYGQGYQMQPQHQGMVPGQNMPGNNNNNYNPMMGQGGYNPQQQQYGGGYRSFPPTSFAASPINCLRFTPLKATSGSEVRCDIVDILLEIQGIHRPIGAVIIGDRFDQSKGTMYPYEDSGLIMEQYYNFLLFLKICCCLRSFSFKSDKLLLGLIRSKMEALTFKGSVMEAILEAKTQKKLFVVYISGEDEEESDKLNKLTWTDASVAQSLSKYCVLLHLQAASVDATNFSAISTIGFSGTQVWKNEGFIAAEDLASSLEKAWLGLHIQETTASIFSAALASQNSDQPTSSASNVVLPSEEGSTSDTMAASQSTGTSVQPSERKSTVTSESTKEKNDGTAAIKVKQSAEPSNQPASSVQAEKEPIRPAAPRPDDSSTSKSSTDRKRKQETVINKDERDINLPKSVATEEIVKAKEEGGEDGESWKPPSDVHLNIRLPDGANLQEKFSVTSTLRMVKDYVNSNQTTTELGAAYDLAVPYPRKVYSDQDLDKSLSELGLLGRQALVVVPRKRATVYQRGPSYSEPNNNTDTNSGGYFGYLRRVLSYANPFSYFGGASSSAPEPRGSMEYMPVASNAEVRSTPAQAGSEGRGNVRNRRPTTSRIGSNIHTLRHDEEDAPFGDGNAFWNGNSTQYGGESGGGDSNDRR >A04p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18282490:18283314:-1 gene:A04p030850.1_BraROA transcript:A04p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDGPILESFDVGYNRLIGKLPNYAVQGAFPELRIFEISDQNFTGSLPPNYFMNWKASSLQMNEDGNLQYKGLYVEQVKALTFYRAIDLSENRFLGQIPESIGEIPQGTQITGQPKSSFEGNERLCGLPLEDICTGGPHMASIQHVKKTKKERC >A02p004090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1804427:1805506:1 gene:A02p004090.1_BraROA transcript:A02p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATKSSTGKPKPDNRNLTRSRSLGRKPKPIPSSEPEATADGSGRKPVEKPLPNYLKPTISSRPDPVKFLKKKNAGDDKLLRRRSFDRPPSSLTSPSTSPSQKSLNTSPLARPRDRPVVPREKKPVTGLRSASFHGSGSSRGGLRGSSTVASRGSPGIKKSGLSGASSSKSKKEGSENVPKKSSPVAPPLENEEEIIKVETHVQVSDHREEGKEQVAQLDESVEEKEIHPMDVSTEEDKTEEHKEPENNSEDKEEVEKKVDDDEENKKTVVTQDMKEAADIEETKEEEEAEVKEGTTETKAQVPEEVTTKEVVQGKKESPTAYNDVIASKMQESSRKNKVLALAGAFQTVIDYETAASK >A03p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3946180:3950088:1 gene:A03p009910.1_BraROA transcript:A03p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLGRKLSWPRSFSFRKMFDGRNKGHSSFPSRRDSKQIPEQELTIRGMNPAASSSRRGKQARTSDMEMMRERFAKLLLGEDMSGGEKGVTSALALSNAITNLADSMFGEHMKLQPMDLKRKKIWRNEMNWLLSVVDHIVQFVPSKQVAKTGDFTEIMVTKKRDDLLMNIPALRKLDSVLLETLDKFKDHKDFWYVQKDVENTENNGNWRKDENWLLPVVKVPPDGLSEESRKFLRSQKESVAQVLKAATAINALVLSEMHIPDNYIDSLPKKGKTSLGDLLYKSITDEYFDPGYFLSSCDLSTKHKVLDLKNRIEASMVIWKRKMNHKEQWGSFVSLEKRELFEVRVETILAMLKQKVLESLAAKIMSRIEDVLEADVLVHIQLMEAERRFESDAEPEYEKTEKVVLAVTPNSTKLADLIGWRFSSDTEQSSTSDIELFHEAEQEKEIMKSPIRVQPMKLSYLAKLENLRSPSERH >A01p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1963549:1966762:-1 gene:A01p004600.1_BraROA transcript:A01p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAELSTEQVLKRDIPWETYMTTKLISATGLQLLRRYDKKAESARAQLLDEDGPAYVHLFVTILRDIFKEETVEYVLALIYEMLSANPTRARLFHDESLAHEDTYEPFLRLLSKGNWFIQEKSCKIVAWIISARPKAGNGVIANGEASASKKPITTIDDVLNGLVEWLCAQLRQPSHPTRGAPVAISCLSTLLKEPVVRSSFVKADGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTMQRLTEVVKSSTKEKVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEDLLDALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWNPMHKEANFWRENVTSFEENDFQILRVLLTILDTSSDPRSLAVACYDLSQFIQYHAAGRVIVTDLKAKERVMKLMNHENAEVTKNALLCIQRLLLGAKYASFLQA >A02g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1229832:1230809:-1 gene:A02g500360.1_BraROA transcript:A02g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYIGEDGELVIRNPVRNSAKPGKDFCKFKLIKFILQLQLKLKCLKTEPLVRSVMDGFNKNIKKKKKQSCKKILGPIKRFDGDVVEYLKVMLLSLVHKSRAGGMLLEIVM >A06g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22629198:22631660:-1 gene:A06g508100.1_BraROA transcript:A06g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEFQVFEGVSCDLRPWISKLEDQFACDDYSDMNKLALAVYLLGGKAESFVHQREEIKYFETWDELKISLIRMFGERDDPERIRLQTERDVSTHNWLVALKVRKADVIQEMTMPNPAVSESQVQSLLVSAIHIQDESDLTTKMESTGLTLDVDVNSEDPEQIESLGNEVPISLLEPCHRIGGLEYVFLENKSLQLHGDFGKLRIDEWKSPRVEHTYVLDVDMVEELIQKLEDAKAEIVAHHLFDLLLQRVVRKRKQLKCHKSWKFKYKMKDLWRCLPENGRYTSMRVKHQTSNSLCVIDHVGSLEKRTTCGRWRSQQQSWFVYKLRSWLGSLRNNIKAFWRDVVGIKLSYNGKVMCEFMGIKSDLITLGFLCFRGEKFSTLQHKVWYVLMVKNKDQSFESLMMNHLRSKVPYWGLATLGLLEMLSLVAHVDVVLWLITYQHESVSVYIVEFQNEEGSVLKNIWRASLVFGLRRSVNDSENARYLHEVERKSLQLNEKLEEKQCLSVLWKRLLCKDWMFKFKNRLARRTTISAFGVSVLVMENGSIDEAENTVLVHKKCVSVIRRDVMEAACMRLEKQWRENSLIQKSFAIRSLLLLNRTQNVLWFLLVTGDVRVSTSMPFDPGGSELSIPHFHKLTNLRGKSTNVAVRIFDR >A03p019360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7894067:7896190:1 gene:A03p019360.1_BraROA transcript:A03p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEILPPVSTTTVCLENKPLVATLLALSLVMIIWNLPPYYHNLISTAPPCSASPTTTATTILSSSSSFSPPENFATSLSATPATSPPSDPNKRVFQPFGNAAALFVLMGAYRGGPSSFSVVGLASKPIHTFGKPWFKCEYLSNNGTTTRATKAVKILPDWGYGRVYTVVVVNCTFHSNPNSDNSGGKLILNAYYNEPPTLFERFTALEEGAGSYNESRFSPPYPYEYLYCGSSLYGNVSSSRMREWMAYHAWFFGDKSHFVFHDAGGVSPEVREVLEPWIRAGRVTVQDIRDQARYDGYYYNQFLIVNDCLHRYRHAAEWTFFFDVDEYIYLPDGNTLESVLAEFSDYTQFTIEQNPMSSVLCLNDSTQDYPRQWGFEKLLFRESRTNIRRDRKYAIQAKNAFATGVHMSENVVGKTLHKTETKIRYYHYHNTITVHEELCREMLPVSAKKKVTLYKKLPFVYDDNMKKLVNTIKEFEQKKLGTKDVKHFS >A01g500360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1577766:1578131:-1 gene:A01g500360.1_BraROA transcript:A01g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCTKNKSSHSQLYGPLQECGLASFSCCYVIVAPPSHYAISSIDGSSQSQPYGALIPILVAETIVQECVHARFARFYVTVASPSHYTVSSIDGFSQSYLYDFPKLLSSTEFPGTLAFKTH >A04p003450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1694635:1695675:1 gene:A04p003450.1_BraROA transcript:A04p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMKFREEKNPLLRAKVPLSILGLPFQSGIVAGESKELSLNLSTFFESGPSLKLAYRPNDSHSPFSLVVKTGTGPFGSPVSASMLMSAEFNLLGKGNPTFMLHFKPRFGDFSIKKSHSSSGFDMIGPVNGEEDSSIEIGIEHVDGSGCKEVKPVSDPGLRNSDDVCLVLEELRSENRQLKRAVEDLRGVMVSNVRPFYPATVDYGSHSKYREAERSSNNNNNGRARGERWSSERTTSDYGGKKSKEEGDVAEELKKALKGAA >A01p056500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32069010:32069837:-1 gene:A01p056500.1_BraROA transcript:A01p056500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEAGAEIIVDSKDLQQQSKALDKLTDRVEDRQLDSNRVQSAMASIAASREADLNAKRLREKELASVKINAADVELIVKELELEKNMVERTLREHKGDAVAATRELLSRYPL >A03p043910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18371347:18372316:-1 gene:A03p043910.1_BraROA transcript:A03p043910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENSQIAENNRMSGIRNLQTNHRNHPDAGNIIRRSEDNWLSASDVYSILSHRESLTVSTNQNPRRGVEGLYLFYCHRFLNYRNGFVGTRRFTRRHGEHTIRVTQSRPLRPVAPTYSRRLHRCYPRFVLVHYRIV >A06p018640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9037938:9040945:1 gene:A06p018640.1_BraROA transcript:A06p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope pore protein 21A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20816) UniProtKB/Swiss-Prot;Acc:Q6ID99] MATISSLHIRASDHHSRLPRISETDQPRLTNQIVTLPSPISRRDANLVLLGSLPLTSFFVLPPSSSEARERRSRKAIPIEEYSTSPEGLKYYDIEEGKGPVATIGSTAQVHFDCRYRSITAISTRESKLLAGNRSIAQPYEFKVGSTPGKERKREFVDNPNGLFSAQAAPKPPPAMYYITEGMKVGGKRTVIVPPEAGYGQKGMNEIPPGATFELNIELLQVTPPVEKENWWLRKSAFGSRENLVKEKEKEKEKMETSLRYSANSRSLRIHAKEKLSVHSKTHLQLHGELDTRAGSPSYFCAMIRHFFHEASTNIGVGLHYDKSQKLRGFVRGKKKFPLRTDALLTFNIKGRCDFDQDFNHRNPKGAAEFDLNIWKFEKDQDLRLRVGYEMFDKVPYMQIRENNWTLNTNLKGKWNVRFDL >A06p053520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28197158:28206130:-1 gene:A06p053520.1_BraROA transcript:A06p053520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKPEELLKELGSKLDPPPSSKDSLLKLFKEAAVHLSELEQSPPAAVLKSIQPFLDAVIKPEILRHQDKDVKLLVASCVSEITRITAPEAPYSDDIMRDIFQLIVSAFSGLDDVGGPSFGRRVVILETVAKYRSCVVMLDLECDDLVKEVFTTFLDVARDDHPEVVLSSMQSIMIVLLEESEDIQEQLLLILLSKFGRNRSDVGDAARRLAMKVIEQCAQKVESDIKQFLISSVSGDSRFSSSQIDYHEVIYDLYRCAPQTLSGIAPYLTGELLADNLDTRLKAVGLVGELFSLPGRVISEEFSSIFLEFLKRLTDRVIEVRMVILDHIKNCLLSDPSRAEASQIISALRDRLLDYDENIRKQVVSVICDVAVSELASIPIDTIKLVAERLRDKAMLVKTYTMERLSELFRVYCLRCTEGKAGTGDFDWIPGKILRCLYDKDFRSDTIEHILCRSLFPSDFSVKDKVKHWIEIFSGFESAETKAFEKILEQRQRVQQEMQKYLSLKQQSADSPEMQKKILFGFRVMSRAFSDPAKTEQNFLILDQLKDANIWKILNNLVDPNTSIMQASKIRDDMLKILSEKHSLYEFLGTLSIKCSYLLFSKEYVKEILAEVSARKASKTSSGIQSCMDFLGLLASFCPSLFDGSEEELIGFLKDDDEIIKEGTLKILAKAGGTIRENLIVSASSVDLLLERMCVEGNRKKAKYAVHALASITKDDGLKSLSVLYKGLVDMLDDKRHQPAVLQSLGCIAQIAMPVFETRETEVVEFIRDKILKSESIAADEDKLSWDDKSEMCQLKIYGIKTLVKSYLPFNDAHLRTGVDDLLELLKNILSFGEVSEDIESSSVDKAHLKLAAAKAVLRLSRHWDDKIPVDIFHLTLNTPEISFPMAKKIFLGKVHQYIKDRVLETKYACSFLFDITGSNVLVSEEEKQNLADIIQHSYQTKVRKVSAQTDANSVSPYPQHILPYLVHALAHLSCPDVEKSKDVEEYELIYRQLHLIISMLLHKEEDGKAEDRDKEHECVPTIISIFRSIKQSEDVTDASKSKNSHAICELGLSIIKHLTQKELDLQGEFTPVSLPPTLYKPCEKNEGDKSQVGEEQLWLADETVLAHFSSLMLESHADISEIPPTTEIEVMDNEDSDGNELPLGKIVERLRAQSRKGKKNKSVPAEDDENGKKEDVDVLKMVREINLDHLKLDKFESSNGHTHSPVESDQKANKRSAGNATSVVSVPKRRRSSSGHSPFKFSSSDPLKASKKELLEERDMDANISSDSDKGKSRSSRKRTKSFSAKLKNSESDPENQSEDGNCSEKQSKSAENGDKLKSASGSMKRKRKSIAGPTKCSTAEKKMVTDELIGCRIDVWWPMDKRKVWYYFPESYQKLHLGVINRFYEGTVKSYDSTKKKHVILYEDGDVEVLRLDKEHWELIETKPAKKSSASKRSSNKKGSSESKRKSRSGLQRDEDPIPTTPKGKRTPKKNIKRKDPEGTPSSLSLEDEKPKLRTKKNRSSGVKEVAEKTSEEKMESSTEQIEEDPKYGEEAEEEKSESEGKSQKEGEDDEGADLQGANTESSGDSEEKETAVENSDSEGKQEDIEAEEAAGDAEASDNETLGAWKSKVAKSSSRKSA >A01g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6340669:6341864:1 gene:A01g501740.1_BraROA transcript:A01g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIVDESSYALSIVIVSVGDVPWADMRKFDDMIPKREFNNFLLRFESNSVLIPLDCRLNLLQRLLNFLCVILEEGNIVASGLNRTNETGNRGHKTCRDEAIDELIGQWQKDRLSPSQVAEKFSKCVLYVTCEPCIMCASTLSFLVKNLKEEKCRGIMADAAVSLFNSVL >A01p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000126.1:531:14986:-1 gene:A01p031590.1_BraROA transcript:A01p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVLTDNHGRPVCADGRPVCTDGCPVCADGRPVCTDRHTDTHGRPACSDGRPVCADGRPRTSSVHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGHTRTATDVLRGLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHGRPACADGRPVCADGRPVCTDGHTDTHRQPPTSCVPRGPKSPEQSTERADICTDGQPDVLCVLTDGHGRPVCADGRPRTSCTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKKMSTKSLGCQVLIKSCCRHPVRPRNSDLCSMQKTWLEAKENYENLPENSFNHPYEACKKSDSNSKGRHSLEPPTPQYPNGSGTKNANTWLDDGMSSQHKYYLDQSD >A01p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000050.1:23620:31695:1 gene:A01p023580.1_BraROA transcript:A01p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRTKSRSGNRPRDLEGLKKIKEASWKHADERYHEMPRQMKIYIDRCTQVPSIDIETSDTRHFGFSRLKTQGQAKLRKCPDECIGRRPYRTIDRHLLVVDILTVETGDLRLSSNISCMQQIGIYRYYNLQHLNSGPASNIISNQVTFITYSLSSSIDPNHSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLYDQAGHLRNATAKHPHTPSPFYDKIDRSVEPTIDRQSESDVDPHNQPPIDRRAPLTYRVRLPSIDNDYMNALRPPPKPLANPPGPKPNPLNSSPEPVQENQEAEGRSACHCGAEYESEYETEYSESIDIPTFTSIDSNELTVTDDRNNTKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYNEDYWKERAIEMSLQDERFKTHEFTDTFSTSFDAVHSTLVDTHPRPAKQPLTSIDTHTGTSIDIRTAAKIQGQENIPSPTRFRDTYINRFAPLKPPTHTRAEALAKTMNTLPSTPTGKSMKSNHLKNTSSAEITLSSINASVSTSIDTTLNTNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSHQPKRQASIDGTTETSIDRVTPTSIDRDDLTSIDRRYEFGNCAFDMYGARKFTWEQSDEYGVYRDECGHARGVAGERIPVTKDDISKLLERASLFKESHICLPEHATSFTLTRLAPKLYTKDEINEMVFGICGAQERLGEELKTLVEDTHRPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSISIDAPNAPSIDVSLPTAQIPAEPQCSAQHKDEWEVSYIDTRINDVYYPLNNNVDWLSIKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDKIWTPFARRTNNQPHRSTCVPSHRSTPSQILHAQRDIDNITNQSVLQAKSASIDKLRGPWIDGKKPVELLPYTAAEVDKITSKIYIAIYTMEERFDKRCDDIYFPFDNKISGLDSHVEWLHKEVKAIQRQLAAQHQISASIDMTRAKSIDGNSLRLTNEHLIASIDTESTQAGEQLLHKTVESMQKELTELSAYAYDNIGWHQVSIDNIQERLQNISNSSNSQKVAQRRSETTVNSGKRESQKVARRRMSRIRKRRGKENDGGAETTEAEDVLVVRDALNGTSEMSTEPPVVEESDERLPPVVEESQAPM >A09p057750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48563103:48566751:-1 gene:A09p057750.1_BraROA transcript:A09p057750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGAKKNENQALETDFAERPMWLMKCPSLIASALQSLPSTDDSYLPVAKVILSFDPLAAVDEEETKFVMELARAGSGNIPKRFGLDMSKDFIPMSVFSESSSQGKMSVEGKIKNKFHMSPRTENMESYGKLCRERATKSMCKNKQIQVIDNATGMYMWPTPGTVAPTGTFEKKKVTTKTTEMKRTRRDRREMEEVMFNLFERQSHWTLRNLIQDTDQPEQFLKDLLRDLCIYNNKGSNQGTYELKPEYKRDQCELRGMVHLIAILFYTYVCIPLGKLKNHQCGDARSHDDDEGYNLTSVMFGDKEKKEEEEICCSICLMDYEDEDAVTQLPRCNHLFHVHCIEPWLLRGSLTCPLCRSFVFSQTHTPLTHNNVINKQIYSLNVQQGAGDPLYVAEGQPKCFDPDIVDATPTEDGYKLVLVEYGGCVQAENVIKLFPGTVDIIYSSSCISSSRATKPVEHSGAITTNPPKKGRTKTISSCKFSWISLPLDNITCDSLKVILLGKHT >A07g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1453365:1454306:-1 gene:A07g500780.1_BraROA transcript:A07g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSQKKLILLVLAFACLSSSGAEAWSWSWSNGSGWGWGSDGSSSSSSGPGSNSDGSGWSWGSSPGWGWSWGSDSSDNSGSGSGSNSADSGWGWGWGSDGSSGSGSGSGTNPDGSRWSWSWNPRSGWSWSWDSNHNDSEAPNSSGTDSEAPCSSSGSDSDAPRNIVVGGSDGWKKGLDYKEWASKNAPFYVNDVLVFKYDKSAKRRNNVYLFQDPWSYMNCDLKNAKKIGLTHKRSEKNFKFTLRQNKPYFFASGEHDGDYCTNHNMKFTLFPVPHHSE >A03p047610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22342105:22344326:-1 gene:A03p047610.1_BraROA transcript:A03p047610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTESMNVVHNRIQQLEPENASKIIGYLLLMQEHGDRDMIRLAFCPDSVMRSMINFVKCELAKDPRYYSPPSDHLPIRSSFGSFTGSSIQPPSASVSPPLRIGSWENSTEVDSLQFLNYDESMTSPEFSSGFFSRDHQCLPLRTSRRSPSLPEFPVKICHYFSKGHCKHGNNCRYFHGQIIPERESFSQMFNPNNVSDEEHVVSPGSLEKLEGEIIELLKSRRGAPISIASLPMLYFEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNTIRLIDRPHGQHSVILAEDVPKFVEYMEERNEHGAILAGSKQIYLTFPAESSFTEHDVSNYFSKFGHVEDVRIPCQQKRMFGFVTFVYTETVRLILAKGNPHFICGTRVLVKPYREKSRSSRYLDNNKPLHGMRYGSQYIDRDMEMNTSRVSESSRLMRNQFLEEHEQSVSKSLPTNYSYLGFSDDFKLTADAELAEQAGRLSYLLEYLNTEDNVLNISTNCKDTDRRIHCEPMDNQVLNLPESPFSSLSGKEISTVT >A09p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1443472:1444962:1 gene:A09p001890.1_BraROA transcript:A09p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G02430) TAIR;Acc:AT4G02430] MSSRSSRTIYVGNLPGDIREREVEDLFSKYGPVVQIDLKIPPRPPGYAFVEFEDPRDADDAIHGRDGYDFDGHCLRVELAHGGRRSSNDARGSYGGGGSRGGGGGRDGGDRGRGPSRRSEYRVVVSGLPSSASWQDLKDHMRKGGEVCFSQVFRDGRGTTGIVDYTSYEDMKYAIKKLDDTEFRNAFSRGYVRVREYDSRKDSRSPSRGRSYSKSRSRSRSRSRGRSHSRSLSRSRSRSPKAKSSRRSPAKSTSRSPRSRSKSRTPPPRG >A05g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5405117:5406046:-1 gene:A05g501510.1_BraROA transcript:A05g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMDCLSHFFDWDQPIQLQDCFIPDVDTTILETDSFFFQSQPQLQFQQPLFQEEAPLELTELDYFCDQFLPPQETCLPYPKSEIFDETHDLDSFLPAPKLQKLVNSSYHFEDRSHGTKKPTLSSQSIAARERRRRIAEKTHELTKLIPGGQKLNTAEMFQAAAKYVKFLQSQVGILQMMQTAKKTHTSDVEIETRVLLGSQAIQEKLSTDEVCLVPCEMVRDLASEESIWRNPMIFREINKLLSTNLAN >A06p002770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4983589:4987268:-1 gene:A06p002770.1_BraROA transcript:A06p002770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSVKWQKKVFEGIEIDPTLPPYVFKAQLYDLTGVPPERQKIMVKGGLLKDDADWSAIGVKDGQKLMMMGTADEIVKAPEKAIVFAEDLPEEEQATHLGYSAGLVNLGNTCYMNSTVQCLKSVPELKSALSNYALTGRSNDVDQTSHMLTVATRELFGDLDRSVNAVSPTQFWMTLRKKFPQFSQLQNGMHMQQDAEECWTQLLYTLSQSLKAPTSSEDSDAVKALFGVNLRSRVHCQESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKGELEKTSPALGRTALYLKESLIDSLPRYLTVQFVRFFWKRETNQKAKILRKVDYPLELDIFDLCSEELRKKLEAPRQKLRDEEGKKLGLQPKSSSKDSDVKMTDAEASSKESGESSTVTQQEGGSSEKGTLHMTGIYDLVSVLTHKGRSADSGHYVAWVKQESGKWIQYDDSHPSLQREEDITKLSGGGDWHMAYIIMYKARFVSM >A01g511030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30776030:30776690:1 gene:A01g511030.1_BraROA transcript:A01g511030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRDKSKSDLQNQLQDLKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVTSQKQKSALREAYKNKKFIPLDLRPKKTRAIRRRLTKHQLSLKTEREKKKEMYFPIRKYAIKV >A02p025300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12296243:12297364:-1 gene:A02p025300.1_BraROA transcript:A02p025300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSHDRPTAEAGLQLNSTENPSPGRSSSKSSPFHSTGMSLYEDSNGTNGSSSSAAKLLNAATAAQNAAVFGFHHQGYPPIMSAERNQPTLGKPYREDYFKESEPSGSSQKPGQFQEQEMGPGPGMGRGTANVVPQPMWAVAPGTTNGGSAFWMLPMSGSGGREQMQQQPGHQMWAFNPGSYPVGTGRVVTAPMGSMMLGGQQLGLGVAEGNMAAAMRGSRGGAGDGLAMTLDQHQHQHHQQHQEPNQSQASENGGDDKK >A04p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1082188:1083019:1 gene:A04p002260.1_BraROA transcript:A04p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYAQTVFRFCECITVSILVVLFLRLFSKLAIFIVTRPWRRYRTFTFRSSQWIKAVKEHSSPLYCAVCLQEAEEGDKMRRLTICRHCFHADCIDPWLGEMSSTCPLCRAEVPPLPPVNPLLLLVLLYSKNPSEVAPLVIDDHRPIDKWTNVVSIVFKDVQVNNRADADTLLLNIRFYSQAHINSCNQDSQS >A04g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12114509:12115110:-1 gene:A04g505830.1_BraROA transcript:A04g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDRQAVKFHKQLEAIRGGDPRVVVATGINPKFEGGNTKVGMLGYDQFQPMFVVKSQYSSMNLNSKNIKSKGACDIVFLISRSIV >A03g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13468468:13470791:1 gene:A03g503840.1_BraROA transcript:A03g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGWVTFVCDSVCLEKPYICSSDDLLGKSSGCRRLTFSRLAVDDLPGSRLVNAESRHAIHKTYHKQNYYRSFLYKDKLGFHLSRQDQSTFKKSRQLLRSPDDFQEVQTTLKSKRLLGSPDDFQTTSRQLTVRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTLSEDFQEVQTTSRRLPDD >A05g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21305706:21307843:-1 gene:A05g507510.1_BraROA transcript:A05g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCVLSPKSMSGLYFPCVVRVIVIQKTLIEHAEKLRQVKTVLEEGENFSGIYRKVQMKPLKWDGESEEEKPVEALMILKYGGVLSHAELFNLLTFNITCHII >A02p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21333577:21334773:-1 gene:A02p036890.1_BraROA transcript:A02p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGSSKGRVKAWFNKKITDPLLQILRRGTEPKQLAFSAALGITLGIFPICGVPVFLCGVAIAFLGSACHAPTVMLANIIATPVELALVVPFLRLGEKVTGGPHFPLTSDALKKVFTGQASQEVFLSIGNALLGWLVATPFFFIALYVVLMPCFKILVRKFGAAASTPKTPTSIDIELNPKPRFA >A03p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11145183:11147025:1 gene:A03p026690.1_BraROA transcript:A03p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKWTQGFQDMMNVKPMEQIMIPNNNTHQSNTMSDARPNTILTSNGVSAAGATVSGVSNNNNTALVVERKARPQEKVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSKKNKRSSSSSNILQATPSSLGLTTTLPDLNPPILFSNQIPNKSKGSSHDLNLLSFPVMQDQNHHHHHGHMSQFLQMPKMEGNGNITQQQPSSLHGSSSSPVSALELLRTGGNVSSRSGINSFMPSGPMLDSSNNVLYTSSGFPTMVDYKPSNLSFSTDHHQEMGHNNTSRSDDHNDHNQGRILFPFGDQMKELTSSITQEVDHDDNQQQKSHGDNNNNNNNNNSSSIPNTGYWSGMFNTTGGGSSW >A10p008680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8338771:8340131:1 gene:A10p008680.1_BraROA transcript:A10p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSKIACNRLQKELTEWQMDNRCDRSSGDALRERDLSASDILYDSWSPAMTVSSVCINILSMLSSSPAKERPADNDRYVKNCKNRRSPKETRWWFHDDKV >A03p019640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8035023:8035463:1 gene:A03p019640.1_BraROA transcript:A03p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSASLVTLNHKILRPCRKLLIRITKSCPRRQTRHLKLKKASSSSSSKPGNKVTKVVALFFLSFHKKKQKKEKMKRLNELRSYSHAVSDQKKTKKKSSKQESSKKKVFPSRITMSWLGQGKGTNNTHEVPQDQDPRRDSTSAFIP >A03p053220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22842225:22843322:1 gene:A03p053220.1_BraROA transcript:A03p053220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNAREEEEEANSNHHNDNDAEIRSHQAPDFTPSEQLMGGHHHQSPPHSPRATQSPLMFAPQVPVIPLQRPDEIHIPNPSWMMQSPYEEASNEQGIPTMITWCHGGKEISVEGSWDNWKSRSRLQRSGKDFTIMKVLPSGVYEYRFIVDGQWMHAPELPFARDDAGNTFNLLDLQDYVPEDIQSISGFEPPQSPEASYSSLLLGAEDYSKEPPLVPPHLQMTLLNLPPANPDVPSPLPRPQHVVLNHLYMQKGKSGPSVVALGSTNRFLAKYVTVVLYKSLQR >A05p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19422976:19424800:1 gene:A05p034540.1_BraROA transcript:A05p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSSVTETLVDILLCSVPPIMIGLLIGWSWRPRWTGLVYLGLRSKLRFLCTVPPGFGARRVWLAFTALSLCRTLWSSLGSSDKKPEDSGATEAISRVSDKEDTEKEQEIVTEKDLEHLLHLLEAENANMEWQSMMDKSTPNMNYQAWRHEPQTGPVVYRSRTVFEDATPDIVRDFFWDDEFRPKWDPMLAYFKTLEEDPQTGTTIVHWIKKGVPYPALPKRDKPRRVEIYFSSWIIKAVESRKGDGACEVSLVHCEDMGIPKDVAKLGVRHGMWGAVKKLNSGLRSYRTARKQGGALSRSAQIARITTKLNMDVAETSRVDEEERGRDMENARRPKDQFSVDWKLIVVGGVALACGLHSSAIGKALMFGAGQRLARR >A04g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:468818:470926:-1 gene:A04g500090.1_BraROA transcript:A04g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISLNGLEPITKNTIVDFDTGEEARLTLEYEGIQYMCYICNRLTHFAKECPWNPVRATSPSRAPENRQTTESVSRQYSSLREPRYQPYPTHKRQECTLQEGDYHQRLDRHGRPFGERISQSRGSARPLRNKIAPAPYEPTRRGAHGRSNSPITRDYASEAGRYGAKNPSDYRVSTKPSGPQQMWREKEKPPPPSREDDQSVDPITPAPEDQYQPFEHSSLGRNLAISDFPQVARIPTTEEVMQELVDVSIQYTNCADPVEREARRQRVLQSNAEGIMEKTAASIIAAAASTSINEPHILLAPANTSRIIPDEPEVAILPTSAPILLPGPGSSIPKRRGRPPKEKKQSPGAKVLYGASSRKRNLTMIHTSPARRLPPESHNVARL >A09g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2815642:2816375:-1 gene:A09g500720.1_BraROA transcript:A09g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSSPALLQQWSRLESQSHVEPIVSTSLFLCCCLPDIARWVIEPSSDYCGNKTIGPGMGYPLPS >A06p048740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25805521:25810340:-1 gene:A06p048740.1_BraROA transcript:A06p048740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISLALCLMLVVTSSVIYEARGHFLLKDYLKTKFPSKSSEFTPYVNRGLTEFLTDLERFCPPTPEFKSFFTEFKSFFSSIETSSSTSQNVDVEKKGDGLFKAVSAITGGAGQQSAEAGSFKSTMISMAKTLVEQKKSTTTITSTEKKTLVTSMVQWTKTIATTVKTACEKKGKKIDINSFGLNVDVNSVMTVSESRQSSSSSSKSSHESSSKSSTYAARAETAASAKAKETSGARAETGATAKAKETTGASAEKDEKAEKKAEKKAEKAEKKAEKNEQKAEKNEQKAATSSAKTKEASSTKAGAAFRDTTGGSAGSPRGSPTAGKDKTAGSPRGSPTAAKEKTSVKGTGNAAGSVNQQQSNAGSSRGSGSAAASTNQQQSNAASRGSAASTNQESKKTASSKSSSTTSVTEIEKETSQETMSFISGLEKRFAQKAELKPFFEKLKASMTASSRVSSTKSEQEYTNTAKSTTGKLSDAMTLVGSRFSKSAEMKSTIQTTQQQLIKNLQQFQNLNSQIVGEQKVSSTKETEIKKTMSKIEQVTTQISSAVCLLLLVALSTVYEVQGTFLLRHFLRKIPRRSRGLRPFACKGMLKFVNLLEFKSPLKPEYKNLFGNLRSYVGAISSGSNVDLKGKAEGVQSALSALGGSSGSSVDTSKVMDVLMTMGKTLTSQTSSSSTEMTSGQRQLLLTSLVQWARVIGQVVVSSASKSGKSIDIKSLGIDGIDDNVATGGSTSTSTTGSSNTKTGSSTSGNFMTGTTGTGTVPGTGTVPVAGTVPVPGTGTVPGTGTLPGTGTVPAAGTGPGIGMAGGPASSTEGGSSFGRSFSGKTGSTNYAGSVNYQSGHKSSRQSTTSNSGSPGGTVSPGSF >A09g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3028774:3029984:1 gene:A09g500830.1_BraROA transcript:A09g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRWSKGQLLYFKDSQFREGDRAEYQFRITATVLDNVTQKQMLKFLRLQLSCSIHVLKLDGYVRSPRSLPNQYGIVGELRARELLHLHPVPRISYGNQRPPVQSFVDQPTPKLNKSGPENKTKAGDDVFIVQSPVDPSPEPPQNVEVSAEKTTVSDEAPSNKKAQNIVPTVEIM >A06g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18068809:18071212:-1 gene:A06g506480.1_BraROA transcript:A06g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILQKSGLSVSREEAAEDMKECRLTLIPWCQTTLMREDGPSLFPYRHGPIIEVLILEADKNGVLRDKEGRAQNKYGQLINAHGAAIPEATVVVVNAGAERQRHLETTTVLKIPLPPYDDQHTRTKYLDTHLMISIALHGWKNSHVAYLSLNHLRRECTKEADGFHKGLKSIHDHVKIVVSNSVSKVELPIPPDRSVHLGPKLGYLMTLCTQYLLKKD >A08g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21830228:21830877:1 gene:A08g510110.1_BraROA transcript:A08g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSETPHPEFSRRMFAFGEEQVGIKVTEDQKSSCISKIINALEEEEEIVVNRASTFEKLLAIAKETSILWLFWAFPYIQAIESFEEARNLVLFAGKPVRFSIREFTLVTGLNFRRFPPHSKKRSTKNISCKAQVISIISAGVEQSNVNPELGWSDDEEDVQVDNIAISQAEPPKLMLSGCVMKPKRKT >A07p050940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27100770:27105350:-1 gene:A07p050940.1_BraROA transcript:A07p050940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDREKRHRFKRPSSVDWISSLPDCLLSQILSNLPTKDAVKTSVLSTRWRSLWKQVPSLDLANFDFSLYFPYCPKFLTFVDSFLLDLNRDSCLHEFKLKYDSDGETDHLSRWINTLVKRKVKHIDIVDESNASWDFPIPTTLYSCESLVSLKLSGLTLPNPNLVVSLPSLKTIYLTIAKFDVGEANPAMSGSGKLRFTHLSDCCDDSDEEFVVEIDAPKLEYFRSFDPNDGQKRNVIRGFLVGISRVKDMTIASSTLEVIYDYSRCEPLPLFRNLTFLRVDFYGYRWEMLPVFLESCPNLKSLVVGSIRGREKEGVHILFEPQCFLPSLEYVKIERPLKGEAMEIKLVSYLLENSTILKKLTLLLDVSREREESDILKELLSIPRLSSSCQMFTFMDTAGVKRARYTSPPGEVDRLSSLPDCLIFHVLLNLPTKDVVQTSLLSPRWTHLWKHVPGLDLDTEDFKELDTFVTVVDSFLERNRGSSVHRFKLAYDSPVVVEPNTGLVKRWLDAAAKLKVKHLNVSDNSSQSWDLVMSPTVYTCSSLVSLRLVGLTLPNTESVSLPSLKAMVLLLVEFTNNRALENLISKCPVLENLCIERSYGDGISILRVHSKSLLTFMHDAGNNEDYDEDRIVEIDAPMLIYLRISDARTTSFIIKSPPSIVEADIDTVFNLISGRRLGVANEVQKREMVRDFLIGISNVKDLTIASSTLEVIYDYSRYVQLPVFRNLFLLRVTFDSYMWEMLPVFLEVCPNLKHLVVGTSENPKTVGITVIARPWNLLSSLEHVDIERHLKGEALEMSLVGYFLESSPNLKTLVLSLDDSLKKGESAYKLTLSLDDAPKKEESDIFIELLNFPRLSSSCKIVV >A07p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22071278:22083325:1 gene:A07p040860.1_BraROA transcript:A07p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 7 [Source:Projected from Arabidopsis thaliana (AT1G64820) UniProtKB/Swiss-Prot;Acc:Q1PFG9] MESGFSLAEREEEEDYKNEKSAGMMMKKVSYMAAPMVAVYLSQYLLQVISMIMAGHLDELSLSSVAIATSLTNVTGFSLLVGFSGALETLCGQAFGAEQFRKIGSYTYSSMICLVLICFPISLLWVNMDKLLELFHQDPLISELACRYSIWLIPALFGCALLQPMTRYFQSQGLVLPLFLSSFGTLCFHIPLCWLLVYKLRFGIVGAALSIGFSLWLNVALLWAFMRDSALYRETSNLQVEEIFSSMKQFISLAIPTAMMTCKAWSGGHLSFCYYCLDSYPTQNSKHRLTTSLLHYVFVDAIGAAASTHVSNELGAGNPKAARAAANAALYLGAFDASFVCITLYSYRKKWAYIFSNEEEVAQYATEITPILCLSIFVCSFTSVLSANKLNLYVLREHCNSSLTLGIARGVGWQSIAGYASIGSYYLVGIPVGSILCFVAKLRGRGLWIGILIGCFVQTMVLAHVTFFTNWEQEATKVRDRVLEMTPKGNDETEVIKEDAQFARTMESGFSLAQREEGNYKNEKSAGMMMKKVSYMAAPMVAVSVSTYLLQVISMVMVGHLDELSLSSVAIATSLTNVTGFSLLVGFSGGLETLCGQAFGAEQFRKIGSYTYSSMICLVLICFPISLLWVNMDKLLELFHQDPLISELACRYSIWLIPALFGCALLQPMTRYFQSQGLVLPFFLSSFGALCFHIPFCWLLIYKLRYGIVGAALSIGVSLWLNVAVLWVFMRDSALYRETRNLELQEIFSSMKQFISLAIPSAMMICLEWWSFELLLLLSGLLANSKLETSVMSICLTTSGLHYVLVDAIGAAASTHVSNELGAGNPKAARAAANAALYLGASDASFVCITLYSYRKTWAYIFSNEVEVAHYATKLTPILCLSIFICSSTAVLSGIARGAGWQRIAGYASIGSYYLVGIPVGSVLCFVAKLRGRGLWIGILLGCFSQTMVLALVTFFTNWEQEATKARDRVLEMTPKGNQETEIIKEDAQKRRKHTMEDSLLVNYKKEQEVDKIRWEKLKKVASMAAPMVVVNISQTLLQATSTMIVGHKSEISLAGIALASSIANVTGFSLLSGLASALETLCGQAFGARQYEKLGVTSSSLHYNLATAIGAAASNVWGYAYSNVPEVIHYAAEITPVLCISIVMDSLSASLTGVVRGSGKQKIGAYVNIAAFYIIGIPVGFLFCFILDFKVKGLWIGVLTGCTVQTITLFLITTFTKWTSEVTEASSSTV >A01p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4713002:4717236:-1 gene:A01p009700.1_BraROA transcript:A01p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-tyrosine decarboxylase [Source:Projected from Arabidopsis thaliana (AT4G28680) TAIR;Acc:AT4G28680] MEYGNSTENGIGKSNGIGNGHGIGNGYVNGHGICNGHGNGHGIGNGHGNDNGYVNGNGKVNGEKMKKMKPMDSEMLREQGHIMVDFIADYYKNLEDSPQDFPVLSQVQPGYLRDILPDSAPDHPEPLKELLHDVSKKIIPGLTHWQSPSYFAYYASSTSVAGFLGEMLNAGLSVVGFTWLTSPAATELEVIVLDWLAKLLQLPDHFLSTGRGGGVIQGTGCEAVLVVVLAARDRIMKKAGKNSLSQLVVYASDQTHSSFRKACLIGGIHEENIRLLKTDSSTNYGMPPKSLEEAISSDLAKGFIPFFICATVGTTSSAAVDPLVPLGKIAKSYGIWMHVDAAYAGNACICPEFRKHIDGVENADSFNINAHKWLFANQTCSPLWVKDRYSLIDALKTNPEYLEYKVSKRDEVVNYKDWQISLSRRFRSLKLWMVLRLYGAENLRNFIRDHVNLAKHFEDYVAQDAHFEVVTTRYFSLVCFRLAPVDGDEDKCNERNRELLATVNSTGKIFISHTALSGKFILRFAVGAPLTEEKHVTEAWRIIQKHATKFIHNKKF >A05p006710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2690699:2691356:-1 gene:A05p006710.1_BraROA transcript:A05p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LBD19 [Source:Projected from Arabidopsis thaliana (AT2G45410) UniProtKB/TrEMBL;Acc:A0A178VSY1] MTGNLNGGGRGGEGPCGACKFLRRKCVSGCVFAPYFDAEQGTATFAAVHKVFGASNASKMLLRLPLNKRHEAVSTLCYEALARLRDTVYGSVGHLLSLQHQVMNLQAEIAHVQACLSAFQRFSIIPPQQMQQPPHNNEYPVEQTNLDFVWEEEQLPQAGNEDGEFQELAMQFVFKYLPEVKLPACTFS >A05g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28268363:28268563:-1 gene:A05g509320.1_BraROA transcript:A05g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLDQNVNKPQPFNPQKMVTRNNAYHNSCFVAPAVILTLIYRDVKNGVLARRNQGRI >A03p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2392646:2395086:1 gene:A03p005730.1_BraROA transcript:A03p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMGLAQHKKNVLHILLLLFCMSIIILLLLVPETKHSLTTFRQNNNYVPPFTFLIKVLTFNRLHSLSRCLRSLSSAEYGVSGDRGRVHLHVYIDHFSLDQNDTTVEDSLRSTKEILDFVDKFEWRFGEKLVHYRTGNAGLQGQWLEAWWPSSDHEFAFVVEDDLEVSPLYFGFLERVIRDYYYDASSFNPSIYGASLQRPWLVPGENGNKLLVDPKTNIFLYQLVGTWGQLLFPKPWKEFRLWYDEHKSKDKKPYLSGLVTDEWYRALEERIWTPWFIKFVHSRGYFNIYTNFPNESALSVSHRDAGVNYRETVGPDSQLLNQSFTGSDFLKLQPLTNLKWYDYCFGEVVTGRVVRSLNELGTILPSVQRDKTIVLVSLFDADEMFIRNLLCHFERINTRNHIFIGPRSEFLYDLSRRGHPVIDADMFIKSDSVKEALSSAYVVMKCLELGYSTWVFSSNALLVDEDILLLDSIRSGYDFYIGESSGVLIVLSSPVSRKLWRNELMPSIVSSATKNPSPEHGLDFIQLVKELLEQKGKKVKTVETMSIAENTNAESVNQWLEDDKPVVYWSPEVGSNIIQTKLAELNLWLIDDDLSCKAVICHSL >A05g510540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32802906:32805082:1 gene:A05g510540.1_BraROA transcript:A05g510540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTNLFDPFASLNCKQLSGRPSTLDLHFKSTTGIDSDSVPAAAKTKGAEEVGETEVDVVMFPMKPESVLNCVVSGTHTISDGEALIAVELSAGELIGGTRSVSIEAERVIWRVETFASVLYAVASDGTWKDTSSANKPYFSCGLQHLLKQYIRQKMLTKAICLPPTGIPTDILPWVCWALWSARNIHFFENRILTSVETTTKAISLAREWNSAQQQTKLPIQTLPRERRAQTTNNEEGYMICKSDAAYDKKTKRAGVAWIFSDTIGNCINQGTTTYNSISSPLIAEAIALRAGVLSAVNLEYPKLKAFSDNLTLIRAINNDMQVKEIFGIVKDIQQISSVFVEKSFSHISRALNGEADRLAKLSLSNSFVSDPLVG >A03g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8998706:8998988:-1 gene:A03g502880.1_BraROA transcript:A03g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMAINDVAFDSLSAQILGWVLERSLERVKSVSNKFNYSLFQEQFQISKSVEFTYAAIGHNKVW >A09p064000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51743955:51744368:-1 gene:A09p064000.1_BraROA transcript:A09p064000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVFNNYYLKNGQADEACSIYNNAALTSINPMASQYEVCKISWMCSKRVSNGRVVDDTSVCAGGQADMSSSRRVSSS >A02p057590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34603737:34604846:-1 gene:A02p057590.1_BraROA transcript:A02p057590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRINSLTTIYKSPRRYSSNHKSPNQIKLVSNSLSSKPNTTQLPSNLYTVSFKTIGTGKLSISRYPDFEYSPQGGSGSGTARCIDADGCDKNRTSNSELSVSFDVGTLYIPSLTNQTTKFLGLPLPPFLKIDIAPEMFQGTIDQDSGKVELEFTAKFCFTAGGGIYRAPPLVVKTVLTTEESIGEKKRGRGERMDGEGKCRLVGVARVETVDDLFMNTFLSLPSECLADLQAVISVAGS >A02g501980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6174639:6175187:-1 gene:A02g501980.1_BraROA transcript:A02g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIETTLKASFLDAGGISNRWRRASLTLGCSTPCFFYVYSVFVVSLATVVTSVDVSSRKMVVVESYSVWLLIYGFGVTRSNHSWGVPLSSSSTASFIFRKRRISTYPPTTNAELPTGDYQPHFGERIVRCYGSGFYRSDLPPNPPLASHSLSLMLSHGESFNPRRPLPRFKHRLEFAVILFF >A02p009180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3802110:3805019:-1 gene:A02p009180.1_BraROA transcript:A02p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSFLLCYLSTCLRTLQQPIFPAKMVASPDCRISLSAPSCLRGSSGYTRHIKLGSFCNGELMGKKLNLAQLRSSSTNSSQKRIQMSLNSVAGESKVQEIESEKRDPKTVASIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLTRAYNSNGVFGDGFVEALAATQTPGETGKRWFQGTADAVRQFHWLFEDARSKEIEDVLILSGDHLYRMDYMDFVQDHRQSGADISISCIPIDDRRASDFGLMKIDDKGRVISFSEKPKGDDLKAMAVDTTVLGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPFSAKEFYVNAYLFNDYWEDIGTIRSFFDANLALTEHPPAFSFYDAAKPIYTSRRNLPPSKIDGSKLVDSIISHGSFLTNCLIEHSIVGIRSRVGSNVQLKDTVMLGADFYETEAEVAALLAEGKVPIGIGENTKIKECIIDKNARVGKNVVIANSEGVQEADRSSDGFYIRSGITVILKNSVIADGVVI >A08p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10040853:10042896:1 gene:A08p015220.1_BraROA transcript:A08p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate/phosphate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G33320) UniProtKB/Swiss-Prot;Acc:Q8RXN3] MQSSAVFSLSPSLPLLKPRRLSLRHPVTVTASSNLNVSPPNVVSVPPLPRRSWRLASSDSPLRAWSGLPSVSSPSLDTNRFKTAATAVPENAEEGEGSGKMTKVLELGLLFAMWYLFNIYFNIYNKQVLKALHAPMTVTLVQFAVGSVLITFMWALNLYKRPKISAAQLAAILPLAVVHTLGNLFTNMSLGKVSDSLDNITLFSIITLMSLFLMAPVTFFSEGIKFTPSYIQSAGVNVQQIYTKSLIAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVSSVIFFKTPVSPVNAFGTGIALAGVFLYSRVKRIKPKPKTA >A09p039520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34719462:34722892:1 gene:A09p039520.1_BraROA transcript:A09p039520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFYFPCPTTKADALEDLYKMYRVDRAVVLDLACTNETPETVREGYDGAYLSCFHSCGLILPIPKPILEILAELGLSLTQILPNFLRYLIAFLVKAREEGLSFGLSRHVIEAIPYRDRKLREQFFIFKMDRASMGEFDFYRLLGVEPRLSVTPSGSSSLSDKIRGLIGILRRGHSNWSSFDQNRIRTAFAMPEGINRAPLVGGSEDEAEHSQEVIATPSVQAQSSDRLTRQLVRMLSFRTSGPGPEDETIAATRKRRRSSKGALPGPSCPRFVPEGDGLFFAAQGDLISLAGCKRSTGCRLPSLASSAEKEAYAKVAVASSKVMEAFNEYVVVMEDHVVASWNDKEIESIGERDSYDQDGCSDGKNHSARGRERSGHSSCFSLARHEIATRYREILESLKDKWTSKKKEVFDEIQLQEVIANIDLLNELKDGGLTVDAELARLKDMEGDGEDLVASAAVPDWSISELHLPQVSDDSVDQVGGSFLLSLTSFFLPKKHGIEKIKPSRRSNLRDSNRVRTQTGSVNAECIRSGDDLESEKPAARVKSSSLTGSEGRDRPPKKAKTNGSDHRVSVSGEAAVAKPFHWQFSHSKDFPIMEDRDSVSHLVRHFKRAGCPLPSLRNMTEREAYVKMVVAHPKAMEATNEFAAALEKRLQDVPRFDKLYEIKKVVRELKFGLKMAQDRERANAAQLAAAEKFKNQAASLKARLQVVSNERKSALEQVSFLEAKEKWEKKKAATDWEARPREVTVNIDLLKEIMNNNILASDELLRLQTKEAELGSELNVMAVSDFSVGKLDLPQISEDLPEDFFAKVPSVADDVTKYSGGQFEDGEFGIEE >A01g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19515710:19518653:-1 gene:A01g506670.1_BraROA transcript:A01g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSASINLEEVVSTSWKSSGLLGSRLDFSERFGFSDLEDFWDDLRVSHLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARQLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A05p039800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24333314:24335555:-1 gene:A05p039800.1_BraROA transcript:A05p039800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP30 [Source:Projected from Arabidopsis thaliana (AT3G63220) UniProtKB/Swiss-Prot;Acc:Q9M1W7] MSVVNNLSLEQRAKKQRIEPVTNESSSSSRCGHWFLRYGECTTCKSTVHKDQGRVFDYLSDGLQLSHEAVAATKQRSRELHLVLDLDHTLLHTTPLLRLTEAEKYLIKEAASITRHDLWEWTTGGDDPVVSLTKLRPFVCGFLEEANKMFTMSVYTKGIRDYTMLILDVIDPKKIYFGDRVITRDESPDVKTLDLALAHERGTLIVDDSRDVWPDHKSNLIVISKYNYFRRMSNGQYSKPYSEEKTDESETEGGLANVLKLLKEVHSAFFRVTEEKELESKDVRLLLQEINFNPVATSGKLFVLGGGSDAVDPLAGDHDGTFATDEVWCYDFVKRRWRPRAPMLVPRSMFACCVLEGKIFVAGGFTTCRKSISGAEVYDPESDVWSSIPDLHRTHNSACSGLVVKGKVHVLHKGLSTTVQVLESVKLGWDVREYGWPQGPMAVVEGVSYVLSHGVVYNEEDDDETWKMVASAS >A03p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14598431:14599938:1 gene:A03p034620.1_BraROA transcript:A03p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 3 [Source:Projected from Arabidopsis thaliana (AT3G02180) UniProtKB/Swiss-Prot;Acc:Q9S7P8] MHNHFYIMGVQLPKNQKEKRYAKVLRNIGIISSPLFHEIIYFGIILRSFMQEDLCDEAQNEKFAANHRRRHYELQKRSLSMGKARGVNNGVNQSSLDYLFGSGESPSAVAATMGTTTTTTTTTTTDGTGGRPVTTTTTTVTENKKIPAGVRGSPNNYFRSEGQNCGNFLTERPSTKVHAAPGGGSSLGYLFGGPSASADSAKK >A03p069950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30732700:30733620:1 gene:A03p069950.1_BraROA transcript:A03p069950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRSQSELCPLSPCPSLGNFVERIKDACRFLVSAVLGTVLSAILTFFFALVGTLLGAVTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLLLWKSNESRFGCLLYLIDVIVSLISGRLVRERIGPAMLSAVQSQMGPADAATFDDLSSIFDTGGSKGLTVDVVEKIPKIKITGGNNLDASGNKDSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDNWLLRHGSCPMCRRDL >A01g504640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:13974752:13974931:1 gene:A01g504640.1_BraROA transcript:A01g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFGELGTFGDGLETRMVILVQKTRSQLSQRPNAAAKLNHWENAHAPAPYVLVAAAK >A08p002720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1505124:1506249:1 gene:A08p002720.1_BraROA transcript:A08p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAPASFGRLTVPSRAQVRVRVSASANPRTSVDWVKETSSFFEQDKRPIMLFDGVCNLCNGGVKFVRDHDHNRSIRFEALQSEAGKKLLMRSGRAPDDISSVVLVEKDRSYVKSEAVLKIMKYIDLPFPQLAFFLQFAPLFVRDFLYENVANNRYALFGRSDSCEI >A02g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8198092:8198742:1 gene:A02g502550.1_BraROA transcript:A02g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVRMIVLPSLMTFQRLLLNKIEEDIQLMLSKGLELKSFLGDV >A06p002980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4894758:4896314:1 gene:A06p002980.1_BraROA transcript:A06p002980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLIALKNPRFLTSSPHNIFNTKQKTLILTTHMKTCSIVYSSSCTSINSSTTQHKQPLVLDNKQEEEEAELEEEEVNLGSIWREVQGCNNWEGLLDPMNNHLRREIIRYGEFAQACYDSFDFDPHSKYCGSCKYHPSDFFSNLDLNLHKGYTITRYLYATSNINLPNFFQRSKLSSIWSQHANWMGYIAVATDEEEVARLGRRDIVIAWRGTVTYLEWIYDLKDILCSANFGDDPSIKIELGFHDLYTKKEDSCKFSSFSAREQVLSEVKRLIEYYGQAGHEISITVTGHSLGAALALVSAYDVAELNLNHIPDNNTKVPVSVFSFSGPRVGNLRFKERCEELGVKVLRVINVHDKVPSVPGIFANEKFQFQKYIEEATSFPWSYAHVGTELALDHKKSPFLKPTKDLGCAHNLEALLHLVDGYHGGEEGEEKKFCLVTKRDIALVNKSCDFLKSDYHVPPCWRQDENKGMVKTGDGRWVLPDRPRLEPHRPEDITHHLQKVLGTSNDEPKLLH >A07p029240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16412641:16414994:-1 gene:A07p029240.1_BraROA transcript:A07p029240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTNLLATSKAVKLHGVRFFSSFLSRPNHFLACRLPQSITRRVQTSCCYRETSYKSPEPEPSSVSDGVGIIRFLKGKTYLITGATGFLGKVLIEKLLRASPGIGKIFILIKSKDQESANKRLYDEIISSDLFSHLKQMHGRSYEEFMKSKLIPIIGEIGEENLGIGSEVAANISGEIDVVISCAGRTTFDDRYDLAINVNALGPGRLLSFAKDCKKLKLFLHISTAYVTGKKEGTVLETPLCIGKNITSDLNIEHELKLASEAVRKLHGSGEIKKLKELGIQRAQHYGWENTYTFTKAMGETLIQTMREDAPVVIIRPSIIESSYKEPFPGWLQGIRMSDPIILAYGKGQISGLWGDSQSFADIIPVDMVVNATIAAMAKHGCGNSDLKVYNVTSSHANPLRVGELMDLCYQHLYDFPLTVIDLARMKFHSSLESFTSSVFDIIAKQERGTKNEGGEAEESHTTLSFKGRGILNYFVSLARTYEPYTFFQARFDDTNTRSLIQEMSMEERKIFEFDIKSIDWEHYIVNVHLPGLKREICKENSS >A03p014510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5770040:5771839:-1 gene:A03p014510.1_BraROA transcript:A03p014510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLLFIFLHEYNYEQISCLLFLPSCGSELGSGFKTRSFLMDSPQSVVSPFKTGESENDNSASVQSSRNQSNSIKSNGKDSISCGQQELVGGTLEVYVHQARDIHNICIYHKQDVYAKLCLTSHPEKSVSTKIINGGGRNPVFDDKVKLDVRALDASLKCEIYMMSRVKNYLEDQLLGFTLVPMSELLFKNGKLEKEFSLSSTDLYHSPAGFVQLSLSYNGSYPEVMAFPSSVSGDDQSGKNQEGSESVPDELEKIEFPDPNVANENEKMVSEYFEMSSSTIESETSDSLVTSDTEKHVMKQDSPESSNATNGDASPHASAHSATETPNHEHLSLVNSKADSQEESESEASAETSEEKIVRPIISVRKVETESKVVQQDIVDMYMKSMQQFTDSLAKMKLPLDIDSPAKSEDSSSDVSQKLPTPKSKNGSRVFYGSRAFF >A02p053460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32546237:32547673:1 gene:A02p053460.1_BraROA transcript:A02p053460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKFYLDSHTSCLLFVRTFRSFVPTLEAFETITEKMLRQFDLTSRDLILFSFEDLNALLPKTQHVSLKHLQLIRIVESFSGNFFEDYCKQILSLFKPIGEFEIPRKSGTMKDLALIFPYRVSVMPITEIENFKSNIVSVFLHLLKSMVDEKIYTDLRLKQRLGYHVGCEIHYQHGTKGVYFYVVSSEHKPMHLLQKIYEFVASTANVEEKTFELYISGIDVPDDSGMCPDLIHDDICIRGHHTAVKLVLKTMKKEDVIQLYDHLLFQKSLIVE >A04p008110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8531392:8534028:-1 gene:A04p008110.1_BraROA transcript:A04p008110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLIPSSQSVCVLVFYFVYLAVAGEILPGKVIRGVVLSDGSQLRYRCNGLFALSLLVAILGISAKLGVLSPLVVADRGLELLSATFIFCVLVTLVLYITGRSSSDKSSSLKPHVSGYLVHDWWFGIQLNPQFIGIDLKFFFVRAGMMGWLLINLSILAKCVQDGSLSQSMILYQIFCALYILDYFVHEEYMTSTWDIIAERLGFMLVFGDLLWIPFTFSIQAWMVAFAQQSRTNCSWYCSQLLCVLDRVSSLYMVFRGANKQKHIFKKNPKTPIWGKPPVVVGGKLLASGYWGIARHCNYLGDLMLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEERCAEKYKEIWPKYLRLVPYRILPYVY >A07p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12872723:12873485:-1 gene:A07p021890.1_BraROA transcript:A07p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLSKTLIPHGRLFLRRFNEPASKITAAPSLVCFNRRPYSSKPHLIEIELDSSSSATSKAEAEAAVLKKLNEFVRRIVVQNSTPDWLPFSPGSSFWVPPHQNTAAKIANLVDQVTHPLTEEEVLSLSSPSGWPCSSFFTPPPDDDSSSNQEVERSTELNVPGNEMLEVKLAQFPDPIYLLKHGDDDE >A01p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4937399:4946179:-1 gene:A01p010140.1_BraROA transcript:A01p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVITSLSLSFKQVPPTAVPAFLDCVLSSTGVSPSSLFESLIKELPFHTEEKKFDSDDCNHIASLVAGLCHLLKSSGFGNEDNHNALQLFVWRVFIPLMKMVRAYDLDVLNKVESSSSSSSCWSHLLELIIIQSFFDVVVETSALDILRVSLVPFLLRSVGVSMGMLQHEESDFIRWGELLLGGSLDINDKDGNYVGSLSESFPIPLLCHLLNLILNAAFRSRQEAPEAEDFAAGLLWDLCNTTERLLSQSVEHRSCAVSFLLPAVFKAFSAQSSLKISLKGNVYILSRNGFKKRIWECCKKLFSVGSIERRDAYSVLSLCLSSDGALSYVSEKDPVEFDFRSDQEFWEEIKKGLVFDESLVRKQSLHILKSVLSISEVSKTSSEKKPEGNSVHRALTKKEMWAEKEAKSLGVGELYGSVESALSSQQQQWQAFVLLYEMLDEYGTHLVEAVWSNQIDLLIKSSFRYEETPDEETEIFNWLEVLWNRGFRHDNPLVRCTVMESFMGIEWRRYKTCTQAMSQTFVLGPFIEALNDSVHHKDLGLKGIYTSKTIEGAAQYVCAYTSCLNPRNRIGFLINLASLAKKQSFGRAGFMALVQCIVSTAYVVGGYGNKEMEHLENGFSGTPLEPSSEHLSQDEMSHILDVLKFVAESSRQHFNHKYRTRVCQKVLETAASVVNPCEVPLGTLLQFVSAIPREFTHHNGSLRKTMLEWLQGCNRNTSDSLCTDGTRLVSSLHEYLKEFVSDHVEGFDDEDLEAWESQTKRWARVFFLTINDEEHLADIIMFVQKSGVSFFQEKNHLERAPAKFLIFILSMLLELQNMQDGIVQENSKQIVGSASVINEKFAAVLLSILKQLVSFADSSCSIFWSHTTVENGVLSGSIIGKLGGPSQRRLSVPTTTAVLEAVTSVKIIGLISSYCGQVTSGVTELKLALAFFWKFVQHTLSSQNCNSEAEAEIYLAAFETLAAVLNAIVSLCSARAFNLLENDNTLLATVDGEYWLQASVPAFLHTINHLLTAGLLARSRRAVLLSWKWLCVESLLSVMNVLDVRRIPGDRTSFFSDDTVKSIFYDIVESLENAGEGSALPMLKSVRLALGILASEKSSSDGFSGVDTQTMWQLVKSCWILHISCKKRRVAPIAALLSSVLHSSLFSNKDMHVAEDGDGPLKWFVEKVLEEGQKSPRTIRLAALHLTGLWLMYPRTIKYYIKELKLLTLYGSVAFDEDFEAELSDNSDARTEVSLLAKNPDPELTEVFINTELYARVSVAGLFQKLADLANMAEPACQNQDYQDAIVAGKLFLLELLDAAVHDKDLAKELYKKYSAIHRRKIRAWQMICIMSRFVSNDIVGQVMDSVHICLYRNNLPAVRQYLETFAINIYLKFPPLVKEQLVPILQNYDTKAQQALSSYVFIAANVILHAEKMAQQTNLRELLPPIIPLLTSHHHSLRGFTQLLVHRVLFRLFPPLESTSSQTIPLEKLSFENLKSYLDKNPDCSRLRSSMEGYLDAYDPTTSATPAGVFVNRVEESEFECVPTCLMDNVISFLNDVREDLRASMAKDIVTIKNEGFKIEEEPNRRLMTSTSDEDRLSEPSSLDFQKKITLTKHEKQDATSTTSVLQNGETYKRLFGMQFFNVAVYFSRLCTVQPICTILTRVVTFSEMEKEDELVSQLLRSRSMEVERLKSGRQSLILVASLVDRIPNLAGLARTCEIFKASGLVVGDASIIHDKQFQLISVTAEKWVPIVEVPVNSLKLFLEKKKREGFSILGLEQTANSVSLDKYKFPKKTVLVLGREKEGIPVDIIHILDACVEIPQLGVVRSLNVHVSGAIALWEYTRQQRIQ >A07p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11550131:11551988:1 gene:A07p019470.1_BraROA transcript:A07p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein 13 [Source:Projected from Arabidopsis thaliana (AT1G32870) TAIR;Acc:AT1G32870] METSRRGSCRAGDRVLAPGFRFHPTDEELVVYYLKRKIRRKKLRVAAIGETDVYKFDPEELPGKALHNTGDRQWFFFSPRDRKQHGGRSSRATDRGYWKATGVDRIIKCNSRPVGEKKTLVFHRGRAPKGERTDWVMHEYTLHKEELEKCGDVKDNYVLYKIFKKSGSGPKNGEHYGAPFVEEEWAEEDDEVDEADAVHVPTNQLMVSASLGSNNNIWADGGLNQSELNENDIQELMRQVSEETGVNSHVANNNPVNLAEDEYLEIDDLLLPGPEPSYVDKEGSAVLNDNDFFDVDSYIGDFDATNPQSVPVGIGLNNGVVQSLPVIDQANSNQFQQQTWKNQDSNWPLGNSYTRKISSESWRDELNNNEVTVCRYGEAPGTGDASELINPITSGISISKGEEATKDEANQFSSSVWSFLESIPASPAFASENPIVNLNIVRISSLGGRYRFGSKSTSSNVVIAVNDSEAKRNKSGGNNKKNNHKGFFCLSIIGALCALSWVMMGVSGRSLLW >A06p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19009655:19010940:1 gene:A06p035130.1_BraROA transcript:A06p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATVNNDFDFLRSGRRRKKKAAAMTNKEKARERREKRMQEISLLRTIPYSDHQRWWSSENVVAVVTGSNRGIGLEIARQLASHGLTLVLTARNVDAGLEAVTSLSLQQGLKVDFHQLDVTDASSIKEFGCWIQQTFGGLDILVNNAGVNYNLGSDNSVEFAETVVSTNYHGTKNMIKAMIPLMRPSPQGARIVNVSSRLGRVYGRRNRLANVELRDQLSNPDSLTEELLDRTVSTFIEQVKDGTWESGGWPQTFTDYSISKLAVNAYTRMMAKELSTRQEGEKIYVNSFCPGWVKTAMTGFAGNMSPEDAADTGVWLSLVLSEEAVTGRFFAERREINF >A08p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18278385:18281674:-1 gene:A08p029540.1_BraROA transcript:A08p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCISSLSSSSSSKTGFHSHASTNNHSNGTEFSSSTTTTLATTNSSVGLRSQFSEAASEYSGGIIGDSGLILESPALRVYSFQDLTTATKNFRSDSMLGQGGFGKVYRGWIDTKTLAPSKAGSGMIAAVKRLNSESVQGFAEWRSEVNFLGMLSHPNLVKLLGYCREDKELLLVYEFMPKGSLESHLFRRNEPFPWDLRIKIVLGAARGLAFLHGLQREVIYRDFKASNILLDSNFDAKLSDFGLAKFGPSQEKSHVTTRIMGTFGHLYVKSDVYAFGVVLLEVMTGERAYNPKRPKGQENLVEWLRPELLRKHRVKHIMDQGIKGQYSSKVVAEMGRITLSCVSPDPKNRPHMKEVVDVLERIQCINVVTDCSSTKPTVASSSRSSPRHYQYGYRAGAPGVERRRPAART >A03p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4322524:4324650:1 gene:A03p010800.1_BraROA transcript:A03p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSREDPEILPDAAEDEPNLPVNPDSANQQQPPTLLPLFDCVALDKLCHVAEMLQDRERQRIREEAEIAEKRRRWAEERAEAHRQYVFEVAKAYALELHSDQAPKSKDDDEEEEEVVKGKSRFDDAKKAIKKRRRYSSRSSDAPCGGIELSMTRHRTAPTLTELCMRVLAENSEAIESLHLVPDHLKKKISSLVSDSSKVDKAFMQLLVDDSPCEVSVKNCVDLEESDLTQILSECDRVSLQVLNLDLCGRAMTENAITELLKRSPNGFPSLTRLSLQGAFCLTDNALALISRSAPLLRVVNLCDCSLLTFQAVKILADYFGSTLRGLNIGGCQGIKPCDVFRTSLSRFEKLSSLSVAGLEGIHDVVVEFCTSRGSSLTDLSLASCVGVNDGTLWTVGRYCPNLEALDISELDSLTDASLKEITDGCRSLRSVKFTRNRFSDEGVAAFLEVCGGSINNLSLNNVRNVGQETAISLAKYCKRLHYLDLSWCRKLTEEELRQIMSCCSLLRSLKLFGWTQVTEDFLEDLSRSEVSIVGLKMTSLFAHPDDSYPSVDAKCF >A09p080480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58908124:58909319:1 gene:A09p080480.1_BraROA transcript:A09p080480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNRWSLQCMTALVTGGTKGIGYAIVEELASFGARVHTCDIDQTSLDECLSEWQRKGFQVSGSVCDVTSRPQREQLMQTVSSLFGSKLNILVNNVGKFMLKPTLESTAEDFSSLMSTNLESAYHISQLAHPLLKVSGNGSIIFISSVSGIVSGTASVYGATKGAMNQLGRNLACEWASDGIRVNSVAPWVTATSLVKKYLDDKKFAEAMFSRTPLGRACEPREVASLVTFLCLPAASYITGQTICVDGGFTVNGFSYKPEV >A04p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8516044:8520957:-1 gene:A04p008130.1_BraROA transcript:A04p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKHWRSQQQNESEEQSYATKMFNSFSHQVQSQTATSATALPLFVPEPTTSSSFSCFSPDSSSSRLLKMGNLFSLAQWQELELQALIYRYMLAGASVPQELLLPIKKSLFHQSHLNFLHYPLQHNFPHQQPWYWGRGAVDPEPGRCKRTDGKKWRCSRDVVASYKYCDRHIHRGRNRSRKPVETTTNAASSFALGEKLGQGPDNLFFSSSSSHSSTQHLYLNSHQSCSSEMKQESNNKKRPYEAHNNGRTNDGHILRHFFEDWPQSSDSTSRPMSSSTCHLSISMPSYASSDVSLKLSTCNEEEEANMINNNNNEKEHQQNMNCWSSGGNDYNMDGPLAEALRSASPTSSLLQQMGISTQIFQAHLVSFLLSSQDFVLRRLKVSAFQSQHQSKEMKYVKPLRLLGDALKTKVSVPGRFLGLDVGDKYVGLAVSDPSNMIASPLSVLLRKKTNIDLMATDFQNLVKSFSVSGLVVGYPFGKLNNVEDVVIVNLFIEELRKTEKLKDVKYTYWDERLSSKTVELMLKPLKLHPVQEKTMLDKFAAVVILQEYLDYANRYVKTEPEE >A09p076310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57126919:57127867:-1 gene:A09p076310.1_BraROA transcript:A09p076310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTTKTPPPYLRRSNDHRRINPLISIICSVGESQQDSFTRRRTLTSLITLTAIGAGAIATSSALAQEKWGTRSFIKEKYFQPGLSPEDAAARIKQTAEGLRDMREMLDHMSWRYVIFYIRLKQAYLSQDLTNAMNILPESRRNDYVQAANQLVSNMSELDFYVRTPKVYESYLYYEKTLKSIDDVVELLA >A04p018320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11033433:11034884:-1 gene:A04p018320.1_BraROA transcript:A04p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILFDEILHEIFTKLPSSSSSLPTFESVPLVSKRWLRLYRASKTSMSLRVNPHDSSVITFLPSILHNHPSLSSLCFVSDPKTPILFPSAAESNFNDHLISIVSSCCFNLRKLCFLVNPLSPSSLLPLSTSSLSLTSLSLKLLGPVSFNWVGSFPSLKDLSVDVCSRNSSDSLTGSNPNPEVVELGLESVSLTGIQSDDTGVTWLWRRCRKLKKLCLKSCGTIGETELFALCLKNVEEIELRTCRSIADVVLLKVSEICESLNSLLIYDGGNKDGLVHFMNNSRCSDTLKTLDLRLPMDLMDEHLVSLAANFKSLSTLRLTSCYFVTGHSLKVLALSFSSSLEELSLRSCDAVEREPGLLATLGQHLGRLRKLELSFNEWLYDKEVVSMLASCSGLVELDLRGCKNLTGSVLVSLNKSCVKLRSLDIASCRLIQAGEVEAFVMKSQRLKKIVVEENKITEAAMKWASSKLIDVVALDSDVPW >A04p007720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8697671:8699185:-1 gene:A04p007720.1_BraROA transcript:A04p007720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKMVSKAKRLHFVLIPLMAQGHLIPMVDISKLLARQGIIVTIISTPQNASRFEKTLDRARSESSLEINVVKFPFAYKEFGLPKNCETLDTLPSKDLLRKFYDAVDKLQEPLEMFLEGQETPPSCIISDKCFSWTSTTAKRFKIPRIVFHGMCCFSLLSSHNVHLHSPHLSVTSDLEPFSIPGMPHMVEIARAQLPGAFQKLANMDDVREKMREAESEAFGVIVNSFQELEPGYAEAYAEAIKKKVWFVGPVSLCNDRMVDLFDRGNNCNIAISETECLKFLDSMRPRSVIYVCLGSLCRLIPNQLIELGLGLEESGKPFIWVVKTEEKHMNEINEWVKREMFEERVSGRGIVIKGWSPQAMILSHGSTGGFLTHCGWNSTIEGICFGVPMITWPLFAEQFLNEKLVVEVLKVGVRVGVEIPVRWGDEERLGVLVKKHNVVKAIKLLMDEDCQHATEDSEFLRRRTRIQEIAVMARKAVELKGSSSSNVSSLIQDVLDQLNLE >A08g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6510446:6511800:-1 gene:A08g503820.1_BraROA transcript:A08g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGAKGLNQVVEDAKVMTCFPFYVYTVKTQTLILLSGRDQWLLNKEDTDRLRCALPKCEVLEFVNNGQFHFLLRCSHLLHEDGVDLATILKIAYYYRRAKKLDYIYDYTLPTPFELKEFEQSQRLLIAVVLVFLSTLVNGTIERTLGGIPLKGPVLYVGNHIFLDLELRPAAIHFLKKRNIIFCGLAHPVMFAKNISSKLPDVQMFLTVRIIGAVPVANMNFYKLLRSKAHGVLYPEDLARGARSSPSALLYVNSTPPVWLAGLSGASS >A03g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3830012:3832737:1 gene:A03g501320.1_BraROA transcript:A03g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSPRRTLLGLPTNLFDIPSHLCAWRPSDVLQRCFTPSTRSQVEVSSDSHRGGSKSKVEDPYWTRDSPYRRRGNGYLSTATSVSGRSGAICVGNRAFPFCSAFEFSPLPVLTCSSSWCLCFSYLLLLILSRSSRYTIVCTRGTASTLENAGVSVTKKLNDRAKTLHPNIHGGILARRDVEHHMEAFNEHGVGTFDVVVINLYPFYDKVTAPGGISFEDGIENIDIGGAAMIKAVAKNHKDVLIVVDSEDYQAVLEYLKGAQNDQKFRRKSAWNAFQHIAAYDSAVSEWLWKQTEGPVSVLRYGVELAVADKSDEAVYVGSGGEITKLTNIRAAEAGHLHTFTISSIFNKRNCPPVPDFVVDGVSSLTINVCAGPPDNENEPAGETTAGGASVTNLLIPTSLKQPRRHALHRLKRIVVSHGVGS >A01p028780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20616157:20618332:1 gene:A01p028780.1_BraROA transcript:A01p028780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKESCRNEIRAAIRQLSDRCLYSAAKWAGEQLVGIEQDPSNFTPSNTRFQRGSSSIRRRFSTISTPQPSAGFSQPPATPLPQEEDEVIDGDLYLLAKSYFDCREYRRASHVLRDQMSNKSLFLRCYALYLAGEKRKEEEMIELEGPLGKSDAINRELVSLERELSALKRTGAIDSFGLYLYGVVLKEKGNESLARASLVESVNSYPWNWSAWSELQSLCTSIEILNSLNLNSHWMKEFFLGSAYQELRMHGESLAKYEYLQGIFSFSNYIQAQTAKAQYSLREFDQVEIMFEDLLRNDPYRVEDMDLYSNVLYAKEACAALSFLAHKVFLTDKYRPESCCIVGNYYSLKGQHEKAVMYFRRALKLNKKYLSAWTLMGHEYVEMKNTSAAVDAYRRAVDVNPCDYRAWYGLGQAYEMMGMPFYALHYFRKSIFFLPNDSRLWIAMAKCYQSEQLHMLEEAIKCYKRAVNCGDTEGIALNQLAKLHQKLGRNEEAAFYFEKDLERMDAEGLEGPNMFEALVFLATHLKTNKKFDEAEVYCTRLLDYSGPEKEKAKSLLRGIRMAQTDFPSMDMEHFPL >A03p042320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17729498:17730336:1 gene:A03p042320.1_BraROA transcript:A03p042320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPCFLQLLNKSHLTNPLSLSFYTCESVVEMFSSGLRVLVVDDDPTCLTILERMLRTCSYEVTKCNRAEMALSLLRKNKHGFDIVISDVHMPDMDGFELLEHICLEMDLPVIMMSADNSKSVVLKGVTHGAVDYLIKPVRIEALQNIWQHVVRKRRSVPEHSDGEDATDDNSSSVNGGKKWRSML >A01g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24684969:24687838:1 gene:A01g509130.1_BraROA transcript:A01g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMCLVMCGGWVCGSDGKWEFVVEKKRMARMVAVEVGMSIKELERLVLAEFRVGELEYGVSLSYWPPDSLELATGIKTPPVVLTSDGALKYFFTHMKVKGSLNLFATFEPFGGDVFVGSGSKSVGFDTPVMDKKCAGSHFGGKGENVSSVGSKTYPKYTFINDDDVELEEEVERFEERMKAQSKASGVDDFGGCSEGIDGDYVGPEEIDERDVRPRGYDYQFWEPLIAGDLGGSNAVEVIFNDKEDPGLVKMEAARRANGGAAKNGEHGQSSSGWGGSDVEAEGPNKKAVPNKCGRCRGTGHNRTNCTVPLK >A05p031690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18270766:18272635:-1 gene:A05p031690.1_BraROA transcript:A05p031690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLNGESEDDSEFWYRKPPESPLSPRGLRNVTDFSTNHNDRNEVVLESRIAVEKNQKASGDAEKSTIFIIAANIHQRYNRGILRSPFVNHRITMDSGQKLDVIHSRGI >A09p080250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58831957:58835816:-1 gene:A09p080250.1_BraROA transcript:A09p080250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEDKQSNGSEPLKLDTFPAWGKDVRECEEHFGVSVERGLSSSEVLTRHQIYGLNELEKPEGTSLLKLILEQFNDTLVRILLAAAVVSFVLAFVDGDEGGEMGITAFVEPLVIFLILIVNAIVGIWQETNAEKALEALKEIQSQQATVTRDGVKVSCLPAKELVPGDVVELRVGDKVPADMRVVGLVSSTLRVEQGSLTGESEAVSKTTKAVEENADIQGKKCMVFAGTTVVNGNCVCLVTHTGMSTEIGRVHSQIQEAAQHEEDTPLKKKLNEFGEALTMIIGLICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRSFNVEGTSFDPRDGKIEDWPAGRMDTNLQMIAKIAAICNDADVEKSENQFVARGMPTEAALKVLVEKMGFPEGANKASSLADGNVLGCCRLWSELEQRIATLEFDRDRKSMGVMVDSSSGTKLLLVKGAVENVLERSTHIQLLDGSTQELDQYSRDLILQSLHDMSMSALRCLGFAYSDVPSDFATYDGSEDHPAHQQLLNPSNYSSIESNLTFVGFVGLRDPPRKEVRQAIADCRTAGIRVMVITGDNKSTAEAICREIGVFEADEDISSRSLTGKEFMDVKDQKNHLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGMYVGVATVGVFIIWYTHSSFMGIDLSQDGHSLVSYSQLAHWGQCSSWEGFKVSPFTAGSQTFSFESNPCEYFHQGKIKASTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMAVSFGLHFVILYVPFLAQVFGIVPLSLNEWLLVLAVSLPVILIDEVLKFVGRLTSGYRYSPRTPSAKQKTE >A09p038750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:16115:23491:1 gene:A09p038750.1_BraROA transcript:A09p038750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQGRINFKFPRINMEVLKIIVKIGKNGISPFLCYDGLRAEDCDSIRFSRLRVARTRHLADSSRAQAYTLFRQCEFRFPQSHDLKTSVSWSKTWLRESGSWNLTKIRKMKAQRSWTSELVAGRDPGEARLKWVIRMDHGRTKYRSGEDKGSKLDTVYNSWAMHVGKLPGLYGLSHSTGSAGDQLNSAGLSVQVMGSWAGSGQWPGHVGDPGVPMGWLALGIEPGAWAIRVGLFGLVQEWIGKPRASGNCLRRMDDRNSFPKVKTALRRKVCGMAVSWKCKGSYERPCGRFSPRMNTSYASPVSWEHTQIPKREGRRPDFPHNGMNSLEGGCSVETGFMEVPWKGSGVRPMNGSYKYRRSNSFGTSSKPWRRVRPVLSGMDHKYGAGCTSSKRRTDRLRWDMVPWPLIRQKDVGQCMSRRIGRWMQCKIFVWTTWAREVRWTRELLRLKETCSTLLEVNVIPWWPFKTSEHMQCSCWSKGDATWLVHEWACDQMDQLGLSVSQGSWNLTKIRQMQAQRSWTSELVAGRDPGEARLKWVIRMDHGRTKYRSGNRPKDLEELKKIKEASWTQCITAGRCSQLPELDGLDHSAGSSGDQLHSAGLSVQVRGSWAGSGQWPGHVGDPGVPMGWLALGIEPGAWAIRVGLFGLVQEWIGKPRASGNCLRRMNDRNSFPKAKTALRREVCGRASPVSWEHTQIPRRKGRRPDFPHNGMNSLEGGCSVKTGFMEVPWKGSGVRPMNGSYQYMRYVIRIDRGGQILVDLTHPCGMEVRGHSLDSDPCWIMYMRNHVGCKVQFIWNQQKAVEASKASTKRQGPQVWGRCVYLKKAVCNLIFGKDG >A10p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3790250:3793470:1 gene:A10p015090.1_BraROA transcript:A10p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYVLVVSKSSGLLGSLLTKSSGLPGSRLDFLEVIWTSWKSSDEIWDDLPVSRLEVVWKSSGLLGSLLTKSSGLLGSRLDFLKVVWISCKVVWTSCKVVWKSSGLPKSLLTKSSELPGSHLDFLKVVWTSWKSSDKVFFHIKWSPSLRLIIQSSTRRLTCKSSRIYEISKSIAKITSALTRGLPCKSSTARRLPNSLAYIRRLQAHRITNENDRPIIVSFYDSMNHKNFKIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A08p005160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2981079:2981540:1 gene:A08p005160.1_BraROA transcript:A08p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTPEHNSSTSHKRLSVSYLVSLMVLCARHANRLSKKLKPKKRTHNETSRGRWNTMRSPRPKEFLMTLSHKAMTMVGRKNTCYSGGYKPEKKRAGAMEEEEEHGLWQREILMGGKCEPLDFSGVIYYDCNGRQLRDAPPRSPRRTPLPIRS >A09g513420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40873058:40873932:1 gene:A09g513420.1_BraROA transcript:A09g513420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIVGHTADEREDSMSIAKPVTPTLKTNLKKQTNKKDRTVLHLCSSSKTNLKRQKILKESKANLKKQKKTERERKKKEDSCCFGCEEAYENNDETIFVKGFQHLRPRDEIKNELSNIFGSCGKIISVFVPMQCGTCVPLGFAFINLLNGKEKAPIDLDKVSFELYH >A08g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2670423:2674219:-1 gene:A08g501100.1_BraROA transcript:A08g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMWSSDQQLNRRSTQSPIQPAKAQTRIYGLNHEAYFYGFYTQEGVQANWNWAKIFTEQEVTNFTTQRFLSPSICEYPTLEGDLSSSKERPEAKPIIKFKRILSDFQKAKDQETWTRKSEDMFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPVVLSCTRTQEISRFNGESLKSNRSYLWKNWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIHEPEEFYNFIPCTSPHRNKKILIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLFYPFKPSRFKQIKFLHLVPKSHKRLQQLVFQFIALSLICFLSYLLRTFKPWFHHSTNSWVTPPLCLQHFGIRAEAPESGHSILASFIFEAMVGKTHGQSQMAKQNQQLTALQEINDRIAQLRKRNKARVQRPQKGERRFGDAPEPEPIIVQVKAKVSPILDKSVNESSTTCMSHLSLSKNVKTGPEVQKETNSTPLLRSKANWNWAKIFTEQEVTNFTTQKFLSPSICEYPTLEGDLSSSKERPEAKPIIKFKRIISDFQKAKDQETWTRKS >A09p062020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50921170:50921811:-1 gene:A09p062020.1_BraROA transcript:A09p062020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGSLRQSPRFGCHKTPTFSNLTELVIESHHKDQLSDWDSLPVLFNNCQQLHTLVFLVTNSRLTHQARNTCGNVCRCEGVEVTNHSCLETSLVKILKIYDFGQAALDMDSFVAMVRHFLVTMPHLERFMVYCDGNARLAWIYISRKIQEISRKASPI >A04p001680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:819028:819398:1 gene:A04p001680.1_BraROA transcript:A04p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRSSESFPDTRTPTMTSASRHNKRKPKTRTHLRVLSLTRRRRMLRAEKEMEMRNMKLFLENQSIIRENEALKKKALLLHQENNALFSLLHP >A07p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25524909:25528576:-1 gene:A07p047570.1_BraROA transcript:A07p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKSSKPEKIDKLDLFSSLWKQRSFRVIIAIGLLYLLMVTVEIPLVFKSWSSSNSVSIDSLSRPEKLETEEQDPPQIEIISNPPPKPDSKPALNREHHRGLLSGLRFDSETFDPSSKDGSVELHKSAKEAWQLGRKLWKEIESGRLEKSVEKPENKTDSCPNSVSLTGSEFMNRENKLMELPCGLTLGSHITLVGRPRKSYPNGGDGDGSMLVSQFVIELQGLKTVDGEDPPRILHFNPRLKGDWSRRPVIEQNTCYRMQWGSSQRCEGWRSRAEEETVDSHVKCEKWIRDDDNYSEGSRARWWLNRLIGRRKRVKVEWPFPFVEEKLFVLTLSAGLEGYHINVDGQHVTSFPYRTGFTLEDATGLTVNGDIDVHSVVVASLPTSHPSFAPQRHLELSKRWQAPLVPDGPVELFIGILSAGNHFGERMAVRKSWMQHVLITSSKVVARFFVALHGRKEVNVELKKEAEYFGDIVLVPYMDSYDLVVLKTVAICEHGALAYSAKYIMKCDDDTFVKLGAVINEVKKVPEGRSLYIGNMNYYHKPLRGGKWAVTYEEWPEEDYPPYANGPGYVLSSDIARFIVEEFERHKLRLFKMEDVSVGMWVEHFKNTSNPVDYRHSLRFCQFGCVENYYTAHYQSPRQMICLWDKLLRQNKPECCNMR >A10p026860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16969045:16971705:-1 gene:A10p026860.1_BraROA transcript:A10p026860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDDDVFFADISKQISLLIMDEDEQLNPVSLSSSSPLALQGMFRGGYQTAPYMYHQEQSKGTGVFIPKSSQTRRRPHHPKQGRVSSFNAKQQHSLHQSRQQYQQNHDNLRRTLTTHNNGNKSSMVTSNVHASIPRRTYRDAASIYNIPIKSRHVFITGGSSGIGLALAHLAASEGARVSILARSLSKLADAKRSIKLATGVDVATFSADVRDYDAVSKAVNQSGPIDVLIVNQGVFIGKELEKQSTEEVKFMIDVNLVGSWSGSFCYLHRPQRKFTVTCHFIGYLLSISTSGMSPQRSVWHAFMEVMFGGLIRFVGLFFQWGCCKELML >A07p047430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25476277:25477707:1 gene:A07p047430.1_BraROA transcript:A07p047430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGKNKRNRGDDQERIRVDMGRPPCCEKIVVKKGPWTPEEDITLVSYIQQHGPGNWRSVPKNTGLLRCSKSCRLRWTNYLRPGIKRGNFTQHEEKTIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLQLQRQNGITNEDNTDMTEMSSCNNNNNVCTNSKRIANKGQWEKKLQTDINMAKQALFQALSLDQPTSSISPDLGSPKPHHHSATASYASSTDNISKLLQNWTSSSSSVPYTSSYSNNRSSSTGEGGVLDKHALFSPSSETGSVDEKLNLMTETSIFKGESKPIVDTEATIDDHSSLSLIEKWLFDDQGMVQCDDDQEDLIDVSLQGKNTDNNHQDVF >A04p003700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1852438:1854496:1 gene:A04p003700.1_BraROA transcript:A04p003700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSLDSKPRFAVGVPHHRRYPHPHHPRSFLPCSPKRLTVSASLSVTADSTTTEQPLQRIESLSQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTTCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQEVDGLRESELAKSFIGTTKRGIGPCYSSKVIRNGIRVGDLRHMDTLPQKLEVLLADAAARFKGFKYSPEMLREEVEAYKRYAERLEPYITDTVHFMNDAISQKRKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAVGDLIGVVKAYTTRVGSGPFPTENLGPGGDLLRLAGQEFGTTTGRPRRCGWLDLVALRFSCQINGFASLNLTKLDVLSDLEEIQLGVAYKKSDGTRVDSFPGDLRLLEELQVEYEVLPGWKSDISSIRNYADLPKAAQQYVERIEELVGVPIHYIGIGPGRDALIYK >A09g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:331782:332393:1 gene:A09g500040.1_BraROA transcript:A09g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSSNSIDMSSLLEAEAKMYADEAESPFCNAEPDQFAPQPEADDGIPTACYCGAQPVVKCSYTPKDPYRRYFSCPNVDDGGCHIWKWWDVALTEELSEVQRHVRQMKDQAFECEQKLLKLQKTVCEVKKKSENTNVVALGVCVMVSAIVFIGLAAVYLSGRASKK >A02p046460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28990930:28992434:1 gene:A02p046460.1_BraROA transcript:A02p046460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMNGYKLLQQVGLEMDLPVIMMSVDGRTATVMTGINHGACDYLIKPIRPEELKNIWQHVVRRKCTINKNSISSSSSSLGSLFSVSGVSEGSLKRRKNKRRVDSEEDDLLDPGNSSKKSRVVWSMELHQQFVKAINHLGIEKAVPKRILELMSVPSLSRENVASHLQKYRLYLKRLSGAASQSRDAESMERYENIQAMVSSGQIHPQALAALYGRPIDNHMSGGFGVWIPTDNHLGGSNVSSSSNRCFGALDSPSSVAASMSVHGLSSSGNVRQQGNGFSNNTDYRIRQGNGSGISEESWILGRPLRQRKA >A02g512300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33321410:33321968:-1 gene:A02g512300.1_BraROA transcript:A02g512300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGVNFKDVFGCPFYIFSEVLTKHYDPERSAGEERARFKIVTSDIEEDRVVALIPSTDGATFRALRVFAYCNEASPPSLAFHVMRRTQLGHYMSHSEIVNVRVSDASDSAEFVAFDTVIS >A01g505290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:15881744:15882295:1 gene:A01g505290.1_BraROA transcript:A01g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCLIHGLTTSILTLSAHMSLSMLRSQVSRHLVLLGVNQHAVLSWASTPPASLATYHARQVTSRPYLIDKASSGFSVHSTDFDPSGKFSSRDQSRIFFYARSDEMNIFNKLQVNPDLDGKYFSSLRLPRKIDNTKIRVFAKLRVFPSCFDPVVLRSRRAYFPSCLTPVLLPTYNIFQMIFW >A03p025710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10817200:10818004:-1 gene:A03p025710.1_BraROA transcript:A03p025710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNGLSKMAATALLLVLAIVPAALAVTYTVGDASLWDSGVDYTSWVKGKTFRVGDTLEFKYGSTHSVNEVDKAGYDNCGGTPIDTHSGGDTTIDLEKVGTQYFICPTPGHCAGGMKLAVTVVAASSGTPAAPTPPSTTPGTPSTPGSPPAAGTPGTPASGSTSPPPPKASGASKGVMSYVLVGVSMVFGYGLWM >A01g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12248303:12248783:-1 gene:A01g504070.1_BraROA transcript:A01g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGEEAQRVKMLSTMSMETDSGVISDNNATSAHHHSQDSRLFKRDVSSLRNMVVEEGTDLHFLQDFITVTPIGALSQVDVDCQNYYKEWLPKITNQP >A09g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8243905:8245797:-1 gene:A09g502440.1_BraROA transcript:A09g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNERKLLFLDNCSEVTAIQQQNSETPKEPQSQKEEFPPAPSDHQSILVSLSSRSVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQGYRCRSCEMPSEAHVHCYTHRQGSLTISVKKLQDYLLPGEKEGKIWMWHRCLRCPRPNGFPPAILRVVMSDAAWGLSFGKFLELSFSNHLAASRVACCGHSLHRDCLRFYGFGNMVACFRYSTIDVHSVYLPPSVLTFNYDNQDWIQREIDDIKNICDFLGYKRAELLFSEVLNAISQIAVKGSRRRIGELEELLQREKAEFEENMQRMLQREVKEGQPRVEILELYRLRRQLIFQSYMWDHRLINASNLQKLESSDDTKREENDKPPLAKSQTLREMNAGTNTLLAGSEVDPNPDGGSTGDTLG >A06p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6205937:6208189:1 gene:A06p013730.1_BraROA transcript:A06p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERESCVRLVYFNFPIFSHTSNQFWFLSHNFPGTTFFFFFSKSPTQRESDRRMATEHITRTSDQYNVELLPSDEDAPPLSSSWRLSLDTFRLPSSPSSTGHHDVRTRFSRYFRTPRKERKVSEYYKKQERLLEGFNEMESIHETGFAYGAPTEEEMKKLAKSERLAVHISNATNLVLFVAKVYASMESRSMAVIASTLDSLLDLLSGFILWFTANAMRKPNHFHYPIGKRRMQPVGIIVFASVMATLGLQVLLESGRQLVSKSGIHMNSTEEKWMIGIMVSVTIVKFLLMLYCRGFQNEIVRAYAQDHLFDVVTNSIGLATAVLAVKFYWWIDPSGAILIALYTIGTWARTVLENVHSLIGRSAPPDFLAKLTFLIWNHHEQIKHIDTVRAYTFGSHYFVEVDIVLPEDMRLQEAHNIGETLQEKLEQLAEVERAFVHIDFEFTHRPEHKYS >A03p063090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27400439:27401503:-1 gene:A03p063090.1_BraROA transcript:A03p063090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLTVKTMDLLWAVFFWAVFRYAPTVAVEMLFVILVIIVTMLGLDILRMCLTWVPAGNDLNPLETLAQVEEEQNYETSEKILRDVRKQSYFPRFLVAEIRSKISQIERDVRQIKQIIFILQGKTESLESKQDATLSELCRLIQFLSDEGNTSTK >A01p010440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5112434:5114634:1 gene:A01p010440.1_BraROA transcript:A01p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSENLTDKNIVFRKLKSKSENKCEESDMGISHIRCFPLHRLLCYSSQSRSTNLDSWSPEQLRTMMFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQILAKEVAKAISQEDTTTTANNALPESPVAGEASNGLSSVKETSSPKASNTVVPSTFKKPIGAKRTGKTGGLGARKLTTKPTGNLYEQKPEEVAPGSASISSADFFGHGQDDYSNVDITATDLINRLSFQAQQDISSVMNIAGETKKKLGTFASGIFSDLQDRML >A06g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21064914:21065661:1 gene:A06g507530.1_BraROA transcript:A06g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGNLITSIQGLSASSGDLSALHRILKGAEETLRADSDLELSTLEQLEPLLDWKCSSLTNRFCWPREGGEWTAPILDEVEIANTRRLMMNEWYLL >A02p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10157040:10159537:1 gene:A02p021510.1_BraROA transcript:A02p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSRSSNSRKSKDGMKIIAAAFFGVTFGFLIGISFPSLSITKVNLPTKFLASNDLSYIEEKGSAIATPDSHKSWSSSKSNDTSSSAPIDKSQACFFYTIWVPSNPRGAERLPPGIVAAESDFYLRRLWGLPHEDLTRQPRYLVTFTVGIKQKQNIDACVKKFSENFTILLFHYDGRVTEWDEFEWSKTAIHISVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDLEVHKITEEKPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCAEPAHEKIGVVDSQWIVHQTVPSLGSQGEAVDGKAPWQGVRDRCKKEWTMFQSRMANAEKAYFKSLQVVGSSNTTATTI >A09p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6397251:6399260:1 gene:A09p012550.1_BraROA transcript:A09p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWSLLEVASMPVIQVLIISLVGAYLATDRCKLFPVEARNSMNKVVFVIFAPALMFANLAQTVTLQDMVSWWFMPVNMGLTFLIGGLLGWMVVKILKPPPYLEGLIVATCSSGNMGNLPIILVPAICDEDKSPFGNRSVCRTVGLSYASFSMALGGFYIWTYTFRLIKGSAMKFKEMEESEKTAIKSSNSDLEADHKTKLLGAPEGELVKKETGFWRKGVDFFHEILEELRAPPTVGAIIGFIFGAVTWLRNLIIGDDAPLRIVQATAKLLGFGFLPADPLFQYVLMLQFTLPPAMNIGTMTQLYNVGQDECSVLMLWTYLIAILALTVWSTIFLHLLV >A02g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20949809:20955261:-1 gene:A02g507450.1_BraROA transcript:A02g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRSTDHDEDRWTDYYSHLSTSSAKLTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVDPTIDRQSESDGDRHNTPPIDRQAPLTYRVRLPSIDNDYINTLRPPPKPLASPLEPKPNPLNSSPEPVQEDQEPVGRRLRKRKEKIPKNLKREANDKEMDGFTKRILRIPIEKLLMKLTSHTELGFIGACHCGAEYETEYSESIDTHTFPSIDSNESTVTDDRNNRSLDVNQPVDHFAPPNHCYPHFTFQPPSKRGCDDYSIGSWADSGFHESFAVDTVITSLNEEHTEEYDEDHWKERAIEMSLQDERLETHKFTTTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSIDICAGAKIQEQENIPSPTKDPDGNACAIDGRILQVTREDIADILQVANGPDNLFSQQRGTPYIIQTDPNKHVGVATTEINPDLSCQPKGQASIDGTTQTSIDRITPTSTDKDDPTSIDRRYEFGNRAYDMYGARKFTWERRDEYGVYRDECGYARGVAGEMIHVTKDDIRNLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLRQQIEKEATTSASIDAPHAPSIYVSLPTTQIPAEPQCSADHKDEWEVSYINTRINDVYYPLNNNQELDTIRKKDQQLATSIDVCTITSLDAKISAMDDRLQTYEDMHDHLISPANSSSIDSLRGPWIDGKNLVELLSYTAAEVEKITSKIYTAIGTMEERLDKCCDDIYFPFDNRISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRKRAKSLDSKSPRSSDEHLIASIDAESTQAGEQLIHKRIESMHEELTELSAYPYDNLGWHQVSIDNVQDRLQNISNALKKMDDKWTRNDEATRNSTIDAKADQPINYTIALTSSKRDLEAAIFKARFRKELLDIGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERKLVREKLGTNFYLQLQILRRCQTCISLWSILELKGHFIRADHVEVDERKNNRSMRISAVDRHQDMPRQMKINIDRCTQVPSIDVETVEATFWIQRT >A06p006610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2227757:2231659:1 gene:A06p006610.1_BraROA transcript:A06p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF GAP-like zinc finger-containing protein ZIGA4 [Source:Projected from Arabidopsis thaliana (AT1G08680) UniProtKB/TrEMBL;Acc:F4HXP0] MGSKREEEKKEKIIRGLMKLPPNRRCINCNSLGPQYVCTTFWTFVCMPCSGIHREFTHRVKSVSMSKFTSQEVEVLQNGGNQRAREIYLKNWDHQRQRLPDNSNAERVREFIKNVYVQKKYAGANAADKPPNDNQASVSLLLSHGSSEDMTRRANSYHSYSQSPPYDYQYEERRYGKIPLGLTGKSASVKGLHSKASSFVYSPGRFSDHTLEDQFANERSAPRASDFSASSGGDTFRSEIQSPNFQQEGGFRSPQSQHSNAPPSENLFPAKQHQRTTSLGSVRSLDSNSMSIKSYTSSGLGEGVSENAQNIGSQQQDKTSTPVPPVTESTKAPIDLFQLPGAPTAQSVSTFQPSVGAPSPPVNFHQPPQTHSSTPTDLFAPGHLGQKPTSGPPDLSASKNDGWASFENPIPAAKSTNITTSAGVPEMEVKNEGIPQPSTSMQWPPLSSILEQHALSVSSPWQDDHSKVVKNVAHNPPWNAFPDSVEASSMDNANHFHQHGPSISQSNSDQHHLPQVEGLSNDGTQTGADSSGFGFPGNIVMAPAYSPYMEESWQPVNDQKSANPFDLPYDSEYESNDMFLDMSSLHGALPDIQTPPNFFNDVSQPWLAPDSVPSYLPAPAGAQGGLSWMAEQASTSQLQNPAAQGHVASTGGNPFA >A05p026770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22418985:22419477:1 gene:A05p026770.1_BraROA transcript:A05p026770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLRNHKLASSHVLGHFGPSFDSKRLFDKNKLFALRSSGDSIKELTRMHGFASYRRFGTARARPWQVGYVFYRKALCKDFFTKINFRKNGYADFYGLSDIDSVATDFDPTLSTDSSLGMLIDFWCA >A04p034780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20093108:20094831:1 gene:A04p034780.1_BraROA transcript:A04p034780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETDQIYQIDVGNLLAFNPNHRFSSAPSSREELVKECVTEGTKLVQAIADTLFNLPSTETNDGPLVQLPPPSTKLPREKHLPRPKPPTKWEEFALKKGIQKRKKDKIVYDETTDKFKRRHGYDRVNDDNDIPIIEAKASDEPGEDPFAKRLDDKKKRVGKQEKNRLENLKSAAKAGALPSHVQLAVTGLPISGTKAQPKKIGKEALGDVAGLAATSTASGGKFDKKLPGEKPPKKQGKHHKYLPVVPRYGWVDEEKEQTNKVLGKLLSKHSHEILNVGKAINMYNDKKEKKKSGRSDKLKAKKDITKKKPYANKAN >A03p053160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22817249:22817722:-1 gene:A03p053160.1_BraROA transcript:A03p053160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKRYLSLFLLTMFITTNADAKHSVPVPNGPSTTGSVFHPFGKITVEIINDLGNTLALKYHCKSKEDDFGKRSLQPGQSWSFKFHRQFFGRTLFYCSFVLPNGKYSFNIYEDHRESAGDDWCQKCVWKIRLTGPCRLNDVTKQFAICYSWNKSLY >A10p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:788402:788816:-1 gene:A10p001520.1_BraROA transcript:A10p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLDDVEVLMHAKTREFDHYKGWSLLNDETGNKRGGRVKLQPMVMPKPVSVAFGR >A02p047320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29562412:29566402:1 gene:A02p047320.1_BraROA transcript:A02p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVSDLSKLHLKRELTQIKKASRVLRDPGTSSSWRSPLTSSRSVSATVPAVLEPPVCRNNALKGKEKKVFLHNWNTNNESSWTQQASLNNDDDGDDVSDARKGGYSMTFRCRDTNLASKRRKKSKRNNIVARRRCDSAELSDETEDPRHITVASHLLLKQLNRKNWSRSLITSRKEDSSCTHNSTPSSFNIYARPYPGTVGSWDGTTTTCDDHDDELDGNLGSPGRQQGCGIWTKKATKGRCRSCCSPSLSDTLRSSILCGSGPVYHRRHNKHKIGSRSAQGVLPLLTYGGSDDDDDELYLEAQSRLDGRRWSTSCRNEVVAVDGSTQSFSQKYRPMFFDELIGQSIVVQSLMNALKRCRIAPFYLFQGPRGTGKTSTARIFSAALNCVATEEMKPCGYCKECNEVISGKSKDFCEVDGADKVMCLLRNIPRKSSTYKVFVIDECHLLPSKTWLSFLKFLENPLKKVVFIFITTDLDNVSEVPIDKVKDADIVVRLKKIASDENLDVEFDALELIGMNADGSLRDAETMLEQLSLLGKRITTGLVNELVGVVSDEKLLKLLELALSSDTAETVKRARELLDLGADPIVLMSQLASLIMDIIAGTYKVVDEKYSEAFLDGRNLSEADMEGLKHALKLLSEAEKQLRVSNDRSTWFTATLLQLGSIPSPGTTRTGCSSRRQSSRATDDDPSSVSREVMAYKQSIGGLHFSKSASPKRSGKHTHEASSFSRVIDNTCYKASSSSQTPEREASNASHGNSTASTMMLTQRSSEKLNEIWRKCVEICHSRTLRQLLYTHGKLISISEVEGILVAYIAFGETEIKTRAERFLSSITNSMEMVLRRSVEVRILLLPETELLVVPYQTGKPDMTNKVEDLHAAPDLGVGNSEEGISKIPMQRIESIIREQRLETAWLQTADKDTPGSLTRIKPERNQILPQEDTYRQPSAVSPSGLSSHHWADELNNDVKLLRIGENGELKENLTGSCPLSPSLLHDSKFGHKKDNIGGYESGTGRVGCNMLLCWNTNKTQRSSKGKGTPVRPRRSGKRGFSLFNGCAKPRKPA >A05g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9093320:9096173:1 gene:A05g503050.1_BraROA transcript:A05g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p007230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11626474:11628064:-1 gene:A10p007230.1_BraROA transcript:A10p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTYKRLKGSQSFRQRLLLSTLSSTPIIIDEIRADDMIPGLLRHEMSLLRLFETVSDDCVVEVNETGTRLKYKPGIIMGGKNLVHNCALTRSMGYYLEPLLLLGLFGKKPLSIRLRGVTDDPKDPSVDTFRNASLHILKRFGVPSEGLDLKIEARGVAPEGGGEVLLTVPNVQTLTAVQWVEEGMVKRIRGWTFSARVSSDFEHSMRFAARGIFNNLLPDVHIFNDHKSGPQAGKSPGYGITLVAETIEGCYISADTTVSCGRTDETGEVDEEKQERKPAEDTGVEIASWLLQEIEKGGVVDSTHQGLLFILCALCQQDVSKVRVGPLSPYGVETLRNLKEFLGVTFSFRPDPSTSTVVLTCVGSGLVNLSRKLS >SC203g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:351759:353280:-1 gene:SC203g500130.1_BraROA transcript:SC203g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEAIGGLIRKSLEQEELIEFKSSRVDQEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A08p021010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:14153749:14154369:1 gene:A08p021010.1_BraROA transcript:A08p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDEAKRALDIADKKLSENDYVGAKKFVNKAQSLYADLDGLNQVLMMINVYTSAEIKISGGIETDWYGVLGVDYKADDETVKRHYKNLALLLHPDKNRFNGAEGAFKLVLHAWSLLSDRAERYLYDERRMKKKPPPSAKAKGTPSNLFWTMCSECKTRCEYWRDSYLNRTVLCPTCGKTFIATEQIPRAVKKTSKTYNVLFGYCR >A04g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11554704:11555076:1 gene:A04g505400.1_BraROA transcript:A04g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRELNTTYLTVLKSLLIRGKRKLTEISETLLSPSARRSTSSSFRSGRIRDWRRDVRLLQRLHRREFKITPFIIISNILPRPSSLLLNQHRLLRS >A05p016630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7413497:7416948:1 gene:A05p016630.1_BraROA transcript:A05p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSQEILRSLCSNTEWKYAVFWKLNHRSRMVLTLEDAYYDKHGAHDPLGLALAKMAYHVYSLGEGIVGHVAVSGEHQWLFPEYYDNYHSVSEFHNVWESQISAGIKTVLVVAVGPCGVVQLGSLRKVDEDVTLVNHIKHMFLALKDPLADHAANLMQYNMNNSLCLPKLPSECLHVEAFPNCSGEVGKAMEVEESSFLTQYPSLHPYLKNHALNNTSSSALAIETERLITDQSYPGLDPSTFHTLSEPMPEKRCESSRFDALISSGYTFPGSELLEALGSGFKQTSRGLEELVKSEHSSAIRPTDDMSHSQLTFDSAPENLLDAVVANVCHSEGNARDDIFSSKSVQSFLTSMEMAEPSGQNKHVVSNPNDRAMNQPLVEVDTQQNPSDICGAFSSIGFSSTCPSSSSDHFQTSLEMPKKNKRRAKPGKSSRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFLQNVTKHADKLSKSANAKMQQKETGGQGSTCAVEVGGHLQVCSIIVENLNKHGMVLIEMLCEECSHFLEISNVIRSLDLTILRGVTEAQGDKTWICFVVESQSNKVMQRMDILWSLVQIFQPKANGKP >A08g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2523950:2524455:1 gene:A08g500980.1_BraROA transcript:A08g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A10p036720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20853425:20855252:1 gene:A10p036720.1_BraROA transcript:A10p036720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLLTLISFDSPHNLFLSSGGSSGREANEVMSSPLARMTLFCLRPIRRHCLVTRDDEDNNDDHDDMDGDSLLWSRELERHSLGDFSMAVVQANEVIEDHSQVETGKGAVFVGVYDGHGGPEASRYISDHLFAHLMRVSRERGGITEETIRAAFSATEEGFLRLVRRTFELKPLIAAVGSCCLVGVIWNGTLLIANVGDSRAVLGSTSCNDSRSNKTVAEQLTSDHNAALEEVRQDLRSLHPDDSRIVVLKQGVWRIKGIIQVSRSIGDAYLKRPEFSLGPSFPKFHFPEGLQRPVLSAEPCVYTRVLQTSDKFVIFASDGLWEHMTNQQAVDIVNKHPRPGIARRLVRRAMNVAATKRGMMYDDLKKVERGVRRFFHDDITVAVIFIDNELLMVEKATVPELSIKGFSHTVGPSKFSLFFS >A07p030770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17108905:17111438:1 gene:A07p030770.1_BraROA transcript:A07p030770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIATAASSFSLGPSHCHHSYTDDFTSSMPYKRHRSARNRVFDACASANLSVLSSRCKIPLFGSAFHVATGGHDLGLTKVSVAADYSDSVPDSSLYGYHPLEELKPCKRVRQTKLSPAEVARTTVEAYTSAVLVFPGAIHCEPHGQNSWSEFKYVIDDYGDIFFEIPDDGNILEDPGASNPVKAFIGMDVPRYENAWLHEEYNISEMGNLDQIIFDEHYFEIMDSEARDIPVDWGMPDTSNGVHPIYFAKHMSKAISMDYEKKMDCPSNGVSILGCLRPAFLDEESYVRRLFLSEDRDDYSWEVQGDDNTSTSSRRDEDDMSSSVYRLEIVGIELLSLYGTESSISLQDFQDAEPDVLVHSTSAIIERFNNRGISSGIALKALCKKKGLHAEEANLISVDSLGMDVRVFAGAQVQTHRFPFKTRATTEMAAEKKIHQLLFPPSRRRKLKSNDKSLKDAYR >A01g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16163794:16164239:1 gene:A01g505400.1_BraROA transcript:A01g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFMALAETSDLKIDDALAMENCLATSKNSQVEGLVTSTTSVIVDLDWFGDLHVKFWLILIENRRKQTKPTEVAKLTHVLASEAAQMVVEPILNL >A05p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32397358:32397778:1 gene:A05p052400.1_BraROA transcript:A05p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKTQAENQPKPAMSSCRKMVKDDANFLEDVKDHIDEFINASMDDHKNCFNKTIKKMFGLSKAVAEKQQAEEAKGVESYLPLQTTLSD >A03p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3218961:3226431:-1 gene:A03p007910.1_BraROA transcript:A03p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVNSSLGKMLLEEISPVVMVLCTPLVEETFLKNGISFVETLKPFCNFSNIDVPVRTSGDQLYRLKKFTLRLFNASDIKQPNVEVAKQRLEHVITEAGEKVFDDLKSDPPQITDILSNPESEIAPTWFQYYNKELIRTLSFSDHEAFDHPVACLLVVSSKDEQPVNKFVDLFNTNRLPSLLNDGVMDPKILKHYLLVHDNQDATTERTSKVLSEMRSTFGNNECNLLCTNSSKEGNVEHQANPWASFKSSVSADKLGCALTGDDIVEIKDLMQEFASRHIIPYMEQKVRDLNQQISATRKGLRNQIKNLWWRKGKDDVPDSTKGSMYTFSSTESQIRILGDYAFMLHDYELALSSYRLISTDYKLDKAWKHYAGVQEMMGLAYFISDQSKKEAEYCMENAFSTYLKLGRSGFQNATRCGLWWAEMLKAGDQYKEAASAYFRICGEEPLHAAVMLEQASYCFVLTKPAMLNKYGFHLVLSGDHYKNSDQVSHAIRTYRSAISVYESTTWSHIKDHVHFHIGRWYALVGMHDVAVRNMLKVLDCGNQSKATQEIFLRDFFEIVKKTGMKHEVVGLQLPFINMSSLQVIYEDHRTYASQASVLVQESIWQSLEDDIIPSLNSGKSNWLELQSKLLPKKYKESNVCVAGESVKVDLEFRNPLLISTSITNVSLICELTSNSDDLKVDKHPSSISLGTESSAEHNQGTTSGFSSFTLSEVDLTLGGGEKKLVRLTVTPSEEGILKIVGVRWRLSGSVVGVHYFQSAPGKAKTNKGRRKNKLTPTDALKFLVIKSLPRLLGSIDHLPEKLYAGDLRYLVLELKNKSEFPIKNLKMKISHPRFVNPGNHEEEVTTEFPDCLKKGHEQNFVKSETSSVFSFPKDVSLQGDKSLRWPLWLRAAIPGTISLYFTIYYEMENVSSIMKYRTLRMHYNLKVLPSLETSFEITPSPSKLQECLVRMDILNRANSDSFQIHQLSTVGCRWGISLLERVDTILPSKSLLPGQALSCHFMIKDNRRSATEEEKTMSIPPSQTDVKLFAQDDDEKLFDIVNSPLASFHESERSCQETSDQLSTNTVDFILISHPAKSSNSSGVADIPKILSHHSCHNRIRSSNPLSWSLDGPQAIYHDFSTSLCEIQLKLVIRNTSDGISSVSFNTIDSVQDVATPTPSAGNQSGWRYVPDVTEEMKLTSDVMGSRLGKPPSSMESSPPFIWSGLSSTKVEIQPLSTTEVPLQISVFSPGIYNLSSYELTWERSGREDASSGTCQGYPYYLTVLQSQ >A06p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3748244:3754294:-1 gene:A06p010410.1_BraROA transcript:A06p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGRQVFSVDLLERYASKNRGMITCMAAGNDVIVLGTSKGWIIRHDFGVGSSYDIDLSVGRTGEQSIHKVFVDPGGSHCIATVTGVGGAETFYTHAKWPKPRVLSRLKGLLVNSVAWNRQQITEVFRLDLVSTKEIILGTQDGQLFEMAVDEKDKREKYIKFLFELEELPEAFMALQMETANINSGMRYYVMAVTPTRLYSFTGIGTLESVFASYKERAVHFMELPGEIPNRQVELHFFIKQRRAVHFAWLSGTGIYHGGLNFGAQHSYPNGDENFVESKALLDYSKLSDGTEIVKPSSMALSEYHFLLLIGNKVKVVNRISEQIIEELQFDITADSASRGIIGLCSDASAGLFYAYDQNSIFQVSVIDEGRDMWKVYLDLKVFAAALANCRDPLQRDQVYLVQAEAAFADKEYLRAASFYAKINYVISFEEVTLKFISINEPEALRTFLLRKLDTLSKDDKCQITMISTWATELYLDKINRLLLEDDTAIENRNSEYHSVIQEFRAFMSDCKDVLDEATTMKLLESYGRVEELVYFANLKEQYEIVIHHYIQQGEAKKALEVLQKSSVSDELQYKFAPELIMLDAYETVEAWMASKNLNPRRLITAMMRYSSEPHAKNETHEVIKYLEFCVHSLHNEDPGIHNLLLSLYAKQEDDSALLRFLQCKFGKGRENGPEFFYDPKYALRLCLKEKRTRACVHIYSMMSMHEEAVALALQIDPELAMAEADKVEDDEDLRKKLWLMVAKHVVKQEKGAKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATRGADNIRNDISALTQRYAVIDREEECGVCKRKILTMTGDFRMAQGYSSSGPLAPFYVFPCGHSFHAQCLITHVTSCAHEEQAEHILDLQKQLTLLGSETRRDMNGNRSDEPITSTTTADKLRSELDDAIASECPFCGELMINEITLPFIKPEETRHSASWDLRPQTNLANQRTISLPV >A01p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1413197:1415231:-1 gene:A01p003110.1_BraROA transcript:A01p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGSPANRVSRWVLVGPNRALISLDPLHTHSHLPSSVIVLQCQRRNGGGEKKMTAAGVASVQTKKLLKFSLSLFRRGFNSSKCKTAAKMAVARIKLLRNKRQVVVKQMRRDIALLLQSGQDATARIRVEHVIREQNILAANEIIELFCELIVSRLTIITKQKECPVDLKEGIASLIFAAPRCSEIPELGDLKDIFGKKYGRDFVTAATELRPTCGVNRMLIDKLSVRHPGGEFKLKIMKEIAKEFQVDWDTAETEQELLKPQEETIDGPRAFVSASSLPVNRASDDPIDPTKAVPSSYYYAKPSVANRRYSCNNEEDYAKTEAEAKETMRRRHTLPPPATSEVKFDESDYYEEDTEPEEGPLQRGASSLPPDRAPPQAPESRRDSSGHHVHPKLPDYDELAARFDAIRHSKGPLI >A09p044700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36247068:36249163:-1 gene:A09p044700.1_BraROA transcript:A09p044700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCQQKVMEKLLHGHGCANQLKLIMDHHAQSDSSVEREDLAKSVLHCFSDALSILIDTNDHNKDDDKSNNSSPQDSSHVLESSRKPFHKRGRKTSMAESSDYRRHESPNPIYHDGFLMSYYKCAYTNDQKCEAKKQVQMIQNNPPLYSTTYFGRHTCQLHQAFETLPIDTSDPQDSRMIRFDNPDSSTHQHQNQIIHLKAEQMMPSEKAEEWSSPSEYMSSEVAYAVEAFGFNPARTSSDLS >A04p008980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7928203:7934434:-1 gene:A04p008980.1_BraROA transcript:A04p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLPRSSVSPLMGFVGNQRDPSSLKMMLLPIKACDPKLRLVLQAVSDSKSTSAEVSGVLKEEEEKSDEYSQDMTQAVGAVLTYRHELGMNYSFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDISSIQAYAKTFTDIQHIRCQIRDFDAFDLRLRLPAVVSTLYKAVKRNGGVTYVHCTAGMGRAPAVALTYMFWVQGYKLMEAHKLLMSKRTCSPNLDAIRNATIDILTGLKKKIVTLTLKDKGFSTVEVSGLDIGWGQRIPLTLDKGTGLWSLDRELPEGQFEYKYIIDGEWTHNELEPFTGPNKDGHTNNYVKVVDDPTSVDGATRERLSSEDPELLEEERLKLIQFLETCSEQPEPHDSVGKRTRNRIPKEERKTLVESFIKKHQSLNNGRFPSLSLTHKEVGGSFYTIREIVREIIQENRVLGTTDLILQGKGDDDRSQDQTLSSSLLMDPVPPLSLSPEGFHSPSGQSHNHFKEDRGSGVLKDREVNRYQPSEKGIGLLTHEPVGSTDISRAQFAGSCGEENDAKHDRVQTICDSFASKPQDKELEVDMKDRGLEETPFIETRGTKPDERVNDDEAVMAEMVNMTKDAVGTIDLPAETVVVETFPITSVTSSTMELAKVCEGGNRTVAKVSTETSVDLGDVSDVPEEQVETEVIGVQMPNQISVSMKKKVEEKTVNPADTKGTVVVADAVISSIHETKNLSNGSLTTERTTPTSVTESGSVKKDTARSEVTSVEKTTVGKGKLDASDSSNSQKGNIAPLNRIKPESWKGQYNVGGGHETNPLLAALKSFLTAFSGVQKPGHKVNFPDGREEGSNGDLLELAIYELLATTKVSVTQHIKILVLNCQVPNSNGDQPLQAEA >A09g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19399592:19400799:1 gene:A09g506560.1_BraROA transcript:A09g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGELMKITRCRPTSLLSIMKKFWESIHKKRPQMEKAVKTFERVNTSKGGRAIPEDQRPDCFFFIACSDHPHAETTLSSILPCVDEQTTNQTLAQIKVVINSIVTVVNTFVYALANTNPSPGQNFYYNQSRPPMTPLCSSFDSNMEDRECVTWELSIGNASAVWESYICEVTKSDVCTTVGRVTPEIYKQLVAAVNESYALEHYTPPLLSFRDCNFVRDTFESITSDYCPPLERNLRVVNAGLGMIYVRDLECCYVWCCGYSTQTAPKGRKCLRILTSLK >A03g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7147050:7147921:1 gene:A03g502180.1_BraROA transcript:A03g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYFHGLKLCVCAQVSYLFRKPEVSDIVIFKAPPILVEHGYSLNDVFIKRIVASEGDWVEVTPFNPIHLFIDPIFISSIKVFVRLGSVRDGKLFVNENVQEEEFVLEPMSYGMEPMSVPKGYVFVLGDNRNKSFDSRNWGPLPIENIVGRSVPVLATEQSIRHHIPS >A10p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21227289:21229425:-1 gene:A10p037710.1_BraROA transcript:A10p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARTIEKVVVHPLVLLSIVDHYNRVAKDSRKRVVGVLLGSSSRGIVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFHMFKRINAKEHVVGWYSTGPKLRENDLDVHALFSGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVSTEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTAKLTALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELVKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKLLNKEHEKAEDSKPVAIPISS >A05p015960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7085231:7086409:1 gene:A05p015960.1_BraROA transcript:A05p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EASTSSESDSESEEGKYDEGQDDDLKECYQQVCGTLLKLGKDNMVLVKEQRCLEALIEVLQKDLQVEKEEARQAPVSNKPKVVHQCTNMKVRQEVLKYGCAAGTRKEIDRCIINCVRPSKKQHHMCCWFYGKVGHKKVECFAHEKSRNMVKKVNKTFTKPRRVEKVSLAKSGLLDEIKDETSEYGCSSVRSDLQEDQEASSVESGHRVVCDIKGKEIEVRQEVVRDDLKGCEITPR >A08p030190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18507243:18508487:-1 gene:A08p030190.1_BraROA transcript:A08p030190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRRNAHQLDDGSQHDNKVRELRSAIGPLSGQSLVFCSDASLRRYLAARNWNVEKAKKMLEETLKWRSTYKPQEIRWNQVAHEGETGKVSRASFHDRQGRVVLIMRPALQNSTSAEGNIKHLVYLLENAILNLPKGQEQMSWLIDFTGWSMAANVPMKTTREIVYILQNHYPERLGIAFLYNPPRLFQAVYRAVKYFLDPCTAQKVKFVYPKDKTSDELMTSHFDVENLPKEFGGEATLEYDHEEFSKQMCEDDVKTAKFWGLEEKQYPKPNGFSPADVVPEPATSLASAAS >A09p033900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20480209:20483027:1 gene:A09p033900.1_BraROA transcript:A09p033900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N6-adenosine-methyltransferase MT-A70-like [Source:Projected from Arabidopsis thaliana (AT4G10760) UniProtKB/Swiss-Prot;Acc:O82486] METEAERNDDATITAVKDMRARLENRIRTQHDAHLDLLSSLQSVVPDIVPSLDLSLRLISSFTKRPFAATPPLPEPKKHHPIVKSDPQQGHDSQPPTLIDSGGSGAEADGSSGSPMALVRAMVAECLLQRVPWSATDSSSVGRKLENDQNARPAEKAALRDLGGECGAIQAVETALKSIAEENGSVELEEFEVNGTARIMVLAIDRTRLLKELPESFNESGRVVEAPNNNNSTVSSGNGGFGVSGSGNFPRPEMWPMMNAGMMGMHHHHHHPMGMMGRPPPFPLPLPLPVPVPVPVNHKVRSEDDDLKDVEALLSKKSFKEKQQSRAGEELLDLIHRPTAKEAATAAKFKSKGGSQVKYYCRYLTKEDCRLQCGAHFACTKRHFRRLIASHTDVSLGDCSFLDTCRHMKTCKYVHYELDMADAMMTGPDKALKPLRADYCSEAELGEAQWINCDIRNFRMDILGTFGVVMADPPWDIHMELPYGTMADDEMRSLNVPSLQTDGLIFLWVTGRAMELGREWYFDTSQQMIPLFFWNLEHWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYAMLERIMPRARKLELFARMHNAHAGWLSLGNQLSGVRLINEGLRARFKASYPDVDVQPPSPPRASTMETDNEPMAVDSITA >A06g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3520100:3521552:-1 gene:A06g500890.1_BraROA transcript:A06g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFCGDTLCPWWRFIGLFWYLSMKLEARAASRLSVVVLCYRKPGLQIFNGSEDCELNLINKSQANHMRSFGQGQQLWQVECLDCKLLKECCSSRCEIEVFRHLELCRKHVAHDLSVTFKGNYFIWCSAHEYVEPNVRSDDDNSGAVSVAAKVKAGGSSQDEGASDKVKKARKAPLSILLKLFSRKPELDVLACLTLNCRPL >A10p018430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12920578:12920910:1 gene:A10p018430.1_BraROA transcript:A10p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNTRTIVAALVIVFVSLVLMEEPTSIPLCNINANTLEKCRPAVTGNNPPLPGDACCIVLQAADLECVCKFKSHIPILATKSHKVHDLLRKCGIKTIPPACQDKTKVS >A10p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11353932:11355435:-1 gene:A10p007550.1_BraROA transcript:A10p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGAIYHAISSDGYFSLAQSGCPVVFLDFRLFPVGFLPSSTFSGGFFPWLSPNYGCYFWKPPWPSLYLNLLKFSFEVENTEVLQKEYVTQGANKRKRVDLVQKKMGTATEDQQQVPMVIIDPFAAMRDVYGELTEEFWQKAPIEAVHVEFERRMGPERTMRKHRFVEDLLASSNASTFNAEQVSSYQEEDMDDHSDHIILEMEERRGAGFVLDQFTAETVQKA >A10p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:961929:964752:1 gene:A10p001910.1_BraROA transcript:A10p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 3 [Source:Projected from Arabidopsis thaliana (AT1G03120) UniProtKB/Swiss-Prot;Acc:Q9SA57] MTSSSRFGLQSLGIALTPSVMIHTFAMRTSSVLCGWSSSMGSTRVQVLPSKKGAPLLSHYCSIITSLEFSPDGRYILSADRDFKIRVTVFPKEPLQGAHEIQTFCLGHTEFVTCIAFVWNPELTQGYLMSGSGDSTVRLWDVTSGSLLNTCEVSTMAGHLESNESKPVQVTVTDICAIPNSSSAAVSIQRQVYFQGIVLLKCDLSSHTLSIAKFHPPLSTSTRLLWMVSGASSGYSRVRVISYIETEPSSVLEDEQIPGGTKLLEQLQGKVSVEESVMSATAEAVRAAMSSLLMKKQYSDEKRESKQNRNSPKEKKITKHNITKEMAQQQNSPRDQRDSRPQGDVFSVSGDDDVARKQGAGSSNPGPKIVTMGSVDTVTIGEALEVTALSLGDKPVDRKDAAAIQAAETRATGDSKTRPGGLAEAAQEAAATNERTALEEAKVTIADILTDATEKLPGDKVVTSEDAEAVVGAELRNSPEMKTTPGGVADSMSAGARLNQPL >A10g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21938386:21939158:1 gene:A10g507170.1_BraROA transcript:A10g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGITTAAFVKIHGISDVKEMEYCKGSVFSGTRETKSKEILGRGVTFELRYSRVDPGYTVSFMPTDTTWSIEVAGDLEKSDGGAKRFSLMKDDL >A09p030660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18703717:18707096:-1 gene:A09p030660.1_BraROA transcript:A09p030660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGLLACPDKSSSTSLLSEISNVSTRPFVLAFTACSCGAFSFGCIVGYTAPTQSSIMKDLNLSIADYSLFGSILTVGLILGALICGKLTDLVGRVNTMWITNILVIIGWFAIAFAKGVWLLDIGRFLQGISVGISSYLGPVYITEIAPRHLRGAASSLSQLSVGVGISVLYALGTVVAWRDLAILGAIPCLMIVPLLFFIPESPRWLAKVGKEKEVEAVLLSLRGAKSDVSDEAREIVEYTQHVKQHQDVNSHDFFKLFQRKYVLSLTLTQWFTQIGIVLIALPQLGGLNGYTFYTDSIFTSTGVSSDVGFISTSIIQMIGGILGTVLVDVSGRRSLLLVSQAGMFLGCLATAISFILQACSKNNCWETGTPILALISVMVYFASYGLGMGPLPWIVASEIYPVDVKGAAGTVCNLVTSISSWVVTYFFSFLLQWSSTGTFILFATVMFLGFVFTAKLVPETKGKSLEEIHSLFYDSPPEDSTIF >A07g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6127374:6127815:-1 gene:A07g503010.1_BraROA transcript:A07g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGLGSSRRRENSARKLCFCRLDAEIRQAWTDKNPGRRFYGCPCYKEKNGCNYFKWFDWFDVEDGTEWQKMALIEAWDEIQEKSRVIEQLNQTIAELTINLERIQQEEEIVRDFQNLYV >A09p066240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52646721:52649424:1 gene:A09p066240.1_BraROA transcript:A09p066240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLALSQALLARAISHHGSDQRISLPTPFSRASASSRRRSNAAATKLRSLHPLVVRAAAVGTLEPTTDASIVDKSVNSIRFLAIDAVEKAKSGHPGLPMGCAPMAHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLLYALLHLAGYDSVLEEDLKSFRQWGSKTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDAEVVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGYGSPNKANSYSVHGAALGEKEVEATRNNLGWPYEPFKVPDEVKSHWSRHTPDGKALESDWNASFAAYEKKYPEEAAELKSIITGELPAGWEKALPTYTPESPGDATRNLSQQCLNALAKVVPGFLGGSADLASSNMTLLKAFGDFQKATPEERNLRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPVEHLASFRAMPNTLMFRPADGNETAGAYKIAVTKRKTPSILALSRQKLPQLPGTSIEGVEKGGYTISDNSSGNKPDVILVGTGSELEIAAQAAEVLRKEGKTVRVVSFVCWELFDEQTDEYKESVLPSGVSARVSIEAASTFGWGKIVGGEGKSIGINSFGASAPAPLLYKEFGITVEAVVDAAKSFF >A03g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21776701:21777025:-1 gene:A03g506080.1_BraROA transcript:A03g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTVPLTKVYNFGCHLQSSDGDIIECVPISKQPAFDHPFLKYHKIQPNYHPEELFDDNKVSPTKSKEKGIHIPQLWN >A02g512250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33185165:33186587:1 gene:A02g512250.1_BraROA transcript:A02g512250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVITVSQVNYYRQYTLANISKGYVSNWLTCNFSLLAISALRLLYLQTSSSSGDRRVAALLAPPPVRSVVDSLVLCSALFSFLFDGDMVVEELESLRLRVVCHIYLGSVCVAAAVSRRGGDPRVVRSCRVIKSGFVGVERSALSLVSSEVEVIVRWAVSDNDKSRSDAPEVVGLMQAWCARFILLQCGFKLPVHGL >A09p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000112.1:7130:16312:1 gene:A09p013360.1_BraROA transcript:A09p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKTRSSYQVKKGRENEWIWSDWVKTVFGSCGIWSNQIKEEPLKELVIFEDEAVQEITRKSGIEAASEERSKPVKGSEDKRVICDWKQGKDELYQLVGRLKEVWLELTARPEVIQERREQDFIFNILVNEMCELVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLKEQEKEGGADDCITRKEWRVISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPENGDQEQGRREPSNQAGEAGRTTPLDHERGNGSESGEQEQNQEDSGHHNQEDGAQSSGDGQGESPGSGESVAQSTGSDESVDQSTGSEESGAHTTKRSMDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFESHFEIPFVSALSLHL >SC327g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000172.1:8147:10405:1 gene:SC327g500020.1_BraROA transcript:SC327g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTISVVHAMNSKPNDSLHPRHCPCNEVQGPSRFIGSACYSLQTYKTAYTIIIYRVVEKERIEILSSDSTNELQDVNPPASRRPPGRPRKNRILSIGEYQTRGPRKRTLCVPKLVLVLWWQMARLVLISKGEWSKSQQGVWRFEEDNTVMSHSILVRRNEEYGSLELNVRGLFNIGRQTPLLVMFQLPQWMLEPDGETSPPHTIRTNADIDMLMSIHEWNTEPKLCIVFGPKKLQSVTEEQHLATINDIMSRGRLMCSEEVIREFNDPEKLMLMYRFSMEVEKARLSLDLNVDVVPRMGDHIVPTAANHPVVNQPISDTNQFNLNGGFSMVKEYAAISPVYVLRITYAPSPFGGVRGGYVPYEEAHY >A08p045040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24760804:24762185:1 gene:A08p045040.1_BraROA transcript:A08p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKREMEMARAWSKMMMMVMILMLTSTISAKEQLSTKECEDLGFTGLALCSDCHSLSEYVKDQELVSECLKCCADDSEDSMSKVTYSGAILEVCMRKLVFYPEIVGFIEEEKQNFPTLKVEYVFNSPPKLIMLDGDDERKETIRIDNWKREHLLQYMREKVKPTSSS >A03p061900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26895483:26896211:-1 gene:A03p061900.1_BraROA transcript:A03p061900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDSSDHPHLPTIKIHHPSSPRHSHHNHNHSSSTPSAATPTPTAGARRKIGVAGTREAIVTVKSGRDDDDEDGDGDASSAHHEHIK >A06g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3785750:3787279:-1 gene:A06g500990.1_BraROA transcript:A06g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFNTTLHQSSLSPCCSIKLYSGLKPQSSSFLTNGYQNLNKEFYGRIHKSLQSGTGKASRSRVKMMPIGTPRVPYRNREEGTWQWVDIWNALVRSVYYSTLYIKPCDKEKKMQTLVILLLQYRERVIFIGQNIDEEFSNQILATMLYLDTLDDSRRIYMYLNGPGGDLTPSLAIYDTMKSLKSPVGTHCVGLAYNLAGFLLAAGEKGQRFAMPLSRIALTSPAGAARGQADDIQNEAKELSRIRDYLFNELAKNTGQPVETIFKDLSRVKRFNAEEAMEYGLIDKIVRPPRIKADAPRQDETSGLG >A01p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25567080:25568447:-1 gene:A01p045210.1_BraROA transcript:A01p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQTQSSALFNLLPSPNFTKPIDKRGFVTPKRYPSPPISLRCSVSTASDTPSTATNHHLSTSHKPFPAEVSRSIMELSSVGTLSTLTHDGWPLGVGVRFAVEQDGTPVLCLSRSFSPDKRSSLHVQLEQCGLRTPQCTIQGSIARPGDDNALKRLSATWRKKFGEEVEEDSLYVVAVDRVLQIEDFMEDGVWVASSDYKNASPDPLRDVAEDIVNQINANNMEDIFRFCNVYVDLDFVVSETKMIWMDRLGFDLRVWSPRGVYDVRIPFPMEVTDEKGAKSSFNGMSQLAWEVEKSYCPADFNKVKLLKQVVGSSHEGGQ >A01p025600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20002629:20004344:1 gene:A01p025600.1_BraROA transcript:A01p025600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFREFGADQHSSSNIFHSITAIVIWLGSVHLNVAIVLSSLIFLPPSLSLLVLGLLFLLIFIPIDDRSKYGRMLARYICKHACSYFPVTLHVEDYEAFQPTRAYVFGYEPHSVWPIGAVALADLTGFMPLPNIKVLASTAVFYTPFLRQIWTWLGLAPASRKNFASYLDSGHSCILVPGGVQETFHMKHDVENLFLSSRRGFVRIAMEHGTPLVPVFCFGQSRVYKWWKPDWNLYLKLSRAIKFTPICFWGVFGSPIPFRHPLHVVVGKPIEVRKTLQPTDEEIAKVHGQFVEALKDLFERHKARAGFSDLQLNIL >A10p002530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1322339:1323001:-1 gene:A10p002530.1_BraROA transcript:A10p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVKLTFCFLVAALCFIGENVEAREGKLFFSKFTHVDRPNNKEVALTSAPAPGLAQANGRLGDGPFGPGSGMIPQTKESWPVSSTTTDEEFEKLMATFEEEKNNNQLPEEFEKEEESRESEDLSEKKDKYNNNGYTYTTNNYDENRRGYGNEEERQGTSDTRFAENSKYFSDESRRGYENAPSRRYESARGNDHPYEFDTMEEYYKSLEGSHEEFEP >A08p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9237904:9239245:-1 gene:A08p013660.1_BraROA transcript:A08p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALPCTFCTPFKLKTSPINRRYSRSIRPQATSSEFRIPVEISSPADRGSLAVPTHKVTVHDRQRGVVHEFEVPEDQYILHSAESQNITLPFACRHGTLLFNPFTMSCLCLISSCCTSCAVRVKSGDLRQPQALGISAELKSQGYALLCVGFPTSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >A03p067050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28696876:28699574:-1 gene:A03p067050.1_BraROA transcript:A03p067050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVDEEMKKKKKLGNGVVGILAESVNKWERRTPLTPSHCSRLLQDRTGVSRIVVQPSEKRIYHDALYSDVGCEISDDLSDCGLILCIKQPKLEMILPERAYAFFSHIHRAQKEKMPLLDKILSERVTLYDYELIVGDHGKRLLAFGIYAGRAGLVDFLHGLGQRYLSQGYSTPFLSLGSSYMYPSLAAAKAAVISVGEEILSQGLPLGICPLVFVFTGTCNVSRGAQEIFKLLPHAFVEPSNLPELFVKDKEISQNGKSTKRVHQVYGCIITSQDMVEHQDPSKSFDKADYYAHPEHYNPVFHDKIAPYTSVLVNCMYWEKKFPRLLSTKQVQDLAEKGCPLVGICDITCDIGGSVEFVNRATSIDSPFFRFDPTNNSYYNDMDGDGVLCMAVDILPTEFAKEASQHFGNILSEFVGSLASVTEVSDLPGHLKKACISYRGELTPLYDHIPRMMKSDPEDVQGKIASGVVSNQRK >A03g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21576456:21583444:-1 gene:A03g506010.1_BraROA transcript:A03g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYICLYQVFEYHMEFLETFGCIWSSRECLEWSLGEQGMGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRCVPLPRATCPERRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDLSERHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVFEYHMEFLETFGFIWSSRECLEWSLGEQGMGATSPERHHQARPPYWSDLEVARGFIIGDARTSPERPGATLPRATTEVARHPSRRSDKVARVSIEAWSDLSERPTELPRPEPRSDKVAPRLLSARFLFYLRAFWLKVDSMIDHLPSLVRYLITQGLIPMPMSSLFPYALISLRTGSTIFYTTTFVLGALKTPNIKPPSGYSG >A01p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1037231:1038142:1 gene:A01p002250.1_BraROA transcript:A01p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFKVKEKQREQAQNASRGGGASVKKQSAAELRLHKDISELNLPSSCTISFPNGKDDLMNFEVSIKPDDGYYHNGTFLFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHDAAQVLRDNPKLFETNVRRAMTGGYVGQTFFPRCI >A04g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13370829:13376297:-1 gene:A04g506290.1_BraROA transcript:A04g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVAFKNGRVKIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDFIGMQQERGGLVRLSCVGPRRLAPLRLNPAILALIFFWMPPRNARQAQPTTTAQRAARRAARAASQATSDNGSHAGDGVGENQVNGDAQEQDQVNGPAQGQDQGNGPAQGQGQAAMDAAAVEELRRYREAYGGRLPQEGAAGGASLQLSHHKPTKRSPARHESCRRHQHAEEAPPSTTVRAAQVADRGCQAAGFSILWSVTACTSESQIRNRICYLAVLDPDRQPIKLNEIQTTEGLVGSMGNAAAVAFKNGRVKIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDFIGMQQERGGLVRLSCVGPRRLGWLIVNC >A02g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25257918:25258288:-1 gene:A02g509530.1_BraROA transcript:A02g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLLLSEMKAIMVRDDVTGQHKHLLSRYCGEVMGFKTTNNSELQSIQSFMVNIQLPQYVKASLLGNFG >A02p017290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7787619:7788668:1 gene:A02p017290.1_BraROA transcript:A02p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYMDLRPLKYTEHKTSVTKYLSGETRPDSVRTVRVSMTDPYATDSSSDEEEDFLFPRRRVKRFVNEIKVEPGCNNINITGVSMKERKRLSDETQSPAASNRHRSLKVSVSSGQNGRKFRGVRQRPWGKWAAEIRDPEQRRRIWLGTFETAEEAAVVYDNAAIRLRGPDALTNFSVPPQSQEDESEPEQPVIDKPESIITTTTTTSSSESTEDFQHISSPTSVLNLQSSEEMQQIQQPCKSAKPEPESSDAPWRHTRFSTGSSESDDSFPLDTSFLDNYFNESPPEISIFDQPMGQVFSGNDDIFGDMFLGGQSSMNIDDEFTSSSIKDIGSMFSDFDDSLISELLVV >A10p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18163334:18165499:1 gene:A10p029840.1_BraROA transcript:A10p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEYKELIDSKSKMITPRVALTLSLVLFSLTIVPALYSLIANPTLPLLISSSETDGPFPSDHMHPSVRILPPVDSPIPAPLNYTRHRKSSYHSPPVTTSTSRTQIRDDEQRCDLFKGEWIPNEESPYYNNATCWAIQEHQNCMKFGRPDTGFMRWRWKPDGCDLPIFDPNEFLEMARGKSMGFVGDSISRNQVQSLLCLLSRVEYPEDISSSPDTAFKVWNYTSYNFTLHVMWSPYLVKTTKADPTDPECNLFNLYLDEYDTKWTSQINQLDYLVISSGHWFYRPVIFYENETISGCQYCALPNTTQLPLYYGYRKALRTSLRAILENFKGLAVLRSFSPQHFEGGPWDKGGDCVRTRPYRRNETIPEGADLKIHDIQLEEFRAAEEEMKKKGLRLRLMDTTQAMLLRPDGHPGRYGHLQTAEVSLRNDCIHWCLPGPIDSWNDILLQMMKTEK >A03p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6942132:6943460:1 gene:A03p017260.1_BraROA transcript:A03p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNFKLSSGYFSEFDQESVPDLTPVDASISFGGSSSPPRRLQPKGDLPFDLKLGRNIGHSSSSSSSSAFGKTEKVISLSKLKESASEASRSSKRTRGNNQIPICLVDGCDSDFSNCREYHKRHKVCDVHSKTPVVTINGNNQRFCQQCSRFHGLEEFDEGKKSCRKRLDGHNRRRRKPQPDHTDRTATFFQGSKLLDFSSSPHVFPTTSVVSPSWGSGPVSVAMANGSSYGQNQSYVGSFPAKTGITFPISSSPNSRGKQFPFLQEEEDSSRMTSCIHDSDCALSLLSSSSSHLLQPQPPLSLSQETVFYGSGLFENASAVSDGSGNEAVPLPQTFPPFHW >A06g504450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:13965049:13965423:1 gene:A06g504450.1_BraROA transcript:A06g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQAHRGGHYLPIPISSSSDSSPPSTPAPLLTPSFEATPSGSSFETDPSEGSYDQTPEHIPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASHAAHIPRAPAAHIPPAPAAPLPAAQPQPAHN >A06g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30054196:30055690:1 gene:A06g509950.1_BraROA transcript:A06g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNWLLMKIEEDEGGRPRRIYSIGKRQSHRKSSLRKSMIKVPPLASFICVPSTVPSLLFFIEQRIWKSSDKKIKWKMDIRRKSYTSSNDSVNFCLLGGCFILYLLIERYGNRHRDLVPNGKTVTERFEFSDLAYYFLFSVFYLILYKVVLTLFVPGSLIKMDLVFLHILVVILLDLLQVLPSRRINPLLEDIMADEMPAFPR >A03p012670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5022596:5025126:-1 gene:A03p012670.1_BraROA transcript:A03p012670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRAGAGDALDDDLVDQVLMRTHDRSESLITSPPATALNVEGSAPVLDQSSSAMQKRFQRLSRNVSGAIASLKNSLSLDSARDNNQSGGGGGGGGPSPRADVGGGRKLLWATVVRNLAKMYPGSQLPEKLVSNLKKHYDSLPFSYSQAGFDMKEVFLHVKLIEQASGDDNPVFMIQEVSSEGARGSALKLTFACNSFLSWSTMSGALDGASISCKKIQIFEKKGLTLGVVLLMDQSGQESLFKARVENALKSATRKPRPTSSSVKLPFGLCGCQEQNGGVGEVGGVEEESIQHGNRLGVENLNSVIQLQVPLPSSSFAVSVDEWQTIQSGGSEIGKWLLSSDSFEFGDQIGPSSFKGIFRGKRVAIEKLKGCDKGNSYEFEIRKDFLELMTCGHKSILQFYGVCVDENHGLCVVTKLMEGGSLHELMLKNKKLQSKQILRIAVDIAEGLKFVNDHGVAYRDLNAQRILLDKHGNACLGDIGIVTACKSFGEAVEYETDGYRWLAPEIIAGDPENTTETWMSNAYSFGMVLWEMVTGEAAYASCSPVQAAVGIAACGLRPEIPKECPQALRTLMINCWNNSPSKRPNFSDIHSSLLRAMSR >A09p068320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53586936:53587668:-1 gene:A09p068320.1_BraROA transcript:A09p068320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U3-1 [Source:Projected from Arabidopsis thaliana (AT3G63120) UniProtKB/Swiss-Prot;Acc:Q8LB60] METLATDPGFNDSGVYLRLGLIVEGKRLKKPPALLSRLASSLVLLLHDESKSPAEFQHSTVTVFDGRSPPEITISDYLDRIFIYSRCSPSCFVVAYIYIHQFLDVTRAHLTPLNVHRLVVTSVMLAAKVFDDRYYNNAYYARVGGVSTRELNRLEMKLLFALDFKLQVDPHTFHTHCCQLEKQNSDGIQIEWPIKEACRANKETWRKSTPESVCSQTTAR >A06p053450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28167930:28169953:-1 gene:A06p053450.1_BraROA transcript:A06p053450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLNAEKSKMKFMKIGTKPDTFYTQDGSRILITDSPNDLVIRINNTSYHLHRSSLVPKCGLLRRLCTDSEESDSVTIELNDIPGGADAFELCAKFCYGITINLSAHNLVDALCASKFLRMSDSVEKGNLLPKLESFFHSCVLQGWKDSIVTLQSTAKLPEWCENLGIIRKCIDSIVEKILTPTAQVSWSHTYTRPGYQKRKHHSVPRDWWTEDISDLDLDLFRCIITAARSSFTLPPQLIGEALHVYTCRWLPYFKSKSHSGFSVKENEAALERHRRVVNTVVNMIPADKGSVSEGFLLRLVSIATYVGASLTTKTELIRKAGLQLEEATLADLLLPSQSSSHHHRYDTDLVAAVLDSFLMLWRRQTSAHISSNSQLLHSIRKVAKLIDSYLQAVSQDVHMPVPNFVSLAEAVPDIARESHDRLYKAINMYLKVHPEISKEEKKRLCRSLDCQKLSAEVRAHAVKNERMPLRTVVQALFFDQESSSKGVLSQAASQVLASRGKEVPTDETSMMHKLHLGPSETASIGKAKSMREGGSQRGEDKIRSSTDPRKLVRQGTGSERKYHASRDR >A09p021870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11839223:11840747:-1 gene:A09p021870.1_BraROA transcript:A09p021870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREFATTFSLLSGLFFFFLTPELIPVSEAVWLNIPKTGTKCVSEEIQSKVVVLADYLVISDEHSIFPTVSVKVTSPYGNVLHHSDNATHGQFAFTTQESGTYLACFEAVGNSHGNKDISINLDWKTGIAAKDWDSIARKEKIEGVELELRKLEGAVEGIHENLLYLKDREADMRIVSEKTNSRVAWYSIMSLGICIVVSALQILYLKQYFERKKLI >A02g512930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35376185:35382608:1 gene:A02g512930.1_BraROA transcript:A02g512930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGGRLIAGSHNRNEFVLINADENARIRSVQELRGQTCEICRDEIESTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVENDEEEDDVDDIDNEFEYGGNGIGFDQVSEGVSVSRRHSGDLDSAPPGSQIPLLTYGDEDIEISSDRHALIVPPSLSGHGSRVHPVSLSDPTIAAHPRPMVPQKDLAVYGYGSVAWKDRMEEWKKKQNEKLQVVRHEGDPDFEDGDDIPMMDEGRQPLSRKIPIKSSKINPYRMLIVLRLVILSLFFHYRILHPVKDAYALWLTSVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELSPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYCIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNSTRDHPGMIQVFLGSDGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKAPRKTCNCWPKWCFLCCGSRKNRKAKTLAAADKKKKNREASKQIHALENIEEGPVTKGSNVELSSEAMQLKLEKKFGQSPVFVASARMQNGGMARNASPACLLKEAIQIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASILFMALFSSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPTTLLIINVIGIVVGISDAISNGYDSWGPLFGRLFFALWVVIHLYPFLKGLLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPVLEICGLDCL >A02p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9837905:9840581:1 gene:A02p020900.1_BraROA transcript:A02p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRSIIHRHSSPPSSSSSSSSLPHFRFPLTKDLILKKMAAATSFSGLEGQRDEHNSDMEEDMDLTEDDFRNVSGQFSRDTSIAEVKDAVTVGAETVKVDVSSKSGVKRARTTSEENQPSVHVTYKHLTRASKQKLEILLQQWSEWEAEQTSLLCQSDQDQEPALESGEDTYFPALRVGLQKTSSVSFWFDCKTGQDSLKEFVPVESSTTPLYNRKFAIGLDSTAGSSNVEGAQGGKYDGLKPGSLDPETRQVLGLGELDPPPWLHRMREIGYPPGYLAVEADHLSGITIFDEEETEPPEEGEVKAEDGEILEVAASSSEPPQMKMTVEFPGINAPIPENADVWLWQQRKNTGHGYNHDQHYRGVMGPPGVELSSSYPPRYGGIRYDHGFGSIARSPGSESERRYYYSQYDQNLR >A04p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19829993:19832765:1 gene:A04p034180.1_BraROA transcript:A04p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILKSKSSWPGTVFRKWLNLRSNAYEFHSDYPVKGKMEPTQPRRKSCSDGDYYKIVPEKFPGWLGQENGDFKQSTDEDHMTRADDLKMFVGTWNVGGKSPHDGLELKDWLKSSTDADIYVLGFQEIVPLNAGNVLGAEDNGPATKWLSLIREALNNNNDLSQNDLELSKNHRTSFELTKSSQPSRRSISNFPDDNPVACNSTLDRGYSLAASKQMVGIFLCVWVRDDLQKRITNLKVSSVGRGIMGYLGNKGSISISMSLHETSLCFVCTHLTSGEREGDEVRRNLDVTEILKRTRFSRSSKDSHQPETIMDHDKVIWLGDLNYRLRASSDVHDHLKNHDWEALLEKDQLKIEQRAGRVFQGWEEGKIYFAPTYKYYINSDNYVAQTEKSKEKRRTPAWCDRILWKGDGMKQIWYTRGESRFSDHRPVQSLFSVHIDSTQNQSNRKTKPNNQNHRPNPVLPYTCHGKVQAEEILLLTRAQSCIDTQPRLISSAS >A05p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17597539:17599088:1 gene:A05p033210.1_BraROA transcript:A05p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPATPLAPAYTPSPANGSTGGQSQLVCSGCRNLLMYPVGATSVCCAVCNAITAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGSCKMLLMYQYGARSVKCAVCSFITSVGGSTSTTDQKLNN >A07p048730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25988123:25989524:-1 gene:A07p048730.1_BraROA transcript:A07p048730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTITAGDVTDFSGGGEDRRKVAILLAFCGVGYLGMQRNPGAKTIEGELEEALFRAGAVTETDRGKPKQYEFTRCARTDKGVSAVGQVVSGRFHVDPPGFVDRLNANLPDQIRVFGYKRVPEKFSSKKFCDRRRYVYLLPVFALDPLTHRDREAVMASLGSGEEYVKCFECSERGRKNKITGVVGKLKGDHFESKSLDVRSDITSNNSCALSKFCYGEEEKERFNRILSYYVGSYNFHNFTTRIKADDPAANRSIISFTANTVISLDGIDFIKCEVLGQSFMLHQIRKMMGLAVAIMRNCAPESLINTAFSEDVNITVPMAPEVGLYLDECFFTAYNNTQFEDSHEEVSMEAYKEEAEAFKLKYIYSHIGSAERRYGSVALWLHSLNYRNYPDLNYGRNGHNTEPVLVYKTVGETQETTEPEQNDENSQVKTSKDIMTVVA >A03g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21526101:21528555:1 gene:A03g505980.1_BraROA transcript:A03g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGIKNNKGDYIELPPWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGVARHILQQLQTMNIVDLDTKGGRKITSSGERDLDQVAGRIAAAI >A01p059530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34158281:34160693:1 gene:A01p059530.1_BraROA transcript:A01p059530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGGGRGGGVESILPNYKLGRTLGIGSFGRVKIAEHSLTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYLVMEYVNSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGGARDLIPRMLVVDPMKRVTIPEIRQHNWFQAHLPRYLAVPPPDTVQQAKKIDEEILQEVINMGFDRNLLIESLRNRTQNDGTVTYYLILDNRFRVSAGYLGAEFQETMEGTPRMHPAESVASPVSHRLPGLMEFQGVGLRSQYPVERKWALGLQSRAHPREIMTEVLKALQDLSVCWKKIGPYNMKCRWVPNNSDGMLSNSMHDNNYFGDDSSIVENDAAVKSPNVVKFEIQLYKTRDDKYLLDLQRVHGPQFLFLDLCAAFLAQLRVL >A04p032030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18731719:18734364:1 gene:A04p032030.1_BraROA transcript:A04p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESCIRLHFLSLILLCCVSHSTSFFTFKVDSSSYVACGPHQTQALTEFMNEFDSSHCNLSDPLNGVWCDNSTGAVTKLRLSNCLSGTLKPTSSLFRLHHLRYLFLSGNNFTSSTLPFEFGNLNRLEILNLCYNGFVGQVPSSFNNLSLLSYLELSQNELTGSFPLIRNLTKLSVLSLLNNHFSGALDPNSISLFELHHLRYLDLGSNNFSSSLPSEFGNLSRLEVLSLSANEFFGKVPPTISNLTSLTELYLQNNQLTGSFPLVQNLTMLVVLNLGGNHFSGTIPSSLTTMPVLSYLDLRVNDLTGSIEFPNSSTPSRLEYLYLGNNHFEGKIIEPISKLINLTHLDLSFLNTSYPVDFSLFSSLKSLLHLDLSGNSISPASLGSTSDIPTDLEILLLRRCGIKEFPNILKNLEKLKNIDISGNIIKGKVPEWLWKLPRLNTVFLSNNSFNGFEGPVDVLVNSSVKTLFLEQNYFEGAIPLLPLFITDFSALDNRFTGSIPLSVCNYRSLMLLRLPYNKLTGQIPQCLSNLTFVNLRKNNLEGSIPDTFYKSSSLRSLDVGYNRLTGKLPRSLQNCSSLEFLVVDHNRIKDKFPFWLKSLPNLKVLVLSSNRFHGSISPPPGQGLLGFPELRIFEISDNKFTGSLPPTYFVNWKATYEGGSLYMVHIKDTSGRLYYTYTEAIDLQYKGLSMEQGKVLNSYATIDFSGNRIEGQIPESIGLLKALIALNFSNNAFTGHIPLSLANLSNLESLDLSSNHLSGTIPNGLGSLSFLSYINVSHNQLKGEIPQGTQIIGQPKSSFEGNAGLCGLPLQENCFVTNALPTQPPKQEDEDQVEVLNWKAVVIGYGPGLLLGLAIAHVIASYKPEWLIKTIGPNKRRNH >A02g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18344495:18346916:-1 gene:A02g506540.1_BraROA transcript:A02g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLYKKSNQASKLQQDVYYPFKTVLEKKQLIFGDKKHFSSNRFDSVQKQRNQRKRQNMFDDDKKRVRNGDRPFTKVKRSNCDVLDRKTYASLEKMLHKVIFAIQQLKKKGNTNTSSAPKQQCKFSSLSNSNLKTNVFSFDKSKAVKPTSKGHSTRCFKFHRIGHYANKCQNQKPLVTLENENVETEPEKEEFSDRLPIFDDYTHEPMACLKSCEHKDLFSSLSESIPGEFCLQLTVLQPENPGSFELISRFEKDSENILNNDEFSGPLNALDIGAYDFGLGSFVSMQEGPDEEQNCDLSTNRFEEGGNDAPLSSAPCKTDMHGLIMESGNDICSLFDSYLPKHESSTHEITWRISSKKNQIKRSSDVGVMKFANQDTFSSREYGPYGSSSPHLDPYREGTTWFRQTWTLAEEWLALDLRYIKSHSTSLDDPFNPYQTRISANYHTSSNQNTRITTIKYKKSKREQKDLIPNLPWARELLARFLVLATQRRLNLIESQLEITKTENCLNALNAKFSLKNPLFLRSSPRTPYILAPRSVYAFTLLSLSRHSIKWRYSRFFDLRNYPQNFRIYPRKLDIYLSWWTKRKPCCGLRAFG >A09g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21749988:21757278:-1 gene:A09g507500.1_BraROA transcript:A09g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACPDAPGVLSSDFDQPRHYFQTNTISGLAKAGCLVAFSLKLFVPGFGDIRKLCRKNSARISCTEAGRCLQEARSARRSSVKLSLAHSNSSQLDGLFEFWADGPGPGQWRAMRFGHVVHESLSSCGQAVGLVTRSWLGLDVRSGPLIVIDGQHLSQKDASKGCDSSHMTFADRLGQKGTSRQRLRVAKCHELPKVVRYQRMQVTKRYEIPMVASIKGYEDQRVPMTVHRDPRLRVAKDHVVIQETHIMAMEGRLYQYMLSGRWLIKSSGRIMFHDDGVGPNLINECIGWYKQIIYVVWVKSQGRSGQMKTHQFQDLMGIVSPEDGLGTIAYKAKGFRIVHEPRKAICKPLSFQRLLKGYLCLWGWLLSSKRNLSQWRTDELISFIDVAKLDYYLTQLRQLGVSSSQLDGLFEFWADGPGPGQWRAMRSGHVVHEWLGSSGQAVGLGTRSWLGLDVRSGPLIVIDGQDLSQKDASKGCDSSHMTFADRLDQKGTSRQRLRVAKGHELPRL >A02p050070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30968363:30969530:1 gene:A02p050070.1_BraROA transcript:A02p050070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFWQLGDELRGQTQSRASEDHKWSTVATKLAEQTRMKGERFNNLDLSKAGGYSDKFSFQDNNNMLNLGGKYGKASMQSNVYNNMNDFKSGGNMKVNKYNGNVVANKELRNNSNDNNAVDKRFKTLPASETLPRNEVLGGYIFVCNNDTMEEDLKRHLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATTFGGTNIDAMAWEDKKCKGESRFPAQVRIRVRKICKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSP >A02p004900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2126648:2129495:-1 gene:A02p004900.1_BraROA transcript:A02p004900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIIGMAEPRSSNGGRDVVINVSGEEASRVSSKMASPESEKAMPINKNSSPEISKLVGSPNKPPRPPNPSYDGLTQRRSFARSVYSKPKSRFVEPSLPVDTNILEEEVKEQLGAAFSFSRASPNNRSTRSVGSTTPLTPSKAVEAEKDEDEEIYKKVKLSKEMRRKISALALLELAFFVVILSSLVASLTIDAVKRHNFWGLQVWKWCVLVMVIFSGMLVTNWFMRVVVFLIETNFLLRRKVLYFVHGLKKSVQVFIWLSLILVAWVFLFNHDVDRSHAATKILNAITRTLITLLTGSFLWLVKTLLLKILAASFNVVNFFDRIQDSVFHQYVLQTLSGPPLIEEAERVGREPRTGQLSFASVVKKGEVKEKKVIDMGKVHKMKREKVSAWTMRVLVEAVRTSGLSTISDTLDEQAHGDGKEQADRGEITSEMEALAAAYHVFRNVAQPCFSYIEEEDLLRFMIKEEVDLVFPLFDGAAETGRITRKAFTEWVVKVYNSRKALAHSLNDTKTAVKQLNKLVTAILIVITVVIWLLLLEVATTKVLLFFSTQLVALAFIIGSTCKNLFESIVFVFVMHPYDVGDRCVVDGVPMLVEEMNLLSTVFLKLDNEKVYYPNSVLATKPISNYFRSPDMGETVEFSIAFSTPVSKIAHLKERIADYLEQNPQHWSPIHTVVVKQIEDMNKLKMALYSNHTITFQEYRERNIRRTEQSLAIKKMLEDLHIDYTLLPQQVHLTKLDKI >A03p050030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21492613:21495135:-1 gene:A03p050030.1_BraROA transcript:A03p050030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENEVVKTFEELGVREELVKACERLGWKNPTKIQTEALPYALEGKDVIGLAQTGSGKTGAFALPILQALLQYVNDAEPKKGRRPDPAFFACVLSPTRELAIQIAEQFEALGSDISLRCAVLVGGIDRMQQTIALGKRPHVIVATPGRLWDHMSDTKGFSLKTLKYLVLDEADRLLNEDFEKSLNQILEEIPRDRKTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVPAKYKDCYLVYILTEMPDSTSMIFTRTCDGTRFMALMLRSLGFRAIPISGQMTQSKRLGALNKFKAGECNILVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVGISLVNQYELEWYLQIEKLIGKKLPEYPAEEDEVLSLLERVSEAKKLSAMNMKESGSRKKRRGEDDEESERFLNGNKGGNKGGNRDQKSSKKFKR >A09p056320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47929130:47930100:-1 gene:A09p056320.1_BraROA transcript:A09p056320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEGQVIACHTVVVDFTASWCGPCRFIAPFFADLAKKLPNVIFLKVDIDELKSVASDWAIESMPTFMFMKEGKIVDKVVGAKKDELQSTIAKHLA >A10p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18770478:18771688:1 gene:A10p031410.1_BraROA transcript:A10p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPLTLCLTFLVMATVTFGVPPKKAVDVPFGRNYFPTWAFDHIKYLNGGSEVHLILDKYTGTGFQSKGCYLFGHFSMHIKMVPGDSAGTVTAFYLSSQSSVHDEIDFEFLGNRTGQPYILQTNVFTGGKGNREQRINLWFDPSKDYHSYSVLWNMYQIVFFVDDVPIRVFKNSKDIGVKFPFNQPMKIYSSLWNADDWATRGGLEKTNWAKAPFVASYRGFHVDGCEASVNAKFCETQGKRWWDQKEFQDLDAQQYKRLKWVRTRYTIYNYCTDRVRFPVPPPECRRDRDI >A06p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13228982:13232995:1 gene:A06p027250.1_BraROA transcript:A06p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQHISATVPRDRTDEQAILALKKGAQLLKCRRRGNPKFCPFKLSMDEKYLIWYSGQGERQLRLSSVITIVRGQITPKFEKQAQPDRKEQSFSLVYANGEYTLDLICKDKAQADSWFKGLRAVITKHHNARSSVNLRTSRGAQSCINSPAGFIRRKQNLGLVEETPDFTQIRSLCASPSTLLEERCLWLSGSSDSFYSVESAGSDGFGPVSPYYEADCDQTGSELSKKHSSQRFYVSPPHTVTQPTARSNVLKDVMIWGATRGLIEGSKNQNDALSPQLLESATMFDVQSISLGAKHAALVTRQGEVFCWGNGNSGKLGLKVNLDIDHPKRVESLEGIAVGSVACSDHQTCAVTESGELYLWGIDGGSTGESGRQFLTRKIADLFGGSLRVHSVACGAWHTAIVTFSGQLYTYGSGTFGVLGHGSLESVTKPKEVESLKRMKVVSVSCGPWHTAAIVETSTIDRKYHNAKSCGKLFTWGDGDKGRLGHVDSKRKLVPTCVSELIDQDFVKVSCGWTLTVALSNRGTVYTMGSSIHGQLGCPRAKDKSINTVLGNLTRQFVKEIACGSHHVAVLTSFGNVYTWGKGANGQLGLGDVRDRNSPVLVESLGDRLVESVACGLSLTAAICLHKDISLTDQSACSSCKSAFGFTRKKHNCYNCGLLFCNACSSKKAVNASLAPNKGKLSRVCDSCFNHLWRITEFSNKVKMQLVTNARRVSDEWTETESQNQIQNIPQANSSSDGQPRWGQVSAPSLFLFDKMSLSLTSPEDISVSSRRPSSTKISTSSETNKIFIDEMERLKAEVRNLQKQCELGNEKIEECQREFEKTSQVAKEEAEKAKVAKEIIKAMASRLQTNKEKPNNPKTGIACNPSKVSPIFDDDSVSAPFLTPITTTCSQSKDKQIVEKCSSRESNIRLLVNASPAITRTGYMQNGTQESTAEQVEQYEPGVYITFTALPCGQKTLKRVRFSRKRFSEKEAQRWWEEKQVLVYNKYDAEA >A09p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1122200:1127195:1 gene:A09p001520.1_BraROA transcript:A09p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase EZA1 [Source:Projected from Arabidopsis thaliana (AT4G02020) UniProtKB/Swiss-Prot;Acc:Q9ZSM8] MYLFLFSPPSQSFFTLKTRLLQNWSWEREAESSRRREEASMVTATDDSDSSGRIRVDDDDDDGAESLVEGLDEKLNHLKMKIQGERVRSIQERFEVNKNKVDVLHVTTPFSSNGGEDDSDVFCSRMEKPLCKLTGFPHGVAERDYVPTKDVVSGSVRLPSADRIPPYTTWIFLDRQVLLNQRMVEDQSVVGRRQIYYDQHGGETLICSDSEEEPEPEEEKREYSEGEDCIIWLIGQEYGMGEKVQDALSQFLTLDASEILERYNELKLKNEQNAEAFSDSGFKLGISLEKGLSAALDSFDNLFCRRCLVFDCRLHGCSQPLISASEKQPYWSDYEGDRNPCSKYCYLQAVREVPGGSSNFASKTEAKASEEGCSKADSFDDPHDAGGGVNLPVDSSSGIEQDNKIREKREAPRLEDSSDLPNLSNKKQKTAVSDTKVAFVNPISRLEGDQGGKSETSEVNRDSGASSKEVDKPIPDITVHDGGSSTFQPEHGSGMGTMIIAEMSESSQPSTEWKPIEKDLYLKGVEMFGRNSCLIARNLLSGLKTCLDVSSYMRENEVSLIRRSSTPNLLLDDGRTDPGNEDDEVPPRTRLFRRKGKARKLKYSTKSAGHPSVWKRIAGGKNQSCKQYTPCGCLSMCGKDCPCLTNETCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEAPRRGEGQCGNMRLLLRQQQRILLGKSDVAGWGAFLKNSVSKNEYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAQRKGDKLKFANHSAKPNCYAKVMFVAGDHRVGIFANERIEASEELFYDYRYGPDQAPAWARKPEGSSKKDDSSITHRRARKHQSH >A06p034820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18873298:18876746:-1 gene:A06p034820.1_BraROA transcript:A06p034820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYGYGYGYGGFSGVPYPIFLTSPSPHPPTSAPPIQPFESSYDPTQGGFDPMISHGSYHRSPADWSNHPSYGDNSRSQTPSSSFGNRGPRAVDSHKDLFSPSGFNPSRGSSSDAVPFPTENFLERQRYEWVPYTSASLADMLIRNSQEPFYKPMPPSGVNAFDDKRPGYYHHHGYDKPESMNAPPGLSVEPCVPVPSLPMSSMKTEALFDGSQTGLRLGDGRSFFGDAPENIQSSLHGSSVEPVNFDVLLGYGEATGHVKPLSEKTVGSPSSRSLRSSLPPQFNVESSGSLFKEKREGSGVSSLYQMPNTLVADIENGISESSLKNAIEDLNSNEHRSWNHFMVSSEGPSAPTVFSMGSEPCGAMKADNGYAAYSAVNYKTPSEGSAIQPNEDVQAGVETCKPQEQLFDTMNRAKKTSLLTDLCIKGSSRSDGDDVSTGRSPEKHLSDEGDFPSPPSSPRVSSVINAMHNLSEVLVYECLNNGSWLMPEQLENLDKVVENLTKCLKKITSNKTIAGEASVPTPAMNISCPNVIDLNEAPNVVAKDCNVKPLDSFGLKEPVDKDTTEMTQSIKNILTSKFPDGEEKHPQTLLYKNLWLETEAALCSSTCMARYHRIKNEIDNLKLQNKEVSADASTFMQEPFLNPTKSVSIMNAAEQETTESLIKHGSYGGNNVVTTSHDAPQSFKFNSNPVDAVFSLMSRSFTGGLEQENHGNVKPTAGEKCDDVIDRFQILKLLETKRKLKSQNCPDSDIGVVNRLQILRPQETDCKLNSQNFTETREDNPDHQPSEMAKIGRSSHVTDVMDRFQILKRREAEQVQKSLDTDSDSEDDQPRNKTQICDHLWPDSMMKVGRESQNEMHVGAEPSGYSDWEHVLRDD >A05p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28965271:28967186:-1 gene:A05p050000.1_BraROA transcript:A05p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGSSFGTCFKAATRSKHQRFSFRVSAVSYKEFAESALQETRKRVVLEPSPLQERYSSMTGLDGKTQLQMLAFKSPKIRLLRSMAIENETIQVFDFAGFMKPEYDTPIFCANVFTSANMNIVVLDLNPLHQLTDQTDYQDKYYKNIMSIYHKYDEIFPWGGKLTGESIKFFSPLVMWTRFSSSQEKHQALFSAFLEYYQAWLEMTIQVKEEMEPSQVRANLEAQHKYLTWRAQKDPGHGLLRKLLGEAKAKALLRDFLFNGVDELDTKTFIDYFPEYETEGGTVSDKRSIIGKSFETRPWDSNGRFIGYESELEEVRIRKSSIKSLRTLC >A04p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15634428:15637199:1 gene:A04p025840.1_BraROA transcript:A04p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLVLTCFFSIFLLTHRVNSESLQEKQALLTFLQQIPHENRLQWNESDSACNWVGVECSSDRTSVHSLRLPGTGLVGQIPSGSLGKLSQLRVLSLRSNRLSGQIPPDFSNLTHLRSLYLQNNEFSGEFPASVTQLTGLVRLDISSNNLTGPIPFSVNNLTQLTGLFLGNNRFSGNLPSITVDLTDFNVSVNNLNGSIPTSLSKFPAASFAGNVNLCGGPLKPCKSFFVSPSPSPSSPDAPLSGKKSKLSTAAIIAIAVAGAVVGLLVLALLLFLCLRKRRRGKEGGTKAAETTATTRSVPSVPPAGGASSSKEVTGTSSGMGGETERNKLVFTEGGVFSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASKKEFESQMEVVGKIKHPNVFPLRAYYYSKDEKLLVFDFMPNGSLSALLHGSRGSGRTPLDWDNRMRIAITAARGLAHLHVSAKLVHGNIKASNILLHPNQDTCVSDYGLNQLFSNSSPPNRLAGYHAPEVLETRKVTFKSDVYSFGVLLLELLTGKSPNQASLGEEGIDLPRWVLSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPVMQEVLRMIEDVNRSETTDEGLRQSSDDPSKGSEGQTPPGESRTPPRSVTP >A02g511980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32469186:32471073:-1 gene:A02g511980.1_BraROA transcript:A02g511980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSQMAKHCRLAVINGHGDFRYSNCQIPADNKDASGENNLIGQILVWGFYIIYLLKNGLLCLRPKKKKVTVSTKSPKSGKQYVWEGEADSRNYTIKEGTDSQLIIPRGTSQCKYLWLSEKNFQRVSMHWHASSHFPTEPEVEFRSIFYVPPVSPIGQDDVVCIMKKCLVEKASECGVHVRDVPNDEECGVSVHEDKYMMNSLLVSLRTLSGL >A02p007410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3121787:3123751:1 gene:A02p007410.1_BraROA transcript:A02p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTVEEDKKLINFILTNGQCCWRALPKLSGLLRCGKSCRLRWINYLRPDLKRGLLSENEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPMTHKPLSEQDGAQQQAQGIKKSLVSRDEKNTNQGQEDQQQTRKEEDQQHQLGKDLEKNNTPISTDDGFCIDDIPLLSPHEIFVDISSAHHHTSDDNANINISNSTSPSSSSSSTSSCISSLPGDEFSKFLDEMEIVDLKWLSSDDSSGGDINYNDGKFNNNVDTMSLWDINDLSSLELFYE >A09p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7752221:7759488:1 gene:A09p014870.1_BraROA transcript:A09p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62940) UniProtKB/Swiss-Prot;Acc:Q9LQ12] MTLTRYQIRNEYGLADKELYSDKEDAEVLLEGSSMAGLVGLLRQLGDLAEFAGEVFHNLHEELMTTSARGQGLAIRLQQLEAQVPNSVEIPILSQTDHSTFFYDPGKFDVDGSGSCLKRYSDPSLLKKLTASQLSKDERPIKPKKKASHISNGERTLGDSQTSHAKLNQLFLMDHAEDPEITVKLKRRHLNGPPLINSSSGTGYMEKFLKNSLPYCERVHETLDQSSSAMETDEVATCNVRVDLSTTPSFVYPSNGETRKEREMEAIADDEREGGGAMVCVERRSSSVNNDTDTPPASTETESKEAEVLASNVLYHSTEEGESEDGTKDVQTNDGEDDHSQHVFSVETGSEMSLTGLVEDQFSSLTNQETEKESDDSLLFQNQHISTSDKFEDLSVDADAVHDSALPKEEDETSSQDGYSVNTEHAGHISTFNISSEVRDLILDTTPRDLRTGNITPSASSWQEDALANSDLAEISSDSGQEDPQSMSIIADGRSELEVQILDTQSDGTVFAGDNQAMLNDEVSETVPEEDLETINDPHECLPGTQECFSPEYYIQTHSQGGQESQSETDSENTTAEAAAEFLPPQDVPLGVQCSTSEETLTCNENEAEVEALNAPHQGDITSLNGNIPESVLSMGPTDQENCLDVPMAPFSTSLHVTPLEDLEITPPLPPLPPTQWWMGKLVESVKTTETQFSPVPYNGNNSFYIHRDEKIHNGVVQATEAQDLSEASVTASENQLRNEPSKATEEEQSPSESVAWESLLTPEATPEPESTEGLEALEWFSQNLKAHTNTNLTKLEEEPQLDHPLESPGETEGDNISYEYNEKVEKLPRDKESLVLGIDRSMLRKVSERNRTQLGAREDENDSLLEIIRSKSFNLRPADASVRHNFQVAAPITNLKVAAILEKANSLRHAMAGSDDDHDSDSWKLKSNSTHLKMEAQKQDNEHIFRSLYPSVPIPDKLTLPEFVLQGVEEFTENVAFVEAVTGKAVTYGDVVRDTKRLAKALTSLGLRKGQVMVVVLPNVAEYGIIALGIMSAGGVFSGANPTALVSEIKKQVEASGARGIITDSTNFEKVKALGLPVIVLGEEKIEGAVNWKDLLEAGDRSGDNNREKILQTDLCALPFSSGTTGLQKGVMLTHRNLIANLCSTLFSVRSEMIGQIVTLGLIPFFHIYGIVGICCATMKNKGKVVAMSRYDLRLFLNALITHEVLFAPIVPPIILNLVKNPIVDEFDLSKLKLRSVMTAAAPLAPELLTAFEAKFPNVQAYGLTEHSCITLTHGDPDKGQGIAKRNSVGFILPNLEVKFIDPDTGRSLPKNTSGELCVRSQCVMQGYFENKEETEKTIDEEGWLHTGDIGYIDDDGDIFIVDRIKELIKYKGFQVAPAELEAILLTHPSVEDVAVVPLPDEEAGEIPVACVVMNPKAKEKEEDILSFVAANVAHYKKIRAVHFVDSIPKSLSGKIMRRLLRDNILSIKKTLF >A09g512670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37836274:37838161:1 gene:A09g512670.1_BraROA transcript:A09g512670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSNPRPEKPQRLNESSELASPSLPRGLSVQYTQDVRGCPPAHTGPLWLSVCVRVYLSAHTQDVCGCPSVHISARWSLDSACWRRGLSVQYTQDVCGCRSAHTGRLCVSVSTHRTSVAVRVCSCVSGSAQRTSMAVHQYTYQHVGPWTQHADPSRGLFSTHRTSVAIYGCPSAHTGRPWLSMCVRQHTQDVRGCTSAHTGHLCVSVRTHRTSVAVRVCPSAHTGSLGLSISTHISTLVLGLSTLALPVDCLGDFRPRGLSVQYTQDVRGCPPAHTGRLWLSVAVRQYTQDVRCCPCVSVCVRQHTHRTFVAVRKYTYQHAGPWTSLPVDCSGDFGPRGLSVQYTQDVRGCPSAHTGRLYVSVSTHRTSVAVRVCPAAHTGRLWLSISTHISTLVHGVSMLTLPVDLHTGRPWMSASTHRTSVAVCVCPSAHTRRPWLSISTHISTLALPVDCSSNFGPRGLSVQYTQDVRGCPAAHTRRLWLSLCVRQHTRDIHSCDTLDRR >A05p042080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25474629:25476449:-1 gene:A05p042080.1_BraROA transcript:A05p042080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCGGDDFRRVAETGPKPVYGAGGHGGGHHVRTDPPKNTPVIQMQPISVPAIPADELKDITDNYGSKSLIGEGSYGRVFYGVLRSGKAAAIKKLDSSKQPDQEFLAQVSMVSRLRQDNVVALLGYCVDGPLRVLAYEFAPNGSLHDILHGRKGVKGAQPGPVLSWNQRVKIAVGAARGLEYLHEKANPHVVHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNPPRSAPQTPHRNNPY >A08p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16624936:16625510:1 gene:A08p025950.1_BraROA transcript:A08p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGTVDLKKQTFGSVLILSKIRSLLSRHNDEQPLNITGQVILRGQNGYFQSGQVSYRFFESLKQAGTCPPLGT >A08g501370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3687470:3688877:-1 gene:A08g501370.1_BraROA transcript:A08g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDIEEELSETDEDEPSDETAVEERCEAEDTEENQNQSEIPCEPASVWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVQRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQE >SC169g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:102693:104300:1 gene:SC169g500040.1_BraROA transcript:SC169g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIGNFTSPKDNSDLIEIKNSLKSLHSFLQNKHRSDIAQIDDNALSDTDDYLDEGTNCSDPYSVLHVDSFTQAYDTAVKSRTGRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGELIRKLEGQVAEIATAMKRDAGCLPERTDLNPRHQVSAVMLRSGKNLAADTRNNTDVGKPDDADKSGKSNSHPILLNDLDPNPSQENRKTTAEKAKEKAIDLELEEDTEIEDEIDRQYGADVDRPKTPTIDRQPERPVDRRSTQPEPIIERHIR >A09p013860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7178260:7181582:-1 gene:A09p013860.1_BraROA transcript:A09p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNLTAILNLLALLCSIPITASGIWLASKPDNECVNLLRWPVVVLGVLVLVVSACGFIGAYQHKETLLAVYLCCMAILIGLLLVVLIFAFLVTRPDGSYQVPGRGYKEYRLEGFSDWLRENVVDSRNWGKIRACLVDTNVCPKLSQQFITADQFFSSSSITPLQACYLSFIFYSLYSEPETSCLLHLASTFYISYLNSDVKVISASGCCKPPTACGYNFVNPTLWLNPTNMAADADCYLWSNDQSQLCYNCNSCKAGLLGNLRQDWRKANLILIITVVVLIWVYVIACSAFRNAQTENLSRK >A04p034810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20101342:20103304:-1 gene:A04p034810.1_BraROA transcript:A04p034810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MSSFLWGYIFSSVIGGALVDRYGGKRVLAWGVALWSFATLLTPWAAAHSTVALLCVRAFFGLAEGVAMPSMTTLLSRWFPLDERASAVGISMAGFHMGNVVGLLLTPLMLSSIGISGPFILFASLGLLWVSTWASGVTNNPQDSPFITRSELRQIQAGKPVHHPTSSSKPNPSLRLLLSKLPTWAIIFANVTNNWGYFVLLSWMPVYFQTVFNVNLKQAAWFSALPWATMAVSGYFAGSASDFLIRTGHSVTSVRKIMQSIGFMGPGLSLLCLNYAKSPSCAAILMTVALSLSSFSQAGFLLNIQDIAPEYAGFLHGISNCAGTLAAIVSTIGTGYFVQWLGSFQAFLTVTAFLYFATTVFWIIFATGERVF >A08p038350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22224877:22225809:1 gene:A08p038350.1_BraROA transcript:A08p038350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEVCVKAAVGAPDVLGDCPFSQRVLLTLEEKSLPYKMHLINLSDKPKWFLDINPGGKVPVLKIDGKWVPDSDVIVSLLEKKYPEPSLKTPPKFASVGSKIMSTFVAFLTTKDSSDGPLLHELEALENHLKSHDGPFIAGEKVSAVDLSLAPKLYHLEVALGHFKSWSVPGSLTHVHNYMHAVFSLHSFEKTKAEEKYVIAGWAPKVHY >A01p001970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:897884:899451:-1 gene:A01p001970.1_BraROA transcript:A01p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSLSSNSFGGFLSPGAAPSTYSDNKGWSSERVPHPSSSTASSTPTLNNGHGPRRHLGSSSALTTPFYSGRAVPSKWEDAERWICSPVAAYPPGVCANLSSQFSEQRRQKSKSGPIVPPTLPHPPPYSPRLRAMEAAPKGLMVSGSPFSTGVLEAERVFRGSVCGGGGGHGHSRSWVDLMSEETSSLSSKTDTEDRKETAAQSPVVSRRDIATQMSPEEMSPNNNPQELLLSPPLVVSVIDEPPPCRGGGEVREVKMDKGAKMIKRPKRRVMSSSSRVIMRREEQPPEVEDNSEASASSSSWDISEPAMTLSKLQREEAKIAAWENLQKAKAEAAIRKLEVKLEKKKSASMDKILNKLQSAKLKAQEMRRSSVSVSSDHQQEQQQVSRNSVKITHLVRRHTFMTPFMACFAPRVDCRKSPSAL >A10g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7987096:7990615:-1 gene:A10g503020.1_BraROA transcript:A10g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPFLPHHKSEAKLCREFPEAENPSRRALSPSLQRRLSLFSLSPARRSLSLSSPSPLSLLAVARSLSLSPAPSRSRSRLRWSVSIPTFFHDSVYSYMLEMFSLDHYEWRMPRMHYGRRNTREYAQRRHYDMEGNLVLPMFPDPEEQYREFPFRYPHEQTVRHKVLMPHFQRMAMEERLLQGNARFQLVTEEGPPRKRGRPCKPPSAAGGPPRVFTGKCQCGVLIKNAQEDRSVAGYTEDFINQAKLCKPKNAETWCVWYKNGLRKELQAQLRGVLEPLEFALVRRMAGFAMEAEEKIAADVAALSSMEGGNPGRDVDGHEVPVGELAKGKRGRPRKPPTVTCDCDILVQMVQKPRKVRDYLEEFLDTAKRCQPKPAEEWCHLFRAGLRGDIHEELVGVLEPLEFALVRRMANQALHAEEWLAESEAEAEYDRVAEGDEDLGSETRCPSPSKLCREFPEAENPSRRALSPSLQRRLSLFSLSPARRSLSLSPRCHLSLSSPSHALSLSPSRRRREVVVVTRSQPS >A04p033990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19743263:19745062:-1 gene:A04p033990.1_BraROA transcript:A04p033990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKMPFCFLAFFCLLLQLFSIFHIGNAELKMNYYRESCPRAEEIIRQQVETLYYKHGNTAVSWLRNLFHDCVVKSCDASLLLETARGVESEQKSTRSFGMRNFKYIKTIKDALEKECPGTVSCADIVALSARDGIVMLKGPKIDMIKTGRRDSRGSYLRDVEALVPNHNDSLSSVLSNFNSIGIDVEATVALLGAHSVGRVHCVNLVHRLYPTIDPTLDPTYALYLKKRCPTPNPNPKEVLYSRNDPETPMVVDNMYYKNIMAHKGLLVIDDEIATDPRTAPFVAKMAADNGYFHEQFSRAIRLLSETNPLTGDQGEIRKDCRYVN >A02p050670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31229705:31238633:-1 gene:A02p050670.1_BraROA transcript:A02p050670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase ROCK-N-ROLLERS [Source:Projected from Arabidopsis thaliana (AT3G27730) UniProtKB/TrEMBL;Acc:A0A1I9LN50] MNPEIASFDYWFNWRVLLCAVWVLAPMVVALFVIWKYEDSSVETQGDSEDNDVLCIDDSWRPCFEKIHPGWLLGFRILALCFLLATNIARLALRGWRIYYYYTQWTYTLIAIYFGMGSVLSVYGCFQHKKQLSTRLTADQVGNDAESGLRLPLNGEKMVLLEKSKASGSKTLRSCVHLFQIIFQMSAGAAVLTDSIYWTVIFPFLSLQDYEMGFMTVNLHTSNLFLLVCDTILNRLRFPLFRFSYFILWTGCFVIFQWVLHLFVSVGWPYPFLDLSLSMAPVWYLLVALLHLPSYGLYAIFVRIKYKLKSFASFRYRNLFLSLSPGERLELKSIFALGSEQIFDLNLWMIAMDTHTLKSVSDLPPNFRSAFTFRYFNSLQSECFPLCFHSDINMVISAPTGSGKTVLFELCILRLLSKSISSDGRFLHAKGALKTVYISPSKALVQEKLRDWNQKFNSWGISCLELTGDNETYSSKNIQDADIILTTPEKFDAVSRYRVSSGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKILSSNHELRLSTLASVRLLAVSATIPNIEDLAEWLKVPTAGIKRFGEEMRPVKLTTKVFGYAAAKNDFLFEKGAQEAAQKLAQTAMTYGYSNPFVKSREQLERLREASPMCSDKQMQSYILQGVGYHNGGLCQKDRSLVEGLFLSGDIQVLCTTNTLAHGINLPAHTVVIKSTQHFNKEKGHYMEYDRSTLLQMCGRAGRPPFDDTGLVIIMTRRETVHLYENLLNGCEVVESQLLPCLIEHLTAEIVQLTVTDVTRAIEWMKCSYFSFGQNPENYAIKKGIPKDRVEKHLQELCLQKINELSSYQMIWTDTDGFVLKPEEPGRLMTKYYLKFDTMKYIINAPTGYSLDEALHIVCRAEEISWIQLRRNEKKTLNDVNADKEGRLRFHINDNKGKRKKRIQTREEKLFVLANDWLTGDPSVHDLSMTQDANSLCSNGSRIARCMKEYFIYKKNYKGTISSTLLAKSLFQKLWDDSPYLLKQLPGIGMVTAKALHSMGVRSFEALAEADPRRIEIVTGRKYPFGNHIKESLSSLPPKVEIKVEEVESQKQGVSKLAVTLTRLSQPLVSTKRHYADMIVGSEEENHIHFHEKIRMDDFSSPYSVTILVERPQQAKVTVKADLIFEEYIGIDLHETLLLKKANNNTVNYKSENRLPHYYPPMVDVCVVDDNSPVKSGPPNRKNQKDEMPSFKLIDEDSEEEKEPYLTMEEDDCVILNEHTVFDHIRQKAKCFPSLITLNPTSSATLKRKSLMKNSPELDPLFQYDSVFRSTTTTKDIKQTAQKITTPVYASNGKTERPFSDETVFNYIRKRSKNSPILATSTIEDHITISSQERRNTKTSPYTSYRLLPSPSAKIPSIKSDAPAEMLSFDISMANSSNTNLEEKKKGSCSQLAGNSNGSDHFLGFKSIFSFL >A08p015460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10148751:10149366:1 gene:A08p015460.1_BraROA transcript:A08p015460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGRLIEAAGEIGTQKLGIAKAALISDVRSDGGWDFRRCRDQHMRNLIQAIETHTMEEDCISPDVKLLLTLLLMGLNVSPTQLNLVFKG >A07p049280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26194283:26198212:-1 gene:A07p049280.1_BraROA transcript:A07p049280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G76550) UniProtKB/Swiss-Prot;Acc:Q9C9K3] MDSDFGIPRELSPLQQLRSQYQPQLPPCLQGTTVRVEFGDGTTVAKSGDAHIIARAFPHTLGQPLAHFLRATAKVPDAQIITEHPAIRVGIVFSGRQAPGGHNVIWGLYEALKVHNAKSALLGFLGGSEGLFAQKTVEITDEVLQTYKNQGGFDLLGRTKDQIRTTEQVNAALKACTDLKLDGLVIVGGVTSNTDAAHLAEFFAEAKCSTKVVGVPVTINGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKHSHVALKCTLQSHPNMVILGEEVTASKLTIFDITKQICDAVQARAGQDKNHGVILIPEGIVESIPELYALLKEIHGLLKEGVHADNISTKLSPWSSALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAYLVETEMNKRTKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVTNLKSPVNKWKCGAAPMTAMMTVKRWSQNSGSTSIGRPAIHPATVDLKGKAYELLRQNAQKFLMEDMYRNPGPVQYDGPGADAKAVSLCVEDQDYMGRIKKLQEYLDQVRTLVKPGCSQDVLKAALSVMASVTDVLSTISSSSTSGQQFA >A08p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16419845:16420717:1 gene:A08p025500.1_BraROA transcript:A08p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRRSLGAFTRGVDNASCFLSLYLVVHNLQSFSSGWRRHAKFSFTVVNQIPGEVSQLREIQYWFDQKYIIREIDVLEVVGELDVPVVTTDIVDINGFQVLSSQVESANSLFEKHPNIASNVRAKNPHLRTTYLNVILGLTKILCKSSEELSNSDLDEAYSALRFVITAGFKLDWLEKALKEACEIRIQEIDEKLNDLTEKRADMDALLNSLK >A04p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17607245:17608813:-1 gene:A04p029490.1_BraROA transcript:A04p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGMKRGPWTPEEDQTLINYIHLYGHSNWRALPKHAGLLRCGKSCRLRWINYLRPDIKRGNFTPQEEQTIINLHEVLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLNKNQNNGGDAKDINGSNDTTHEDKEPVVVHTTSPQQFSNSTTTLDISDNNNKDDTMSHEDISALVDESLHEDISALVDESFWSEVVSVDNSSNNEKKIEGWEGLLERNSKRHSYNNSKLYNDDMEFWFDLFTSCRRIEAFSDIPEF >A02p000300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:179007:179540:-1 gene:A02p000300.1_BraROA transcript:A02p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (bHLH) transcription factor, Axillary meristem formatio [Source: Projected from Oryza sativa (Os01g0831000)] MDQSTLHSLNPYSSSTTSSSSSSLHNRKGRIKGNKNQSMSTLSTDPQSVAARERRHRISDRLKILQSMVPGGAKLDTVSMLDEAISYVKFLKAQIWFHHNMLLFFNDFETTSPCTYFPVVVSEFEPRLFGCDDDYTPVPETYSQGTPLYMVAEPNNPMWYSSVDDEQQETMHRRGLS >A06p048440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25650249:25651453:-1 gene:A06p048440.1_BraROA transcript:A06p048440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEVSRTGEIIEDSEQIDLLPGFRFHPTDEELISHYLRPKVLNTLFSAVAIGEVDLNKVEPWDLPCKLERKAKIGEKEWYFFCVRDRKYPTGLRTNRATKAGYWKATGKDKEIFKEKALVGMKKTLVFYEGRAPKGVKTNWVIHEYRLDGIYANDNLPVTAKNEWVISRVFQKGADGKKMHLYGLAMLGSGINQVEPAGLPPLMDSFGGSLSNVTCFSDQTTVEDKSHISELKDECNVIMLGSSSTHSIPNIGSMLYSDPVFMQDNSSILMMLLDSEETQFKKNIQGFGTAENELTTSSWHDHGLAGSTGSVEVDCYWNF >A09g516500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48725634:48732809:1 gene:A09g516500.1_BraROA transcript:A09g516500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSQLPLLIMGGKGVNQRQLKRVVEHLVHMIKMWKFKLQVFSGCVFGLVGVISSLSPRFSKLFTSSCFKMDSGMKMKVAVVFMGNNYLVWSRMVKTAVGSKGLWGHITSGTAPKPSLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSQLPLLIMGGKGVNQRQLKRVVEHLVHMIKMWK >A08p017470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12186001:12187124:-1 gene:A08p017470.1_BraROA transcript:A08p017470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFILISPIPLQAYRFSHLSYLSPRLSHLSHLSPTASPPRLTTTRVALSPPPPRSPSHHHHGRTPTTTTIVGEARFMVAGGGKVHGGLRTEVSWRLEEARLMAVGRGASALQELQINPADQKIIPGEGELSANKRIKIQFKRGLDMARGKGEHKLSQGTRAGAVQKPC >A06g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24366003:24376153:-1 gene:A06g508630.1_BraROA transcript:A06g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLRRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQAIEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMKQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSGRKDSMVRTEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHLCVDPRAPIPDENAAGDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRALTGGCIRRDESGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGTDQQRDHHHDSGVFQLSDPSSRKHCTALE >A08p031300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19113463:19114806:-1 gene:A08p031300.1_BraROA transcript:A08p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKYLCFRKLLLLLYFIIFLFSCSSFFATFASSETSVHDELQQTQSLDPHFRVRRLLVKDLETDDEETNPPPPKKKKLTGSVPSTPSIKKNQTKLIKPISSSSSTKNQTKLAKTTSSKLNSTKSSSNTTKNGSDIKKSSNSTKPTTSSIKKSTDLSKSTSSKNKTTTKSPSSKLSPPPLENKKAPSSSKPTTKPKPAEKEIKPIWLDNEEDDDFVNEFRDLPTRFQRTLIPDLEKISTTSKSYIDKANKEITKKYFKPYFGNKYAPIITSVVSFVFILVPLLLVSLVFNRFKAYFSLQKLLIFIQIYLSIYFSILCISSLVTGIEPLKFLYATSGSTYVCLQIMQTLGYVFYLLVLLMYLVLVFSTDCGLALKVLGLAQTFVGFAVGLHYYVTVFHRVVLRQPPKTNWKVHGVYATCFLLICVLSSAERRKKEYLEEGGDEGKKN >A03p050940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20736057:20736875:-1 gene:A03p050940.1_BraROA transcript:A03p050940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSETCILRPCIQWIESAEAQGHATVFVAKFFGRAGLMSFISSVPESQCPALFQSLLYEACGRTVNPVNGAVGLLWTGNWSVCQAAVETVLRGGSLKPIPELLTRDGGFPSPTSDEASEICMEMMNDSGDRNVYYHHSRFSSSRSRATASPPKRRRLASEQQQERPSSELDFSLIPTFPVKTTPFKEETRRPETPYSEESVTTAPFLDNIASERFVRGGGETTKLLNLFA >A02p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4470855:4473160:-1 gene:A02p010360.1_BraROA transcript:A02p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITDMVLFGLLLVNALLPVDSSGRMPFTYQNQLQRHCSSTEYTSLCVQTLREFRHGSTTSLDFVSFLVNKTISGSSNLIPPLSSSMASYEVISLDDSTNTLPSPSVADSCERLMKMSTRRLHQAMEALNGSSRKRTTKHDVQTWLSAAMTFQQSCKDSVLDSGGHSSSAVSHITQKMDHLSRLVSNSLALVDTFMENPKPTVLPRWVSAGERKLLASRTSRSRPHVLVAKDGSGDYRTVMEAVNAASGNGRFIIYVKRGIYKEKVRIEKDEITLIGEGKDLTVIVGDDSAAGGTSVPDTATMTVTGDGFIARDIGIKNTAGPRGKQAIALSITSDQSVLYRCSISGYQDTLYAAALRQFYRECDIYGTIDFIFGNAAAVFQSCNLILRRPSNVKAYNVILANGRTDQRQNTGFALHSCRILTDLDFSGMKHRYSSYLGRPWKKYSRSIVMETYIDDAIAEEGWAGWLNSGDEVLKTLYFGEFKNYGPKARVSKRVTWQGFHVIGFEEASYFSVGKFLDGVSWLPSTGISFASGI >A04p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12297125:12299681:1 gene:A04p020140.1_BraROA transcript:A04p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLRLTTTMAIRSVSVLFFLLIIGGRWIVFADGSSGGAGRNGYSGGAWSSAVAPSNVGLAVAVTVMAGLAVAFTVYSRRGSIGSPWSLRRRKHALQPSQWNAFFTDQGRLSDGGVKYDLNSTEEERDSIRQQKQKEYENLRRQCREIHKRNENGCDSNQTAQRSNTENSQVLDSNDIAEVNSSKRSIQVEEPEELNSILQDGDCEESGVTSEDAANESDSTNSEETETSPLLAKEEAESHDTVSSDSTKSEETETSPLQAKEEVESVNSEETETLPLVAKEAENQDKVNQEKDTLTPSPNPKSQAEEEFNTTWQRIIRLDAVRANDEWVPYSPSQAAVSDKKARGIATQVGLNDYDHLEPCRIFHAARLVGILEAYAVYDPEIGYCQGMSDLLSPLIAVIEDDALAFWCFVGFMSKARHNFRLDEVGIRRQLSMVSKIIKYKDIRLYRHLENLEAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRTGIAKATWGRIRLRAPPTEDLLLYAIAASVLQRRKTIIEEYSGMDEIMKECNSMAGRLDVWKLLDDAHDLVVNLHDKI >A10p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12377078:12380508:1 gene:A10p012380.1_BraROA transcript:A10p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHESPLLSKEPSSSSSSSVVASSFKWILKVLMSVIFVAWIVFLFVYPGKLGDGILTNWRAVSSNTLFGTTGSMFLIFSGPILVISVLASLYLIISGEEKVFTKKKISKFPRFRLWTFPVLVDGPFGVVSAAEFLVIMIFSVFFLWAIYAYTMRDLDLLDLFHVLPEDRSMLLLEITGLRFGMIGLLCMVFLFLPISRGSILLRLVDIPFEHATKYHVWLGHITMAFFSFHGLCYVVAWTVQGRLLELLFEWKAIGIAVLPGVISLVAGLLMWVTSLQYVRKHYFELFFYTHQLYIVFVVFLALHVGDYLFSIVAGGIFLFILDRFLRFCQSRRTVDVISAKSFPCGTLELVLSKPPNMRYNALSFVFLQVRELSWLQWHPFSVSSSPLDGKHHVAVLIKVLGGWTAKLRDQLSKLYEAENQDQLLSPLSYPKITTCVEGPYGHESPYHLAYENLVLVAGGIGISPFFAILSDILQRKRDGKACLPKKVLVIWAIKNSDELSLLSSMDIPSLCPSFSQKINLEINIYVTRQSEPLLEDGMVHKMVNPSVKPRSNGCPMSVLVGTGDNIWAGLYLVSSTIGFVLMITLLDIFYINKFNITAWWYKGLLFVVCMVASVLIFGGLVVVFWNLWGEKAGDVEPNGHDKVTTNGGELHNPSAELKGLNTEDDIQSFITTRYGTRPDFKEIFESLNEKWGSVDVGVIVCGPASLQSTVAKEIRSHSIWRSTNHPLFHFNSHSFDL >A02p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4002555:4004558:-1 gene:A02p009470.1_BraROA transcript:A02p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTRLIWTVTVLVLAAVSEAVFPLPFNPFLPGSHNRKLGKQGGGRKGGGRRRGGAEAQTNWPGKWELFLPNSGVSAMHAILMPVINQVQFYDATIWRISQIKLPPGVACHVVDPKINKVDCWAHSVLVDINTGVIKPLSLTTDTWCSSGGLTVNGTLVSTGGYGGGANTARYLAACPSCVWIEYPQALAAKRWYSTQASLPDGKFFVIGGRDALNYEYIPEEGQNNKKVFDSLLLKQTDDPDENNLYPFVWLNTDGNLFIFANNRSILLNPKSNQVIKEFPQLPGGTRNYPGSGSSALLPIQLYMNNAKVIPADVLVCGGSKQDAYNRAGKKDFEPALKDCARISINSRKPRWKIEMMPTPRVMSDTVILPNGDVILVNGAKRGCSGWGYGKDPAFAPILYKPRVKRGTRFKELAASAIPRMYHSIAIALPDGKVLVGGSNTNDGYRYNVEFPTELRVEKFSPPYLDPALANMRPKIVNNGTPKQIKYGKVFKVKVELNQKDVTKENLKAHMLAPSFTTHSISMNMRMLLLGVASVNPSGGNSFEIQAAAPPNGNLAPPGYYLIFAVYKGVPSVGEWIQIV >A01p039050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14930678:14931538:1 gene:A01p039050.1_BraROA transcript:A01p039050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVWVLDHEDLFMSLPLHTTKINFHPDCREFGITVTLKPHQVEGVSSLIYKYLLSVNVLLGYVVPPLFFRNAIFVIVSMLSLIYRLSRWVVLCPLSVTDGWVEETKGSLQNMKFLGMLVIKIAIGIFESLLLFNVLFTTYAIALMDQDFLSQIRWQYAVIDEAQILKNPNSVVSLGIFIEF >A08p004900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2861974:2867605:-1 gene:A08p004900.1_BraROA transcript:A08p004900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHDEEETHHHQRPPYIPKETAVQALNTIIQLHFEKTLEKKRAIDNQKKKLHNLFTLFFIFLAVVFASLSQPSSRLQCRHCWAPICFLSFSHLIFYISVAQTLRCINGFKYQRRCHKLILLTLGLATEKLRFVKTVAATAVGEEEISAAAAAGDLEVPYQELSESYLGKFKRNWALYFGFLILLYAFL >A06g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21741373:21741829:-1 gene:A06g507790.1_BraROA transcript:A06g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDELEHLEIQVDTPIRQIRSTKENLEQYQILDLFSHCQARSMFDQLFDLKTKLGESDAALNRSLWGDSFSAEHHQQQQ >A02g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23420279:23422681:1 gene:A02g508690.1_BraROA transcript:A02g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEQGLSLSVDHYEALLRLQLVKDTDKHRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTATPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEMIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLPRWPYLYFRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMRAFVLVLDAFKDQKSDRIAFV >A01g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:509560:510509:1 gene:A01g500150.1_BraROA transcript:A01g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKCCIFESNCVFKLRVQNGHSTRFWSDNWSTYGSLRSYLNLRGDSAMEISSVATLASLYRENYWRLPPARSEALVNVHALLTATTLNNAEDYYEWEIDGRKSSKYSTGIVYGKLCDGGIPVPWLYSVWNKSGIPRHSFLAWLFVLNRCPTKDRILGWGLQTSPTCLLCNHAVESRNHLFFDCNFSWNLWRSAARRCGLQPERDWDRVMAQLQSTNRRSPTGILLRICWQACIYWAWTERNGRLHRQLFRSIDAISRLLERQVTDRISSLRESNPGATSRLMQQWMA >A01p011340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5519738:5527372:1 gene:A01p011340.1_BraROA transcript:A01p011340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSNIEEVQQECYDEWMSLQAKRMTDLKRALANGEKNDGALRELIQSVIEDFKDYARKRSEHSRRYSSNYFAPTWNTCLENALLWMGGCRPSSFIRLVYAMCGSQTELRLTNFFRDNIGGLSMALGETPGRVDGAGESMSDLTAEQLFKINELHMKTVQEENMLTKQSATLQEDTADMPIAVAAFHKERIGEADVEVERALDKYEDEIARMLAEADKLRLTTLTKIVEILTAVQAADFLLAGKKLHLSMHEWGRQRERRLLEACGDDSGGEFSGGEMASNTISSCGIEKIRKECYYEWMSLQPNKHIVDLIEAIMFQRSNADDHHTRKLVGKTINDLVT >A05p033180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:188539:190124:-1 gene:A05p033180.1_BraROA transcript:A05p033180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQKNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNKTEHNPTER >A07g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5816253:5816598:1 gene:A07g502850.1_BraROA transcript:A07g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRNKEFQSQVKNSSGYFGNLDWLWFLSLLNPKCRVWCLDIDRWYLCTSIDINLHLSRYFLISIVSIDAHRSIILPLVDL >A01p053280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30207609:30208686:1 gene:A01p053280.1_BraROA transcript:A01p053280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEKEILAAKLEEQKIDAGGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNIMFVISKPDVFKSPASDTYVIFGEAKIEDLSSQMQSQAAEQFKAPDLSNVIKGESSSSAAAVVQDDDEDVDEDGVEPKDIELVMTQAGVTRPKAVKALKSADGDIVTAIMELTT >A08p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20039251:20041192:1 gene:A08p033310.1_BraROA transcript:A08p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRFAGDMTHLISILILLLKIYATKSCAGISLKTQELYALVFLTRYMDLITDYVSLYNTVMKIVFIASSVAIVWCMRRHPLVRRSYDKDLDTFRHQYVVLACFVLGLILNEKFTFQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVLFLGAYRGLYIINWIYRYFTEDHFTRWIACVSGLVQTALYADFFYYYYLSWKTNTKLKLPA >A09p072280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55495446:55496276:1 gene:A09p072280.1_BraROA transcript:A09p072280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQREEERKMIVCVSVVGHQNNPLYIQSFTDADDALKLHHVVHCSLDVIEERVNNPKTTLNEAFLGLLYPTLNYKVYGYLTNTKVKFILVTTDLDVRDTDVRNFFRKFHAAYVDAVSNPFHVPGKKITSRTFAQTVSNIVGSYGLN >A02p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15392460:15392983:1 gene:A02p030240.1_BraROA transcript:A02p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLGRLTEDFSEAFLKSLLMHFMLEDFPRSLPESSILKDFWNNSWKTLGRLSKDSRETLGRLSKDSRKTHERLSEDFLEKFLMYFMLEDFVGSLQKVFQILLPKGVQIYLC >A08g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11305459:11309463:1 gene:A08g506540.1_BraROA transcript:A08g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLREFAIVTGLPCGKFPMKSKMKLKETISEKPYWPSLFGKAEVATVASVIKLLTRRTVADRVVRIKYACLAILSSVLLPTNMKMKICREHAEAIEDLDEFFSYPWGRLAFDMLMGKRDEVALSQNTIAVKGFALALQLVMVEAVPSLTEVVQETCSDSEGDSDEEYDGMSEKPKRKTLSPGHARNVDKQTDVFVRSIIDEDPLRPIDESNLVWSDEEDDEKVNNMVYLINTNFQFTKSMFVGGLSKLDVDRMRETDNLTSKAKKSKKLPVLNTSNDPGYIASLVIEKMKPEFQTMDGNIMQACRRVDSIEGSLVGLVHSVFGKLKEEMLESVRHLVIALTKEEGAAPATIEENLTNTAVRQNGIVPDSNSSPVREANDQTIHNILGNLSSYSTPPNSPRLCQGENPTPKYNEGGLYFEAGGDNVNDSFALSAHSQNHQRAVEINQPLEEENRVQGPAMDMPSFSLGLTQEEALNGNHGITFKESVRHQPESIVKAVDNIEVRHQYRKSKRQKCVPHALLADYECGPEIGSRVKKSQNFIFSSHERNQIDRKYERLLQRVNRHFCAISVYKVSGVSVLGKDILLIAERSKFLTSKVVDILIRLVQYTVQQQFTAHTQHRDVFLDNTYASTITKTYPKFRKSRKKNAYIFPRGVVKIFTTREDSFLQPTRYYFPLNVGKKHWVGICVDHNRGKITVLDSNTSLFTDAIMEKHLQPHLVMLSYLLRLSMQVSGTDEPKRFAVERPKDLAQTQNPADTGLMAVLFMSTHAVYGLEACKNINTDVLVEAGRSAAVMAFECEDMF >A07g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23937832:23938510:1 gene:A07g508680.1_BraROA transcript:A07g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PDGLRKCVNLYFDVGTVVMVICDVSYNVAHTLPVIKFREERKAQALLKESQENGSLTGLEENTLADRDEYQVSWLRDSSYWFPVLLMAAVFNVFDLVGKSLTAVFMIVDEKTAVGGCITRLFYSLFWGCLHGPMFLRTEIHVAILTCLLGLTNGNGHLKSVLMILAPKSVPRKHSETAGTVSVLFLVTGVASGSVLSWFWVI >A05p037350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21211202:21215014:-1 gene:A05p037350.1_BraROA transcript:A05p037350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G20970) UniProtKB/Swiss-Prot;Acc:Q9LIG6] MEAFIASASFFLPFSNSPSLTLNNPSSSFSPNIKFGTFRKNYISFSSHLVYSSSAVSAPSSSSSTTLSQNTYWMVVLDKPPQGVSSKSDIVDYYVEILAKVLGNSEKDAQMSIYDASFDTHFGFCCHIDEEASRQLARLPGVLSVRPEAGYSSGNKNYGIGNQTGVSLFDHGTVKHWMVRIDKPGVGIVTKAQMVDHCVQLLSKVLWNEKDAQMCLYHVSWKSKFGFCCDLDETCAEELAGVPGVLAVVPDNKFESLNKDYEGDNSTQEDSRESSESPPVKTKKLFITGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFIEYTTEEAASVALKEMNGKDKYNSLSDKGSVFLIRSGLQLGGEEAMKGIARIVNSLSRLGGREIISRGSSKLASSSSSLHRSLFISAATQFPTNSRELTSSSRSSSLLPQKWAFLGAPQIKQSFLRVTLPHGAGGQRRTMFIQTQSTPNPSSLMFYPGKPVMEVGSADFPNVRSALGSPLAKSIYSIDGVVRVFFGSDFVTVTKSDDVSWDILKPEIFAAVMDFYSSGQPLFLDSQAAAAKDTAINDDDSETVAMIKELLETRIRPAVQDDGGDIEYCGFDPESGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGEEEEGTLSGEVRE >A05p053460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30877172:30877679:1 gene:A05p053460.1_BraROA transcript:A05p053460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSMGDIEKIKKKLNVLIVDDDPLNLIIHEKIIKAIGGISQTANNGEEAVIIHRDGGSSFDLILMDKEMPERDGVSTTKKLREMEVKSMIVGVTSLADNEEERRAFMEAGLNHCLAKPLTKDKIIPLIN >A07p037910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20235098:20238930:1 gene:A07p037910.1_BraROA transcript:A07p037910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenolic glucoside malonyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G29670) UniProtKB/Swiss-Prot;Acc:Q9LRQ8] MTIVVVEVARVIPAAGSVLNSPNSLKIPLTFFDLPWLRFNPVKRVFFFSPAESTRENFHSFILPKLKLSLSLVLASYLPLSGRITWDPNDPAPSIVVSPNDAVSLTVAESEADFSFLSGYGQRPVTELHTLVPELTFSDDSASVYSLQITLFPNHGFSIGFAAPHAVFDGKTATMFIKAWAHLCKQHLEDNSLPENLTPSLDRSWLNGLTELNEKMVEVVTTMKGRSLCPIPAKELEDDVVLSTLVLSRDDVERLRERVKSQYQKLHLSTFVIAYAYVWTCLVKARGGDMERYVTFLFMGDFRERLDPPLPATYFGNCVFPAGSYKRKAAEFGEERGFLTAVEILCELVKGLSSRKIETIVEEFVAIFSCKGESSQFGTVAGSTRLGVYESDFGWGRPVKSDLISIEGEKISMGERRDGSGGVEVGVCLKKSEMDKIRPLWKYYFQNTQGLIFVVDSNDRDRIGEARDELHRMLNEDELRDAVLLVFANKQDLPIAMNAAEITDKLGLHTLRQRHWRNEEKTALHRDASSCCVCVCWGNIWMQEKIVDIII >A07p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1088971:1089395:-1 gene:A07p007320.1_BraROA transcript:A07p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHYDSGSLDENQSFLVLGFVSISICVGVLLYCIIRLIYNYSYNTQFPVPNVSTRDIELGGKFHTCAWESRVKYAIYVGMDSIYIVYVGGMQTPVQIVVEILFSIL >A01g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20513459:20517253:-1 gene:A01g507040.1_BraROA transcript:A01g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGGMDDIHHALKKKTLTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTIPLTQPQTKAIPHEVPDYSVTNAGRPKERVLTIPHSPGGIVITERGDPTRVTRRQTGPSDRAKNKRPVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVDDGLHWGKFDEALHEMLNNTNTPVFLEGMLHLYSTIGREQVYNHVQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A08p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1830860:1832493:1 gene:A08p003270.1_BraROA transcript:A08p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTGRDSIGIASTTAAEEVMANIEAEAVDFEPEEDDLMDEDVGASDLSPRAGHPRLRSAIAGANDESANRKTKGRGFREEKDSDRQRRLSSRDFESLGSDGGPGPQRSIEGWIVLVTGVHEEAQEDDISNAFGDFGEIKSLHLNLDRRTGFVKGYALIEYEKSEEAQSAIKAMNGAELLTKNVSVDWAFSNGPNNAGSYRRRNMRSGRSQRSRSPRRRF >SC182g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:23153:24225:1 gene:SC182g500020.1_BraROA transcript:SC182g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIYFPHLANNRQGTSSLTPSTCEYICAPPSIDMERITSIDYKRVTSIDMERITSIDKEPKLTFNTNLTSLFVLGLGIHGIGFFRQVWKSSKRDLEAAIFKARFRKELSDIGQKEVNRTWWQPPLSFNSWKPVQSWSLILQWKQTLTQERNFEREKLGTNFYLQLQILV >A01p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7558479:7565267:-1 gene:A01p015430.1_BraROA transcript:A01p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLVVFWIVLLTSVLVSADICFERSGFFTPNGPYDLNRRLMLSYLPSNVTANNGFYTTSTGQDPNRVYGLGMCAPGSNTRSCSDCIISSSARLLRNCTNQMEGIDWRMDRTLCLVRYSNRSFYGSLGMEILRSENYTRIFQDDMMTDLDSEWEALMIGLIDQASTLYYAAGTQKLESSISHVYGAVQCSRDLSLENCTYCLQQDVIEYRSCCHGRQGGIISRPSCFIRWEVYPFLALFDNMPPLDKDGKKISKGTIVAIVVAPVVVIALGFALWKRRKAYKAFTTVDDITTSGSLQFEFKTIEAATSNFHSNNKLGHGGFGEVYKGTLPNGAQVAVKRLSKKSGQGEEEFKNEVFLVAKLQHKNLVRLLGFSVKGEEKILVYEFLPNKSLDHFLFAMIMAVWRLWKDDSLLEMIDPAMEDSYDRCEVIRCIHIGLLCVQENPTDRPTMSTVFRMLTNISITLHIPQPPGFVFRVRSKSNPLDERLQSGPSTSISITCVSPLFDSENQTQETRQDKTRMDDLLFVVFWIVPLCSVLVSADICFERSGFFTPNGTYDLNRRLMLASLPSLVTANDGFYTTSTGQDPNRAYGLGMCVPGTDARSCSDCIISSSARLLRNCTNQMEGIDWRMDRTLCLVRYSNRSFYGSLGIEILRSENYTRDVQANMTDLEITWEALMIGLIDQASSLSYAAGIRKLESSISHVYSVVQCSKDVSLENCTHCLQENVIEYRSCCRGRQGGIISRSSCFIRWEVYPFLDLFDNIAPEKDGKKISTGTIVAIVVVPVVLLALGYALWERREAFKAFTTDAGDDITTSGSLQFEFKAIEAATSNFHNTNKLGHGGFGEVYKGTLPNGTQVAVKRLCKMSGQGEEEFKNEVFLVAKLQHKNLVRLLGFSVKGEEKILVWKLWNNDSLLDMIDPAMEENYDRCEVIRCIHIGLLCVQENHVDRPTMSTIYRMLTNASITLHMPQPPGFVFTVRSKSNPLEERSQCGPSTSISITCVSPR >A09g517900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53869255:53869876:1 gene:A09g517900.1_BraROA transcript:A09g517900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFGNGRVNLKPRTRENNDSDSSSSPIQSILMRKSSISANPYISKAFTETLQRHGITNVLLSDLKTGCCKETVVTRLLRFWEARTVKKGGGAYGCGHGGFYISSLFSDVRYWFVNFRVYMKILVAHSFVLMMIVMR >A02g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1265279:1266035:1 gene:A02g500370.1_BraROA transcript:A02g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDALLPKATMTKIIKEMLPADVRVARDAQDLLIECCVEFINLISSESNEVCNKEDKRTIAPEHVLKALQQDSQRSVKMNTGAEMTEEEAAAEQQRMFAEARARMNGGGVSVPQPEQQLDTQQSNLQN >A03p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7933971:7936815:1 gene:A03p019460.1_BraROA transcript:A03p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTTQAPMMMMSAGVEQLRRKKRRSVESPPSIMSLPYDMVLNSLARVSRSDLGALSLVSKSIRSLVASAEMYETRSRMGLREECIYVCLGTTFGRKPLEWFILRRKGGQGNRLIPIPMPSFPSRRRATYVSMGWGIYVIGGSIEAVTTSRVSFLDCRTHTWSQLPSMRFARCDAKAGVLDGKIYVTGGCDDAFSRDNPEVFDPKTQTWSSVCIPAPVMNNEVCKVVGPDNIISFKFWSEEGLWNDFFLNARGDDDDCCGGAIIDRLLFSCGLDGIIKWCDPWSGVRKGSMEWYKVNGLEDLQGFLKFDRTNINTILFYGEGADVWNCHMLKRGYTDGLLGLIPGFKISKSAGNIVPFWSVLVGDKLQIWCAEISLERRRQDGQIWGNIQCIDHVFTMCWMLFMVNRTTENRAVRKVKTELRIQDVSADYWLFISVLTVDPGLYVLTSINTRDFPGLRPGYATVGNNRLSFAIHFFKAIDDATPSTIFLMFTEARQELEEAEDVESFPSLAGYVSGIVFSLSLHQQRQSVSSRDLLEEL >A02p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17764702:17766278:1 gene:A02p033370.1_BraROA transcript:A02p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESQIQDHQRASFVLEGGGMKYVLVTGGVVSGLGKGVTASSIGVVLEACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIYQSVLDKERKGDYLGKTVQVVPHITDAIKDWIESVSLIPVDGKEGQADVCVIELGGTVGDIESMPFIEALRQLSFSVGQENFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQVSLTKNKISSTTGSYKGKTVSVLSCAGTIWLLIFSISMMFQTFGMFLSFSE >A03p058000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25127111:25130541:1 gene:A03p058000.1_BraROA transcript:A03p058000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENNADSSLYQVLVEWCQRMETSQARLREDVDDLLNQEERRIGIEAAPEADDDVEEAEEAWDSPSATWERAVSGFYFADSAYRTLMDSMGHAIHVTSAGSGEITFWSRSAENLYHWYAEEVVGYRTIDVLVTEEYRNCLTSIRSRVCRGETWTGQFPFQKKTGELFMALVTKSPIYEDGELVGVVTVSSDATLFNRMHPLSSEHHQQAFGTRPESNLRKHQWHLPRPQIAEVPQNFPAVPQITSAVSNLASKLLPQRNGDDSFNANQSSRSRDENVPVVPSTTFEKYGSMADKFLGKLQRKITGKEDTESILGSGINKMAGGSGSVSKPSNAVSCTTFRDNGNGKLKKAETRFSDVYGSGARGLSEERHIVPPTRDRFQLIGNLSQKKPPKGPECGLVSGRGTRMSDLNGEIEDAWTARPSADPFPGLEVSLGRQQSPSSQINNGFVTDSSCEIRWEDLQLGEEVGRGSFAAVHRGVWNGSDVAIKVYFEGDHNAMTWTECKKEINIMKKLRHPNVLLFMGAVCSEEKSAIVMEYLPRGSLFKILHSSNQPLDKKRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDRNWNVKVGDFGLSKWKNATFLSTRSGKGTPQWMAPEVLRSEPSNEKCDVFSFGVILWELMTTLIPWDRLNSIQVVGVVGFMDRRLDLPEGLNPRIASIIQDCWQTDPAKRPSFEEIISRMMGLFRKAGSSAQEEED >A03g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29989599:29992736:1 gene:A03g509110.1_BraROA transcript:A03g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAWPTRKDKCQVSADKYGSFEDNYEDREKWKISILCYDGLRAEDNLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYQEDLLYEDLMKIVSEDFSVKEEEISLSYGILLHMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKISTDPASCNTQASDTFASTVPLNANPVILSTVQREKQSLLYEGVSTVPLNALPDFSTDPASCNTQASDTFASTVPLNANPMILSTVQREKQSLLYEGVSTVPLNALPDFSPVHIGLSPTTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A02p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7369925:7370369:-1 gene:A02p016440.1_BraROA transcript:A02p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDRLVANQSFRETSEEIHKIFIIDIHFGNHYCHDYNTIMKGEEESSEAKLDSIDHEFCFNEKVNASFSPRVINYVKEIYSEYHFQFLRRCGWETRPQFSAPFTMLQLFERRQFC >A05p030120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15247512:15250370:1 gene:A05p030120.1_BraROA transcript:A05p030120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDPDPGSKPSREKEQSNTEKAKKAMISLNEEEEELKDDVEIDRPEGNNVDRATTINIDRLTGNTVDRHSTPAEPIDLDHARLDLDHEVSQNDRDFSLLARLARTACTDDRADDLSTLFDPIMDFSFGNFSKARILKLSESLGFVGTQLVRSECPAASAERATALADRPTYVTVLTALDLAGSDAS >A08p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17862222:17865895:-1 gene:A08p028670.1_BraROA transcript:A08p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVVVHIYDATNSGSEEIDNRFHKDGIGPIVVGGGGGGVFHSAIQVYGDDEWSFGYYCEQASRVFSCPSTKNPMYTYREKIILGKTDCSIFTVDQILRDLTREWSEYTYDLFSKNCNHFCDVLCDRLGVPKLPGWVNRFAHAGDTALGVAVDTTMPVKEAKAELVSTSKVASRLLSDVSSDVTNSSSGPPQRPGTSNKADNGKMKMQGSWKMVAKRSRSSTKRAKKQVARSQDIEAEYKSATSTSAEGDSGSEKLGVSVLGQHFAERVEHVPLKKRRFMVPSPSPLNRSFARDEDSQLRAQIKHSLPVSRLNPNLMGGKTSKVSDDKPDCGGHDFFGIKILAEVACSSGMSSEITSAVDRQLVEHVREQDALTFSPNDSSTGTVDVSGKDTTIVSSDKGGEGKSKIVVPQNALVDTLGNASAADQSESSADRPRENLEAGDSRNLAPQSEPATVSENVSGDDRTGKSKNSECLTDDRLHWDLNLPTDAWGQPCDVVDETSRRYSDGEVTESITESRHVEGSKDYSTGLIASDVCMNSQLLSGPSAEESVRNGKECQSGYDSQFEDGELREPYPWEENEGDSEDVEQVDYGSEPENERLYSLAESNENKLEDIKKEILAETKCGAVKCKSSDVHEGNNDVEKHVVVCMNNSHSKGSSPSRSFRSKQFRESPSHEPIRRRRPDSYEELSERDVGPNKFVGRERTEMRMQNRSPRRRQFSGWDSRRRFSPPIYKDGEYRFRRQAVVEDRVMLSGFDQPGPSPGSHGYVRRHFSNEGYQGRFRRFPDGNGNRDFRDANRSFPPGEANDYPSRMHINRMNGRRERRNSPPVFRRLHDPQSRSRSRSRSPVSWNGRNRSPQGFRGEENRMERVRFPFQKRFPLNQETGFMLQQRNQRNSRCFDGRNNDGGWENHHHNNLRGRTGRMFRSEQRFDNNMRRVNSENNSNFRPYVRHNNNNRRFGDGDGGGSRGEGFKYEGAEEKNESMYEMVHRAQVMEEDGGRLRLDGEQLDTLVSNDNKKKNEASLTNRI >A03g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21990287:21991031:1 gene:A03g506160.1_BraROA transcript:A03g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSRRMQSGSYAVYRQGLAEVLHTYNKVERKTSMNPYPKIILFGRDYSNQMSPQNLNLSNPVNAGKYFSNDFNNSKMQSSPPNPWFNLPSWGRWFIGSVISVVLYFWNNNRMQQLKRIKGSKNLLLLGDVPPPGPPWRKTTGCKQREAKKKKVRDFGLFLYTESGIKRRYVAIWVS >A05p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29387958:29391733:1 gene:A05p050490.1_BraROA transcript:A05p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFSVSFIFFGLISLQLPPLSTQEATETCSSTLPLNDLTFDSTLLQCVQAWTPQNYILRYAKTVENTWSFILSAPDANAYIGIGFSTNGQMTGASAIVGWIPTDGKGQAKQYLLGGKSPGEVKPDQGDLKIVNGSLKIESVSSRLYMSFQLTAELPRQSLLYAMGPAGFIPSSPGFTLREHRDMTTTTINYNTGSQSVVKGSPHSKLRKTHGLMNMFGWGILIIIGALVARHMKQWKPTWFYAHIALQTTGFLLGLTGIICGLVLENRTEANNVSKHKGLGITIFVMGILQVLALLARPDKDSKYRKYWNWYHHNVGRVMIILAISNIFYGIHLADAGSSWNGGYGFVVAVLALAAIGLECDVLNIYLTNYLNGETIEREGRKKKKMLRTRLLWFTLGFSVTGASVAHLVWRDLYAERFAISSDMKEKFGALESRVSGLESAGHENPSPAQHKSISHQQRNLLSI >A10p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19338322:19340776:-1 gene:A10p032910.1_BraROA transcript:A10p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVKSFSLIPISFCFLFLFRDEFAVPARHLCHPQQREAILELKNEFQIQKPCSGWTVSWANNSDCCSWDGIRCDATFGDVIELNLGGNCIHGELNSKNTILKLQSLPFLQALDLSDNYFSGNIPSSLGNLSKLTFLDLSKNAFNGEIPSSLGNLSNLTILNLSQNKLIGKIPSSFGRLKHLTGLYAADNELSGNFPVTTLLNLTKLLSLSLYDNQFTGMLPPNISSLSNLEAFYIGGNAFTGTLPSSLFSIPSLLYVTLEGNQLNGTLDFGNVSSSSKLMQLLLGNNNFVGSIPRSISKLVNLDTLDLSHLNTQGLALDLSILWNLKSLVELDISDLNTTTAIDLNDILSRFKWLDTLNLTGNHVTYEKRSSVSDHPSLSYLYLSGCGITTKFLEPLRTQQNMFRLDISNNKIKGQVPGWLWELSTLQYLDLSNNTFTSFESPNKLRQPSSLEYFSGANNNFTGRIPSFICELRSLTVLDLSNNKFNGSFPRCIGKFSSFLEALNLRQNRLSGRLPKKIISRGLKSLDIGHNNFVGKLPRSLIVSSFLEVLNVESNRFNDTFPSWLSSLPELQVLVLRSNAFHGPVHQTRFSKLRIIDISHNRFNGTLPSDFFVNWTAMHSIGKDEDQSYGQYMSIYYYFDSMVLMNKGVEMELVRILTIYTALDFSENEFEGVIPSSIGLLKELLVLNLSGNAFTGHIPSSMGNLSSLESLDLSRNKLTGAIPQELVLHLDSRVVYQPFWPNRTQKNKPHTSLKSFLKPKGKISKKKINQPN >A09g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8256474:8257079:1 gene:A09g502460.1_BraROA transcript:A09g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYIWKNNKLFRGIDKDPLETVRHVESEYHTWFEANIRQEQEIARFFHMDMYYIDCSIPVLFSRPPQV >A01p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19714307:19716310:1 gene:A01p026190.1_BraROA transcript:A01p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSSGKDHSTDIASEKRLELILEAGETGILRDEEGRPRNSAWQLINAHGVVIPNVIVVAQTNDFDMGREWYARVGEDPFQGLPHEDPRNHIEKLEDLVSRSEQNKVFEYHMLCKIFPYSISRDAFSWFTTREREKYYKWDKLVESWQIKREYHIPRHLFDYVIAKGNEKYGSVELSRVDKADISYPTSASIDITTSTSIDTNFCCRSTPLESSGYCRLDTKPKDFLKVLDGAHHKDLGQNSEKKLDDDLHTSGRDMETSPKDSIDRHRQPDIDRPRPPDIDRHPSDDIDRLPLLHELPGYTLEEEPVEERIHESETSHLTFHEHLRPHICAKEAEKFHKRVKRIHDSVKIMVPCTMVEVEFSIRPIRSVHLGFYNGLFADDMYALASQRELRCKGEVDKDPAKASSIHTNQIQSIDIGRISEQKKFEVCQNLFDGGTITRSDKSGGKKRKNWKTRKRIKGDPRLSLIPHFSDGIRKYRVRYQMLLTAITKLRAQLIAEMIDKGEEYMEEAFTKE >A02g512030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32541093:32544287:1 gene:A02g512030.1_BraROA transcript:A02g512030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSRTTQALFYNYKQLPVQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHAAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIKVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTVARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALMDAGAIVPTSFEALESAIKETFEKLVGEALLLQAGIVEEGKVSSIKEVTPPQIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDASDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQKFARSNFPSVKYMEYAVTVESYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >A07p041050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22171705:22172187:-1 gene:A07p041050.1_BraROA transcript:A07p041050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICIKKYQQKESKSWSYQGAFNNTLISSQNQSSLCVVWWLTNTHTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLK >A09p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12505497:12508109:-1 gene:A09p022700.1_BraROA transcript:A09p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFLLLLFFCLYHLFVSVSCGSFKEFVYPNFTASNLRFIDSSKGAFLFSPNSIFKAGLFSPGDDSSSDFYFSIIHVDSDSTIWSSNRDSPVSRAGKMNLSPQGISVIEDGVNQTPVWSTPVLASPVHSLRLTDSGNLLLLDGLNASLWESFRFPTDTIVLGQRLPVGMFLSGSVSRYNLSQGDYKFVVVETGGFMKWRGQNYWKLRMHTQANVDSNFPVEFLTVTTSGLALMGRNGTTAVIRVPLPPSSDFRVAKMDPSGQFIVSRFSGKSMVTEISGPMDPCQVPLVCGKLRVCHLDNDSENESCSCVDGMRLDAGKNTCVPVNQSLSLPVTCEGSNVSYFELGHGLSYFSTRFTDPVEHDIELSTCHDLCSKNCSCLGVFYENTSRSCYLVKDSFGSLSLVTNSKDNHDLIGYVKLSVKKMNAPHQPPGGKTSFPLIALVLLPCSGFFLVIALGFLWWRRCAVMRYSSIREKQATRLGSFGSGDLGSFRIPGLPRKFEFEELEQATENFKLQIGSGGFGAVYKGTLPDETLIAVKKITNHGLNGRQEFCTEIAVIGNIRHANLVKLRGFCARAEENHQNNNSSTTTTTSSGLVYFPLYALDMHEQGSYMELADPRLEGRVTSQEVAKLVRIALCCVHEEPALRPTMAAVVGMFEGTIPVGNPRMESLNFLRFYGLRFAESSIVEGGNGESDNMGFHRRESSNSGGSRQSASYVASQEVSGPR >A09p060970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50387374:50389642:1 gene:A09p060970.1_BraROA transcript:A09p060970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHIP1 [Source:Projected from Arabidopsis thaliana (AT3G55340) UniProtKB/TrEMBL;Acc:A0A384KSV0] MVLSNKKLKQKLRQDLVKSLSVSVAETNPESVSSLQSQSLKSLLDSASHKPRLTKREIRRKVVTSTRGDEPNEVEGDAEKTDEKNTKKRKREDTVKVELAKGEEEGVKEGEESQKKKTKKKKKQKKKKRKTNKTPKKADEDKVEEKVKTEEIQVETESKEEEDGIVPKKIYVGGIPYQSTEDEIRSYFRSCGVITKVDCKMRPEDGAFTGIAFITFETEDGANRALAFDRAAMGDRYLTIQQYVKTTPSVPRTRTSSGFVPEMVEGYHRVYIGNLAWDTTEREIRKLFSDCVINTVRLGKNKETGDFKGYAHVDFKDSVSVAMALKLDQQVMCGRPVKICCALKERPVEDHSTNPTPGETNMEETDAAADEVNYFENTMSSGKIKRRSCYECGEKGHLSTACPKKLQETVNARPQAMPSYDLQRSYGGSYMDETYTATNEAYSEGLASEVSTGKVKRRTCYECGEKGHLSTACPKKLQDAHKETVNARPAMFSYDYHEKNNGGGGGSYMNETYAAANEAPSGSLPSEVSVGKIKRRSCYECGEKGHLSTACPSKLQNSGHSNSKADHQTVEARPVQVTSYNSQKESGDTDNKGGSYMDVTHAADPISVAATNGTNEGGSASKVSAGKMKRRICHECGLKGHLSSACPKKQQK >A02p010510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4522916:4528142:-1 gene:A02p010510.1_BraROA transcript:A02p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVARTVFPVCESLCCFCPALRARSRHPVKRYKQLLADIFPRSPDEQPNDRKISKLCEYAAKNPLRIPKITTYLEQRCYKELRLEQFHSVKTVMCIYKKLLVACNEQMSLFASSYLGLIHILLDQSRHDEMRVLGCEAIYDFVTSQTEGTYMFNLDGLIPKICPLAHELGEEERTIHLCSAGLQALSSLVWFMGEFSHISVEFDNVVSVVLENYGGVVQSSTGAVQQQDSNTASELSPAEAETRIASWTRIVDDRGKAIVSVEDSKNPKFWSRVCLHNLAKLAKEATTVRRVLESLFRYFDFNEVWSTDNGLALYVLQDVQLLIERSGQNTHFLLSILIKHLDHKNVLKKPKMQLDIVYVATALAQQTKVQPSVAIIGALSDMIRHLRKSIHCSLDDSNLGNEMIQYNLKFETAVEQCLVQLSQKVGDAGPILDIMAVMLESMSNITAFPDALFHQLLQAMVCADHESRMGAHRIFSVVLVPSSVCPNSVPKSRRPADMQRTLSRTVSVFSSSAALFRKLKMESDKSADGGAKIERVSTLSRSQSRFASRGESFDEEEPKNNTSSVLSRLKSSYSRSQSVKRNPSSMVSDQDPLGGSEEKPVIPLRLSSHQICLLLSSIWVQSLSPHNMPQNYEAIANTYSLVLLFGRTKNSSNEVLVWSFQLAFSLRNLSLGGPLQPSRRRSLFTLATSMIIFAARAFNIPPLVNNAKTALQEKTVDPFLQLVEDSKLDAVFYGQEEQPAKGYGSKEDDDDALISLVAIEETTQNQPREHYAAMIMKFLGKLSDQDSSSIREQLVSDFIPIDGCPVGTQLTESPVHVHRSEDKNNKPREMDETQSLIPEIDAAPTPPEDQLALDTQPNAKTAFLLSIDELLSAVSQTTAQLGRYSVSDPPDMTYTEMAGHCEALLMGKQEKMSFMSAKSNKFSNQTKESTSPALPSGGGGNPFVDQPNSWETMGLGAPAAASNMCVTEYQNHPPFFNPPSSTPFDNFLKPVGSS >A08p042990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24026462:24031157:1 gene:A08p042990.1_BraROA transcript:A08p042990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKWLKVRHAQGIHNVALEEKGGIDEINDAHFSPRLLDAPLSPKGIQQVSKQRKQILESELLNTIELVITSPLRRAMETAVGIFRGQKAVNQSDNFPPIVALELCRERMGLYPCDRRESISTRRICFPDIDFTMVESDEDALWREEERENLEEVSARGLRFLKWLWERPEKEIAVVSHGIFLQQTLLALHEKVSIPLQDSLLTRFANCELRSIRIDESDRDIPAPNIDLHRVHCARNLEKCKICGDMVPKKHAEEHFSNTHAPVPCSMCKETIAREAFDNHKGEICPKRIVTCEFCEFPLPAVDLAEHQEVCGNRTELCYQCNSYVRLRETFSHQTKCPGSVLNNVESSRRMPRAAEGDGNGRRRRDGNGVSNKRLFFTIAITGIAVLIGSLFFQRKPEGS >A05g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13327184:13338439:1 gene:A05g504790.1_BraROA transcript:A05g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLVRRACSCLIFAWQTNCLLFIPDRGCYIRGCPCVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGTSVGVRQHTQDVRGCPSVHISALWSLDSARWPFPWTSGDFGPCGLSVQYTPDVRVCPSAHTRRPCVSVSTHKTSVCVRQHTQDVSGCPSAHTERLWLSISTHISTLTVRGLPISTYISTLTTHISMLVLPMDCSCTDFGQLMHHVSTQISTLALSVNCSCTDLVISSSFDGLDCPRKEATGASGAVGRDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNDTNVDEQQEQVHGDDTGSSNVGAGSGQNVDSNNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMETRVKELGAGGLAHSAGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGQFDQMGLRLGTGSGQAPRVKKRGETAKGRLWDGYGTVLGRRDGILRIDLRQKDKEKEKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENGLIIVAYCATCELMRFYCVIYLL >A03g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1376039:1378929:1 gene:A03g500460.1_BraROA transcript:A03g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIAYELVGQATSNSLLMFCFCNLIIVIILTGSSKPGSMDSQDYNTFTSSVSFNNLASEDHDCCGDDHDHEEMIVIDVQDESLTDPSSIPDEYEDKESCHCCHDDDDEEEDEDDDDDDDESSEADVEEEEEDDELRKRAEEFIAKVNNEWKHEKLRALNLTMMDPDMFAEDPSFNEKFDIDFEFDAPRFYDFSKPELDSETELWFESAGNYPPSPFSLNLSCIFDDKHLKIPKPVSDKYNGFIYYNQTANYLPKSTQKSKNKPFLRKNSTLTRPTASLLARQNKPLDIYSVQLLTRCQRSLGKLEGKISSSVILSMPQTQDTKRQKLESGFLRKISRLEQTPFVHKVPKKLSKVTVPKEPNLKTAQRATRQRFKANSAPEQVARFSSTMTKTVQESFSHKKSTPGSQDFQRFQLRTSLRAKERSSSAKNAPKDDPTHSLMSKSVVSRSSRRVKESHISKTNSQVYESKIRHLESKVSRKFGETTEIKHENNFPRMENHRCFSSLKEFEAPNVTNSQDEHFIESLRK >A07p028880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16278917:16279721:1 gene:A07p028880.1_BraROA transcript:A07p028880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKKTEWQVGDPPISVVGDQFCNPYPMELMVKRKVINFSKDHYQVVDPSGNILLQIDGQAWGFNRRRVMRDPAGFIVLTMRQKGIRLKRKWEVHGGESKDKEDLLFTVQQSSAMSLKTSVDVFLAENSNIKKSSACDFHASGGYSNISFKVFKSDALIAGVGVTHKFTWGSYCKGKYNFRVSVNPEVDYAFIIALLVMVDDIENLC >A07p004620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2753569:2753778:1 gene:A07p004620.1_BraROA transcript:A07p004620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDAGRPLPKFGEWDVNDPATADGYTVIFSKAGEDKKTGRSSTKTASQRKQDGDKPVVKKWLCFTFS >A10p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16187963:16189372:1 gene:A10p025170.1_BraROA transcript:A10p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHKQEARVSATYIQSVKPQRPPSTTMTTTTDGTKGGKTQTHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKHHRASMRHAAKIPPPPPSHPPQKLFSPPPPPPPPDPFSWLNPQLNSLLPNQPLGLNLNFHDFNDFIQTTSSSSSSSSSSSSSSSSSSAITNPHIYSTPSPPLSTFSATAPQQPPKQLMETENNVETSAWWSELMMKTVETEMMKSQTVEDDVFPKFNDVMEFPSWLNPTDEELFHPYNLSTHYSSPRNPPLSCMEIKEIEGMDGDDWLAWLSHKD >A05p052780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30539040:30539632:-1 gene:A05p052780.1_BraROA transcript:A05p052780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLVAFVFQGNYANGGEALTRTFLISGLVIGLDLLLKEEDVNLENVYYSEMKDAGFFDADWE >A06g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10323134:10326274:1 gene:A06g503410.1_BraROA transcript:A06g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTMIDLGIHVGGSMEKINGDYKYVGELFEISVQWELYDISWDKFLRFSREDANIIAPIRFVWYKDIAKEMNTVTYVFEENPDDMFLLMCLAKEAGAIDVFIEYDVSDVRYNEEEEFPESDGEEEVERPLEDEEPEQSEEEDEENPQADENETAEGEVNVAPQAGIVDENVTKEGEEREQAEIGDEVVQDAGDGGEDERFRAVLEEGSMAKLGKEAYQNLEEKETAEREAEEKKARVAKQYCSTLRSSKHVYEVNEFECGYSVNLATHQCACRKWDLTGKSTRHGRIPHCSQCKQAGHIKTSCKNEPVTVEGPKNRRGRPRKHPNEDHPKPPPKPKGRKKTPVSSSQPITSTDNIVADVSSSAPQPSSSTNQVKPHVKKAPTGRPLKIRKTAAIPFGVGTFWSPYTDRPFEVFGDRVYDRSNLNPQDPNIQPAQGESSHPPTD >A08p043670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24276995:24277999:1 gene:A08p043670.1_BraROA transcript:A08p043670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWASIASYLPQRTDNDIKNYWNTHLKKKLNKSESEERIISENIPLQPSAARNTINHRSTYASSTENISRLLEGWMRASPKSSTANFLEQKSQNRTNDLMDHHNDQSPYEQGSWDQGFHVHPKNSEENVKCDHDHVQEDGDGDGDATPPLTFIEKWLLEETNTGGQMEVMSPLMELSNML >A07g504570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9220705:9221508:-1 gene:A07g504570.1_BraROA transcript:A07g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSAFAGKAVKLSPAASEVLGSGRVTMRKTVAKPKGPSGSPWYGAERVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSDGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGNGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKEVKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >A02g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17298659:17299425:1 gene:A02g505960.1_BraROA transcript:A02g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTAVIIVPTRELALQTSQVRKDLGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCVLKDCSVFVMDEADKLLSQEFQPSVEHLISFLPQNRQIL >A09g516910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:49719829:49720104:-1 gene:A09g516910.1_BraROA transcript:A09g516910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQISSSSVLSIFNGVVLNKLYTAAQSTPSPPAIHWFPPLGSNGHQIYLSLLSSLFGNNEDIASDVSVACWLATVTSLTQPKLHLKSQI >A02g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21554969:21556048:1 gene:A02g507800.1_BraROA transcript:A02g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKLSSLDQTLSKLLLAYPDSSWRVSLSKWMQGNFPSLLTQLPLQMDARPYPNSSLLIQTPLDVFHSPNGCK >A03p048160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22061686:22064679:1 gene:A03p048160.1_BraROA transcript:A03p048160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G53570) UniProtKB/Swiss-Prot;Acc:F4HRJ4] MPTWWVRKSSKNKDDSHLLQTQTRSVSDKSIRRISADNSKSTPDPVTPSRCTPRCSREFAGASGFSDEKKCHPLPLPSLSNDQVNGSVSGSGSVSSVSSSGSGEDQSQPTAPRKSNAAAASPKAGARPASPLHNRFSGMTLESSSTGRNDEGRSSEYHPLPLPPGSPTSPAVVLPCSPTSPSAGVQGGSWGVGGSEKEISKWKKGRFIGSGTFGKVYQGFNSEEGRICAIKEVKVISDDKNSKECLKQLNQEINVLSQLCHPNIVQYYGSELSEETLSVYLEFVSGGSIHKLLTEYGAFTEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHVTAYSTMLSFTGSPYWMAPEVVMHKNGYTLAVDVWSVGCTILEMATAKPPWSQFEGVAAIFKIGNSKDMPEIPDHLSNDAKNFIRLCLQRNPTVRPTAAQLLEHPFLRVHSPRVASTSMHKDVPPRPYDGSSSMPTREPPFSGRHPVFHPIKSPSRENVRAITSLPVSPCSSPLRQLGPAYKSCFLSPPHPSYAFPVQESGYNHQAEFAASPFRFKKDTSLIEPSSYRAQAPSSPFRSRLV >SC224g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000079.1:40714:49426:-1 gene:SC224g500020.1_BraROA transcript:SC224g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVSPGDRTPKVRGKDSLILRPWPDPIPMKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDLTGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDPIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCLLTRRIHQRKPFVVQISDAPTLAETIHGADLSSWNPNPSQQDFSIQIQILRLQLPVQNRASSRSHHRQLEFPINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKTK >A04p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6874911:6877162:-1 gene:A04p011150.1_BraROA transcript:A04p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEWRNVRKCIIPRLSLVTIHTLRVFTASVQATARIALFGDREWLQAQDPNLKSPKNTQIAGRPPSPSLGTVTCNTDAAWKKETLDAGLAWIFDTSSSPSDGCKFQTRVSSALMAEVLAFRKALSHTHHIGITNIWLRSDSLSLVKTINSISKPMNLYRALSDIKVLSSCMLSSTRSNKEYLLLFSDPARLERIIHKEKCAASIDNKSDPSTDTRELPPIDTSIRTLIDIHPRDMVATCSDERREWRPT >A09p044890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39599093:39599424:-1 gene:A09p044890.1_BraROA transcript:A09p044890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKTLITFVFTIFFIVSSVHCGTTATADTPGYGEIKQVICYDFSRPCDIRGELGCDDFCIDWGFLRGRCAPRKCCCER >A03g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1029850:1030178:-1 gene:A03g500320.1_BraROA transcript:A03g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLANLFDPFASLNCKQLSGRPSTLDLHFKSTTGIDSDSVPAAAKTKGAEEVDGEALIAVELSAGELIGGTGSVSIEAE >A10p007330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11522006:11526156:1 gene:A10p007330.1_BraROA transcript:A10p007330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 33 [Source:Projected from Arabidopsis thaliana (AT1G47530) UniProtKB/Swiss-Prot;Acc:Q9SX83] MGKDKTLPLLDPREPPELTETKPASKVWAKEFRQESKRLWELAGPAIFTAISQYSLGALTQTFSGRIGELELAAVSVENSVISGLAFGVMLGMGSALETLCGQAYGAGQLRMLGIYMQRSWVILFTTALCLLPVYIWAPPILSFFGEAPHISKAAGKFALWMIPQLFAYSANFPIQKFLQSQGKVLVMAWISGVVLIIHAVFSWLFIIHFKWGLVGAAITLNTSWWLVVIGQLLYILITKSDGAWSGFSWLAFRDLYGFVKLSLASAVMLCLEFWYLMVLVVVTGLLPNPLIPVDAISICMNIEGWTAMISIGFNAAISVRVSNELGAGNAYLAKFAVIVVSITSTLIGVVCMIVVLATKDSFPHLFTSSEAVAAETTRIAVLLAFTVLLNSLQPVLSGVAVGAGWQSLVAYVNLACYYIIGLPAGLVLGFTLNLGVQGIWGGMVAGICLQTLILIGIIYYTNWNKEAEQAESRVQRWGGTARE >A01p058860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33658913:33660247:-1 gene:A01p058860.1_BraROA transcript:A01p058860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLFLCSSLHQMEDNNSPKKDYYKILEVDYDATEEMLKLSYRKLALKWHPDKHNGDTMATSKFQEINEAYNVLMDPDLRFEYDLTGIYEIHKYTLREYLARFKGMILTCNGLGISHSSSPWTQQLAEGNITTDEQGFDLASVLKLKLKLKQSLSNI >A06p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8945435:8947090:-1 gene:A06p018430.1_BraROA transcript:A06p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCLNPRTKDIRVDIDASRRNSRCPTTDSSVLGSDTTGTESISGILVNGKVDSPTTGGGAKSFTFKELASATRNFREVNLLGEGGFGRVYKGRLDSGQVVAIKQLNPDGVQGNREFIVEVLMLSLLHHPNLVTLIGYCTSGDQRLLVYEYMTMGSLEDHLFDLEPNQEPLGWNTRIKIAVGAARGIEYLHCTANPPVIYRDLKSANILLDKDFIPKLSDFGLAKLGPVGDRTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYCFGVVLLELLTGRKAIDLNQTQGEQNLVSWSRPYLKDQKKFGHLVDPSLRGRYPRRCLNYAIAIIAMCLNEEAHCRPFIGDIVVALEYLAAHSQSHEVRNVSFTGHKNATTVLVETQKS >A07p046790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25205896:25207798:-1 gene:A07p046790.1_BraROA transcript:A07p046790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQIGGDGVLPANLAGMTKSQLYGIMSQMKALIDQNHEQAREILIQNPLLTKALFQVPKVELPQAAPQPQHSHQSIPPKPSVQAHTSSLQTGVGLQEPAATVQPQAPSRKHTLPMPPPPQQPRFSHPQRLNPAGTSLSHPQSSQVQTAPPSVPHHPTSQPQSFHHLDTPASSTQLQQQQPMHSVGGPQQQPRPYHHQFGPSQAGPNAGFQHHGAPPQHHSQPMFHSGNRLPASGGPQFPQGQPHLVSQPPYQGVGQFRGDYNNNQLGGPTAADRGPSWMAGQSESSNITHLPGLGPVPPPSQVGPGGGPPPRPAPISAEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQVLRQ >A09p064300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51874178:51876681:1 gene:A09p064300.1_BraROA transcript:A09p064300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTL4 [Source:Projected from Arabidopsis thaliana (AT3G58620) UniProtKB/TrEMBL;Acc:A0A178VKQ3] MSHYRRHSLEPSIDSISSRFRDSLNFQRDDDDVINKPDFRELYYPLKPRVSSSAAATPAASGSSSSSSGSASGKPSVTSQLAKRSHSGELSESGSGAPGSGAKNRTPKPGHRRSASAGTPLIYSGLAFSPVKNRGGGSGATSPSPGVVPSGNICPSGKIPKTGMASRASVKPETLFTGNGNYGHGNIVRGGGGKAKPETRDPEEVKKAGNDMYRKGNFSEALSLYDRAISMSPENPAYRSNRAAALAASGRLKEAVKECLEAVRLDPSYVRAHQRLASLYLRLGEAENARRHLCFSGQCPDKAELQRVQTLEKHLRLCSEARKIGDWKKVITEVDAAIANGADSSPQLVACKAEALLRLHQIKDSDLCLSTIQRLDHHHHHHHHHHTQAKLFGMLCDAYVLCVQAQVDMALGRFENALVKAERAMKIDSNSNEVVSVLNNVTNVAKARTRGNELFTSGRYSEASVAYGEGLKFDAFNSVLYCNRAACWFKLGVWDQSVDDCNQALRIQPGYTKALLRRAASYGKLGRWDDAVRDYEVLRKEFPGDSEVAESLQRALLNKSEEHKYLGYNNEVEEVSNLDKFKTATSLPGISVFYFKSSSNRQSEAISPFINTLCLRYPLVHFFMVDVDESLALAKAESIKKVPTFKIYKDGEKVKEMVCPSHKLLEDNVKHFLL >A09p063640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51609863:51612244:1 gene:A09p063640.1_BraROA transcript:A09p063640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57680) UniProtKB/Swiss-Prot;Acc:F4J3G5] MFGLQNFNMEKIVTPRLDLPLLQFPRSRKPALIPSPSLNRYVRQKQRGFEAEMYTETESHGVKMSNTVGRKLLGLAAAAAVAVSSSICCDSPALAESITIAFPVSRAREVTEVQRTLVEAWGLIRETFVDPTFNHQDWDSRLQQTMVEMFPLRSADAAYGKIKAMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFINSEPRTGHLVVMSCIEGSPADRAGIHEGEELVEINGQKLDGIDSEAAAQKLRGRVGTSVTVKLKSVNGSHIREVKLPRDYIKLSPISSTIIPHTTPDGRLAKTGYVKLTAFSQTAASDMEHAVHEMENQNVQSYILDLRNNPGGLVKAGLDVAQLWLDGDETLVYTIDREGVTSPINMIDGHAVTHDPLVVLVNEGSASASEILAGALHDNGRAVLVGRRTYGKGKIQSVTELDDGSALFVTVAKYLSPSLHEIDQVGITPDVQCTTDKIDSLTGEILMKMNSSVPLLEADSCVMVAEHELETKQSNGAAS >A05p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6644210:6647770:-1 gene:A05p015090.1_BraROA transcript:A05p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGIDSSVAITALIVAILVVPMGCQRPQVVNVGAVFTFDSVIGRAAKVALEAAFSDVNADTSVLKDTELRLLMKDSSCNVFHGSFGAFEVLEKEVVAMIGPLSSSVAHTLSDIAKGLQFPLVSFAATDPTLSALQFPFFLRTTPNDAHQMSALVDLISFHGWKEVISVYSDDELGRNGVSALDDELYKKRSRISYKVPLSVHSDDKSIANALNKSKSLGPRVYILHFGPDPSLRIFSIAQKLQMMTNEYVWLATDWLAVTLDSSVSDNSTLKRLEGVVGLRQHIPESVKMHQFTHKMNSNKSMNAYAFHAYDTVWMIAYGIEKMLNDGVNITFSYSEKLIQAQGAKLHLERVKIFNSGKLLLEKLLQVNFTGIAGQVQFGSGRNVIGCDYDIINVDRTGVHTVGFWSKHGGFSVVAPETRHTRKKSGFAFEEKLGNITWPGGGREKPRGWVIADVANPLKIVIPKRVSFVEFVTEENNSSHRIKGLCIDIFTEALKFVPYSVPYIFESFGDGRSSPNYNQMIQMVADGVYDAAVGDIAIVPTRSKLVDFSQPYASTGLVVVIPANDDNATWIFLRPFTIGLWCVVIASFFCIAIVIWILEHRINEDFRGPPRRQLITMILFSFSTLFKRNQEDTISNLAKLVMIVWLFLLMVLTASYTANLTSILTVQQLPSAITGIDSLRASEVPVGYQSGTFTLEYLTYSLGMARSRLVPLESTEEYERALKLGPTAFGGVAAIVDELPYIELFLAERPGFKIVGEPFMHRGWGFAFKRDSPLAIDMSTAILKLAETRKLQEIRERWLCNTSCAEKSNWNPEPNQLHLKSFKGLYLVCIAITVSAFLVFVLRMIRQFVRYRRMERTSSLPLASWSSSPSMRLRELVFGFVEFVDEKEEAIKRMFRRSDDSSNNPSHVVEVQADSEVRQI >A06p047500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25221019:25222729:-1 gene:A06p047500.1_BraROA transcript:A06p047500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEEAQIVRGTRKRRVGAKNGGKKNKKFKTLPPSSSNRFKPSKKDQKLFQQRRRGYNSDEEEEDESKKAPEVTIREKIFTDANMGPNYDEVEEEEDGEDKDEGSDGEDHGEIQTGITRFGSEDGCNAFRMAFKSIMKKTKGEDELGPVLSAHKNLIAQKLAEEEAEKKAKGQARKAKHLVAEKGHVKPANHLESHEKILIGVATKGVVKLFNAVNKAQHAQKGLNASREKDSKVLKKRRKEAFFSELGKTSRTDSKAQKASNSNEDEAPAWAPLRDNYMLANPKLKDWDKKQETNEGDDFAAMSGDESYED >A03p070400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30944774:30945980:1 gene:A03p070400.1_BraROA transcript:A03p070400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPQTYNSTLSFSLSLALNPIRKDKSSKFLNLLSMDLTGLKWLQQHQQMVSPEFLQILGSDGREELRRVESYLGNNLDELQNFRPGYDTIDGCISRTSSFQMEPVKSNEENRVVALQNKRKPEGKRETREKKKKIKAEDETESSMKGNSDMSNTETSSDVLKQDYIHVRARRGEATDRHSLAERARREKISKKMKCLQDIVPGCNKVTGKAGMLDEIINYVQSLQQQVEFLSMKLSFLNPQLEFHIHELSSMMADQRSFPLHQQGSLDYSVINSNQTTSLNALKMETSLSWDVHSQCLYNNLRTDSVFSFFSLK >A10p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22459448:22461681:-1 gene:A10p040850.1_BraROA transcript:A10p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 7 [Source:Projected from Arabidopsis thaliana (AT5G02010) UniProtKB/Swiss-Prot;Acc:Q9LZN0] MGASPEKLRIDMREAEEKRRESSCCSSSDFPISETIRREEEEEQSPSTTEDFTASPLSSRWSVKNVDDGGDKKIRPKQSRVSEIEMMKERFSKLLLGEDMSGSGNGVCTALAISNAITNLCATLFGQLWRLEPLPKEKKDMWRREMEWLLCVSDHIVEMTPTWQTFPDGTKLEIMTCRPRSDLYVNLPALRKLDNMLLEILDSFEETEFWYVDQGIVAHESAADGSSSFRKSFQRQEDKWWLPVPRVSPGGLQENSRKQLQHKRDCTNQILKAAMAINSITLADMEIPESYLESLPRRGRSCLGDLIYRYISSDQFSPEYLLDCLDLSSEHQAIEIANRVESSIYLWHKRSNSKPATNTKTSWEMVKELMVDGEKLEVMADRAESLLISLKQRFPGLPQTALDMSKIQYNKDIGKSILESYSRVLESLAFNIVARIDDLLFVDDLTRHSSDQIPTTLGNNGSDAIKNNALSATTYTTPSYSPAKAELGGSVTTVPPSPSRFKIPHSSSVKRVLTAYVTKNEPRLKDIQVERSSRSSSSERLSLEKCMKESLNVSNLDPGI >A08g504870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8063428:8063673:-1 gene:A08g504870.1_BraROA transcript:A08g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRMISQPLSLLFVTLFFASLCFGRFVYEFDPVPSSATTPLETDSGGAVIEAVAAVRGIHIPLAPCNGTSLPLGNCVLQ >A10p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1097207:1098560:1 gene:A10p002090.1_BraROA transcript:A10p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSYPTHGFSVQGINDITKKNRAYLVNPRGRRQEIKIPENSFDLKIQHLEPSSCKPLSSLRKSNHFLEFDSTMMKPNVHETGQEEVVEFLLSTTEDELKERGVDRSLLSHLDQEPVKPLLDLMMLNPHLSITPDAQILFSSSRTELNDMVSIATKFHKLKKSARWRKLSRLVPQFSRLDSEVLIETLQPDEVNLDVAPQKTKTTKPSRKKQNPKLRDGENDLYKRNHLHACESLISLMLGSEQHRQTTMLSLKKKSSSCGDLSELLTRLSIAFAGTGIAVFFSVVCSAASGRVPFCANTVFDAGLSLSLVLLSWAVSRLGEAVVGINRKVIKGEETTNRVESRIKDVYFRAATVIIMVALRFH >A01p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20260146:20261104:1 gene:A01p025160.1_BraROA transcript:A01p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early light-induced protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14690) UniProtKB/Swiss-Prot;Acc:Q94K66] MATASFSMLSVFAAPSGGLGSRNIRNTNQVFSNRNPLVGVRCMAQDDPIKEESPLPSTSATPPQTPLYSPPPLSKPKVSTKFGDLLAFSGPAPERINGRLAMVGFVAAIAVELLKGENVFAQISDGGVGWFLGTTALLTLASMIPLFKGIRAESKSKGFMTSDAELWNGRFAMLGLVALAFTEYVKGGTLV >A06p047690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25305430:25307572:1 gene:A06p047690.1_BraROA transcript:A06p047690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF1-1 [Source:Projected from Arabidopsis thaliana (AT5G47880) UniProtKB/TrEMBL;Acc:A0A178UFY7] MEKLKILGYGPLRSVKMGDNHGDDKNIEIWKIKKLIKSLEAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNRVPPNGLVLYTGTIVNDEGKEKKVTIDFEPFKPINNTLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQYYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLAAKILNVVDVSYGGENGFNQAIELSSEILANVKFIQEKRLIGKYFEEISQDTGKYVFGVEDTLNALDSGAVETLIVWENLDINRYVLKNSATGETVIKHLNKEQEANTENFKVDNNDLDVEDKMSLLEWLANEYKRFGCALEFVTNKSQEGSQFCRGFGGIGGILRYQLDMTAFDEDLDVYDDDESE >A04p011180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6849642:6854726:-1 gene:A04p011180.1_BraROA transcript:A04p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQLHCCLPPQHRRLHLRFTPRTCHFLGKISFRESFLTKANASSFTCFAQSETTKQPSLEETSLSENHEPERPPFDINLAVILAGFAFESYATPPENVGKREVNAAGCNTLYLSESFVRQVYDGQLFIKLKRGFDFPALDPWGTSDPYVVMDLDGQVAKSKTKWGQVSCNFHFCFSTWMVMTKEPKWNEDFVLNIKLPPAKKIQSTIMLCDERQIAAWDANLVTPHKRMGNSEVDLDCICDGNLHEVLVELDGIGGGGKVQLEIRYKGFEEIEDEKKWWKLPFISEFLKRNEIESVLSNLVDSDAIPARQFVEYAFGQLKSLNDTPLNNTELRNNNMDGSEDDKNSSLEDSLDTKRSGEDKEGDDDGSSDESGSIRSESNLWDSFPDIVSQNIVQKLGLPSPKKLKLDGMEILEKFGLQSRKTAEAGYIESGLATADTREVDDEKEGGQLSTNAPKSSIADMKNATQELLKQADNVFGALMVLKAVVPQLSKNGLGTEKVSEKNGGSGGRDNFSKSEKLSGLVNVDGEDEKNAEEMKTLFSSAESAMEAWAMLATALGHPSFIKSEFEKLCFLDNDITDTQVAIWRDARRKRVVIAFRGTEQTKWKDLQTDLMLVPVGLNPERIGGDFKEEVQVHSGFLSAYDSVRIRIISLLKLAIGYIDDVAEREDKWHVYVTGHSLGGALATLLAIELASSQLAKRGAITVTMYNFGSPRVGNKKFADVYNQKVKDSWRVVNHRDIIPTVPRLMGYCHVAHPVYLAAGEVQNMDFQKDGYHGEVIGEATPDILVSRFMKGEKELVEQILQTEIKLFNAIRDGSALMQHMEDFYYVTLLESVKLYYKNVEDLEGVEKTSI >A02g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26016857:26017080:1 gene:A02g509740.1_BraROA transcript:A02g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQSSSFLSLCFYTLNEETPIAEIYGITSDIRNLILDFDFVSFVWIQRSENKAADSLAKQA >A07p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14987693:14989708:1 gene:A07p026190.1_BraROA transcript:A07p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDICSSSSPSLPSIPLTRPHIYTSIPFFTIAPESTQHRSLIFTVSASSSQTKNVWRKQPEKSTSLKKHRRYQRSTFLDHKVDMDELLASIHQTHNEEELFSLLSLYKDRQLSIRFMVSLLSREQDWQRSLALLDWVHDEAKYTPSVFAYNVVLRNVLRAKQFDIAHGLFDEMRQRALAPDRFTYSTLITSFGKEGMFDSALSWLQKMEQDRVSGDLVLYSNLIELSRRLCDYSKAISIFSRLKKSGDEGSWCGTEHKMKEVNCPLDLTTCNVMIDVYGQLDMVKEADRLFWSMRKMDIEPNVVSYNTILRVYGEAELFGEAIHLFRLMQRKDIEQNVVTYNTMIKIYGKTLEHEKATNLVQEMQSRGIEPNAITYSTIISIWGKAGKLDRAATLFQKLRSSGVEIDQVLYQTMIVAYERVGLMGHAKRLLQELKRPDNIPRETAITILAKAGRIEEATWVFRQAFESGEVKDISVFGCMINLYSRNQRYVNVIEVFEKMRSAGYFPDSNAIATVLNAYGKQREFEKADTVYREMQEEGCVFPDEVHFQMLSLYSSKKDFEMVESLFKRLESDPNINSKELHLVVAALYERADKLNDASRVMNRMRERGLLKPFSR >A07g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2859612:2860955:-1 gene:A07g501400.1_BraROA transcript:A07g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGRLCIKKKLRVTNTYILSISPNLIYPLLETMETKEEKKILTEEEPEQKKRKLSRHSLKWRGSHITIDGPVDPDSCLESRVESLIQNSNSEFNVIILQMNEQNCILISCLYPWKKRIKLSLGWITKAR >A08p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7237493:7240436:1 gene:A08p009150.1_BraROA transcript:A08p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETESSHLTQSSSSLPPQPQNPVDHLRCNDSSTQGLQNDGMNNKGLQILPSDDFYSCIVFNITQLKNILLSCFNPHEPDNIAREANEELSKTQAIAESSHVYQSIPSGEHIQKDVASELVFGTQSLSSAFPPRQTDGGFEALSKNFKQCEIWNGPQGNNNQEDQIVNTIEGSAYTIPRKPFDPIGRPFNPFGPIERPIPRLPSSSELAYLGFACVVFNITKLKKILFSWFNLYEPDNIAREANEELNKPQATTESNYVYQSIPCGEQIQSLSSTFPPQQTDGGFEALSKNFKQCEIWYGPQGNNSQEDQIVNTIEGSAYMIPRKPFDPIGRPFNPFGPIERPIPRLPSSSELADLGFA >A10p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4202999:4203590:-1 gene:A10p014410.1_BraROA transcript:A10p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHARQNYETSIRLDQPGSPSRRAGRTTKSTRQTDELDQFNSPSGRVRPNKQSNSPNPRTNGSCASKLRNEHKARSIRLAIGSNSPARRVIPNEQSNSPARQVGPNEQSNSPARRVGPNEQSNSPARRVGSTDPSSSHPRSFLLRDRIKPALVSSRSELPLELYES >A07p017810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10771718:10772336:1 gene:A07p017810.1_BraROA transcript:A07p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAIASTGDFSWEEDLSEDLRLFDEEWEKSGGFDIDFSKLRQKFETGAVDLDDDDLVLDPDDTNVGLLNRLSNLAISYYNDKTDTRLELVKVLKANYHPSAGVTYYITFEASDCNQKTKQYQAVVRYLPRDTEVISCCPKPS >A09p040640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22940350:22941530:-1 gene:A09p040640.1_BraROA transcript:A09p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREEFVYLAKLAEQAERYEEMVEFMEKVTEAVDKDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVNTIRDYRSKIETELSKICDGILKLLDTRLIPASANGDSKVFYLKMKGDYHRYLAEFKTAQERKDAAEHTLTAYKAAQDIANSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDESTEEIKEDAAPKPAEEQKET >A02p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5011436:5013546:-1 gene:A02p011550.1_BraROA transcript:A02p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGSIGTSLHGVTAREQVFSFSVDSSSQTLQSDDPTAKFALPVDSQHRAKVFKPLSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPIIRDNLDLTKTDVGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLMLTAPTVFSMSFVTGPVGYLAVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFQVIRLAGTTPVMAWRIAFFIPGFLQVVVGVLVLTLGQDLPDGNLSTLQKSGQVSKDKFSKVFWFAVKNYRTWILFVLYGFSMGIELTINNVISGYFFDRFNLELQTAGIVAASFGMANFFARPFGGYASDLAARLFGMRGRLWILWIFQTVGALFCIWLGRASSLPIAILAMMLFSVGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGLTQLLFFSTSRFSTADGLSLMGAMAVLCTVPVAFIHFPQWGSMFLRPSSDGERSKEEYYYSSEWTEEEKRQGLHEGSIKFAENSRSERSRKVALADFPTPETGTPTHV >A01p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19562035:19565137:-1 gene:A01p026420.1_BraROA transcript:A01p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEHTLLSLLLLPSLLSLLLFLILLKRRSRHRFNLPPGKSGWPFIGETIGYLKPYTATTLGDFMQQHISKHGKIYRSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRSISLNFLSHARLKTILLKDVERHTLFVLDSWQQQTVFSAQDEAKKFTFNLMAKHIMSMDPGEEETEQLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRATILKFIERKMEERKSEIKEEGEAEDEAEISQSDHHYERKHRTDDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLQACPKAVQELREEHLEIAMGKKELGESELNWDDYKKMDFTQCVINETLRLGNVVRFLHRKALKDVRYKGYDIPSGWKVLPVISAVHLDNSRYDEPNLFNPWRWQQQQNNGACGSSSSGSGSFSTWGNNFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFNWELAEDDQPFAFPFVDFPNGLPIRVSRIL >A09p005780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3135923:3136435:1 gene:A09p005780.1_BraROA transcript:A09p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPIFTIKSDFSSRGLLPLLPSVAVVLKFKSIPTPFDIIATYASLSDSLLFDIISSVNIVGVSDDLAVERDTPASSLFTRGESSLVFKPVFCSSFMERVVLSSAFMALALAFRAFTDHSLVVGLKKDDFRSALLQISTYRSLEDWISFVEEVAAASVLSTASGTVRVF >A06p001920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000132.1:915:4707:1 gene:A06p001920.1_BraROA transcript:A06p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPERKANVSGKPKHSLDANRADGKKKTTEGRTSATVRRLKMYKTRPKRTPGGKILSNEYQSKELPDTRIQPDRRWFGNTRVVNQKELEYFREEMKTKMSSNYNVILKERKLPMSLLTDNKKQTRVHLLDMEPFQNTFGKKTTRKRPKLVASDYEALVKKAAESQDAFEELNGAGPSGEGGEEEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLEKTLKEHHKHKHMILLLNKCDLVPAWATKGWLRILSKEYPTLAFHASVNKSFGKGSLLSVLRQFARLKSDKQAVSVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSHDSETDIVLKGVVRVTNLEDASEHIGEVLRRVKKEHLQRAYKIKDWEDDHDFLLQLCKASGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVPPPKAEDKASDKASEGEAEVTVPGIDQEAIADNNQAAAALKAIAGIMSSQQQKDVPVQRDFYDEKDLKDGDGKGKESTDMDEENETDGEEDEDEVSEDGEGSENDSDEDVVSENEEEDESDSAYADISTFPSHYYVFYLELKAKDINDVLELDENVKVLVECCSVIEESFGALYRGMRRSGSIGPLEIRVVQQGTFDALMDYFISRCGSMAQ >A05g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16985516:16988626:1 gene:A05g505930.1_BraROA transcript:A05g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLKRCNSTDIEPSLTSEIVPPPPPRPEPRSTSSPPIPAEDESGAGRSAGDSPRCAVTRSFCASAFRACIPRATATRRCPSPPLIPMPPRKGTKRTHTVRARADACEVVDEQGAAGGVQAEGVQPAAPQFDQAAVMQMVQQAATQAAQAAIQQVTQEATRVAAQEAACVAAQEVARQLSAGQQIPPQQIPLQQTPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQQIPPQVPVQGVPGQQLPQGLQQPPLPPPPPLPVYRVYDERFYRLTTQMRNMDMEHFGGTVDATVAYDWKLGLQRKLEIIECPPEVSLRLAMQYLRGDALEDQGPVGGTVLCSLSSS >A04g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7895486:7896150:1 gene:A04g503670.1_BraROA transcript:A04g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNILFATCMRSSSSILFGMRVNNYFNLRWIKVIKSALEDENLAMLNASQFGRVLKMGSHTFFVMFVHYLLSRQLVTENDFELQWFFVGKPIRYAIQDIFCHGTQLWRNR >A03p052760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19938729:19940432:1 gene:A03p052760.1_BraROA transcript:A03p052760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monooxygenase 1 [Source:Projected from Arabidopsis thaliana (AT4G15760) TAIR;Acc:AT4G15760] MEEIGIVIVGGGIAGLATSLALHRKGIKSVVLERAEKVRSEGAGIGTLTNGWRALDQLGVSDRLRLTSNLIHKARTMLIEDGKKKREFVLNIKDEARCIRRNDLVEALADALPDGTIRFNSQIVSIEEDETTSFPIVCLSNGNTIKAKVLIGCDGANSVVSDYLRLGPKKAFACRAVRGFTNYPNGHGFPHELLRMKTGNVLVGRLPLNANQVFWFVVHMQDSQHNGKDQKSIANVTLKWVDELSEDWKEMVKRCDVESLTLTHLRYRSPWEIMFGKFRRGTVTVAGDAMHVMGPFLGQGGSAALEDAVVLARCLARKMGPDHGDLLKDCSVKSIEEAIDEYVNERRMRLVGLSTQTYLTGRSLQTQSMVIRLMFIVLLVLLFGRDQIRHTKYDCGRL >A03p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9682806:9684377:1 gene:A03p022970.1_BraROA transcript:A03p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAVGVDEVVLAINYQPEVMLNFLKDFEAKLEIKITCSQETEPMGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPLKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMDETTGKVEKFVEKPKLFVGNKINAGIYLLNPSVLDKIELRPTSIEKETFPKIAAAQGLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKSPAKLTTGAHIVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVCDEIYTNGGVVLPHKEIKSNILKPEIVM >A01p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24762914:24763492:-1 gene:A01p043660.1_BraROA transcript:A01p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPFL5 [Source:Projected from Arabidopsis thaliana (AT3G22820) UniProtKB/TrEMBL;Acc:A0A178VHB6] MGVVFRRSHRRFISTVVVFTLLLIYFSSSATASPDQDLRGGLVESTKEAGGSGLPGRIVDQKRLGGPGSAPPICRSKCGKCEPCKAVHVPIQPGLIAPLEYYPEAWRCKCGNKISMP >A09p042840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34986127:34994270:-1 gene:A09p042840.1_BraROA transcript:A09p042840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGQLTAILLKKTAEEGGENDKLDMKEKVWRESKKLWIVAAPAIFTRFSTSGVSLISQAFIGHLGPTELAAYSITLTVLLRFSNGILLGMASALETLCGQAYGAKQYHMLGIYLQRSWLVLIGSTICLTPIYILAGPILLALGQEERLVRIARVIALWVIGINFSFVPSFTCQMFLQAQSKNKIIAYVAAISLGVHVFLSWLLMVHFDFGIAGAMTSSLVAHWLPNIAQLLFVICGGCKDTWRGFSWFAFKDLWPVFKLSLASGGMT >A02g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24751393:24754498:-1 gene:A02g509280.1_BraROA transcript:A02g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSRQQKGKSVAATSTLARNPDGGRIGDLESTHHAAMMDTVNLSRSQRLLVAYATRLAREGNENVAVRDATECARDGQSGAMPVDSTYELKDRIARRGWRSDLPTALPIRKKRLDIFPRDIQKQVSEAKRMGTLPDISAILAAQLGLTSGDGPSTAVPRTGKVPPSGAANTGKGRKRKRGSSGVEGSAEEASDVPPSGELQKKKKKRKKTKRSVDAQSENPEEPTGAEEEEEETQPEEEVSEAEVSREQDDAGEADGSEASLNAARPDGSEEDSRESPLLMRRNNDEIDDEGSSAAFEGETPNRGTNAAEDDAPVLVLSDTSAEGSRRGNEEAVARESSVRA >A09p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2974531:2979156:1 gene:A09p005450.1_BraROA transcript:A09p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSVEKSQLEAWAFLQFIVAFGLVKLTTPVQNLQLASRVAHFKHAPKLFQSLGLSHAIPNFVKELLNKDMHIPAIRRSAKENRRYEPQADAATLRDIMELIEDFKLEIDIPVDRIFKFMVPREIQNLPVQSTHAQASDTVFQSSCIATDVEPDQPVDVETYEAGGSTEFQGQSSHQAGSKRPRVVEDPEGSRPARSSLALVDLSKHNFHRTLDSLQESAHSLLLLSVQWKEIEGHFDSTKSLLEERAKELQAREESLNGRAIELESKEKELSSVHDSFKAKVDEFEKMEVRFHSECKEALETRKAEFEGFTKRIESMEKELEQQRDLRHEFEPLVSLLGKDKGCSSVAMSTNDLVKRNQALARLIPCLDPAKLVLDAIQASLKDFNDSVVLKSCTVLLEKLIEMNLPITWEVNQEASQLGINWISMAKANTNNDSLVLCCLLFLAAYGLASVTTREVLLTLLERFLLYDQAPRLFRLLELEHSVYGVVETLKNKEEYLAVLRFICEFRLYKLCPRGRPGALLHEKEKIKADATMALECIQERKAEHMFPPKILNKLSLLKNGKSAAKRAMEPVHKSSENQDTTKRQRLTEPTTPTQNSTGEKIDESGVNHQPDGKETHPPSTEAKPNNLCGSINADMLRELLEKKPLESDLSNALRCTSNPAKLVLDTSMSLCPTNLEGSSYQFKLLITSDSCNLLLDQLKKLPVQIGHPVKGDAKKLAVYWREKITKNKSDELEVVCFLKFLGIYGIVSEFKTSDLLTLLDNSYWHTASPDLCQFLGFIQDLIKTGHRLKAVNYIYSFGMLHKFHPVSAIINDSLRITKESAEKAFRDAENEPASQVAAIDRQIRSLRAGIKCITCHKLESEFQLEDLEERIKSLLKLRRNVSDTAEPPSLGEVGSVSSNNTRLEHSATVVSSSASKPGSRGQKRSQSSVHVASYTSNHVPEHGSSLNQRLTWPVDHYGRGFNSDYNHNQWTQPEGPPQYQYHQYYQ >A01g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22533514:22539000:-1 gene:A01g507980.1_BraROA transcript:A01g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDRNTQKLSGEVHLLDFDCYSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFLLVKKEELCFINNNGSWYKKEPNFQYNNYQQKFYLNNQQSGYPPRNNQQGSYQPQQNPLSGSSAPQESSNDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A04g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18102734:18103429:-1 gene:A04g507370.1_BraROA transcript:A04g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATSTVKFARELDPNDVQHLKSDMTRSRVGLEIQIGTKVKIINGEDEESKSRDQSDMPRIRSTFEESHEDKILLLVDKDKFASPRFPYFSVMVVVCLCFLLDFPQAAIIQRSVSEGFKLELHTSDRLKCNSNVT >A05p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4608749:4609912:-1 gene:A05p010880.1_BraROA transcript:A05p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEENKKSIVVASDDECPCDDTEAADQETELSSILDKLSLEPKKEKQKKKLLVLSLSGLLLHRVHQRTNRKTPKNRSPDASCGPNLVYKRPFAEEFMKFILERFEVGIWSSACEKNVDIVLNIVLENLQDNLLFVWDQEECTDSGSATLHNSDKPMFFKDLSKVFKYFKGFSASNTIFIDDEPYKALLNPDNTGVFPVSYDPTDKNDDFLDPEGEFCSYLDDIASSPDVQDYVKEHSFGQPMHD >A04p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5787565:5788352:-1 gene:A04p014910.1_BraROA transcript:A04p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIIMVRRKKATVMKIDGHTFRLQTPAQVSDATKEYPGFVLFDSESVKRYGVRVKPLEPNHILAPNKTYFLVELPNLANRNKLPFRRVMSGIHVSAKERLEMLMLSRRAVSDVALARSDGGNGIGSGQTRVRLKLPRSQIMKLMGESHDASEVTERIIALYRESSGEIQGGRDSNDICWELGAGVLKNNNYKAGEKHVSFAENGGRGEIVVL >A02p058590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35129024:35132331:-1 gene:A02p058590.1_BraROA transcript:A02p058590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEVTPPPRKVLIISAGASHSVALLSGEVVCSWGRGEDGQLGHGDAEDRSSPTQLSALDDHQIVSVTCGADHTVAYSQSRLEVYSWGWGDFGRLGHGNSSDLFTPLPIKALQGIRIKQIACGDSHCLAVTMDGEVQSWGRNQNGQLGLGNTEDSLVPQKIQAFEGIRIKMVAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPERVTSAGGEKMSMVACGWRHTISVSYSGALYTYGWSKYGQLGHGDLEDHLVPHKLEALGNSVISQISGGWRHTMALTSDGKLYGWGWNKKVVQVSCGWRHTLAVTERNNVFAWGRGTNGQLGIGESLDRNSPNIIEALSVDGASGQHIESSSFDPSSGKSWVSPSERYAVVPGETGQTESTSKGNGGDISVPQTDAKRVRL >A07p041840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22523434:22526670:-1 gene:A07p041840.1_BraROA transcript:A07p041840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALPPPSPSSSPSLQRLSTFKNPPPSGPSPPSSSSSPLDSFANDPILAPFLSPSFSSSSFSSAALASGSPASTAERLHQAIRLLDSQLRTDVVSRHPELLSQLSSLSHAEISLSSLRSSVSSLQSSIRRIRSDLSDPVRSIRSKSTQLSNLHSATELLSHSVRALRLSKKLRDLTDGSDPEKIDLTKAAQLHFEILTMCREYDLFGIEVIDEEIKFVNEVGEKLRSEAMKVLERGVEGLNQAEVGAGLQVFYNLGELKLTVDQLVNKYKGMAVKSVGVAMDMKAISSGPGGGGYGPGGIRSSGAPHIGGGGKVREALWQRMGSCMDQLYALVKAVWHLQRVLSKKRDPFTHVLLLDEVIKEGDSMLTDRVWDALVKAFTSQMKSAFTASSFVKEIFTMGYPKLVSMIENLLERIARDTDVKGVLPAVNSERKEQMVSCIAIFQTAFLSLCFGRLSDLVNSIFPMSSRGSLPSKEQISQVLSHIQDEIEAVHPDGRLTLLVLREIGKALSNLAQRAECQISTGPETRQITGPATSTQIRNFTLCQHLQGIHTHISSMVADLPSIAADVLSPHLGAIYAAACEPVTPLFKAMRDQLESCILQIHDQNFGVDDAALDNNTSPYMEELQRSIIHFRREFLSRLLPSAASANAAGTESICTRLARQMASRVLIFYIRHASLVRPLSEWGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSEMGSSPLIQDLPPSIVLHHLYTRGPDELESPMQKNRLSPKQYSLWLDNQKEDQIWKGVKATLDDYAVKIKSRGDKEFSPVYPLMLQIGSSLTEGNV >A10p037550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21164210:21175330:-1 gene:A10p037550.1_BraROA transcript:A10p037550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVFNSHTFLLTLFALFPILFFAILKNKIRSKKIKLPPSPPKLPLIGNLHQIGNLPHKSLQDLSLKHGQFMFVNLGTTPYLVVSTADALEEITKNHDITISNRPTNTSVYPLMGNGQDLLYHPYGDHWKQLRKISAMHLMNKNVVNHRFQTLRDEEISSMLETIHLSSLKGEEINMSDMMNTVVSSVLHRSYTGSSKREEKEGLSSSMRFLNWDVKFKKLLGSLCVEDLFPILGLMDRFTGFKTLLKSTYLELDSIMETLISEREKESFVDVLIHQRDNDKLDYDVKAIMQGIFVAGVDSVALELEWLMADLIKHPKVMRKAQEEVHRIVGTKSKISNDEIEKMHYLKCIIKETMRLHPAGVVPRETSSKWIKVGGYDIPPKTKVFVNLYSIQRDPKQWENPDDFIPERFMENNIEFMGSKGYIPFGFGRRNCPGMAFGNTLLEEIIVNLLYRFDWKLPDGSKPEELNMEEVSQFVIAKKYPLRLVPVQRLGSNQVITKLKEREIVIMEQILSNSNPFLLTLLALFPLIIFALIKKNNKSRKLNLPPSPPKLPFIGNLHQIGDLPHKSLHDLSLKHGPFMFVNFGATRYLVVSSAAALEEISKNHDIDFSNRPAIASLQGLKDNGQDMVYHPYGDHWKQLRKVGALHLLNKNAVNKFQTMRDEEISSMLKTLDVHNVKGEDVDITDIFNIVVSNIFLRSYTGSTHKEDKTTKKFLDWDVKFKKLMGAFCVGDMYSSFAWVDRFTGFTTLLKNIYSELDGIMDKLINEREKESYVDVLVRLRDVEKYDYDVKAIMKGTFVAAIESVALELEWLFSELIKNPEVLKKAQEEVQRVVGTKAEITSNEIEQMHYLRCIIKETLRLHPPGIVPRQTSSKWIKVGGYDIPPNTKVLVNLFAVQRDPKDWDRPDEFIPERFMEKHVDFMGSKGYVPFGFGRRNCPGMAYGIALLEEIIANLLYRFDWKMYDGSKPEELSMEEISQFVVTRKVPLRVIPVRRV >A03g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8085305:8085974:-1 gene:A03g502540.1_BraROA transcript:A03g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKSKLNLCHVLYKLQPKEIRLTNDIESEPEVKQSVHTLDEDTSNISPGSYFNNLGLKYFASEPLRMVSRHKCLMESCLENNNPEALKHLRHSAHGIYDKGKKDSRYPGTGTHGINVQLLLEKGHVFKQHGKAQTPKKLRPPPQESSMQKVLLLKGSDVCRLYSL >A05g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6239253:6240725:-1 gene:A05g501860.1_BraROA transcript:A05g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRYCVVFDPLDGSSNIDCGVSIGTIFGIYTMEHSDEPTTKDVLKPGNEMVAAGYCMYGSSCMLVLSTGTGVHGFTLDPSLGEFILTHPDIKIPKKGNIYSVNEGNAQNWDGPTTKYVERCKYPKDGSPAKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMAFLMEQAGGQAFTGKKRALDLVPKKIHERSPIFLGSYDDVEEIKALLEGQIPESIGLLKTLIALNLSNNAFTGHIPMFLENVQLET >A10p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4518082:4528413:1 gene:A10p013830.1_BraROA transcript:A10p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 60 [Source:Projected from Arabidopsis thaliana (AT5G51500) UniProtKB/Swiss-Prot;Acc:Q9FHN4] MMHKISILSLHLLLVLCLHPLTTTADGNFTTGIDTWCDQTPYPDPCNHYFRRHNGYRLPTHLSEFRVMLVEAAMDRAISAQDKLKMSGQNCTDCRKQAILTDCIDLYGDTVLQLNRTLQGLSPKAAGEPCTDVDAQTWLSTALTNTETCRRGSSDLNVSDFTTPIVSNTKISHLISNCLAVNGALLTTGNNDTTTTDDPKVFPAWVSRKERRLLKLQSAPTVPANVVVAKDGSGRFKTVQAAIDLAGRRKVTSGRFVIYVKRGIYQENINVRLNNDNIMLVGDGMRYTIITEGRSVKGGYTTYSSATAGIEGLHFIAKGITFRNTAGPAKGQAVALRSSSDLSIFYRCAMEGYQDTLMVHSQRQFYRECYIFGTIDFIFGNAAVVFQNCIILPRRPLHGQSNVITAQGRADPFQNTGISIQNSIIQPAADLKPVIRSVTTYLGRPWMKYSRTVILKTYLDSFVSPVGWSPWIKGSTYALDTLFYAEYKNIGPASSTRWRVRWKGFHVLNKASDASAFTVGRFITADDNSTTCIDKWCNQTPYPDPCKCYFKNHNGFRLPTQLSEFRLMLVEATMDRAISARDELARSSGNCTDCRKQAVFADCINLYEDTIVQLTRTLAGVAPKAGAGKKCTDFDAQTWLSTALTNIETCRRGSSDLNVSDFITPIVSNTKISNLISNCLAVNGALLPTGNNSTTTADGKDFPTWVSGKERRLLQLQSARAVRANIVVAKDGSGQVTTVQAAIDVAGRRKLMSKRFVIYVKRGIYQENINVRLNNDNIMLVGDGMRSTIITGGRSVKGGYTTYNSATAGIEGLHFIAKGLTFRNTAGPAKGQAVALRSSSDLSIFYKCSIEGYQDTLMVHSQRQFYRECYIYGTIDFIFGNAAVVFQNCIILPRRPLHGQSNVITAQGRADPFQNTGISIHNSRILPAPDLKPVLRTVKTYMGRPWMKYSRTVVLQTYLDSVVSPFGWSPWIEGSIFGLDTLFYAEYKNSGPASSTRWRVRWNGFHVLKRASDASAFTVGRFIAGPAWLPRTGIPFTPGL >A08p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20509046:20510871:1 gene:A08p034450.1_BraROA transcript:A08p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPVYHDRHYENGGAPFSPSSTSSSPSQKSSGKHSSSSDPKASRRGPWRRPFYQFLAFFLIGFLLGMTPYGQIDDVNSTTDRFNFEIKPPNVEESLERREEVAVDGVSFSAESKNKKEEEEEDFAPRKLVIVVTPTYNRAMQAYNLNRVAQTLRLVEAPVLWIVVEGNAASFETSEILRKTGVMYRHLVCKRNMTSVKDRGVHQRNTALEHIELHKLDGVVYFADDDNIYSLELFQSLRQIRRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWRRPFSHPTRQLDTVKEGFQETTFIEQVVADERDMEGVPPACSRILNWHLHLDALDVPYPQGWVVQKNLEALITVK >A01p055890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31660650:31661293:1 gene:A01p055890.1_BraROA transcript:A01p055890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKTVKMTLKGKNPVTMDHLSLRGNNIRYYILPDSLNLETLLVEDTPRLKPKKPVAGKPVGRGRGRGGRGRGGAGRGRGR >A08p032360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19601404:19608571:-1 gene:A08p032360.1_BraROA transcript:A08p032360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFGNVSAANLPYQNGFLKAISSGGCDLMGHRSFKISTSFKTRTRRRRSAGPLQVVCVDIPRPELENTVNFLEAASLSASFRSAPRPAKPLKVVIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKIAAWKDEDGDWYETVGAYPNVQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPDVLPAPLNGIWAILRNNEMLTWPEKIKFAIGLLPAMVGGQAYVEAQDGLSGVPDRVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVEHIRSLGGEVRLNSRIRKIELEDDGTVKSFLLTDGTTIQGDAYVFATPVDILKLLLPDSWKEIPYFKRLEKLVGVPVINVHIWFDKKLKNTYDHLLFSRSNLLSVYADMSLTCKEYYDPNRSMLELVFAPAEEWISRSDSDIIDATMKELERLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIKGFYLAGDYTKQKYLASMEGAVLSGKFCSQSILQDYELLAASSGPQKLVGSIKSLESHVRGEPELLLALGGGKSHVCSKCGWNYPNPHPSAKNRRAHKKICGTIKGFEILGSDQANQNLDLQKGQCLDDEPKIPSPRVVDERIGDRISEEDVFADAVCEFSSSVVSDSVKEKEEEETPANGMAKSATDLGKTQECNKSPEVVEESLDALLPVQVLENFPSSAEAADTGGECSSQDIHGGRTISNVGLETECKGNVADESESMIASALGKRVDTSWNDEVIYSDLEGPHGFEEMNMNPPGEADDYTVGDKTPVDTPPVETIADQDINTSLSALDAIPFAENAHVSLHGTKALEESETGTLTQADNSGDQPEGLSIGREVPSPDKLPLADKTEPQGHTSLAGLPSAESIVMPKIDPAEDIKMKPESSFGASQETVESETVTTSLPAVDPNADVSHSVLIGPESELIQSNVVAEEKSTISELSSQSSCAVEHYVSPVSVVSEVDEPPSVQDKSSTETSKDSILQTNAEACESTDEEDCTKTNQKLVESGRTESNRVVGGLGVIQANEIDGNDKADNVYAEVPVKIESNDHRDYGRLQNLSEAHIRSLVLSPIVTTSNAVSGHSDESQSSSLDVGPSKNQEITTSWSTGKEQHVPLKNLLNEARSPRAESTTNIPRVSSILEQGTSPEDEGGWPERREVSEEWNSPAKYPVERKVKGRPFWVPFVCCSSAK >A02g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24725510:24728912:1 gene:A02g509260.1_BraROA transcript:A02g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSFHTLISRSKKPATSHQARHEAPIHQDAPDTSHHQHQPDQAPPLDDDHPTDHDVDAMETDEQPSSQSPIISQYAVHLQRQASASLNTPLQTTPNDSPGRVETIHTPTVHDLSDHNHTIVHTSPDHNPDSEMIPPDENTTNTIPEDDIQTTPAPEEPNQPIVPPHPHRHLSNRTEPKSVIYDKSDHPNSPEIHHILCHGLRIYDPISPDPPLSNPPIFDSTIGPSSAPDIPLLLSPLPFTPLTSLAKSNDSGLGFLSHTAAPNAFAATASTSPPVIGRPTIVPSADESHGDDEAVIDLTQTKDPPRHVPSMEENHLAKELFSSPLVPAIALISPLPQMEWDLFEKILKANNNVYHTTRFEFEFSNKSLLQLAEPKQWTTTYQMELLVHMLSARHSDILQREHAAFAPPTLTKIIQDNHVDFSKKFSKQIRKHELFLLFLTIQHKESNCWHPNYLLVWIEADYIRGSDEGESLLKVLPMQLGVQRKTEHHLFKWVDEAIVDKVNMVDAKHNQLKEDVDSFKIYTTQRLEKHAIQFDKALLQLNSLIADKATSSGTNDNSSIATEDTLQSPNQPSDANNSRAQLINIAVAAIAVGTMAWIYAKITN >A05p036750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20840032:20841311:-1 gene:A05p036750.1_BraROA transcript:A05p036750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 6 [Source:Projected from Arabidopsis thaliana (AT3G21350) UniProtKB/Swiss-Prot;Acc:F4IXJ7] MDASLLSAATADTFNGNAGEQIAPPLQPPGTDMTGICFRDQLWINSYPLDRNYVFDYFALSPFYDITCNNEILRRRSVHPLDHSQLSKMTGLEYVISDATEPNFFVFRKQKRDGPEKVTPMLTYYILDGSIYQAPQLCTVFAARVVRGRAVYNISNAFSVAASKLETIRQGDAKSQNEPSESKPASETVDLKEVKRVDLILKSLYSKLPPAPPPPPFPEGYVSQEALGEKEEEVGTQGGESQQPQIDPIIDQGPAKRMKF >A05p054470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32057827:32060752:1 gene:A05p054470.1_BraROA transcript:A05p054470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCAIGFISAIAAASSSFSKSKVAAADGPPPQHQAASAPESSAPPRARNDNPRTSSGGFDPEALERGANALKEINSSSYAKQVFEGIKQKEETKQTEFAAKGQEYKAMQAQAETERQKAENEYHRTRNQELVKMQEDSAIRQEQSRRATEEQIQAQRRQTEREKAEIERETIRVKSIAEAEGRAHEARLAEDVNRRMLVDRANAEREKWVAAINTTFEHIGGGLRAILTDQNKLIVAVGGATALAAGIYTTREGARVIWSYVDRILGQPSLIRESSRGKYPWSGSVSRALSTLRGKGSAIKNGKGFGDVILHPSLQKRIEHLAKATANTKSHQAPFRNMLFYGPPGTGKTMVARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWGKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRVDEVLEFPLPGEGERSKLLSLYLEKYIAQAGPTKPGLFDRLFKKEQQKIEIKGVTVELLEEAAAKTDGFSGREIAKLMASVQAAVYGSEDCVLDSVLFREVVDYKVAEHQQRRKLAGTDME >A05p019640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9200377:9201144:-1 gene:A05p019640.1_BraROA transcript:A05p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASNIIDPSTMTKAISWLLIICLVSLILSVFTFCALPPDPTDQMLASPVVRQVLTTRSRLPPLVAIYSLMCCVLVILRSSNLCVRSTSPRSRSIPSSQAKLRTDSEIFSCHASLQKRSIKWLCDMNDCADELCVLTELDEDILSDNARDDVMCGVITPRMGVVRIVDVDSDTSKIESCWTLEKMTMVTGLRTMLDEPTCRDR >A01p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16168907:16172582:-1 gene:A01p039880.1_BraROA transcript:A01p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRAVKYLFSVYTAVGCIFLLLSPALASESDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRKTENSIHKWGGLGEVLGGNELIDSQIDIKFLKNVDRSVICQLELDEAKVKHFKDAIENSYWFELFMGFVGEMPPGKNSENGKHALYTHKSINVKYNKDQIIHVNLTQDNLRPLEAGRTVDMTYSVNWIPTEITFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPSSLVLLSAVVGTGAQLALLVLLVILMAIIGTLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRSGGKHWIKCMILTASLFPFLCFGIGFLLNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPTVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVVVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMFGFFQTSFYFGYTLMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A02g510900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28898148:28898996:-1 gene:A02g510900.1_BraROA transcript:A02g510900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFFSKIHILLYHPHLPQVFTILPLQSIHQPPCTTNLKLLMHLKNDLQSFFFNSYELKTTSLYFLSIFIEKNSKILILKFLWFIEKLKLTILGGSLLFEIQDAWRRILCAKQFISLVETIKLDFFSRSVRPYDFWVSRLAVDDLHGNLLVNVHKFDFSWATYKLVVERLKYKSSQVSFAIEILNKKYFFLDDLHGSRPSDDIHVSRSGFYSEILVKPCLSWTTYRLVF >A03p025180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10590074:10599857:1 gene:A03p025180.1_BraROA transcript:A03p025180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/U3 ribonucleoprotein (Utp) family protein [Source:Projected from Arabidopsis thaliana (AT2G43650) UniProtKB/TrEMBL;Acc:Q8L3P4] MGKKGGFKKRVSKSAKPHNDFVDGEYDDEIDAFHKQRDIVPLDVNDDTDDSSDEDDVQPVFDLKGVDDDEDEDEDTEEEEEEDKGLIAKMVGQNKYLKAKFGAVDDEMADDDKDEDEENKRVTWGSRKNAYMNADNVDFENLSSDDEDLKLEEDEVLRMRAEQTGSITAADAGLEDNSEEEEESDRELTMEEISVKGKNGTKSVTDKKEKDVEVIKKDINSLSKEEQMDVVYSSAPEIVGLLSELNDAVEELENKINPVMSKLKEEGVSLTGGARYLEVKQILLLAYCQSITFYFLLKSEGQPIRDHPVLARLVDIKALLDKIKELDGELPPGFEESLARMQKVVKEDATSSPVSASEVKITQDTVEPVKINTSKADTKKKGEKRKHKNDQVDIQSEEMLKLRAALEGKLRSNGVFGSTVSKPDKSQKRQKLANRKLETFDDFVDDADNSTRDVPADKLTKLVSTKRKPKTVSGDDDLPQRDDIGERRRKFELKVLAGAGVKSEEDGKNESEVFGSDDDNDKDEDGDNDMVDSDSESEGEDEFYKQVKQNKQAKRAAKAEIYSREPSSISFEPETVDGKRVVSKTILSNRGLTRHRNKDKKNPRKNYRDKYTDKVKRRKGQVREIRKPTGSYGGEGSGINPNISRSVRIKISLYKEVRKVIFSDMEKKGSWFSKIKRVFTPHSKEKLGSEAERKSGKEKRKKGFGKLRCGETSSFLPIHREPSSIEKIFGEAERDHNLVFRPPDLSNPSSPSPPLRPASPKPPPPLPRAHLPRSISPKPPDGSKPLSTSASAPPPPASTRVPSQRVSPPSVLSPKPVPQRVASPQAVSIKPPSPRPTSPRGDSPQALPLNPSPRLETPEPSSPKPPSPREEPPKLNAPRPTTSRSPSPKAVSPKAVQRQESVSRPEPALSVQHASATKIQATFRGYKARRSFRALKGLARLQGVVKGYNVKRQTVNAMKYMQQLVRVRSQIQSRRIKTLENQAQVEKDEAKWAAFKAGNENWDDSVLTKEERDTRSQRKIDAVIKRERYMAYAYSHKLWKNSPKSAQDVQHPGGLSLWWNWGDRQLPLASHVPNHSQTLRDYMQTPTRLSPSPLSQSSNQQRFRQDNNFDTSTPTSSRSRFLTPSRYSIGRLRGQDSPFKDDDSFASCPPYPRYMAPTVSAKAKVRTNSKPKERVMGTPSVSSETRRMSYPLTQQGLDMFRWNKGSLHMSNNGSQRGPGSPGGVVLEKHKTLKSVGNLSIDTTVSMPATVGRKPFNRYALVMEGSAGFMNGFRTLTNTKKHVYGQTFSEEPLPFKNSTNGNLTSFSLTLLFAIAPENRHRGSHVPWNVQRNNGKSSNHVIAVELDIHKDDEFGDIDDNHVGININGMRSTMSAPAGFYDQNGQFRNLSLISGNLLQVTVLYSQEDKQLNVTLSSPEEAYYPKKPLLSLNQDLSPYVLENMYVGCTASTGSIGALHYVWSIHAYSFLIVPDLDYDIPKFPPYPKPESQVKRTVMVTFLTFALFVALVASAFSIFFYKRHKLVKEVLEEWEIQCGPHRFAYKELFKATKGFSDKQLLGKGGFGQVFKGTLPGSYAEVAVKRISHDSRQGMQEFLVKISTIGRLRHQNLVRLQGYCRGNQEPLTWNQRFKIIKDVAYALCYLHHEWGQVVIHRDIKPANVLIDHHMDARLGDFGLAKLYDQGFVPHTSRVIGTIGYIAPELIRSGRATTGTDVYAFGLFMLEVSCGRRLIEPRAPSNEAVLAEWTLECWESGDILEAASERLRGEQDREQAELVLKLGVLCSHQVATIRPDMSKVTKILNCDVQLPDNLLDIVKAEKIRVWSETSERALGVLNTQMSIGTLTLTEPFTSHGR >SC210g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:76318:81759:1 gene:SC210g500080.1_BraROA transcript:SC210g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPNLNQARSLRSDRAIVPLGRYARPSDRPARSLRSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELSQAPSLRSDQAIILEITARRRKKLNTRRQLGFKATNGQSRTERIRGTIHFLATIGKLGRNLLGIRGNRDGIPEPLNPLVDRGDKRLGMGTFVHPTLHQAHFLFEHIVIGSRPPKTSDRTAALAKVTHRGKGILEVPILNLELRGTSLHHLDDFPFAFPFRFADCPCMITSKLRFSLQHLALNTSKISLRFLRFETVDHGFSVARLNGRAQQAQALQNRLTSSIRTKKKNFFHELKFEINFLTTDMNFRGTNLCLSVPLTNAEWQGVSTDLSQLRNGPTLGQEFRVWGTIGANALRTPHSKEPETPQHPGCGRTIASSRETRQILRCLILTGWGANCWGQKRLRRNYHPKILGDRISKRDSKRRDYPLGFKPNKPSSVTTHTRRPIAMQRPNLNQAQSLRSDRALVPLGRYVATELEPKLGCYVATERLSRSVAIDRALVPLGPTCERARAKRGRYVSTERIVSRIGPTKRPSSSPKLSSLTREKKKKEKKEEKGKEEKRKKRRKKKEEEKKEKKKKRKKKKRKRKKKKERERKERKEENSDERSPARSLRSKPSLEPKLGRYVSERGARPARSLRSDASSKPKLVRYVATEHRPIGSRRRRPERSQARSATCDPSDRHLSVATATELEPKLVATCDRAIPSSDRSCELSDRHPLGRYVAAELRAKAPPEAIRPARSLRSDQSLSAALGPYVATELSPSRRSPSSSQGVPLLRYVATELEPKLGREYVYEPSPLSASRPSDRPALSLRNDQAQPSSRPSSSQSVPLGRYVATELEPKLGRYVATERSSRSATELKSSKLVSYVALSDRARLGESLRRRPERVVRLRSLRATELRRNLGALVERQRSRPAASQGVPLGRYVATELEPEKTRSLVATDGRLIPLGRYVATELEPKLGRYVATERSSCSVAT >A01p009130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4379786:4381270:1 gene:A01p009130.1_BraROA transcript:A01p009130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHNNTIWFFILVLFTVLISPVISSRVSSLMKLPVIVESLSSVDSYCDSWRLAVETNNAVKWKVVPSKCVSYLETYYSKGQFDKDYSLVASYALAFAKTVKMGGDGKDAWVFDVDETLLSNLEYYKTHIYGAEPFNSKEFSEWVVQGTTPGYDASLKLYEDLKKLGFTIILLTGRDEAQRSVTEKNLKDAGYSGWDQLLLRGQEDQGKAATEYKSEQRSRMVKKGFKLHGNTGDQWSDLQGFAVADRSFKVPNPLYYIA >A09p079160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58344294:58345812:1 gene:A09p079160.1_BraROA transcript:A09p079160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESNNEGEMGLNHGHDPSMPLYAKTDPYFSSSDWDPVVNGGGFSSSHYPSVVMDNPGMSCFTHFQTASGYPDMPASLLPFGDCGGGGGESVGRLMRAGESHDQVLDDGVLGSSPSRKRRQAEAESQRNKKAVEEYQEDTQRGSDQNQKKHKDGQNKESSQSEEAPKENYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPEVNIDIDRIIAKDLLQPRDRNIPTLGLNPFTSFQGNISTTTNPQYNPLPQTTLESELQSLYQMGFVSNPSTMSSFSPNNGRLKPEL >A06p053580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28221875:28227621:1 gene:A06p053580.1_BraROA transcript:A06p053580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFILRCFLLFFFLFQGAFAAAGKIWSRTEMVEMAGYGEQKLSSVIITGSLLCDNTSCPHLPSIAIPGATVAIKCHTGYKRRSKWIKAVTDDLGEFEIDLPSQLHAIPDMENTKDTNEILLMSLEFDQQKDETLRQVRTLKLWGEFQRQCRNTGSWVDRFTESDQDPAIRFHRYILFAFRKGLHFARFEMDMESGKLFIGGISWETTEDRLREYFQSFGEVLEAVIMKDRATGRARGFGFLVFADPNVAERVVLLRHVIDGKLVEAKKAVPRDDQKSNSSLQGSSPGPANSKKIFVGGLASSVTEAEFKKYFSQFGTITDVVVMYDHRTQRPRGFGFISYESEDAVDRVLRRTFHELNGKMVEVKLAVPKDPIRNQMNVNGFGSGRISALLMNEYSQGFSTSPVSSYGVKPEVRYSPGVVNRGGFSPFGHGYGIDLNFEQDQTQSYGSGSSAGFGRPFSPGYTPSLSRYSSQIETGGANGSAAKNHLWGNGGGLGYMSNSPISRSSFSGNSGMSSLGSIGDNWGGAGARARNSYRSEGGGLGLEAMRGVHVGGLSSGSNSLEADSLYSDSAWLSLPAKADERLGMGAFDFMSRGPAGYINRQPNGGERMRLLVEQVATRASSPLSSASSTECNSHTCRWKPYSNSTEFQANVSVLLILVVSALICGLSLCAAIRCFLRPNLQTDDNEHKPDPEEDFSSTVPTPTLVYSSDLELAGAQAECAICLSEFEPGESIHVLEKCHHGFHVKCIHKWLSSHSSCPTCRTSIFSQNTLDSATSTVAPSTNQTNA >A05p052040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30161745:30163693:1 gene:A05p052040.1_BraROA transcript:A05p052040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMLPIYLIILAFLCTGGAIALALFHIYKHLLNYTEPIYQRYIVRIVFMVPVYALMSFLALVLPKSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGSVVISLTGRSLKPSWHLMTCCFPPLPLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFNPNQSYLYLTIIYTISYTVALYALVLFYVACKDLLKPFNPVPKFVIIKSVVFLTYWQGVLVFLFAKSGFIRDEEEAALFQNFIICVEMLIAAAAHFYAFPYKEYEGANVGGAHSFSASLAHAVQLNDFYHDTVHQFAPAYHDYVLYNHNDGGEEGTTKYRVRTFVPTGQEMDAVRKNKHMFGNKIEGVSPSSHSSSGTSTPKTSGATSDAARPETMKSSLLVDASDSASTMYDMSLMDIDISSYPSKVPSANPSGGGAR >A05p020080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9416281:9417826:-1 gene:A05p020080.1_BraROA transcript:A05p020080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MET1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55480) UniProtKB/Swiss-Prot;Acc:Q94BS2] MSLAPTSYPSLYSLPRTQQNPALITQPSFISAKSLFLSSNSCNTHVAKRRNFALKASETEPTAKPEAGGGEEEEEKYETYEIEVEQPYGLKFRKGRDGGTYIDAILPGGFADKTGKFTVGDRVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMQMEKRYGKVDDSGELTEKEIIRAERNAGFISSRLREIQMQNYLRKKELKAQREKDLREGLQFSKNGKYEEALERFESVLGSKPTPDEASVASYNVACCYSKLNQVQAGLSALEEALKSGYEDFKRIRSDPDLENIRKSEAFDPLMKQFDESFINESAINAIKSLFGFNKK >A09p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7736273:7736636:-1 gene:A09p014820.1_BraROA transcript:A09p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFICLSIYHCFSQENTFRACFGSPSVPHKLHLNNILTHCLVGDLDSSKGQINSFGDKPGGLKMVDSLVPLAERDVGTLRGMTKACASYIG >A02p059320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35424582:35426916:-1 gene:A02p059320.1_BraROA transcript:A02p059320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKVARASEYLAITGGGIQDIKLAKKSWVFPWQSCTVFDVSPVNYTFEVQAMSSEKLPFVIPAVFTIGPRVDDPHALLLYAMLMSQHDKHSNHVNELVQGVIEGETRVLVASMTMEEVFKGTKEFKKEVFEKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEVGAKERTGLTIQNAAKIDAESKIISTQRLGEGTKEEIKVKSEVQVFQNEKAALVAQADAALAIQKAALTKSSRVAEVEAAKAVAMREAELQTQVEKMNALTRTEKLKAEFLSKATVEYETKVQEANWELYDKQKKAEAVLYEKQKQAEATKAAADAAFYAKQKEAEGLVSMANAQGTYIKTLLGAVDNNYSAMRDFLMINNGIYQDIAKTNAMAIRDLQPKISVWNQGGAGVDQGMSSGGMKDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLRGAESRQ >A03p053420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22909555:22921280:-1 gene:A03p053420.1_BraROA transcript:A03p053420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT4G23210) UniProtKB/Swiss-Prot;Acc:Q0PW40] MPGVPKDRFTVSVVNGRVMVTGDAPAVSLDSGGRFYSGEVAMLESQVSIPGRKIKTIAKNGVILSAQTCVHNGKNFIPNSTYDANRRLILSSLPSNAAAQDGFYSGSIGQEPSRVYAAGMCIPGAEANDCSACIKGASDWLVQDCTNQTDAYYWALDPTLCLVRYSNNSFSGSAGYWEIVPQYLVMNTANINSNLTEFKKIWERLIHSIIAAASAPKSRYKADVAALTPFQNIYALMQCTPDVSSGDCDNCLRQSVIDYQSCCGEKTGGYVMRPICFFRWQLFTFSKAFGNITLAPPSPPPSPPPLLQRQPSSASEQAKKTDTAGITTVRSLQFDFKIIEVATNNFSERNKIGRGGFGDVFKGTLMPGGREVAVKRLSRTSEQGEKEFKNEVIVIAKLQHGNLVRLLGYSVKGEERVLVYEFVPNKSLDSFLSDPLKQAQLSWTKRGYMPPEYRCEGQFSVKTDVYSFGVLVLEIICGKKNRSFCFPGPDLVTYAWRLWREETPLELVDQTILENFPAEEVTRCIHIALLCVQHEPTDRPHVSTIVSMLTSTTIISHTNGPKWFCEKKWLGIEVPDMYLRLDLPGVGPDPDDVDVSVVDSKRVLIIKALAPCLNINDSSPRSYETYFRLDCHCCEISSVDNPQVTHGVLRLLVSTTPINIGGSPDDDIHSRLLRLDPDDQEPELSGQIVEPHLGLSEGPASAYEYKQLLGDSVYVRLDMPGVSDVDINVDTVNKRVDVVGEAPTVSHDSGGRSYSVAAHLGLGALITPPRVEHNVENGVVRLFIHPAEGYYATNNPFQKHGPKGFTETKMIGVRKLFVRTDLPGVGQDEYTASIDDSKKSVTIRTWPPPPGINIAFHPRIYKTTTHLKCDCCEIGSFTHQVSDGVLRLVITMTPINNQDQIPDVQEEGRHRFLHDTDNYAAEFTGHTLLVHPDLLPEGSPPRMAYAFKQLPDGSAHVSLDMPGVAKGYFTAEVEDGTRVIVTGRAPAVSHDSNGRFYSAVAATISNPTGTNLVFTMEGGPENGVLRLNIRV >A03p029300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12308460:12309875:1 gene:A03p029300.1_BraROA transcript:A03p029300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGIDLSCAMGSLRNGEFPEKDCLLPLISKLLGYILVAASITVKLPQIMKIVHHKSVRGLSVVAFELEVVGYTISLAYCLHKGLPFSAFGEMAFLLIQALILVACIYYYSQPVPMTTWVRALLYCAVAPTVLSGQINPTLFDALYASQHAIFLFARLPQIWKNFRNKSTGELSFLTFFMNFAGSIVRVFTSIQENAPVSILTGFALGVFTNGTILSQILMYQKSAAAKEKKAN >A10p003420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1708478:1711438:-1 gene:A10p003420.1_BraROA transcript:A10p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNAIRILDEIKSSDLMENRVQLLTRLGQLDPQDNPDVPSFVESLTTLWEDFTCLDVSQCLLNKAILPVASKYLALDRPDCCHYFLAFGIKVSQWCAKHLNMSVMSMEESQEEEHSNVFFQLLLDYLGFSASSFTAIGKICFMRDETAAVTVRKFVSEQLILTKEVILNAKKVESFSSEIFKAAHVVIDSVVPLLLSLKALMITLSSSPAWEELETFLLQNLLHPHFLCWQIVMELWCFWVRHATEDVMANMIDKLCVFMMSISTSETPLCPDSVLRRTAKSICLLLTHSHKSLTARVYKNISTESRSESASDAYLALLLEGFPLNFLPDQTKNDAKRQIVADFFHFIENFSEKPSESSRYTVHGAPVYALSACLGILKTSMPEIDSKTLKFAIALIQKLRNSKDEMIRDHYTEILSETLSIISRSEQLYTCQEMDNVITELQKLFISGTDKHHHHHLNKSEPSLALFLSGLVSYEMSETETCPKSRAVWELYHLLLRKRHWALVHHAVTAFGYFCARTSCSQLWRFVPEDAALGFDIASGREAKTERFMSELKMFLAKEQALLSTTPSQEELELLSKEGMEVKTTMRKLLEERKQQRSVEAEKQPNKRRKLPEGICRGVELLQNGMKRINEGLSELRSDESEFQKSLLNQFSCLEDLVSHLVSLAASD >A04p000900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:441184:441393:1 gene:A04p000900.1_BraROA transcript:A04p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDDSIRNIGSAKATGLKTVFIGDSVLVPGADYALSSIHNKLYQIYGKSTKIISSSHLFSKPRLQPWF >A05p046850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27749899:27759282:-1 gene:A05p046850.1_BraROA transcript:A05p046850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMTMLFCLITMFLIASAYSNTVMRLDMMHRDTLSPIHTPYHRIEDIFGEDKRRHSLISQKIKTTKSGARMSLGSGFDFGAAQYFSEIMVGTPAKKFRVVVDTGSELTWVNCRFQGKGKENREVFRAEGSSSFKTVGCMTKTCKVDLMNLFSLSICPNPQSPCSYDYRYADGSTAQGVFATDTFTLGLTNGSPTSIPGLLIGCSSSTKGDSLRASDGVLGLAFSDQSFTAKATNIFGGKFSYCLVDHTSNKNISNYLTFGSNPTSTLKPPARRTARLELNLLPPFYAVSIVGISIGNTMLNIPPVVWEVRKGGGTILDSGSSLTFLADAAYKAVVSGLERHLVGVKRVKPEGLPMEYCFDTSKFDDSKLPQLSFHFKGGARFAPYRKSYLIATASPGIRCLGFVPAGAPAPNTTTTMLLCLITMLLIASAHSKEETAMRLDMKHRDSLSPNPSPYHRIEDIMGMDQKRHNVISQKIKTTKGGVKMSLGSGFDYGAAQYFSEIMVGTPAKRFRVVVDTGSELTWVNCRFHGQGPEKLENRHVFRAENSSSFRKVGCMTKTCSEDLAKLFSIAICPTPRTPCAYDYRYVDGSSAQGVFAKETFTLGLTNGSVTSIRGLLIGCSSNSEGGASFRETDGILGLALSDYSFTAKATNIFGGKFSYCLVDHTSHKNVSNYLIFGSTPSSTTTKAPARRTTRLDLSILPPFYAVNIVGISIGEEMLNIPPQVWGVKKGGGTILDSGSSLTFLAEAAYKAVVTGLQRHLVGVKRIKPEGLPIEFCYYTTKFDDRKLPQLTFHFKGGTRFAPYRRSYLINAAPGIRCLGFVEAKGKFKTEDGKLIYADGKVEVLEVDGVTIFEDVVFQMVHKTELGNMWYKLPYEDLEDRKSLSNNIDQGKKKLATGGCWMKEIDFYIEKIGEDERICGEEVNVEQENVVLEEEEIMIGQRVNEEERMIGQGANEEERMIGQGANEEERIIEQGEHENEANTVNEEAGEHGFEEDEDDADYEESGNVSESEDDSWSDLRATDDESDENDEAPEEDIDMINNNYEDEIPDEDEVPKGKARIKGVMESPKKGKKHPETKVSRKGREMHCGLCGGKGHNARKCPYESEENRVKRRRIREGLASEEVQASEEPQAQAQQEQGPSTSAQTSQHGSDD >A02p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1511253:1514895:-1 gene:A02p004020.1_BraROA transcript:A02p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTNWLTFSLSPIDMLRSSDQSQFVSYDASSAASSSPYLLDNFYGWTNQKPQEFFKDEAQIAASMADSTILTTFVDPQTHSHNHIPKLEDFLGEVRYSDNSQTETQDSSSLTHIYDPRHHQNQNQNQTGFYSDHNHEFKTMAGFQTAFSTNSGSEVEDSASIGRTHLAGEYLGHVVESSGGPELGFHGGANNGGALSLGVNVNNSNHRTSDDHTRITEHHYRGNNNGERINNEKMVSEKEKPVVAVETSDCSNKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWNATATTNFPITNYSKELEEMKHMTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIMKSALPIGGAAKRSMGLMTPNQAEFFLWPNQSY >A01p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9984249:9986490:1 gene:A01p020320.1_BraROA transcript:A01p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVLHFGGFEVVPAPFEDTNGKMDQGKGDKVSIKFGSLGEPPKKAHENNNNKNNNVLISDVPKDAAEEWPAAKQIHSFYFVKHRHFDDPKIKAKLDLAEKELEKFNKARAAVFDQLKAKRAERSELFDLLDPLKTERQGFNAKFEEKRMEMEPLQQALGKLRGNDGGTGRGPAICSSEEELNNMIYSYQYRIQHESIPLTEEKQLLKEIRMLEGTRDKVIANEAVRAKIKESMGQKDDIQGQVKLMGAGLDGVKKERQAVSARINQLSEKVKATKDEIQVLENELKTVSEKRDKVYSNIREIRKQRDETNSGFYQGRNVLNRARDLAAQKNIDELEALANAEVEKFVSLWCSKKNFREDYEKRILASLDARQLSRDGRMRNPEEKALVTPEAPPVAKVPKAKAKQQPEEEPVSAPESDAAHVAQKTEKAKNALKVKNAVVVDDEDELYGLGKPQKEEEKKIDEATMREMRKQEEIAKAKLAMERKKKQAEKAAAKAAKRAQMEADKREKKAITEREKKAKKNFDAEAEVPEVSDAEKEETEAQVEEKLQKEKVLKEKPIRNRIRSRGGPETLPRAILKRKKSINYWVWAAPTALVVLMLLVLDYYYAL >A04p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7151268:7160273:1 gene:A04p010520.1_BraROA transcript:A04p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCSERKMMMIWSLCLIFSLFNSLLIFASPAKQLCRSDQKNALLEFKSEFHFNGIAANEKTQRWTNTTDCCSWDGISCDIKTGNVVELNLWGSSLNGSLRSNSSLFRLQHLQSLNLSSNNLAGILPDSIGNFKYLKVLKLYGCSFFGNIPSSLGNLSYLTHLDLDGNDFTGELPESLSNLHQLTKLLLASSKLTGNFHHALLNLSELTAIDFSSNQFEGMLPSNMSSFSKLEYFDMSVNSFSGPIPSSLFMIPSLTRLNLEKNIFSGPVEIGNISSPSKLQELSLGGNNLNGTIPGSISKLVGLSYLDLSFWDTERGLVDFSIFLHLKSLTLLDISHLNTRSMLDLSLFSNFASLTLLHLSGNNLHISSTLHLPSPIGSLGLSSCNISEFPKFLRTQTGLFFLNISANQFQGHIPEWLWRLPGLGYLDISQNSFSGFEGASDVIQRSLIEMLDISSNSFQDPFPLLPNSTRIILASDNQFSGNIPTKICELLALETLVLTNNNFSGSLPRCFENFNTTLFVLHLRNNSLSGNIPEEFFSVSLISLDVGRNKLSGELPRSLINCTKLEFLNVEDNEISDTFPFWLRMLPSLQILVLRSNRFHGPIFSPGDSLSFPKLRIFDISENLFTGVLPLDYFAGWSTMSSVVYIAYDKLHRFIGFTFSNYHKSVVLANKGSKMELLGSSFRIYKTIDVSGNRLEVLVFASPAKNLCRPDQRDALWDFKNDFIVQKDDRLSYSNPKTESWRNNTDCCSWDGVRCYLQTGNVVELNLWGSFLSGPLRSNSSLFRLQHLEILNLGSNPNLYGNIPSSLGNLSYLTDLVLSDCGFTGELPDSMGNLNRLIDLRLYNNKLHGNFPLFLLNLSDITQISLSSNNFIGDIPQSIGLLKELVVLNMHGPGHIPPSLSNLTNLQSLDLSRNRLFGTIPPDLEKLTFLAWMNFSNNMLEGLIPQGTQIQSQNSSSFAQNPGLCGAPLKRSCSEGAEEARKQEKENEEKEEKDQVLSWIAAAIGYGPGVFCGFVIGHILSSYRHDWLMRIFRSFA >A03g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3048215:3049739:-1 gene:A03g500970.1_BraROA transcript:A03g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRWPWEEMTTMAVGRDEDDGRGKRWSSDDGRWMSFSSFSFHHRRWPFDVRQATMLLDDVFSASSSSASVSAPSPFGAAPASGSAPLFGSSSSLFSAPSSAAGSSSPLFATSSSSATTTQTSLVVASTTGTSTTVAAPVAGAPKLPSEITGKTVEEEWNTELEERTGSFRKQANAIAEWDKRILQNRDVFLRLEEEVDTIIPNIPRDGPRRLVKNAVRDWSATFRTASRAGQVRRVPPKDKPAAVRDGTGRESPIDISINTPKGFR >A03p047540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22377096:22378677:1 gene:A03p047540.1_BraROA transcript:A03p047540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSPLHAAFVCSMSRALTKRHDKRRDGHHWNKRRSAGLGGDRDTTNVFESSNLAASVITTIGEERMAALGGSLETIAEAKAGITKHGRPVGLGGPFLAHIKDIIYSKAASLSSPVVLASSIGSGRLVHQSKASPTKMGLGFVSLVTLLYKVKKMINQEVWKLILLRLDPVCNLFSSWLQLLSWLRQGSAAAPKTMRTVVAQAAIFSSMASTQQTQQRSSQPRVNKGHKFV >A04p015980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9847204:9848374:1 gene:A04p015980.1_BraROA transcript:A04p015980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCCCFQVDLFESYANPNTSMSRNCPCLNCFLQSFMGLYASIFNRGGMHPIPSTVEAATVMSSTTSFDDSSLSDVYHSPPRPLPYDADPRYFRFAKGSSHSGEEAEPLRGDTEMSSEVSGGGAKRNKSGYEDGSKEAYSKGSPTDEKSKLQLSYADSDDEDICPTCLDDYTPENPKIITKCSHHFHLSCIYEWMERSEPCPVCGKVMAFDETG >A09p069580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54207325:54208200:1 gene:A09p069580.1_BraROA transcript:A09p069580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVGSSFGIGMAACVRDRGGVSAQDKAVPPPALFMADESGRGGGSQIGLASRLGLSMSNKSAEESSEDSSSSIGEISDNEEEDEDDDVSSQGEGGALDSFSSSLEDSLPIKRGLSNHYVGKSKSFGNLMESSNINAKDLEKVENPFNKKRRLIIANKLRSRGRSMSVSSFYTWQNPNSTPLLALQEPNKVDADGDNQTISLFERRKMMMKSKKDLMAQTQSCFCLSSLQEEDDDGGSCDDNE >A03p002020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:975633:977570:-1 gene:A03p002020.1_BraROA transcript:A03p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFGSYTDKTRVLDIKPLRTLKPVFPSGNQAPPFVCAPPFGPFPPGFTPFYPFSSSQHTPDLNQNNTSEPSLVTPLRTFRSPPPPPPDTATNGGAVELTVKRKIPRKRTPIAQNPNFSSGITVAEKENGDRKLVMSVLTRFDALRRRLSQLEDAKESVTGIIKRPDLKAGSTCMSRGVRTNTKKRTGPVPGLEIGDVFFFRFEMCLIGLHSPSMAGIDYLVLKGGGEGEEEPIATSIVSSGYYDNDEGNPDVLVYTGQGGNADKDKQSSDQKLERGNLALEKSLVRNSPVRVIRGLKEASQSAKIYIYDGLYDVKESWVEKGKSGHNTFKYKLVRAPGQAPAFASWTEIQKWKKGLPSREGMILTDLTSGVESIGVSLVNEVDGENGPAYFTYSTTVTSFKLTQQPSYGCECGGACKPGNLNCHCIRKNGGDFPYSGNGVLVGRKGMVHECSPACLCPGCKNKVTQMGVKLKLEVFKTVNRGWGLRSWDPIRAGSFICIYAGEAIDKSQMQPTVANDDYTFDTTRVYTPFKWNYEPGLADEDGTEEMSEEPELPLPLVISAKNVGNVARFMNHSCSPNVFWQPVSYENNGQLFLQVAFFAISHIPPMTELTYDYGVTRTSGAQSLCGKKKCFCGSEFCRGSFG >A08p008030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5275752:5276367:1 gene:A08p008030.1_BraROA transcript:A08p008030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKIVLKIPDDVPFDEAYYTHNLWIFFRKRVEKQKRTSSELSIMSKAMADQLGLKIEPSKDLFTFVDCSKIGWNTSLLFGRAYTANVGVVCNMQTNKLCLTLVDGSVFYNHVQVQGEHTSYIELGDDP >A08g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14197289:14201763:1 gene:A08g508040.1_BraROA transcript:A08g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLHRLHPFCAIKGGYQHLNSAKQNSPNNPVLMGRLFRLIMGLWCKSSTGVWNFKETPNSEGKALIINRTDSVDGIVERIRITLNLGILTPVVLTYQLPPWMLHPDGPTTPPITLVSNKDVEIMTSVTDYIEDAVLYVTSGPEHVSKYQFLCRTPFTIDEKTYLEDGVTEEEHRQAILDLVGGHPIVCSKHMLEIMFNEPQLLLVFRVALEIEMVYGLENDDDHNAEPPDNLTINESDFLSFEGAVPLSPDPLDNYNTQDEVLYGEPITIEDLQNSVPNFEATPMVHQGDTFDQEPLHVWENMAEDETYWDGMMEGERAFEVYIARSPLPTEEVIGLSLAHNRRVCAPQPQTFIVIDDDDDNSYTGSTNGNNELDNIIALPPPVQIEPDSTVDNINSITVLTRGEPSAAEKIIHTVDAPNNLHATSPTATGATTEPFLDLTLGVGIGNNRADPEPLSDGGDASSESEDGCVKMKNVEKYEIRRVISEHTCSVDERAGINHINNISCSNFPSNNKRGGMLVRRINDVGFEVKDKDGCSYHVNLATKSCSCYSFQKLLIPCSHAIASAIKEKVSIESLVSDFYTVENISLVYGEDILPISNESNTSGPSTEVVGEAIEIFPPSSRRPPGRPRKSRILSTGEIRPVQRVGTQQSNLQSCDINATGNMRSWRFSDDEGV >A05p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10425329:10426002:1 gene:A05p021860.1_BraROA transcript:A05p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNKISRYSGLKKEEYLNKVSHAMDQNVDHHTHPQSHAEHDQNNPSLISQASTVIQQTGGQVKNMAQGAADAVKNALGMSPATNNPSSPAGRTHPSNPSSPAGTTRPSNPSSRNI >A10p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5409435:5411230:1 gene:A10p013220.1_BraROA transcript:A10p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPELTPPPPPPLLSSEFISSVIARLAHQEEIQKTTNDQLAAIVAALSAAAENSQPFHLHLLNTNPPTPTDGRTTNPADPAETLHAPSDKNNRKNGLLYFVDENGKKWNTFHRETDPPSESPRATATAAVAQVDSAAGSSRTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESGELKIPPPKPKSKNSWSRNKDRKNQRKNQAVEDQPAVRQRIEVIRTQPEPSSDEESDLEEALDPLDLRTFLKRMITPTNNETPRPSDLRVELNAKRTKHALSQGSPPASTGDNTIVDLRDQLNARMDDL >A01p031150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:13381936:13382154:-1 gene:A01p031150.1_BraROA transcript:A01p031150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRRYGVTGVSSETFELRCYCFGRGAETFAFDTMMGGGTETYYTSDATSICAYPYEEMCGAESSCMLLAH >A03p049090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20999976:21008036:-1 gene:A03p049090.1_BraROA transcript:A03p049090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVLRRLIESFYVFNYSPCARMNILGYFTGLFFYAAAPLSLCINIAPEVVTFAGNQVAEFIAKGKSHTSAPEFDLFSSLSPLMKLGWCQMIGAVIFLWGWIHQRRCHAILGSLRKSPSQAKEYIIPHGDWFEMVSSPHYLAEIVLYVGLLLVSGGTDVTIWLLFGFVVGNLTVAAGETHRWYLRKFENYPANRSAIFPYKWTVPQKYFAHFYVFGVAWTTLLLTITWMYAFKIVPLSSEEFMFSRHLTGGSHVENQFKVWRAVLLLLLMEIQVLRRLIESFYVFKYSPSARMNILTYLGGLYYYAAVPLSLCVNLVTEFISHGKGHTSSPEFDLLSSLSPLMKLGWCQLVGGIIFLWGWLHQRRCHAILGSLRENPSQAKEYIIPHGDWFEIVSSPHYLAEILNGVMLCVGLMSLTSEAQK >A01g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12657648:12663348:1 gene:A01g504190.1_BraROA transcript:A01g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMKINIDRCTQVPSIDVEKLNMRHFGSSGLEAQVQALTKRFFCYSFTLRERERVLERRSLGISYSRIGLARTRRSMYSTDYRSTTIDRHFFVVNILTVETRDLQIGIYKYYNLQHVNSGPACMPSGTRSNKEKDLLFSDDPAHLKRTICRGQRSTSLDATTLLSIDTHNQQSTDTRPSSSIDPNQYESEYETEYSESIDTHTFPSIDSNESTVTDDRNKTSLDVDQPVDHFTRPNHCYPHFASKQERTWADSGFHESFAVDTVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSIDICAGAKIQEQENIPSPTKFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSTSTGKSIKSNHLKNTSSAEIILPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPNKHVGVAATEINPYLSCQPKGQASIDGITQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYGDECGHARGVAGEMIHVTKDDIKKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGDNELFRRSQDLSIDRRTTCTIDRRQSSDSLDLDTIRKKDQQPATSIDVCTITSLDT >A05g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1833233:1834872:-1 gene:A05g500440.1_BraROA transcript:A05g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFRAKQNSVTIGKQLHDHNSRDSRSASLSKATISDGEEAIETVKLLALKEGLLVGISSVAAAATALKVAKRQENGGKLIALLRQPERAKTAFQDIEQQETSNAKNKHGRVLIPTHLVVEWAKNDSSMEEKQSIIQL >A01g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11115003:11120982:1 gene:A01g503600.1_BraROA transcript:A01g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLFLYLCGIQVIVEKGEKSDIPTIDKKKYLVPADLTVGQFVYVIHKRIKLSAEKAIFIFVIRTEQNDHRSSFSTGVEAKQKGKLVDLRDKMAPKKPDADQTADQMQQQMGRISLLEQSMTKVETMEQTLSAMQQQMNAFFGRWEQEKNEQGGEKARSLEADKGKAHQVGETSIGEELTPATKETGQTSTLITLAGALMSAGYEEKKDDDGFLYVTYSGENTFGSP >A09p017980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9369059:9370521:-1 gene:A09p017980.1_BraROA transcript:A09p017980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMIFAAAMTAMILVSLQQVEAQPATMTCVNKLVPCFSALTTTTKPPKDCCDSIKEAVEDELPCLCTVYNTPGLLSQFNVSTAQALNLSRRCDVTTDLSACSGTGASSPKASLPPPAGKRGNDTAVGNKLTGYGVTTVILSLVSTIFF >A04p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18503997:18505329:-1 gene:A04p031350.1_BraROA transcript:A04p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQQLGLAVTAVDGDGNGNGNGNGGGGENSAPSNEGGDDSVKTARLPRWTRQEILVLIQGKRVAENRVRRGRAAGMGLGSGQMEPKWASVSSYCRRHGVNRGPVQCRKRWSNLAGDYKKIKEWESQVKEETESYWVMRNDVRRERKLPGFFDKEVYDVVDGGVVPPALALGLAPAATSTSVEAEGVLSELERREPAPKSLQDVIDKEKQAACGADDQGRVKEKDAEAANPEAGSTSQEARKRKRTSASEDEEKEEEATKSMDNQLIQILERNGQLLAAQLEVQNTNLKLDREQRKDHGDNLVAVLSKLADAVAKIADKL >A06p005110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1496239:1498569:1 gene:A06p005110.1_BraROA transcript:A06p005110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g02750 [Source:Projected from Arabidopsis thaliana (AT4G02750) UniProtKB/Swiss-Prot;Acc:Q9SY02] MRCAGTKRLEELCAQNQHSSFNGLKPRFNAKKEATFHSSAKTTQTQLQKPQSKSGDEDIKQWNVAISSYMRNGRCNEALRVFERMPRWSSVSYNAMISGYLRNGEFETARKMFDEMPERDLVSWNVMIKGYVRNRSLGKARELFERMSERDVCSWNTMLSGYAQNGCVDEARRVFDRMPERNEVSWNALLSAYVQNGRMEEACALFESRENWALVSWNCLLGGFVKKKKIVEARKFFDGMSVRDVVSWNTIITGYAQSGKIDEARKLFDKSPVKDVFTWTAMVSGYVQNKMVEEARELFDKMPERNEVSWNAMLAGYVQGERMEMAKELFDVMPFRNVSTWNTMITGYAECGDVSEAKSLFDKMPKRDPVSWAAMIAGYSQSGHGHEALRLFVQMEREGGRLNRSSFSSALSTCADVVALELGKQLHGRLVKGGYESGCFVGNALLLMYCKCGSIGDASDLFEEMTGRDIVSWNTMISGYSRHGFGEEALRLFESMKREGLKPDDATMVAVLSACSHTGLVDKGREHFYTMTQEYGVTPNSQHYACMVDLLGRAGLLKEAHSLMKAMPFEPDGAIWGTLLGASRVHGNTELAEIAADKIFAMEPENSGMYVLLSNLYASLGRWGDVSKLRVRMRDKGVKKVTGYSWIEIQNKTHTFSVGDEFHAEKDEIYAFMEDLDLRMKKAGYVSKTSVVLHDVEEEEKERMVRYHSERLAVAYGIMRVPQGKPIRVIKNLRVCEDCHSAIKCMAKITGRVIILRDNNRFHHFKDGSCSCGDYW >A06p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20460053:20462215:-1 gene:A06p037830.1_BraROA transcript:A06p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) UniProtKB/Swiss-Prot;Acc:P57681] MTKMMNLSPETVSLLLLLALLSPTLLLCSGDSTTVEDESPPTVCIIGSGIGGSSVAHFLRNYSASTALSRSRILMFERHERVGGRMRTVTVSGDTFEAGGSILHPKNYHARDFVKRFNLTVRSPTAIEESSAVGIWDGKRFVFKTFGSSVKIPFVDKIVSWLNDVYMFVRYGLSLLRMSNFIENMIDNFLKYYESLESRPVFDSVEGMLKWSGLYNLTKVTLLEKLSEAQLSPLLVNELVTVITRINYGQSVLISGLAGAVSLAGSGGGLWSVEGGNWQMAEKLINHSDVTLHLNEQIESVSHLGDYYELKSAKGNIFKCDVTVVSTPLDEVDIQFSPAISIPKRELQHTHATFVRGLLNPGYFGMKSVSDVPALVGTLEDPLIPFSCISILRKYGATDMTYKMFTRQPASDSLLDELFSARTETVRIDWGAYPKYHAPEVFAPFILDDHHLYYVNAFENAASTMETSAVAGENMARLIVSRFMTKESSSSSPSSDTKSCSSGLHSDM >A01p031280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13328543:13340626:-1 gene:A01p031280.1_BraROA transcript:A01p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYILHESKKKLTDLRISPVPRRERELLCFYYVHRCVFGSNNFLTDTFTRKENPTEEEGPRVKFAKTRSGENVEKTTEEGETRAVEIVESTAKTTDESTVKTTDESTAKTTDESTAKTTDVSMEMTQTTDGSTEKTRKDSSENTAEMTEPFNVVAEAAPTTLNKGPGDEENEETASGDEENEEAASGDEENEETASGDEVNEKTASGDEVNESSEEEQENPDGENESSNQDHEDSEEEQANGEGEDEANENGNPPEPPESYWKNCQFWYYLGEQSIQLGDVMKLVKRTLKLMRTVDKKVDQLDGRLAPLEEFVKEAQAKAVEEEAPAQEKAKKQKRRKKIRPLRSLGHDSKPTTVSKQPPSLSGRKLSSRSSPRVPIQRPRGRNGDAPSSNSPDGKERRPHLMKFYRWSTEWCSSLWLDAVREIDSSFRRTIVVVSKFDNRLKEFNDRGEVDRYLSASRYLGENTRPFFVALPKDRTTVSNDEFRRQISQVDMDVIRHLREGVNGGFDEEKFRSHIESELQKRYKDAAPATLALLEQRCSEVTDDMLTMDMKIQATSDGALIDGAANPAPEQWGKTTEEERGESGIGSWPGVCLDIKPPNAVLRLYGGAACERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEASAEIARTAARSWLAPLLDTACDRLAFVLGSLFEIALERNLNQNSEYEKKAENMDGCVGFHAALRNCYSRFVKNLAKQCKQLVRHHLDSVTSPYSMACYESDYHQGGSFGSYYKPNQASGIGSFCFELSDTGRDEPMKDQENIPPEKSKVQETTPGKGEETHITKEIGNGHHHDGGGARKRVARMGGNRNIQPLRIQNGEGGLLFGTTENGMKSSSAYTEICSSAAQHFARIREVLVERSVTSTLNSGRDRLVVALGVDLFAVNDEKFMDMFVAPGAIDSVTLFDRRSVTAMSGPLPTAVSFVRDGWSAFDSGAHLSSRCSRRLLTLASHLLLRWFRPVWFRVYLGFRLDMKICFLSTVFMAFGFGSSVLNRASFSLWTFGDFGISVEVLLCRRCVNSLLSAAAVLTTDSCFRCVSGGTRRLELMSVTFRSAMIGGFLTLGHVFLTR >A02p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28453371:28454260:-1 gene:A02p045390.1_BraROA transcript:A02p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNIYSTFCGLYDARGRHIVDVSRTSGVSETGTARGRCGDVSETFQRGWGRQRFLGTAWLGFGNVSETFRMYSRHNFVKVMTSQGASDNVDNASEEAPLWNYVTKLEKSGAKGGTWKFKCNICNEDRQGSYSRIRAHLLGIKNQGIAICKKATRSQKSDMQKLEDEFEKKKNE >A04p028390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17073177:17073570:-1 gene:A04p028390.1_BraROA transcript:A04p028390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVSVLNIGNVGDCGLKLLSDVSQIIFSTTPQEYYFDCPYQLSSQGPAQTYQDASKGDVIVMGSYGGFFR >A03g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31053927:31054613:1 gene:A03g509630.1_BraROA transcript:A03g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTAAAAHEVYVPSVIRSSADEEEEVQCLNSFCRKRPTSYGLLIDAAVDIVEQSNRQFLVIVVDGHLKFRGREVWIWPRENSVNKRRQLSTPFLTREANSPEFFSRRSSYVDCFNQCRRRAMGRHEEVTLS >A04p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15964460:15966024:-1 gene:A04p026550.1_BraROA transcript:A04p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPFNSKIPPSNFPPLLHFFSDTSLFIYHGNDKERYQICVSQKMEKEKLEKIARQGPGEQAAGSAKASGGGTSSAESTGPKVSEDKDRNYAVVAGVVAVVGAIGWYMKSGGKKQQPEVQE >A03p049120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21019667:21020220:1 gene:A03p049120.1_BraROA transcript:A03p049120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPKKILTEITKLSSTAPTSFPFNFYSCLEDEDLIIAAERMPNIKKNCLGTKGQFKESTLNSLEKTRTILPIQPSELRTLGQSYKKIHSEDRYVYHVSESRLLHM >A09p058700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49354105:49367292:-1 gene:A09p058700.1_BraROA transcript:A09p058700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIHILADCVCILYMLSFIFKHLIHPKMETKMVSKSRRLHFILIPLMAQGHLIPMVDISKILAEQGNIITIVSTPQNASRFAKTVERAKSESGFQINVVTFPIAYKEFGLPENCETLDTLPSKDLLRKFYDAVDKIQEPLERFLEEQETPPSCIISDKCLFWTSKTAKRFKIPRVVFHGMCCFSLLSSHNVHLHSPHLSVSSDSEPFSIPEMPHKVEIAGSQLPGAFRKLENMDDVREKMRESESEAFGVIVNSFHKLEPGYAEAYAKAIKKKRIRGRGIVIKGWSPQAMILSHGSTGGFLTHCGWNSASEGVSFGVPMITWPMFAEQFLNEKLVVEVLKVGVRVGVEIPVRWGDEERVGVLVKKHSVVKAIKLLMDEDGNEDGEFLGRRIRVQELAVKAREAVEMKGSSSTNVSLFIQDVLEKLKLLYSIELFSSSQVNSFNLLSSHNLHLHSPHLSVSSDSEPFTIPAMPHRVQIARSQLSGAFRKQANRDDVRREKMRESEAEAFGVIVNSFQELEPGYGEAYAEAIKKKVWFVGPVSLCNDRMVDLFEATTVTWRLVRPHA >A06p055670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29053955:29055462:-1 gene:A06p055670.1_BraROA transcript:A06p055670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSANENSDKPRRTVTSFRNFVSQNHDSQFPAESGRYHLYISYACPWASRCLAVLKLKGLDKAITFTSVQPLWRKTKENDEHMGWVFPDSDKEVPGAERDHINGAKSVRELYDIASLNYTGKYTVPAVAEVLWDKKLKTIVNNESSEILRMFSTEFNHFAENPCLDLYPPNLRSLINETNEWVHHGINNGVYKCGFATTQTAYDEAVKQLFDALDKCEEILEKQRFLCGNTLTEPDIRLFVTLIRFDEAYTLIFKCSKRLIREYSNLFNYTKDIYQIPGLSSTVKMAHVKQNYYGSFPSINPLGIVAHGPNIDYSLSHDRHRFS >A01g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15622555:15625110:1 gene:A01g505190.1_BraROA transcript:A01g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDADNMQIPLNRGSGTDLHTPVADVSAANAQANAATLEEFKKMTQAIRPRGTTKIRGRRLDFATPLDRTGVARERPSAQNPSEKSPIKKGNSESLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGEVSMTLGSIQLPVMAKEITKIVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASATANGKRAKIDRSSAKSAPGEDEVKSSIDANASDVEARHKSESPRDNSTGTSGK >A07p002570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3756405:3759302:1 gene:A07p002570.1_BraROA transcript:A07p002570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTEKFVASRPSTVDSTAAMRGCGLANLTWVGVDKEELRQRLLMPEYLRLVMRDCIKRKDASAIPDHLLLPGGGVADMAPHAPMVVFINPKSGGRHGPVLKERLQQLMSDEQVFDLTEVKPHEFVRYGLACLEKVAAEGDECAKECRARLRIMVAGGDGTVGWVLGCLGELNKDETSHIPPVGVIPLGTGNDLSRSFGWGGSFPFAWRSAVKRTLHRASMGPVARLDSWKILVSMPSGEVVDPPYSLKASEENELDQGLEAGVDAPPVTKTYEGVFYNYLSIGMDAQVAYGFHHLRNTKPYLAQGPISNKLIYSGFSCTQGWFCTPCVSDPGLRGLRNILKIHIKKVNCSQWEEIAVPKNVRSVVALNLQSYGSGSHPWGNLKPDYLEKRGFVEAHSDDGLIEIFAFKHGWHASFVMTELISAKHIAQAAAVRFELRGGDWKDAFLQMDGEPWKQPMNPEYSTFVEIKKVPFQSLMINGA >A01g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25622330:25624892:-1 gene:A01g509480.1_BraROA transcript:A01g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPLPVHPEPLIGDDNLPLPEMMFAAGEEPVGVRVLTYQSSRSINHILESLEDDEIQTLRMSPFWKIVEISEKPSFSGRFARFMLSRQLKVEKKHEAWFRFAGKPIRFSIREFAIVTGLNCGKYPKNCKRNAKVKRKAKPYWPELFGRSDELRVTTALKNLRRKTITDKEVRIKLACLAIVFSVILATNLKMKMIKEHADAMVDLEEFFSFPWGRLAFEMLMGSIKQRNEVSLSTDTIAVKGFALALQLVMVEAVPALTEVVLESYSSSDSDSSDDGDDFFQKNNRQKTLSPGHARDLDKTKDVVVRSIIPDDPDRPIIAESLQWEDEVMDVKVDNLLKLIAEGYSFTAEMFKGGATKADVQRMREIHAEGGKRKRKSIPVKEKETDEEKRIASIVSAMLQSEFERVDASVANAVSLSDKTSAEVEALERRIMVSIKNELQNFKEEVIRSVMEVHNKANATTVTTGPNVNSSNNEVREGDNAVPQRDPYAGDRGVDARTSTAANPASPFPFVVQPNVPSSHDPPLSATSENNGSTKIAVKSYLFYKMPISSARLREEQNPSPSATGFVQSIDTGLEHGEEDANEEALLPPSSPKTKRHKSLPSDAVRETNPRSENLTVNPPDANPFNAYSDIDVVMSKYTKLCQKLSQSFVINVAGLAVTSTYLTSIAELRRSLPARVIDILVRSSSSLC >A03g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31443310:31444641:1 gene:A03g509790.1_BraROA transcript:A03g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDVGESKEGSCMAYEAVFFSNLFLEWDCTPSSASSRPPPSPCTSATRRPHNISKMVSKRWLEEPYDVWHWPSEPMSLKRAIALECGILTSDADASEPNLGMGACIRLNMKPHENWKQLFSIKRSSSRSFLRVMQDMYLNLFFGDEVFKYFRSDFGDSGYLNHLSMVFQLEWRSQMLQMAEGRAV >A03p008080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3257316:3271278:-1 gene:A03p008080.1_BraROA transcript:A03p008080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVFLEKPTSNTTTTLPDLSLNISLPDTHHNESSKELSRRSSQTDNNRSSNFELSLSHHNNPSSNPSTRILHCPDRRNLYNNHILNGGSLHQRVDESEINNIHRPIRGIPVYHNRSFPFHQQASPSPLPSLGGDFSILNSSSGYNNAYRSFQSSPRLKGVPMHHHNHYGVVGSSDSSFPHHHNHHHHGMVRSRFLPKMPTKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTNKPAASSDGSGEEEMGINANERAQSDDTSPHHQEIDFPSTQPRWSNSSGETWPLSNNCASDIDTVIRTSSTSMISHHQKTSLLQNQDQRSNDQGKRCGDLSCNNPSLDLKPLAMSCPRDSITYNATRCACGIGQLLNRSSGSCEIFGWPSTISTDKDISYKGISFAETLFAFDRIKKFTQSQAVFLEATLVMLLSWLVFCFFLRFTKLGDGRNVWFNLRWWITRLDVFFSTRHWLDDQQIVKKRKTELGGMFSVASWIVFIGLFAALLYQILTKRTIEVHNVRATGSPDLISFENDLEFNITAVSDMSCSNLRGIGNVLTGNPGFSDLKVASLSSFGNYTCRNTTSGPTVNFKCNKCRLANDYIYISWHFVDLPGAPAAAVGFQFNFTSKNGADKKKHVSFVSGTLRNGSILDERPVTFRGSEGNILKFNLFPRIYHHLGDLKLIQPLFHEFIPGSVYRETAQLQASLGRSADGILNTTLFINYLSSYIVEIDHENILGPVSFLADLGGLYCISIGIFFYILVQCEYRIKKLRNEDTIFRRIRKRRKALDHWDKLRRYVAYTYDCRILGDDAIKTTKVSSALCGLARPSTSPSECGSSRTNMQHFIMSAKKPGLSIEKNVTPQPASLEMRPLDSASSLAPNTSNKKSISQSSHSNGDIIPPPPSMEFSEGSSSSEVDAVDIKKKLQLLYDYNVLLREKLLDTQSLLNALGAKASSSSSTKEHST >A07p048110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25715395:25717472:-1 gene:A07p048110.1_BraROA transcript:A07p048110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) UniProtKB/Swiss-Prot;Acc:Q67YU0] MTPEMTSSFLLMTFAICTLTIAVGPSELLRIGAIDVDGHFTFKPSDLASVSSDFGMLKSPEEPLAVLHPSSAEDVARLIRTAYGSATAFPVSARGHGHSINGQASTGRNGVVVEMSHRNIGTPEPLVEPEEMYVDVWGGELWVDVLKKTLEHGLAPKSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVLELDVVTGRGEVMRCSEEENTRLFHGVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSSFEVFTEDQEYLISMHGQSKFDYVEGFVIVDEGLVNNWRSSFFSPRNPVKISSVSSNGSVLYCLEITKNYHESESDTVDQEVEILMKKLNFIPTSVFTTDLQYVDFLDRVHKAELKLRSKNLWEVPHPWLNLFVPKSRISDFDKGVFKGILGNKTSGPILIYPMNKDK >A05g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17337358:17338401:-1 gene:A05g506050.1_BraROA transcript:A05g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWEDPGILTIPARPAIPVTPVMHPNMRIIDLINQTAKDWDVGLMENYVNFDDIPLIRSLAINSSHRRDIFCWSYTRNDQYTVKSGYWVAQNLLNTWEEHEILEPSITKLQAFAWKLKAPTKICHLIWQLCGELEESVTHAIFECPPALQARTLSATPTSPEVFPVSSVYTNMDYLLWRKNSIIESKQDRYPFPWIIWYIRMARNDKLFRGIDRDPLELVRYAESECQAWFDANEVVQPGVQENNVVSPQVISLGNICLSDGSWTASANFSGCGWVWMDSRGITQLMGIRNLTRREISLAFGSRSTAVGNGEYAPTLNMPELRD >A02g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6464273:6467037:1 gene:A02g502040.1_BraROA transcript:A02g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNFPSQSHLLVIIIFITTLLTPITTNNTSPQPWNILSNDNFAGKLTSASSSVEAASIDFGHVTKILPSAVLNPSSVQDIIDLIKLSFDSQSSFPIAARGHGHSFRGQAAAKDGVVVNMRSMVNEDRGIKVSRTGLYADVDTAWLWIEVLNKTLELGLTPVSWTDYLYLTVGGTLSNGGISGQTSRYGPQISNVLELDIITGKGEIATCSNDMNSDLFYAALGGLGQFGIITRARIKLELAPKRIYLLSTVRSLEDRLNDKTTPCHLLSRSRQVLRRIFSTLSQRGNGGVKREFKLCKRVCVRERCGMIKCLPLYPKKMYFMR >A01p024170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11919699:11921243:-1 gene:A01p024170.1_BraROA transcript:A01p024170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQLPNADTESLQRLLNLSLGVNDNNTENVNASQPANSIGTDQPLGIEFVEKILNYEFKDKNLLLQAFTDASFDENCVSYERLEFLGDTVLNMIITKYLYFRYEDATPGSLTRLRAFNVDREKLARVAVKYNLHRYLRHKKPLLEDQILKFAKDIEKYPLHSRHLLETPKTLADIVESTIGAIYTDCDSFETVCKVVKPLLEPIIPLDKLENHPVTELNEICQKKNLKLKFDDNTWEVDKTVRVFIEDHLVGHGHHLVKKDIAKNCAAQNALEKFSHTFPQI >A04p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10040588:10041269:-1 gene:A04p017560.1_BraROA transcript:A04p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFFPFRQGLTFLSRVLSLVSSQFYDMMIRSYYNFVSHYCRSTEEPIQSAKASELSVPTYALYCIACETIPYLFDHVSVFECGNPSRRNDLADQLIEQIEYHFVCRCHEMCLKPKRKPCDPEIDSCLPASLGQVSTLSIHPYGNHVIP >A05g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13052725:13058575:1 gene:A05g504700.1_BraROA transcript:A05g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTGRLISCLCSNLKQIGTFSEFSTGTRTETRYDSFDRERSRLADGICCWTYVSLSKMPRSDRKIGMDPALAGRMSLSRFGQGMEWIEGRHKEQWIGSLICHTAALNGLEPLVVHRCLPASSQTTPGSIARVGGSNGRTDGRSAVQSCLGWMVLAGLSLACVRT >A06p007830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2715011:2716976:-1 gene:A06p007830.1_BraROA transcript:A06p007830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFIRLTINNLALKAPQAAKTSSSVVHPSPSTSFCKIKLKNFPPQTAAIPYIPLEATQFPEIQTLAATFHLSSSDVARLSSRSIFASKPCLKIFIYTGRAGGACGVNPGRHVAEVSVPLDLAGAQGKQCVFHNGWISVGKGAAKESSFHLNVKAEPDPRFVFQFEGEPECSPQVVQIQGSIRQPVFTCKFSCRNTGDRTIRSRSLPPETSVPRSWLNSFGSERERPGKERKGWSITVHDLSGSPVAMASIVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGGATDGLGYRFELIPDGSSGAGIVLTESSISSHRGGKFSIELGSSPTAGSVSRTRRRSGGSSGGTSPASSPRGGSGDYGYGLWLGSGYKGFVMSASVEGEGKCSKPCVEVSVQHVSCMEDAAAYVALSAAIDLSMDACRLFNQRMRKELCSESLG >A06p004740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1310111:1311179:-1 gene:A06p004740.1_BraROA transcript:A06p004740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 6 [Source:Projected from Arabidopsis thaliana (AT1G49030) UniProtKB/Swiss-Prot;Acc:Q9M9A5] MGRPDQTPSPRMHNNFNPMFHPQPAPEPPVYEKQMVDTEQKYPHNGGMLNQSSGVPNMPRPVQPTYINPGRMGNQPYVPPQMARPVNINQNWTSGLFDCMNDGENAIITCFFPFVTFGQIAEVTDEGATSCGTGGMLYGLICCLFGIPCVYSCTFRAKIRSKFGLADAPAPDWITHCFCEYCALCQEYRELKNRGLDPAIGWSGNVQRQRQQQEMMAPPMGQRMMG >A10p014030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4404888:4407954:1 gene:A10p014030.1_BraROA transcript:A10p014030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPILQVETTCGSLLYELQIIWDEVGETETDRDKMLLELERECLEVYRRKVDHANGCRAQLRQAIADAEAELAAICSAMGERPVHIRQSDQSVGSLKQELGTILPELEEMQKRKTERRNQFLFVLEEIENITNDIKGQGQLVLPKPPIDETDLSMRKLEELHCHLQALQKEKSDRVETIRKHLCTLYSHCSVLGMDFNEVVSQVNPTLTDPEGPRSLSDHTIDTLDAAVQKLREVKIQRMQKLQDLATTMLELWNLMDTPIEEQQEYQHITCNIAASEHEITQANSLSEDFIKYVEAEVVRLGEVKASKMKELVMKKRSELEEICRKTHMLPVSDSAMDQTIVAIESGIVDATLVLEHLEQHISKVKEEALSRKEILEKVEKWLSACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVNKLPVMVEALASKTIVWEQEKGIEFLYDGIRLLSMLEEYNLLRQEREEEHRRQRDHKKLQGQLIAEQEALYGSKPSPSKPLGGKKAPRMSTGGAATNRRLSLGAGMHQTPKPNKKADQRQADGALSTGRRGLDVAGLPSRKQSMNPCEQLQSPLVRKPFSPISTTVVASKANIATPQQLVPISKSNAVNEISSFATPVKNNNIIKNLEEEKMMMMMMMQTPKNVAAMIPIPSTPATISVPMQTAPTPLHNNNDARFLPEKAEVIEYSFEERRLAFMLQSESPIHV >A03p034380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14521083:14521469:1 gene:A03p034380.1_BraROA transcript:A03p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIALLLFVMVILVSLHANEAHHRKGDPAPEKDLTAKKKSIQGLTSEVKPLSKSEQVLNNNLEKDHNKKKVLSKKDQKKKLDSMAYAQKLRRFRRVTKIKRAPARKRKSESIIQSILHDFGLNGGRN >A09p014890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7762185:7762520:-1 gene:A09p014890.1_BraROA transcript:A09p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCSKCYHDLRVTQEASFEPETSLESALASSSSSSESSGTAAQTSAKTRRCLSCNKKVGLMGFKCKCGSTFCGDHRYPENHECEFDFRGQGRDAISKANPLVKGEKVKRF >A03g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8060570:8061840:-1 gene:A03g502520.1_BraROA transcript:A03g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVLLLLKSIRASAVRGSDVVRDVPQKVCQDLCQDVRQDVEWRSCLQGDCLSLVALELALCVVELAIACSSCELCVCLGDQAFQTHLVCPLRCGDQAMCWCDVLVGICIMCLVLTYSVTYLVKYSVTYLVKYMVMYLPRGGRLKP >A05g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18462709:18467725:-1 gene:A05g506460.1_BraROA transcript:A05g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDTTMGDHANQDDLTAAMALMQQQMQQMQQTIQAQQDAAEQAALARQEQRAQTFFKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDTLCDSGSCVNLISKAILDDLGIADVEQKLKVVPEKEHGDKGEGRLGGEPEWSRNQNHKWHSERSCVTSFFVTSPYQSSKKKKNENNVMEKGKKEKKHGATGKVEQEVGTKSGVALDGRWTSWNKRGRKQCNLPPVALIYLLFLFFLRILRYSRQKKPDLRVGDLCLILCKGRRLHLSHHREESPEPLFYFIYTSKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLVFN >A09g513390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40723948:40728574:-1 gene:A09g513390.1_BraROA transcript:A09g513390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKPLDWYCKPVPNGVWSKMVDYAFGAYTPCAIDSFVLGISHLALLILCLYRIWLTVKDHKVEKFCLRSKLYNYFLALLAAYSTAEPLFRLVMRISLLDLDAAGFPPYEGFMLGLEAFAWGSALVMTVVETKTYIRELRWYVRFAVVYALVGDMVLLNLVLSVKEYSGSFKLYLYISEVAVQVAFGTLLFVYFPNLDPYAGYTPVPAETSEDYEYEELPGGEQICPERHANLFDRIFFSWLNPLMTLGSKRPLTEKDVWHLDTWDRTETLMKSFQMSWEKELEKPKPWLLRALNNSLGGRFWWGGFWKIGNDCSQFVGPLLLNELLKSMQLNEPAWIGYIYAISIFVGVVLGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRKKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVSLVLLYQQLGVASLIGALFLVLMFPIQTVIISKTQKLTKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKAQLLSAFNMFILNSIPVFVTVVSFGVFSLLGGDLTPARAFTALSLFSVLRFPLFMLPNIITQAVNAKVSLTRLEEVLSTEERVLLPNPPIEPGQPAISIRNGYFSWDSKV >A06p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21605131:21606840:-1 gene:A06p039970.1_BraROA transcript:A06p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKESIERARCEELAKSSSFYRKVYSEIEEVGWESLRRLGGDLTLFSFHILDKKGRAHILGLQLHRDYPKSPPSLSSDVPYMFTLEWSTTSSLKDVMHQFQKHLDSLQEFWSVMDVIDKSLCVVDAKQPSRASPIRRIRAGKDCNIIAHINFNDPKSLPECRFVGTEPIAVNNLHMLWRRNSKKWSKEKSFPENLECILATELPKPLGLQVEDDHQQVECGICYAQFLPTDEELGARSGTRTDYTCENISCNKSFHSLCLTDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVNMNKID >A01p004250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1823344:1824776:-1 gene:A01p004250.1_BraROA transcript:A01p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMNGEATEAELFLNCADMSVLERQRAQLNYHHLPGFFSNSSTINGGEIDDGFLASAGLDLPEIYGEVHGDARISVSPGNITNESGNSKKRKFGCVDTETKVFNEKKKMMMMDREVEVEEEEEKSKITEQSTKSIMKMKNKAKKEQNSCSNDSSKVTKDSQRTDYIHVRARRGQATDSHSIAERARREKISERMKFLQDLVPGCEKITGKAGMLDEIINYVQSLQRQVEFLSMKLATVSPRLDFNIDDIFAKEVVSAPMMNLPNTVMPPHMMVNSGYPHDMVNSGYVHFNPMQQVVTSSDPLACFNNGQSATMWDSDVQNLYSSLGV >A02g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16900542:16901014:-1 gene:A02g505820.1_BraROA transcript:A02g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSFHLPFIEAHIQPYSTTSVLLAMKSVQNSAEIWQITKPSSFGSYIWQEPIDEIGMILSSSLCIKLMTLW >A01p019050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9253902:9255736:1 gene:A01p019050.1_BraROA transcript:A01p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEANGVTDDSAPANAVSADATEHKAIGVIESVEEAVGGAEKWVDDLQRTVKESTDSAMRSARSLRENSTSQFRSIQDFIPRALNQYKTYENVFFSKVTEELRNAKENPAAAAGIGLAAGLVLMRGPRRFLFRHTLGRFQSEEAQFLRAEKHVQELNMSVDLMKKESMKLLERSALAEKDMKRGLSELMDSGNNIHRLAKSVHKVECEAADLMDGLRQIPGREAIKLRAEVASMTSLLKQKRIALNKRIMRMSELGVPV >A09g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22710110:22712784:-1 gene:A09g507950.1_BraROA transcript:A09g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFRMKSAHQADLKGKGILYEDDDEPVKLIDRDDSFVIKEFGLTLIGKILNPKKQNVEKLLQTMPSQWGLAERITANDLGNGKFLFNFTNVEDLNYVMAKGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWVHLIGFPLHLWTDANLRNIGGRIGHIDTMELTEGRMLIDVDSRRPLKFSRKVEYEGDEVTIEIKYDLLFKHCTTCGMLSHEKGYCPSIGARQPTLERADVFTRMQLPVRHNGRDNQSNVRRHHQPSLEIREPYSRTYAEYMPRCDLGTNLREGNDRQSRSWDDNCRLGSHADRRMGTHADRIIRRRDDYKRSDRYGGGRARAGPYDRSKEVSWRPKQRLPEVNGKEQRGDASNNEIVPYEHISGAGSLDSSTHFKDADVMLGVFKAPKTNAVRPQPVAPTSRSRLRERPRCVAARGRSGFVLASPGDENASDFFLSLWYGRSKLRERLQHVALEGRSELVLASPGDENASDFFLSLWYGRSKLWERLQHVALEGRSEVDLMPSLREVAPAVLVQSHSNHLLLSSKCTQMSPETPCGAQLPDRDICMQNAT >A03p047490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22388779:22398297:1 gene:A03p047490.1_BraROA transcript:A03p047490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MGKTTTVTLKVSLEGKRVVLVPYMSGHVPKYHSWMQDPALLEATGSEPLSLEQEYEMQISWTQDPNKRTFIVLDKDFIEGDLAPGEPHVEATVTKRLTSSTPQIVPIISKSQRSLAGFFSSSSSLMASSRSLLLSSSFLSRPSSFTSSLRRFVYLPSPTPRFYPKRHGFSTVLSVATEPAAISSTAPKKSEPATVPLPSNDSSDKLLKIRHTCAHVMAMAVQKLFPDAKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIISRNLPLLREEVTREEAQKRIMAINEPYKMEILESIKEEPITVYHIGNEWWDLCAGPHVETTGKINKKAVELESVAGAYWRGDEKRQMLQRIYGTAWESEEQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQDEAGGGLVFWHPKGAIVRNIIEESWKKMHVEHGYDLIYTPHVAKADLWKISGHLDFYRENMYDQMEIEDELYQLRPMNCPYHILLYQRKRQSYRDLPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILSRFGFDKYEVNLSTRPEKSVGSDDIWEKATCALKDALDDKGWSYEVDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDITYVDTNSDKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPVQVRVLPVTDNQLEFCKEVSKKLKACGVRAELCHGERLPKLIRNAETQKIPLMAVVGPKEVETGTVTVRSRFGGELGTIPVDDFINKINIAVETRTAL >A02g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6664238:6665514:1 gene:A02g502110.1_BraROA transcript:A02g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRSFYPGARLTQSNEGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A08p026130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16687573:16687896:1 gene:A08p026130.1_BraROA transcript:A08p026130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCSYFLVLMIVFSVYLMVEKTEGKNICQTTIDKGVECVRSVCRKDCAQQHIGGLAYCFNDPEVPGPLNCR >A03g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17948001:17948350:1 gene:A03g505020.1_BraROA transcript:A03g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKSLKWDGEGEE >A02p047540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29649741:29650592:1 gene:A02p047540.1_BraROA transcript:A02p047540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAFLPPSSHCSVILIRILLRTRKLHECSVKANVSTTEESARLWNKAGLLTKY >A06p049250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26048049:26048894:1 gene:A06p049250.1_BraROA transcript:A06p049250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKDPEHQVAGHMAKDGRLGPLVDGEGRFFKPFQSNGRGENEAKFYESFSSNKNVPDHIRGYFPVYHGTQVVEASNGSGKLPHIVLDDVVYGYSNPSGMDVKIGSRTWYPGVSEQYFNQCLKNDRETTSVSLGFRHAGFKIFDHQESRFWIVEYKVVHGYKVDDATLVLRKFVSSNSLADSIPDCAFASEVYGGSNGILAQLLELKAWFETQTLYHFSSCSVYMFYENESILMKGGGGARAQVKLVDFTHVLDGNGVVDHNFLGGLSSFIKFIQDILES >A01g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9586667:9589295:-1 gene:A01g502970.1_BraROA transcript:A01g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDFCKVQEPCDSTRMAVTEADNPLLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQIEEECLNVYKKKVELAAKSRAELLQTLSDANVELTNLIAALGDKSYIGIPDKTSGTIKEQLSAIAPALELLWQQKEKRVREFSGVQSQIQKICEEIAGGLSNGPLVVDESDLSLKRLDDYKSKLQELQKEKSDRLNKVLEFVSTVHDLCAVLGLDFLTTVTEVHPSLDEANGVQNKSISNETLSTLAKTVLTLKEDKNQRLKKLQELATQLTDLWNLMDTPNEERELFDHVTCHISASVHEVTVSGALALDLIEQAEVEVDRLDKLKASRMKEIAFKKQTELEEIYARAHIEIKPEVVRERIMSLVDSGNTEPAELLAQMDGEIAKAKEEAFSRKEILDRVEKWMSACEEESWLEDYNLDQNRYSASRGAHLNLKRAEKARILVSKITAMVDTLVAKTRAWEEDKSMSFEYDGVPLLAMLDEYSILRQEREEEKRRQKEQKKQQEQPHTDQDTSTFGSKPSPARPASAKKPVGTRANGGGSNETPIRRLSMNGNKSKRDSLNKLTSPSNLGAVFKEDAASPVVASP >A02p009550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4032354:4033880:1 gene:A02p009550.1_BraROA transcript:A02p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 52 [Source:Projected from Arabidopsis thaliana (AT5G19700) UniProtKB/Swiss-Prot;Acc:Q4PSF4] METSNITSHTNLLSKIDLEKQNPNHIVPTISELKTEARSLFSLAFPTILAALILYARSAISMLFLGHIGELELAGGSLAIAFANITGYSVLAGLALGMDPLCSQAFGAGKPTLLSLTLQRTVLFLLTSSLVIVALWLNLGNIMISLHQDPSIASLAQTYILFSIPDLLTNSILNPLRIYLRAQGITSPLTIAMLAGTIFHIPMNFLLVSYLGLGFIGVSMAAAASNLFVVVFLVAYVWVKGLHEPTWTRPSSECFKDWGPLVSLAIPSCVGVCLEWWWYEIMTVLCGLLINPQTPVAAMGILIQTTSLLYIFPSSVGFAVSTRVGNELGSNRPDTARLSAIVAVTFAGVMGMTASAFAWGVSDVWGRIFTNDFDIIRLTAAALPILGLCELGNCPQTVGCGVVRGTARPSKAANINLGAFYLVGTPVAVGLTFWAGYGFCGLWIGLFAAQICCAAMMLYVVATTDWEGEAMRARKLTCSESVDVVITTQSNGDLTEPLVYVVTVAADN >A07p048550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25919149:25919981:1 gene:A07p048550.1_BraROA transcript:A07p048550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKIVLKSSDAESFEVDEAVARESQTLAHMVEDDCTDNGIPLPNVTGKILAKVIEYCKKHVDAAAAKTEATADGGASSDEDLKAWDAEFMNIDQATLFELILAANYLNIKNLLDLTCQTVADMIKGKTPNEIRTTFNITNDFSPEEEEEVRRENQWAFE >A06p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5144782:5146794:-1 gene:A06p002460.1_BraROA transcript:A06p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQESYKSRLFHFKNMSEHSASRRVKSWSSDCVDTDNFDEDDNDMMMFRSQPGKASSVDRPSLPLSGGATPNRNDKLAAPRMVSYESMEALQAAMDQMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQRRLEPMAADRKARWRREIGWLISVADHIVEFAPTQQTNKDGTSMEVMSTRQRTDLLCNIPALKKLDAMLLDCLDKFKDQNEFYYVKKDSPDSGETRNDDKWWLPAVKVPPNGLSEISRRFLQSQKECVNQVLKAAMAINAQVLSEMEIPETYLESLPKNGRASLGDVIYKMITVEMFDADQFLIEMDLSSEHKILDLKNRIEASIVIWKRKMVQKDTKSAWGSTVSTEKREQFEERAETILLLLKLGFPGISQSTLDITKIQCNRDVGLAILESYSRVLESLAHTVMSRIEDVLYADQLTQEPTNASSKIRYTAIETDKPKEERISFSEERLSGTSLSDVMQWGNKNNEVKKESYYGGDKEKPLLSKVTGIMTTNKKSSYLENLGTMRSPTARYS >A06p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:605035:605372:-1 gene:A06p024080.1_BraROA transcript:A06p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHCLTPIFETMRLLRMKSLGECVQLNYGAPRGRIRSNGLQMKELRNLQNSPDGIKPQAQLFYNLGTSEKPRKVNSAQRYQRKTDFRPDSK >A07p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7736735:7738054:-1 gene:A07p013030.1_BraROA transcript:A07p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyanate hydratase [Source:Projected from Arabidopsis thaliana (AT3G23490) UniProtKB/TrEMBL;Acc:A0A178VD28] MEAATKQSVTNRLLTVKSASGKTYSQLAAETGLTNVYVAQLLRRQAQLKPETVPKLREALPALTEELIAAMMSPPWRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEDFGDGIMSAIDFYCSVDKVKGVDGNNRVVVTLDGKYLPHSEQRTDKMVSRLNLKGSTSE >A06p042470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:22844117:22845103:1 gene:A06p042470.1_BraROA transcript:A06p042470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative septum site-determining protein minD homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G24020) UniProtKB/Swiss-Prot;Acc:Q9MBA2] MASLRVFSTTSHQPPLLPSSLSSNPLSISPRFVKSPSSRRTPIRSVLQFNRKPQLAGETPRIVVITSGKGGVGKTTTTANVGLSLARYGFSVVAIDADLGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPMGFGGKALEWLVDALKTRPEGSPDFIIIDCPAGIDAGFITAITPANEAVLVTTPDITALRDADRVTGLLECDGIRDIKMIVNRVRTDMIRGEDMMSVLDVQEMLGLSLLGAIPEDSEVIRSTNRGFPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGG >A04g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10103974:10105857:-1 gene:A04g504690.1_BraROA transcript:A04g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSQMAKHCRLAVINGHGDFGTAIVKSPRWNLLSQWLQWDIDNKDASGENNLIGQILSPKSGKQYVWEGEADSRNYTIKEGTDSQLIIPRGTSQCKYLWLSEPEVEFRSIFYVPPVSPIGQDDVVCIMKKCLVEKASECRVHVRDVPNDEECGVSVHEDKYVMNSLLVSLRTLSGL >A03p072480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32045621:32057932:-1 gene:A03p072480.1_BraROA transcript:A03p072480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDWEKGFVGMFVATEDIGQQRKTKDEDAEHGEDLNHNEDEEEKTDEKENKDEEYQKVKEQRKDKNHSMSNSEKLDKLIQMVRDLDKRVVMIQNVLGVKFNDGLPNKEDCENGASSGDRRSAQDYENEEDTIDEEANSDTIAEEANSGDGRSALDEENEKEICDEEAKSDEDTEKLESKSCLKQTLRRLLQHSILQTLIQGYKINIFYKALFHRLIQHLRLLSLISFNKKVIVERVLMRDVCEIHVFQPLMKIKKRLVQQDSQVNKDVEPPLQKKFKADTDNVPLRRIERGQIPSIHTQPPFTGARKKHPILHPFEPVDKTRKEKMREWKMSNKRKKLRINQEIVTAKWFSDIETPGKKLSKTHIEAGFELLKLRQINNPDLFLNKTALVVGVKFLEEIDEFYDEFLDDKKSFQIGAGFDKYNIEKNINFLYLAIAVAEKYWLGVVVNLEKRSITTFNCAAMKFTDASLVLYVNAYAMALPFMIRNFFKDVSMDTSKFSIKIVSEGFPKILKIEDIGFYALKLIECHAMRIVDMTKLSEEKIAIIREKLAKKGKLLSIAKDCEVEVSIQEDRFRGSWYRAILEQNPTRVKRKKLRVSYKTMCNEDGVNPLKETIERSFIRPVPPECLNEGAVFKEGSVVDAYFNNGWWTGVIVVERPDGSFLVYFDDQPDIMRFIRNQLRPHADWIGSKWVKSKNKGFSLYMIVLELRVLSQHMFRRGKLVEMTREISEKVRKQGDDRRKFLIKRCTISQNSSDEAEEKHLIVDICKIRPSPPRDLCAEYSLNDYVEVVVTHGWRKGRVTEILLENKYKVDFAATKEDAVFNYTEIRLSMEWLGGGSWIRAHEREFKNNAATPIRPAQESPSNTLALESDEDDTLNDDATEIKSSRESHSNTSFLKATETETQNHEPVDVVELPLPHESDVMMDDVATPIIDPQEIPRAGGKHLIVDICKIRPSPPRDLCAEYSLNDYVEVVVTHGWRKGRVTEILFENKYKVYFAATKEDAVFNYTEIRLLMEWLRGGSWIRAHEVVELPLPHESDVMMDDVATLIIDPQEIPRVDICKIRSSPPRDLCAEYNLNDYVEVVVTHGWRKGRVAEILLENKYKVYFAATKEDAVFNYTEIRLSMEWLGGGSWIRAHEREFENNAATPIRPAQESPSNTLDLESDEDDTLNDDATEIKSSRESHMELPLPHESDVMMDDVATLIIDPQEIPREVRKKGDDRRKFLIKRCTISQNSSDEAEGTHLIVDICKIRPSPPRDLCAEYSLNDYVEVVVTHGWRKGRVAEILLENKYKVYFAATKEDAVFNYTEIRLSMEWLGGGSWIRAHEREFKNNAATPIRPAQESPSNTLALESDEDDTLNDDATEIKFSRESHSNTSFLKATETETQNHEPVDVVELPLPHESDVMMDDVATPIIDPQEIPR >A07g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:877961:885044:-1 gene:A07g500370.1_BraROA transcript:A07g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQYLAHDNHKLPVCLFPYSLINFDWLETASWEGKDSVLQMIKQVANCLLSAKLCYELNLQVKQPQLVFNPPPAASHVQNPAEKAREFHLVLEKDQKPQAYLGEEDQLRPSSPLVCLGKLWSPSLSQYLIRTVHGYLRSWSDQLCGALLVPSYPFSLLVHSALFKRNSSIVQASFKTLPGDRVLHDDAVSDCSYRTFDNDGDANSLVSMPPRRALFGRRGGPNLPISVSSSSDSSPPSTPAPLPTPSFDATPSGSSFETDPSEGSYDQTPVHMPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAAHFPPALAAPIPAAQPGPAPTDPAIIALLELMAEMPVVTSAQPVVPVNPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISGKDSVLQMIKQVANCLLLAMLCYELNPQVKQPQLVFNPPPAASHVQNPAEKPREFHLVLEKDQKPQAYLGEEDQLRPSSPLVCLGKLWSPSLSQYLIRTLRCGALLVPSYPFSLLVHSALFKRNSSIVQASFKTLPGDRVLHDDAVSDCSYRTFDNDGDANSLVSVTLRRETLKSFGRKERPTSGSILLSLQRTF >A10p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:18134:19417:-1 gene:A10p011930.1_BraROA transcript:A10p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPVQSRVKKKAEAWRVPLGSSSCSLPAQMEIILGGKDGGHELKEKEVGDDLDSQFQQQSWPVSQNAKGINLVHKKCSLLTTYPRQEEDQPLCRAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGR >A08p046430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25279281:25280275:-1 gene:A08p046430.1_BraROA transcript:A08p046430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIFCFSILANFLLAMLCTNMISSVSAGWMQAHATFYGGSDASGTMGGACGYGDLYTDGYKTNTAALSTALFNDGKSCGGCYQMVCDATKVPQWCLKGKWITITATNFCPPNYALPNDDGGWCNPPRPHFDMAQPAFETIAIYRAGIVPVLYRKVGCRRSGGMRFTMNGRDYFELVLISNVGGAGDLSQVWIKGSKSNKWETMSRNWGANWQSLTYLNGQSLSFKIQASNGKIKTAIDVVPSYWQFGQSFKSNINF >A04p001180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:590289:592913:-1 gene:A04p001180.1_BraROA transcript:A04p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A1 PLIP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G61680) UniProtKB/Swiss-Prot;Acc:Q7Y220] MSFNAAMASPSPPAANDVFKEHFGLRRSLSGQDLVVKAGGIRRSSSDNHLCCKNRIRAVSVRPGQGMKSSRSVGVFSFQISSSIIPSPIKTLLFETEDDTDSDDEPEVEPNLDEAKKANWVQRLLEIRRQWKKETRTENVNGDVVSEHENVTCGCEDGEGCVADYENGDWERESFSKLLVRVSWSDAKQLSQLAYLCNVAYTIPEIKGDDLRRNYGLKFVTSSLEKKAKAALLREKLEQDSTRVPVVTSPESESDKFQQRSSSSSSAYKIAASAASYIHSCKEYESSDLNNPYKSAAAAQAAASTMTAVVAAGEDEKLEAARELQSLQSSPCEWFVCDEPNSYTRCFVIQDTDVLVHRGIYEAAKGIYEQFLPEITEHLSLHGDRAKFQFTGHSLGGSLSLIVNLMLLSRGLVGSEAMKPVVTFGSPFVFCGGEKILEELGLEESHVHCVMMHRDIVPRAFSCNYPDHVALVLKRLNGTFRTHPCLNKNKLLYSPMGKVFILQPSESVSPTHPWLPPGNALYVLDKNNEGYSPTALRGFLNRPHPLETLSQRAAYGSEGSVLRDHDSKNYVKAVNGVIRQQTKLIVRKVRTQRRSTVWPVLKPAEPNSSVNDWSLTATEEIMTRA >A06p055910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29162833:29165002:-1 gene:A06p055910.1_BraROA transcript:A06p055910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATQIFQLPLQYSVSSGQRSYHGVCLPSPVVFRRSSGQRKSRRLGSLIAQQEKGDAVEIRVPVPLTLEQQKKEKEDRDDEDEGEVDPQDLKYVNEIKRVLELLRRNRDMMFNEVKLTIMIEDPRELEKRRLLGIEEDDAPSREDLAEALELVNEGKIPKDRLTLQMLYEEMVRWPNLEVEVSKKQRTKSLYAKSTDTGIDPKEAAKRLNLEWDSAAAIEEADVDDDDTGVAKKAMGYGALYLVSSFPVIIGISVVLILFYNSLQ >A01p015500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7568201:7577700:-1 gene:A01p015500.1_BraROA transcript:A01p015500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKKLILLTFSALGLIIVAKSDRTLSLEKDEKELVRSLISINKLAITSFQTEHGDILDCININKQLAFDHPLLKNHTIQLMPTTIPKWNINNNKNSKNGDSVPFRQDDISCPSGTVVVKRITQEDLIQSQRLKSMGSKYSRHISSEGKNIELTGFHFAVAEYRHQVFGAKVNFSIWEPEVSPTQFSSASMLIAQGSKEQFQSIRAGWIVYQWLNQNHSRLYTYWTADGFIKTGCYNILCPGFVQVSARIPLGILFGPVSVYDGPQYEVGIRIYKDGNTGDWWLVVYDENVGYWPNSLFTKAGLGHGASLVAYGGEVYSPVKEKSPSMGSGHFPSEGYSKAAYVNNFEVVEGSVATKPLFPVTLFSSTPNCYKASLTGKKKVWYNAIFFGGPGKCSVIRAMVSFDKLPLLTFSVLILFIVAESHKKVLVEDNGKELERLLNYVNRPAIKSFQTKFGDILDCIDINKQLAFDHPMLKTHSVQLRPTNTSKWTINNNNSKNGRFVPFGQDGIRCPLGTVIVKRITHEDVIQAHRLKSMGSKYSRYVSSKGNNIDLTGYHFAVGEFKYDNYGGKANLSIWEPEVSPTQISSASMLVATGNYEHFESIRAGWIVYQWLNKNHSRLFTYWTADGFIKTGCFNTLCPGFVQVSTKIPLGYLFNQVSTYGGKQYEIEISIFQDSKTGDWWLVVFNENVGYWPKSLFTEVGLVHGASLISYGGEVYSPVKEKSPHMGSGHFPIEGYLKAAYVNGIEVADEIDGKLSKPPISTVNPLSTTPNCYKAETKSDSKVPYDAIFYGGPGGKHLKRANSLIIYIYRYIFVRMQEKDIRATFEESMASFNNFVLLVLLTFTLILVIESAEECGAIPSEEEKKELERQPNAMNKPAIKSFKTKQGDIFDCINIHKQLAFDHHLLKNHSVQLKPKNVPEGIISNNISWKADPLQYLQEGINCPDETVIVKRTTMQDLMHAQRLKSIGFSGPRNFLTERNNTDVTGPHYAATVNFGPSNFYGVKGHLNLWGPQVSQDQISGAFMSVAGGPKERLSSISVGWMVNPSLYQISNDHVHLYTYWSDLASGDWWFAYNDENVGYWPASLFLDSGFDKRANYAAWGGQVYSPVTEKAPVMGSGHWPGEGLSKAAYVNSIKVMTGFKKVIDPEINHLKARETSSKFYRTLYGNGEKEPWLRTLYYGVGGLQMRFNEGNWTDRNPCEELRLVNTEDLMQAWSNGRLRSSQHRVVLRKLANRVSLAFFLCFEDEKVILAPQVIVVEGNCRSYESFKCSEYLKFRQSNEVGKSEKIGYTVRDFAGLNAASTR >A10p017430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2452556:2453760:1 gene:A10p017430.1_BraROA transcript:A10p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIQCIYAHIHSIVLRRIVRDYTCLAPDKNRGVILIFKGIIESIPEVYVLLNVMRILGLLVQGVVAAKAIRLNLSSYSSLSPCQTSVNQKQKTIVTFLTSCRKGKRRSLLTVKSVLNNTIPSFKDNGTAEEPSKILLDKLFARTHEHTNENLVYPPDEALSYSTLGGLGLTFKLR >A06p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20472362:20478999:-1 gene:A06p037870.1_BraROA transcript:A06p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 24 [Source:Projected from Arabidopsis thaliana (AT5G63950) UniProtKB/Swiss-Prot;Acc:Q8W103] MADNTTTSTRRKPQSLNDRHYRLLQDLSAPPKHAPSSSSSTHEETKIKLAGRRRLCKASVKEDVSEEDDDPDLADFDSPGRGDTPLERAGTGNKFTLWDEESKEAKTEVGDLPSFSMITDFGSPSPHLEPKEERQGGGGQNEIMDILDDLTSKLGTMSIKKKKDSQSSDIESVKPSFSLISDLSESSSKAGADSLSDRQRNVGFSIREEKASNVFAGERGERVSNVGKHQPFSGQQHYVAKSEGYRPDYNLDRGKGKLEEVDRSLKTTRHVEVSEKLRSVGRSNAARLRDLDDDDDDDCVVLTGKKAAEMKIHLEKPTKPARSHNTATHAYEEKVSEDEGSITFTGPKSSYTLRGKIATILYPHQREGLKWLWSLHIQEKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRAMIVAPKTLLPHWMKELATVGLSQMTREYYGNSVKAREYDLNHILQGKGVLLTTYDIVRNNTKALQGYQLYTDDDDEDDIKWDYMILDEGHLIKNPSTQRAKSLLEIPSSHRIIISGTPIQNNLKELWALFNFSCPGLLGDKKWFKDNYEHYILRGNDKDASDRDKRIGSTVAKAENETSSMVVPVYHVYNLNADKLREHIQPFFLRRLKSEVFGDDATTSRLSKKDEIVVWLRLTACQRQIYEAFLNSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMESTLTQEEAGVAERLAMHIADNVDTDDFQTKNDSISCKLTFIMSLLENLIPEGHRVLIFSQTRKMLNLIQDSLTSNGYSFLRIDGTTKGLDRLKTVEEFQSGHVAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATVEEKIYRKQVYKGGLFKTATEQKEQIRYFSQQDLRELFSLPKGGFDVSPTQQQLYEEHYNQIKLDETLESHVKFLETLGIAGVSHHSLLFSKTAPIQPIQQDEVEEIRRITTSFVGGPSAKSSQENMINGAVYAFKPKDVNLDERINISPIDKSEWSESEIKARISRLSMLFQNKSMVASLPDRGAKIQKQIAELTRELEEIKAVKSNDMPHQVIDLEDISQKMHKGLNLLE >A01p017130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8486389:8489268:1 gene:A01p017130.1_BraROA transcript:A01p017130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETRRHSVDVPITRTLVALRRVRSLRDPCTNSMSKFASLLENVKWETASNNGISLQFVNNDGPVGLIPFGSYSIMEELEKGCDLHKVLNAEGDAGSTKLASSLSDYGSPMTSANHNYNDEDVDYANECNRGCGISSCWSRTPRDRGSSNQSSDVEDHHPLLSSNNESNAATPRSHETVTSRSLTQKFRPKSFDELVGQEVVAKRLLTTVLRGRITSLYLFHGPRGTGKTSTSKIFAAALNCLSQAPHSTRRPCGLCSECTSYSEIDSVKLNRPSYLRSLIKTASLPPVSSRFNVFIIDECQLLCQEAWGALLNGLENVSQRSVFILVTSELEKLPRNVLSRSQKYHFSKVCDVAISRKLVKICEEEGIEFDQGAVDFIASRADGSLREAEIMLDQLSLIGKRVTTSLAYKLIGVVSDDELLDLLDLALSSDTSNTVIRARELMRSKIDPMQLISQLASVIMDIIAGKCQDSSSETRLRFLSRHSCKHAPECDYFNISVNEFDLLLYFIAEEEIQKLSNALKVLSDAEKHLRASKNQTTWLTVALLQLSNTEPSSFATNENEVCLKRQRNKDVDLSSTSSDYPGDVVKSETEECQEKNCKETVETVWKTVIELCCSDSLKRFLSKRGRLTSLIIDKVSGVAIAELEFYTPKHVTRAEKSWKTIADSFQSVLGCNVEIQMNLVISACSPPKSAKAVASLFFGLFSCSRRMLHRSYPTTTRTDYDYATKEQVVTNSLRSCQGNLLRARSVRSSANASSRMSSASDQGDANSALGDKIPEDDADVLCWRRTPLGKGEEETQNNKSSSLIGRVLPCTESG >A03p056150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24265492:24267130:-1 gene:A03p056150.1_BraROA transcript:A03p056150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFTFFLTTFLSNFLPLSVLSATVLEDLATLTPPPDFATTITTNCLHNPLLRYCNNTSSSSPMNITEIFRSTIVASHLCNESKNPNCIDSFPKIRIHGRPKTAALYLSFDFFWKYCPLTIVEIQLVNNSLKSEFPTNVLSCAQIRTLDLSYNQLTGSVPVQNLSRLTNLTHLNLSYNRFWEDKILNSEFFNRFNASSFIHSGLLPDVKRYKMRVLVLLVVFPIVVILLCCCLGWLCLKRPDYLPRTCRRRSHKFTSAMLDAATDEFSDQRLVSKRNGVDIYRGTLRDGREAKIEVYTEKVSKAKKREFEEECEAVFKLRHKNLVRVLGWCNSRNLRALVTEWTNGENVEAWLNSSLASSWRRRLRVVMRVVEGVSYLWEQWPEVAFDLNTRSVLLSGDDQEPLISQFKIGDGNNSSTNIFNFGLFLLEMITNLKPNEEQEDSERRYLEYIRVHCPGNVERVVDEKMKIEDRTLEKVKEAITLGLMCTDGSPLKQPSFMQIYDMVVSLYESSSRHH >A06p033860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18288150:18289369:-1 gene:A06p033860.1_BraROA transcript:A06p033860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G48330) UniProtKB/TrEMBL;Acc:A0A1I9LP18] FPLLCAFCKTTEDSLSLSLSLYLKSQVTGSFLSHNMKQFWSPNSINKNKAMVENLQSHGVITSDDVAKAMEAVDRGLFVPDPSYAYVDSPLSIGYNVTISAPHMHAMCLQLLDKNLKPGMRVLDVGSGTGYLTACFAVMVGSEGRAIGVEHIPELVASSVKNIETSAAASPLLKQGSLAIHVGDGRQGWAEFAPYDAIHVGAAAPEIPEALIDQLKPGGRLVIPVGNLFQDLQVVDKNSDGLVSIRSETSVRYVPLTSREAQLRGE >A05p052200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30269736:30270341:-1 gene:A05p052200.1_BraROA transcript:A05p052200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH150 [Source:Projected from Arabidopsis thaliana (AT3G05800) UniProtKB/Swiss-Prot;Acc:Q9M9L6] MSGTNPSTSPELEGTETVPFRRRLVTAQRGQRVFAPKLLEALRRSRRSSEAPASHISRRWGDTEAQKVYSLQLYDALQRPRRSTTVRDTADKVLAATARGTTRWSRAILVSRLGRSLRRHKNTKPASAVRGGGGGKRKLSVVGNRVRVLGGLVPGCRRTALPELLDETADYIAALEMQVRAMTALSKILSEFQPSHKLGSA >A08g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10327086:10328444:1 gene:A08g506020.1_BraROA transcript:A08g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHEQDKGFFSHHNHPGHGYPPGAYPPPPPGAYPPPHGYPQQGYPPQGYPPQGYPPAAYPPPPGAYPPAGYPGPHRPGLGGGVGGLIAGAATAAAAAMGSHHAGHHGGYGHHHGGKYKKGFFGGGKYKRGKHSMFGGKHKRGKHGMFGGKRGKHGMFGRRKWK >A02p016690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7486924:7487394:-1 gene:A02p016690.1_BraROA transcript:A02p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-52 [Source:Projected from Arabidopsis thaliana (AT5G53980) UniProtKB/Swiss-Prot;Acc:Q9FN29] MENPQGQSRNKKKRLTQDQVRQLEKCFTLNNKLDPDLKLQLSNTLGLPQRQVAVWFQNKRARSKTQSLELQYCNLQSKLEAVLSDKAKLEHKVQFLQDELKRSRNQLALFTHQDSPVDDSNLGSCEEGHDNQVVVFDELYDCFVTSGHGSSSTSWV >A09p013590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7034838:7037483:-1 gene:A09p013590.1_BraROA transcript:A09p013590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHSKLDDEEAVQICKDRKRFIKQAIQDRTKFASGHIAYINSLRQVSDALHDFIQGDNNYKPHEFVPAHDSFEVTPVKRIPPSSRRKSTSRSGCDEFITISPSSMPPKMIQEKPRTKVRASYLMSNRSRPVRVEQRSPETFRVESFPPPSSHQYGEGEADGFFGMNMDMNVNTSAASSSSFWNPLSSPEQRLSTHNIPPPSPQNSQWDFFWNPFSSLDYYGYNNGYDRGSVETRSGTVDDEIRGLRRVREEEGIPDLEEDDEPQSQPPRFQNHNLKGNEASRGNVDKSCCKEEVKVEDVDDDEDEDDGEFTDSGCESEDEGDEKCVGAQEQRTVERYARFHTYVNRRPTSMAEVIKDLEDQFTAICDAAKEVSGLLEASRAQYAPSPNDHSAMKKLNPVALFRSGSSRSSSSRFLLTSSGGSGSESRSDVSDESCMVSGSHQTTLDKLFAWEKKLYDEVKSGERVRRAYEKKCMQLRNQDVKGDDPFAVDKTRATIRDLDTQIKVSIHSIESIAKRIETLRDQELLPQLLELVHGLTRMWQVMAESHQIQRRTLDEAKMLLAGAPVSKRHKKRQPPVMPEAINSQRLAQSALNLEAHLRNWRACFEFWITSQRSYVTALSGWLLRCLRCDSDPEKVRLSSCPHPIYRVCIQWSKLLNSLEEKPVLDKLEFFASGMGSVYARQVREDPSWSGSGGSRRYSGSESLDLVLAEDVVMTPEKLAEVAVKVLCHGMSVAVSSLAEFAIYSADEHSKLVNQPEETSGQRQDANFNT >A07p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15806311:15808439:1 gene:A07p027790.1_BraROA transcript:A07p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAVNTVSVAPQTRLLSKTFSRKLGSVSSLSLRSIERVGSARLRVSAAASSSSMDAVTAEKISPASFLENRESKKVLHFVKYHGLGNDFILVDNRDSSEPKITQEQAARLCDRNFGVGADGVIFAMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGKHSFTMHTGAGLIVPEIQDDGQVKVDMGIPILKAQDVPTKLPGTKGEAVVQAELVVDGVTWNVTCVSMGNPHCITFGTKGGPNLKVDDLNLQEIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACALVVAAVLEGRANRKCTVDLPGGPLEIEWRQEDNHIYMTGPAEAVFYGSALL >A05p046520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27617594:27618250:-1 gene:A05p046520.1_BraROA transcript:A05p046520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRATACAICKQDLEPAQRWRCEVCPDYEVCGPCYSNGIINHPHTLISPPSPTGQLRAVLLHVTTCCTTQCQYPRCRTVKLLLRHGVTCKKRVCNHCKRMWDIFRMHARNCREPQCTIPKCSEFRARFSRNQQQAGSRRRAAAVRQRDADADAATASTLVDSST >A06p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20226547:20230233:-1 gene:A06p037390.1_BraROA transcript:A06p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSQNHFPGRNLRSQIIPFVSLVAVILCHERLRRSIYDGTNLSFVIVNFTKMANQGGPRRSLSITTSSLQRKRSMDISERGLDVGRRSLSISRSPRGFTGGERTVKRLRLSKALTVPATTTVYEACKRMASRKVAALLLTDSNEMLCGILTDKDIATRVISQEVNVEETPVSKVMTRNPVFVLSETLAVEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKSWGTNTSVPNTFIETLRDRMFRPSLSTIIPDDTKVLKVSPTDTVLTVAKKMVEFQLSCAVVMIEDKLRGIFTSKDILMRVVAENLSPSETTVEQVMTQNPESTTVDTPIVEALHIMHEGKFLHLPVTTDKDGDVVAVVDVIHITHAAVATAGTTAGIGNEATNTMMQKFWDSAMALSPNDEDDDTRSESSLKVASEADTGKSLPFAYMFSFKIEDKKHRMHRFISDTRSLTEVITAILQRIGNDIDPDNLPQILYEDEDHDKVLLASDRDLQAAIDHAKSIGWKSLRLHLDGSREGKGRRRASGSEATEYVERDAWAAAYSGVAAGAALVAGLGHSDQCQKKKENSNRTGALPCGDICYKLSKFVDKLFDMNILLCNLLHSRTFNT >A01p050750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000148.1:13820:14442:-1 gene:A01p050750.1_BraROA transcript:A01p050750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQSWSYVKDKAIVSNLAEQEWENSMDGEEEDAGDEDKRKRVMERARGTNTDRVPPRLCQVHRCTANLTEAKQYYRRHKVCEVHAKASAATVSGAKQRFCQQCSRFHELPEFDEAKRSCRMRLAGHNERRRKVSGDSFGERSGRRGFSSQLIQTQERNKVDIKFPMANTSFKRP >A09g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3390431:3391089:-1 gene:A09g500950.1_BraROA transcript:A09g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKYIKLNNGDRVTAIGKIKTESGAKVRANKVGAIYKKWKDNTHKKASAERTVMEMIHQTCQVEVGEAGRYGQHQY >A08g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10423118:10424043:-1 gene:A08g506100.1_BraROA transcript:A08g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLKSGRASQSVVMQTLIKDHGVYMLLLDEKATFLQGNITFRRLNTFKHFLKEGSAYQLNGNIIVQFRKKKIILQAKREKKASCSENSLSENERSMKITRTAKTANMKKSIQKTEEAYIAKVEQLAKLKTKAR >A01p024840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12359652:12370806:-1 gene:A01p024840.1_BraROA transcript:A01p024840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQHRNNVSGDCQSINLRHHNTRSSKPSDHGRESSSALPIPTDLIIDIFSRLPLKSIAICRCVSKTWASTLRRQDFTDFYLRKSSTRPQILLAPVKNRELFFFSSPQPQYLQENLPSVVANCHMKLSFGGFGEIRCGRPVHGLACLRQLRVSEGERETVLFICNPSTRQVLHLPKVKTSRPVVKSMFGYDPIDKQFKVLCMTRFNDGRDYHEHQVLTLGAPDRSWRMLECCIPHYGDPPKEICINGVLYYKSINKSTETYLIVCFDVRSEMFSFIEVKVTLQRALLRGALRNCNGKLGLFISEDNGYFRSSVSERSTSVQLWVLEDVEKQEWSDHIYLLPALWKNLVGRDCLFFAGVTLTNEIVLAPSFSSYPFYLYYLNTKRNTVVARLVEIQGIDVSDYYMDHVVLDHVEDVKLYDQTLNVSWDSQRNTLPKTSDNGRESSSASPIPTDVIVDIFSRLPLKSIAMCRCVSKLWASVLRLPHFTELFLTKSSSLPPQLLHARVTNSELFLFSSASPHPDENSSSPPVVASRHMKLSFGGFGEISCGRPVYGLVFVKHVRVLDGQTETSLAICNPSTRQVLHLPKVEMKRLKVRSMFGYDPVDKQYKVLCMTTDGGHYQVLTLGGSWRMLECSIPHYDYPLLKEICIDGVLYYKSINQSTQTYLIVCFDVRSEKFRPIEAKGSLGRAVLTGDMVNYGGRLGLIICEDNRGNVGAINRRSSRFKLWVLEDVEKQEWSERVFVLPAEWKNVVGEHRLNFVGVASRTNEIVLSSWYPINCFYLFYFDPEIDTVVRVEMQGVDMDVSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFADPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSSYEISTWIVPLKSVVLSMALSL >A07g506350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:15192163:15192378:1 gene:A07g506350.1_BraROA transcript:A07g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEIPERSKQQSSMTRSPREPPCDLNENGLPLRPNQTICPHYNRFGLCKLGPTCRFDHSTKPPSSDSKQ >A10p000950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:479125:480159:1 gene:A10p000950.1_BraROA transcript:A10p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor NGA3 [Source:Projected from Arabidopsis thaliana (AT1G01030) UniProtKB/Swiss-Prot;Acc:Q9MAN1] MDLSLAPTSSDQEQDKDQELASNIGASSSSGTANNTNFPMMMIPPPEKEHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSTNNQNGTLLNFQDRNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGIGDESQRSKLYIDWRHRPDMSLVQTHQFGNYGFNFNFPTTSQYSNRFHPLPEYNSVPIHRSLNIGNHQRSYYNNQRQEFVGYGYGNLAYYTGSPLDQRSIVGSEPLVIESVPVVPGRLPPVMMLPPPLPPPPSTAGKRLRLFGVNMECGNDYDQHEESLLVPRGEMGASSSSALRFNLSNDHDDGDDDQFAKKGKSSFSLDFSP >A03p016140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6429358:6431955:-1 gene:A03p016140.1_BraROA transcript:A03p016140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKVVFKLDVFDERIKQRAMKVVCDFPGVTLIDVKEKGKLKVSGEFDKFQMTKKLKKIYKYVDIIALEHDGEPKKNPDPVKKPEPIVKKAPSFRRWKIGVTLIDVKEKGKLKVSGEFDKFQMTKKLKKIYEYVDIIALEHDEGPKKQSVPVKKPEPIVKKAAPKKKSDPVKKPEPIVKKAASFRHWKLSFF >A10g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9257974:9259607:1 gene:A10g503550.1_BraROA transcript:A10g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLSLIFYRICNPKSRYDYEEMAEARAEAERRGFEAELKRLRTISEAEELRLKTQLKRVEAERKRFGAEVNRLRRIILENNNSGGPPEFCLPSDLLAVILSRLALKDNIRSSAVCKTWGEIAASVRVRDPPCWLMYLDPCRNSYGFFDPIEKKKTKAMMVDLPESCYILYSNDGWLLMEDRASHARLFFFNPFTRERVDLPVFDTVLLMQMRFAFSCAPTKKGCVVFGITGASVSGREVEIITWRPGGASSTTWVKEHFPNPFPCDLVDTINVLYNTRDGLFYMSLGIALGVFHPSARTWNLVPVLQPIPCFQRHTMRWITEYKGEIFLVDASSVKPVVYRLNNSFKRSVWEKKETLEDGCSIFVSDGSCVMTCGLISNILYFWNNDINDRRPSPTKYQDFTFKKNRPYKYSLYSSSLCDDPEGFYFEYRPTNRNNGVWIQPPHNISIFDFPILPAEDAINTRLFI >A06p046180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24728881:24730728:1 gene:A06p046180.1_BraROA transcript:A06p046180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKRSLEINKNMGDFENNVDDEMDLRRGPWTVEEDFKLSNYISTLGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSQQFKDTIRYLWMPRLVERIQAASASSTTGSAAKSCVTTTTDQFLITSYDGGANNNNTNIDHLGLTSNPNGYVTSGTSSVTVSPASGLTEYNIGSEVGQIETSFDPVQSLVGPQIMLPSQNYLDDKSGLLNGGLGAMQEQSYPNLFENIIGMIPSYSDSFWNIGSDEDFWLLQQQQQQLLNNGSF >A03p004450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1879513:1880139:1 gene:A03p004450.1_BraROA transcript:A03p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQESLEIYQLWRLAIQERDEAREHLKHSLTELSQLRELYNAVLLSEQQMITYYPEATDETICHQNCNYNHFPGDSPSRFLSLSPSDLVSNLSVDSTPLDLSFRSNQSRVGVESTRDYETVVLEMIGGTLPEYGKFLQAVSEAGSLVESMFIACPVPKWRNPPVLLSSQRPVMSNNITGNWNYGGLEFGSGILNRSISGKMSHFSLMS >A09g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12418547:12421003:-1 gene:A09g503960.1_BraROA transcript:A09g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINCMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLDRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNI >A08p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20939181:20940672:-1 gene:A08p035520.1_BraROA transcript:A08p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKILNWDWNQESYPSSSDLWVLIFFAPFFFFLRLILDRSVLERAARRVVFPRGNCVDSNGRRKRMVKFKESAWKCLCSISTEALALYVTYNEPWFKDTRCFWLGPGKQIWPDQKIKLKMKGLYTFVGGLNVYSLFALFFWETRRSDFKVMIVHHIVTSSLIILSYVFRFSRVGSVILALLDITDVFAEIGKMCKYSGQESMATVSFILFFLLWTALRLIYYPLWILWGTSYESINVKLEWDKKHSMEITGIETGLPSTMYYIFNTFLWCLQILHIYWWVLICRMFIIQIRSEDKIARDVRSDSEGEDDEHQD >SC133g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:238739:245102:1 gene:SC133g500080.1_BraROA transcript:SC133g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLRGMDVTQIDVSLTEPVADLAHEELEESDSEEELDETNTTIGYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQVKEALKIFNCSIFNTTYGAASHGLRSSQSELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQVKEALKIFNCSIFNTT >SC234g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:151421:161397:1 gene:SC234g500070.1_BraROA transcript:SC234g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKEQEDCLDQDLIISFHHPLNLICYCFNFEKKEFKLSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSNTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCPMTRARARKLKEAIGGLIRKKQWGLRRMMKPLCEGISCCKKQSPNK >A06p053320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28138415:28141234:-1 gene:A06p053320.1_BraROA transcript:A06p053320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT2G01120) UniProtKB/TrEMBL;Acc:F4IM82] MGNENPAEKALNLIRGRLCDPTFVFRPLSASSDSNYSKLKFIVSTSITEGCNNSILLLGPRGSGKAAVLDLVVGDLMEEYPDSVTLIRLNGLLHSEDNCAFKEIARQLCMEHHLLFSKMASFDENSQFIIAMLRECGLAHKTIIFGKQRLLYSLLDAMQSVTSQAVVVGISSRLDADQLLEKRVRSRFSHRKILFLPPSREEIDSLLEHLLSLPADSSFPSGYVSQFNEKIKNITSDTRFKDMLKTFLNANSTVNSLLKFIFRAVSSMNLESGLLSLENFKTALSSMQRQPKLEAVRDCSILELYLLVCMRRLEVKEQSSYNFISVMKEYKTIHDSFQTSDFYAQNVCLRAFEHLREREVICYAENRGQSQAGEYRPMKLLISASELHQGMRSHACCPAILLKLLDH >A03p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8002421:8008300:1 gene:A03p019580.1_BraROA transcript:A03p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLIVSNHRKHIALVFICLVLILKIALVSSVNQESQIYTVHLGERQHDDPKLVTDSHHDILGSLLGSKKASRESMIYSYRHGFSGFAAKLTPSQARELSEHPDVVHVTRSKYMKLATTRVSDYLGLTPTAPTGLVHETDMGSGAIIGILDTGIWPDSKSFSDNGLGPVPARWKGRCVSGEWFNASSSCNRKLIGARYYAKGLLESYNGTYDAMEKDEVMSPLDVTGHGTHCASIAAGSFVQDASFLGLGSGTARGSAPRARIASYKVCWSKEVCYSPDILKAMDHAIRDGVDVISMSLGSTIPLEFEVDRSDFAIGAFHAVMKGIPVVCAGGNDGPVTQTVSNVAPWIITVAATTMDREFFTPITLGNNVTVLGQESLYTGKEVGFADIVYLEDLTKDDFLAGKAKGKIVFAFQIQSSLDIEEYAKSNGVVGVIIASNPYDHIAPGTTDIPYVYVDFEIGMDIMLYFKTTKFPKAKISPTKSFVGRPFSTKVARFSSRGPNSISPAILKPDIAAPGSGILAAVPSEEGYAFMSGTSMATPVVSGIVALLRQKRPDWSPAAIRSALVTTALQTDPFGEPISAEGSPRKLASPFDFGGGLVNPGKVADPGLVYDMGSDEYVHYLCSAGYENKSISRLLGRIYTCPSPTPSMLDVNVPSITIPYLNEEIILTRTVTNVGPVGSVYKAVIEPPLGIKLQVTPERLEFGPNTKKITFTVKVSTTHRWNTDYFFGSLTWTDNGAHNVRIPLSELGSSCTKNRSSFVFGVYMNEMDTKSCSKSITVCESSSGSVPEAVIVVAGLKGETSDSVSNHVLIEEQKENDSRMREDGVGCSNGSAHAVHEEVANNVTAVSCHESDASTKEKAKEFHVVDLSGGGEESDNGQRICRICHFGSDQTPDRVSGKSVSVDLIEIGCKCKNELGLAHFHCAEAWFKLRGNSVCEICGSSALNVPVRLTEEEWSEIRDGTTDEGRRRGSGQSCCIFMVFLLTIILLHWFFKKMSGYYQNT >A09g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26887011:26887807:1 gene:A09g509350.1_BraROA transcript:A09g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLIIISYAWLSNRANIRQGTSSLTPSTRKIYVLRHRSIWRGLHRPILGELLTADNTIHAKVDQPKEPKLTSNTNPTSLLVLGLVYMGSDSLDKSGRMSLNRTWWLKPLRLDS >A02g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17502088:17503002:-1 gene:A02g506090.1_BraROA transcript:A02g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALESEDYESAEVQIAASRVQEAAQRYCEEEALGGHRSERSYKHSEIRAAILSVKEGGGRFCFWFILVSPSRYSPEAHRRPVRVLCMGVRIRRLIQAGSVWVWLVMWRPCFRFRHPSVRKDAIVSSTSQAVVGSMAAVASAYLLGLRFRRCVAFHTFPALVAFARHRVTVISFVEFIGIQLLRRRHGKSRHPRQRGEPHRPVIIVNG >A03p023700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10002020:10004338:-1 gene:A03p023700.1_BraROA transcript:A03p023700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLIAAAIVAAVVSYNLIQRLRFKLPPGPRPKPIVGNLYDIKPVRFRCYYEWAQTYGPIISVWIGSILNVVVSSAELAKEVLKEHDQKLADRHRNRSTEAFSRNGQDLIWADYGPHYVKVRKVCTLELFTPKRLESLRPIREDEVTAMVESVFRDCNLPENRVKGLQVRKYVGAVAFNNITRLAFGKRFVNAEGVMDEQGLEFKAIVSNGLKLGASLSIAEHIPWLRWMFPADEKAFAKHGARRDILTRAIMEEHTLARQKSSGAKQHFVDALLTLKDQYDLSEDTIIGLLWDMITAGMDTTAITAEWAMAEMIKNPRVQQKVQEEFDRVVGLDRVVTEPDFSRLPYLQCVVKESFRLHPPTPLMLPHRSNAHVKIGGYDIPKGSNVHVNVWAVARDPAVWKNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMMSHLLHHFVWTPPQGTKPDEIDMSENPGLVTYMRVPVQAVATPRLPSDLYKRVPYEM >A08g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13628359:13628730:1 gene:A08g507780.1_BraROA transcript:A08g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLAQGRGRGEEGAVRFLVRLFGFVSGRRRLLQLRRRRFLSPRGRGYLSSDGVGLDLGGVKVLVCLGGWRSRRRVIEARPRLTVLGRAKLLSR >A09p010580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5393154:5393792:1 gene:A09p010580.1_BraROA transcript:A09p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF5.8 [Source:Projected from Arabidopsis thaliana (AT5G66940) UniProtKB/Swiss-Prot;Acc:Q9FGD6] MPSDLNDSRRVTTKLPHGGAAAEQQEQLPCPRCESTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGVSRKTSKRSRAFSSVAAAGSRNFPLQATPVLFPPSSYGGGKGNTSSLYGGFTTLLGNNAAASRDGPGGGFNGPEGFGLGLGHGLYLDDVRFGQGITAWPFSTGATDAATSHVVPIHATWQLEGTESRVGFVAGDYLA >A06p055060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28820699:28823783:1 gene:A06p055060.1_BraROA transcript:A06p055060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRWNPIGFQFSCFMFLIITLQSRSSLSLNSEGFVLLKFLERVDSDPHGTLANWNVSDDHMCSWFGVTCVDNKVQMVNLSGCSLGGTLAPELSQLSELTSLVLSKNNLFGDIPNEFGTFPKLKLLDLRDNKLSGVVPPELNKMLTPENLLLSGNKFAGFMKIKFLRLQSLYQVQLNKHKELSSASNAVFGCVNRKLGYWLQREPQGENYDMNYPPSSLQNESSVLRRRELLEGTSNLAAMPAPDAPSPSPDTITIVFPRSSGSFPALTTAKKRIPQLIPPSLPPTAEYNNNTSSDPPRQFEEETKGSTAVWLYVVIGVAAFVAVLIVIGVIFFCRKRAVKSIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIEAFDGYTVYKGTLSSGVEIAVASTAVLETREWTRAMEMTYRRKIDTMSRVNHKNFVNLIGYCEEAEPFNRMMVFEYAPNGTLFEHLHDKEMEHLDWSARMRIIMGTAYCLQYMHELNPPIAHSKLVSSRIYLTDDYAAKVGEVAFSSQTGLKSRKPMSGDLDQSSLPLPAEPETNVYSFGVLMLEIISGRLSESDEEGSILKWASKYLESDHLKDMIDPTLTTFKEEDLEVICDVARHCLRNDQSQRPTMKDVVEQLKQVINISPEQATPRLSPLWWAELEILSSEAT >A06p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1772389:1774060:1 gene:A06p005560.1_BraROA transcript:A06p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSQPEIRVLDVKCHISSAAKEQNSFRVSESVRAQTSDSAETPRFESGMSFATTSIEEPTIEFFPTIRSGSFADIRGRETMEDEHICIDDLSSQFRSLNFSLPSAFYGVFDGHGGPEASLYMKENLTRLFFQDSVFPEIPSVVDSFFLQELENSHRKAFALADLAMADESIVSGSCGTTALTALIVGRHLLVANAGDCRAVLCRRGVAVDMSFDHRSTYEPERRRIEDLGGYFEDGYLNGVLAVTRAIGDWELKSPFSGSSSSPLISDPDIQQIILTEDDEFLILACDGIWDVLSSQNAVSNVRQGLRRHGDPRQSAMELGKEAARLNSSDNLTVVVICFSSVPASTQQPQRRRLRFCVSDEARARLQAMLGGD >A05p013900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6058151:6059738:-1 gene:A05p013900.1_BraROA transcript:A05p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDITSPLSAQIFDFCDPQLFQETFNQFSEVTSASNILDKSGSFHDNHSGNSNTSTTTTTENSNTYTNNKFQDDEDDNINADMSTFFDSHEAFENDIVASIDFSSSSMQYPALDHLLTTTNEDQFDFSPGVQAVNQLPNISYSGDTLTLPHVSSLAPPPLPLEVFEEDCLSSVPSYNIGLNPTSPFFRTSGLPTYMVNMNTSLLSSDSNTSLYPSYVHLGSEFNKPYDQLLDFQADNGGLFRFNPDDLQALNSIENRSHLVVPQTHPPLGPVEVTGLEDSTLNKVVKLSPEQRKEKIHRYMKKRNERNFSKKIKENSRPRVRGRFARNDEFGVLHKHGSSSHHYEEDEDGVGVKDEEQLVDSSDIFAHISGPNSFKCNYSIQYWT >A01p049950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28040000:28043184:1 gene:A01p049950.1_BraROA transcript:A01p049950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSAMSSSRRFLHQRRMMSSSPKLYPVGRDHPRPEDRITILGGPSALLGRVKFLIDDIVDLDVAAKHARRAAAWKKRMDTPPSHRNLQCDHRRHVPRWAHGHLDDALRLYTHLSSSENTTPSPDHKTYDLLTKALVDAGTINQALDLLLEGRRVLFNFQEPGMYMNLVRGFLEQRNLDMAHQLRDDFTTCSIRNKIAVLDSVFVEHLFKQGKDEEAMELYRSSVNNKDGFTANAWALFPYMLDNYECCFGFNKHTVNMMVNECFDMGRFGDAVNVFNKAKATLQYGLPVGAYKNIITRLCQNGLLSDAETMFNGLVKEQGYHKPDVETYKALIRAYVESSRVEDAVLNGGRTARHESTQREFVDEDDIYDYLPGKRYFICTDYQNDGLHFRQPWVMGVQQEIERLKLKFLEQEKLLRECEALKVQVKMLLERVCELERVR >A02p030540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15582747:15584969:1 gene:A02p030540.1_BraROA transcript:A02p030540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVVELGDEIDAKKACERQLRQKYKSLGALKIVTDRGLQVGNLAVIDISATTIIPPTLINLITLSWIQTLNFIRLTFYFNFGTQDTTWGKKRHAAKSSSRPWKRERQAHDLEEHKQKKRRVENLRIDPNVQSGEGGSQTEQRLEIPENRNVNAQEQADMERQNREAQEKAQEERRLRIDNERRQARLRLERLREALPDIGIERKEGDGF >A10p035720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20434657:20436403:-1 gene:A10p035720.1_BraROA transcript:A10p035720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLIAATAATGLVTKHLQNVSKGKESFPEDLSYVKPESPRCFVSSLVSDNKPNEENFEDCINGEDLDVFGVEICSDSFGNRAFLKRKQRYRRLIKPFSMNRLHREDHMLSPFPSPCVAVSRPLAVTDGAKVISKSSGDSISQNCGIPQLRKLEASGLYVKRRVEKTSRTSDNGIGSKDAAMLLCVGISIGIMSSFVANQTEVKKVREELKETESLVKGSEDGVETKDSLTVDDDELHDGEKTAENSESIGEIEAELVAELEMLQINMNSSNIETQPSDVFELEPDSEVEFAQGELRDDQVERQRFDETESNQEEPLGNATPESGNYTVSPRELSLRLHKVINSRCEERIKELEIALEESQRKVEQLVMEAEAKKKPYSRLCESQAVKRDSNRKHNNPVVEVQPLVMNLAGPALDAFNDSYEELMDINDSSEEGDVQYERQEELSLTSKSSPRIHKDYRKGSSRTSEDVNLFLLQDLLGLSDEEEDGESESEMEKELIKQIVEKTKQGSSSVFNAQKMLSLMEEIGQNP >A02g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25006922:25010206:1 gene:A02g509350.1_BraROA transcript:A02g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVISSSPFLCKSAQQKDLGFPKPSQISVHRCQKRAISRKIVSVMAPQRSSSATGSVKTGMTMTEKILAKAAEKSQVVPGDNIWVNVDVLMTHDVCGPGAFGIFKREFGEKAKVWDPEKIVVIPDHYIFTADKRANRNVDIMREHCREQNIKYFYDITDLGDFRANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQVSIIGEISVAGATYKTMEFSGTTIESLTMEERMTLCNMVVEAGGKNGVIPPDATTFNYVENRTSVPFEPVYSDGNASFIADYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGKQVKVPTFLVPATQKVWMDVYALPVPGAGGKTCAQIFEEAGCDTPTSPSCGACLGGPADTYARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVTDPREFLQ >A06g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8022818:8024840:1 gene:A06g502310.1_BraROA transcript:A06g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSSHSPDLTSLLEAECEMYAAEAEITRWNAEASDWEPSAEGDDGIPRTCYCGSEPVHGYSQTPKDPYRRYITCPNADDRDCHVWKWWDVAVEEELREFQRELNAVKGEANQREQKLLRLEKQVSEFTKKKSGAKLMVFSLVLGLVLLIVLGILGKDSKDWGVRSHVGRSHGSLSDGVGITGDGVGFTGDGGGITGVVV >A06p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22064735:22065125:1 gene:A06p040850.1_BraROA transcript:A06p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRQLLERMFAAGKKSSGERVNSYKKILNKTESILDTLDPKEIEYMWNSTFDKILAIGSIRSMRYGSSLPGSPVRMSLREFAIVMGLKCWKVAKASKWKRRDPLNKKLY >A07p012160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8281843:8283248:1 gene:A07p012160.1_BraROA transcript:A07p012160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGARPYECVKRAWHSDRHQPIRGSIIRQIFRLAMEAHSAGTRKNKEWQEKLPEEYTDADTMWNRVNDAIDTIIRRDETTETGPLLPPCVEAALNLGCIAVRASRSQRHSNIRTYLAPKIQQPVSASANDPQYHHDYHHQAQRSTKPSHTVQAAIPVDVSDNSNSRVAPPPPPPPPPRGYPFLHESVPMQQKPLARKLGTTTAPSPAPVNLGSVYPLYYGGNDQVDMSLRVPETPIIIGMPIGIKAPEEATERLCDLSLRLGMSSEQPPSTRIDAGSSRAYRGRHQQELCLFSQVKKKDDMFDWFSN >A04g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21213747:21220187:1 gene:A04g508210.1_BraROA transcript:A04g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICNGKPIEQRSRQSRRQVSAETDEANEVGLNKSFGFSRHFSTHYKIDGQVGRGDLGYTCSAKGKKGSLKGQEVAVKVIHKAKLTNALMVEDVSREVKIMRALTGHKNLVQFYDAFEDDENVYIVMELCKGDERLSDIVGSSLHVAPEVLHRAYGTESDMWMVGVMTYVLLSGSRPFWAPTEYELFRAVLKTEPSFEEAPWPSLSPDVVDFVKRLLHKDYRKRLTAAQALCHPWLVGSHEMKIPCDMIIYKLVKVYIMSTSLRKSALAALAKTLTIQQLAYLREQFTLLEPSKDGYISMQNFKTAILKSSTNAMKDSGVLDYVHMISCLQYKKLDFEEFCASALSVYQMEAMETWEEHSGRAYELFEKDGNRPIMIEELASELRLGPSSVPMHAVLQDWIRQSDGKLSFLGFVRLLHGASSQTLQKA >A03g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7135511:7136752:1 gene:A03g502150.1_BraROA transcript:A03g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANDQTIQHFPLYIEYISLLPSHPQIQNMALTKISLVLLLCLLGFYSETVNSQNCGCAPNLCCSQYGYCGTTDPYCGAGCRSGPCIGSGTPSSGEPVGTIVTQGFINNIISQASNGCAGKSFYTRDSFVNAANSFPNFASSVTRREIATMFAHFTHETGHFCYIEEINGASRDYCDENNRQYPCAPGKGYYGRGPIQLSWNYNYGPCGQSLGLNLLGQPELVGSNPTVTFRTALWFWMNSVRPVLNQGFGATIRAINGMECNSGNPDAVNARIMYYRNYCGQLGVDPGPNLGC >A03p013520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5325753:5329638:-1 gene:A03p013520.1_BraROA transcript:A03p013520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDMYDVLAAMVPLYVAMMLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMDYQFLAADSLQKVAFSRRGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSIIWYTLMLFLFEFRGAKLLISEQFPETAGSITSFRVDSDVLSLNGREPLETDAEIGDDGKLHVVVRRSSAASSMISSFNKSHGGGLNSSMITPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNASKAPSPRHGYTNSYGGPGSGAGGDVYSLQSSKGVTPRTSNFDEEALKNAKKGGRGAKSMSGELYNNNTVPSYPPPNPMFKGSTSGASGVKKKESVSGGGGSGGGGEHNKEMNMFVWSSSASPVSEAHARNAITRGASTDSSTDPKAYLPPHENLASKAMHNLIENMTPGRKAHVEMDQEGNNEGKSGVHSSPYNGKKGSDVEDGGPGGPRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLISFKWNIKMPTIMSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAVFAMAVRFLTGPAVIAATSIAIGLRGSLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPVTVLYYVLLGI >A03p053380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22900189:22901022:-1 gene:A03p053380.1_BraROA transcript:A03p053380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTFKEEHDLEKRSAEAARIREKYSDRIPVIVEKAEKSDIPTIDKKKYLVPADLTVGQFVYVIRKRIKLNSEKAIFIFVDNVLPPTGALMSAVYEEKKDDDGFLYVTYSGEHTFGST >A06p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21780443:21781677:-1 gene:A06p040260.1_BraROA transcript:A06p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G67590) UniProtKB/Swiss-Prot;Acc:Q9FJW4] MALRATQSTARMAANLRRVARPFSTDAVVESDYKRGEIGKVSGIPEEHLARKVIIYSPARTATQQGSGKLGKWKINFVSTLKWENPLMGWTSTGDPYANVGDSALGFDSELAAKSFAERHGWDYVVKEPKTPLLKAKSYSDNFKWKGKPQLEK >A01p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10820322:10824828:-1 gene:A01p022020.1_BraROA transcript:A01p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHSKDKMSGQSGNISRYKRLKNNVTGSARAIIPPFLHFPQILKSLSLSLPSPTDSHHLTMSEDPSRLGSSTAAGRELIALGLTDSDGDEHLYHQQASELCRHLLHLGHSESVAHLSSRCTHLRASPELVKSLCSIPNSPISLTEDGAFVTLSCEFLSDPASFASSLGMPEHDLCEKISRFTDSMSPKRNHMRENEEEAELQLMPLPKRSRNNEINASYRANEITTIVNGSMLETLKAFETQASLISLGGELLAFDQSPWSSGCEMLTNLPVDVDPMHCLEEIKQDSPFPGEVGYGNQLEIEDFQIVDNLSLIEEEDEDNNEDLLPEGEIQTPNVQSEPMPSENELRSVYIVEDTEDKLALSCNPLKQEDQAVEIFTTPVKEMPLKPSATTVNQDSSLVHKAQDLCKSSGNSKGHSCSPEKKYTRKSKATQKAKQNLNYIQPKDQKDQSEQITFPDFDAYTVVEEEGSGGYGIVYKARRKTDGKEFAIKYPHAGAQKHYIINEIRTLERFGGKNFIIKYEGCLKNGDSDCIILEHVEHDRPDLLRREIDVYQLQLYGYCMFKALSSLHKQGVVHRDVKPGNFLFSRKSREGYLIDFNLAMDLHQKHRRADKSKVATVFPTASKRHQALINAPETINRVTNKSSQKTLAPNSLKKAAVKIRSRNDMSRWERFNSQGGEGSGLTSAKDVTSTRNNPSGEKRREPLPCHGRKELLNFLQETMSGPIPNHEVSSKAPTSMRKRVAALPEKNDGLVYLTPMPLRSNGRPEAGDVIKKKDGPCSGTKGFRAPEVCLRSLHQGPKIDVWSAGVTLLYLMMGRAPFTGDPEQNIKDIAKLRGSEELWEVAKLHNRESSFPEELYESRYLKGMELRKWCELNTKRRDFLDATPRSLLDLVDKCLTVNPRLRISAEDALKHDFFYSVHETLRKQRVLKQQQQQQQQKTHPSVVADAVDQTIH >A03p007230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3012341:3012904:1 gene:A03p007230.1_BraROA transcript:A03p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSCFFNVLIVSSLLLLVFFSTAMGRNLHTASLQGVYSAAELSPSKDESVRKMMELMDYQPVESNTNWNGFVATPPPQSPPLS >A10g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12873482:12875880:-1 gene:A10g505150.1_BraROA transcript:A10g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKNSASGDLISKKPNGKDDVSSAAPVKPSAASMKPSAAAMKISAAPTIPSVAPTIPSAASMKPIGQSGVSGDSSSTKRNGKAVVCSDVPSNNSERVVFFKDVTFGPQEDELRFRLIHFWEAKHAFSKILMGLEMLLVDAEGTVIQGFIPPSRMDTYLRHMKPGSTYRLTNFFGSKTKKVYRVADPDVTIAFSWKSVLSDLTDTSTWFPEDRFRFHGYEQFEAACDLKGDLYDFIGHIKLVNEQALNESFVLDEVEIASDRRILVHVQTHDGPVMKLYIWDKVATAFCEKFRSLGKPPSVIMVTTVNPKRLGGALSLSSLSSSRVFFDTDVHQTREYLAWLESNSEVANRVNAEIVTKAETATIGELLTYMKQEGAKVAWFECTATIDDVVRGSAWYYIACGGCKTKATKGPTTLMCRKCGKTEITGAAEYLSKLSVYDNNDHASFVLLGDAGFELTGKKASVLVESYYEANEGSGDDHVVPVPQVLIDTIGQKRTFVIKISKHNLEGKTQALTVTKVLPLEVPALGYDLAADVVASPTADEGVKRGADMIVSDDAKRAKSG >A02p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2478947:2481824:-1 gene:A02p005760.1_BraROA transcript:A02p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVWRVYTCNFGILWKINKPQTKTRCCREVSQASKQKQPNSLPSSHTHLSHRRKPDKNRLVPSRSNILVSSGGLRKSRLVVRNGTSDGYVVGENDDLGSNIATRRGDSKSKVLIPGLPEEAAAQITPSHCEWKPNLTVHYEKSGCGNVEAPAVLFLPGFGVGSFHYEKQLTDLGRDYRVWAVDFVGQGLSLPTQDPTTTNTTISKETSGLQNSEPFWGFGDEAEPWADQLVYSLDLWRDQVQYIVQEVIGEPVYIAGNSLGGYVALYFAATHPHLVKGVTLLNATPFWGFFPNPVKSPKLARLFPWSGTFPLPPRVKKLTELVWQKISDPESIAEILKQVYKDHSTDVDKVFSRIVEITQHPAAAASFASIMFAPGGLLSFSEALSRCKKNSVPICLMYGKEDPWVGPIWGKKIKKEIPNAPYYEISPAGHCPHDEVPEVVNYLMRGWIKHLESGGFEALPLMEDGEEAWEKSSIGREIEFPRGDGWKKSVKLWLYGSKYTFWRGVGEAFRASLVRAFRGKSA >A03p008480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3416514:3421361:1 gene:A03p008480.1_BraROA transcript:A03p008480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGEIQILRGYELRLLRCTVSSPPSDSPPETHESQSETHPHDSLINSLLSLIESGDYLGALGSDASRLILGDTELDLVDSAERVYSEILGSRQGRVFRGERPADGSTKCSLPFEVSEKSKELVEWENWAKIQLMSAGSDLLGKFSNLQHLVFARMLLLKLKDLYATETFELRSVSWWIVRVLLIHQRVLHERSSSLFDMLQVFMSEALDHFGALEKVESYWDDKLLEGEVSSITSTIHLEAFVLQYIYGRVDPCRLQLESAKAASKLELSVSGAFGFRTIHQVEPKAQMVLVANTSSSNGDVRLASEKADVGSYYEAWGGEAPEVYMTPKLVNDEGETGKDSVTLKPVEQAMVLAQCLLIEKGSRNDEMQRWDMAPYIEAIDSQKSTYFTLRCLCDLLRVRWESTRSRTKGRALEMMDKLVEAINKSEPGVSERIPLCFAVHLPTIPALRKEYGELLVSCGLVGEAITIFESLELWDNLIHCYCFLGKKSAAVDLINAQLLERPNDPRLWCSLGDVTVNDSCYEKALEVSNDKSVRAKRALARSAYNRGDFEKSQMLWEAAMALNSLYPDGWFALGAAALKARDVQKAIDAFTFAVQLDPDNGEAWNNIACLHMIKKKSRESFVAFKEALKFKKSACKSSSSSVETDASSDESAETKPCAATPDETQRHLELLGKIIQQKVHLKFGDYIARWSRIKGDLMVCSEALLKQVRSYQESEVWKDKERFKLFAKASLELCRVYREISESTGSRRELFSAEMHLKNTIKQAIVSFSESEELKELENSLEEVRDVMQKSEETTNTKT >A10p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16911900:16917619:-1 gene:A10p026740.1_BraROA transcript:A10p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLAALERPRGAASNTVYKSGPLFISSKGLGWTSWKKRWFILTRNSLVFFKNDPGSLPQKGGEVNITLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETFHDLHAWKTALEQALAHAPNAALSMGHNGIFLAETNEAIEGRDKRPLKSLVVGRPILLALEDIDGSPSFLEKALQFIEKYGTKIEGILRQAADVEEVERRVQEYEQGKTEFAFDEDPHVIGDCIKHVLRELPSSPVSASCCTALLEAYRIESKEARISSLRSALAETFPEPNRRLLQRILKMMHTISSHSHENRMSPSAVAACMAPLLLRPLLAGECDLDDEFDSGEDNSAQLLAAANAANNAQAIITVLLEDYGSIFDEENIQRCSMSTESHIGNSGPDDSSDDDNNSKNEYHNAENEVEPVTDDDDNERALSGKMSESSGGTSSDLYEYKGFVADDSDIESPRETTGPIRNSNVRTDHVVRNLFINSTDQQAGEQIGGDDPTKYGGNSCLVDGGESFQSGKVLNVLNHGNTLASPGLESSSEKSVNKGTPSSVPSKRATFWGRGSARKRSTDGSFDSSGEDELAIQRLETTKNELRQRIAKEARGNAILQASLERRKQALHERRLSLEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSHGVDSKTRAELEEIALAEADVARLKQKVAELHHQLSQQRQTNFGSFSDARDSHQYLQNHNPQKRFLQQDFDSTLAFVNHERKQRHEENILGAEWRNSKGGGSFGVGNSRQPSRKQVQEPTNMVDSKSSSGESGKMSVDKFSTTDSPSVPSTSRALDITEYPRPNHPSAAASAALVELTTRLDFFKERRSQLMEQLHSLDLNYGGSSSSSQDFIHRPSSPPWN >A02p005820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2500434:2501883:1 gene:A02p005820.1_BraROA transcript:A02p005820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGPSSLDEIRKAQRADGPAGILAIGTANPANHVLQAEYPDYYFRITNSEHMTDLKEKFKRMCDKSTIRKRHMHLTEEFLKENPNMCAYMAPSLDARQDLVVVEVPKLGKDAAVKAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFSDGAAALIVGSDPDVSAGEKPIFEMVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLDEAFKPLGISDWNSLFWIAHPGGPAILDDVEKKLGLKAEKMRATRHVLSEYGNMSSACVLFILDEMRRKSKEDGVATTGEGLEWGVLFGFGPGLTVETVVLHSVPV >A05p006360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2539522:2544034:-1 gene:A05p006360.1_BraROA transcript:A05p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGEPDRKKRHFSSISPTEAAAAVKKQPFFWPSSEDKLDTAVLQFQNLKLSQKLEAQQVECSILEDRLSQIKDKQLPYSSSLKTVHKSWDKQLTDAVESCSVRVSGSSSGGHRSVNKEDGSSPDIKDDFINRLLETGATESSSSSNICSNGMEDGRGNNTSTQVTQTLHNLVAATNDIRCLKDELYPTVLKTGLDKGVTSTTIGFQLLSWSYTELHSILDSCGQQLALNELESEVKSFRVALDDVLVKFKSLSRELKSYRDADARVRADLKRIRGELEDEVVELQQCNGDLSALRAERDATAGAFFPVLSPGNKLASSDKTRDKQRDLQDMEGVLKELTVLASSRLQELKELHKERTKILERTSILQNKSKSVRGISSSQACLSLKDQLRRSKEAVFKYMALLEKLQVEKDSLFWKERELNIKNELVDVSRRTSAVADSRMASLDVEIQKQHDEKTRIKTRLGNISKERGRKELFADTKALVSSFPGEMSSMRSQLSSYKETAGGIHSLRADVQSLSGVLCRKGKECEALHLRSAGYASQLRDLNATVRDLKNSHEELQLFLDMFKRESTDPRDIAEAKEQEYRAWAHVESLKSSLDEQNLELRVKAANEAEAVSQQMLAAAEAEIADLRQRMDDCKRDVAKHSDVLKSKNEEHGTYLSEIQTIGSAYEDIVPQNQQLLLQVTERDDYNIKLYLEGITSRQMQDALLIDKYIMDKDIQQASASASFLSKRSLRIEDQMRLCTDQYQRLAEDRYQKSITLENLQKKRADVVNGLEQARSKLEESHSRVEKKGSSATQKLRQELSEFKEILKCKACNDRSKEVVITKCFHLFCNPCVQKIIGTRQRKCPTCSASFGPNDIKPIYI >A07p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8117747:8118096:1 gene:A07p012480.1_BraROA transcript:A07p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTNQRKKADSTQPFVNSLIVAEALALRLGLIAAVNVDLTSIKMISDNSTLIRAINNDMHAKEIYEIVQDIQQISSVFVDISFSLIFPDFTSRKLIC >A05g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23499167:23501456:-1 gene:A05g507980.1_BraROA transcript:A05g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCSLQPASLALSVLSETRSIRSVDRLTFPIEMKGWQSLIDSFLEKVRAIGHSRNEQSKLKFHRFSLPNNLKHPSQQEKHKQVFITRKGREVKAKTTKPVAEPPPFWLKRTHQRNPQQEALHPKELLKLASPGRVPRSEERRGEAFLELTRREKTEDSGLFFPASVEVGKSGSIRRKRRHLSLNGSRPSSFIGRNVKVGAAKRPDPLEVRIVALHRQFRIDVESLFKELESTRYSGPGSLLHTYCTQDKIYLSSSLPFTFK >A08p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20791802:20794883:-1 gene:A08p035190.1_BraROA transcript:A08p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRLSFLVFIFTSVLSFEVWFSENERIVSPSSIFELGLFKDRTGWYLGIWFRQFPGRVVWTGNRGSPLYSSEGKLQISSSAGIQLFDESGYMTWHRDLTSPAAEDDAPLSAYLSDTGNFIVSNYSGGILWGSFDYPSNVLIPGMVLGYYPGLDYIRTITYDDIFHEGGTETGYEHYIWGSSGTKICRIDPIYTTKAMIQTRTTNSYTYSLRRNTTTSYYASLKMSDTGFLIWSEWTRRDRKWKDLVIAPSDICDKYTTCGSGTNTYCSMNPLKSCECFPGFRPQTDSERNQDSYALHGHCVRKSPLACSDDDGFQLLKNMKLPETDNWTISYEGVGLEECKERCLTTCNCTAFANTDMPTGVRSCVMWTVSLEDTRRNRGQNLYVKLAALDMERKRSNQNKKKRIIGFTVGAIVLLLLIVVVTFCCCWKRNNNAVLLATAEVTNQNLPVEEEEEEEGDENEEEEEEEEEEEEEEEEEWCLQSNLIIVVFSTVTPTENVSRSAPEEETTGSLTSLFMEFDVIAQATNNFSDEIGSGGFAKVYKGRLLDGRDIAVKRLYKLTTHAIQGFWNEVNLIAVLQHTNLVRLIGFFDDPDTKILVYEYLPRSSLNTYIYNTTRSDVLDWNKRMDIAKGIARGLLYLHQDSRVRIIHLDLKLSNVLLCDQMIPRISDFGTAKRLDGEDTEVVASSATGTYGYMAPEYAIDGVCSVKADVFSFGVLLLEMVSGINAREFYWKNDYKSFVGFRDLLSSQILQMWNLWLQGKVLDIVDPYFTSSSSSSSSYQPEEALRCIQIGLLCVQAHREDRPPMASIILMLGSQNELISLPKPPADLLLLQDPQGESFTASVATG >A09p044450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36092281:36095524:-1 gene:A09p044450.1_BraROA transcript:A09p044450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYSQSIPLIATGANNFNQKEMEMTMTQKRNTFVSPSLRKQRNQPGNRNPDAEVIALSPKTIMATNRFLCEVCNRGFNREQNLQLHGRVHNLPWKPKQKSEKEARRKVYICPEPTCVHHDPSHALRDFTAIKKHYYRKHGEKQWKCDKCPKSYALQSDWKTHSKICGIKVHRCDCGTTFSRRENYNAHKALCDALNQESGRNPTGSITNMAAAAGGSDGRQDFYGGAASALGRLQDFYACAASALGRQDFYGGAASALGRHGFYRGAASALSHNQFGNNSNTGYNLNRSSSNKFEGFVPHPTNPNPGPTNFPMQCPSNQASLAHNDQSLRSQHDLISLGARINNNNNNNNHGYFQNNTKTSNQTLFAHGADINDPSVWQRGLTPSSSSGVVVNGFGDNDKGNLQGWMNSLAMTTNQQGWSASNIFGPHFGNNLSMGGSDVLTLGVNGGSVSKGRGDRNPAPLDTQMKFAYPNRPFGNP >A01p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9257663:9259221:-1 gene:A01p019090.1_BraROA transcript:A01p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSLEYVLSMQGGDDDVSYAKNSYGPAAALASSKTMLTSAIDSIKLTKGGSSLIKIADLGCAVGDNTFSTVDTVIEALSRKVTVSDGKSDQPEPELEVFFSDLPSNDFNTLFRSLEDKVNSSSHKYFAAGVPGSFYGRLFPKGELHVVVTTSALQWLSQVPEKVMEKGSKTWNKGRAWIQGAEGEVVEAYAEQSDKDLVQFLKCRKEEIVEGGVLFMLMGGRPSGLVSQVSDHDSRLRHLFTILMDQAWQDLVDEGLIEEEKRDGFNIPVYLRSTEEIASAVDRCGGFRIEKMEVLKIADPMNAKQQELKDPESYGLAMANSVQAGLKPMVEAYLGPDLTCKLFKQYAIRAAANKECLKKNSFYYMIAVSAIRV >A10p035230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20287919:20289734:1 gene:A10p035230.1_BraROA transcript:A10p035230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] flavoprotein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G08530) UniProtKB/TrEMBL;Acc:A0A178UJ45] MAPVRGILGLQRAVSVWKESNRLAPALRSFSTQAASTSATPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARREAYAAGLLGKNACGSGYDFDVYIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKNICEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLHEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLQAAA >A08p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2563357:2565703:-1 gene:A08p004430.1_BraROA transcript:A08p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSNLRGPRIGATHDEMPVANTSSSTPTLSIKRKLSSLLPICVALVVIAEICFLGRLDKVSLVNTLTDFFAQSPPSRPDQNIGLMSESCEEWLTRQDSVTFSRDFKKDPIFVYGGQKDFQSCSIDCTFGDSAGRAPDASFGLGNQPGSLSILRSMESAQYYPENDISQARRSGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKAIAAAFISNCGAPNFRLKALEALMKSSIKIDSYGGCHRNRDGEVDKVEALKRYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGAPNIDEFAPASDSFLHIKSMEDVEPVAKKMKYLAANPAAYNQTLRWKYEGPSDSFKALVDMAAVHSSCRLCIFLATRIQEQEEKSPSFKKRPCKCTRGGSDTVYHVFVRERGRFEMESIFLRGKHLTLEALESAVVAKFKSLKHEPVWKKERPPSLKGDKELRVHRIYPLGLTQRQALYKFKFEGNSSLSTHIQHNPCAKFEVVFV >A03g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24881114:24881990:1 gene:A03g507090.1_BraROA transcript:A03g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGEIFDYIKKGSAKVELELPTGETTFAATAELPLLSANVLSASSKGCGDLADEGMVAQITVAKHRKPNHAPFVLTKKKMMNVILDPIEDSTESQVEKTYSLLGMCRETLAVSFQWCTYITFSLLIFTAAFDGLQSSFAGQKSSVGLINLTFIGDELRPHLQQY >A06p007700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2654639:2656420:1 gene:A06p007700.1_BraROA transcript:A06p007700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMVSTSSVQEGFSLIQQFHREHKPNSKLDVLGRTSRNINSRLSLGSRKRNRLVLASAASGLNGRAQKFETLASGVNGNGHYSSPNSSFALEDAESNNHLRQLVRTGELEEGFKFLENMVYHGNVPDIIPCTTLIRGFCRMGKTKKAAKILEILEGSGAVPDVITYNVMISGYCRAGEITSALSLLDRMSVSPDVVTYNTILRSLCDSGKLKQAMEVLDRQLKRDCYPDVITYTILIEATCRESGVGEAMKLLDEMRVKGCTPDVVTYNVLVNGICKEGRLDEAIKFLNDMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLADMLRKGFSPSVVTFNILINFLCRKGLLGRAIDILEKMPKHGCQPNSLSYNPLLHGFCKEKKMDRAIEYLERMVSRGCYPDIVTYNTMLTALCKDGKVEDAVEILNQLSSKGCSPVLITYNTVIDGLAKAGKTGKAIKLLDEMRAKDLRPDTITYSSLVGGLSREGKVDEAIKFFHEFERMGVRPNAVTFNSIMLGLCKTRQTDRAIDFLVYMINRGCKPTETSYTILIEGIAYEGMAKEALELLNELCNKGLMKRSSAEQVAGKCSSK >A03p060120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26037956:26038798:-1 gene:A03p060120.1_BraROA transcript:A03p060120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAEESIDIKFRLYDGSDMGPLRYSSASTIDCLKQRVLSDWPKGKTLVPKGINEVKLISSGKILENNKTVAQCKTPFREVAGGGVTLMLMHVVVQPSLSKTKTEKKVGKAPKAVICTCTLL >A06g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4593579:4602214:1 gene:A06g501270.1_BraROA transcript:A06g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGIFLFLLFLTFVGETSSSRDLPRVCEQVEFTSNNIEDGTPQILLPWTPSSCQEMQLAVTGGCAKASSDYLWLTSDESIISLSPNGVIKAKKPGVATVIAVSTSEPHNFDEILVKVPVPPSMVMWQNVPVETVVVSRLQIGVTMKSSKGAQNFDTTLHRNNIHTDSAKETRSSRRCSTYPLKFRKKKFVALDNGKKATFECHVKPPFIGTSKPWIELETGNIYCIFISNSNNMKPLKGASSALLLGRLSVSGTRKTMNITSEFNNVIITILENSDVQIHGREKESLSMSSRVDVGVPKTEVEMIVTLSAAGQKMIIRYEVDESQIPVKPYFVYLLMVPFLDFIVIIIMLKVLPRQRVLTHVSPNHVSPILSPSPDQPPLPSYLLPSPSISTRSPLPSPSPPPRLASSVTSRLLTTSPSKKTASPLMTTPLPQSFSSDLKLFVGNLPFNVDSVLVAQLFERKCGNVEMVEVIYDKVTGRSRGFGAREFVWGAREGMGAAYGTAVVMAGVLAVASMGVMRHELVMKSIVPAVMAGVLGIYGSLLNEGLGGLKFV >A02p003730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1630748:1631218:-1 gene:A02p003730.1_BraROA transcript:A02p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQSNDVSWEQHFQDITMFIRERLSSTKLRQYMDLMKLFRSRLIEGERLIWCLQYLFSEDECLSEMFNKLMETEIREMQINEAMEPIAIAIEEGEIVEEHRRRCHINHVRFCRKTGRNEADLPIKKRRKYRSEFSRSVGPYEFKSITNPNCGNIK >A04p023830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14495454:14497151:-1 gene:A04p023830.1_BraROA transcript:A04p023830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRYRAIDIDRPPPVKDTAPSQSLNPIPGCNIIPGWDYVQREIEKEQIRREIIAAETARRKELIAEVAQEMAIEREMAIKSMAEKDDKIATWITQRKLPHQNHNNNFSKLKRTYSDPAIYSSPNSLVTSPMKQMPPLQQMLEATTAKETPVLESNKDKLIILDRPDPIGGDAKTRLGRAKRKAKDVEGGLKEPSKRKRLFKFWCDLCSVGACNETVMRNHELGKKHKAAIKKQPEKTAASTSVIIAPASLAAPQSEAVTVVPNTQRQKVDEVAAKETGKKIEGEKKKSVMIRCEACNIVTYSENVMETHKLGKKHKAMLKKHYSEQLLEIHRLEKRASTVTVKSLDADPSEEESKVHFLEKASS >A08g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14679511:14680122:1 gene:A08g508150.1_BraROA transcript:A08g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINLEAHVLLLSGGFVVLMLQSKLLFIQPDWNLTMTIEVNGGIIVSVVKEEDWFKKQRSRVKVIDYGEAVIMPGLVDV >A01p048020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27059478:27061277:-1 gene:A01p048020.1_BraROA transcript:A01p048020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDLLYDTILARSFSKNEQKRLGYGAFVASLLFVFTLCTVFKPYLSPLPTVELQLPVNAGLRMLRITETQKPQASRSSSNATYGDSANLTIPTDQINITSDATTPQKLISSENHELSVFKNTSLPKNHLDSFNSTTNTTISKEQVVREGNKLEKTMKPICKKLARTEICEINGDVRVHGKSATTDKAIAFAFSGNSTWHIKPYARKGDVAAMERVREWTVKLEQNANLSRCVRNHSVPAILFSLGGYSMNNFHDFTDIVIPLYTTARRFNGEVQFLVTNKNQPWINKFKGILKNLSNYDLIYIDEEDETHCFSSVTVGLTRHREYYKELTIDPSDSEYSISDFRKFLRDSYSLRNAAVRPVTTRRNQRRRPRMLILARGRSRAFTNAGAIARAAKQIGFKVVVAEANADVASFAQTVNSCDVMLGVHGAGLTNMVFLPENAVVVQILPIGGFEWLAKTDFEEPSKGMNLRYLEYKIAAEESTLLRRYGRDHEVVRDPSAVGKRGWEMFQSVYLVQQNVSVDINRFRPVLVKAFELVQMQSV >A09g516670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49034005:49035341:1 gene:A09g516670.1_BraROA transcript:A09g516670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDSKRVTFCIHVTENNFGVFKAKLISSHQLQHVEFSKVPVDHRWTKAKRKEQLSRSNISLGKHDDDLCKSLGEAEGNQNCSTSNISEKLLWSETTGHSLIVANLLEIEVVRVIWDVLRDVVQCSGLGNQEGSITILNDHSKHCLISVTYVYVH >A09g511900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35168857:35169566:1 gene:A09g511900.1_BraROA transcript:A09g511900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNGSGYAEVEAYGNVEAIFFKKVGSGYVLEASVLEARFRKLPQGSDSDSDSEAGSGRPMKLPCNLGLTLLFCGKHY >A10p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11812865:11813928:-1 gene:A10p011420.1_BraROA transcript:A10p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44000) UniProtKB/Swiss-Prot;Acc:Q94AQ9] MASHILPYYHNPILFYPNRKFIGHHPRFCSKLLRTRIRVPRSSAISDGGISHNTLVSKAVRLLVPQANFDSSKLKVEFLGETLETKASGGIITPRTYILSHCDFTANLTLTISNIINLDQLEGWYQRDDVVAEWKTVNDEMRLHIHCCVSGLSVLQDVAAELRYHIFSKELPLGLKAVIYGDSVMFRENPELMDAYVWVYFHSSTPKYNRIECWGPLKDAAKGKQIGNHQGFLSSNTSSRKLIQPKSIFHTLFTFLL >A06p058100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30183373:30185636:1 gene:A06p058100.1_BraROA transcript:A06p058100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 26 [Source:Projected from Arabidopsis thaliana (AT4G38230) UniProtKB/TrEMBL;Acc:F4JTL3] MTIKQRFILALLIFSLDGKHKGHNLTEFLPPYLSIYHLCLHKREDTMKHSGGNQACFVLGQKTPSIRDLYSLGHKLGQGQFGTTYMCREISTGREYACKSITKRKLISKEDVEDVRREIQIMHHLAGYKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELIKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFEDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILVSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRGMLCSRPSERLTAHQVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKQMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADIDKSGTIDYGEFIAATIHLNKLDREEHLLSAFSYFDKDGSGYITIDELQQACAEQGMSDVFLEDVIKEVDQDNDGRIDYGEFVAMMQKGIAGRTMRQSINMSLRKNA >A03p021410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9097378:9098566:1 gene:A03p021410.1_BraROA transcript:A03p021410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIQAYLDSSNWQQAPPSNHNQGGAGASDTGGHGLFTQPQSNGSGGSGSIRPGSMVDRARQANVAMPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKSCRRYWTRGGSLRNVPVGGGYRRNRHTKSSSNNNNSTATSNNTSFTWAASGNASTISAILSSNYGGNHESILSQILYPGRVMNPNYNHHLGDLLDNTKTDSNMSLLNYGGLSQDLRSVHMGASGGSLMSCADPSSNGFYPFESPRITSASISSALASQFSSVKGEDNPYKWVNVNGNCSSWIDLSTFGSSS >A08p016190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10516624:10518823:-1 gene:A08p016190.1_BraROA transcript:A08p016190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVGLVGLAHIKCRIFPTKGKEASSSIKRIRLISITIRAFNDNQIRTQVRRRISVRWGIRLHNLLLCPSLEEEKNAELLRRLVITGLNRFLGAQFLGKPILGTVVPPRTPSISPMSKRILCKFFAHGACLKGDNCEFSHDWKDPTNNVCTFYQRGLCSYGGRCRYEHVKLKPHPSPASSSSALPRSSASEKDLSPLPSSPAWTLDSSDNTFSSSSSKPQDQPICSYAAAGDCPRGDQCPHIHGDLCPTCGKRCLHPFRPEEREEHKKACEKKHKQLEALKLSQEVECCVCLERVLSKPTPAERKFGLLTECDHAFCIGCIRNWRSSSPSTGMDVNSTLRACPICRKLSYFVVPSVIWFSAPEEKKEIMDNYRDKLRSIDCKHFNFGDGNCPFGTSCFYKHTVKPGSYAWKYHRPPPRRPSPSGSNLSDMDTFFSMMGGIMSEGEYDPFAFEDSDDDELTTTDMMMLLMNMDTESD >A10p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14833173:14834222:-1 gene:A10p022470.1_BraROA transcript:A10p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNTSDAYIRITNDVISKSRNDLVFTGELDESVLTELHSIWRRKMIQAGVIRGTIETSSPPIQNPNPLHGYAQNKSGIDSDPQFPSTELGLSIKNEGEGLYIPQQDGASDDIKPSAFAPHDQRRFYLLREALCEGYL >A05p054600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31256349:31258724:1 gene:A05p054600.1_BraROA transcript:A05p054600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTLPLNSTKTTPMKQNPVVAVSSSPSLSLGPSFPRRLRVSCVATSPRKTSEQTHKKTFRPIKEVPNQITHTITQEKLEIFKSMENWAQENLLSYLKPVETSWQPQDLLPQTNDEDQFYEQVKELRDRTREIPDDYFVVLVGDMITEEALPTYQTTLNTLDGVKDETGGSLTPWAVWVRAWTAEENRHGDLLNKYLYLSGRVDMQHVERTIQYLIGSGMDSKFENNPYNGFIYTSFQERATFISHANTAKLATTYGDTTLAKICGTIAADEKRHETAYTRIVEKLFEIDPDGTVQALASMMRKRITMPAHLMHDGRDDNLFDHYGAVAQRIGVYTAMDYAGILEFLLRRWKVESLGVGLTGEGRRAQEYLCSLPQRITRLEERANDRVKLGSRPSVAFSWIYGREVGL >A07g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4070124:4071287:-1 gene:A07g501920.1_BraROA transcript:A07g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVKESGAKGCEFILRVAKGCGAMVFKRYVEIGRVALVNFGKDYGKLVVIVDVVNQNRALVDAPDMERIQMNLKRLSLTDMVIDINRVPKKKILIEAMEKADVKNKWEKSSWGRKLIVQKRRAALNDFDRFQIMLAKIKRAGVVRQEIAKLKKETTA >A02p049840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30889748:30891744:-1 gene:A02p049840.1_BraROA transcript:A02p049840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCLCFGLGPKRNKVVQIPRQEPVSVQESIRDQPSSSSANPATSSSDPEALLPPPQKIKKFSYLQLATATNNFSLDARIGQGGFGDVFKGELEIDGQLKDVAVKMLGRSSIQGNKEFIVEVLMLSMLRNKNLVKLYGYCCEGDQRCLVYEYMPLGSVEDNIHYIRSAQEVLDLSTRMKIALGAAKGLAYLHNDSKPIVIYRDMKTANILLDHGFEPKLSDFGLAKIGPNEGMSHVTTRVMGTLGYCAPEYAATGQLTLQSDIYSFGVVLLELITGRKPIGDSTMGAQRLLVRWALPYFRNLNIRKIADPMLGIQGDPYLEEAVRRTVQLAYMCLRERAKARPTIREVVEALEVLVEYIARKDKGNDIRYGRGVDKGKKVEGSTVNEGDEGLERDRYVSDAKRWAKGCTRAERRKSKVADTFFA >A05p052520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30652626:30654344:1 gene:A05p052520.1_BraROA transcript:A05p052520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSSELVGFTIPFYSKTYKHYNRSFLTCSNVNVKPFSTNLQVQASTTNHSHSLGFRDTQMLKIFHRACRAGNYIEALHLLESMVPKGYSPDVILCTKLIKGLFNLRNVPKAVRVMEILEKFGQPDVFAYNALINGFCKMNRIDDSTKVLDRMRSKGFSPDTVTYNIMIGSLCSRGKLDLALKVFDQLVNDNCQPTVITYTILIEATMLEGGVDEALKLLDEMLSRGLKPDMFTYNTIIRGMCKEGMVERAFEMIRSLEVKGCEPDVISYNILLRALLNQGKWEEGERLMSKMFSEEYEPNVVTYSILITTLCRDGKIDEALNLLRLMKEKGLTPDAYSYDPLIAAFCREGRLDLAIKFLETMISDGCLPDVVNYNTVLATLCKNGKADQALEIFGKLGEVGCSPNSSSYNTMFSALWSSGDKIRALQMISEMLNHGVDPDEITYNSMISCLCREGMVDEAFELLVDMRSCEFHPSVVTYNIVLLGYCKAHRIEDAIGVLDTMVENGCKPNETTYTMLIEGIGFAGYRAEAMELANDLVRIDAISEFSFKRLHRTFPLLNVLQRSSQPFGH >A03g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24964971:24965551:-1 gene:A03g507110.1_BraROA transcript:A03g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRNVAYGHVWASEWRERRGGFVTLRELSRVTMELVCESLGFKGKGICKVHGTVFVVICDRALPGERFLGCVTRRKGDKDQDFNSTRDLVEAPCEYASYCGGCKAQNLSYEAQLRAKDEQVHELITHIGRFSDNSPGLETVLKAIVPCDIQF >A09g515580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45936817:45937554:-1 gene:A09g515580.1_BraROA transcript:A09g515580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLFQPVDDPVNGDNRYHEAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKIVHPTN >A05g510350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31830907:31833897:1 gene:A05g510350.1_BraROA transcript:A05g510350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIKTGASETTLSKSSPGSLRVPKLTRITTRSEPNTPSPTQHSRLSLDRSSANSKPSSEKRSPKVPTPPEKTQTRSVKGSESQPRLIQMKEDLRKANEVIASLENEKAKTLEELKQLRKEAEEASYKLEEALKAHEKAEEDFEISKFEAVEAGIEAVQRKEEEMKKEIENVKNQHASEASSALLSATRELERVSQELAAANDAKSKVQSEAHDATKMAAIYAEKVEILSSELIRLKALLDSTREKETISNKEIASKLGAEIVVLKRELENARSFEEELEMVKEELQSVKLAETYAQGSGEEWRNKAEELEEQLEEAEEVKRSALVSLVSMTKQLEESNGRLQDMDSEVTDLKEKIRLLETVVARKKEDLEESEERLRVAEEELSKAEKLKNELETVKEEKNRALKKERDAASSVQILLEEKRKLLSEVERSKEEEEKSKKAMESLASALHEVSCEARELKVHETQAEDLKLVMKATSEKYEKMLEEARQEIDVLVNAVEKTKKEFESSVVDWETREAGLVSHVKKFDEEVSSMGKEMVRLGNLVKRTKEEADAAWKKESEMRDGLKEVEDEVVYLQETLREERAERLKLNEKMLDKETEFQSVVRENDLLRVKQEGSLKRIDELEEALAKKHRGEVSESEKEYDLLPNVVEFSEENGHRSGAEEKKDDSRVEVEFKMWESCEIEKKEAFHKGKEDPKEEVEDEREKTSPENMKESREEQVVNEEKEKKVKKKTLFGKVGNLLKKKGAPVNQR >A03p040770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17007704:17009046:-1 gene:A03p040770.1_BraROA transcript:A03p040770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIRCCSSFSHTSTVRTPPHENSRGSETGKLAKPIGYTLVRTPGAHHLISKIKPLSTTLNERGSQTPFASFETFDKLSAFEGIGKLKLPVMAVLLTNSLMIATPLEALAAEICETESSIFNMPVLLLVALVGATVGGLVARQRKGELQRLNEQLRQINTALRRQAKIESYAPGLSYAPVGARIPSESEIIVDPKKQELISKLKTGKTFLRNQELEKAFAEFKIALELAQSLGDPIEEKKAARGLGASLQRQGKYREAIQYHNMVLAISNREGEDSGSTEAYGAIADCYTELGDLEKAGSYYDTYIARLETD >A07p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24581536:24583052:1 gene:A07p045270.1_BraROA transcript:A07p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRNLIRDEELGAMSNDDDSPSGKRSKLDRFPLSRWELAVSLGVFLVFSSGLFCIYMTMPAAEFVKLKLPRSISDLRLLKDNLADYANEYPAQFVLGYCATYIFMQTFMIPGTIFMSLLAGALFGVIKGVVLVVFNATAGATSCFFLSKLIGRPLITWLWPDKLRFFQAEIGKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHIFFLATLVGLIPAAYITVRAGLAIGDLKSVKDLYDFKTLSVLFLIGFISILPTILKRKKIYE >A09p011460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5780051:5789776:-1 gene:A09p011460.1_BraROA transcript:A09p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHROMATIN REMODELING 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) UniProtKB/Swiss-Prot;Acc:F4IV99] MAFFRNYSNDTVSPSALDDNQDAATFQSSSPLQQDMDASYNTERGFDMNLDVQYQCEAEPGSSIGQQHQTGVAVSSGRRTGVSGKWGSTFWKDCQPMGQRDGAGSAKHSLEYLSNSEKLDSENENEEDKGMNKQQSGQGDVPAEEMLSDEYYEQDEDNQSDHVQYKAFGDPINSRSLPKTGSSIHSKSRTSRAIQKNIHYGDADIDYEEEEDEDDPEDADFEPYDAASGGDASKKNDQDWDVSDEDPDSDDDFDIPDSEDDYDTKKPKARQQGKGFRKLSSGLDRKSAQASSRQKRKPSYQEDFSEDDSDNEIDEGFRSLPRRGTTLGKNNGRSTNNIGQSSEVRSSTRSVRKVSYVESEDSEEIDDGRNRKTQKDDIEEEDCDAIEKVLWHQPKGMSGDAHTNKKLTVPVLLSQLFDTQPDWNEMEFLIKWKGQSHLHCQWKSLTDLQNLSGFKKVLNYTKKVTEEIRYRTALSREEIEVSDVSKEMDLDIIKQNCQVERIIADRISKDDLGDVVPEYLVKWQGLSYAEATWEKDVDIAFAQAAIDEYKAREVSIAVQGKMVEQQRTKGKVSLRKLEEQPEWLSGGTLRDYQLQGLNFLVNSWLNDTNVILADEMGLGKTVQSVSMLGFLQNTQQIPGPFLVVVPLSTLANWAKEFRKWLPDMNIIVYVGTRASREVCQQYEFYNEKNVGRPIKFNALLTTYEVVLKDKAVLSKIKWIYLMVDEAHRLKNSEAQLYTALLEFSTKNKLLITGTPLQNSVEELWALLHFLDPAKFKNKDEFVQNYKNLSSFNESELANLHLELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDINDNTKLDKIILSSGKLVILDKLLVRLRETKHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTKAELRQQAMDHFNAPASDDFCFLLSTRAGGLGINLATADTVVIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEEILERAKRKMVLDHLVIQKLNAEGRLEKRETKKGANFDKNELSAILRFGAEELFKEDKNDEESKKRLLSMDIDEILERAEQVEEKDAGESEHELLGAFKASSYVANFCNAEDDGSFWSRWIKPESVVTADEALAPRAARNTKSYVDHDRNQPDRTSKRKKKGSEPPDRSQKRRKTEYFVPSTPILEGTTAQVRGWSYGNLPKRDAQRFYRTVMKFGNHNQIACIAEEVGGVVEAAPEEAQVELFDALIDGCRESVETEDFESKGPVLDFFGVPVKANELLKRVEGLQLLSKRISRYDDPITQFRVLSYLKPSNWSKGCGWNQIDDARLLLGILYHGFGNWEKIRLDDSLGLTKKIAPVELQHHETFLPRAPNLKERATALLEMELSAAGGKNTNAKASRKNSKKVVINQLKAPARDRKGKSGPANLITIKDVGPRRTQKAEPLVKEEGEMSDDEEVYEQFKEQKWMEWCEDVLAGEIKTLERLQRLQTISADLPKEKVLFKIRRYLQILGRRIDEVVLEHEEDSYKQDRMTMRLWNYVSTFSNLSGDRLNQIYSKLKQEREEEEGVGPSQLNGSTAGRRQQRFKTPGPPQVHKGIDTAKFEAWKRRKRTENNDVHQSERPLMSNNSNSLGILGPAPSDRSHRARQAGFPPR >A05p043490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26238348:26241567:1 gene:A05p043490.1_BraROA transcript:A05p043490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGIFTIDFEPVVSASYGGVAGAICGGIVGMVLPPEFASLSSPSPTNSSSVCSYGCLGAFSIYRCTTKTWFLNFSVFILKFFAYSKALDVTQHGAVGDGVTDDSQAFLKAWEALCSGTGDGQLIVPVGMSFMLQPLKFQGSCKSTPIAVQILGTLVASSRGDWKGDKNQWILFSDIEGLVVDGNGKINGQGSSWWQHKGSRPTGLKFKNCNNLRLRGLTHVDSAMAHIHINGCNDVTISNLRINAPESSPNTDGIDIAASSNVVIQDCVIATGDDCIAINSGTANIRISGIDCGPGHGISIGSLGKDEGVASVEDICVQNCNFRGTMNGARIKTWPGGSGYARRITFNGITLDNVENPIIIDQHYKHEDSDKSTDDKSSAVEVSKVVYSNFVGTSKSEYGVNFRCSERAPCTEIFMKDVKIKTASSGMGQVAQGQCLNVRGGVTTLAVPGLECLALSTDWGTLPEQACMLPQQSGQPDTRPSQDPLWVIWVYGSGGKHLGVYSVVLTSLINLFWF >A09p042450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22003939:22006423:1 gene:A09p042450.1_BraROA transcript:A09p042450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVSLESIKNIQLMKLGTEKYSGLIAGRKFTKRVETAQIDRETRGSTQERGVDVHEAVPYSSTEAQGVAVPAICACEPTCRGPCIATHEPHTCWELCGLGGVFWNVKEACNKACERPCVATHATGSMQDDTHAIGWLILIGWQLLYIPSHPSPFLLISFHRNQKNVGREREREREREREREREREREKIPKNCPEEKEGYFQVLISPDQFIQDMEVGFWGLTSQYQDEDLEGIKRFVDLRLETMSGLKPRGGSSSSLQVITSSNGTTKPVTSRKDHSARGTIGAGVDWTSFTKDSSNGDSDRDETRMSSMHYLGKELRGQGEDGLGSIQLGRSPNRTAWRTAKLNPSRVQLGRSPNRTGPAWRTVELNPSWVQLELDWFSSANGQAESVSGPAWPFTELDCSVNGRAESILGPARPFAELKMDWFSSADGRAGRFGRSSSAICRAGRCALATFQ >A06p011660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4345101:4346408:1 gene:A06p011660.1_BraROA transcript:A06p011660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYTOCHROME KINASE SUBSTRATE 2 [Source:Projected from Arabidopsis thaliana (AT1G14280) UniProtKB/Swiss-Prot;Acc:Q9M9T4] MVTLSSSSSSTPNTSSDFTRSNNSNTLHGPFSSSSTSFSYLTSKEDALTQKNLKIGMNMDTNPEEDQDVLGVSKKASEDIEIGVFGAEKYFNGDMDSEHSSSLVSPSIERIFAGPKKSSKKSSETPSLRSESSWNSQSLLLQNKKKKNHNNSPSCNSYLQDKDASTSNQKVSNKKSFLLNLGCKGVCSNWNAVDVVDVDEKRRTSGLKKIKTQLSFSGDLSAEMKLHKQHQEAMLEQRKTLEIFGSPLIEKRIISKNLPWEYSTSAKQEEEGEDGSVSDLSSDLFEIESVTGKNKPYLARQESSDAESPDCYAPSEVSIAWSVVTASVADYSVMSECATSPVKHRSFQIPRIPITAKSNRENEPHRQKPRSGGGLLLGCKSHKAVRVSGDSYTNMNRTPSYVPRFPAEANPTSIETRRRASSSSVSRTQSPFLYI >A09g518760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57187613:57189755:1 gene:A09g518760.1_BraROA transcript:A09g518760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSSQRKISNKLHQKKHKHGGRHGKSRSKISASMPDVPMKRMSNASVRDFVHLDFEKGAAKMMCKRAEMTNANFHLTQLQWNCQFDGNRVSHEEAWYDSFSYIDSESDDGSNCSVFEDANPSAMGQVIQYEEFYESYLKIDGNKAETYSSKNEVSIKRNQIADESHQETIKTTTCQEHQDHNKRSSKVVMVSVRRTSIDRKSTSSELYRPKAGSVIQRSLGEKLTNQGSWLELSPSSFKLRGLSFFSPYTPIGVDLFACPKKISHIAQHIELPNLKPVSSQECYVPSLLIVNIQLPMYQTSMFGDYDGEGLSLVLYFKLNESYHQEISSHFQETIKRFMDDEMEKVKGFTRESTVPFRERLKIMAGLVNPEDLLLSSTERKLITAYNDRPVLSRPQHDFFQIDFVNHGQIPTLLTNKQ >A03p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14126837:14127550:-1 gene:A03p033370.1_BraROA transcript:A03p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G00810 protein [Source:Projected from Arabidopsis thaliana (AT4G00810) UniProtKB/TrEMBL;Acc:B9DFS7] MSTVGELACSYAVMILEDEGISITSDKIATLVKAAGVEIESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGGAPVAAAAPAAGGGAAAAAPAKEEKKDEPAEESDGDLGFGLFD >A01p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2306899:2309680:1 gene:A01p005380.1_BraROA transcript:A01p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTEPVRVAVNIRPLKGCTDCITVTPDEPQIYHRCVAPLVEDLFKGYNATVLAYGQTASGKTYTMGMGTNFGTSDGIIPKVMEDVFTRMEAVKSQIRVSFLEIYNEEIYDLLASNPSRAPIIIRETASGEITLQGVTEVEVKTKEEMSSYLARGSFTRATGSTNMNIKSRHCRSHAVFTISLQITRAEEILCAKLRLVDLAGSERANRTGADGMRLKEGRHINSSLLALGNVISILGDERKRKKGVHIPYRVSKLTRLLQFFSNLGFSWRLSWLRPHTRLLNISVFKKKKDSLGGNSKTVIIACVSPAISDVEETLNTLRYANCARNIKNKAVVQKQKTSAPSFDYDATFKELTPLKSEAKNKAVVHEHKPYVPSFDYDAMFKELTPSKSESLPVYDKPVYDKEDVFQAFSELKIPWTSQAARFDDLFASSSSRSELRKPVYDKPVYDEDVFEAITELHVFEAMPELQCDEDVFEAISELQIPSTSQPDDVSSSSPSEFTKHNTSSLDVSPKKTESEQEDKCQNKPMEIIDLIDDEAEDQEKPFIDLTTDEEAEVKEKPFIDLTTAECHLELKELNKRLEEKEAEIRGSDEEKVQLEKEKRALQREIEGLRQKLASGSSATPSCTCSKRSSCKTLRCQCLVANYFCVASCGCSSVKCSNRSRDERVL >A01p045070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23976528:23978208:1 gene:A01p045070.1_BraROA transcript:A01p045070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMSWDDGKHMRVKRVQVTYEDVIKSIEAEYDDDQNPKRHGTPGKKSDGVSLSPDEYITDVTGYYKTTGNEDAIAALAFKTNKTQYGPYGNKTQNQFSIHAPKDNQIAGFQGISSNVLNSIDVHFAPLPSSSPSDSSTLSSASQANKVDAQGGKGGTSWDDGAHDHVRKVYVGQGESSVSYVKFEYEKNGKKETHEYGKKTLLGAEVFEVDPDDYITSVEVQSDRIFGQDTDVITCLIFKTAKGKTSPPFGLEGAQKYELKDKNGGKLVGFHGRAGEVLHALGAYFAPSSSTSSGGRTSSSTQPAGSAAGAKKLEAKGGNAGNPWDDGPHDGVKKVYVGQGESGVSYVKFVYEKDSKEVPGNDHGKKTLLAPEEFVLDPNEYITAVEINYDNIFGTDSEIITMLRFTTNKRTSPPFGLEGAKSVLLKEDGHKVVGFHGKAGADILHQVGVHVKPISK >A01p040630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21702453:21703187:1 gene:A01p040630.1_BraROA transcript:A01p040630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYIQHSASSTLTKLLDPLLKPDVDIDPELQSDLVKTVFYLSSHEENKTFIGQNPSIINTLMSCLKQGSKETRRDAAATFALLSSTGSSLRVLALLVDLLAQGDDSAFDAVSSLCVLEENRDRAVLAGALALVFTLLKFLRRSTYQPNVENVLVIIFNVSERDGSGLEFVSKEEKQKRTLTSVVVNGSEHAQTMALRILQVAAGSRR >A07p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16811903:16815729:-1 gene:A07p030090.1_BraROA transcript:A07p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFSVQSTLFTRASVALLSSNGLKRFSFASSFSSNALYSPPLPKTKKRRFPIVSAVDIGGVTVARNDVKGVQTKIVPNKRLQGILPFVKKSATLHSMKGKKIQIRKKLQSYMNHRSSSYARSNTGNVVRLSTLSIKSVRGWLEKMFWKMTRIVNWWKTVLFVCVLALAFDPLFFFIPVIDSHKFCFTLDKKLGVAVCVLRTLIDVFYVIHFIFHFITELVAPRSRASLRGNSKPIRKRLFFFYFSVDIVSVLPIPQVMVLTLLSRKQKTSLVSKEILKWAMFCQSIPRSIRIYPIYKNGTKLYGRVALTKWVGAALNLFFYLLPSHVIGAFWYLSAVEKKETCWREACAKIDECDLTNLLCARGAGGDNSRFLNTSCPLIDPEQITNSTVLNFGIYTDALKSGVVETRDFPRKLLYCFWWGLRNISALGQNLKTSNSAGEVFFAIIICVSGVLLFAGLIGNVQKYLQSTSIRVDEWEAKKRDTEQWMPSEDLPDDLEKCIEWEKTNSIEREAHLRSLPKDLRVEAKRNLYLYSLENVPWISFIDDDWLLNEIYDRVKPVFYSQKSYILGEGDPVKEMLIVTYGELESMTESFETSSYSDIQIRLMKGDVWEDLLFWALDPHTSPSLPISNGTVTTLTYVEGLTLSADDLKCHSKSWRSWAAFYIQAAWKAHCRRKASKILPAIKDEQQILQDTQRNLGATLYASRFVSKALRNRHVDSAECSSFPEMLPDKPADPEFSKKEA >A09p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14164002:14165768:-1 gene:A09p025370.1_BraROA transcript:A09p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDDEDECFARFLESEVSSVEDEEKTKEPEPKRQRIEKDKTKVVVDQKENGNNKDRNGAKRIESGDFTKVPTELFRHIFKFLSSEDLLSCALVCKFLNFTASDESLWRRLYCIRWGLMPSTRMLRECAWKKLYIDRDEKDMIELVRSCPPDFKVYYVQMQAAKRSQAPLPSEMVDDRVVLDKTVLDKVSLWKKSKGLTNKAVIGHVCLGTKCGYHQIDDVFICEETGNVHVCDENCKEVIFCPDSGCMVCTISGLCSDSLVLETDSDTDQEEAELEAEILTEKGRFDRAFEFGYNCESEQELERTFGFC >A10p037670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21208789:21210674:1 gene:A10p037670.1_BraROA transcript:A10p037670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGRFFTMGIVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWLKMVPMQTIRSRVQLLKISALSLVFCVSVVFGNVSLRFLPVSFNQAIGATTPFFTAVFAYVMTLKREAWLTYFTLLPVVTGVVIASGSEPSFHLFGFLMCIAATASRALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITIALARDDFRIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYSLTVCGVILYSEAKKRSK >A08p001990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1156786:1157910:1 gene:A08p001990.1_BraROA transcript:A08p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMISDLPNDLESEILARVPAKSLSQLKTTCKRWYTLFKDPKFVEKNKKMSSEAARESMLLSNHEVYSIAGDLHSSGDVSQPLEFAGKLSKDLDLYTISHCDGLMLCQAKNNSSLVVWNPCTGETKMIKPRTRYQIRDRFSLGYDDSRRGYKILRYGYYQNEEKVWFVECEMYELSSDSWRFLESFAPDYGMYCSGVSLRGDTYFAAGGKEMGFFLMKFDFTEERFVRLPLPFQSFDPEDTAVLSVVRDEKLSVCHQDILAWSNVMRIWVTNKVDEEGKVLSWRKDFVLTVDFDKFQLPCVVNVASFLLDEEKKVAMCCDVCDEEMKGEEKNRIYIVGEDMYKQVYNDDIVNASLLNCPLVLTYVPSLVHIH >A01p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7693530:7699837:-1 gene:A01p015720.1_BraROA transcript:A01p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSRSWKHDQVFISFRGEKARIWGWREALVYIGGILGSPHKKGDPEGPLVTDIVVKLKKMLRELSYPRDDHFNIDKFLMHPQKAVTSLLQALNLNKTVLKDLITKPSIHSVSMILDDLVFLDLISLKNPELAQGLTKHSQAGSIFLLLLGSLDVYDKEFEFQPLLLSKKPQRFPGNKPVVSSQEIQDQSYSSPVQVTNVLATIESNDNTRNRLGDDKISDDDTLACFTFLCNIMKRCAMMTRPPPDRASISFGEEQLEETQVSSLISPPPDRAFISFGDKQLEKTLGWFLYRLLKIEQEVRKDVNRKSVKAILDTEARIWGWRQAIRSISSKPGFSNEYSSDPLFFTAVMTKVKEMFEFKDRPKKSSQMIEETPLMHQHDDLFYSLASFLQALNLENTDLEGFREHNGLISLSLKRYPNLVFLNLGSFDNMVEFKCSKSFEFLIKGFGLKNPGISKFEEPSRVLVSSRNHQYHINQVQNPSSRHVATFTINSPVEAEPATPPVTTSELTTTNLVTLPAPISNSLTNEVEGNVSGRTFEALDTGSRFEKGDTSQRTRGGSGSPGLMLFPKDGGCVLYNPKEGTFQRKLGDFSGCRFLANSGNWLLLLDSGSNLYIVDAFSEKKIRLPSLESIDSADCIVKCVGDRKFIRQDSDSIFRDLSADVVRGLLWVSESAKQYVVVWLFDLPGHSYMSFCKNGDFYYTDIPLFHHQDLHWLDGLSEMVLWGTRLYLSTSRRYVRVLDLSGPQGYFKDITDGQVLLVESDPCNRTCFRLYKKNPDIENPDLFGHTVTEVDSLGGEALLLDLGYTVPANKALGIKPDSIYFTRHYRPCQCASPDLDICVYNLASKTLHRFPDLDIMNLMDARCNCSESGHKR >A04g507110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17151157:17152308:1 gene:A04g507110.1_BraROA transcript:A04g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISDLPNCLVEQILSRLPLKSMRAVRLTCKEWDTLSKSRSFAKMHIDKLSAEFMEIATMDNNLYLKEDPFKGKYVCLDKQIKISQVFHCDGLLLCVLGEDYTKIIVWNPYWGQTRSIETRCYHGPCGCDRFSYALGYEDKKSCRSYKILRFVDHEYYENAPEDHQQFVLYEIYDFDSGLWRILDVTPHWRISLGQGTLSLKGNTYWPALHYNSVPGMLSDDHIICFDFTSETFGPLIPLPFGRIPGYVTLSCVREEEEEEKLGVLLRWPYLEFGIWVTAKIEAGKVSWSENLSVDTIPYHPLLFIDDEEKEVTTSFEDDRFILDDTEDTYCSAHIGSYVPSLAQIKQPSAGCKRKQQCDLETQEYDQNRLTLEKRSKECNR >A02g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21190435:21193539:-1 gene:A02g507640.1_BraROA transcript:A02g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNHEDTFYGFYTQEGVQANWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEAKPIIGVKRSLSAFQKAQDQEKWPRNYVVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDILGIQEEFYKFIPCTNNHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAVKKVPRKLSYPLKPFRFKKDQILYLEPKFHKRLQRLVFDFFLTAYNLKFLNQEAMTEEEQGQPMKIRLSQHLVATQELYDNIAQLGKRNKPQGRRPPHGERRFGDALDAGYVEPKPPDPSWITKHQTSYTHEYSNYSYHDYNSADDVNIYSFSGSSWSSEYLTWERTMDDWFTYYGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWEDLKDVMIRKYLSSRPSPEVRERYPRRFSSHGYKEAKRRVPKEGHRSLFHQDQIRTDKRSTVFYDQYQPYEVPKSMEKNLFSPDTLARHKEKSDKPILQGKAKVSPILDKFVYKSSPTGMSHLSLSKNVKTGPEEGLNHEANFYGFYTQEGVQDNWNWETIFTEQEVMNFTTQRFLSPSICEYPTLEEDLSSSKERPEAKPIIGVKRSLSAFQKA >A05g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6072312:6073502:-1 gene:A05g501790.1_BraROA transcript:A05g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDQSKLYEPIKELDIMLTQVRADISKLSFLRIVLKPLLNLILTVKTSCFSPYILVLIMEIFRMFGELSMNYKLTGEARGYFHRDLKSENMFSKNVIIKITDLGVAWEVHSNIQSMSRHAGTWHQTYRLQSYVYTSKVDMWAMGAILTKVVVSSLSLFRS >A01p058020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32951157:32951473:-1 gene:A01p058020.1_BraROA transcript:A01p058020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAILAILLLVSSCMVKETVTQNIVECKTDEDCVKQKYSCPISGDPPVCLDYFCSCFHHEMHTPASTNSNS >A10p024260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15723366:15726777:1 gene:A10p024260.1_BraROA transcript:A10p024260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTKVMYIVVVDGDVDITTAILEEDGSGNWKDSFRYTRPVLQSTLQLMGCKARHAFKISRRVFELIRSEGSLILSPSHGKESVFGKAVDAPSACDGVEKVNKVNFSVTNDADDKSKSKPFEMYKKRTTVVVLRETFVNVVCDALAEYKYVGHDQRADLILACKIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVDEKQDPLLWASTYHAGEYLDPVAVAESKAKRRRDKKMDSYGKSLLEDEKPNAFADSQSTTPVLLSHKQMAVEGFKAQSEMVIDNLDRLITSWEERKESVVVEGVHLSLNFVLIQRKGSSRNLMALLNTDGTFARTWPLAGKVDETGKPVFSSETNGMEHPVYGYLQKAEPVNLQFGLFGISAWPSDGATSRAGSVDLGENASRHYSSCCSSPRMSEGTSKELKEEQSVHGSDEEVEDDPPEQEDTDFSDDDDNKRDHEEVGSVDEQSTKSDEEYDDLAMEDKSYWTDDEEESRDTVAVMSEKNHKPATKDDKYIQNLDLFLKTANQQLVEPLELCASLLTCEYGDARLWSGKEKMRKRSLSISALGKRGSGLGDPILLGAP >A01p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21778291:21779177:1 gene:A01p040780.1_BraROA transcript:A01p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRWFAERREKVALFKTNLTEEAELTLHMRHANMGTLTVQHIDSNCSYVTGVVHVSNMISIRYPVNTQLKWLNVGILLKLLVDPLYTKVYLVEAYTDPINPTNEDLIPPADVLSQMCLPSAIGKQLGRPKIKRYLSAIEKTKRFKCKLLKKKNQTMSTRNPPPATNEGNQSSRNTSTKKLGCSQAPSTPIRQKQTNIPRRTPSTNPSSRKKEKYSVKITAPTTPSPMVRKGHFLSSPTSKNPTQGAKQTKSKKRT >A07g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10663393:10664445:-1 gene:A07g504970.1_BraROA transcript:A07g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGADDIKYSCSHSDNNLTVAKQYSARQRIPPPPETAEKPFRALIFDRFNMYLLVCGIFWEMNHEKMEAIDLNDDGQNDVMDEDIEDHEVLEDSEANRGTQPRQVQSRRKVSRCWRKFTILGGRLSDGTTKIRCNLCRRFYFLNLRRNGTSTL >A08g510280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22656244:22660962:-1 gene:A08g510280.1_BraROA transcript:A08g510280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEADVSDEDEEGDETEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCFILDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A04p006610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3522322:3522752:-1 gene:A04p006610.1_BraROA transcript:A04p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCLIWNVSNYAILISHHHVVCYISHWLLPLLYSHCAVHQLLILIIKIWRFYKFSTSQQRLKTAVVHQTYVYSQFGFCGNTSDYCVVGCQQGP >A10p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19521045:19526967:-1 gene:A10p033310.1_BraROA transcript:A10p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKQYFHPSLPEKFDVSSSGRMLPNGGFERGPKPSDLKGTRVINKNAIPNWELSGFIEYIKSGQKQGDMLLVVPAGKFAVRLGNEASIKQRVNVTKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPIQTLYSSNGWDLYAWAFQAQSDVAEIVIHNPGEEEHPACGPLIDGVAIKALYPPRPTNKNILKNGGFEEGPYIIPNATTGVLIPPFIEDDHSPLPAWIIESLKAVKYVDVEHFSVPQGRRAVELVAGKESAIAQVARTIVGKSYVLSFAVGDANNACKGSMIVEAFAGKDTLKVAYESKGKGGFKRAALRFVAVSNRTRVMFYSTFYAMRSDDFSSLCGPVIDDVKLLSILAGFSSRFPSPSSCCYAHLPLDEYPPLVGVEAIHLLQVEPHKPDPPPSPHRNRKNKKSFSYLPTLCLISPSVGLRPEPMTHHSPNVSHPVTRRCTSTAVLCANMVLSLLCEEFIIHSFAGGFIEFDFIITSSLLLGEANHYSLLRSVSLPNIKWKCPSISISVLLSCVAVCLGPEDATGFVSAILRGEDWMLTSLVTISQLSGREGFIDVFELGLEFAVIFYEELSYLSAFVIVVYHFNQRGWFIPSVYCNRTTRRSTQFYHQVKEEEEEEEEEADNHPHPDLFIRVSFLSLPNRRISSSSTSSLLIRAANFSYSAVEVNGKVISTL >A09g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4895894:4897856:1 gene:A09g501440.1_BraROA transcript:A09g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSSHSPDLTSLLEAECEMYAAEAEITRWNAEASDWEPSAEGDDGIPRTCYCGSEPVHGYSQTPKDPYRRYITCPNADDRDCHVWKWWDVAVEEELREFQRELNAVKGEANQREQKLLRLEKQVSEFTKKKSGAKLMVFSLVLGLVLLIVLGILGKDSKDWGVQGLLKPSVSLVECHRETIKTMANGKNFSNLLFSQIPVDLDSPEPFWFGSQGPDESPFMSAPDVPAKSPVRMWEGVTGLYLME >A07p026700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15226147:15229264:-1 gene:A07p026700.1_BraROA transcript:A07p026700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITITDGICPIFSSGSRRADFSYLKSNQRLTSIALGRLRDGSKATATVNPYSDTEAARPEERKSLMYFLAEAENFYISEGGDGGPPRWFSPLECSSRAPGSPLLLYIPGIDGTGLGLIRQHRRLGEIFDVWCLHFPATDRTPARDIVRLIERTVRSEHNRVPNRPIYIVGESVGACFALDVAASNPDIDIVLILANPVTRVNNFMLQPLSSLLEILPDQVPSLIEDNFVFREGYHQYAAMFESMLNETVKQMGGGLLGDIFAASANLPRLTSNYYQTLARIFPKDTLLWKLQLLKSASASAKSHMYTVKAQTLILLSGRAQWLLNKEDIERLCCALPKCEVREFANNGQFLFLEDGVDLATILKIAYYYRRGKKLDYIYDYTLPTPFELKEFEQSQRLLTDAVSPVFLSTLDNGTVVRSLAGIPSEGPVLYVGNHMLLGLELRPAAIHFLKERNIILRGLAHPLMFAKKIGSKLPDMQMFDSVRIIGAVPVSNTNFYKLLRSKAHVVLYPGGVREALHRKGEEYKLFWPEHSEFVRIASKFGTKIIPFGAVGEDDLCQMVLDYNDQMKIPFLKNIIEEITQDTIKLRNDEEGEVRNQDLHMPGLIPKIPGRYYIYFGKPIETKGREKELNDKEKAHEVYLEVKSEVERCMTYLKTKRESDPYRNIFPRLLYYLSHGLSSQIPTFDLPNH >A09p075860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56992154:56995193:1 gene:A09p075860.1_BraROA transcript:A09p075860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAEEQSLIGQVLIQEELKLYAEDGSVDIKGNPPLKDRTGNWKACPFILGNECCERLAYYGIAINLITYLTTKLHQGNVSAARNVTTWQGTCYITPLIGAVLADAYLGRYWTIACFSSIYFLGMSALTLSATVPYLKPADCVGDSCPSPTTAQNITFFLGLYLIALATGGIKPCVATFGADQFDDSDSSERVRKSSFFNWFYFCINIGSFGSSGLVLVQENIGWGLGFGIPTIFMGLAIICFFFGTPLYRFQKPRGSPITRICQVLVASFRKMNLEVPEDTTLLYETETQNLSYAVVQSRKLEHTDDYKYLDKAAVISAQEANSGDLLSPWSLCTVTQVEELKILIRMFPIWASGILFSSLHAQVSTMFVQQGRAMNCNIGSFKIPPATLGVFDCITVLVWVPLYDRFNVPLAKLITRNDNGFTVLQRIGIGLVISVFSIVSAAIVEKVRLGVVETGTAVSLTVLWQVPQIFILGAAEVFYCIGQLEFFYGQSPESMRSLCCALGLLTYAFGSYLSSLLLTVVTYLTTLDGGDGWITDDLNEGHLDYFFWLLAGLSILNAVVYVFSAVNYKQKKTL >A06p022840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12008920:12011845:-1 gene:A06p022840.1_BraROA transcript:A06p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MALRALSTFPSLPRRFTRRGPTLTVIHRNPTTSIVCKSISTSESTVSLSERDGFAAAAPTPGERFLENERAHQAQKVVKKELKREKKKKKEESVRKVVVTSVSCCYGCGAPLQTSDVDSPGFVDLVTYDLKKKHHQLRTVICGRCQLLSHGHMITAVGGNGGYAGGKQFVSADQLREKLSHLRLEKALIVKLVDIVDFNGSFLARVRDLVGANPIILVITKIDLLPKGTDMNCIGDWVVEVTTKKKLNVLSVHLTSSKSLDGVSGVASEIQKEKKGRDVYILGAANVGKSAFINALLKTMAERDPVAAAAQKYKPIQSAVPGTTLGPIQINAFLGGEKLYDTPGVHLHHRQAAVVHSDDLPALAPQNRLRGQSFHISTLPTQSLSSSEGESLNGYTFFWGGLVRIDILKALPETRFTFYGPKALEIHVVPTKTATEFYQKEVGVLLTPPSGKDQIQEWKGLQSHRLLRIEFNDPKRPASDVAISGLGWISIEPIRRVRDSEPRDLAEAEHEIRVCVSVPKPVEVFIRPTLPIGTSDAEWYQYRDLSDKEEEVRPKWYF >A05g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17134274:17134568:1 gene:A05g505980.1_BraROA transcript:A05g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKNWIDYMLNNKCR >A05g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2972849:2975237:1 gene:A05g500820.1_BraROA transcript:A05g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLECRMYEAKYPEVDMAVMIQVKSIADMGAYVSLLEYNNTEGMILFSELSRRRIRSVSSLIKVGKIEPVMVLRVDKERGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLSLDLEDLYVNIGWPLYRKYGHAFEAFKVLVTDPDSVLGSLTREIKEVGLDGQEEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTHTLDKDQGIKILDEAIVACTETIEKHKGKLVVKEAPRAVSERDDKMLTEHMAKLRMDNEEISGDEESGEEEEDTGMGDVDIDGGAGIIE >A10p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15692378:15696356:-1 gene:A10p024230.1_BraROA transcript:A10p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGLNLCLYVPKTLDESSSLSRRSNDAVSLSPVTVPRPTTPTPSSSGLRLPRSISISSSKKTCAICLTAMKAGQGHAIFTAECSHSFHFHCITTNVQHGNQFCPVCRAKWNEVPLQIPNAKSKPIVRPRDDAWMTIPPRRSSQNQPSPRPERLRPVSMIFNNEPAVFNDDEALEPQHHNPAESTKPGGVSGKLEVKTYPEISEVVRSVSFKDFSVLINLKAPPVSSSSSSSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPFDRLSVISFSSTSRRSFPLRLMTETGKQEALQAVNSLVSNGGTNIAEGLMKGAKVLIDRRFKNSVSSIVLLSDGQDTYTMTSPTGSNTKGTDYKTLLPKEVNRIPVHAFGFGADHDASLMHSVAENSGGTFSFIESETVIQDAFAQCIGGLLSVMVQELRVKIECVHPMLKIGSVKAGSYRFDSRTGSIEVGDLYAEEERNFLVNLDVPVVDGVLDTMSLLKVGCVYREPVTKETVDLSNSGEVKILRPVLEGRPVVSVEVDRQKIRLRAAEAISEARVLAERGDLTGAVSVLETCREVLSETVSGRAGDALCVSLCAELKETQERMASRQVYESSGRAYVLAGLSSHSWQRATARGDMSDTTTMSYQTQSMVDMVNLSQTMTFGCPRASSNANSSSNPNPSPSARRKLRQALTFPARPRAR >A08g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1068636:1076866:1 gene:A08g500460.1_BraROA transcript:A08g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMDELSAKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQAIEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKYDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERSGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A03p003400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1491200:1492583:-1 gene:A03p003400.1_BraROA transcript:A03p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKKHEKHSHPTFKGFLTAVLATIAAMFLLLLAGLSLAGTAVAFIATMPLFVVFSPVLVPAGITTGLLAMGLATSGGSGLTALSIMSWLKKLTVKELPKIPGQTLVTGSPGGHGSH >A05p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10901151:10901537:-1 gene:A05p022620.1_BraROA transcript:A05p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKQMAEIGYDANKLPLGKLSKSTILKVSEITAILAAFGYELLKRISKVIEEQQDKIINEETQARLEELSG >A07p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10401902:10402868:-1 gene:A07p017100.1_BraROA transcript:A07p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSSKHTATSSTHYFSIFTNYPLISAFTAFAIAQFIKLFTSWYLLYRERRWDLKQLLGSGGMPSSHSATVTALAVAIGLQEGFGGSHFAIALILASVVMYDATGVRLHAGRQAEVLNQIVFELPAEHPLAESRPLRELLGHTPPQVIAGGMLGTVTAVTGYLFTRIATS >A04p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11744258:11746654:1 gene:A04p019610.1_BraROA transcript:A04p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGAPAAYVPTDVFLINCGATSDTNDASGRTWTTEYETLMPSNLDNLSFAASPSYQDVEVSQVPFTEARIFQSEFTYKFQVSPGWKFLRLYFYRTRYGSDFSSNSFFFSVTVDGLTLLKNFNADLTTVRTYEPESKYFIKEFIVTAYKTLRLTFTPSTDSLAFVNGVEIVSIPDGFYTKGGFDDKIKNVGSNIDFELDDEITLETVYRLNVGGHMVSVVNDTGMFRQWFSDDDFLLSENSGIIPVVASVKLNYTEKTPAYIAPDDVYKTYLTMGNVNNPTLNLNFNFPVDAGFNYLVRLHFCETLPEVNEPGQRVFTIFIRNQIAKLEMDVIDMSGGSRMPVYLDFSVYVGSESGLRPYLRLDLHPYTEIAPRYYDAILNGVEILKLINDDGIAGPNPKLVMSGGESHVTGQKRVITITLIAVGSVTGLVSILVLLILLVRRQIKRKKNRQSNSVAMFKVLLKHYTYAEVKKITKSFSHTIGKGGFGTVYDEVTTEENEIAKKMILVSLWCIQPCPMDRPPMNRVVEMLEGSLDSLEIPPKPSMHISRGFVTDQSSSLPLFSHGEEEAGGNTETFESINI >A06g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1619700:1631797:1 gene:A06g500370.1_BraROA transcript:A06g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKGMRVGKYELGRTLGEGNSAKVKLATDTVSGQSFAVKIIDKSSIKRLNVSFQIKREIRTLKVLKHPNIVRLHEVLASKTKIYMVLECVTGGDLFDRVEDGLLHTTCGSPNYVAPEVLANKGYDGAASDIWSCGVILYVILTGCLPFDDANLAVLCRNIFKGDPPIPRWLSPGAKTMIKRMLDPNPITRITIAGIKANDWFNHDYTPSSSDDENDACSFQEDVSEEEKSPDSPTVINAFELIGMSSFLDLSGLFETEKVLDRQIRFTSNRLAIDVLEKIKTTLMEMGFSVQKKHTMLKAIQQESSRKGQSGLSLTAEVFEIIPLLNVVELRKTHVVRQIIKRIKHIISCSKALKGSQEQETNVKLFQICIRNDRGFMYINYFKNGMRVGKYELGRTLGEGNSAKVKLATDTVSGQSFAVKIIDKSSIKRLNVSFQIKREIRTLKVLKHPNIVRLHELHEPDLRGRIWRVDPKLSSVLFLRSTAVKSFPAKRRGGSGSVWADGGLISRRWAVGGGGGEWRRVGCGGRSRSLQALAPYRRIRPDLVAFFPDGVPLLRLVEFHLFLASPVSFPSADAPFSGVFLPWCSGLGDKPQSTWHLFGGRFRRVSFGGGCHYFCLARPLGFLGSSRFSNPVMRLEDGLLHTTCGSPNYVAPEVLANKGYDGAASDIWSCGVILYVILTGCLPFDDANLAVLFRNIFKGDPPIPRWLSPGAKTMIKRMLDPNPITRITIAGIKANDWFNHDYTPSSSDDENDACSFQEDVSEEEKSPDFPTVINAFELIGMSSFLDLSGLFETEKVSDRQIRFTSNRLAIDVLEKIKTTLMEMGFFVQRKHTMLKAIQQESNGKGQSGLSLTAEVFGIVPLLNVVELRKTHVVRQIIKRTKHIISCSKALKGSQEQETNVKLFQIWIRIVRGFMYINYMLSCIKLVH >A03p045600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19163216:19165659:1 gene:A03p045600.1_BraROA transcript:A03p045600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQALRSIDAFPRAEDHLLQKTQSGAVVSIVGLLIMATLFLHELSYYLNTLTVHQMSVDLKRGETLPIHVNMTFPSLPCDVLSMDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYISDLVEKEHDHSSHKHDGKEEHKNETEAVNTLGFDEAAETMIKKVKQALADGEGCRVYGVLDVQRVAGNFHVSVHGLNIYVAQMIFGGSKNVNVSHMIHDLSFGPKYPGIHNPLDDTNRILRDTSGTFKYYIKIVPTEYRYLSKDVLTTNQYSVTEYYTPMNEFDRTWPAVYFLYDLSPITVTIKEERRSFLHLITRLCAVLGGTFALTGMLDRWMFRLIESFTKKPSTRSIHK >A02p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13650088:13653181:-1 gene:A02p025990.1_BraROA transcript:A02p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRIDNGLITAIAIDKDKNSQHALKWAIENILVDSPHCVLLHVQPKGIACSLPLIILKQQYEEQQLFLPFRGFCARKGIIAKEVVLRDSDISNAIVNYITNYSISNIVVGSSAHKSFFKKFKSPDVPTTLLKTAPETCAVFVVSKAKLKKSKSASQAQKHRHRQQDLSSLMYNYDSTSSCDSESEFSQSDTENSSYGVVSTMTSYTISQSSTTKGSSISSTSMNQHLEAEEALEMLRALSEEKMERHSDIQAAEMAKQLVKMESQKRRLLELQAKLGKQKMTNNVSYRRYSTEDVEEATNGFSDALKIGEGGYGPVYKAVLDYTFVAIKLMKSGITQGLKQFQQEVEVLSSMRHPNMVILLGACPDYGCLVYEYMENGTLEDRLFCKDNTPPLSWRARFRIAAEIGTGLLFLHSAKPEPLVHRDLKPANILLDRHFTSKISDVGLARLVPPCGANSVSDYHITAAAGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQIITAMPAMGLGHRVETAIENNKLSEVLDPKVSGWPEEETLELAKLALQCCEMRKKDRPDLASVLLPALKRLREFATEENEPIQDITSHTSQSNKSETVAPVLNSEINTKLTCGVPETREERAHLKMRSHSVPKSRPPRAQRRQYKGNRWSLMSCAPSKVQWGGLP >A03p066600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22315837:22320679:-1 gene:A03p066600.1_BraROA transcript:A03p066600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGVVFPYISSTGNGNIIVDAGFERDDEMNDERVDLIIDMYRKKYDWSKHVWGYQETEQPYAESSEDDGSKEEEAGERSDCEMEEEIETTHVSPAKKRKNQYRDIGAESRKKRLLCQRSTDKYRDLEESMKSYIQGMFKSSFTALGLEVRDLIEDRFTKLEQTILSSQTPVGVPAYTQPHGPAPTYIQTHGHAPAYTHTPAAATTSTHTHAAATTSTQAPTPTPASTHASGPATTSRARASRDKASVPSHTGGPATAAKTRSQTKDPELSDVFGSLFDTLDVNLGTQEDLEKTMGNLTQESHVKGFDPSQDFFNRPFLNDIDDPEVRCKDSDYELVFVPEDKFSKLTEWILKPKVLQIGPSKFDAELASRIMGPNEWLKNYDMDAMMYLFREKTSLRRWKPNRVTFLNCMFSNQIITAYGKFDGNRRGYKVDNNLLEYGRGELPYHGSTGSVWGVDVDRLYIPICVNQIHWISMCVNLVNRTVEVFDCGGKKNNKAVEAFAVLIPRIVKAVQSSDKKKDFNVKQYAVSYVPKRALNTSGNDCGAYSLKFIECHLLGLDFSLVNDENIQEARHKIAFDLWEAANDESLQYRMSTFKPPKRAPEKTMSEKLKEKVDLEIARVAHEMKHKLKIATVAMVVVGAIVGIWTSLR >A06p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19428151:19429494:1 gene:A06p035800.1_BraROA transcript:A06p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSSTDRDNAEKKVKSSYFDLPAMNVFVAFPQATPASKFPTCTSDYYHFSELLTPEEQAVRKSEGVHGERSCPHYDRGLSIFVFVCLFTLILSLRDIILLSLIFTHQYWEKAEFPFHIIPKLGALGVVGGSIKGYGCPGHITANAIATAEISRVDASCGTFNLVLSLEKIVEFLGTGEVVRIKTTNGWCLPVDSLPSHVPKDVCTVKKPHNG >A06p010320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3693212:3696868:-1 gene:A06p010320.1_BraROA transcript:A06p010320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPTVSSYYSPVKSTITTERMGAEANGSSSSLNFLIYGRTGWIGGILGKLCEAQGISYTYGSGRLEDRRSLVADLDSVKPSHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRERGLVLINYATGCIFEYDSGHPLGSGLGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRHNEILEMYREFVDPSFTWKNFTLEEQAKVIVAPRSNNELDATKLKTEFPEMLSIKEALVKFVFEPNKKTEIKG >A04g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21142605:21142910:-1 gene:A04g508200.1_BraROA transcript:A04g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVLTNCYFRLAMKKGTKSMVVLGAPVVKAMVATKEFDNHDSIEEEEDAERELEEAERKHREEIEKLEKESS >A06g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6581810:6583632:-1 gene:A06g501760.1_BraROA transcript:A06g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINHWDEVVVTNGLQMDEDEDDNEEDDQEVDHRNRANKNVISWDVGLVYAEPRRLVYLLVRPGFDYHKKAGAMNSLESRGFYQLCLILFNVDCDHYIYNSKNLREAMCFVVASKLGKKICYDHYPQRFDGIGRHDRYSNGSVVFYDEVGNGEPEFRNSDLQLGLASLEKVSLNIARRAEQESATAARTMMKNSTKLYDHCRYRYGYQWEPDLVGSLTPNAENSIGVMVEVYWQQDGSG >A05p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2050083:2052352:1 gene:A05p005230.1_BraROA transcript:A05p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTPHSKEKLGNEAERKNVKEKRKKGFGKLRRGEPSSIEKIFGEAERDHNLFFRPSTPPPDPDRSNPPSYSPPPLRPASPQLPSPRVASPRAASPKPLPPPRADLPRLDPPKPPDLSEPSSASASAPPPPLKPASTRVPSQRINPPIVPSPRPTSPRVVSPQAVPQKLPSPRPTSPRVVSPQAVPQKLPSPRPTSPRVVSPQIVPQKLPSPRADPLRLNNPRPSSPKPPSPRADPPRLDSPRPPSPGAEPTRLDAPRPTTPRPPSPRADPPRLDAPRPITPRPPSPRPVSPRPVQRREIVSRPEPTLLVQHASATKIQAAFRGYMARRSFRALKGLVRLQGVVRGHSVKRQTVNAMKYMQQLVRVQSQIQSRRIKTLENKAQAERDEAKWAAASEAGNGNWDDSVLTKEERDARSQRKTDAVIKRERSMAYAYSHKLWKNSPKSAASLGGLPLWWNWMDRQLPLASPAPSHRDYRLTPTRLSPSPLSHHFRHDSNFDTSTPKSSRSTLLTPSRPIRTGTSRYSRGRGQDSPFTDNDSLTSCPPFPSYMAPTVSARAKVRPSSNPKERVMGTPSSVGSERRRMSYPPTQQGMDTFRWNKGSLVMSNSGSQRGGGGPGSPGGVVLEKHKTLKSVGNLSIGSAVSTASGRKPFNRYV >A08g502850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5742436:5742654:1 gene:A08g502850.1_BraROA transcript:A08g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAFGQSVFSGSIEIWTIFYCKALRKDIFTKITFRKNVYADFYRLSDIDSVVTDFDPNNNGDLTVIFRKRK >A04p034140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19805261:19806306:1 gene:A04p034140.1_BraROA transcript:A04p034140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRMFCASQASTAICSSMDHIPKPTTTTFVVDDEKLSDRVIDRHNPIIKDGRRSSVDDYIRIPASPADGEISNKTLEIYKGRRSVTARKSTGGGGSGGGAAALLKLITNDLSLARKSFSCVARPSSDFVKTPPGSTRYLLGSEPVSLTGSTGQDTVAHKSPAVEEIKPSMEEKTSGGGSDQVVVLKVSLHCRGCEAKVRKHLSRMQGVTSFNIDFAAKKVTVTGDITPLGILDSISKVKNAQFWTAPTLPTPTLPMPNLKTPNP >A08g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2352503:2353128:1 gene:A08g500920.1_BraROA transcript:A08g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEDRRHSKKQHEHINMLSFVADSEYGIPKRCPCGGRLINEVRGKEDYDTLPGKRFFTCRNYEADGLHYRQPWVIGVQEELERLTKRVEEAEEVMLGVSNLGKRFERLEEQVNSLNEAVYDLTVQVHSLEKVCFD >A09p049620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43819274:43819915:1 gene:A09p049620.1_BraROA transcript:A09p049620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKRSTNQDERIVNVSFTNLANDMIIEILMNLPPRSIARLHFASKQLPSIILDKKFIQWYMTRSLTQPRYLISLHRGGYMQMQHFQSLSQDHPYNHNMVSYEMEPNVLYEFTPPVRGLICGWDFTKMIVGNPSTGQFVLLPRVKTRRQDILSVFGYDPVNDVYKVLCMTVVLKRSHMSRNGNVVPWEDIVSEEHQVITLGTNEKWRMIECK >A01g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6618036:6620555:1 gene:A01g501850.1_BraROA transcript:A01g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGSVRQTTYLGSRLAVDDLPGSRLAVDDLPGSRLVNAESRHAIHKTDHKQNYYRSFLYKDKLGFHLSRQDQTTFKKSRRLLRKVQTTSKKSRRLPGSLDDFVRRLLGSSDDFQTTLQEVQTTFRKSRRLLDDFQTTNRHKNLPKCSEKSRRLPGSPDDFLEVQTTSWKSRRLPGSPDNFLEVQTTLSEDFQEVQTTSRRLTGKSSQKSSRSEKPAYQIQI >A06p042210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22687298:22688800:-1 gene:A06p042210.1_BraROA transcript:A06p042210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGEKTSGGSSWSRDDDIAFEKALAIYADETEDRWEKIAGVVHGKTLEQVLKRYEVLLRDVLMIELSYVSLPEYDSSEGTHAKDTNISEYGIIDRKCEYTQECKPKLKQRRRKGIAWTPDEHSQFLIGLEKYGKGDWRSISRHLVVTRTPTQVASHAQKYFSRLNSKTKNKTRQSIHDRDVGESSNTSVMHSPNTWQNTQATSQPSQDHHPTYSTPTLWNTQATLQQPQDHPMYGTPTKWNMQAASQPSVNIPIYGTHTVDQSMVGHRVSPFGTNMNRLAPPHMAYGVQHHSAPYSSVSRAPYSSVPSAPFNMAASYNMTYAPTSR >A02g512500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:33930191:33931387:1 gene:A02g512500.1_BraROA transcript:A02g512500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFTLVSVYLSFFGVFVLSFLCSAPPSFEMTRMAFSGGLDTTGIVCFSGGSRSTSRPSVSSPRCSLYLGSSLVSCRSASPVSINVTQRLSTEDFRRISSSLPPQVLLPPLGSGDRTSAVCGTPRLTRRSDLEAFWLIWTSSPRFTTTTSIPTRSRFERLYYGYGEVRRADDSSAPSSIDGVVSLVDSGENNLPSSDTPCFITGDCPFNSGKNPKLSSLPIKQAFSSKPRMLIFWAWPCKMCEFVIHLAGPPNLKLVFDDLLSVAKMQRISGGFTGAFILSLMPYFSFTKNSLPVDSLGWSLSSPYLLSMKGEEFPNSLLSSGFSFLVYESWSSTSLYVTISMPSDFVVKATPTHSSFVSNPLSSSFEELSCLVYIVVVYVFNQRGWLIPSNRCNQAV >A02p050400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31113578:31115359:-1 gene:A02p050400.1_BraROA transcript:A02p050400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRKLSGVCGTWRTFFWFVVLFVFSFVLFSTMFVFKGKFRPVVRTTISFSTAKAVLRRESVTLSPSVSIREAVKLPEQTLVFLKYPPSLRLYTKDDLICVFSGGGDSSKLRKEYPTAVDSDKFNGQIVRCPETPRGYNVSLAVSRWTADDHIPAGPTHQWDWLVYDAVIDHDNSTVVFVKGLNLRPGRVADVSRYECVYGWDFARHNRLIRSDVISAAQEIIRCRTPLTVLDGPKSAHGPVKVSVRIKGGTGMLPSIAQLGRIINPPRRKPFEMCVCTMTRNAAAVLREWVMYHAGIGVQRWFIYDNNSDDDIIAEIRDLESRGYNISRHFWPWIKTQEAGFSNCAIRARSDCDWVAFIDVDEFYYIPSGQSLTSIIRNHTVFDTIGEIRTPCHSFGPSGLRNRPHGGVTEGYTCRVILPERHKSILRPEAMNDTLINVVHHFHLKDEFTFADVDKDVMVINHYKYQVWDVFKEKFYRRVATYVADWQNDENVGSRDRAPGLGTRPVEPPDWAERFCEVNDTGLRDQVWERFKDIKTHRLIWEREEDEDRLKKASLAHTRIAQQI >A07p006690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1539458:1539649:1 gene:A07p006690.1_BraROA transcript:A07p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMLQHSTWQSFGTDCKELITMTKEPLAWQAWPSFATELERIKSLQICFPDFKITHIPRAQN >A06p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21735975:21736446:1 gene:A06p040140.1_BraROA transcript:A06p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRNRFLSLPMVVGAVVIGVVSGKAIFGPPLDQYWQKKLEGEAAAKEAIEKSSD >A09p061740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50789053:50790486:-1 gene:A09p061740.1_BraROA transcript:A09p061740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTQSDVVYSPRSLQVWKTFMNCLAFFYQIFLQILRAVGYHPLLSSSSSKAAADGFKPLPSIELPESPTTVEIASSATGDRFQRLKVVLDLDETLVCAYETSSLPAALRNQAIEAGLNWFELECLSSDKKESDGKSKINYVTVFERPGLHEFLEKLSQFADLVLFTAGLEGYARPLVDRIDTRKVLSNRLYRPSTVSTPYRDHVKDLLTTSKNMSRTVIVDNNPFSFLLQPSNGIPCIAFSAGQPNDTQLLDVILPLLKQLSEEEDVRPTLYDRFRMPEWFEKQGIPPSCWNP >A02p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1838773:1841072:1 gene:A02p004180.1_BraROA transcript:A02p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G10790) UniProtKB/TrEMBL;Acc:A0A178UPE9] MNKCSRPINESIIPYHHPRDLLLHSEGPKVLLKLGQVLGGKHKTWNRILSKIKSKSFVFMKHPDPCNHLSDYKLRHGTDGYKSLQNMFTPLNDGRIKIKLPETPPRCTHCSVPHHHKLYICLICRTLSCSSHLLSHARSNKGHDLAIDVERSELYCSSCADQVYDPDFDNLLVSKQVLGSLSVAVVASDGVGSCGVRSSKKRRLLADSQFLVDRREKWSYPLGLRGLNNLGSTCFMNAVLQALVHAPPLRNFWLSGQHNRDLCPRRSMGLLCLPCDLDVIFSAMFSGDRTPYSPAQLLYSWWQHSTNLATYEQQDSHEFFISLLDCIHENEGKSKCLYKDHEECQCITHRAFSGLLRSDVTCTTCGSTSTTYDPFIDISLTLESAKGTKSRNSGEPSVNSPMMPTLSGCLDLFTRSEKLGPDQKLNCRSCGDKRESSKQMSIRRLPPLLCLHVKRFEHSLTRKASRKIDSYLQYPFRLNMSPYLSSSIIGKRFGNRMFAFDGEGEHDGSEFEIFAVVTHSGMLASGHYVTYLRLKGLWYRCDDAWINEVEEEVVRGCECYMLFYAQERVVQKAHKELSYQVISMADAFPFVDC >A08p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12341499:12343587:1 gene:A08p017760.1_BraROA transcript:A08p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKHDVVTGNTTTVRKPLEAESVKGQENETIKRQESCRCKKTNDISAVVSSNQPKTTVENNTNKLEEKKAGGDCGEKPEGETNDEQKHEEKEATTLPLVTAIVSGNILTEETVNDVNESILPVDEQKAEKVDSDTIVEEEKSTEDKTSGNVDTEILLPEVEEPKLDVETPITTESEVQEVLTKEDVEIATSENVETESKENDDIFVLKDEDEVNFVENVAASKTVEITSTENDDTFVLKDEDEVDLIENVETPASENEDTLVINDEADLVETVQSVSAENDDTLVLKDDDKVDLLENVETPASETASTENDDTSVLKDEDEVDLVENVETAASETVETEPTEIDDTPILKDEDEVDLVEKVVEHVSTENDDTLYLKKEDKVDLLANVETAASETVETVPTKKDNTHNVETVKSASTEDDDILVLKDEDKVDLIENVEITATQNVDIVSTENDKTHVLEEEEKVDLVEGNPAKQVEAA >A06g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20680366:20681550:-1 gene:A06g507410.1_BraROA transcript:A06g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSLSLNRAVGESPHETSSSHVEKSYWLLRRALSTGNGEETRESPHFLLSPKKQGFATREAILVATPTILVATPIQSTIVHQIDDVVRSHRAEMDPAEERRHSKKQKDHCDMLGFVADSQYGVPRKCACGGRIIDEVRGKEDYDSLPGKRFFTCVNYEDDGLHYRHPWMVAVQEEIKTLSTRLDEAEEVMKGVWKLNKRIKDLEEEVSTLSEQVYYLTVEVGTLEKVCFD >A02p027320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13254789:13258053:1 gene:A02p027320.1_BraROA transcript:A02p027320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ protein JJJ1 homolog [Source:Projected from Arabidopsis thaliana (AT1G74250) UniProtKB/Swiss-Prot;Acc:Q9C911] MEARAASGSSSSVSFHLRRGVWWWVRLRVELGFSDSLVHGFECEFEALSCLSRVLSIGFEASPSCSLMVAARGLLVTGGDVCLFSDLPCGSRSSVWVPVCSLHRSDLMASTLGSGSFAWCRFCLLRRSCAFTDPYSLRTVLVECNGGGNLRRKLLNVGLRLFLVPLFVLGYRLEAVRAVVFREMASSSRTEKRCLYEILDVNKESSPEEIRSSYRRLALQRHPDKLIKAGGISEADATAQFQELVHAYEVLSDPKERAWYDSHRSQILFADQGSAGGSKSGGMPGGSVPDLFAFFSTSVYSGYSDTGKGFYKVYFDVFNSVYLNEIKFARTLGLSMDSVREAPIMGNLESPYSQVTAFYNYWLGFSTVMDFCWVDEYDTMAGPNRRMRRKMEEENKKVRKKAKREYNETVRGLAAFVKKRDKRVVDMMVKKSAEMELKKAEERERKKRMEKERLERAMSYEEPDWAKAQDEEEEEVEEDGGDDGKKKSEQLYCIVCSKKFKSEKQWKNHEQSKKHKEKVAELRETFSDVEEEEEEIDEIPETVEDLEGLNMEEEDIEEEVVGEAEETEDEYFMAEEDVKGSSESEDEDVDDDELFLLKKMVEKNKRKNVVSREEDEVQVEIESDGEFDNQKSTTGRNRKGKKERNKLNAGKDITDDANKTQIAMDDGNNSDDNVNASDSASGTVEESQKDETDPMEYDNRKSTGRRRRSKKGKDKSSEADDTTQKVMEETRSETLEDRSEYIEHKKAPRSKKSTRGMKSKGTSKKASNNECDRCGEEFESRSKLFKHIADTGHATLKSR >A03p003520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1558331:1559746:1 gene:A03p003520.1_BraROA transcript:A03p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKMVSYSSSSSSSEVKIISECFIKPKGLPEKSNEPYHLSPLDHLMLSFQYIQKGLLFLKPSTPSDDATKPKDFMETLLKRLKDSLATTLVHFYPLAGRLSTLNTDNPRSYSVFVDCNKGPGAGFIHAKSELTVADMVGSNYVPLVVQSFFDHHKAVDVDGQTLSVFSVKVTELVDGVFIGLSMNHSVGDGSTFWNFFNSLSEIFNSQQEDETKDLFCLKNPPIFREVSGPMCRLPYSPLDASITLSETTVLKERMFHFSSETLRSLKSKANKDCGETTMISSLQSLTAFIWRSITRARSLSNDQETTCRFAVGTRTRTDPPLPTNHFGVYTSLASTTAKTGDLLENGLGWAASKLHQAVTEQNGEKIASELDHWLKAPFVLQPDQLSEPNLVHMGSSPRFDKYGSEFGMGKAGAVRSGYNSKHDGKVSAYPGREGGGSIDLEVCLLPEFMEALESDQEFMSLVSSSQN >A09p034340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20778919:20783476:-1 gene:A09p034340.1_BraROA transcript:A09p034340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLAQLAKIRLQLAKFRSPFFIYFFYFFSFSLLGFLALKVSKPRTTSRPHDLDLLFTSVSAITVSSMSTIDMEVFSNTQLIIITILMFLGGEVFTSFLDLYFSHFSNFVFPHNKIRHLMGSLNIKPPTEDRRRDLENITDHLKRPSQINERASKYLYSVVLGYHLVTNLAGSVLVLVYVTFVKTAKVVLSSKEISPVTFSIFTTVSTFGNCGFVPTKENMVIFRKNSGLLWLLIPQIFMGNTLFPCFLFLLIWGLDKITKREEFGYILKNHKTMGYSHLLSLRLCVLLGLTVLGFVMIQFFVFCTFEWNSVSLEGMNPYEKLVGSLFQVVNSRHTGENIVDLSTLSPAILVLFIFMMYLPPYTLFMPLTTIKKYKEEVEDHTGNEEKRKKTGFFVSQLSFLAICVFFISITESQNLRRDPLNFNILNITLEVISAFGNVGFTTGYSCKRRLDINNGSCKDTSYGFVGRWSPNGKFILIIVMLYGRFKQFTAKSGRPWILYP >A10p015420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3642905:3644766:1 gene:A10p015420.1_BraROA transcript:A10p015420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGIDDQSKPQTMIQEKYTKRYQNLKVAEALSNNHFYPFACNELSSIIDLGYSQLPKDLKAFIFRDCLSAFRLLPEMNTSAAVSAANLLVKSVESAFPKQKKNLAIVEFKQAKVALKRRTKSHDEHIDLPSLPQDILVHIFSFLDVSSLLSSSQVSRSWNQATSEGSLWRSLFDLHFSHKVLIHIYPGIDWREAFKNEYILYKSSKMLRSGRGYCSYCDSVVWHDNLRCPKKQCRLKSGKKPLDLMLTHQVVNYLLGTTSSSDESDSEDEAVRGLWAYPTLQ >A03p066720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29503506:29503867:-1 gene:A03p066720.1_BraROA transcript:A03p066720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIVAEEPRQDRGRSVASSRLARDARVLLCNVPSPDVATSTWILNNNRSAPRLPDHCTPISVDYASFPQLLLLSPPAPPHNVAPPPMNLLGILKFY >A06p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19140073:19143936:1 gene:A06p035380.1_BraROA transcript:A06p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMEESADLLGMRCLRFYKDCTKYTEGLGEGYDGDIDFVNALESFGGGHNDPIGVAFGGPVMTKFTVALREIGTYKEVLRSEVEHILNDRLVQFVNVDVQEIKEARKRFDKASITYDQAREKFLSLRKSTRLDVAATIEEDLHSARAAFEQARFHLVSALSNAEAKKRFEFLEAVSGTVDAHLRFFKQGYELLQQMEPFINQVLSYAHQSREFTDYEMASLNERMQEYKRQVDRETRNLNGSPTGDGMRHNSRSSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKPWNWSSGNGNRSSVQKNMTSENSPGLLSRWLSSHYHDEKPVARLTVNLLTSTIKVDADQTDLRFCFRIISPTKVYTLQAENAQDQMDWIEKITGVTTSLLSFQTPERTIMRLSTMDGDTFSASESGSVADLYDIEHAEIGESTVEHNHHITGGNRTRFSGCLQQHDMVAKPIDVLTKVLGNEKCADCGAPEPDWASLNLGVLICIECSGIHRNLGVHISKVRSLTLDVKVWEPSVLTLFQSLGNVYVNSVWEELLNSESRSSGTPMSDRPTRKFLARKPGLSDPISVKELFIHAKYSERIFVRKETDSLHSPAVFQEIWENVRANDKKSVYKHIVCSQTDVNALRGQATYTVSLSLWKMMQLEAKEETLKAKIKRIEEEFQENPEGYSDSKLEGEESMVREETSKDCSLLHLACLYADIGMVELLLQYGAKINATDSKGRTPLHHCIISRRYAIARLLLMRGGDPNAVDKESNTPVKYALETEVNDSELIALLTDSKR >A05p046240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27513214:27515270:-1 gene:A05p046240.1_BraROA transcript:A05p046240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHQRGDDDAGEYMEEDVDDHEMQDVENNDMDDEFRGGDGASDSDVEEFDYSNNKIADTSAEQARKGKDIQGIPWDRLSISRDKYRQTRLLQYKNYENVPNSGESSEKVCNVTQKGGQFYGFWRNSRSVKSTILHFQLRNLVWATSKHDVYLMSNFLLTHYSSLTSGKKEVLNVRGHVAPSEKHPGSLLEGFTQTQVSTLAVKDGFLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMERYQLVKHFSFPWPVNHASLSPNGKLLAVVGDNPEGLIVDPNTGKTLETLSGHFDYSFASAWHPDGITFSTGNQDKTCRVWDIRNLSHSVAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVSKGYETEQEIDFFGEISGISFSPDTEALFIGVWDRTYGSLLEYHRRRNYTYLDSFL >A09p080640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58952008:58953715:-1 gene:A09p080640.1_BraROA transcript:A09p080640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPFANFVKESMERSRSSPRVSAPFTWKRPFSADAHLEVVKSYYDYVKSRIRIGVEGYDTSLQPIELYRALESLFKACGEFHNIQIRSDPVTNELQRSCIVILRGEGAGDKALQLDGSDIGGKKIVVTSLPPGLSDLSTGLSTDVLAARHVAHDQRNRSEGVSVTGYDTSLPRDDIKNALSNHFSTCGEITDVFLLNSRALVYFYGLGSNHRAVQLSGSDLGGCTLVVKALPYPKPKEPAGASGWTRLRYREDKGGNKHIRCERNSGFYLMNFGLFICSV >A04p037760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21530042:21532519:1 gene:A04p037760.1_BraROA transcript:A04p037760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRDDGMEAPLTPKANREKITQIMFETFGVPKLYVANEAVLSLYASGRTTGIVVDSGDGVSHTVPIFEGYPLPQAISRLDLAGRDITDYLTKIMMERGYTYTTTAEREVVRDIKEKLGYIALDHDQEMKKATASSAIDRTYELPDGQVVTI >A08p035110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20762543:20763097:1 gene:A08p035110.1_BraROA transcript:A08p035110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQVTIVAALLILVALSSNLDMVAEAQLGPGDCYDGCSTACVQRDSRKTARCDRKCSIRCGPDAKKAGKTGA >A08g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3333342:3333797:-1 gene:A08g501300.1_BraROA transcript:A08g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITQSLLLSLVPILLCVSFQVGVIEARLRHLGTPITETRMIHVNPPPPCGAKIQVAPPLPPSTQIQTGEMPPFETQTGGACRPVPRRLPRPP >A08p042260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23737033:23739277:1 gene:A08p042260.1_BraROA transcript:A08p042260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFRSSTRLILVFGVLVLCSVSPVQSWSKEGHILTCRIAQMIMHTSKTSGMLSHSLKILGRELVVLTKVLVCLCGEQKLLEAGPAHVVENLLPDYAKGDLSALCVWPDQIRHWYKYRWTSPLHFIDTPDQACSYEYSRDCHDQHGLKDMCVDGAIQNFTSQLQHYGEGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTIDLRWYRHKSNLHHVWDREIILTALKEYYDKDLDLLQEELEKNITNGLWHDDLSSWTECNYLIACPHKYASESIQLACKYGYEGVKSGETLSEDYFDTRMPIVMKRIVQGGVRLAMILNRVFSDTHADVAAT >A04g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22798699:22800278:1 gene:A04g508560.1_BraROA transcript:A04g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKIQKGSNGKRKAEEEQTHTNRLKSQKTNGVACIEVLSKDYFHVYMKELEEILNSGFTKLSSEISSLKAKLNHLDKNFESLKKTMKNDPKTTLNATEFTDSSVEILSITPLKTNEMKTLDKKIKKFGMLLDTSGGTERRKQVVKSYDPFAVVDHGKDAWLDSWMKIDRDITINLGIIKADKFFFAELIEPKVWLSEEHIDVGMSLLRRKLGEKSCPFQSNRLAFLDVPFTLLISRSYQKFLEDPKNFEWSSEFISYYNGILPKCERTHKRLGVDVDDAYVVLNIKNVHWIALAISILQRTVEVYDSSWMLSGDDEITEFMILLKFSVCISI >A08p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24419881:24420872:-1 gene:A08p044060.1_BraROA transcript:A08p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRYYNNKMNNEDRCEVLENSTEEATTYVEPMSPLSSGDSGFTALSPLCDHYNNQMKIDSPTTCSDEEIIESLYQNLFSIVLCLQLEESGNDGSNTHLSPPCPGAPMKLTKFSRNMDPGFQRKLF >A06p044950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24124320:24128440:1 gene:A06p044950.1_BraROA transcript:A06p044950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLSARSIRRFTASHSHSYPPSPPPSRLAAISSLCTVPSMAASNQKNEAYLSAVIPKRITLFEQIQADQLEKLKSLPHDPIKITLPDGSVKEGKKWETTPMDIAAQISKGLANSALISSVNDELWDMSRPLEGDCKLELFKFDSDKGRDTLWHSSAHILGQAIEQEYGCKLCIGPCTTRGEGFYYDACYEKDLGLNDNHFPNIEAGAAKAAKEAQPFERIEVTKDQALEMFSENKFKIEIIKDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFKCLRASSAYWRGDKERESLQRVYGISYPDQKQLKKYLQFLEEAKKYDHRLLGQKQELFFCHHLSSSPGSWFFLPLGTRVYNKLMEFIKEQYWKRGYTEVITPNMYNMNLWETSGHAANYKENMFTFDIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPIRLADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCTEDQVTEEVKAVLEFIDYAYKIFGFTYELKLSTRPEKYLGDLATWDKAENDLKVALDAFGKTWQLNEGDGAFYGPKIDITVSDALNRKFQCATLQLDFQLPDRFKLEYSGDDEAKRNRPVMIHRAVLGSVERMFAILLEHYKGKWPFWLSPRQAIVCPISKKSEEYALKVKEQIHEAGYYVDADITDRKIDKKVREAQLAQYNYILVVGETEAATGQVSVRIRDSAAHSVKSIDDLLEEFKTKTAEYQ >A02p056270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33993256:33996410:1 gene:A02p056270.1_BraROA transcript:A02p056270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVPTYAWLWTLLAFILTWIVFHLIKRKKTAAEEAEADTEERRDGVADVIIVGAGVAGSALAYALAKDGRRVHVIERDLKEPQRFMGELMQAGGRLKLAQLGLEDCLKEIDAQESHSLAIYKDGKHGTLYYPSSTKFPYEPQGRFLRNGRLVQRLRKKAASLANVQLEEGTVKSLIEEKGMVKGVTYKNSAGEEITAFAPLTVVCDGCYSNLRRSAIDNKEEVLSHFVGFVVKNSRLEDPHSMHLTFCKPFPCVIYQITSDEVRVAAELPADSIPSMANGDMVNFLKKTVAPQIPETGKLRETLLKGVDEGLQEVKIHATMSMSAKPCDKKGVIVLGDAFNMRHPIIASGMMVALSDVLIIRNLLRSLPNIGNTKKVSKLIKSFYIIRKPMAATVNTLAAVFSQVLIATTDEAREGMRQGCFNYLCSGGFRTTGMMAILGGMNPRPLTLVLHLVAITLTSMGYLLSPFPSPRRFWHSLRTFALALKMLGAHLVDEGFKEMLIPTNAAAYRRNYMATASD >A02p038900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22251909:22252657:-1 gene:A02p038900.1_BraROA transcript:A02p038900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYYSQMNKHKRECDWLYAVADANHGIPNKCACGQSIVVETGEQGRRYYVCQVFKVRMMCALENEVNDLKKDARDEVKTPLENEVNDLKKDARDEVKTRLKLQLKIQQMSEEIEELKKLVVMFYQYYTFK >A09p017900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9316893:9317648:-1 gene:A09p017900.1_BraROA transcript:A09p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVWTWIISFLIHITLVGFIVYQLICLADLEFDYINPYDSASRINFVVLPEFFLQGFLCFFYLVTGHWFMSLLGVPYLYYNFQLYSKRQHLVDVTEIFNLLDWEKKKRLFKLAYMILTLFLTIFWLIYSTLDDED >A07g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9931749:9933419:1 gene:A07g504750.1_BraROA transcript:A07g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKHHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRSSDRKGKGIYKHNLGAQSIATLADRMVEKNEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQVPLVFFFQKL >A04g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8537234:8540944:-1 gene:A04g504070.1_BraROA transcript:A04g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKDEPRQQRIGKHKDGDLLAHVLTAPKELSTKEESKDYEEDWKKAGKRENVDIERGNEAEGKVSKILADGIFGDRVKEDATLSKIHTNNQEESQSKAVPGKELQKEDAPDNSIINAVSNSQRQRRRNKTRSMADSGDSTAKLSQIAFQIKGARRWKLESVYGIIEGDDKREKARIWALGGDCREKSPILSWPTPAQQESCINEKET >A06p056220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29291561:29297630:-1 gene:A06p056220.1_BraROA transcript:A06p056220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT5G44240) UniProtKB/TrEMBL;Acc:F4K8T6] MKRFVYINDDEASKELCCDNRISNTKYTLWNFFPKNLMEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYHRYLSDKKANEREVWIVKHGIKKHIQAQDIQVGNIVWLRENDEVPCDLVLLGTSDPQGVCYVETAALDGETDLKTRVIPSACVGIDLELLHKMKGVIECPIPDKDIRRFDANMRLFPPFIDNDVCSLTIKNTLLQSCYLRNTEWACGVSVYTGNQTKLGMCRGVAEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGVAGNVWKDTEARKQWYVQYPVEAPWYELLVIPLRFELLCSIMIPISIKVSLDLVKGLYAKFIEWDVEMVDQETGTASYAANTAISEDLGQVEYILTDKTGTLTDNKMIFRRCCIGGIFYGNETGDALKDTQLLDAITSGSTDVIRFLTVMAICNTVIPVRSKAGDIIYKAQSQDEDALVIAAAKLHMVFVGKNANLLEIMFNGEMIRYEVLEVLEFTSDRKRMSVVVKDCQNGKIILLSKGADESILPSARAGQQTRTIAEAVEHYAQLGLRTLCLAWRELEEDEYLEWSVKFKEASSVLVDREWRIAEVCQRLEQNLYILGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEEDVSRSLERVLLTMRTTTSEPKDVAFVVDGWALEIALKHHRKDFVELAILSRTAICCRVTPSQKAQLVEILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGRFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVIDKDLSETTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAYEKSEMEELGMVALSGCIWLQAFVVAQETNSFTVLQHLSIWGNLVGFYVLNFLFSAIPSSGMYTIMFRLCSQPSYWITMFLIVGAGMGPIFALKYYRYTYRPSKINILQQAERMGGPILTLGNIETQPRTIEKDVSPVSIRSPVYEPLLSESPNATRRSFGPGAPFEFFQSQSRLSSSSGYTRNCKDN >A05p035940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19225214:19227011:-1 gene:A05p035940.1_BraROA transcript:A05p035940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVSKLKVNRLIDRLPSLVRNLITRGQEELCFINNNGSWYKKEPNFQYNNYQQKFYSNNQQSGYQPRHNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNN >A09g511990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35288469:35290938:-1 gene:A09g511990.1_BraROA transcript:A09g511990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWSRVTSLSNLLLTPPPFPFFHVRRTVSRSIWMMFSLDYYEWRMPRMHYGRRNTREYAQRRHYDREGNLVLPMFPDPEEQYREFPFRYPHEQTVRHKVLMPHFQRMAMEERLLQGNARFQLATEEGPPRKRGRPCKTPSAAGGPPRVFTGKCQCGVLSKNAQEDRSVAGYTEDFINQAKLCKPKNAETWCIWYKNGLRKELQAQLRGVLEPLEFALVRRMAGFAMEAEEKIAADVAALSSMEGGNPGRDVDGHEVPVGAPAKGKRGRPRKPPTVTCDCDVLVQMVQKPRKVRDYLEEFLDTAKMCQPKPTEEWCHLFRAGLRGDIREELVGVLEPLKFALVRRMANQALHAEEWLAEKEAEAEDDRVAEGDEDLGSEASPPLYYKKRCSLPFCLITNLKRSSAENSRRSKTLAVKLSLLLSCAVSLSSLSPARRFLSLLAVTLSLSLPSHALSLSPSRRRREVVVLTRSQPSQSSFPDPDLRLRWSVSNPTFFHGSVYSYMLELGLIRPCLGVRLIVHGNARMIDEWIMMHKNPHIDKWDLMN >A05p047670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28039955:28041835:1 gene:A05p047670.1_BraROA transcript:A05p047670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSTKDLIQCCDCGCHTSPRSFHRSVKRRHDELLDESNNPKVHIENECELLRETVTSQQQSIQELYEELEKERNAAASAADESMNVMQRLQNEKAELQMELRQYKLYVGEKMEHDLQEMVALEELVNQREQTIMALTCEAQAYKHRMMSYGLTEGEAEGDKSGYNSSDGYDLTAYEYPPLKCNVVENHDPLGADVYVADDGKYPPVVSPLKSLDQRISEMETNPGFAELDGGFSGGVKEKMVVGGQSPRPQRQFRRMSTKEVRTDAYVESPKKVANVSSYTENVNAKDDSSDIGDDRVYTIDSVTEQKLEAETSDGNVGFQREQMDLGDPDITKLYMRLQALEADRESMKQALVSMRTEKAQMVLLKEIAQHLSKEVVPQRRLPLRKASTGGPLPFTPVFKWISSFVSWRRKARRSKYMYGMSANNMGLQMLLEKVPRSRKWRCLRSTQV >A08p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24852743:24855596:1 gene:A08p045250.1_BraROA transcript:A08p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKYDRQLRIWGEVGQGALEEASICLLNCGPTGSEALKNLVLGGVGSITIVDGSKVQLGDLGNNFMVDERSVGQSKAKSTCAFLQELNDSVKAKFIEESPDTLIVTNPSFFSQFTLVIATQLVEDSMVKLDRICREADVKVVFVRSYGLTALVRVSIKEHTIIDSKPDHFLDDLRLNNPWPELNSFVETIDLNVSDHVAHKHIPYVVILVKMADEWTKSHSGNLPSTREEKKEFKDFVKSKMISMDEDNYKEAIEAAFKVFAPRGISSEIQQICNDSCAESSSNSSDFWVMVAALKEFVSNEGDGEAPLEGSIPDMTSSTEHYINLQKIYLAKAEADFLVMEKRVKNILKKIGRDPSSISKPTIKSFCKNARKLKVCRYRKVEDEFSNPSVTEIQKCLADEDYSGAMGFYVLLRAVDRFTANYNKFPGQFDGGMDEDISRLKTTALSLLADLGCNGSVLPDDLINEMCRFGASELHVVAAFVGGIASQEVIKLVTKQFVPMLGTYIFNGIDHKSQLLTL >A09p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8613707:8617794:-1 gene:A09p016400.1_BraROA transcript:A09p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) UniProtKB/Swiss-Prot;Acc:Q8H0V6] MTEVASSVVYEVLGSRAKDVDEPIMEYIINVLADEDFDFGEEGEGAVDAVGDLLVAAECVSDFDECRLVCSKLADKFGKHGLVKPTPTVRSLAMPVRMNDGMDDGPVKKKKPEPTDGPLLSERDKAKIERRKKKDDRQREVQYQQHVAEMEAVKAGMPTVSVNHDTGGGSTVRDIHMDNFNVSVGGRDLIVDGSITLSFGRHYGLVGRNGTGKTTFLRYMAMHAIAGIPANCQILHVEQEVVGDNTTALQCVLNTDIERAKLLEEETQILAKQVSYKLLILVEESLPLGFIILTRLCPFSPVSSVFGCNVVYAFGYLKRDLEVEEPTAKDGVPTKDTAEGDLMSQRLEEIYKRLDAIDAYTAEARAASILAGLSFTPEMQKKSTNTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLTKWPKTFIVVSHAREFLNTVVTDIIHLQNQKLSTYKGNYDTFERTRDENVKNQQKAFESSERSRAHMQAFIDKFRFNAKRASLVQSRIKAIDRLAHVDQVINDPDYKFEFPTPDDKPGPPIISFSDASFGYPGGPLLFRNLNFGIDLDSRIAMVGANGIGKSTILKLISGELQPSSGTVFRSAKVRVAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRSHLGSLGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHLLLLDEPSNHLDLDAVEALIQGLVLFQGGICMVSHDEHLISGSVDELWVVSDGRIAPFHGTFHDYKKLLQSSS >A03p009590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3849391:3849713:-1 gene:A03p009590.1_BraROA transcript:A03p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETLPTMIAWNHLPTIYTYQESRLHHDDHKESKVEKGINLFNELKALNVKPSDRTYAALRKMRNILKMSDCLLQLP >A05g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27470467:27471643:-1 gene:A05g509140.1_BraROA transcript:A05g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGVYPAFAKKKEFTQLPSHLSSLIIKVNIFAKADDLQNQAQPLEDFARRLKLKEKSVVTMVEKTFDQLVSNCPDNVHLEVSDYLTILLYKFGRNEK >A02g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:451480:452274:1 gene:A02g500120.1_BraROA transcript:A02g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGIRTWSDLSIPEVTRRRSKSRPGRSTEKKKRIQQGLLRYVPVVRTSPEESKSSQSFKGLDDPGEINTPAPSIQDLSSGELEEGEICPAVLEDNSAIQLALTDDIETPQLTGGKQCILEAQITVTADRDGATADVTNQFPTEDRVEMTTDPGGLGINLITSTLEELVQSSPDTIEGPPEVVVHASPIADEVLPEVLLSEVDYRPVEEDEQENPFILVKNRKSSRKAAKRH >A09p011710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5946782:5947603:1 gene:A09p011710.1_BraROA transcript:A09p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSESDQCSSRFNTMEVKSLIYQKIGHSRANSYFHHLGNFLTSRISKSEFDKLCIKTIGREHVPLHNRLLRSILKNATVANSPPPPRFLKKSDSAFPRKSRSRKFRDRPSPLGPLGKPQSITTTNDESLSKAQRLPMEEGEEVEQSVESRRSPLTALTAPLGVSITGARKFVCRKGETCQNSGELPDAMTLKSRLEKKLEMEGVTLSMASADVLNSGLDAFITRLIKPCLSLVGQKRVSMSDLRAAMELNPRVLGEDWPIHLEKICSRASDEE >A10p011250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6492422:6494690:1 gene:A10p011250.1_BraROA transcript:A10p011250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable delta-aminolevulinic acid dehydratase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44318) UniProtKB/Swiss-Prot;Acc:Q94LA4] MTSSMFRSPCKIPTMKAFEQKSYLSLNAASYNVRINPFRRTEVSCQLQEIDSSMKWPVIAHEEVLVKSKPAEPVIHQPLHLSRRARRNRKSPTQRAAFQETNISPDNFIYPVFIHQGEVDIPIKTMPGRYMLGWRHGLIEEVAKARDVGVNSIMLYPKVPDTLKSPIGEEAFNDNGLVQRAVGLLKARFPDLVIYTDVNFDEYSSSGHGGIIGEDGVILNDETIHQLRKQAVSQARAGADVVCTSEMLDGRVGAVRAALDAKGFHHVSIMSYSVNYQINPANSREALMEAREDEAEGADILMVKPALPSLDIIRLLKDQTLLPIGACQVSGEYSMIKAAGLLKMIDEEKVMMESLICLRRAGADLILTYFALQAATILCHENKRNYFN >A07p033840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18477686:18484578:-1 gene:A07p033840.1_BraROA transcript:A07p033840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGNGEDPYLSSTNNFVGRQTWEFDPKAGTPEERAAVEEARRSFLVNRYRVKGCSDLFWRMQFLKEARFEQVIPPVKIDGDEAITHENATNALRRGVSFFSALQASDGHWPGEITGPLFFLPPLVFCLYITGHLEKVFDEEHRKEMLRHVYCHQNEDGGWGLHIESKSIMFCTTLNYICLRILGECPNGGRDNACKRARQWILDRGGVTYIPSWGKIWLSILGIYDWSGTNPMPPEIWLLPSFLPIHLAKTLCYCRMVYMPMSYLYGKRFVGPITPLILQLRDELLLQPYEAINWNKTRRLYAKEDMYFPHPWVQDLVWDALNVFVEPFLTRWPLNKLVREKACRLAMKHIHYEDENSHYITIGCVEKVLCMLACWIDNPHGDYFKKHLARIPDYMWVAEDGMKMQSFGSQLWDTGFAVQAILASDLLDETSNVLKKGHDYIKLSQVRENPSGDFKSMYRHISKGAWTLSDRDHGWQVSDCTAEALKCCLLLSTMPSEVVGQQLDPEQIYDSVNLLLSFQSEKGGVTAWEPVRAYEWIEFLNPTEFLANLVAEREYVECTSSVIQALVLFNQLYPDHRTKEISRSIEKAVHYLENEQKPDGSWYVTLKHNSYMVLQENTNRIMLSLSHYIYRYGNWGVCFIYATWFALGGLAAAGKTYEKSLAMRKGVEFLLRTQKDDGGWGESYLSCPEERYIPSEGKQSNLVQTAWAMLGLIHAGQAGSDPIPLHRAAKLIINSQMEDGDFPQQEIVGVFMKNCLIHYATYRNTFPLWALAEYRKAAFPNSSTTSTAS >A01g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7760990:7763579:1 gene:A01g502200.1_BraROA transcript:A01g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAKTTAIRTGEASPPLLFRHVSPGPADSTLKFRLLHFWEARKNVKGGPGILLGIELLMIDAEGTLAQGFIGLNRRNQYEKELKRGSIYTLTNFYASNSKVMYHVADQRLVICISHASALSKDEEDDEGILTERFRVRSFSEFEANCDLRGDLHDVVGHLKLVDGQALHERPVLFTNDNAASRKVMVHLQLKDGPVMNVYLWDEAAESFRVKFDASASTPTVLLVTTVNPKRLGGKLCLSSMSSSRVFLDEEVDPSKEYLAWLATNPAVTSLVNPVEVVKAETLTIGEIAAFIKRQPPQLGTEWYYIACKDYQTKLNRGPTTLLCPKCGNENATAVANYRVELSVYDNDEQCTFIILGDAGKDLTGMKAT >A09g517370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51296144:51298288:1 gene:A09g517370.1_BraROA transcript:A09g517370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRMSAQEIDTIQASTGMTCGTLPVRYLGVLKGSIHNYWTTKPSTSFTWLANKLLKLKTVVYPLIKLRLQNGTSASLTTFLNNSSSRLGIPAAATVASLSWNGMWQLPSARTEQQLQLLTYLTTVTLNQEQDYYEWELAGKTVSKYSTGDVYTYLRGEIADVNWSKSIWSSYEIPRHSFLAWLVINNRCPTRDRLIGWGIQVSPLCLLCNLHSESRNHLFYECGYSFDLWNLVATKCGLTPCRDWDGTVFQMIALPRSKSRRHSTLLTLLAWKSTIYWTWNERNSRLHQNSFRSVDSLFVALDR >A01g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16105089:16105752:1 gene:A01g505370.1_BraROA transcript:A01g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELATYMLCGAGCRDVCISTHIGGVRGSRDVWTSNAYYIISYAYIVVVLCTESVVCGLASHTSLDDSPVTHPSFFPLQHAGFERGGDGCYNLVSERGSVPAPTWDGDLWDSDFSNF >A01p005480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2354567:2358851:1 gene:A01p005480.1_BraROA transcript:A01p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:Projected from Arabidopsis thaliana (AT4G33010) UniProtKB/TrEMBL;Acc:A0A178UTF1] MERARRLAYRGIVRRLVNESKRHRNGEITPHHVPSVVPHAPSRYISSLSPFLSNNHHNRSVNLPKHHNHNQTRSISVDAVKAGDTFPRRHNSATPDEQAHMAKYCGYDHIDSLVDDTVPKQIRIDSMNVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKSIAERVHGLAGIFSLGLKKLGVAEVQDLPYFDTVKIKCSDAHAIADAAVKSEMNLRVVDSNTITASFDETTTLDDVDKLFKVFASGKPVPFTAESLAPEVQNSIPSNLTRESTYLTHPIFNMYHTEHELLRYIHKLATKDLSLCHSMIPLGSCTMKLNATTEMMPVSWPSFTDIHPFAPIDQAQGYQEMFSTLGDLLCTITGFDSLSLQPNAGASGEYTGLMVIREYHRSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIITVGTDAKGNINMEEVRKAAEDNKDSLAALMVTYPSTHGVYEETIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVIPTGGFPQPEKTEPLGPIAAAPWGSALILPISYSYIAMMGSRGLTEASKIAILNANYMAKRLEKHFPVLFRGANGTVAHEFIIDLRGFKNTAGIEAEDVAKRLMDYGFHAPTMSFPVSGTLMIEPTESESKAELDRFCDTLISIREEIAQIEKGNADVQNNVLKGAPHSPAMLMSDTWKKPYSREYAAFPTPWLRSAKFWPTTGRVDNVYGDRKLVCTLLPEEEQVAAAVSA >A03p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10586572:10588041:-1 gene:A03p025160.1_BraROA transcript:A03p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRVILFLFTLTIITKTVFSQHCSTTGCAGNLCCSRYGYCGTTSAYCGTGCRSGPCSSGPTPVSPTPTGGTGGLNDEPRDTIANVVTQSFFDGIMSKVGNGCPAKGFYTRQAFIDAAQSFPAYQGAVSKREIAAMLAQFSHESGSFCYKEEIARGRYCQASTVYPCQPGKDYYGRGPIQITWNYNYGAAGKFLGLPLLTDPDMVARSPEVAFKCAMWFWNQNVRPVLDQGFGATTRKINGGECNGRRPAAVQSRVDRYLEFCRTFGITPGTSLSC >A01g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8762985:8765385:-1 gene:A01g502540.1_BraROA transcript:A01g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKASPSVKVNCHHSGVFKKKGDNLEFVDGELVVLEVDSGCVFTSLMSKLIERRIVIGKMRFKLPYESLEDCKPLWEKVEFNKKKLGIAGRWYKEVDLYIEKDVTARETEASIPDKETEPSEHEKEREDNVPEEGHEEAEGGEVGSDDEIEDATYEGEASDDECIDSEAGLSEKSESDDDVEVVEEEIEVFKDVNYEEQIPDEDEQYPATDDSSGDEEEQAERLVKRNMPDGIFSLRQLFNTEDIRRDPEMSAPEIKDEMKRRYNIIISPPQSQVARRMVFDKLQAETNEQFARGLKPVNGPKFWEETGGGRILAPPYKRPPGRPKGKARIKGVHESPSKKKVGRQGREGYFSLCGGKGHNSRKCPHESQEDRAKRRRLNEEAQLEGEVQAQLQAQEEANDEAQEAAEMEADFMAQLGEDEAHEEAEVQDVSSTAPQPTQVLRRSSRLASLLF >A07g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7462533:7463184:-1 gene:A07g503780.1_BraROA transcript:A07g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNLPGEMIEDISHGVFLVKINLHDASPSVKVAYQFNLKDPDCNSSQVAAIRKGIKPRDRYKKHDYYALGYNNKNQKILPIKNKYEIYDFTFDSWRVLGVTTDWFLAKYRRGGISFKGNTYWVATWSHTDFLKF >A03p012560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4979812:4983368:1 gene:A03p012560.1_BraROA transcript:A03p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFKVCFCCARSFKVKSSEPSQEIKTLFDNYSQNGRMSEDEMLRFVIQVQGETHADSNYVKDIFNMLKHHGVFHPRGLHLEEFYRYLLSDFNSPLPLSGKVWQDMNQPLSHYFLYTGHNSYLSGNQLNSRSSTEPIVRALRRGVRVIELDLWPNSSGTNVEVRHGGTLTSTEDLQKCLYAVKENAFEVSEYPVVLTLEDHLNPDLQKKVAKMVSKTFGGTLFRCRDEHKKCFPSPEALKNKILMSTKPPKEYLQTHISQGATTAESINTEELIQDEDEKTVAVEYRDLISIHAGNRKGGLKNCLNGDPSRVIRLSMSEQWLETLAKTRGPDIVKFTQRNILRIFPKATRFDSSNYDPLVGWIHGAQMVAFNMQSHGKFLWMMQGMFKANGGCGYVKKPDVLLSNGSVGKFFDPYSQDLQIKTTLKVKIYNGEGWNLDFSQDHFDRYSPPDFYARVGIAGIPLDTISYRTETDTDEWFPVWNEEFEFPLRVPELALLCITVKDHDKNTQNDFAGQTCLPLSEIRPGIRAVRLHDRLGDVFKHARLLVRFVLEPLTSSSPSSVSVPVVVKIGIERRSLIVNVKDYDGPSANPKHNPGTPPVTTSQRSPGRG >A06p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7802795:7804070:-1 gene:A06p017410.1_BraROA transcript:A06p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFYLGGRENNKQDHHHQDKDHNEDRSNNYLHLYKDEIYNNNKGFEIWPPQYFQQQQEQQNHVAPPTNFYSFGMVPSGSSHNNNRSSNRSLYFNVVSDHEPVRSSTGRFTVTRQGSMNCQDCGNQAKKDCPHMRCRTCCKSRGFDCQTHVKSTWVPAAKRRERQAQLAGLPTKRSREASSGGGDDDDEREGDENGAQGGGGGSALACIRVVNASSSGFESSHLPPELSLPAVFRCMRVSSIDDEDEEYAYQTAVNIGGHVFKGILYDQGPSDDHRYSSSPAAIAAETSQHHLNLLASTSSVATTTGVTASNINNASIDPSSVYTAAPINSFVTAATSFFASPRS >A03p035020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14727815:14729985:1 gene:A03p035020.1_BraROA transcript:A03p035020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSICESVPAANSSSASTTVGFRKVDPERWEFANDGFVRGQKQLLKSIIRRKPSQMQPQKKPQVQHSSVGACVEVGKFGLEEEVERLQRDKNVLMQELVRLRQQQQGTEHHLQNVGQKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQSSEGNQHISESNKKRRLPVEDQNGSHGVNGLSRQIVRYQSSMNESANSVLQQIQSMSSSRGCHEPLSNNHGSFLLGDVPNVSDNGRSSNGASGVAFTDVSSNGAMKPHDPYAPADLLAPRQGAAAASGSSSSDLVGCETDNGECLDPMMVVLDESTILGRDGTINELLPGGEDSLWEQFFGESTETGNIDELVSGSMDNEMIMEQLGLQSNLRNVCRRWGLSFVELQQQKYNDQKEVVVYLCIKLSFLSMCD >A06g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17572495:17573881:-1 gene:A06g506140.1_BraROA transcript:A06g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISLCLSSFSNRVCHSTFESLRLGRSSQSIASGFLRFWDPLNFNKERKFVEITVLLLDEKLNSMIHGFTPVGHSIVKGDRFQVARCSSMYKITDHPFLIRFTSLTIIDEVITGAPEINLQSGLDCSTISKVLTSPKKQLESLFVSSLIKKQTQSHQEIQKTIPTNTKAATTSPPAHSSCLMKIAYMLNVNMPMVLSSYEKYIYSFKIH >A07p016970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10355087:10356184:-1 gene:A07p016970.1_BraROA transcript:A07p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDVEVLSRAIEKLLDEKKNREIAGESFIEDDDDQLFLSRIISQLESPNQFPKTVETTKGEEEPLPDSLPSKGKDKGERQLEESIEEIAKDIKEVKRQNKVTHILLSALIILTLTWQLSEYSMIYMMKERLSHPIRSIGGMFSGLFNGKLRPIKNKLSNAREEDNHHNGNGTNTGGVHIQVPELLRDLGLDDDDE >A06p034780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18855654:18857486:1 gene:A06p034780.1_BraROA transcript:A06p034780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRSIYVGNLPGDIGESEIEDLFYKYGRIVDIELKVPPRPPCYCFVEFEHARDAEDAVDGRDGYNFDGCRLRVELAHGGRGQSSGDRRGGYRGGGGYGGGGGGGGSARFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDSDGTYGLVDYTNYDDMKYAIRKLDDTEFRNPWARGYIRVKKYESSHSRSPSRSRSRSRSRGRGRSPSRSISRSRSPRKDLSKSPRRSLSRSISKSRSPSPDRKKSPPRAMSKSRSRSLSKSPAKVREGSG >A07p048200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25770621:25771889:1 gene:A07p048200.1_BraROA transcript:A07p048200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRCDVCDKEEASVFCTADEASLCDGCDHRVHHANKLASKHLRFSLLYPSSSKNSSPICDICQEKKALLFCQQDRAILCKDCDSSIHSANEHTKKHDRFLLTGVKLSPTSSVYKPTTESPSSSQDCSVPSPLKKPISAPQSQIKNSKIQPSKISSDVGVNQWGSTSTISEYLIDTLPGWHVEDFLDSSLPPFGFSKSGDDDGVLPFMEAEDDSTKRNNNNTVSLPSKNMGIWVPQIPQTLPSSYTNQYFPHDNNNIQFGMYNNKETSHGVDTYAPIQNMKQQGQNKRWYDDGGFTVPQMTTTTTSTFTPLASNKRSRSFW >A07p035890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19337547:19339704:-1 gene:A07p035890.1_BraROA transcript:A07p035890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRSKWVAMAASIWIQCTSGGSYTFGIYSAVLKSTQSYDQSTLDTVSVFKDIGANAGVFSGLLYTYVTSNRRRGRGGDSGGPWVVLAIGAIQCFAGYFLMWASVTGIIRKPPVALMCLFMFIAAQSQTFFNTANVVSAVENFADYGGTAVGIMKGFLGLSGAILIQLYETLCGGDPASFILLLAVTPTVLSLLAMPLVRIYETSTADDKKHLNGLSAVSLVIAAYLMIVIIVKNTFGLSSVANVVTLVCLVLLLALPLLIATRARRDRMEKTALPDKSPLITSPKAATSVNQSSVSDSQVEAGLSENLNLLQAMKSLSFWLLFLAMICGMGSGLSTINNIRQIGESLRYSSVEINSLVSLWSIWNFLGRFGAGYASDAMLHKKGWPRPWLMAATLGTMTIGHLIIASGFQGNLYVGSVIVGVCYGSQWSLMPTITSELFGVRHMGTIFNTISIASPIGSYIFSVRLIGYIYDKTASGEGNKCFGSHCFRLSFVIMASVAFFGFLVAIVLFFRTKTLYRQILVKRLHRR >A01p025710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19956521:19957947:1 gene:A01p025710.1_BraROA transcript:A01p025710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLMKLLSACLWPSSSSGKSSDSSSAGRQDGLLWYKDSGHHLLGEFSMAVVQANNLLEDQSQLESGPLSTLDSGPYGTFVGVYDGHGGPETSRFVNDHLFQHLKRFAAEEACMSMDVIRKAYEATEEGFLGVVTKQWPVKPQIAAVGSCCLVGVICGGMLYIANVGDSRAVLGRAVKATGEVIALQLSAEHNVSIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYTKYRLREPMKRPILSGEPSITEHEIQPHDQFLIFASDGLWEQMSNQEAVDIVQNHPRNGIARRLVKMALQAAAKKREMRYTDLKKIERGVRRHFHDDITVVVIFLDNNVMSSAKGGSSVSIRGGGMTFPKKL >A06g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22596311:22598773:1 gene:A06g508090.1_BraROA transcript:A06g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSNISVSASVENLITKYCKIKGVWEDLNEVLFDFIKTGFMRRVESGAVESRSTATNAGGYNGRPNQQSTGRNEVKGKGIDYEGGKQAGLAKGGPVRKYRDQGRSTTRYVRQAGYLPPQELRDSYAIATGGINGLKNQEVGSHLDAQQKLMLDAFKSGGKGEGSESKARKALLFEEEIAGEEHLETTGEERVVGPQVQEVMETLALPKELSREVAKSMGEVAESKCSGVENGSNHVEQRGNKVEEVIPEMVAGLDDEEDNLEYEMMEDGEDDVALDQVVSDQTFSNDVEMVTEGITHLEEGLPTDESAELAGEKEHQVPKKKSGKLNAAVMGGNAKKRLVQGLVSPRKKVMAKQASKGVEKGPAHTKKASVKPKSDQD >A07g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7649689:7650267:-1 gene:A07g503910.1_BraROA transcript:A07g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLLGSPDDFLEVQTTSWKSRRLCQKTSKKSRRLPDDFQTTNRENEWKIR >A08p031820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19382780:19384508:1 gene:A08p031820.1_BraROA transcript:A08p031820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKLSLSVFVLFFLILSASSDGNDGGDDLVIKQVVDGGAESNVLSSEDHFSLFKKKFGKVYASSEEHDYRFSVFKANLRRARRHQKLDPSARHGVTQFSDLTRSEFKKKHLGVRGGFKLPKDANKAPILPTENLPEEFDWRDRGAVTPVKNQGSCGSCWSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKTGGLMREEDYPYTGKDGPTCKLDKSKIVASVSNFSVISIDEEQIAANLVKNGPLAVAINAAYMQTYIGGVSCPYICMRRLNHGVLLVGYGSAGYAPARFKEKPYWIIKNSWGETWGENGFYKICRGRNVCGVDSLVSTVTATVSPAAH >A08p020130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13672304:13672606:1 gene:A08p020130.1_BraROA transcript:A08p020130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNPKPQNNNKENVSHSKMITISVKVPLDSSSSIDKDKIQFRIRRRQPLKDITNLFVSASPLPSSPTLSFDSKCIKGRSGVGLKTAATSSKLSCRNFR >A08p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2681386:2682468:1 gene:A08p004590.1_BraROA transcript:A08p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFSKVYKDDFEKEMRLKVFKKNLIFIENFNNMGNQSYKLGVNEFTDMTKEEFLATYTGGLQGINVTSLPEVVDQTMSSRKLNFSELLFVKDWRIEGAVTPVKNQRSCGSCWAFSSVAAVEGLTKISGNNLVSLSEQQLVDCTNGCNAGRIDQAFDYMIKNGGISSDSEYPYQAKSGQCRSDARPAIMIKGYERVPFNNENALLDAVLRQPVSVDIDARTDSFRHYKEGVFDARDCGIDVNHSVALVGYGVTEDGIKYWLVKNSWGENWGEKGYMRIRRMVEWPEGMCGVAQYAFYPVV >A09p000880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:537831:540656:1 gene:A09p000880.1_BraROA transcript:A09p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g01030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01030) UniProtKB/Swiss-Prot;Acc:Q9SV26] MENLSVTLRHCYTSFSLHKPNRRYLINPLKYNQSSTCCSLDIKHASSESLPTSVSLALSSSSSELYPHPFTEINRCDDLSSVKAVHARFIRKFDPFDLECLISRYLEFGELRYASTIFFTGFPRNQVSWMGFLGEVESFGLEKHRVLEEFVQLQSKGVNFDEVVLVMVLRICSVLMNELLGFTIHGGLIKRGAVRDTRVVSALMGFYGRCVSSDIANKLFDEMPERDDLAWNEIMMVNLRSGKWEKAVELFREMLFCAARVYDRTMVKLLQVCSSKGRLAEGRQIHGYVLRLGFEANVSVCNSLIVMYSRNGEVESSRKVFDSMKDRNLSSWNSIISCYTAFGYVDDAMALLEEMERCGFKPDIVTWNSLLSGQGLYKGAIAILKRMQVSGLKPNSTSITSLLQAVAEPGLLSIGKAIHGYVIRNQLRYDVYVETTLIDMYVKTGCLPYARVVFDTIDEKKNIVAWNSLISGLSYAGLVQDAEGLMSKMEKEGGIKPDAVTWNSLVYGSASCGKTEKALGVIEKMKRNKVEPNVVSWTAILSGCSKNGNFRNALKVFITMQEEGVSPNSATISTLLRVLACLSLLHSGKEVHCFCLKNNLIRDAYVATALVDMYTRSGDLRSASELFWGIENKPLASWNCMIMGHAMLGQGQEGIAVFNKMLEAGMEPDAITFTSVLSVCKNSGLVSEGWEYFDLMRFRYAVTPSIEHCSCMVDMLGRSGYLDEAWDFIQTMPLKPDATTWGAFLSSCKIHRDVELAEVAWKRLQVLEPHNSANYMMMIKLYSSMNRWEDAEQIRDLMRSQRVRVQDLWSWIQIDQRVHVFYAEGEAHPDEGEIYFELYRLVSEMKRSGYVPDTRCIHQNVSEAEKEKLLMGHTEKLAMIYGLIRKRGSDPIRVVKNTSICSDCHTVAKYITVLRNREIVVQEGSRIHHFKEGKCSCNDSW >A07p016240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10014179:10014809:1 gene:A07p016240.1_BraROA transcript:A07p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKTLFICREKQRKGASRLQALNMKLTAMNKLLMEENDRLQKQASLPAKDISCESVVTSGLEPTRVAEIVKDRLSWFRECRAVDVMNFFVFLQGIREVKSMQCIQLQM >A07g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:181662:183224:-1 gene:A07g500140.1_BraROA transcript:A07g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRLQTYEIMHDRFVSPVMIDLNKLSSQILHAQRDIDSITNQNFFQANSSSIDRLRRLWIDGKNPVELLPYIAAEVDKITFKIYTAIDTMEERLDKRCDDIYFPFDNRISGLDSHAKWLQKEVKAIQRQLAFQHQISTSIDRKRAKSLDGKSPRSTDEYIIASIDAKSTPPDEQLIHKTIESMHKELTELSAYAYDNIGWHQVSIDNIQDRLQNISNDESEQDLVAKTIKA >A06p000580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:236148:236327:-1 gene:A06p000580.1_BraROA transcript:A06p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKMMVVFMIVAVAFSAVGKAAAATVEAPAPSPTSDAAMFVPALFASVVALASGLLF >A05p013800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5994084:5995325:1 gene:A05p013800.1_BraROA transcript:A05p013800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVFTPCLEGMKHVKSEHGEMLSKPFLDLCKTILPVLDKFGPAMTLVKSDIGGNISRLEKNYLSDPDKYKYLYTFVQGEIESKTAKGSSSCTNGLLWLTRAMDFLVELFRNLVAHQDWSMSQACGDSYQKTLKKWHGWLASSSFTMALKLAPDRKKFIDVISGSGDIYTDMAKFCSEFGPLLQYNHKFLASVRMDDMKAS >A03p038050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15878764:15879444:-1 gene:A03p038050.1_BraROA transcript:A03p038050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRDKSKSDLQNQLQDLKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVTSQKQKSALREAYKNKKFIPLDLRPKKTRAIRRRLTKHQLSLKTEREKKKEMYFPIRKYAIKV >A01g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10288032:10289404:-1 gene:A01g503210.1_BraROA transcript:A01g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDEALMQIKLMDKINKASTDTVALTEQINSLQHQLDSLQIIDVKKALVEQEAAYNTLGEKHKQINQLLKERDEELNKLTEDHKEAQSLLEETSNEVSSRDSAIAGHEEKMESLRNELETKGEEIETLMEKISNIKRKRKAFRREEAKHLEEQALLEKSLTMTHETHRGMMKEIAEKVNTTLDVFQSMSEILTEKQGKYEKTVMEASKLLWAAMYWVIESNHEKEKMKKEMERKEEEIKKLGEKVREDEKEKERKEEELKKLGEKVRENEKEKERKEEEIKKLGEKLIEDEKEKERMKETLVGLGEEKREAIRQTVAASLRASAIIGDSMEEAGLPENSWLREYFWSTTFVSVMLV >A03p013270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5196722:5198313:-1 gene:A03p013270.1_BraROA transcript:A03p013270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDVKLKDYIDKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGSFSEEEDSIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRQKQMNRQDSIADSNENNINSNNNKSPQNLSNSALERLQLHMQLQNLQSPFSSFYNNPMLWPKLHPLLQSTPTTPDQNSKLGSQESFHPLGVNVVHQNNNIKLAEINDGVSPLYSESVEQSLNPTHGFQPNFGFSQDLQLDNHNMDLMNTGGSKELFQVGNEFELTNGSSWWSEEVELERKTISSSSWGSASVLDQTTDGVVMLQDYGQMSYHSV >A02p031230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16159466:16161009:-1 gene:A02p031230.1_BraROA transcript:A02p031230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSSMNGSYENRAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEYGDHSTKEGSRASAMDIQRNVASSSGMMSRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILERACQTLAGENMAAASGGGFKGNLGSSSLSAAMGPHPLSFPPFQDLNIYGNTTDQVLDHHNFHNQNIENHYTANNAADTNIYLGKKRPNPSFGNDIRKELLMWSNQDHEPIDDEHRIQIQMATHVSTDLDSLSEIYDRKPGLSGDEGNDCGKLLERSSPRRSPLSPMMNPNAGLVQGRNSPFE >A09p059010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49506994:49510495:1 gene:A09p059010.1_BraROA transcript:A09p059010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTSNGTISGPSSSSSPAANPQAPGIKTYFKTPEGKYKLHYEKTHSSGLLHYAHGKTVTQVTLAQLKERAAPSTPTGTSSGYSASSGFRSATARLLTGNGNRALSFVGGNGGGKNVSASSRISGSFAAASNSSTSMMTNTNFDGKGTYLVFNVGDAVFICDLNSQEKDPVKSIHFSNSNPMCHAFDPDAKDGHDLLIGLNSGDVYTVSLRQQLQDVSKKLVGALHYNKDGSVNNRQESLPVNKDGATDSTFPAIRDPTQFTVDKAKYSKSNPVARWHICQGSINSIAFSNDGSHLATVGRDGYLRVFDFSTQKIVCGGKSYYGALLCCSWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNTDGSGEHVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRPPGGSPTYSTGSQSAHWDNAIPMGTLQPAPCKRDVPKLSPVIAHRVHTEPLSGLMFTQESVVTACREGHIKIWTRPSASESQSNSSEANPAIALLSSSFPKDNKGSLSSKIGGSSLKQ >A09p013920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7203157:7203953:1 gene:A09p013920.1_BraROA transcript:A09p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSSSMRVAAAFLLVLLFLVDVVCSEECTRTCIAQNCDTLSIRYGKYCGIGHSGCPGEEPCDDLDACCMVHDNCVEVNGMTNISCHKKFKQCLNRLSKSIKQSKNKKVGFSKQCPYSQVIPTMNQGMDIGIMFSQLGNDLRTEL >A01g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22303627:22308564:1 gene:A01g507870.1_BraROA transcript:A01g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPKIDIVFSLTLNCSSPRYYFTDRRIGTGKAFFSSYSGKGDSGTLLVDHFRHRNCIQYVYGIFLQVRTLFPGSVNLTDSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINQLLKSGPRGADMGATYGFSPARHSSPFRGQNDDFNYTDVNPDRHTTHSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPEKQTESTHGAELRAQTGVHHGGDAGIGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDARGDATVANKGEDADEDDVTITKVQAGDKNTDAAGGQADGGKRFSRRTHISTKRYTPSAPPVRKKDGNKKVARQTDDNPAPPKRGKKVAAEPSNPKPRRQEKHTFIGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDPFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPYMVSRFCLTSRARELNYLSFPISRLDIPVLLEHPGYAAVVALIMLEIAALGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPA >A05p026370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13714656:13724394:1 gene:A05p026370.1_BraROA transcript:A05p026370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFPVCPPKTTLVLAVRVSTCLERVLMTLSSSSDFFEKVVNIFLNSSSTADRPRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELCLEPWPDDRFHCTRLCLHRSVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKNISYFLGEVISKFRSFFCWTRASHPATIECHRSYHQFSILSDLSSYQPYRKSDPYFGSIKWYQSHSSEIESFIWGLVVEEKACWLRRNPAFEVKIPLFTMFGLQRKSNKEKHPRLSVSQTSFKSSLNYCDECVSVQKKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFNDFSDSSPIFDETDEEPIGNLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSHQEDESGPVFDDEETSIMSTFMKSNLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIHFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDEFFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDILSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILVCNIYFNEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFELSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLTHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDEVSLVALNKQDKHDQFLRRASTNRRQSTLDLRTNPFEERGNDRPRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSLLVRLSPSFDPSFVGPVYDVWSPKEKKKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFNDFSDSSPIFDETDEEPIGNLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSHQVFEEEPLNFPHQCPCLDTWISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDEFFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDILSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFNEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFELSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRTHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLTHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDEVSLVALNKQDKHDQFLRRASTNRRQSTLDLRTNHFEERRNDRPRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELCLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHQTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSLLVRLSPSFDPSFVGLFSFLTDRVHIFPIRLSVRSLGFFKRGVGISVDHGDVRCRSLINVVAGSGVVASLLIVLIV >A02g508850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:23823855:23824538:1 gene:A02g508850.1_BraROA transcript:A02g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEEADEYNISELDWGEEPGYSWEDQNYGDGSEEDDQCRESRAEDGYEEGPCRGELDSKPQDHYKNHTINKSYSKPWLKFTDKFYDYSPTVFTKTLVSFSGNENYSRWEEDMENYFWEYKVPKHKKLSIALDTRVGEAYQWWLQEEECRIYFKEPTPHWEYVKELMYEHFEMRRLPPRTCPKRFVKLKPRQLLR >A06p038530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20739033:20740436:1 gene:A06p038530.1_BraROA transcript:A06p038530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPPGFRFFPTDEELVVHFLQRKASLLPCHPDVIPDLDLYPYDPWDLPGKALGEGRQWYFYSRKTQQRVTSNGYWGSMEIDEPIFTSSTHKKVGIKKYFTFYLGNSKTNWIMQEYSLPDSSSSSTRFSKRSGRGSTSSSHKTDYSKWVICRVYEQNCSEEEDDDGTELSCLDEVFLSLDDLDEVSLP >A06g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16247717:16254242:1 gene:A06g505670.1_BraROA transcript:A06g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTDQNVSDVPAEVHPTDQIRQIERAVYRLDPRTSGLELRPDPRPDSRTDQTEACLSRPTRQAKTDSQARLDLDHARIEKDHARLEKDHARLDLDHKVSQNDRDFSLLARLAHIARTGDRTDGLIDPFDQFMHFDQPNLTKARILHLFEDIGRTWSSLVHDLDMVVNTDSPTSVVLLTANLSCFLVSHIQVNTSSNRWSCESYQATMRDPSLGGLFSIWSSYPNLIHSSEPILGSFKWYQSHSFGTMSSDDERNRPGNSVAGLSNLQMLALNDSMSNMLNTGLDQIHQRLDEIQASQALSRARARRDRPRRNTRQIDRAVYRLDPRTSRLELRPDPRLDARTDRTKACLSRPTRQAKTDSQARLDLHARLENYHARLEKDHARLDLDHEVSQNDRDFSLLARLAHTGRTGDLTDGPF >A03p006110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2554302:2555399:1 gene:A03p006110.1_BraROA transcript:A03p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNLAKNGVLRLPPGFRFHPTDEELVVQYLKRKVFSSPLPSSIIPDVDVCKADPWHLPGNLEKERYFFSTREAKYPNGNRSNRATESGYWKATGIDKRVVTSRGNQIVGLKKTLVFYKGKPPHGSRTDWIMHEYRLSSSPPSSIGPTQNWVLCRIFLKKRAGDKNEDEGDNRNLRYNNDQLETITTNQTEDKTKPIFFDFMRKERTTDLNLLPSSPSSGHASSGVTTEIFSSDEETSSCNSLRRNL >A03p058840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25549379:25550008:-1 gene:A03p058840.1_BraROA transcript:A03p058840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNTSRRKKRRRRWRFVTRVLMFDRDGKSWDPGAKRIFRIRGGSYGVGKSWGLSDDSRIEIKIKISKRSQWKRFWKGDTIGFFRNWFYFRFSEWMRNPEDRTKDPRGLWSNELSSACQYIRNWDLVFRSIIVSWIQFTG >A01g511180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31398648:31400199:-1 gene:A01g511180.1_BraROA transcript:A01g511180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKKHIIQDGSSIFYHQPSSLQQMNLSVQTFDSYCKLESSPGTKSHPCLNNNTSSTTSFSSNGNSSELNHSPQDNNNNSPLSGSSATNNNEVELSLMLKDLETAMEAELDNSFNGYEFGQQQQQHRAVSSAMHRSMEMISKGDLIGTLYECAKAVENHDLQQMVSVSGEPVQRLGAYMLEGLIARLASSGSSIHKALRCKDPTGPELLTYMHILYEACPYFKFGYESANGAIAEAVKKERFVHIIDFQISQGGQWVSLIRALGARPGGPPRVRITGIDDPRSSFARQGGLELVGERLGKLAEMYGVPFEFHGAALCCTEVEIQKLGVRNGEALAVNFPLVLHHMPDESVTVENHRDRLLRLVKRLSPNVVTLVEQEANTNTAPFLPRVVETMNHYLAVFESIDVKLARDHKERINVEQHCLAREVVNLVACEGVEREERHEPLGKWRSRFHMAGFKPFPLSSYVNATIKGLLESYSEKYTLEERDGALYLGWKNQPLVTSCAWR >A06p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7495789:7496315:-1 gene:A06p016720.1_BraROA transcript:A06p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEKFFSKMVIDAKSADSSSSSSLSDFAENLIQEKRAAGGGGGGGGGNSTSYDTSAVLVKRTPSGVSAWTCSKLCAVFFVAGVFVGYTLKRRVRSWASKLLRKIRDD >A01p056250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30678779:30679765:1 gene:A01p056250.1_BraROA transcript:A01p056250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGNHHRYPELKSPLRRQVHAPSPVRKFGFSAFTSQNRNAVGQVSGNALLKGNCNSLERNTLDLRLQCRMDREVIDVDDDDEEVDYVEVISDDDEVEIVENVVAMEEESEKEVSLDGLNVENGSLMVVDDDDDPQADKKSLNRPVTDVCSFEAYRKALKSAENRTSKLKARGFGDFLRERCRGLLRSLRSFFIPEQEPVEDGRSEPFEPLSKEELTAVKDAFSANVHNILVSHENSNIDITVEKLRCLKPGQWLNDEVSHCALNLTLFQLITSMI >A07p049880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26608250:26611078:-1 gene:A07p049880.1_BraROA transcript:A07p049880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKHSSVVEEEGGRLGRTILVGVKLDAPSRELLTWALVKVAEPGDTVIALHILSNEIVENSSLLSLVKTFDSVLDVYEGFCNLKQVDLKLKLCRGDSPRKMIARESKSFSASKVLVGISKTHHAIRSSASVAKYLAKKLPKDRWVLAVNNGKIVFQREGSVNHPQVEEDVRKNNLLNVLQRSVTLTTTSKVVSHSEEVSKEDESRGQSLKQALVAAARSESCSVCGSINDTTARASDRSEDDDDKCLKAKEIVSEKGSTTMLVRKQPEAIPGWPLLRRAFTSAAQPVTSHRPLKLPPRSSKQIGYDSITTKKSSSDNSLRKPPKELEGLYERFSSACQVFKYKELVSATSNFSTDNFIGIGGSSRVFRGCLSNGREVAVKILKQTEDILSDFVAEIEIIITLHHKNVISLIGFCFEDNKLLLVYNYLSRGSLEENLHGDKKDPLAFGWSQRFKVAVGVAEALDYLHNTASQPVIHRDVKSSNILLSNDYEPQVSDFGLARWASISTTHIVCSDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSGCPKGQESLVLWAKPILDDGKYSQLLDSSLKDNNNGDQMQRMALAATLCIRRSPQARPKMSIVLKLLKGDEDTLKWAMQQVSSSSDESEMLKDEECQRSDLQSHLKVALLDVEDDSLSMGSFDQGFSVEDYLKGRNSLD >A07g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20833189:20835749:1 gene:A07g507590.1_BraROA transcript:A07g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDRESLQFWSSLLYSLADSDPKDGLFPEISSPIMVMSTSMATDVSPKPSNAPGDGDRDEARRFLAGQGKAIAETGDKIIHEGGQRSAEKQIEVEKKVGAIKETKNLGKRPRTNEARNIAEKRDIASTLDCIIDNIRWMKHYVESQSMGPMLPLGMKMDFPAPWFPFSPPNFIMPRFVAFTPGETSGGNQQSVPVTTKNL >A05p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7614433:7618025:-1 gene:A05p017030.1_BraROA transcript:A05p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMVAKVKRETVVACMTCSLCDNLLRDATTISECLHTWVSHALLYPAFCRKCIYEKITEDEIESCPVCDIDLGGAPLEKLRPDHILQDLKAKIFTPKRKRERAPEVVSSITLPARRKERSISSLVVDTPRVSAQTGTTGKRTKSLMRKDVRGSGSFTKRTVKKEEEIGDDHTESGSSPETLKDFTQSKRQSSYAEPSQSLSNRRNKDGAEPWDSKLNLWKPLNFLVDVANGTKSEHGNASHADVQGNKTKTKDYKRKCKLEEEIRNNGDPTTSETATVKRTRRTRRKRSSTFGDSRIPPLPDSESLKQERRKGPVWFSLVASNNQEGETSLPQIPANYLRIRDGNIPVSFIQKYLMRKLDLKSETEIEIRCMGEAVIPTLELHSLVELWLETTSKHERVAATIGSSAKEFVMVLAYARKVPECNN >A10p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21024560:21025451:-1 gene:A10p037190.1_BraROA transcript:A10p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDFITRIIILLVGYAYPAYGCYKSVEKKKPEIQELRYWCKYWILVGILTVLERIGDIIVSWFPLYGEIKIALLVCLWYPKSQGTSYVYEKLLCPYMSRHELNIDQGILVLKIRGHTVIVQLLQYVYQWTLQIFRHLQQQFDKKNDVSKSKERHKAGASFMFLR >A06p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7744116:7745446:-1 gene:A06p017290.1_BraROA transcript:A06p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase-1 [Source:Projected from Arabidopsis thaliana (AT1G19670) UniProtKB/Swiss-Prot;Acc:O22527] MAGKEDSETFFSAATPLAFELGSLPTTVIPADPSQTDLTAPPKPVIITSPTVAGTYPVVLFFHGFYLRNYFYSDVINHVASHGYIVVAPQLCKILPPGGQVEVDDAGKVINWTSKNLKAHLPSSVNANGNYTALVGHSRGGKTAFAVALGHAATLDPSIKFSALVGIDPVAGISKCIRTDPEILTYKPESFDLDMPIAVIGTGLGPKSNMLMPPCAPAEVNHEEFYIECKATKGHFVAADYGHMDMLDDNLPGFVGFMAGCMCKNGKRKKSEMRSFVGGIVVAFLKYSLWGEKSEIRQIVKDPSVSPARLDPSPELEEASGYLV >A09g510580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32194230:32194758:-1 gene:A09g510580.1_BraROA transcript:A09g510580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLTTKNPIRGPSGFAYGTLTGLLRPQSRVHQAFIQVILIWPIPTPLDVPVKSSRTRSNLYAARPTMSPNKLLWFFCPLWTIRFMTSARTYWIIPITSRNLFRLGHFAILVPPD >A02p053250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32415446:32415880:-1 gene:A02p053250.1_BraROA transcript:A02p053250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSPIFSDYGFDPQIDYFQVLEEARKHKKETSSIDSIHQFKLQKPISKDDLIRTALHKKNKKQHRWFWRNALLFFSWRKWRRRGGEGDIEFDIATFTWRGLGIFGLVRCLVRFT >A09p073460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56009189:56012124:-1 gene:A09p073460.1_BraROA transcript:A09p073460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHTLADENSDLQKKIGCMNGIFQLFDRHHVLTNRRKSLTLGNAHVNNINFEGDSALQVQDSNIINSGNAQLTRVSTESSRVSFSSSCSSSSPLSSDLNKETQPEISPYDRVIFQESPAMSQGSGLGLDLRDVVRDSMYREARGISEVSRHNKRRDDSPRPPYGLKQSTPVDFNESCKALAKLNTSQYYYNEVDLKDASRYYVDSHKNKSKSKKKVKESPKLSLDSRDHLDLNSGNNNKLVESFSRSSSVNKRPPSVVAKLMGLETLPGSPLRRDHKSDPFSRSLRENSMNRTIRLSPSSPRKDLASSSTTSSPRWRSSEFVMKPLSSLRFPIEPAPWKKQACRPVKALLKDLEFKHSVKDLRAINKIVEAMQTKSVKQQECSTNSRGLQSQVMPSSTMRGGPIVIMKPARLVGVPSSSLIPIHSLNREEESVNVKRNSTSKKAAKDNRNVTTKSGGGASPRLSHMKKHENEKRSRPPPIPSDSTKPRRQTNRKLEEYATSPGGRRTRQGAQRRLMQQKDGKSPSVIETAKTVVSNLMQNASQKSSGDGSSEHPSPVSVLNASIYRDIKPSQASEGTKTAEEDQWNPAYSFSKTTTTLSPEVNRRKLQNVEHLVQKLKRLNSSHDEASQDYIASLCENSGADTDHRYISEILLASGLLLRDLASESTTFQLHSSGHPINPELFLVLEQTKGSSGGSNEKKLNRKLVFDAVNEMLVKKLAIVDAEPWMKRGKGMKRRVFSAQQLLKELCSEIETVQKEAKRRSDNLFLLGEQGEDFLKCMLDEDMEMRSGKWTEFDDVVPGIVLHLERLLFKDLVSEVVHGEIDRLQPTPSRRVVITDS >A03p006610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2781901:2782314:1 gene:A03p006610.1_BraROA transcript:A03p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYRTETRGSLSSYNKGNNMGVFPSDSLVRIESMAAESAVVIFSVSTCCMCHAVKGLFRGMGVSPAVHELDLHPYGVDIHRALLRLLGCSSGASTSPGGLPVVFIGGKMVGSMERVMASHINGSLVPLLKDAGALWL >A03p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11126022:11126669:-1 gene:A03p026600.1_BraROA transcript:A03p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CPC [Source:Projected from Arabidopsis thaliana (AT2G46410) UniProtKB/Swiss-Prot;Acc:O22059] MDRRRRRQSKAKASCSEEVSSIEWEAVKMTEEEEDLISRMYKLVGDRWELIAGRIPGRTPEEIERYWLMKHGVVFANRPRDFVRR >A05p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19992666:19992943:1 gene:A05p035220.1_BraROA transcript:A05p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSSAHLYERRHRRSVVLSRAIESIFCRIFLGTSKAVGAVVSRFESAFLSGSLWCLALVLDYFGFLWSEPVSLLS >A08p008730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4730564:4731429:1 gene:A08p008730.1_BraROA transcript:A08p008730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHPRSSEQQEADDAASKGCGMFDFLKKKPEDEHVYVTDATKEKKEEETPSLAARLHRSGSSSSDEEVDENGEKKKRKGLKEKVFGHKDEDHVSDDHQYTTEEKKGVTEKIMLKVHAGKGTHEQANKHEHEDGEKKRFMEKMKEKLPAAGGHHDQANKPEPQEDGKEKGFMEKIKGKLPAPGGHHDQANKHEHHEDGKEKGFMDKIKEKIPGGHNGKPEVEPHHENGKEKGFMEKIKEKLPGHIKHDDSDEQKKET >A06p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4342707:4344538:-1 gene:A06p011650.1_BraROA transcript:A06p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQMISCLSKSSSLFCISGSRSLIPPKTYNRGGLCRFPPGFASRRLSTVYRRKWRSACISNSGKETGGEGKLQGEWRILERWEVPWEWQTVSLTSLACGLSFVLTGLTEMVALPYLGIDVQKLSLDDKAEILFLDQGLTTLAVLAVIFTVAKTFEPLPEDILRYDLKQPFNLQKGWLVWSGIGLVGAVGAIALTGVALSLFRTETPEREVDSLMKLLPLIGSSNISTLSLVGITGVLAPLLEETVFRGFFMVSLTKWVPTPIAIIISSAAFALAHLTPGEFPQLFILGSVLGLSYAQTRNLITPMVIHGFWNSGVILLLTFLQVQGYDIKELLLQGN >A10p013190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5423865:5424254:-1 gene:A10p013190.1_BraROA transcript:A10p013190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPDVLLKHMNTDVKIAGEHMSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKLHLGQVIHVDRVESSSPLPILCGRQSQSLIHLRAVTRCLLLLQSLRMGLRSNST >A09g515710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46369741:46371981:1 gene:A09g515710.1_BraROA transcript:A09g515710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFENMKISSSDAANPVINLDQGDPTAFQEYWMSMTMKERCVVVIPGWDLMSYFSDKTNVCWFLRQDLAEAIKALHRAIGNAATEERYIVVGNGSSQLCQAALFALSSLSEDKPLSIVAAVPYYSTYEEEASYVQSQLYKWEGDARTFNKPGPYIEIVTSPNNPDGTIREPVVNRGGKVIYDFAYYWPHYTPITHRQDHDIMLFTFSKIAGHAGSRIGWALVKDIEVAKKMVQYLTINSIGVSKESQIRATVILNELTKSCRIKSESFFEYGNEKVKTRWESLRWVVDKTGDTFTLPDYPQAFCNFFGKSSSTYPAFAWLGCNEDKDLESLLKEKYVLTRGGERCGSDKKYVRVNMLGPNKDFEDFLNRLLTIKYPNCFEEIPCFEP >A08g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8040136:8043642:1 gene:A08g504840.1_BraROA transcript:A08g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLKRPPKRLKTNAKRGLSCLRLEDHPKEAQHKVEHHNKGHVMLIQDVVWNLKETNGGVSRLKHHLIGDSTSVIRCPTCPEHVRIELRDYAIKKAEERAAQAMRYEPVLNDIDGEDVEGEPKQKANTNKRKKRGPLDRFVTSTPPDILKGRKDMKRGFGQDEEWEFPDDSETEF >A08p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3448134:3450245:-1 gene:A08p006020.1_BraROA transcript:A08p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMINKTLVLTYIYLLIYVLLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGFVAFLLIRVFKVVSPVKMTFEIYVTCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAIVCGTDNARCDVFMNMLLVSVGVVISSYGEINFNIIGTVYQVMGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLSLPWYVLEKPNIEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTMRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKIKDVKATQPITDSLPDRITKDWKEKRSSDGESPRGGVELNDEEAPLITSSRLSHIGRTQLGSHTAV >A07p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1569703:1574971:1 gene:A07p006620.1_BraROA transcript:A07p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDNNTTIDTTNVTPTSLNVVATDATVTTAGTITASTAATTTTTLPVGNAADETGHHSLFGAGLYHSLSWGQLVISSPQLGGVFRSGPVWPGPSHPVGPCGTMSPGAILAEPGNETKACRSLFPCGNCQGSSWAVVGAVLSGFGRKGTRGQVAYPWPILCNQSARAVIGPSLYKYIPWGRFLGIIFPRESPEEIVRQKRERVAGDLKSNRVWWCLICSDQTVTVLDGTEPWPWPGQERSPWP >A02p029560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15124276:15133617:-1 gene:A02p029560.1_BraROA transcript:A02p029560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPTEIVSEMGSRLLQSSRPNKGSLVKSLREAATTLSQIEQPLVTETVSKKKALKLLEAELRPLKKSIIKHDLLKNRDNDVSLLVTVCVSEIFRILAPEPPFEDKYLRDIFNLFLAEFSELSDTVSPYFSRRAKILETVSRCKCSLLMLDVDCHDLIHEMFNTFFSVVRDHYQQNLAHQKNAKVQQRKANTQQAQQSLFNDILTIMTDILKEEASSSLVGVILENLVKEGKDATPAANNLASSLIKNCTDTLEPLICSFLTSCFMEKDSIQSNLKDSYHEIIFMISLNAPQILLAIIPNLTQELLTDQVDVRIKALNLAGRIFAQPNHCSGEIYRDLFVEFLRRFSDKSAEVRMAALKCGKQCYLANPSGNKASGVLTAIQERLLDFDDRVRTQALVVACDIMKSNMKYAPLNLISEATERLRDKKISVRKKAMQQLSKVYQDYCDKCSKGYLTINDHFEQIPCKILLLCCDKDCKESWSHNVELVLSDDLYPRLLPVEERMRHWVQCFVVMNHIHLKSLNSILSQKRRFQSELRHCLTILREAEDHNVEEVKRKQKSCFVKLSSGFPDTSKAEDFFHRLDQMNDSSIFDALTLLLDELTFTKAQTIREKFLERIGANHQLFDFLRILSTKCAPTIFSSEHVRYLMDQLSSSTSDTQLKAPFIKLLLVILNMFPSYLRGSEKQFLELLEDDDSVADELTEALSKAAPYISANFSDYSPVLEKMCLEGTRSRAKHAVSAIASLATSSEKSVFSKLCKKLRDSLLCGRKIPTTLQSLACVGQYSVLAFDNIYEDISRYVYQIFQAEPSDNQPPCDQSSGCCNSCKLKIYGLKTLVKSFLPRHGQVVRKIDDLLNILKKTLRSQGLEGIKSCDDTGANVRLAAAKAVLLLSRKWDLHISPELFRLTISMGKDSNAFITKTFLTKLQKLLMENMIPRRYACAFSFSVSGPCRDLQNDSLRYINGFIRNATREARAGRDVDQRESLTDCPAYMIVFLIHVLAHDPDFPSEDCMDEHVYARFCGPLLSVLQVLLSNKEIAPFLCCILRAIKRAEDAVDACKTPRLHILADIGSSAVNTSKCIDATSPQAPRSILLPSSLYKLGQTSMSDSQNKAKSHTQNTLEQRFMERVVHIFRSQISLHDQKCQEDTPAVVLEDGDLPLLLGNQIETSMTGSTEASKNNTRCSKKRTHLGLPEKSTTTTREMKNVTSKKCKTVEGGEHISRDSTVSLRTVESEIPKKKLERHSTCSKESAGASVSNNVTSSKPSGVVSTLKDTSNHGEAIIGQRIKLLSPADGCFHHGTVERFNSKSNSHKIAFDNGDVELVCLDSESWETLSHESMGQQEILRKETESFGSREILSGFCSVPEVKTKQQKKKMPTKLNPTAGEESVSEVTNTSDNIVSLLLLLDLEEVGDEECLKEHDMLATIDFFLLLIFICL >A01p015640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7641452:7641824:-1 gene:A01p015640.1_BraROA transcript:A01p015640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 5 [Source:Projected from Arabidopsis thaliana (AT4G23496) UniProtKB/Swiss-Prot;Acc:Q8LGD1] MSRGGSSGGGKSSLGYLFRPDNETPKSVPPAAPKPTPPYGVDFTDGDNKSDQKPTLSENNNYPRAQGQNSGNFVTARPTTKVKSVPGGGSSLGYLFGDK >A05p012540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5470436:5471535:1 gene:A05p012540.1_BraROA transcript:A05p012540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMAYLASYLARGKFLHVSYVASILKRLVDECADHCRTCSDDINSKAHQIFYSGCQAIMYVLCFRMRSILAVPRFRSELIPLESILMHRLNPLKECLPWVVAEFLKQAKAGGLFVVSDSFIFDKLLESELSRGSKKLDAFFPFDPCLLKSSNSFISRNFIYWSMVRPTYDEDDEEDVEIIVNVDEESDEEEEGDVDHVMDKMSITPNHSFMNEMERDRLLKMPSVIRPSISPQSL >A03p074100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32207910:32209739:-1 gene:A03p074100.1_BraROA transcript:A03p074100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAEILNLSFPKLDDIIAREDDLETISTIFEVFIVYGHNMSCDAFDRFRGEDSRFKETLARDVRGMDEALSFTRNHLDSLDGDNASSAIGPHLFKHIQNTLYKPRYGNIEVLVAREYISYYEQDESHNEIILKFAKPNFNVCLFLYIEELKTLTRDRDVECHFMSLGEYFEPQYSHGRIIVAKITMIVVVVDDTYDAYATLTEAIALTE >A02p027290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13250699:13251643:-1 gene:A02p027290.1_BraROA transcript:A02p027290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHELNFINPLASKHEAEDSILRLSSSSSSSSSFSSCSSVEAEPRPDDQSPPTQIMERSTNNATSTPPYRIPPHVFEITSSTAPAEWSTLSNESLFSIHMGDNTFTEEIDYFKSGELTFPQPPSPRTPTLPSPPQSKNQGGASGVVEEVKTPVHIDKKAAETDKAYHASKDQEQKAAASIRDVIMANKDKTNKLDHSVSRRSEDFSVKSFAFPVFGNADKGGLQGSTPQKKQKIFLEAEGDEGLKKEEAFSKPVAPKAEADRGCNRYPRWLSCFPCCPTSCV >A03p003390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1462892:1463179:1 gene:A03p003390.1_BraROA transcript:A03p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAVKPEPPEAAKPIASKPVVIPAGTRTGLNTTNSGIVAINATAVPERDKPARTKRNTAACVAKTAVKKPLNVGFECFGCFFFNNPILTILNG >A05g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9134629:9135246:1 gene:A05g503080.1_BraROA transcript:A05g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPADERRDTKRHQEYCNMLGYVADSEYGIPRRYPCGGRIIDEVRVKEEYDTHPGKRFFSCINYEADGFHYRQPWVIGVQEEIERLRKRVEEADEVIKLVPNLTKQIESVEMTNLEKVCFE >A01p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12283808:12287471:1 gene:A01p024640.1_BraROA transcript:A01p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHLQGLFMPLLIFSIISSLYFSQGTFETTCGEYPPFNCNNTASFNRDSFNKAKGKDKSTGDIAAGSYLHYKADIDVMKEIGVDAYRFSVAWSRIIPRGKASRGVNEEGIGYYNNLINGLVSKGIKPFVTLFHWDLPQTLQDEYEGFLDHQIIEDFKSYAEICFQRFGDRVKNWITINQPYTIPTRGYATGTDAPGRCSAWLNKNCYEGDSATEPYIVAHHVLLAHATVVNLYRQKYQLIQAGEIGITMITRWFLPYNNSDENLKAVERAKEFMGPLTKGHYPLIMKQILKERLPRFTTIEALLVKGSYDFIGVNYYMTQFAKAVPPANPNKLSVMTDSQVDLSYVNEDGPISRCPFGDVYYRPRGILDLLEYFKTNYGNPKVYITENGFRSLDSNRLADEIKNDHERIGFICSHLCFIRSAIENGCQVKGYFVWSLGDNYEFCDGYTVRFGLTYIDFANITHDRDLKSSGKWYQEFLKPKQNVITKVQDYHSQRFLYDSYTHPQSRDTLAHTERHKCQRPVATTSICTECDAVYS >A02p047050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29435000:29436519:-1 gene:A02p047050.1_BraROA transcript:A02p047050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative F-box protein PP2-B2 [Source:Projected from Arabidopsis thaliana (AT2G02250) UniProtKB/Swiss-Prot;Acc:Q9ZVR5] MEATMGQSHGRESIISRPSPFDTLPVDCISSIISFTSPRDACNAASVSKTLESAAKSDSLWEKFLPSEYTSLIPQYSRVFSSKKELYFALCDEPLLIEDGKKSFWLEKASGKRCIMISPKEVSITWGSSPEHWQWISVPESRFEKIAELVDVCWFEIRGGMHTRYLSPGTRYSVYIVFKTKNGCPGLGDLPVDAGVGLVGQESPQKLIYFVGPRGRDQRRDVTRPEARDDGWMEAELGQFYNDSCCDDISLSVGKTNTPDWKSGLIIQGFEFRPAKTR >A04g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20538459:20539127:1 gene:A04g508040.1_BraROA transcript:A04g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSERSVELAVDDDNEVPRFVVFDREISKKDANILALAEMRGDEGPELPNCVELLSGKESFFTISAVTEISSLALSQRWSSSSSQAPVVDVQAGQATSSASNTVDAAKRAMGVDEAKLPSFEDEPNSPNAP >A02p045650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28588082:28588996:-1 gene:A02p045650.1_BraROA transcript:A02p045650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLCWNGLEVLKLRPTLQTPPGPILTLVFLLHLNLILTDEPRDRDGSRRFSDGSVSIFSKLPSSKHHPNFSDQTHHVYSLERNRRLSRASSVLSINLSPFSFSILVSLQLPQPFKTFSQLLHLELSTMCLASTTSLQVTFCFSKPSHYRFKK >A02p018940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8733166:8735860:-1 gene:A02p018940.1_BraROA transcript:A02p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGILGRRAVDGGLSASSLRRMRSGLVSARSYAAGSKEMTVRDALNSAIDEEMSADPKVFVMGEEVGQYQGAYKITKGLLEKYGPERVYDTPITEAGFTGIGVGAAYAGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYASVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISEEALDSSFCLPIGKAKIEREGKDVTITTFSKMVGFALKAAEKLAEEGISAEVINLRSIRPLDRATINASVRKTSRLVTVEEGFPQHGVCAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERLALPQVEDIIRAAKRACFRSK >A05p046340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27550732:27553783:-1 gene:A05p046340.1_BraROA transcript:A05p046340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFSQLLLSFLVIHFAISCSDAFTRNDFPEEFLFGAATSAYQWEGAVDEDGRTPSVWDTLSHSNNRGNGDIACDGYHKYKEDVKLMVEMGLEAFRFSISWTRLIPSNISSSEGRGPINPKSLLFYKNLTQELRTHGIEPHVTLYHYDLPQSLEDEYGGWINRKIIKDFTAFADVCFREFGDDVKLWTTINEANIFAIASYSEGFAPPGHCSPTSFFNCSTGNSSTEPYLAGHNMLLAHASASKLYKLEYKSKQRGSIGLSIYAFGLTPYSNSKEDEIATQRAKDFLFGWMLKPLVFGDYPDAMKRVLGPRLPVFSEEESEQVKGSSDLVGIIHYTTVYVRNSKSTTPSLLPRRQDFFTDMGAETIFMGNSTFFEWDSIPWGLESVLEYVKQSYNNPPIYILENGPLLLNGSDTRGYFVWSMIDVYELLSGNMYSYGMYHVNFSDPGLKRSPKLSASWYTGYLNGTMDVSPQDITQLQSHFAASSSL >A09p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:6459:8356:-1 gene:A09p039940.1_BraROA transcript:A09p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKPDLALDFSSIGGSIRLKKQRLGVGPSESMDSSGSSLDLTAEVGNFSREDVDLALSSTEVGEFPPVGPLSSIGVDEVANWRAKYNLSDDDVIRIPGPIDRVSDFKVDEVPVYEGFFESGFRYRVPSLVAKVSEALEISPGQLNPPSWRTLIALQNLGVLEGLTIGVVEGLHTYAITRLNGGEQIYHLHPRGRELPVQEIAKKIESASRLSMVTGPRKIHCVDPSLERRRSSRYWNSSIERPQVPFLVSKEALEHCSIWGNMSGSKGEEALPEYKRALEVMSAKKAAPKKVAPSENDDESRALGSTPMVSPTSSNDPATVLANLNTKVFPLTPVILPEGDSFAPIQLIQGDILQAMSQLFHLGERMDEHASLKAYLAELTSQLREDKNKILAKEKEIKALKLKVRNQDEAGALAAAENVSLREQLEQREEEVCNLRGVAEIFDAEKNMAVNGVIVVARWELMKEWLNHQTDSWDLEGPLEQYKMLKTSEAEYQGLPAPTFEGEPSLPSGTETEKPVADDPPAS >A06g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23774586:23777052:-1 gene:A06g508460.1_BraROA transcript:A06g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25563938:25565530:1 gene:A02g509620.1_BraROA transcript:A02g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTTKKTVPTGSTSKREAGSKKNETIANNENSAILSQANIVPTQSTINSATSDFSKENTIIHGPAQRLKTTDNIYSQAYSQIPPISLTARSMAQRLRYKRKRTTNSIEGELIMLSDITNQVPSGTTHTQQSYLTPTHDERTRANTRIGSTTTPTGTKTDDQVKGNPCASAKRLRKNDSFSSRSNLHTPPTSFPTSSIPLSDTTDASSSVRTQAQQEVLTPTFESSKSRLTAKEKGKGKAVDSGKKSKTVHAIETEGVGLRVDGYSSEENDESIYHDYEGAYEVEGEQHYDCSSEESDTESESNVNFDMFSKESDTVGPSKRKTSSKGKNSRLNFYFKV >A06p005900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1931990:1933049:-1 gene:A06p005900.1_BraROA transcript:A06p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) UniProtKB/TrEMBL;Acc:A0A178WDX4] MDTLVAFGYGLLSSSPNLWSGALATMKLGARLIAFILLLSLTIVLTKAEVITLTPETFSDKVKEKDTAWFVKFCVPWCKHCKKLGNLWEELGNAMEGDDEIEIGEVDCGKSRDVCTKVEIHSYPTFKLFYNGEEVSKYQGKRDVESLKTFVVEETEKAAEKAQLEDKEL >A09p080940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59079137:59080535:-1 gene:A09p080940.1_BraROA transcript:A09p080940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSACFLHQSALASSAARSSSSNSSQRHLSLSKPVQIVCKAQQNHEDDNSAVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKKNTDFTAYSGDGFIVQVPAKWNPSREVEYPGQVLRYEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVNYLLGKQAYFGETASEGGFDNNAVATANILDTNIQDVGGKPYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGANKFVEKAATSFSVA >A05p047370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27912309:27913596:1 gene:A05p047370.1_BraROA transcript:A05p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGVLNLLSLLASIPIIGAALWKARSSTTCENFLQTPLLVIGFIILLVSLAGFIGACFNVAWALWVYLVVMIFLIATLMGLTLFGLVVTSQGGGVEVPGRVYKEYRLGDYHPWLRERVRDPQYWISIRSCILSSKTCAQIESWTTLDYFQRDMTSVQSGCCKPPTACTYDTGVIVGGEDCYRWNNGIETLCYECDACKAGVLEEIRLDWKKLSVVNILVLVLLIAVYAAGCCAFHNTRHAAHPYHPSDDNRMTKVRPRWDYYWWRWWHEKKEQLY >A02g507150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20427874:20428323:-1 gene:A02g507150.1_BraROA transcript:A02g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYGYCDDEPDTFISFDPVFTDTQHNLKNPNFSFVFDFELVYRQAPEPNSDSDEDEDFCNLETRILRQTHEFDRDWLIGGDREQIQANVFQILEMIQVPSYSDIVYTLTFKIFDLKKRESESDSPEIERIRVGIDVIVPRFPGANDDV >A05p024240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11733259:11736516:-1 gene:A05p024240.1_BraROA transcript:A05p024240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNEKRNLNLNGTIFLFSSFFCAGFATMQVSLVPTKGTNNAVPCNPQSSRLAAINGAEPRLSAEQKFMRTRPPSAYCVRIESCSELMTTSPNLQYETRPFSVGGFNWTFILQPFGNKTSVGDWISAYVAIDPSGLVGENREIYADLRFLVYSKSKDQYWTSMDTEIRHFHQFRTTWGSPNFIQTKQFKAKDKEYIFDDDQCVFGVDISVYPYFNQWEILSIDKTVYGPNSWKLMKFSTLTRDFYISDDFSVGGKKWALKVYPNGNGTGEGNSLSLYVILSENQILKTYEKVYVRAKLRVLDQKQSKHLQKPILSWFDTPGEGSGFEQFVSFTDLQNPAKGFIVDDSLTVQVQFEATSSTNYYSANAAQLMSNFHFSVWACGLEKPRPGSAANGSFSMGLSDTTDALTFTFGDSQVQENLNFEDISDDVYAQQLSAECVVKRRVEKLVSRKLSRTEASSSSVEINTDQSNSMVMMTSKILTFTTEREQKQQK >A02p034980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19132564:19135517:1 gene:A02p034980.1_BraROA transcript:A02p034980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFKILLLMFLVNFSFCFAADPYAFYNFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNENLVVNVRNKLDEGLLLHWSGIQQRRVSWQDGLAGTNCPIPPKWNWTYEFQVKDQIGSFFYFPSLHFQRASGGFGSFIVNPRSVIPVPFSTPEGDITIAIGDWYTRNHTALRKALDDGKDLGMPDGVLINGKGPYQYNKTLVPDGIDYETITVHPGKTYRLRVSNVGISTSLNFRIQGHNLVLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARIVNETIWRRVTGVGILHYTNSKGKAKGHLPPGPQDEFDKTFSMNQARSIRWNVSASGARPNPQGSFKYGSINVTDVYVLRNMPPVKINGKRRTTLSGVSFVNPSTPIRLADKHKVKGVYKLDFPKRPLTGPPRMETSIINGTYRGFMEVILQNNDTKMQSYHMSGYAFFAVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWSAILISLDNPGAWNLRTENLDSWYLGQETYVRVVNPDENNKTEFGAPANVLYCGALQKLQKPQKISSSATRSIGFTSLSMVVMALVMIMVLY >A04p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16953725:16955220:-1 gene:A04p028130.1_BraROA transcript:A04p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKTTSLGFRVRLGRPLLPEKLYKNLSHSTRMLRYTVPLPMLAYPLYLWYRSPGKEGSHYNPYSSLFAPSERKLIATSTTCWSIMLATLVYLSFLVGPVTVLKVYGVPYIIFVMWLDAVTYLHHHGHDDKLPWYRGKEWSYLRGGLTTIDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLVDATKSAKHVLGRYYREPKTSGAIPIHLVESLVASIKKDHYVSDTGDIVFYETDPDLYVYASDKSKIN >A05p009530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3995750:3997869:1 gene:A05p009530.1_BraROA transcript:A05p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLLSDNKTKEPERWDSYQYLQRNSSSARNNVSFAGAGVTVEEVRSASAVSDPPPLYPPVLTTPVSLPTPEAIGYPSASGAGHELQRQVLDEIEIRELLIDHIGHRCCWGSRPARTWKIRAVEDCNVYVGTLDTFIEEREALTQTVPFNGGNFEHGSAPELWQIDLRSHFPTLFVPYKETRVPVPHSETVDKCTGCGGRGEVVCPTCNADGEQGFYKENQVMKCSKKTLSKRKVSATRGAGSVPEEVFHRAEGVQLCNTQAYQCTPAYFADSYFLNKFSSEVISLRAEVPPTANVVCERHAISVVPVTRVTMEDRGKAFNFYIIGFGKEIYMKDYYPARFCWGLCPCLEWLKV >A10p022930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15063272:15063796:-1 gene:A10p022930.1_BraROA transcript:A10p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGIGSIEAYESPRFQLSIAVLMKREFHWVGNIEVFDPILSATESSVLESMGCTVLSVNEQARREALKPTLFFMPHCEANLYNNLLQANWRVDRLCRIALFGNSFQMYEEQVTVDPEVIRATKRIIAARRITREFAIETVADDYFPAFHDSSWHFFSSGLDSELPLLVSEGS >A10p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17620020:17621667:-1 gene:A10p028310.1_BraROA transcript:A10p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDPRIISKAPQLISSAVASGVSAFAVNGVSEKDWNLVKEMGVKYPSVVPCFGIHPWYVAERSPQWFETLKSLFETTPSAAVGEIGLDKGSKGREIDFSDQVGVFRQQLELAKELNKPASIHCVRAFGDLLEITKSVGPFPAGLILHSYLGSAEMVPEFAKLGAYFSFSGFLMSMSEKKAKKMLKAVPSDRILLETDSPDALPKSESGTLYFVEGDPSLLPEEGNSSQDLESNASSGGSMKLPKETLNHPANIHTVLEYVARLLDMKNEELAELSYTNAVQLFSYSGSKLLLERGAADVSGHTQNHSTTPS >A01g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13242328:13242655:1 gene:A01g504330.1_BraROA transcript:A01g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNGVVVAPPLKFFFGAIWEVRNMMRGGELMALDKSTPMQRPLEQLETPFEGRFRVRHERIRSDTE >A03p073380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000080.1:19655:21174:-1 gene:A03p073380.1_BraROA transcript:A03p073380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEDIGGLIRKSLEQEELIEFKSSRVDQEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRRNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRH >A07p009550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5900459:5901503:-1 gene:A07p009550.1_BraROA transcript:A07p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGISPVNLFSARDRYCRLFSGSDGMCPTTRLPKMMVARLCYFLRTTSAKVLQIRAQLVFVHALSWGRPTAEPLKKMNKLIIKVEVKIVQAFDKRDVSGREMVDSNGFQVLCTQVCMEEIGKFREEPRSGHVSVARASLDQSVLTRNS >A06p048170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25573301:25575033:1 gene:A06p048170.1_BraROA transcript:A06p048170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLWVTRSGNVDALYSLIAKEPCLLKNLDVLPFIHTPLHEASSTGKTNLAMELMVLQPSFAKKLNTEGFSPLHLAVQNHQSELAKELIMFDSTLVRIPGRGGMTPLHHVVTIGDLDLLAEFLVLCPESIRDTNINGETAFHITVMNDKYEELKILRGWMQRLHNTDSSSTENCVLNKPNREGNTRIITRHAVKYLLKFMSLNRNILNKSGMTALDALRANGHLMNRDTEKILKNAGGKSADSLSKVQKTSVFLQKSVTFREYCSTGISRYRSHLSDGTRNALLVIIVLIFAATYETAAQPQDEEDIYKFSFSEIILKYILLWGLNTIAFLLAIASGFILLPVGSTYTVWYVLISTPLVCSYAISVYLKHKLLPLIFLLMHLLTVLGVILYLLVFYMKWKRTTQKKIPKLRSELNSVEIL >A06g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18911755:18913552:-1 gene:A06g506810.1_BraROA transcript:A06g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKERPFPVLVHRGVEEEDASRTTNEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFLEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADMGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREGQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A07p039100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20780006:20780888:-1 gene:A07p039100.1_BraROA transcript:A07p039100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWAVQPNAFAAGGDLRNSVSVVERDQTVVVCPKPRRIGLRNPLHHPSRSLRCYSHQVESKAETDILDIILTKDGYGTEQVHPTQVLDSPSPFLCGSPPSRVANPLTQDARFRDEISSSPISTLLGQPPSSPSSSSSGRKGGCVRGNFGNSPAVRIEGFDCLDRDSRNCSIPALA >A03p010990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4403565:4406492:1 gene:A03p010990.1_BraROA transcript:A03p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQANFDTTPASSVAPRPWWSRPMMTVPPISERKSTCKEMAVMCAPCCGGFFTIVLVFLIFSSINDAHFHAKISLQSFAVSSATWQGDFLVKIPSSRYSICYDGDDASVTLGLRNVAVLNITSRRVSRDHTAFSLSFVAEEGNRSDVVSEDLDIKLVAKHKRYEDYDKAGEFNIRCQNLTRGREKIICDSSFTDLKLFSLITLRGYCCATYLCTIIFILVITLISGGMVLFDHLVLGKSACYIELFAHSVSVSNVTNAANVSTADWRTGLVAKSPVTRCKLSLHTVTSRLLRGDEVISQVSPSLDDFGRLVTTEKNDEPVTIVDFKHAVTSGVNGSVVWDYRVESVVGLKAEFAHGFLSVICSGIPVKFTTDAAGNVFGSLLGGMRRCGYSLRDNLNSV >A03p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18268522:18271246:1 gene:A03p043660.1_BraROA transcript:A03p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMQREPVESVTKETTEKKILDGGGGGGGGGSSASKASSFKFNAQAPEFVPRSHATALTPQVSPISGYFYPCFHYNELSIGGCSGGGGVTGGSQSSDWIFVGGGDPVHHQNIHDPTAAFYVSNPVVQFPANQNLSSSSKSLLSDDLRLKIVKQVEYQFTDMSLLANESISKHINKDPEGYVPISYIASTKKIKALTSHHHLVALALRSSSKLVVSEDNKKVKRKIPFTDRDREELQGRTVVVENLPDDHSYQNLEKIFGVVGNVKAIRICHPPESNTSRPKGDFLMSNKIHALIEYDHTVVADKAVEKLNDERNWRKGLRVRLLLRCSPKSVLKHRRNFDGILIDDESPYESGEDSPRLHLTEQQLDNDGDDNNIGGLWGKGRGKGRGRSPRSYALGGGGGHSFGIGLGLGLSIGSMSRSLGLHESSSPKTATKGPRMPDGTRGFTMGRGKTFYLTLT >A01p021290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10357025:10357612:-1 gene:A01p021290.1_BraROA transcript:A01p021290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKVEDSAALGDSGGDFDCNICLDQVRDPVVTLCGHLFCWPCIYKWTYSTNNTRRRVDQYDKKESPKCPVCKSDVSDATLVPIYGRGQKIPQSGSNVPNRPSGPLYDSRGVGQRLGEGESQRYMYRMPDPVMGVVCEMVYRRLFGESSTNITPYRSDHDTSLRSMRRTMQVDESLSRVYLFLLCFMVMCLLLF >A08p045880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25082136:25084924:1 gene:A08p045880.1_BraROA transcript:A08p045880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQSLNIIETSHISPSNGTVPSTTLPLTFFDAPWLTLPLGESLFFFSYQNSTEHFLKDYLPNLKQSLSTTLQHFFPYAGNLVTPPRPDPPYLRYNDGQDSLLFTVAESLGTDFDLLITDSPKDISVLHDFLPKLPPPHVSPEGIQTRPVMVIQVTIFPGRGVCIGNTSTHVAGDGVTFTHFMKYWMSLTKSNCKDPATLLLPAPPVHSCRNIIKDPGQVTAGHLERFWSQNSGKHGSHHTPENMVRATFTLSRNQIDSLKSLVTEQSVNQSPVSTFVVTLAFTWVNLIKTLVGETEDKDEEVFNLMINVDCRNRLKLAEPIPTTYFGNCMAPGIVSVKKRDLLGEKGVLAASDAITWRIKDMLSSDLLKTAPSWGQGVRKWVMSRFPTSIAGAPKLGLYDMDFGLGKPCKMEMVHIETGGSIAFSESRDGSNGVDVGLALEKTKMDTFVSIWQQGIKKAMNDPNVQELNDEQLALLVMQTNAARAAIETEQNYRANN >A08p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23771307:23780628:1 gene:A08p042380.1_BraROA transcript:A08p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYELVKDIGAGNFGVARLMKVKNSKELVAMKYIERGPKARYFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQKIMAVQYKIPDYVHISQDCKHLLSRIFVANSLQRITIAEIKKHPWFMKNLPRELTETAQAAYFRKENPTFSLQTAEEIMKIVDDAKTPPPVSRSIGGFGWGDEEGKEEEEVDEEEVVEDEEDEYDKTVKQVHASGEHVKERAMINSNQVSRSHLPEVEKPKAPQTNWSEHVKAFEDPSSRTKHLSSGFLYALESQKPRKSSDMAARSIAFPSVNAHTLAHPQIAKAWRALSSLSLNKTYLRPGITPPVDDGGTNGSYSARERSTVKVTCSTDGSFYSNNQQNQSQMGVPGTGRNFHSFPPPVPGDGKIFAEKFPRMNDEAREPETSSAHLNGVEKPFRNSAFAAEQLGSGEACLDEIDDDILQNIDVDQIMMEHYQSTSTPPPSVSSLPSRTPPVDRSASRREEECCLPPELCSNCSHGIKLGLCPEASTHLEQMKNVLIAISNELLDDDNLSPDRIQELRQERLLLKKQIQQLEDHTRDKERQKSQFLSSTATRASQYETPKSTNPRLDHPQTDSRAHFNEQGRYASDSWNMPKDSSFSVDRYGLSSAPVEREQYVPRIIEVTYTEGSNDQKWSSRDFPWTRKLEVSNKKVFGNHSFRPNQREIINATMSGSDVFVLMPTGGGKSLTYQLPALICQGITLVISPLVSLIQDQIMNLLQANIPAASLSAGMEWSEQLKIFQELSSEHSKYKLLYVTPEKVAQSDSLLRHLDNLNSRGLLARFVIDEAHCVSQWGHDFRPDYQSLGILKQKFPNIPVLALTATATASVKEDVVQALGLVNCVVFRQSFNRPNLLYSVVPKTKKCLEDIDKFIKENHFDECGIIYCLSRNDCEKVAQKLQEFGHKAAFYHGSIEPTQRALVQKQWSKDEVNIICATVAFGMGTGLFSCFFYLMEFVSPLTLHIPGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRASCVLYYGYGDYIRVKHMISQVGVDQSTMANGYNRVASSGRLLETNTENLLRMVRYCENEVDCRRFLQLVHFGEKFDSTNCKRTCDNCSSSQSLIDKDVTLITRQLVELVKQTGERFSSSHILEVYRGSLNQMVKKHRHETLQFHGAGKHLTKLEVSRILHYLVTEDILVEDVRKSDMYGSVSSLLKVNKSKAASLFSGSQTIMMRFPSSVKVLKPCKAAPTPAKAPLVSADAPPEDVNLSAIMYTALRKLRTLLVKEAPDGVMAYHIFGNATLQQMSKKIPRTKEELLEINGLGKAKVLKYGERLLETIESTVNEYYGTSKKEESMISPDSGKRRRDENISPNVTEEDDDFAESSSQSCKKTVRSKSSEVLHGECVAGDGVGMVMEKLDFDFEDEDGSEIRPEGRVLPW >A05p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4986227:4987985:-1 gene:A05p011580.1_BraROA transcript:A05p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 43 [Source:Projected from Arabidopsis thaliana (AT2G30900) UniProtKB/Swiss-Prot;Acc:Q6DR10] MMRGAASTEVVAVMVMMLAVLNQLESAAANDSLSSNKSFCNIYRGSWVYDNSYPLYDSKSCPFIERQFNCKSNGRPDQDYLKYRWQPSGCNVIRFNGLDFLERLKGKKLMFVGDSLSLNQWQSLTCLLHNASPKAKFAITRSPSGLSVFSFPAYNATIMFSRNAFLVDIVGAPPKRVMKLDSISTGSLWKTADVLVFNSWHWWLHTGRKQPWDAVEYGNVTVRDMDRLVAYEKAIRTWGMWIDQNIDHYKTKVFFQGVSPDHARSKDWSKQGGNGSCIGETKPVMGSNYPAGPHPAEMVVEKVIKTMKHPARLMDVTLMSQLRKDGHPSVYGFGGHKNPDCSHWCLAGVPDSWNQLLYSELFHS >A09p062130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50954257:50955849:1 gene:A09p062130.1_BraROA transcript:A09p062130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPQLSTRLPQNSSPSQNYLPANLLPQNPQIPTHRRNMEPHRPIARRLHRKPLGDCTNTVSQTTKQQSSSSSSVVKFANPSLTCSLKRLVDQTSLKERNNNDDVDSSKDGPETASTSLRAVTRRVSADLIFPATTPSRPSKPKDVEKTEAAPTRGRPVTRRVCTDLGFPASAPLRRQNSRSGEEVAYALGVGGEKEVAEPYSVYTSRRKASSGRKRSNHAVAANLRLDLISSPAGKKRRQANENKVKPSKVAPIKRQRTTKHEEDDLANGVSQDYIEKQRAYFAEIDAFELAEEEVSDSDLD >A03g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16684100:16685936:-1 gene:A03g504670.1_BraROA transcript:A03g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEYDLTSLLEAEAALYAEEAESSYNIGEPVQCPPQPFQYLCGGHTNAEEMRAFETQLSLLKDQVRESDQKLAKLEKTLCDELCKKTSWVTVLGVSFLLSLLLLIAVIILGGTASKESRRVSDVETVFKDIKRSHTGTCLLSVLLVENLLDLIGAVVSETYCIVNVPLNLIHLNKFGSVANHLSLLGPKLLDRLLAKKVPLTEMETSLKLKLMSEMFRSKRGSKSRVPLEW >A06p016300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7282381:7285421:-1 gene:A06p016300.1_BraROA transcript:A06p016300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDKSKKAKIKKENVEQIDGELVISIEKLQEIQDNLEKINEKASDEVLEVDQKYNVIRKPVYDKRNEVIKAIPDLWLTAFLSHPALGELLSEEDQKIFKYLSSLDVDDAKDVKSGYSITFLSFFLNHISFCELQHFNPNPYFEDEKLTKTFTFLKEGTTKITVTPIKWKEGKFGDAQHKEDLDVDINDEQVADVIKEDLWPNPLTYFNNEDDEEDFDEDDDDDDDDEDEEMMTKMTKMVRNDERVPSPFCGTTEEVVVKDRRIEDGEDLSMDWKKLVVEERW >A09p039820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48146159:48147055:-1 gene:A09p039820.1_BraROA transcript:A09p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERARSLRSDRTLARARSLRSDRARRTLGRYVATELGSGPVASSDRAWLGLGRYVATERDGRSRPSDEALGRYVATRASDDGRSGGGCRYVADRSLALARSLRSDRARRTLGRYVATELGSGPRPSCVHAWSPHIDRTWLVRGLISILELIRGRFGYMSVAFGQLVFSGSIEIWARFSCKALRKDIFTKITFRKNVYADFYGFSDIDSVVTDFDPNNDYKFKSQKITFALT >A10p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12265796:12268502:-1 gene:A10p012620.1_BraROA transcript:A10p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGNSSVSGDRKASKKTVASSVTAKPNGKSPVSSTNPMNPNTVTALSSAHANQVMFFRDVSLGPREAELRFRLIHLWEARNIYTKTLLGQEMLLMDEEGTVIQGFVPAGRVGTFDLVAGSVYKLRNFFGSRNKAQFSVADHIAIVSFSWNSDLSVLENPPVLIPEDRFRFHSFEDFMANCDSKGDLYDYVGHMRLVNGQTLTDHTVLDEVAIAEKRHLCVHVQTHDGPVMKLYLWDNAASEFCQKFKSYGRTPHVLLATTVNPKHLGGTLCLTFMSSSRVFMDDDVQPSKDYFEWLSSNTGIANMIAAEVVTKPEPVTLEELFSYIKQEASKVKSCFVVIIRFCVLGVLLVQGSSWYYVSCGGCNSKAVKGPTSLMCNNKKCEKSEVTCVPQYLTKISVYDKSEQAAFVILGNAGKEFTGKHAAELVANFFESNEGAGAGADHCVPVLQALLDTIGQTRKFIVKVSDHNLKGKTQTITVTKILPLEAPLPATSDDIPTTVGDGSASSNGFGDPAGDRARKAADSLESDDAKRFRSG >A09p058740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49391951:49393689:-1 gene:A09p058740.1_BraROA transcript:A09p058740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQQPVQGSSSLCANEIIQTIHCFSRRVTSVSAMPTTCSTKSPKERKDKKSSGLISTKHQVDPKRELSRILRTDAAVKSIERKANSEKYNTLWPKAVLEALDDAVKENRWQSALKIFSLLRKQHWYEPRCKTYTKLFKLLGNCKQPEQASLLFELMLSEGLKPTIDVYTSLISVYGKCSLLEKAFATLEYMKSASDCTPDVFTFTVLISCCCKLARFDLVNRVLLEMSYLGVRCSTVTYNTILDGYGKAGMFEEMENVLADMIEDEESLPDVFTFNSIIGSYGNGGNIKKMESWYNRFQLMGVEPDITTFNVLILSFGKAGMFKKMSSVMDYMEKRFFSPTVVTYNIVIETFGKAGRIEKMEEVFRKMKYRGVKPNSITYCSLVDAYSKAGLVGKIDSVLRQVVNSDVVLDTVFFNCIINAYGEAGDLATMKELYIQMEERKCKPDKITFATMVKTYAAHGIFDAVRELEKQMISTCESSGKKRLMG >A08p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20957026:20958018:-1 gene:A08p035580.1_BraROA transcript:A08p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILVEGVSYSYNYSLEVAESCRCKMVMEENCTRTEVGEETCKHMVEVVESCRHKEVVEETCRHMVEVVESYTRREVVEETCKHTGEAVESCRHKEVVEETCRHTGEAVESCRHKEVVEETCRHMVEVVESYRRMEVVEETCRHMVVVVESYRRMEVVEETCRHMVEVVESYRRMEVVEETCRHTEEVVESYRRMEVVEETCRHTVVVVETCKHMVVVVESYRHMEVVEETCRHMVVVVENYRRREVVEETCRHMEVVVESYRRMEVVV >A09g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18342789:18343264:-1 gene:A09g505940.1_BraROA transcript:A09g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRPIIPDNACILCITAAAGTELADAYSPDTVIASSLGKEESGPCLSPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYGVLAAVSSCCSPPKGRFLRVTHPSATGNTTSRPTCMC >A06p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2146315:2148080:-1 gene:A06p006430.1_BraROA transcript:A06p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSATSSFFPLPSSPLDPTAKTNKVTTSTNFSGLSPTPNSARMKVKPNAQAPPKINGKRVGLPGSVKPDNETSSQHPAAPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDWKPRRSDVIMDPFGLGRIVQDGLVFRQNFSIRSYEIGADRSASIETVMNHLQETALNHVKTAGLLGDGFGSTPEMVKKNLIWVVTRMQVVVDKYPTWGDVVEVDTWVSQSGKNGMRRDWLVRDGNTGEILTRASSVWVMMNKLTRRLSKIPEEVRGEIEPYFVNSDPVLAEDSRKLTKLDDKTADYVRSGLTPRWSDLDVNQHVNNVKYIGWILESAPVGMMESQKLKSMTLEQRQITFLS >A06g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12021620:12024193:1 gene:A06g503910.1_BraROA transcript:A06g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STLNEAVFEDDEPIDLNDKSRFNLPEEPDEIMDWNQEMKDVCGDDCEEIDNCDVGSRGCGLMTSSPQYQVYYRNLNDLVEETDVRCLSGVRGDLPLPDTDQRDGMVLAGDRWWYFSLSLAERRRRRHETASQSSGPGFVFANLESFGRRRRAHKGWRFPVLRLHQIWFHLSVPWWKGMNTPARDTSESDKARRCSLEEGTRSPLSSHLQPLSPFCLD >A05p040620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:24821136:24821633:1 gene:A05p040620.1_BraROA transcript:A05p040620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFSRGDAQYWPIYGSSNTTERNSPYASLLRLLRSHSPTSSQLFGLLALFISGGILLFLLGLTVTAVGIGFVVFLPLIIISSPVWIPVFIGVGGFLSVAGFLVGTLAVVSWAYRYFRGRHPVGSDQMDYARGRIYDTASHVKDYAREYGGYFHGRAKDAAPGA >A10g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12859013:12861354:1 gene:A10g505140.1_BraROA transcript:A10g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFARKLCASTDLQKQREKRKEMNGQENADKGWGSCGDDVDKWQFFPDMESGVDDNCVRDGRGGAGLGFPEISQVWSKVCQSDGMTESSGKNSKTKLLHFMLLLQLAGSRFQDWKFFQVQVINVFGKYDIISFGEGRTGNDAKEVLVHQMASLFAKDSKFVVALAAATGS >A06p012260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5610913:5611586:-1 gene:A06p012260.1_BraROA transcript:A06p012260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKDENSFLQNMKHEINQKTKEEEEENEILKERISSHPLYGLLLHSHLSCLKVCSGDFDLPEMVNTVDDLALTKLSLHSDSPSDATSSELDQFMEAYCLTLRELKEAMEKPIIETHRFMDAVYNQLNDIVLSSSPP >A04p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1211002:1211879:-1 gene:A04p002520.1_BraROA transcript:A04p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM6A [Source:Projected from Arabidopsis thaliana (AT3G59810) UniProtKB/Swiss-Prot;Acc:Q9M1Z3] MVFHRKVSIFICLFNRLASIESKVRSFRVLRTERRRKDKMSGSGDNKVSGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTVNIPLADGA >A06p013640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6169387:6171861:1 gene:A06p013640.1_BraROA transcript:A06p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGSRFEIRVLPTDTIMSVKKSIEDSQSKDSYPCGQQLLIHNGKVLKDETTLGENKVTEEGFLVVMLSKSKTASSAGPSSTLPTSTTTSTPSSTTPAAPSTTQSIAVPAANSTLAQVQPAAQSDTNGQTPATLISGSSVEQRVQQIMEMGGGSWDEETVLRALRAAYYNPERAVDYLYSGIPESEDVPLTTISGVGSGAEHAAPPPASGGPNASPLNLFPQEAVSDAGAGDLGSLEFLRSNDQFQQLRSMVNSNPQILQPMLLELGKQNPQLLRLIQENQAEFVQLLNEPYEGSDGEMDVFDQPEQEMPNAVNVTPAEQEAIQRLEAMGFDRALVIEAFIACDRNEQLAANYLLENSADFED >A09g516830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49516574:49517205:-1 gene:A09g516830.1_BraROA transcript:A09g516830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSEASSLDITSLLQAEADMYAEEAQSRHNNSEPYNYPPQPEADDGIPKTCYCGADPVLAASYTSKDPGRRYFTCENADDGDCHIWKWWDVAVMEEMSDFQRQLREVKSQHTESEQKLVKVEETVSELSKKISGIREMFLLAVCVLLSLFIVIFMVFMLGGKAMEVEKARV >A05p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20526641:20533696:1 gene:A05p036280.1_BraROA transcript:A05p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 71B6 [Source:Projected from Arabidopsis thaliana (AT3G21780) UniProtKB/Swiss-Prot;Acc:Q9LSY6] MKLIFIPSPATGHLMATVEMVERLLDEDNRLSITVIIISFNSKTASTIASLTAASNTSLRYEVISGEENPTELTATDSHVQILKPLVKDAVAKLLEWTRPDSPRLAGFVVDMYCTCMIDVADEFGVPSYLFYTSNAGFLVLLLHMQFMYDSAEENNKYDILTRPYPLKYLPYIFKSKEWFSFFRTQARRFRETKGILVNTIAELEPHALKFLSNGDSDTPPVYSVGPVLHLKNDTDVTQAEILEWLDEQPAGSVVFLCFGSMGGFSEEQAREIATALEGSGHRFLWSLRRASPNVMKELPREFSNLEEILPQGFIDRMKARGKVIGWAPQAAVLEKPAVGGFISHGGWNSTLESLWFGVPTAIWPLYAEQKFNAFEMVEELGLSVEIRKYWRGDLLLGRTEMELVTAEEIERGIRVLMEQGSDVRKRVKEISQKCHVALMDGESSRIALRKFLQDVADNIA >A09g511510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34541186:34541952:1 gene:A09g511510.1_BraROA transcript:A09g511510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFNTLHHTWYCKLPMITLLLQCDPLFHPFPYMMKHSPNVLLSLLSLSEDHPQPVCEINFIKRFLPWDSEDSLSETIHPLLISFPLKVLRNLLLLFPLPSSFSCLINLDRLWFRRWSECVDSVPVDRWEVRGIDRYRSLSVGRRLADAVDRFLRRKGRVGEEMTSIDVQSDPSIDLRHGLSIDMYPLTSVDTNVIRRNSWSF >A03p021220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8763064:8764275:1 gene:A03p021220.1_BraROA transcript:A03p021220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLRVARSSSLFGLGSRFYSTSAEVSHASPFLHGGGASRVLAKDRNVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVREELASSGPLSRQLSEIVNQGKLVSDEIIVNLLSKRLEAGEAKGESGFILDGFPRTMRQAEILGDVTDIDLVVNLKLPEEVLVDKCLGRRTCNQCGKGFNVAHINLKGENGKPTISMDPLLPPPQCMSKLITRADDTEEVVKARLRIYNETSQPLEEYYRSKGKLMEFDLPGGIPESWPRLLEALRLDDYEEKQSAAA >A04g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6614150:6615229:-1 gene:A04g502980.1_BraROA transcript:A04g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQLTEADPPEPPDPPLSPVRTSNRSFSPVKALIICKSHMINGVSFLDRSVFSKRLSISSFHLLLLSSASESFMSPDLNMNASTSVPVAGLLVLGLGSSNGLITAECSLFLWISLSPVAVTVCFTSQLVNLVVALCTGCSTLITTSCFIHLPTIPVVSLRFSNLFTGVVLIVLECSPGLSLTLLDGVMSDILIHWVLFVDIYCPLSSSMECVPLPISSFTLSGFVSGSKTFKIRDTSDIEVLIKGSSKWCSIAYVCVAISRIVNSWLLRLEDLSTPLVV >A09p074770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56609030:56610108:1 gene:A09p074770.1_BraROA transcript:A09p074770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDSWMREYNEALKLAEDINGMISERSKSALTGPDAQRRASAIRRKITIFGTRLDSLQSLLSKIHGKPISEKEMNRRKDMIGNLRSQANQMANTLNMSNFANRDSLLGSEIKPDDTMSRVSSMDNQGIVGFQRQVMREQDEGLEKLEETVMSTKHIALAVNEELGLQTRLIDDLDYHVDVTDSRLRRVQKNLAVMNKNMRSGCSCMSMLLSVLGIVGLAVVIWLLVKYL >A07p000960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5412007:5412797:1 gene:A07p000960.1_BraROA transcript:A07p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSRHQRNKRPHYHPLNPAKSGGGTQKKVNSMVLFDQETNNKLRSEAPMFKLINQSLLFCWRFHEKRLAPQNITLDVIHRCDTTLHI >A07g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8149027:8150069:1 gene:A07g504190.1_BraROA transcript:A07g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMGEGKLESLKNLCTKITEKLGPRGMETLRSLIENFNNYRTEHTEFRNSIQLLFDNHAKTQQQHHVDTELEDGETREHNAFAVSAKVDDSLKEYPIHHGNLKIGGAHVGLRVKVDENPRCPVKYEVLNNKVSLVKFDAGGHKNLTDGESGKVIKGEMRVKDLGVMFYACIKKLCHLDVFERVRQDYKKALPKILPRLKQKLDKLTVARAEKKCLLKQVMEDNTAKQRDSTAQGQGEKQHTFVSDFIYPLHKVPR >A07g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10716972:10717413:-1 gene:A07g505010.1_BraROA transcript:A07g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDGLAKLLDENLLSRDEEPEVVVATNINPKLIGSNMPAILEYEIRGRLNLQPEEVCGVKKIERLTLAKLQHGFTSFTCFQCNEENVVGVIR >SC386g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000228.1:1163:2747:-1 gene:SC386g500020.1_BraROA transcript:SC386g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHISSKQVELEEEMDGVCSRWIKGALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKHTCDVWEMNWKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIVGTKIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMNVTTRTPYILAPRNLTFILPRGPSANHAVVHGLLVRKNRRMGLESCFSGKHGLSLLRSLGDSIRRFDENARTGVVSMFGKVQSLPSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVATERDDRSVAT >A10g502430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:6630353:6630523:1 gene:A10g502430.1_BraROA transcript:A10g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVTIPSPGRCMAMGLSPECALRLGAKGARLFKHSPGPFSLLSAWKCEVLIAR >A05p005140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2003145:2005036:-1 gene:A05p005140.1_BraROA transcript:A05p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAKTTSRNDQFAFLLTTLFFLILTVSKPVASQNCGCASGLCCSSAGYCGMTDAYCGEGCKEGPCKNSGPGDPTVSLEETVTPEFFNSILSQATESDCKGRGFYTHETFMAAANAYPSFGATISKREIAAFFAHVAQETGFLCHIEEVDGQAKAARGEYCDTTKPEFPCVPGKGYYGRGAIQLSWNYNYGPCGRDLNEGVLLATPEKVAQDQVLAFKASFWYWTTKVRSSFKSGFGPTIRAVNSMECTGGGVPSETAANRIRYFQDYCTKLGVQPGENLSC >A08p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21631324:21631858:1 gene:A08p037040.1_BraROA transcript:A08p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARYIWILDSVKSELNAERNKLDSRIEELASELDEKHFLSEKMVAELESEQSFQSLEYNNLAAALGTILIFEFQKKHTDVETSVNHCRVNDKGYTEENNLLLIIVLKSELESSMARSRALADRNDEMSVKLEEYKIPLMRMKQRRRQNPAF >A01g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17297527:17302958:-1 gene:A01g505800.1_BraROA transcript:A01g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKYGDFGSFGGAELHRRVRFLAMDGDLLTSFELDFQCHRSQVNQHSVADVMPVVLKNCQSASREEAAEKRKPRRSMKHSARRSMEIPDHGPCIFYDCRREGRNSYQSPPGTPLIDKKGIWRDEEGRPCSLTEQLINVEGSVIPDVIDVAEMNTFSMTSKWYDSGSEDPFNGLSHEDPKDLIKRLEELASANKHDEISADHIICKIFPYCLSRDAFSWFSKLQPRSLTCWEDIKEALIGKFFSEVVATRSKRLDKMIKDREKGIMISMSQILDFIYSEENGDIGTPTTHVKQPDIQVHHADKREQIKVEEADTKDPTSASIDSSNSESIDICTSETIDTDICHRSIPSTIPDATTVYVRTGRPKAIRDYNIEVAGISDTSSSSIDTLTITSMVTPTSSSIDPTRFGKRSGNSLKADNIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPECIARYPHDCIDRRPCLDELSGYMIEPELVGRKEHTSGASHLAVPENLRPPLCEEEAFGICKRVKRIHDPVKFVVPYEVFEAESPIPPDKSMELSSYGGVFDDNKYVEASQRGLRLRDEVDNCPAEVPSSDINRTKSIDDTIISSSIDTGQIPSTDTLRESEQKEFEVCQNLFDGGTTMRSDKSGGKKRQNWKKRKRIKGDPQLSLIHHFSDGVRKPRVRSRCFSQPFAKLKALLIAEMIDKGEGIDRQSINNIDHHLTVLPDTHIQADWIALVIVLFKSGGDQSRRLLSTSTDDTSSISIDSTSSTSIDCLFIVSIDTDVKHIVLKILKWINLSTMFTLAEISGQSASREEAAEKRKPRRSMQHSARRSMEIPDRAPCIFYDCVKPRSHKLSKCL >A01g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27568234:27569838:1 gene:A01g510060.1_BraROA transcript:A01g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEIPTDIVNISEEIPTDNFRRTPHFIRSSPTFFPISLRRNLSFSCDFCIPRDIPTTSSSEYSEEIPTNMWSSEFPRKFVSSEFRRKFPRNFRGKMKFRGVISEDFFRRYVVGIALFRRHTDDIFPQYVAVFL >A05p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2642086:2644893:1 gene:A05p006570.1_BraROA transcript:A05p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transketolase-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45290) UniProtKB/Swiss-Prot;Acc:F4IW47] MASLAFSQALLSRATSHNGSNKHVSVPASSVLKTTSPRTNFFHSQRSASTSNHTLRPLVRASAIETTDTTTDSTLVDKSVNTIRFLAIDAVEKAKSGHPGLPMGCAPMSHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVREEDLKSFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIVDHYTYSIIGDGCQMEGITNEVCSLAAHWGLGKLIAFYDDNHISIDGDTDIAFTENVDKRFEALGWHVIWVKNGNNGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGAALGEKEVEATRNNLGWAYEPFQVPEDVKSHWSRHTPGGAALEADWNAKFSAYEKKYPEEAEELKSIISGDLPAGWEKALPTYTPESPGDATRNLSQQCLNALAKSLPGFLGGSADLASSNMTLLKAFGDFQNATPEGRNLRFGVREHGMGAICNGVALHSPGFIPYCATFFVFTDYMRAAMRIAALSEAGVIYVMTHDSIGLGEDGPTHQPVEHLSSFRAMPNIMMFRPADGNETAGAYKIAVARRNTPSVLALSRQKLPQLPGTSIENVERGGYIITDNSNGNKPDVILVGTGSELEIAAEAGEELRKEGKSVRVVSFVCWELFDEQTDAYKESVLPSDVSARVSIEAGSTFGWGKVVGGKGKSIGIDSFGASAPAGKLYKEFGITVEAVVAAAKSLI >A03p057960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25110158:25112415:-1 gene:A03p057960.1_BraROA transcript:A03p057960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEQSRSLFGISLSDRPTWQQFLICTSGFFFGYLVNGVCEEYVYNRLQFSFGWYFTFIQGFVYLFLIYLQGFTTKHIVNPMRTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPVHEYISAFLLVLGLILFTLADAQMSPNFSMIGILMITGALIMDAFLGNLQEAIFTMNPETTQMEMLFCSTVVGLPFLFVPMVLTGELFRAWTACWQHPYVYGVLVFEAMATFIGQVSVLSLIALFGAATTALITTARKGVTLLLSYLIFTKPLTEQHGSGLLLIAMGIVLKMVPMDSKPPSKIPARPAARNAGGEGGREEEEERKSLV >A01g510790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30050852:30052154:1 gene:A01g510790.1_BraROA transcript:A01g510790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSMLTLVFTVVFIMAHTTFSQQFDRYSLEVPEDVNISPMPDFDIYVETPDESPFEADSPTMEYNMKLGRQYSDKQFNFLQICLQKLNSDCGDNIVKNMLDKTTTQLTNECCLILLKIGKDCQLGVAHIISSNTEYNNIASKAIPKSKHTWKDCVRRVGSHIENVNMSPIEAPANLLEIKYEMELMHHYSHEHSRFSSCLPTKLEFEMWKRHF >A09p003350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1979277:1980058:1 gene:A09p003350.1_BraROA transcript:A09p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSLTSFISFISPFKSQTKPTPPPNLTLPAPASSHRRRNDLAVEEPPPSNASISSELASVICPSLAYANTLFFSSSGYNVQVFVEDNESEERLVNRFRREVMRTGVIQECKRRRYFENKQDEKKRRTRDAAKRNKKRRPFAKFTQETREEAAAAATKSKKKDEEEDNWEMPDGDVPS >A10p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20664775:20665861:1 gene:A10p036220.1_BraROA transcript:A10p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFGAGESDERKEADDEENIFPFLSARSQYDTRAMVSALTQVIGNQSSTHDSNQYHPVEYNQQDPIQHVPPTQDQGNLRKRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAALAYDEAALKFKGSKAKLNFPERAQLASTTSTITGLPNYHSSNNQMYYSNPQTNPQTVPYYNQYYYNQYLQQGGNSNDALSYSLAGGETGGSMYNHQSISNTTSSSSGGSSRQQQEQDYARFWHFGDSSPSSGF >A06p029400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20161273:20163347:-1 gene:A06p029400.1_BraROA transcript:A06p029400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIREAYPYYTQDQLSELKENEFVNWFKFYVSDLDVHNAHEIDLVVDYTRVGDNEVFSDLESYADFLSGSILSASSPVLGSPFTQRTSVPQQQPAAPSQVIEDRLLNEVLLAPGRELLPKLSRNGEPNTSW >A08p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21412787:21414253:1 gene:A08p036520.1_BraROA transcript:A08p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23360) UniProtKB/Swiss-Prot;Acc:Q3ED65] MASLLGIVFPVTFPRHGQLPVKTRRRSVVKSSSSSDERQTLFNRIAPVYDNLNDLLSLGQHRIWKNMAVSWSGARTGDKVLDLCCGSGDLAFLLSEKVGPSGKVMGLDFSSEQLAVAASRQKLRGRSCYKCIEWIEGDATDLPFDDCEFDAITMGYGLRNVVDRDRAMREMCRVLKPGSRVSILDFNKSNQSVTAFMQDWMIDNVVVPVATLYDLAKEYEYLKYSINGYLTGEELEALALEACFSSARHYEISGGFMGNLVAVR >A05p052820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30528067:30528689:1 gene:A05p052820.1_BraROA transcript:A05p052820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAIVITISVLPEKSSKLNENSSFVEHVLLSLPCLTPSDLHAFEEAAAKYPSPKKQEQLMRSLLMLGTGNNLKALAAQKNLMLSPMSLKDRVYPQARQKLKPMKVNE >A08p014950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9767487:9768631:-1 gene:A08p014950.1_BraROA transcript:A08p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNPAREPKLVLAGEEGRRHALKTVKKVLKFPIEQRQVPFLISKEALERSSLWGNMSRTTRAKALAEYKRAMETISTKKVEYSHAAPDDDVLITKSSACTKRKASSNQDPLASERKKSKISSVNTIISQKTSEGQGNLF >A03p049540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21226601:21228540:-1 gene:A03p049540.1_BraROA transcript:A03p049540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 11 [Source:Projected from Arabidopsis thaliana (AT5G23270) UniProtKB/Swiss-Prot;Acc:Q9FMX3] MGVGGGFFVADSGHREEYEEGRVTSFVVIACIVAAMGGLLFGYDIGISGGVTSMEEFLTRFFPDMLRQMQNETGRETEYCKYDNELLTLFTSSLYLAALFASFLASSITRLFGRKVSMTIGGLAFLSGALLNGFAISLEMLIIGRLLLGVGVGFVNQAVPLYLSEMAPAKIRGALNMAFQLAITMGILAANIVNYITPNLKNGVGWRLSLGLAAVPASILLVGSFFLPETPNSILERGNKEEAKRMLQKIRGTMEVEHEFMDICAACESAKSVQHPWTNIAQTKYRPQLVFCTFIPFFQQLTGINVIMFYAPVLFRTIGFGKDASLISAVITGLVNVVSTLVSIYSVDKFGRRGLFLAGGIQMIVTQVAVGSIIGWKFGVNGEGSLSPVDTQILLALICLYVAGFAWSWGPLGWLVPSEICPLEIRSAGQSLNVSVNMFFTFLIGQFFVTMLCHMKFGLFYFFAVLVLIMTIFIHFLLPETKGVPIEEMARVWKDHSFWGKYARVVDGGDNDDGDDVYVFSVKA >A09p079840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58648738:58651519:1 gene:A09p079840.1_BraROA transcript:A09p079840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sialyltransferase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G08660) UniProtKB/Swiss-Prot;Acc:Q8VZJ0] MRSHHHQGGRSKLTILQLLCAVAIFSVLLFTIQSSFFSDNANRKVNILRPEDIQILSEFQSSVQQCVGDRGLGLSAHIIDHCNLILKFPQGTNSTWYNAQFKIFEPLEFKYNVCEAVLLWEQYRNMTTVLTREYLDVRPNGWLDYAAMRIAQLGADKCYNRTLCEEHLNVILPAKPPFHPRQFHKCAVVGNSGDLLKTEFGEEIDSHDAVFRDNEAPVNEKYAKYVGVKRDFRLVVRGAARNMVKILNGSDSEVLIIKSVTHRDFNEMIKTIPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRSERKEDWSSVPSREMITRAHASALRLQRSQQATSLKKDGLGQFGNCKVWGDADPRKGPVSGSPDMSEVRKKSDYKKWEVMPFKSLRKEARDHYVQMQGVSQYKMDGNKLDDLVCVRHRPLESET >A02p057560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34590875:34592091:-1 gene:A02p057560.1_BraROA transcript:A02p057560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFVSLAQELLRFFPIDARLAENYRVSSDFTASIFFQSIGTTRGLTSSRGRVIAVVGINNLNGKALYILNVVASVGLGSWMFLLSLSKCCTPRLIELFVRVLLTFAHRKLKSGIQILLRGNTLDQHNQSLLPHLHKMCYKGVLKYPGSRTEEPSS >A09p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:163116:176803:1 gene:A09p038610.1_BraROA transcript:A09p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVAGTQHHHHNHHNPTAHGLMAGLHSPPLTRTRTIGLKPGQLSLSFLMSLTYFLDHGMLALMSKNWLARFLEPWPSQQSYSGLGAEWAPSAKGCPLSATCSPLPFLIGFGVDHKPNPRPKPPGLSPRPCRGVNFVTLTGSSLARHVALLDHGVGLDGQSCSCLIVGWPVGSIISNPGCWTVDRSYFSFGWIVRIASGYHQTPAQKVSRKMQLNSLLSPTRRRCLFGSSAAIWKPNNHTNGLALAGNSLSLSLSLSMISLSFFTMDWMNEIDREDPIFIENKGVSVALRTGMTGQWMGTIGQGLHPLGRMRPFANTAWVWVEQRPKALTNPSGLSPRPCPKPQWSMDSWPDPHGPPPAQTRTIGPKPEQS >A01g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25168416:25169770:1 gene:A01g509280.1_BraROA transcript:A01g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSLDESPLRFGGLIEKLRSLIVFREGDTKGSAKGGDANLKKDGQSSQNGQGDTKGSAKGGDANLKKDGQSSQNGQVLKFKIELLNKTNKSLHHTEDAPQDMEEGLHRSFLIIMENIRRIYIIKFRRTWLAN >A07p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13029521:13030743:-1 gene:A07p022220.1_BraROA transcript:A07p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYCLIN D3;2 [Source:Projected from Arabidopsis thaliana (AT5G67260) TAIR;Acc:AT5G67260] MALEKEQEESQTGQNAAFCALDELYCEEECGFAEEDLVGLDENVVKFQFLPLKDMFLWDDDELQSLISKENETNHHPYQTLDECLVSRRKEALEWVLRVKSHYGFASSTALLAVNYFDRFITSVKLQTDKPWMSQLVAVACLSLAAKVEEIHVPLLIHLQVEEARYVFEAKTIQRMELLVLSTLQWRMHPVTPISFFDHIIRRLGSECHQQLELFSNCERLLISVVTDARFMSYVPSVLATAIMIHVVKDLKPCEQVEYQSQLRTLLEVNQEKVNECYELLLEHNPSKKRMMNWLDQDSPSGVFDLDDSSNGSWNVSAASSSPEPLLKRRRVHEQQMRLPSINRMFLDVLNSPR >A08g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7574170:7575856:-1 gene:A08g504550.1_BraROA transcript:A08g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYADFLAGSFPSSSSAGLGSPAAQGTSVPQPQPASIIEDRLLNELLVAPGRELLAKLSPNGEPNTSWFRRRNRNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPAFTNDVRTAFNLQARKQYTSNVTEWKKKWRLKKDKPICLNQDVWDGFKAYWQLDATAHIAATSSVNRRSKRGGKGEAVHNGGAKTREEHEIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTTSNMLSREEINQLVLEACLLYVNVPIKKGRRYGIGRTSEAISTSSSQLSVSSSSIVQYMERMKTELDEERSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSATQSPDDRCF >A07p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2300908:2306670:-1 gene:A07p005200.1_BraROA transcript:A07p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEADSSQPLTAATVSVNVRCSNGSKFSVRTSLDSTVGAFKELVAQHSEVPANQQRLIYKGRILKDDQSLLSYGLEADHTVHMVRGSAPSSSPPPSAPVNQPTPPSVTRGVGSNAGEALFPGLGFNPLGGGNAMSGLFGAGLPDLDQAQQQLAQNPNMIRDMMNTPAIQNLMNNPEFMRSMIMSNPQMRDLVDRNPELGHVLNDPSILRQTMEAARNPELMREMMRNTDRAMSNIESMPEGFNHLRRMYENVQEPLMNATTMSGNAGNNTASNPFAALLGNQGVTTQGSDASNNSTTPSAETGTGNGIPNANPLPNPWGATAGQTNTTGRTNSGGDTRSPGLGGLGGLGGLGGLGGLGGLGGLGMLGADSPLGATPDASQLSQILQNPAMSQMMQSLLSNPQYMNQLMNLNPQLRTMLDSNPQLREMMQNPDFLRQFSSPEMMQQMMTLQQSLFPQNRNTTSQEPGQTGAAAAVPPEERFATQLQQLQEMGFYDRAENIRALLATNGNVNAAVERLLGNLDLNLNPPSSPSNVTPSSHNQAPRRKRTRRTSNNEATSSSSSRRKPDPNAPLITEPCKVCGKKFWSSKALHGHMRSHPERQWRGINPPPNHQPTAPPVSVTAEEGQVLANASTTGSSVGVGGRFECEGCKKVFESRQALDGHICSAILNLNVDPPPPSAPQEIVDEDDKSKSVMVVSGMNHRCDICSKAFSSGQALGGHMRRHWHKDRKEKKQASTGIDLNVPASTNLPGSSSDTLPESSLDLSPIAAGASLRLLQAVSNGVPMSHLLSSGQGISRVLVKLKGNSSKVVSKKPPKWRDENNHVELSRRKCYPEEE >A09p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18928201:18932081:-1 gene:A09p031310.1_BraROA transcript:A09p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta 2 [Source:Projected from Arabidopsis thaliana (AT4G04040) UniProtKB/Swiss-Prot;Acc:F4JGR5] MASPYDLTGENIAGISINPPASRVPSVYSEVQVSRIDHALPLPSVFKSPFKIVDGPPSSAAGNSEEIAKLFPNLYGQPSALLVSDQSNDAVLSDQKLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSTLFGFRGGPAGIMKGKYVELTSDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVTKMELDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKSKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAKIETEKMLIQMVETELEKKKKDGSYKREFMGKSHFFGYEGRCGLPTNFDATYCYALGYGAGSLLQSGKTGLISSVGNLAAPVEKWTVGGTPLTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASQREEWALKNRYISPGPIQFKGPGSDAINHTLLLELGAQA >A08g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11089771:11091259:1 gene:A08g506500.1_BraROA transcript:A08g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVARGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISKKQEERGETSSGHKKKLKGDLTVKQLAPIQVVHCLTPDQKWSLQVVDSLLHYSVPTGSKKLSSKCIKISLSLTEDDDDDPVMS >A08p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18864256:18865415:-1 gene:A08p030850.1_BraROA transcript:A08p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTYPSPHCQNINYGISGIFSGWSPRSKLDPICESCSFFSSALMAEALAIRSVVMYDASSNVKSLMIMSDSQSLVKLLKRESLIPVLFGPSERHTSLPSPLNRHRLETSPELPRRKTRHDGAHKTEEPPSKPPPFFNA >A08p035310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20853371:20855508:-1 gene:A08p035310.1_BraROA transcript:A08p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTNSASPSSDYASISSGDQSLQRSSRRSGEGTRAIVRESRHWHDIFWSAIFVIHLICLGFVLAVLGLNRFRISDRLNIDRYTQGFLENHKGLTEDYWPLYAVAGGIGVFISWVWSLLLGSYANEMMKVSVHILTTYLAVVSVLCFWCRQFFWGGAFAIGALLQFLYVVSVIDRLPFTMLVLRKALKLVWGLPKVIMVAHAFTVFMLLWMSLWSFGASGVVASSMGDEGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGMVFHVLFQCGQEESSSLPPSTLVESLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGVRSKICGNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVYGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLMGTIFGGLITGSCIGIWAWIKYSDRVIMVGSTAMLMGMVLVGLGMVVVESAVTSIYICFAEDPLLIQRWDADFFTEMSEMLHRRLQHRSARAREVLTTA >A05g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9487518:9487950:-1 gene:A05g503230.1_BraROA transcript:A05g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKEPLRDFIGVLNFLSSGGKFATRMKKIQGGGETASKTTTAKLEIDEEGELLSQCNGDGGLKRRKLVDSDDSGGLTPWRKLCRGLELNGDRESRRDAAAWNGEHSYDQRA >A02g507540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21054803:21055027:-1 gene:A02g507540.1_BraROA transcript:A02g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVAFKNGRLKIEAPVRLSHAESWREGVVIHCKGYRLHPREPDAECTRAGDFIGMQQEKGRVGPLELCRS >A04p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14625342:14627558:-1 gene:A04p024100.1_BraROA transcript:A04p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGIFTEILDGEVYKYYSDGEWRTSSSGKSVAIVNPATRKTQYKVQACTQEEVNKVMEMAKSAQKSWAKTPLWKRAELLHKAAAILKDNKAPIAESLVKEIAKPAKDSVTEISNVCLGVRILGEGKFLLSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCITGKGSEIGDFLTMHPAVNCISFTGGDTGISISKKAGMIPLQMELGGKDACIVLEDADLDLVASNIIKGGFSYSGQRCTAVKVVLVMESVADELVEKVKAKVAKLTVGPPEENCDITAVVSESSANFIEGLVMDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVLRISSVEEGINHCNASNFGLQGCVFTKDINKAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINLMTKVKTTVINLPTPSYSMG >A08p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3000332:3003203:-1 gene:A08p005200.1_BraROA transcript:A08p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDSEKRFHQIMDKLFTPSKSPLPSSPSSTSSPVEQQSRGKKRPNPSSALALVEPKTALATTIDRSLKVPATGTSQSGLCRPWDRGDLMRRLASFKSMTWFAKPQVISALNCARRGWVNDDTDTISCESCGAHLYFSAPASWSKQQVEKAASVFSLKLDNGHKLLCPWIENSCEETLSEFPSMTPQDLVDRHEERSEALLQLLALPVISPSAIDYMKSSDLEEYLRRHIASGDTTAECSQTESLINHVGASPAQLFYQAQKLISLCGWEPRALPYIVDCKDKSGEAAKGTDTIDLLPETATRELLSSSSSTSNPNGVSENSENPVVPDTLNSDPSSVVLDCKLCGACVGLWVFSTVPRPLELCRVTGDTEVNTEKNSRDDTLQRQTSSLQFTIAGGPPATKQNFKATISLPIVGRNLRSRFASYSRDRDHGTDNSIQDQQCRTPERNGGGMENSDQDMIDVGEKADGGRNASDLVSNTTPQTKDKQLMVVTSSLPENYKPKDSTGDTGISNKQMEFDPINQHRHFCPWIWSTGRRGPGWRQTLSALQRQKGSCQTPPAPSSIFKVDDPLTSVRNLFKSPSPKKARLNRGSSS >A09p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4967480:4969478:-1 gene:A09p009660.1_BraROA transcript:A09p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETKKPYMVVTMIQIIYAIMFLISKAVFNGGMNTFVFVFYRQAFATIFLAPLAFFFERKSAPPLSFVTFIKIFMLSLFGVTLSLDLNGIALSYTSATLAASTTASLPAITFFLALFLGMETLKIKTIQGTAKLVGITVCMGGVITLALYKGPVLKLPICPHLYHHRNIPGHVSGGSTSWLKGCVLMITSNILWGLWLVLQGRVLKVYPSKLYFTTLHCLLSSIQSFVIAIAFERDISAWKLGWNLRLVAVIYCGFIVTGVAYYLQSWVIEKRGPVFLSMFTPLSLLFTLLSSGILLCEIIRLGSILGGLLLIIGLYCVLWGKSRELKNIGDQKTDPHKENSDVCNEVKVVIS >A01p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1359850:1362274:-1 gene:A01p002970.1_BraROA transcript:A01p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFFFKSTLFLIPILTLLLTSPSSSKARIFTFEMHHRFSDEVKRWSDLTGRFGSTFPPKGTFEYFNALVLRDRLIRGRRRLSDSESESSALTFSDGNSTSRISSLGFLHYTTVKLGTPGMRFMVALDTGSDLFWVPCDCGKCAPTEGPAYSSEFELSIYNPKLSKTNKKVTCNNSLCAQRNQCLGTFSTCPYMVSYVSAQTSTSGVLMEDVMHLTTEDKSPERVEAYVTFGCGQVQSGSFLDIAAPNGLFGLGMEKISVPSVLAREGLVADSFSMCFGHDGVGRISFGDKGSSDQEETPFNLNPSHPNYNITVTRVRVGTTLIDDEFTALFDTGTSFTYLVDPMYTSVSESFDSQAQDKRHSPDPRIPFNYCYDMSSEANASLIPSLSLTMKGNSHFTINDPIIVISTEGELVYCLAIVKSSELNIIGQNYMTGYRVVFDREKLVLAWKKFDCYDIEETKTTLTGANKTEAVAPAMAAGIRTHNNNSSEELHRTNQTNSKSSSSSSNQRFKTVTLWSFFRFLFILFPLL >A06p003120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4834605:4835226:1 gene:A06p003120.1_BraROA transcript:A06p003120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFRVFNVKFQTYNLFHPKLPTYTPHGYEDTCHVDAAWKRETGTCGVGGIFRGPNCTSLPTIRYHRRYTSSALIAEALAVRSAVMMAASSNIQSLTVFSDSSVLISLLKTKESRPALYGIMFDIYHLCRLFDTISFCYVPRLQNTEADEVAKSALLCADVPPME >A05p039910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24392012:24399774:-1 gene:A05p039910.1_BraROA transcript:A05p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) UniProtKB/Swiss-Prot;Acc:F8S296] MFPWNFAEAAFSRWAVKRVVKFLLKKKLGKFILGDIDLDQLDIQLRDGTIQLSDLAINVDYFNDKFDAPLLIKEGSIGSLLVKMPWKTNGCQVELDEVELVLAPRLESTSSSSNEPSISSSARDDSRIGLGTHESEMLVNAAKSASVDVHEGVKTVAKIVKWFLTSFHVKVRNLIIAFDPDFGEERNKAGGPRPKLVLRMAEIECGISEERVSTSEVSPDSFLGINRLRNCVKFQGAAVELLHMGGDDDDDDGDMSNDVIMTGEGGGFSGSVNLSIPWKNGSLDIRKVDADISIDPVEVRFQPSTIGWFLRLWKNFSSFGSDCCPSVSHSDSSLGSPAVPTNVMVTPPATSSLSGGQEGEPDITPELQFISDWFPSSFSKKEEDGEVDIGASVDQFFECFDAMRSYQSAFGSQGMWNWTSSVFTAINAASSLASGSFLLPSEQKHVETSCKVSFAGVSVVLFFQDENVWKDVSTGIHYLGAELRDISVSFQVSPQNMRLEAEVNSVEIADYYQAGNVVGTGNSEYQSGLIKDLQAKVQSTLPPSAWSDMNAASEKSSEIVSDGFLFRNKGSAVKTLLVIAAGGSGFQFTVNFQLSKANLRGPNSFSLSLPPTTLWLNLHSVEMLVNLFNDVSESIPVTSHERNQVASSSKSERLRGSVSIGNARAILCFPISEGFCSSLGEHFIVVDLSSSLPSDKERRKEGSPGEKYFTSAARSICFSVGDVSIYMVTSDHKDSESGGEFSAYSILLANNRTSHQLSTIGMFWQDETIISPWLVERAKMLATQEESIQTDKSRRNGLEFAAVATAKDQEDIYSQTRKEIFLASSFCLYVHLLPLTIRLDSWQYSKLCNLIDQAKNWLSRMVANTSEKQEERAFKKIEESVVCQASLVVECDSVDIFVRPEPRMDIKNQLQIELPGSWIQLNLNVQKLNLMSVSNLGSISGADLFWLAHGEGTLWGSVTGLHDQELQLISCSNSAIKRGNGGGSNALSSRLAGLDILHLHEPGICYDYLAISVRGCTISAVGGRLDWIDVASSFFTSQVETNSEERKSSSSSSSAFTLNLVDVGISYEPHHENTDHLHQSSDPWVACLVAASSFSLSKTSLVDSIRNDYRIRIQDLGLLLSLDLDLRRLDGTYSSANLHKTGYVKVATEALIEATLRTNSESGLLWELECSKSHLLIETCSDTTSGLIRLATQLQQLFAPDLEESAVHLQTRWDNIQQANARNGFDISDTLSSSGSSGEIKNLRFGSETETGIIGLMGEINEDAFQFDVNPSSQSDSFESQNNFMSPYGHAPNWVPATPEEPPSNQFIGESSSRLKPESSQIFLERDGLPEIIEDYCFSEFHPLSEVPQEGDSSGRQVFLETDLSRGNSGWYDDTSIRILEDHVSEATEEDHEEHMLDGEFSSFGLTSHSTVTANGRVILKNIDIKWRIYSGSDWHDSGKKSEVHKSIVGRDTSSCLELELSGVQCLYDTFPIGGTCTSKLCLMVQDFYLYDRSKDAPWRLVLGYYNSKDHPRDSSANAIKLELKAVRPDPDTPLEENRLRVALLPILLHLHQSQLDFLIGFFGANNLEKPVVSVGDSGGSALSDSVNGHNIIEEALLPYFQKFDIWPVTVRVDYSPHHVDLAALTGGKYAELVNLVPWKGIELKLKHVHAAGIYGWGNVCETVVGEWLEDISQNQIHQLLKGIPTVRSLSALYAAATKLVSSPVQSYRKDRRLVKGVQRGTIAFLRSISLEAVGLGVHLAAGAHDILLRAEYILASAPSSPQHQGKTKTNVRHNQPRNAKQGMRQACENIGDGIGKTASALVRTPLKKYQRGDGAGSTFVTVVQGVPTAAIAPASACVRAVHSALVGIRNSLDPEHKKESMEKYLGPDKQRKQDQHR >A07g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3791734:3792369:1 gene:A07g501850.1_BraROA transcript:A07g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSRFPGITNKFLYHKMQVTSKSADQNSTNSIMNLPTRNSKCHLYKTVHNILRKHRLRLILFLFLFVSYQTLFPLDNYLFILSNYLVLNCVNWITSKMVRLTIWDNEAANFRELNRISTRKNQIVIITSIIPRLHEGKLSLTTT >A02p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11744940:11746609:1 gene:A02p023550.1_BraROA transcript:A02p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNNGMSFFPSNFMIQPSYEDLPPSLSPLLPSCSIPQDLHGFASFLGKRSPVEGLEAGNIMNGEEDYSDDGSQKGEKKRRLNMEQVKTLEKNFELGNKLDPERKMQLARALCLQPRQIAIWFQNRRARWKTKQLEKDYDTLKRQFDSLKAENDLLQTHNQKLQAEIMSLRNREQTESINLNKETEGSCSNRSDNSSDNFRLDISTAVPSVDSTITGGHPPAQQTVGRHFFPPATATTTTTTMQFFQNSSSGQSMVKEENSISNMFCAMDDHSGFWPWLDQQQYN >A09p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23042478:23043558:-1 gene:A09p040760.1_BraROA transcript:A09p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLCCFGSSDYDLLVGRASTSSGKGKNSNGEIKFGYSLAKGKANHPMEDYYVSKFTKTDGKELGLFAIYDGHLGERVPAYLQKHLFSNILKEEQFWYDPQRAIITAYEKTDQDILSHSDLGRGGSTAVTAILLNGRHLWVANVGDSRAVLSQGGQAIQMTIDHEPNTERLSIEDKGGFVSNMPGDVPRVNGQLAVFRAFGDKSLKTHLRSDPDVKDSSIDDRTDVLVLASDGLWKVMANQEAIDIARRIKDPLKAAKELTGEALRRESKDDISCIVVRFRG >A02g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1896555:1897782:-1 gene:A02g500680.1_BraROA transcript:A02g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDWINIHRPFFGAFLETHILENNKERVLSAIPRDWKYYGNYEHNDAGRIVVVWDPRVTMLIYNATAQSVTCGVTILSENITLTVTFVYGFNLVEDRRSLWINLQDLHASSPVSEHPWSVLGDFNQMLRSSHHSNHLSARTDESGMEEANLGLQDAQLFEAQAKGLPFTWRNCQDDNPISTRIDHAFINQTWSSSFPDSFADFLDPSQSDHAPCLFRMPAIRRQVIKPFKFFHHVIDHPEYAETVTEAWNFGQITGTDQYKLIRSLKLLKRPLRNLNKRHFSGISQRVKAQRERVDELQRRLLTLPDASTAREEHSERDKLNILLNAEEKYYKQRSRVRWANLGDRNTPFYHRMTFLSLRPRRKT >A04g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:943443:943847:1 gene:A04g500200.1_BraROA transcript:A04g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVCLVRGLVVAGFTVDWFTVDGFTVNGFTVVDGFTEDGFAVDGFAVDGFTVVDGFAVDGFPVNGSTVDGLVMDGFMVVDGFVVNGFTVNGFVVNRFLVNGFLMNELGHGEVNMGMVNSTGIPFFRDNTFSH >A02p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6390602:6394380:-1 gene:A02p014420.1_BraROA transcript:A02p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDMYDVLAAMVPLYVAMMLAYGSVRWWGIFTPSQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILAALFLWQANVDVYYGDYFQAFSRRGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQVVVLQSIIWYTLMLFMFEFRGAKLLISEQFPETAGSITSFRVDSDVVSLNGREPLQTDAEIGDDGKLHVVVRRSSAASSMISSFNKSHGGGLNSSMITPRASNLTGVEIYSVQSSRGPTPRASSFNQTDFYAMFNASKAPSPRHGYTNSYGGAGSGPGGDVYSLQSSKGVTPRTSNFDEEVLKAKKGARGGKNISGELYNNNNVPSYPPPNPMFTGSTSGASGVKKKESGGGGGGGGQNKEMNMFVWSSSASPVSEANARNAMTRGASVDLSTDPKASLPPQENLAYKAMHSLIENMTPGRKGHVEMDQDGNKEGNSGVNSSPYNGKKGSDVEDGGPGGPRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSYKWNIKMPTIVSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGKSVAVFAMAVRFLTGPAVIAATSIAIGLRGNLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPVTVLYYVLLGI >A05p039330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24037769:24047975:1 gene:A05p039330.1_BraROA transcript:A05p039330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40B [Source:Projected from Arabidopsis thaliana (AT3G19670) UniProtKB/Swiss-Prot;Acc:F4JCC1] MANNPHYPGIQPFQHPNASSIDLPRGFAPSMNFQHRPPIQAPQSEQVAHLASQNFQYVGRGGTTMNNGFPPQSYTPQLLQSMHHSVERPSQSNQGQHVPLGHPSLISQPNVPVASGTFLPEPYLRTSDINMNGGPRALFSYQGATSFEHLRAPTQVTGPSSHSQAQQSAPISQANAPSSIMNPAFEHPKVASSQPIPSQEAATDWVEHTSADGRRYFFNKKTKQSTWEKPVELMTLFERADAKTDWKEHSSPDGRKYYYNKVTKQSTWTMPEEMKIAREQAENASVQGLHAEGVVDASRVLSRSDTASTAAPTGLPNQTSSTPPTSDTSEKLALTSDREQAASVPGSSSPVENVDQVQAIADKTQELCDTAKTDNPSVTVMITSAATLVDKETVSTENSGDADDVSAKNTNQGSGTGPKESQKHVVDSERVDSQSEGKQIHQENISYTNKSEAVDVFKSLLKSANVGSDWTWEQAMREIINDRRYGVLRTLGERKQAFNEFLAQMRKAAEEEKIARQLKRYEDFRRMLEESMELTPSTRWSKAVTMLEDDERFKVVEREKDRRNIFEDHISDLKEKERVKAFEDRKRNIVEYRRFLESCNFIKPNSQWRKVQDRLEVDERCSRLEKIDQLEIFQEYLRDLERVEEERKKIQKEELKKAERKHRDEFRGLIDEHIATGELTAKSSWRDYLVKVKDLPVYLAIASNSSGATPKELFEDAAEDLKRKYHELKSQIKDVLKLRKVTLSTESTFDEFKVSVSEGISSPSIPDFKLKLVFDDLLERAKEKEEKEARKQTRNTEKLVDMLRSFKYITASSSWEDSKHLVEGTEKFRTIGDESFRKKTFEDYISHLKEQAKRIKQNKKEHVREEHDREKDKYGREKERVRERDNRDHRKQGSADNYNHDRGLVREAEDEGREKRRRKEGDSEQTKRAEKEEELEDGECGRRRMAEEQLYQQMYQLGDVLNEATDSLIFQGLIHEGHVQLLHAAGISSFTLLITHMRENDGVDGLASATLNIIVEEAYRIRDLRTAEKNLQTTASNIGKKDQMHSLNKNKKRIQELTTALALRPDTAANAGQIAYWTREKEACETRVANMEQNN >A07p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3868648:3869088:-1 gene:A07p002370.1_BraROA transcript:A07p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTNLNRLPTRDRLSSWGMQVPTACCICSTNPESRDHLMLSCSFALTLCSSPSAPMQLRMLVVQALVYSVWRQRNNMFHNQLITPPLVVFRDINRHVINTINAFRTRKKFKDLMIFWLI >A09p008250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4261297:4262283:-1 gene:A09p008250.1_BraROA transcript:A09p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 120 [Source:Projected from Arabidopsis thaliana (AT5G62180) UniProtKB/Swiss-Prot;Acc:Q9LVB8] MSEPTPISDPYAYLNIVKNPDGSITRDLTKFPCTPATPDPSPQTPVVSKDISINKSKSTWMRLYAPSSTLNDAVPSQKLPLVVYYHGGGFVLCSVDLQVFHGFCSDMARDLNAIVASPSYRLAPEHRLPAAYDDGAEALEWIRNSDEEWIKSRADLSNVFLMGTSAGGNLAYNVGMRSSAAASDLSPLRIRGMILHHPFFGGEERSGSEIRLANDQVCPPIVSDVFWDLSLPVGVDRDHEYSNPTVGEGSGGLEKIGGLRWKVMVVGGEEDPMVDRQRDVAKLMKRKGVEVVEVFNDGDVHGAEIGDPSKRKPLFASIGKFISSFAAL >A06p022190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12386119:12393824:-1 gene:A06p022190.1_BraROA transcript:A06p022190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKKPPAPRSKHSLSSSAAEAEPSSEVSACTSSEEPSNLAEIKAECEKALTSFRRGSYNKAIRLMKESCSRHQHSALIHRVQGTVCVKVSSVYEDAVTKQKYIRSAIESARKAVELSPNSIEFSHFYANLLYESASDGREYEEVVQECHRALAIENPIDPAKDSLQDESQHKISTPEARIANVQDELRSLIQKSNLGSLSTWMKHLGNGEGEFRLFDMRKMAEDPIESNLVQTRRPNEIKKATKTLEEKRKEIEVRVAAGRLLQQKSESSSVDSVNSKGSDPALGSGQRSGERRKHGNARKNGSTVERRDRVRSYWESTSKEMKKELLRIKVSDLKSHFSASKEGDANDIITEALSFCEANKTWRFWVCCKCSEKFKDSESYMQHIVGEHMGNVFPKMQMVLPQSLDSERIEMLLTSPWKPLDFPAAVKLLCSQQKIQNSEFSEFYAGGYMDDGDDCFQDAWNDTSPEKENIGDACNGYEKNESEKDRLSNPFCLTDEWPISDDPERTKLLKSIRAAFELLIKHSYLATSHYDKVIQFTLDELRNLPSVSQFLNRGLGQSPICIRFLGATQLKKILQFLQDLSHACGVSRYSEQSNPNDEVDLGLQITEEIFLDGEGSCLLLDEKLLGSECIQEKHMGSALNNGDIASSEDIANGNNVSAGADGFLSWIFSGPSSGEQTAIWMRTVEEKTNQGQKTMEILEKEVRDLKNLFERKCEHLGYEGALQTVEDLCLEEGRKRETSPDFTHESHESVLRKRREELNESAHEMECLSSSFELEAIKNVLRDADTVNRNQFGYEETYTCTSSQLRDLESGEADEWGMKDSFSEADSFIETAIQKQKEQLSTELNRIDARMMRGVTVVQQLELKLGPASSNDYQIVLLPLVRSYMRAHLEALAEKDATEKSDAASKAFLVELALDSKKEARGRNDQSKHTQEKSKDKKKNKHSKKLKDSKASVGNDHRFNVDSVEHSLPSVASFGDPSEADVVPEAVESLKEQEEECRRRTELELDEIKLEETLEYQRRIENEAKEKHIAEQQKKYSSLVTTSDAEAVHDICRDGVVVDLDLREQEKSLSQENLVQRNGLSHDLEVTHVYTNGDCRSTNHCAIPDAATVQDVKSQKVVANGVATQDGVLQSDQRTGRRGRRQKTSNKVVGPSESTGKERESETLRSNGDVGTKTLRQLQAEEDEEEKFQADLKKAVRQSLDTYQGGRNMTSCLRTSVEVNSHEISDSTKESPTSTEVGIFGTGLQNEVGEYNCFLNVIIQSLWNLGVFRAEFLRSSTLDHHHVGDPCVVCSLYEVLTALSAASREIRKEPVTPSTLRIALSNLYPDSSFFQEAQMNDASEVLAVIFDCLHRSFAQSASVSDTDSSESNCTGSWDCANRTCIAHSLFGMNIFEQLNCYSCGLESRHMKYTSFFHNINASALRNMKVTCPETAFDELLNLVEMNHQLACDPETGGCGKPNHIRHFLNTPPHVFTAVLGWQNTCESVEDIAATLAALNTEIDISIMYRGLDPKSIYSLASVVCYYGQHYHCFAYSHEHDRWIMYDDKTVKVIGSWSDILSMCKKGHLQPQLLLYEKQRLSSEPASFSTYIQLEADEIPKIGCTAKLKQLISIHRRSCKTQQQQEISSVAVVGRLKLSKTSVRFPESKTVASTPVGGSLTSTLAP >A03g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24603430:24604316:1 gene:A03g506970.1_BraROA transcript:A03g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSREPHFFKPLLPGFHSGVAIPLDFYSKHIQGDEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A04g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7731801:7732151:1 gene:A04g503600.1_BraROA transcript:A04g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDDEDEE >A01g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26499104:26503868:1 gene:A01g509710.1_BraROA transcript:A01g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRSPAENPRRLEALAVDSFSLSRAVSLLFLSLRRVSFLSLSLLAAPPSLSLPAASLSPRCEQPRVVVVAAWCHRSQIPFLLPPILRSRSRTRLSTREGWDKSPKKGGIKYPGKGGKKRNAAAGKNRGAGKIESRRVLAGRGRNTLQRRSEPEELGGGPTRAGDFTGSSKKRGGMVRLSCVAVRLHRLCVVTRRFSFRIEPTISGNVNGKEGNATETHGTRNGTHGDVGKIDMCVLNPVPWNPGRKWEGAGVSIANVEGEFEQLRVSGRDQVNKKSSYCGVVEFQRGNGKPDIRAGGKRLADDTAPHRAGEAGEGMTDARPRRAQLHGGIKPCKEMDFWHSDITVKLVPRKKKKKGNMGAGPTIRAWARRRGRSNPGWPRDGRTSSGRKPISDCENQTKDLLGVKRMMEDHLGVKRTMEDHLGVKRDDGESSWSRMQNGHLGAKRDDKNHTGILMSSPVHHELGERHMRYGRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPTRMEDSVPTRKRGRPRKIPSIDAGSLRSITRMCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRVAGPKPDGEQKSPLFFSCYRGIHGFWRYLETYLFIPVGCHFVLPLFVFGNSPRFWDVKFELKIWNSGRIPNKRGRIQASTSFSLIRNLEAKPCRESPETGIPSRRLLLSLPRRLSPLSLSSPCLFSLSLSSPRLLLSLSRPRLSLLAVSSREWWWWPRGVIDLRSRWNKVPGKGWKEKKCGGRSVQKRRRCGAIASDKNGRVRIEAPVRLSHAESWREGVVIHCKGGPNPRNWAAGLPGQATSQEAARKGEEWSA >A09p078960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58235892:58237411:-1 gene:A09p078960.1_BraROA transcript:A09p078960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEQTKPRANLPPLRDRRPERLHHLGVSFGLTLDLFRFWNFRVRFSKLLSDKFKKMDYKLAMRLTLTLFLFHANLLLAENIGLAKIDAASMVSAAVAARTRSWFLQAWVASYTFFYLWEYRANILNRWQNYRKYVSFITIFPPEEFSAEMEMVARGLEKLMKLEERQSLMRTFVGMCCSEEKVEPTFPRLEERVLEPHNVSVNEDLREAAKQVEVLASVQTYVLVFVTMYGRINHSHSIEFHPELLEQFAIGGKEADALEKSKISSSGGSSLHQYRDYYQIF >A03p032620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13810489:13812583:1 gene:A03p032620.1_BraROA transcript:A03p032620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone protein p23-1 [Source:Projected from Arabidopsis thaliana (AT4G02450) UniProtKB/Swiss-Prot;Acc:Q8L7U4] MLSARSADTESSLGFFFFFYKLPLNMRHIVNNEVPVVSVDSNDSYFLSVFGVLDVRHPEVKWAETTDKIFLTVVLADSKETKVNLDPEGVFDFSAKAGPENHVYELKLELHDKVNVEESKINIGERSIFCIIEKAEPERWDKLIRGGKAPHYVKVDWDKWVDEDDEGNAGAGDMDMGGMGGMDFSSLGGMGGMGGMAGLEGLGGMGGLEGLGGMGGMGGMGGMEEFEDSDDEEETAQSGDKKDGAVKEAEEQTTSAKEDK >A09g518000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54417710:54420938:1 gene:A09g518000.1_BraROA transcript:A09g518000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSQVISGHREKFIRLDSMDPRSPEAGLNRCTINIQRPKRFTQANKTSSGSFKKGFRKGSEGLWSIGRSIGLGVSRAVFQEDLEVSEKKIFDPQDKFLLLCNKLFVASCILAVSVDPLFLFLPFINDKAKCVGIDRKLAIVTTTLRTVIDSFYLFHMALRFRTAYVAPSSRVFGRGELVIDPAQIAKRYLQQYFIIDLLSVLPVPQIIVWRFLYSSRGANVLATKQALRYIVLVQYIPRFLRMYPLSSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGALWYLLALERNNDCWSKACKDNDNCTRNFLFCGNQNMKGYDAWDDVKDPFLQLRCPVNVTEGEEPPFDFGIYLRALSSGIVSSKKFVSKYFFCLWWGLQNLSTLGQGLETSTYPGEVIFSIVLAIAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPELRERVRRYDQYKWLETRGVDEENLVSNLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLYTEKSTVKALTEVEAFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYTKRKKLEELRKEEEMEEESSTARLIAGGSSPFSIRATFLASRFAANALRGVRKNRTAKLLALSQPTKELLKVQKPPEPDFSADC >A10p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22445808:22449349:-1 gene:A10p040830.1_BraROA transcript:A10p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYEPYHVLQQSRRDKLRIPSLDSHFHFHHPHPPSSSGGGAGVYPLGDSDYLAAGGFHSNNNSHISNPSYSNFMGFLGGPSSSSSNAVAVAGDHSFHDGLSSGDVLVFKPEPLSLSLSSHPRLTYDLVVPGVVNSGYGRSAAEAAAATVTVASRSSGPLGPFTGYASILKGSRFLKPAQILLDEFCNVGHGIYTDKNIDGDDSSLLFDPTVESLCGASDSGGGEFMKNKSKLISMLDEVYKRYKQYYEQLQAVMGSFECVAGLGHAAPYASLTLKALSRHFKCLKNAITEQLQFSTNNKIQQQQQSGHLMISENRTDSMRFGGSDSSRGLCSAGQRHGFPDNHAPVWRPHRGLPERAVTVLRAWLFDHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETRQSQRSSSSSWRDERNTTTVFPDNNNNPSSSTAQQRANNNSSPARRVQNDDVHGTTTNNNNSNFMNAGNSGSGGAVAFSYGIASSNVAGMSSSTNGGVSLTLGLHHQIGLPEPFPMTTAQRFGLEGGSSGGGGESYGGGGGYEVQNRQFGRDFIGGSNPQFLHDFVG >A05g510150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:31530065:31530340:1 gene:A05g510150.1_BraROA transcript:A05g510150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDDGSKVASISRVKPTEHANRSISARNLKPTASLLQSRATYGGKPQLQSSDKAVDDGTVKTKQGGPETMKNKVLRRRHGRSRADRTPE >A09p054460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46908235:46909613:-1 gene:A09p054460.1_BraROA transcript:A09p054460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCCFPSSSEVVGGQSSSRSGKGRSGEGLVKYGFSLVKGKANHPMEDYHVANFINVQDHELGLFAIYDGHMGPTGEFWVDPRRSIQKAYEKTDQAILSNSSDLGRGGSTAVTAILINGRRLWIANVGDSRALLSQGGRTMQMSTDHEPRAERSSIENRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDITDAYVDSQTDVLVLASDGIWKVMSNEEAMEIARRVKDPQKAAKELTAEALRRESKDDISCVVVRFR >A05p051210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29835956:29839446:1 gene:A05p051210.1_BraROA transcript:A05p051210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSNVSALLILLLLSLSLCVTSKDQTVSCTMCTSCDNPCNPVPSSSPPPTPPSGGGSYYYSPPPPTPQSSSGGGGGSYYYPPPSTSGGGKYPPPYGGYGDGGQSYYYPPASYGNYPMPPPPNPIVPYFPFYYHIPPPGDSGSERLMKMAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFSRNQRYARKHNVKSGENATTED >A07p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10867466:10869449:-1 gene:A07p018020.1_BraROA transcript:A07p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLNESTPLYKRVLSALIEEDDGEEVVQFNGGKNLSLHYASDDSHSVRGVVCLNPFRNGGMSVSAHSNERWLDDDLSHSDAPLGGETFSNGLGQLQAREVNIPNFPVSDTQYQLMSLDERLLLELQSIGVFPEAMPDLAEETMSTDVMELKESIYQQIRNKKEKLEKLNITIQKGKDDEKRKIEHLAMDHLVETAHKKRMASRGNKAYKVHKVTRQAALAFTRRTLASNDAKSSENGGSGTASNTLNEPSNHHAEAKGAVSSTKRREALIDDVIGCASSKRLLITRISGSPLPDLDSYVHCGTHVVAGFSEHILDVNGPNFRQKER >A01p042230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22750042:22756760:-1 gene:A01p042230.1_BraROA transcript:A01p042230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heteroglycan glucosidase 1 [Source:Projected from Arabidopsis thaliana (AT3G23640) UniProtKB/TrEMBL;Acc:A0A1I9LTS6] MSVSKGDGSETAPTDMIFGPILEDGVFRFDCSVEHRKAAFPSVSFKNSKDREVPIPSHIVPAFTPTYSLLEEQQVVTFQFSPGTSFYGTGEVGGQLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLVILPTGETLGVLADTTRKCEIDLRKEGVIRIISPPSYPIITFGPFSTPTAVLQSLSHAVGTVFMPPKWALGYHQCRWSYMSGKRVAEIAQTFRDKKIPSDVIWMDIDYMDGFRCFTFDKERFPDPSALAKHLHNNGFKAIWMLDPGIKQEEGFDVYDSGSKNNVWVKGADGEPFIGEVWPGPCVFPDYTNSKARSWWANLVKDFISNGVDGIWNDMNEPAVFKVVTKTMPENNIHRGDDELGGVQYHSHYHNVYGMLMARSTYEGMELADKNKRPFVLTRAGFIGSQRYAATWTGDNLSTWEHLHMSISMVLQLGLSGQPLSGPDIGGFAGNATPRLFGRWMGVGAMFPFCRGHSEAGTDDHEPWSFGEECEEVCRAALKRRYQLLPHFYTLFYIAHTTGAPVATPIFFADPKDSRLRTIENAFLLGSLLIQASTLSNQGSHELQPIMPRGTWLRFDFEDSHPDLPTLYLQGGSIIALGPPHLHVGESSLSDDLTLLVSLDENGKAVGLLFEDDGDGYGYTEGRYLITHYIAERQSSVVTVKILKTEGEWERPKRRIHVQLLLGGGAMLDAWGMDGETIQIRMPSESEVSALISTSNERFALHMENTKLIPEKEVLHGQKGMELSREPVELNSGDWKLNIVPWIGGRILSMTHVPSGVQWLHSRIEMNGYEEYSGTEYRSAGCTEEYNVIERDLEQAGEDESLILEGDVGGGLVLRRKIAIPKDNPRVVQIASSIEARSVGAGSGGFSRLVCLRVHPTFCLMHPTESFVSFTSIDGTKHEVWPDSGEQLYEGNNLPHGEWMLVDKSLNLGLVNRFDVSQVFKCVVHWDCGTVNLELWSEDRPVSKESPLKIEHEYEVASFP >A09g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12338219:12338608:1 gene:A09g503950.1_BraROA transcript:A09g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A01p005470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2350272:2352415:1 gene:A01p005470.1_BraROA transcript:A01p005470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP9 [Source:Projected from Arabidopsis thaliana (AT4G33020) UniProtKB/TrEMBL;Acc:A0A384L3U2] MSLLQDFWQFLQPLSSRFTESLTTSCDSGESDPCRDDAAALTLKYAAMASILVAGAFGVSLPLVGTLLPSTGGLMRGAKAFAAGVILATGFVHMLSGGSEALSDPCLPEFPWRKFPFPEFFAMVAALLTLLADFMITGYYERKQEQLMNQSVQSLGPASVRDQEFGSGLSSGFLRDQEDGGKLHIVGMRAHADHHRHSLSMGPEGFEALANKSGVAGHGHDHGDVGLNSGVRHVVVSQILEMGIVSHSIIIGISLGVSHSPCTIRPLLVALSFHQFFEGFALGGCVAEARLTPRGSAMMAFFFTITTPIGVAVGTAIASSYNSYSVVALVAEGVLDSLSAGILVYMALVDLIAADFLSKKMSVDFKLQIVSYCFLFLGAGMMSALAIWA >A06p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4112284:4114011:-1 gene:A06p011170.1_BraROA transcript:A06p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIISYLHQLLNTSLCSLCFHYLPKMSPEAYVLFFNSLNLVTFEAFAWLSLFVATVAIFLSPGGLAWAWTKSSKTRVSIPGPSGSLSIFSGSNPHRVLAALAKRFKASPLMAFSVGFSRFVISSEPETAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISSTHLFSPRRIASFEGVRVGIGMKMVKKIKNAAGDGDVEVKKVIHFGSLNNVMTTVFGESYDFDEVNGDGSFLERLVSEGYELLGIFNWSDHFGVLRWFDFQGVRKRCRALVSEVNTFVGGIIEKHKMKMNNNNLNGEESDFVDVLLGLQKDEKLSDSDMIAVLWEMIFRGTDTVAILVEWVLARMVLHQDIQAELYKEIASVTSNNTRSLSDSDIPKLPYLQAVVKETLRLHPPGPLLSWARLAIHDVHVGPNLVPAGTIAMVNMWSITHDGKIWTDPEEFRPERFIDGEDVSIMGSDLRLAPFGSGRRVCPGKAMGLATVHLWVGQMIQNFEWVKGSSDVDLAEVLKLSMEMKKPLKCKAVPRNVCFG >A01p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19019247:19022706:-1 gene:A01p027340.1_BraROA transcript:A01p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMLWLNSDDTGTWAFLGFNAEVTKLTHVLAFEAAQIVGIGPTAQVDVHLPRSFADIVRNTYTFQLKLKDFNITVNHQAFTISRIFHARDLASIITFVEVGEVTKPALLQNVSPGSEDIAAITSNVAEHSTAADGAIPGHEAVAKEQVDLEENAIDTIQDFTYLSPPSFNKPVTVAESLYDSHNKILKAHSEWNALSIPLLTNPCILSRIFDSDSPSLISLPSRLQEVMVKTPVAVTGKAPSARSQRRQSGPVLSTPSSSSAPKRSRKKKKISDQHQPWLRECYLPLTPATLLGGISELDQTNGRLARQQRRSIRARRSCKAPSKTYNTTKTLSFLFKLRTLMLLT >A05p054360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32288417:32289271:1 gene:A05p054360.1_BraROA transcript:A05p054360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLFGQIKAGNPQRVLLCFLEKGIEFEVIHVDLNTFEQKKPEYLLRQPFGQVPAIEDGDLKLFESRAISRYYATKYADQGTDLLGKTLEQRAIVEQWMEVEANYFNVVVLPLVINIVFTPKFDVALVEELKVKLDKVLDVYENQLAMNRYLAGAEFSLADLTHMPGMRYIMNEAGLGSMITSRENVNRWWNEMSARPAWKKLMEMAAY >A10p020690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14028085:14032835:1 gene:A10p020690.1_BraROA transcript:A10p020690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSMRDLDQALQGCGQKSGIEIWRIESFKPVAVPKESHGKFFTGDSYIVLKTTASRSGSLHHDIHYWLGKDSSQDEAGSVAVMTVELDSALGGRAVQYREVQGQENEKFLSYFKPCIIPQEGGVASGFNQVKPEEHQTRLYICKGKHVVRVKEASHLTMSCRFLLTQPGELICSHLQVAFARSTLNHDDVFILDTESKIFQFSGSKSSIQERAKALEVVQYIKDTYHDGKCEIAAVEDGRMMADAEAGEFWGLFGGFAPLPKKPASNDDQTAESDGIKLFSVEKGKTEPIEVESLQKDLLDTNKCYILDCGLELFVWKGRSTSIDQRKSASQAAEEFFRSSERPKLNLVSVMEGFETVMFRSKFDSWPATSTVAEPQQGRGKVAALLQRQGVNVQGLVKTSSSSSKDEPKPYIDATGNLQVWRINGEEKISLEAAEQSKFYSGDCYIFQYSYPGEDREEHLVGTWLGKQSFEEDRESAISIASKMVESMKFMPAQARIYEGKEPIQFFVIMQSFITFKGGVSDAFKKYIAENEVPDNTYDKEGVALFRVQGSGPENMQAIQIEAVSTGLNSSHCYILHGDSTVFTWCGNLTSSDDKELMERMLDLIKPNEHTKAQKEGSECEQFWELLGGKSEYPSQKSKKDGESDPHLFSCTFSNDNLKVTEIFSFTQDDLMTEDIFILDCHTEIFVWVGQQVDPKKKPQVLTIGEKFLKHDFLLENLASETPIYIVTEGNEPPFFTRFFTWDSSKSAMHGNSFQKKLAVLTNKGKPLLDKPKRRVPAYSSRSSVPDKSQPRSRSMTSSPDRARVRGRSPAFNALAANFEKLGTRNQSTPPPMVSPLVRKLYPKSHAPDLTKLAPKSAAFAARTALFEKFRPTLQEAAPTTPSSSEATNEAEAPKPTSETTDGESMNNIHEDSKEEAEAEEDSSLPTFPYERLKTDSEDPVPDIDLARREAYMSAAEFNEKLEMTKKEFYKLPKWKQNKLKMAVQLF >A01p002860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1325728:1326582:1 gene:A01p002860.1_BraROA transcript:A01p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENQSAARFTGKVNWFNDSKGFGFITPDDGTTEELFVHQSSIVSEGYRSLAIDDLVEFEIALGTDGKTKAVEVTAPGGAPLKKKEASSRGRSGRGGGCYNCGEAGHLAKDCRDGGERGACYTCGDTGHLARDCVQKPSGERGGGRGGGGCYECGDTGHLARDCVKKSGGERVSGGGGGGGACYTCGGSGHMARECPSKRQSGGSGCYECGGAGHLARDCDKRGGGGRSSGGCYECGGAGHMARDCEKRGSGGGGGRSSGGGGGGKCYKCGEGGHFARECSVA >A05g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18133060:18136221:1 gene:A05g506320.1_BraROA transcript:A05g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHQEGKYMSEIAPTSRSRNTKNDIGVKAVPPRETGITRRAVADLQAQIESLTAAVAALSTQHATPVFRQERNNQTAIDDEFEEDKNPLSRLRCQPLIRNNNNNDSYFDNKYEDLDIKVYDTRDNDNSYVVQLGGPIFDVSDTEEEEENFSEQNFDPIFDVSDQDDTENFSTHDVANEDVTEIAPIYDMFEEDEMNQVIVGKVEDESIKFNESVYAEEILTFSNEAFVKSNDIIPDFNLKDTSPLCQTMKETLGQSDNYFWKTNRSNQREDHMDVPKPELIPICDERAGNTFLDLQKKHMNYGAWRNNFNRHINREPPDRVPHQDRCVRQQWSTGSMSAILKTQRCLIGEEFVSILQQCVVTPVKQRNDQYWHLHRAHMRLLKKEEVEDCNDEKVGGDVYPTSDEDSSEQTIISLGLEIPLHHSLESILILNKVFLASIHVEIHKNELYMATLVSGRSLHAGESHSYVDVLFERNTTFLACWTNVIMVNWNINESSDSYLKNLIKENHAEVLTAIYDISFLRRLVSSLGSVLVYAFTGGEDSMFLWPLLAPTTSIRILGFSYNITVVFITRISSFLRMHILPLRFRKGSLESVVYWQVRHCGFHKLRIWDVDKLLKNLNDISTTATWRSYVTCVSSKYLWEAYSCVIWFHCNTIFLPLPSWIDFSTLLGAISQEVFPFQDPWNKVFQLLANYHLFHVKLCGLVQPPYIILWSLLKILLRSINGEINNHCQFLDFKTCEKIFEDVRRYACGVEWDWHFMKTDSFNLLLVNMQLGVVQKFSFLC >A03p051170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20641072:20642321:-1 gene:A03p051170.1_BraROA transcript:A03p051170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGFLAAPPSIKLTLRLLLFVMEKHLGMQRERSSSRLGGHIDVELNDAGRQQAVRVAERLSKEPKISYVYSSDLKRAFETAQIIAARCGNLEVLTDPHLRERHLGDMQGLVYQEASKIRPIAYKAFLSNRTDVDIPGGGESLDKLYDRCTSALQRIGDKHKGERVVVVTHGGVIRSRYERARPKARKVDKILNTSVNVFRLFDGDKWRIQVWGDVTHLDQTGFLKSGFGGDRTSG >A08p041160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23290672:23292059:-1 gene:A08p041160.1_BraROA transcript:A08p041160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMGEAGGHYCSKKTDSICGGVCSQETGRFFSFSRLCCALRGVDMKTYIFLLVIVPTCVLAGYIHGQKISYFLRPLWESPPKPFHDIPHYHHENATMETLCKLHGWGVRDYPRRVYDAVLFSNELDILAVRWRELYPYITQFVLLESNSTFTGLPKPLVFAAHRDEFEFVEPRLTYGSLGGRFVKGQNPFYEEAYQRVALDQLLRIAGITDDDLLLMSDVDEIPSRHTINLLRWCDEVPKILHLRLKNYLYSFEFLVDNKSWRASVHRYETGKTRYAHYRQSDEILADAGWHCSFCFRRISEFIFKMKAYSHNDRVRFSHFLNPKRVQRVICKGADLFDMLPEEYTFKDIIGKMGPIPHSFSAVHLPSYLLENAEKYRFLLPGNCIRESE >A02p057200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34412342:34415255:-1 gene:A02p057200.1_BraROA transcript:A02p057200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTNHDGRRMVKGKIEIKRIENLTSRQVTFSKRRKGLMKKAHELSVLCDAQVAAIVFSQKGKLYEYASSDMKKMIERCELHRREYFHEERLQKEQQVQDLKDEITIMVNSIELLQRHCRRLMGEDLDTCSVEELKEITIQIEKSLTIVRSRKAKLNEDEVGKLKAEIAGKRELLNERTRLHEMFEEKPLWMQSRNLESEKNASSSGCENIHISNVETDLFIGLPRRV >A10p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13310321:13311768:-1 gene:A10p019420.1_BraROA transcript:A10p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT5G56210) UniProtKB/Swiss-Prot;Acc:Q9FH18] MVLVNESSVLESVEDNGLIGEGASFSLSSSSREPGRRSMMDYNEENSDDHEARASTESVEESPSEIKNNGSSNSLDALTEAFNSYHNLQQVLEKELHKFQELGKEESISLLHGGSESSSCIHSGHDQAGEASSSRWSGSDNTGEKRLTCLDSEVLNLVKNVEHLEIKLEETRRILDVKESQIRELESTISVSGRGTEMDIGIEDIFQQKIEAEIEHLTFSRSVENLKRKIKLIEEEKALAEAHETLSKLEEAETKAENLKNQALDLQDHCVDIKEVQEVKSFKKRVVKTTSCLLLQLGLLFILFNVLLVPESETVVPT >A05p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4355247:4356535:-1 gene:A05p010340.1_BraROA transcript:A05p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTNSPLDIYGPDKSDLYGLLVRSCTNYIIGSVTVYSLLLLATDGSIDIGISHVMCWSIWIWAAVLILQSSEDACLAGGALYLLSQYLVGPYVWYCIIFYVYFQRGNEECERSYGRK >A08g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17399771:17401010:1 gene:A08g509070.1_BraROA transcript:A08g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLVGVKDKVYPEGTHLMVPWFERPIIYDVRARPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEIYRTLAENYSERVLPSIIHETLKDIVAQYNAKPAYHSERERKILTQRATNFNIPLDDVSITTLTFGKEFTAAIEAKKVAAQEAARAKFIGESKSAQLIGQAIANNKAFITLRKIEAAREIAQTIAHSANKVYLSSDDLLLNLQGMNLEVDPKK >A02p021750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10225715:10226050:-1 gene:A02p021750.1_BraROA transcript:A02p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKATFICILMFSLFSLHQCGQMDVGEIDSSTKFYVPKCVKRKCGFGAEIDCWCCHRDSSLCYYNVDDCVDDNNCPL >A02p036090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20598118:20598589:-1 gene:A02p036090.1_BraROA transcript:A02p036090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLSGDIFCGGNMRNVCTNLGDVVSFWHHHSLHYLLIALALLDFLGRAFVMVCGECRKTSVLISPTILAMLVRSVTSGIRAHERLSSLNLECQSRFKPI >A07p025090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14469314:14474352:-1 gene:A07p025090.1_BraROA transcript:A07p025090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTERGRAMWRTCLASAFRTALACTIVGAATLYGPEWILRFVAFPAFSYVTVILIITDATLGDTLRGCWLALYATCQSVAPAIITLRLIGPARLTAGTTALAAALAAFVVVLPNNLTHLVAKRIALGQIVLIYVIGYINGAETEPVMHPLRVAASTALGVIACVLALLVPFPRLATCEVKQSFKEIGQNVTTRVKLYMKAFCAEDATSAMASVSQARELSRMSSKLYQTIKRYQPSMRWERLPFKIWRWQNVNDNKGEKLQSMEIALRGMEMVLARKTPIPSSILAGEVKDGIKNMQERVILAIKRVNNIPQPSVTPETDLEKPNECLQKLQEIPETSQDLPFYFFLFCLRLLETISTAKPEENKAKPEEKNKGSVKSKNRSWISGWDSKKVMPAIKLSLSLGLAIFLGSLYSKPNGYWAGLPVAISFAAAREATFKVANVKAQGTVIGTVYGVMGCFVFQRFLTVRFLSLLPWFIFSAFLSKSRMYGQAGGISAAIGAVLILGRKNFGGPSDFAIDRIIETFIGLSCLIMVELVLQPTRAANVAKLELSRSFHALYECASLFGAKPSKAKIVESQKKLRSHLNALKKCTEEAQAEPSFWFSPFNASRYEKLFKSLCRMADLLEFSSHAIGFLGEQGKGKSPQCKEILRDVDKDLKSLTQSIGILAKSFEEITLLKSLDALEKALVKNDNSSWDIELGKTPNPSFSSPESEPEKILNTYLQHCRGVADGMFRAEEEREEVEVDKSEVVLSLSALGFCVEKMGQEAIEIEEMVKEVVQSENPTSHVNLHEISCKILSRTPPSPVLLLTPSRTCLDAAASLPPLWASNDSVLHLLLCEGLCISSFDSLYYATPDLFSFSICPRQWWLSLLDLLCSEDIAFICTGRGTGIKMDKGWCYVSFSMCTKKL >A10p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16347382:16359708:1 gene:A10p025500.1_BraROA transcript:A10p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNKSTHLQMARSGNGSRGQKIDLLTNHFGVNFTTSKSQHFFQYSVAITYEDGNPVEAKGIGRKILAKVQETYQTDLGSKHFAYDGDKILFTVGPLPNNKLDFSVVLEDMSCTRSNTSTSDANTKRLRPHNQSKRFNVAISFAAKISMQAIQGALQGKETNDLQDAVRVLDVILRQNAATKGCLLVRQSFFHNDAKYFSNNHGGFHLCRGFHSSFRATQGGLSLNIDVSSTLIVSPGPVIDFLVLNQEVRDPSSIDWKKKKQGNGETKEVEVTVYDYFTKHLEIELRHSGGLPCISVGKPKRPTYFPIEQCHLVSLQRYTKAPTIFQRSKLVKESVQKPQEKMNVLNNAIKDSGYNNDPMLQDCGVRIDSDFTQVEGRVLPTPRLKVGNGEEFQPRDGRWNFNNKKLVEPATVTRWAVVNFSARCDTKRLISDLIRCGRMKGINVDPPYKVVFQEDPYYRGAPANIRVEKMFEQMQSELRKEGIEGKPKFILCILAEKKNSLVYGPWKRRNLVEEGIVTQCIAPTPKINDQYLTNVLLKINAKLGGLNSLLAMERSPRAMMPLVTQVPTFIVGMDVSHGSPGQSDIPSIAAVVGSREWPLISKYRACVRTQSRKVEMIDNLFKPVTNEKGKQVDEGIFWELLFDFYISSGKKRPEHIIIFRDGVSESQFNQVLSIELDQMMQACKFVEENWEPKFTVIIAQKNHHTKFFQAEGPGNVPPGTIIDSRICHPRNNDFYLCAHAGLIGTTRPTHYHVLYDEIGFSTDDLQELVHSLSYVYQRSTTAISVVAPVMYAHLAAAQMSTAVKFEDSSETSSRHGGITTSEAELVPPMPKLNANVASSIIFTLPLLALVIILFYQNLNEGCCGGGDGKTEMILTEEALRKVLGISKAASSGTRIAAGSAWEDIRGPDPLPLFFRDVIESWRLWWAQGCISDWFLVRKTGRSEDNQHTWEPKRSSQMYEGAVTSFEARSEADQRRQEREREKHPKHLEEAIAPPADTWEKTRQRIVAVLIHTETSQLLWSMNQSPSDLLLPRRRLNRNNRSSLKKGKTIRMGQRALQRVPPSLPSRTPSLPPPSSSSPSLRCAPSSRRPLRPRPMSSHIVGYPRIGPKRELKFALESFWDGKTGAEDLQNVAADLRRSIWRHMAGVGIKYIPSNTFSYYDQMLDTTAMLGAVPSRYGWESGEVGFDVYFSMARGNDSVPAMEMTKWFDTNYHYIVPELGPSVKFSYASHKAVEEFKEAKALGIDTVPVLIGPMTYLLLSKPAKDVDKSFCLLSLIDKILPVYKEVLIDLKSAGARWIQFDEPILVMDLDTNQLQAFSDAYSHMESSLAGLNVLIATYFADVPAEAYKALTSLKCVTGFGFDLVRGLETLDLIKVDFPRGKLLFAGVVDGRNIWANDLSASLKTLQTLEDIVGKEKVVVSTSCSLLHTAVDLVNEIKLDKELKSWLAFAAQKVVEVNALARSFSGVKDEALFASNSMRQASRRSSPRVTNATIQHNVAAVIKSDHRRSTKVSARLQAQQKKLNLPPLPTTTIGSFPQTPDLRRIRKEFKARKISEIDYVQAIKVEFEKVVKLQEELGIDVLVHGEAERNDMVEFFGEQLSGFAFTSNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQKMTKRPMKGMLTGPVTILNWSFVRNDQPRYETCFQIALAIKDEVKDLEKAGITVIQIDEAALREGLPLRRSEQELYLDWAVHAFRITNCYVRDTTQIHTHMCYSNFNDIINSIINMDADVITIENSRSDEKLLSVFHEGVKYGAGIGPGVYDIHSPRIPSSEEIAERINKMLAVLDSKVLWVNPDCGLKTRKYSEVKSALSNMVAATKLIRSQLNKLRRRRRRQRTWKINDKDLSVWFKGPSSCRLKFLVDSSCSHSLSHSLL >A03p046050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19384072:19390775:-1 gene:A03p046050.1_BraROA transcript:A03p046050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTSADSVINLSRHETFTNQINGFCSSKRILSHSVCTVVAAAAYSTQTDTLLQGQSLKDGQELVSAFNIFKLKFFNFKNSSNLYLGVWYNNLYLNDIQDRPVWIANRDNPIPRRPGSLTIDTLGRLKILRGASSLLLNLTSGSFVFGMDANISNLLTILWRGERYWASSLWFKGRFSLEAFNNYESVFSFNSTESERYFVFSVDRRYPETVFPTITIDQHGVLHINRLDRELAHVRCSPFTLSKEVSSRDRYGQDYSGRYEKEYRDWYEKENRDRYRDQYEREYSDQYGGKPRGWYEKNSSDCYGNKSRDCLQAGCTVPDMAHGLLNCNPFRSTYFEEAGPALLGSGSVLNETGGRLSSADCHVICLQNCSCVAYASTNVDGTGCEIWTDPCKLWYTDPTNNGSSSSPTSRSIYIREQGPTCMESDSGNYFPGFGGDRDNGNPVVWLIVGASLFLTILVIGFIIYKYKVKVTDIFRKTFYFLRGKTIQLSKAAFYFSREKIIQPSKTAFSYLWRKIIQPSRHRRSARKNNNELNIFSFESVALATDYFSDENLLGEGGDVDEWGRSGDQETINASGQGLVEFKNEAMLIAKLQHTNLVQLLGCCIEKDEKMLIYEYMPNKGLDYFLFDPLRKNVLDWRLRFKIMEGIIQGLLYLHKYSRLKVIHRDIKASNILLDEDMNPKISDFGMARIFGAQESKANTRRVAGTFGYMCPEYFREGLFSAKSDVFSFGVLMLEIICGRKNNSFHHDSEGPLNLIVHVWNLFKENRVGEVIDSSLGDSALENPQVLRCFQVALLCVQEKPEDRPSMLDVVSMIYGDGNNALSLPKEPAFYDGPRRSSPDTEVEQPETENASVNRVSITVMEASSIQPPLTRAKSPKLGRINQNKGP >A07p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6335732:6340485:-1 gene:A07p010170.1_BraROA transcript:A07p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E/G-like protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04270) UniProtKB/Swiss-Prot;Acc:F4IV66] MDVAEVPWRRLPQFSVSPRSSWLVSSGFPVSSIDYVFVLCFSMNLVESLSFNRYMFSHIERGQRFRLTLCFGVSRIRARSATITAAQQEHPARLLKGLCEVVWIVEADLAPNEHLYVTGDPSALGSWEPDCAISMYPTGNDNQWEAKVKIASGVSFRYNYFLKAGYDSSFDVIWRPGPQFSLSLPSAVNGDRKVIIRDSWMSVSSQSQDSYLWGSWIDDAHLLPNSVTSGHSEDECTLSDTAVEVPRPLLNDKQLEDESFFSDELATFSSDNSDFSALFSDNYQPIEEPWFLQEPVTLQHARNMQSDSEQVVESSEEIENNLDTDEENHQPAETLLHDDEFFKREPISTTILINSSICTVQRIAVLDGEKLVELLLEPVKTNVQCDSVYLGVVTKFVPHMGGAFVNIGSSRHSFMDIKPNREPFIFPPFCDGSNKQATDGSRFDSITNIPAPHETEHASYDFEASSLLDIDSNDPGESFHDDDDDHENDEDHVSDALINGTVVNHGGVEGASDKCNQGDELHLDVGSENGIVPLEREHVAKSPKNVSSRDNKWIQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLMTRCKRIGVSKKISGIERTRLKVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLEGLLLTWKTITEEAKSASLAADEGVEGAIPALLHRAMGQTLSVVQDYFNDKVEKMVVDSPRTYHEDMAPDLCDRVELHDKGIPLFDLYNIEEEIEGILSKRVPLPNGGSLVIEQTEALVSIDVNGGHGMFGQGNSQEKAILEVNLTAARQIAREIRLRDIGGIIVVDFIDMADESNKRLVYEEVKKAVEKDRSLVKVSELSRHGLMEITRKRVRPSVTFMISEPCSCCHATGRVEALETSFSKIEQEICRQLAKMEKRGDLENPKSWPRFILRVDSHMSSFLTTGKRTRLAILSSALKVWILLKVARHFTRGTFEVKPFMDEKTVNERPHQVAISLLKKADAIADSSGKKKLTLIPMKKDKTGSKHRR >A04p039240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22188224:22192397:1 gene:A04p039240.1_BraROA transcript:A04p039240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFCASQVSSTIAFFSIRRHAPPESLIPDSVSVVDCLSSHGSSVTALARLRSRVGQQSSLSNSVRWIQMQSSTDMDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNIAVDMVIGGMRGMTGLLWETSLLDPEEGIRFRGLSIPECQKVLPAAQSGGEPLPEGLLWLLLTGKVPSKEQVKALSQDLANRAAVPDYVYNAIDALPSTAHPMTQFASGVMALQVQSEFQKAYENGIHKSKYIFPSRFWEPTYEDCLNLIARVPVVAAYVYRRMYKNGDSVPADKSLDYGANFSHMLGFDDDKMKELMRLYITIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKDQLKEYVWKTLNSGKVVPGYGHGVLRKTDPRYVCQREFALKHLPDDPLFQLVSKLYEVVPPVLTELGKVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLALERPKSVTMDWLDAFCKKAKASSA >A08p029400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18209247:18224191:1 gene:A08p029400.1_BraROA transcript:A08p029400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STY17 [Source:Projected from Arabidopsis thaliana (AT4G35780) UniProtKB/Swiss-Prot;Acc:Q8RWL6] MADSPNEERDSRSPPPPRKEQPRSRSRSRSRSMPRDRSRSRSLPRHVSPSRTRGRSRSRSRGRSEIENPGTTLYVTGLSTRVTEKDLEAYFSKEGKVASCVLVLEPRTRESRGFAFVTMDSVKDAERCIKYLNHSVLEGRYITVERSRRKRPRTPTPGHYLGLKSSRDNDRDSRSSRGRHYDRDDSGHRRSPPRRDLSPRDHGRRSPRDHGRSSRRDRSYSPRGRSPERRSERRGTRSPAPRKKQLRSLIISTFRSKSRSIPRNRSRSRRPLPRPLSPSTRRGRSEIENPGNTLYVTGLSTKVTDKDLEAHFSKQGNVASCVLMLDPHTRESRGYAFVTMDSLRDAERCIKYLNKTLLDGRYIKVEKSHRKRPRTPTPGQYISLKRSKDNEKDGDGHSSRGKHDDPDDSGHRWLPRRHNSPRDERKSPRRDHSPGGGRSREKSREILLETVMGLTISCSQKRTTEVRVQTEVEIQVQFHSEGKVKIFGKAYYISTKKPYKSRTRILKHLRGHRSVTKARPSFTSWWKKVPTCNTTKRSCPPWLFNQAYSEGTHPLPLSLISSPALLESLDHSHLFVRAFWIRMAIKDETEESCGSRAVVSVTKENPRQQRMKLEVYGDVLQRIQESNYEEANLPDFDDHLWLHFNRLPARYAMDVNVERAEDVLTHQRLLKLAEDPATRPVFEVRCVQVSPSLNGHSTDTDASDPAVKEDAQSSYHSSRQGSSSAFTQAYKHHAEDDDSAVNAQFPNSRPMHEITFSTIDKPKLLSQLTALLGELGLNIQEAHAFSTADGFSLDVFVVDGWSQEETKGLKDALKKEILKLKDQPSSRQKSITFFEHDKSTNELLPACVEIPTDGTDEWEIDMKQLKIEKKVACGSYGELYKGTYCSQEVAIKILKPERVNTEMLREFSQEVYIMRKVRHKNVVQFIGACTRSPNLCIVTEFMARGSIYDFLHKQKGVFKLQSLLKVALDVSKGMNYLHQNNIIHRDLKTANLLMDEHDLPYSYLTPLQAAVGVVQKGLRPKIPKQTHPKLTELLEKCWQQDPAQRPDFAEIKEMVTQLLHEVGDEEHQKGKRSGYFSGLRKGHH >A07p044440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24249410:24250072:-1 gene:A07p044440.1_BraROA transcript:A07p044440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGSKKTAKIMKITGESFKMQTPVRAGTVVKDFPGHVLLESESVKHFGIRAKPLDPNQNLESKRLYFMVELPRTWKERAPRRVRSGIQMSAKERLENLKLSRRSSSDLSVMKKEEVDEEEREVMTSVKLRLPKWKVEKLRKESESGSDFSDKITALCLLNIQRQRLLHNGGSSFGIGDEEGVVKAQEVINSF >A07g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23639344:23644837:1 gene:A07g508620.1_BraROA transcript:A07g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRYSREEKGKWTAGASRPGRRLPERSSLDRSLSERQHSERNLEYEKLEKHCFLCYSLCHEKDTCPLNRDISPNKEAPHGISQQNTLRKLEDNRRKNEARRPSSFSSRDADHGDYQRTSQRSIYSRLQAQEGRNLSSRNRERPYLSRVEERSLIRERLNGRERTQERDHSSHHSFPAQHHRSPPRRDSRGLSPNHRSQGDRRSQATLQKSHSTRTPPPRPNREEMVLPGALEQGEVNSRSRDRVPALERIEEVQVQTVERLPALERIALPVEEHQRSGGLSSSLLARLQDVEVRYEDEEHLSPLLAEGSSRRQLNIISPGNQGSPRIPAALRLGSSSGQKNATNPPKPSVRRTQPARQASKRKAPTVIKGATKTRGIRSPLQGTRLSRQLVQRAKPNARKRLCVDKYEQRQNKIRLHLPHYEEDIRLLIPGSSKPKDRQVWLPDSSGEYSFNSGCGEAESIAHLFLECPFAENLWEMAPLAQRDVAGQLNESMKEWLSRVVIFKALPPIGLSSSPLIPWFLWKLWTARNNLVFEGKLFQVEDIISKTVVEAKAWELANCTLEKKQKANPGARNVL >A09g518790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57369682:57370630:1 gene:A09g518790.1_BraROA transcript:A09g518790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLFQPVDDPVNGDNRYHEEATSYHKIFRCLLFCSDQISVSNSELFVEFFKTSNARKPKQIIIFRDGVSESQFNQVLNIVVDQIIKVVFQSSLARSGFYQIMFLVKAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKISFTPHCICLCFTLPISQGTSRPAHYHVLLDEIGFSPDELQNLIHSLSYV >A05g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23503425:23505084:1 gene:A05g507990.1_BraROA transcript:A05g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKPGAGGLPVPRQHLCGVHLVVLHETRDIPHPMAPFAHPFRPPVGVHYGRISPPGKPTPLQEELCYAPPIPIERKSCLVIPRLQHVRSLTPRAVAAVSRTDRRLTCTASLAAAKGLDAPPCYGSLRSESGAALDESTSGQIYSELIATESEFVTDNSQLVKLSGSVLPGNKGFLSFLHWLLVEPLEWNNIRISGDLSSPLTRYRLSLCRRLLPNGIIVRYAPRSSKKTDSSFPKRSSLFLLT >A06p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23703614:23705642:-1 gene:A06p044080.1_BraROA transcript:A06p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLSLICLLVSVTAIAADLTPERYWNSALPNTPIPNSLRHLFTSDFSDEKSTNVQVGKGGVNVYTGKGKPGGGTAVNVGKGGVHVNTGKGKGTHVSVSGGKGHGGGVGVHTGKPGKRTDVGVGKGGVIVHTRHKGKPVYVGVKPGHNPFVYNYAASETQLHDDPKAALFFLEKDMVPGKAMNLRFNAEDGYDGKTAFLPRGEAETVPFGSEKFSEILNTFSVKPGSGEAEMMKKTIEECEAKRVGGEEKYCATSLESMVDFSVSKLGKDHVRAVSTEVAEKNAPMQKYRIAAAGVKKLSDDKSVVCHKQKYPFAVFYCHKAMMTSVYAVPLEGENGLRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGSVPVCHFLPETHVVWFSY >A07p040370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21424660:21425426:-1 gene:A07p040370.1_BraROA transcript:A07p040370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 4 [Source:Projected from Arabidopsis thaliana (AT1G66140) UniProtKB/Swiss-Prot;Acc:Q39263] MRSILDLEAEASSEHNHPEDTSSQAASNLSPVEEYCRPISLNLSLRFNNNNNNNLDLESSSLTLPISSTSESNNPEQQQQQQQQPSALKRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRAMRMGLAGVFPRGSVPPTPQLLSLASRCMAHGSSHDLRPAPETIIRNIARFNQGYFSNCVPFYVEDDDNELLWPGSFRQAARAVTAEACNDNLGGSKMDFLEAKQAVDMESCLPDLTLKL >A08p005040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2938588:2942665:1 gene:A08p005040.1_BraROA transcript:A08p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLKILGNFKLSLAVSGQRKMAEIGEREETIEGRNVGAMWDLEQKLDQPMDEEAHKLKNMQKEKGLSTLMLLRLAFQSLGIVYGDLGTSPLYVFYNTFPDGINDTEDVIGALSLIIYSLLLIPLIKYVFIVCKANDNGQGGTLAIYSLLCRNGRLKLIPDQQRSDQELTTYGRTFLPEGSMAAKTMNWLEKKDSRKRALLIIVLVGTCMTIGDGIFTPAMSVLSATGGIKVNNPQMSSDTVVIVAVLILVGLFSMQQYGTDKVAWLFAPIVFIWLLFIGGTGLYNICIYDTSVLKAFSPTYVYMYFRRRGRDGWVSLGGILLSITGTEALYDDIAYFPLLAIQLAFTLFVFPCLLLAYCGQAAYLVKNKDNYANAFYESIPGTAVAVVMLVTTVLMVLLMLLVWRCNWFLILVFTVLSLMVEGAYFSAVLLKVNQGGWLPLVIAAVLLVVMLVWNYVKIKRYEFQVHSKVSMSWIISLGPSLGLVRVPGIGIVYSELASGVPHIFSHVITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGSKTFRMFRCVARYGYKDLQKKDDDFENKLFNNLFSFIQMERMMEPVSNSSNSSSASICSQPHQSREVLINNNDMDMFSSMVDYTVSTLDTIIPLDIPINAASYYPDRAVGEEETNELEFLKSGRDSGVVHIQGNTVVKARRNSSLPKKIAINYVYAFLKKICRGNNVIFNVPHESLLNVGQVFYV >A08p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:74705:87389:1 gene:A08p011400.1_BraROA transcript:A08p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSTEEDEVLTIPKGPMTRARARKLKEAIGGLIRKIIDQDLIISFHHLLNLICYCFDFESDRIQIVEAMGSKEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSHSSEFIQQDVDIKETINKDVKTEERWAKWSWIVTGPNGCEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHSYVLHSKLGLSCTDRLV >A02p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10934326:10937896:-1 gene:A02p022820.1_BraROA transcript:A02p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRAGLLKKAHEISVLCDAEVALVVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLIAPESDVNTNWSMEYNRLKAKIDLLERNQRHYLGEDLQAMSSKELQNLEQQLDTALKHIRSRKNQLMYDSINELQRKEKAIQEQNSMLSKEIKEREKILGAQQEQWDQQNHGHNMPPPPPPQQHQIQDPYMLSHQPSPFLNMGGLYQEEDSMAMRRNDLDLSLEPVYNCNLGCFAS >A07p044350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24186111:24187224:1 gene:A07p044350.1_BraROA transcript:A07p044350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQSVSKTSKSLEGLHGVHVVSHSPFAFEGISQVSSFGSSDAGTKQSLFIERVWQQRPPCLRPIHCCIHGDQSLLETAANVITSLPFIFLGMQTPRKNLNMKVYANSLIGVGVASSLYHSSRGKLRKYLRWADYTMIATATVCLSRALREENPKFLMAASALALPFQPLVVSAVHTGMMEVAFAKRALKDPDLKTAHNVHKMSTLLGGALFIADDLFPETPFIHAGWHLAAAIGVGTCNKLLQ >A07p022850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13304231:13305671:1 gene:A07p022850.1_BraROA transcript:A07p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATALSRLNPISQIGFQVAAKSNKTFFSGAQRRLFSDSGRRLRGGAMATSGSLPVFGDACLDDLFTACANNGLDFTKKPSSPGGVAFLTAASVRLGRKREHLKNRLVCHYSSIDPLDKTPSLFGGFSKTIHTTSTVCFSAHELSTSQDSDLSPTTTSLKCLKLVSGSCYLPHPEKEATGGEDAHFICDEEQAIGVADGVGGWAEVGVNAGLFSRELMSYSVAAIRELAKGSSIDPLMVLEKAHSQTRAQGSSTACIIALTDKGLHAINLGDSGFTVVRDGTTVFQSPVQQHGFNFTYQLGCGDSGGDMPRSGQVFMIDVEAGDVIVAGTDGVYDNLYNEDITGVVVSSVRAGLDPKGTAQKIADLARARALDKKRQSPFATAAQEAGYRYYGGKLDDITALVSYVTPA >A01g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25651696:25654545:-1 gene:A01g509490.1_BraROA transcript:A01g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLVSGSRFGFSDLEEFWDDLLVSRLEVVWKSSGLPKSRLDFLSLLDFLKVVWSCLLKWNPSLRLKCKSSREVKLPKLSIDDLTFSRLRLQISKSIAKITFALTRRLPGKSSTARRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p001130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:90088:91770:-1 gene:A05p001130.1_BraROA transcript:A05p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glutaredoxin-C12 [Source:Projected from Arabidopsis thaliana (AT2G47870) UniProtKB/Swiss-Prot;Acc:O82254] MERVRDLASKKAAVIFTKSSCCMCHSIKTLFYELGASPAVHELDKDPQGRDMEQALFGASGSTQAVPAVFIGGRYVGSAKDIISFHVNGSLKQMLRDSKAIWLQ >A06p016500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7398670:7398981:1 gene:A06p016500.1_BraROA transcript:A06p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNTKLKKKLSQMGIGHVTHRPFSLVLAEYGNINGGGTLNPNPLNHTASLRHNHSLNEDSHQQQPGDSGDLMFHLQAIKAYDRVIKPSQARIELYVCLFVFL >A02p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:240338:241514:-1 gene:A02p000430.1_BraROA transcript:A02p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYVQPLLLLLGSLFFLPALRAVNFEYCNKSGYDFGNVTHVNISPNPVGPDVGELSITVIGYAKESIHAGSIEVYAKSENITDLLRKQPCTIESGTKFVLPLSEVPKDILEGDYKYVVSLLDEKVGDSKEAKVRMCVDFDLPTSSSTLSSA >A01g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2439622:2440198:1 gene:A01g500630.1_BraROA transcript:A01g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPMMMKQAQTILTKYGRRGISSIAESPMPARVKHVWETQDKLVLGLAFVGGWFAKASLNVLKRREDWYRVHKFVDDEKKRLEEKRARNEKEMGID >A03p055550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23995001:23997463:1 gene:A03p055550.1_BraROA transcript:A03p055550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSELKSVLRISQVQLNYLAVASDLGKVFGWSSGLALMYFPIWTVLFAAAFMGFVGYGVQWLVITNFISLPYIVVFLCCLLAGLSICWFNTVCFVLCISNFPANRSLALSLTVSFNGVSAALYTLAYNAINPTSPELYLLLNALIPLVISFTAIIPILRQPPFEPLPPDGVRRDSLMFLILNILAALNGVYLLLFESNSSALTSARLLFGGAILLLILPLCIPGLVIARNWYLRTIHTSFRLEGSGFILVDPDELELHKGMLAHEANRESYQLLTDDVVPNPVKTIAVEEGDADESSCCKKLITRGQLELLGIEHSLWQLLSRADFWFYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSNTTTLVTLYSSFSFFGRLLSATPDYIRA >A03p038420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16030950:16034058:1 gene:A03p038420.1_BraROA transcript:A03p038420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine--glyoxylate aminotransferase 2 homolog 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G08860) UniProtKB/Swiss-Prot;Acc:Q9SR86] MRMLTTAVNTLLKRENSFLQRHGFAHVVAQRTNSVHQTETKAPKIPPFSYSPPPYDGPSTAEIIAKRREFLSPALFHFYNTPLNIVEGKKQYVFDETGRRYLDAFGGIATVSCGHSHPEVVESVIKQLKLIQHSTTLYLNHTISDFAEALVSTLPGDLKVVFFTNSGTEANELAMMMARLYTGCNDVVSLRNSYHGNAAATMGATAQSNWKFNVVQSGVHHAINPDPYRGIFGSDGEKYAREGVGGIVELAPGYLPVAYDIVRRAGGVCIADEVQSGFARTGTHFWGFQSHGVIPDIVTMAKGIGNGIPLGAVVTTPEIAGVLSRRFYFNTFGGNPMCTAAGHAVLRVIREEKLQENALFVGSHLTRKLTQLKDKYELIGDVRGRGLMLGVEFVTDRDLKTPAKSETLHLMDQMKEMGVLVGKGGFYGNVFRITPPLCFTLPDADFLVDVMDHALSKM >A07p027990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15893885:15895853:-1 gene:A07p027990.1_BraROA transcript:A07p027990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLKLPIFLILSSLLHAALGEDIVCENLPTNMCAFSISASGKRCLLETANVTGEYTCRTSAVEVEGIVNHVESDECVAACGVDRKTVGISSDAMMEAGFAAKLCSPACLDYCPNILDLYFNLAAGEGAFLPDLCDAQRINPHRSMLEILSSGAAPGPVSETAPGPGSDSDSSAPALAPAAILCLQNICLVDQLWTVESHYNGNGWMKLKMNN >A01p015960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7838262:7838564:-1 gene:A01p015960.1_BraROA transcript:A01p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 6 [Source:Projected from Arabidopsis thaliana (AT4G23690) UniProtKB/Swiss-Prot;Acc:Q9SUQ8] MDKNYLSEPLARAQGFYFYDMKTDYNAWFCYTLVFNSTEHKGTLNIMGADLMMEPTRDLSVVGGTGDFFMARGIATFVTDIFQGAKYFRVKMDIKLYECY >A01p057080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31942948:31948851:-1 gene:A01p057080.1_BraROA transcript:A01p057080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSTKRMIMFLQLLTSLLLLHLSISSSSGEAVNSSENGVCIISKKGKLPKPSDLNMCNAFHGKTRCSASSALQNLATYGEASKDCLYLFELLECSDVGPLRICASFCDREFEACSDAYFSTSGASNQVIVPCGASNGIICVKVSKWGTNGTSFCEAVGFTVVQTADDSACYGSSISSFGPAVKSLIKTENVGRFQDLKKLVREMTLVQQFSWVVALSATDASYDPEGCEKIDKMHEWEDLMMMMFLQLLTPILLLPLLISSSSGEAVNSSENVGVCVSKGGRSHQPYELEGKLPESADLEFRDLNMCSMFHEKTCCSASRMLSSSLALQNLATHGEASKDCLFWFELLECSICHPDVGVQSGPLRVCASFCDTVFEACSDAYFNTSDSTNQVIVPCVASNDTICEKASKLETNGTAFCEAVGFTVVQPAGDSVEEPCYGSKRVLETVVPVVESLMKTVRLQDRNYELLILDLQTCLKIAVVVLESTMIYRKMVNTQSTPPSSLTTRRLMTLSISFPGKVGGDITFMVSSATSEAVNSSENALHLNLCNAFHGNTCCSSSLALQNLATHGEASKDCLYLFELLECSICHPDVGGPLRICASFCDSVFKACSDAYFSTSDSTNQVIVPCGARNGTYICEKASKLETNGTSFCEAVGFSVQAGDDSVEVPCYGSKRVLVTVVESFKKTVRLQDVKNKVVMINLQMCWSASVLALTITLVNMLVYQQERDERRLRRRNRNRNRNRRRF >A05p024180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11714602:11722326:-1 gene:A05p024180.1_BraROA transcript:A05p024180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDYHELIGEGSFGRVYKGRRKRKFIMKQGKSEKDIQSPRQEIKILRKLKLGNIIEMLDSFENEREFCVVTEFVQGELFEILEDDKRLPEEQVQAIAKQLLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVIFLSYLSPYFKSPDEMSPYFKSPDEMSPYFKSFLKGLLNKVPQSQLTWPALLEQPFIKDSLEEVEAREMHTAVVRHKAAWRLKESGGQQKNGLTNFKKCDSSTPFKDASAPGVVAAAQSDMKSAVKVNYPSPEDFLGFSTHEVIKSSDGGGGGSYEGGVTGFVIITCIVAAMGGLLFGYDLGISGGVTSMEEFLSKFFPEVDRQMHNARRETAYCKFDNQLLQLFTSSLYLAALVSSFAASVVTRKYGRKISMFVGGLAFLIGALINAFAVNVAMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKLRGALNIGFQMAITIGILIANLINYGTSQMARNGWRVSLGLAAVPAVVMVIGSFVLPDTPNSMLERGKYEQAREMLQKIRGADNVDEEFQDLCDACEAAKKVEHPWKNLFLQAKYRPALAFCSAIPFFQQFTGINVIMFYAPVLFKTLGFADDASLISAVITGVVNVVSTLVSIYAVDRYGRRILFLEGGIQMIICQIIVGSLIGAKFGTTGSGTLTPATADWVLAFICLYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAVNVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFGGMVLIMTIFIYFLLPETKGVPIEEMGRVWKQHPFWKRYIPDDAVIGGSEETSVKERISQQDVRICRPRGQDEEEWK >A04g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11971103:11974536:1 gene:A04g505700.1_BraROA transcript:A04g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQGLPLLYQHFTALFKKNLLLSWRNKRSTCLQLFSSFFFILVIFCIEEAMKASEASSSAYKNVTDPALLFSLPILPCEDKFFVKLPCYDFVWSGNNSRRVTDIVSAIMANNPGRPIPTNKVQSFKAPEELWSSDKFLIGEKKRGRIEDPTFKFLVPLQIAAEREIARSLMGDPKFGWDFGFKEFARPAIIAEVISALKIVSATGPYSNAYPASRRAIWSLFPPNTFSAGLKLLLDATSTPASSGISWSERAVCEGGMILFSSGKLGHSFSGLFSPSTLTIIPSASGVRKPIFYFLTPGYWTGKGGNKVEEGSIFSCIGSVPPVEHVAPEDQDVLEEETLVKQQAMDGIVDPNIAVPIHGLAETYPGTTKLGFVDEYNQRPVVLSAWTQWCREDNFYQLFDWHKSNYWWGALIYGDSVRSSVGMSNIRKMIGVCPQFYILWDALSSEEHLHLFDSIKGLPPASINSTAEKLLADVKLTGAAKVRAGSYSCGMKRRLSVAVALIGDPSWSS >A02g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25999557:26001241:-1 gene:A02g509730.1_BraROA transcript:A02g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSKSKNMNQEAISQINGRPVLQPKSNQVPTLDRRNSLKKSPPKSLIHPIASKIASPRPISLNSPPLSPNSKPIRKLAYYEKPKPAAKPVKLSERTDGGCREVKSTVTVQKQPGSIAAARREEVAMKQEERKKKISHYGRVKSVLSNEKSLNVEHEKKKRCSFITTSSDPIYVAYHDEEWGVPVHDDNLLFELLVLTGAQVGSDWTSVLKRRNTFREAFSGFEAELVAEFNEKKIQSIVNDYGIGLSQVLAVVDNSKQILKVKRDFGSFNKYFWGFMKHKPVTTKYTSCQKIPVKTSKSETISKDMVRRGFRFVGPTVIHSLMQAAGLTNDHLITCPRHLECMAKAAL >A07g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17861822:17864059:-1 gene:A07g506950.1_BraROA transcript:A07g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRLGKRKDRPPPTAPPPQKSGTSKNSKKNKPKKSSKKRKTTDEESPAVDFVGTVGVAEENEVEEPAKDVEDREKEKEESEKEKEREEENGDEDEEEEENSDESQEEKDENGDKDEEEEGNSDESQEEKDENGDKDEEEEGNSDEEVENKDEEKIQEEEDTGEEENGTPEENRGQNENENQEQGEPPLEAELGNVDGDGEGVLGQGEEEGGASQKKMMEELIKQVKMFGTQLKRVKKTMDKFEERMVVPFEAFMKKAMDEGQGSRE >A03g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7213573:7214319:1 gene:A03g502220.1_BraROA transcript:A03g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVILPMLHGNGSGYVEAEANVLEARFRKLSQGSDSDSDSEAGSGRPMKLPCNVGFYKLKKYFLRLSVYVNL >A07p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6539455:6541092:-1 gene:A07p010420.1_BraROA transcript:A07p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTAARVWTSMSVLLRRNSRQLWSLASSPSSNSIPPRTISSSGNLLCKVLLNESCKRPAWYVGYLTSPWFHSTPARETTTSNPENSLSQDGVASTKPKRKKLKGKRAVVRWLKFFRWKKKKEVERMTAEEKILNKLRKARKKEERLVETMKKLEPSESSETTHDPEILTPEEHFYYLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVVIKTFTPEEVNEIAVELARLTGGIVLDVHEGNTIIMYRGKNYVQPPTEIMSPRVTLPRKKALDKSKCRDALRAVRKYIPRLEQELELLQAQCEATKGDSPSVVKIDDDQRSEELKKIIEGSQECFEDEQEEEELATDSDLSDIFETDSESENDKAERPLFLEEFEKFPAINNKVGKEEEEDFGDPGKTKSRDDKEDESPDFDEVDKMFLRAASLLKKKRR >A04p021040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12842089:12844092:-1 gene:A04p021040.1_BraROA transcript:A04p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g21090 [Source:Projected from Arabidopsis thaliana (AT2G21090) UniProtKB/Swiss-Prot;Acc:Q9SKQ4] MPISNPQKRPICVARSFLSSHAAKEELSQAVSRLESLTKQGIRLPFQVLASLLQRCGDTRSLKQGKWIHRHLKITGFKTPNTLLSNHLIGMYMKCGKPVDARKVFDKMHFRNLYSWNNMISGYVKSGMLTRARVVFDGMPERDVVSWNTMVIGYAQSGNLNEALWFYRELRRSGIKFNEFSFAGVLTACVKSRELGLNRQAHGQVLVAGFLSNVVLSCSIIDAYAKCGQMESARRRFDEMVVKDNYIWTTLISGYAKLGDMESANELFTKMPEKNPVSWTALIAGYVRQGSGDHALDLFRKMIALRVKPEQFTFSSCLCASASLRHGKQIHGYMIRTNVVPNAIVVSSLIDMHSRSGSLESSERVFNLYGDDKRDCVLWNTMISALAHHGRGHKALRMVDEMIKIGVNPNRTTLVVILSACSHSGLVEEGVRWFDSMTVDYGIVPDQEHYACLIDLLGRAGCFKELMSRMEKMPFKPDEHIWNAILGVSRIHGNVELGKRAAEELIRLDPESSAPYVLLSSIHADQGNWEPVEKLRGDMKKRRVNKEKARSWIGIGEKVETFTVSDGWHHPRKEEIYSAV >A07p009600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5947465:5951910:-1 gene:A07p009600.1_BraROA transcript:A07p009600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNAFSSILRAASARSFRSSLTPSSSAASPCSLLSQRSFATSSPAFRSLPRWSHCLHSRPSLFRLSSHIRTASPVLDRLERNFSSMASEHHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYYIRILLESAIRNCDNFQVTKEDVEKIIDWEKTSPKQVEIPFKLARVLLQDFTGVPAVVYLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSKNAVQANMELEFQRSKERFAFLKWGSTAFQNMFVVPPGSVNLEYLGRVVFNTQGVLYPHSVVGNDSHTTMINGLGVAGWGVGGIDAEAKMLGQPMSMVLPGVVGFKLSGKMRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSGLSLADRATIANMSPEYGVTMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQERAYSSYLELNLDNFKPCISGPKRPHDRVPLKEMKADWNSCLGNKVGFKGFAIPKEAQEKVANFSFNGKSAEIKHGSVVIAAITSCTNTSNQSVMLGAGLVAKKACDLGLLVKPWIKTSLAPGLGVGFNIVGYGCTTCIGNSGEINESVGVAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGTGKNGKEVFLRDIWPTTEEIAEVVQSSVLPDMFRATYESITKGNPMWNELYVPENTLYSWDPKSTYIHEPPYFKDMTMDPPGPHSVKDAYCLLNFSDSITTDHISPTGNIQKDSPAAKYLMERGVDRKDFNSYGSHRGNDEIMARGTLANIRIVNKLINGEVGPKTVHIPSGEKLSVFDASMRYKSSGEDTIILAGAEYGSGSSRDWAAKGPMLQCVKVVIAKSFERIHRSNLVEMGIIPLCFKSGEDADSLGLTGHELYTIHLPTDI >A08p044920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24721856:24724034:-1 gene:A08p044920.1_BraROA transcript:A08p044920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLTIFLTRMLRSLLILSIVFNLQSLERKLVRVPMTSTREKGLIVSFGEMLIDFVPTESGVSLAESPGFLKAPGGAPANVAIAVTRLGGRSAFVGKLGGDEFGHMLAGILRENGVDDTGVSFDKGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLITEPCRSAHLKAMEVAKEAGALLSYDPNLREPLWPSPEEARKQIMSIWDKAEIIKVSDVELEFLTENKKIDDESAMSLWHPNLKLLLVTLGEDGCRYYTKKFHGAVQTFNVNAVDTTGAGDSFIGAFLSKIVDDQSVLEDEKKLRKVLRFANACGAITTTKKGAIPALPSDSEALSFIKDNQRRKDNTFRVGYVSCLHSSLDSRLQQIPCSR >A06p021940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12513414:12515738:-1 gene:A06p021940.1_BraROA transcript:A06p021940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMRSETLNISNNTSSLASPELLHVLAVDDSIVDRKFIERLLRVSSCKVTVVDSATRALQYLGLDGDNNSVGFEDLKINLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILPRIDRCLEEGAEDFLLKPVKLADVKRLRDSLLKAEERVFKNIMHKRELEANDIFSQLKRAKI >A07p030020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16777162:16779021:-1 gene:A07p030020.1_BraROA transcript:A07p030020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSTETPLLSSNDHEAEVYKPKLTCSVSTMKSNFFTGLPRKLRSKIDPEDPFHIDFSNVVGLTRDEKKYYKRQLATLKSFEEVESFIARSEDHVIGEKREEEDRAERAAQEMAMQISNWANIFLLALKIYATLKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLVAAEQLIANEPPEKMSDDQLVWLYSIMLSATAIKLVLWIYCKSSRNHIVRAYAKDHHFDVVTNVLGLISAVLGNAFYWWIDPAGAILLAIYTIINWSGTVMENAVSLIGQTAPPEVLQKLTYLVLRQGADNIKCVDTIRAYTFGVLYFVEVDVELPENLQLKEAHAIGESLQIKLEELPEVERAFVHLDFESRHKPEHSVFSTIPNDL >A07p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3410510:3411696:1 gene:A07p003160.1_BraROA transcript:A07p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVGLDNSGKTTIVLKMNGEDTSVISPTLGFNIKTIIYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLAGSSLLILANKQDIQGALTPEEIGKVLNLESMDKSRHWKIVGCSAYTGEGLLEGFDWLVQEFTFCAPQVPKIHFSRHTTYRTCLFITTVLILLKRLHVSPY >A09g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19156099:19161653:-1 gene:A09g506450.1_BraROA transcript:A09g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLCGPLGLKPLGNLEAKPCRELPEIKSPSRSPIKASPHFFFSLIRNLEAKPCGEFPETGSPSRRLSLSLLAPPLSSFSLSLRRASFSLSRPRLSLLAVHSREWWWWPRGVIDLRSREVFYPDLTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPNDGGSSWGKKDDGGSSWGKKDDGEMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVEKGNDKKKEKLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGGVIHCKGYGLHPREPDAGCTRAGDLTGTQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPSRKKK >A07p045430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24651963:24654001:1 gene:A07p045430.1_BraROA transcript:A07p045430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEAQKSADVVVVSAEKPMTDDKEVTVPAPVPEKEVTPPAASDEKVAEEAEAEKAAPVKEEETVVAEKVVVLTPEELEKKALEEFKELVREALNKREFTAPPPPPVKEEKAEEKKPAEESKEEDKTDEKKEEEKQEEAVKTEEKPSEPAAAPAETKAEEKEAVPVTTEKATGGEEDGTKTVEAIEESIVSVTPQESAAAPEETTVVAEAEPVEPEEVSIYGVPLLQDERSDVILLKFLRARDFKVKEALTMLKNTVQWRKENKIDELIEAEAGEEASEFEKMVFAHGVDKEGHVVIYSSYGEFQNKELFSDKEKLNKFLNWRIQLQEKCVRALDFSSADAKSSFVFVSDFRNAPGLGKRALWQFIRRAVKQFEDNYPEFATRELFINVPWWYIPYYKTFGSIITSPKTRSKMVLAGPSKSADTIFKYISPEQVPVKYGGLSKDIPLTNGDTVTEAIVKPAAKYTIELPASEACTLSWELRVLGADVSYGAQFEPTTEGSYVVIVSKNRKIGLTDEPVITDSFKVGEPGKIVITIDNQTSKKKKVLYRFKTQPKSDI >A04p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19618189:19619302:1 gene:A04p033750.1_BraROA transcript:A04p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-1 [Source:Projected from Arabidopsis thaliana (AT2G36830) UniProtKB/Swiss-Prot;Acc:P25818] MPIRNIAVGSPNEATRPDALKAALAEFISTMIFVFAGSGSGMAFNKLTENGATTPAGLVAASLAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSVVACLLLKFATGGLVVPAFGLSAGVGVSNALVFEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWSWNNHWVYWAGPLVGGGLAGLIYEVFFINTTHEQLPTTDY >A02p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25399975:25401894:-1 gene:A02p040140.1_BraROA transcript:A02p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNQLGVLKALDVAKTQLYHFTAIIIAGMGFFTDAYDLFSIALVTKLLGRIYYHKAGAEKPGTLPPEVAAAVNGVALCGTLMGQLFFGWLGDKLGRKKVYGITLIMMVVCSVASGLSFGNNSKGVMTTLCFFRFWLGVGIGGDYPLSATIMSEYANKKTRGGFIAAVFAMQGFGILAGGIVALVLSSIFDYQFPSPIYSVDPYASTVPQADYLWRIILMIGALPAAMTYYWRMKMPETARYTALVSRNIKLAAQDMSKVLQVDLKADEEISENKVTDPKLNYGLFSKEFLKRHGLPLLGTTSTWFLLDIAFYSQNLFEKDIFSAIGWIPKAATMNAIHEVYKIARAQTLISLFSTIPGYWFTVLFIDIMGRFAIQIMGFFFMTVFMFALALPYDHWIKPDNRIGFVIIFSLTFFFANFGPNVTTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDKNKTDAGYPPGIGVKNSLIMLGVINFVGMLMTFLVPESKGKSLEELSGENVNDETASSKASLSRRN >A08p008750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4698324:4702638:-1 gene:A08p008750.1_BraROA transcript:A08p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGTSAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNAIYICGTDEYGTATETKALEENCSPKEICDKYHAIHKEVYEWFDISFDKFGRTSTPEQTLVCQAIFNKLFDNNFLSENTMQQLYCDTCKKFLADRLVEGSCPFKECYYDSARGDQCENCGKLLNPTELKDPRCKVCQTTPRIRDTDHLFIELPLLKDKLEEYINETSVTGSWSQNAIQTTKAWLKEGLRQRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTQLGTGENWTLMKTISVTEYLNYQNGISGKFSKSKGVGVFGNDVKSTNIPVEVWRYYLLANRPEVSDTLFTWKDLQAKLTGELLNNLGNFVNRVLTFIAKPEPAGYGSVVPDALGAESHPLTQSLAEKVGKFVEQYVEAMEKVKLKQGLKIAMSISNEGNAYLQEAKFWKLYKEDKPSCAIVIRSAAGLVHLLAQLLEPFMPSFSREVFKQLNLPLQFSLTDEGGEVLLASRPWEILPRNHKIGTPQPLFKELTDEEVQQYEDKFAGNQGDRRARDAEAANMAADQLKKTKLSDAKKQKASKGAATSKTQPAADREITMARLDIRVGKILKAEKHPNADSLYVEEIDVGGAETRTIFSGLVKYIPLEEMQNRMVCVLCNLKPAKMRDVMSQGMVLAASSSDGSKVELVEPPESAEIGERVRFQGFEGEPDVVLNPKKKVWETLVVDLHTDENLVACYKDLPFTTDAGVCKVSSISNGTIR >A06p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22936143:22937260:-1 gene:A06p042670.1_BraROA transcript:A06p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLNELFSGGGDGEGANDSFLEDGSEGLCSLSTTQRMYGFAASLAAGLLLMFLSMIVFAIPIKFALLFTFGNVLAIGSTAFLMGPEQQINMMLDPVRLYATSIYGGCVLLALICALLIHSKILTVIAILCETCALIWYSLSYIPFARRMVSEIMIRLCDTEL >A04p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11553398:11555355:-1 gene:A04p019320.1_BraROA transcript:A04p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 12 [Source:Projected from Arabidopsis thaliana (AT1G63480) UniProtKB/Swiss-Prot;Acc:Q8LPN5] MEGGREAMPFPPPFYFPRGPFSSQSASAIHAPPGFRPMSNPNLQQQQQHQFPLGEQRHHQDFSHGIHMGMAASSSSSPAMQQPPPIPTPPPHPSAAEEPMLVKKKRGRPRKYVADNNEGCDLELSPMQSLQKPNISSPVSDPTAPKRARGRPPGTGRKQRLANLGEWMNTSAGFAFATHVISVEAGEDIVSKVLSFSQQRPRALCIMSGTGTASAFTLRQTGSSAPTLSFQGHFDILSVQGCYLVNEEGGSKSRTGGISVSLSRHDGFLIGGTVGTLIAASLVQVVACSFVYGSAKAKVIKQESGSKEDNTTKKENSMETPASEQRSPRATESAAEAAQTPLDYSSPGWAGPGGGGSRTTDSRNNNHLTDIDLTRG >A09p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34741760:34742409:-1 gene:A09p039580.1_BraROA transcript:A09p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVQNFEDDMMDFLKNLSQSSNIKVEMETQEYLQDAMGNLSQSSYVKGFDPSQKLNGEEPAECVTPLTSFKPADWRPPTLKDIDLHEDRVNNSDYSLVFVPKDSWANLIKWSSTSIELAARVMGPTEWLFNNEIDAMMYLFTERTFLRGWEPTKVAFMTFMF >A05g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18011653:18015298:1 gene:A05g506280.1_BraROA transcript:A05g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVRGPRSSHGLYTVGGSLSSLPCLFGVITGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFLGNYNYYGICPNYPYFLSQPPVALIYHIFCFSLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVLFRIDLSKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGSYHDSGLCMTSRHTRRNAQRELVTFTNQELVRLERTNRQQPRQTDTTVGDHVNQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQTALARQEQQAQTKEESDCSKKGNSSDTQKIDELTAKVDQLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQAVPANGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLVIHIRKIDVQLAQTVESVKRQQETLPGRTDKNLRTEHCNAVEQPFAETVLVAEENTEQSTSSEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLICFNNPRNYNYYGICPNYPYFLSQPPVALIYHIFCFSLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVLFRIDLSKVDIRVFGEPIGLDLYCLLPHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRLALN >A02p025730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12094320:12100319:1 gene:A02p025730.1_BraROA transcript:A02p025730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKISKIGRKFRQRVSTESPAPESPGPLNPILSGKSKAIDAVQPSLPDISPDHEVSFVLSLYPNGYSVVNSSEEAVQQASFRDAPKALHPYGMAAETLLSAIEAGRLPTDILEDIPCKFVDGAVICEVHDYRKRTPEQASPVINKVRLKMSLENVVKDIPSMSDSSWTYGDLMEVESRILKAIQPELCLDPVPRLDRLSKKPETAKLDLSLSTLRRKRLMQMTEATVMSLNKSHGKKVCIDRLPESSERVNMPGHLLMHQTHNNQAIQNPGTNMLVGLRNQTLQDASTSSLPLVPPQQQRYLGTGHIRNIQDQGSNSASVSGGLDAMLPYGSDSMNPGASFQRKRQSQEAQESPMPGSNKRTRVSHMGPHGVPQQQPGQRMDGIQGTDTNWKNAVLQQDILGRSSQYPNPSLQRFSPQQIEGVMNQEGGPMQFPASQQGTMRYTSKEEPFESKIDGSIRNDMPGVGSDANNVDPRMQSRMPHNGLLRSNFSQASWNVNPGQKIEKDLRKEEQFSRRISAQSPRLSAGAQPQSPLSSKSGEFSGGSMGNHYGAVAAAQKDKAVTSIPAIGATQSVGSSPNDAMQQRQHQMVAKRRTNSHPMTQAINTVGSPVSVNTVSANAVGPPVGNQTTGDHAILDRFSKIERVAARYQLNCKKHKVDEYSRRPRSYVPQRLMVCFSGLSNNEDFKDEEKALSKSILGGSMNTCKTRVINFLRMERVMQGNVPAAVPKIVTRLVMSEKPVDGTVAWYQGEIDDGDGFPAEDHMLGLPNTHIADLLAAQFKSLMVREGYRIDEHIQEKPSRGDAGPTSSQQNSAGGVPRGNSANEMQQYGDAVAGQAPNEASKQGNAGSAPMNSTQNVLANARMLPPTNSQALQMSQGLLSGVSMPMQPQQHDPQQSPLSQLQQRNQQSMFTQQQHPQMQRAASMMLPTNPLSAINSMSQSSGMALGGQMTNNHSPHQLQMLQHHAAIQRKMMMGQQGSGVAMNMGMGSMGNSIAALGAFGNQMNMAGRGLGGTGITSSMSLPGINNMGQNPMNHPASNLNVISQQLRSGALTPQQSAAVFTNLRLANRGGGMGAPQAGMSGVSGARQMHPSSAGLSMMDPNTLNRANLQRAMGNMGPPKLMPGMNPYMNQQQLQQQQPQQQQLQHQQQLQQPMSQQQAQSQQLQQHELPQQQQQQATASPLQSVLSPPQVSSPSAGITQQQLQQSSPQQMSQRTPMSPQQMNQRTPVSPQQMSQRTPMSPQISSGTMHPMSTSNLEACPASPQLSSQTHGSVGSIANSPMELQGPKNNSASTNNP >A06g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26231015:26231730:1 gene:A06g509170.1_BraROA transcript:A06g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMVMYKREGSFSSVFLSDHHSHQLPVTTGDFLATPLVYFERQTSGDFGLTVLDCLKRRLLHHLACPLGTSRNVRTFKYVLPRFSNCSEDSELVVLCMAKPNLKTAAKREGSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A03p036440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15260240:15263221:1 gene:A03p036440.1_BraROA transcript:A03p036440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVLVLKDSLKRESGTKVHHGNIQASKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILRELDVAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKSYHPTVICRAYNKALEDAIAVLDKIAMSIDVNDRATVLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVREEDWEVLLKLEEEYIENICVQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDDFFAFVVDCKEPKACTVLLRGPSKDLLNEVERNLQDAMSVSRNIIKNPKLVPGGGATELTVSATLKQKSATIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGAIADMKESKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPSKPTIETEGDADNEQILPD >A03p055150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23765848:23774898:-1 gene:A03p055150.1_BraROA transcript:A03p055150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDAETAKIEVWWDMKDCPIPEGAFNKRGYSTCPVSITAYGDQNQTPCHVLRGLSSTGVAVAHTKSESTRSVMYRDMVEWRGQNPPPGTMMIISDQVEGDLSWDLARLQQRTRYQLFLAYSIKPCHDLFLLYRANWRWEQLLEEEGAAPLVVDGLSSAAMFYCKSCNFDCQSLEKFRKHLSSYKHGMEEAINPPNTELSCVTETWAKNYPATPEQATAKILVLWNMNDCPIPEGYDACRVRPSIERALKEVGYTGPVSITAYADQKQTPDHHLLALSSTGVDYAHTLPYFEEWAEDNPAPASIMIISNELALRKSHSSRLCRKLQERNYKCFLAYSVKPFKMPVLLTSAEWLWESLLSGGCFLFLRSFSSTWARNYPATPEHATAKIHVLWDMKDCPIPEGYDARRVRPSIERALKEVGYTGPVSVSITAFADQKETPDHHLLALSSTDVDFAHTLWWVLRSRMITNFEEWAEDNPAPATVMIISDRLGWRMSQSSLLQKSNYNCLLAYSVRSFVKPTLLTAAEWLWESLLAVSETKRHILQTCSGSEMVVASTGMFYCDLCDYDYNSLDDFKKHLSRKEHTYEEHRMLSHSQSFPHRQRQFKISKYHDEAKMMLGNDAAETSKIEVWWDMKDCPIPEGYDARPVRPSIERAFNKLGYSTCPVSITAYGDQNQTPCHVLRGLSSTGVAVVHTISESTRSVMHRDMVEWRGQNPPPATILIISDQVEGDFSWDLARLQQRTRYHLFLAYSSKPCNDLFLLRYANWRWEKLLEEEGCTPPLVATGGLSSAAVFYCKSCNFNCQSLKTFRKHLSSYKHGLEDAINSPDSRLLCVTKTWAKNYPATPEHATAKIHVLWDMNDSPIPEGYDACRVRPSIERAFKELGYTGPVSITAFADQKETPDHHLLALSSTGVLHSRMITNFEEWAEDNPAPATVMIISDELASPKSHSSLLCKKLQKSNYNCLLAYSVRPFEMPVLLTSAEWLWESLLAVSETKRHTLQKCSGSEMVVASTGMFYCDLCDCHCKSLDDFKKHLSREEHTHEVGYPPKTKRTKKTD >A08p007420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4381864:4384311:1 gene:A08p007420.1_BraROA transcript:A08p007420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVTMVDADKVSNDFSLLPDLNDDSSTPFNNSLEFDSSILDLLTMEDGRDTPNLFPDHNPFLESLHAPPQEDQHIFAEAVSPKVYIAPRVMINHEDSFSLDSRIDSIEDARIISLPDSPRGSQDVGLSRLRVPGSPRAFVHPRTSGSPRFGSPTSPVLIDTTAPFESVKDAVSKFGGITDWKAHKIQTIERRKTVDQDLEKIQEDMPEYKKQAVVAEEAKEQVVMELERTRSIVEKLKLELEKAEKEEQQAQQDSDLAKLRVEEMEQGIADDSSVAAKAQIEVAKERHFSAVSELRNLREEIETVSSEYESLLKEKQLAEKKAEDSVLEAKDVEKQMTDLTIEVIATKQLLESAQAVHLEAEEKKFDAAMARDQDLYNREKELRMVEEEIERLRQEIHAADDVKIKLETASVLQQDLRAEIPAYKDSNDKRNNSDIQAAVDSARKELEEVKSNIEKANSEVKTLKIIVGSLQSELEREKKDLSETKHREALSVQRDGEEMREEGCKEIASMLQEAKKETDEANSLALAAREALRKAKEESDEARTEVTAIESQLAEAKREMEAAKASEKLALAAIKALQETECGKKIEDVSSSPRSIIISVEEYYELSKKAHEVEEAANRKLSEIVSQIEAAKEEESRVLEKLEEVSRETALQKEKLKEATGKVEKARDGKVGMDHELRKWSSEKSPKTSPEGGEKENHDLGKSKSALHSATSFAFGEQGSSNVGDSNNVTPETKKKKKKFSLLPKVFMFLSRKKSNK >A04g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1485972:1486752:-1 gene:A04g500440.1_BraROA transcript:A04g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDSHHPRTLGPTQLKRTIPPLFLLLPLKSPALVGPQPSSSGSDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYGRRVSFLSTLLRVLYPTLLGMIYHPNNNQDLNHPNSSFSNIKDHEQDLE >A09p000840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:524629:526074:1 gene:A09p000840.1_BraROA transcript:A09p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKAPHVAIIPSPGMGHLIPLVQFAKRLVQRHGFSVTFIVVGEGPPSKAQRTVLDSLPSSFSSVFLPPADLTDLPPTARIETRISLTVSRSNPELRRVFETFAAEGRLPTVLVVDLFGTDAFDVAKEFHVSPYIFFPSTANVLSFFLHFPKLAETMSCDFGELTEPVKVPGCVRLSGKDVLDPAQDRKNDAYKWLLHNTKRYKEAEGILVNSFLELEPNAIKALQEPGLDKPPVYPIGPLVNIGQQEGSNGVEKAECLKWLDKQPLGSVLYVSFGSGGALTLEQQNELALGLADSEQRFLWVIRSPSQVADASFFNSHSESDPLSYLPPGFLERTNGRGFMIPSWAPQAQILAHSSTGGFLTHCGWNSTLESIVSGVPLIAWPLYAEQKMNAVSLAEDIRVAIRARAGEDGVVRREEVVRVVKGLMEGEEGKCVRNKMKAMKEGASRVLSDDGSSTKALSLVALKWKDHQKGLVQNGKH >A09p020810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11055923:11057154:-1 gene:A09p020810.1_BraROA transcript:A09p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLNVPPSASEDDLKKSYRRLAMKWHPDKNPSSKKEAEDKFKQISEAYDVLSDPQRRQIYDQYGEDGLKSSDVPTPPTQTQRSYSSSNNDDAGFRYYPRDAEDIFNEFFGASGDAFGGGGRRESVNGGGSRFRSAEAGSQTSRKTTASRKAPAIESKLACTLEELYKGGRRKMRISRVVPDGLGKPKTVEEILKIDITPGWKKGTKITFPEKGNHEPGVTPADLIFVIDEKPHSVYKRDGNDLIVDKKVSLLEALTGITISLTTLDGRNLTIPVLDIVKPGQEIVIPNEGMPISKEASKRGDLRINFDICFPSRLTLEQKTDLKRVFGGAGVDN >A03p065470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28923678:28925566:1 gene:A03p065470.1_BraROA transcript:A03p065470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDTPFIMDNVNSLVAVGCNAKVSLTHIKPNMLGCELICNTNKDPPSYNVPFLDKTGCSNNSLSYKYDVCTENTPEETARHGDRCCHVRLPNEPQQVIGIRMKSNDDGNSTTPTKREEHCRVAFITDEDYTVSNATKLQQLFGKGYATLTLAWATTEEKGYTGNAYTSDGCHDVDECKILPNPCGERNICVNTQGHYHCVVDKKKAIFIGFGVLVLVGGLWWLRKVLIKRRITARKKKFFKRNGGILLQQELNTEEGNVEKTRIFSSSELEKATENFSLNRVLGHGGQGTVYKGMLLNGTVGYVDPEYYRSSQYTEKSDVYSFGVVLAELISGDKPVIMVQNTQEIVSLEDHFRLAMKENRLSDIVDARIKDDCKPEQVMAVANLALKCLSWKGKKRPNMRQVFTELERICTSPEGLQMQTRIDEEGEGEEDEEEDRDMINRGVSWSISVTAPAVSTVASPSSSDVEPLFPCLSW >A01g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27626548:27627629:1 gene:A01g510070.1_BraROA transcript:A01g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHFVSSFGTMSSQTRNSQRPSLSIRCQSTNTKEPKSSCNILDNASNLFTNLLSGGSLGSMPTAEGAVSDLFGKPLFLSLYDWFMEHGGVYKLAFGPKAFVVISDPIVAACPQRERGVLAEILDPVMGKGLISADLDTWKLRRRAITPAFHASYLEAMVKVFSNCSEKMILKLKLKNL >A05p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2808903:2809880:-1 gene:A05p006940.1_BraROA transcript:A05p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKHHEDERLRAVDLTSKPFEVNNAKKRKRPSNSEYIDINEAVTVSVSSGLAPISSGLAPISSGLAPVTTVAQQTLPQGMIPMWAIPSNAVIPTVGAFFLVPHVAGPTNQPQMLAFPAAPPSSYVAAVQQASLMARPPFVSVSTPSVMAPSSSSGVTNGGSSSSTTNMLRDFSLEIYEKQELHQFMSTTTARSSSNH >A04p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20473854:20474608:-1 gene:A04p035500.1_BraROA transcript:A04p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHVNGRLPLIKTSVIEYPNGDEVIATLVYEKLDHEMRDCLEAKHQKKALLAEQRALQQTADDTINKEDRMQPTRSYAGGPRRHSPRREDRYRPYARNDQPRRANYPNSRAADRQNSRNEKQTRDDLPVERRDQSHYRRRDDRSPSRRSVPPRNVHETYSGASHTAIPYGGKSRSGNPACEGMELPPSPPRAALSLEQHNPHRSWSRERRSNCIPQLDLDTARGEVNEVMRQYSSCVDPS >A06p041420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22319302:22319645:-1 gene:A06p041420.1_BraROA transcript:A06p041420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEQPLKDVPRAAELTEVKKAVHKLKGSLNDRASMLEVQIKGLTAKRDVDLRSASYEAKEVFIVSYIGYLAQ >A05p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18580096:18580810:1 gene:A05p031290.1_BraROA transcript:A05p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHCLHFCKRKMVRQIDGFEGNVQHLQQNSLYNCKTCKKDVQHAIHCYYLVVRISDESKGEAKFLLFNNIGEKLIRRLAFELVQEAAHPHVVIFNDYESEED >A06p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19194004:19194518:1 gene:A06p035480.1_BraROA transcript:A06p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative non-specific lipid-transfer protein 14 [Source:Projected from Arabidopsis thaliana (AT5G62065) UniProtKB/Swiss-Prot;Acc:Q9FIT2] MRLFSPVLVSLFLLLSALCSATVENAADCVAVGTLISSCTEFVNYGYPDPIPGSSCCDAMTLLGTYSDSSVKRMWLCNCFIDLINVYNSNATAISTLSGFCGIVLGFTIDPNTDCNFEGKGQDFWRFGVVDQTEQIVYDVLNS >A03g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16373605:16374931:1 gene:A03g504560.1_BraROA transcript:A03g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLCFLFSAALTSSLTEAGDDNRVYSPCSDTTVAVGDGFTFGIAFAARASFFGTNRSVQYSPCDRRRLSLSGNSELAVFRPKVDEITLLTINTSSSSSSFRPVSSQSLIYGGVNLGFLIVGFDQIRKIRTVVGEFQLDLNFSDQISLDSSKGYMVAFAGSKYAARSVPIMVADNDHVVTSFTLVLEFQKGRLENLFWKKDGCSKCAGNAKFVCLNKEECAIKRQSCKNQGGQVDCSLGIQLAFSGTDKHYTALNSWYEVANLNQYSLYGLYSNLKGSLSSQFNNFF >A06p051660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27299204:27301614:-1 gene:A06p051660.1_BraROA transcript:A06p051660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELSLDCKPQSYSMLLKSFGDNFQSDLTTQKLEDLLSRLEQERLKIDAFKRELPLCMQLLNNAVEVYKQQLEAYLANNNNQSVVTRPVLEEFIPLRNQPEKANNWMTTAQLWSQPETKPKSIDQTTDQSPKDELASSPKLGHVDAKQRNGGGAFHPFTKEQHLPELALSTEVKRFSPTNEHTNDHGNDESIINSGKNNNNNINSNSNGVSSTTSQSNRKARRCWSPDLHRRFVQALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQTSGGQGPHLVVLGGIWVPPEYTTAHGGTPTLYHHQVHHHNGNAATQPPQHFCSSQEFYTTPPTPQPLHHQHFQTFNGSSAGGATSNDSAHHQLTDSATDEGKSLESGGGEGKGLAALRQEGEDQSNINGSEITLKF >A09g513290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40486957:40491533:-1 gene:A09g513290.1_BraROA transcript:A09g513290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSVGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKKKEKEAELPKKKKEEEAELQRSAECKMSSSSYVSGNTYFHRRHVERGTPKQCWCETFIQMGGRVLGGIGRRYEIGDE >A06g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24984663:24986134:1 gene:A06g508820.1_BraROA transcript:A06g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLCTLNRCHQCTINVNLFNAPSHRPLLSLPLLNPIDASVGSRGNLQLHPVRKTTNIHTSVFTVIDRESKIDPSDASGRMLEHCHTSFKLYLDRKQVFRLFEENQIYFAREKFSAKIASDEERKMWINGEPSDLRNTNVNIQQNLSMLLNEIYLFKCTLEIVDQEEHRLKMQCVMCCIRVSSLPKLMNFRLMI >A01p048580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27362073:27363082:1 gene:A01p048580.1_BraROA transcript:A01p048580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFLQEFSSRQGLSYDLVCFPVLISFMSTCTLFVSKSSMSLVLSAFLWLLLMAFLEVKQWNNKRVLRYWYFRLFMVTCICNRLDHLCGYRNMMWDNGIIGMKWNQRYSGLVVRRSRMLSSLGLKNVLVQWWQMQLGVLGFVILATVINDCPINQLLFFYHYIVGIKWNVFLFRDILGSMDYPFICETVWERCLSLVSSNHDQIQSGWRGSNYDTREMRDDGFCSKQGDSENWCFGETSWFNVAINCDDRVVSLFIVYNFWFLRFLRLPISFKLWKRFSLIWRLSDTNWIVPLESFWIFMR >A08g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:248575:249285:-1 gene:A08g500130.1_BraROA transcript:A08g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKGKEREKREVPVPKTHLERIGLAVSGGREVEDTPLGEPGDGVYSGEAKSVLCFYVI >A09p049590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43813840:43815125:1 gene:A09p049590.1_BraROA transcript:A09p049590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAVGPRTDRDKTTSSSSEKGRWSEMTAAGGGGGGLMGSLRVIELQLVAFILVFSASGLVPLLDMLFPAFASLYIIALSRLAFPSHRVSSTTPEVFHGSKLFRVYVISGTTIGLFLPLAYVLGGFARGDDQAVRSATPHLFLLSCQILTENVISGLSLFSPPVRALVPLLYTVWRIFVIIDWCKDVWFNKSLPVNATPNVAAWFWFGRYLAIANLLYFGVNLLCFLIPRFLPRAFDRYFRERDEILAKSQEDKPVQVPRERVSDHKSD >A02p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7673602:7675515:1 gene:A02p017090.1_BraROA transcript:A02p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRRSHTSTSSANSSSTSLPSSSSSSTTSTSPPSSSSSWIHLRSVLFVANPSSPSSVTSSDRSSRRKSPWSRRKRKRPLTPHQWRSLFTTEGKLRDGGGSYDLNSTSEEREAVKSLRRNEYEKLQRRCKMLLKRGDGSTDDLEEEADEQYVRFMDDCKTTKQIINQDVVSTVNTDSSDTDSCEDDDEDVQLLPSDVYSNNSEENNTCLFGEIQVEDTVHEDFSTWQRIIRLDALRADSDWATYSSSSTTITETKARGLAESVALKDYDHLETCRLHHAARLVSILEAYALYDPEIGYCQGMSDLLSPILAVISEDHEAFWSFVGFMKKARHNFRLDEAGIQRQLRIVSKIIKSKDSQLYKHLENLQAEDCSFVYRMVLVMFRRELSFEQTLCLWEVMWADQAAIRAGVGKSPWSRIRQQAPPTDDLLLYAIAALVLRRKLIIQRYSSMDEIVEECNSMAGQLDVWKLLDDAHHLVVTLHDKIETLSSRSLSV >A09p053570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46476579:46477078:-1 gene:A09p053570.1_BraROA transcript:A09p053570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKLKKREVVKGNRILISVTFLGSPGPIRFVAYEGDLVAAVIDTALKCYAREGRLPILGSDFNDFIFYCPMVGPEALSPWEAIGSLGARNFMLCEKSEEKKKLEEENGRSSFPINGARKRSFRAWINKSFSLKVTTH >A06g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5916921:5917900:-1 gene:A06g501550.1_BraROA transcript:A06g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLGLKMSELSHCVRKKRIELQGLMRVKARKEIVEPQMLLLEQWITLEEEYSTSLSETSKALLNASLRLPLDVDIKVETKELGEVLAVASKSMEGIVQSIGHFLPKTKEIESLLSELARVSSREKVSVEDCGVALLKTHSSHVEDCNLRSQLIQQHHKQCFGKVTVFKKADETDAVEANKNKGNAFRR >A03g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5446739:5449832:-1 gene:A03g501760.1_BraROA transcript:A03g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFIFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEFGKKIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSRKSRRLPGSPDDFVRRLPDDFQTTNRSLPDLKNLHIKSRSEKPAYSNSNDLKIEKMIKEIRERLESFRMMNITFLLQPFERRRENV >A09p061000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50405058:50406730:-1 gene:A09p061000.1_BraROA transcript:A09p061000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSC13 [Source:Projected from Arabidopsis thaliana (AT3G55360) UniProtKB/TrEMBL;Acc:A0A178VGP2] MKVTVVSRSGREVLKAPLDLPDSATVADLQEAFHKRAKKFYPSRQRLTLPVSPGSKDKPVVLNSKKSLKEYCDGNTDSLTVVFKDLGAQVSYRTLFFFEYLGPLLIYPVFYYFPVYKYLGYGQDRVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPIGNVFRNCAYYWTFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLVCQVANFYCHILLKNLRDPSGSGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLAVAALIMTNWALGKHSRLRKIFDGKDGKPKYPRRWVILPPFL >A03p041480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17333904:17336168:-1 gene:A03p041480.1_BraROA transcript:A03p041480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQIVLCDGFEVVSPPEMSDLILFGSDQSSGSNCGESTVTTEEDGTVFSGDSSPGGAAEDEWPEAKPFSFYFVKRPAYDDPEIKAKINEADSQICHCNKLRIDISNAQKSERAEISSLYAQMESLGPKSEGYKMVFDEKKNEFDTLHEALRTQRCSSSSQLSFSKEELNHLIYIAHYVIEHGNIGLEEEHWVLKETEKPSEIVLSEDSLGEKEASIQRLKLMAVEMNEVKKEHEAITWNINCLSDKLRQIQNKIMMLDVEMAHILELRDRSYERIKMLRIQRDKGNAACFQSLAVMRKAKELAASGNVGDLEVLSSSEVDRFMTSWNTDKAYRDDYVKRISPSLYERQLSLDGRIRDQEGEAQVVQEKQALLKNGEEGMVVMHKRNREESSSNSSQDENVVTAKQKKEVKKKVIDFNRSSDEESVVIDLEFPVYENPKKEVEEVDEETLKERKREEQIEKARLAMERKKKLQEKAAAKAALRAQKEAEKKLKANYIIIECEKKAKKKAAANSSEVDQSQEVTNELEKVKTSAVHRSLFRKQKSFRYKHRGRGTEALPKAILNRRRAHQYWVWGVSSAALALALSLGVLFLR >A05p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3359388:3360783:1 gene:A05p008260.1_BraROA transcript:A05p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQKQDILSSLPLELLLYIISFLPFDSARLTPFVSTRFRSVWNQALLVAHTHNGSIEAISRFIHNFDEHVPSKNTRKMELHFDKSTFVSTILAPNNVMHMSFFFSDGSKEEDSFCWRIETNDQIPKRVESSGFLVKTLCLDSVYSLTHEVVSSMVLDFSSLENLKICGCKGLTSLTIDSPAKLIHLSISGCPKLRCLDIRSSKLKTLHYQGFLPMIKIHEHFNLTNAIFDVRQGPVYCNNALDIGPLLLIIKNSQSLTLCRWMFEELIKPSISSSWTSFKFYKLHELRWIDNSMKQENTNSLISFLKLCPSVERIFITIDSNTYSSKEETSVDIDYGSKHARVPRNLELVKLEGSKSEEDKNQLILALQEVVDINQPLLILSSFS >A01p020310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9981378:9983053:1 gene:A01p020310.1_BraROA transcript:A01p020310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP41L [Source:Projected from Arabidopsis thaliana (AT4G27490) UniProtKB/TrEMBL;Acc:A0A178UYE3] MAAKQGAAASTYSPKIIARTRPPIFKNSVLDWTRPDGRGFHQCRPALLQTGAVSSASGSAYAEFGNTKVIVSVFGPRESKKAITYSDVGRLNCNVSYTTFASPTLGSQGTDHKEYSSMLHKALEGVIMMETFPKTTVDVFALVLESGGSDLPVVISCAGLALADAGIMMYDLITAVSVSCIGKSLMIDPVTEEEGCEDGSFMMTCMPSRSEITQLTITGEWTTPNINEAMQLCVDACSKLGEIMRDCLKQAASASDE >A07p031210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17284965:17290146:1 gene:A07p031210.1_BraROA transcript:A07p031210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGGGGSFVAVRRVAPRLEQGSVYHSSSSAEVVAGSAAWLGRGLSCVCAQGSNGDTRLSFDLTPAQEECLLRLQSRIDVAYDSSIPQHQEALKDLWKLAFPEEELHGIVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNFPKSFQDLLRKQVGDRSVWEYPFAVAGVNITFMLIQMLGLEAVKPRSIVGETFLRFLSVNESAFDLLYCIAFKLMDQQWLSVRASYMEFNTVMKSTRRQLEREIMVEDITGIGDKCSNSGVKHFTELLSDWSGSQGYCLEIGKGSWDSWTMPLLDQTAVVCDKVKSMPELSDGYSIVGLSQGNMIGRALIEFCDDAPPVKSFVSVGGPHAGTASIPFCGVTWICIMLDSMIKTEIYSDYMQEHLAPSGFLKIPTDIAGYMEGCRFLPKLNNELPVKNSTYKERFSSLENLVLIMFEHDTILIPKETSWFGYYPDGSFKTILPPQETKLYTEDWIGLRTLDEAGKVKFVNVSGNHLQISHEDMKKHIVPYLSDKPSTSSIITMAVSELSSVGNPVLDLIGPEVDQLQHVLRHVST >A01p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2239521:2246110:1 gene:A01p005240.1_BraROA transcript:A01p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-3-phosphate 5-kinase FAB1A [Source:Projected from Arabidopsis thaliana (AT4G33240) UniProtKB/Swiss-Prot;Acc:Q0WUR5] MDSPDPKAPGFVDLVKSWIPRKTESSTTTTSSSHMSRDFWMPDHSCPVCYECDAQFTVFNRRHHCRLCGRVFCAKCAANSIPSPSDEAKDSHEESSSDRRIRVCNYCYKQWEQGIVPPDKGASIISLHFSSSPSASTTSNCTSNSSNCTVDSKTNPPASGRVSSNMDLQNASSSSHRNHSSQDPVEFFVNSGRSDGEAYDDEDYNSDYAQSYSQGNDYYGAISLDEVDRIYGSHVAHDAGENIESNIQSVLPPDALNTETIDTAMQQADGWNDEKEGSPTCEEAFESEVVDFESDGLLWLPPEPENEEDEREGLLSDDEGDEGDRGDWGYLRPSNSFKDKEFHSREKSGGAMKNVVEGHFRALVAQLLEVDNLPMVDEGDREGWLDIITSLSWEAATLLKPDTSKSGGMDPGGYVKVKCIPCGRRSESMVVRGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRISNQLSSFDTLLQQEMDHLKMAVAKIHSHNPQILLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERISRCTGAQIVPSVDQLTSPKLGYCDLFHVEKFVETHFNTGQGVKKLAKTLMYFDGCPKPLGCTILLKGAHEDELKRVKHVIQYGVFAAYHLALETSFLADEGASLPELPLQTPITVALPDKPSPINRSISTIPGFAASSAEKSPTTELMGELHKANGDVTGNFASSKTHFQGKLDGNDRTEPSKQNMDTDPEMITSKDNGLVPTLKSRQLSFQAEGASIQKDQWSVLPSATEQVTDGADTNEATITRDQSFSRHEQMDSSKGDFLPSASSDHQSILVSASTRCVWKGSVCERAHLLRIKYYGSFDKPLGRFLRDNLFDQDRSCPSCAMPVEAHIHSYIHRQGSLTISVKKLPELLPGQREGKIWMWHRCLKCPRISGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGKMVACFRYASINIFAVSLPPAKLEFNCENQDWLQRESKEVINKAEVLFNEVQEALSQISAKTMGSIPEKIKLSLEELAGLLDQRKKEYKESLQQMLSVSKDGQPTVDILLINKLRRLILFDSYAWDECLTGAASMVRNNYSEALRNSSSKVMGRDVSLEKLGDEKEKSIASSNDSLPQDAEYDKSLKKGKSFSDISGKGVIPEDVGSDKPSESSEGGKENVVEPSQMVKVMLSESQTQATTTDLSDTLDAAWIGEQTASENNGISRPPSRAASANGTQSYDLKLLDSESGPTNEEHTMQVQMPSPSFYYSLNKNYSLNSRKHIMAEDRPVYVSSYRELEWQSGARLLLPLGINELVLPVYDDEPTSIIAYALTSSEYNAQMSGSDKARDRLDSGGSFSLFDSVNLLSLNSLSDLSVDMSRSLSSADEQVSQLLQSSLYLKDLHARVSFTDESPPGKVKYSVTCYYAKEFEALRKICCPSETDFIRSLGRCRKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLTDSICTKSPTSLAKILGIYQVSSKHLKGGKEFKMDVLVMENLLFKRNFARLYDLKGSTRARYNPDTSGSNTVLLDQNLVEAMPTSPIFVGSKAKRLLERAVWNDTSFLASIHVMDYSLLVGVDEERSELVLGTIDFMRQYTWDKHLETWVKTSGLLGGPKNSTPTVISPQQYKKRFRKAMTAYFLMVPDQWSPATVVPSNNSSSADVKDDEERDDRQGVGKNS >A03g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31141921:31142503:-1 gene:A03g509650.1_BraROA transcript:A03g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINKNEMKSNYQSELIKTEAQIAPNQEQRLVFSETPSPSPTVCGVESDGAAYETAEAMEASTDLVESSSSGYRSAWRETRRVKIGRWIALAPARSTTPARSTKASMGRAPQRRCVVAVGVVSTGRKRSG >A07p024560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14143597:14145221:1 gene:A07p024560.1_BraROA transcript:A07p024560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKSMQMGVIGALFLSVASSVSIVICNKALMTNLGFPFATTLTSWHLMVTYCTLHVAYRLNFFENKPIDTKTVVLFGLLNGISIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLNKKFSQKIKFSLFLLLVGVGIASITDLQLNFVGSVLSLLAIATTCVGQILTNTIQKKLNVTSTQLLYQSAPFQAAILFVSGPFVDKYLTRLNVFSFQYSPFVVGFITLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTPRNIAGILIAVLGMLLYSYFCSVASKSKQGSSESAFIGKDKDTTPLLSQEKENHEAKKLDKHSAV >A10p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:824607:829177:1 gene:A10p001590.1_BraROA transcript:A10p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLLFLITLLCFLQSLLVASHNLSKSSTICKTTPDPKYCKTVFPHSQGNVQQYGRFSIRKSLSQSRKFIRTVDKYLKRNAHASPPAVTRALQDCRFLAGLTMDYLLTSFETVNETSTFKTLSFPKADDVQTLLSAALTNEQTCLEGLTTAASSSATWTVRNGVALPLVNDTKLFSVSLALFTKGWVPKKKKRAGYPWAHPSSGTSTHTKPFRLFRNGALPLKMTERTKAVYESLSRRKLTDGAGDGDDGSMVLISDIVTVIQDGTGNFTNITAAVGAAPNNTDGSGGFFLIYVTAGIYEEYVSIAKNKRYVMMIGDGINQTVVTGNRSVVDGWTTFNSATFAVTAPNFVAVNITFQNTAGPEKHQAVALRSGADFSIFYSCSFEAYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNLYPRKPMPNQFNAITAQGRSDPNQNTGTSIQNCTIRPADDLVLSNYTVETYLGRPWKNYSRTVIMESYIDGFVEPVGWREWNGDFALSTLYYAEYNNTGPGSNTTNRVTWPGYHVINSTDAANFTVTGLFLEDDWIWKTGVPYTSGTNLTAYDVVQKYKLPRGILPEGVIDYDLNPKTGFFKVYLNNTCRFPIEVYKVKYQPIVSGFIKNGRVSRLKGVSVKVLYFWLSIGEVTCDGQELELSVGAASEEFSAHQFARSPQCGCGFYCLLFAQILRDRVIERPMTLFPILTASFLFLFCVPFTTASADDDLPTAYTLLQSYNFPVGILPKGVLSYDLDESTGKFHAYFDKSCSFALQGSYQLDYKSTISGVISENKITKLTGVKVKVLFLWLNIVEVIRDGDELEFSVGITSANFAIEEFYESPQCGCGFDCNGLKSENLGRNPLVSSV >A04p025100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15174887:15176435:-1 gene:A04p025100.1_BraROA transcript:A04p025100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRQASHAGSWYTDNPTKLSSDLEEWLRAAGLTKSPHVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRIFLLGPSHHYYTPRCALSTATVYKTPIGDLPVDVEMIKEIRAMGKFEMMDLRVDEAEHSMEMHLPYLAKVFQGHNVKVVPILVGSVSTESEAMYGELLAKYVDDPKNFFSVSSDFCHWGSRFNYMHYDKSHGPIHKSIEALDKMGMDIIETGDPDAFKKYLLEFDNTICGRHPISVFLHMLKHCSSKIKINFLRYEQSSQCQTKRDSSVSYASAAAKLES >A10p028010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17492456:17494942:1 gene:A10p028010.1_BraROA transcript:A10p028010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNDAEMIEKNVADVSEELNRCTPSKDFDDLVGLEAHVAKLCSMLCLESDEVRMIGIWGPIGIGKTTIARALYSQLSAAADDNFQLNILMENVKGSCRRNELDGYSLKYAFGQNSAPEGFYDLAVEVSQLSGNLPLSLSVLGASLRGMSKEEWTKALPRFRTSLNGKIEKVFGVCYDSLDEKDRLIFLHIACLFNGEKVERVIQFLAKSELEVEFGLKVLVDRSFLHICDDGNIVMHCLLQQMGKEITRGQCIDEPGKRKFLVDAKDISDVLVDATGTETVLGISLDMSKINDDVCISEKAFDRMHNLQFLRLYTNFQDESFKLCLPHGLDRLPHKLRLLHWDSYPIKCMPSRFRPEFLVELSMRDSKLEKLWEGIQPLASLKQMDLSASTKIKDIPNLSKATNLENLYLRFCKGLASVPSSLQNLNKLKVLDMSSCVRLNALPTNMNLESLSVLNMKGCSKLRIFPEISSQVKFMSVGETAIEEVPLSISLWPQLISLEMSGCKKLKTFPKLPASVEVLDLSSTGIEEIPWWVENASQLLIMCMANCKKLKCVPPSIYKMKHLEDVDLSGCSELRPVLSSRYRAFADVFFIRLLHSE >A09p074290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56388846:56389956:1 gene:A09p074290.1_BraROA transcript:A09p074290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRVSEVSQRQQAPRLRTSSSTSASNHPNRLITTDRSSKLAVDRRSPRSSGARSDPLGQKKLGGRISDLESQLGQAQEELRLLKDQLANAEAVKKQAQDELLHDNNKSKKPNPLARVKGSASEAGTVDRDEIPGDVQKETDVFEVPVEKIELVEEEAAKEDEIKMLKARLYDMEKEHESLGKENESLKNQLSDSASEMSNVKANEEEMASKVSLIGEELEESRAKTAQLKERLESTEEAKAALETEMKKLRVQTEQWRKAADAAAAVLSGEFEMNGRDRYGSGEKCFANGLFDPSAVVGFVEPSGMGDDSEDGLGSGKRKSSGMKMFGELWKKKGQK >A02p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:881620:882430:1 gene:A02p002110.1_BraROA transcript:A02p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQPQLDTLVSAKAIHGSSGCDDDRKELITNNGESHQSFSSGEEEEDEMVEDENRRSNVSVCSVEVDLELGLPEKAVVHLLSEDEKDCRICHMSLDSVNLESGVPIELGCSCKNDLAAAHKHCAETWFKIKGNKICEVCGSIAGNVIGTVEPETEISRSEVNGTMTQAMRTSGPRLVEARSFWQGHRFLNFLLACMVFAFVISWLFHFNVPST >A03g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5368261:5369941:-1 gene:A03g501720.1_BraROA transcript:A03g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELRDMKAHKAYYNMLHFVSEAQQGIPKLCPCGSITKEFVDEDDTYDYLPGKRYFICTDYQNDGLHFRQPWVMGVQQEIERLKLKFLEQEKLLRECEALKVQVKMLLERVLPEPEERPVGVKAAKAAKKRKKTGKEEELAKLESLLEIKKQISKQSLLESLLAKSEPLSEMESALKMKLLSELL >A01p025720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19951554:19952956:-1 gene:A01p025720.1_BraROA transcript:A01p025720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHSETTTTINQPLCPKPRRVCPSLPDFLKPVSCSLHSSNCQQSSEGRSGVINIIDKVQPVEGGIEWYVGSPPKRTGNPLVHDLHFVHCHDLLPNFPSTKA >A04p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14649315:14651824:1 gene:A04p024140.1_BraROA transcript:A04p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMNKRGYECSQEDADNLAESKRQKVPALASVIVEAVKVDSLQRLCSSLEPLFRRIVSEEVERALSKLGNSKLTSRSPEPKRIQGRDGRNLQLHFKTRMPPHLFTGGKVEGERGSAIHVVLIDANTGNVVQTGEESASKLNVVVLEGDFNDEDDEDWTREHFESFEVKEREGKRPILTGDTQVVLKEGVGTLGDLTFTDNSSWIRSRKFRLGVKAAPGYGDGFHIREAKTEPFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGVLHKKLLKANIVTVEDFLRLLVKDPQNLRNLLGSGMSNRMWENIMEHAKTCVLGGKLYVFYTDQTHATGVVFNHIYEFRGLIANGQFLSLESLNHDQKISADILVKIAYENWHKAVEYDGKLLNCLPVAEKEVKGLPEPKMTTAQNHQQLHNQNNRQAVQCHQNVIPYSPLPQPIEYPQFVQQHCSQLLPSLPSNVQEYNSRPMEGSNAYSGEDWCRPRAGQGLEDIFSEEIRLRSSEMLETDDMQRLLKTFGIGMNTMGSTQGGFGQTDESCYGYNMPYQAQIDNTYRRERNRGSGKAVVGWLKLKAALRWGIFIRKKAAERRPQIVEIE >A06p032430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17301721:17303088:-1 gene:A06p032430.1_BraROA transcript:A06p032430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDLVPSESLRIRIEWHRGQFHNSGLFYLSDPSSRLCITSRHTRRNAQGDLVTLSNQELARLERMNRQQPRPTNTTMGDHGNQDDLASAMAFMQQMQQTMQTCEQVGQQAAEQGAQKQDFMTQTTERAFALIENMASSSANKNPETDRFMALTRKK >A10g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11832987:11835818:-1 gene:A10g504810.1_BraROA transcript:A10g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSRSRLDFLEVVWTSRKSSGLPGSRLDFQEVIWIFLGVLVSFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKLSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIINQNLGFFSSLWRESERYVMFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAIL >A07p011730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8537815:8538408:1 gene:A07p011730.1_BraROA transcript:A07p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAAISINDVKLNPQELAELIAAIKDGTISGKIGKQLLAKGGTVQGMIKGKDLITDPVEMEKMVMKVFSKSPKQLEQYRSGRTKLQGFFYWPGNETVKRQSKPCFT >A01p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10297668:10300851:-1 gene:A01p021070.1_BraROA transcript:A01p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSPNSRAPYWAQPLELDAKQEAEDVGVIDKSTIVISETASEDELWAEACLRVGTFNELNHSSYNIQITEDTWPSVSSRPLRIEFQERGKVLRGYPVLTLPFHFRNYNLFRGFMLCISLMGYKTELWWGALISTNAVGFLMKSLEQNHRAYLSNACVARELHCNGVGYILNEKSKGVAREWVGSSKRALSQRGKLDTSTGHNGSSSGSPSLLPPEPCPDSQALGKNMKGLEMVVTSFSSTPPLFFSSPLSSSNLRGRFTIPSSPSSLLNGLRRHQDAKLVGTRARGGAVRVLANPNASSPPPGKVKAKKEVIMVDPVEAKRLASKQMEEIKGRERQQRRREVEAINGAWAIIGLLIGLVIEAQTGKGILAQLDGYWSAVLHLFTSSAQI >A07g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15619378:15619985:-1 gene:A07g506490.1_BraROA transcript:A07g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLVFLVYKGHDYNSTGYWNELLWAASCSLWRSSTWWAHCYIWTIRVIRRLSPASFHAAVANPCFELKNQLITASYVDDETSM >A09p025210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14031571:14032790:-1 gene:A09p025210.1_BraROA transcript:A09p025210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGGLVYGLISSPVYLMTRPLFFCISACMFCLRSALVTTFVSADIVSSAIWFNLSMIWRAVWGTVWGSVLLFTFPIRFLFSIPRERPLEQSLYQLRYELESLELNRKEIEDNLRAAIKEYRLMEQELDELEDEHDGAITRIKKLEAELQELKEENIKLKEACGNEYLSMKGKNKAEEEPSEVSSTPKPKNIPYGSKEEAEFTSVESPLYPFAKATIPKDEELTPRVLGLERNVAVSRSVFSAMLALVVGVVMYGAKEKDLCTPLIGALFTVVGISLRSVVQFFSTVKNKPALDAVALMSLNWFIVGTLTYPTLPRVARVVVPRVLSTAGSVLALVRGGSVPSPPEIVNYFS >A03g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1985986:1988683:1 gene:A03g500650.1_BraROA transcript:A03g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANKNFNKEASPQSKTKKLKKKLGPQWTKDELERFYEAYQKHGRDWKKVAASVENNRSVDMVEALFSMNQPYLSLPEASVDGLAAIMTAHYSLFEESESKGKGHDASGVTRGFPIKKLHDAEDLEKQCTLILTEGDSAKHLVMAGLSSLQRKTYGVYPLQGKLLNVRIASQKSIKKNRELQNIKTIIGLKDETSYTTTDSLRYKHVMIMTDQDEDGVHIKGLLINFFYHNWPQLLELNPPFLSEFRTPIVKVKNRNEVEAKSFYSMAEYSEWKEQQADNLSKWETTHYKVCIKYADALTGSLNDEDMKNTEPMRYYPIVPMVLVNGCRGIGSGWSTFIPNYKLKDVISNVEHLLNDEKTEPMDPWYEGFEGTITKDGENRYKTFGRLEESSGNAETRLVTELPIEVWTNNYVSSLEKENRGKVSAFIENYLDRSNDTTVSIQIELKKTEKKMTPEEEKEILGLTTILSTSNMYLFDEYDMIKKYESPQEIIEDFYEARLKAYDKRKELMLMELHAEFDRLECIVAFVTDVMKVEFRFDREWSCAQLKGKYEAIGRDLTDYSFLLDLPISSFSQKSLGDLQEARDKVKHEITEVETLTSVDVWKSEFKKLRASPMQH >A09p054430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46897753:46899876:-1 gene:A09p054430.1_BraROA transcript:A09p054430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSYYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFDQFGEILEAVIITDKTTGKSKGYGFVTFREPDSATRAVADPNPVIDGRKANCNIASFGRPRPSTPRGRGQGGSPSQYQGGGQSGYTGMAAPLQQAATAQLMYPPYGYTYNSEYGYPQALYNTQLQQAQYYQQQMYGGGATSPSSSNIMPSPYYYFQAPSPRPYPHQHHQHYAHHIHQQQQQQRLPSTSSYLIYPYNSEAPTTSSNAPPSQEPISSSTGTSLNSSVFSFLNPHCSSCKCANAYTIKI >A07p050670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27004080:27005451:-1 gene:A07p050670.1_BraROA transcript:A07p050670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVCCLTLILFIASLASGVSAAASAGRMIFDVRSYGARGDGKTDNAIAFTRAWKDACQWKGSAIVFVPLGTFYLGGVTFAGPCQNRISFIIKGTLLAPKDANAIKQDTWIIFRYVDYLTVSGGGTLDGQGTSAWRLNDCTRNPNCRPLPMNIAFQFVRFSRISRIKSINSKMGHLNFFAVEYFEITRVSIRAPEDSPNTDGIKIGSSHHMKIHDVFIGTGDDCIAILSGTTNLDIYSVKCGPGHGISVGSLGRFKDEKSVYGISVRDSVFTGTSNGVRIKTWAPSASRNTVSNFTFQNLQMINVGNPIIIDQQYCPNNQCSKNSHSQVQIENVKFNNIWGTSTDKVAVKLQCSQTAPCKDVELAGINLVHRGIDGPATALCEYVSGWTRGKISPPSCI >A01p052250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29225383:29226681:-1 gene:A01p052250.1_BraROA transcript:A01p052250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGGKKQVVKKMIPCCMKMGMKRGPWTAEEDEILVSFIKKEGEGRWRSLPKRAGLLRCGKSCRLRWMNYLRPSVKRGGITPDEEDLIIRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLRKKLLSEGIDPQTHKPLDANNAHHKPGEEVSGGQNLLEPNSSSHTDDTTVNSGNEATKITFSVFGGEDNEDFGFCYDDKFSSFLNALINDDPFDSNIPLSQPFRTQDCTGEIVGTSSSLEHGQRIEDI >A06p028740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000181.1:3340:6453:1 gene:A06p028740.1_BraROA transcript:A06p028740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSELGTWEIKLDVHKICSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHGSFKGTNQEPALALTIQALISPLVACSHTHSLSSENLTWYQSQVHQNMVPASAYTSQV >A03p038970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16229061:16231476:-1 gene:A03p038970.1_BraROA transcript:A03p038970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTPFSNLLRHLEGSVRLILVVELSQQLFPSLHPMANPTENLDPNPPTREPEDEVEELNGDEGEVEEEEEDDDEGEDDDAVSNPQSLKTESLFRRMRSAPVPVRVHDVIVKGNTKTKDHIIEAEVDGVREASTLQELLEASRVANSNLRALDVFDSVNVTLDSGPPELPGTTNVIIEVVESKSPLTGQIGAYTRAEAKSSSVEASLKYKNIFGYGDIWDGSVIYGCDNSAEVGMGMYLPRFKGLPTPFSSRLYLSTQDWLNFSSYKERSLGLSLGLLSSKYHELVYTVGWRNLIDPSRSASKSIRRQLGHSLLSALKYTFKYDQRDSYLRPTSGYAFSSTSQIGGLAPDSRSLRFLKQEIDLRCAFPFGFYNAALNIGVSGGVTFPWGRGYQNRVSSVPERFFLGGNSSPVCSLGGPSALWGFKTRGLGPNEPKRKGDDERDFIGGDAAVTAFADLSFDLPVRWLRERGVHGHVFACGGNMAKLTENEFRNVTAPKLLETFRTSVGAGIVLPTSLFRMEINYCHILKKQEHDKAKSGFFLTFSA >A06p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000084.1:58654:59579:-1 gene:A06p026060.1_BraROA transcript:A06p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEDGVANSVVLSVDVKEFVMVVDRSGEGGGWCKQTDEAEAERWRHDELGWGPRLFKTRAGGSLLIPINQFT >A05p055590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32846423:32846939:-1 gene:A05p055590.1_BraROA transcript:A05p055590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNSSRRSRGFKIAKLLPFCKALKPPTASQDLYNNVHYTSSTTTSYAPQPDFNNYVTPLPPKVSFLLQPSVAHEGEDMDKKLNKMTEKLIGRGINGMEDCVDARAASYISSVRERFKLDHCERLTTTVVSLDHEDVDR >A03p055290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23842957:23843384:-1 gene:A03p055290.1_BraROA transcript:A03p055290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAANKVETANKPFLLSTPALVASAPSPGLRSGDPVTDRKDGGRLRCLDVVVVSREEVESVFRVVGSGGQFSDLFPFRRWMVIEVSLLSWFWSLAPWRCEEVVVFVLR >A06p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17885935:17891754:1 gene:A06p033310.1_BraROA transcript:A06p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQEDSEGHTQKLNALQQPWPCKFPRITLLLQCNHLLHLLSDLIQHRSKLVLGYPGNPFSEAIHILLISSSVKMLRNLFLPSPQADEAVNRRNENERNTTLISLPHSPHNSFLHLATLTLPHSSCNTVSPHHVATQLSPWLPVATQLSPWFHVATQLLSCSIRIRSVAAPEARMVRWMGDGSILSLEKKIGWKDVSTLHLYNIANVKRVVADLSLCNTHSQVLAFTGPSELADCLKDVNVMVIPAGVPRKHSMTRNTVNSTVPIAAQVLTKKGVYDPKKLFGVTTLDVVRANTFVSQKKKLKHIIVDVPVIGGHAGITILPIFSKTKPSASLTDEECRFSYFVKSSLRALDGDGDVYECSFVESALADLPFFAPQIKFGRKL >A09p023770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12985679:12992513:-1 gene:A09p023770.1_BraROA transcript:A09p023770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTFYKFPNISALKPSLLSLTQATLIRRQGETSKAEMAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMIVCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFAKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNGIHEVFMIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQSQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSELKAMAEEQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGVTLIMMILCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGIGILAGGFVGLAVSSIFDKKFPSPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNLKQATQDMSKVLQVELEMEEREEDILKDPRLNYGLFSKEFLKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKASTMNAIHEVFKIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVAMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETEAEK >A08p034110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20346096:20347333:1 gene:A08p034110.1_BraROA transcript:A08p034110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1 [Source:Projected from Arabidopsis thaliana (AT1G28200) UniProtKB/TrEMBL;Acc:A0A178WL22] MSGHEENHDGSRISTPASSDPKAAPHSSDYAPYPKLDPNDVTPPPPPISGGTATTMPPEFNPYVSPSPVPKNTMDSVKDTLGKWGKMAADATKKAQDLSGNVWQHLKTGPSVADAAVSRIAQGTKILAEGGYEKVFKQTFECFPDEQLRKTYACYLSTSAGPVMGVMYLSTHKLAFCSDNPLSYKEGDQTKWSYYKVVLPVNQLKAVNPSTSRVNTSEKYIQVISVDNHEFWFMGFVTYDSAVKSLQEAVQSHAP >A01g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13166065:13167253:1 gene:A01g504280.1_BraROA transcript:A01g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTGWGANCWGQKRLRRNYHPKILRDRSSERVSKRRDVIFEKITYTRFLRRSILWDSNRTNQARSLRIHAVRSLRSNEPEPSSVATQRPSDRPAQSLRSDQARAKARSLRSDRAQPSSSLRSDRAIVPLGRYVATELSQARSLRSDRALVPLGRYVTTELRQARSLRSDRAQAKARSLRSDRALVSLGRYVATERSSRSVATDRAQAKARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSMHSRQNLRMISPEDRSKLNGPKGPKTRFEAHPTIFLNQNPVTHSMVYAWPTRKDKCQVSADKYGSFEDNYEEREKWKISILCYDGLRAEE >A09p071170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55027790:55031678:1 gene:A09p071170.1_BraROA transcript:A09p071170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRHRGRSQRKHFKESRENVWKRPRTDPTVDASDNAVADKPSWEPILTDNPNFEEYYKEQGIVRAEEWDVFMEILRKPLPAAFRVNSNSQFCDDIISILENDFVKSLQAEAIEGGELEAIKPLPWYPKNLAWHSNFSRKQIRKHKTLERFHEFLKLENEVGNITRQEAVSMVPPLFLDVHPDHFVLDMCAAPGSKTFQLLEIIHGASEPGTLPNGMVVANDVDFQRSNLLIHQTKRMCTSNLIVTNHEGQQFPGCRLNKSRASEKGLSEDMPINQLSFDRVLCDVPCSGDGTLRKAPDIWRKWNSGMGNGLHSLQVILAMRGLSLLKVGGKMIYSTCSMNPVEDEAVVAEILRRCGDSVELVDVSDKLPELIRRPGLKTWKVRDKGGWFTSYKDVPQNRRGGVLVSMFPSGKNLTDSTQTTQNNENGGVNASEDGCKETDKSVVDAIPDEPVVEVSDLPLERCMRIIPHDQNTGAFFIAVLQKVSHLPEFQEKPNPRKHSSTKNADSTEKAPTEEAVVTADAEPVESPVAKVIEADADVEKDDSLVEHEKEKNTEGETIKEEKEANSSSHAGDKRKIPMQGKWKGFDPVVFLKDETLIDSVKEFYGIRDESFPLYGHLVTRNTDTSSVKRIYYVSKSVKEVLQLNFAVGQQLKIASVGLKMFERQTAKEGGSKPCSFRISSEGLPVILPYITKQVLYSPMADFKHLLEYKSIKFPDFVNKQLGQKAIDLVLGCCVVILSDGAYCFGEEPVEVDASTIAISCWRGKNSLGVMVTSADCQELLERLAERTPKTEVGSVNGSNGDSGGPADAMETA >A02g503630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12117476:12118417:-1 gene:A02g503630.1_BraROA transcript:A02g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSAHSSLFGDLSTEEVTSKVILTAIIVLFMAVLVVLVLHLYAKLYWWRVDQLQQQQQQEQEQEQDDQSSIAPTVTTRRQRRRFIFVPGQDGLSSTGGLTPFELSSLPIVFFGQDGLECAICLSDLVKGDKARLLPKCNHSFHVECIDMWFQSHSTCPICRNAVLGLEQPISKQIQLVSDNAVDALSEISNSVSSSSPEFPTNVLVWGRQDQVSTRTTNVGSQEDGTTGNAASQSQDDVVLDINDSTISTHNVPSSSSSMRFIVEEEEPKSPMTTRLRSLRRFLSRDKRVSCNNSSTSGSSNAAAFSVDP >A08g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:334574:338765:1 gene:A08g500190.1_BraROA transcript:A08g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEYNEDRHLLRSTDGDEVVNGRGEGDLDVESQSPAIRNSTGGVRNLLKHLDRRFSLSGRRLSFKRLENIRVDRSHHHPSSSSPLSAGVVAGEEDGVDDRDDEYGFDEGNDVLGDSAPPEWALLLIGCLIGVAAGICVAGFNKGVHVIHEWSWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLEILDQIRQSTSSQGQGVDLLAGIFPVIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFALMMENNRERRIALTAAGAASGIASGSSTRPWFKIALALVAMAQSEVLRCPHRLDTSRQGNIHKALALSSLCNETDLGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNALLGTQSAFTVPSYDLKSAAGYDIIKMLELPLYLILGMLCGAVSVVFSWLVTWFTKSFEFIKDKFGLPAIVCPALGGLGAGMIALKYPGILYWGFTNVEEILHTGTSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEIINRAIPGNAAVAQSQAYALVGMAATLASMCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQDKESDSSEGRNAGRGYSTLSPSHLKTEGIWRRTDNANSLELTVMENPDHKSFLDEETILDDLKVLRVMPKNYVKVCLGTTLREARNILKDSHQNCLVVVDEDDFLAGILTHGDIRRCLSNKVSPIVDENTCTVSSVCTKKITYRGQERGLITCYPDTTVGVAKELMEARGVKQLPVVKRGEVSHKGKKRKLLGLLHYDSIWTFLRDEMSRRRSINERRKDKYEEVGAANVH >A01p022420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11054995:11056071:1 gene:A01p022420.1_BraROA transcript:A01p022420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNPIHRNIALYVVLTTFCFLFCSARNIPEVSTAKATQTINVSNATWHNFARLVDVQVGSHVSGVSELKRYLNRFGYVTDDAINFSDEFDGHLESAISLYQQNLGLPITGRLDTSTVTLMSLPRCGVSDTHMIDTGVYTTARYTYFGGKPKWNRDTLTYAFSEIHKLDYLNSDDVKKAFRRSFGRWASVIPVTFEETDDYSSADLKIGFFSGDHGDGQPFDGVLGTLAHAFAPENGRLHLDAAETWVVDDDFKGKGSTVAVDLESVATHEIGHLLGLGHSSQESAVMYPSVRPRTKKVDLTVDDVAGILKLYGANPRLRLDSLTQSEDSLQNGAVSGRFLSGNFTGYVLLVVLILFL >A09p012410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6343982:6344974:1 gene:A09p012410.1_BraROA transcript:A09p012410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF NIM1 1 [Source:Projected from Arabidopsis thaliana (AT2G17310) UniProtKB/Swiss-Prot;Acc:Q8LL17] MSLFNDKSFVNDHFSRSRPGFLFLGSPKNYSIDIINPNSNDPTTQSSELPLSAMPYQDLHYRCTTIKACDELLLFNNRYLNGLSGLWNPWLSQFKLIKKDGAHFNCCRLGYEIIDRTNKVYKILGYFDPDPEEEYTRVAVYECASHVFKHIDLPENKWFMSEGVRDNVSLNGNLYWLSLDAETDEYFIRSFDFSVGTFKPFCLLPCQKDGDYRDELLLQVFKEDRFSLLKQNYLTRKIEIWVTNTIDEEEVVWTKMMNLPTTNFPRLFGQFYGKSYFIYDKTLFVCCCENSTSVPCIYIVREDLCKQIHIDSGIFQCCHCVYTPSLFPVP >A06p031640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:247544:252425:1 gene:A06p031640.1_BraROA transcript:A06p031640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRLTQLGTAGGQLIPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLVLGQGVAKLPELHRPSDVRHRPRPGRPGSGLTLALPVDLERGRPWVSASTQDVRGCPSAHTGRPWLSVCVRQHTHDVCGCPSVHISAPWSLDLARWSLDSACWPFPWTVRVILAYVGCLFSTHRTSVGVHQYTYQHVSPWTQHADPSLHTGRPWVSASTRRTSVAVRVCLSVPVSTHMTSVAVHQYTYQNVGPWTQHAGPSRGLFGCPPAQTGCLWLSVSTHRTSVAVRVCPSAHTGRLWLSVCVCVCPSAHTGRLWLSISTHISALVLGLSTLALPGDCSGDFGPRGLSVQYTQEIHGCPPAHTGCLWLSVSTHRTSVAVRVCPSAHIGRPWVSVSTHRTSVCVRQHIQDVRGCPCVSVSTHRTSLAVHQYTYQHVGPWNQHAYAPPTEWAVRCVRGVSVQHTQDVVACPYPHLVQDQHVTLPLDSSGDFVPRAACRSVHTGRPWVSVSTIRGRHGFVCVVSTHRTSVAVPSLPYQHPYTQDVRCGVSQHTQDVCGCPSAHTGHLWLSVCVRVCTSAHIARLWLSISLHISTLVLGISTHTLPVDCSADFGPRGLTVQYTHDIRGCPTHISTLVLGLSALALPVDCSGDFGPCGLSVQYTQDVRGCPSAHTGRPVGVRQHTQDVSGCPVCPCVSVSTHRASVAVHQYTYQHVGPWNQHAYASRGLFG >A05p016200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7190189:7200676:1 gene:A05p016200.1_BraROA transcript:A05p016200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQASPSAMNSRRHNVSDDPQTIRRRSSRLSADELLLNIPIDLIMDIFSRLPLQSIARCHCVSKRWASILRRPDFSELFFTKSLASRKLLFACQKKSKVLAMTKQDDRVDEHQVLTLRENGNLAWRRIECSIPHSFPRRNCICISGVLYYASKAPNGDYLIVCFDVRFEKYSFVKAMKSVVPPAVLVDYKGKLASLMAQPDPDFISETSTSFEDVVAGEDLFFEGVTTTNEIVLTSNSSTELFHVYYYNFDKETITRVEIQGMRAFERGSRVLTFLNHVEDKQVSPYAMNSRGCNVSDDPQTICPSSSQLSAIDDLLLKLPIDLVIDIFSRLPLKSIARCRCISKRWASFLRRSDFTELFLTKSLACPELLFACRTQRELLFFSSHQLQHPDENLSTITADHHVSFLFDLMLKERKTQELVSVICNPSTRQCFPLPKMNIDPLVKKSTPVKSFLGYDPIEKQHKVLAMIKRYDTVVDHQVLTLRGSGKMTWRKAECGIPHFPPNPDFVCIRGVLYYAARASSGGYMIVCFDVISEKYSFVKFTEREKYFATLINFQGKLASLMVHPNPLFISGTSKSFEMWILRDPEKHGWYPRIFNLPPTWKDVVGGEELLFRGVTATNEFVLSCNCKSSSEPFHVYYYNFIKETIKRVEIQGMGAFERGSIVGIFPNHRADLKLV >A06g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24705800:24706533:1 gene:A06g508750.1_BraROA transcript:A06g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHHFITWRFAGLLHHFVKYAGLRAKVLLSTALYGAGDVAQIRRTHGSEQKFPWPPFLSL >A06p005780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1867400:1869105:1 gene:A06p005780.1_BraROA transcript:A06p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEDTVPKKKMAKQLTGKREDTLLHSAVRRGNKDEVVEILTKTREPQLNELLGKQNQSGETALYVAAEYGDVEIVKEMIKRYDLSLVEIKARNGFDAFHIAAKQGDLDVLKVLAEAHSELAMTVDLLNTTALHTAATQGHTEVVNFLLELGSSLAGIAKSNGKTALHSASRNGHVKVVKALLASEPAIAIRMDKKGQTALHMAAKGTNVEVVEELIKADRSSINIADTKGNTALHIAARKGRSQIVKLLLDNNITDTKAVNRTGETALDTAEKVGNPEVALILQKHGVPSAKTIKPSGANPARELKQTVSDIKHEVHNQLEHTRQTRRRVQGIAKQLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVEDITNLPDGHSLGEANIASTTPFIIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAVINKLMWLACVLISVAFLALSFVVVGEEEKWLAIWVTAIGATIMITTLGTMCYWIIQHKIEAANARNIRRSSINNSKSGSWGIPQLTDTDVLQNECKKMYAI >A09g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19413334:19413860:-1 gene:A09g506580.1_BraROA transcript:A09g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEKCDYRLFPRLSNAIKPFLPALTLIDMACCLGAPLALNIDSILSPLGATILLLVITFHLLAFVAGYFLTGLFFSKAPDVKALQRTLSYETGMQSSLLALALATKFFQDPLVGVPPAISTVVMSLMGVSLVTIWKNRKE >A09p075590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56869827:56872286:1 gene:A09p075590.1_BraROA transcript:A09p075590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRHVLHPFHTIMSVTLSRVILEGKIVIITGGASGIGAEAARLFTEHGARVVITDVQDKLGRKVAVSIGKDKVSYVHCDVREETEVENAIKFTVEKHGRIDVLFSNAGIPEPLLDIRDLNLEAFDRVMAVNVRGAAAFIKHGARAMVEKKTRGSIVCTTSVASVIAGTVVPHGYTASKHALVGLIRSAAGDLGKHGIRVNGVAPFGVATPLVCEGYKKEASEIEEIFSETANLKGIVLKARHVADAALFLASDDSAYVSGHNLLVDGGFSVCLNNVVGTQVCSSSLASPLCLLEGKIVVITGGASGIGAEAARLFTEHGARVVITDVKDELGRNVAVSIGEDKVSYFHCDVRKETEVESAVKFAVEKHGRIDVLFSNAGVPEPLLDIRDLNLEAFDRVMAVNVRGAAAFIKHVARAMVEKKTRGSIVCTTSVASVIAGTVVPHGYTASKHALVGLIRSAAGDLGKHGIRVNGVAPFGVATPLVCEGYKIKMEASELEEIFSETANLKGIVLKARHVAEAALFLASDDSAYVSGHNLLVDGGFSVVKN >A06g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23150234:23150912:1 gene:A06g508190.1_BraROA transcript:A06g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-B12 [Source:Projected from Arabidopsis thaliana (AT5G24560) TAIR;Acc:AT5G24560] MTMEMNFLDLQEECIATVISFTIPHNACRISPVSKLLRSAADSNAVWERFLPSDPRLVNDHSLYRVSNKQLFLRLCESPLFIDDGRTSFWMEKRSRKKCRMLSSRKLEIVWVDSPEYWSWISIPDSRFEEVAALLMVCCFEIRGNIST >A04p011920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6341266:6341724:-1 gene:A04p011920.1_BraROA transcript:A04p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGELSRVFQIFDKNGDGKIAKNELREFFKSVGILIPEKEINEMIAKMDVNGDGFMDIDEFGSLYQEMMEENEEEEDMREAFRVFDQNGDGFITGEELRSVLASMGLKQGRTLEDCKKMISKVDVDGDGMVNFKEFKQMMRGGGFAALSSS >A03g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14867328:14868588:1 gene:A03g504240.1_BraROA transcript:A03g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDFKDQVGKVLCFVWKEKHFISTQHEKKKFALGHKEAIVFLISLGRKATKARKKAFLSATKAIVLLLISLGDKSDKGEKKNCSFIRTWNKGQVISLCRSLLWAGHQYPDYNIREYAKRRTLEGFRMNKNLTDHSKVEKAYAEGKKQLEVVERVVKVYLAYPPKTKNIMELKLQ >A03p020470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8392515:8393744:-1 gene:A03p020470.1_BraROA transcript:A03p020470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIEIPPFFLCPISLEIMKDPVIVSTGITYDRESIEKWLFSGKKNSCPVTKQDITDADLTPNHTLRRLTQSWCTLNASYGVERIPTPRSPICKSEIEKLIKDSASSHKNKVKCLKRLRQIVSENTTNKRCLEVAGVPEFLATIISNIGVDSSMVSLSDEALSLLYHLESSERVLKNLLNNKKGGNIVKSLTKIMQRGIYESRAYATLLLKNILEVADPMQIMTLKPSVFTEVVQILDNRISHKATKAAMHVLVTLCPWGRNRHKAVEAGAISVIIELLLDESFSSDRRGPEMAMVVLDMLCQCAEGRAEFLNHGAAIAVVCKKILRVSQTASDRAVRVLLSVGRFCATPALLHEMLQLGVVEKLFLVLQISCGSKTKEKAKELLKLHARVWKDSPCLPRNMILAYPS >A02p008990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3707239:3707990:1 gene:A02p008990.1_BraROA transcript:A02p008990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFELYIDGCYLNYTHGKSPKDNASYVSLFIALASEGTDVRALFELTLVDQSGNGKHKVHSHFERALEKGPYTLKYRGSGIQAVFQEVWFTVIRVSERQYNSLLVRCRVGVVKSCTEGPRDYNISVPIVSWFLLVFISCDANRWLLEYVARLSEHSVIALGHRKEVLADGCDDANGRRVKQRLH >A10g500190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:654721:655011:1 gene:A10g500190.1_BraROA transcript:A10g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWRQRDGLSIDCEQLVILIQKEEDWPALDSELDEIQAVSKEFSEFSIAYIPRSLKFRTNSLAKGVRSRASRSAFVNPFAPSWLPHKLA >A02p038280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21878533:21878867:1 gene:A02p038280.1_BraROA transcript:A02p038280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDLPTMRLIRSFYTRYRFELYFQCHQFECGMSTSREEAIEEMKECRSMTDPLCRSTVIPEDRTSLFHDRIKPIRHHKSPGKLLDDLKPYLYVF >A02p003280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1371392:1372412:-1 gene:A02p003280.1_BraROA transcript:A02p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGLNLPAGFRFHPTDEELVQFYLCRKCASEEISAPVIAEIDLYKFNPWELPEMSLYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSASVNKKNNLRLDDWVLCRIYNKKGTMEKYYPADEKPMTMTAASSPFDASDSTYPTLQEDDSSSSGGRVVSPDAREVQSEPKWGEFENAFDASMFGGGSMDLLQSEDFVPQFLYQPSYDFNSWQEDPPEQKPFLNWSFAPQG >A09p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20223828:20227745:-1 gene:A09p033400.1_BraROA transcript:A09p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) UniProtKB/Swiss-Prot;Acc:O82504] MVSEITRSTVKLTNVPQTIVADELLRFLELHLGADSVFALEIPTSRDNWKPRDFALVQFTSLEAKSRAQHLSSQSKLLFKSRNLRVSEAYDDIVPRPVDPRRRLDGVVLTAGFPESEEGRFCALEKWEGVRCWVIEEKRRVEFWVWECGDCYKCEVRFEDVVETVPSCVDGVDEINAFLLRLKYGPKIYKQVSGPHIATKFKSGRYSFCKDDFDFMWIRSTDFSGLKSIGTSTCFCLEVDNASAMSDIFSSLPYYREDTLSLTLVNGNTFASANQIVPLLNAANLGIEIPYEILFQLNSLVHAQKISLFAASNMGLISILCGLSLETALVVLKKLHQQRSICYDPISFVKAQSQYVVKKTAHSPASAYKRLTEQNIMSCQRAYVTPSKIYLLGPELETANYVVKNFAEHASDFMRITFVEEDWSKIPANALSVNSKEGYFVKPFRTNIYHRVLSILGEGITVGPKKFEFLAFSASQLRGNSVWMFASNEKVKAEDIREWMGCFRKIRSISKCAARMGQLFSASRQTLNVRAQDVEQIPDIEVTTDGADYCFSDGIGKISLAFAKQVAQKCGLSHIPSAFQIRYGGYKGVIAVDRSSFRKLSLRDSMLKFDSNNRMLNVTRWTESMPCFLNREIICLLSTLGIEDAVFEAMQAGHLSILGNMLEDRHAALNVLQKLSGESSKNLLVKMLLQGYAPSSEPYLSMMLRVHHESQLSELKSRCRILVPKGRILIGCMDEMGILEYGQVYVRVTLTKAELESREQSYFRKIDEETSVVVGKVVVTKNPCLHPGDIRVLDAIYEVNFEQKGFLDCIVFPQKGERPHPNECSGGDLDGDQFFVSWDEKLIPSQMDPPMDYAGSRPRIMDHDVTLEEIHKFFVDYMISDTLGVISTAHLVHADRDPERARSQRCIELANLHSRAVDFAKTGAPAEMPYALKPREFPDFLERFEKPMYISGSVFGKLYRAVKSTLAQRKPEDAESEDKKKMAAYDSTLEEAGFDNFIETAKAHRDMYAEKLSSLMNYYGAANEEEILTGILRTKEMYLQRDNRRYGDMKDRITLSVKDLQREAMGWFEKSCEDEQQRKKLASAWYYVTYNPSYCDEKPRFLSFPWIVGDVLLGIKAESAEGEREMMIA >A03p034350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14509834:14511367:-1 gene:A03p034350.1_BraROA transcript:A03p034350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYTDIGKKVRDLLYKDHNSDHKLSITTHSPAGVAITSTGTKKGDLLLGDVGFLLKQKNITTDLRVCTDNTVLITATVDEAAPGLKSIFSFRAPDQNSGKIELQYLHDYAGISTSMGLTQNPTVNFSGVIGTNVLAAGTDVSFDTKSGNFTKINAGVNFTKDDLIASLTLNDKGDSVNASYYHIVNPLFNTAVGAEVSHKFSSKTNTITVGTQHSLDPLTTVKARVNSAGIANALIQHQWTPGSFFTISGEVDTKAIDKNAKVGLALSLRP >A02p004330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1870886:1871757:1 gene:A02p004330.1_BraROA transcript:A02p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMAMAYGYIVNPPTKMTTFWPFINILAKRYYQVHWNQRYKTLQLLCKMGFEKWVLQATSEGTILKSPLDSQASLNSEEIPAHQFGVVHVIKAKSCRLGRSQKTVGDYSLLL >A03p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14781136:14781603:-1 gene:A03p035220.1_BraROA transcript:A03p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLIHFFKGLESHRVKLNRKDGEERWILILPFTNHPYHPIYTFMLQKIFQRFSFSIYHEDK >A06g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7362337:7363996:-1 gene:A06g502080.1_BraROA transcript:A06g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKRKNPSTTCVGVSSRTRARKAVSAGNEPARETTVVSLSVDSESDDMSAVSSKVINSVLVPTVGEEIMLARIIDEEREYHCEGSTSDTWNHWLNVKQKKIFWKELYDLDVAARVFKKKKDKEKVTFLEDSSSKSGLESLKALEEKILGAMSEGFSGHKSVVEAKLGDMDVRMSKFEKNQ >A03p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10302394:10303073:-1 gene:A03p024410.1_BraROA transcript:A03p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLSGSAVLIGIGSSFSSGIAKQSGVGAVGFGRKTELVVVAQRKKSLIYADKGDGNILDDLNEATKRASDYATEKTKEALKNGEKAKGYVVDKNVEAKDTAVDEAQKALDYVKAKGNEAGNKVAEFVEGKAGEAKDATKA >A06p045580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24421630:24426963:-1 gene:A06p045580.1_BraROA transcript:A06p045580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVEEERSMEEGLLKLKNQNDTSHCRITACVILSTFVAVCGSFSFGVAVGYTSGAEIGIMQDMGLSIAEFSAFGSFSTLGAAVGALFSGKMAIMLGRRGTMWVSNILCITGWLSIAFAKDVLWLDFGRISSGIGIGLISYVVPVYIAEITPKHVRGTFTFSNQLLQNAGVSMVYFCGNFLDWRMMALLGALPCGIQAIGLFFVPESPRWLAKVGTEKELENSLLRLRGRDADISHEASEIQLMTRMVESDSKSSFSDLFQRKYRHTLVVGIGLMLIQQFSGSAAVISYASTIFRKAGFSVAIGSTMLGLFMIPKAMIGLILVDKWGRRPLLLTSACGMSITCMLLGLAFALQKMQLLPELTPVFTFICVTLYIATYAIGVGGLPWIFPINIKVTAGSLVTLASWSSSSIVTYAFNFLFEWSTQGTFYIFGAIGGGALLFIWLLVPETKGLSLEEIQISLTGQPDEISHM >A08p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17186609:17188240:1 gene:A08p027110.1_BraROA transcript:A08p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRSSSISFFTFLLFSVLINTAISSRVSSFIKLPTSVDESVSSSLESYCASWRLAVETDNAGKWKVVPSQCVSSLETYYDKGQFDKDYSVVAGYAYAYAKTITLKGDGKDAWVFDIDETLLSNLEYYKAHGYGSEPYNSLAFNEWVLQGTAPGFAASLKLFNRLKKLGFALILLTGRDEVQRSVTEQNLLDAGYSGWEYLLLRGHQDQGKAAAQYKSEQRSRMVKEGYRLHGNTGDQWSDLQGFSVADRSFKVPNPMYYIA >A09p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10397402:10404137:1 gene:A09p019620.1_BraROA transcript:A09p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTRDDRLAGALPHVQPMSMSAASKMCGSHLPEAQKSRVHLPQTNWLKHANAFECIPSSNNFLSSSMLYSLESQKPITSRETASRPIYNIIPVDVQTLAHQHISKVTTMGKLVMAWCALANLSINNTYLRPGITPAIDDINTNCSFSTRGRSTAKVTSNTDGSFFAHNHQEDSQKRIRGTATSFDRFSSSSPGDGKLISGKVPRVYNEVRDSVTGCINGMEVPPIRNFAHPARQVEVIEIDDDDILKSIDVEQIVMEHYHSSCTHQPSFNIFASRGEENPCLPPELCSNCSHGVKLGLCLQAPSHVEQMKDALLAVSNELLDDSTDLSPGHFEQLCQERLLLKKQIQLLEILIQDKEKKNSECLASRPSHNIQYETPQTTNHKADYAQADSRAHIKEQGRYVSDNWNMPRDYLCSEDRSGLSSGPRERERCVPEIIDVTYTDGSNDKKWSSRDFPWTKNLEVNNKRVFGNHSFRPNQREIINATMSGCDVFVLMPTGGGKSLTYQLTCKLVFALVEDIRITLVISPLVSLIQDQIINLLQANIHAASLSAGMDWTQQLDILRELSSENSKYRLLYVTPEKVAKSDSLLRHLESLNSHSLLARFVIDEAHCVSQWGHDFRPDYQGLGILKKKFPKIPMLALTATATASVKEDVVQALGLVNTVVFRQSFNRPNLWYSVVPKTNKCLEDIDQFIKKNHFDECGIIYCLSKMDCEKVTETLRKLGHKAAFYHGSMDPGKRAFVQKKWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYYQECGRAGRDGQRSSCVLYYCYSDYIRVKHMISQGGPGQSTMTTGYNRIASSGRTLESNTDNLLRMVSYCENEVDCRRFLQLVHLGENFDSTNCKNTCDNCSSSKTLIEKDVTLIGRQLVEIVKLTGERFSSAHIVELYRGSLNQTVKKHRHETLHLHGAGKHLSKSEASRILHYLVTKDILTEYVKKSDLYGSVSSLLKVNRSKAASILSGGQTIEMRFPSAVKAVKPSKQGPTPARVALKQTTLPMAPAPPQDSILSDTLVKALKKLRADIVKESSDAVMSYHIFGNPTLQQISKRLPRTKEELLDIHGLGKAKVSKYGDRLLETIESTINNHYGTNKNEGTGSGKRRRDENTNPIVVDNDDDDPDWTPSQQSYKKAYAVRGQTSEEAIC >A09p060360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50077292:50079120:1 gene:A09p060360.1_BraROA transcript:A09p060360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial import inner membrane translocase subunit TIM21 [Source:Projected from Arabidopsis thaliana (AT4G00026) UniProtKB/Swiss-Prot;Acc:Q1G3L1] MEICNRNLKSGFARRAAQPQAGEMMSFLRRSIGSLSKSKLASTLPFSSASQTGASRQAIPDSCLVSVVSNGAKGLLFGFRRMESCPMNISRPSCLLSRSFASRTSKEPAKKEVVTVEEDPFDSPTYHIPEKPVTFTEGASYSFVILAGLAVAGASVYAVFKELMFQPKEYKVFDKGLKRIQDDGQVRVKIGSPITGYGQETRNRAARQRIPNRVFTDEEGVEHVDRGVKWAGGPMVAHFTALHVEVNFFIRGPQGAGKVYTEMFKDKADKKEWKYTYLIVEILAPSSAKLMLESYLPA >A08p008680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4740935:4742291:1 gene:A08p008680.1_BraROA transcript:A08p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKVPISKEAGHFRPECTSCNALFIYIEDLQYKDLMKMDILASSEVSSARLEHLIKSVGCVLRCTKQNTNIFASPALNDIPLFGSTVQREKHSIHDRLLDHVITDSASCNKETTDTFASPALLNAIPDMLPDHVLNLTNKLNPAGLNAFFLQNSEEHYRSILRLVKEQTQSESEWNDASSKVKYISSRIDLLDVIIKAENFDFVTELKKLAAEHIDAE >A09g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28818818:28819861:1 gene:A09g509890.1_BraROA transcript:A09g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLLLRNLFSANKILIKPYFANSVKAMEARHDLPITSLDYPKPISAPPPPISKDIELKRAIEASSKSSLFNLTRDDVLYEDEWLMAVDKPKGVYCEYILSSAPKIIVDSSNEFHLANRLDRDTSGVMLITKSHKVAAKLVKAFTEHKIRKSYIALSIGPSPNWSKITVKSGHGRSKHGAWRVYAALDVGRVLPGGSFVRDMETTFEVVSINGENPQPSESESTIVVQGEPELSCVGDDVVVVRAFPRSGRTHQIRLHCQYLGIPIRGDVKYHGMYEWRGRTSEGHELHAESLSLDHPVTGDPLVIQAPLPCWAAGDV >A09g514150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42667587:42681310:1 gene:A09g514150.1_BraROA transcript:A09g514150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPKSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNHHEPCLPNYLYQNKKTSDSYYRNREKIHRYKEIEREISIVAVVGGRPWWWWAGGPGGGERATLVVVRGRPWWWSRAWERGLTATPQGRSSWERGFESDTPRSLAFSSSDREKLPQSEVSERGRKVAPSGSDVMGATLRSRSRFRRNGAQKLTQSDVLERHLEVAPAQSEVKMVKKTKGRLEAERQEAESQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEESETESEDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNKHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGTVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGPSRALQRLGVDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEQGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDRVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGSASGGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMGATSPERHHRVALITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGHSRSLERLVGAMG >A07g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26735717:26736404:-1 gene:A07g509160.1_BraROA transcript:A07g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMQEELVTWLSGLARLLLSHATVVRFSCGSKYCETEDPSLVSESMLGDITHPSAETVWSEFSDNFNPFFGRLVFRGAVHCTTCSLLVSGRCYEALGKLFSDGSLSLRADHRGSQNYRVPALG >A08p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21050489:21051720:-1 gene:A08p035690.1_BraROA transcript:A08p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMHWLRLPSLQYQTRMVKGIASKWPGFSILYSTSKNIGPALAPIQAIPKITAGARQILEDGNETSSVSNPSQFERCTRSAGEDTLLISISEEDIVQCPDS >A05p053200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30357654:30358233:-1 gene:A05p053200.1_BraROA transcript:A05p053200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSFKLVFLVALLSLVFRQDLVEGRTLVPNRGGGNYHDPICNPDDPNCDKPCNPENPNCALKQRDIDTNRAYGNYQDPPCDPYYDPNCVKPCNPENPNC >A09p056050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47813941:47814902:-1 gene:A09p056050.1_BraROA transcript:A09p056050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISSYIIRYCWNCDVSLLLGCCDRFLSPRSCFPAMTKDGRYRDWAGLPPELTWSILSRLGTVDILEKAQKVCKSWHSVCEEPSMWRKINMHNDLGFMDSLTRSRHVAMCRNAVDRSQGGLVEIDIWYFCTDSLLNHIADSSRNLRTLRIAMCHEINDGLLEAAGKLPFLEELDISYCSFEEETLKAVGKSCPNLKTLKLNYPVLSYSDDEFAIAIAESMPELRNLQLSGNPYMSNTGLQAILDGCLHLEHLDLRECWRLGLVGDLEKLCAERIRVLRRPGDPTSGPHDMFWL >A01p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10232788:10235049:1 gene:A01p020980.1_BraROA transcript:A01p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAERPENLWIASGMPSSSSPAETERRDFPIIGSTTGAVDVRGYGNVGLQVVSRSQLEKGSTVEKLGLTERVFSAAGAAFLSAVILNPLDVVKTRLQAQAAGVSYSHPLSDAIGRMAFFGPNMMFTDLRCSPSCSRAGVHGTVSICPPDCFQYKGTFDVFTKIIRQEGMARLWRGTNAGLALAVPMVGIYLPFYDLFRNRLEELSRENAPATTLFVPLVAGSLARSLACTVCYPVELARTRMQAFKEAKAGLKPPGVVKTLVGVVSEVRTANNLQNSLHNYRVLWRGLGAQLARDVPFSAICWATLEPMRRRLLGIVGNDTNALGILGANFSAGFVAGTIAAATTCPFDVAKTRRQIEKDPSRAMRMTTRQTLIEVWRDGGMRGLFTGMGPRVARAGPSVGIVVSFYEVVKYVLHRQYASS >A03g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32265540:32267578:1 gene:A03g510050.1_BraROA transcript:A03g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLSKQIIALGRFCPTEGQSSEDQIRWDSSQRGYRERERKEVIDSRVWSILKTDTPPRRPVPSNRRFSTIVTRKLCPIQFVQAMEVLPRVSSEPRVQISRSSARYSAGSPKNCPEARGGSVQVQISLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVALVVRVLGHIGRTTGTIGWWILKLCGIIGWPIMFLFDCWPVGRPMPRMVRGCYRWYGRWTPVLVWN >A04p020260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12338690:12339869:-1 gene:A04p020260.1_BraROA transcript:A04p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEAEDFQPTPAKVELKSNWDDEDVDENDIKDSWEEEDDDDEPTPAAVVKPAPKKAAKVVEKKAKSVEEPSKEEPFDPIADKRRMQRLVEEADYQATAELFGAKTEAKSVDIFIPKSESDFLEYAEMISRKLVPYEKSFHYIGLLKAVMRLSVANMKAADVKDVASSISAIGNEKLKAEKDAAAGKKKSGKKKQLHVDKPDDDLVSGPYGAMDDDDFM >A02p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11594846:11596370:1 gene:A02p023320.1_BraROA transcript:A02p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTNNGNNHKAKVSLVLSTDAKPRLKWTCELHQRFIEAVNQLGGPNKATPKGLMKAMEIPGLTLYHLKSHLQKYRLGKSLKFDDNKLEAVSSASETQEAESKNDSGNFRGNVNQENNDPANDRGLKITEALQLQMEVQKKLHEQIEVQRHLQVKIEAQGKYLQSVLMKAQQTLAGYTSASLGMDFARSELSRLASMMNPSSSFSEQTQVEDYEEEGFLWCKKPENREKRQPRSSVESSLTSSESSETKLNNNNEERKSMELPLMEIKSEAMTAKKTKRSLNDVLCVEHQPLKKRDFGVDDDDEQHLRLSLNSYKQDMGTCPNMD >A01p003810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1660052:1661141:1 gene:A01p003810.1_BraROA transcript:A01p003810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHHHKNQKKKKKMGRSPCCEKDHTNKGAWTKEEDDKLVSYIKSHGEGCWRSLPRSAGLLRCGKSCRLRWINYLRPDLKRGNFTLEEDDLIIKLHSLLGNKWSLIATRLPGRTDNEIKNYWNTHVKRKLLRGGIDPTTHRPINESKAPRDSPETRETEDSLVKFLSFSRQLEKNDQKGLICKKERVEYSVVEEKCLDLNLELRISPPWQDQQHHDETKLWFGREKYKCTACRFGLGNGKECSCDNVKCQVEDSSSSSSYSSSDISSSVIGCYDFLGLKLNTSVLDFSTSEMN >A04p000100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:54227:60033:1 gene:A04p000100.1_BraROA transcript:A04p000100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSAKSNLGFIIIAFFFFSGYCGGESSTCLTVYKQGGAPAVFQSPKCPRWNWPSPSRSRTGDARCHTAALQGRRKYQEDRLLCALDLRIPFPGKSGTKDVLVGIAAVFDGHNGAEASDMASRLLLDYFALHINFLLDATFSSMTTPTKQLIGRLPSNGDHAVIALRDEIMHLHNLDPNMQTRDPLPLKFDDSLHLNIIKEALLRAIHDIDATFTKEASNRKLNSGSTATVALFADGQLMVASIGDSKALLCSEKFETPDEARATLVKLYRERRRNPDSSSPSRFSDFKLEHSNGRLRLIAKELTKDHHPNREDEKNRVEAAGGYVTEWAGVSRVNGQLAVSRAIGDLTFKSYGVISAPEVLDWQPLLANDSYLVVSSDGIFENLKVQDVCDRLGEVNNQTSYGAGLPSYCSVSLADCLVNTAFDKGSMDNMAAVVVPLKSNLVSQLQRKEQSIGNNKYKINSALPSNTCALPLPGDISSGPLQFKQAQPHSTMFNRLLVEVKNGSFYCFYMSEHLMGTSLGQMDNLNDYMSDLPQVLPASVEPFSGWCLPSATATNENQDQCINPDSFATFLGLLESVPFHGFGANNGTEEIPFPDSSYVLKKKFGRGAFGEVWLAFHWNCYQGSNATSWIQEDENTSKSGGHTNEYVDNVTSSTSTDHYDANAPDNSFILKRIMVERGPTVYLSGLREKHFGELFLNAYSISGSSSAQTSSPQSASSELDLSEEGLKHIARYIEYFESRYNDIWLVFHHEGVSLSKLMYTVEEAENIPAGEKAEEASHGQILRPSNWWKWLKTTDSGKEEMRRIIWQLLLGLKACHDRNITHRDIKPGKKYSDVNENMVICLEDIKSGRCLKGAPKGDYNFKTKMRIIDFGSALDEFTMKHYYGSVGPSRAEQTHDYAPPEAILNSSWHRGPTSLTMKYDMWSVGVVMLEMILGSPNVFDISSVTRALLDQHIRGWSENFKELAYKLRSFMEMCILIPGSSLKHGGASSKQVKKIILGKQFSFLLPHMLSSVAMSTARGGISLASWKCSEEFFGKQIKSRDPLKIGFPNVWALRLVRSLLQWYPEDRMNVDEALQHPYFQPPPSS >A05p004690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1812732:1813514:-1 gene:A05p004690.1_BraROA transcript:A05p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALTPTSVGSKSLLPLRNHEAVPERGTNNNNNMKALPKAVQPVSSIEGEMAKRPRGRPAGSKNKPKPPIIVTHDSPNSLRAHAVEISSGNDICETLSDYARRKQRGLCILSANGCVNNVTLRQPASSGGIVTLHGRFEILSLLGSILPPPAPLGITGLTIYLAGPQGQVVGGGVVGGLIASGPVVLMAASFMNAVFDRLPLDDDEAASMHNQQYYQNGRSRPLDDIHGLPQNLLTNGNSGSDIYSWGPAQRAMSKP >A04g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1657359:1658360:1 gene:A04g500540.1_BraROA transcript:A04g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 17 [Source:Projected from Arabidopsis thaliana (AT3G58090) UniProtKB/Swiss-Prot;Acc:F4J4N3] FSAVPNKVSAFISRKPPVNTRRTTNAGDLISSLFSAERIPPADIVFSLDLIVLKLFYDTTLKDAHCECFLYKITQNMDNTVSIKEEAPQPLPLEIFEIPGEPAVVINGVPDEPQTDSMIAKDEPKPSSATTVGCGEWLEGREVRKFFLGQYYSGTVTKFDKSTGWYMVEYEDGDSEELDWSELEEVLLPMDVTVPLRSISLWILKNRQTQAQAYGSMPKR >A04g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2879989:2882943:1 gene:A04g501070.1_BraROA transcript:A04g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLNSSLHNALCLLKPFNTLLTTKPFSPRNSFRFSKKLASSPRRALHSTSTLESHENGERFVLSTPLYYVNAPPHMGSAYTTIAADSIARFQMGLLIHSLTSLGSGKRLLGKKVIFITGTDEHGEKIATSAAANGRNPADHCDLNSQSYRSLWKELDIAHDMTKFYARVFANGDIYRADYEGLYCINCEEYKDEKELLENSCCPVHQMPCVARKEDNYFFALSKYQKQLEEVLSQNPLFVQPSYRLNEVKSWIKSGLRDFSISRALVDRGIPVPDDDKQTIYVWFDALLGYISALAEDNGQQNLDTAVSLGWPASLHLIGKDILRFHAVCWPAMLMSAGLSLPKMVFGHGFLTKDGMKMGKSLGNTLEPFELVQKFGPDAVTYFFLREVEFGNDGDYSEDWFIKIKNCDSTLAVDSTIAAEGIPLKDTVEKLVEKAKTNYESLSLSTVCEAVLEIGNAGNSYMDQRAPWMLFKQGGVSAEAAAMDLVIILEVMRIIAVALSPVAPCLSLRIYSQLGYSVDQFNSITWGDTKWGGLKGGQVMAQANPVFARIELSAEKDEEEKKVKDSNKKGKAKVKVEKPQAVAEA >A09g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19018294:19018676:1 gene:A09g506390.1_BraROA transcript:A09g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KASIPRRSTHRYGDLAAMACDLLSIPITTVASESSFSIGSRVLNKYRSRLLPKNVQALICTRNWIKGYEAYEHEDEIDPAEETLPSFDSIANEENDDAEV >A09p083610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60167019:60170135:-1 gene:A09p083610.1_BraROA transcript:A09p083610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRRSFSCLLYVLGLLLYSASLVCGGDIVHHDDSIPQRPGCNNNFVLVKVPTRVDGKEKEEFVGVGARFGPTLESKEKHATLIKLALADPPHCCTTPKSKLTGEVILVHRGNCSFTTKTKVAEAAGASAILIINNSTDLFKMVCEKGENVLDINIPVVMLPIDAGRSLEETVQSNSIVTLQLYSPKRPAVDVAEVFLWLMAVGTILCASYWSAWTAREEAIEQDKLLKDGSDELLQVSTTSSRGVVEITVISAILFVVVASCFLIMLYKLMSFWFIEVLVVLFCIGGVEGLQTCLVALLSCFIWFRRIGESYAKVPLLGEVSYLTLAVCPFCIVSAVIWAVYRQYPFAWIGQDILGISLIVTVLQIVRVPNLKVGVVLLSCGFMYDIFWVFVSKWWFRESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVTFALRYDWLANKRLKSGYFLGTMSAYGLGLLITYIALNLMDGHGQPALLYIVPFILGTLTVLGHKRGDLKTLWTTGEPERPCPHVRLQHQS >A10g502260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:6052943:6053437:1 gene:A10g502260.1_BraROA transcript:A10g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCLIHGLTSSRLTLSAHMSLSMLRSQVLRHLVLLGVNQHAVLSWASTPPASLATYHARQVTSRPYLIDKASSSFSVHSTDFDPSGEFLSRDQSRIFFYARSDEMNIFNKLQVNPDLDVKYFSSLRLLRKIDNTKIRVFAKLRVFPSCLLPVLLNSRPASNL >A03g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4315211:4317157:1 gene:A03g501450.1_BraROA transcript:A03g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNFQWEFLVASLTLTLALIHLVEANSEGDALYALRRSLSDPDHVLQSWDPTLVNPCTWFHVTCNQDNRVTRVFSRLMPVCSVNVKSSVDLVNVVMIGPFLCPWQRFFIELWDLGNSNLSGHLAPELGKLEHLQYLELYKNNIEGTIPSELGNLKSLISLDIYNNNLTGKIPTSLGKLKSLVFLRLNDNQLTGPIPRELTKIPSLKVVFENNSRLEGPELIGLASYDTNCN >A09p047890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41523522:41524072:1 gene:A09p047890.1_BraROA transcript:A09p047890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNKFIPTLQRIWDLSPPQFHSRMALHLFHMKLKLLKYDLCALNWTQYGDISTKTREAYVVLCDRQNEALVNPSDESFSRGKEYTFLPSRALLADTARYIIGLPGRGARALELSLLR >A06g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9760171:9761686:1 gene:A06g503030.1_BraROA transcript:A06g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAANFVVASDGFQGYVLFDGSVPALSLLVMARRQVGSTRRLGDGGSFPFAGALHSKSRSSPLLSICLVLVGACLLIGYAYSGPGIFKSIKEVSKVTEDETEAWGIEPYDIEDADSHCKSLVSKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTVPELARVASDGVVLFAGLPGQQRAKVAELSKFGRPAKMRSASWWNRFFVQTSLEENEAPSKKFEQAVSKGSYKPACQVFHLKPLH >A02p022900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10978484:10980557:1 gene:A02p022900.1_BraROA transcript:A02p022900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSKCFFLGSLVVSLLLFLGSTSTMALTKDINSTCYRYSVDNSFVHNKTFCLQTLTAYPPAVSATNMVELVKVTLDLGSTQAKERAGFVAGLEKEPTFKKYFEMCSESYAIIVDNFRGARLCMEDGAAGAAGASITILQTYDNTQRVKDTIGKNTDKASKKLMEMTLVMEDFVAIANAAISVIF >A03p043380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18148208:18148652:1 gene:A03p043380.1_BraROA transcript:A03p043380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRSSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDQ >A03p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10206709:10208142:-1 gene:A03p024140.1_BraROA transcript:A03p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGAERGGDRGGFGRGFGGRAGGRGGPRGRGGRRGGRPTEEEKWTPVTKLGRLVQSGKIQKLEQIYLHSLPVKEYQIIDLLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTNGHVGLGVKCSKEVATAIRGGIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYAFLTPEFWKETSFKKSPYQEYTDLLAEKGTPTTKAITEAEDQAS >A10g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9732413:9734089:-1 gene:A10g503880.1_BraROA transcript:A10g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHEFGFFASFSQTSLSYRVASFNYLLEFFSLCLSSSSNRCPGVRHSTFESLRLSRSSQSIASGFLRFWDSLNFKKDREFVGIMVLFLNFVIHGITPDRRANYYMPSLKAYSIVKVDRFEVARCSSMYKITDHPFLIRFISLTIIYEVITSAPEINLQSRLDNVVGQIRYVQDSDLTKETTRVVIHLLIDPYKKQSTHNSLYITVYIVLTSIIINISYPRFVVNKLQTLINTNNNDICLYIIHQIKEKQTQPHQEIRDNLNGHKNSNNISTCSLLLSYENNLHAKCQ >A03p008040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3249370:3250656:-1 gene:A03p008040.1_BraROA transcript:A03p008040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDIDLKIPDPLRADWFMVLVDIQADLIYNALVVLTSPLYLLYRSYNRATATISAAERAVKRAPSRIKSGAARVVRRTWYGLMGACQVSMVMVLALIIASVLGIGVVSLYVEKPVVVRERLFFDYTEENPSAVFSFEKKKRPFGVPVGHKVHVSVVMWMPESDLNRRLGLFQLKVELLSLKGETIARSSQPCMLRFRSKPIRLARTFVMSVPLVAGFANEAQTMRIDALVHQEKWPRTKAVRATLIPRAQTRLLPQLYEAEVVINSKPPWTKRMAYNWKWTLCVWTSMYLYVPILIALLWCFKPFLFPYAASRTVAETERLEMEVVEEVMERRFRERRKTPRRRTFITTQETYT >A01p048630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27379757:27382621:1 gene:A01p048630.1_BraROA transcript:A01p048630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILQRCRSSRKNDDDYNANGHSCHDDVEEEEEEGLSRRSKGKKKQQSFSLLRSSGYVDPGWEHGVAQDERKKKVKCSYCGKVVSGGINRFKQHLARIPGEVAPCKAAPEEVFVKIKENMKWHRAGKRQNVPDDVRALTVSHDPEQEEEEEEEVEDGFYGRFSKDKRRSNTRFVSEARPKRARMNSFQYTGCSSRAAVSRREVTSSISKFFHHVGVPLEAANSLYFQKMVELIGLYGEGYVVPSSQLFSDRLLHDQMSTIKGHLRDYRSSWIVTGCSILADTWTNAEGKTMIGFLNEFTQGMDLLKPETTRHASSFATLQTLMDHKANLRALFQSNSWILSQKSEEGREVEKIVASAAFWKKVQYVLKSVDPVMQVIRMGGGDGGERLYLPYAYGYMCCAKMGIKSIHSDDARKYGPFWRVIDYHWNSLFHHPLYVAAYFFNPAYRYRSDFMAHSEVVRGVNECIVRLEPDNARRITALMQIPDYTSAKGDFGTELAIGTRTELDPAAWWQQHGISCLELQRVAVRILSHTCCSVGCEPKWSVYDQVSGECQSRFARKSRRDLAYVHYNLRLREKQMKRRMHDEGPPQSLNHVLLDRLLPDWLVTCEKEEEEGLEDEDGAEKDDQEEEEEEENCYDDSANNVYGDGEDNVDVYNDDLSDDD >A03g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24522655:24526256:1 gene:A03g506930.1_BraROA transcript:A03g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVIVPTACGFGISYFLFLFIILRCFWEKKLDLRVGDLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLCMTSRHTRRNVQGELVTFTNHELARLERTNSQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFNMEQATAEQIQNQNKRQPQSNQQAVLANGNSQPDELKSLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHFAEERAEQPTSSAVTAPDKSAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKYCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHDDKGESRLLSDEDPCTDPTKIRGNSRVKQKV >A08p001470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:874506:876392:-1 gene:A08p001470.1_BraROA transcript:A08p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G54090) UniProtKB/TrEMBL;Acc:Q9SYG5] MESPDIRGGDGSDFATLETAEKIILRWDSTTSEEAKENLIFQSDREEVDRYLQAVDEVQRLVSISDSRHEVKARSTIQIAMARLEDELRNILLSQTSTFEPDSLLLDSSASSFATRADLEDTEAVAADEGEGEEQEEMNLVLPDGSDSNSGSDPGSSRLSSRRSSCKSTSSIREIDLVSSEAVSDLRSIVHRMIGAGYSRECLQVYGNVRKSAMETIFKQLGIVKLGIGDVQRLDWEVVEVKIRRWIRAAKACVRVVFASEKRLCEQIFDGGEVEETCFMEIVKSSALQLFTFPEAISISRRSPEKLFKILDLHDALADLLPDMEEIFNSESSETILVQATEIQSRLAEAARGTLVEFENAIFREPSVVPVPGGTIHPLTRYVMNYLNLIADYKQTLVELVMSKPCAGLKCTNDPVKPDMDLSQLEGCSPLALHCIWTMVMLQFNLEGKSLHYKDEALSHIFIMNNVHYIVQKVKSSTELREIIGDLYLRRLTGIFRHAATKYQRATWVRVLNSLRDEGLHVSGSFSSGVSKSALRERFKAFNTMFEEVHRVQSTWSVPDVQLREELRISLSEHLIPAYRSFLGRFRGHIESGRHPENYLKYSVENLETAVLDFFEGYTTAPHLRRSQ >A02p046490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29013227:29014324:1 gene:A02p046490.1_BraROA transcript:A02p046490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHKISGQRRGVVFCALSLSLRSNGGDEEETAERRDHEENFTGIFRTSSRDHHGDSHPITKQINWSLSRMKESFATKFKTFQIPVDWMLENGITSQIKLASVKLAMKYMKRVSAELEAIGGGGPEEEELIVQGVRFAFRVHQFAGGFDAETNLYIFKVIHKLVYIAFVLHSPSHVLPSSSI >A03g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29661365:29661709:-1 gene:A03g508970.1_BraROA transcript:A03g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRSLRGKEMVRRNDEEKMVRRNDVKGISRRRSLRGKEIADETVAVEDVKGSLPERLFATDRYPCERINMYSAIDNLRALETH >A05p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8566919:8567595:1 gene:A05p018530.1_BraROA transcript:A05p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRISEFQEKESVLIDMEVVHIHVPWRLRFQKRGDHYKRAMESYRCDPEGEDVHDCSMQKTIMLKDDMYDHWKVRMKLLVRGINDAAWIAVKTGWEEPTIFSVEGKMSKPKEPR >A03p045260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18921377:18925929:1 gene:A03p045260.1_BraROA transcript:A03p045260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQYDVVYQPLLQPQLSPLPESSNGELERVLSDLETPLFLRLRKATMIESKLLFKFAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGGRKYDMLGVYLQRSAVLLTLTGVLLTIIYVFSKPILLFLGESPEIASAASLFVYGLIPQIFAYAMNFPIQKFLQAQSIVAPSAYIATGTLFVHLLLSWLAVYKLGCGLLGASLVLSLSWWIIVVAQFVYIVTSERCRETWRGFSVQAFSGLPSFFKLSAGSAVMLCLETWYFQILVLLAGLLEDPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSAAFSVIIVNIYSFITCVILAIVILACRDVLSYAFTEGEKVSAAVSELCPLLALTLILNGIQPVLSGVAVGCGWQTFVAKVNVGCYYIGIWTGMICGTLIQTVILAWVTFRTDWTKEVEEASKRLDKWSNIKLEVVPE >A03p046140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19438421:19439874:1 gene:A03p046140.1_BraROA transcript:A03p046140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRTEGGNKKKPVIVLLCVAAVVLVFVYLFFGSTSHAIEYGRKLGLGGDNDDATKKEDASTSFYVDDDANGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPPGYKVPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASMANMLNFPKNILNNGGRLRTFLDVGCGVASFGGYLLASDIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWTIAAKRNQTVIWQKPMTNDCYQEREPGTQPPLCNSDSDPDAVYGVNMEACITQYSDRKFSLLTPHMFVI >A07p011560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8631663:8632226:1 gene:A07p011560.1_BraROA transcript:A07p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSEDETGYPKKFYPLNRLNHPMYTRPIPKFQAYYREEDDEQEDDKIKEDKGEGYNHRGKERLQKMLKPNKSVYGYEFVGQDMRTRKTMTRSLCCWKSEQRALFRESETQCRRMIDSLKRKYRKNKIKVDIHRSCSSKWLFFNKMDMLFTLRLSWSWVGLLC >A09g515900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46924929:46927610:1 gene:A09g515900.1_BraROA transcript:A09g515900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDRGPGTDHMMDVGTSSRAGTRTNPPRAGRPTPNPPAQVSRRRAPQQKEKSPADRATLEAEIKEMIEEGLRAETEDEEEEAPAPKPAKKRKRVPPTSKPTSAQLYERLYNDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKDVSCEFMSTFSVRYHCDAHRTEGFGRISFEINEKTYKVGFKKLSSIFGFSENRGSFLPARSAIVDDIWAVITGWSRTAGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIGSFITPILEAFGIDLGPRDHAPASIDLAYLKKTHFLSGQSGDRYGYPFWSTDLNPEQLQIFLPCERLTTLSDPRHVLFAPSAHELIPADFGELETITKVRKKKTRASSSRAARPSDADDEGPTTPAPVYGTEKYHFQPYGGVTPNIALRQALSQNAKLLRWNKMQDSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVSAGAGPSTLPYPVFYGPPRSPEYRLRRRRRNTKLLIM >A02p043690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27529274:27531677:1 gene:A02p043690.1_BraROA transcript:A02p043690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRTYRDDVGGNMVDAFRTHIMQTKELGNCPVRQIGGCSFVYMRISNVYIVIVVSSNANVACGFKFVVEAVALFKSYFGGAFDEDAIKNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPKDKPVPNATLQVTGAVGWRREGLSYKKNEVFLDIVESVNLLMSSKGNVLRCDVTGKVLMKCFLSGMPDLKLGLNDKLGLEKESEMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFQVTTGRAKYNPSIDCLVWKIRKFPGQTESTLSAEIELISTMGEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >A07p002910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3522280:3522843:-1 gene:A07p002910.1_BraROA transcript:A07p002910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERSARERACRRRRPSSVSEKFPIVSHLSSGQPPNVVEAKLALPPPVLVAERRKFLQLRRRQLKFPGVKAPSALSRRFQVLELRRRMSSLQWDDALRQGFSKVKKRFGFGRGLKGLRAPAKRGFQRLGTAVW >A01p047880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26992447:26993585:1 gene:A01p047880.1_BraROA transcript:A01p047880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFGGSSDSEEERTMHQQTKLFNRQRSIHSIFGGGKVADILLWREPKIAATLVIGVSLLWFLLEVVEYNFITLVCHASMTSMLLFFIWSTASDFLSWERPIIPEVVLNESSFKNLATSFHDRFNQILSKLLDLACGRDPPLFFLTTISLYILSVIGTCINFMNLLFIGFVSMQTLPVMYEMYEDDVDRVASMLMRKMRKLYRKVDSNVFSKIPRGTVKSKKHA >A05p051220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29837513:29838758:-1 gene:A05p051220.1_BraROA transcript:A05p051220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSRTLPRLTVPFSPILSTLHAPSSLFLRREIGPVASPFSSSTAGSFPLSPLTHPRKIICPPPRGKFVREDYLVRKLSAQELQELVKGERKVPLIVDFYATWCGPCILMAQELEMLAVEYESNAMIVKVDTDDEYEFARDMQVRGLPTLFFISPDPSKDAIRTEGLIPIQMMRDIIDNDM >A02p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29847512:29848594:-1 gene:A02p047930.1_BraROA transcript:A02p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFSTTDVHQVFFNYRGEELRYSFVSHLIDAFERHGLDFFVDKYEQRGKDLKDLFARIEESKIALAIFSTRYAESSWCMDELVKMKKLSDQRKLQIIPIFYKVSARDVRKQTGEFGENFWTLAKASSGDQIKKWKEALECVSDKMGLSLKDKRYFPLTLILTRIHTSIHTRLKLKTGTEHIPLQHYSEAHFVKEIAKEVKRVMAAIKLQEEEENNLEDKGESLRIVRIAFSMHDCFSIIINC >A03g504360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:15419841:15420074:-1 gene:A03g504360.1_BraROA transcript:A03g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNHQPDTRRIRLDSTAAVSKHHRNNLTTTYFRRSSETVEPPPKPSQFFQRQSTRRRASSLIESSSDQASASPHQN >A06p042520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22868992:22871474:-1 gene:A06p042520.1_BraROA transcript:A06p042520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLFSSTALLQYRHVPNTEEEGSCFAPRRTFHSHRLNPIAPRSGLLKCNNDYFTRKCVRKSRTQAIAEYLGSASDPKKPSYHPSEEIRAYLPENPGDSRLPPAETARTIIEVNKKGTLMLSGLLGIGLHENILWPDIPYVTDQHGNIYFQVKENEDIMQTVVTSDNNYVQVIVGFDTMEMIKDMELSTPSGIGFEIEEMEDGITEVDDENKTDEDKDDEEWVAVLEEGDEDDDYVSDSDESLGDWANLETMRTCHPMYFARRIAEVASKDPLNWMEQPSAGLAIQGLLSRVFVKDHSGISAPKSTSTDHNNEGDKSEDIDETDKILQLENSRNAVSYYKLEMNKIQLITAQGHQSEVEVEDVRKAQPDAIALASDGIVRRLEEDGDNKLTEALKSLCWRHNGIQAEEVKLIGIDSLGFDLRLCSGMQIETLRFAFLTRATSVHSAEGQLRELLFASTPHKPQKPKQTSPKESW >A05p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3921014:3924399:-1 gene:A05p009430.1_BraROA transcript:A05p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGVSHGEEAIVSSGNDNEGEQITGNHTGKTDEYDPSSGSALSNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKSFKNHVIQWFEVLDGLLGSYWKAIGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQTEGVKHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSASAVYWAFGDALLDHSNAFSLLPKNRWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFLPSWTAMYVLNAFVVIWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKSPVPAAAAAHAPVSALHHRL >A04p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16018173:16021487:1 gene:A04p026610.1_BraROA transcript:A04p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDPKPGPKPGPWPPAPESSPMPPSTWAKRTGFRPKFSGETTASHSGQLSLPASARATPSETHPDLEAGQPPLRPPPVSAGEQDKAKNDKPQPQPPSAAAPVKRRRDSDGGRSNGPDGGGANGSVRRQNRIEETVEVLPQSMADDDLVARNLHMKYGLRDTPGLVPIGFYGLQHYLSMLGSLILVPLVIVPAMGGSHEDIANVVSTVLFVCGITTLLHTSFGSRLPLIQGPSFVFLAPALAIINSPEFQGLNGNNNFKHIMRELQGAIIIGSAFQAVLGYSGLMSLILRLINPVVVAPTIAAVGLSFYSYGFPLVGKCLEIGVVQILLVVIFALYLRKISILSHRIFLIYAVPLSLAITWAAAFLLTEAGAYTYKGCDPNVPVSNVVSNHCRKYMTRMKYCRVDTSHALRSAPWFRFPYPLQWGVPIFTWKMSLVMCVVSIIASVDSVGSYHASSLLVASMPPTPGVVSRAIGLEGVTSLLAGLWGTGTGSTTLTENVHTIAVTKMGSRRVVELGACVLVILSLVGKVGGFIASIPQVMVASLLCFMWAMFTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGVSPNSNLSVPSYYQPYIVASHGPFKSQYKGVNYVMNTLLSMNMVIAFIMAVVLDNTVPGSRQERGVYVWSDSETVTREPALAKDYELPFRVGRFFRWVKWVGI >A09g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19990967:19992381:1 gene:A09g506760.1_BraROA transcript:A09g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAHLAAHCDENPRRNSAEKYRRPEILAVDSLSLSPRAASPSFLSPRRVSLLTISLSLSRPTLSLSLLGREQPRVVVVAVWCLNYPRRVDRKKTRRPRSKTVEMRGHSISKNGRLRIEAPVRLSHTESWREEVVIQCKGGPHLVNRMLSMPGQATPQKYNKKRDVTDRLSCVGPRERLVWNRFTEYSLLTPLFPLFFRRTISVNVDGNEGNAPEVLGPVTGHTEISEM >A09p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25191566:25195216:1 gene:A09p037190.1_BraROA transcript:A09p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endo-1,4-beta-xylanase 3 [Source:Projected from Arabidopsis thaliana (AT4G08160) UniProtKB/Swiss-Prot;Acc:F4JG10] MEKNTKVTLANDAEDDKQHHTNKEQDSIILNPNFEDGLNNWNGRGCKAVLHESMDGGKIVPLSGHVFAAATERKAAWNGIQQEISGRFQRKRVYEVTSVVRIFGNNATSATVQATLWVLNANQREQYIAIANVQASNKDWVELKGKFLIHGSPSRIILYLEGPPPGTDILVNTLDVKHARRNRPSPPPFYEVCALTINNALKLAIARFVVWINPGFGINIVESSEVRDGETQPWFTLGNCKLSVGQGAPRTLPPMARETLGSHKPLGGSYILVTNRSQTWMGPAQMITDKIKLFLTYQISAWVKLSVGASSGINPQNVNIALSVDNQWVNGGQVEVSVGETWHELGGSFRLEKQPQNVMVYVQGPAAGIDLMIAGLQIFPVDRRERIRCLKKQVDEVRKRDIILKFSGLDESCDLFPYIVKVKQTHNSFPVGTCINRTDIDNEDFVDFFIKNFNWAVFGNELKWYWTEAERGKLNYQDADDMLDLCIGNNINVRGHCIFWEVESTVQPWVRQLNKTELMNAVQKRLTDILTRYKGKFKHYDVNNEMLHGSFYQDRLGKGVRALMFNIAHKLDPSALLFVNDYHVEDGDDTRSSPEKYSRLVLELEAQGAPIGGIGIQGHIDSPVGAIVCSALDNLSVLGHPIWFTELDVSSRNEFVRGEDLEVMLWEAFAHPAVEGIMLWGFWELSMSRENSNLVEGEGEVNEAGKRFLDVKQEWLSHAYGIISDESEFIFRGYHGTYAVEVCTPAGIVLKTFVVEKGESPLVLSIDLSYL >A09p021520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:11616419:11617675:-1 gene:A09p021520.1_BraROA transcript:A09p021520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVTVQNIHSMCQKHFHYLLKKKDGSSYSLGSEDSSPKASRSEVLSLFMRSTLLALLFLSFTWLSLLKHETDATAASKSVEPDHHRLLPSLLNDLEKEGLFKLGDKALLLSEGDGEVTGTSYSQTIIETEVHVVSATDEEMKRMVPSETFDFAFAHSRHIDSVEFLDRTLKVGGILTVQLNHHDLPTHFLKHLNYEIVYMRSSDYTVMAMRKTEQKQSIGATGRKLLTITDEEAKKRALSKLEDVLLEPPRAASRKSRTYLKRTRYLPDLMGDSLDLESYSRRVFIDVGDGKGSSGTEWFVKNYPTRKLRFEMYKIQTVNDEMSIESENMGITEWLKENVKDEEYVVMKAEAEVVEEMMRNKSIKMVDELFLECKPKGLALRGRRKMQSKSGRAYWECLALYGKLRDEGVAVHQWWG >A07p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26924778:26929842:-1 gene:A07p050500.1_BraROA transcript:A07p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGFPAMKSLDQMRSMAGSAGRNLAFSSRQQPHDSVSSGNFSNLKSTAEKLVKEQASMKSDLELANSKLRKSMDHILALEEKLQNAFNENAKLRVMQKEDEKLWRGMESKFSSTKTLCDQLTETLQHLASQVQEAEKDKELFESKFFTSAEVIDSLKQQMEDLSSRLVAAEENIKRRKFLIFIHSSNTEVKHAGEKELEELKLEKEQTENSYLNEQCRTANLLKEKDAMIVNSEAAIAEAKLNIENLNLQLEKVRVELTSKEDEAKYLVGVKEKLERDKMDIQLSADNLSEKLITSEHEVKKLEGFVHSLATELAELDKKNLAFMENFDKLNGLYDTHLMLLQKDRDIASDRAQRLYNQLQGEFSTVTVQKEALQSSANELYAQKEELKKAKESLVSQLGEERCSAKQAIEKLESEAKCLVVTNSETEAVLLELKEELEALSENLRASENKTQELLLKLSTSETESKENYEKLQADAQRKAEEIEILQKESESNQLRVESFLKEVNQLQSVIEEKELLINQCKENEKKLDQKTTEDKELLAAAETKLLEAKKQYDLMLENKQMELSRHLKELSQRNDQAINEIRRKYDEEKQEIIKAEKEKVEKVIRELSTKYDKEISDCKAESKYQLLTIQEDHASLIFTIREEHGNKEFNLKAKHDEELRQAQIQAETELKERITTIRNEHDAQLKAFKCQYEDDCKKLQDELDLQRSKEERQRALLQMQWRVMSDKAPEEQEVSSRKEYSVSSVKVRGSRPPISRKSQHTTVMLDEDEQDSPFVKEAETPGTKMLKKVENTNTRIIMSSQKQHSKVTRREHEAEAKDGRTTKRRKTKGTVMFEEPQRRTTRFTPRAKTTPRSIAKVAAISSHPPRSANIGDLFSEGSLNPYADDPYAFD >A02g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8758943:8760203:1 gene:A02g502660.1_BraROA transcript:A02g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRSEFRTSGCVWDAKFLEGGDIVTACSGGVAGIWTVRDGMIADQMEIDAYDYQLSQYKLCRKKVGGMKHDELLGIDALITLRDRGPVDQVVRDFQRMFAFVLSDCTTTNVFLAGISFIFATFLFVLTYCSPEVYVLQDADGRVSPYFGEHMYPLNKLKPVLRVDSSDQVCSVTFKVDSKAKKEGTTPRVGSIQNWDKTIEV >A08p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1373524:1373908:1 gene:A08p002430.1_BraROA transcript:A08p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSLDHFISTANARCSLRPCTRAPHDDTTHPISPRLYGEIKLQMGDQDDRRVDQRQDHDGGMLSKVTWDKCVQTTASRIWLLWLLKLDSL >A10g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8443575:8445226:1 gene:A10g503220.1_BraROA transcript:A10g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRSRSSHMADMKGKGILYEDDDAPIILMDQDDSLIASKFSLSLIGKVLNLKKQNVEKLLQKMPSQWGMEDRITANDLGNGKFLLNFTSEEDLSCVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIVPFKVQVIGLPLHLWTDTNLRNIGARLGHVHVDSLDVAEGSMLIDVDSRKPLKFSRKVESKDGDEVTIEIKYEKLFKHCSTCERPGIFTRMQVPQDKAQYHNFHTEQRPNVYDRQPYGQRMEPSRHATQSRYGEDDRKYAHRTNQSGNVSATHSDRIMRRHNDPNRSNRYGASKGPYDRNLRQTWREKAVNIKRPATAPMAPVSTPISSRQIVPYEQPTGTSNNGSHGVIEYQSGRSGEGISARGAKRLASVIVTPSRIDHDMEENVTKRAKELTRSLSFTNLSDHEPVTVPADNQIIGALNDMDIEDNQEDGMMECEGIDEDLLGIDLKEMEEREGQHAVSMVAKGPATSEPDNKGLKHNRQVFFFVSRSSYG >A07p049470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26271928:26272714:1 gene:A07p049470.1_BraROA transcript:A07p049470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGLKITRARDNDVSSSTDFKVSRDVFGQLWHSRETDSVFILTLHLKGFKKDGIGTEINKEGDMLTIRGRKQVEEMVLVKWVKWRKESEIKEFKKVFRIPDIVNLDKIKARFEEEDGTLTVTFPKKVKGITGLKIEEVEEAEKTEEKTELEEEIKEEKEPEEEAEEPKMEEEEEEIVEEQETRDHEEDREETEEKNSKPKKKKRKKLCFSCVAGSTLLMSIIVFIIQLIQSKRK >A07p047700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25564487:25565331:1 gene:A07p047700.1_BraROA transcript:A07p047700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSKRPHLMIRKLSEMLVPRSRSAIKPDDYSASPRSPLDMKFPSPVNSKRYGPGGVGLGIVAALEESTIGINRYDPVCFSGRFRCPEIDLSEEEYTCVTSRDGTTKVYYSGDGFELIENGSEYDDRRRRKPVVQPPVIKRRVFRDNPTEFLNSCCLCKKRLQGKDIYMYKGEMGFCSAECRSVQIMNDERKEQSKQQVLRNVDVSSSLYAGEQSFSAGIFVF >A09p007090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3756500:3758156:-1 gene:A09p007090.1_BraROA transcript:A09p007090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARDSKNSGPKHLPCIDWLDSYHRTVVTSSLVHGVYLLQREKQKKVNLQAYIWWESFGFSLAELLVNEDDSSIYGAVFKYNNYQNKPHFGMPPLYMIAFRGTVLKSKTWLSDLKEDIRCLFNNLNKGSRFQQAIKAIETVLETTETSSVWLAGHSLGAGIALMVGKTMTKRGFPLKTYAFSPPILSFPLEKLPDIDVVKHLLRFAGSLFKGTVAVLAHLQTQEHDPRIAAWTPYLYVNPSDTICSESIGLLKYKKIMAYVGLGKFESLGAAISLRCLVLGVESGEPIQLLSSADMTVNMNKSDVETTNVLKRPWYKLKRAHGLEQWWEPNPALRANWESHNFRPSEPRMD >A02p009030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3750152:3751369:1 gene:A02p009030.1_BraROA transcript:A02p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREIFLLLSLILLNLANTSQSYEPKVQAFLHPIYKDKATNLYSLPLSIGTDLPSQNFLIDLNGAAPLLLNCATAAKSPSHRSIKCGSTTCKFANPSFSCPNNTTKKPTCGNYLSTSLTNRPVNARFLRDAFYLLYTPNGVYHMDSEKISTLTLTCTDDATALKSIPSAFNGSIGLANTHISIPSQLVSMYKFPPKMSLCLPSTEGMESYSGDLWIGGGPYYYMPFSKDVTTIFASTPLISSNSGEYLIDVKSIQIGGKNIPILHGTTKISTLAPYTVLHTSIYKALLAVFTGSAKMVKAPAVKPFGACFRSNGGRGVPVIELVLRGGARWKIYGSNSLVKVNKNVVCLGFVDGGVSPKSPIVIGGFQMENNLVEFDVKASKFSFSSSLLLHNTSCSISRFFGM >A02p015490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6849293:6852705:-1 gene:A02p015490.1_BraROA transcript:A02p015490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTNTKVTVEPTANGTTSLPKPSDAITGKQAVENASAKETHETKKNEDTAPEKMEIDEEKNEGEDKEAEAEAAEKEKENKAETEKTEDKEQAEIAKTEDEDTDGKKLEADDGVSGGATEEDTEMKEAVVSEDNKDVKGAENEGVDKIDATEGAQDKAEKVSEEEKLEGGKVNGNEEEDKEEEKLAGGDKEDNTEADDNKEEAAKENNEGKEEETNKEEAEADAKVEEAKVEDNTTESKDENDNENEDVEEEKEDDKDESMDEKEDEKEKSNDETEGGDKEDSKKSSKREKGKNEKARGKTQSEKEKKDTESKTPYSSDRPVRERKSVERLVAVVDKDSSKEFQVEKGKGTPLKDIPNVANKISRRKPDDVLKLLHTILFGGRRGKAAQVKANILRFSGYKWQGDEEKAKDKVKEKLDKCNKEKLLEFCDVFDISVGKATRKKKEDIVAKLFDFLEKPHATTDDLVNEEEEKGAKRKRTPKKSSQAAGSSSSKRSAKSQKKTDEATRSDKKSLTHSDDESEEEEEEEEKEEEEAEAEEEEKEEKMEEEENENGIPDKSDDEAPQPSESEEKVESEEESEEETKKKKRVSRKGSGGKKESAAVGRSRSKKAAVSEKPSPPPKKVTQKRSAGKRKKFDDDDDTETSPKASSSKRKKTEKPAKEPSSVPSKSAPKEKPGKRGGKGKDKNKEPSDEELKTAIVDILKVVDFNTATFSDILKRLVGKFEIDLTSKKSSIKLMIQDELTKLADEADAEEGEEEDAEKEKEKAGGGSGGGGEEEVKA >A05p053440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30867805:30868206:-1 gene:A05p053440.1_BraROA transcript:A05p053440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVIEHNPSSIKLSELGVMSWPKWSCQPGTYALVFEERETCYLVKGKVKVYPKGSSSEFVEFGAGDLVTIPKGLSCTWDVSLFIDKHYKFDPPA >A07p036480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19576169:19579117:1 gene:A07p036480.1_BraROA transcript:A07p036480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPSSSWFLHPELHHVVSKMSLFDTFLFYIVHLVDKLGLWHRFPVLLGVAYLGIRRHLHQRYNLVHVGGINGQGYNTDEFSYRTADGKCNHPSDDSVGSQGTFIGRNMPLCTSQYGILDPHPSVVATKLLARKRFIDNGDQFNVIACSWIQFMIHDWVDHLEDTHQIELEAPEEVASGCPLKSFKFLRTKKVLSGDHHKTGAVNTRTPWWDGSVVYGNDEAGMRRVRVFKDGKLKLSGDGLLERDERGVPISGDIRNSWSGFSLLQALFVKEHNSVCEMLKERYQDFDDEKLYRTARLVTAAVIAKVHTIDWTIELLKTDTLTAGMRINWYGFLGKKVKDTIGARFGPILSGLVGMKKPRDHGVPYSLTEEFVSVYRMHCLLPDTLILRDMRTENVDKADPAIEREVTMTELIGKEGGKKGSRIGFEQLLVSMGHQSCGALTLWNYPNWMRNLVAQDIDGEDRPNLIDMAALEIYRDRERGVPRYNEFRKNLLMSPISKWEDLTDDEEAIKVLKEVYAGDIDKLDLNVGLHAEKKIKGFAISETAFFIFLLVASRRLEADRFFTTNFNEKTYTKEGLEWVNTTESLKDVIDRHFPSLTNKWMRCTSAFSVWSSDPDPTNWLPLYLRSAP >A02p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25686456:25688680:1 gene:A02p040670.1_BraROA transcript:A02p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRHSSSSKICRICSYEVKDGDNGQTFVACHVCAFPVCKPCYEYERRNGENCCPQCNTPYKPNKGSPRISGDEDEENNGRVDSDGELDIKNRKDASSIHQNFAYGSENGDYNSKQQCRTALVSSNDVKMAEPFFPPEVCWGKRSTTEAIFYLEDIEEGLEGYDEHDKSSQKNFEKRFGMSPVFIAWTLMEKRVLPEATNTSSLIKEAIYVISCGYEEKTEWGQRDRMDLGSVTEDL >A06g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3016393:3017895:-1 gene:A06g500720.1_BraROA transcript:A06g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPVPPPPLPPDPASFSAPLPPSPPLPCSAPLVPSEKTIPGVATAPVLVDSQPSSGDKGFQSSSQATPTKVEFNWAKNLDSASRFPESTAPVTTSAEGRPRVRISNGVFERGAKIHNDYIVGIFYGKAPSYGKIWGVLNYLWGKDRRKSKGSAPSQEWRQVPLASMKDNRPQAPGSSVTPQGEPSATFTGVSPVSKAKCKDKQIMDSEPSSSVSGSIVLASTSVPAPTEAGKPTICLTSDPVPPVTTESMELCSADSGFIMVSSRASQCAMVEVKKALVVTSNSFELLQNEQKDQGSDEGNQVSFPTEFSPSSSASVNRKQKKRKLRSSP >A08p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14680543:14681700:-1 gene:A08p022060.1_BraROA transcript:A08p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASMQLARATLFSLSKAFPIVRSPLTLAASSTRKVSRVCFASSVSHSEGRDPVENARDSRADVPYGSKKWRENTEENYAQGAKDKANEGASKAADKAYETKEQAKGTAYEAKEKAKDYAELTKDKVNEGAYKAADKAEDTKERAKEKAEDTMDSAKAKARDAKEKVKDYGEETKEKAEGFKETVKGKSEELGEKTKETVKGAWENTKDSARTVTEAVVGPEEDADEARADIDKGVEDLTKKAEKKK >A04g503710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7940477:7941058:-1 gene:A04g503710.1_BraROA transcript:A04g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRRGKRKENPTEEEGPRVKFAKTGSGENVEKTTEEGETRAVEIVESTAKTTDESTAKTTDESTAKTTDVSMEMTQTTDGSTEKTRKDSSENTAEMTEPFNVVAEAAPTTLNKGPGDEENEETASGDEENEETASGDEVNESSEEEQENPDGENESSNQDHEDSEEEQANGEGEEEANENGNPPEPHVTN >A09p064040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51757104:51758659:1 gene:A09p064040.1_BraROA transcript:A09p064040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYCLFVVLQNLMISLCLETSGNADGMGSIRKDELRQACAVLRVPLQQLMIVDHPNLQDGFGQVWSHDLLEEIISEEVTSHDIHTIITFDNYGVSGHCNHRDVHRGVVKFLQTNSERNIKAWELVSLNIIRKYCGPIDIWLSILSAKRHGSKVIIINEQPLKSYEAMAQHLSQWVWFRKLFVSFTSYTYTNTLQRISTCVRS >A10p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1163768:1165413:-1 gene:A10p002250.1_BraROA transcript:A10p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 2 [Source:Projected from Arabidopsis thaliana (AT1G03550) UniProtKB/Swiss-Prot;Acc:Q9LR68] MARQDPNPFADEETNPFADNKSVPPASNSYLKPLPPEPHDRGSTVDIPLDSSQDLRAKEMELQAKENELKRKEQELKRREDAIARSGVVIEEKNWPEFFPLIHHDITNEIPIHLQKIQYVAFATLLVTVAWINGGGPTIWLLSIIYFISGVPGAYVLWYRPLYRATRTDSALKFGTFFLFYLFHIAFCGFAAVAPPVIFRGKSLTGFLPALEFLTTNVVVGILYFIGAGFFCIETLLNIWVIQQVYAYFRGSGKAAQMKREATNSMVRAL >A08p042100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23678804:23682203:-1 gene:A08p042100.1_BraROA transcript:A08p042100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFFFIFSLLLGSCVGGANDTIMRRQSLRDGDVIFSQGNRFAFGFFSPGEDSKLRYIGIWYAQISEQTVIWVANRERPVNDTSGLVVFSSRGNLCLYASAHETELLWSTNVSDRISEPVARLSDLGNLVVLDLITGRTLWESFDHPTDTFVPFMRLGFTRKDGLDRFLTSWRSPQDPGLGNYTLRLERGAFPQTMMYNGVTPLWRGGSWTGQRWSGVPEKTLKSIFNISFVNNQDEVSNTYGVLDTSVITRVVMRDVGSLQRFTWNAREKRWIGLRPNPQEQCDGCLPGYEPKAPEDWNSRDPSGGCTRRDDTGLTCRGREVFVKLERVKIPDTSGASVDMNVTLKECKQTCLGNCSCVAYASGYYESEGGPRGCLTWHGDMLDTRTYLSSGQDLYVRVDKEELARWKRHGSSRKMRLIWILISLIAAVMLAMVMLFCFVRKRQKSNRHTRSTTTFATSYVDFGDSLRFEEQLQDKARNRELPLFELTTITEATDNFSLTNKLGAGGFGSVYKGVLENGMEIAVKRLSTNSGQGMVEFKNEVKLISKLQHRNLVRILGCCVELEEKMLIYEYLPNKSLDYFIFREVIEIIDKLMDVKSYDESEVIKCIHIGLLCVQENASDRVDMSTIVFMLEQNTIDLPSPKHPAFTTGRRIESNDGGSSSGGCLSGENGNSINDVTLTTIHGR >A09g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19244753:19245933:1 gene:A09g506460.1_BraROA transcript:A09g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAGTETVPEPKLGQISNSCHNCLNRAACDQGSFKEVHLNHQKEFWHETNFQRRPAQPFITEAWNYKKSFKEEDVENFTSWRFSSPSFCEYLRLEEKISQTKKRPGPNSIMDLKRDLFVDPTSQESGERPSEVEDITIFPEPVIRSQLWKDCEINSKPETLISWIKNQDIKIQDQTFRIATNQPNG >A05p051770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31554443:31556115:1 gene:A05p051770.1_BraROA transcript:A05p051770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIEGVFTTKIDSDQGKVTVSGSVDPSVLIKKLAKSGKHAEIWGAPKGNNNNQNQLANQMKGMQIDNGKGVGGKNNNNNNKGPKNGGGGGGGGGGGGGGGGGGGGNSNAPKMGQQLNPQQIQQLQQIQKMKGFQDLKLPPQRTLILAVKVVPALETVAAVVVKVVPEVAAVEKGAPGGGGNQNQGGGKNGGKNGGGGQPQDGGKNGGGPNAGKKGNGGGGGGGGPMAGGLPAGFRPMGGGGPQNMSMPMGGPMGMGGPMGSIPAVQGLPATGPGGGVPPGYFQGAGPDPMQMQQQQQQQQYLAAVMNQQRAIGNERFQPMMYARPPPAVNYMPAHPHQYPNPYPYPYPYPPHGNDQYSHVFSDENTSSCDIM >A01g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20692697:20693343:-1 gene:A01g507070.1_BraROA transcript:A01g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPFNIFKQTITKLKPNKKKNKSKTSEEPTPRKSQQEVLSMSTLERWNDFFCSEENMGGGYWWLELSWCLDGHGSFHSNPSELRRLCSFEHEDVNSLCSLSSNALKALSRNKDIEK >A09p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5605246:5606375:-1 gene:A09p011130.1_BraROA transcript:A09p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSTRRVTRSQTLSAMKTSATNHLLSSSKKPEESKPRQRNGATPKQDRSALFDITNDSPIVGLAMQTPSSGVAVVGKSRIKSTPGSGEALLRGQVKNLLQKVEEEEAHLITKISVESRPFIHLVTSPMGLLAPTPANTPKVLDGAPPQVVIASPVVSEQLRAAAAASQVEKEESLEKSPSITRSLLLDFSDKSELWESSDCSSVVTQNVEDDNSSVWSMQVNASTKDEEDDDEDQEVVYSYREEDDEEEYYMEEEEEVEGLCEGMRKMRFAGKHTRFVYDSDLEEMVEAEEQTPGVSRLKVFPTPTGKHVRFADEDDEE >A05g508520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:24833996:24834184:1 gene:A05g508520.1_BraROA transcript:A05g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIAREKREIAGNRKGEERSRRKSQGRESEAERNGEEDRVRPNKMTRPTETIRRNFVGII >A07p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8877360:8878812:-1 gene:A07p011190.1_BraROA transcript:A07p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GR1 [Source:Projected from Arabidopsis thaliana (AT3G25530) UniProtKB/TrEMBL;Acc:A0A178VK60] MEIGFLGLGIMGKAMAMNLLKHGFKVTVWNRTLSKCDELVEHGASMGETPAQVIKKCKYTIAMLSDPCAALSVVFDKDGVLEQICEGKGYIDMSTVDAETSLKINQAITGKGGRFVEGPVSGSKKPAEDGQLIILAAGDKSLFDETVPAFDVLGKKSFYLGQVGNGAKMKLVVNMVMGSMMNAFSEGLVLADKSGLSSDTLLDILDLGAMTNPMFKGKGPSMNKSSYPPAFPLKHQQKDMRLALALGDENAVAMPVAAAANEAFKKARSMGLGDLDFSAVIEAVKFSRE >A06p041860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22519086:22521618:1 gene:A06p041860.1_BraROA transcript:A06p041860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSKLDDLPAVALCLDRCGFLQTAIQQRYALADSHLAYTQSLQVVAQSLHTFIDHHHRFAAEDSHHPPEEDDSPKRADSGSGHIEFDSDSDEIDSLHHSSPLHEDPSFTRYSNPDPPYLHMNYMKNSSMPPSVVYEQRPSSPQRVYLGESSASNYNNPYPSYGPSKPPPPPPPRTEGWDFLNLFDYAPFTLTQDDSREVREEEGIPDLEDDFHREVVKEVHGKQKLLAGKAPVPAADDKEEPPGGGGGGQASLFQTRPSVAVEKEDKEEYEVHVVEKKVVESGVEEVRRSNPAPRGGGVRRGVPEVAKEIEAQFFRAAESASEIAVLLEVGKQHPNARKHGAASSKMIQEVTPSPSVVSSSQPSTSKKAKASSSAAAAAPTYADIEAELALRSRNLSSTLHKLHLWEKKLYEEVKAEEKLRLLHERKLRKLKRMDERGSDAPKVDSARIFVRSLSTKIRIAIQVVDKISVTINKIRDEELWLQLSQLIQGLSRMWKSMLDCHQSQCKAIKEARGLGSIRASKKLPDDHLEATRVLGHDLINWILRFSGWVSVQKGFVRGLNSWLMKCLIYEPEETPDGIVPFSPGRLGAPMIFVICNQWEQALDRISEKEVVEAMRRFTTSVLHLWEQDRLETMERMIGHGGEEQRIQKEIQEMESKMVLVGSSEDTSNESLQGSLRRIFEAMERFSAESVRAYDDLLERAAEKESSSQESEED >A09p012270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6256240:6258128:-1 gene:A09p012270.1_BraROA transcript:A09p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGKEDAGMDQVEEDFSIWKKNTPFLYDLMISNPLEWPSLTVHWVPSPPSPYAADPHFGVHKLILGTHTSGDAQDFLMVADAVIPTPDAEPGLGGTNQDPIVPKVEIRQKIRVDGEVNRARCMPQKPTLVGAKTSGCEVFLFDYAKHASKPQTSDCDPDLRLVGHDMEGYGLSWSPFKEGYLLSGSQDKKICLWDVSATPQDKVLNAMFVYEGHECAVEDVAWHMKNENLFGSAGDDGRLVIWDTRTNQMQHQVKVHEKEVNYLSFNPFNEWVLATASSDSTVALFDLRKLNVPLHVLSSHEGEVFQVEWDPNHETVLASSGEDRRLMVWDLNRVGEEQLEIELDAEDGPPELLFSHGGHKAKISDFAWNKNEPWVIASVAEDNSLQVWQMAESIYRDEDEDEIDEDIKQS >A08g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12802930:12808133:1 gene:A08g507330.1_BraROA transcript:A08g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPPLPPPPDPNPFANQPGRHMDFSASRALHRSSSLSPLLPDLPGVPLCPPASLFGNPAYAKATPPVAHTTTPFSFKENGSPSNTASLPEGIEKVTGQNTLDGTTNESVTWSSVGKIPDSKAKVTISPEGRPRVKIPQAVFERGAKLHSDYIVGIFYGKPPSYGKIWGVLNFLWGKDRRVSHDKRKQDKVKETHKPPTPQVVPTPPVSVKGKEAVMEEAVSTNFSEPISLDPQPSFSHLDLPRSEEILEEVQITLNQCRVGDGSTSTTTVSNSFAALCSEEAMAEDIEHSPLAMVIHNPKAHNSSVSPNRKRLKRQRASPSPHSSPGTGGTAVIQAWQGAIYLIWQERNRRFHDGQTFPPARIMKSLISLLRIKALALSATGRALGDKLLFLWSGE >A01g510700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29643709:29646333:1 gene:A01g510700.1_BraROA transcript:A01g510700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVLGKNGYIFLSLGDFSRDSSFLTVSSPSVASSLVGTVLCHLPTALSLLVSMSRCSKKKFRPPFAGSSRGRCLIRSIRNSAMAKKKAKSISLVDLPDFDVPASGHLALGLPLSSSSSPMAGSPLFSFGSLAPVQFQLIPGVGPLNTQALLPVSASPLSPRSVSPPAVEAFPHPGLGSALGGSVSGHGFALAGSRSEIDGLKSTVVGSVSTDGGSVSVSGFGSAAAAGSVGFGYASGSAGLGSAGLGSAAASVGFGFVGVASAGSAAASVGTVSGLSPSAENVSLPTVSSSGVGSASLTAVGSAGIRSDVVSAGHGSAAASVGFRSTTAPGPLAPPVLDAVPSPTKNYAELLKSSAQLQVLGSPIEHVSGAPFVLIPDENIEAAKLEFKDFIYARFHGDYPSMGKIIGVVNAVWARTGPKIFVHNIGEGMYLLRVTTPRTRDVLLSRTCWNIGGLPMFVAPWAPDFSPDEPPLTSAIVPVEMRNVPYLLFNRESLSRIATAIGKPDCLAPETERKENLEVAKLYVRVDLTSPLPSKIISGFSNGREVEIDVSYPWLPNKCALCNKFGHTEVKCPNRANGTTVEKQPLEIARRRSKSRPGRSTEKKLREGVLRYVPVNTGPQDPMTRSTTDAVPDSGKDSLPPTSSLPSDETIELEEGEILQQSLEDTQLSLSETAKVSMEDNNDVASPGEVVPAVEKIVPVPSQALVAEVDTVVVQGVLDDIANHEATLPVAIVQGLLGNKASHEPTLSTAAEQVLQIAHDSQESSQPPASELSEVEKTIIEEAPIPILSEVDDLSSDVILEVNNNANPPCGNSDESINIPPPAVEESIDIPPPVEVEEGANNVIPPMEEEEGGDPFYLVKNRKSGRKAAKRH >A09p083450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60047270:60048937:1 gene:A09p083450.1_BraROA transcript:A09p083450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLAFSLFAILSLNVLLWRWLKVSACKTQKFPPGPPRWPILGNLLQLGPLPHRDMARLCDKYGSLVYLRLGNVDAITTNDPDTIREILFRQDDVFSSRPKTLAAVHLAYGCGDVALAPMGPHWKRMRRICMEHLLTTKRFESFTAQRAEESCYLIADVYKRAECGKLVNLREVLGAFSMNNVTRMLLGKQFFGPGSVVGPKEAQEFMHITHKLFRLLGVIYLGDYLPFWRWVDPYGCEKEMKDVEKRVDKFHTKIMDEHRRAKREREDNTKNNNGEMDFVDVLLSLPGENGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKQPRVMRKIQEELDSIVGSKRMVDESDLVHLNYLRCVVRETFRMHPAGPFLIPHESVRPTTVNGYYIPAKTRVFINTHGLGRNTKIWDDTDEFRPERHWPVDGSGRVEISHGADYKILPFSAGKRKCPGAPLGVTMVLMALARLFHCFDWTSPENIDTLEVYGMTMPKAKPLWALAKPRLAAHLYT >A09p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23308126:23309285:-1 gene:A09p041300.1_BraROA transcript:A09p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPF2 [Source:Projected from Arabidopsis thaliana (AT1G34245) UniProtKB/TrEMBL;Acc:A0A178W2V8] MKKFLRTLVFALVLVFAACSLVVNCIRTPPLKTAVNGGENKNSEMGRTQTHHKEIKEKRGVEMEMYPTGSSLPDCSYACGACSPCKRVMISFQCSVAESCSVIYRCTCRGRYYHVPSRA >A06p038730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20829505:20830718:-1 gene:A06p038730.1_BraROA transcript:A06p038730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRRISSIISTSLFNSSIHHSANPRFIISSPALQCRRSPILTQVPSFPGGVSSFQRIRAYSLLSLNDLRDNVPRKLKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPLRRRLPKRGFKNKFKLHFQPVGLGKIAKLINAGEIDSHELITMKTLKDVGAIGKQIEDGVRLMGRGADEIKWPLHFEVSRVTVRAKEVVEAAGGSVRRVYYNKLGLRALLKPEWFEKKGRLLPKAARPPPKQQDRVDSIGRLPAPKKPIPFYAAEESKVESPAES >A05p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12471194:12473073:-1 gene:A05p025560.1_BraROA transcript:A05p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIVFHHMVLIFHSFKGKSINFRYVFFVFYKGFSDLNLDMRVFLIWKSYGLEDFQTTSRKSYRRLPGGLLTESSPMSIFLLHAFNQMVLIFHLDMYFVCSIKVYLSNFPLIFSVLKPFERFLILYAGFSDLDLIYMFLSGSDFERPMGSLLGSLPKYNALEDFQEVFQTTSKKSSRRLPSSLLMGSSSISSGTTYTEVVRPMSYMEVVQDKQGLTRISE >A07p023360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13533020:13538686:-1 gene:A07p023360.1_BraROA transcript:A07p023360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.2 [Source:Projected from Arabidopsis thaliana (AT2G26690) UniProtKB/Swiss-Prot;Acc:Q9SZY4] MESKGSWTVADAVDYNGLPADKSKTGGWITAALILGIEVVERLSTMGIAVNLGTGALAVATKLPELRPPTCHHGEACTPATAFQMTFLYIALYLIALGTGGLKSSISGFGSDQFDDKDPKEKAQMAFFFNRFFFIISMGTLMAVTVLVYIQDEVGRSWAYGICTVSMAIAIAVFLSGTKSYRYKKSQGSPVVQIFQIIAASIRKRKTELPQSIVYLYEDTPEGLRIEHTDQFRMLDKAAVVTEGDFEQTLDGIAIPNPWKLSSVTKVEEVKMMVRLLPIWATTIIFWTTYAQMITFSVEQASTMRRNVGNFQIPAGSLTVFFVAAILITLAFYDRVIMPFWKKWKGKPGFSSLQLMAIGLVLSTIGMAAAAIVEQKRLSVAKSSTQKTLPISVFLLVPQFFLVGSGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSIVKKVTATSANGGWLADNINHGRLDLFYWLLVILSGINFVVYVICALWFKPTKSKDSEEMEKGKGFSVEDC >A03p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:791727:792118:1 gene:A03p001620.1_BraROA transcript:A03p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDTGIAQDQQHLPPGYPAAVEVPELRGQQDKNKKLETKQRGDKGFIEGCLFALCCCWI >A04p036440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20958486:20960357:1 gene:A04p036440.1_BraROA transcript:A04p036440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPISFTSSSLAPSLPRKHRLSPYLFTLLIFIFFVSVLYGEDFMCIFGQLEPTFSLRPSQATEKNKKPQKLAFAIGKTEENCDVFSGKWVRDEVSRPPYEEWECPYIQPQLTCQEHGRPDKDYQFWRWQPNHCDLPSFNATLMLETLRGKRMMYVGDSLNRGMFVSMICLLHRIIPEDQKFIKTTGSLTVFTAKEYNVTIEFYWAPFLLESNSDDAIVHRISDRVVRKGSINKHGRHWKGADIIVFNTYLWWMTGLKMNILQGSFDDKEKVIAEVSTEDAYRMGMKSMLRWVKNNMDRKKTRVFFTSMSPTHAKGIDWGGEPGQNCYNQTTLIEDPNYWGSDCRKSIMKVLGEVFGRSKTPITLLNITQMSNYRRDAHTSIYKKQWSPLTAEQLENPTSYADCVHWCLPGLQDTWNELLFAKLFYP >A09p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3782439:3782829:-1 gene:A09p007150.1_BraROA transcript:A09p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 41 [Source:Projected from Arabidopsis thaliana (AT5G24105) UniProtKB/Swiss-Prot;Acc:Q8L9T8] MSVSRLFFGVLTILAVVSSILLSMAHAQSAAPAPAPTSDGTTIDQGIAYLLMLVALVLTYLIH >A02g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14454064:14454958:1 gene:A02g504340.1_BraROA transcript:A02g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHAGEKEYGKCREEPLKDEMGRDIVIPKLFTQWYSLYSLTREGYMWSWGHPKQIFAPLFKVLKISVEAFRNVSLEEDGRLLAQPLIICPMEGWRRGEHGRLELGDNDQISKIVPQKLNHIPDQDIIQFVKP >A08p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:414375:415482:1 gene:A08p000660.1_BraROA transcript:A08p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSFSASQLIFTIAPPSPDAIIGPPEMVESSGGDHATMMISNNGLPHQRMDVDQAPEDHNIIDVLNVEPSSPKRRGNEVSLSNMIIASRTGRYDERVIIQMKSEENNLDDGYSWKNYGQKLIRGNPNVRRYYKCTFSGCDVKKHVERRADNAKSLVITYYGNHEHDAPVQRRKSYSLKKRSGSSMFQDASNRTPRLGRPPFSSSAFQVFPPSLEPHLGMTQINMNGLSKLPSLPANQMVHRYTWG >A05p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12308266:12310065:1 gene:A05p025270.1_BraROA transcript:A05p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g48620 [Source:Projected from Arabidopsis thaliana (AT1G48620) UniProtKB/TrEMBL;Acc:Q4V3D1] MDPSLPPPFTAFPPFTNSNFNPFPPPQHPFSSAVAQANNNQFFQPSPPVPSHPSVNHPHYSEMICSAISALNEPDGSSKQAISRYIERFYTGIPPAHGSLLTHHLRTLKNSGVLVMVKKSYKLAAAALEPPRSGFNETQQLPDPAAISAPQTQTQKRGRGRPPKAKPTLEQNQLVVSEQMQAQPPPVKRPPGRPRKDGALPTVKASVPGGEEIAKRRGRPPSGRAAGRERKPAVVSAPVSVFPYVVRRRGRPKRLDAGASSVAPPPKADGGGEQVAKRGRGRPPKIGGVIRKAMKPKRGYFRTGRPVGRPRKNAASKGASGQQDISFGELKKKFELFQEKAKEIVNVLKAEVGGSDNQAVVQAIHDLEELTVTKRETTEEPRHMEDVQPDELYFENEPQPDGHGQGQLQTETEAMQEALF >A04p002230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1057380:1063401:-1 gene:A04p002230.1_BraROA transcript:A04p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4G [Source:Projected from Arabidopsis thaliana (AT3G60240) UniProtKB/Swiss-Prot;Acc:Q76E23] MSYNQPRPSDNQQYRRTGRSAGYQQQQQQQQPHRSSAAGYGRGAGAGAGPAPASSATVDPSNRSFKKAQGGGQQHQPRVNLPPVNHHSNNHNGPPNVQSRSQGASGEPVIGGPGNSISGAIPKAPTSQSPAVNSKSNEAQNTAKAVSGDPSKAFAFQFGSLGPDLMKIPARTSSAPPNMDEQKRAQMQQASLKAAPNVPASVPKNNSPNKAADNQVMRKEGRNPSSDKADVQVHHMSPLSQTQKSPITHIRMPSVQTPYQQHPQVPHPVHFGAPPNMHMQPPNVAPTSFQMPMPMGLPMGNTPQIQQQVFFQGLPSHPMHHQGMMHQAQGHGFAAPMGAQIHPQMGHVGVGMSPQYPQQQGGKYGGGRKTTPVKITHPDTHEELRLGGRGDPHQDGQPQGPKSHPNTPPRSHPVSAFAPRPLNVIQTSFNTSPMIYPPASVPFNSGPMSSAQAPRFQFPVVDGSQRVQFTNQSAHTAPHVSSDSSSVKAHVTSSALPAPGRVTVKQAATSEKLESPKSRSHEETNVALSQKKAETGSLDSLQQPKPGSVSALSNSSAPPAKAPVETVTVATTTIGSVQIVNESSSVEDQTQTCKVEPSHNATEVHGQTPVSDLETKAIAAKENSRLPATNGLEKQNVPASDAPDTKIDKTAEDLNCDERTISGKQVEESVISDEKLETVSGVLEKAHNEVDGATDISSDSEKVAKVADDTSSELPHSTHTQSSTVPVGHSSNSLTSAPASLSKDKPAFESNIRRTTSTKGRKKIKEILQKADAAGTTADLYMAYKGPEEKKEIAMPSESSDVSNEKLAPAIPQDVVEATMDAEPVKNEPENWEDAADVSTPKLESVQGKPGSADEVRDGCSNTEKKYSRDFLLKFADMFTALPEGFDVSPDIANALIFVNTGAPHHEHGKVMDRQGSSGRRPSNMIDDRWAKNQGSLPSGHGGNAGFRTGQGGNSGVLRNPRMQQGPIMSRPMQPVGQMGGMGRNNDLDRWQRGSNFQQKGLFPSPHTPMQVMHRAERKYQVGTVTDEEQAKQRQLKGILNKLTPQNFEKLFEQVKNVNIDNVVTLTGVISQIFDKALMEPTFCEMYADFCVHLSGALPELNEDGEKVTFKRLLLNKCQEEFERGEKEEEEASRVAEEGQVEQTEEEREEKRLKVRRRMLGNIRLIGELYKKRMLTEKIMHACIQKLLGYDQDPHEENIEALCKLMSTIGVMIDHSKAKVHIDAYFDRMKMLSGKEELSSRVRFMLINAIDLRKNKWQERMKVEGPKKIEEVHRDAAQERQTQAKRLSRGPSMNVSGRRGHMEYSPRGGGGGMLSPPSAQMGGYHGPSQGRGYNNNQDIRFDERSAPAYEPRMVPMSQRSVGEEPITLGPQGGLGQGMSFRKPAVLSNTLQSDPRRPAGGLNGVGSQRPASPVTHGRSSPQERGSTYVHREFSRASEPSSEVSSARQVPQGPSSAVNSPRENLLSEERLQDMSLSAIKEYYSARDEKEIGLCMKDINSPAFHPTMISLWVTDSFERKDKERDLLAKLLVNLVKSADNVLTEAQLVKGFETVLTTLEDAVNDAPKAAEFLGKIFGKSVTDKVVTLAEIGRLIREGGEEPGSLMEFGLGGDVLGSVLETIKSEAGEEAFVEIHKSSGLRIEDFKPPAPNRSKILDKFT >A05g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13093251:13103528:1 gene:A05g504740.1_BraROA transcript:A05g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDQSKFCSILRREVRRTVQKLERFCPSPDQPVEACQLLHGEAKVVSKTRSVHSSPVKASIGFWPSLLRSTSCFSPRTLFSAGGWTIWVTVLTLSPKSGLGTGLGLVCICYCRPDVGLFPLLEARSWQEAKSNLVTVALGRGVKFVTLTGLSLARHVALPDHGVGLDGQSCSCLIVGWPVGSFISNPGCWTVDRSCSCLIVGRLIDHMSRTVRGCYRGGIRARSVEVLLDTPPGCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSSRPWGFGQVLSDQPAASRLEHCELVPIIFKDSFSAGGWSIWVTLLVLRVLGHIGRTTGAMVLTLSPKSGLGTGLGLVFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGLPLTRFGIRPGPVSNYINVRVAKPYALTQRDELVDELFVTLTGSSLTRHVALPDHGVGLDSQSCSCLIVGWPVGSFISNPGCWTVDREETEEDSWSGVGCASTEPTNTSYPITTHTPNTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQESWPSVSTPGRPWPSSAHTGRPAVHQYTYQHAPWTVRVILAHVGCLFCTNRTSVSTGRTSVAVRVCVCPSVSVSTHRTSVSTADVRGCPVCPLCPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSSGGPSVSIPRTSVASVSTHRSPSVHRDVRGVPSATQWAVRQHTQDVRVCPLCPSYTTRTSVSTHRTSVVHTTSVSNPGVCGRRHVHISACWPFPVDCSVDLGPVECLISVTHRTSRQHTSGRPWPVSMHTQTAWD >A09p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8434901:8436470:-1 gene:A09p016150.1_BraROA transcript:A09p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G64480) UniProtKB/Swiss-Prot;Acc:Q9FUQ7] MLAFVKRFSLKRTKHPRGYEDPQVLASETPFTVNEIEALHNLFKKLSTSIINDGLIHKVFYMFDRKRNGVIEFGEFVRSLSIFHPHTPEHEKSSFMFKLYDLDGTGFIQRHELKKMVGALLSETDLGLSEESIEAIVEQTMVEVDTNKDGKIDEEEWKELVAKNPSILKNMTLPYLKEVTLAFPSFVLDSEVDD >A08p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25059332:25063553:1 gene:A08p045830.1_BraROA transcript:A08p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEMTESIWSHDQPPPPPPLVPSPKPVARQRSRSVFRLLVQREISPKTKSVPRKRWGVRRCDTADSPCGTSSETVSEQRHNLISWYLCFHRLFKLSLSQKVEAESLQHLSADYCPLVPPPRSTIAAAFSSDGRTLASTHGDHTVKIIDCETGKCLKVLSGHRRTPWVVRFHPRHSEIVASGSLDHEVRLWNAITSECIRSHDFYRPIASIAFHAEGDILAVASGHKLHIWHYNKTGEDATPAIVLKTRRSLRAVHFHPHGVPLLLTAEVTDIDSSDSAMTRATSPGYLRYPPPAIFFTNTQSGGSHTSMAAELPLVPLPYLLLPSYSPDDPRIQYSTAATGPRSAQSRFQSNQSSVEHGGSRTMSTPPPPLPLAVSGDLVPENSQARARTSTTAVDAMDIDEAQPVGGNRIPRQVSSQSDLLEFGQFQQLFHSRDRVSWEVPFLQGWLMAQSQAGVLPTGSSGTAPPHTGSSSASHSSTASLEAAVASLEIPGGVNLYGVSARDRARFAGSGLAGGLASRNAQQEAGTDAQPVVNRLPSELASSIAAAELPCTVKLRVWAHDIKDPCAILRSDKCRLTIHHAVLCSEMGAHFSPCGRYLAACVACVIPHAEADPGLQTLVQQDSGLATSPTRHPVTAHQVMYELRVYSLEKETFGSVLVSRAIRAAHCLTSIQFSPNSEHILLAYGRRHGSLLKSIVSDGETTSHFFTVLEIYRVADMELVRILPSSEDEVNVACFHPSPGGGLVYGTKEGKLRIFRYNTAAASNLTAPNSSPEENLAEVQTYALEC >A08p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14017764:14021899:1 gene:A08p020860.1_BraROA transcript:A08p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYVYRGYYCVDTVTGHRLARIRLVQVGNQLSDTSTKFYLLVCEQGLDPALHQMNRSPDGEMNKNQEFNQILDGEWRILVSLSEIIDVFDSTCFLFFCPDTNYLFMGDYVYRGYYCVGTVTVQGLYMLVALKMHYPLQITILRGNHEICQVLLSICSLLTDPNPGDPLVPEVAHTYKTDRSKFESTARS >A08p004660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2734351:2736906:1 gene:A08p004660.1_BraROA transcript:A08p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGQQIFSMPLGTFFILLLISPIVVATINEETSFPENAHLTNNLDQKCVDIIKVDPSLKFENDRLKRAYIALQAWKKAIYSDPFKTTKNWVGPDVCSYNGVYCAEALDDPSLKVVAGVDLNHADIAGHLPAELGLITDLAMFHINSNRFCGIIPKSLSKLALMYEFDVSNNRFVGSFPEVSLSWPSLKFLDLRYNEFEGCLPSEIFDKNLDAIFLNNNRFESVIPDTIGKSAASVVTFANNKFSGCIPRSIGQMKNLNEVIFTGNNLTGCFPNEIGSLNNVTVFDASNNGFIGSLPLTLSSLSRVEQLDLSNNKLTGSVVDTFCKLPNLERFKFSYNYFNGEAESCVHGKNNGKQFDDRSNCLKNRPDQKSVNQCVPVVSRPVDCSKDKCSGGSQRGSPPSIKTPEIIPPKPKELVIPKPEESPKPEPQNPLRPETPTTNVQQPIPEHEPPKHESPKPENRTNKPEQPKPETPKPQQPKSEESPKPEQPKINPPEQKESPKNSETPEPVSPPKEDPYNASPVKNRRPPPPPPPKVKEIQVPPPQPPMPSSPPPPVYSSPPPPAPVNSPPPPVASPPPPSPPPPVNSPPPPPIFSPPPPSPVYSPPPPIHSPPPPPIFSPPPAHIIIQPPIQAPTPVQAPSSESDQSPVSSPIQSPTPIQSPTPSSVLDQPTIDAQSPGQSPTPLNEPASSPKEAEDRDAPEPSLSTPSPSPSPSENVAPPPENNNHSDLNLPPHIGFEYGSPPPPMFPGY >A09p068330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53590465:53592183:1 gene:A09p068330.1_BraROA transcript:A09p068330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNQVAMDHSVKAPPVLSVKMWPPSKSTRLMLVDRMTKNITTPSIFSRKYGLLSLQEAEEDAKRIEELAFATANKHFQSEPDADGTSAVHVYAKESSKLMLEVIKRGPQQVDSEAEGNVDGDTLFDLSGGRRAFIDLEEARELLRPLAEPNNSFTKIRFSNRSFGSEAAKFAVGVLSSVKDQLTEVDLSDFVAGRPEAEALEVMTMFSSALEGSNLRSLNLSDNALGEKGIRAFASLIKSQRGLEELYLMNDGISEDAARAVRELLPSTGEIRVLQFHNNMTGDEGAIAIAEIVKQCPSLEDFRCSSTRIGSDGGVALAEALASCSRLKKLDLRDNMFGVEGGVALAETLSVLSELTEIYMSYLNLEDEGAEALSEALVKSAPRLEVIELAGNDVTVKAAGKLAECIASKESLSKLNLSENELKDEGTILIAKALEEGHDQLTEVDLSTNMMRRAGARALAQSVLKKPTLKLLNINGNFISEEGVDEVSDMFKDSADKLGPLDDNDPEGEDFEDEDEEEEGEEDSELEAKLGGLKIKQEEE >A01g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25231486:25232862:-1 gene:A01g509320.1_BraROA transcript:A01g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIDAEGTVAQGFIGQNRRTQYEKELRLGTEWYYIACKDCQTKLNRGPTTMLCPKCGNENATAVANYRVEIYVYDNEEQCTFIILGDAGKELTGRKATELIDAYVEDNGGDGAELEIPLPQCLIDTIGQTKKFRIKQPKLSHQQFCHQRILPPLKTPPHSEVKIPDLPESSGGVSSASDEQKKAKRAKLSG >A03p044980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18777659:18778174:1 gene:A03p044980.1_BraROA transcript:A03p044980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGCKWLQVLTGMLVTHIIFFDFTGINLPHFNIVSSNLQLWKQAKTQLGNKLIEYVIKRYRRQVTTPARPSRPSLSSTTRVARRGAETPGSDKNGNTFDHTTKLLPLAWHPTENLIASAAAKSLYM >A09p061280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50552192:50554061:-1 gene:A09p061280.1_BraROA transcript:A09p061280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDIR1 [Source:Projected from Arabidopsis thaliana (AT3G55530) UniProtKB/TrEMBL;Acc:A0A178V9G1] MSFVFRGSRGDLESGFSGFIPERRAMRVHGARPVNSNSLAFLVTVLLLFMILNSHQMPPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHVAAASGLFSHTELRLHVPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDSDNVPTNSMSEEEINALPVHKYKVLDPENGSSLTKQASTSSSAEQKNQVSVSVSKKGTEDELTCSVCLEQVTVGEVVRTLPCLHQFHAVCIDPWLRQQGTCPVCKFKAHSGWQEQDDGTDDDDDDD >A03p044020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18411103:18413580:-1 gene:A03p044020.1_BraROA transcript:A03p044020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVQTLPTSVSDEIYANVKWEELGFGFYRTDNMYVAKCKHGESFQEGSIVPYADFQISPCSAVLNYGQGLYEGLKAYRTEDGRIMLFRPDQNALRLQSGAHRLCMPYPSVDQFVSAVKQVVLANKKWIPPPGRGTLYIRPILFGSGPILGSLPVPEYTFTVFACPVGRFHQDNAGLNLKIEDKFRRAFPSGTGGVKSITNYSPVWITLAEAKAQGFSDVLFLDAATGKNVEELFASNVFIVKGNVVSTPEISGTILPGVTRKSIIELTRDFGYKVEERIVPVEDLLDAEEVFCTGTAAIVTTIASVTFKETKTEFKTGDKTLAAKLFATLTDIQMGRVEDKKGWTMELTDATTPGLKF >A03p059590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25875450:25877287:1 gene:A03p059590.1_BraROA transcript:A03p059590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQLEINPYQIGSTLGEDHVSASASRIPYIDEIANHPESIYAASGLIPDGSQLLDSPAPEGANQLTVSYRGQVYVFDSVGPEKVDAVLLLLGGSTAAPQGMEIAQQNHHMPVVEHQSRCSHPHRAQSLDRFRKKRSARCFANQVRYGVRQEVALRMPRNKGQFSSATTADGAYNSGTDQDNAHDDGRPELSCTHCGVSSTCTPMMRRGPSGPRTLCNACGLFWVSRGTLRDLSKKTEDNQVAMIEPGELGSDADANNSNY >A08g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3016019:3017815:1 gene:A08g501210.1_BraROA transcript:A08g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFNHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKFFFFVRIDAASVKESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVWKALRFVQPDPALDADTGSDSEPDDQNPVEAPIAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDLNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYRNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDCAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTSFPDGGEEVDRPADAFGASLSVDFDFGS >A07g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7164602:7167032:1 gene:A07g503690.1_BraROA transcript:A07g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWILKLLLPQFLLVLIQHADASSIIKYLPGFEGPLPFQLETGYIGVGEAEEDQMFYYFIKSERNPEEDPLLVWLTGGPGCSSFSGLVYENGPLAFKVEAYDGSIPTLVSTTYSWTKIFKFRMIASFKVANIIYLDQPVGTGFSYSRNPLADIPSDTGSAKRVDEFLRKWLDKHPEYFSNPFYVTGNSYSGKVIPAIVQEISNGNYICCEPQINLQGYVLGNPVTNFDIDNNTRIPFAHGMALISDELYESMKKRCGGNYFNVDPQNTECLELVKDYKKSVSRIYEELILQSNCDKTSPDCYTYWYSLSEYWANNESVRRALRVVKGTTGEWDRCNWNVHGDHDMTIPFVGTQDWIRSLNFSIVEKWRPWMVNDQVAGYTKTYANKMTFATVKGGGHTLEYKPVESSILFERWISGQPL >A10p021990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14605883:14607036:-1 gene:A10p021990.1_BraROA transcript:A10p021990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKSSSSSLSLVSKTHSFGCISLFLQRLLCSSASSTYPSDQITESPEFFDEPARVRGHSPGAVARLMGLESLPVTDKTRVVSRSRSVNSDENVKSDDDEIQGKHRRVKSTLEYVELEDDNFFILSFEKARNDKVFGELKQLGTRKYKKRRETREHQTHIEDKENDINAMNVSPGRERPETGELKQRRNEKRKNMRKRREIQLRQNINSYGGWSRDGDLVNCEVRSMKEEEECRSCDDSSPVSVLDYDRVTPEPDNTSRRRLSSVLESSKSNEAVQEDYRSRESETRVHGYQEMWHMICRLTVSELEESNSVYRKALKFGDLEGSITEDIASIILDQLLEETITTLSLTSQV >A07p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19063985:19065153:1 gene:A07p035220.1_BraROA transcript:A07p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKTEVAKNPETDDTIETTKQNQSEEEVEHCDEQKQEEDELRSVLLSDIGDLPLTPPSATQVNFVSYFITDFTKPGHDQYIYRHANGLCVIGLAPTHIAFKDEGGITNIDFNVGKSDRSVLKVSGKRKKNAMRSESNTALCKVSTAKDSYIVRCCVKGSLLEVNERLIKQPQLLNSSADREGYIAIIMPTRPADWTKNKESLITLEEYKAKKEVSL >A09p068580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53688823:53690896:1 gene:A09p068580.1_BraROA transcript:A09p068580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen-III synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G26540) UniProtKB/Swiss-Prot;Acc:O48721] MASLLSPFPLLSLQPPYHHHHSLKKGVPCLVRDSLSSSNSIPQVVVTRESGKNKQIIKALEKRGVSSLELPLIQHAPGPDFDRLPSLLTDKSFDWIIITSPQAASVFLEAWKAACSPKVKVGVVGAGTARIFDEPVQASQGQLHLAFTPSKATGKVLASELPDNVGKSSSVLYPASLKASNEIEEGLSRRGFDVLRLNTYTTVPVQSVDATLLQQALSAPVLSVASPSAIRAWMNLIQNEKQWSNNVACIGETTASAARRLGFKKVYHPEQPGLEGWVDSIMEALTAHEELHRF >A03p072020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31792277:31796030:-1 gene:A03p072020.1_BraROA transcript:A03p072020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNGNANGDGGFSFPKGPAIPKITTGAKRDNEICHDDSGPTVKATTLDELHSLQKKRSAPTTPINQSGTAFSEEERQKIQLQSISASLASLTRESGPKVVRGDPAEKKADGSTTPAYAHGQHQSIFSPALGAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSNGALATLSGAKTGRAPRDKRVVRDATTEDELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLEKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRRILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWTETGVSNIEGGCYAKCVDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEFIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMKRQGATGWLVNTGWSGGSYGVGNRIKLAYTRKIIVAIHSGSLLEANYKKTDIFGFEIPTEIEGIPSEILDPVNSWSDKKAHKETLLKLGGLFKKNLETFANHKIGVDGKLTEEILAAGPIF >A03p066070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29222956:29226359:1 gene:A03p066070.1_BraROA transcript:A03p066070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLAR LOCALIZATION DURING ASYMMETRIC DIVISION AND REDISTRIBUTION [Source:Projected from Arabidopsis thaliana (AT4G31805) UniProtKB/Swiss-Prot;Acc:Q6NQ99] MDCSSFLDLNTNPFDHNFPAKLPKEEVKVSTSADLERKQNASELREELNRVNAENKKLTEMLAAVWENYYALHHHLEKLQSRKSPEMDQIEQPTKRRKQDSYELLGFGNGLSGGKTENSSSNEDHHQLLSCKRPVTDSFNKANVSTVYVPSDSSDTSLTVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAEDPSILVATYEGTHNHLGPNGSEGDVTSQVGSSTVTLDLVHSGQGTMQEVLVQQMASSLTKDSKFTAALAAAISGRLMEHECFCFGHGRHSRRRKGDGCIVVQCYTPRRVVSRLLSGLRSSKGKRVVQDEEDNGGHHLASLRCSTKRINDSKPLDEKPETPRFESQSRETPLEMGIGSFLLYLVVASKTELDKMTNLRTQMETLLLNAKEELQKNDAYPKETRGNKFSPQVISDLASSIFAGSSTSGLQEENSEHEVSKPEDRHTKDQIQRQHKLKDNENHVPEMVTDERYGVCPYELEKKLHELLEARQQDELLKLETALSRVERRLQEKETEVSWWKDAARLLAQRVPESSRAGLEWCNSESSSITCSEDSGPVSPR >A03p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8897715:8900425:-1 gene:A03p021910.1_BraROA transcript:A03p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSPSFSCSVVGALILGCLLLQASNSNAQLRPDFYSRTCPGVFDIIGNIIVREMGSDPRITASLLRLHFHDCFVNGCDASILLDNSTSFRTEKDALPNANSARGFNVIDRMKTQIERACPRTVSCADILTIASQVSVLLSGGPSWSVPLGRRDGLQAFFVGANTLPSPFFTLDELKASFALVGLNRTSDLVALSGAHTFGRAQCQLVTPRLYNFNNTNGPDPSIDTTYLAQLRALCPENRDGTVLANFDPVTPNTFDNQYYTNLRNGRGLIQSDQELFSTPQADTIPLVEQYSSNRSVFFKAFVEAMIRMGDLQPLTGNQGQIRLNCRVVNPRRSVENDDDGVVSSI >A03p003330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1442748:1443573:-1 gene:A03p003330.1_BraROA transcript:A03p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSAITQGNDNDVPAPGNEFAQFAAGCFWGVELAFQRVSGVTHTEVGYTQGFFHNPSYEDVCTNTTNHAEVVRVQYDPKECNFESLLDVFWSRHDPTTLNRQGKDVGTQYRSGIYFYTPEQEKQAAVSMERHQKQMESKIMTEILPAKKFYRAEEYHQQYLSKGGQSCGIACNSPLMCSTAMA >A07p033580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18362429:18364524:-1 gene:A07p033580.1_BraROA transcript:A07p033580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALA-interacting subunit 5 [Source:Projected from Arabidopsis thaliana (AT1G79450) UniProtKB/Swiss-Prot;Acc:Q8L8W0] MSSGGGGGPSEPSGVKKTSKRPKYSRFTQQELPACKPILTPSWVILTFLVAGVVFIPLGVICLFASQGLIDDVFHYHTCMKVVEIVDRYDTDCVPESSRANKVGYIQGEGDKMCNRTITVTKTMKHPVYVYYQLENYYQNHRRYVKSRNDAQLRSPKEENDVQTCAPEDNVAGHPIVPCGLVAWSLFNDTYKFSRNSQELLVNKKDISWKSDRDSKFGKNVYPKNFQTGAPIGGGILDPKKPLSEHEDLIVWMRTAALPIFRKLYGKIETDLNAGDTIMVLLENNYNTYSFNGEKKLVLSTTSWLGGRNDFLGVAYLTVGSICLFLAVTFSVLYLVKPRQLGDPSYLSWNRSPGG >A08p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18481539:18487051:1 gene:A08p030160.1_BraROA transcript:A08p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTVTDSRIQKVNSLLKDIRIDYDSLSKPVDSLVSSIREAIDAIPEGFKVTSELAPSFVRDIGADKVEFTFKKPNGFSLCGSYSTRCMAKPEASVDLLLHLPKECFYEKDYMNHRYHAKRCLYLCVLKKHLLASSSVEKVEWSTLQNEARKPVLVVFPAKRVDNFPGFSVRIIPSAASLFDVAKLSMSRNNVRSVTADGVAQPTPTYNSSILEDMFLEENSELLKKTFSVWKELGDALILLKIWARQRSSIYVHDCLNGFLISVILSYLATHGKINKSLNALDIFRVTLDFIATSKLWERGLFFPPQSDNPVSKEEKLQFKELFPVVICDSSTLMNLAFRMTSVGFHELRDEASSTLKCMKLRDGGFEEAFMTKIDYPVRYDHCIRLHLKGKTAVSTSGFCLDKEYWRTYEQKVQTLLEQGLGDRAKSIRVVWRNTNHDSYVESGFSVLDREPLFIGVSISSIENAFRTVDIGPDAENKTEALKFRKFWGEKSELRRFKDGRIAESTVWGTQQWTRHLIMKQIVEYILKRHLSLSSDDIVQLVDQLDFSLIYGDKDPISTSGNLLEAFEAFSQCLRGIKGIPLKVSSVQPLDSALRLTSVFPPEPHPLACGKIDAQKQHRVMPSCIPTMEVMIQLEGSGNWPMDDLAIEKTKTAFLIQIAENLQTIKGIRSTATEDNVDVFMRGYAFRLRILHERGLSLVKREIGADPVKHVSSADKMLFIRSQHASMINGLHGRFPIYASVARLAKRWVSAHLFSGCLAEEAIELLVAHVFLTPLPLGVPSSRINGFLRFLRLLADYDWMFYPLIVDINNDFGRNDEKEINDNFMSSRKGYEEDRQNISSAMFLAAPYDKASEAWTTLSPNLSELKRLVAYARSSANVLSKLILQEHNDSVQWECLFRTPLNNYDAVVLLHREKLTYPHHLLFPSELNQGKHVARGKASKAFNPFLLPGDLKRSPEELKKKLMVDFEPTKCFMRGLHEEFGTLKPWYDHIGGDAIGLTWSKPNSKKRERDEEEVEINPVEMLKAVGEMGKGLVRDIYLLKSPRFL >A10p034770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20150062:20152595:-1 gene:A10p034770.1_BraROA transcript:A10p034770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLNLTQLWKRFRGSDTPEENLGAIREYNVDMIPKFIMANGLLVQTLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLSKVTAREIISKYGLEDDTIDFIGHALALHNDDDYLDQPAIDFVKRIKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDGSGKAIGVTSAGETAKCKKVVCDPSYLSDKVKKVGKVARAVCIMSHPIPDTNDAHSVQIILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEEELKPGIELLGPIDEIFYHSYDTYVPTNKQEEDNCFISGTYDATTHFESTVVDVLEMYTKITGKTLDLSVDLSAASATAEK >A01p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19146729:19147289:-1 gene:A01p027090.1_BraROA transcript:A01p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSKKKVYIVRQNTRSPTDVAKQSPRRKSPRYPVSDSGDEGTGSSRHSTVPRPKSALVTGQLQEAESSSKLPPKLLAWLLSNQIASQYLLEGSRHRNYCVLSPRFPRHGDYNGVSIWQAI >A05p018070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8237749:8238228:1 gene:A05p018070.1_BraROA transcript:A05p018070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPSFFGNNRRGSSSSSFFDPFSLDVWDPFKDFPSSSSSFSRENSVIVKARVDWRETPEAHVFKADLPGLKKEEVKVEVEDNNVLKISGERHVEKEDKSDTWHRVERSSGQFTRKFRLPENVKMDQVKAALENGVLTVTVPKVEAEKASVRSVQISG >A03p005800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2422145:2423805:-1 gene:A03p005800.1_BraROA transcript:A03p005800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIQIVVETQVEALSLKDQDEKKIEIVSNELSFGNHGGCCAICLDTIPLQETAMVKGCEHAYCVTCILRWASYKERPTCPQCKHPFDFLNVHRTLDGSVEDFMFEESVCLLLRASWFLPLEAVERVSYNGNDNYDFDIPPEYIPPEYEEEDEEDDLDEFYLHGSNLRLGNRRWGDNGFVRSGRQEARPAPAQHKHHRGGQASGSESGSSSSSREAKEEKTNNSAAATGRRAKRAMKREAANKAAEVVAAAKHEALLVRLGRK >A09p008370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4297647:4304334:-1 gene:A09p008370.1_BraROA transcript:A09p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREVAPMLGSSNGHLFTSFELQITSCGTPVPNRDSFAPTGRSGSGATLVGRSERSLQGHLRLFGVMRTRATSWRRFRKVALRSGTQRLRDVAPGGRSHARRKGHSEPDVKIGGGEIKAFQVRTHPMWKSRCFFLVREDDSADDFSYRKCVDHILPLPENMMTPGYNGHGGGGGRRGGRGGGRGELYY >A03p050730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21829447:21833586:1 gene:A03p050730.1_BraROA transcript:A03p050730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSQASFWMQANALLRKNLTYQRKHIWTNVRLILVPLFLCLILLAIQHVLDALMKGVSDMTGDCKSNADLSGGMCPIPNPPMLPPMLQIPQHELRSVKTDFLPYKDLPDKSCRGTMGGSCPVTILMTGEKQPLGKAISANIFATSFAVNSSDLLPTLANNILGSPIAAAKDNYADPGLAPGLPIYNIQPLCTANSTWPLSLEKIQTEVKCVQGLCLWRNNSADVNNELFKGSYRGNPAGITNEIAAAYDLMNTDKKNFNVTIWYNSTYKDEFSTGPVKLVRVPRSINQISNAYLKFLKGPGLRILFEFVKEVPKHATRFNTDIASLLGPLFFTWVVLLLFPVILTSLVYEKQERLRIIMKMHGLGDVIGLKYFRLNSYSIQFVFYFIYLNLQIAIGFLVSSIFSKVKTVTVVAYILVYGTGLLGSFLFQTMLENQSFPEEWIVALELYPGFSLYRGLYEFSQYASRGNGMKWQDLSDSGMGEVLCIMSIEWFLALIIAFYIDQVFSSGKHPFFFLNLFKKSSSIPSKPTMQRVDSKKVSIDMGKIDVSQEREKVQQLRNEGSAGHAILCDNLKKVYPGRDGNPPKMAVRGLYLDVPSGECFGMLGPNGAGKTSFISMMTGLLKPSSGTALVQGLDICKDMNKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNIKGSALTQAVEESLKSVSLFDGGVGDKPAGNYSGGMKRRLSVAISLIGNPKVVYLDEPSTGLDPASRKNLWDVIQRAKQNTAIILTTHSMEEAEFLCDRLGIFVDGALQCIGNSKELKSRYGGSYVFTMTTSSEHEEDVERLVSTVSPNAKKVYHLAGTQKFELPKQEVRIAEVFRAVEKAKSNFTVFAWGLADTTLEDVFIKVAKSAQAFISLS >A05g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3703414:3706204:-1 gene:A05g501050.1_BraROA transcript:A05g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIRWYSSSTNLKTFGTTYLLVVWKSSGSRLYFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEISLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p023040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15100734:15101277:-1 gene:A10p023040.1_BraROA transcript:A10p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWPVFFKREWKRCWPFLTGFAVTGVLITKLTAGFTEEDAKNSKFVQQHRR >A08p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21639975:21641302:1 gene:A08p037050.1_BraROA transcript:A08p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSATSVNGYYSFLNRSMDDLERVYLSNNFMSVHFLQRALCLLRTSHSHLTLLVQKLQLPVGDKWLDEYMDESSKLWEACLVIKTAVSSVESFSSAGISIASTLDGHYHHRRLSPQLSRQVIRAITGCRREAIGIEEENRALMENRVQRFPFWSEQTAAMESSTKLQNGFSGFRGVLYATRNMSSLLLMVLIHGLVYCFPGDATLSQTQTQNQVGGFVGAMGRLQQRVAAEVGRMGVRKGMLMHEYRRSKAALEELKAELERRFCGGGGGGESEEEGERELRERVENLKGCFGNLRNGTESIVAQIDDLFDEIVEGRKKLLDFCSHR >A05g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19323702:19324231:-1 gene:A05g506850.1_BraROA transcript:A05g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPKSKILGFLLVLSNFSSVLFVHCKEIDSRSHNHNGFRSWNTQKVSVRKILLMWSYLWSWPYVLSGQMDEAHMEEFDLVAEKQATIEKELMELKQDLDLKKDVGEIIVVLESIRAKF >A09g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17783180:17784301:1 gene:A09g505640.1_BraROA transcript:A09g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIGGNASANSIYEAFVLEGSSKPGPDVSHDQRMRFIRSKYELQEFLKPSLRITSGKTTSTKKPPSFLSSSLSTKFMDSFRTNSSSKKIVTVKKGTNLAIRDMMSSDPYVVLNLGKQKLQTTVVNSNLNPVWNQELMLSVPESYGPVKLQVYDYDTFSADDIMGEAELDIQPLITSAMAFGDPEMFGDMQIGKWLKSHDNPLIDDSIINIVDGKVKQEVQIKLQNVESGELELEMEWLPLDQ >A08g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:268007:271929:-1 gene:A08g500150.1_BraROA transcript:A08g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSAPLLKVTTFTFFFFRSEPATSKSLLPVSLTASTLASEQTVFHLSRERTTSRVDFILNCFKMDKLDFPQRLYSVGQEPFPNKSIAYYSNDSKLFPALKEALEADEWEELKNSRVGVFLKFHEMKFGWASRLVHYILCFQLDCKKKFELWSLVGVEPLRCSLHEFEEITGLNCEYVKNLENPLVEVTADMKAFWAQMGVNFDRGPSIDELTAACQMCRTWSRDDRLRLGTKNFAMKDYSEMFPRWDGELEDEKADNIVKAMFSSGWAWEQSHWPLVGTKLWTNVKVEIHPMKTEAGQMMRSLKTVSPSRTQSDAESRKKACESPGLDVETMKGEIVRWLTGLTSNMVEGLSRCENTLKTQSHMIEGLTTQVGAVEKMVREGWKEDHTKAGSSTDVPEANKSDGDKAKKDSAEGSKGDESKGEESRAEKSRTEESKAAETAPKGMTTRAKARDTQATVSESENENGGISVVVVDKEQSHIDYGSVKKLKHVGKLRAARIVARAKSERQRRLAATQQSPFDGNSTAKVIIPNQPKQGQGYNPFANPDRQKLSALLDWVKLDPKWRQKVKGSSSDWFYILLTPTMHMDAGINLLRLRYTKHPEWFRSDRICMLDAVFTQMWTAKYSEFLASPANPDGSGKLLPPGALDYYTGEETAYSRSNKTWALEIDDIYAPLLVKNDHWVACWISIPRRRIVIWDSDLAYATNAEIAKAVKPIAHMLPYMLRMLSTGAERELYTVDFTHERESGVPQNKQSGDCGVYCLKYIECHALGMPFPPHELCDKKIKTIRSQMASEIFDETRINGTEKRDYKHLGVYD >A10p015120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3780928:3783033:-1 gene:A10p015120.1_BraROA transcript:A10p015120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSSLGWSQTRPTRRSLSSTGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGHFGVGFYSSYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVDGEPLGRGTKITLFLKEDQYGWTANMENIMKAKALRDSSMSGYMSSKKTMEINPDNGIMEELRKRAEVDKNDNSVKDLVMLLFETALLTSGFSLDEPNTFAARIHRMLKLGLSIDEDENVEEDGDMPALEEDAAEESKMEKVD >A09p045920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:40191571:40192014:-1 gene:A09p045920.1_BraROA transcript:A09p045920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSESEDQLTFDTHYSPPNTVDFGTHEGLGTLAAADEFADQILEGGVTRDDGNKQGNIKRIISLVDDTDDSDVEITQPTQKTKPRRQTIFRIATRNPMLKSTIDGGVGSSVQACSKGKSIPMKSVIRGRKRKSPTKSQKKKVVPT >A03g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19999376:20002239:-1 gene:A03g505700.1_BraROA transcript:A03g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAFAFPSYITTKGGLTDSCCKSNSLSSSRSLSADLPSPCLRPNTSHSNRKAKVNASLAEKGEYYSNRPPTPLLDTINYPIHMKNLSTKELKQLSDELRSDVIFNVSKTGGHLGSSLGVVELTVALHYIFNTPQDKILWDVGHQSYPHKILTGRRGKMPTMRQTNGLSGFTKRGESEHDCFGTGHSSTTISAGLGMAVGRDLKGKNNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPSPPVGALSSALSRLQSNPALRELREVAKASRILKEGERVALLGYGSAVQSCLGAAVMLQERGLNVTVADARFCKPLDRALIRSLAKSHEVLITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPMVLPDRYIDHGSPADQMAEAGLMPSHIAATALNLIGAPREALY >A01p002850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1322529:1323011:1 gene:A01p002850.1_BraROA transcript:A01p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g36040 [Source:Projected from Arabidopsis thaliana (AT4G36040) UniProtKB/TrEMBL;Acc:Q1H544] MLSSSPTSFAHPFLSSSPPLSPVSPPSRTARISPPLVSASCSYTCTEDTPRLHQIPLRSTTATTASLYEILEVPRGATSQDIKSAYRRLARICHPDVAGTDRTTSADEFMKIHAAYCTLSDSEKRSVYDRRMLRRSRPLTVGNSGMGSYVGRNWETDQCW >A03p020350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8333257:8339558:1 gene:A03p020350.1_BraROA transcript:A03p020350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNDPIETIFNSIQVVKDALLPIELGVKKAARDIESCWVSKEKDFRLGRNRKKRVCICPESEDNVNSVQKKGLSVKIPVKSLFGMFSPNLANVKLSRGNGLVKKKKKDKFLEKEDDDGSCTNCFKFAMTWSLLVSGFAHAFPIPFKKRVHKMGDDKNLRSKAKSVEKEGNPFSIECAMGFVIEMLAQNLQKLDQFVQDSSKEATPLVFNIWDARKLDVNGFLGNLMFARVGDVASGIVGLTSPVSEDGDESTAGSKEESAVDSRQSLASGLLSIPLSNVERLKSTLSTISLTELIELLPQLGRPSGDHPDKKKLISVQDFFRYTESEGRRFFEELDRDGDGKVTLEDLEIAMRRRKLPRRYAKDFMRRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLTKSGTLQKSEILASLNNAGLPANEENAIAMMRFLMADTEESISYGHFRNFMVLLPYERLQDDPRNIWFEAATVVAVAPPVALPAGDVLKSALAGGLASALSTSLMHPIDTIKTRVQASTLSFPEVIAKLPEIGVRGVYKGSIPAILGQFSSHGLRTGIFEASKLVLINFAPNLPEIQVQSIASFCSTLLGTAVRIPCEVLKQRLQAGMFNNVGEAIVGTWKQDGPGGFFRGTGATLCREVPLYVVGMGLYAESKKMVAQALGRELEAWETIAVGAVSGGIAAVVTTPFDVMKTRMMTATPGRPISMSMVAISILRQEGPLGLFKGAVPRFFWVAPLGAMNFAGYELAKKAMQKNEEVVMADQLENKAMSPFVLVLLLSCFFLNVSMAHERTFFSGNLSDTETILSSLGTFRFGFFSPVNSTSRYAGIWYNSIPVQTVIWVANKDKPINDSSGVISVSEDGNLVVTDGHRRLLWSTNLSTQAPANSTVLAELLDSGNLVMKDANTDAYLWESFEYPTDSWLPNMLVGTNARTGGGNITITSWKTPSDPSPGSYTAAFVLAAYPEIHIVKNNNATVWRSGPWNGQMFNGLPDKYTGVFLYRFIVNDDTNGTVTMSFANDSTLRHFYLDYKGSVIRRDWSEAERNWTVGDQVPATECDVYRRCGQFATCNPLKTPPCSCFKGFRPRNTGNSSGGCIRKAPLQCERQNSNGSAADGFMRLRRVKLPDFARRSEASEPECLRTCLQTCSCVAFAHGLGYGCMTWNVSLVDSQDLSGGGMDLYIRLAHSEKPDRRPVIIGTSLASGVFVVAACGLLVQRIVKKRRGRKKGTEAEEIFERVEALAGGSSKGKMKELPLFEFQVLAAATDNFSLSNKLGQGGFGPVYKGKLQEGQEIAVKRLSQASGQGLEELVNEVVVISKLQHRNLVKLLGCCIAGEERMLVYEFMPNKSLDYYLFDPTKAKLLDWKTRFDIINGICRGLLYLHRDSRLRIIHRDLKASNILLDENLIPKISDFGLAKIFSGNEDEAKTRRVVGTYGYMSPEYAMRGQFSEKSDVFSLGVILLEFVSGRRNSSSSLLACAWSMWKEGEISGLVDPEIFDTLFEKEIRKCVHIGLLCVQEAANRRPSVATVCSMLSSEVADIPEPRHPAFVSRNGVSEAESSEASNNNVTITDVSGR >A07g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1122156:1122752:-1 gene:A07g500540.1_BraROA transcript:A07g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSQRFLSLSICEYPTLEGDLSSSKERPEANPIIGVKRSLSFYTCLNWKLTGSISFKPDMATRRSFQPIRRYYRSPGGVLHVHTMHQQPLDQEDPHLLKHARDQNLSSTQEDPKEALLSIKTVQVQERSDSLLGAKIPQKAPTASFRFLA >A06p020440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10005915:10008313:1 gene:A06p020440.1_BraROA transcript:A06p020440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGVMAAVVAALEMSKRNKDQNTSSSFNSFKNNYILVFSIMMAGDWLQGPYVYYLYSTYGFGKRDIVLAMADVNFFFAFLKNYAQRNFDQQWLSLTISKAVFFGNGLVAILSGLFGNLLVDIFSFGPVAPFDAASCFLAIGIVIILTTWSENFGDPSDSKDLMTQFKVAAIAIASGRVKVNVKNYEKIALLGAIQSLFEASMYTFVFLWTPALSPNNEEIPHGFVFATFMLASMLGSSLAARLMARSSLRVEKYMQIVFLVSAASLLLPITTSVLVTPSKVKEEGLSLTCSIQLLGFCVFEACIGIFSASIMKMRSLYIPEEARSTIMNFFRVPLNLFVCIVLYNVDTFPITIMFGMCSIFIFVASILQRRLMVISENPKGEERSPMKERDSEVDPLLSSDYLPFSIS >A02g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17600956:17601539:-1 gene:A02g506180.1_BraROA transcript:A02g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQPLNQNKISYYANREPPENRKKKVIVFVKNLFGFDGVTGSDKDGERCRRKERFSKSVKAGLQFPVGRISCSSKKDSCAIRCVDLDHLVLLYNLPTVLEFTERNKVEDSLNEISYEEWGVGVNYDN >A01p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17059690:17060410:1 gene:A01p035390.1_BraROA transcript:A01p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQDRTWTVVKERHHEDSSMGRCVVFGYTLLGKVLRGLSLGKERKRLGRPDRHWRMVPIRPKCCQLRRGWYTIRPESLWCRSFLLRFRLMMLIISRS >A02p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28993773:28998555:-1 gene:A02p046470.1_BraROA transcript:A02p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDEDMITRISIEPEKQSLLDHHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYAREMKREQEEIVAVPETEAAEVAEILAQYGVEPHEYSPVVNALRKNPQAWLDFMMRFELGLEKPDPKRALQSAFTIAIAYVLGGLVPLFPYMFIPQALNAVVASAAITLIALFIFGYAKGHFTGSRPFRSAFETTFIGAIASAAAFCLAKVVQH >A05p049200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28693553:28695225:1 gene:A05p049200.1_BraROA transcript:A05p049200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNIASSLSLLSTPHNKFSIDLRSYPNPKQRRCSVLCSGVRTAASRQSRDLSATEARVSLVLALASQASSVSQRLLADLAVETAKYAFPKRFNSSNLEEAFMSVPDLETMNFRVLSRTDRYEIREVEPYYVAETTMPGGNGFDFYGASRSFNVLAEYLFGKNTVNEKMEMTTPVVTRKVQSVGEKMEMTTPVITRKAKDQTQWQMSFVMPSKYGSDLPLPKDPSVKIQEVPRKIVAVLAFSGYVTDEETEKREQELRRALRNDKKFRVRDGVSVEVAQYNPPFTLPFTRRNEVSLEVESKEY >A08g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11973320:11973844:1 gene:A08g506930.1_BraROA transcript:A08g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFVSDSEYGIPKRCPCGGRIIDEVRRKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEEIERLTKRVVEAEEVMLGSSNLCKLIDRLEDQVKMLSEQVDDLTVQVATLEKVCFE >A02p057990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:13307:14355:1 gene:A02p057990.1_BraROA transcript:A02p057990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFTFLADQKVGRCSNNEEAIQHVSWTITEFSFSVMEFQQRKCCVILELSSNLPRVLEVCTSAIPQAFLDGTDTNPSRLAEEGTNVPEVALPEVVVPGRDDKDGLMLPVPDNLTYHFGFLFVFDDLNVPNGIDYLTAHVEPGTKLEFFMSFASTLSHETDNSLM >A03p012450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4943092:4943884:-1 gene:A03p012450.1_BraROA transcript:A03p012450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQFQQAKTGVEALKAMDANKYLKKVGLGRDDMFFWKQVGKALLCTYTIFGMAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDTEAMEDFVAKGGMIGTAIGPKGVVESEGEGDNYQKEMEKKKFDKEAQKLWLRMRNEVMTELQEKGYDLE >A04p027280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16434204:16434657:1 gene:A04p027280.1_BraROA transcript:A04p027280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEERHGSSSDSSSSSSLPVSYGVDYEKYNFSSSVSSLSRPFSPEGSSNKSCVLENNLSSVFSLDHDDSLPIFSRERPSSSGFCRGVVAVSVSLSFASLNTSSLI >A01p011200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5441777:5444243:-1 gene:A01p011200.1_BraROA transcript:A01p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDKNVTRCFSFTRITKKKKKKEEEKVIVSREIAKRWRDLSGQNHWKGLLQPLDQDLRQYIIHYGEMAQAGYDTFNINTESKYAGASIYSRKDFFAKVGLEKAHPYTKYKVTKFLYATSEIHVPEAFLLFPVSREGWSKESNWMGYVAVTDDQGTAVLGRRDIVIAWRGSVQPLEWVSDFDFSLVNAKKIFGEKYNQVQIHQGWHSIYMSEDERSHFNKANARDQVLQELGRLLEKYKDEEVSISICGHSLGAALATLNATDIVANGYNRPKSRLGKSCPVTAFVFASPRVGNSEYKKLFSGLEDIRVLRVRNLPDVVPIYPPLGYAEVGDEFPIDTRKSQYMKTPGNFATFHCLESYLHGVAGTQGTSSADLFRLDVKRDIGLVNKSVDGLKDQYMIPGHWRVLKNKGMVQQDDGSWVLLDHEIDDSEDFDF >A05g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24094762:24097141:1 gene:A05g508270.1_BraROA transcript:A05g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPELGVHLLFALNLTTHGSSSEKTRYDPSFVAVRAMSESQTALKNQPQSSASSGKKQALISLSDKKDLATFGKGLQELGYTIVSTGGTASTLENAVVSVTIQLDGRVKTLHSNIHGGILDRRDVEHHMEALNEHDGIENINIGGPTMIRAGAKMSSSLSIQRIIKLFWSISKEVRTTNNSAGNLRGRPFSTLLLMIPLSQNGYGSRLREVSAYFLCDPPYTRSRCIGVVSSFATMISPSILLPSSLCSRRCIYNYKKMVIQTAATAALGVRNRVKYRLALVPLNQSPFFLCATLHLPKFRHVIEKRTQDIAVKLQKKMEQSVEILKLNISPAVTAEKKDTTMNYRMNRIMNIPYNLIT >A02p054650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33163308:33168460:1 gene:A02p054650.1_BraROA transcript:A02p054650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRKSYEMDTNRRGTGGEYGKRIEMEYEPPRDWWGVWENAAAVAFKNGKGRKTLQGVWTTSKGTGCRVYQGRRPHRNAARKGRVGPLELCRTISGNADGKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGASKGRLKEDRERIYFQQLINAVDYRHSRGLLSLTSSSLSLLPLQDISYGVYKSEFVKMVKFTSDELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSGKNTGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRETVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCVFDHWEMMSSDPLEAGSQASTLVADIRKRKGMKEQMTPLSDFEDKL >A05p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1786835:1788511:1 gene:A05p004610.1_BraROA transcript:A05p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFAQRLYNTCKASFSSDGPIPEEALQKVRNVLEKIKPSDVGIEQEAQLARTRSGPLNGSNQSPPAIKYLHLHECDSFSDVLDERKHRSPILIGIFCMPPSSMIPLHNHPGMTVVSKLIYGSMHVKSYDWIEPDLTEPEDPSQGRPAKLVKDTVMTAPTPATTLYPRSGGNIHCFKAITHCAIIDILAPPYSSDHDRHCTYFRKSRREDLPGEVEVDGEVVADVTWLEEYQPPDDFVIRRVQYRGPVIRT >A08g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6868062:6870193:1 gene:A08g504290.1_BraROA transcript:A08g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYSYSQPSQSDDLFGNSDDGGYSETEDLIRRDQAELQARSQTCYCGATPLLATATTRNDPGRRYYTCENVDDGECHVWKWWDVAVMEEMRARDRHVLQLAEKVENLSLYRESQPMKWAMRLRVALHIAQALEYCTGKGRALYHDLNAYRVLFDDDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSYGTLLLDLLSGKHIPPSHALDLIRDRNIQMLIDSCLEGQFSSDDGTELIRLASRCLQYEPRERPNPKSLVTAMIPLQKDLETPSHQLMGIPSSASTTPLSPLGEACLRTDLTAIHEIIEKLGYKDDEGAATELSFQMWTNQMQDSLNFKKKGDVAFRHKDFANAAECYSQFIEGGTMVSPTVYARRSLCHLMNDMPQEALNDAVQSQVISTAWHIASYLQAVALSALGQENEGHAALKDGSMLESKRNAL >A10g500180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:634676:634897:1 gene:A10g500180.1_BraROA transcript:A10g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFNGIRILTLLSKQRNRDESESQKTYDVVKALRKRYSVKLEIPAVLLPSAETVAQNRTTDARKAEADEKGR >A06p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17919159:17919810:1 gene:A06p033380.1_BraROA transcript:A06p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLGFFSSPPPTFCLLQSPSNSVKPTHFFPIGDKKLAQKKEVFQTFKSKSFEIQATNGTQTTKSSSIVCQNCEGNGAVACSQCKGGGVNLTDHFNGQFKAGGLCWLCRGKKEVLCGECNGAGFIGGFLSTFDE >A05p038140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21722514:21724930:-1 gene:A05p038140.1_BraROA transcript:A05p038140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSIRQRRSGVRRRTRPETPVLKCKAEDQNRERCGAVEEDDNGEWSNQVDEKPSKEGRRSVKAETGRKLAAGVWRLQVPDAVSSGGDERRMDRLGFQGIAGGHLGPLFFYHHDHKHSGFQTNNNNLRTMRSPPAAPTKSGFLCKEASIPFPDSAMEAATKWDPIRLDARDDVHQIYSNVKLTDQQLNAASSIELKLEEARARIEDLESEKRSQKKKLEHFLRKVSEERAAWRSKEHEKVRAIIEDMKDDINREKKARHKLEAVNLKLVNELADSKLAVKRHMHDYQKERKARELIEEVCDELAKEIEEDKAEIDALKSESMNLREEVDDERRMLQMAEVWREERVQMKLIDAKLALEEKYSQMNKLVGELEAFLSSRNATTSVKEAEVLRETVASVSDVQEVKEFTYEPAKPDDILMLFEEMNLGEAIQDGETEQCVGYSPVSHGSEVHRVSSDNKGRLSNALTDQNGEFEEDDSGWETVSHQEEHRSSFSPDESVHFTSNNHQRDSNVSANGTEFQKTPLREIKEVYPVPRRQSKKVSSMAKLWSSIEGINGRVSFSNARKSNAGMVSPRKGGFRTLDLVGSSPDSAYANVNRGGMKGCIEWPRGTHKNNVKTKLMEAQVESQKVQLKHVLEHKI >A02p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19219496:19220075:1 gene:A02p035190.1_BraROA transcript:A02p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVRVFIGLARSVDLCCLAVHSLISLVLVYPVLGFDLSSSQRVIFVGGSSCRVMKSWFLVTIEDAIAWQAIGGSVRSGLRRLGVWITRSGWNRWACRGQQRLI >A01g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21379282:21381195:-1 gene:A01g507440.1_BraROA transcript:A01g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIQAWASSVKLKTPNYSLWVRMMKKNPRGKGVWSHTSDEAPWKICKVFAHVNERQPEAHQQKPQSFSGFLSDPLVVYEPRRPGGQEGIQGRALQTGLNTQGKGVGTQDVTIRPEAATGKGI >A04p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12354662:12355575:1 gene:A04p020290.1_BraROA transcript:A04p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVAKAPAKENKKPLEFKLHSGERAMKRAMFNYTVATNYYITKLQKKQEERLQKMIEEEEIRMLRKEMVPKAQLMPFFDRPFLPQRSSRPLTMPKEPSFGNVNSTCWTCVFNNQHYLYHIHHAHA >A09g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22401914:22406316:-1 gene:A09g507820.1_BraROA transcript:A09g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGSQWCRPMSMNSHRSTDHDEDRWTDCSRHRSTSSADSTECNASEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFIHGLWMFFRETKVTEEDIRRMFHQVRGKMKHMITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFTSPNHCYQHSAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLETHNFTNTFPTFFDAVHSTSIDTHPRPAKQPLTSIDTSKRTSIDIRAAAKIQEQENIPSLTRSTDTYINRFAPPKPPTHIRANTQSNKMNTLPSTSTEKSMKSNHLKNTNSAEITLPSIDVTVSTSIDTTLNPNLSISKKNNYTNIDYGFLTPDEFGILRDPDGNAHAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDHNNHAGVTTIETNPDLSHQPKGQASIDGIMETSIDRITPTSIDMDNQTSIDRRYECGSRAFDMYGARKFTWEQRDEYGFYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLEPELYTKEEIDEMVFGICGAQEKLGKELKTLVDETHQPLDRGYNELFRCMAEMKTEIDSLRQQLEKEATTSASIDAPCAKSIDVSLPTAQTLAEPRCSTQRRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPASSIDMCTFTSLDAKVSAMNERLRTYEDMHDHFISPVMIDLNKLSSQLLDAQKDIENITNKSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAEEVDKITSKIYTAIDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTLAKSIDGNSPRSTNEHIIASIAAESTTIGEQLIHKTVESMQKELTDISAYAYDNIGWHQVSIDNIQERLQNISNLCVIKRENQIKTTPELESSSPELESSSPELESSSPELESCIIAGTPYVL >A01g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21244349:21245374:1 gene:A01g507330.1_BraROA transcript:A01g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSSNPETIDLGRVSQLGHDFPREEKSMKFRPRFSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALQSQLSKAEIGDSSMPHISDIPDTPTSRIQINTLANDSDISE >A03g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8604158:8604891:-1 gene:A03g502740.1_BraROA transcript:A03g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLISLLPIFHLLVLLGSSVNAYWPPSPGYWPSSKVVSLSFYKGFRNLWGPQHQRMDQNALTIWLDRVSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITSLYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREIKYRLWFDPTSGFHHYAILWNPREIM >A01p001990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:909200:910470:1 gene:A01p001990.1_BraROA transcript:A01p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRKTVPDKWEFANDNFRRGQEELLSEIRRRKAVIAAAGKCVVVGLPSESNSAGDDHGSSSTSSPGSKHPGSVENMVADLSGENEKLKRENSSLSSELAAAKRQRDELVAFLTEQMKVGPEQIDQMIKGGGKKLKPAVEEEESDCEGCGGDNGGAAVEGEKGVVGEGLKLFGVWVKGERKKRGRDEKNFVVGGSHMTEIKNVDFHAPLWKSSKVCN >A06p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18329518:18330889:1 gene:A06p033940.1_BraROA transcript:A06p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSISLILATAVSVAILFLFTVSSAAPTLGVRRPFNRIYAFGDSFTDTGNSRAGEGPAGFGHLSSFPYGMTYFKRPTNRYSDGRLTIDFVAQSMNLPFLPPYLSLRSTNGSNGTATDSYGVNFAVSGATVIKHAFFAENNLTLDMTPQSLETELGWFEKYLETLGTNQKVSLFKDSLFWIGEIGVNDYAYTVGSTVSSDTIRELSISTYTRFLETLLTKGVRHMVVQGHPATGCLTLAMSLAAEDDRDYLGCVQSVNNQSYTHNLALQYKLKQLRIKYPNATIVYADYWNAYREVIKNPSKYGISEKFKACCGTGEPYNFQVFETCGSATATACKDPSRYINWDGVHLTEGMYKVMADMFLGGSFTRPKFSYLLNKKLKGL >A08p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12336129:12338271:1 gene:A08p017740.1_BraROA transcript:A08p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHERKNNLNGMEMEKGNKESGSRKGLELTMRVLALVLSLTAATVLGVAKQTKVVSITLIPTLPPLDVSATAKASYLSAFVFNISANAIACGYTAISIAILMVSKGRRSKGLIMAVLIGDLVMMALLFSSTGAAGAIGLMGVQGNKHVMWKKVCNVFGKFCHQTAASVAITLLAAIMFMVLVVLDAMKLP >A08g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14092647:14093624:-1 gene:A08g507980.1_BraROA transcript:A08g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSLALYKDRLLGNICAVTTANKAQTALELLRDNRNKFDLVISDLEMDLTDRIDLKYVMEGVKYGACDYLLEPWKKTKEEMKTMTRRLTKTSCNLDARAAQQVPSSSSNNTRREHNEHQLVSECLVNEKCDVYGFGVLILELLTGRRLVEYGEDSFVILSDHVRVLLEQGNVLECIDPTMEEEYSEDEVLPFLKLSIVCTSQIPSNLPTMAEIVQILQAITSPVPHRHRMLDSFSKSSVLI >A07p047300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25416351:25418065:1 gene:A07p047300.1_BraROA transcript:A07p047300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTWFDDDGVRKGEWTVEEDRMLVAYINEYGLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEDIIKFHSLLGNRWAAIAKQMPNRSDNDIKNHWNSCLKKRLVRSGIDPMTHKPVVTVAKATSSSTTSSPTPTPSSSSSSSFSSTSSARLLNKLAAGISSRKHELDRIKNVIMSEPRQAVEEDEMMIGSKEDEEVTGCSMEIDENLISTTSFYEYLTCDFTPTYTPGFVAAFDDYSLVEPYDLYRSDFYHETSDDQLDLFLL >A10p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13925221:13929282:1 gene:A10p020500.1_BraROA transcript:A10p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGYTIQQTLTTEAASVLKQSLTLARRRGHAQVTPLHVAATLLSSRTSLLRRACIKSHPGFSPNYQFAPSLHHHQNQNHPLQCRALELCFNVALNRLPTIPGPMFHGQPSLANALVAALKRAQAHQRRDCIEQQQQQTQTQPQTQQTQLLAVKVELEQLVISILDDPSVSRVMREAGFNSTAVKNCVEECSVSSVFYGGSVVGVFSSPNSPDQQPNINMSRFHHYQNPKDFNFLNPNIPLWQTQFLNQSPNQNPLLISSSPHHHHQQRLRENDMKLVVDVLLRKKTKKKNPVIVGDSVSFTEVFVSELMGRLERGELGDLKQTHFVKFQFSPMASKFMRREDVEMNIIELRKKVVSLTTSGKDVIIFTGDLTWTINGEISSSYSPLDHLVEEMGKLIAEYDDVDEQIRGSKRRVWVVGTASFQTYMRCQMRQPSLETLWALHPVSVPSSANLGLSLHATSGHEAWNMSSSVNATKPFSGYSKAEEEETISSVLSCCPECVTSFEREAKALKANKEKLLPSWLQSHDGDNSPHKEELMGLRRKWNRVCEHIHNQTRHSPLMGSRSVSLIDSLGLKPNHQRATNSIAKFRRQTSCAIEFDLGGNDYEKGESINEAEDDGNENVKTTLDLGRSLFPSDSVIETRKKISGLVKALEDSVPWQTVTMRLIAESLMDSVLDKKDGWIMIEGSDTAAKRSIARIVAESVFGSFESLVHIDLKKKDNEFKARGIEHGEKVVFLIEDIDLADSRLLKLLADRFEEKQKNKTAVFILTKEDNARNRESVLQISLEVTAQSPGRKRKPGSDLPVKEGKIKKEAFSRQSSFSSSYLDLNLKAEEDEEGEISPISSDLTGEEETDISSSTFLNMIQNRFVLNRSYQPGIEKAMMMAAFREVFPEEGNGGGVRFSVEEKLVEEISGGSYVQSGAFESWLKEVFKTGLLTVKKGGEKDTGVIRMVFGGIVDNNSNNNKQYGGGVGGYMGTCLPNKVQVSKFE >A02p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:764792:765451:1 gene:A02p001790.1_BraROA transcript:A02p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDLATERSDPPSPKRQKTEEETACYDGRPEDFDSDECTDEDMQLFDQELDMIGGGFEIDFKKFRYCFGWRPLDLDDSTMVDEPETNRDFIATLVNLALTKYNADKGTSLELGKILIANFHPSCAVTFYISFQVNDPSDGNQTKPYRAVVRYFPGDIEVVSCNPKY >A05p020190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9478081:9479554:1 gene:A05p020190.1_BraROA transcript:A05p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSHRQSPNGSRGFRLQAPLVDSVSCYCRVDSGLKTVVEARKFVPGSKLCIQPDINPNAHRRSKNSKRERTRIQPPLLPGLPDDLAVACLIRVPRAEHRKLRLVCKRWYRLASGNFFYSQRKLLGMSEEWVYVFKRDRDGKISWNTFDPVSQHPQPLPPVPREYSEAVGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFVADMSTAMVPLIGVVYDKKWFLKGLGSHQQVMSEAYDPETNSWRPVSDGMVTGWRNPCTSLNGRLYGLDCRDGCKLRVFDETTDSWNKFMDSKVHLGNSRALEAAALVPLNNKLCIIRNNMSMSLVDVTNPDKNNPRVWENIAVKGQSKSILSNIWSSIAGRAVKSHIVHCQVLQA >A06g501490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5608312:5608551:1 gene:A06g501490.1_BraROA transcript:A06g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKPVYEEDAAAEENVRLTRSESLSRLMASESSRRGHRRSRRLMESESLLIGHRGGEISRWSRSGRGDTKERERMLW >A08p037850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22024787:22028008:-1 gene:A08p037850.1_BraROA transcript:A08p037850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPSSAYNAPFYTTNGGAPVSNNISSLTIGERGPVLLEDYHLIEKVANFTRERIPERVVHARGISAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERASPETMRDIRGFAVKFYTREGNFDLVGNNTPVFFIRDGIQFPDVVHALKPNPKTNIQEYWRILDYMSHLPESLLTWCWMFDDVGIPQDYRHMEGFGVHTYTLVSKSGKVLFVKFHWKPTCGIKNLTDEEAKVVGGANHSHATKDLHDAIASGNYPEWKLFIQTMDPADEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNRTIDNFFNETEQLAFNPGLVVPGIYYSDDKLLQCRIFAYGDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEINYYPSKFDPVRCAEKVPIPTKSYTGIRTKCIIKKENNFKQPGDRYRSWAPDRQDRFVKRWVEILSEPRLTHEIRSIWISYWSQADRSLGQKLASRLNVRPSI >A03p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5372469:5375630:1 gene:A03p013660.1_BraROA transcript:A03p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRKRKKVGEDPPADVAKEAPETKHERDQDANRSYGAGGGHKKGGKKPKTGDASTTKSTHRLTPSDDNYPKDCGDDKIYWKEWTEVDRVVREVTDQGDRDTCWAHATKKNIEARLKINFGLDVTLSVDHLYHGIAKKMSKDAIKTFDELKNFLKNEGIIQEKDCECEKRTNKKDPCAKKIKAKAVGVLKIEEFITKKLVSEEELIQLVKLGPVMVSIQATDDLLAHRGEWGDKGFAKIARKISRGKDQPSLFSEIVYPVVSGVGGLA >A02g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27051333:27052704:1 gene:A02g510110.1_BraROA transcript:A02g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAQALNRVFMLHKNTMRICFASDLRRLRIMILSAPALQKEKEKRQFFERFTLDAIKLLCIYDMPSAQALNRVFMLHKNTNLRRLRIMILSAPALQKEKEKRQFFERFTLDMVNKFKHSKAEQTTLASKLPSSQLNIHCNPIAGKRLHLKERPKLFPSLKVRVTCKTEGRSSSLDHS >A02p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12791755:12793499:1 gene:A02p024400.1_BraROA transcript:A02p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKHSQKSSRLGMTKPKPMSFFQNIIKPFKRTSNSGLEDDIERIAALEQKVFSFQVLVSATKDFNPTHKLGQGGFGPVFKGRLPDGRDVAVKKLSQASRQGKNEFVNEAKLLAKVQHRNVVNLWGYCTHGDDKLLVYEYVANESLDKVLFKSNRRSEIDWKQRFEIITGIARGLLYLHEDAPNCIIHRDIKAGNILLDEKWVPKIADFGMARLYQEDATHVNTRVAGTNGYMAPEYVMHGVLSVKADVFSFGVVVLELISGQKNSSFSMRHPDQTLLDWVKPLVSLLSHVPLFPVRAGPKHRLAYKLYKKGRTNEILDTEIAASADPEQVRLCVQIGLLSVQGDPHQRPAMRRIAMLLTRKPGHLEEPERPGVPGSRYGRRRHGPSVTSSVGTLSTPGSSAGSFGSNLKTNTGSNTGSGGVTPASSRTPTRSVGGASSSSDPHGKRPMSY >A08p010950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9083097:9085464:1 gene:A08p010950.1_BraROA transcript:A08p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSAVSLVRFGVGTSNHELRLKKWTRRLLWFDTRKRFSCCADMLAPIGQSEERRFEQKTSAHGAGIKPSSSAMPFASPKSRFVSKQEKFYPRCTPRLTGPQSRDTPPKRDTGIANEKDWGIDLLNENVNESGINEDGSTWFRESGQDLGENGYRCRWTRMGGRSHDASSEWTETWWEKSDWTGYKELGVEKSGKNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWTDKWAETELGTKWGDKWEEKFFSGIGSRQGETWHVSPNSDRWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSTQLLSIQPRERPPGVYPNLEFGPSPPPEPDQPQ >A04p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11558521:11564520:-1 gene:A04p019340.1_BraROA transcript:A04p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRIKGGSSMIGVYGRPYGSSSILSFDGSESRQSHQSLVEWLNETLPYLNLPWEASEEELRACLMDGTVFCNLLNQLSPGSMKMGNTFELASINIERFLTAMDEMALPRFEVSDLEQGDMIPVLQSLKALKASFSDAGYDKNTLSSMRRWSLPEDQSKGLDGNFNDGLQSKEVSEINTSHAKILDLLKSNTLQNTSTRSLFDMLDKLLDESVSKMNVDVSHDFASILRGIVQVVEQRISNQAENLKNQNILFRVREEKYRSRINVLENLASGTTDENELGSEEQDVLQLKRKKERSDAELSKLKKELQVLKETHEKQFLELELYAQEAKTVLEKQLEESELRVVDSTNKVKELEKFCEIKTKIWEKKEQTYKSFIHNQSEALQELKATSMSLKHEVLKTGENYFQDLNYYGLKLRGVVHAAKNYQVVVEENRRLYNEVQELKGNIRVYCRIRPFLKGQNKKETSIEYTGENGELVVANPLKQGKDTHRFFKFNKVFGPSSTQEEVFLDTQPLIRSLLDGYNVCIFAYGQTGSGKTYTMSGPSINSEEHWGVNYRALNDLFHLTQSSQDTVMYEVGVQMVEIYNEQLIFLNLHTLGIWNTALPNGLAVPDASMHCVRSTDDVLELMNIGLMNRAVGATALNEKSSRSHSVLSVHVRGVDVKTDSELRGSLHLVDLAGSERIDRSEVTGDRLKEAQHINKSLSALGDVIFALAHKNPHVPYRNSKLTQVLQNSLGGQAKTLMFVQINPDEDSYAETVSTLKFAERVSGVELGAARSYKEGRDVRQLMEQVSNLKDMIAKKDEELQKFQNINGTKRSGLNNLRFESTSPRRHSLGGASPNSSRQRQGNRLLGRTTSDSADERIQQNESRLSPKFSETDGSNLFSETSSPLEMCEQSEQNEKANVEPSKVLKHTLKADQTRPSRLSISTSSSKALTDKWFFNFRKTSYQKAMKNIIAVNLKIQELQVVLLTSPVHKNIPWTVSEARPVVRL >A01p008860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4281692:4287380:-1 gene:A01p008860.1_BraROA transcript:A01p008860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTPPPVPMELHAVNRRKLCDSLRRHLSSSDRPLDGFVLLQGGEEKNRYCTDHAELFRQESYFAYLFGVREPDFYGAIDVGSGKSIIFIPRLPEDYAVWLGEIKPLSHFKETYMVDMVYYVDEIVQVLSEQFKGSGKPLLYLLHGLNTDSGNLSKPASFEGIEKFETDLTTLHPILAECRVIKSSLELQLLQFANDISSEAHVEVMRKVTPGMKEYQMESMFLHHTYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTFEDGDLALLDMGGEYHFYASDITCSFPVNGKFTSDQSLIYNAVLKAHNSVISAMKPGVNWVDMHKLAERIILESLKKGSILTGDVEEMMLERLGAVFMPHGLGHFMGIDTHDTGGYPLGVERPKEPGLKSLRTARDLLEGMVITVEPGCYFIKALLVPAMENAKTSKFFNRETIERFKNIGGVRIESDLVVTANGCKNMTNVPRETWEIEAVMAGGSWPPVATGNNTTKEDALIFEYSVERTGKIKNNNNNNEEEEFVSFGHNLNTKIRFEDADEDEVAEGSGFEAAQDESMCEAGESTDAAQVTDDTTSADYYFDSYSHFGKIPFCFIFSKEMLKDVVRTKTYQNVIYQNKFLIKDKIVLDVGAGTGILSLFCAKAGAKHVYAVECSQMADMAKEIVKANGFSDVITVLKGKIEEIELPTPKVDVIISEWMGYFLLFENMLDSVLYARNKWLVDGGIVLPDKASLFLTAIEDSEYKEDKIECERIDSVPTLISMSVENAIANCVLCFSTAVWNSVYGFDMSCIKKKAMMEPLVDTVDQNQIVTDSKLLKTMDISKMSSGDASFTAPFKLVAQRNDYIHALVAYFDVSFTMCHKLLGFSTGPRSRATHWKQTVMYLEDVLTICEGETITGSMSVSYNKKNPRDVDIKLSYSLNGQHSKVSRTQHYKMR >A03p010630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4268095:4269683:-1 gene:A03p010630.1_BraROA transcript:A03p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHDTYHKLIFFSLSILLLHIPLKNVDALACNWGTQASHPMPPNIVVKLLRDNGFNKVKLFEADPGALRALGKSGIQVMVGIPNDLLASMASTVTNAELWVQQNVSQYISKYGTDIRYVAVGNEPFLKTYKDRFVKSTYPALQNVQAALVKAGLGRQVKVTVPLNADVYESGDGLPSSGDFRSDIKTLMVSIVRFLADSVSPITFNIYPFLSLNADPNFPREYAFFPGSGGGGGGAKPVVDGSISYTNVFDANFDTLVSALEKNGFDAEKVEIIVGEVGWPTDGDVNASPALAQRFNQGLLNRIVQGKGTPRRKTAPEVYVFSLVDEDAKSIDPGKFERHWGIFSYDGAVKYPLSLGNGRQLVPAKGVRYQAREWCVLSPQAAAGNGPNGATWTSSVEYACQLADCTSLGPGSSCAGLDSAANASYAFNMYFQKMDHRRGSCVFNNLGVVTKIDPSSGSCRFPIEIDTSRHDETSRPRKNSGAGEGKWGTVVTAVMAVVWAVFY >A01p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16353120:16355434:1 gene:A01p036540.1_BraROA transcript:A01p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR5 [Source:Projected from Arabidopsis thaliana (AT1G56440) UniProtKB/TrEMBL;Acc:A0A178WG02] MTRSPDKHGRDNNLQDWELSLKDKDKKMKHQPSTSSSNLASEKLRPSGSKQYDFVKKYGPMSDFSSQIDANSDKEQGNEYFKQNKFNEAIDCYSRSIALSPNAVAFANRAMAYLKLKRLGSNVCFRYREAEADCTEALNLDDRYIKAYSRRATARKQLGIIKEAKEDAEFALRLEPESQELKKQYADIKSLLEKEIIERATGAMQSTAEELLKTAGLEKKTKTPNTEMKMMKTQGAKTNGDTVRPVSGSKEVSGKKLIESVQPEEKKNEVSKKMTLKSESYEREAKSYGINGTQPSGSGNQVSRKLELKPSVQELAARAASLAMAEVSRNVRAPKSAYEFENSWRSFSGDRALQTQLLKVTTPSSLPQIFKSALTSPVLFDIIKCVASFFNEDMDFAVKYIENLTKVPRFNMIAMCLTSTEKNELLKIWEDVFCSKATPMVYAEVLDKLRSRYCLKR >A10p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16422383:16424350:1 gene:A10p025660.1_BraROA transcript:A10p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMEQRRTQNAVQQQDDEEIQHGPFPVEQLQAAGIASVDVKKLRDAGLCTVEGVAYTPRKDLLQIKGISDAKLVPLGFTSASQLHAQRQEIIQITSGSRELDKVLEGGIETGSITELYGEFRSGKTQLCHTLCVTCQLPMDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSALFAGPQFKPIGGNIMAHATTTRLAMRKGRGEERICKVISSPCLPEAEARFQISTEGVTDCKD >A03p007400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3057969:3062543:1 gene:A03p007400.1_BraROA transcript:A03p007400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVPTSEEDPSLAIVRFTSQLAWVDAGPEAAELQVTRLCREAEESIVAGRWLDLATLMVTSADLVSSRISEKDLECTYTVICSLVKNVNSPEEVLEMVKVIASKVVQQPNDKASLRLEILFNLYNLLDHPNARFQVYMKALELAVSGKVTESIVPSFKKVDSFLKEWNIDIKDQRELFLAIANVLRENKSLAKESLQFVTRYLATFSNEDAQVLSEAKDEAVRAAIDFIKAPSIFQCDLLDMPAVAQLEKDPNSALVYQLLKIFITQRLDAYMEFQNANSGFLQTYGLVEEDCVAKMRLLSLVDLASDESGKIPYASIKNILQVNDEEVELWVVKAISAKLVDCKMDQMNQFVIVRQVLYALSFGLYKVARILILLSHFSRCVESEFGQTQWQSLRTKLAAWRDNVATQGIMASVPMDIVNDVFLRLPASTLVRCRVLSKPWFSLIDSSDFLASHLKRTLETEEHLMILLQSHRLLRTVYLDGPDKLSDVDHPLQTGNLTKVFGSVNGVIGLTNSPVVLALFNPSTRTIHRLPIEPIDFSERSITREYVFYGLGYDSVSDDYKVVRMVQSKHKGYEGYPLQMEGYPLQMEGYPVETKVFSLKSNSWKRIHLRFEPQIPFMFLYYHVLYRRGNGVLASNSLHWVLPGNPLALSKIIRFDLATDDLSVLSGPLELCHEVMNLGVLDGCLCLICHDYHNIRNGHVDVWILREYGGSWSKFITVPKPETVVSFKFVRPLIYSKDRSKILLEINSGTLMWFDLVDKSFETLQIKGYKGPSNAEILVSSLVLGCKGVSGRAPEKRMLQKGNKSWYAHLCSLVLGCRGDPREKEIMVKELLDHTETDSSFRV >A03p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1631369:1636356:1 gene:A03p003750.1_BraROA transcript:A03p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHALWLLCVLVLPAIAWGRNPEKKATTSSGRKEDDLVTGLPGQPPVNFRHYAGYVNLGPRQKQKALFYWFFEAQRNSSGRPLVLWLNGGPGCSSIAYGAAQELGPFLVRAGGDNLTFNKFSWNKEANMLFLEAPVGVGFSYTNNSMDLHKLGDQVSAEDSLAFLINWFMKFPEYRSNEFYIAGESYAGHYVPQLANAIYDRNKKVTRGSHINLKGFMIGNAVINEETDMAGLVDYAWSHAIVSDEIHSNIHGMCRFEEEQKSNQTVECNDNFKAFMEAYSDIDIYSIYTPVCLSSSSSSPRKPKLIVSPRLLTSHDLWDSLPAGYDPCTEGYAENYFNRKDVQLALHANVTNLPYPYSPCSGVIKRWNDAPPTVIPIIQKLLAGGLRIWIYSGDTDGRVPVTSTRYSIKKMRPKVVSPWRSWFHKSQVAGWVETYAGGLTFATVRGAGHQVPVFAPAQSLSLFSHFLSSTPLPSKRF >A09p066820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52872019:52873564:1 gene:A09p066820.1_BraROA transcript:A09p066820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSILSWSLFYFCHFFALSSNYKSNYFTCQNSSHTTNREENKNQSYSFSIISKMEGKEEDVRVGANKFPERQPIGTSAQSDKDYNEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYIVMQCLGAICGAGVVKGFQPNQYQALGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRN >A09p070940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54908077:54912937:-1 gene:A09p070940.1_BraROA transcript:A09p070940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQINAATSSSDSPPEIPDPQFVSDTTEKSKLGDTPMDERDDSMVCDPNSRLVLTGFTKANHSAADDTIMFINAGGADSKVLDSEMSILGDTYFEGGGVLRTDESILEAGDFPFIYQSARVGNFSYQLNNLLPGEYFVDFHFAEIVNTNGPKGIRVFNVLSEFDIFSVVGANRPLLLVDLRVVVVDDGLIKVRFEGINGSPVVCGICVRKAPQVSVVRTSQDCIKCQNCATEIEISPARKRLMRAKAHEKYEKKIEELSERYQHKSNECHEAWMSLTSANEQLEKVMMELDNKMYEARSLDQTVETQADCLNSITSKYENDKRHWTAAIASLQEKIEIMKREQSQLSQEAHECVGSIPELYKMVDGVQALGNIRVFCRCRPLNKEETSTRCATAVDFDGAKDGELGVVTGNHSKKSFKFDRVYTPKDGQVDVFADASPMVVSVLDGYNVCIFAYGQTGTGKTFTMEGTPQNRGVNYRTVEQLFEIANERRETISYNISVSVLEVYNEQIRDLLATSPASKKLEIKQSFDGSHHVPGLVEAKVENINEVWNVLQAGSNARAVGSNNVNEHSSRSHCMLSIMVKAKNLMNGDCTKSTLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEHDVSETLSSLNFATRVRGVELGPARKQVDTGEIQKMKAMVEKARHESRSKEELIKKLEENIQNLEGKNKGRDHSYRSLQEKNKELESQLESLHNQSEKQNAQLQEKLKSRDETCTNLQQKVKELECKLRERHQSDSAAYQQKVKDLETKLKDSEGNSLVLQQKAKDYENKLKDSESNSLVWQHKIKELERKQKDEQTQEAVLLRQKIKELEVRLKEQELHVQQMAATREFPDVASATPNEVKTCFKEDNFGNENAESNNNNNNILRTSNRLKASAARRNDSLNLNEATRKKRVSRSGETENNGGDEPQMKEKRIRKSDPPKVVARLTRPTRPVSSSNQVPVAQKRVVSREQQQAVVGKERDPKKRMWTR >A02g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16365981:16366480:-1 gene:A02g505430.1_BraROA transcript:A02g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNHTSQAVAQFGCPRNLEWIEESVNDFYANIHGRLQRLEDNYLASVQSIDQQRAYAIRAQARELEIVRNSFDNFCQEMIEYTKFNGEEEHHRSVDKTTSSAEALSVVLEPVLATKAKAILSTTQKFVGPATPDV >A06p052600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27840622:27843600:-1 gene:A06p052600.1_BraROA transcript:A06p052600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated proteins 70-3 [Source:Projected from Arabidopsis thaliana (AT2G01750) UniProtKB/TrEMBL;Acc:F4IPB2] MEERGGYAFEVNNGRPAANEFGSSRRNSSPQRPSLTKSSSVREGGGSKGRRSMKPSSDDEFITLLHGSDPVRVELSRLENEVRDKDRELSESQAEIKALRLSERQREKAVEELTEELGKMSEKLKLAENLVQSKNLEIKKINEEKRASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLNKSKEAALLDAERTVETALAKASMVDDLQNKNQELMKQIEICQEENRILDRMHRQKVAEVEKFTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERRVLDRELARAKVSASRVATVVANEWKDGSDKVMPVKQWLEERRFLQGEMQQLRDKLAIADRAAKSEAQLKEKFQLRLKVLEESLRGPPSGGNRTTPEGRSISNGPSRRQSLGGADVIPKLTSNGFFSKRTPSSQFRSLSSSASTVLKHARGTSKSFDGGSRSLDRSKLLTNERSSKFPLNQSSEGTSEGDSPNSTKQEESEKPAGGASNDSVPGVLHDLLQKEVITLRKAAHDKDQSIRDKDEAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVDNKGPESRTRRLSTTTNPRGSSTTTAHLLSRRGSGRMGMTRSTQ >SC160g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:281007:281531:-1 gene:SC160g500160.1_BraROA transcript:SC160g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDIKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTKPSLRRGISELPEDP >A06p048270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25595118:25596331:1 gene:A06p048270.1_BraROA transcript:A06p048270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEREGEVKEGVASIALLPCGSISGHFIHTPLSICYGLHGTELACETECSRGEDYRLIKLTIIDFNSKKEQTVVVECKGHDAARINNVEHAHGWEEDVIGLVEQKHGKKKVSVLFECETLKADKAAEDHIRQFMPKLAGLDAVINIGPMKISGLDFAAVEDEHRN >A06p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7004449:7005189:1 gene:A06p015610.1_BraROA transcript:A06p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSVSLIVSNLSNVASYLSPIFETIPSKVVPAQIEKVVSLVSRTGRDLQRYDNAGYRQVVGCVPYRYKKRQGNGTETKEIELLLISAQKGKGMLFPKGGWEIDESMEEAALRETIEEAGVTGELEEKLGKWQYKSKRQSVIHEGYMFALLVDQEYERWPEAETRQRRWVGLDEAREVCQNWWMREALEVFVNLKCKAEEVEIEANDV >A01p048060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27088442:27089579:1 gene:A01p048060.1_BraROA transcript:A01p048060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor for activated C kinase 1C [Source:Projected from Arabidopsis thaliana (AT3G18130) UniProtKB/Swiss-Prot;Acc:Q9LV28] MAEGLVLKGTMRAHTDMVTAIATPIDNSDIIVTSSRDKSIILWKLTKDEKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLATGVSTRRFVGHTKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTISEADGHKDWISCVRFSPNTLVPTIVSASWDKTVKVWNLQNCKLKSSLAGHSGYLNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLEGGSIIHSLCFSPNRYWLCAATESSIKIWDLESKSVVDELKVDLKAEAEKCDGGVGTGNQKKVNYCTSLNWSADGSTLFSGYTDGVVRVWGIGRY >A03p062320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27099387:27100376:1 gene:A03p062320.1_BraROA transcript:A03p062320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEMQEEKPSLLPFNASLDPSNPLGFLEKVLDFIGKESDFLLKDAAEKEIATAVTAAKKRLREAKKEQKESLKPLEKKLKEESSQPMEVEKPKKESLKPTEPMEVEEKPKEEEGPIVPNKGNGLDFETYSWTQNLQEVTVTIPVPSGTKPRSVTCEIKKNRLKVGIKGQEPIIDGEFFNAVKPDDCFWNIEDQKLISVLLTKQDQMEWWKCCVKGEPEIDTQKVEPESSKLSDLDPETRSTVEKMMFDQRQKQMGLPTSDEMEKKDMMKKFMSQHPEMDFSNA >A02g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2877426:2878217:1 gene:A02g500940.1_BraROA transcript:A02g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLIDIIGAVGTCYLGVARNARVIDAVAQSRWNIRGQRSRHFHALYESIQNIQVPQEDQGRDKTRDHVFFACPYTYSVWDRLAGSLCGRRINPDWSLTLQFVTNNNLQLMDKILLKMVFQTCVYCMWKESNERRHQRGFRTVDQAIRIVDKAIRNRISSLRYGPVHRFAGLLQHWLEVFDRT >A03p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9396423:9402643:-1 gene:A03p022400.1_BraROA transcript:A03p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PEIEIGVLYEKMKFGETFTEYLRGEEEWFLEKCRHVEYKKLKKVLKKCKTTCNTTRSDGCDEMFFAELMKEVSDIAGFFKSRVRHLLHIHVATGMQRYMMRLRRCFAAEKQALVEEGQILIQYITMNAIAIRKILKKYDKVHGSDNGKNFKLKMRAERIELLHSPWLIELGAFYLNSGLDKVGNFKNSFGRVSCENLNEDQPVMKLMLPNTTELEFDLTCAICLETVFNPYALKCGHIFCKACACSAASVMIFQGVKAAPQCSKCPICREVGVYAEAVHMIELHLLLKIRCKEYWKERILGERSEMVKQSKMVSMGNTCTGPKLNPNGFLQSVSAAVWRNQKPDESLESNKDDSSKKKSVHGGDNAPSAVDPTPSTPPPPVKMANEEAPKCINNDENPKPKKEAHMKRMASAGLQIDSVLGRKTENLKEIYSVGRKLGQGQFGTTFLCVDKKTNKELACKTIAKRKLTTPEDIEDVRREIQIMHHLSGHPNVIQIVGAYEDAVGVHVVMEICAGGELFDRIIQRGHYTERKAAELARTIVGVIEACHSLGVMHRDLKPENFLFVSGDEEAALKTIDFGLSVFFKPGETFSDVVGSPYYVAPEVLKKHYSHECDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGDLDFVSEPWPSVSESAKDLVRRMLIRDPKKRMTAHEVLCHPWARVDGVALDKPLDSAVLSRLKQFSAMNKLKKIAIKVIAESLSEEEIAGLKEMFKMIDTDNSGHITLEELKKGLDRVGANLKDSEILGLMQAADIDNSGTIDYGEFIAAMVHLNKIQKEDHLFTAFSYFDKDGSGYITREELQQGCKQFGLADVHLDDIISEVDKDNDGRIDYSEFVEMMQDTGFGKMGLR >A02p021200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10032449:10034156:-1 gene:A02p021200.1_BraROA transcript:A02p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEYCDVCETMVHAGHHVYCCEECGFLSHIECILHEEMPSPLYLKDLYSHHGEKLTSPPADHDDKCETKELENKLVVIDVTHNHVLRSRYVTASQKGCWICYRYIHGRLWKCETCRFEAHDNCIKLSQQSRYRFHLNHPLTLLPSYPAGVTNMRCDTCKEKIDYFNLFCRICDFIICTKCAVSVKMRLGELQRGQKFIRYEEGKFCLKGGHDLVQVMVSRSYMVACTLCDDRLCNGNIVSCPDCEEIYHSQCIELWRRYRALENHPLHYNHILYMIKRKTGSKCTACKPDIDISKYVFFCSTCSLSFHFKCIQAVGISTRIKTHKHCLYNFLSDDSSRPCTICNKPCGASFYACDICNVCAHEECIGFPTYVKNQRHQHIVNLKKIYAPKICSICGLDTKFSGHKYQYTCDDCKDVFHTNCIMSMVEREAATEEEQISDLSNMLKDMDESDEEDSDSS >A01p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6696635:6700912:-1 gene:A01p013740.1_BraROA transcript:A01p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESATAAHDNIVVPNGDATNGNTTASSKKSRESDRRRRRRKQKKSKKPSHADVEDAEDSDSKENADSQQHASEQIVIEYVAEQAEFGDGFNDEFKEIFEKFNFRENVASEDDAKKDESEENNDVRKKVTSDSEADEEDQGDEQKEKGISNKQKKLERRMKIAELKQVSARPDVVEGKRGIEKPPFHLPDFIAATGIQKIRQAYIEKEDGKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTALGDLYFEGKEFEVKLRETKPGTLSHGLKEALGMAEGAPPPWLISMQRYGPPPSYPHLKIPGLNAPIPHGASFGYTGGWGKPPVDEFGRPLYGDVFGVQQQDQPNYEDEPIDKSKHWGDLEEEEEEEEEEEEEQEEEMDEEELEDGMESVDTLSSTPTGIETPDAIELRKEHRKEPDRPLYQVLEEKGESVAPGTVLGTTHTYVIKTGTQDKTGVKRVDLMKGQKTDRVDVSLQPEELDALENVLPAKYEEAREEEKLRNKPEDFSDMVAENSKKRKRDKEGKKKKDFKF >A02p003110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1292893:1293102:1 gene:A02p003110.1_BraROA transcript:A02p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPILVTVILFVMLTPGLLFQLPGRQRYVEFGNFQTSAVSVMVHSLLYFSLVYVFLLALKIHIYIA >A03p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3482332:3486961:-1 gene:A03p008630.1_BraROA transcript:A03p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSHNRNELVVIHNHEEPKPLKNLDGQVCEICGDQIGLTVEGDLFVACNECGFPACRPCYEYERREGSQNCPQCKTRYKRLRGSPRVEGDEDEEDIDDIEDEFNIDDGQDKQKHSAESMLYGKMSYGRGPEDDENGRFPPVIAGVHSRHVSGEFPVGGGYVNGEHGLHKRVHPYASSEAGSERWDDKKEGGWRERMDDWKLQQGNLGGPEPDDDPEMGLIDEARQPLSRKVPIASSKINPYRMVIVARLVILAVFLRYRLLNPVHDALGLWLTSVICEIWFAVSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTSNTVLSILAMDYPVEKISCYVSDDGASMLTFDSLAETAEFARKWVPFCKKFSIEPRAPEMYFTLKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKASKAPIEGWIMPDGTPWPGNNTKDHPGMIQVFLGSNGGFDVEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVAGVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYEPPKGPKRPKMISCGCCPCFGRRRKSKHESNGDIAALGGADGDKEHLMSEMNFEKKFGQSSIFVTSTLMEDGGVPPSSSPAVLLKEAIHVISCGYEDKTEWGTELAIVNILRCISEMQLGWIYGSITEDILTGFKMHCRGWRSIYCMPKRAAFKGSAPINLSDSRHSPLWYGYKGGKLKWLERFAYANTTIYPFTSIPLLAYCILPAICLLTDKFIMPPISTFASLFFIALFGSIIATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTVLIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVMKTKGPDTSMCGINC >A02g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14611650:14612126:-1 gene:A02g504430.1_BraROA transcript:A02g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIYHLSILSSCHQISSPENRHVSLLTKRCVSFKLVCDICGHMFVVGLIICLLPPPWLMKRNPYVSKCDAFEFRVEPVVVFPV >A05g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5726830:5727270:1 gene:A05g501620.1_BraROA transcript:A05g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAILLSLCGSSALGFAASLVGSLLEGLPFERRHRRVKALSRAVGGAVASRFEGAYLSVARGNWLSISDLLVSLGLSASRCLASY >A03p029810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12537746:12538846:-1 gene:A03p029810.1_BraROA transcript:A03p029810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMEQFLELSVSPLIPSLPDDVTVDIVARVPRSHYPTLSLVSKKFRKLIASPKLYKRRSQLGITQHCLYALLRNRDTGDCRFYILHRKLNSSNRLVIVRSLSPVSYRGSFVSVGSKVYVFNDVDALCIDCASHTAQPIPDMPQRISATPMPSRVANVIDGKVYLIGDSRFTFDRGVSWRKTVMVLDAETQTWEPVIRKEDMRVGPLWSDAVVMEDKICMRGIRESYSIVYDPKENKWEMNEVLNSKDWEGGCVVDDVLYYLDCSDKALRAYDPKQSRWSVVYGLDEFLAAETTQSKWANAVKCGEKKLALFFLKTHDGKKVICCAEIALERRQGGEIWGKMESFDAVIEDGGLFDVMKCVAVTV >A02g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26886273:26888449:1 gene:A02g510060.1_BraROA transcript:A02g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALKVFFSDLKSGKCSSVVEARLLRSWEAKNVKRDGELMWVDMLLIDVNKDGSTAPIIETRMQEPTLFRVMAADSRSPRDGKHIEVLGYFNPLPGQDGGIHGVLCAAEFMEYKHYRGLGLDACIIYIDGAYDTFQFATLS >A03p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14427900:14428551:-1 gene:A03p034130.1_BraROA transcript:A03p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVFGPTLETFGPAQKENPKGKASLVGWLIVFLPHSLQSPPREMNYRIGLITGGSKDVVRRTFAAAASKAKKGGKGGGSDAPKGSSLSKEIKSTTVVGANTLKDGSDPKILPDSDYPDWLWRLLQIRPALSELRRKNVETLPYDDLKRFVKLDTRAKIKENNSIKAKN >A02p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2977359:2979945:-1 gene:A02p007010.1_BraROA transcript:A02p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNAPYLFPRSDSTVLPDPSRFFSHELLSSPLPTNSFFQNFTLKNGDQPENFHPYLIKTSPSSLSISYPSLTHNPAVVYKAFTSDITITGSDGPDPHSRKTHLISSFSDLGVTLDFLSSNLRFFLVRGSPFVTCSVSNNSSITISTTHAVSSFSGNRSSTKYTVKLNNNQTWLIYSSSPINLVKTGSEINSTGGFSGIIRFVVLPDSNQDSEPILDRFSVCYPVSGDADFTKPFEMEYTWQKRGYGDLLMLAHPLHLKLLSTSSSTTVLDNFRYKSLDGDLVGVVGDTWLLKPDAIPVTWHSLKGIKEDHHREEIISALVQNVDALDSSADVTSASYFYGKLIARAARFVLIAEEVCHLDVIPKIRNYLKSMIEPWLDGSFGPNGFLYDGGLITKLGSRDSGGDFGFGIYNDHHYHLGYFLYAIAVLVKIDNLWGKKYRPQVYALAADYMTLGKKGEGSVYTRLRCFDFFKLHSWAGGLTEFTDGRNQESTSEAVNGYYSAALLGLAYGDIELAAVASTVLAFEIHAAKMWWQVKEDDTLYPSDFTAENRVVGVLWSTKRDSGLWFAPKEWKECRIGIQLLPILPVSEILFSDVKFAKQLVDWTLPALDREGGVGEGWKGFLYALESMYDKDGAMEKVKGLKGHDDGNSLSNLLWWIHSRNSGDE >A03p057680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25013778:25014729:-1 gene:A03p057680.1_BraROA transcript:A03p057680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRNLTRLETVLFEYSPQSWFDRYKIQPKVKNSFSDMFRCYRDVMKIFILVVAPLRFLSFDPAEYHDYHHYVGGQSQSNFPSVFTYCDYIYGTDKGYRLQKKLLQQIKEDSKKSNKQSGGEILD >A08g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19542336:19549875:-1 gene:A08g509560.1_BraROA transcript:A08g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITILSANYYKPIQFSPILCNMDFFSSKKDGKRSGNFSNSGKSLHTCTLSDMNTTGKSRQKCLNLVTDKDHFLKSYLTRRLFGKKSASKSNPATPPHPAAGRSPPPSYLSNKRAETEYDFPISREQRTYPKQPASERVPNSHPRPPVYGYGTPERRERKERMSYEPETNAPSSPFHPSGNRTPERRRKSSEYSREHQDRMYEADTRSNASPFHPFKSPSPSPYHTSDRRIEHDADHYEAMYEPEANTMFQNRAPGSPFRQAGNRSPSPYRTPDRQQSEDLYERDGDVTPRNSSPPSPFHPAANRSPPPQSHRTPDRRGNHPDDEQDGEVTPRTSSPPSPFHPAARRSPPPPQPYRTPDRRRNHPDNQQSEEVYERDGEVTPRNSSPPSPFHPAASRSPPPQPYRTPDRRSNNHNDEQMEAMYEPDGYVMRQDSPPRSPLHGGAYYSSSDDDNHSTYLFPEIGTPTRSIPVSANTTPVHHNYQIIAAETYEQEKQYEPPELADESQSFSIQEIAKMRGLKEESQSMISESYVSVANYRVKSSVAETLQAIISKHGDIAASSKLQSNVTRSYYLESLAAVVMELRTSGLKDLTKTRVAEMAAVVKDMESVKIEVTWLKKAVAELGEAVECAGEYEAAKAEREACERDMKARKGEMEEMREELGKREKEIRECRERVTAVAGKLGQLEMKGSRLSKNLEMFHSKVDKFQGEAVLLHSQHFRPYSSQESIECNSLLCGRTRTTHCKSSGPKWFIYLLKIIFHLKCRFVFHIVVFYTNSTGVLLLEQVPGTRPVERLKRTTKQGLTNGFTFWFTRRKPEEAEKRPTMSEVVDMLMNNLWRKWVILKNLVLVLDMEVAKLTNIQAFEAAQIVGISVDAHIETELPRSLAEIVWYIYTFQLKLKNFNFTSKHQNLHHFSRFSLARACICASLCCAKVSEAVQPEVVANRSYAKVNKTCCVTEAPSIFDGSLAGRTSSCCFGRRNHATGFFVRSVREREK >A05g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27627274:27634124:-1 gene:A05g509180.1_BraROA transcript:A05g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLHSSSGPFLPFSCCIPVKPPAPGTLDIRFLGCSLPFAVYYDPFLPRLGVTQSYRRLYSYPAIFIGCYGPASPPFLNATAAAFPILPTSSSTAMRIGWVPDMVLRYLGEEEEALDLRFVVYAGYTPRNREAGGLALAIGDLGYAGGDRRWRFLDRLAAAAALGSRRASLGECLMDLHSSSGPFLPFSCCIPVKPPAPGTLDIRFLGCSLPFAVYYDPFLPRLGVTQSYRRLYSYPAIFIGCYGPASPPFLNATAAAFPILPTSSSTAMRIGWVPDLVLRYLGEEEEALDLRFVVYAGYTPRNREAGGLALAIGDLGYAGGDRRWRFLDGLAAAAALGSRRASLGECLM >A06g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13377030:13382012:-1 gene:A06g504260.1_BraROA transcript:A06g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLVVDFIGSEPATSSERCLSSKSLLPVSLTASTLASEQTVFHLSWERTQPLKEALEADEWEELKNLRVGVFLKFHKMKFGWASRLVHYILYFQLDCKKKFELWSLVTTDMKAFWAQMGVNFDRGPSIDEITTVCQMCRTWSRDDRLRLGQEKAPGEYAETDGELEDEKADSILKAMFSSGWAWEQSHWPLVGTKLRKNVKSDAESRKKARESPGLDVETMKGEIVRWLTGLTSNMVEGLSRCDNTLKTQSRMIEGLTTQVGAVEKMDSAEESKGDESDESKGEESKGEESKAAETAPKGMTTRAKARDTQATKLLALWPVLRVSGNVGLLQLSSLLLMETARQSKWRQKVKGSSSHWFYILLTPTNWLIDTHMDAGINLLRLRYTKHPEWFRSDRICMLDAVFTQMWTAKYSEFLASPANPDGSGKLLPPGALDYYTGEEPAYSRSNKTWALEIDDIYEPLLVKNDHWVACWISIPRRRIVIWDSDLAYATDAEIAKAVKPIANMLPYMRLMLSTGAERELYTVDFTHERESGVPQNKQSGDCGVYCLKYIECHALGMPFPPHELCDKKIKTIRSQMASEIFDETRVNGPKKRDYKHLGVYD >A02p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14313547:14318888:1 gene:A02p028280.1_BraROA transcript:A02p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPLIVSDVVEEEAVEIEGIKIPVDTSKPNPNDLEYDNLYLDMNGIIHPCFHPEDRPSPTTFEEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDASDAAAEEEKLREEFEREGRKLPPKIDSQVFDSNVITPGTEFMGVLSIALQYYVHLRLNHDVGWKNIKVILSDANVPGEGEHKIMSYIRLQRNIPGFDPNTRHCLYGLDADLIMLGLATHEVHFSILREVVFTPGQQDKCFLCGQMGHMAADCEGKAKKRAGEFDEKGDGFVKKPYQFLHIWILREYLEFEMRIPNPPFEIDLERIVDDFIFICFFVGNDFLPHMPTLEIREPNLKRVEQFIQAIGSFEDKIFQKRTRLHQRQAERIKGDKARKRRMDDAAPTVQPESLVPVERFSGSRLASAPVPSPFQPSDGVGSAPHQKARRLSSGSSIGAAIVDVENSLEPDENENKEELKTKLKELIREKSDAFNSDTHEEDKVKLGEPGWRERYYEEKFSVTTIEEMERIRKDVVLKYTEGLCWVMHYYMEGVCSWQWFYPYHYAPFASDLKDLGELDIKFELGTPFKPFNQLLGVFPAASSHALPERYRTLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDERRLLEAVSEVEFTLTDEEKRRNSRMCDMLFIATSHRLAELIFSLDNHCRQLSARERIDLKVQIKPELSDGMNGYLTPCSGETHPPIFRSPMEGMEDILANQVICCIYRLPDVHSHITRPPPGVIFPKKVHNTTMSCLLMSMVALSWQNPPGSLSGLHLGDAAHRLVTNSLQTRTDRHGYQAADGHHQQHHHGHGYNQPPYAPQVSYQYGGYNAPPHGVQDYAPPQSRQPPYQSRGGYQPRGSSGRFPSDPYPVQSRGGGHHRDNRGGRGYSGGYNQHQQQQQHWDGQGGEEHYNNQRGYGGGQHHRQQGGDRNRRGGGSHHHHDQGPRHHRY >A08p009230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7314447:7314716:-1 gene:A08p009230.1_BraROA transcript:A08p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDIEKSSLADGICCWTYVSLNKMPRSDRKIDMDPALAGRMSLSRFGQGMEWIDGLHKEQWIGSLICHTAALNGFAQLKDLGFLC >A05p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000021.1:224922:226059:1 gene:A05p029230.1_BraROA transcript:A05p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKRNHFRPNAKKKRKALDDRRFRSVSIRRFDDVFFPFFSCLQMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHLIKEEADADDVPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A07p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17405757:17408918:1 gene:A07p031520.1_BraROA transcript:A07p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGILFGIIFGVAIMAGWARMMTHRTSKRVAKAVDMKLLGSLSREDLIKICGDNFPQWISFPAFEQVKWLNKLLGKMWPFIAEAATMVIRDSVEPLLEDYRPPGITSLKFSKLTLGNVAPKIEGIRVQSFKEGQVTMDVDLRWGGDPNIVLGVTALVASIPIQLKDLQVFTVARVIFQLADEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVDTIVQDMLQWPHRIVVPIGGIPVDLSDLELKPQGKLMVTVVKATNLKNKELIGKSDPYATIHIRPVFKYKTKAIENNLNPVWDQTFELIAEDKETQSLTIEVFDKDVGQDERLGLVKLPLSSLEVGVTKEMELNLLSSLDTLKVKDKKDRGSITLKVHYHEFNKEEQMAALEEEKKIMEERKRLKEAGMIGSTMDAVGSGLGAGVGMVGTGIGAGVGLVGTGVTSGVGMVGSGFGAMGSGLSKAGRFMGRTITGQSSKRSGSSTPVNSETDGSKQQ >A10p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20851847:20852413:1 gene:A10p036710.1_BraROA transcript:A10p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMKETASNIAASAKSGMDKTKATLEEKAEKMTTRDPLQKEMATQKKEGRINEAEMQKREAREHNAVMKEASGAGTGTGLGMGTATHSTTGHVGHGTGTHKMSALPGHGTGQPAGHVVDGTAVTEPIGTNTGTGRTTAHNTRVGGGTTGYGTGGGYTG >A01p056120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31532135:31542481:-1 gene:A01p056120.1_BraROA transcript:A01p056120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTTLVKMSQVEALWERLVNAALRRDRTGEAGGGGGGGCPGEGRDIDDILRAADELQANDPVIARILCEHAYSLAQKLDPNSESVSVLQFRTGLMSVIKQRDEKREVETINRSQDINRLQGFYQRYREKNNVDTLKEEEKQLCESGAFTDELEQKTVERKRVFANLIVLEHVLEQVSKEIFEELKHANDSDAAMSHEDTVAYNTVPFDAPVTANATTAFCEVQAAVTALKYFPGLPKLPAEFPLPATRNDMLDFLHYIFGFQRDSVSNQREHIILLLSNEQSRLNVPGETEHKLDDAAVSNVFLKSLDNYIKWCDYLCIQPSWRNLEAISGEKKLLYLSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQVARPAESCRPVDSCGSEDDVSFLDHVISPLYEVVSAEAFNNGNGRVPHSAWRNYDDFNEYFWSLQSFELGWPWRTSSSFFQKPRPRAKFELKPGREKHRGKTSFVEHRTFLHLYRSFHRLWIFLAMTFQALAIVAFNEKSIASRKTLREILSLGPTYVVMKFSKSVLDVIMMYGAYSTTRRLAVYGMFLRFIWFGLASIFISFLYVRALQEDSKPNSDSVMFTLYVIVIAIYGGVQFFFGILMRIPTCHNIANKCDGWTVVRFFKWMWRQERHYVGSDMYERTSDFIKYLLFWLVVLSAKFSFAYFLQIEPLVSPTRMIVKHGNYNALTLASLWAPIVSIYLLGIHIFYTLVSAFLGFFLGVRDRLGKIRSLEEIHKQFKKFPEAFMRALHVPITDRACDPSHEVVDKKNRVEAAHFAPFWNQIIKCLREEDYITDFEMDLLLMPKNYGSRLVQWPLFLLSSKILLATEIAAESKSQEEIVKRIEKDVYMKYAVEEVYYSLERVLITTLEAEGKIWVDRIFRDIRTSITMRTIHLDFTLKKLSLVITRVTALLGVLKENETPENAAAATKALQNLYDVMRLDILAVDMRGHYDTWNVITRASNEGRLFTKLKWPKDPEMKALVKRLYSLLTIKDYTAPHVPRNLEARRRLQFFTNSLFMDVPQPKPVHQMLSFSVFTPYCSEVVLYSMAELTKRNEDGISILFYLQKIYPDEWKNFLARIGKDENALEGDLHNERDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERKGGRVTDEESTLYGNDPTDAEGFELSPEARAQADLKFTYVVTCQMYGRQKEDQKPEAADIALLMQRNEALRISYIDVVDTLKEGKSHKEYYSKLVKADIDGKDKEIYSIRLPGDPKLGQGKAENLNHAIVFTRGNAVQTIDMNQEDYFEEALKMRNLLEEFDRDHGIRPPTILGIREHVFTGSVSSLASFMSSQETSFVTLGQRVLAKPLKIRMHYSHSDVFDRVFHITRGGVSKASRVINASEYIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMMSFYFTTVGFYFCTMLTVLTLYIFLYGKAYLAFSGVGATIRERAILVNNTAHSAALSVQFLFQIGVFTAVPMILGFILEHGFLQAIVSFTAMQFQLCTVFFTFSLGTRAHYFGRTLLHGGASVCQQYQATRRGFVLKHIKFSENYRLYSRSHFVKGMEVILLLVVYLAYGNDEAGSVSYILLTVSSCFLAFSWLFAPYMFNPSGFERQKVVEDFKEWTKWLFYRGGGIGVEGAESWHYKKTSGY >A03p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2116014:2119376:-1 gene:A03p005060.1_BraROA transcript:A03p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRALNCFPSNRNRDPTKSASLSACRICFTMGDARDNEAYEEELLDYEEEDEKVLDSGNKVNGDAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKYGGYILLINGSFGAIFFGSPSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTPGQVSALILCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNNKIHKELLKNECPHIVVGTPGRVLGLARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAGELNKLLIECNFPSICIHSGMSQEERLTHYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQDRFEVDIKELPEQIDTSTYMPS >A05p050040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28976021:28980894:1 gene:A05p050040.1_BraROA transcript:A05p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATMDLNASPQPEEDDEPYVRHLEDYSSRDDRIESAVEIARRERDERRKRMRYDKPTHNSQPAYRDQYYQNRNTKAYDRYKIPQGWLDCPPSGHEIGFLVPSKVPLNEAYNNHVPPGSRYSFKQVVHNQRIAGRKLGLVIDLTNTTRYYSTTDLKKEGIKHVKIACKGRDSVPDNVSVNTFVNEVNQFVLNLKHSKKYVLVHCTHGHNRTGFMIVHYLMRSGPMNVTQALKIFSDARPPGIYKPDYIDALYTFYHEIKPESVICPSTPEWKRSTELDLNGEAVPDDDDDDGGPAGPVQEEPHQVEVKMSNDDVLGDEIPPDQEEGYRHFFYRMLSLNIGGRGCSQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLLTMDGCYLVDRSFRFRRVQMRFPFKHPTEGISDKVHHFTLLDGEMIIDTLPDKQRQERRYLIYDMVAINGQSVVERPFYERWKMLEKEVIDPRNHEKARSHIYRYDLEPFRVRRKDFWLLSAVEKVLKGFIPSLSHEADGLIFQGWDDPYVARTHEGLLKWKYPEMNSVDFLYEQDESGRGMLSLFERGKKKHMDGNNVVFRDDSDPAEYSGKIVECSWDQDEQVWVSMRVRVDKSTPNDINTYRKVMRSIKDNITEEVLLQEIREIIRLPMYADRIQMDSKAARRR >A09p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2539177:2539680:-1 gene:A09p004430.1_BraROA transcript:A09p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSQVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKK >A05p047320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27899334:27901224:1 gene:A05p047320.1_BraROA transcript:A05p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARKRGRPEAGSFNSNGGGFKKSKQEMESGLGSKSKPCTKFFSTSGCPFGENCHFLHFVPGGYNPMAQMTNMGSPMSQVSRNMQGSGGGGGGGGRFSGRGESGPGHVSSFGASATAKISVDASLAGAIIGKGGVCSKQICRQTGAKLSIQDHERDPNLKNIELEGTFEQINEASAMVRELIGRLNSASRRPPGGGGGGGGLGSEGKPHPGSNFKTKMCERFSKGSCTFGDRCHFAHGEAELRRS >A01g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2199989:2202857:1 gene:A01g500490.1_BraROA transcript:A01g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLMMIARFFWLVLVFDLVLRTSGNAEGDALSALKNSLSDPNKVLQSWDATLVTPCTWFHVTCNSENSVTRVDLGNANLSGQLVTQLGQLPNLQYLELYSNNITGPIPEQLGNLTELVSLDLYLNNLSGPIPSSLGRLQKLRFLRLNNNSLSGEIPRSLTAVLSLQVLDLSNTRLTGDIPVNGSFSLFTPISFANTNLTPLPASPPPPISPTPPSPAAEEDPEVKGLLKEKKLEALVDVDLQGNYIDEEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFSYQNYNQPNTAWLIGDSTSHIENDYPSGPR >A09p062300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51032730:51034294:-1 gene:A09p062300.1_BraROA transcript:A09p062300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSDFKAVHRFIQLHYTRVVNPVTVSRSKLFVSSSPAAFPSNGFTQFHPKSSFHNFSSIPTTKSLSFYQICGLASAKPGVKNVNFASALRLFSTSGFRKVDGSFARKVVEKPMKAVSSSVGRYRVALGLHVDAFWKKNSLVVFGAGGVFVCIFLWRIMFGIASTFVGLSEGMAKYGFLALSSAIVAFAGLYLRARFTINPDKVYRIAMRKLNTAANILKLWFKPTIRSKRCFLLFPVQGAERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEEEYRVGGGLISELRDPVVKAMAAAKEFDNLDRIEDEEDEERELQEAERKQREETEKLEKDSS >A08p023390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15411055:15412391:-1 gene:A08p023390.1_BraROA transcript:A08p023390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDATELGSEKKPNPGKATILAIGKAFPQQLVMQEYLVDGYFKTTNCDDPELKQKLTRLCKTTTVKTRYVVMSEEILNKYPELAIEGGSTVKQRLDICNDAVTEMAVEASRACIKNWGRSISDITHLVYVSSSEARLPGGDLFLAKGLGLSPETHRVLLYFVGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIIGSDPDPILEKPLFELHTAIQNFLPDTEKTIDGKLTEQGINFTLSRELPQIIEDNVESFCKKLIGKAGLAHKDYNQMFWAVHPGGPAILNRMEKRLNLSPEKLSPSRRALMDYGNASSNSIVYVLEYMLEESRKARNMNEGGNEWGLILAFGPGVTFEGIVARNLDV >A05p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4554226:4554609:-1 gene:A05p010730.1_BraROA transcript:A05p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSQQTFDHGKNDHNPTNCDHLSNFTTNGYLVFKVSSKGCGDFTKVQDVIDAITPSSQVKNLILIDYGIYMERVLVPSNKMNLSDFTNIYNNHDA >A03p044580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18618145:18618841:-1 gene:A03p044580.1_BraROA transcript:A03p044580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLNNMMLHGFGLVCLFMTVNKAYAREFAVGGAKGWTVPSGSQVYSQWAEQSRFQIGDSLLFVYQPNQDSVLQVTRDAYDSCNTDAPTDKFADGKTSFALTHSGPYYFISGNKDHCNKNEKLVVIVMADRSGNNNTTSPSPPSPAPAPSGEYAPSPPMEGALEPPAATPTPSQETPNNAASLSSSFIVALLGAALASTLFLH >A05p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23679780:23681293:-1 gene:A05p038610.1_BraROA transcript:A05p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPHIDPNTNLPTEEYTKSISEFMTLVMNMVVLANLRFKKSHNGISKSINRMMYSMLRTGYSTYRTSYTWWSPRKKHFELLSLSMMTIPQLPTTCPEFE >A01p021030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10274825:10278758:1 gene:A01p021030.1_BraROA transcript:A01p021030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSVLFSALGVGVGLGLGLASGQGLGKWANGSVAAEDELTGEKIEQELVRQIFDISKHTRNLAPASKAILLSGPAEFYQQMLAKALAHYFESKLLLLDVTDFSIKMQSKYGYTKKEPCHKRSISEMTLDKMSNLMESFSMLTQREETRGTLRRLTSGNDLTSRGFEGSSHPNRLKRNASAASDISSISSRSASSVSASSKRSTNLCFDEKLFLQSLYKVLVSVSETNPIIIYLRDVEKLIQSERFYKLFQRLLTKLSGPVLLLGSRLLEPEDDCQEVGEGISALFPYNIEIRPPEDESQLMSWKTRFEDDMKLIQFQDNKNHIAEVLAANDLECDDLGSICHADTMFLSSHIEEIVVSAISYHLMNNKEPEYKNGRLVISSNSLSHGLSIFQEGSKYPENSLKLDRNTDSKGEESEEIVKSESKSETVPANKDDLESSIPAAKNECPLPPKAPEVAPDNEFEKRIRPEVIPANEIGVTFADIGSLDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMMAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRAGEHEAMRKIKNEFMTHWDGLMSNSGDRILVLAATNRPFDLDEAIIRRFERRIMVGLPSVESREKILRTLLSKEKTENLDFHELAQMTDGYSGSDLKNFCTTAAYRPVRELIKQECLKDQERKKREEPEKSSEEGSEEKEEASEERVITLRALSMEDMRVAKSQVAASFAAEGAGMNELKQWNDLYGEGGSRKKEQLSYFL >A07g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16052889:16053626:-1 gene:A07g506600.1_BraROA transcript:A07g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDQDLLVADLLSTETREWNVARIKDILPELSHLILKIKPSTLGGEDALIWPLNNTGEYTTKSALILSTTKRNLPPTGITINLLPWICWFLWISRNQLTFENRRSSPAALITKGLKAAQEWELAQSPTAPTLSKSHPPP >A08p037310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21772311:21777912:-1 gene:A08p037310.1_BraROA transcript:A08p037310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPAGSQDLFDAYFRRADLDGDGRISGAEAVAFFQGSNLPKNVLAQVWSYADAKKAGYLGRAEFYNALKLVTVAQSRRELTPEIVKAAIYSPASANIPAPKINLAATPSPQPRGVVPATQAQGGASLPSVPAGMRVPQMGGTLSTSNQQGQQNQFNGPPPSQPQKNFQSQGMPAGGTSAHRPATQPMPSDWLSGRSVGPSGHVNSQIPSNQSGYGLTAPNSIANNIPKPHMTPAVISSTTARPQESAPVHKPQDSSAPSDAPSNQLVAKDPKELAASGNGFPSDSLFGDVFTVASSQPKQHSTGTMSTMGISSSSGTTGSTVGVGLAASSQMTQRQSQPQPRPQHQPETAPTAAPSRPHPQPQLQPQHQPHPHPQAPWPRMNPADVQKYTKVFVQVDTDRDGKITGNQARNLFLSWKLPREALKQVWDLSDQDNDSMLSLREFCIAVYLMERYREGRPLPPVFPNTIISSESMFTSPSQSVAPQGNASWGHTHGQFQGASRPPAIPKGKPPRPVPLSPSDGMVQSTQPKRKMPELEKHLVDDLEKEIADSKQKIEFFHAKMQELVLYKSRCDNRYNEITERVSGDKRELESLAKKYEEKYKKSGNVGSKLTIEEATFRDIQEKKMELYQAIVKFEEGKLDDNIVKERTEHIQSGLEELIKNLNERCKQYGVRGKPTSLVELPFGWQFGIQECAADWDEDWDKLEEEGFTFVKELTLDVQNVIAPPKEKSSTWKKEATVSSNEGENLSSSDVESKKEKNPSSGEEASGHVDGKTDRNGSLDDSIVRKGVEADGSPGTKDTRSENGHDDGESTASAGKTANEPSYDSHDETDSVSSFNPDNGKDKKHDSDFGFGFGFDDFSIKPIKTGSTLSNDFLPPKLSIFSDSVPSPPANATDGFTAKPSLFADSVPSTPATITASYSGNKSYFDESVPSTPAYAGKSFFDDSVPSTPAYPGNLFPEKKSFFDDSVPSTPAYSTSDFGGKPFASETPRSDNLFPGRSPFMFDSVPSTPAAHDDFSSNSFSRFDSFNSNNNNDAFSLSRSDSMRSTSEPDPFASRFDSFNYQRYDSFNAQSYDNNNASETPKASLTRFDSIGSTRDSDYGHGFGFDDHDPFGSTGPFKTTTSTAETPRSSDHWNAF >A09g502760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9276395:9276643:-1 gene:A09g502760.1_BraROA transcript:A09g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAELRCIIWTMTSLVDIGATNVIIASDYNEVLEAIKAPLQWPRLRDLLQQVIKLKEKFTMVVLEEEKIATNGIAREIAKSC >A06p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6201820:6204757:1 gene:A06p013720.1_BraROA transcript:A06p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase GAPCP2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G16300) UniProtKB/Swiss-Prot;Acc:Q5E924] MAYSSLLRSAATSAATSAAAPRVELHTSPSFGHSQVTSSLGFSHNLTSSRFSVSTKSSSSLQKCSARSVQPIKATATEAPPAVYRSRSSGKTKVGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGNYKGTINVIDDSTLEINGKEVKVVSKRDPAEIPWADLGADYVVESSGVFTTLAKASSHLKGGAKKVIISAPSADAPMFVVGVNERTYKPNMDIVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKDASYEDVKAAIKFASEGPLRGIMGYTEEDVVSSDFVGDSRSSIFDANAGIGLSKSFMKLVSWYDNEWGYSNRVLDLIEHMALVSAIR >A05p035570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20153468:20155061:-1 gene:A05p035570.1_BraROA transcript:A05p035570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGGAKAASSLLKAARPRLFSTATTSTIRSMYNETSHLLRPAVADLASPSGMSGWIWTTRAPAMGGVRFASTVTLGEKTTTTEANQKKAENESSTGGDAGGNKGGKGIASYWGVEPSKITKEDGTEWKWNCFRPWETYKADLTIDLSKHHVPTTFLDRLAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAIDYWRLPADATLRDVVMVVRADEAHHRDVNHFASDIHYQGRELKEAPAPIGYH >A08g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6416474:6421740:1 gene:A08g503670.1_BraROA transcript:A08g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNDDFNYSEVNPDRPTTHTGAPESTPRDGENVAATGTASVGLGQNLDEGERGGGLSPGKQTDSTDGAEFRAETGGEHGGDSDIGHDPINVENPSPSVNVVSPDNSDAANPPSRVDVDQVNVSSECRVDDPLSCVVNKILSEAGIDKTSADVIPEKVGLDGVHDDRGEVAVGNKGEDVDEDDVTITKVHAGRVNTDAAGGQADGGRRFSKRTHTSTKRYTPPASAVRKKEGNKKVARQMDDNPPPPKRVKKVAVEPSNPKPRPQEKPTFIGGFSPFTPPTPAAREAFLKTMSEAKSNAPSLGSIISIASLDDVFNCTGVCSYEVVDRVVGWIRNMHDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSTFTFPVSLRSQFMHRPQWFTQVDFIYTLILVKDRHWIGMIVDLPMWAIYVVDANQTCPPISVVKDVVNLISIMMPHMISRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALILLEIAAVGKPLIDMALTEEEVRVAAENYAISTLGMFKVVPPNPAF >A05p018380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8435824:8443053:-1 gene:A05p018380.1_BraROA transcript:A05p018380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGSDFFQDDCDSIAAEMKDQEERIQLKRRWLLGLDTPKPEFLQSEDHTPEKTEFLESECLPESLLREDDLFYETAKSRVEEAFGFCKNQEAQQKESMLCSKDVVRRLSMYLDSLTNEGLSLVVKIITGGSTSFDKTRPKMKQVIRESLRTDLRERDIVEQLHQALGDSENFRNDSAKPMFPSHRDAALKVLDELDKLSTQTLLAMKRKLEGSVTTIPRLKPSKTGEKKMDLIDRVKQASEKMLSELSAGDKLQEPLAKAMSLVDLSLKLSPGYNKTKAPTDFFHFSPETKKLQKEIVKAVWSLRTARIDAAFERVGLILDPEAQISKNCLRSAVERMLIEYLFECCDMDVIPKSLTNALSLVNKSTRTVDHRVFPGEAVEEETECILNVSAQVKQIVWQCIPDYELDQDYGDAYMEELEDSDDDYSQDDADQDEVGAECSVLNSTVSSDETNQHHISSSLVIRDLTESITRAHPMSLFATPTSSNFTPVRDNQISPRSLYSVENIKSDDHGAQNPIKRKNKYLAVQEICDDTSLVAYSLIGRLLEKFADQKGLDLKVDQRSYLRGGESSLQEDVEVSEEKQALQFQGKLDESITLSAVQEIIPSLDKSRESKKEEETKFAMENLLGLLRIHVKRGVNLAIRDISSSDPYVVVHSGKQKLKTHVIKHSVNPEWNDDLTLSVTDPNLPIKLTVYDKDLFSADDKMGEAEFSIATYLEAVKYRPKVEGGLPNGTIIMKIQPTRQNCLSEESHIVWNQGKLVQNMFLRLQHVECGEVEIQLEWIDVPGSKDMTASAQSFLQEKPLNPTQKLLCILIECRKTCNNVELQSVFFFGGELSFSVAACVGPPSVGPWRQEALLFGGVVYSLPVTALTVSFSLFLFYTAPVLSSRRSSLDCFNGALLMVLFGVKAEA >A10p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20069223:20070237:-1 gene:A10p034550.1_BraROA transcript:A10p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQLVSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRHQLTEFELCVLGNLCPETAEEAVAMVPSLKTKGRAHSDEAIEKMLNDLSLVKRFE >A05p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21269085:21271701:-1 gene:A05p037450.1_BraROA transcript:A05p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLGFPLSPNHSSLPPHEYNIGLVSDHMDNPFQTQEWNMINPHGGGGEVPKVADFLGVSKPDENQSDHIVAYNDSGYYFHTTNSLMPSVQSNDVVVACDSNNPNDSYELQESAHNLQSLTLSMGTTGGNNAVAKALPPEVTGDNTSGGALAVAETATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDKTCRREGQSRKGRQGGYDKEDKAARSYDLAALKYWGPSTTTNFPITNYEKELEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEMNRYDVKAILESSTLPIGGGAAKRLKEAQALESSRKREAEMIALGSSFQYGSGSSSSRLQLQPYPLSIQQPLEPFLSLQNNDISHYNTNSHDTSSFNHNSYIQTQLHLHQQTNNYLQQQSGQNSQQLYNAYLQSNPDLLHGLVSTSTVDNNNNGGSSGSYNTAAFLGNHGIGIGTSSTVGLTGEFPAVKTDYDMASSDGNGGYGGWTGESVQGSNPGGVFTMWNE >A02p017600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7947768:7948535:-1 gene:A02p017600.1_BraROA transcript:A02p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPSLLSYTCIAKGTVVLAEFASKEEPGIEDVALRCIENTPPHHSMFSHTVRNKTYTFAIDDDSSLVYFAITDEAMEKPESFRILNRLRSAVDGSDALMDPSPRCLQAKMDPVFAEIVGGGGVDVDLELDMDLVVARESRNLSIDSTKGRRAALMPLLGKPLKALKKKKRLLHADDSGDVGVVDAASEKKVDLCGNGGVLRKELRNGLLTDHHKAKQMWKKHVWVVLMFDFCICAVLFGIWLWVCQGFQCVNG >A01g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20495842:20499442:-1 gene:A01g507020.1_BraROA transcript:A01g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TENALDAAEGISREAERRGCPASIVSNDEFDSVWQGDSPDSFKEFWRFLLQRNLGNSWLQRVRFAVFGLGDSGYQKYIISQMVQIERSGATTDVMKNYFIGSVLSGGGSVPSPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNMNGATIFLHNVGLGVTRQSNHIVSLKVLFKTQLQQSQVEHQLRREVEIRSHLRNLNILRLYGYFYYQKRVYLILEYAARGKLYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGSQSSGCVACYREPRSTKTPLNDPLSNSVSQTVKNLSSLKISDQQAQLIWITSPFLLREEAYHPARLLNLNLFPETQIRCLSLPSSLESDNWSAASYDSLKNAEVMRPLITVSSLSRAALWNGALRSKNAHFVQHPTPTQVYFVCTTDF >A09p009760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5011781:5015584:-1 gene:A09p009760.1_BraROA transcript:A09p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase DPE1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G64860) UniProtKB/Swiss-Prot;Acc:Q9LV91] MTTILISSPSLKLLRSPPSRESPIGAAFLGNRPKASRPLSLRLRMEAVSSTSVSSISVGEDFPLDYEQWMPVTDPDSRRRAGVLLHPTSFRSPHGIGDLGEESFRFIDWLHSTGCSVWQLVKDGLLMKDELPQPIEADSVNYQTANKLKSPLITKAAKRLIGDNGELKRKLQDFRTDPSISCWLEDAAYFAAIDNTLNSYSWFEWPEPLKNRHLSAMEAIYESQKEFIDLFIAKQFLFQRQWQKVREYARSKGVNIMGDMPIYVGYHSADVWANKKHFLLNRKGFPLLVSGVPPDLFSETGQLWGSPLYDWKAMERERFSWWVHRIRRAQDLYDECRIDHFRGFAGFWAVPSEAKVATVGRWKVGPGKSLFDAISSGVGKIKIIAEDLGVITEDVVELRRSIGAPGMAVLQFAFGGGADNPHLPHNHEVNQVVYSGTHDNDTIRGWWDTLDQEEKSKAMKYLSIGEEDDISWSLIKAAFSSVAQTSIIPMQDILGLGSSARMNTPATEVGNWGWRIPSSTNFDHLQTESDRLRSLLSLYGRL >A03p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29542808:29545283:-1 gene:A03p008230.1_BraROA transcript:A03p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVMMMVLVLVTVECDLKASNIPFSPSSASLLEDEVILPQEVLNLFERKKVLSEMTQKICMVMMMVMVLVTMECATINQATEGYGSCITECVEKCGTDEHCRYHCRWICPKPHLPQVILKEETILPQQGRNAICYRNCLIKCGNNEACMHACLEKCLH >A09p065010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52164761:52167961:1 gene:A09p065010.1_BraROA transcript:A09p065010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 3 [Source:Projected from Arabidopsis thaliana (AT3G59550) UniProtKB/Swiss-Prot;Acc:Q9FQ19] MFYSHVYLARKGPLGTVWAAAHLQQRLKKSHYTATNIPKTVDLIMFPEAPLALRLSGHLLLGVVRIYSKQVDYLFRDCALVTSWLSKSFVSTQVDLPEDARQAPVESVTLPQALNLDDFQLDDDTQEGEYDNHLRSQEDITLTDQIPTGVDPYVAITFDDDLSSGPSPMDVDQATEPVSAHPGDTDVEMTFETEVNNERGDFNVGRNDTEEIPEFQDPRPSNLTEPLNLSPERGNANSPGSVPEVEIRRDAAAAHGLSPASHLPFATEHTEPLDETMNEKEPSIPNLDDEVLSSRGQAFELRSGSPGFAGFEEERDNFVHPSPQLALQPTPPPPPPAQQPRPRKRKHFDKVTVLTNRIMKERLEDPSDILRKRKKMPSSKLSMWRMNNQAKKDQIFSEPLLTGCSDALRSVFDKDCVSSKPNLAVPNESVPEPAPVSSPTREAEAEVRPTSSVPQSPIPDSTHPDTTLQQSPVRQTEDIQDSAGPQSARGESVPREAQSPPPFNDDDTGIERFRDGGYTDFMPSPPPRFSPSSRTDDFTTQTSRAWETESYRTEPSTSAYRDDMPGLMNSGISAIPEMADEELYFLDVGGNTPVRSPASQDSDALTGRTRALAQYLKERSSSGPSSSSSSGDLSLGKILEGKTRKLAARMFFETLVLKSRGLIDMQQEQPYSDITLKLMPGLFSKVQQ >A03p074680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:124586:124924:-1 gene:A03p074680.1_BraROA transcript:A03p074680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPIRPRTSSSTTIGPRTSQARSIRGDQACTQPGRYVATELEPKLGRYIATELEPKLGRYVATEARAKVVASVASERLVPLRLRLRSDRAQAKARSLRSDRARAKIRSLCSD >A08p013280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8299154:8301577:1 gene:A08p013280.1_BraROA transcript:A08p013280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKENSSHHHAEAKRKRLIWILCVSGFCILSYVLGSWQTNTVPSSSSAVYKRMGCDETKTQTKLSSSADNDDGNLSSSSSLSSSSSSEPVELDFESHHKLELKQKNQTIKYLEPCDMSLSEYTPCEDRERGRRFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGERFRFPGGGTGFPRGADAYIDDISRLIPLTDGAVRTAIDTGCGVASFGAYLLKRDILAMSFAPRDTHEAQVQFALERGVPAIIGIMGSIRLPYPARAFDLAHCSRCLIPWFQNDGLYLTEVDRVLRPGGYWILSGPPINWKQHWKGWERSQEDLKQEQDSIENAARSLCWKKVTEKGDFSIWQKPINHIECKKLKRVHKSPPICTKAVQPDSAWYKELESCVTPLPEAKSPDEFAGGALENWPDRAFAVPPRIIQGTIPEISAEIFREDNEVWKDRVAYYKQIMPELSRGRFRNIMDMNAYLGGFAAAIVKYPSWVMNVVPVDAEKQTLGVIYERGFIGTYQDWCEGFSTYPRTYDLIHAGGLFSIYENRCDVTLILLEMDRILRPEGTVVFRDTVEMLTKIQSITNGMKWTSRIMDHEKGPFIPEKILLAVKSYWTGPSS >A08p031670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19334673:19335320:-1 gene:A08p031670.1_BraROA transcript:A08p031670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQRTSSTPPKEQMPQAAEKKGNPSQSPATTTNVYVRRKVETDASKDTVTKQQPKASSSSIVPPAPEWEERYHHLQMLLNKLNDSDQTDHHLHTLWSSSSAELSKHAVDLEKRSIQLSLEEAREMQRVAALNVLGRSVNTLKSTSNEER >A06p017800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8017121:8017705:-1 gene:A06p017800.1_BraROA transcript:A06p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISVQRSSSGKQVSGMRPFKNPRRRSSRGSLSRSGGSLALPNNPASSWGSSPAYPTPPANYSQPPLLPLPRVNSSTLPLQRVKSSHPRVNSSTLYWAQTRATSETQQKKVDYVQSVPRLTRTGSVPVWSNNPCDYPKGFDGYPGPAILLLSPPPSSLPMPKFSIKPKLRCNAEAAGKTDLATDNIRRVLQLR >A05p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8214833:8216022:1 gene:A05p018000.1_BraROA transcript:A05p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRLVQGSLLKKVLESVKDLVNDANFDCSSTGFSLQAMDSSHVALVSLLLKSEGFEHYRCDRNLSMGMNLGNMSKMLKCAGNDDIITIKADDGGDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPDAEYHSIVRMPSAEFSRICKDLSSIGDTVVISVTKEGVKFSTAGDIGTANIVLRQNTTVDKPEDAIVIEMNEPVSLSFALRYMNSFTKATPLSDTVTISLSSELPVVVEYKVAEMGYIRYYLAPKIEEEEDTNA >A09g510530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31925304:31930620:-1 gene:A09g510530.1_BraROA transcript:A09g510530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFRAATQLGLAVLGLLELGISLTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVPTEDCLSPVGCNFRKNNLYEVFTKKYPLGFKPNDRPAWSLRSDRARAKTRSLRSDRDRAKARSLRSDRALVPLGRYVATELEPKLGRYVATERSSRSRPSDHPARSLRSDRARAKARSLRSERARSLRSDRAIVPLGRCVATELEPKLGRYVATERSSRSVATCDRARAKARSLHSDRARAKKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHIMVHAWPTRKDKFSKRRDVIFVKITYTRFLRRSILWDSNRTIVPLGRYVATELEPKLGRYVATELEPKLGRYVATERSSRSDEAIVPLVVATNRFFCDRARRQSSIAYVVTDAIISARVTTSDRARAPKARDRRGSSARSLRSDRARGKLGRYVATEQISRSVDYVVTELEPKLRSQTIAPGPDPSLVARLKTFTKSIRTPVLASRSNLRMLSFPTNVKDQVPVFPLFKRGKLVETFESEDGQKGTLQHRLEANFPYDFHHNLKAPL >A09g518210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55619719:55624002:-1 gene:A09g518210.1_BraROA transcript:A09g518210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDQNKMHARGDMNGLFPQDPGQVRTYLKFFFSYWINEPKSFKKERIAALNKITLLFTIGL >A06p009310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3269588:3270325:1 gene:A06p009310.1_BraROA transcript:A06p009310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKEMESELGFLVSVLIICADITAAVLGIEAEIAQSKQQQHHHARQQHSRHTGCPRSPSSGAFAEGVAAMVLLSIVHVTANVLGGCTYIRSKQDFTRATANKILAVALLVISWIFFAVSYSTLMLATLANSRSNRFCSLPHRWLFLIGGIFCLGHGLVTSGYYVSAIAAKKEDKENVQPANTSSA >A03p062280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27083225:27086303:1 gene:A03p062280.1_BraROA transcript:A03p062280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEVMELTTGTEKHHQEVEMKEEDDQVVGLERKTSSLAHEKRPDSADSSTITTTNTSSSSSFSGDGERVTIESLEVYESAEKEIGFHGEHDDVNNGNVYLDVHQGSESSDAESDDHESQTVDHLNGKKKVTEFEKGSSSEESKGSREIDEVQDGDIPEEVEVIEEEEVDIEDVKDYKAKELVENQKTPDLLCPKCKICITKVVVLKKRVLLRKKVAHKKNKQSNPNVPERPAATRPSGTDDNLRSEDNMKSAVSSENPITGSFFYKCLSCFSIFNIEPIVVGSNPVPPSEVNVGINTQLKPPQEPTGCSNWIVSMIGIKKNEQLEDKAMPSVPEANRPRDNHTPLVVDTAAPSPVQDDAVTLIQGKVRLSPIQPQHDVNVPKAITSGPNDSRVDIRQLPVSSLEGETLDDPLLPPVVERRKPEILKSIVYGGLTEAITSLGVISSAAGSGASTLNILVLGLANLFGGLILIIHNLQELREEEPIIETTANNGEEETRYKRLLGRRENFMLHATLAIVSFIITGLLPPVVYYFSFRETHNKDYKVASVFGASLICITFLALAKAHVRNPRGSYLKSVLYYATNAVSVSGITYVVGNVVNQLLEKYGWSDGSETPAGEMMLSLMGRKAGGFGYSSSY >A10p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4564231:4567714:1 gene:A10p013730.1_BraROA transcript:A10p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDLGPFSDEKFDAKRWVNSSCQARHPQDSLEKHLVDLEMKLQIASEEIGSSLEEQSGSALLRVPRATRDVLRLRDDAVSLRSSVAGILQKLKKAEGSSADCIATLARVDSVKQRMEAAYKTLQDAAGLTQLSSTVEDVFASGDLPRAAETLASMRNCLSAVGEVAEFANVRKQLEVLEDRLEAMVQPRLTDALTYHKVDVAQDLREILIRIGRFKSLELQYSKVRLKPIKQLWDDFDTKQRPNKLASERSETQPLSSGGDELHLTSFASWLPSFYDELLLYLEQEWKWCMVAFPDDYMTLVPKLLVETMGVLGSSFVSRLNLATGDAVPETKALAKGVMDLLSGDLPKGINIQTKHLEALIDLHNVTGSFARNIQHLFAESELRVLIDTLKAVYSPFESFKQKYGKMERAILSSEIAVVDLRGAVTRGVGAQGIELSETVRRMEESVPQVVVLLEAAVERCIGFTGGSEADELILAIDDTMLQYISMLQETLKSLRVVFGVDGTGDGVSSKKDGSAEKSSRKMDLSSNEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLNSSLSISLFGTNLDQNLSHLTSEQTAGHLSMAGRASLDVAAIRLVDVPEKARKLLNLLEQSKDPRFHALPLASQRVAAFADTVNELVYDILISKVRQRLGEVSRLPIWSSVEEQTAFALPNFSSYPQAYVTSVGEYLLTLPQQLEPLAEGISTNGDSNNEDAQFFATEWMFKVAEGATALYMEQLRGIQYISDRGAQQLCVDIEYLSNVLAALSMPIPPVLATFQTCLATPRDELKDVMKSDAGSELDFPTANLVCKMRRISFD >A08p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1336309:1336918:1 gene:A08p002370.1_BraROA transcript:A08p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHNRSERFDLGLIYMFFRSGFDIHVFSEITSKKSYNRLLGSLSEEVFQKKSFDGVFFHIKCSLSLSL >A02p057510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34569611:34572215:-1 gene:A02p057510.1_BraROA transcript:A02p057510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRALSVRSTLTSLRKQLPSYHIIIRENNHAEDSFTSQRSYDSFLHHQLPLAPTSGGSAFAFYRYMSSAHGVGSEKIGVVSDIAGVITDSTLQDGSAQAAAVANAVSEVGVAASDSFLPIAALQHCIDMVHSFTGFEWASVVVATILIRSSTVPLLIKQMKDTTKLSLMRPRLESIREEMQSKGMDHVTMAEGQKKMKNLFKEYGVTPFTPMKGMLIQGPLFISFFLAKVPSFQTGGALWFTDLTAPDSLYILPVITALTFLITVECNAQEGMEGNPMAGTVKNVCRGFALLTVPMTMSFPQAIFCYWITSNLFSLTYGLVIKRPRVKKLLKIPELPPPPPGQQPSFDLFAALKKMKAMTQDRTQNETQSPSPVVNPRLSSLSPVSKRLKALESQVKGRKKNSSKKR >A08p025830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16585282:16593427:-1 gene:A08p025830.1_BraROA transcript:A08p025830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKINSFFKPSSSPPIAPPVTPETDDGLTAWENNRNVIVNTYERRSAKADRSEVPKECIGKPPRKGPSFAPKTLNKKRSYTQFHLELGQSDFLLRHCVECGATYAPGDELDEKSHQSFHKDYMNGIPFKGWQNERAFTSPSLDKNRVVMVLENDSPAHRNKVQEVVKMMEVELGEDWILHRHCKVYLFVSSQRISGCLVAEPIKEAFKIISPLDDKRQLKKKRSSPSTTIHFGDIVLQREVSKRCPESDDRLDNRAIVCEEEAKPAVCGVRAIWVSPSHRRKGLATQLLDTARQSFSNGCVLEKSQVAFSQPSSLGRAFGFNYFGTSSFLVYKAQLSTLDLKQVWNFMAALQHVCENHLQAKTFCSQVRINWDDLVCPICLDSPHNGVLLQCSSYDNGCRAFVCNTDHLHSNCLDRFITAYGTDPPPPPPDEPRSKVLEESCKPLCPLCRGEVTGWVIVEEARLRLDEKQRCCEEERCRFTGTYTELRKHAQSEHPDSRPSKIDPARKLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDVASNEGSWWTSCIFYKMFDNIRNARNRRRARRGGSSRSSYDNSNSDDSSVASVEFPEYRVDEIDDEFITTTSGVNRSNSPRTGGKIVRPRRTSVVRTPYDRPAPRSRDPPQQNPSWISRLVYKPATAIASGAGKFISSVVFSESSSSSSEGEDSSSDIEGDEDVEKNITEFAEDETMVELLTTLVVYVNVLNVDLVNAQQSTIQRLGSKRVIEQLLMQETFAREEGDRLIDIIKARVVDHPSALASNEGRHSDNGLTSEVNAGEMSSKAVMEAKRWLEEKKSASNSKSKATEDGAGSPVDVARSYMRSRLPLGSPAANNSEFRSPLPAGMQFLNEGTPFPYSAGNLSSSKMKRRSRSNPSWNIQDEIRKVRAKATEQMLKTVSPSSVAPLEPKHITYVDGALRNEQSGVPPESTIPTSEHNQTTEANQDVEETGVRSRGVVLDMKLISTQGVKTSEDTTAPQSRTGVHDDLIQPSSTIGDTTNAVLALGATGNLCIPKDVFETSKEADEVGAAHPASNGFPSSSPSSPVAMEGEPKPKPPDETEASQHVAETPDDVSDGTIDKENNNSDSSGSHEEEWLPGDQSLPSSNSASSSPDTSKVLAYTRRGRGRGRGRGRGKGRGK >A08p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17342949:17345940:-1 gene:A08p027470.1_BraROA transcript:A08p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIFLIISDSSSFPENQRMKSLCSGEQMRLLDEQDNKIRGLVVNSALSASAAEKVDNVNYDELELSLRETSSLNHEEASAFLGRIEYQKGNIEVALRVFERIDINGITIKMKTALTVRQEPKHRRRSKTSFAPPPPPMSKHTVYLLFDAIFLKAKSLQRLARFQEAAQSCKVILDIVEASLLENVIGDIKLKETLTKAVELLPQLWKLADSPRDAILSFRRALLNHWKLDPDTRARIQKEYAVFLLYSGKEAVPPNLRSQTEGAFIPRNNVEEAILLLLLLLRKVNQKRISWDAAILDHLTFALTVAGDLTALAKQLEKLRPEILDQRELYYTLSLCYHGAGEDLVALGLLRKLEDPNRVSGLLMASKICGERSGLAEEGIDYARRAMGSLGNECVQLDSAARLVLGVALTESSRASATETERVARLSEGMQALESADMTDPRVLHRLALEKAEERKLDSALAYAKHALKLGAESDLEVWLLLARVLSAHKRFQDAETIVDAALNETGRWEQGKLLRLKAKLRVAKGEVKDGIESYTQLLALLQVQSKSFSSVKKMPKEGYDVEGLRSLELGTWHDLAHIYINLSQWRDAETCLSRSRLIGPYSPARYHTEGVLYKRQGQLEEAMEAFTTALDIDPVHVPSLVSKAEILMELGNGSVVRSFLMEALRIDRLNHTAWYILGKMFKAEGSVSSMQEAVECFQAAVTLEETMPVEPFR >A03p069310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30484080:30487959:1 gene:A03p069310.1_BraROA transcript:A03p069310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVLYLNENMPLPDFSPYTLGIGTFAPVLVDAASLVVSSASWSWSASSSANHSPYAYQGTDQPWGQTVETKNSLHIVSELEKPKTQTGKLPQGREIAMKRVSHNGDEGVKQFVAEVVSMRCLKHRNLVPLFGYCRRKRELLLVSEYMPNGSLDEHLTRGWEMSFHQRKWRWL >A04g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21926507:21928871:1 gene:A04g508400.1_BraROA transcript:A04g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPSAPGSSGYLDMYPERRMSYFGNSYILGLTVTAGIGGLLFGYDTGVISGALLYIKDDFDVVKQRVGVASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLINSAFTQVPGTWRWMLGVSGVPAVVQFVLMMFMPESPRWLFMKNRKEEAIQVLTRMYDISRLEDEIDHLSAAEEEEKQRKHTVSYLEAFQQFTGINTVMYYSPTIVQMAGFHSNQLALLLSLIVAAMNAAGTVVGIYFIDHCGRKKLALSSLCGVIISLIILSVSFFKQSDASSSDGGLYGWLAVLGLALYIAFFAPGMGPVPWTVNSEIYPQQYRGICGGMSATVNWISNLIVAQTFLSVAEAAGTGVTFLILAGIAVLAAVFVIVFVPETQGLTFSEVEQIWKERAWGKNSGWGSSSESNDMDGLLEQGS >A08p016270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10575397:10576079:-1 gene:A08p016270.1_BraROA transcript:A08p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:Projected from Arabidopsis thaliana (AT1G31170) UniProtKB/TrEMBL;Acc:F4I7W2] MANLMMLRFPSSLRSFSVSASSSNGSPPVIGGSSGGVGPMIVELPLEKIRRPLMRTRSNDQNKVKELMDSIRQIGLQVPIDVIEVDGAYYGFSGCHRYEAHQKLGLPTIRCKIRKGTKETLRHHLR >A01g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22428697:22435919:1 gene:A01g507930.1_BraROA transcript:A01g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLPANMSRFLLAIPDQRDVPQSEELDHQEDQDIPTEVHRPNQGRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQRLGTLMVEDGSGDGQDGTDGPARDRPALGRGSTSRRGRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPATVLLLAAVQPAKGSL >A02p055340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33539133:33541200:-1 gene:A02p055340.1_BraROA transcript:A02p055340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVARASQYLAITGAGIEDIKLSKKSWVFPWQSCTVFDVSPVNYTFKVQAMSAEKLPFVLPAVFTIGPRVDDPEALILYARLISPHANGSNHVHELVEGVIEGETRVLAASMTMEEIFKGTKDFKKEVFDKVQLELDQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVSEAKMKGEIGAKERNGLTIQNAAKIDAESKIIMMQRQGEGTKEEIKVKTEIKVFENQKEADVAKANAELAMKKAAWDRDAQVAEVEATKAVALREAELQTEVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKEAEAQRAAADASFYTKQKEAQGLVALASAQGTYLRTLLDALHNDYSALRDFLMINNGSYLEIAKINAIAVKDLQPKISVWNHGGEQGIGGGSGSGNAMKDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLAAAES >A08p011120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000103.1:78024:81622:1 gene:A08p011120.1_BraROA transcript:A08p011120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRVYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGIQSSGDWEVDPDGSNESGAQSSCGGVREKMEGWCKDRAFSTALYSLG >A04g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15690498:15691178:1 gene:A04g506850.1_BraROA transcript:A04g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSEEYDIDITSLLQAEADLYSDEADSRDNIAEAVEYLPQPECDDGIPKTCYCGGEAVVETSYTSKDPGRRYFTCGNADDGDCHVWKWWDVAVIEELRDYQRQLREVKDQANESDEKLVKVEQIVGQLAKKKTGIANGYPLLVCVLLSVVFLLCMVIMFKWVAEKENEELQEELQRMKMRLSDL >A04p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1054435:1055108:-1 gene:A04p002210.1_BraROA transcript:A04p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTKKVGIVGKYGTRYGASIRKQIKKMEVSQHSKYFCEFCGKYGVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQIEG >A09p073260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55927906:55930338:1 gene:A09p073260.1_BraROA transcript:A09p073260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCVRPPNPEESKPTPKPKKTNQNRKLNPFTSDFIRSPVRTRAAKDAMIPTSHQTKITDKYILGRELGRGEFGITYLCTDRESREALACKSISKRKLRTAVDVEDVRREPENFLFANKKENSALKAIDFGLSVFFKPGEKFKEIVGSPYYMAPEVLKRDYGPEVDVWSAGVIIYILLCGVPPFWAETEQGVALAILRGVIDFKRDPWPQISESAKSLVRQMLNPDPTKRLTAQQVLAHPWVQNAKKAPNVPLGDIVRSRLKQFSMMNRFKKKVLRVIAEHLSIQEVEVIKDMFSLMDEDNDGRITYLELKAGLQKVGSQLGEPEIKMLMEVADVDGNGFLDYGEFVAVIIHLQKIENDELFKLAFMFFDKDGSTYIELDELREALTDELGEPDVSVLNDIMREVDSDKDGRINYDEFVTMMKAGTDWRKASRQYSRERFKSLSINLMKDGSLHLHDALTGQSVPV >A09p037950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000193.1:6307:7308:1 gene:A09p037950.1_BraROA transcript:A09p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRTSVCVRQHTLDVRGRPSAHTGRPWPSVSTQTAAGTVLSTQIKHAPWLSRVFGPRGLSVQCNTQDVLQHKHDVVAGRCVSVCPQCTRDVRHAHSMTSVITKKTQTAWLSSLCVRVSVSAHRTIRQQTQTSVHQTRRTSVQHKEKERPWACPWCVPLSVKCTNNAGRLQYHRTSVSTQRNSVPSSYTEARPWPSVSNTNQEVSRSKQITSLARPSTHTGRPSVNILRPCPRSVQHTQQDVPSVTEDIPGLPYSTHRRRNFSN >A09g517430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51710563:51710888:-1 gene:A09g517430.1_BraROA transcript:A09g517430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQPNNKQDLINPSNPFNNIKEHRTDLDAREVRSEIDDATRLPPPLAAAHGEERESRPRERKRCGEERERRAAAKREKERRGGAGREKEGDDG >A03p027260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11365895:11366998:-1 gene:A03p027260.1_BraROA transcript:A03p027260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWNLQGMTALVTGGAGGIGHAIVDELSAFGARIHVCDISETLLSQSLSEWEKKGFQVSGSVCDVTSRPERETLIQTVSSLFDGKLNILVNNVGGLREKPTTEYGSDDFTFHISINVEAAFHFCQLSHPLLKASGFGSIVFTSSVAGVVSFSCGSLYGLAKGALNQLARNLACEWAKDGIRANAVAPNSIRTPLSQQFLDDVRFKEALFSRTPLGRIGEPNEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYQPHA >A10p014790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4001153:4006632:1 gene:A10p014790.1_BraROA transcript:A10p014790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGTKFASCFICKEHGHISKNCPQNKHGVYPMGGCCKVCGSVAHLVKDCPDKLNRDSAPTKSSSNIFCFKRLKRRIRCYTTRQTHQVQYGDDLEDDFYEEPKSSKKNKTSDDVITPDNVDEKRILKKKQGPTIVNFFG >A08p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16424006:16426334:-1 gene:A08p025520.1_BraROA transcript:A08p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRNIEPTKHISFHYQNAMDAFLFSLLSPLLVFVLIALFKKTKKPKHAKAPEPSGAWPIIGHLHLLGGKEQLLYRTLGEMANRYGPAMSLRLGSNEAFVVSSFEVAKECFTVNDKALASRPMTAAAKHMGYNYAVFGFAPYSSFWREMRKIATVELLSNRRLQMLKHVRVSEISMGVKDLYSLWVKKGGSEPIIVDLKRWLEDMTLNMIVRMVAGKRYFGGGSTTPEDTEEARQCQKAITKFFHLIGIFTMSDAFPTLGWFDLQGHEKEMKKTGSELDVILERWIENHRQQRKVSGNKENDSDFIDVMLSLAEQGKLSHLQYDANTSIKSTCLALILGGSDTTASTLTWAIALLLNNKDMLKKAQDEIDLQVGTDRNVEDSDIENLVYLQAIIKETLRLYPAGPLLGPREAMEDCTVAGYHVACGTRLIVNVWKIQRDPKVWVEPNEFRPERFLTGEAKEFDVRGQNFELIPFGSGRRSCPGSSLAMQVLHLGLARFLHSFDVNTDLDVAVDMSESPGLTIPKATPLEVMISPRLEKHLFV >A09p068650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53710900:53719373:1 gene:A09p068650.1_BraROA transcript:A09p068650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-phosphatidylinositol-4-phosphate 5-kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G26420) TAIR;Acc:AT2G26420] MQEAVFLFSEENLNKGQSSGGRSGGATKYKQSSRRVVPTTNCELSDGTAEIRVVEKVLKNGDLYNGGLSAGVPHGTGKYLWSDGCMYEGEWTRGKASGKGRFSWPSGATYEGQFKDGRMDGEGTFIGIDGDTYRGHWLWGRKHGYGEKRYANGDVYQGNWKANLQDGSGRYVWCDGNEYVGEWKNGVISGKGIMTWANGNRYEGLWENGAPVGKGVLSWNEEKTSNNNQFGGWGRKSKKKDDEIVQQQKLSSVETLSKNTNFPRICISELEDSNTCDNVEAGSMLSPYTSESDTGDNEYEWARSPLLLESGGAMSSQQSPRWLDEGDVKKPGYTVTAGHKSYDLMLNLQLGIRYSVGKHASVLRELRHCDFDPKDKQWTRFPPEGSKCTPPHQSIDFKWKDYCPIVFRHLRELFTIDPADYMLAICGDESLREFSSPGKSGSSFYLTQDERFMIKTMKKSEIKVLLKMLPNYYEHVSKYKNSLVTKFFGVHCVKPVGGQKTRFIVMGNLFCSEYRIHKRFDLKGSSHGRTIDKDEGEIDETTTLKDLDLKYVFRLETSWFHAFINQIDIDCEFLEAERIMDYSLLIGLHFRESCLRDDISLGIGRRDQEDKLMRGYNSLPNMDSVTQTCTPAKAEQVSRFEEEAWEDDNIDNSNPKSTRKEVVEVILYFGIIDILQDYDITKKLEHAYKSLHADPTSISAVDPKLYSKRRIKKKMGKGWLACVSVSCLSSGKDKKQQRPEKEKKKWSGRQKSRESIEFSEERTSPIDPSSSSITRPSPYPPPLPDFAPQPLLPPPSPPPPPPPLLHPLPTSASNEEYGGSKEAKTRQALALASAVAAEAAVVAAHAAAEVVRLTNTTSTNQVRESKEEAAAIKIQNAYRCYKASRTLRMLRGMARLKTLLQGKYVKRQMNAMLSSMQTLTRLQAQIQERRNRLSEENKARQRLVQQKGHQKEQHKSQSLIIAGDFDSTNRSKEQIKAKFVNRKEASVRRERALAYAYSHQQTWRSSSKLPHQTLMDANTPDWGWSWLERWMASRPWDPQSNDGQASFKNSLKPETSIKTSPARSKASQKAIQLPTNNDSRGMKSEGTSHMHNVGGGSSTNVKDGESVGSSSSSRRSSFGNAEAGNPKASSGETTSNPQPLKKSKAGVETTKNMVNAQAMKPKASAGTTFNLASTQALKSKVNVGSTSNLGLQKKKVVSDKNKPPQVVLPKKRLSSSTSLGSGTKVPDSDKATTGAANGEKKRRNGGSR >A08g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15521191:15521593:-1 gene:A08g508450.1_BraROA transcript:A08g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDVRLQTRILQEYLDTKSETEEDEELRLTQNQDQRDGGRRSKRRRSKWRRERKQVAKSDRARAESDGTSGVELMEQVTERARWTAMEQATESETRDRT >SC124g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:68970:74408:1 gene:SC124g500020.1_BraROA transcript:SC124g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGLDQVQEGLRRVFGQVCKLRDWPYGFGTDRTDPYGPRHLESSPVDHLTTFEVGAKIGQRARFIRTWFQLPLSSKLTPMPFPNQEEASWSFGCSTSSRRRCAHQWRPEAVLGRSPLSHGRPEERRPLEACPSHSHSLSKKKGESFQLVPGSQKVFLVHHQIRVERERMMPIVCTMEKCYKKGEAQMVVKLTGVLLLNIAKEGYSIKAASIVHKGSDTCNSPSTKNVETKVLCHYISSLGHSLVYRKCSMGHYAMRVVSCETLYGDSNTLIPVTSLCKDFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGHLLASLKRLFSKMAVKSVERGRLQTGFMKRAKSRRDLEEYLGKCANLGTGRTDLGRGKLLREEDKRRKLAPKTGWNRLAKSCVLTMYLV >A05p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24170134:24172775:1 gene:A05p039530.1_BraROA transcript:A05p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSANRRRTRSSGSGLDQANGGEVTPTPNKVPEAESHMKHLEESAKDVNGNGQGMIANGVSGEEEQESRTPVTVKKSSGTKFTGSHRELVLGLPCRGQFEIKRSSKKLGGSGKENVLASSHKRAQRCKEAASVHANPTSVNESKKRKNYINKVEVREDDEYTRIKKKLRYFLNRISYEQSLIDAYSLEGWKGSSAEKLRPEKELERAKKEILRRKVKIRELIQHLDTLCAEGNIPESLFNSHGEISSEDIFCSKCGSKDVRIDNDIVLCDGFCDRGFHQYCVQPPLRKEDIPPDDESWLCPGCACKDYSFELLNDSLGTKLSVSDSWEKVFPEAAAAMAGGGGQNPDCDLPSDDSEDEEYDPDGVNDNEGDEDGSDESENEDGSSDESASEEMIGSFKDANDIMNLPSDDSEDDDYDPDAPARDEDKMQESSNSDDSDSEDVETSSKGDECDQQDEVTPRGKPGRKKSKLPDVSISESTDAGFGEDVPGRRKVERLDYKKLYDEEYENVPTSSSDDEDWDKIAGKEDFESGDEGDTVPLEQPSKAEDQTSTQKTKRENKKVTLKAPPEAPIENGCSGQKSSSASCKQTNPKTQRLFESFQENRYPDISTRENLAKELQMTVKQVSNWFRNTRFSTSKTMYSKEDVEKLRTCKEREGETSVAGSSKQTEPVTENKSGASESTSSGSRKRRRR >SC163g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:157946:160722:-1 gene:SC163g500130.1_BraROA transcript:SC163g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALHELQSCSLTSGRSGGVLHVSWTCSQPCGARGAAVHASGAMRSDTRAATNLKLIGWCLLYKDHDPFSFHSSIPFKIKLKNFSTDFKSAPRKGSGQLKINQLKINSDGKQVNVASSVQSAILYDCDAEALSNSIRPSQSYSPTVKWRCCPRLVQFYGFRSVEVLLDTPPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSRRPLGFGQVLYDQPAASRLEHCELVPVIFKDSFIAGGWTIWITLLVLRVLGHIGRTTGTMVSLVESMCRQYVRDPPILGLCRGELVFLQTSYPAGSRKPLIRWIGKTQRDGVLTLSHKSGLGTGLGLVCILFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPLTRFGIRPGPVSNYINVRVAKPYALTQRDESWSKVCDSDRIVPSPSRSASGPWCWVG >A03p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8080766:8082490:-1 gene:A03p019730.1_BraROA transcript:A03p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIN domain-like family protein [Source:Projected from Arabidopsis thaliana (AT2G34570) UniProtKB/TrEMBL;Acc:Q8L8C2] MRVKRQKKNRRTVRFFTVCFGFRQPFKVLCDGTFVHHLVSREITPADTVISELLGGPVKLFTTRCVVAELQKLGKDYSESLEAAQMLSTATCEHDEAKPADECLSEVLGSKNSEHFFLGTQDAVFRKKLQMESIVPLLYGLNNSLQIDQPSDFQREVAKDSERKRLTMTDAEKRMLEKQTARILASSRGEGTAEEDEWETPRVVNSRNGLGVKDKPQFKRNRAKGPNPLSCMKKKKVNDAKKPQSKPKAESKSGGQEVKKGESGGEKRTRKRSRKGKAGPERT >A06p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26162507:26165561:-1 gene:A06p049520.1_BraROA transcript:A06p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPAVFLIYNPTHFFLPPAPTKSSSPHFSAASSIPFARETSPMENSRSRSVFVDIGLRELNGFRVRKRPFFADSELVCREIAGVAVEHDGYRTPPLAVSFCKSSRNSQVLAVSDEDGHVSFFNSNHKFASFATHQENTDNARFRDWIAHNNAVFDISWIKDDSCLLTASGDQTIKVWDVEENKCTGVLIGHTGTVKSMCSHPTNSDLLVSGSRDGCFALWDLRCKSTSHKEEFCINSTGMVKGAHLSPLSKRIGRRKAASSSITSVLYLKDEITIATAGAPDSALKFWDTRKLKVPIAQASPQSDATNTKEKRAHGIVSLSQDSSGTFLTASCKDNRIYLYNILQLDKGPVQSFSGCRIDSFFIRTMISPDGEHILSGSSDGDAYIWQVNKPHVDPTVLKGHDKEVTAVDWSSSEIGKVVTASDDFTVRLWNIETSRCSNANLTASESRVKRRVTSLSNTEAEERLETNKEPESPQKHLSDNQSMPNIRTPEAQTKKTSLSSSSTLSLSSLEEDKVCENTPETAVNSPSSVLNPPSSAKRRTIRDYFLVTP >A07g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5171340:5176337:1 gene:A07g502450.1_BraROA transcript:A07g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRWIMYDTGDWDFKLDSDRMGRAVYAKLITSVEDLKRAIIESYGLVGMSVAVEMSYWLGEHGSGAVGEREAHVQISNDKDFDLFTSARKVDKYINVFVTFKEKIDGKIHFLRPMGNLLKSKEVASSSKLQVGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTACEVQNKVDTTEDAALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDEDIGVGVVVERTSGRTNPSSNKGSGSSTNKHRTANPPSTFKDYVDEGRDNIGSSRISMENTEEASNNLGVKSSDQVPDTKNHSDPNQEEDPSLDNISQMLVLQTPPKPFNMHTREVDDGDDFVMQVPQCVSSRPTHDTSDGEDEDDDFVEPVPMCISVDQTHETLDGEDEDDDFVEPVPQCVSVGQIHETPDGEDEDDDFMGLDYSYSQPSESEDYGGNDSSDTEDREVEDLIRRDQAELNYNYAATVQYPPQPEVEFGFPQTCYCGGRPKLATSRTVNDPGRRYYTCDNVNDGDCHVHKWWDEAVMEEMRARDTHTFQLSEKVDYLTFLNDYDPQLNKFKDLQNETEQKLVRLEKIVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIFKIPNNLSSQHSTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYAN >A01p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:152039:152710:1 gene:A01p000400.1_BraROA transcript:A01p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKVPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIYTRATNT >A08p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21960123:21963607:1 gene:A08p037700.1_BraROA transcript:A08p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 1;8 [Source:Projected from Arabidopsis thaliana (AT1G20860) TAIR;Acc:AT1G20860] MPIKVLSSLDAARIQWYHFKAIIVAGMGLFTDAYDLFCIAPVMKMISHVYYHGDSINTAVLSTSYAIALLGTATGQLVFGYLGDRVGRRRVYGLCLVIMIISSFGCGFSVCTTRRSCVMVSLGFFRFILGLGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGLGILVSSAVTMAVCVAFKRGGGGGLEEEVVPPEADVAWRLILMIGALPAALTFYWRMLMPETARYTALVENNIVQAAKDMERVMSITDDISDETTTETPPPPPPLPPSYTLFSLRFLRLHGRDLFAASVNWFLVDVVFYTSNLLLSQIFSHYSDIPSSSTAENVYDAAFRVAELGAIIAACSTIPGYWFTVYFIDKIGRVKIQIMGFFFMAVVYLVAGIPYTWYWSKHEQTKKGFMVLYGLVFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVGTVGFLWATKKVEDGDNMNKVYPDVNRMRAAFLILGGVCIAGIFVTYFFTKETMGRSLEENEHEQERVNNDESEDEPQNVGRQSSASTLLQTQ >A09g516680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49106007:49106645:1 gene:A09g516680.1_BraROA transcript:A09g516680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHHLSQVNQMGQDYSYSQPSSSSNSIDMSSLLEAEAKMYADEAESPYCNAEPDQFPPQPEADDGIPTACYCGAQPVVKCSYTPKDPYRRYFSCPNVDDGGCHIWKWWDVALTEELSEVQRHVRQLKDQAFECDQKMLKLQKTVCEVKKKSENTIVFALAVCVMVAAIVFIGLAAMYLSGRASK >A10p032510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19223594:19224511:-1 gene:A10p032510.1_BraROA transcript:A10p032510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAETVVEAEKIDDLLKAARYNDIDDLKSLAFDGVSLNSRDSQGRTALHMAAANGHMDIVEYLISQGVDINALNEENNAPLHWACLNGHIEVVKKMILAGASLSLLNRYERTPMDEAIGAGKMEIIDAINTTVAQMELENTTVA >A05p000880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:136040:137203:-1 gene:A05p000880.1_BraROA transcript:A05p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKMCSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQ >A01p043610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24808507:24810045:-1 gene:A01p043610.1_BraROA transcript:A01p043610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTPTITLSTNKNPENHEEERIKSKPLWVPKGVGTDSSEGFDLSRMKRPMLRLHNPHLKNKVHGHSSFMARTGVDKRFWSKAYEVPSQANNRLESNADTNPGAAPFSRGFAKIYLECVITYASLHREGDEFSYEHTLEQLKLVADLENPKESHLPKFIDIVDTT >A10g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10978125:10979159:-1 gene:A10g504490.1_BraROA transcript:A10g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEDLRVFGIQVVHDSYIVVISGRWIMYDTGDWDFKFDSDRMGRAVYAKLITFVEALKRAIIESYGLVGMSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEVDGKILYLRPMGNLLKSKEVASSNEMQVGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTTCEVQNKVDTTEDAALGEGEDDDDEDYDYNLWLDFVGRNCEWDDDKDDD >A01p014810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7168861:7169685:-1 gene:A01p014810.1_BraROA transcript:A01p014810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein INAPERTURATE POLLEN1 [Source:Projected from Arabidopsis thaliana (AT4G22600) UniProtKB/Swiss-Prot;Acc:Q9SUV9] MPFSIFSRKKPTRRFNDFYSDWSKTLTDNCLPLLRQSLSSAASASVLSSNVDLVLRHLVLYYETLDLAADPNTIPYLLFPSWRNSLETPFLFLGDIHPYLLTNLLRSFIDRENQDSDYEDEDTLRLIPDLVNQPLKIATAWKDPSDELVMRIDQIECTMRLMVPVLMDRVRKAQRGFVSRVSENWISSYQVGKKRKTAVTAASAGVEDAAKGEMEELVSIFVDANRLRKSVIMDIVGATSEHQAALFLEGLCQFLAGFKDQVLLQDFEVVALPN >A03p042850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17825037:17827447:-1 gene:A03p042850.1_BraROA transcript:A03p042850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHEEEVRKEMRLGKYELGRTLGEGNFGKVKFAKDTVSGQPFAVKIIDKSRISHLNFSLQVLASKTKIYMVMECVMGGELFDRIVSKGKLSETEGRKMFQQLIDGISYCHSKGVFHRDLKLENVLLDTNGHIKITDFGLSALPQHFRDDGLLHTTCGSPNYVAPEVLANRGYDGAASDIWSCGVILYVILTGCLPFDDRNLAVLYQKICKGDPPIPRWLSTGARTMVKRMLDPNPVTRITVVNKRETEN >A05p007230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2912195:2913472:1 gene:A05p007230.1_BraROA transcript:A05p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSAVKAARVRWPGLFVGVDSALEEWIVDQMHIVRPVVETGYENLLLVRLLLETKMPSIRKSSVAEGLTVDGILESWGKIKPVIMEAWDEGDKDALIDLFGKVRDDWINNDLTTWIGANRFYPGVSDALKFASSKIYIVTTKQGRFAEALLREIAGVIIPPERIYALGSGSAKGGSAKATPEQARTSGLDAAVNLAHTPSHIST >A02g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17037356:17038501:1 gene:A02g505880.1_BraROA transcript:A02g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEERDEKAGDCFSGGRIRCAWITPKSVIKPASLVFFRNYPRNTFFSKDNHSDNYDQCSSIRACRHKQDTIHELSPVVKRMIRKAIDHVSLSILTRGGDGRVIVDACCVPANAQAPYCAATQLSGSRIWIFSICFTHHYSEYVSQR >A09p069050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53907728:53911186:1 gene:A09p069050.1_BraROA transcript:A09p069050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) UniProtKB/Swiss-Prot;Acc:Q9C5I1] MASSTVDSSVSDLFSSAPALQSNLEILSPDQIELAKILLENGQSHLFLHWSEPGVCDNEKLGFFDQIARLNSSYPGGLAAYIKTAKELLADSKLGKNPYDGFSPSVPSGENLTFGDENFIEMEKRGVVEARKAAFVLVAGGLGERLGYNGIKVALPRETTTGTCFLQHYIESILALQEASHKVASDGNQTDIPFIIMTSDDTHSRTQDLLELNSYFGMKSTQVHLLKQEKVACLDDNDARLALDSNNKYKIQTKPHGHGDVHSLLYSSGLLDKWLDAGLKWVLFFQDTNGLLFNAIPASLGVSATKQYHVNSLAVPRKAKEAIGGITKLTHADGRAMVINVEYNQLDPLLRASGFPDGDVNCETGYSPFPGNINQLILELGSYKEELQKTGGAIKEFVNPKYKDSTKTSFKSSTRLECMMQDYPKTLPPTARVGFTVMDIWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSRILQKAGVKVEEPVKQVLNGQEVEVWSRITWKPKWGMIFSDIKTKVSGNCDISQKSTMAITGRNVFIDNLSLDGALIVDSIDDAEVKLGGSIKNNGWTMETVDYKDTSVPEEIRIRGFRFNKVEQLEKKFTQPGKFSLED >A02p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4673315:4675268:-1 gene:A02p010780.1_BraROA transcript:A02p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGPSSKSDNTKFYEILGVPKTASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGGHPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTTKKLSLSRKALCSKCNGLPDTVTGDIVFVIQQKEHSTFKRKGDDLFVEHTLSLTEALCGFQFVLTHLDTRQLLIKSSPGEVVKPDSYRAISDEGMPIHQRPFMKGKLYIHFTVEFPDSLSPDQTKAIEAVLPRPANATLSDMEIDECEETTLHDVNIEDEMRRKAQAQREAYDDDDDDEEGPGGAQRVQCAQQ >A09p038710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:187758:188728:-1 gene:A09p038710.1_BraROA transcript:A09p038710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAEQTAHVCQKSPKQSTRRANVLSPRTNVLICVLMDSHGCPLCADRHRRTHTDSHGHPVPRGPKSPEQSTGRVIVLSPRTNVLICVLMDSHGRHVCADVHTRTHTTATDVLCVLTDTHGRHVCPDGHPRTFCVYRTDSPRGPKSSEKSTGRVSVLSPRTNVLICVLMDSHGRPVCADGHTRTQTDTHGQPQTSYSPRGPKLPEQSTGRASMLSPRTSLLICVLMAATDVLCVLTDTHGHTRTATDVLCVLTDSHGSPVSAEQTAHVGQNHPNSPREGPAC >A08p032410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19614717:19616685:-1 gene:A08p032410.1_BraROA transcript:A08p032410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At4g14230 [Source:Projected from Arabidopsis thaliana (AT4G14230) UniProtKB/Swiss-Prot;Acc:Q4V3C7] MHPFNAMMAARMLTAVGKSNGLESETIPFGSLWWSIYAGVSCFLVFFAGIMSGLTLGLMSLSLVDLEILQRSGSPKEKKQSAAILPVVQKQHQLLVTLLLFNALAMEGLPIYLDKIFNEYVAIILSVTFVLFVGEVIPQAICSRYGLAVGANLVWLVRILMILSYPISFPVAKMLDCVLGHGDPLFRRAQLKALVSIHGEAAGKGGELTHDETTIISGALDLTAKTAREAMTPIESIFSLDMNSKLDWEAMDKIQTRGHSRIPVYSDNPKNVVGLLLVKSLLTVRPESDTLVSTVGIRHIPRVPADMPLYDILNEFQKGSSHMAAVVKVNVKRKGSLSTLLEEENTSDSKLTTPLLLKREGNQDNVVVDIDKISNSGFSHTSEEIEDGEVIGVITLEDVFEELLQEEIVDETDEYVDVHKRIRVATVAAVAISSLARAPSSRRLLGQKGTGGPKTPKASSTTPKTGQDKPI >A05p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12350521:12351065:1 gene:A05p025390.1_BraROA transcript:A05p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKNPGTKAANSPKEEGPGVQKVSNPPLLLREPSVTSRRRARRWRRIPRPGDPITARRRRPVHESFPMRSINGEARSREATKKTESELKRPQRLDVAVLLGRSLCITSLSNIDLMERSKVTAVVSERFCGAGERSRSVNPFAKLNY >A09p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4099632:4104603:1 gene:A09p007870.1_BraROA transcript:A09p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAEYSKVKTSVWWDIENCEVPKGWDAHAIAQNVGSSLLDMNYCGPVSILAYGDTNLIPHHVQQALSSTGVGLNHVPAGVKDASDKKILVDMLLWAVDNPAPANIMLISGDRDFSNALHQLSMRRYTILLAQPPRASAPLVAAAKNVWLWTSLASGGPPLTSGESSGPVNNGRCNVSHPVSEPAGDSKDHKTRDNHVPRGATRESVTSSDSFRAHHSDQRQTSQAAFVASHKAHASLSAKPRQEADVVEPVLCTVCQISCISKEAYASHKYGKRHRHNLELQSGKVPGQAVFPKEVMTRQNRRIRRRSRKVGLDHMLTMLVALIDSKKLQEKGVGEKFQPREAIAQSQFQEKHVAMEVEEKGEGEKSRPVETITESLLQSQNTQENNTKCFEKQSKELREILFGTLESSVKEKLPRTKDQAETVNKQLLNEEARPLNQSQGATDNHLGEVKKKQKEVMERRAITPRSRLCHHCVVICDSQGVSTLADFVDDAVWCQVCQTRCNSKAAYENHTYGKKHRQNLELQSAKNENMSKGQDKLSEENAKDQTAFDSHNHAAKVKEQTDKAFVDSKKNQEESDQGAQEEDKEEVKEVNAVSENLVHVFNALNRETRIPKESRGCLDVIPERVQMPPDVNVNGKFEDESKHKQEGEKECAGGGKEHLGEAGKREESKKVHVDNLWTRLWWGKTRRKAITKRLVSPISLPLYLLAGSRPIMIQDQPLVVFSLRRNSFRRRSSITNLDDRGWTPLHVKARKGDLKSVKQLLDQGFDVNALAWGPKSKGVSPLHLAAEGGHIEVMDLLLERGANIDARTWGSCGWTPLHAAAKERKREAVKFLVENGAFLPDDISDTRFNPPVHYCHGLEWAYEEMKKLNSESSSSGGDTSSSSEN >A07p050740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27034852:27035776:-1 gene:A07p050740.1_BraROA transcript:A07p050740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGLTILKLSFFLGLVVVVSCSQAPAPWNPSMGMRPGTCDHYECPTYKLVQAGYGYEIRMYNSAVWMSTDPITAQSMTQGTKTGFQRLFRYIQGDNKSKIKMNMTAPVITQITPGAEMYMYTVSFYIPKKNQQTPPLADDLHVQSWKPTYVAVRQIGGYVTDDLAIKEAVALMDSLNGTEWKFHIEKSKGKQPDYFVADYNPPFQTTARVNEILVPFNM >A01p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8324714:8329004:1 gene:A01p016830.1_BraROA transcript:A01p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRGFDPFSHKTDHDKKSSPSVESFGFESKKPNGGGGDPNKEKEKEKEEVVFPSSNSAVSDLLNGLSDDRISEKKQQQQHLAAFYEWLAEKKAKLSRSSTTTGHVRPTGHVRPTRISMSSEGDEEREHLLPSSASDSPDSIVAAAASSSSARTVNINERNIDRSFDREVSLPRMSSESSFAGSFFSGTTVDGNFSSFSSPTEARETSTTTRVSVAKEEEREAEVRDESKEQTLAQKSKEGYYLQVTLARRLSSQANLGGDSVQIQSTETISYRFWVSGCLSYSDKIADGFYSILGMDPYLWLMCNDSEEGKKLPSLLLLKETEPSDTSMEVVLIDRREDARLKEMEDKAHELYCASENMLVLVEQLGRLVAVYMGGNFQMEQGDLQKRWKLVSNRLKEFRKCIILPIGSLTMGLCRHRAILFKNLADYIGLPCRIARGCKYCKENHQSCCLVKIDDEKKLSREYVVDLIGKPGNVHDPDSTINGGTYSQIPSPLRMSHLTEVSRPFVRSTSPCHSESKPSCALSESIQGHVHKDTELPNIAETICCAHVEQVCFTKASSTVSTGSMVRPALPLDIPKLSEDKIASQETCKEETSLLEDPVVNSGIKQANGELPVEQEIAEAETRKDKKVRLPVDAISPFLSIEPSLASDWLEISWDELHIKERVGAGSFGTVHRAEWHGSDVAVKILSIQDFHDDQFREFLREVAIMKRVRHPNVVLFMGAVTDRTRLSIVTEYLPRGSLFRLIHRPASGELLDHRRRLRMALDVAKGLNYLHCLNPPVVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFIPSKSVAGTPEWMAPEFLRGEPTNEKSDVYSFGVVLWELITLQQPWNGLSPAQVVGAVAFQNRRLIIPPNTSPVLVSLMEACWADEPAQRPAFGGIVDTLKKLLKSPVQLIQMGGDKGVRDALISS >A01g511020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30771698:30774510:-1 gene:A01g511020.1_BraROA transcript:A01g511020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFSSLLRYRKWAKSNWLVASLGLLLIVFSLSFFLDLTSDSVPFVDHNPPITSPSDLVKLKLSSKAKERGAFCLDGSLPGYHFSKGSGSGTKSWLIHLEGGSWCNTIASCSARAMTRLGSSNYFEHEVSFQGVLSSDPSQNPDFFNWNRVKVRYCDGASFSGRPEAEFKNETRLFFRGQLIWEAIIDELLSMGMSNAKQGILTGCSAGGLASLIHCDYFRDHLPKDAFVKCVSDGGFFLNVPDVLGNPTMRSFYRDVVKLQGLDKSLDQKCVARTEPSKCMFPQEFVNNIRTPVFLLNAAYDYWQIQHVLVPASADLDKSWAKCRLNIKECDAEQMKVLHGFRSSLMDAIGKFHQNKAGGMFIDSCYSHSQMLKPVMWHSPTSTRIKNKTIAESVGDWYFNRKPVKLIDCPYPCNPYCYNMNFT >A10p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22211656:22212995:-1 gene:A10p040260.1_BraROA transcript:A10p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPFWRKGSGTERSKISPTKNDGLTWYKDLGLHAFGEFSMAMIQANSVMEDQCQIESGPLTFNNLAVQGTFVGVYDGHGGPDASRFIADNIFPNLKKFASEGGEVSEDVIRNAFAETDEDFLNAVKKQWRKNPQMASVGSCCLAGVICNGLVYIANTGDSRAVLGRYERGGGVRAVQLSVEHNANVESARQELWSMHPNDPDILVMKHRMWRVKGIIQVTKSIGDAYLKRAEFNREPLLPKFRVAEPFTKPILSADPSVTVTRLKPEDEFMILASDGLWEHLSNQEAVDIVHNSPRQGIARRLLKAALKEAAKKREMRYSDLKEILPGVRRHFHDDITVIVVYLNTQTNGWASPLSIRGGYP >A09p009030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4628653:4631359:-1 gene:A09p009030.1_BraROA transcript:A09p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKFIFLLSLLWSFYSLGSSQLQASQAQVLLQLKKHLEYPKQLESWFDHKTSFCYLQPSPSMNITCFSNSVTELNIFGDKSSEKARSFQGFAVPNVTLSERFSIDSFVTTLSRLKSLRVLTLSSLGIWGHLPEKLHRLTSLEYLDLSDNFLFGSVPPKLSAMVKLETLRFDLNFFNGTLPSWFDSFANLKVLSFESNKLFGELHSSLLSLSTLEYINLRANSLSGSLPGDLKCGSKLWFVDISDNKLTGNVPRCLSSKQVRVNGNCLSLGKQQHPVSFCVKEVRAEEHAEAEAEAEAANRPGERGWKKGALVGLIIGVSMAALVLLCGVFILLRKKGVTKRQMSHKTVQDSRPSIGFSSEILSNARYISETSKFGTEDLPVCRQFTLEEIVQATKNFDKTAILGESSLYGTLYKGTLENGTKVAIRCLPSSKKYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDCGGKDGYSVEKVFLIYEYIPNGNFQSCLSDDSWGKAMNWSERLTVLTGVAKAVHYLHTGVIPGFFSNRLKANNVLLNQHRFAKLSDYGLSIVSEATRHNTVKLEIAKSWQMSRLEDDVYSFGLILLQAIVGPSVSAREEAFLRDELASLESEEGRRRMVNPTVQATCRNGSLIRVIALMNKCVSPESLSRPSFEDILWNLQYASQLQAEDGDQY >A04p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:351736:354607:1 gene:A04p000700.1_BraROA transcript:A04p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSPPMAGVRSFFLFAAIALLFAGRYGDATAAARGYIKYKDPKAAVEERVEDLLTRMTLPEKLGQMCQVDRFNFSYPNPSIGQEIFTKYMIGSVLSNPYDTGANIAKRVELANTMQKLSLSTRLGIPLLYAIDAVHGHNTFINATIFPHNIGLGATRDPELVKKIGAITALEVRATGIAQAFAPCVAVCRDPRWGRCYESYSEDPKLVNLMTESIMDGLQGNAPYVADFKTKLAGCAKHFVGDGGTIDGINENNTVVDNATLFNVHMPPFELAVKKGIASIMASYSSLNGVKMHANRAMLTDYLKDTLKFQGFVISDWLGIDKITTPPRANYTYSIEASINAGIDMVMVPWEYKEFLEKLTNLVNGGYIPMSRIDDAVRRVLRVKFSLGLFENPFAEGSSLAAEFGSEAHREVAREAVRKSMVLLKNGKTDNDKIVPLPKKVKKIVVAGAHANNMGWQCGGFTLTWQGFNGTGENISRNKAMNLPTGKTRGTTILEGIMKTVDATTEVVYVEEPNQNTAKLHADAAYTIVVVGEAPYAESQGDSTTLNMAAPGPDTISHTCASGMKCVVVLVTGRPLMIEAYIDFIDAVAVAWLPGTEGQGVADVLFGDHPFTGTLPRTWMKSVVQLPMNVGDSAYDPLFPFGFGITN >A06p055470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28964377:28965276:1 gene:A06p055470.1_BraROA transcript:A06p055470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYDEDKPRFVFQSRPSSSRRKTDDEEEEDHKAPSKIFISISVETWSNGEDIALLNALKAFPKEAAMRWEKVAAAVPGKKSKAACMKRVTELKKGFRSSKSGAN >A08p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16674746:16675084:1 gene:A08p026110.1_BraROA transcript:A08p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCSYFLVLMIVFSVCLMVEKIEGKICEITLKVGTDCIRFFCAQDCAGQYYGGLGYCFDDPKVPGPLNCRCRYDC >A01g501660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6019145:6019501:1 gene:A01g501660.1_BraROA transcript:A01g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGHQASSLMSHCFYVSSPLIAEGLALREAMFYCIAKGLRAVKCESDSLQLIRALNEETPISEIYGIIADILNLVVAFDYVSFVWIPRSENKAADALAKQALSNASFVASSMNPRV >A08g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13349124:13349520:1 gene:A08g507550.1_BraROA transcript:A08g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLMVPGDGGYPSFVVAGFLPGGRGFLRSVDAGSSSREVEASLAPSSSASVSEGACYRRWLLSSDDGATVKREKKRLESRDEISSGG >A03p037090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15540771:15543511:1 gene:A03p037090.1_BraROA transcript:A03p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLRPGTIFRNDTKPHRVFSLCNKNLSSFSDNGENREGNNNNRQLINSICNVLESGPWGPSSETALSSLNVSPQPELIISVLRRLKDVNIAINYFRWFETRTELPHCPESYNSLLSLMSRCGKFEPLEHILGEMSVAGFGPSVTTCIEMVTSCVKANKLKQGFDVLQMMRKFKFRPAFSAYTTLIGALSSASNDSDKMLTLFQQMQELGYEPTVHLFTTLIRGFAREGRVDSALSLLDEMKSSSLDADIVLYNVCIDCFGKAGKVDMAWKFFHEMEANGLHPDEVTYTSMIGVLCKANRLEEAVEIFEGLEKSRRVPCTYAYNTMIMGYGSAGKFEEAYSLLERQRAKGSIPSVIAYNCILTSLRRMGRVDEALRVFEEMKKDAPPNLSTYNILIDMLCRACKLDSAFSMRDSMEKAGLFPNVRTVNIMVDRLCKANKLDEACGVFEEMDCKVCTPDEITFCSLIDGLGKVGRVDDAYRIYEKMLDAECRPNSVVYTSLIKSFFNHGRKEDGHKVYKEMMSQRCSPDLQFLNTYMDCMFKAGEADKGRAMFEEIKARGFVPDARSYSILIHGLIKAGFANETHELFYLMKEQGCVLDTRAYNIVIDGFCKCGKVNKAYQLLEEMKVKGFEPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGIELNVVIYSSLIDGFGKVGRIDEAYLILEELMQRGLTPNVYTWNSLLDALVKAEEINEALACFQSLKEMKCSPNQVTYGILINGLCKVRKFNKAFVFWQEMQKQGMKPNAVSYTTMISGLARAGNIAEAGSLFERFKGSGGVPDSACYNAMIEGLSSGNRAVEAYALFEETRRRGLSIHSKTCVVLLDALHKSDCLEQAAVVGAVLRETGKAKHAARCW >A10g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15908175:15909803:1 gene:A10g505950.1_BraROA transcript:A10g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKTSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A04g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11728779:11732989:-1 gene:A04g505530.1_BraROA transcript:A04g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVEEGQALFLHIACFFFFNEDVDHLTNMLSHSNLDIGNGFKTLTDKSLVNISFYREIVMHSLLQKLGRQIVVEQSDEPGKRPFLVEPQEVRDALANETGTGSLIGIKSDMTSQQCHKEESRLHQLSPASSGSYLIYKEDSRVHHRSPATRVSHRRKLHKNRVNSGSSPSLKSLNANNCLSLKTVHCSFHSPLKELTFYNCVKLDEEARRGIIQQPVHEATGNSITISEATFSASSRFKAYFLVSPIEDYGFNSFFSEARVYELSPLSEHLFILHCERRNRRHDVATSEITFEFRCVYNDEKIIECGVHVLAEAAESSSNSEMVNFEFESSNSKLTTLKQGVVAAE >A09g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7207267:7207895:1 gene:A09g502000.1_BraROA transcript:A09g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGRKEEIVTREYTINLHRRLHSWRVRVRVARKRNDDEDAKEEFYSLVTVAEIPAEGLSGLGTKVIDEDE >A03p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12410736:12411934:-1 gene:A03p029490.1_BraROA transcript:A03p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRTSKVVVFSPADKALLALSDGMGRVNADGLHYRSGERPHALKNIKKAISKENQEKPHKKKALKGKAWKGVTCPVCLEIPHHSVVLLCSSYHKGCRPYMCATGNRFSNCLEQYKKAYAKDEKSGKPAELLCPLCRGQVKGWTVVKDARKFMNSKKRTCMKDSCLFSGSYRQLKKHVKEVHPRAKPRAIDPVMEEKWKKLEVERERSDVISTLMSSTPGAMVFGDYVIEPYNDDYDDDDLHSDDSLEDGFLDLGSLGAFGISRFQQRSATAITSRGLRNHWMNNPRHRSRGGGNRRR >A01g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14942902:14945285:1 gene:A01g505030.1_BraROA transcript:A01g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSWRSLMCAKEICSSRSGLCLAVDDLPGSRLVNVKNLDDLHFSGHRLVLHLTGLFQKFDFPGQLTFQSSDDLQFSHPPDDLHVSHPGFYSEILPLEFIIAYEKSKDKKKDTEVYYFIDLHAFHKTDHKQNYYRSFLYKDKLGLHLIRKKTLSEDIQEVQTTSRKSRRLLGSPDDFQEVQTTSRKVIPEFGKKVRTLYNKKLPNEEKSDIKTYQNTEIYYERETSLEDFQEVQTTSTKSSLVHYILDN >A07g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5774988:5776901:1 gene:A07g502820.1_BraROA transcript:A07g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGARREKSCESSDSDVNTDSEDYQVMHNKWLNLKNENLRLQHDLVQSREQYEDLAEELAAGLSHFVHGSTSKIGAKEACLEVRRDVRQGVRQEVLQRAAVSNKPKVVHQCNNMKVRQEVLNHGCAAGTRKKTDRCINNCVRPNKKQHRMCYWLCGKVGHKKVDCFAREKSRNMAKKVNKTFIKPRRVEKVSLAKSGLLDEIKDETSEDGCSSVRSDLEVDQEASSLEPGHEVVCGTKGKEIEVHQEVMALGADGEGLMVKKKTHDGSLVLNKSWSKGSSTGASDRDAYAYLMGEKNMVWCTSRGGEKHIWYESFQVRNVVAIWLLNQKSVVLDRKRERLLEVFWVVLKQWLKYSTEERHKR >A08p023110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15224433:15226424:1 gene:A08p023110.1_BraROA transcript:A08p023110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLPAQLVTRGTLNHHNSSSSAAPSVSLSWRRSLTPDNSFPNLPSSSPLNRKPSTVVPVTCSAAAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQESGLNHITIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRVAYMDTQDIARLTLIALRNEKVNGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRVTRQLTRFFQWTNDVADRLAFTEVLSSDTVFSVPMTETNSLLGVDQKDLVTLEKYLQDYFSNILKKLKDLKAQSKQSDIYF >A07p044380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24212891:24215247:1 gene:A07p044380.1_BraROA transcript:A07p044380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WVD2-like 7 [Source:Projected from Arabidopsis thaliana (AT1G70950) UniProtKB/Swiss-Prot;Acc:Q67Y69] MRDTMAGSEIQEPFSLSFQESSIHSGSISFGRFEEEGLSWEKRSSFSHNRYLEEVDKCSKPGSVTEMKAHFEAHFKKRGMRLPSSIESQTWGVRQTDDDATESFEDYRSDGSFSDNTSRSQSPCNYILDQGKSQCEYVEESDHCVSYDEIVMNSDDVIELDEEGGVTLDVSLDNDEVVPVQCISIKEVRCEVLGQQEMPLEIEVRDDDEDKEHCAEVQETESRLVEHVPEKASDVTERPSSACEPKSLPNAKPIIPKAVNVTKAYIKRHDVTPKAVSGGTKGSYLSANSKTKADDAKSQKELRPKKTVESRPSTSKKIETRTPLATNRPKTGSYSAKLEMSTGATSFRFKCSERAEKRKEFYMKLEEKIHAKKTETNQVQAKTQQKAEAEMKQFRKSLNFKATPMPSFYNTVTRPVSRYKTEPSKVAPPRPRSTTSASSTNRRVSRVGDKHGTEEGKMVKATVGNRKPSAAKDSGLQKGNFMAVETKQKVGKSGN >A07g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8076810:8077265:-1 gene:A07g504150.1_BraROA transcript:A07g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLLLLKVVQLRLLRMELRQNLGFIAAEYETILGTLSLENVVNFDYHSLLHSRILLWSGSRNVALEIISFGLIMYRVIILGKRKMCTHTKHSKMVVELYINLLICDSKHGT >A10p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:717953:719212:-1 gene:A10p001390.1_BraROA transcript:A10p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASGTAPTTKSAACFRRTYSSRSMLLSSLSSSSSPASAKLLNSSNGSSSSSSSPKPFRPVMRSREADRLEEERLLHVQWQDITVKMVVDAPASVAYKLYADRELFPKWLPFLSSVEAVEGSPDLSRYLVKFESFGKKFEYYFLAKNLEPIPDRKLHWRSIEGFANRGSVRFFQRGPSSCLVEINFSFEVPHAFAPVAFLMKPFMEGLIRGGLESFAAFVKTT >A02p015820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6986802:6988139:1 gene:A02p015820.1_BraROA transcript:A02p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNWIRGPIIGQGSTATVSLAITNSGEFYAVKSAEFSSSAFLQREESILSSLSSPYVVKYIGSNTTTENDTLTYNLLMEYVPGGSIHDLIKNSGGKLPEPAIRSYTRQILKGLMYLHGLGIVHCDLKSHNVMIGGETAKIADLGCAKMAGNGSLEFSGTPAFMSPEVARGEEQSFPADVWALGCMVIEMATGLSPWPELNDVVAAVYKIGFTGESPEVPECLSEKGKDFLRNCLRRDPKERWVVEELLKHPFLEEKDQTQTQSLSCLNTSSPSTVLDQCFWDSCETSRSRLLQEDHQETFARDSSPADRIKKLVGDEFPGEPEWNTVEDGWTEVRGNGEMEKRNEDDVSCVEATSWEEDEVGGYENWILDQEDSLFLEYSSTENNIFYFYLCNLFEEDKIIIYYDHLEDGFVYVLDDNSNKNHFFSHVTILQVLVYVSQSIPF >A06p010090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3600317:3604484:-1 gene:A06p010090.1_BraROA transcript:A06p010090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT12 [Source:Projected from Arabidopsis thaliana (AT1G12880) UniProtKB/TrEMBL;Acc:A0A178WEE5] MAKDSSMSVLSSRTGRDRQRYDNNFRLVSGCIPYRLIKDKKLEEDTSVDFVNKLQVLMVSSPNRHDLVFPKGGWENDETVLEAASREAMEEAGVKGILREVPLGVWEFRSKSSISTNAEDESFGGCKGYMFALEVTEELQEWPERGNRQRKWLNVKEALELCRYEWMQRALEAFLRHLLSSRVILFSGEYYSVDMNCNKSIMESDPSVTCFIFLNSSMSVISSRTGRDRQRYDNNFRLVSGCIPYRLIKDEGIEEDTSVDFVNKLQVLMVTSPNRHDLVFPKGGWEDDETVLEAASREAMEEAGVKGSLREVPLGVWEFRSKSSISSSCNEEDECFGGCKGYMFALEVTEELEDWPERENRERKWLSVKEALELCRYEWMQRALEEFLRVMQEEEEEEEEKKLRTEEETVQDDSSKLQECQIDPCYCFVVN >A06p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9305258:9306420:-1 gene:A06p019190.1_BraROA transcript:A06p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM3A [Source:Projected from Arabidopsis thaliana (AT1G21190) UniProtKB/Swiss-Prot;Acc:Q9LMN4] MSVEEDATVREPLDLIRLSIEERIYVKLRSDRELRGKLHMPFSTVDDDHEDVRFSSFPRMPGISMKSLATTKSYLFRQAFDQHLNMILGDVEEVITTVEIDDETYEEIVRTSKRKVPFLFVRGDGVILVSPPLRTT >A09p075770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56964787:56967697:1 gene:A09p075770.1_BraROA transcript:A09p075770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14690) UniProtKB/Swiss-Prot;Acc:Q8L836] MLEIGSPTSLCFRTNTTCNDLLQELQKIWVDIGESDAEKDRMLMELEKECLEIYRRKVDEAANSKAQLHQSLVSIEAEIASIMASLGVLNIHSPIKEKGSKSLKEKLAYVRPLLEELRLQKEERVKQFVDVKAQIEKMRGEISGYNKATMIGSLTLDDDQDLTLRKLNEYQTHLRSLQKEKADRLNKVLDYVNEVHCLCGVLGVDFCQTVSEVHPSLHRTNHEQSTNISDETLDGLQQMIEKLKTERRLRFQKLKDVVESLLELWNLMDTPQEERMRFARVSCVVRSSESDVTEPNILSTETIEQVSAEVDRFNKLKSSRMKELVMKRRSELENLCRLAHIEPDTSTSLEKSTALIDSGLVDPSELLANIEAQINRIKEEAQSRKEIIDRIDRWLSACEEENWLEEYNRDENRYSAGRGGHVNLKHAERARVTVNKIPSMVDNLIKKTLLWEEQTQKSFLYDGVRLVSILEDYKLTRKQQEEEKKRYRDQKKMQDLLLNRRESIYGSKPSPRRSTSIRKANGYSGNVSMPPTPRRNSAGAANSDVMMTPRSYSGQNGYFKEVRKLSTAPLNFVAIPKEDSASTYTYSEPDSPLHN >A09p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1289841:1295882:1 gene:A09p001740.1_BraROA transcript:A09p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSMSVSVECVNICNLTKGDGNVRSDCNALSCAWKAPRALTGFLASTAHPPVCSLYLCGRSGRKSRVKSCAWQSYEYEVGFSEVTHFVNVRKILSSRSSCGGHRRCELYCLSSESSGASDDVTIETLWEDLFPAISYLSCKELEYVQKGLKLAFEAHDGQKRRSGEPFIIHPVAVARILGELELDWESIVAGLLHDTVEDTNFITFEKIEAEFGATVRHIVEGETKVSKLGKLKCKTDTESIQDVKADDLRQMFLAMTDEVRVIIVKLADRLHNMRTLCHMPPHKQSSIAAETLQVFAPLAKLLGMYSIKSELENLSFMYVSADDYEKVTSRIANLYKENEKELTEANRILVKKIEDDQFLDLVTVNTDVRSVCKETYSIYKAALKSKGSIDDYNQIAQLRIVVKPKPSAGVGPLCSPQQICYHVLGLVHEIWKPIPRTMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEIQIRTEEMDLIAERGIAVYYNGRALSAELAENPIPLGRKSRGKTGCLNNADFALRYEVSYDSFFFQIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPTHVLENAEVVEILTYNALSSKSAFQRHKQWLQHAKTRSARHKIMRFLREQAAQCAAEITQDRVNDFVADSDSDVEDLTEDSRKSLQWWEKILVNVKQFQSQEKSRNATPASQNGSVWVPKVNGKHNKAVKNSSLENPEFFSPGGGIAKIFPANIPAYKEVLPGLDSWRASKIDSWHHLEGHSIEWLCVVSMARKGIIAEVTTVLAAEGITVCSCVAEIDRGRGLAVMLFQIEANTESLVSVCAKVDLISGVLGWSSGCSWPRSADNAQVLEC >A03p027140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:11309714:11310973:-1 gene:A03p027140.1_BraROA transcript:A03p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATQSLTQTHTHMAVAAQGSSFVKLFLTKPSFPTTTTTTTTNLSLPPTNRRPLFVFSPPQAQLSSPKKNPKDEQQRLSFTISYLVDSCGLSPDHATIAARKLSLDSPDRPNTVLNLLRDHGFSTSQISSLVKKRPVLLLANAESVLLPKLRFFLSIGVSKSLLARTLASDPTILTRSLLNQLIPSYNFLKSVLDADDKIVASLRRTTWIFLEDHTKNLVPNINHMSETGVPGKCIQLLLTHFPEAVMQKSHEFREITKQAKEMGFNPQKTTFVLAIHALSGKGNRSIWDKCFEVYQRWGWSEEDIMCAFKKHPHCMMLSERKINRTMEFLVEEMKMAPRSIAGCPVVLFFSLDKRIIPRCSVVKVLASKGMVKEDWSLTSLLVPVEKVFLEKLVIKYEEELPELMDVYRGYTTKLKL >A03g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3878042:3878968:-1 gene:A03g501350.1_BraROA transcript:A03g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGAVYKSRSGSGPSVRINQTMLRRHCWSSTSVVESLPDEIMELILSRLAVKCLLSCDGLICLFSHHTPNMVANPATGWHQCFPLSRVQQLLSNMCITDTPNPQLGFGKDKFTGTYKPVWLCNSAEFGRRLDNTTTTTCEVFDFSTNAWRYLFPASSYRILDDHKPVYFDGSLYWLTECAKVLSFDLHTETFQVICQAPFSIYLNLSKSICASSTTACAYPKETGPPN >A03g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21539431:21542237:-1 gene:A03g506000.1_BraROA transcript:A03g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGKSPASSDHNTSKKTVASSATAKSTGKSTASSAIVVKPNGKAVASSANPMEPNAATDLSSLPSEQVMFFREVSLGPREAELMFRMIHFWDARNPNTKTLIGREMLLIDEEGTVIQGFIPASRVERYELIAGSVYKLINFFGSRSKDQFRVADHSATVSFSWNSSLYVLENPPVQIPEDRFRFHTYEEFKANCDSRADLYDYVGHMKLVNGQTLTDHIVLDEADIAEKRHLCVHIQTHDGPVMKMYLWDKAASDFCQKFKSFGGIPSVLLVTTVNPKHIGGTLALTSMSSSRVFMDADVQPSKDYLEWLVSNSEIANRVSAEVVTKPEAVTLEELFSYIKNETSKVAWFECTATIDDVIQGSAWYYISCGGCNSKAVKGPTSLVCNNKKCDKREVTGVPQYLTKISVYDKSEQAVFVILGDAGKELTGKHAAELVANYFESNAGVGVDHCVPVPDALLETIRQTRKFIMKVSDHNLTGKTQTITVTKIIPACAPLPSVSDGISKTAGDDCGPSGGVGGDASDRARKAAEYLESDEAKRSKSG >A08g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6386777:6388402:-1 gene:A08g503600.1_BraROA transcript:A08g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTKSNLRKDIFMKSLAVKSCSNLNRTTKYDCPKATDMYPNRPRTSSSMAIGPQTSQARSIRGDQACTQLGRNVATERPSRSVDTDRARAKARSLRSDRALPKRRYDTSPCILVYPLMLSPEDRSELSSCFPPFEIINQSLRDLRHDSRPILRFLNQKPVNCRTVYDWFAREDKWQKSKSINRPWSEYIKSPRREAWGEDFLDSEFSALRNFRLYSRQLYQLNYVRLDPRKGANFGSHSLALEVFGARRRGGYGLLLLMATKRLIETMSGYIKDKLAALTAPMVNAYANAVLFNKIENLVATFRHKKSTKTSSRFLPVNTKENDKSYQNL >A04p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22409386:22410323:1 gene:A04p039590.1_BraROA transcript:A04p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLNAKAQSYQPVSVRVREAVLNEHAPRFEPVNRSGREVMPSEHSAPLQPVNSDEHSLFLTFSNGFPLTEMQIFDFFNWRYGPEVEGVIIPRPRGGRGPPLHGRVVFKNPLIPRMVMRDREKVCFSIDGRPVYAKRFFSKKVHTGASGSTSHRDGGSHPDGGSHPDGDE >A02p046960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29390449:29391004:1 gene:A02p046960.1_BraROA transcript:A02p046960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYALCFVSSLLVISFILLLATEMNLVEARVNGERSKTYVICGNERVCNWTCIFNEHFTRGRCRHLYCYCFR >A02g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4737486:4738573:1 gene:A02g501570.1_BraROA transcript:A02g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRILAIKPSLTGAPDKLSWLSTDTGEYSTKTGYAAKTLPPTGVSIGALAPWIVWQLWLARNKLVFEDKIITIEEVVSKAVASAREWITSQDQLTQPTRLIAPPTMLQADYVLLRTDAAWNESLQLAGLGWTTKRQGRDSSFSSIAHHVATPLAAEGLALREALLKCRDMGLSKIRCESDSAILIKAINANSPLVGLYGILADINSIASSFESISFNWISRERNGKADGLAKNVLSSELAIMAAPNSV >A05g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20283079:20286559:-1 gene:A05g507150.1_BraROA transcript:A05g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPGSRLAVDDLPGSRLVNAEMMRQLHAVYGQWLLKDGCWNFVVDHFKGARMLFLNEGSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGEEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEASTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQCKN >A03p008490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3421661:3423356:-1 gene:A03p008490.1_BraROA transcript:A03p008490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSLTVNAQGTEASVEETSDTKIQFNDYAPKVRKPYIITKERERWTDEEHYKFVEALKLHGRAWRRIQGHVGTKTAVQIRSHAQKFFSKVAREATGGSNVSSLEPIVIPPPRPKRRPMHPYPRKLGNKGDHTERDNSSPTSVLSPVGSLDSHSPNRSLSPVSFASPQAALATTSNAPEELETLKLELFPRERLVNRESSIKEPTKQSLKLFGKIVLVSDSDMSSSLTTYCKSPTQPLPWKLSMVIDPQEEPSSCWIQVPPKQEEVENRCLDSEKAVQNEGSSTGSNTGSVDDTGHTDKSSEPEAMVSQWEFTPSERSVFSELTRVTSESNSRGFCPYKKRKMITEAEQDIRLCL >A06p055850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29118752:29121983:1 gene:A06p055850.1_BraROA transcript:A06p055850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTAMVKEDNNNNSKAPDVVGQSSSVLEFVCFLGRGGFGSVALIRDSKHRLHAEKSSPIAYMESLKKEHRIMLRFRNHPRIVQTTNPNLHIDINLDHCYIYMEFASKGTLHNFISNFSGQPMPEDMIRRAALMILQGLEALHSRGYVHCDLKPANVLLFPSKIVGEPWDLKLADFGLSKEPSCTNPRSLSGGTKEYMPPESLGPNRVKMIGPAVDMWALGCIVLQMYGGYPVKMGECCYKWRLPRLVSPLANDFLRQCMALHPSRRATAADLLKHPFVCTKAKSYASRMPKMVMIREDNNSKAPDVVGQSSSVLEFVCFLGRGSFGSVALIRDSKHRLHAEKSSPIAYMESLKKEHRIMLRFRNHPRIVQTTNPNLHIGINLDHCYIYMEFASKGTLHNFISNFSGQPMPEDMIRRAALMILQGLEALHSRGYVHCDLKPANVLLFSSKIVGEPWDLKLADFGLSKEPSCTNPRSLSGGTKEYMSPESLGPNRVKMIGPGVDMWALGCVVLQMYGGYPVKMGECCYKWRLPRLVSPLANDFLRRCMALHPSRRATAADLLKHPFV >A09p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8803106:8804422:-1 gene:A09p016830.1_BraROA transcript:A09p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHKHEESIMEKIAEKIHGHDGSSSSDSDDEKKASSIKTKIFRLFGREKPVHKVFGGGKPADIFLWRNKKVSGGVLGAATLSWILFELLQYNLLTLFGHVSILALAVLFLWSSATTFIHKKPPHIPEVHIPEEVVLQLASGLRIEINRGFTILRNIALGRDLKKFLMVVAGLWVLSKVGSSCNFLTLIYIATVVLFTIPVLYEKYEDKVDDFGEKAMKEIKKQYAVLDEKVLSKVMSKIPKGAFKKKD >A07g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14830249:14834462:1 gene:A07g506220.1_BraROA transcript:A07g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRDFQTEIRRLKEAVAEREQKLLLLEKTVYDAGKDTTRVKLMVCLLVVIGLVILVLHGVASKASMGSVLSPVQWQKNQIPVDLESPEPFWLGSQAPDDSPSEISPECPSQIPPECPSQCSKDSWAHLPLVTGSSHTRSATSLPSDLCRRFTIEKIKSATNDFEKELIVGVGGFGPVYKGRIDDGTTLVAVKRLEISSNQGAKQFDTELEMLTMLRHIHLVSLIGYCEDENEMVLVYEYMPHGTLRDHLYKRNKAFDPPLSWTRRLEICIGAARGLQYLHTGAKHMIIHRDIKTTNILLDENYIAKVSDFGLSKVGPTSASQTHVSTVVKGSFGYLDPEYYRRQVLTEKSDVYSFGVVLFEVLCCRPVKLENFPREEADLVRWVKSNYKNGTVDQIVDADLTADITPVSLEKFCEIAVRCVQDRGTERPPMNDVVWALEFALQLHEAATNKNGVDSLDLPTRGEVGTTTEGEDDLFSTTNDVSACLARDEKSWGVFSEIKEPKAR >A09p059090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49541398:49541884:1 gene:A09p059090.1_BraROA transcript:A09p059090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISARISSVLRSSNLCLITPRFSSSSRFICSKGNPDESPKNDNGDKSSRDWDKAWKSFKKQSKKTFFSQFNVDKYVTWNPPRSEFPLSEEVDPIKRTERSNLMLWTSPKFTLVGAIVIVSFLLLYTILAPVK >A02p034400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18673745:18676256:1 gene:A02p034400.1_BraROA transcript:A02p034400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSSKHRQEETAAKPSTPITPAQSHVVPEHRKPQTPPTHHQISDTILGKPFEDIRKFYSLGKELGRGQFGITYRCREISTGNTYACKSILKRKLISKQDKEDVKREIQIMQYLSGQANIVEIKGAYEDRQSVHLLMELCAGGELFDRIIAQGHYSERAAAGIIRSIVNVVQICHFMGVVHRDLKPENFLLSSKEENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENEKGIFDEVVKGEIDFESQPWPSISESAKDLVRKMLTKDPRRRITAAQVLEHPWIKGGEAPDKPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANMDTDKSGTITYEELKTGLTRLGSKLSETEVKQLMEAADVDGNGTIDYFEFISATMHRYKLDRDEHVYKAFQHFDKDNSGHITRDELESAMKEYGMGDEASIKEVISEVDTDNDGRINFEEFCAMMRSGTTQPQGKLLPFH >A03p000760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:444947:452732:1 gene:A03p000760.1_BraROA transcript:A03p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDSRANNSLLKRLASFGVPKKCCSKRGLVEFIRANRSRTSEIVSALLPTDEDVKFGLKGTRERSRLSAVSPTMKKRFRESISWLQWLMFHDEPGVSLKNLAKLNVDQRGVCGSVWGENDIAYRCRTCESDSTCAICVACFENGDHSSHDYSITYTSGGCCDCGDDTAWKQEGFCSNHKGSEQIQPLSENLAESVGPVLDALFACWNSKLLSAEGIRNKDALAVRQKMSNEMTFAVVEMLLGFYKSSESLLSFVSRRIVASGGLLILLVKAERFLDQDVMKKLHDLFLKLIGDPVFKSEFAKALVSYYPLVVSGAVKKGEDPNFMKYPILPLFSVQFFTVPTLATVLVNEMNLLAMLLGCLSDIFLSCCGEDGVLQATKWVRLCDTSDRVISDLKYVMSHAVVSKYATHEHLELSRSWLKLLTFVQGMDPLKRETGIHIEEENENVHLFCDLSHSIAGIHSLLVNGAYSPASDEQLEIERTTKATLNNCDGVGERYAKVGKLSHEDSVCTAMISSSFFDSSMASKVYGVDAFHALIPSSAIWLIRECLKVLETCLGNDEGISKFLCKLVSPSGISVSSGHASSSREPSTEVQADVRTDCRRVSRNSSDPTENASGVHILGLCDWPDIHYDVSFQAISVHLPLHRLLSLLIGKALRMCYGESTLHHGVNVSLEIPQADFFSYVLGDFHPCGFSALVMEHVLRVRVFCAQVIAGMWKKNGDTALVSCEWYRSVRRSEQGLELDLFLLQCCGAVAPADSFVNKLLSRFGLSSYLSLNSDITNEYEPVLVQEMLALLIQILQERRFCGLSTAESLRREIICKLATGDFTRSQLVKSLPRDLSKSDELQEVLDNVSDYCNPSGMNQGKYSLRSSCWKELDLYHPRLHSGELQSAEERFSRYCGASALTTQLPRWRMIYPPLKGLSRIGTCKATFQIISSALYYALQGGTSVKSRAPDGVLITALHLLSLSLDICTQQRESNIQVCRVEDSIPILELAGLEIMGINQRTGHESLLSLLVSLMRTRKDDGLHQFPEAGSCNISSWIAKLLKKFSAIDSVSMKLLQSLAPEVVGQSGFDKVTSGAASDAKRKAKARERQAAILAKMKAEQTKFLSTLSSSMDDDDPRSETETSDSLMEQDSEIAVREVCSLCHDPDSKDPVSFLIFLQKSKLLSFVDRGPPSWDQRSQSEKNMSVDGVRVVLRRNFSSDSLMIPHDATSEPAADSVFEALTTRLIRNGQTEKRSSDGSGKDESNMESMEIAMYQTVRRKIDNIINQSLAHVDQRPLAAESSSERNPVGGIPTLQVRVRGIRSRQTSRHSDVSSDGFHPTDCDGVYLSSCGHAVHQSCLERYLKSLKERSDRRNVFEGAHIVDLKQGEFLCPACRRLGNSVLPACPGDLCSVSSIRESSHARLGKSDAQMPSLRLSEALCLLRSAADVVEDGDGVRTVSLQGDELIRKDLESVSNKLWNFYFSKQQENFPGSHWLSQSIVMWDTLKYSLSSMEIVTRCAKSSVLPVYCIDSLYEELNTSKGTALSLLLRVVQSTRTENALHVCQRFMGMKHLAEAICSGISSSPTSSIFGSEAGSLKNINLLWNRSSDPVLFHDPFSSLMWALFCLPSPFITCKESLLSLVHIFYSVSLVQTIIAYCAGRMFDLSNLDNLLSDISIALRESGGWEYFRSNNMDLSCDIKETIRKYSLPFLRRCALLWRLLKTTTSGKLHEEVDKFDESTSDNMDFMHSPQSELNHVNELEKMFKIPPIDTILSDELLRSSTQTWLGHFQKEYKVYRVKEPLCITSVVPFQLMKLPNLYQDLLQRYIKKPCSNCKTVMEEPALCLLCGRLCSPIKPPCCRESGCQTHAVTCGAGTGVFLLIRRTTILLQRFGRQSSWPSLYLDTFGEEDTNMFRGKRLYLNEERYAALTYMVSWFSWTRSQLLSSQSNDARNFLNLKRIFISFFSQVLFSDPKDSSIIV >A08p008380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4870446:4874040:1 gene:A08p008380.1_BraROA transcript:A08p008380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSKSSHLAVSEHFRPPIHAEKADEVEFPIPLDRSVHLGSCDGVFSDDMYAVASRRVLRCRGEVDKGPAKASSIDTDQIPSIDIGRIYSRKSLKCVAIFLMEAPPRDQTSLGEIRGIIGRRGEGSRVILSYHLILTSQMVSGSTECAADASHSHSALRKKHEISEKSPNKVARSLRSDRARAKLGRYVATTSIRHESMHSHLPFDAIFRRPQKTAQRDLRHDLKPTLLFLNQQPVNRRTVYAWFARKDKCQVSADKYIILKITKIRKMEYLHWTQLGSAVLGLLELGISPTALEPRLIPCYIRDLWETRVLLLSLFKRKSTVQISFEVNPTVRSDVMSVLLKGGQSVSLERESCRGDEGTSINGTPLMSIDGDSGTWAEYISRPT >A09g512290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35895680:35896676:-1 gene:A09g512290.1_BraROA transcript:A09g512290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMTGRNSPEVQGCSVIPKVMHGAHNSMGLAVAKMAYHVNYLGRTGVPRACVAKACASRASGLVSTSRDRIPRGPVLPRPSSNMYRGGGTVAYDTIPRFSSRKSTAWKLLQTQFTCFSFATYSPSYVWMILLAARLLPK >A03g502290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7354095:7355237:-1 gene:A03g502290.1_BraROA transcript:A03g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMVTIPPSCLRHPPDPPPPSFLGLMQHCSSSLKMMVTALPPLSQPRPPSDPARNKHLPIETPPVKPPEPPDPPDVSVSLVIFTSSSSSPLATQVLDLMFNYSRVSSKLSDDGAVLVFTGDTIFVNWRSSPVVYRLYLCQLGNESSPGSYSSFHFIHPLIDVQVHLSSLLSVYSYSFEKDAMLDMWVTLELWFLALFGSVLMDSVSFGYIYVPLSGFYVALMQLSTAVCSPIIVFNLVCGAVSVCFSSWWQLKETIIVICCLVNMVMAGIDYLLGSCLEQSLFPIFPHVWSELDEHVWLVLQGFSSRLTLFPAFSAVVVTLRVIRDAIVQETHETVVMRFLMFTCCDLYFHSILGLSVSYSTGLFVALLYSPLMELKLF >A03g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29741080:29741977:-1 gene:A03g509020.1_BraROA transcript:A03g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EREEQSSDNSEPGLNRALTVDSSPPIDPDALLLLRRERCHDRVCVGCWSCLSQQLACLASLLVCSRNHVLGSLRSWPLFLSLTMAGELATELTTRTMDMLRTTNLGILFDELFLVCFMLVLLLCLLFVVLILCFMFLFLCFMLLHLVIDSSSSFTSRQSQRMEHWEFSCATEWRKTCSFLSCCLVALGVSL >A01p048920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27519814:27520461:1 gene:A01p048920.1_BraROA transcript:A01p048920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTMMMMAMMMGVAMGDIVDKTCKQTPDYSLCLSLLRSDPRSSSADTVGLGLILVDKIKALGTETLGQINMAYKTKPMLKKPLDECNLRYKTIVDVDVHTAIIAIRGNPKFAEGAIVDAGVEASVCEGGFPKGQSPITGLTQKMNKICDVTRAIVRMLL >A08g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21731864:21732705:1 gene:A08g510070.1_BraROA transcript:A08g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFQSGVAIPLDFYSKHIQEAEINKPWKLRSDASDQIWEVNREGRTLTKGWKEFTEAHDLRIGDIVIFKHKGDMVFHVTPFSPSCCEIQYTHPFTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A09g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28298367:28301406:-1 gene:A09g509740.1_BraROA transcript:A09g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFGLGGVDDLYFSRLLNNLPGRRIFQSSTSYRTFNFTNRRFSPRAISKAIRRKPYRGRVPPLSSPVISPITTNLVSPSCRRAFSRRKTLNILVLILLFPSICRSVTAWDHIFSDHIFSDNIFSNYMHAQQDFEIVVVFLLKDYLDDFQEVFYDTTWTTSRKSSTMFYSLDDLHVSRPESLKMDLPELPPRMFTLGEEPDAMRSISYHSDDTKLFKALCDCLPADEYEDLKASKLGVFIKFKELEFGWTSRLVHFLLCFQMDIKKKFELWSLVASQPARFSLIQFEHLTGLNCDYIKDLENPRCEVTKEMTAFWEKMRVDLDTGPSIEQITEAFYHCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATPASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTSYTVDGFIQVVKVWAYYAMPELGANYGSPGGKGQHKCFKAAIKKHKDLDKKIQKWDGDVDDPAADNIIKVMFKDPGWKWTMNCWQVTGTHKVVKMEVSPGKNESESVVKEESSRPRKKARKGSSVSAETLAAGSDGFGMTKQQIERTFKDISDAISDGFGTCLREIKLLGDRMVAVEKKVGITKKGVHLMIVNLQPLQIHQNLCTNPRVKV >A02p005320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2315256:2316534:1 gene:A02p005320.1_BraROA transcript:A02p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTTELDFFGLDKNQTNNAPKPKFKKTLDRRRSFREMQGAISKIDPEIIKSLLASGANRSESSTRSLSVPSTPKEDHPQIPSSPVHVPLSRPSMELVSGTVPMTIFYNGTVSVFQVSPNKAEDILKVAMQTTPEKDKSVEKDLSVIPPTTLRSKLFGKNLEGDLPIARRKSLQRFLEKRKER >A01p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11553473:11554099:1 gene:A01p023340.1_BraROA transcript:A01p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSFVILVINVAIVAAPVTLQGRSRRPTVGYTKVDCVARQKRRNMSKRMNKAFIESKRTEKVLLAKCGSLDKIKEKTSEEGCNSVRVSFHIDQEALIMEPEEEVVHGTNIKQQVQCAFDVDKEGPVVKMMTHEVSQILNRSGSSGSLTAAQIMMQY >A07p028610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16150988:16154539:1 gene:A07p028610.1_BraROA transcript:A07p028610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFRAGSSATKLAVRRILNQGTRTPRYLPSQNRSFHSTLYRPNPQSSAAPVPRAVPLSKLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEQREIPEDLDLALYGFTEADLDREFFLGVWQMSGFMSENRPVQTLRSILTRLQQAYCGSIGFEYMHIADRDKCNWLREKIETPTPWRYNRERREVILDRLAWSTQFENFLATKWTTAKRFGLEGGESLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGIRPVDEVGYTGTGDVKYHLGTSYDRPTRGGKKIHLSLVANPSHLEAADSVVVGKTRAKQYYSKDLDRTKNLGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALSAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIKNHPSTLQIYHKKLLECGEISQQDIDRIQEKVNTILNEEFVASKDYLSKKRDWLSTNWAGFKSPEQISRVRNTGVKPEILKTVGKAISSLPENFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEEYCPLDHLVMNQDPEMFTVSNSSLSEFGVLGFELGYSMESPNSLVLWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPYVIPDMEPTLRKQIQECNWQIVNATTPANYFHVLRRQLHRDFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDDERKKVGASDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEAMNMGAYSYITPRLWTAMRSLGRGDMEDIKYVGRGPSAATATGFYTFHVKEQAELVQKAIGKESIS >A05p010640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4508704:4516853:1 gene:A05p010640.1_BraROA transcript:A05p010640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 10 [Source:Projected from Arabidopsis thaliana (AT2G36850) UniProtKB/Swiss-Prot;Acc:Q9SJM0] MARVYSNWDRLVRATLRREQLRDSGQGHERVNSGLAGAVPPSLGRATNIDAILQAADEIQAEDPNVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKRDGASINRDRDIERLWQFYQLYKRRHRVDDIQREEQKWRESGTAFSSNVGEILKMRKVFATLRALVEVLEVLSRDADPNGVGRSIREELGRIKKADATLSAELTPYNIVPLEAQSMTNAISVFPEVRGAIQAIRYTEHFPKLPDDYEISGQRDADMFDLLEYIFGFQKDNVRNQREHLVLTLSNAQSQLCLPSPNDPKIDEKAVNEVFLKVLDNYIKWCKYLRIRLVYNKLEAINRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHNMAKELDAKLDHGEAVRADSCVIENGSVSFLDRVISPIYAAMSAETLRNNNGKAAHSEWRNYDDFNEYFWTPGCFELSWPMKTESKFLTGPKGRKRTGKSSFVEHRTYLHLFRSFHRLWIFMVIMFQALAIIAFRKEHLDKDTFKILLSAGATYAIMNFIESFLDVVLMYGAYSMARGMAISRVFIKFFWWGLGSVFVVYVYVQVLQERNKRTSDEFFYRLYILVLGSYAAVRLIFGLLVKLPACHALSEMSDQSFFQFFKWIYQERYFVGRGLFENISDYCRYVAFWLIVLASKFTFAYFLQARMFLQLYIFATIKPLVKPTKTIIDLPSFEYSWHDIVSKSNDHALTIVSLWAPVVCIYLMDIHIWYTLLSAIIGGVMGAKARLGEIRSIEMVHKRFESFPEAFAKNLVSPVVKRVSFGQHTSQDGQDMNKAYAAMFSPFWNEIIKSLREEDYISNREMDLLSIPSNTGSLGLVQWPLFLLCSKILVAIDLAMECTETQGVLWRQICDDEYMAYAVQECYYSVQNILNSMVDGVGRRWVERVFMEISNSIQEGSLAITLNLKKLQLVVSRFTALTGLLIRNETPALAKGAAKAMFDFYEVVTHDLLAENLRDQLDTWNILARARNEGSLFSNIEWPRDPEIVSPSRRSKTEIHSKY >A02p056780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34230753:34232216:-1 gene:A02p056780.1_BraROA transcript:A02p056780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPNGQYQNLSQRVKDGTSCFLASKIPLDEDGVSNNENTDLVFKRTKRKIKSKKKRSDRKLGLSPPGTRHHLHLRSTAVLEEAEAFLQHRCLRTGGCAGRSHQNKISTIRVHAKKLKMSLVMFLIRRRRTKNLMFLINLAALNIQVKEGDSSHFPCEFKQQQKVDGELRSPVQMPKSDDLSPKNQKPIALGFQCCRF >A07p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13294338:13300378:-1 gene:A07p022820.1_BraROA transcript:A07p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDADTLPLSTSSAAANAKKENGYSALFSKGRYKFWALAAILLLAFWSMLTGTVNLRWSAGNISHFTDDLVFPIHEDLDVLEMEEREKVVKHMWDVYNNGRRIRLPRFWQEAFEAAYEELTSDVPDVVEAAVSEIARMSIRSVVIDPPPVHSTNVRELTKTLKLADKGTTTQTSKRSSPKPISILPPGKTVAELRSTFTMGDMNEPFMRNQNAAMGQSQPTGLTPNLLKLFEPRPPLEFQPPPEKRKCPPYTGMAQYVCHFAEPGNPEYAPPKPEVETPAQKRERIHKSRLEKGVEKAAEDLKNYYPNNDPNASGDPYKTLFVARLNYETSESKIKREFEAYGPIKQMASRSHVSLCNMFDFTFRSLGRTGPSRGFRRIESLETWLLLSGCVGYAFGWVKERKAIYRRSLSISLPRLQLRFTIMGRYSYSQPSSSSNSQDLNSLLQAEAEMYAAEAEISQWNAEAIHNKPSPEGDDGIPRTCYCGSEPVHGYGLTPKDPYRRYITCPNGDDGDCHVWKWWDVAVEEEMRDIQTELSELKGEANEREQKLLILEKRIGELTKKKPGAKRMVFTIVLVGLVLLINVLGKIVKDSKEWGVPAMLTHVGRSHGCIWSVALVGRSHGCCRSHGCCRSHG >A01p027720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18714974:18715540:1 gene:A01p027720.1_BraROA transcript:A01p027720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQGKINVKFPRINMKFSKIITKIGKNIISPFLSYDSLRVEGEKRERQRKKKLFGENLALRAIRQLSLFVISSCDSIRISRLSVVRTRNLAERSRIFGNSGKLEFSYFPNLNGNRQCEFRFPQPSILVI >A04g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5768713:5770301:-1 gene:A04g502390.1_BraROA transcript:A04g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFFQRATTARVHRILLSLLSKPMFEYPGEFGEMNLYGESLDREDFVTFCLSLFFLTVTRCGAEFDDIQQMRNTHDYFIKFVGSMASTFLDIKTNSSFAPEFTATLTKEPETEPFSKTSKLQGFIKTGQLGAHVGQGLEQSKKHFSDEFNQFCDRTMSDVVWMLCWNRVWPETLLQAFVGGVEKCLVKSVNPGLQIFRVEKDYRFDLVYMEATGGDRFKDLI >A06p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4510962:4513179:1 gene:A06p003800.1_BraROA transcript:A06p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFASPNVTAQFHSKTKIEEKVDYANLPCPKPYEDIHLEATKSLKPELFEGFRLDYTKRMNHKFSLIHSLLMGNSEFPAERSQHIFKTPTSSYEFGANLIDPKLMLDGRLMMDGTVIARFNSVLKENFTIKTTAQLINELDQSQGVFTVDYKGSDYRTQFQLGNYKNQFKPGTSSLFRANYIQHVTPKLSLGGEVLYLSEHRKSVVGYVARYETDKMVASGQVASSGVAIMNYVHKVTDKISLAAEFFYSFMLRDGAASVGYDLMFRQSRVRGKIDSNGVVFAHVEEQLCPGLGLLLCAEVDHVKTDYKFGLGVKYEGL >A07p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16896466:16899160:-1 gene:A07p030310.1_BraROA transcript:A07p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAPTDATLDLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVGLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >A09g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22307473:22308176:-1 gene:A09g507780.1_BraROA transcript:A09g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSYLMFSISSEGSLVPGTVLRFLLAGTWSVPLAGTRESGSCLEAGGNDTRASLRQDPVPLVLLAWVPLKPELILTQVGEVFVRDIPWIFGARTLQTGEMVRGNPYGPRILVRSFDGKRGSSPTFVSRFVFTCGPSSRRVYSVSRVFQFYPSDFFQSGPALSQAK >A04p026910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:16174345:16175607:1 gene:A04p026910.1_BraROA transcript:A04p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLQILTAFLFITATASSPPPSGFTMDLIQRRTNSPSSQRSNTDDQLRSSPYADVVFDNYEYLMKLQIGTPPVEIEAIVDTGSDVIWTQCLPCLNCFNQSNPIFDPSKSSTYKEKQCNTPNDPSCDYELTYADQSYSKGSFASETVTIKSTSGQSYVMPETVIGCSHNSSGFQTTGSGIVGLSWDRSSLISQMGKNMHGAFSYCLSPGGASKINFGSNAIVSGNGTVSTPMFLKPGFGFYHLNLDAVSVGETRVETLGTPFHAVDGNMIIDSGSTACSLPTSYCSLVWEAVEKLVTAERVTYADGLLCYKTNTMDVFPVITMHFSGGADLVLDKHNTYISNGHAICLLILCKEEALFGNTAQNNFLVGYDHSSRLISFKPTDCEVAQDIPRDSISAALVLQFNIKFVLFIFVLSLIRL >A10g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16710650:16712012:-1 gene:A10g506110.1_BraROA transcript:A10g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDSWVRIIDISARGFFIPRCRSAPRCVRDTATTTPRSLELASEPAEFPSRVPISLRRGSSHFTANHHKLLLPRLDQAVTASPSPPSRDQASSVASVSPSPSLAPLRDPVQAVLSGARVSSSSSFAVVEISLAVVRVETKLSISSNGDFFNICKTGPRNLDYLHIRPKLLKLHKRLLELALNLLIVQNNPSDFL >A09p002810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:682383:685430:-1 gene:A09p002810.1_BraROA transcript:A09p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STABILIZED1 [Source:Projected from Arabidopsis thaliana (AT4G03430) UniProtKB/Swiss-Prot;Acc:Q9ZT71] MVFLSIPNGETLSVDVNPNATTISAFEQLIHQRTDLPQPLLRYSLRMRNPSLANPDSILLSDLGVSRFSTLIIQIPLLGGMQAGGAAAPPPPKPRLDFLNSRPPSNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAPAPAEKAEEDEEAEEKGYDENQKFDEFEGNDAGLFANAEYDEDDKEADAIWESIDQRMDSRRKDRREAKLKEEIEKYRASNPKITEQFADLKRKLHTLSADEWDSIPEIGDYSLRNKKKKFESFVPIPDTLLEKAKKEKELVMALDPKSRAAGGSETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGQTVVDPKGYLTDLKSMKRTTDEEIYDRNRARLLYKSLTQSNPKNPNGWIAAARVEEMDGKIKAARLQIQRGCEECPKNEDVWLEACRLANPEDAKAVIARGVKLIPNSVKLWLEAAKLERDEENKSRVLRKGLEHIPDSVRLWKAVVELANEEDARILLHRAVECCPLHLELWLALARLETYENSRKVLNKAREKLSKEPAIWITAAKLEEANGNTQMVGKIIERGIKTLQREGVVIDRENWMNEAEASERAGSVATCQAIIKNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHSLTVFLTKKSIWLKAAQLEKSHGSKESLDALLRKAVTYVPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENKEPERARMLLAKARERGGTERVWMKSAIVERELGDVEAERRLINEGLKQFPRFFKLWLMRGQLEERFNHLEQARKAYESGLKHCPDCVPLWLALANLEERVTGLNKARAILTTARKKNPHVDELWLAAIRVELRHDNKREAEHLMSKALQECPTSGILWAADVEMAPRPRRKTKSMDAMKKCDRDPHVTAAVAKLFWQDKKVEKAGAWLKRAVTLAPDIGDFWALYYKFELQHGTEESQKEVLAKCVACEPKHGEKWQAISKAVENAHQPIEVILKKVVIALSKEQKAAA >A06p055580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29019147:29021843:1 gene:A06p055580.1_BraROA transcript:A06p055580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLAGECSYNESGVSSHSRNSHEKQDEGSRWYFARKEIEENSPSRLDGIDLKKETYLRKSYCTFLQDLGMRLKVFPNSPQVTIATAIIFCHRFFFRQSHAKNDRRTIATVCMFLAGKVEETPHPLKEVIFLSYEIINKKDPGATQKIKQKEVYEQQKELILNGEKIVLSTLGFDFNVNHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASQGSEVESSVAGASAHRPGSRNGASTDDHVGSRQTSVRSTHEHSNSDNHGGSSKDGLSQNNDNRGGEAANASIDHKEEIKREIKESPHHENHPAHDSRPLADGPGKENSERESGELQDDGAVPKSRKVETTGDAPIIQSPKDLKLLRDKVKAKREKAKKLLGERTREKDVMDEDDLIERELEDVELAVEDEKAKQRNVQSRPKAENSDLMGAEHGEIRDVKGVKSAEEGEMVNDVSPVHSRKRKMGSSPPEKQTEGKRRHNSENGEEDHKRSRGSSHHGDREHRRRSQENNHS >A09g515450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45750500:45752511:-1 gene:A09g515450.1_BraROA transcript:A09g515450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMSLDLNWRFIHPSFTSVVECNRYYSMYRYVENLAQEIRKLRCWLLLMVSMPNSGRSVPETLQEDLLSKMSAPPKSDAPLVPPTTLLRLMDATGGLWRTQQLAGNLAGILYSTRSQGGGQETTAYVNRLSGKQKLAITAVQNPFICEDRFIHFSQFQL >A02p008250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3447848:3449029:1 gene:A02p008250.1_BraROA transcript:A02p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHNPNSHERLKSWSELPSDLLISLLERLSFTDFRRAKSVCRSWYSASRQCVPKNNNIPWLLLFPEDNNSCCTLFNPEEKDKLYRTQYLDLVLPRIFCLKTCGSWLLMRNRSSNLYLVNLFTKERINLPPVESQVGTTKLERTVVGFRITCPDGSKPGKPMHIRSPVVWIDEKTKDYLVSWGLGTCCVVYSKKGDNSWTQVPEALDCCDMVYKDHKLYFCSYSRDLRIYDFSGEAPREIFWCRCVYVERFAYDGGGPRRPHVTNRKRLVVTKLVVTVTGDVLKVETYLRPKSRIWSFRLFKVCSSGDFKRVHSLGDESMLLALGITVLANNYEGIRRNSIYFNASHNITSDIFLFNLETRKMEQLHKFDCSSDQLSGNRWFLPSFTQAVVA >A07p032760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17917454:17919608:1 gene:A07p032760.1_BraROA transcript:A07p032760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79810) UniProtKB/Swiss-Prot;Acc:Q9CA86] MTSPPPPDDDAWVRSHHRLLPQSQSLLSSHQPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERVAAQLSGKVRTGLEGPGLTAPQKIWYCVASVGGQYLFSRLQSFSAFRRWGDSEQRPLARRLWTLVQRIEGIYKAASFLNLLSFLYTGRYRNLIEKALRARLVYRSPHMNRSVSFEYMNRQLVWNEFSEMLLLLLPLLNSSAIKNILSPFAKESSSSNKEDTVACPICQVDPATPFIALPCQHRYCYYCIRTRCASAASFRCLRCNEPVVAIQREGVSSGK >A03p047850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22179899:22186227:-1 gene:A03p047850.1_BraROA transcript:A03p047850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYIPVQNSEEEVRVVLDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIDQFRQILEEGSSSGQLLLAKGEIDNAYQAFTIGLGTSEDVPALLGQASVEFHRGRFSESLQLYKRVLQLHPGCPAAVRLGIGLCRYKLGQLDKARQAFDRVLQANPDNVEALVALGIMDLQANDSLGMRKGMEKMQQAFEIYPYCASALNYLANHFFFTGQHFLVEQLTETALAVSTHGPTKSHSFYNLARSYHSKGDYEKAGMYYMAAIKETNNKPQEFVFPYFGLGQVQLKLGELKGSVSNFEKVLEVYPDNCETLKALGHLYTQLGKTDKALEYMRKATKLDPRDAQAFVGLGELLISSDTGAALDAFKMARTLMKKGGQEVPIEVLNDIGALHFEKEEFESALDNFKEALGDGIWISFLDEKEKLEETGVSVQGYKDTGIFHRLIENGHSVDVPWNKVTTLFNMARLLEQLHKTETATFLYRLILFKYPGYIDAYLRLAATAKAQNNLPLAIELVNEALKVDDKNPNALSLLGELELKNDDWVKAKETLRAANDATDGKDSYAILSLGNWNYFAAMRNEKRNPKLEATHLEKAKELYTKVLTQHSSNMYAANGSGIILAEKGQFDIAKDIFTQVQEAASGSVFLQMPDVWVNLAHVYFAQGNFALAVKMYQNCLRKFFYNTDSQILLYLARTHYEAEHWQECKKTLLRAIHLTPSNYTFRFDLGAVMQKSSSSTLQKKKRTADEVRSTVTEAENAVRVFTQLSAASDLQVHGFDSKKIQTHVQYCTHLLEGAKVHREAAEREELQNRQRLEVARQAALAEEARRKAEEHRKYQLEKRKQEDELRRLKQEEEKIQRIKEQWKSNTHGSKRKDRAEDDDGEGRPSERKRKKGGKRRKKDKSSRARHYEDDDEEAPTMDDHNEVPASVARRRRALSSSDEEGELMESKPNSSPQKENSPGRQEESNVEEEEEDANLNDEE >A08g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20550398:20552514:1 gene:A08g509710.1_BraROA transcript:A08g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSLSVILLFLFLSASSAEHNARTERISGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTRNPDEADWFYTPIYPTCDLTPTGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIKRGILPLLQRATLVQTFGQRNHVCLDQGSITIPPFAPPQKMQAHYIPPDIPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPELDTILTSIPTEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDRSIYLREGEKVLNWTAGPVGDLKPW >A01g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24532706:24534091:-1 gene:A01g509090.1_BraROA transcript:A01g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPFMDLLQLAGWLFLNATFASSTSSIKYGGVNKIETVTVSELNTYVVNSKPQEAGELMNYAADGNISLFPGVDLKLRFNSYRVFLWVKSMLFIMRVYKRESSIFVTGLSIYTVPVEICEKLAIPEAEWPRAIGELCGLIYIKEALTKILKDAGVKVFFIHFLVMLCYCFAHVSLKRGRDMAELVPKYGLPSLAQRHG >A02p014290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6261751:6264600:-1 gene:A02p014290.1_BraROA transcript:A02p014290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIRPAAVKPEFEVAACDQKDNSALLKKQHNIRFLPRQGKPDKKTEHCKICLDDVDSDLMFYVERCGHRWSMARPNCPHHGCIFHLSIDRCGDLLTFKERSVWMQRIKENSIPLAERVYCPYESCSHLMSMTELSRRGSYSGFGRCFKCHGDLCVHCGVPWHFNLSCNDYRRLFPNKYREDAKLKSLASLNGWRQCPKCYHMVGRSYGCSRIICRCGNAFCYKCGYLWNSEEIMAAAGKPEFEVATRDQKDKSALLKKQHNLRFLPRQGKPDKKTEHCIICLDDVDSDLMFYVERCGHRFCINCVKQHINVKLVDGKVPNCPHHGCVFHLSIDRCGDVLTFRERLVWMQRIKENSLPLAERVYCPYESCSHLMSKTELSRSGSYSGFRRCFKCRGGFCVHCRVPWHGKLSCNDYRRLYPNKFREDGVDAKLKSLASLCGWRQCPKCYHMVGRSYGCNRITCRCGNAFCYKCGYLWNRGIHGDCNQDEVISDFIFCQFLFLL >A01p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:145581:147221:-1 gene:A01p000380.1_BraROA transcript:A01p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACCVAARDKMVLVVPPNETERRHSPTWSFRWDNHNRGRRVAGEDSSLTWLSDGISRNDGSEIKFGSAFVSSQGSPLDSFRTQPLHKSPASDLSFPRNSSMDTVFEQKENDLTQSVAPSYPSPAQFSLSQTSSFPASPLSPQSYFHPSSSSSSLKLTQRPRLSKQVSDSRIYGMNSLSRSSATEETQETLLRYGSSQSGPTESWSLQAFSEMMSSSRSNEPLSYDNDCFGLDRDKIDHHGNRVMSNHHQQTCGACSRPLSEKSMWSSQKIFMTNELSVSAILACGHVYHGECLEQMTPEIDKFDPSCPICTFGEKKSAKLSEKALKVEMDLKARHNKRLRNRVLDSDFDCDDLVMYDHSHMPAAAAAADKSPELVSSSSVKGYSAKPFLARHFSFGSRGSVSVLLFTV >A06p018520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8977506:8978596:1 gene:A06p018520.1_BraROA transcript:A06p018520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL-related homeobox 14 [Source:Projected from Arabidopsis thaliana (AT1G20700) UniProtKB/Swiss-Prot;Acc:Q9LM84] MERENQNGAYVGRGVMTEEQMETLRKQIAVYSVICEQLALLHNSLSSFHPLSSGINPNGDGYFNPMVASSSAQRISTRNRWTPTSTQLQILESIYEEKSGTPNRRRIREIAAELSEHGQITETNVYNWFQNRRARSKRKQPQTIATTDQAEDAAVTTDEKRSCGDSGGFESYEHILFPSPDLGIEHLLSRGELSGDFNSYTID >A06p009320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3270532:3271602:-1 gene:A06p009320.1_BraROA transcript:A06p009320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKRFNPLEDPPSASSSDEDDQVKTTSLARNSSSEEETDDESSLKSAPEKHQASDSESGSDEKNPGTKDSAVVKKNHDSKKVKPLTKKRSLSERDGAASKEAKKTYFQRVWSEEDEIAVLQGLIDYRNHTGASPYDDTNAFYLSVKKSISFDVSKTQVIKKIWGLKTKYENNLGKGELTFSKPHDRKAFDLSKFVWGADGAALDSAVKSNGKSKKSSKSKVEPEKVALDSANGKSKKSSKSSSKKVEPEKQELASSSSPNGKSCEEEAMTNKGEASSVVEVDAFDKSVLVKALARFGVDDLSAQRGWSRLALEDKKRFEEEWKVLQLRELEFYSKKSGFIHEVITKMAESFPPNP >A06g500570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2361670:2362074:1 gene:A06g500570.1_BraROA transcript:A06g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMDEIKAVFLEHGIDMDAADDLQDGSDGEFEKAVLELEQENGENVHAEEELATGEEEKLMEDGELAKRQGTRKRLFKTTIGTAASTKLRSASAMVSPRKRGASKPGTRHGEMGKQMEIKGTSNPKTGPQKP >A10p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11065603:11067066:-1 gene:A10p007900.1_BraROA transcript:A10p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKISTRCMRSIAPSSSIWLAHLRLPKPFGEGIAEPIFLSFSLAVLPSRFRSLCSRFWQSLAYRLLKSLPNFLRHLIAFLVRAREECLSFGLGEFRHLFLVKRNKQNPGGSRVSGQLRFFSTSPELAENIGEIRGLIGVFQRGHLNWSSFDRTRIRAAFAMPEGTNRAPSVVGGSEEETKHFREVVATPSIQAQQLVRRSSFRASGSASRSRASDRPPLISIRDSGDEGPFEERRSPILLSPGLEDEIVAAIPKRCRSSKAALPRDGSLFAAQGDLISLAGRMRSVGCRLPSLASSDEKKAYAKVMEAFNEYVVVMEDCVEASRNDREIERIGSEIKRLSEELVATKREGKRDAEKHVENPRESYTHTSTLFPFQS >A03p071340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31400083:31403264:-1 gene:A03p071340.1_BraROA transcript:A03p071340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVSFGTAQDLQSAMNGVLLNGSVDQAWRTDGFNTYQWLKPSTGLLLKDVCLVEADVCVHGITSANKRTTIAAPNQGTKYKRKSWRKERTNKHTKKRMDLIQILILSSLFLFISARFLLTKSNRKLKLPPSPAISLPVLGHLHLFKTPLHRWFLSLSKSIGNAPIFHLRLGNRLIYVVTSRSIAEECFTENDVVLANRPKFIVSKHVGYDATHLLSAPYGDHWRNLRRIAAVELLSTQRLNSFLYIRKDEIRRLISRLSRDSFHGFVEVEMKSLLGNLASNNIIRMLAGKRYYGEENDEAKFVRQLVAEVVISSGAGNPADYLSVVRWFTNYEKRIKDLGNRFDTFLQRIVDEKRADKEKGQTMIDRLLSLQETQPDYYTDDIIKGLILSLTIGGTDTTAVTLEWAMSNLLNYPEVLRKARNEIDDKIGFDRLVDEPDIVNLPYLQNIVSETLRLYPAVPLLLPHLSSNDCKLAGYDVPRGTMVLTNVWAMQRDPTLWEDAEMFKPERFDKAGEADKLLPFGMGRRACPGAGLAQRLVSLVLGTLVQCFEWERVGDELVDMTEDKGATLPKLVPLRTMCKARPIVGKLI >A06g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17239696:17240630:1 gene:A06g506080.1_BraROA transcript:A06g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVCGFVLCRLNNHQMKNYGKEPASFVKLRCLDHTISIKTNAELPQLLSCERNHSSNATGDISLTTRSEERKRCSFNAYLYNQPNGSASYTSLTCHCSLSGLHHKKSEEAMVSPLGFNEAKIFHTNMMNEVLQRTESDTRVGV >A01p013130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6416117:6419439:1 gene:A01p013130.1_BraROA transcript:A01p013130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLLLLSMFVLSAMGQLPSQDIMALLEFKKGIKHDPTGFVLNSWNDESIDFNGCPSSWNGIVCNGGNVAAVVLDNLGLSADADFSLFSNLTMLVKLSMANNSISGVLPSSLGSFKSLQFLDLSDNLFSSSLPKEFGGSVSLKNLSLAGNNFSGEVPESMGELVSLQSLDMSRNSLSGPLPKSLTRLNELLYLNLSSNGFTGKIPRGFELISSLQVLDLHGNSFDGNLDGEFFILTNASYVDLSGNRLVTTSGKLVPGVSESIKHLNLSHNQLEGSLTSGFQLFQNLKVLDLSYNELSGELPGFNYVYDLQVLKLSNNRFSGSLPNNLLKGDSLLLATLDLSGNNLSGAVSDIMSTTLHSLDLSSNSLTGELPLLTGSCVLLDLSNNQFEGNLTRWSKWENVEYLDLSQNRFTGSFPDVTPQLLRANHLNLSFNKLTGSLPERIPTHYPKLRVLDISSNSLEGPLPSALLSMPTLEEIHLQNNGMVGNIGPLLPSSGSRIRLLDLSHNRFDGDLPGVLGALTSLQVLNLAANSLSGSLPNSMNDMVSLSSLDVSQNHFTGPLPSNLSTSLMAFNVSYNDLSGTVPENLRNFPPSSFYPGNNKLILPAGSNASSESEGSKRKPRNLLIKVVIIVSCAVALVILILVAILLFCICKSRRQQERGGVTGKDINRTVPSGSGGGMVISAEDLAASRKGSSSPDEKLVAVATGFSPSKTSNLSWSSPGSGDSFPADQQLARLDVRSPDRLVGELQFLDDSIKLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKEFAKEVKKFANIRHPNVVTLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLAWIQRLKVAVDVARGLNYLHFDRAVPHGNLKATNILLDGAELNARVADYCLHRLMTQAGTVEQIVDAGILGYRAPELAASRKPLPSFKSDVYAFGVILLEILTGRCAGDVITGEQEGGVDLTDWVRLRVAEGRGAECFDSVLTQEMGSDPVTEKGTKEVLGIALRCIRSVSERPGIKTIYEDLSSI >A06p045960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24646965:24648251:-1 gene:A06p045960.1_BraROA transcript:A06p045960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAANKEAVESCHGVLNLLSQQTSDPKSLLVETREAVIKFKRVTSLLTRGLGGQRKFKKLNNNYYKFMSPLLPQYIFLESPICSNNAITGCTPVLAPKPLQVIPPAAPSYGEQRPVHPPPMMLNQKMCVDKSFLELKPPSLRAVDQKPYQFIRNHQQGVYYRSNSGLNLKFDGSGGGGSCYSPSVSNGSRSFVSSLSMDGSVTDYDRNSFHLIGLPQGSNHMSQHSRRTSCSGSLKCGNKSKCHCSKKRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDETSMLIVTYEGEHNHARMLSSQSAHT >A05p039370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24056151:24058090:-1 gene:A05p039370.1_BraROA transcript:A05p039370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPRPEDFETNPSTPITGQPTPTYPAGARKKGVGVRTWLVLCSRGQAQTTEVGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSKDPSVAPFIDELQRRILCHYRATKPKEEENYEGEMDQAQGGGDGTPLLFGDEAKKDAKQSLENQDGSKVLPFEFVALEACLEAASSSLESEAVRLELEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTEKLAQKLENSSASSMNESDTVEVDLPEGDEDDRHAPDFLNLLPLICSDSNRDESYLRANEDAHDLLMSTHSALSRNSRGTHTSSTRSAMTNKFDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVMSAFIAVAGVFGMNITIELFKDEKAGPTRFAWTVIGGFIGSIFLYVGAIGWWKQKRLLE >A07g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22787620:22788861:1 gene:A07g508260.1_BraROA transcript:A07g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLVTGGTGFIASYIIKSLLELGHTVRTTVRNPQEDEEKVGFLWELKGAKERLKMFKADLTAEGSFDEAVNGVNGVFHTASPVLVQQDHNIQETLVDPIIKGTTNVMNSCAKSKTTLKRIVLTSSCSSIRYRFDATKASPLNESHWSDPDYCKRFNLWYAYAKTLGEKEAWRIAEEKWLNLVVVNPSFVVGPLLGPKPTSTLLYILAIVKGLAGEYPNLTVGFVHIDDVVAAHVLAMEEPKASGRIVCSSSVAHWSEIIELLRNKYPNYPLENKCSDKEGDNIPHSMITRKIDELGFASFKSLPEMFDDCIRSFQEKGLL >A06g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7886961:7889484:1 gene:A06g502230.1_BraROA transcript:A06g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKPKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQETTSRRLYRKSRRLLGSPDDFQTTSRRLTIWCFQVKEIRVGLESFSLGKKHKNLPKRSEKSRRLPRSPDDFLQTTSWKSRRLPGSPDDFLEVQTTLSEDFQEVDDFQTTSRRLTGKSSQKSSRSEKPAHQIQI >A09p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20359848:20360173:1 gene:A09p033750.1_BraROA transcript:A09p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPMPEIGLGFSRFDISPSPLSEISVTRVGSFAPCRYLTDVIVISAPASS >A01p014740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7124514:7125367:-1 gene:A01p014740.1_BraROA transcript:A01p014740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDYEADIYLDARPTYPADWYSKLAALSHHHHLAWDAGTGNGQAATGIAEHYDRVIATDVSETMLHLGKPHRKVTYHHTPSSMTEDEMVDLIGGENSVDLITVATAVHWFDLPRFYAIAKRLLRKPGGIIAVWSYTTEMAVSPEFDPVMTRFNEKTMPYFKFPECQYVVDGYKSLPFPFESVGLGSEGKPMELEMKRTVSFEGFLRMVRSWSAIGAAKEKGVELLSEDVVKELETAWGGSELVRTIVYKTFMLAGTVRN >A08p044560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24608824:24613869:1 gene:A08p044560.1_BraROA transcript:A08p044560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLITAVNALISPSPRSPLLCHFLTPSPLRLSQSQSPSRRRYRVSFPRCAATSSEQPLVSTKKELTGLQPIVEKMTPPVRLATSAVVLAATLASGYGLGLRLAGSRNIALGAAAVAGAAGGAVVYAMNSAVPEVAAIGLHNYVAEIEDPASVTKDDIEKIASRYGVNKGDEAFQAEICDIYCRYVTSVLPAEGQSLKGDEVEKIVKFKSALGIDDPDAASMHMEIGRRIFRQRLETGEREGDAEQRRAFMRLVYVSALVFGDAASFLLPWKRVLKVTDAQVEIAIRENAKQLYAERLKLVGRDINVENLVDLRKAQLSFKLSDELAEDLFREHTRTVAIENISSALSVLKSRTRAVKSMSLVVEELEKVLEFNNLLVSLKSHSEADQFARGLGPISLIGGDSDFERRMDDLKLLYRAYVTDALSTGRIEENKLVAMSQLRNILGLGTREAEAISVDVTSKAYRKRLANAVTSGDLEAQDSKAKYLQKLCEELHFDAQKASAIHEEIYRQKLQQYVTDGELSDDNVAALLRLRVMLCIPQQTIEAAHAEICGSIFEKVVREAISSGVDGYDAETRKSVRKAAHGLRLSRETAMSIASKAARRVFTNYIRRARAAENRTESAKELKKMIAFNTLVVTEMVADIKGESSDKEPEEPVQVKEEVTEDEEWGSLESLKKTRPDKELAEKMGKPGQTEITLKDDLPDRDRIDLYKTYLLYCVTGEVTRIPFGAQITTKRDDSEYLLLNQLGGILGLTSKEIVNIHVGLAEQAFRQQAEVILADGQLTKARVEQLDELQKQVGLPQPQAEKVIKNITTTKMANAIETAVNQGRLNIKQIRELKEANVSLDSMIAVTLREKLFKKTVNDIFSSGTGEFDETEVYETIPSDLSIDVEKAKGVVHDLARSRLSNSLIQSVALLRQRNRKGVVSSLNDLLACDKAVLSEPLSWEVAEELSDLYDIYSKSDPTPAPEKVSRLQYLLGIDDSTATALREMGDGVFSSAAEEGNFVF >A07g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11088185:11088915:-1 gene:A07g505130.1_BraROA transcript:A07g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPQSMFSCCVLDGDPALSGLMSVIVAGGFTTSRRSISIRRKLHLYIDMAITNMQLSGLKTRCCKETVVTRLLRLWAARTVKKGGGAYGCGLGDAKRLIARRFIDTSVQSDMKLWPFKILPAPADKPMIVVNYRGE >A03p048530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21851867:21853552:1 gene:A03p048530.1_BraROA transcript:A03p048530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YITVMNLGSNNNNERKQFAFDLNVKPEDDNSDFPENSDLCTICLEPLVNTRDDRRTFVTLRCGHEFHLDCIGSAFNAKGFMQCPNCMQIEPGQWRYATNPPIPTISEGDWLSEEEDDDANSDRFTQSSLAASLGPYFNSQRITAIGEVQQRAPPSRQLTFSRVLEPGMMAGRHYLSHPSFHHNPSVRNAPLFPPYPNTGTLQVNGIPAAMFSSGREQVAGGISHSWTSFMYETQIGFPSSSRGGGTSVNDGDGIGASSSNGGRGGGGNGDCNGISGGNNLSGSRPS >A03p044570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18613584:18617849:1 gene:A03p044570.1_BraROA transcript:A03p044570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTKLKSVDFYRCGPLISLLHFLFPVMHVRMNMHIVGMNVISLPLWIVVKKIPRDLTEASLSGAGLSIVAALVMMLLFGMELSSYLEVTTTTAVVVDKSSDGDFLRIDFNISFPALSCEFASLDVNDVLGTNRLNITKTVRKFPIDPHLKATGGEFHSGLASHHINHGEEIKQEFPDGAIQLTNGGFQSLSHHFPLLIVNFNAPWCYWSNRLKPSWEKAATIIKQRYNPDTDGRVLLGSVDCTEEPALCRRNHIQGYPSIRIFRKGNDLKEDHGHHEHESYYGDRDTESIVKMVDELVAPIHPETHKLALDWGISNDTAKLLKKAPVTGGCRVEGYVRVKKVPGNLVISAHSGAHSFDSSQMNMSHVVTHLSFGRMIDTRLLTDLKRLLPYLGQSHDKLDEKAFINQHEFGANVTIEHYLQIVKTFVDTVITRRYGQEHSLTEEHEYTAHSSITQTYYLPVAKFHFELSPMQILITENPKSFSHFITNLCAIIGGVFTVAGIIDSVLHNTIRLIKKVELGKNI >A05p007770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3145900:3147878:1 gene:A05p007770.1_BraROA transcript:A05p007770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal nicotinamide adenine dinucleotide carrier [Source:Projected from Arabidopsis thaliana (AT2G39970) UniProtKB/Swiss-Prot;Acc:O04200] MSHALINGLAGAGGGIIAQLLTYPLQTVNTRQQTERDLKREKKKKKLGTFQHMCQVVRQEGWGRLYGGLAPSLVGTAASQGVYYYFYQVFRNQVEAAALKQKKKGLGDGSVGMFSSLLVAALAGSVNVLMTNPIWVIVTRMQTHKKMPKGLQTVPEPPSTDVEALVPVEPRPYGTFNTIREIFDEAGVTGFWKGVIPTLIMVCNPAMQFMLYETMLSKLKKKRALKGSTNVTALETFLLGAVAKLGATVTTYPLLVVKSRLQAKQVTTGDKRQHYKGTVDAILKMIRYEGLHGFYKGMSTKIVQSVFAAAVLFMIKEELVKGAKLLLSNATSIKSKPS >A02g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25954728:25955284:1 gene:A02g509720.1_BraROA transcript:A02g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPYDFQEVHTISRKSRRLPDDFQEVQTTSKKSRRLPGSPDDFQEVQTTEMKVVWKTSWKSSSALYFRRLTVWCFQVKEINVGLESFSLGKK >A03p018510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7559363:7560254:-1 gene:A03p018510.1_BraROA transcript:A03p018510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEAPAAPAVVPPVAEAAAAIPEDMDLLTALELTLRKARAHGGVVRGLHESAKLIEKRSAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEETTALNIVKKHIESN >A09p068890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53827055:53829070:1 gene:A09p068890.1_BraROA transcript:A09p068890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHGIEKSEKRANERERKELFTSRTQILSLALGSFPLLSPDSSQDPNPGSSLKTRATFRHKDQTMSQSVDRQTDLVGHNNNNNKVITNPLAMSLLIGLKKDKCISDSDFVRSPKSPLEFKMLSTMAADPFFFRSPRSSLTAHLNCPAKVGLSIVDSLGDDRVLSPDVVFGPALRIKSSDIKDKHPKSLKIEKERSGVIFEIGSFSADDCPTKTRVLSQSKFPRIGSDNAFTSEDEMEMEMSEDYTCIITHGPNPKTTHIYGGQVLECHKNGDDNKEKSIETELDSMFPLDNFLSVCNFCNKKLVLGKDIYMYRGEKAFCSAECRSEEMTIDEEDLEDSCVVMHGSLKQLLF >A06g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4844582:4845357:1 gene:A06g501330.1_BraROA transcript:A06g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSSSPIYSVAALPPPFKFACSVSGTPGMYAVVEISWESTCSFLILRLSIKLRFYLIFVRDLLLRFWEARNMKSCGNHIGVDLLLLDAKVSLVKRLLKASVEETRGD >A09p016200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8457711:8457911:1 gene:A09p016200.1_BraROA transcript:A09p016200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERFYIISRSHFRFPVAYGQMGLGAVPPEIYSLVGYKADLTGEIGLSGDNQARLLLRVHMAYFDA >A05p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8261825:8273356:1 gene:A05p018120.1_BraROA transcript:A05p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVPNKYIPPDFDPKKILRLCKPNNQQKKIRFMLPVRIRCNTCGSYMSEGTKFNCREEEVINETFYIKCTNCSAEVTIKTDSKNSGYTVESGAVGVYNGLEEEEKHDVAENALESLEKRTKVSKREIEVMAALDEMKSMKSRRASVSLESLLEALNKRKKQEEENVEEELLIKSIKFGKRTRIDEEKNYEVFDKEKKKPNRRVNGTYPIQISSVRIVSKKTAKLTRGLESLCHNYGSDSDEEKHATFDILADLIINIENLKAAKTSSRLNPKEFLGLASQLETLMASRETLKPGTSDSKCAASVRTVRLLAIKISNDLHGHDCQLKKDEASYLLIDSSRSLDSERQYFCLVNAQDRGITSSIRDGDSVSSSFWSGFEMRMCSNSISCFDTFQRLALEEETNILTFLENRCGLQITTLLDHTFCNSCLYQSSVKLNRSWRFPPFYSPTPAHFSNRSPTIHGNSATKKFFERSFPPPSPAKTVTAGDNKGQQVAVKVILKEKMKTAIAIEDVSREVKILRALSGHDDLPYFYDARRALGLNILMCRTTRSQTRERKVLNKYYSPDFDPKKIPRLRKPNNQQKKIRFMLPVRFRCNTCGAYMSEGTKFNCREEEVINETYLGIKIHRFYIKCTNCSAEITIKTDPKNSGYVVESGAIGPYNGHAEEEEEEKHEVAKNAFESLEKRTMVSKREIEVMAALDEMKSMKSRRASVSLESLLEALNRRKKQEEENVEEELLIKSIKFGKQTRIDEEKNDEVLDEKKTKKPKRRVNCTSPIQISSVRIVSIKTAKGLESLCHNYGSDSDEENRLNPKEFLGLASQLETLMASRETLKPGTSDSKCAASVRTVRLLAIKISNDLHGHDCQLKKDEASYLLIDSSRSLDSERQYFCLVNAQDRGITSSIRDGDSVSSSFWSGFEMRMCSNSISCFDTFQRLALEEETNILTFLVI >A09p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7930733:7932374:-1 gene:A09p015210.1_BraROA transcript:A09p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) UniProtKB/Swiss-Prot;Acc:Q9CAM7] MASSSSTSSGGGGYEIPWVEKYRPSKVVDIVGNEDAVSRLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNYREAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKVVILDEADSMTSGAQQALRRTIEIYSNSTRFALACNTSGKIIEPIQSRCALVRFSRLSDQEILGRLMVVVQAENVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVRNVLESKFDDACHGMKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLAVVRETAKAA >A10p038020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21341366:21342890:1 gene:A10p038020.1_BraROA transcript:A10p038020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNLFIAILAIVVTLSLQGDNNNVVQAQLTTNFYSTSCPNLLSTVRSTVKSAVDSQPRTGASILRLFFHDCFVNGCDGSILLDDTSSFTGEQNANPNRNSARGFNVIDNIKTAVEAACPGVVSCADILAIAARDSVVLLGGPNWNVKVGRRDARTASQAAANNNIPAPTSSLSQLISSFSAVGLSTRDMVALSGAHTIGQSRCTNFRTRVYNETNINAAFATLRQRSCPRAAGSGDGNLAPLDVNSANTFDNSYFKNLVAQRGLLHSDQELFNGGSTDSIVTGYSNNPSSFSSDFTAAMIKMGDISPLTGSSGEIRKVCGRTN >A09p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20446551:20449129:-1 gene:A09p033820.1_BraROA transcript:A09p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSRRSAQVSSGDDEEKIVRETRSQGQNSRRLEETMERKRRNRKMVRLYEESDGDEEEKEKNDEDEKEGGEKLHGAKPVGEPVKVSGKGKGRRTHYRQFEHGGNRYQLEDSVLLYQEDNSLKPYVAIIKDITQKKDGRMMILGQWFYRREEAKKKGGGNWEANDTRELFYSFHFDEAPAESVMHRCVVYFIPAHKQLPKRRDNPGFIVRKVYDTDEKTLWELTDKVYEVSKQREIDLLVEKSMSRLGDLPDLETEEHVEKAKRSSRKVNIPPADVRKEEDEYHSILRKFDSLTGDAHRNKCLAKLLKAVQNICSNAGDERKLGSAGSHLEQAESGSHDNPLKNESFLWPNDAVPRVCALELALHVSLASDYSKYNQRIRALAFNLKNTALLAKRLLNGELEPAKILKMSPTELKEGLTDEETEKNEPDDAVRMQMTDVRCSRCSDIKVGLKDIIQAGRGDRYQLECIACGHSWYASRDEVSTQTKDTEQPAQGTKTADVEKNLTSPHGAGKNATEESLKTTNDFNADNNPEATK >A04p022670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13678004:13680186:1 gene:A04p022670.1_BraROA transcript:A04p022670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYEQDLDEEAGYDDYYSDDNGLDEYEDDEEEEQEVPPKEELEYLELRQKIKESIRKKQGKGSVPPQSSQDRRKKLPYNDFGSFFGPSRPVISSRVIQESKSLLENEIKNSNQPKKRPAPTSSSGDRNVTQEKRPKPVSAVRRKVETLKDTRDYSFLFSDDAELPVPKREPLSRSGSFRNSESQSAQLSARPKQQSSGINGRAAHGPPPREEKRPVVSANGHSRPSSSGSQMNHLRPGASSGSKMQSRPVSGRPASSGSSQLQHSRTTPSGSQMQQRAAPSGSQRPGSSINRQAPTRPQGSSMNSQSANRNGQPSSRSAPAKVPMDHRRQMSSSGHGVGPARSVSTSKPLPSRTALERKPSNSAGKSSLQSSQRPSSRPMSSDPRQQRLAEQQRKVSRDPTASRMIPKQSAPTSKHQMMSKPAPKRPPQRDIDDRRPLKKKKPAVMSEDAKALSMIRQMFNTDRYAGRDYDDRDMEAGFEDIMKEERRSARIAREEDEEEARLIAEEEERERQRKLRKLRR >A02p008020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3346293:3359162:1 gene:A02p008020.1_BraROA transcript:A02p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCCLSTKTISRERKGWRERERPRVYYYDQKKSPFCNKRRTNDISLDLQIEILNRLPAKSVVRFMVLSKSWQQIIRSKSFITSFPFRPLTRPLRFLLALCNIEAQSERLSCSFFSSSWLSLSSTSISTTFLSTITLPRSLTLCRSYYVNGLLKIGDSLCNPCTGKTLDLPRLVETTPSRPRWFFGYDPVNNQYKVLCITPNLAGHANQFQVFTLGAKPKTWRSIGCGIPHSTFSDGLCIDGFVYYIASTGTDMCVMRFDLKSEKFDIFARVSEKLKALFFPGNGSRNLVNYHGKVAIAIRSSRSVPSIDLFVFEAGKQDYKEKSIDNLPPLHLRMKGVINHTGGIIFAPSYFGSEVTLFHHDPKGARFKKMAFEVDAKHVWLTVSNCYMGYVESLMLIRSLRLCNKRRTYVVPLDLQIEILSRLPSESVVRFMLVSKSWREIILSKSFMRLRSLTQPLRFLLALQDIDYQTGRINCSFFSSSSLSSSSTSISTTFLSTITFPLRLASGPFYYVNGLMNMGEIICNPCTGKTISLPKLVKTTPAARRRRLADRFFGYDPVNNQYKVLCITQYLAQHATPHHYQIFTLGAKPKRWRFIDCGIPHTTLSKGLCIDGFVYYIARTDARMMCLMKFDLNSEKFNIYARVSEEMKAVYFQDNGSRTLINYHGKVAVAIQPSHSVPSIDLFIFEAGKQDYKEKSFDNLPQLHLRVKGVINHMGDIIFAPSCSERDDIVIIHHDLKGASFKKMKFEVAKHDWFNESNYFMGYTMSLHLCYNVVPLDLQIKILSLLPSKSLARFMTVSKSWQEIISSKSFIRSRSLTHPLRFLLALYDTDYETRCRNCSFFSSSSLPSSSSTSIPTTFLSKVTLPLHKTYYPTYYVNGLLNIGEIICNPCTGNTVYLPENIVCGRTGTAQRFFGYDPVNNQYKVLCLTPYTEGDTKTNYVQVFTLGAKPKTWRFIDCDIPHITWSKGLCIDGSLYYIASMDKGMCLMRFDLKSEKFDIFARLSKKMDTLFFLDEGSKTLINYHGKVAMAIRPSYSAPSVDLFVFQAGKQDYKAKSFDNIPQLHLPIKGVINHMGDIVFAPLHSRIEVNVIHHDLKGASFEKMRFDVDAKSEWEFRVRELGFPTMRRSLRLCNKRKTNVVPLDLQIEILNRLPAKSLVRFMVVSNSWQEIIRSHTFIRSFPFRSLTQSQPLRFLLALHDKDDQTGRLSCSFFSSSSSLSSSSTSISTTFLSKITFPLRCRASYRSYYVNGLMNMGEIICNPCTGKTISLPRDKRPAFSTRFFGYDLVNNQYKVLCITPHRLSTQHDFQVFTLGAKPKSWRFIDCDIPHRTWSNGLCIDGSVYYIAYTGAVTMSLMRFDLNSEKFDIFARVSEEMKAFCYLENGSRTLINYHGKVATAIQTYYEPATIDLFVFEAGKQDYKEKSFDNLPQLHLRVKSVINRAGDDVIIFAPHHSRSVASVIHHDLKGASFKMMKFEVDVKGDWFTESNCFVDYVESLMLL >A01g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6139873:6140400:1 gene:A01g501700.1_BraROA transcript:A01g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQKNPVYDKKDIRLSTIRIRFSITITGEVVRNENEPIRPNITASIKKASTTEETTVLRPKDQNFAETKIDSVLATSHHSLLSSSCLV >A02p059750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35612103:35614858:1 gene:A02p059750.1_BraROA transcript:A02p059750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYPNLRRGILLSVLYVVVLLCNASTSTSTSYSSSEAITIKPRHLSLLKSALQRPSGEQSDLWKPMTDQGWSPCIDIEDPLSALPDKTKGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPFLEINPVWQDSSSFVDIFDVDHFIDALKDDVRVVKELPEDYSWSTREYYAAAVRETRVKTAPVHASAQWYIENVSPLLHSYGIAAISPFSHRLSFDHLPGEIQRLRCKVNFQALRFVPHITSLGDALVSRLRNPLWRSEKDRKSVDHLGDMTNPNSRHEPGKFAVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQMIWQGRVLNSQFTDEELRSQGRCPLTPEEMGLLLAAFGFDNNTRLYLASHKVYGGEARISALRQVFPRMEDKRSLASSEERARIKGKASLLAALDYYVSMHSDVFISASPGNMHNALVGHRTFENLKTIRPNMALIGQLFLNKSITWVDFQQALGEGHVNRQGQIRLRKPKQSIYTYPAPDCMCHV >A03p020540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8440528:8441232:1 gene:A03p020540.1_BraROA transcript:A03p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNNILVLCFLIGLGLCSARRSLLTYSSESEAEVASYGEKSSLSVGVGVGADVDVGIGLGGGGGGGGGGGHGGGAGGGGGGGPGGGSGYGGGSGEGGGAGYGGGEAGGHGGGGGSGGGGGGGAGGAHGGGYGGGEGGGAGGGYGDGGAGGHGGGGGGGKGGGGGGGSGAGGAHGGGYGAGGGAGEGYGGGSGAGGHGGGGGGGGGSGGGGGYAAAGSGHGGGAGSGEGGGGY >A09g517340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51077712:51078418:-1 gene:A09g517340.1_BraROA transcript:A09g517340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVHGRLPYATLKPDPILRNIILSLPIRNLHFATGTPVMCKPSEGAFEQVFNMTNINPHKTLFYDDSTRNIQTGKRVGLHTVWVGTSHKAEGVDIALEHIHNIREALPELWDR >A05p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4321527:4322991:-1 gene:A05p010290.1_BraROA transcript:A05p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKESERNVVAKPVASRPSCSSVRTFTDLLADSVTVSPQSNCHETVDASIIPKTERFKQPASASVSSPRVEGSGDVKSCDDSESKSYVIYKPKAKLVSQATVSALANMLPGNCQQTWIKREAVAYGKRVSQGTHLAVPNLVPRVPTFKESETSIGDRSYVDGYNWRKYGQKQVKGSDSPRGYYKCTHPKCPVKKRVERSSMGGHVSEIVYQGEHNHSKPSCPLPRRASSSSSSGFQTPSEESMGQEPNPLWSDQEKMNEGCVITPFEFAVPRTANSTGGTSDSGCRSSQCDERELDDPSRSKTSMKNETQSSEAGVSQSSGESDSLEDGFKWRKYGQKAVGGNAYPRSYYRCTSVNCRARKRVERASDDSRAFITTYEGKHNHHHLQLRPPTSSTLSFSSPQHSNQPI >A09g516220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47935991:47937852:-1 gene:A09g516220.1_BraROA transcript:A09g516220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIWTERNKRLHDGVSQTPASLFKKIDRNVRDTILGKRKTALDMFLICNDLILQHLTCQSFGMNYKDLIAMIKKPKVWPSFATEPEKIEILQICFSDFKIIHIPQVQN >A06p054880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28760058:28761006:1 gene:A06p054880.1_BraROA transcript:A06p054880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRISRGGRSLLGGVKNDFSGSIISSNGMMNESILLSQQQQQRRTFIQMGTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGAVVYGVVVRAAMQRGRVDGSEVRFDDNAVVLVDNKDKKTKTDRQPIGTRVFGPVPHELRKKKHLKILALAQHIA >A09g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23340942:23346036:-1 gene:A09g508240.1_BraROA transcript:A09g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGIDRPRSTDQHMEPNQSGDQNVLKISTEVHVFHHTDQTDRTLYWAVPHASGWKLWLEPWPDDRFDRTRFCIHHTVFHFMKNSRDEITFGHTNLEIGHRYSFLDSTARTAHTTRLELQYYPQPDDRIFRTESRLFRPVFHSKKNGRGSFQFDRMDFKLGRATSFPTSLDCPDRVLVLGIRATLSVTLLSFHLLISPSSSNTSSSTFLKSGPLININIYKKKIYVLIKKKKKFKILFCGLVVEEKACWLRRNPAFEVVMMFSLQRKSNKQKHPRLSVSQTSFKYALNTFDEFVDVQEKPNRWSKEHVNTSKDVADPKRQHFQFDVQQFCDNFVKGVDKALKDETQGESENCIEKLKDFSDSIPIFDESDEEPIESLIICEQSCDISSLEPEFMVDNEQAIVKLTVFKEEPLNFPHQCPCLDTWISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSQLCFDSSTSPAPLSSELQEYCEEPSFLNSLPDMFVKISTHDVIRFGLDKVKEFCVSKSVFDNMINSFKIFEPDKFLDQPRFQNVNGINSGFILCFDQFLEDSHCFDHFEKSLELDLKQTVFCSNKSFDSFVFKENSFDLNYSRHRLITDHLFPSSCALDKILIQKLLENKSLKTENDFCDLDFCVFILQLDLVCSKNDKTWHLLKSFRDQCVVLSLDDIVVYNTFFEKYLEPMIFDSKFELKLVCSDVEPDMHVMKMSNIVACLKKSLVCNIYFVEHLERLRCVLLVLGKDILMFDFNKYLPCTFDPGLLVFVLSIPERQVQPLNESIGRAQQPQIWRSFVVQTGYLGAINRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVINFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKMFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKQILTWLKNVLLKPFHELISLSRALKEIWCRKKHELKLLRPKNSFDFVHDENFSNLAFFSPWPDFEIDKSIFGNQLTCLILVHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHDQFLRRASTNGRQNDRFDRTRFYIHHTVFHFMKNSRDEITFGHTNLEIGHRYSFLDSTVRTARTTRLELQFYPQPDDRIFRTESRLFRPVFHSKKNGRGRFQFDRMDFKLGRATSFPASLDCPDRVLVLTFLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFGLFRNP >A07p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15359176:15362358:1 gene:A07p026870.1_BraROA transcript:A07p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKDSDRTLGYMTRKDTEVKLPRPTRVKNKTPAPVQITAEQILREARERQEAEIRPPKQKITDSTELSDYRLRRRKEFEDQIRRARWNIQVWMKYAQWEESQKDYARARSVWERAIEGDYRNHTLWLKYAEFEMKNKFVNSARNVWDRAVTLLPRVDQLWYKYIHMEEILGNIAGARQIFERWMQWSPDQQGWLSFVKFELRYNEIERARSIYERFVLCHPKVSAFIRFAKFEMKGGEVARARHVYERATEKLAEDEEAETLFVAFAEFEERCKEPERARFIYKFALDHIPKGRAEDLYKKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYVRLEETVGNKDRIREIYERAIANIPPAQEKRYWQRYIYLWINYALYEEIETEDVERTRDVYRECLKLIPHSKFSFAKIWLLVAQFEIRQLNLAGARQILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYERYLEWSPENCYAWSKYAELERSLAETERARAIFELAISQPALDMPELLWKAYIDFEIAEGELERTRALYERLLDRTKHYKVWVSFAKFEASAAEQEEDEEEEDQEETDAIERKKECIRRARAIFERANSYYKDSAPELKEERATLLEDWLNMETNFGKLGDVSVVQSKLPKKLKKRKPITREDGSTEYEEYIDYLYPEESQTTNLKILEAAYKWKKQKLATSEEYD >A04p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2887740:2888840:-1 gene:A04p005500.1_BraROA transcript:A04p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQASLLLQKQLKDLCKKPVDGFSAGLVDENNVFQWSVSIMGPPDTLYEGGFFNAIMTFPQDYPNKPPTVKFTSEVWHPNVYSDGKVCISILHPPGDDPNGYELASERWNPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDNRAEFRKKVSRCVRRSQEML >SC229g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:67391:67844:1 gene:SC229g500050.1_BraROA transcript:SC229g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMSQGCKGKAPLPFQLIPTAHLIHLNLPFPPMDCTVDGTDLLSVPLALSLYIALSSFLVAPDIFMAHPRLYKAVLNALSLHQPSIFSLTPPQPSHDQSKSFLDLTSQDNSFRTLLKLD >A03p006250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2645436:2649647:-1 gene:A03p006250.1_BraROA transcript:A03p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDSMQQQQQLPPMQNQHHVAAGGPPMHPPPMMRHPSASSTNINPDYHHPSAPNPFDSHVDSFGAKRMRKHTQRKAVDYTSTVVRYIQARTWQRDSRDMTSLQPTPAAAVDMLPPVAYSDNPSTSFAAKFVHSSLNKNRCSINCVLWTPSGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPIRSMVWSHNDIWMVSGDDGGTLKYWQSNMNNVKANRTAHKESIRDLSFCKTDLKFCSCSDDTTVKVWDFTKCQEESTLTGNAGHGWDVKCVDWHPTKSLLVSGGKDQLVKIWDARTARELCSLHGHKNMVLSVKWNQNGNLLLTASKDQIIKLYDIRTMKELESFRGHTKDVTSLAWHPSHEEYFVSGSLDGSICHWIVGHENPQIEIPNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDNPRDALTMQNQGYNEQGFGNRMPDNFQLSEASPTPGAFVPGLTRNEGTIPGIGIAMSFDGSSQGEHKQPLPGGMAPPLPPGPHPSLIGISQQQGYQQQHHHHQGHPQQIPPMPNMPHLQRPPSSHMPLHPHHPRPMQVPSHGHMPPSSMPMPHQMPGPMGMQGGINPQMSQGHYMGPPSGAFQGPPSSGGPIYPQGRGFNRPQMMPGYNNPFQQQPQPPLPGGPPPNTNQQHQ >A08p012810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11573565:11573903:1 gene:A08p012810.1_BraROA transcript:A08p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFWEDQASTWRKKMETWKTKMSTRDGRANGDAASQPAKIMEVVTDLQVPVESMELYDEVDEPPIFDVYDEEEPIYNNVVPFSHIYGDGDVAVLGCEYFEKKYSSHGFKDR >A01p036530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21008825:21010760:-1 gene:A01p036530.1_BraROA transcript:A01p036530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGPDNQDEYIIGQFHICALPPDVLVVNKHWDRAKRFQLCQFGLISRISLIVVSCYSCLDISHIASDLGKTILTHKPHLDLMQMNEAENLVEVELDKPFAKLIACDDKQGNIYSFIVYIWSPSTCARCGNLGHKEKRCLLPLSSSTLVKTTFLGVIGEILNVPVVNIENILQVSNLSFPKTTSTQEKSAPFSDSHLHIHVEIQYDQKEMEPSTKEVTSLRQEALSPVQEMSFPQSESTSPLAGITLF >A04p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22050590:22054708:1 gene:A04p038830.1_BraROA transcript:A04p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSWCLVEAKLFIKEVILGESLSSVKRLSLSTHMEVPACFIFNQLEYLQLFTCELKSWNFLMLLLDSCPNLQVLDINSDYHMEIPWTPPNHVHEYLIRLKTLNWYVIERIYGEEAAKYILSNARRLENFTLLMSSRQDECFPAEEKLKVRHDFEGWCPDDCKFECKFLINAVREEELGKEMSFTQANCFRPSYHSPVTRIRRAADGVSSSVPIRATASVSTLFSPLLSHRRSGKWKQSSAVCLFGGKDKSNGGGGDEISPWKAIEKAMGKKSVEDMLRDQIQKKDFYDTESGGNAPPRGGGGGGNGGERPEGPGGGEDGGFAGIAEETLQVVLATLGFIFLYTYIINGEELMKLARDYFRFLTGKPKTVRLTRALDGWNRFMETMSKPREYDEYWLEKAIINTPTWYDSPDKYKQVVKAYVDAKTDEQQFD >A03p003280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1426066:1427657:1 gene:A03p003280.1_BraROA transcript:A03p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISLTIVAFLVAFASPVVLATDTAPIPEDRSQIPQWFQTNVAPYSQRKGTLDPSLEAAETARQVITVNQKGGANFKTINEAIKSIPTGNKNRVVIKLAPGVYNEKVTIDVARPFITLLGQPGSETILTYHGTAAQYGTVESATLIVWSDYFLAANLIIKNTAPMPKPGAQGQALAMRINGDKAAFYSCKFYGFQDTLCDDKGNHFFKDCYIEGTYDFIFGRGASLYLNTQLHAVGDGLRVITAQARQSDTEQNGYTFLHCKITGTGTGIYLGRSWMSHPKVIYAFTEMSSVVNPAGWRENFNRGYDKTVFYGEYKCFGPGSHQEKRVPYTQDVDQNEVRPFLTLGYIKGSTWLLPPPKY >A03p056550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24447386:24450613:-1 gene:A03p056550.1_BraROA transcript:A03p056550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESFLDSKEDAHKSMIYSYQHGFSGFAALLTSSQANKISDHPEVVHVIPNRILKLQTTRTWDLLELSQIPTSFSSSTTSVKGLLHDTNMGSEAIIGVIDTGIWPESKVFNDQGLGPIPKRWRGKCEPGEKFNATIHCNKKLIGAKYYVNGLLAEMGETFKTTTVREFKSNRDALGHGTHTATIAGGSLVPNVSFYGLARGTVRGGAPRARIASYKVCWNTMQKDGTGPGGSCSTADMLKAFDDAIHDGVDVLSVSISGDLPEDTEVDKPDFVGAFHAVSKGIPVVAAASNEGPKAQTVANAAPWLLTVAATTLDRSFPTKITLGNKQTLFGESLFTGPEISTGLAYWDSESNDNADVKGKIVLVFDTTSTYPVETKGVAGVIYAQHPDDIVDRCHAFPCIYTDYDLGTDILQYIRTTRSPTARISAATTLTGLPATPKVAEFSSRGPNSVSPAILKPDIAAPGVSILAALSPFDPNGHDGFGLASGTSMSTPVVSGIIALLKSLHPDWSPAAFRSALVTTAWRTSPSGEPIFAEGSNKKLADPFDYGGGLVNPQRAAHPGLVYDMGIEDYINYMCSKAYNDSSISRVIGKKTKCPTPKPSILDMNLPSITIPNIEKEVTLTRSVTNVGPIKSVYKAVIESPLGITLTVNPTTLVFSSEDKKVLSFTVKAKTSHKVNTGYFFGSLTWTDGVHDVKIPVSVQTRIMIKS >A07p048280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25817801:25820433:-1 gene:A07p048280.1_BraROA transcript:A07p048280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSKSRGCFGWFIALIVLAAVILAVVYMVKSKMKKPDDNDDNEAGPVPGPPGAIDKKYADALKLALQFFDIQKSGKLVNNTIPWRGDSGLKDGSEANLDLSKGLYDAGDHIKFGFPMAFTATVLSWSILEYADQMDAVNLLDPAKESLKWTTDYFVKAHPSDNVLYIQVGDPEVDHPCWERPETMKGKRPVIKIDADTPGTEVAAETAAAMASASLVFKDSDPRYSATLLKHARQLFDFADSKRGLYSVNIPEVQKFYNSTGYGDELLWAASWLYHATEEKTYLDYVTKHGNEFASFGNPTWFSWDDKLAGTQVLLSRLLFFKKDLSGSKALASYRGTAKAVMCGLLPKSPTATGSRSNSGLVLVSDWNPLQQSVSAAFLASLFSDYMLTSRIHKISCNGKIFKATELRDFAKSQADYILGKNPMGMSFVVGYGDKYPEYIHHRGASIPADATTGCLDGFKYFNSTKPNPNIAYGALVGGPYLNETFSDSRENVRQNEPTTYNNALLVGLLSSLVTTSSALQSLK >A08p004770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2798247:2798856:1 gene:A08p004770.1_BraROA transcript:A08p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVPGSVGTSASLSLRLGQTLLAFGALLFMTIGVRFYQFTAFCYLVTIMALAIPWNLTLAMVDIYCVLLNQPFQKPRILLAISIGDWVVSVLALASASSAASVVDLLRSDESVCPPTICNRYQFAATLAFLTWFLSLSSSLFNLWLLPSL >A07g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20951697:20954650:-1 gene:A07g507630.1_BraROA transcript:A07g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSHLETTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p042400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25651960:25654737:1 gene:A05p042400.1_BraROA transcript:A05p042400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) UniProtKB/Swiss-Prot;Acc:Q8RWG2] MHFLVPTSSSSSPSPANTSSFSLLFLAPENLSKPPAKIHIGIHGISGHSSKNTSLCAVVDRSSSNVSSRKESPDEEVLVVRRPLLENSGDDEGDKTYPAKIDAGLSKIAKKMPIFEPERSESSSSSSAAAVARAQEKPLAVNLDLSLYRAKFLARSFRYKDAEKILEKCIAYWPEDGRPYVALGKILTKQTKLSEARIVYEKGCQATQGENAYIWQCWAVLENRLGNVRRARELFDAATVADKKHVAAWHGWANLEIKQGNISKARNLLAKGLKFCGRNEYIYQTLALLEAKAGRYEQARYLFKQATISNSKSCASWLAWAQLEIQQERFPAARKLFEKAVQASPKNRFAWHVWGVFEAGIGNVERGRKLLKIGHVLNPRDPVLLQSLGLLEYKHSSANLARALLRRASELDPRHQPVWIAWGWMEWKEGNTTTARELYQRALSIDASTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAQLEEDQGDSDRAEEIRNLYFQQRTEVVDDATWVTGFLDIIDPALDTVKRLLNFGQNSDNNNSQLNDTLKYMGGAKDGQSSQVAGSSVGGREDTETGSGFNLDAFLREKLSLDPSKIEVNLDSQRLGRFIRGKTSGA >A08p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5154556:5156576:-1 gene:A08p007740.1_BraROA transcript:A08p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKDHLLARLQELQIDYSKYEHPPVLTVEEQAKYVSSSEGALSKNLLLKDKKNRYYIVSAMTDTKVDMKVLSQRLGLGKGGIRMAPEEALSELLQVSLGCVTPFAVVNESARDVSLLLDQKFKNQTRCIFHPLSNDVSISLNTLGLDKFLQSIGRDSVYIDLEANPVVGKDQPPDLAVYVPSNSVVVPELPTKTASIQTASKNVSAEKTKPVASAKPSKLASNVKSAVEDSALLVFKNPEKFVEEILDKTTALLLSEGKGENVEALAETFRKRLTSEFTHLAVMYKNTAYSQGFYAGTQSQPKRP >A09p083400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60033108:60039471:1 gene:A09p083400.1_BraROA transcript:A09p083400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional fucokinase/fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) UniProtKB/Swiss-Prot;Acc:Q9LNJ9] MSGSSRNNQQRKKADLATILRKSWYHLRLSVRHPTRVPTWDAILLTAASPEQAELYDWQLRRAKRMGRIADSTVTLAVPDPDGKRIGSGAATLNAIYALALHYQKLGFDPVASEEEVANGICAQSSPMSWVRFLSEKHVLMLHAGGDSKRVPWANPMGKVFLPLPFLASDDPDGPVPLLFDHILALASSARHAFGDQGGLFIMTGDVLPCFDAFKMTLPEDSASIVTVPITLDIASNHGVIVTSKSKSLAEGFTVSLVNDLLQKPTVEELVKKDAILHDGRTLLDTGIISARGRAWLDLVALGYSCQPMISELLGSKKEMSLYEDLVAAWVPSRHDWLRTRPLGEHLVNSLGKQKMYSYCTYDLQFLHFGTSSEVLDHLSGDASGIVGRRHLCSIPATTVSDIAASCVILSSEIAPGVSIGEDSLIYDSTVSGAVQIGSQSVVVGIHIPGDAPESFRFMLPDRHCLWEVPLVGHKERVIVYCGLHDNPKNSIHKDGTFCGKPLEKVLCDLGIEESDLWSLNASSQDKCLWNAKMFPILTYSEMLKLASWLMGLDDGRSKEKIALWRSSKRVSLEELHGSINFPEMCSGSSNHQADLAAGIAKACVNYGMLGRNLSQLCHEILQKESLGLEICKKFLEQCPKFQEQNSRILPKSRAYQVEVDLLRACGDEAKALDLEHRVWEAVAEETASAVRYGFREHLLESSGKPPSEKNHISLSQPRRTKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAITLEGSLPIGTIIETTNEKSGISIQDDAGNELHIEDPRTIKTPFEVNDPFRLVKSALLVTGVAQEHSTGLAIKTWANVPRGSGLGTSSILAAAVVKGLLQISDGDESNENVARLVLVLEQLMGTGGGWQDQIGGLYSGIKFTSSFPGIPLRLQVVPLLASPQLVSELQQRLLVVFTGQVRLAHQVLHKVVTRYLQRDNLLISSIKRLTELAKAGREALMNCEVDELGEIMSEAWRLHQELDPYCSNEFVDKLFAFSQPYSSGFKLVGAGGGGFSLILAKDAEKAKELRQRLEEHPEFDVKNKGNVNKRPLLDLLHQVILFFVLFTNGMHGENTILPSPVIKVKRDPGKSATLDLCWSHFVDWEITPLEDSVTEKQKGKALCVEREEEEEGRMGLLTNKVEREELKAGDHIYTYRAVFAYSHHGIFVGGSKVVHFRPEHNLNSSSSPPMESFTSASSSSEDICPTFPDCGFRQPNSGVVLSCLDCFLKNGSLYCFEYGVSPSVFLTKVRGGTCTTAQSDPTDSVIHRAMYLLQNGFGNYDIFKNNCEDFALYCKTGLLIMDKLGVGRSGQASSIVGAPLAAVLSSPFSLLIPNPIGVATVTAGMYCMSRYATDIGVRRDVVKVSVEDLALNLDVKSIEQVEEEEEEEEDSDISVKRLLAKTSFSLLSNAENLDPMAKQYVKAVAAPDMNRNTEWFMYPGVWTTYILIIFFGWLAVLSVSGCSPGMAWTVVNLSHFLVTYHSFHWMKGTPFGEDQGIYNALTWWEQMDNGQQLTSNRKFLTVVPIVLYLIASHTTDYRHPWLLLNTLALMVLVVAKFPNMHKVRIFGINADK >A02p025180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12381066:12385460:-1 gene:A02p025180.1_BraROA transcript:A02p025180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNRVCSEDFSFPLLSSRVPRIGSPPLWKPSPERGLARPVIGKDGDNDLSKSFSYVERRRSLWTDKAEEKMDMLWEDLNEELPPRRSHSLRNELSGDGGEKKRSSLLIDESSAVAVGCGMKLTKKTQPKKKKGPNVLVLMRVLKKLLVLRSSSQRSPAKTHPREQELYWFASSITWDQVRVRNLMETKNHLLFPGPDMRHLYGLDKDNRFISTKNKNPDWKLKKSQPANRKWVGLLKKIEAFVCFQSLSVTPLLSLTPFCSFYQGPPSSYILRKSQPLRRNITRVNCVQASSTVDQSKHVFEALGNMKFPYVYPDPQSRRLRDALAQDSGLEPEYILVGCGADELIDLIMRCVLDPGEKIVDCPPTFSMYVFDAAVNGAGVIKVPRNPDFSLNVDRIAQVVELEKPKCIFLTSPNNPDGSIISEKDLLKILDMPILVVLDEAYIEFSGVESRMQWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYMWRAKQPYNVSVAGEVAALAALSNGKYLEDVRDALVRERERLFGLLKEVPFLNPYPSYSNFILCEVTSGMDAKKLKEDLAKMGVMVRHYNSQELKGYVRVSAGKPEHTDALMDCLKQFY >A02p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6266788:6267824:-1 gene:A02p014320.1_BraROA transcript:A02p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCLGLRAGVLDGAISISAVQWLGNADKSWHEPRLRLKYAFFGSLYRCLSRGARAVFQIYPENLAQRELIFCQAMKAGFSGWFVLDYAHSTKSSWSSHVVLFQLILTMVIKIVALMMMMIENGMVWVSDRNRPRKLQRTNKNGKERDWVLRKKEQSRRKGNDVPADSKYTARKRKSRF >A10p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9911630:9913078:-1 gene:A10p006840.1_BraROA transcript:A10p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADICYDDEPSWSNRRRRIGVHRCRISPSEMQQTAVEDSEGVYKRNKQEENDIMNCASSPSRSSPDSEAEESVLLDVEITRDDVDAGEDSSVIPSKKTARETDPRPRYGAASVCGRRRDMEDAVAIHPSFVRKQTEFSRAKWHYFGVYDGHGCSHVATRCKERLHEIVQEEALSDRKEEWKKMMERSFMRMDNEVVRWGETVMSANCRCELQTPDCDAVGSTAVVSVITPEKIIVANCGDSRAVLCRNGKPVPLSTDHKPDRPDELYRIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSSEPEVTVTDRTEEDEFLILASDGLWDVVTNEAACAMVHMYLSKRGGRGGGRRRETKECGEGKEEEEKVVVGSKKSGKKGEISDRACTEASVLLTKLALAKHSSDNVSVVVIDLRRRKKRHVS >A07g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:170325:170904:-1 gene:A07g500110.1_BraROA transcript:A07g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHDHQNNRTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTLPLMCVCSPSKECLKSAGDIASSSRVN >A09p078880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58197502:58199144:-1 gene:A09p078880.1_BraROA transcript:A09p078880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH90 [Source:Projected from Arabidopsis thaliana (AT1G10610) UniProtKB/Swiss-Prot;Acc:Q0WNR2] MMRGVERVKEVLRPLVDSRDWDFCVIWKLGDDPSRFIEWVGCCCSGRYTEKNIKHENVEEEEEERQKMSSICRDEHNKHHIRSLACEALSRFPLFIPLYPGIHGEVVISKSPKWLVNSSPGSKQDIFRTRVLVPVRDGLVELFSFTMKPVDESMVDLIISRCNAFFEPFTEQTLPCGEQAPCLMNNKEEDVVMQDMTKENFKSKNLHSERKRRERINQRIYALRAIVPVITKMNKNGTISDAVDYINELLVEKQKLEDELSGINEIKCRAAEEAVIANPEAEKVSSKLNKNVNNEVNLEVHETGEGDFLIRVTQEHKRDGFKRLIEAVDSCGLEIINVSFTRLDLTVMTILNVKANKDGITREYLRDLLFKMIITSVAGSVKHCT >A09g516660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49023155:49023738:1 gene:A09g516660.1_BraROA transcript:A09g516660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFFVGIVKSRVQLYLILLVRYCPLWALETGPHGFTFGFLPKRPRTIRTFLCLISDVELNLLSHILSLKLRTTFISYVSFGESSSVTPCTSNRRAFFLECFSHNTSQISRQPGSDTNCWDCEIPCPTLSYLISTILSTLGLRDWSAWIYFWFPSQKA >A03p068800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30128913:30131414:-1 gene:A03p068800.1_BraROA transcript:A03p068800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNEPQRASYQVVVAATKEMGIGKDGKLPWTLPTDLKFFKDLTLTTSDSTTKKNAVVMGRRTWESIPAKHRPLSGRLNVVLTRSSGFEIANAENVVTCRSLDSALELLAGPPYSLSIEKVFVIGGGEVLRDSLNGPRCEAIHLTEIDTCIDCDTFVPAVDASAYQPWCSSLPMCENGVRFAFTTYVRVKSDESKEALQVDWKKFSSLLPKKVFERHEEFLYLNLVDEIISSGNLKNDRTGTGTLSRFGCQMTFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKWQLLQEKGIHIWDGNASREYLDGIGLTEREEGDLGPVYGFQWRHFGAKYTDMHADYTGQGFDQLLDVVNKIKNNPDDRRIIMSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYSLLTCILAHVCYLVPGDFIHVIGDAHVYKNHVRPLQEQLENPPKPFPVLKINPEKKHIDSFVAADFELIGYDPHKKIDMKVAV >A05p032520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17854702:17856866:-1 gene:A05p032520.1_BraROA transcript:A05p032520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSLIEISYRRSRASCVVLDVVTMKSPVDRCTSDRKMRPLKTVPVTVGVTVETWLFGFLLTEDYSEEQALKGRLIDPTHRTCELDCTVGPTRSFGELDGLLDLTRPFGELDGVVGNPGASGGCFAVRDLCLRPCVIFLEDLSCESFSELL >A04p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18850116:18851914:1 gene:A04p032270.1_BraROA transcript:A04p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGAAPDHLFNLRNQFYLGAYQTAINNSDIPHLSPEDAVERDCLVFRSYIALGSYQLVISEIDESAATPLQAVKLLAMYLSSPAHKESTISSLREWLADSTIGNNATLRLVAGIVFMHEEDYSEALKHTHAGGTMDLHALNVQIFIKMHRSDYAEKQLGVMQQYDEDHTLTQLATAWFNLAVGGSKIQEAYLIFQDFCEKYPMTCLILNGKAVCCMQMGNFDEAESLLLEALNKDAKDPETLANLVVCSLHVGKSSSRYLSQLKLSHPEHVLVKRVSSAEDNFERAVQSVA >A01g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13413329:13417094:-1 gene:A01g504360.1_BraROA transcript:A01g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGDFRACEQYWNISKRARCFSRSLQGPLCNHGHRGTLSVAVTARSPRRTTGVIEGALWTRVYLFRQSYVFLLRTGKNRNGGVSNKLLREPQLGFYPIFLWIGHISYGCFHIHVDWPHLLWIPSVNYCHTYFPYTLYAFSPMLNLNTTLRVPLLVPRDERITFFEIPSLPHPCTSTYSPAHREKWKWRGEQQASTPYSCGLATSPMGASIFMWIGHISYGYLASGTSKQERRDLVTTTTPHSHHHHHTRTITPSPLGRRPPPPPAARLALGGLTARREEEIQRNVK >A01p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24190141:24203005:1 gene:A01p044680.1_BraROA transcript:A01p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCNEKNRRGLTQALESYLKEHTQTKQNLMDSAQTDGVYQPLLQPDQLSPLPESNNCELERVLADVETPLFLRLRKATMIETKLLFKLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNRGIQVFAYGLMLGMGSAVETLCGQAFGGRKYEMLGVYLQRSIVLLTLTGVLLTSIYVFSENILLFLGQSPEIASAASLFVYGLIPQIFAYAVNFPIQKFLQSQSIVAPSAYISTATLFIHLLLSWLAIYKLGIGLLGASLVLSLSWWIIVAAQCVYIVTSERCRETWGGFSVEAFSGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSNTAQKIRESFFMAASQNDGVYQPLLHPQSDGTVPLSPSTESSNGELERVLSDVETPLFHRLRKATMIESKLLFKLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGGRKYEMLGIYLQRSTVLLTLTGVLLTLIYVFSKPILLFLGESPEIASAASIFVYGLIPQIFAYAVNFPIQKFLQSQSIVAPSAYISTATLFVHLLLSWLAIYKLGMGLLGASLVLSLSWWIIVVAQFVYIVTSDRCRETWRGFSMQAFSGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSASFSVIIVNIYSLITCVILAIVILAFRDVLSYAFTEGEEVSAAVSDLCPLLALTLVLNGIQPVLSGVAVGCGWQTFVAKGIWTGMICGTLIQTVILAWVTFRTDWTKEVEESAKRLDKWSNKKPEVVPE >A09p054400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46889596:46895176:1 gene:A09p054400.1_BraROA transcript:A09p054400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAVSPARKQHVVCVPYPAQGHINPMMKVAKLLHAKGFYVTFVNTIYNHKRLLRSRGSNALDGLPSFRFESIPDGLPETDVDVTQDIPSLCESTPKYSLAPFKELLRRINAQDEVPPVNCIVSDGCMSFTLDAAEELGVPEVLFWTTSACGFLAYLHYHKFIEKGLSPLKDESYLTKEHLDTIIDWIPSMKNLRLKDIPSFVRTTNPNDIMLNFLVRETERAKRASAIILNTFDDLEHDVIQSMQSIVPPVYSIGPLHLQVKQQISEDSELGRMGSNLWKEETECMDWLNTKAPNSVVYVNFGSITVMTAKQLVEFAWGLAATGKEFLWVIRPDLVAGEVSMVPPEFLTETADRSMLASWCPQEEVLSHPAVGGFLTHCGWNSTLESICGGVPMVCWPFFAEQQTNCKFCCDEWEIGVEIGGDVRREEVEAVVRELMDGEKGKKMREKAAEWRSLAEKATECKRGSSVVNFDNVVKVLLGDLGTESRSFHLKKLINKTEMASPAVYTTQKPHVVCVPHPAQGHINPMLKVAKLLHAKGFHVTFVNTVYNHKRLLRSRGPNALDGFPSFRFESIPDGLPETDGNTTQDIPSLCVSTMNNCLSPFKDLLRKINTGDDVPPVSCIVSDAVMSFTLDAAEELGVPDVVFWTASACGLMAFLQFQTLYRESYISNKEHLETVIDWIPSMKNFRLKDFPSFILTTDPDDIMLNFSIREVERFKGATAIILNMFDDLEHDVIQSIKSMLPPVYSIGTAQSPSEPGSLRG >SC203g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:139073:140386:-1 gene:SC203g500070.1_BraROA transcript:SC203g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPDLRREEPDLSREEPNELASLWSGPVTRSRLKAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQTARIGPIRGQRVELRG >A08g510400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23195485:23195918:-1 gene:A08g510400.1_BraROA transcript:A08g510400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFSIFMPCDQVKDTEVLKAKRDDVQRRVCREEITGRRERLSLVQLWLTNVLNRETKFNDLLSSNNIELQRLCLCGLCSKDVKLSYLYGKRVVLFLKEVESLSSQGMDSSHGRRS >A07p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9901659:9903208:-1 gene:A07p016060.1_BraROA transcript:A07p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPAKVNWGLGYRGAESTKEVQQKGLSHFVHGSTSKSGAKEACQEVRRDVRQGVRHEVLQRAAVSYKPKVVHQCNNMKVRHEIEHKKVECFAHEKSKNMAKKVNKTFTKPKRVEEVLLAKSGLLDEPGHEVVCDTKGNKIEMRQEVMRGDLQECDSEITPRQLQRVQRALGVDGEGIMVKKTTHEGSQVLNRSWSKGSLTGASGRDAVLVIPLQQGLCHDYTWRTGRLNLMHISWGRKACVVHIAWGRKAHLVLKFPDILPEVVTDVVAEADVVVSGEDHVESRDRDTKDDLIERDKLLEVFWDRTEAVTEVLDGKET >A02p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9674106:9674808:-1 gene:A02p020590.1_BraROA transcript:A02p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRLRKPDAIWRPAGYIWRQVGYTTWLPYGDRSATQPGRTPYGDRPVTYGDRSATQPSRHMATGPLLDWVTMWQSVRCETGSPYDDQLVIRLGRHMATGLSQTRIVKRRPRRYKLMHSRLILVFSPLQTKTVLSLIPLRLELSLKFYDKNLEDLFLSYKFRSLLLNRHHIGVNFLKKSLKRIKSKDGPTKSKTRLKTHLRFYMGMSPWIL >A09p069070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53922297:53924463:-1 gene:A09p069070.1_BraROA transcript:A09p069070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSRTPSLVLNSPLSLSSDTTTFVYSSLRRISHRQISSKNPVNQIKQSDSVSDVDEESSSILGGGEMAPSIRKAIGAVKDQTSIGIAKVSSNTFPDLEVAIVKATSHDDVPASEKHIRQILNLTSLSRGYVLPCVASVSRRLGKTRDWVVALKALTLVHRLLVEGDPLFQEEVLLHSTRKRGAFELLLELALFERKSGSSSFRSPPQRSYDYENGGVSRRTRSYGDVTEMGRRDEKKAATPLPEMPPERIFGKMGHLQRLLDRFLNLRPTGLAKNSRMVLIALYPIVRESFKLYADICEVLAVLLDKFFDMEYTDCVKAFDAYASAAKQIDELIAFYDWCKETGVGRSSEYPEVQRITSKLLETLEEFVRDRAKRGKSPERKEIEAPPPEPIAQEEEPEPDMNEIKALPPPESYTPPPEPEPAKPLYTDDLVNLREDEVTGDDQGNKFALALFAGPPGSNGKWEAFRSDGVTSAWQNPAAEPGKADWELALVETASNLEKQTAALGGGFDTLMLNGMYDQGVVRQHVSTSQLTGGSASSVALPLPGKTNTRVLALPAPDGTVEKVNQDPFAASLTIPPPSYVQMAEMEKKQYLLSQEQQLWQQYQREGMRGQTSLAKMNMGPVPSGMPYGMPLVPPPTGCYYNNPF >A08g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11328049:11329031:1 gene:A08g506580.1_BraROA transcript:A08g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKYFNNIALNLSSTHFSLSRESPSVVRRLSSLFRSAVFRSDPPSPFRFAVYSPSLSSRSTVSVLNRHLRPDSSFSSRSTKQSRSRLKTSNIAESVSFSSDKKYDITQVKAPPQLQKTMC >A03g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5911768:5913673:1 gene:A03g501860.1_BraROA transcript:A03g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVLSLDSEEQFTFDPKYSPPNTVDLGTHQVMATLAALEEVDDQFAEGGVSSADRKKQGRKRKLISLTDDTDDSDVEITPPTETTKPRRKTSYGTASRKPMLQSTLDGGIGSSAQACSKKKSVPIKSVIRGGRRKPVPHSQKKRVESRHSQQPAVFTQSQKNKKKIEEIPDFDDELEEDELDETENALEDMENRQRSDVWKDFTVVEKPNGDLKAVCNHCKNEYAWYSHSHGTSGLRRHRRRCKMDTNLTHMMKKSMVMVKNRNYHHLSLSSMGKMKMIKKNKNRAIFDIPWDGPRRPVKVAVRDWAAIFKTASRTGQARRIPPEDESAAVRDGTGRENPIDIPTV >A04p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2074981:2079281:1 gene:A04p004180.1_BraROA transcript:A04p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPGNCSRHEVVPTCGRPLGLSFEKKTGDLYICDGYLGVMKVGPEGGLAELVVDQAEGRKVMFANQMDIDEEEDVFYFNDSSDKYHFRDVFYVIVNGERPGRVIRYNKKTKEAKVVMDNLRCNNGLALNKDRSFLISCESATGLVHRYWIKGPKAGTRDIFAKVPGYPDNIRLTPTGDFWIGIHCKKNLLGRLVVNNQWLGKLVEKTVKLELLIGLVNGFKPHGVAVKISGETGEIVEILEDKEGKTMQYVSEAYERDDGKIWFGSVFTPAVWVLDRK >A02p052210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31932385:31933744:1 gene:A02p052210.1_BraROA transcript:A02p052210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIRSFLIKGAKSEKTVESIRRNITVQGGNSITSASDASNESLCKGKRKEKEKLQRLRSLVRGQRKDLKARMLEVLREEVERIRKQCWKLMISKKQEYLLSITKGYKYMLLKREVEFRCLTRTLRGKLFIPLSADDVILTPERSMMNCLSSPPLLLQAIAAHTQRLKTLMLRGNDIYMLGLMEQGTRSYWFDPKLEYIDIKYALKGKTQNIP >A03p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5026736:5035552:1 gene:A03p012690.1_BraROA transcript:A03p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRPNHLEEDDDAEEEDLSFQVQHFADFTLASSWERFISDIEANCRQWLADGPKNLVEKGAVAMEDSKNLFKVKNDLRSVTQSYCMEFYFQIDDNGSQQAGFGDWNSGSHDLQLCFGVKDFLLIAPQSASGVLLDAPESSKLLSAVAIALSNCASLWPVFVPVHDPSRKAYIGIQNMGTVFTRRFEADLIGSQVPMKLMHLEGLYELFVSKFVYSGVDFSMHNFKVHFMMRLKYQTFTSDEEDEESDMDEYMGDKADTEEHNGSESRNKVNWDDDCPWNEWYSAEDPLKGIRYLDLWLIWTGFELVATWADRTVENTLEMAELENDSPHEAEKWILRPILSPYLGEPSHGKRIDFASQLLCLVEALDVSFIAQFMEDFVSVENQSSESLKTSVVIPPPTALDRVIKDLFHQGFKLPDFTKGEHRLSRALKAAPLESLFTQFCLHSLWFGNCNIREFVREVRWCWEESQPLPKMPIDGSIDLSTCLINQKLHLVIHYTYLIGKVKLLVDTNRFFFDDQLAICIEKKCEMNEEFLDCIGSEEDSSEASDPIEEHHKVDETRNASSEEYLLRKRDSSITEDSSDRLRYEMDTESTNSVNQGPTHAIRRGSAGPVGTMMLLKSHERLHAPFTQDPPLMTEDMHEERLQAVEAFGDSLNVPGQLEKDILLSDMSAFKAANPDAVFEDFIRWHSPGDWESSQPETAEESSGSITEDSEDKWPPQGRLSQRMSDQGNLWRKSWSDAPALPADEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRGSADTLNQTNFGDMRQMTSKLEQLYLIIRSTLGVLQRNNVPDREQTVKDLRRLCVVFEHVEKLVTVAASLHRKFLEAPRLAQVIFSDFYSTYDPVMGINSNDEENKSRTEMEVGSQEVSMRERQVVSSLFSPPSANQSWRKVLSMGNLLNGHEPVLREIIFSTGDDVNNGIHYAAAADVAASGDRRGEEIETHRMYVSGTSNDLRVALSAMSGKYNQDGGGGAPIPSYGGDGGYGGGDAGYGGRSASGGRGGYGGGGRGNNRGGGGYQGGNRGGRGGGGGRDGDWPCPNPSCGNVNFARRVECNKCGAPAPSGTGDRGGGRGASDRGGGGRDSGSRSYESSSSRYGGGSRSRGDSGSYGSNSQHRDNSSYGQGPTPPPLAAIPSYDGSGSYPPAMGYGMEAVPPPSSYAGGPPSYGGPTGGYGVDAPRGGRGGGYDAPRRQESSYGDAPAEKVKQCDANCDETCDNARIYISNLPPDVTVDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKLYTDEKGKNKGDACLAYEDPSAAHSAGGFFNNYEMRGNKIGVTMAEKSAPKAPAFDQRGGGGRGGGGYGGGDRRRDNYGSGPDRNHHGGNRSQGSG >A03p033900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14350496:14353429:-1 gene:A03p033900.1_BraROA transcript:A03p033900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTRRRADAGQERDHLGCMWGFMNMFTFRHGPLSHKLLFEPKHVVLIVPSAAGSPRNNELDKSKCRDKGPEETHVGEEGNVTITIIKPSVKKLIAEELLIDKEIKKQREENAETGQLSDSELEGRRRKNHRRKNKTRKNSCGDFSHILNTAAESEEPKVNRRAKKGSERSLDIDNMVEEFCAELHRRSRAKNGMLNHKHGQEDYKEKLRELVKFLISQKLLHGENSEIILTSKDLMEVFQILGSDEDLFLKLLQDPEIFVPQSQKGEESLSLFERTQEGEKEASDRIFILKPRSASFSSLSPESHLMRNKIEKERNSSSHFFLSEIKRKLKQAIRKEQRERGFPKINVPSKDHFFFERMAKPSSKSQKNNEDDRMQRVSNIYAEAKKHLSEMLMLNNGDVDSNSTTRQFQNSSLGNILSLPDYLSPLSSPWEKSSPSHRKSASADFINAVHISKRETHVSLPNHAMKNADISKEPDNSIQPTETEPTDKSVDIEDGTANTAGSTDDVMIINEIDIVPEEAIPTLASDEERQPPVSSSVESPSHCLAKSEEECKSHVPEWSSPVSVLEPLFIEDDISPPKMRSQSDEEEAQVQPWCIHFDDKEYSAEDSVKAITSDKEVVFKYVRSVLDAVVSDFEELYLKAQFSDQLLEPALISNIPFSPNQLCQDHELLFDCINEVIMELCCCPPWASFVAPRTRVFSTVKSIVHEVQEVVYWHLLPLPLPHALDQMVRKDLAKSGNWLDIRCDIDCIGFETSELILEELLEELALNCLNNSEHSLVPAELKTDESILVL >A03p015580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6138227:6138965:-1 gene:A03p015580.1_BraROA transcript:A03p015580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRMASFFAGAATASFIGLSVLYKDYKVAHESISQQAKAFHDSLDRRISALESLRQTEAPQLPETTTD >A02g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16507465:16509632:1 gene:A02g505600.1_BraROA transcript:A02g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVWRRRGRLQSKLSRRLNFQSSQVTDFKVNCKNNLCVDQTTSSEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5586940:5588410:1 gene:A04g502290.1_BraROA transcript:A04g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGHSEELRCVIVVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAEVREAPAFLPGRESDSNPEDLEHAEKLRQVKAVLEEGGTFSRIYRKVQLKPLKWDAKGEEERPVEALMILKYGGVLTHAGRKQAEELGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKSLLDLEGQLT >A02g510910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28959690:28960908:1 gene:A02g510910.1_BraROA transcript:A02g510910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEKSPVAKILWRHRKLSISILVEHRRVTSQSDLPRSLPARATSSSHSRFDAARHEEMRREGLLVICFDSIHKASSELATLLLINRHFPPKSSILDHPKSNLYAHEFSFPLVKKVSFFRLSEYLHSQCFDIPQNWFDNHLYHNICLRSLENS >A06p015540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6978402:6978908:-1 gene:A06p015540.1_BraROA transcript:A06p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNPVTANKPTPAPVDMANPEELKKVFDQFDSNGDGKISVTELGGVFKAMGTSYTETEINRVLEEVDTDRDGFINVDEFSALCRSSSSASEIRDAFDLYDQDKNGLISAAELHQVLNRLGMSCSVEDCAKMIGPVDADGDGNVNFEEFQKMMTSSSLANSNNGSSA >A03g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23505601:23506437:1 gene:A03g506510.1_BraROA transcript:A03g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEQVEDSMSIAKPVTTTGETVLQPCSSSKTNLKRQKIHNESKANLKKEDSCCYGCEGATGNSLETIFVKGFQHMRPRDEIKNELSNFFGSCGKVVRVFVPMQCGTCVPLGFAFIDYSNGENEALKLNGSYMGGRKLEVKMATKSDEYYGFVNFAGCDLCRGPGKLKLRARKPFDFDKAYFKILAEKANSKI >A02g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7627274:7628180:1 gene:A02g502350.1_BraROA transcript:A02g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRQINLPPLGVTGNLFPWLCWGIWTARNYLIFENRASLPVDITSRSIKNAREWTEAQLSSPTPPLGQSQMNTIPAGMTGMIFCCSDAAWQVTTNRAGCGWIFTDHQDERVAHENCPAT >A03p013960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5543599:5546367:-1 gene:A03p013960.1_BraROA transcript:A03p013960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit beta 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G56500) UniProtKB/Swiss-Prot;Acc:C0Z361] MASTFSATSSMGSSLAPPSSRLSSLVSSNSFGKSQAFAQRKARFPKIYAAKQLHFNKDGTAIRKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPVLITRGIEKTTKALVAELKKMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMAKVGRKGVVTLEEGKSAENSLYVVEGMQFDRGYISPYFVTDSEKMCAEYENCKMFLVDKKITNARDIISILEDAIKGGYPLLIIAEDIEQEALATLVVNKLRGTIKVAALKAPGFGERKSQYLDDIAALTGATVIREEVGLQLEKVGPEVLGNAGKVVLTKDTTTIVGDGSTEEVVKKRVEQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKETLANDEEKVGADIVKKALSYPLKLIAKNAGINGSVVSEKVLSSDDPKHGYNAATGKYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEINEPESAAPAGNPMGNSGYGF >A03p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21595078:21607077:1 gene:A03p050240.1_BraROA transcript:A03p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVISEPIKSQSKTVCVIGAGPSGLVSARELKKEGHKVVVMEQNDDVGGQWLYQPNVEEEDPLGKTKALKVHSSIYSSLRLISPREVMGFSDFPFTVKEGRDSRRFPGHEELWLYLKDFCEVFGLREMIRFNVRVEFVGMVDDDKDVDDNMKRWMVKSVAKKTGEVIEEVFDAVVVASGHYSYPRLPSIKGMDSWKRKQLHSHIYRVPDPFRDEVVVVVGCSMSGQDISIELVEVAKEVHLSAKSLDVPPGLSKVISKHHNFHLHLEIESLEEDGRVIFVDGSCIVADTILYCTGYWYKFPFLESKGRVEVDDNRVGPLFEHTFSPFLSPSLSFVGIPRKLIGFPFFESQAKWIAKLLSGKTSLPSFDEMMESISEFYLAREAAGIPKRNTHDICDFNYSDKYADYIGFPHLEEWRKELCMSALLNSIENLDTYRDSWDDDDLLQKTLQNPYFTQFTKTTKSEGPIMSQSKTVCVIGAGPSGLVSARELKKEGHKVVVMEQNDDVGGQWLYQPNVEEEDSLGKTKILKVHSSIYSSLRLISPREIMGFSDFPFTVKEGRDTRRFPGHEELWLYLKDFCEVFGLREMIRFNVRVEFVGMVNDDDITKRWRVKSVEKKSGEVIEEVFDAVVVATGHYSYLRLPSIKGMDLWKEKQVHSHVYRVPDPFRDEVVVVVGGFISGPDISIELVKVAKEVHLSVKSLDVSPGLSKAITKHQSLHLQPQIESLEEDGRVIFVDGSCIVADTILYCTGYRYKFPFLESQGRVEVDDNRVGPLFEHTFSPSLSPSLSFVGIPQKLIGFPFFESQAKWIAMILSGKTSLPSYDEMMQSVAEFYRANGVPKRNTHDIGRDFVNYCDKYADYTGYPHLEEWRKKLCVTTILRSLDNLETYRDSWDDDHELLQEALQDPYFAQRTTP >A04p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1712652:1713436:1 gene:A04p003480.1_BraROA transcript:A04p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQRIEIVEMRRGRGKRKRQKATAREDGDEEEKIPAYRRRGRPQKLAKDGTEGEGEEIVEKDEDTNGSVTSKEDVAENGRKRKKPVEESKESNVTEEENVLGSKSSTDDSVKSSLSMGFRQIGSRRKNKPRRAAEAVVECNGV >A03p040320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16798133:16800133:-1 gene:A03p040320.1_BraROA transcript:A03p040320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKLLAACLYLAAAATVVVQAEDPYFHHVWNVTYGTASPLGVPQQVILINGQFPGPNINSTSNNNVIINVFNNLDEPFLLTWNGIQHRKNCWQDGTQGTMCPIMPGTNYTYHFQPKDQIGSYFYYPTTGMHRAAGGYGGLRVNSRLLIPVPYADPEDDYTVLIGDWFTKSHTQLKKFLDGGRTIGRPDGIVINGKSGKGDGSDAPLFTLKPGKTYRVRICNVGIKTSLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCYGTIVAANQEPKDYYMVASTRFLKKVITTTGLLRYEGGKGPASSQLPAGPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKIDGKLRYALNGVSHTEPETPLKLAEYFGITDKVFKYDIITDNPTPEQIKNIKIEPNVLNITHRTFVEVVFENHEKSVQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTIQVYPKCWAAILLTFDNCGMWNIRSENSERRYLGQQLYASILSPEKSLRDEYNMPETSLQCGLVKNTPKPVNPYAGA >A10g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19845062:19845916:-1 gene:A10g506780.1_BraROA transcript:A10g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVANVGRQLTCLVQEFWNDCWTPLGSLIKCLGDDGPRRLHIPLHSSVAEACSEDSWNLPHPRSENEVILHAHLTTVAPPVATSSADKFFWTVDETRDHILLTCGYSSEIWRYILPRLESPDVCFMNWTELLSWIKAPARGNFCTLKKIVTQSTLYHIWRQRNNILHNQVLIPPDTVFRIIDRDVRNILLGRRGRRAYNTLLSSWLKFE >A07g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1051040:1051913:-1 gene:A07g500510.1_BraROA transcript:A07g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESGWLMNIRGTTHTWTASTCRCNICLKEEDDSAVDIVDNTCLLRELTSLALRASSSGVFTISRSPDDREPE >A03p053810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23099693:23102540:-1 gene:A03p053810.1_BraROA transcript:A03p053810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSSPTPDATEEELTLIVKVEWEGAHQRLAQAPEASSPMTRYQVTSQDIVDDFELGKDEVVDVKDKELNKQKLRRRVDQCKIKLVNPCRKGKKLLVLDIDYTLFDHRYSLCVIGGSMAKMRADAPVMPPETLPRSSEVGEIDTRAPFQSVRDAVSLFRQVSFPKQQQQQQQQRLSSSTSSSQDAPDVSAEKETQLLLAEQEMERVQLCLDTSVKAKAKVLSDLDSAQRKAADLRAKLEATKQSRKSAIMTKHTMTQRLEQLQSENQETESVREDYILATAELFMAKRELKEIKQEFSITVEERLAELQKAEEAECSSVVNSRKIKDMTEEIAEMRDTVLRLNTDADKKKEEEVKINEKRMTAREAYAARKREAEQRLEDLRRECDPELRKEIDELAEISAGNERLQEEIKLARELKEAKSEMQEICDEERSYKILVGSLTVELDGVQRENRDLKENEKERREVEEGEWVEASRKVEKIMREAEETRQEAEEMRMHVDELRREAAATHMSMGEAVKQLEIVGKAVKKAKTAEKRAVEDMRVLTDKKESLTQDEPDKKIRISMKEYEELRGRHEESERMVQYKAKTVAAQLEEINASRVEGERMLEEKLKEMEEVNDAIDAALRNAEIAEEAHCIVDAELRKWKPQEL >A05p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20372736:20374017:-1 gene:A05p036030.1_BraROA transcript:A05p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTEKACVVSDSTITKFGVRPPSTYSMKIQSLSQLKTLFSGTDGYKSRTFSSGKYNWRLVIYPNGNEKDNGTGFISMYVELDSKSLSSNVLAYLTFFIFNKKENKYFTIQDVEGKQFNALRLVHGFPQVLPLDTFNDPKNGYVYDGDQCEFGVDVMVPLTNWEVVSFTQKPTNKKFSWTLNKFSKLKEHCYVSSKFLIEGRNWVLKLYPKGNNTTCSTWLSLFLHLADSETKTMTTGEEIYTQCDMRILDPLESHHVTLKLNQWHKNTSGWGWSEFVSLAKLEEAYLDKQGSLKVEIEFEVVSTITYSP >A06p037760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20442518:20443133:-1 gene:A06p037760.1_BraROA transcript:A06p037760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRSLMGLLLFTGINAVLVQTITPVYDFVCFLPYWEKRRERIRQGLQAASLSVATNSTHKATTQASAR >A10p017960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12621041:12623361:1 gene:A10p017960.1_BraROA transcript:A10p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHWFKQLRSAFGVAFLWLVCLIYFTQGFRSFVWTAVSYQLKDRLQLSPSASQFVFSVAFFPWSIKPLYGIISDCIPIGGKKRTPYLVISTVLSLVPWLLLGLDSTSRSSSLYLMIFLTVQNLGSAMADVVIDAMIAEAVRIEKSSFAGDLQSVSWFAMAVGGICGSLLGGYALNNLNIETIFLLFTVLPALQLLSCPLVEEIPSNEPLPELLDSNEFEEKSKMSNDTYPHTKKSNTRRRKGQKKGKKGASSGKSETHKKQSKSLASKLFQSLKAAALELCRAFKQPIILRPMAWFFIAHITVPNLSTVMFYYQTEVLQLDASFLGTARVVGWLGLMLGTFIYNRYLTNMTLRKSLLFAHIGLSITILLDMTLVSRANVGYGVSDKTMVLFGSALGDAINQLKFMPFLILSGRLCPPGIEGTLFALFMSINNLGNTVGSFMGAGLASLLGISSGSFENMSMGLAIQVFCTYIPVLFLFLIPKEATGVSAS >A03g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4139806:4143900:1 gene:A03g501390.1_BraROA transcript:A03g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVESNPKENGESSSSKPIEDESLKNDVYTASAYGDLEKLHRLVECEGCSVSEPDGLGYYALQWSALNNRSAVAQYIIEHGGDVNATDHTGQTALHWSAVRGAVQVAELLLQEGARVDATDMYGYQPTHVAAQYGQTAFLCHVISKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGGCTPLHWAAIRGNLEACTVLVQAGKKEDLMITDNTGLTPAQLAAEKNHRQVSFFLVVAATASNGNARRLLEKRWDGNSPLGKLSKLGLAPFLWFMILLLLLIYTNYVVLASNLPKLTTGIGALAWLGFLLATGGLVLFYRCSKKDPGYIRMNIHDPQTIKDDVGFLTPLQEPLLKIELNNPALLSGNWTQLCATCKIIRPIRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFLLFEVLAMLITGGVTLAKKNVNDGILYTRAGVLSDPSAPSSFGAWISYVASNHVGALSFLLVEFCLFFSVAVLTVIQASQISRNITTNEMANALRYSYLRGPGGGFRNPYDHGCRRNCSDFLVKGYNEDIECHEEDTTPRQEGISMMQMQRSSNTQNGNGHVAIDVNPIHKSQSAHAHSSNCSHKP >A10p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1701319:1704661:-1 gene:A10p003390.1_BraROA transcript:A10p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-hydroxymethyl chlorophyll a reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G04620) UniProtKB/Swiss-Prot;Acc:Q8GS60] MITVTSKLSLVPPPFFSVVNASSSSRSKDVNMEPKRKVKLREDWREKSKPIPPGGIYPAKDHCSRCGLCDTYYIAHVKDACAFLGDGMSRIESLEPVVHGRGRKADSLEDTYFGVHQEQLYARKLKPVEGAQWTGIVTTIAIEMLKSNMVEAVVCVQSDPEDRLSPRPVLARTPEEVLAARGVKPTLSPNLNTLELIESSGVKRLLFCGVGCQVQALRSVEQHLNLEKLYVLGTNCVDNGTREGLDKFLKAASKEPETVLHYEFMQDYKVQLKHLDGHIEEVPYFSLPANDLVDVIAPSCYSCFDYTNALADLVIGYMGVPKYSGVNMTDHPQYITVRNERGKEMLSLVENLLEISPTISSGDRRPFVTETVKADDAAKFGQGPAQPAPLFVGNIIAFILSLVGPKGLEFARYSLDYHTIRNYLHVNRKWGKERANSHMPSYSKRIVEMYNKNGQIDQMLSKK >A02p058330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:35020100:35020360:-1 gene:A02p058330.1_BraROA transcript:A02p058330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAQLSLRRGGKNGFNGNIWGQQFASKVENALQHAASTFSNFPEKIDLEISSTHPSTLKRDDQHFIRSTGEPGAKNPGIVSGFWS >A02p017110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7678617:7680535:1 gene:A02p017110.1_BraROA transcript:A02p017110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIRGAFKPACNISITFTDGKNRKQVPVKKDNGQMVMNPLFHSQETIAGKVCIEPYQGKKVEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYEKKAYPFEFPTVEMPYETYNGVNVRLRYVLKVTVTRGYAGSIVEYQDFVVRNYSPLPPINNSIKVSPNTLSALDMLNLLRPLLAVQMEVGIEDCLHIEFEYNKSKYHLKDVILGKVYFLLVRIKIKNMDLEIRRRESTGAGANTHVETETLAKFELMDGAPVRGESIPVRLFLTPYDLTPTHKNINNKFSVKYYLNLVLVDEEDRRYFKQQEITLYRLKDESS >A02p014380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6308137:6312350:-1 gene:A02p014380.1_BraROA transcript:A02p014380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGYKVQQTLATEAASALKQSLTLARRRGHAQVTPLHVAATLLSSRTSLLRRACIKSHPGFPSNYQFAPSPHYHHQNQNHPLQCRALELCLNVALNRLPTIPGPMFHGQPSLANALVAALKRAQAHQRRGCIEQQQQQQEQTQTQFLAVKVELEQLVISILDDPSVSRVMREAGFNSTAVKNCVQECSVSSVFHGGSVVGVFSSPPSPDQHHHYQNPKDFNFLNPNIALWQTHFLNQSPDQKPLLVTSSHHQRQRENDLKLVFDVLLSKKNKQKNPVIVGDSVSFAEGFVSELMGRLERGEIDQTGDLKQNHVVKFQFSPMMSKFMRREDVETNINELRKRVVSLTTSGKDVIIFTGDLKWTIKEVNKNGGIDELSSSYSPLDHLVGEIGKLIAEYNDDLDGVNDHSNGCKRRVWVMGTASFQTYMRCQMRQPSLETLWALHPVSVPSSANLGLSLHATSGHEARNMSNVTIAKSFSSYNKAEDGETINSVLSCCPECVTSFEREAKSLTGNHEKLLPAWLQCHDEDKSPHKDELMALRRKWNRFCENLHNKTGLSSLMGENYSLGLPYGPSTLSLTPYQRATNSIAKFRRQDSCTIEFDLGGAINEADHDSQNVKTTLGLGRSLSRSDSVKETRKKMNALVKAL >A09p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17020567:17025061:-1 gene:A09p028280.1_BraROA transcript:A09p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g02750 [Source:Projected from Arabidopsis thaliana (AT2G02750) UniProtKB/Swiss-Prot;Acc:Q1PFA6] MINLTRHRVINLITAGVPLEAVLYTSRHCSSHSPDKFTFPPLLKSCAKLGDATQGRTLHAQIIKTGFFVDCFTSTALVSMYMKVKQTKDALNLLDEMPERSVASVNAAVSGLLENGFAREAFRMFGEARVSGSGTNSVTVASVLSSCDDVEGGMQMHCLAMKSGFETEVYVGTSLVSMYSRCGEWVFAARVFEKVPLKSVVTYNAFISGLMENGVPRLVPSVFNLMRKFSDEEPNAVTFINVVSACASLVHLQYARQIHALVMKKPFRFDTMVGTSLIDMYSKCRCWESAYGVFTEMKGTRNLISWNSVISGMMINGQHEVAVELFEELDSEGLKPDSATWNSLISGFSQLGKVTEAFKFFERMISVVVAPSLKCLTSLLSACSDTWVLKNGKEIHGHVTKAAAERDVFVSTSLMDMYMKCGLSLWARRIFDRFEPKPKDPVFWNVMISGYGKHGECESAIEIFDLLREEKVEPSLATFTAVLSACSHCGDVEKGVQIFSLMQEDYGFKPSTDHIGCMVDLLCRFGRLREAKEVIDQISEPSSSVYSSLLGACRQHLNPVLGEEAAMKLAELEPENPTPFVILSSIYAALERWEDVESIRRVIDEKQLVKLPGRGLLQSTDRVPFGEYWHGHHDLEPIKQMVQRPVYALISLVRGQLQLRDKSFLRTTPFYAKKTDIEQGLTVSYAGERKFQKLTKKKTTLLTMALQSGIGLSRILILAGAGYTGTILVKNGKMSDLLGELQALVKRFERSGEHSDDDSDPMATQMQRLAMEIRQMSSSRQITVVNGGAQGADFTPLIVPAATLGAIGYGYMWYKGISFSDIMCVTKKNMEDAVSNLTKHLATVSEAISNAKKHLSERLKKTDDKLESQKDLLKGVQDNVGLTLEDLAKIGDDFYAMHNMFGGMGGTLDSIEYKQNIANMGLMHLCDSMGGENHNMPDIMMQEKLRLSGKSNTCIVLTNEETSSSEGLKESDKIELIEG >A05p045000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26970923:26973891:1 gene:A05p045000.1_BraROA transcript:A05p045000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIKEIFSKDNFKKNKKIVLLSAAVALLLVASVAGIAAGASKANENGKRTLSPTSHAVLRSACSSTLYPELCISAVATAGGVKLTSQKDVIEASLNLTTTAVEHNYFGVKKLIKKTKGLTPREKTALHDCLETIDETLDELHEALEDLHMYPNKKSLREHAGDLKTLISSAITNQETCLDGFSHDAADKKVRKALLKGQMHVEHMCSNALAMIKNMTDTDIANFELKAKLSSNNRKLKEEETTVAVDIAGAGELGADGWPTWLSAGDRRLLQGSTVKADATVAADGSGTFTTISAAVAAAPENSNKRYVIHIKAGVYRENVDVAKKKKNIMFMGDGRTTTIITASRNVVDGSTTFHSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYQCDMLAYQDTLYVHSNRQFFVKCLIAGTVDFIFGNAAVVLQDCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQSVKSSFPTYLGRPWKEYSQTVIMQSAISDVIRPEGWSEWTGTFALNTLTYREYANTGTGAGTANRVTWKGFKVITAAAEAQPYTAGQFIGGGGWLSSTGFPFSLGL >A04p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13758852:13760392:1 gene:A04p022760.1_BraROA transcript:A04p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFTDLMIDTDEYFVDDWEQDFPVIPGETTDHQSTKPGSGSESGSGFSLIPENRPTKQMKVNSTSSSSPSSSSSSCGSLTAAQVISFGSQDPNMNVVEASFNFSNQANIEPNVRSKRKECGNNGGKREPHLLKEHVLAERKRRQKLNERLIALSALLPGLKKADKASVLEDAIKHLKQLQERVKKLEEERVGTKKMDQSVILVKRSQVYMDDDSSSYSSTCSAASPPSSSLDEVSILKQTMPMIEARVSDRDLLIRIHCEKNKGCFVKILSSLEKFRLEVVNSFTLPFGNSTLVITILSKMDNKFSRPIEDVVKNIRLALAE >A09g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24130081:24132460:1 gene:A09g508580.1_BraROA transcript:A09g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A10p036130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20617902:20619360:1 gene:A10p036130.1_BraROA transcript:A10p036130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGRLFGSKKKQEKSSLTNNNRRKWSFTARSSNPATALSGSSSHPSERRSDEGVLDANQHAIAVAAATAAVAEAALAAAHAAAEVVRLTGRGSGRASSVNQTNRNNRRWSQEYAAAIKIQSAFRGYLARRALRALKALVKLQALVKGHIVRKQTADMLRRMQTLVRLQARARASRSSHVSESLSEAEYIKLIAMDHHHNHRSQMGSSRWNAPLYNEDNDKILEVDTWKPHFPSYHKESPRKRGSRMVPTSVDNSPQVRSRPGSSSGGGSRRRTPFTPTRSEYEYYAGYYPNYMANTESYRAKVRSQSAPRQRLREFSSESGYKRSAQGQYYYYTAAAERSFDQRSDYGGVYF >A07p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20929265:20929974:1 gene:A07p039390.1_BraROA transcript:A07p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAKAAITTILTVISFFLPGGLTHYRSPTTYIVGNEFGWDLSIPADTWASNKTFYAGDILVFRYDYQLDNMLVVNQTGYETCIPNEGYIEYNTGEDMIQLAYGGNYFIGTATPGDCWGGMKLAINALAPDNKD >A01p050660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28518680:28522082:1 gene:A01p050660.1_BraROA transcript:A01p050660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSNSRGFKTSGVSDRNTEFPPVDEYVRSVFGSSTQKPSSEEEDSLGVDPFVRSLEWGDVSLRQWLDKPERSVDVLECLHVFRQIVEIVNAAHSQGIVVHNVKPSCFVMSSFNHVSFIESASCSDSGSEDGQKEEKGSYNKILERQIEKLEEEKKQRCFPMKHVLAMETSWYTSPEEEFGSPSTCASDVYRLGVLLFELFCPVTSREEKSRIMSSLRHRVLPPQILLKCHKEASFCLWLLHPEPTCRPSMSDLLQSDFITEPRDNLVEHEAAIELRDRIEEQESLLEFLLLIQQRKQDSACSLRDTISLLSSDIEQVVKRQLILKKQGSSYSDLSKDDHQSPSGPSTLLASRKRFRQVIPQVETDVEVDEESQGSTLLESSRLMRNFKKLETVYFLTRRRQMKAAALGKSLTRHSPLSSENGRGGSMISSVSNPVSNNDPLRQGGWIDPFLEGLCKYLSFSKLRVKADLKQGDLLNSSNLVCALAFDRDGELFATAGVNKKIKIFEYNSIVNSNRDIHYPVVELASRSRLSSVCWNSYIKSQIASSNFEGVVQIWDVARSQLVTEMKEHKKRVWSIDISSADPTLLASGSDDGTGVSIGTIKTKANICCVQFPSDSGRSLAFGSADHKVYYYDLRNPKIPLSTMVGHNKTVSNVKFVDSSTLVSSSTDNTLKLWDLSMSASGVNETPLHSFAGHTNLKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVMSYMFSNTDSMSGLEIDDASQFISSICWRGQSSTLVAANSNGNIKILEMVA >A02p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10130529:10130851:1 gene:A02p021390.1_BraROA transcript:A02p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTTCEENERMLCGHCGVKTPIRREGNSGTVKTCDWCGKVLADETGTVEEYNELFLRLQYFQKLVSKFKKKSDN >A03p030080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12620483:12622810:1 gene:A03p030080.1_BraROA transcript:A03p030080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALTFLLLLLSLDFSSSLPIPLGSTLHASSDRNHSWYSPNSAFSVSFIPTATPGSFLSAVLFSNTVPIWSPGTVDSGGSLRLLRSGSLRLVNSSGATVWESGSENEGVTSASLEDSGNLFLRSRKNTTVWSSFAHPSNVIVPTQPFTVGKSLRSGSYSFHLTEEGSLNLKWNNSVVYWSQELINNNSSSNLSSPSFVLERNGVASIFDSSVGVMVVSVRSSDYGEGEEGVFRFLKLEEDGNLRIFSVSKGGEIQTQTWVAVADQCQVFGFCGNFGICRYDGFVPTCECPSVNFVPIDVNDMRKGCKRKVELEDCRGNEGMLDLNNTRFLTYPPELSTQRFTLGTEACRANCLADSLCFASVLMADGSGVCFQKLSGLVSGYKSPSVPSTSSLKVCKPVSPYSTVEKSDERLLIRALIIALVVIATRDETVDMEQVTRMVQASFWCIQKHPSQRPSMGKVVQMLEGIIEMAKPLAPNALADIRDTENS >A06g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19786189:19786944:-1 gene:A06g507140.1_BraROA transcript:A06g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLWSYPLVHPPEALSFTTASIPRVAKNPNRRTNKPFRRYQHLCASLSLSLSLSLSLSLSLPFKSLQILSLQEIQIWQSITGGKMKGIGATCTSVSGKAEHRRRHKKKADDGASNFSPLRQRKRKTVLADLELLSSASPVKLSDAAAAAAHLAGFDGEDNTMRLRRLHLVYKEKTKKGEELKVQNKMEEKSFTYSTRGEKMR >SC124g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:73513:80993:-1 gene:SC124g500030.1_BraROA transcript:SC124g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLANGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQAIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTERRPMTRSRSRKLTQAIGGLVMMSWKQEESILEKLGHDQIIFKTLVRLINTSHTACPLHRPGLESPLLN >A07p032980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18040087:18040731:1 gene:A07p032980.1_BraROA transcript:A07p032980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLDVHNNRNADHYGALVRHLSLIRNKRCLMAYVHNRADIVRDLAWRVGLELLDLPPEIQEKLTALEKEYFKNHSVAIKSYMGKVGIELNVVSNLDLILLGGGYVLRHKRYGASKRSLHQRIVMSDKTTNFTRHSMHFLKRTDAEPYIARVSSLYVSSLKPINLSAL >A09p075900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57025593:57029582:-1 gene:A09p075900.1_BraROA transcript:A09p075900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAAALCIGFASLMKFSSSSKKMTCKLLRRAIPIEYPTVVVHGTVGSNSTVENSRLGVFVKERASLELKKMKLFVSAVPDSSSTIIEDMVSLELKKMNLIVSAVPDSSRTISKETDSVKFEETKLFDSAVQESKTIFVSRKEVPWYLITDIDCKKCSQVLEIGTYLTVVGRAERDKDGDPTIGNAYQFFSGHRQLNELVTNLESESEGGATLSFSLGAILLALNIMQTAVERS >A01p017660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8603885:8609189:-1 gene:A01p017660.1_BraROA transcript:A01p017660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSYFTSIQGLLLYLHQVRDDVVAAYRQEVLWQAEEVLKPYQKMFERRKVAVEVHVIESDNVAAAIAEVVTRNSIERLVIGGSSRSFFSRKADMCSAISALVPNFCTVYVVSKGKLSCVRPSDSDGNATIRDDGSERTDSSSGSSGPNSESTDGVSSALDSQSQSRALSLPVRRFQHVPAIGRQASVPMDTSSVGSDDTRCMSMDAEEAKDVSSINRSSTDTTSRWTPRLRDYEERKDAMSSSSSNREYGNAGGKFSWTGMVIDTTHSRASQQASNMSDNLTEHSYTDNQVNLSFEVEKLRAELRHVQEMYTAAQTETFDASRKLGELNQRRLEEAIKLEELKLKEYEARELAEKEKQNFEKAKRDAESMRERAEREIAQRREAERKAARDAKEKEKLEDTLGSPRLQYQHFTWEEIVAATSSFSEELKIGMGAYGSVYKCNMHHTTAAVKVLHSAENGLSKQFQQELEILSKIRHPHLVLLLGACPEQGALVYEYMENGSLEDRLFQVNNSPPLPWFERVRIAWEVAAALVFLHKSKPKPIIHRDLKPANILLDHNFVSKVGDVGLSTMVQVDPLSTKFTIYKQTSPVGTLSYMDPEYQRTGMISSKSDVYSFGMIVLQLLTAKPPMALTHLVESAMDSNDEFLKILDQKAGNWPVEETRELTSLALCCTELRGKDRPDLRDQILPALESLKKVAEKARNSISSVPTQPPFHFLCPLLKDVMNEPCVAADGYTYDRRAIEEWFEEHNTSPMTDSPLLSKNLLPNYTLYTAIMEWRFLKTLLNILDTHLIKITSFCFRHYTMRMLVSLLVLSLSSLALSNENATSYVLPRPLIFETQELKSAVDDDNVNVNCTSWRFAAETNNLAPWKTIPAECAGYVKDYLMGRGYVVDLERVSEEANVYASSFGFSGDDGKDTWIFDIDETLLSNLPYYLEHGCGLEVFDHTKFDKWVEKGAAPAIAPSLKLYEKVKDLGYKVILLTGRRENHRVITIENLINAGFNNWDELILRSLDDDHKTATIFKSEKRDEMVKEGYRIRGNSGDQWSDLLGSAMSQRSFKLPNPIHDMFNPTTILEIFTSDLASSVHIASCSVQLSSAASLLYGTRSMNST >A07g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12024414:12024985:-1 gene:A07g505420.1_BraROA transcript:A07g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKEEAVVDKADDSPPRTRTPPQDRLTGLTRNTCGEHQDTQRNTATPPSGVTEKRLQPSEPSHPHRERKIETSTTTPDRRNLTSTPPRFSGVPRETTPDLEPRWRKPPEHRERKQEEGGRGEARKKRAATGGGGPEPRRPPTIQRDLQLGFSFF >A06p005530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1685730:1687874:-1 gene:A06p005530.1_BraROA transcript:A06p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLRQFLVVMERKIIDFEQGWEKIQIGITALKRKSECLHVPVLLAQHTIDIYTIVYRMCTQKSPNDFSWPLYQKYCETMEEHMNSTVLPALNEKRGDEYMLLRELVERWSNHKTFVKSVSLLFYYLERFFIFRRSLLSLEEVGLGCFRDLVYNKLQCKIREAVLALVDREREGEDIDRKLMKQVLEFYVEIGEERYEEDFESSMLKDTASYYSCKASSWIQEGSIDDYLLKFEECLKKEKERVSHYLHFSSEPNLVKVVEHEFFFVHENQLLEKTHSEKQISLAPIAKIFKQRVTEEGGEDNKAINDQVASAKEQVLIRKVIELHEKYMVIECFQNHSTLFYKALKRAFERLCSKAVAGSDELLATFCDKIILKRWGSEVLSDEDIEKVVMFLAYISDEKYLFAEFYRKKLTLRLLLNRGVSDDHERSILTKLKQQHGGQFTFKMERMVTDLTLSRENQNSFKEYVDNNHVAKPEIGLTVTLLTTGIWPSYKTFGINLPSEMVKCVEVFKEFYEKKTKGRKLTWIHSLGTCHVNGLFDQKPIELIVSTHQAVVLLLFNTRDKFSYIDIQTQLNVGHEDLVGLLHSLSCCKYKILTKEPATETVSRTDVFEFNSKFTARMCRIKIPLRHVDDRKKFIENVDKDRRYAIDAAIVKIMKGKKALGHQQLVSECVGQLSRMFKPDIKAIKVRIDDLITRDYLERDMENPNMFRYLA >A03p017620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7177719:7180393:-1 gene:A03p017620.1_BraROA transcript:A03p017620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGKTPININTMSSCLQRTGQLGFSQDIPTDVVVEVGEANFSLHKFMLVAKSNYIRKLIMESKDSDVTRIDLSDIPGGAEMFEKAAKFCYGVSFEITVQNVAALQCAAEFLQMTDKYCDNNLAGRTQDFLSQVALSSLSGAIVVLKSCEILLPISRDLGIVRRCVDVVGTKACNEAMFPCRSPPNWWTEELCILNIDFFSDVLASMKQKGLKPSSLASAIITYTERSLRDLVRDHSGRGVKFSDPENNDSEERTQQRHLVESIVSLLPSDKGLFPINFLCSLLRCAVFLNTSLASKNEVEKRISAVLEHVTVDDLLVPSFTYDGERLLDLDSVRRIISAFVEKEKSKKGLCSVSLQRVAKNVDSYLAEIATCLELTVSKFNAIANLVPKSARKPEDDLYRAVDIFLKAHPSLGEIEREKVCSSMDPLKLSYDARLHASQNKRLPVNIVLHALYYDQRKLRSGVEDKEGAVNVVPEAIVTRGQVKADITLAKENEALRSELMKMKMYVSDLQKNGVGASSSKAPLLVSNRNSKHTFFSSVSKKLGKLNPFRHGSKDTSNIDEDLAGVDITKPRRRRFSIS >A01g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4895463:4899349:1 gene:A01g501260.1_BraROA transcript:A01g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESDYSFLESIRQYLLEESELRLTESMVAQSGTTVHSVRPVYGRNSSFSKLYPCFSESWGDLPLKENDSEDMLVYGILNDAFHGGWEPSSSSSSDEDRSSFATNHDQMLTQSRFPGITNKFLYHKMQVTSPLAIVNAISTKPFTIYFANIVYVLSYFYSFSFELCELDNVPFGLDWIGLRLHRSKMVRLTTWDNEAANFRELNRISTRKNQIVIITSIIPRLHEGKLSLTTT >A03p067410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29657817:29658846:-1 gene:A03p067410.1_BraROA transcript:A03p067410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAEDNEETGEPSGEAIRSRLSNRADPFLVVCRCFSFVTSLVAILCVVVNVLAAIRSFRDSHDIFDGIFRCYAVLIACFVVLAETEWGFILKFSKVLEYWAGRGMLQIFVAVMTRAFPDYMTQRKDLLLLQNIASYMLLACGLIYFISGILCIGFLKRARQKKEISKEQAVKDLEARDRPAQGRTRTVARGASE >A09g518140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55338368:55341054:-1 gene:A09g518140.1_BraROA transcript:A09g518140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETSSNQVRGLSFHPKRPWILSSLHTGVIQLWDYRMATLIDTFEEHDGPVRGVHFHISQPLFVSGGDDYKIKVWNYKTHKCLFTLLGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVSVLAGHNHYVMCASFHPKEDLLVSASLDQTVRVWDIAPLRNKKKTPLADDDDIMGLTQMNSDLFDATVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRKVKLWRTNETKAWEVDTLRGHSSNVSSVMFHAKHDVIVSNSEDKTIRVWDATKRTEIKTFRRENDRFWILAAHPETNLLAAGHDSGLIVFKLERERPAFALSGDSLLYAKDDSQVIPIQRPRAVSHSPTENAVLISSDLDGGSYELGMADANRVSGGGSAVFITPKRFAVLDKSTGQEEVLVVKNLKKEVVKKIPLPIPTYAIFYAGTGALLCRCEGKVVMFDLTQRLVLGEVHTPSVRHVVWSNDMESVALLSKHTIILASKKELVIQCTLHETTTRVKSGAWDDDNGVFIYTTLNHVKYCLPNGDSGIIRTLDVPIYITKVSSDKIFCLDRDGRNKEITVNATEYMFKLALMRKRFDHVARMIEGSRISGQAMVAYMQQKGFPEVALHFVEEEGDERVRFNLAIESGNMSVAVASATKINEKHEWRLFAKEATLVSSSLRTSRRGASRGCLFFILSLVILKSFQS >A07p046990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25291051:25292812:-1 gene:A07p046990.1_BraROA transcript:A07p046990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKERTAKVSRNPDLIRGIGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKADAPVEKPPKFYPAEDVKKPLANRRNPKPTKLRSSITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVSGPFKINGVPLRRVNQSYVIGTSTKVDISGVSLDKFDDKYFGKVAEKKNKKGEGEFFQAEKEEKKEIPQGKKDDQKAVDAALIKAIEAVPELKTYLGARFSLKQGMKPHELSVTLAPASTSPVKHRVHFLLSHPKGPRLFIISNGFTSATTPLLCRRRRAHIRPKKQERTARTTRTEMTAKKGPMDPDE >A03p031490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13262236:13263899:1 gene:A03p031490.1_BraROA transcript:A03p031490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDKKPARPSSSRAGGIRTLSDLNRRSGPDSDSDSDSPQEYYTGGEKSGMLVQDPSKKDDVDEIFNQARQLGAVEGPLETPSSSSTSFTGTGRLLSGESVSTGSQQPEPVVHTIVFWANGFTIDDGPLRKLDDPENASFLESIRKSECPKELEPADRRAPVHVNLMRREEKCPEREKRKVSFQGVGRTLGGSSNDSSGSGSPMAPETATIPIQTGLAAPTPTPCLVIDETVPTTSIQIRLTDGTRLVGKFNHHHTVNDIRGFIDSSRPGAPVNYQLQTMGFPPTPLTDLTQTIEQAGLANSVVLQKF >A05p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21793895:21795498:-1 gene:A05p038300.1_BraROA transcript:A05p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEWVSTRMGFGLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFSCNAFRALLYIESLEVKAPSFFRSLYFLTSVAKMTSPAASAAFAAVPYSTFNSLHLGRSTQSIVGWLIRFWDSRNINKNGEFMGITILLFDELDSVIHSFIPANRASQYRSSLKSRSIVRLDRFEVARVAHMYKLDAPVIKSNRFLVRRFDHLQFPSPDVVGEIRSVQGLISKTSQPRAETVIVYLSLWDEAASTFRGLLKSGYRSQSVLLVTLVNPKLFGGNLYLNSTQGTRFFFDTSLPEVTEFVSRQ >SC184g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:26086:26262:-1 gene:SC184g500020.1_BraROA transcript:SC184g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLALAFKLVPACLKLSSLDQTLSKLLLAYPDSSWRVSLFKWMQGNFPSLLPQLPQ >A04p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18515525:18517870:1 gene:A04p031410.1_BraROA transcript:A04p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWI/SNF complex subunit SWI3B [Source:Projected from Arabidopsis thaliana (AT2G33610) UniProtKB/Swiss-Prot;Acc:Q84JG2] MATNAPIPGGSSEIPPVTPSRPIIPEAPSEISPSSNSLQPPPSSSDTDRIYIPSYSRWFSWTEINECETRSLPEFFDSRSSSKNPRVYLYLRNSIIKQYRDEHPNKISFTDVRRTLVGDVVSIRRVFDFVDSWGLINYSSSASAKPLKWDDKEAVASEPPSTVKESAKRICNGCKSVCSVACFASEKYELTLCARCYVRGNYRVGINSSEFKRVELSEEWKTGWSEKETLLLLEAVMHYGDDWKKVASHVTGRTEKDCVSQFVKLPFGEQFAKDSDSEDALETFDQIKGSADHESEGRVKDGSSSPDNKRMKLTPLADASNPIMAQAAFLSALAGTKVAEAAARAAVTALSDIDHEAGKSAGGDPNGQEINGNDSERAVAEAKSLIEKEEKEVEGAIREIVEVEMMKIRDRIVHFEKLDLEMERSRKQLEDMKNLLFTDQLNIFFHTRRSRKAEDRAEC >A07p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20445251:20446553:-1 gene:A07p038400.1_BraROA transcript:A07p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSELCLERPLFGGAITSAFPQRFQDASNIRQVPDHQEVFVDPSRDESLIFELLDFKSDVGDNGSASWFLQDLAREQDAEAFKLIEQSQVIEAPGLSFRNIPAVVTSAVGDMAISKGRQGREAQNLVRVYVANLRLKGVETDVLVTAYEPILINPLSESANAVGSGLAVPASQSGILPMCDVIKHAVSTFRVNDWSLFGSSA >SC259g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000109.1:32791:36956:-1 gene:SC259g500030.1_BraROA transcript:SC259g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNDEWGWFSQMKTTLKRCGVWRNHEKEESLKGKVAEKDQTARETSGNCFSLEESTLLEKIEDVYENKINLRRVYEVKKVISGVKQGREEFNNHVRKLQHLWVELQGLRPHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEDICVLIRRAYEIMRDDERLTMSRRESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSTFQLVPACLKLSSLDQTLSKLLLAYQDSSWRVSLSKWMQGNFPSLLTQLPQ >A05p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5859610:5860685:1 gene:A05p013410.1_BraROA transcript:A05p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSFIRSGAGRAAAAAFRSTKPMPSSARSSFKMPKQSPLSHRVFRRSPVALSCCVETMLPYHTATASALLNSMLSVSSSRCSISLMMHDDFQMASGGMNAVEGKTMK >A07p000290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:778867:784162:1 gene:A07p000290.1_BraROA transcript:A07p000290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 2 homolog [Source:Projected from Arabidopsis thaliana (AT2G20580) UniProtKB/TrEMBL;Acc:A0A178VZC8] MAPTPDPKSVGGGGARKDEASVKDPKKKDDKKEDDLSEEDLELKQNLELYVERVQDPNPDLQRAALKSMRNACPISSLSWPLPCLPRESLSYRLIGTEGDIGSWGHEYHNAETEAVDLLMDVEDLDLLLEHVDKTNFKRTCNYLTSAAKYLPGPDDMLVLDISYTIYMKFEEYPNALQIALFLDNTQYVKQVFTSCADLLRKKQFCYMIARHGITFELDDEMVADEDDREALQDIVNNTKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRASSGASVDSARQNLAATFVKAFVNAGFGQDKLMTVPSDSTTGSSGNWLFKNKEHGKISAAASLGMIQLWDVEGGLAQLDKYFHSNDNPILSGALLGVGIVNCGIKSDCDPALALLGDYLDKEDSSVRIGAIMGLGIAYAGSQNDQIRSKLSLILNDAKAPLDVIAFASLSLGMIYVGSCNEEVAQSIIFALMDRSEAELGDALTRFLPLGLGLLYLGKQESVEAMAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQDLLAQCGEHLEKGDIHQGPAVLGVAMVAMSEELGIDMEIRSLERMLQYGEQNIRRAVPLALGLLCISNPKVTVMDTLSRPSHDTDSEVAMVRIAQGLVHMGKGLLTLTPFHSERFLLSPTALAGIVILLHACLDMKPIILGKYHYVLYFLVLAMQPRMMLTVDKDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATDKYIPLSPILKGFIILEENADYREE >SC109g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:280715:282910:1 gene:SC109g500040.1_BraROA transcript:SC109g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRFDIGRYELVRIDRCSLVRLFRHDNIDRCSMSSSETNARNREIKSKRRFDETSSSTNPQRPPWPRTENTPFDRPSIRPARSLRSDRACFPFGRYVATELFRNVDTTPVHAFSSILRCYLPKTVGNSVHVFRHSKSSIKLCDVVINISSRKTAQRDLRHDSRSILRFFNQKPVNRRTVYAWRAKKDKCHKTKSVNRPWREYIRSPRREAWGEALFKANLALRAIRQLSVFVISSCDSIRFSRLRVVRTKNLADSSRAQAYTLLTSGKLGFSYFPNLTEIDRANFGSHNNENQK >A10g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18648742:18650063:-1 gene:A10g506510.1_BraROA transcript:A10g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLVFLSDLQTGRSSSSVEVACSDFGRPGTSGVVKSLWESTLLSDLAPGLGSPSCAVNMLSWLAEKVGGGDDDGSFLNRRRSSWAPSARCSCFQYFCSALTLLLLHPQPHDAAQLSHVVIARLRNGGRVMVTAESEWQGAGVVTAWQ >A08p013180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11715266:11721425:1 gene:A08p013180.1_BraROA transcript:A08p013180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDINNHGEDISIDTYATLVIHQFKLECLGDRMQKIEHTTATMKDKWCRGDKAIRDFTDLLVGDNTKDTKVDQPVNYVTLAENVKDYNRTWWLQPLRLDSQIILGYRSKRSKQDLVAKTIKSGIATPRSGRAHPRARRASAEILICIQEVEIHNRLPTFQGTTLDPSQAITWSRYWTFKFPITCSMFCYSSCDGLICVLCMFELSVVANPAIGWHRILPLSNYNTSSFRGTRMDLWNAQSLIDYGFDPFLSIIMSVLEISDDFGEFWRYLEQTPEMTIELDHRSILEMNNRSILTSVYRSTAKRAESPFGHSRLEAQVFTILQNYPSRFVPHCEVFDFSTNTWRYVIPASPYPINCYHKPVHLDGSLYWFTACEEPMVLSFDLHTVTFQLICKAPIAHPCDPHLLTMCILDNRLCVSERKRKENTQVIWSFDSSGKTWKTMCSLDLNPISSWWSTDFTLLPIANLDKGRILLQSGACIDPLVIHDPHTQSYELLFQPNRLTGSVYYFESLFSTLCN >A05p021890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10446894:10447310:1 gene:A05p021890.1_BraROA transcript:A05p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIECGTPHSPSSGGVCISGVLYYKAADQLFSKASMIVCFDVRSEKYNFVRVRESSIGAVDTTTTLINYKGKLASLMMERFYCFWSSISFDMWVLQDPEKQEWSKHTYKFPILSNEVREDTLYSVRVTGTNEIVLFP >A05p053580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:31017391:31017972:-1 gene:A05p053580.1_BraROA transcript:A05p053580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTKGKQKIEMKKVGAYAARKITFSKRKSGLFKKMNEIVSLCNVETSFLVFSDSGKPYTFAYPSLEEAVGQFKNPLRHEPSATINTRPLVEAYKRQKNQDLMERYMDLVEELEINNEKEKILKKIIKENKEKILWNIPPAECLSVEEKKWMRQTFVGLHVFLSDMALKCFGNDGDGSSSSQESRGRDGETYA >A03p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:760227:762603:-1 gene:A03p001550.1_BraROA transcript:A03p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSRPRPQVHQREERIESNRMAGMLANSVLLPQPSILLPVSSSRSSRSIRRTLVMVKASSAKPSDSVSVSTKSSADSAFTAPPGFKPPEPKRFAVKPGKLLDVLGAAIGVLLRLGTGVFVSGYSASFVSKDAIPADQYALRIGGITVKETSRLGPRPQKPIEIYEFEGCPFCRKVREMVTVLDLDILYYPCPRGSPNFRPMVTQMGGKQQFPYMVDPNTGVSMYESDGIIKYLSEKYGDGTVPLSLSLGPLTAITAAFATLGRLGKGNLYTPAKLPPKPIVFWAYEGSPFCKLVREVLVELELPHIQRSCARGSPKRQELLTKAGHFQVPYLEDPNTGVNMFESAEIIEYLKQTYAA >A02p054420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33066662:33070567:1 gene:A02p054420.1_BraROA transcript:A02p054420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDHQAIAINDAVHKIQLALLNGITSQTHLFSARALMSQSDYEDVVTERTIAKLCGYPLCRSPLPSDDVSRRGKYRVSLKEHRVYDVRESRKFCSGECLVSSRAFGKSLQEVRTSEFDMVKLGGIVGLFGDGDVVEEEEGLGLGLGKLSICEKSDVMRGGEVDLEEWMGPSSAVEGYVPFDRSSCKFKDGSKESKAKNKQKKQEGPSFNEMGFTSTVIVPDECSVPSNYNSNQDAKATKNKQKKQEEPPFNEMGFTSTVIVPDECSVSNYNSKQDSKAKNNKQKKQEGPPFNEMGFTSEVIVPDECSVSKVPPQTKQTSPVVKPVDDQGKTPKKSRFRREKEKEKEKIDFASFGFDAMGCASPVTGNDGYSVEYSVSKQPPSSTEDPLGGQMKDSLKTLDEKRSSSASKGKGFKPPPRRKQASHAGESSKGKTVVTITEKDIQSSLVDEMGVMSDGFSVEYNVSKQPPSSMEVPLSSHLEGSQTLDKKNALLGSSSGSNTKALGKKVISDSCEGLEAHQDMCSSSEAVTKSCLKGSGSKKLSHSVTWADQSDGRGDLCEVRSDDIDSGLNTEDVSRLALAEACAKALSQAAEAVSSGDLDASDAAAKAGIVLLPSTHQLDEEVSEEETEEEMSEEEEEATLLKWPNKPGTPDSDLFDRDQSWFDETPEGFNLTLSPFAMMWDSLFGWVSSSSLAYIYGKDESAHEEFLSVNGKEYPRRIRLGEGLSSEIKETIAGCLARAVSRVATVLKLPGSLLETMSLTGAVPSFRIEQWLVVVLLFLDALSVCRIPRIAPYLLNRNKVLEGSGIGNEEYETMKEILLPLGRVPQFATLCGA >A07g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17674598:17675199:-1 gene:A07g506920.1_BraROA transcript:A07g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGRGFPTYCRCGTRVIRCTSKTITNPGRLFHKCPYGDEMESLAIETHTCCSVVSGYEKELRGFEKEIEDVKMELKSLKNMVVCVVVFGLLYKLFM >A07g504540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9086615:9086809:1 gene:A07g504540.1_BraROA transcript:A07g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQQRSQRRRNNITGMHQIGQTESKSDNRSHRTRPKAKSKYLCFLNQQLMLPTSIFLMKNWHL >A02p020550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9645172:9647817:-1 gene:A02p020550.1_BraROA transcript:A02p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELQETVAPCGAITEKRPSRLSGCVGVFFQLFDWNRRFSKKKLFSRKSLLPGKQASKRFGGNDKLLKSKLNLIDDENRGSFPNRGEVVEFKKHEMRPPSLVARLMGLESIPSNHKKKKKSSHLMNQDKDKCLLSDEEEEEEGGYGLDKSRPQKMQRTVTGVCDRRVMVKKFGSDAMQIKNVLTRVRKHQNLAVPVKSPRLQRRNSRLINAAARILEPGKRNSKYNAIAYPSSSRTIRCGSVGKEPPDCNTSVASCKACGSFVDVHGSSSAPEESGKSMVCVSESTPFERSKRSVNWRNQEPSVSLSGKGRGCIDQMERKALHRARFDFNGKHVKDEISMPGDRMFPQSYTLQRSRGSSSPANASNCKEKDFIAISRGSSSREESSNRSGLNTCARKRRLACASSSMSSPVSRRGDGKRSWDCSNETAFSPLKLGSPHRSYSQGCRETKERKRPPRVPNQMGQRRIQVDAGTVGLIQQKLEEFTSQEEDALPSKPASLILHELLSSLAREQSSYTLDVNTATRRKGKTEMWSSIGNANSDYTSPGSVLDASFSNESCFSNSFDNISVPGQMRLPLESVDPDWDVLEDSATLFKNSTSGGNYQTITSLVSHVSNVLRSLSNTGLILTRQRFTNAREVIIHTELLAPHDNYLIGQELFDELMIYAARSDNLLNLPGITGGFLVDAMVEHLEERNISCGGLKPSSAEASELIQGVLGEVPKWAALARVDLDEVVSIEMEKWMDLETHLFGVGTEIAYEIVMCLVEELAMDLL >A09p064790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52070684:52071733:-1 gene:A09p064790.1_BraROA transcript:A09p064790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIIAQLIVMGSGILGRAFFQAYRQALANASKTGVAQEAMQNAVRKAGKSITEQEARQILGVTEQTSWEEIVQKYDKLFENNAKAGSFYLQSKVHRAKECLEEVYRSKSTPS >A02p016420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7364011:7365065:1 gene:A02p016420.1_BraROA transcript:A02p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPHTYVRSFTTMPLLPNSSFKTAATVVITGVLSLAAAVSFTVPSVSHFVASSYPMIYDNTVFLLKPPYLYLVINCIIVSIVATSKLTHKSSSNIDVSDISEAVTVVPVPSDIVAGYLNVSHHAVASDYTEGEDNDPKVEDVSQVIGDDKVIETEKLKPKSDWSEPEKPKPSSGWSEPETEKPKQQSDLLEISRVKLSRKPPRYSQQKSLKMSAEGKKPPRRQDTLETTWKKITEGHSTPLTKHLTKSDTWQERSHVHSSKEKMTKSENLNDINAPTELKREPSPGQEELNRRVEAFIKKFNEEMRLQRLESLAKSKETVNGGTHL >A03p043600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18239474:18239656:-1 gene:A03p043600.1_BraROA transcript:A03p043600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKLEFCIELVKMAVVLVATVAESVEEAFRKPHPPLPAVHDGRRNSYANVPIPLVGFM >A04p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21683279:21688509:-1 gene:A04p038060.1_BraROA transcript:A04p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLKKMGSIDAQLRLIAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEEVREFVQECYEVAADYDGNRNTAKLEELGNMLTSLDPGDSIVVTKSFSNMLSLANLAEEVQIAYRRRIKKLKKGDFADEASATTESDIEETLKRLLQLNKTPEEFFDALKNQTVDLVLTAHPTQSVRRSLLQKFGRIRDCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCNEELRVRAEVQRCAKRDAKHYIEFWKQIPSNEPYRAILGDVRDKLYNTRERARQLLSSGVSDIPEDSVFTSVDQFLEPLELCYRSLCDCGDRPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITQHLGIGSYKEWSEEKRQEWLLSELSGKRPLFGHDLPKTEEIADVLDTFKVISELPHDSFGAYIISMATAPSDVLAVELLQRECGITHPLRVVPLFEKLADLENAPASVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKTQEELVKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGQLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRVLMDEMAVIATEEYRSVVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKRVIQKDAKNLNMLKEMYNQWPFFRVTIDLVEMVFAKGDPGIAALYDRLLVSEELQPFGEQLRVNYQETRRLLLQVAGHKDILEGDPYLRQRLQLRDPYITTLNVCQAYTLKQIRDPSFHVKVRPHLSKDYMESSKPAAELVKLNPKSEYAPGLEDTVILTMKGIAAGMQNTG >A10g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13009244:13010131:-1 gene:A10g505230.1_BraROA transcript:A10g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCHQALFTALHVRRSTCSSSYTHLSKGNHVGKQTNLENRHLRGKSAVKRAPPDKTYKPYKSQLLTCDSPRDPPRHGSKNDIGRGRREAPPNRHWTPTTPSVSSDAKAGVDGSRPDCPTKLAVGRNDSTQKRDLEKLGEKEGRVVCAATQRPLLILNTQSLTLRHYLSTLLTKKWMLSTVKNFNEGEELA >A01g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21151355:21151848:-1 gene:A01g507280.1_BraROA transcript:A01g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVNEKNYVDTRVKKKKKKKKKKKKKKKKKDDLTELRELDNAREEEMGELIALDMRVTALEQKEMGELIALDMRVTALEEKEMGELIALDMRVTALEDAKNKMEALASLEASVVFLCLNLCWLMYN >A09g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5898524:5901078:1 gene:A09g501600.1_BraROA transcript:A09g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHNSYHTSPFPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A02p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9372566:9374938:1 gene:A02p020090.1_BraROA transcript:A02p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLLLPLLLLSLFVLASASPSAPPDEGAYIGVNIGTDLSDMPHPTQVVALLKVQQIRHIRLYDADPGMLIALANSGIKVIITIPNDQLLGIGQSNSTAANWVKRNVIAHYPATTITAISVGSEVLTSLSNAAPVLVSAIKNVHSALLSANLDRLIKVSTPLSTSLILDPFPPSQAFFNRSLNPVIVPLLSFLQSTNSYLMINVYPYYDYMQSNGVIPLDYALFKPIPPNKEAVDANTLVHYSNAFDAMVDATYFAMAFLNFTNIPVLVTESGWPSKGETNEPDATLDNANTYNSNLIRHVLNKTGTPKRPGIAVSTYIYELYNEDTKAGSLSEKSWGLFNANGDPVYVLRLTNSGSILANDTTNQTYCTAREGADPKMLQAALDWACGPGKIDCSPIKQGEACYEPDNVIAHANYAFDTYYHQTGNNPEACNFNGVASVTTTDPSHGLCVFSGSRGNGKNGTSVNITAPSANSTSSGVGSDDLYYSRGMWSILTVILNLVAFL >A08p043950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24385861:24390329:-1 gene:A08p043950.1_BraROA transcript:A08p043950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKTAKNRFVFLPDSRQVIFSSDLMGDSSENSKRVKLSDGRFLAYRESGVPKEEAKYKIILVHGFGSSKDMNFSASKKPDLDFVSQELIQELGVYLLFYDRSGYGESDSNAKRSLKSEVDDIVELADQLKIGQVLPNWIIMGSYPTWGCLKHIPRRLSGVAFVAPVVNYWWPSLPKNLIKENYMGGLIRWGLRISKLAPGLLHWLVIFTQKVLPSTSSVLESKQVYFSSHDMEVLKRTTGFPMFTKDKLRRRDVFDTLRDDFVACFGQWDFEPADLSITQESNVHIWHGKEDKVVPFQLQRCVLQKQPLINYHEIPQGGHLIVHYDGTCDAILRALGWRFSWKFKLLEESTSWILYLNGTRSIKDEDGTSIINLFPCYSSSPIFVNETFMMFQNGKLVPPNMHQYQNTTRLLNLKVLRRIDPFIEEILITAAHVTLYEFNVQKSEWSRKDVEGSLFVVKRNRQQPRFQFIVMNRRNTDNLVEDLLGEFEYEVQGPYLLYRNAAQEVNGIWFYNQSECEEVARLFNRLLIAYSKVNQKPNKPSSKREFEELEAVPTVSVMDGPLEPSLSGRDGAPNDPAFVNFFSSAMSLGNTTSGSASGPPYQTLVDTRQPHQPTISPAAAAPPQILSPPPLPSSSPLMPLFDNNPNRISSNKSNVHTDLVTPSSFFGPPPMMARPHLIPGSSMPSAPPLNLNNTTYYQQGPHGTPMLQPFPPPSLAPAPNGSFINRDKVKEALLALVQENEFIDMVTRALQNAHQP >A05g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18150398:18153676:-1 gene:A05g506340.1_BraROA transcript:A05g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTSQTIYTASELVQIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGARLRDYPDIKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLNTFIFFLRYLILNLVDMATEEEADEYNISEVDWGEEPGYSWEDQNCGDGSEEDYQCRESSAENGYEEEPCRKGEDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTEKAQRYWKREEKYREQFQEPPIRTWEKFIGVMRDIFATYIPTQHAQKVSTKRVVQPSLAAGKPEAKLKTNKKFDDLINLIKAGSNSVSSNSMTVLTHLSSAQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDKVISELNVINLNYQNTGMMHLYSVQNVYEGRGNEETRPEAQQQENNEQSILETSTPADHALEGKHRPTMERGHSFPTD >A10p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16588380:16589700:-1 gene:A10p026010.1_BraROA transcript:A10p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKFKTVQEVIASGEGLPERYLHTPTGDIESQPLDAPVPEMDIPAIDLILLLSPSDNGRQELTKLHSALSTWGVVQVMNHGMTEAFLEKIYELTKQFFALPTEEKQKYAREIGSIQGYGNDMILSDDQVLDWIDRLYLTTYPEDQRKLHFWPEIPAGFRETLHEYTMKQQVVIKQFFKGMARSLELDENCFLDMYGENAMMDTRFNLYPPCPRPDKVIGVKPHADGSAFTLLLPDKDVEGLQFLKDGKWYKAPIVPDTILINVGDQIEIMSNGIYKSPVHRVVTNREKERISVATFCVPGADQEIHPVEALVTETRPRLYKTVKKYVELYFEYYQQGRRPIEAALI >A02p050160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30996218:30999168:1 gene:A02p050160.1_BraROA transcript:A02p050160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTNHIGTSINYNGEEREQGDAESNTLNQPLVKANRKLSSTPLALVGTKVSHIESLDYEINENDLFKHDWRKRSKTQVLQYIFLKWTFACLVGLFTGLIATLINLAVENIAGYKLLAVGHFLAQERYVTGLMMFAGANLGLTLIASVLCVCFAPTAAGPGIPEIKAYLNGIDTPNMFGATTMFVKIIGSIGAVAAGLDLGKEGPLVHIGTCIASLLGQGGTDNHRIKWRWLRYFNNDRDRRDLITCGSAAGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRGFIEICKSGKCGLFGSGGLIMFDVSHVTYTYHVTDIIPVTLIGVIGGVLGSLYNHFLHKVLRLYNLINAKGKIHKVLLSLSVSLFTSVCLYGLPFLATCKPCDPSIKERCPTNGRSGNFKQFHCPKGYYSDLATLLLTTNVDAVRNIFSSNTPNEFSMGSLWIFFVLYCILGLFTFGIATPSGLFLPIILMGSAYGRMLGGVMGSYTSIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLEANPEPWMRNLSVGDLGDAKPPVVTLQGVEKVARIVDVLKNTTHNAFPVLDEAQVVPQVGVATGAIELHGLILRAHLVKVLKKRWFLTEKRRTEEWEVREKFPWDELAEREDNFDDVAITSSEMQMYVDLHPLTNTTPYTVMENMSVAKALVLFRQVGLRHLLIVPKIQVSGMSPVVGILTRQDLRAYNILQAFPHLEKSKGRKAH >A08p045620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24983341:24986214:1 gene:A08p045620.1_BraROA transcript:A08p045620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSRKRYITPPTHSIKHSRSLSWEIFSLDLSQKILASRWSPYRNTYINMSQDVISSHEQLSMDEITSPLTAQIVDFCDPQFFQETFNQTSEVTSASNCCGYVENNNNNGSKQDHEDTNNNNENGDLSIIFDSQDDFDNDITASIDFSSSIQFPASDQLQDQFDFTGVQLHQPPNVLYSSSSCDPLPPPLTVFEDDCLSSVPSYNIGSLNPTSPSCSFLRNPGLPTYMSVTGNMMNTGLAMERSGFYSGSIHLGSDFKPSHDQLMEIQADTSGMFFPDSIKPIFNPENHHLQTLDGGENQNHLVATPVLPQCGTDITGLDDGSVNKVAKLTAEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEPHRHGSSSHHEEDDDDVGVKEEEQLVDSSDIFSHISGVNSFKCNYPIQSWI >A09g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20313826:20315143:1 gene:A09g506920.1_BraROA transcript:A09g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSDLRCLGALSYDPFTRGISILVEHRRVTYRGHSQPERPAQVTRVLTRGFLVICFDSIHNASSELATQKLINRHFPPKRLSEYFHSESFDIPQNWFDNHLYYNICLRSLENS >A04p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16618392:16625817:1 gene:A04p027600.1_BraROA transcript:A04p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYHWLKTMQCDSGGCRLELVRTPKSTLPPLINALEAYTVIEFPKLETSPSDVDAIKNIKVTYRLSKISWQGDPCLPKDLSWENIRCSYVDLSTPSQIVSLNLSESGLTGSMALALQNLTQLQELDLSNNNLTGPVPSFLASMKTLSLINLSGNNLNGSVPQALLDRVTEGLVLKLDGNPDLCKTSLCNPKKQKKKFLIPAFASAASLLAILVVVALVFVFRKKKLPSDSPAPPSIPVADIGHTSQPESSFPSKKIRFTYTEVQQMTNNFERALGEGGFGVVYDGCVNVTQQVAVKLLSQSSSQGYKHFKAELFYRKYRKRGGLVLSWENRLRIVLDTALGLEYLHTGCIPPIVHRDIKSTNILLDQNFQAKLADFGLSRSFPTGNETHVSTVVAGTPGYLDPEYYQTNWLTEKSDIYSFGIVLLEIITNRPIIQQSREKPHLVEWVSFMITKGDIGSIMDPNLHQNYDIGSVWKAIEIAMSCLSPSSIGRPNMSQVAKGLKECLISENSRISESRDVELRTSIDYSKDMYTESLQGLLLALIIVSTSPLKCLYTRCTVELVMTQSSTLPPMVNAMEAYMIMPFPDAGTNPEDAVAVQNVKDAYELNRIDWQGDPCVPRQFKWEGVNCSYTNASVPQRIISLDLSSSGLNGVIASSIQNLTHLQELDLSNNNLSGGVPELLANMESLLIINLGWNSLAGPIPQALRDREKKGLKLTTQGNPNLCLSGSCNNNKKVLVPVIASVASVLALIALLVLFYIFRKKRPLSEGAAATRELPRKSSIFSKKKRFTYSEVVELTDNFKRVLGEGGFGAVYHGSLSDTEPVAVKVLSESSVQGYKEFKAEVELLLRVHHVNLVSLVGYCDEGGHLALIYEYMENGNLKQHLSGQSAGSTLKWASRLKIAVEAAQGLEYLHVACEPPMVHRDVKSTNILLDDRFDAKLADFGLSRSFSVGAETQVVAGTSGYLDPDFGIVLMEIITNRSVIERTRVKAHIAEWLKVLISRGDVVKIVDPNLGRDYDSNIAWTILELAISCVSHSSSDRPNMSKVVNMLKECLVSENLRTGGQIQDDDSKNFLQLTVDFGTQVTPAAR >A09p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10944502:10946611:-1 gene:A09p020590.1_BraROA transcript:A09p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 39 [Source:Projected from Arabidopsis thaliana (AT1G59980) UniProtKB/Swiss-Prot;Acc:Q6XL73] MPTHTSSEKEVAGKDELRRNPYEVLGIPSNSTDQEIKSAYRRMALRYHPDKNPNDPVAADMFKEVTFAYDVLSDPENRHQYDTTASEAAGPENEDLELDLSSLGAVEKQSAHFYSVTLTEEESQAGLICKVHSSAKNKFKLLYFDQEESGGLRLALQEDSRKTGKLSTAGLYFFGFPVYRFDPRRALSRDPETGFFKRLDTFQPLEITELKAGTHVFAVYGDNFFKSVSYTLEIFSSAPFANEKETLRSTEAQIVSKRTELLKFESEYHDVFSQFTDMASKCAGEVQEIDELLKRRNEICAAYTTFPPTKQSSSKSWGKGKSKKKKSSLLMEAREEGEVTVREENGVKKKKWYNIQLRQDKKKN >A02p033610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17950360:17952822:1 gene:A02p033610.1_BraROA transcript:A02p033610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSRSIYVGNLPGDIREREVEDLFSKYGPVVQIDLKIPPRPPGYAFVEFEDPRDADDAIHGRDGYDFDGHCLRVELAHGGRRSSNDSRSSGGGRDGGDRGRGPSRRSEFRVSNCTVLVLGLPSSASWQDLKDHMRKGGEVCFSQVYRDGRGTTGIVDYTSYEDMKYAIKKLDDTEFRNAFSRGYVRVREYDSRRDSRSPSRGRSYSKSRSRGRSHSRSRSRSTSRSPKAKSSRRSPAKSTSRSPRSRSKSRSPPPRGVLDSHGTDTVHMTLIPGPCPRSRSRSRSPLPSVQKEASKSPSKLSPAKSPMRSRSKSRSRSPSR >A09p011640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5903567:5904265:1 gene:A09p011640.1_BraROA transcript:A09p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGPSSSSSSSQPEVADESQDWILGAGSGWVEARTSCDHLNSLSPDLARLPNPDTPCSRCENPVENWLCLCCKEVLCSRFVNRHMLMHHQQTAHCLALSYSDLSVWCFCCEAYLDAQVILQLRPVHQAAYILKFGEAPPVPQL >A02g510470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27657881:27659430:-1 gene:A02g510470.1_BraROA transcript:A02g510470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGWNVLIFLMVEFLGLRHITDNQTNPTNPALQKLQHQNLKCTDESSLEKKAISSLAGTPVHWHATLEEVPSGLPTIIIAHEFYDALPVHQFQKSLRGWCEKMVDVGEDSQFRFVLSPQPTPAALYLVKRCTWATPEEKEKLDHVEIILKSMDLTQEIANLQAIREHKFVNILDNPGSADLSAYVDFPSIKHSAEEASENVTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRTGYWRLVGDGEAPFWEEPDEQTPIGMGERYLTLAIVNRNQGTPAPFQ >A08g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14497281:14499285:-1 gene:A08g508090.1_BraROA transcript:A08g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLRTWVASILLLTHLVHGALGWGVDGHYAVCKIAQSYFEEKTLVAVKKILPAYANGELAAVCSWPDEIKRRPEWSWTYALHFVNTPDNECNYEYSRDCHNDKCVTGAIFNYTSQLMFQFHYNLTEALMFVSHFMGDIHQPLHEGFVGDQGGNKIKLNWYEQETNLHRVWDNKIIESAQEKYYNSSLSVMIHSLQHKLKYAWSNDVPSWESCPPHETACPNPYASESIDLACKYAYKDAAPGTTLGDDYFLSRLPIVEKRIAQGGIRLAATLNRIFSPKPSLAAA >A09p068460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53643671:53644334:1 gene:A09p068460.1_BraROA transcript:A09p068460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSFLSLTPSLEQRNAETSLFYFLYSGVEAGVRNMQNICGLLIAKMEPPFLSLKTMEHIRSGSLHPDTDEVSKAYIQTIVPSLSPQGKGWGNICRVFSQNNQSVLLDSCNLIDNHHLSSLGMC >A07p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24417275:24419960:1 gene:A07p044910.1_BraROA transcript:A07p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICATARSPNAAVANTDLLDSSKSILQLLPPPSSSSSKMEGSFTRSNSSASITVVANGYPVARRPSTSSDRNSNNPVVIVGAPTRRVTAMPVGQPKQKPESLTLDKAESTSGEWPSWLASVAGEAIKGWTPRSADSYEKLDKIGQGTYSSVYKARDLETGKIVAMKKVRFVNMDPESVRFMAREILILRKLDHPNVMKLEGLVTSRLSGSLYLVFEYMEHDLAGLAATPGIKFSETQIKCYMQQLFRGLEHCHRRGILHRDIKGSNLLINNEGVLKIGDFGLANFYRSDGDLQLTSRVVTLWYRAPELLLGATEYGPAIDLWSAGCILTELFAGKPIMPGRTEVEQMHKIFKLCGSPSEDYWRRETLPLATSFKPSHPYKAVLAETFSHFPASALTLIHKLLAIEPEKRGSAASALRSEFFTTEPLPANPSSLPRYPPSKELDAKLRNEEARKVRAEDKKRRGGETVTRGRPKDLKTAQTPEFMVAGQSKVTCISHKFKTDEEGGTGFRIEPPRKGIQQNGYAHASTVVHPSVADTEWNRGGSIKRQTTAELKSRVTQTGDLSGDSGRRSSSRDYSTGNAPRKNRIHYSGPLMPPGGNLDEMLKEHEKQIQQAVRKARVEKSEQQQRYTGRNAR >A01p018320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8890358:8895802:-1 gene:A01p018320.1_BraROA transcript:A01p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 4 [Source:Projected from Arabidopsis thaliana (AT4G25750) UniProtKB/Swiss-Prot;Acc:Q9SW08] MESNTLTTSSISYTKPLPPLFPTAEPPSYILRNISLTSHPSQILAIVGPSGAGKSTLLDILAARTSPTSGSILVNSLPINPSSYRKISSYVPQHDAFFPLLTVSETFTFSASLLLSKSPSETSNAVASLLQELNLTHLAHTRLGQGLSGGELRRVSIGLSLLHDPGFLLLDEPTSGLDSKSAFDVVQILKSVATSRKRTVILSIHQPSFKILFLIDRLLLLSKGSVVYHGRLDLLEGFLQSKGFTVPPQLNSLEYAMEILQNLHDPYENADIVLPDPQEPQKQNTKQSYRSSRITEVTLLSRRFWKIIYRTRQLLLTNILEALIVGLVLGTIYLNIGTGKAGIEKRFGLFAFTLTFLLSSTTQTLPIFIDERPILLRETSSGLYRLSSHILANTLVFLPYLLLIAIIYSVSLYFLVGLCLSWQAFAYFVLVIWIIVLMANSFVLFLSSLAPNYIAGTSLVTVLLAAFFLFSGYFISKESLPKYWLFMYFFSMYKYALDSLLINEYSCLANKYLVWFGEGDVKGCLLTGGDVLDKKGLDERQRWTNVYVLLGAYYRSWMDKPHLDPNTNLLTEEYIQGIGEFMRLVQQQPDAKSGMLRCPCSTCNNNKVIKEFDVWTHLYMKGFSRNYKVWYLHGKTGYEYGSTSEPQPVSELQPDIRSEDVPRPAARLRRSSVSSSRASGSSHEQNLVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A05p019790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9280290:9282176:-1 gene:A05p019790.1_BraROA transcript:A05p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNLRGRFHPFWSNATTKARYSTCSADDSHEQSSYVPLTDHPNLPESPNVPVSRDTRDYISAILTCKNVSEVGKIHARAIVNGFLQDLAVANKLLYIYSQFRAIADAETLFDEMSVKDPVSWSVMVGGFAKTNDFVNSLRVFREILRSSLNLDNYTLPIMIRVCREKRDVVVGRLIHKVALKSGMDLDCYVCAALVDMYAKCGEIGDACKLFDEMPKRDLVTWTVMIGACADSGKPDESWVLFERMRNEGIVPDRAAVVTIVNACAKLGALHKAVILHDYIRLMNFPVGVVLGTALIDMHAKCGNLDAAREMFDSMKDRNVISWSAMIAAYGYHGKATKALELFDVMVGDGRLPPNEITFVSLLNACSHAGFVKEGLEIFDLMTKYGVRPNVKHYTCMIDLLGRAGRLTEASEMIETMSIQKDETLWGSFLGACRIHKNVEMAEKAAMFLLELQPQNPGHYILLSNIYANDGKWEEVAKVRNLMNQRGLKKVPGYTWIEANNRTHRFKVGDRTHPKSKEIYDALRDLTEKLEEAGFVPDTNFVLHDVDEEVKVGMLSLHSEKLALAFGLIATPEGSLLRITKNLRVCGDCHSFFKFASLVTKREIIVRDANRFHCFKEGSCSCGDYW >A03p069620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30593794:30594861:-1 gene:A03p069620.1_BraROA transcript:A03p069620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWDNQQQASNNPSSNLQGIDANGGSGSGGMYVKVMTDEQLETLRKQIAIYATICERLVEMHKTLTSQQDLAGRVGGLYADPTFGHKMTGGRQRWTPTPVQLQILERIFDQGIGTPSKQKIKDITEELSQHGPIAEQNVYNWFQNRRARSKRKQHGGGCVGSSNNNNGETEVETDQTETVNEKRKMPESLLVLPDGNNNNGIGTTSATSPRAEEDLCFHCPEMSSDLHLLGVLSNPRDEHLVGKMGMSESYNLYDHVEDYGM >A07p004780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2624853:2625419:-1 gene:A07p004780.1_BraROA transcript:A07p004780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ATL44 [Source:Projected from Arabidopsis thaliana (AT2G17450) UniProtKB/Swiss-Prot;Acc:O22755] MTIPSRILETAATPPPQPSEQILAAESDMVVILSALLCALICVAGLAAIVRCACLRRFTAGGNSPSQNKGLKKKALQSLPRSTFTAAESTSGGAAEDGGDSTECAICLAEFADGEEIRVLPLCGHSFHVACIDKWLVSRSSCPSCRRILTPVRCGRCGHSSTAARQSKDHQHDQNSSQLASSVPTFLP >A01g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17453897:17455816:-1 gene:A01g505850.1_BraROA transcript:A01g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENMKWVRYGLREIASKGRGECMDSCRIDISEALGRYIATERNACSVATYRPNGTHARSLRSDRAGQALGRYVTTVRPYLGFSPMSRVSSAKVFPPSSLESWIERRDSSARFGDLGKDRRPGRSSDSDFFCRSAGLRRRPSFLLRETSSSKSREGAGSSCVPDTSVLPAGSYTTPILVEDKERAAESMPPPSVRKDIVLALRAPNAAPVAQPKGQKRKFTKGGDGESSQQGGSSLVSELRGKFMSLIDGMISECGSEASHLAGELSELQGSWSKTEAMLTAFKDSHSAKVSKLEAEIGEFERDLGKTAISLFKEKKTKKAKTLEVRRLQCHIEGDVGLASCRIREATYALRSKFQARLAKISAFLCSFECIRSRDSALATIEGWMAVVRAFQSETPSSLEAEETRLSDGKGDLVVVDGDFDLVLADLKSACFLPTCSEDLKGKDPVVGENGGDAAQGLDKAMGEEGA >A09p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9461721:9463504:1 gene:A09p018140.1_BraROA transcript:A09p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 26 [Source:Projected from Arabidopsis thaliana (AT1G62700) UniProtKB/Swiss-Prot;Acc:F4HYV5] MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDVDLYKIEPWDLQELCKIGNEEQSEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKSIYLRHGLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTPQEEGWAVCRVFKKRLAATMRKMGDYDSSPSHWFDDQLSFMASELDSNPPSRTLHNHHHYNHHNHQQTLPYGLDASSAYTLNKNPNLQCKQELELHYNHMVQHEQQNHHLHESIFLQLPQLESPNSNCNSLPYGSSNNGNNTRNIGDLQQSSNLTHEEHLQQGSQSFRSVCYDQGDQVSTDWRVLDKFVASQLSEEVAAAASASAHQNNNKMDARNTDYQVHQGMNLLENDSERVVDMGEEHANAHAGFDSSSCQIDLWK >A07p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8659222:8663408:1 gene:A07p011490.1_BraROA transcript:A07p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDPASFLTQANAILRKNLTYQKRNIWSNVRLIMIPFYLCLVLVGIQALFDSQVSNSLDNQCGCKCIHRTGDETCQMVCGVEYSTRDQAVFCAIPNPQPWPPLILIPLPRNRVVDANLTNVSCKQRNNCPVTILFTGNNQSLGATLSRNLFRRSFPMNYSDLLFSLADNVLATTYKGSPTNYLDAGIVSDRFIYNIQSRCTPNSKVSFSLGQSPLNFTKEMRCVQGLNLWINSSREINDDIFKGYLKGNSEGMINEIVAAYDLLDTDRTNFNVNIWYNATYQDDSGNMPPKLLRVPRLVSLMSNAYLQYLKSPRTRMLLEFVKEMPKPETKLRLDIASLIGAVFFTWVILLLFPVILTSLVYEKQQRLRIIMKMHGLGDGPYWMITYAYFLAISTLYVICLMIFGSAIGLNFFRLNDYSIHFVFYFLYINLQISLAFLASSAFSNVETASVVAYIYVFGSGLLGWFLFQFLIEELSFPRRWIFVMELYPGFSLFRGLYEFSQYAFQGSLTRRQGMKWKDISDSSMDKVFYIIIVEWFFSLIAAYYIDKMSSSGKDLLIFLKNPFNKYLLPQRPSLQKQVSAVSVEMEKLDVTQESEKVQKLMRAQRTRHAIVCNTMKKVYPGRDGNPPKMAVRGLSLAVPSGECFGMLGPNGAGKTSFINMMIGLVKPTSGSAFVQGLDICTDMDRVYTSMGVCPQHDLLWETLSGREHLLFYGRLKNLKDSELDQAVQESLKSVNLLHGGVADKPAGKYSGGMKRRLSVAISLIGSPKVVYMDEPSTGLDPASRMNLWTVIKHAKTNTAIILTTHSMEEAEFLCDRLGIFVDGRLQCIGNPKELKGRYGGSYVLTMTTASEHEKDVEVLVQDVSPNAKKIYNIAGTQKFEIPKEEVRISEVFQAVEKAKSSFKVFAWGLADTTLEDVFIKVARSGQAFNVFS >A10g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5557526:5559716:1 gene:A10g502120.1_BraROA transcript:A10g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNKWAAIGDFNRVKNLTHRFFLCVVGHNNKARSARESRKVILTALLRPFPMATKRNFKAFSVAAASSPMNGCKSRKLTEKILKEAYAQQKEVEDEENAPMSAFSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDAITSSLEGKHIAATGAAFVSVTDYYRKLGEFMSLYTNGKMPKALNHLTRLENWESLLKLTQPESWSPNAMYKATNMFASSSKAERFYELFLLPRVREDIRIHKKLHFCLYQSLKKALFKPKGFFCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPEKFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVAAHFLRFFKETKVMPVIWHQTLLAFVQRYKHELRKEDKKSLTSLLEKQNHELITPEIVRELASSRNRGETVDNSHSASTINNKPIKEDWFDMPQVPMEED >A09p068410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53614637:53615444:-1 gene:A09p068410.1_BraROA transcript:A09p068410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLPSPTPNTYYSSVFTSPKFRFFSSNITPFDSIISPTSTLEANHSHPSISSSSINPRLTSCYEPTLIPKPQRFLHPPEAFGLADLVKSKDRSSKPVNKMVLFGSKLRVQIPSADFGTKSAAACTSPCLKTKVLTVSEVDQTEDYTRVISHGPNPTITHIFDNSVIVEATPPCSVPLPQVPVESLETKANFLSCCYTCNKNLDQKHDIYIYRGEKGFCSCECRYQEMLLDQMEG >A08p009730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7621087:7621672:1 gene:A08p009730.1_BraROA transcript:A08p009730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWRRLLSVRPDEFWVSRLAVDDLHGSLLINAETTYTEVIHHTTYTKVVHDFILRFRSNLYYLRRLLCKSSDGQIL >A10p029700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18118371:18121713:-1 gene:A10p029700.1_BraROA transcript:A10p029700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGDEHEFMNLREWDRRARLIRENPTSRRFSASYVGSFGEDHHKSSSRTYLNSISSTASSPGYTLKDEIDPSTYSFTNALKALQAKTMFNNREWLTQEGFALNSKWNEAEKYICNPVSGEVPMECLSSKTLSARSFRNLTTTMSAPLHYPDPNPLMNNIGQNKPNDNSNVKVIHEDLYAPDPVLVRAEKKVVGLKRDVGIQSTSVDLSSGSPSPAKTPPIMERSLKRHVEPNDSPVDFNLKLEGQQEDLKLEDKEKEGDKQEMSKEETLEKQEVSNTEGEETEDKQEMSIKEGEGEEKQEMSDEEEEEMKKQKKRGGSGCFSWRRSRQRQARKSKYIFPICVPHLVKAFHSIIARVCRPPHATNLAIHVPSSSSSAWLNCPSGGGMSTGSSNGCPVVTFLPYPSLPWSPRPN >A03p062790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27250511:27256144:1 gene:A03p062790.1_BraROA transcript:A03p062790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRICVEFCAIKEKFSLTLSDLLPKTCGARRLTRRSFLLQSLVMEDSLQLSLKSMKLERVESSHRNPPMEGPPGFPPLFPELPPQEQKADMLYISHADATERQARIMRVRQAISDQGQTHVAMLTKFTANLEKGKGHVYSYPEDTQSTQTLKKPRPALSLPLIRDEASDSALSGFVGSQELAVTTGFQIGLSSTTPSSGDSITPKQQRRRPPSWKRKAQDGKGASPSNRISKSSAPQLESSGKRKTDAISMMFSKDGFEEAIVQGWGDHNEDTSLMDRIARCRREISKWKKSTDLNSHERISRGYALLEIIEEINTPQVESIPPVEKKLWSSLWKTKTTPKLRHFLWRILSGALAVKERLRSRGIQLNVTCSSCNNGAEDIGHVLFHCPFAKEVWALSSIPMPPSGVWSRSVFLNLLHLINCGKRRSQAPETGLVFPWILWQIWKARNAFCFEHIRLDPAVVLDKALGEAEVWIELQAPAARRTSQVLVAQDARQWKRPPTGWVKCNFASLWLDPTSVCGGAWIVRDSYGKAILHSRRSFPSLPNPVEADLLSLLWTLEDMANLRVDKVIFESSSPYLREAFLINPAPGLNPLINMIMQRFHGFSEWDTDLVLFESNKVAAFIANSVTRDQRLQSYIGSGGPSWLQTLISQESGLDSS >A03p006310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2671859:2673043:1 gene:A03p006310.1_BraROA transcript:A03p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEVGLFSKLTNAYCLVAVGASENFYSAFESELADDIPIVKTSIGETRIIGRLCVGNRNGLLVPHTTTYQELQHLRNSLPDQVVVQRIEAPLPALGDCIVCNNHVALAHIDLDEETEKIISDVLGVEVFRQTIAGNILVGCYCALSNRGGIVHAYISEEELDELSALLRVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTETELSVIDSVFELSEACDINKISTSEWDLLVTKSEVPVLVLFIQDWRPSCRYVRHVMDEFDSKYNGRFKFYTLNVRKERGIAIRYDIFNVPASIVFKGGDEMARVNGFHLYELERLVKQYDDLVYASKLKDNLAKENNLLIKTTNHHKIKGELINLFAYVGMCVLVFSSVLYFSFLFVLGYFE >A07p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3413063:3414811:1 gene:A07p003140.1_BraROA transcript:A07p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 5 [Source:Projected from Arabidopsis thaliana (AT2G18410) UniProtKB/Swiss-Prot;Acc:F4IQJ2] MADSIFRKLRDGGEEGELAPALTIEETVASPFALDVSCYLLANLSSSILAGKSKSEGLVLVTFSQSPSFYLHFLKQKGIFVSSSSKWIRVLDCYTDPLGWIDQPSPGVITEGSSLIKLHKCVSDLKRLFSSIIEAGREMVGDGKTRFSVAIDSVNELLRHSSMPLVSGLLTDLRSHAQVSSLFWSLNTDLHQEKVTNALEYISTMKANLEPFCPSSDGKRNALENLFLVHQDFGKGQFHIRFKLRKGRVRVMSEEYHVDQTGINFSPISSVETIIAATKSLIPKASYLVHFNLQLSEKERVEKEKVVLPFEHQDHGKSTEIYDGRRTLADGKTEATPLSSGELQADVVSSGKGGEIIYFRDSDDEHPDSDEDPDDDLDI >A05p051500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31438466:31440112:1 gene:A05p051500.1_BraROA transcript:A05p051500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g06430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06430) UniProtKB/Swiss-Prot;Acc:Q9SQU6] MASMSLSFSSSLCSSRLPESKRRFHHREPTFARCVLAASKSSPGGGGATATTKKRLWKEGEFPGITEHANTRRAPMKNVKKKLDRRSKANGWACTVTETLSDLIAKKQWLQALEVFEMLREQPFYQPKEGTYMKLLVLLGKSGQPHRAQKVFDEMLEEGLEPTAELYTALLGAYCRSNLIDSAFSVLERMKTLPQCQPDVYTYSTLLKACVDASLFDLVESLYREMDERLITPNTVTQNIVLSGYGRVGRFDQMEKVLSDMLVSTECKPDVWTMNIILSVFGNMGKIDMMESWYEKFRNFGIEPETRSFNILIGAYGKKRMYDKMSSVMEYMRKLEFPWTTSTYNNIIEAFADVGDAKNMEYTFDQMRSEGMKADTKTFCCLINGYANAGLFHKVISSVQLAAKFEIPENTSFYNAVISACAKADDLIEMERVYTRMKERECVRDSRTFEIMVEAYEKEGMNDKIYYLEQERQKVMDHHAAATKEEENLPGWLQGEWRY >A02p060410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36041699:36043474:-1 gene:A02p060410.1_BraROA transcript:A02p060410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSWQWENATAGAVAGFATVAAMHPLDVVRTRFQVNDGRGFPTYKNTAHALFTIARLEGLRGLYAGFFPALIGSTLSWSLYFFFYGRAKERYARSRDDEKLTPPLHLASAAESGALVCLCTNPIWLVKTRLQLQTPLHQTPPYSGLLDAFRTIMKEEGPRALYKGIVPGLVLFLQQVSHGAIQFTAYEELRKVIVDMKEKRRNSESTPDNLLNSADYAALGGSSKVAAVLLTYPFQVIRARLQQRPSTNGIPRYIDSLHVIRETARFEGLRGFYRGLTANLLKNVPASSITFIVYENVLKLLKQPPPTR >A05p009560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4001909:4002508:-1 gene:A05p009560.1_BraROA transcript:A05p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFGKISVETPKYTVVKSCDGYEIREYPPAVAAEITYDPSEFKDNRDGGFTVLAKYIGVFGKPENQKPEKIAMTAPVITKEGEKIAMTAPVVTKEEGSEKKTVTMQFLLPEVYKKAEDAPRPTDERVVIKEEGGRKYGVVTFSGTAAESVVSEKVKKLRSDLERDGFKITGDYVLARYNPPWTLPPFKTNEVMIPVE >A08g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6581683:6582505:1 gene:A08g503950.1_BraROA transcript:A08g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFSSEIAINNHLYSFDHNKRDSLLLISLEAVDNTYKRSSEHLTVSVTLAWLNNCGNEDGGSCLAQRRGLDPVICLFPQIPVPHPINSVSPFTANSVSPFSADSGHASLSFMEARDMKKGELMELTT >A02p056010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33837683:33839721:1 gene:A02p056010.1_BraROA transcript:A02p056010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEMSDEVVEVTVVDKASEADGGKPTRRRMRRKDAAEGGDGLMKWERFLPKISLRVLLVEADDSTRQIISALLRKCSYRVAAVADGLKAWEMLKGNPQSVDLILTEVDLPSISGYALLTLIMEHDICKNIPLIMMSTQDSVNTVYKCMLKGASDYLVKPLRRNELRNLWQHVWRRRQNAPGSFPLDESVGHEKPDGASANNSTSNQENAFERDQRPVIGNGGDDQSSCSRPEVQGESADVEDSTKEAIDFMGASFRRNTQRNREESVARYESLIELDLSLRRPNTCENQSSGEKPSLHPSSASAFTRYVHRPQCSVSPLVLDQRKNVAASEDDNINQYNSSEPLPSAPRRNEASFNNRADSSQMNSWPGKGSYPTPVPINSIQFNTAAMAPASLSPSPSSVSPHEHSSMFHPFNGSMDAEERRHVSSATEHSAIGNHCSTNSSSVGKIQQSLQREAALNKFRMKRKDRCFDKKVRYESRKKLAEQRPRIKGQFVRQVQSTETSTQEAPQ >A03p067290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29605046:29607487:1 gene:A03p067290.1_BraROA transcript:A03p067290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKTSVVSSITRPSWAFIVLAFTVLAILSHQISSNSFLPLFIPTTTTRLHDPVTCSGFYLHDPSPKRIVMSITDFGGVGDGKTSNTEAFRRAVQHLRAFAAEGGAQLNVPKGTWLSGSFNLTSNFTLFLEQGAVILGSKELEEWPIIEPLPSYGRGRERPGGRHISLIHGDNLTNVVITGENGTIDGQGKMWWELWWNRTLVHTRGHLIEIKNSHNILISNLTLLNSPFWTIHPVYCSNVVIRNMTILAPMNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGMAMARPSTNIIIRRISGTTRTCSGVGIGSEMSGGIFNVTIQDIHVWDSAAGLRIKTDIGRGGYISNITITNVFLEKVKVSIRFSRGSNDHPDDKWDPKAMPRVKGIYISNVVSVDSRKAPMLLGVEGASFQDICLRNVTILGLPQSEKWKCKDVSGYASDVFPVSCPQLLQRKGLVSQCDR >A06p000420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:352860:356405:-1 gene:A06p000420.1_BraROA transcript:A06p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAEDRHLLRSTDDDEAGIHGGDGDLDVESQSPAIRNSTGGVRDLFKHLDRRFSLSGRRISLKRLENVRVDREHHHHHPSSSSPLSSGVVPGEEDGVEDRDYGNDDEYGFDDGNDVLGDSAPPEWALLLIGCLIGVAAGICVAGFNKGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLEILDQIRQSTSSQRQGVDLLAGIFPVIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFALMMENNRERRIALTAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNALLGTQSAFTVPSYDLKSAAELPLYLILGMLCGAVSVVFSRLVTWFTKSFEFIKDKFGLPAIVCPALGGLGAGMIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEIINRAIPGSAAVAQPQAYALVGMAATLASMCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVANQGKESDSSEGRSTGRGYSSLSPSDRKTTEGAWRHTDNSNSLELSVIENPDHKSFLDEETILEELKVFRVMSKNYVKVSLGTSLREARKILKDSHQNCLMVVDEDEFLAGILTQGDIRGYLSSKVSTILDENTCPVSSVCTKKITYRGQERGLLTCYPDATVGVAKELMEARGVKQLPVVKRGEASHKGKKRKVVGLLHYDSIWTFLRDEMSRRRSMINERRKDKYEEVGAANGH >A09p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14201958:14206451:-1 gene:A09p025450.1_BraROA transcript:A09p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEHGSHWSFRPKLGSKLSFRSQTNLFSSCKLYRFPVTSYPRKRAHFVSLKATANSLACEDEENNGNFRKHFPSKWDHESLVANLDFSQMDALGREIEVLKPQVRNMFISSKGIKKKIIFTYLLVTLGVAYHFEDEIMETLKDGFQRIEEMMAGEDDLYTVSVLFYVFRTYGYNISSDVFGRFLGDHGEFKECLTRDAKGILSLYEAAHMGTTTDYILDKALTFTLRNLESLSCTCKPNLSRLIRNSLGLPQHKNMEILVAKEFIRFYEKEEDSDLTLLKFSKLNFEFLQLLYLQELKILSKWYKEQDFQSELPPYYRDILVELNLHTLSYLDPKHSRVRIFLTKIYVIQVILDDTCDRYASLREVEILAEIVERWDLDDHAMDGLPYYLKSVVKLIIGTFQEFERELGSELGGFYSVEATIEYFKIYMRSNLQLAKWATADHLPSFEEYLDVAGLEIAVDFTLASVLMGMENICKEEAYEWLKSRDKLVRAMTTKARVPNDMFGYEDDMSRGYLTGSVNCYKKQYGVTEEEAFRKLRQLTAEIDKMMNEELLKPINVPRQVLKVVMIDTLRAINVAYDKRDGFNRPDGHLKNITSMYVDI >A04p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3639972:3640468:-1 gene:A04p006820.1_BraROA transcript:A04p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHATSDTIFVDPASEKLFNDVAARVEERETQLTQQSLDGLPIKLTLEEIFEEVVPRKNGRTVEIWGICSFTINTIKKEGF >A09g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21906225:21909061:1 gene:A09g507560.1_BraROA transcript:A09g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVWEALLCILKGKAAIELWLAAMIPYRLQLPNWFVGAHTMPVLYEKYEDEVGGFVDSLLMKFHSHYKKMDTGFLSRIPSGRMFQTMLAYFLMMAIGFTNQMRMTHTSKDGTFTNKRAEGLVKAAEALALERSQGSCLTDETPSAPSTQQPNAAYIE >A06p010130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3625559:3625780:-1 gene:A06p010130.1_BraROA transcript:A06p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVNRRRMEKKAAAVAGKNLRFGFCACDRLEKRRSIPQIPEPGPENEFRVTRGGVYESCVFQCFSP >A07g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6215633:6216044:-1 gene:A07g503080.1_BraROA transcript:A07g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLKNHKFEQKQNTERPQPVAPTCRSRLRERPRCVAARGRSGLVLASPGDEDASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A10g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22104242:22105229:-1 gene:A10g507200.1_BraROA transcript:A10g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDSGFFNVGKCRVLLCGELSSPVGELSGVPTSWCELGDARGSWRTRPVFLSSFLIQNWVFVVEWTSGNWGLVLAAVVSVPSFASPAMVISVLIGLLGYVSFGCLSFGSFPVSVGEVLGKASSSWCLGELLSLIVERLCIQGAWTEQWFPLSRFEVPGFWSYRLAASSSDKCGLGAEVEGAGWRAIGSSGTSRPLTFTLRPSVGFSRRFLWAFGSGAISFCRQLVYEYR >A05g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9492003:9498018:-1 gene:A05g503240.1_BraROA transcript:A05g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKNTTLTGPGRERLKRAKERTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGACLSRPTSLLKTYGRARIHFGRAGRGNTYLGELSELSELSDTTLELDELSEQNDTSLELNELSNTEDGAGSAAGRNEPFQPKEKFIKKLVLSFLVRLSPSFDPSFVGQFSFLSDLSSYQPYRRVILILVLSSGIRATLPVLFTMFGLQRKSNKEKHPRLSVSQTSFKSSLNYFDECVSVQEKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGKSENHFEELKDFSDSLPIFDESDEELIESLMFCEKNCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFFVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLPENLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHDQFISRASTNGRQSTLDLRTNPFEEGGNDRPRSTAQYMEPNQPGDQNVLNISTEVHVFHRTGQTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGACLSRPTSLLKTYGRARIHFGRAGRGNTYLGELDELSELSDTTLELDELSEQNDTSLELNELSNTEDGAGSAAGRNGPFQPKEKFIKSSLWDCFFPNSTSPFLSPFQAHSHQEYQEGVSKEVLVVHGKKNSTKIINFELVLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFGLFRNP >A09g517780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53472668:53480086:-1 gene:A09g517780.1_BraROA transcript:A09g517780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKFDYEEEYIRNSRGVELFACRWVPSSSPRALIFLCHGYGMECSGFMSECGIRLASAGYAVFGMDYEGHGRSKGARCYIKKFGDIVNDCYDYYTSISAQEKYRGKGRFLYGESMGGAVALLLHKKDPSFWNGALLVAPMCKISEKVKPHPVVINLLTRVEEIIPKWKIVPTKDVIDAAFKDPVKREEIRNNKLIYQDKPRLKTALEMLRTSMNLEDTLHEITLPFFVLHGEADTVTDPEISKALFEKASTRDKTIKLYPGMWHGLTSGEPDANVDLVFADIINWLDVRTGDATSLTANVEKVACNDQGEPKGEQASLLGGLNGGGRRVAVPKGKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSSYRPMEEDTNRLATLASRYTVLRIVFLGKTEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNRDRFISKMFLRGDSVIIVLRNPK >A08p020310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13748503:13749084:1 gene:A08p020310.1_BraROA transcript:A08p020310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHHTPTKDPPDRPSSSSINHKQLLPSQPQAQQPLSRYESQKRRDWNTFIQYLKSQNPPLMMSQFDYTHVLSFLRYLDQFGKTKVHHQACVFFGQPDPPGPCTCPLKQAWGSLDALIGRLRAAYEEHGGGSPDTNPFANGSIRVHLREVRESQAKARGIPYRKKKRRKIKNDGVVARKDVANSSTHNQSST >A02p057390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34505515:34508402:-1 gene:A02p057390.1_BraROA transcript:A02p057390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMEAEMDFSDGEQTNRASLVALYGNSSVVEQHLPGYIFMCNGRTKPDCFRYRVFGIPRGGKGVVERIKPGMKLFLYDFEKRLLYGVYEASVGGKMDIEPDAFDKMYPAQVGFRIVENCYPLPESTFKSAIYENYKGGKFNQELAPHQVMSLLSLFRSFAAPQLDALPHRFASGASASSRELSFEERFIAATQLKKASSVLDPLSARSHLLNHSYSRQDGSYTTPGRERGYLSNLDHPYSPAESQQQRLIGDPSRSSIQDPQLKYLTILSNIRRYGSAPEPLSSENEYHPATLSEKDQFASPYSDKKYYLSTLPGNEHSSASAANGSVYRGELYTSASQREGEASQQHETLAGTYYHPEASTVPNTTASMQAVNAATAGYPTPAHGEVPQVASDYAYKPQTITGNYTTSHSQPGTVGESTESYAGAVSYPPQQHTQLYAGGPGYTQQPHETGYAHQLYSASTAYAQQNHAASTGYVQQPHAATTGYAQQPQDAAAGYAQQPHAATTGYAQQSYDAATGYAQQLQDVATGYGQQPHAAATGYAQQPYAATTGYAQQPQDAAAGYAQQPYGQAVGYTTQYGAQAVGYTPQYSAQAGAYSQQAVAQGSVPGAPRTTDWNAASQAYAATGDWNAVNQSYYHHTADTTTTYNQTS >A01p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7630453:7632037:1 gene:A01p015610.1_BraROA transcript:A01p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFDTEKMQERQNFRNVWHTDLTHTIQGDTPYCCFALWCAPCASYLLRKRALYNDMSRYTCCAGYMPCSGRCGEAKCPQLCLATEVFCCFGTSVASTRFLLQDEFQIQTTQCDNCIIGFMVCLSQVACIFSIVACIVGIDELSEASQLLSCLADMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPPQVMSRIDQATPPAIGYPPQGYPPSGYPQHPPQGYPQHPPQGYPPSGYPQHPPQGYPPSGYPQNPPAYPQYPPGPAYPPQAYPK >A06g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2406839:2407409:1 gene:A06g500580.1_BraROA transcript:A06g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSFYDYEQTLMVNLYPDLHRTKGCVMSFTARFLPTQEESVCSVCDIAVIGVDASGLLALLSVSSPVINSDW >A08p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11935035:11936671:-1 gene:A08p017080.1_BraROA transcript:A08p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKRKCPSTGGGVFSRTRARKAVSNGNEPVREESNPVRGTTVVSLSLDTETEGMSVVSSKVINSVLVPTVDEETLLARIIDLEPEYHREGSTSDRWNYWLNVKQKKIWWKELYESDVAARKFTKTEKKETVTIVEGSSSNSGLESMLKGVEERIVEVMEEEFSGINLTVETKLEAMN >A07p045350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24617429:24618100:1 gene:A07p045350.1_BraROA transcript:A07p045350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGSGMSLYDDSNGANGSSSSVVVDHSRKLLNGGVFGFHHPPIMSAERNPYREDYFNKESEVPSGSSQKPGQFQDQELGPGPGMGRGTPTASVVPQPMWAVAPGSTNGGSAFWMLPMSGSGGREQMQQQQPGHQMWAFNPGSYPVGTGRVVTAAPMGSMMLGGQQLGLGVAEGNMAAALRGGRGGDGLGMTLEQHQHQHHQQHQEPNNQAEASENGGDDKK >A03p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16990659:16992071:1 gene:A03p040730.1_BraROA transcript:A03p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSGTSGRTIERPPFTPTQWQELEHQALIYKYMVSGVPVPPELIFSIRRSLDSSLVSRLLPHQSIGWGCYQMGLGRKPDPEPGRCRRTDGKKWRCSREAHPDSKYCEKHMHRGRNRAKKALDQNQTTAPSTSPSLSFPNKDNPISPTLSSSSSSFIDTYSRFGVGSSNTRGYFNNHSLDYPYPSSCSPKQQQTLEHASALSLHQNKSSISQFNVLASETDHKDFRQGHQEEEEKDSPKIKKSLHHFFGEDWAQIKNTSDSWLDLSSQSRLDTGS >A04g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8666685:8667515:-1 gene:A04g504180.1_BraROA transcript:A04g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRQKYEIKEMQTALEEAKIRLHVTEAEASWWKVTAYIVSEHIGHWRNKECVLMRWRESNTRTRSNIKAVCEIERTRSNIKAAEMRLVTAMKMKEAARAADAVAIAEIKDVTRRRRTRKMLQEEILEKIEEMAQEIKSNRRTIEEGLERVNSAKMKAEEEDTQWQWSDVGGDHHHLTRATSSVTVLKPAMSIGQILSRKLLLADESAIMMNGRVSLGQIL >A08p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:437319:441066:-1 gene:A08p000700.1_BraROA transcript:A08p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRSKRVQNLPETVHSLIGLRSHLTSSWVKSVSNIAKNVSSSSEISSTSKEEDDSAFIEEQSIRNQLSTLTVQVNDQNKRRRQILNEFLDLKGNIRVFCRVKPLDSKNMRAPVASDTRNVFIKLTESKRKTYNFDRVFQPDSSQDDVFLEIEPVIKSVMDGYNACIFAYGQTGTGKTFTMEGLPESPGIVPRAIKGLFKQVEESNHKFVIKFSMLEIYMGNLRDLLVSQGTKPIGPIPPSLLIHTNPKGEIGIENLVTRKVKDFDEVFKLYKLGCRNRATAFTNSNSASSRSHCMIRVSITCAGATERRRVRNKIWLVDLGGSERVLKTKATGRRFDEGKAINLSLSALGDVINSLQRKNAHIPYRNSKLTQVLKDSLGQDSKTLMLVHIGRKEDDLCETICSLDFATRAKNVHLGQEESKEKQEKKETVMMNLQKTLETIEKDREATLKEIRYLNETLEKLSGKPHVTEEAEEVDEIREEIQVTPKLRRNKSRRASDVFCSFMRPTASSNRRLSGADFSPISSGPGSKSRRNSMAHVRSESVCLPMMKNVYDSLCDSSERSVSKSTCAMSQNRADDAATVYSQDISECDIKLVVSEHKTEVQQTGQGSARKACSKIGIFEKNVNRKTEFSRINSWLRSQSENRSCVLDKKPRRLNKNISLGRSSNGDQTSEDIEESKAGIKHPLLLKDVFELEYMCSAETEDQILSIYPNPNEEDYASLHHLPSFRYDGLSQHIDDAWFGVARSANREQDSHASGLLLELKQPLPNSQKGLAFVEDVAPPLLRPQGILVERGRGAHTFMQKLEALCYRTLVGVGLIDVSYGSDFFNGLTQ >A06p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3757003:3757360:1 gene:A06p010420.1_BraROA transcript:A06p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPHHVVELILERLAMKPLMRFKCVSKQWKTTIESEYFKQRLLIRDPSALLQVLVVPRDEIDTRSGDGDDAQAQYNKVVSLSPI >A08p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21855102:21873959:-1 gene:A08p037470.1_BraROA transcript:A08p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVQSLILVAIFFYMAYTEPVNGTPRKGCQTRCGNVTIEYPFGTSPGCYYADDPDFGLTCNETVQKLLFGDNIEVINISHSGELRVMDNISYVCYDNKGDLTVESSYSYTLGNLSLSRKNKFNVVGCNALALLTTIGPQNYSTGCVSTCNTPSMVKGDCNGAGCCRTDVSVPFDNYSSFETRPSRLENMTSVHDFNPCTYAFLAEIGTFHFDALEDLKNLRNVKEFPVVLDWSIGNQTCEQVGNRSICGMYNNTCFNSIREIGYNCKCLEGYEGNPYLSNEHGCQDINECTTNSTIHKHNCSDPGTCRNKVGSFSCKCQSGYRLDATNMSCKRKDYEWATILLGTTIGFLSITLVVSCAKQRRKHRKMTELQQKFFQQNGGGILVRRLSGPETSNANIQIFTDESMKEATNGYEESRILGQGGQGTVYKGMLPDNSVVAIKKARLGGDISQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLSDHLHGSLFGPSLTWEQRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLTTMVQGTPGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFERPQQSKHLVSYFASAIKENRLHEVIDEKVINENNWKEIEEAVRVAMECTRVTGEERPLMTEVAAKLEGLRVTKAKHQWSDQYPEESCHYANDPSFKLTCNDKKKLLFGRNLEVIKISHSGELRVWNNVSYACYDNQGNVSEFLYYSYRLGNLALSHKNKLNVVGCNALALLSTFGPQNYSTGCLTTCNSPSNETGDCNGVGCCRTDASVPLDSYSFGTRPARLENMTSVYEIYNPCTYAFLAEDGTFHFDPLGDLKNLRNVNQFPLVLDWSVGHKTCEQVGSRSICGMYNSICINSASGTGYNCKCLEGFEGNPYLSNEQGCQDINECTTNSTIHKHNCSDPRTCRNKVGGFECKCKFGYRLDTTTMSCKRKDFGWATILLGTTIGFLSLLLVFSCVQQRMKQRKKAELRQKLFEQNGGGMLIQRLSGPGTSNDNVKIFTEEGMKEATNGYEESRILGQGGQGTVYKGILPDNSIVAIKKARLGDNSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSFFGPSLTWEQRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLATMVQGTIGYLDPEYYHTGLLNEKSDVYSFGVVLMELLTGQKALCFERPQQSKHLVNYISSAMKENRLQKVIDEKVINKNNWKEIEEAARVAMECTRMTGEERPQMKEVAAKLEGLRVTKTKHQWSDQYPGKETENLAGVGILSEQGNKSSTGYDSINNVASMHMEAGR >A01p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21311079:21311959:1 gene:A01p030240.1_BraROA transcript:A01p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEASHSKRESKGRRLVLEGDDSLSMGKDDLVSLAHRTRSMGCRPRARVLTSRFSHFTVMEALNEFSVMMEDHVHALRNKSEVEKGKVEKWVDKKKEVSADIQLHEVVANLDLLNEIKDEGLVVEDEIVCLKEMEKDCEAASSLAAVPDWSVAGLDLPQVSEDSINNEAASSSTRKEASS >A01p054390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30482882:30486070:1 gene:A01p054390.1_BraROA transcript:A01p054390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10600) UniProtKB/Swiss-Prot;Acc:Q9SQZ0] MEAHYRNHGGDTSFSSLRVYLNSLSHTPSRFSRRAISVSTSYDEMSRVRAVSGEQMRRTLRWYDLVGLGIGGMIGAGVFVTTGRASRLLAGPSIVVSYAIAGLCALLSAFCYTEFAVHLPVAGGAFSYIRITFGEFPAFITGANLIMDYVMSNAAVSRGFTAYLGSAFGLSTSEWRFVVSGLPNGFNEIDPVAVLVVLAVTFIICYSTRESSKVNMVLTALHIAFIVFVIVMGFWKGDKKNLTRPANPENPSGFFPFGASGVFNGAAMVYLSYIGYDAVSTMAEEVRDPVKDIPMGISGSVAIVIVLYCLMAISMSMLLPYDLIDPEAPYAAAFSKSEGWEWVTKVVGIGASFGILTSLLVAMLGQARYMCVIGRSRVVPTWFAKVHPKTSTPVNASAFLGIFTAALALFTDLNVLLNLVSIGTLFVFYMVANAVIFRRYVAVGYTRPWPTLSFLCLFSITSTVFTLVWKLAPSGSPKWFMLGASGVAAIAIVQIFHCVVPQARTPEFWGVPLMPWTPCVSIFLNIFLLGSLDAPSYIRFGFFSGLAVLVYVFYSVHASYDAEGDGSLDFKDVESFEGINRILS >A02p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15477434:15478327:-1 gene:A02p030380.1_BraROA transcript:A02p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GSTU19 [Source:Projected from Arabidopsis thaliana (AT1G78380) UniProtKB/TrEMBL;Acc:A0A178W4K6] MANEVILLDFWPSMFGMRTRIALREKGIEFEYRDEDLWNKSPLLLETNPVHKKIPVLIHNGKPVCESTIQVQYIDEVWSDKNPILPSDPYQRAQARFWVDFIDKKMYDAQRKVWWTKGEEQETGKKEFIELLKTLESELRDKTYFGGDDFGYVDIGLIGFYSWFHACEKYGNLNIESECPKLIAWAKTCMQRESVAKSLPDSEKVIKFVTEIRKKFMIE >A09p001230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:960584:962926:1 gene:A09p001230.1_BraROA transcript:A09p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGRASAAVSSALSGLLQSKNSQFPQLCNILIIASLTKTLSQSGTRNLDANTTPISDPIVLQILRRNSLDPSKKLTFFKWCLSLRPDYKHSSSAYSQILRTVCRSGLLGEVPDLLNSMKEDNISLDQTTSKVVLDSLIRSGKYDSALGVLDYMEEESGGCLNVYDSVLIALLKKKELSLALSIFFKLLEGSLSYLPGNVAANNLLVGLRRADMKEEFKTVYEKLKGTKRFRFDTCGYNICIHAFGTWGDLESSLSLFKEMNDNADICTYNSLIHVLCLAGRVRDALAVWDELKVSGHEPDNSTYRVLIQGCCKSYRMDDAMRVFGEMEYNGFVPDTVLYNSLLDGTFKARRVGEACELFEKMVQEGVRASCWTYNILIDGLFRNGRAEAGFTLFCDLKKKKGQFVDGVSFSIVVLQLCREGKVDGAVKLVEEMETRGFSVDLVTISSLLVEFHRQGRWDWKEKLMKHVREGSLVPNVLRWNAGVEASMKRTQSKDKDYTPMFPSKGSFLDIISTVSSKEVTPLEEEDDDPWSSSPYMDRLAHQVNQPKPLFGLARGQRVEAKADSFDVDMMNTFLSIYLSKGDLSLACKLFEIFNDMGVTNLTSYTYNSMMSSFVKKGYYETARGVLDQMGENFCAADIATYNVIIQGLGKLGRADLASAVLEKLTEQGGYLDIVMYNTLINALGKANRLDEATRLFDHMKSNGVNPDVVSYNTMIEVNSKAGRLKEAYKYLKVMLDANCLPNHVTDTILDYLGKEMEKARFKKASFVRTKSNNDPSSS >A10p014750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4023504:4024073:1 gene:A10p014750.1_BraROA transcript:A10p014750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADF10 [Source:Projected from Arabidopsis thaliana (AT5G52360) UniProtKB/TrEMBL;Acc:A0A178UBW2] MAVEDECKLKFLELKSKRNYRFIIFRIDGQQVVVEKLGSPEENYDDFSNSLPANECRYAVFDFDFTTAENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >SC179g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:594837:603307:-1 gene:SC179g500290.1_BraROA transcript:SC179g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGARLIYPALGQAVKPYALVGVITTLSPRFSKLFTSSCFKMDSGMKMKVAVVFKGNNYLVWSRMVKTAVGSKGLWGHITSGTAPKPSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYAGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENSDLQGKEASHELTNSVKCFKRSPSEWECRHVSVEEK >A02g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20743641:20744818:-1 gene:A02g507330.1_BraROA transcript:A02g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVNKTFTKPKRVEEVFVAKSGLLDEVKEETSKEGCSFVRSDLENTRLFVAQRGRRSKCVRKWCEMIFRGVIVKSLQDNSNECRGHSVRMGKGSWSRRRHMKVARSSTEVGQEVARQVCQIVMQYFLFRCSRGCVMIIHEETDGGSLSVQSSSGRMVMFWSLVAYLMGEKSMVWCTSRGEEKHIWYESFQVRNVVATWLLNQKSMLSWSRVDLESKGVSWRYGKRNKLEEQKEREALESVLGCAEAVAEVLDGREAQAGSLGIFQ >A03p043830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18341646:18342746:-1 gene:A03p043830.1_BraROA transcript:A03p043830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKESVTFFFFLALLILPFVLLPATAAPGRVGGMGVYCGSRCRGRCSKAGFRDRCIKYCGICCRQCKCVPSGTFGNKHQCPCYRDMLSSKGKPKCP >A04g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8324140:8324470:-1 gene:A04g503920.1_BraROA transcript:A04g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVVAVVVQGVEVTGCKERGVAVQGFAAVAVKKGDEGVAAVAVKDVWKVVVQGFAAVAVEKRVSWWFFCTRK >A03p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11559310:11561416:-1 gene:A03p027600.1_BraROA transcript:A03p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNALSSASLLCSSRQGKLSGGNQQKGQRVSYRRRFSVRANVKEISFDQSSRAALQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKHGLLSVTSGANPVSLKRGIDKTVQALIEELEKKARPVKGGSDIKAVATISAGNDELVGTMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLLVEFENARVLITDQKITAIKDIIPILEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGVLNVVAVKAPGFGERRKAMLQDIAILTGAEYQALDMGLLVENTTIDQLGIARKVTISKDSTTLIADAASKDELQARISQLKKELFETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGATLVHLSTVIPAIKEKLEDADERLGADIVQKALVAPAALIAQNAGIEGEV >A04p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9674273:9675157:-1 gene:A04p015610.1_BraROA transcript:A04p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPTEASSLVGKLETEVEIKASAGKFHHMFAGRPHHVSKASPDGEAKVAKERIEAVEPEKNLITFRVIEGDLMKEYKSFLLTIQVTPKHGGPGSIVHWHLEYEKISEEVAHPETLLQFCVEVSKEIDEHLLSEE >A06g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3187732:3189498:1 gene:A06g500780.1_BraROA transcript:A06g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIKALDACFGAVAWLICNRSWEVALIFIFVSRILNSGIMITALVLGVVFVVAVCVLLACLKLKKRPEPKKDRSVEQLLKRMEEVNSGDEPASNQVKLEELPLFEFQVLATATDDFSLRSKLGQGGFGPVYKGKLPEGQEIAVKRLSRASGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERLLVYEYMPKKSLDAYLFDPLKQKILDWKTRLNIMEGICRGLLYLHRDSRLRIIHRDLKASNILLDDNMNPKISDFGLARVFLANEDEANTRRVVGTYGYMSPEYAMEGLFSEKSDVFSLGVWTLWNEGEAASLADPNVFDESFEKEITKCVQIGLLCVQEVADDRPNVSTVIWMLTTENMNLPEPNQPAFITRREKSEGESSDQSSQKVSINDVSLTSVTGR >A06g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16054334:16059237:-1 gene:A06g505540.1_BraROA transcript:A06g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIYPYTLCYKNKKKTFHFLRSRNLSSPLRNLQTLTGTVVSDSGIVVSGSGIIFSDSGIVVSGSGIIFSGSLVIFFGSLIIFFGSPIIFSRSLIIFSGSLIIFSGYLIISVTLSTLKIGHKSLCSFLKLGNVDIFDRVLNLLMSLLLLVKLSSVYKNFRKHLLCYFRKAFQKSLKIFFSLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPNEMIRVRGSFMGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRDKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSETPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQQSSETPLSPMSQQPNLTHKETMNESDDETLALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHTQSSRKNQAEEYFKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSETNEVEVSRRIAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTYQHNRLQKLQDTITADKIRPATPTSDQKSFEQRTN >A07p050850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27078249:27081063:-1 gene:A07p050850.1_BraROA transcript:A07p050850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 6 [Source:Projected from Arabidopsis thaliana (AT1G78610) UniProtKB/Swiss-Prot;Acc:Q9SYM1] MAVDSTDRREVILKINDHPDNATTTNGASGETSGKIWRDGSYDFWTDGHGNLHKGINPGEGERSSAAASEEGKDNEPFEFRRGGEDPPTKLIGQFLHKQQASGEISLDMDLSMDELQNQSKGLTPVSESPASARANNNNNSRDGGEVVKCSGAAAPAQRSSTNLLKMRTRSRLSDPPTPKPPLPPSGRIPKSGQMKSGLFGKSPRNHQNDDEEDDPFAEEDLPEEYRKDKLSLWIVLEWLSLILIIAALICTLSIRFLRDKVIWELHLWKWETMVLVLICGRLVSSWIVKIVVFFVERNFLLRKRVLYFVYGVRKAVMNCLWLGLVLLAWHFLFDEKVAEAANTKALRKVTKIIVCLLVGFLLWLVKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPLIEIQRNEEEEERISVEVKKFQNPGGGGVEAQKSPTVEKSPLLISRVLDEGGGDKKGITIDSLHKLNTKNVSAWKMKRLMNIIRHGSLTTLDEQLQDPNFEDDKGNQIRSEFEAKLAARKIFHNVAKPGSKFIYLKDISRFLPEDEALKTLSLFEGATETNRISKSSLKNWVVNAFRERRALALTLNDTKTAVNRLHKMVNIVVGIIIVIIWLIILGITSTKFLVVMSSQVVVVAFIFGNMCKIVFESIIYLFVIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKVVYPNSLLWTKSIGNYYRSPDMGDGIEFSIHITTPAEKIMLIKQRITSYIEGKKDHWYPAPMIVFKDMESLNSVRIAVWPTHRMNHQDMGEKWARRSQLVEEIAKICRELDIEYRLYPLDINVKTLPTPTGLPVSDRLPPHWAATGSSSK >A03g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21140747:21141768:-1 gene:A03g505900.1_BraROA transcript:A03g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSVQLLSTFLIFFIILSLGMMADAKKQCPYKIPISKKLPYCAPALCLADCKKQHGADASGSCSEEKGFCNCVANCKGAR >A08p032160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19507418:19508969:1 gene:A08p032160.1_BraROA transcript:A08p032160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSLRSSSSLTLSFIVLLLASTGSYGSTFTFANRCGFTVWPGILANAGSPTLSTTGFELPKGTSRSLQAPTGWSGRFWARTGCSFDGSGSGTCKTGDCGSNQVECVGLGAAPPVTLAEFTLGTGGDDFYDVSLVDGYNLPMIVEVAGGSGQCASTGCTSDLNLQCPAELRSGDGDACKSACEAFRSPEYCCSGAYATPSTCRPSVYSEMFKAACPRSYSYAYDDATSTFTCAGGDYTVTFCPSSPSQKSTSYPTPVQDSSATSQGSDPVPGSDTGYSGQGQQQSQGQGQQQAQDQGYGYGSQGTGTGSQVGSGETMLQDGSWMAGLAMGDSSRLTGASLAMLLAGFTFVFLFNLS >A04p020910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12743186:12749157:-1 gene:A04p020910.1_BraROA transcript:A04p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSTANLYRRILLLVKKKKKKKKPSPELPQTPQQSTPISSLPDDLLLTCFARISRSYYPTLSLVSKRFRSLLSSPELYETRSLIGRTESCLYLCLRFPPDPNTRWFTLCRKPEFQTLTSKKKSSGNILVPVSVLNAPPVDWTTLVAVGPYIYAICVLGKIADNIFFERRETQHCGHVEKAPCSTVPFLDCRTHTWLEAPSLRLAYTDSEHDGKMYLAGNGEDPTSLNCIEVFNTKTRTWKPVPPGKRELHGKNMEGKMIVASVNKTTGEKGLAFKPKERTGELLGSNTDWDSPCMIENIAYYYRACSGEFEWFDTKNENVFGKLQGLEGLPEFAGYSCVKLVEHGGKMLVLWDMYAPARGFREEIIWCAEIRLERRSCEEIRGEVEWFDAVLKVPKSYEFMYAISCTV >A02g512020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32507811:32510378:-1 gene:A02g512020.1_BraROA transcript:A02g512020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRGHALERGERIISLIFARKLGFALCGDFICDAELSPIFSSFLDRFGAGLSPPGPEMDPADERTDCKRKLEHINLLSYVSDSEHGMPKRCACGGRMIHEVRVKDEFDTQPGKRFFSCVNYEADGLHYRQPWVCGVQEEIEMLRKHVEEADEVIKSVPMLVESVEAQVKRLSLLLDKLTGDVYNLTVQVAALERVKVVGCGGHGFYKVCWFYRSRVVGCGGHGFYK >A01g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21814657:21818220:1 gene:A01g507690.1_BraROA transcript:A01g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLTSSLAVVLGLLYVMFIHEILAILMNKEGGVGMMNLTFRKYQKTLSEESPEGPFSAVLVITDEEAETDDTFCFGMCKSTKIEMLPFPQDKIISVVHTDSSGNRDTSVKKVLFIRKFVYSEEIEKGLCCFLDILHVKKPKPLDPRNIYQTVKINHHGTPPLFLKKKGWELPTSRSLHPKGPREALGLNNDLRARLPEFGFSVSKIWSGSVIEGEWYCPFMSVKENCSRIYHCENNNLDETNDENNNEDD >A09p073980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56227666:56236802:-1 gene:A09p073980.1_BraROA transcript:A09p073980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-5 [Source:Projected from Arabidopsis thaliana (AT1G17580) UniProtKB/Swiss-Prot;Acc:Q39160] MAAPVIIVGSHVWVEDPHLAWIDGQVTRIDGQNIHVRTKKGKTVVTSVYFPKDTEASPGGVDDMTKLSYLHEPGVLQNLETRYELNHIYTYTGNILIAVNPFQRLPHLYATDMMEQYKGIGLGELSPHVFAIGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIKKYKLGNPQSFHYLNQSSCYELDGVDDASEYLETRRAMDVVGISNEEQEAIFRVVAAILHLGNIDFGLGEEIDSSVIKDENSRFHLNLAAELLMCNAQSLEDALIRRVMVTPEEIITKTLDPDNAIASRDTLAKTIYSHLFDWIVNKINTSIGQDPRSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIISLLDEACMFPKSTHETFSNKLFQTFKGHERFSKPKLSQTDFTISHYAGEVTYQSNHFIDKNKDYIVAEHQALFTASNCSFVAGLFHALHEDSSRSSKFSSIGSRFKQQLHSLMETLNGTEPHYIRCIKPNNILKPGIFENFNVIHQLRCGGVLEAIRISCAGYPTRLAFYDFLERFGLLAPEVLDGNYDDEVACQMILDKKGLRDYQVGKTKIFLRAGQMAELDARRAEVLGNAARVIQRQFRTWIGRKKFRSIRNAAIVLQSFLRGEIARTVHKKLIIEAAALRFQKNFRRYIARKSFVTNRSAAIVLQTGFKGMIARNEFRLRRQTKAAIVIQAHWRGQRAYAYYIRLKKAAIVTQCAWRCRLARRELRMLKLAARETGALKDAKDKLEKRVEELTWRLQLEKRLRTDLEEAKVQEVAKLQEALHTMRSQLKEATVMALKEQEAARMANEEASSVKKEPVVVEDTAKIKSLSNEIEKLKGILSSETHRADEATQAYRSALVQNEELSKRLDEAGKKINQLQDSVQRFQEKVSNLESENKVLRQQSLALSPASRALALRPKTTIVQMQRTPERFNFSNGETKQVQEPETEDRPQKSLNQKQLMQENDDVLCYWLSNSATLLMLLERTLKAGNIPPSRHRAMSTSLFGRSFIGSPQSVGFPFMNGRAIGGGLDELRQVEAKYPALLFKQQLTAYLEKIYGVIRDRMKREIAPLLAVCIQAPRQPRSGLIKGRSHNNFEAQKALSAHWQSIVTCLNGHLRTMRANYVPSLLISKVFGQIFSFINVQLFNSLVLRRECCSFSNGEYVKTGLAELEKWCHDATEEFAGSAWDELKHIRQAVGFLVIHQKPKKSLDEITTELCPVLTVQQLYRISTMYSDDKYGTHSVMASMRQTVSEDTNKSFLLDDDSSIPFSLEDISKSMPNVEVAEIDPPPLIRQNTSFMFLLERSD >A05p051690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:31527311:31528144:1 gene:A05p051690.1_BraROA transcript:A05p051690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G06200) UniProtKB/Swiss-Prot;Acc:Q94JM2] MFHRFCSSLSRSSIIFPKSIKSPPLPFPRSLRRSPLTLSFSSFKMSDTDKPIPSPTKQETIRSLESHLGSPFTSSPIIPPPNQLIIVISGPSGVGKDAVINKLREAREHLHFVVTATSRPMRPGEVDGRDYFFVTRDDFLSMVENEELLEYALVYGEYKGIPKRQIRESMSKGEDIVLRVDIQGAQTLRKILGNSAVFVFLVAESEVAMVERLVDRKTESQEELLVRVATAREEVRHLKSFDYVVVNAKGRLDDAVRRVEAIIDAEKSRVHQRAVRI >A10g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8000508:8006009:1 gene:A10g503050.1_BraROA transcript:A10g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATPVVNAAAAAAAVGNPTFDSLRLGRSAQSVVGRLIRFWDSRNVSKNGEFMGITILLLDELDSVIHCFIPANRANHYRSSLKTGSIVRLDRFDVARVGHTYKITEHQEVIRFIPSTRVVEVQTDAPVIKMDKFMVRRYEQLQVLANTSLELPDVVGEIKSVQGSDLKNQTATSRVVVRLLIEPNVTVFMSLWDEAASAFRGLLKSGDKSQSVMLVTTVNPKLFGGNLYLNSTQGTRFFFDTSISEIAEFVSSIGATQPQDYTCVDTLEGVKKKELVSIRDLNTFISNSNEQTQEADFLCKAQIIGVIQENGWFFVSCTGCHKKLEKRGTSLDCSRCATSDVTGVVRFRVELAVDDGKDSATFVVFDKEMNKLTKQEAAVLALDEVSNGGEEYLPSCLEELAGKEFVFQIRVTPFNFTPNHRTFTVATISEDSEAHSENSGVDTGLESSSSGPSVLGVKISEERASGNNPGNAGAQQRRKRGQEDQSTDPVSDILLPQTFEMASSATIDSQQPLPHSPFAALRLGKSSQSIHARLLRFWDSSNFSNPGEFKGITLLFLDEKDSMINGIIPAEQANHYRRSLQEGDIYRVAHFEVGICPHMYKSTEHTFLIRFLEETTIDPIINNCPHISPQKFMIRSYEQLQILANTNLELPDVVGQIQTVKGYDLKNAEVISPLLIRLRIAPNIEVDVTLWDTAAATFKAVLNSGEKAHTVMVVTNKLYLSSTPASRCYFSPSLQAITDFTMSLRGVVTNLDDNTENATWPLSSIGDLSYFLSNPTDQEPYFVCKARIVDVLNKKGWYYICCTRCSKEMVKSAASLRCDQCGNTNDTGVVRYRVELLVDDGNNYATFVVFDKEMLEMTKHHAATLFLNEVNVGLDDKLPQCVSGLEGQTFVFHIRVKPCNSTQNYSPFTVSAISHNIDTKDFNIKEGPCVDLKCDEPSTSPPANNTLTAEVEEGERSCSRE >A02p017760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8048628:8049386:1 gene:A02p017760.1_BraROA transcript:A02p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSFMKLWLTNANGNKPRREIITSSTALEDPELDLHEEDDSFFELEISPSDFSSKKNKTHEEREDKQTTFSVSKSKVLPFVETTSKPQSPITSLKSCQKFGASSFKKSTTTTEKKEHNDRSLNVRFRIEDEITSFRQQVKADNDSVSSTSSSKRFFDLIKPLYNKTTKKQSVNSVTTSPLSSPASREKQRSNIPSGIRSVKRQLGKSRSASAAVGAMSPGKRLDESLQFQQDGIRSAILHCKKSFHGSRG >A05p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1933198:1933444:-1 gene:A05p004970.1_BraROA transcript:A05p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLYGARDTYHGYRSKMMEQKEVVHAVSKKGNLVFYDNQKRLFMYYPWTNEIHCLSLDTCAIST >A09g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17090977:17091463:1 gene:A09g505400.1_BraROA transcript:A09g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVKVGCTKQEASVLNIDTEANVVSTRISLSLQQQRFLCNFITNNELAYAYTDSTHWDVKIKFLVTLLHESIVCHTNKQVLTMFSVGFLKIN >A05p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18593872:18596278:-1 gene:A05p031240.1_BraROA transcript:A05p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTELIFIAESTKRVLHPLQEADSCSYGFVQLVECPNVPEEPDMHQILEMMFAAGEEPVGVRVLTYQSSSSLKRIFNALDKEELNIIRRSSFGKLIEIADKPVFSGRIARYLFSRQLKTKKKHRAWFRFAGKLVRFSMREFVIVTGLPCGKFPQKSKMKLNATIAEQPYWPCLFGKVEVVTVSSVIKMLYHKTVKDRDIRIKYACLALLESVLLPTSLNMKICREHVEAIKDLEAFFAFPWRRVAFDMLMGSIKERDEIALSQNTIAVKGFVLALQLVMVAAVPALTEAVQDSCSSSDSDSEDIDGPGCDIFTKKRTLNPAHARNVDKITDVTGRCKRSKKVISPSSDVEASYIVDLVLETIKPLIDIMECNITVASSRLGAIEGNVRVQVEALLGKFKEEMLSFVKDIVSPLWKDHIAAHNAGGNSQPSSPTNVTVPACHTSHVADANAKTIQNVLRDISQYSTPPRSNRITEAENLTPTKKDHVESGYVCGTPVIQSCAQSANSENRSRQNLFQQSL >A07p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16952352:16953609:-1 gene:A07p030440.1_BraROA transcript:A07p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit D [Source:Projected from Arabidopsis thaliana (AT3G58730) UniProtKB/Swiss-Prot;Acc:Q9XGM1] MINKPRVIYLQKSPREAQSCCNPISMAGQNARLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRALLKKIVTAKESMGDMMKTSSFALTEVKYVAGENVKHVVLENVKEATLKVRSRQENIAGVKLPKFDHFSEGETKNDLTGLARGGQQVQACRVAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPKIENTISYIKGELDELEREDFFRLKKIQGYKRREVERQAANAKAFAEEMVLEGISMQRGISINAARNLLEGGGEKDADIIF >A01p015540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7593332:7596136:1 gene:A01p015540.1_BraROA transcript:A01p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGDSSRFGHHQYPTKPSRNMSSSSSAAFFSANQSPFFSPRSPKLPQEHSESTRSDPQRDSFDPLTSSSDLVFQNLEPSSSVIPRFPRGGGHDSSSYTQTSSVSVSYNRVRCCDVFLGLHGNKPSLLRFADWLRAELEFQGMSCFMSDRGKCRSSRKQRIIEKAMDGASFGVIVLTRKSFKSPYAIEELRFFSEKKNLVPVFFDLSQGECLVRDIVEKRGEVWEKHGGEVWECYGGIEREWREAVHGLSRVVDDWKLEAHEGNWRDCVFRAVTLLAMRLGRRSIVERLSRWRDKAEKEEFPYPRNDGFVGRKKELSELEFVLFGDVGKDSERDYFELKAMRKNKKKKKNVTLGWNNKKGKEKVVWKESDKEIEIELRRNNARRRKRSTKIAYGKGVACVSGESGIGKTELLLEFAYRHHQRYKMVLWIGGESRYIRQNYLNLHHYLEVDVGVENCSDKTRMKSFEEQEDAAVSRIRKEMMRNIPFLVVIDNLESEKDWWDSKLVMDLLPRFGGETHILISTRLSRVMNMEPLKLSYLSGAEAMALMQGNSANKDYPVPEMDALRAIEEKLGRLTLGLAVVGAILSELPINPSRLLDTINRMPLREMSSGRDGSLLRRSVFLLQLFEVCFSIFDHADGPRSLATRMVVAGGWLAPGAVPASLLALAAYKLPEKHKGPKRLWRRLRRAITCGFASSKSKRSGAEAASMLLRFNIARTSSVKLGFIQIHELVKLYARNRVVVNENAPAMVRAVISRGSTVETAEQMWGVCFLLFGFGNEAPTIQLKITELLFLVKQVILPLAIRTFITFSRCSAAVELLRVCTNALEAADQTLVTPVEKWLDKSLCWRPVQTSAQLNPVLWEELALTRATVLETRAKLMLRGGQFGVADDLIRKAIFIRTSISGEDHPATVSARETLSKLTRLLSNVHQIQNSSP >A03p052680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19970930:19972677:-1 gene:A03p052680.1_BraROA transcript:A03p052680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERKNNMNGMEMEKGKRESGSRKGLELTMRVLALVLTMVAATVLGVAKQTKVVSIKLIPTLPPLDVSTTAKASYLSAFVYNISANAIACGYAAISIAVLMICKGKRSKGLLMAVLIGDLVMVGLLFSGTGAAGAIGLMGSQGNKHVMWKKVCNVFGKFCHQAAASVAITLIASIVFMLLVVLDAMKLP >A02g510600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28148603:28149545:1 gene:A02g510600.1_BraROA transcript:A02g510600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILLQGSHDENAIEKETPPLFPMFQDLRSISLPRIGFKGEPRSRLLHSGWSVFVSLM >A03p071620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31563412:31564146:1 gene:A03p071620.1_BraROA transcript:A03p071620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDKAAEIACRVILSAKKQSKKASDCLKWLKSHFVEYEVVVDSKGNVVYEILVVSMLAPWSYRRAYVIELQCHSSKVCLYCVLRTCVDVGAILVEPCQKLKICSPIDSESSFTFWLDMRCMFQESLDLVCVLNGCLDLMQAENVEKLISAKSSSPADAALEGIPASLCPPFKV >A08g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6604426:6621411:-1 gene:A08g503990.1_BraROA transcript:A08g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVVALSRRLHVEEDRCKRSCVGMREVCVGESKRNFLWGSSIKGRQPRAAAINTQRFSDKTQGEWKKSVDQEWDFVTDPEDYGYRVLVSKSASFEAVDQLVRRRYSLGPRTPVVVSYRLPSWLLVPQGNKTPPLTINDTAQLSMVLNVRTWLDELALLVTIGAKGVAEYQFLCRTNFTIGATSYVFDESATDNSRAAYESLVFGDRGAQTERVMNAIFPEEGMLLFHRVSLEMSFADNFLVNRNRRTPPPREIIELDDDDDEMGEGSQPTMRTGEGAAANAPRGRNAQAEAPPIFWDVGMNVVDYTARRGSPLPTEARVENGMMFWEGLANEEEALAGEMNVVMEAENLNVGVAVGVTDNIALANNVVTPTVEAVRVDDGGGSSTGSTDLILTTGRHQVKLPNNVQVGNEESPIIHGPSRELVAAQGLVLASTAVAGSGRTVSEATLPSMIKLAMCCTVKEGGTSRAVNRATETSSEGSTTDVTSDAKALFYAEDIGNYGNEVAVGMIFRNRDAFKQHMALYAITRKFQYRSRKSEPGLMVLECCGDDCPWRVYAVKLKEADVFEIRKVVPDHLCTVDERGGYQTQATSSVIGELMRIVSSFDKATTWEAEEAKVLFKGGEDYEANAQKDSVQPVQGMVRCVRLWRGEWKKSVDQEWDFVTDPEDYGYRVLVSKSASFEAVDQLVRRRYSLGPRTPVVVSYRLPSWLLVPQGNKTPPLTINDTAQLSMVLNVRTWLDELALLVTIGAKGVAEYQFLCRTNFTIGATSYVFDESATDNSRAAYESLVFGDRGAQTERVMNAIFPEEGMLLFHRVSLEMSFADNFLVNRNRRTPPPREIIELDDDDDEMGEGSQPTMRTGEGAAANAPRGRNAQAEAPPIFWDVGMNVVDYTARRGSPLPTEARVENGMMFWEGLANEEEALAGEMNVVMEAENLNVGVAVGVTDNIALANNVVTPTVEAVRVDDGGGSSTGSTDLILTTGRHQVKLPNNVQVGNEESPIIHGPSRELVAAQGLVLASTAVAGSGRTVSEATLPSMMKLAMCCTVKEGGTSRAVNRATETSSEGSTTDVTSDAKALFYAEDIGNYGNEVAVGMIFRNRDAFKQHMALYAITRKFQYRSRKSEPGLMVLECCGDDCPWRVYAVKLKEADVFEIRKVVPDHLCTVDERGGYQTQATSSVIGELMRNLVTDFGGMQLCPPLTRRPPGRPKKQRFFSRGEKIMKRMRRRTVCSRCKGFGHNKATCKEAI >A07p029640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16601691:16611664:1 gene:A07p029640.1_BraROA transcript:A07p029640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLFFLTSSRRLSPSCLGLVDDAHIYTYLYILFLHSRTRKLQREIFIAEFVSFLEAIEKASMGEAPAFFVDHLENGQQHTNGFGVKTEPEHRDTSVQIGDRSYVIGGNHEGNPLFLGVQIHDKNTNKSTPTVLGTGPKPCKAYSAIVLKQGRILVIKKGSASDDSIWFLEVDSPFVREQRKLLGKEVVAWSKGVRGNAEKPIVISGPSGVGKGTLIAMLMKEFPSMFGFSVSHTTRSPRCMEENGVHYHFTDKTVMEKEINDGKFLEYASVHGNLYGTSIESVEVVTDSGKRCILDIDVQGARSVKASSLDAVFIFVCPPSMKELEDRLRARGTETEEQIQKRLRNAEAEIKAGESSGIFEHILYNDNLEECYKNLKNVLRIIDDAPVNGVQVEGINLPKEHTVTKNGDKILIQETGEATKNNMIVLDLSSINGGAPGRTRGIVLDTVKSNSPNSDRTDPTHCNLWDSEGRWVLENCNLNRFVGPKRRFDIAYKSVTLLEEKANDGVSFSTLSPPPTLFYFLCLLNRDEVNASLFLCKSLASISMWPNPREVSDALDFGCKLMNHVLVICLMKSVEGINLPKEHTVTKNGDKILIQETGEATKNNIVSFFQIKNHSTHWVVGQSRVDPSDPDPVMAGAVARILKRVGLPPLGVLDVISIGSLPVLAYIYIRQEKQFAWLDEQEKRVNATVADMRARGIIRDQYNPIIFVTLQDPYQADTVMAGAVASLFKRMGFWGVVNVVSIGSLPVLAYLCGQQEKQLAWLDEQEKRVIASMIDRGIIMLSSSINNTPVLAPLATDLRQHLTFEIIRSSSLLILYQSPSLSFPDHLYPPRFPPHQSAESVSDKDKNKTLDE >A07p009270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5658784:5661494:-1 gene:A07p009270.1_BraROA transcript:A07p009270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa DNA-binding subunit A [Source:Projected from Arabidopsis thaliana (AT2G06510) UniProtKB/Swiss-Prot;Acc:Q9SKI4] MPVTLTPNAIAAINTGDVNLKPLLQVLDIRLIGKTQERGQERYRLLISDGVSAQHAMLAVQLNDRVKSGQVEKGSIVQLIDYICSDVKGRRLTIVLNMETIVPQSETIGNPTMSAESDTEFQKPLPGPGNMHPPSRVGSVEQHSTNKAPPRGVNITPSVQPAYQPPPSYRNHGPIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDIRRYNNAKGDGKVFSFDLLDSDGGEIRITCFNAVVDRFYDVIEVGKVYLISKGSLKPAQKNFNHLKNEWEIFLESASTVELCPDEDGSIPRQQFSFRPIGDVEKAENNAILDIIGVVTSVNPSVPILRKNGMETYRRTLNLKDDSGKAVEVTLWGDFCNRDGRELEEMVGSAFYPVLAIKAGKVSDFSGKSVGTISSTQLFINPDFPEAQKLRNWFDHGGKDTASSSISRDNMPGGGSRNEIRKVVSQIKEEGLGRSDKPDWVTVKATIAFIKTDSFCYTACPLMIGDKQCNKKVTRTGTNMWLCDRCNQEFEECDYRYLLQVQIQDHTGMAWVTAFQESGEEIMGCPAKQLYTLKHVLQNDEEFAVIVRNRLFHQYMLKLKIKEETYAEEHRLKMTVVKVDTVNYTSESRYLLNLLVGSLQVLLTGSKLETPTTAPMMTVRTTPPDAMLSKKFLREGEIPGPAASAISGMTIEAVRAAAESPVKALPNLILEALTSSLCVLPPGADMALDGFRGNGLKKVDERVWLSGVTNDIVEVQAMILRVLRSLVL >A02g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:260118:268161:1 gene:A02g500110.1_BraROA transcript:A02g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYEPYHVLQHSRRDKLRMPSLDSHFHFHHPPPPPSSSGGGGVFPIADSDFLAAGGFHSNNNNNPSYSNFMGFLGGQSSSSSTAVAVAGDHSFNAGLSSGDVLVFKPEPLSLSLSSHPRPTYDLVVPGVVNSGFCRSAAEAAAAAVTVASRSSGPLGPFTGYASILKGSKFLKPAQMLLDDFCSVSRAVYTEQIVDDEDDDSSLLFDPTIDNLCGVSDAGVGENGKKKSKLISMLDEFTVFGRCPLKLVAKCRGRGRGRGRGRARGRGRECVPPVPRESGCYIAPYSGRVFEVWGSAAVYKRYKQYYEQLQAVMGSFECVAGLGHAAPYASLTLKVLSKHFKCLKNAITDQLQFSTNNKIQQQRGHVMNSENKTDFLVFGGSDNSRGLCSTGQRHGFPDHHAPVWRPHRGLPERAVTVLRAWLFDHFLHPYPTDTDKLMLAKQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETRQSQKSSSSWRDTTTVFPDNNNNPSSSTAQQRANNNSSPARRVRNDDVHANTNNNNNHFMNAGNGGNIGGGGGVSFSYGIASSNVPGMNTSTNGGVSLTLGLHHQIGLPEPFPMTTAQSFGLDGGSSGGGAGGESYGGGGGGYEGQDRPFGRDFIGGSNHQFLHDFVG >A08p038180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22129015:22131950:1 gene:A08p038180.1_BraROA transcript:A08p038180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAKTFYALLLASLQLLITSHVSLAAGGKWTLLLSNVGISAMHMQLLRNDRVVMFDRTNFGPSNISLPNGNCRNNPQDAVSKIDCTAHSIEYDVASNTVRPLTVQSNTWCSSGSVRPDGVLVQTGGDRDGELKARIFSPCNNNKCDWVEINNGLTKRRWYSSNHILPDGKQIVIGGQGQFNYEFYPKTTSPNVIALPFLAETNDRGQENNLYPYVFLNTDGNLFIFANNRAILLDYVKNTVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLEAVKIDAEVLVCGGAPKGSYILAFRRNTFVKALDTCARIKINDENPQWTVEKMPRARVMGDMTLLPNGDVLIVNGGASGSAAWELGREPVFVPDLYHPENPVNSRFESLNPSTIPRMYHSTAILLRDGRVLVGGSNPHGFYNFTGVLFPTELSLEAFSPVYLEPEFAKLRPKIVSPKSQTTITYRKTMKLKFKVVGEVKGPVKVTMVFPSFTTHSFSMNQRLLVLDNVKFKRSKSTNYEVQVRTPRSAFIAPPGYYMMFVVNDNIPSEDVEFSHFLFNEFSDFFYVDRTMRNSSVAFPLGTFGIVRVVSSVMLSLHKTVVCPNAIVSVDFANSACTAGGGQIYMWGKVKNNGDDWIQFLHKLGHAQCGELGYGPTVKNPSAAPIKVNILEGMHVL >A07g504450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8986906:8987199:1 gene:A07g504450.1_BraROA transcript:A07g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLRHKKVLFEASSVEMREALLNPLRFPDLSPLILNILELLNRFEKWAVFHVSAHMNRIAKSIAESVILGPRPQSYVAAGGPCWLHRMLEEDANSI >A06p007530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2606118:2610704:-1 gene:A06p007530.1_BraROA transcript:A06p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNLKHPLGEDYTASQERLSKVARIDGFSDEEEEGGHESESPENPRVQRYLVAVEYVGTRFFGSQQQPKYRTVVGVLQEAFHKFIGQPVKIFCSSRTDAGVHALSNVCHVDVERVSKRKPGEVLPPHEPDVVQKAVNHFLQKNEGDVMVIDVRCVPSNYHARYKAKERTYFYRMLSGPDPLSIFEKDRAWHVPEELDLRAMQEACRVLVGSHDFSSFRASGCQAKSPVRSLDELSVTEVPSTPYFPSLTESTWSNLNNGDPLTCSNQPKTETAGVTTNGDTFGIKRRHRCYVVTARSRGFLYHQVRLIVAVLKCVGTGELTVLDVERILKAKDVSAGKPMAPASGLYLARCGQGSKNEVLVHRQSIMILHSVPMLSSSGSYRTPAPSFRALRFPFSSSLGVCYRTTISPLSCSSDIVQPAPVITAWSEFAQNVSGEWDGFGADFSREGHPLELPESVVPEAYREWEVKVFDWQTQCPTLAQPNAHTFLYKSIKLLPTVGCEADAATRHSVDQRTIGPTALSFSYSVSGSYVAVWGPLGNNNQLLEVEHCLVNPNDKESRVRIFQVVETTMLSLRSVKVFRELWYGPFRDGDQLGGCATRSSGFASTPATPASAVAGSWRAVLATTSFDSGCIQQFTGEKVVEIVREEKDLLLLPQDLWCSLQDGKDGQRLFSVGWLFEPGHAITSTCVFSSDSKLEEVTMGRETALTDL >A10g503480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9106622:9107035:-1 gene:A10g503480.1_BraROA transcript:A10g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFTFSANGEVILEGQRCCPRAQSPLPAEAESLSWALKEISDRGFHRVRFESDCQQLVNIIGKDEDWPSLAQELEDIKTASEPFRNLNICYISRSLNIRADSLAKGGRSRALCFTNVNVMVQQGFALAANPNEPI >A06p057730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29996627:29998828:1 gene:A06p057730.1_BraROA transcript:A06p057730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 23 [Source:Projected from Arabidopsis thaliana (AT4G39000) UniProtKB/Swiss-Prot;Acc:Q8GY58] MKPSFFILTVLALLLLPTAIPHDYSEALRKSILFFEGQRSGRLPKQQRMTWRGDSALNDGKNLNTDLVGGYYDAGDNIKFHFPMAFTATMLAWSSIDFQSYMSQNDVGHNLIALKWATDYLLKTVSQLPNRIFVQVGEAQADHNCWERPEDMDTPRTAFALDAPGPASDLAGEIAAALAAASIAFKQSRPKYSEVLLDKAIKTFQYADSHRGCYTDNPNVHNAVCPFYCSVNGYKDELLWGAAWLRRATGNDYYLEYLVNNRQAFGQDFNYLEFGWDNKYGGVNVLIAKEIFEKGAIALTAYKDAAEEMMCAFFPETSGPHHMSYTPGGLLYKPGNSQLQNMAALSFLILTYADYLSKSSQQLNCGNHQFQPDSLRRIVKRQVDYILGDNPAKLSYMVGYGDQYPRQIHHRGASIPSIKVQRNAFGCLKGWDIFASPNPDPNILVGAVIGGPDVDDSFIGKRTNASDTEPTTYINAPLVGVFAYFKSNPNFS >A02p024810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12625166:12626515:1 gene:A02p024810.1_BraROA transcript:A02p024810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPFINVSQTFMSFPHVASAFVVIAVFSYYFFRPKCIYLIDFSCYQPPDFLRATVSNFIEHLTISGVFDQESLDLQQKILERSGIGDGASVPVTVHEIPPNSSLSAAREETHDILFTVVEDLFLKHKIDPKSIDILVSNCSLFCPSPSITSIIINRFGMRSDIKSFSLSGMGCSAGLLSINLVKDLMKIHGDSLALVLSMEAVSPNGYRGKCKSMLIANTIFRMGGAAILLSNREQDKPNAKYKLQHLIRTHVGSDNESYESVMQQIDEEGNVGVALSKQLVKVASKALKINVVELGPQVLPYSEQLKYIVSFIKRKWGMQKEVYTPNFKKAFEHFCIHAGGRAIIDGVEKHLKLDKEDVEASRSTLHRYGNTSSSSLWYELQYLEAKGRMKKGDRVWQIGFGSGFKANTAVWKCISEIDSKDRNAWSDRIHLYPVSGDGSSALET >A09p020180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10722198:10723522:1 gene:A09p020180.1_BraROA transcript:A09p020180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 4 [Source:Projected from Arabidopsis thaliana (AT1G60470) UniProtKB/Swiss-Prot;Acc:O22693] MAPEISVNTLSISEKVHQAPSKRAYVTFLAGDGDYVKGVVGLAKGLRKVKSAYPLVVAMLPDVPEEHREILRSQGCVLREIDPVHPPDNQVEFAMAYYVLNYSKLRIWNFEEYSKMMYLDADIQVFDNIDNLFDLPDGYFHAVMDCFCEKTWSHSPQYSIGYCQQCPEKVTWPEDMESPPPPLYFNAGMFVFEPSPLTYESLLQTLETTPPSPFAEQDFLNMFFEKVYKPIPLVYNLVLAMLWRHPENVELEKVKVVHYCAAGSKPWRYTREEANMDREDIKMLVDKWWDVYDDESLDFKSKIPVDVEETVTKSTILASVLEPEMTYIPAPSAA >A06g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7832860:7833600:-1 gene:A06g502210.1_BraROA transcript:A06g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTTFSPLTKLRPFKDNWRVRVKCLHSWKQTTTYGGDTFEMIFADEWGNKIQATCKRTLMYRVQHVIGRVHELGDVQTVQVSGENRKRVLFRLVDAEFVIRNLCFYIVFYSYILIYLSTNYM >A01g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18467509:18481795:1 gene:A01g506350.1_BraROA transcript:A01g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYCSWMDKPHLDPNTNLLTEEYVNDGLARSFVFDDWIHEFVQGPNYVVKSYPKFCTRGYAFTRKGHSKTTYDAGVSSSSGDDVYYGNIKEILEIQYPGMVGLRCVVFYCDWYDTTPDRGVKIDAFGVTSVCYLSYPRVTYKDDPWVIVTQINPRGRVDRTSDDDEPLQLESTSNVQAVEDLANVELVEVLTEFGLDAVVHSEPEAESGHWASKAWSDQSGATPPSRSDLPIGATLLERQGEVARGFITRRRENEPGATSRSDLPERHHEVARISHPSRSDLPKRHPEVARVSIARRHEAKPGATSQSDPLRSLPKAGATCRSDMSRLKVDSLIDHLPSLVRYLITQATQEQLKFVGNSKQEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAECFDIPQNWFDNHLYYNIFLSTISLPFTAILAVLEPPSPANHLLTLISLPKPQIMSEYVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDLVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPTSSSQALYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A10p017440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2446288:2447759:-1 gene:A10p017440.1_BraROA transcript:A10p017440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIYRITLLFTTLFLSFSLSSPSSPTIHDVLRSKGLPAGLLPQEVDSYTLDNDGRLEVFLAAPCLAKSVTGMRFDSVVRANLSYGSLVGVEGLSQFELFLWLSVKDIVVKKPDTGVIIIDIGVAYKQLSRSLFEDPPKCLPHGDLKKKMRGYGRFEALKMKNLKEGWRSRLFF >A06g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6814797:6821521:1 gene:A06g501870.1_BraROA transcript:A06g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEVARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHRSDLSQRPSEVAPEAWSDLSRATGRSRSPSWFPETRNRSRSDLSQRPSEVAPEAWSDLSERRAEVAARLNFTRTYDFSRAFWSFHYARFTFQKPILSEYLHSQCFDIPQNWFDNHLYYNICLRSLENS >A07p022070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12948943:12955743:-1 gene:A07p022070.1_BraROA transcript:A07p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp4 [Source:Projected from Arabidopsis thaliana (AT5G67330) UniProtKB/Swiss-Prot;Acc:Q9FN18] MPSLTSVELNYLIFRYLQESGLTHAAFTLGLINAKSMGIWFHRVLLSSLFRKQGAANIDEDFSFFQPLDLISKDVKELQVMLRERKREERHKDKDRERSKENDKEVERGHDGDRSKSASLNEKHGENEIREGKGSCKSAWRCCRTTMTPTSLPSRISNSDVRVLEGHTAEVCACAWSPSASLLASGSGDTTARIWSIPESGRSISTLILKHAKGKSNEKCKDVTTLDWNVSSALVRGLYLQLVLMHEYEYDTGCNCNIGPTLDVDWRNNVPFATSSTDTMIYLSKIGETRPVKTFAGHQGEVNCVKWDPTGTLLASCSDDSTAKMWNVKQHSFVHDLRDHSKEIYTIRWSPTGPGTNNHNKPLTLASASFDSTVKIWDAELGKMLCNLNGHRDAVYSLAFSPNGEYIVSGSVDKSIHIWSLKEGKIVKTYTGAGGIFEVCWNKEGNKLAACFADNSVSVLDFRINNHKKGQATAVTLKMSEPERARPLLASEERAYEDTEKVHIVGVDEEDGADYDDELGNSPRFSWKKLWLFTGPGFLMSIAFLDPGNLESDLQAGAIAGYSLIWLLMWATAIGLLIQLLSARLGVATGRHLAELCREEYPTWARMVLWIMAEIALIGADIQEVIGSAIAIKILSNGLVPLWAGVVITALDCFIFLFLENYGIRKLEAVFAILIATMALAFAWMFGQTKPSGTELLVGALVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKVDPKKRFRVKEALKYYSIESTGALAVSFIINVFVTTVFAKAFYGTDIADTIGLANAGQYLQDKYGGGFFPILYIWAIGVLAAGQSSTITGTYAGQFIMGGFLNLKMKKWVRALITRSCAIVPTMIVALVFDSSDSMLDELNEWLNVLQSVQIPFAVIPLLCLVSNEQIMGSFKIQPLVQTISWIVAALVIAINGYLMVDFFSGAATSVALLVPVIIFAVAYVVFVLYLISRGLTYTPWQLVSSQKVTERDDE >A08p019650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13362718:13364359:-1 gene:A08p019650.1_BraROA transcript:A08p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRELHQQQQQQQQQQQQHQQLQPPPGFLMGSYNRNPNAAAAALMGPTSTSQAMHHRLPFGSLAPHQPQHHQQQQQQLHPHQHHQPQPQHQMDQKTLESLGFEGSPSSVAAQQQQPMRFGIEPQAKKKRGRPRKYAADGNIGLALAPTSPASNSYGGGAEGGGGGDSGGGGNANSSDPPAKRNRGRPPGSGKKQLDALGGTGGVGFTPHVIEVKTGEDIGMKVVAFTQQGPRAICILSATGAVSTVMLRQANNPNGAVKFEGPYEIISMSGSFLNTESNGTVTKTGSLSVSLARPDGQVVGGCVAGMLVAGSQVQVVVGSFVADGKKQKQSAGRVQNTPEPASAPANMLTFGGGGGGGGGGQGSPRSQGQQHSSESSEENESNSPLHRGNNNNNNNHHGLFGNSTPQQLHQMPPMQPQMYHHHLWPGHNPQ >A07g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9811629:9813117:-1 gene:A07g504730.1_BraROA transcript:A07g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEILQGHDVKRYSKLMGSIYFFLTIRESLERDTVRVIWYGFLLADKGKPEPLWVFVPPHPLIKHWIFVMRNDQTPSPIFIFRNMFSVCYILLGRLLMYEASREWLLCPPIVVRDIKTPVAAASILAHVK >A03p020510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8426364:8428232:-1 gene:A03p020510.1_BraROA transcript:A03p020510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEKQFHSSSYVQESIRGRDLPNIFDLFNRSKMLGDFIIRLLVLILGYTYPAFECFKTVEKNKVDIEELRFWCQYWILLALISSFERVGDIFISWLPLYGEMKVVFFVYLWYPKTKGTRHVYETLLKPYIAQHETEIDRKIMELRARAWDFFIFYFHNFAQAGQSTLIQAFQYVLAQSVLFSTAAAAKQPPMEPNVNVKTRSPVETESDPHSPPAPRALNKTLSALRSLEKQTSRGRKWPPPTPGRDSAGTFNGDEGVNIPDTLPGSPITDARAKLRRSNSRSQAAS >A09p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12537355:12538114:-1 gene:A09p022770.1_BraROA transcript:A09p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSLSFLAILSLLALTLPLVISSDPSPLQDFCIGVNNPANGVFVNGKFCKDPKLATADDFFFAGLHNARAVTNAVGSNVTAVNVNNLPGLNTLGISLVRIDYGVQGQNPPHTHPRATEILVLQEGTLLVGFVSSNGDGNRLFTKTLKQGDVFVFPEGLIHFQFNVGRSPAVAFAALSSQNPGVITIANTVFGSNPPINPNVLARAFQLDPRVVMDLQNKF >A06p027310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12714762:12716434:1 gene:A06p027310.1_BraROA transcript:A06p027310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVESEESTDTELTTSIDTAQPEAGKSSLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKYKFNADYYRMMDEEIEKATSPKDNSDLIEIKNSLKSLHSFLQNKHQSDIAQIDDNALSDTNDYLDVGTNCSDPYFVLHVDSFTQAYDTAVKSRTGRERFNIRQALTGNRQVAEIATAIKRDVGCLSRRTDLNPRRQVSAVILRSGKNLAADTRNNTDVGKPDDTDKTGKSNSHPILLNDLDPNPSQENRNTTAEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTSTIDRQPEKPVDR >A10p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13300670:13302894:1 gene:A10p019400.1_BraROA transcript:A10p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDEYMADEYDMDILEDDVNPDFDGIDIGVEDFDQLTKAEDTSAAQARDGKDIQGIPWERLSVTRQNYRKTRLDQYKNYENIPDSGEAAAKNCTSTEKGSSFYTFRRNSRSVRSTILHFQLRNLVWATSKHDVYLLSNYSISHWSSLTGSRNEILNVKGHVAPSERHPESLLEGFTETQVSTLAVKERLLVAGGFQGELICKHLDRPGVSFCSRTTYMENAITNAIDIYRNSSGALRFMASNNDCGVRDFDMERYKLVQLFRYLWPVNHSSLSPDGKLVAVVGDDPNGLLVDSSNGQTIGTLRGHVDYSFASAWHPNGVTFATGNQDKTCRIWDTRKTSESVAVLKGNLGSVRSIRFTSDGRYIAMAEPADFVHIYDTTTGYTKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRTRDYSYLDSLL >A01p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11335938:11337753:1 gene:A01p022970.1_BraROA transcript:A01p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMIPLYLLLALFATILAPTSGEPVGVCYGMMGNNLPSKPDTIALFRQNNIRRVRLYDPNQEALNALKNTGIEVLVGVPNSDLRSLTNPSSARAWLQNNVLNHYPAVNFKYIAVGNEVVPSNGGGDVLPAMRNVYDALRGANLQDRIKVSTAVDMTLIGNSFPPSAGEFRGDVRWYIDPIIGFLTSTNSVLLANIYPYFSYIGNPRDISLSYALFTSPNVIVWDGSRGYQNLFDALLDVVYSAVERSGGGSLPVVVSESGWPSNGGNAASFDNARAYYTNLAARVRENRGTPKRPGRGVEMYLFAMFDENQKNPEIEKNFGLFFPNKQPKFPIAFAGVREGTAVERCCLNRDDDNM >A08p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2801328:2803593:-1 gene:A08p004800.1_BraROA transcript:A08p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein CCS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G49380) UniProtKB/Swiss-Prot;Acc:Q9XIA4] MIVTLNPKILHFSKLNPQSPRPRPSPRFHRTTNCKLQNPQDANKTGTISLSDSAPPVTEEGSAKGGGNGGGGGGGFLKRLPRKVLSVLSNLPLAITEMSTIAALMALGTVIEQGETPEFYFEKYPEDSPVLGFFTWRWIFALGFDHMYSAPIFLGMLALLAASLMACTYTTQIPLVKVARRWSFMKSDESIKKQDFAESLPRASIQDLGVILMGDGYEVFMKGPSLYAFKGLAGRFAPIGVHIAMILIMVGGTLSATGSFRGSVTVPQGLNFVMGDVLAPIGFLSVPTDSFNTEVHVNRFTMDYYDSGEVSQFHSDLSLRDLNGKEVVRKTISVNDPLRYGGVTIYQTDWSFSALQVSKDGEGPFNLAMAPIKINGDKKLYGTFLPVGDTNAPNVKGISMLARDLQSIVVYDLEGKFAGIRRPNSKLPIEIDGMKIVIEDAIGSTGLELKTDPGVPVVYAGFGALMLTTCISYLSHSQIWALQNGTTLVVGGRTNRAKNEFPDDMNRLLDQVPELIKTSVD >A10p014830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3987328:3988192:-1 gene:A10p014830.1_BraROA transcript:A10p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTYNDIALPKDEHHHAVGKTTGFFSFRQLNVLAIILVLSASGLVTIIDFLFALLTFIYFFFIAKVVFPPHENPNRDAPLTSSTNKFFRFYVACAGIVGLLLPICYIFEGLLEDDKRGVSAAAPHVFLLASQVFMEGLAATFGFSSPARILVPIVYNARRVLTLVEWIMDEFSRESPASGTGVGTVSERRMFAGKVLSAVNLGVWSFNLFGVLIPVYLPRAFKRYYVRFGCRINRDLKVNSRVLLFNLFGYLIPVYLLVALE >A03p030520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12810799:12812892:1 gene:A03p030520.1_BraROA transcript:A03p030520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g10640 [Source:Projected from Arabidopsis thaliana (AT4G10640) UniProtKB/TrEMBL;Acc:Q7XA83] MAKKNGTSWLTVVKKILWSPSKDSDKKSYKKEADYNKQKKEKKGWIFRKTKVENTDSVTDTIPKAEKEEKDKPAVAEIVKLTATPGFLRRHWAAIIIQTAFRGYLAKRAFGALKGIVKLQALVRGHNVRNQAKHTLRCIKALVRVQDQVLSHHHQQQQRSRVLSSSPSSNCYDIEARRNSMFAESNGFWDSKTYLQDIRSRRSLSRDMSRCTNEFVVNQEESQSILQKRLEIAIEREKAHALALSNQIRSRSYRNQSAGDDRELLERTKWLDRWMATKQWDNTITIPTTRDQVKTLEMDTNISTTTTHHHRSYPATPPSCRATRTFGVRSASPRIPCSSPSSMVQPNYMSATESAKAKARTQSTPRRRPVIPAKKRLCYAEEGSLSLRSPSLKSAYNGCLWGEHESDYSCCYGDGLAGKVSPCSTSDLRWLK >A09p040320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24119209:24127103:-1 gene:A09p040320.1_BraROA transcript:A09p040320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSPVGEALISLHHVELPPHPEKSGVPDLKLKWSVQHWRSKATGPSRNKGEHTRASPSTPLSWSGVTSLSGGGAGGGSGVVAFEESSCTVKLSETVRSKIVDPGQAGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNELSDIEEGACLVFGRNEHFSAQGKIHNKFNLGRFYTKFDQAFADGLMPICIKKYQQKESKSWSYQGAFNNTLISSQNFFSERERPIIFVCRLVVNQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLKATLAGLLSFFLSSSHIFIILFSFWIRAFWLESLLKRNPGGVVEEKPCWLKRNPALGQLRRIHFKNLFIFLSLFFFPQKMFGLLKKSKPQQDVYFPFKTVFENEQLIFDNKQFASNGFDFVQKQRKRQNRCDDEKWVRSGDRPFTKAKRSNRYVSDQNKLQTYASLEKMLHKAIHVVRQLKKKGNNNTSSASKQQSNFSSLSNSDLKTNVLSSDKSKAVKPTSKAHSTRCFKCHRIGHYANKCQNQKPLVTLENEKVETEPEKEDLLPIFDDCAYEPKEGSGGEQNCGHQEEPSSIHKPDQTQDLRTNLFEERGNDVPWIVDPGQDGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNELSDTEEGACLVFGRNEPFSAQGKIHNKFNLGRFYTKFDQAFADGLLPICIKKYQQKESKSWSYQGAFNNTLISTNHLCVSFGGYQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLNWYQSHFGWFVIILLIIFSYLHHSLFLFLFGSGLFLYSVFWLESLLKRNPGGVVEEKPCWLKRNPALGQLRRIHFKNLFIFLSLFFFPQKMFGLLKKSKPQQDVYFPFKTVFENEQLIFDNKQFASNGFDFVQKQRKRQNRCDDEKWVRSGDRPFTKAKRSNRYVSDQNKLQTYASLEKMLHKAIHVVRQLKKKGNNNTSSASKQQSNFSSLSNSDLKTNVLSSDKSKAVKPTSKAHSTRCFKCHRIGHYANKCQNQKPVVTLENEKVETEPEKEDLLPIFDDCAYEPKEGSGGEQNCDLRTNLFEERGNDVPWIVDPGQDGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNELSDTEEGACLVFGRNEPFSAQRKIHNKFNLGRFYTKFDQAFADGLLPICIKKYQQKESKSWSYQGAFNNTLISSQKWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLNWYQSHFGWFVFILLIIFSYLHHSLFLFLFGSGLFLYSLVIAIKKKSIKEKKKSIKEKKKKKKRNPGGVVEEKPCWLKRNPALGQLRRIHFKNLFIFLSLFFFPQKMFGLLKKSKPQQDVYFPFKTVFENEQLIFDNKQFASNGFDFVQKQRKRQNRCDDEKWVRSGDRPFTKAKRSNRYVSDQNKLQTYASLEKMLHKAIHVVRQLKKKGNNNTSSASKQQSNFSSLSNSDLKTNVLSSDKSKAVKPTSKAHSTRCFKCHRIGHYANKCQNQKPVVTLENEKVETEPEKEDLLPIFDDCAYEPKEGSGGEQNCDLRTNLFEERGNDVPWIVDPGQAGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNELSDIEEGACLVFGRNEHFSAQGKIHNKFNLGRFYTKFDQAFADGLMPICIKKYQQKESKSWSYQGAFNNTLISSQNFFSERERPIIFVCRLVVNQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLK >A02g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1351248:1354266:1 gene:A02g500430.1_BraROA transcript:A02g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKSLGINFGSEYSGSSVTDDIREHDFGYQQQQPPGQNCLMGPPGTRQCMVKDAKEQPIQLKDMFSFREREAEDIYDGIPRLPSMTDLSSGGFTSGVAIKGNELGILAFEVANTIVKSSNLIDSLSKQNIKHLKETVIYSEGVQNLVSNDFDELLRLVASDKRQELQVFSGEVVRFGNRSKDFQWHNLQRYFDKIIKELTPQRQLNEDADLVVRQLMGLVQYTSELYQELQVLDRLEKDYDQKRREEENSASSSKGDGLAILKTELKSQRKVVKSLKKKSLWSRGHEEVMEKLVDIVHFLLLEIHNIFGGADDQPAKKGATDHDRRLGPAGLALHYANIIMQIDTLVARASSITSNARDSLYQSLPPDIKLALRSKIKSFNVDKELSVTQIKDEMERTLHWLVPIAANTTKAHHGFGWVGEWANTGSDFTSKANGGEILRIETLYHASKEKTEIYILGQIIWLQHLVTKAKSEARGGPKLSSIKSRNQQLVSEPLSVPLVTEEEQKMLEEASMRRKRGTPCVSKSHDFDSEYSRVRKCDPLSRSSEYFRGVRRSKSAAVKRFSSGFPFLDFVIDKEKALDVIDRVDVPRDYRALLKEGSLSF >A01p049620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27844383:27846012:1 gene:A01p049620.1_BraROA transcript:A01p049620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMSVPYTLAKRFVSRFSTTIPPRCYLSPTEYEAGVERFGISRQARRERSARILGEPVVRIMENFHRRRNLKGLHDFEHRVNDRFVCSVLDMDADIIAKITFFKWAGRCGNFQPNRSTYMVFLHCLEEEARKLDHDSDATESVYIALLGLHFKFCTVEKTFDLLEEIKMKQTGTFSTFAELIHEFLKVGNVEEAYGLYENIRRDWLRCPHLVFLHKLINILSKIGRVEVLSKVFRVWQYIDAYDALIKTLFESNAPDSEVMYLFDRMKADGVSPTKYTYSVLIDGYCQRNRVDKALLLLEEMDEGGLPPFPPVYCSFINTLGKASELSKEDKENLRNVMIKHFGKHGKLREAVVVDLLKDQGSGFDVNALVSGMVKAGMISEANLLLRKMEENGFILDVNSHNIILNGFAREGVPKLAIDMFEAMKQSGIKPDGINYNTLLGCFLHSGMFKEAARVTREMKDEGFAITDSSILEADGNVDQNLLVGLLIFHLLELASFYSEKYILNGC >A06p033230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17809547:17811564:-1 gene:A06p033230.1_BraROA transcript:A06p033230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STSESLEAVRDGYGEANLSLFDTCGLFFPILRHMCHKFLRLLLALLVRAREEGLLFGLNEIRHLFLMKRNNQNPMTFLMLLVQGVKLYRAPRIVIRTGEKRFFVFKVDQASVGDYDFSRLPRLWAEDIVHSGKSSMSPDLRCLIRVLRRGRSDWSSFDQPRIRAAFQLPDGLGITPTIPGALECEFEPSQEDVKITPRHLVASNRLKRRISWRSSFFTSISASTSKVTSGLPPISIADSDDEDAPGGRESPVSLSPGLQDNFVVTSRKRRRLSNAAVPESSCSGRKPKGWKLVLEGDGPLSACRPPSLVSPGEEEAYAKVVTMSSKVRFADLFYCNDGGPVRALLNESEVKKGKAVVWRLSEELRVAKEETRKKMGEVMLLKDEWQSARRERASFETEATALRTKEMFAELEAGRDRDIRKGSRAAHREAASSFREVLAFLEKRWVDKKKEMSAEIQLHEVIANLDLLNKIKNEGLVVDEEIVRLKEMEKDCEAIASLDAVPDWSVAGDAPQSMDQYMEPPTHGDQDVLNNSTEVRPSDRTNQTNQAVYRIDPRTSEMEFRLEPRSNDRADRTRARLSRPSRHSKDNS >A04p024650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14980210:14982542:1 gene:A04p024650.1_BraROA transcript:A04p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRRNRKYDAVVGDTTILANRSSYVDFTFPYTKSGVGLIVPVEDQVKRDSISFLKPLTWKLWMTSFFFFFLIGFTVWFVEHRINPDFRGPAKYQASTIFWFSFSTMVFAPRERVLSFGARLLVITWTSFIFGKLTEAGFARSSLIPFDTADDCDELLRKGPENGGVSAAFMEVPYMRLFLGQYCTYQVVEEPFSVDGFGFVFPIGSPLVADVSRAILKVAESTKGRDLEHAWFKKKDETCPDPVTNPDPNPSTKSRQLGVDSFWVLFLVAFAMCVFNLGKFSFFFFKKTQVNNLWQEFNQPDTDSYLNRVEKCSCSSSRHMPEPDNNTQEVNQANNQSETTNLTSLSE >A03g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31031563:31032664:-1 gene:A03g509620.1_BraROA transcript:A03g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICLPNPNTAADMNESRLSSSCVAFEKQYGDKEGIEEAIDGKRRTHTYILIIIAVSAMLLLLLTLILYTTVSKSSHNRHNTQQQPPPPPISQIHLTCNATRFPEHCFSSLSKPGLVPQDPKPVQIIHSAISISFETLKSGQSQIKSILDSSAGNENRTNIATICFQILSYSQHRTESSDVAVTSRAIKDARVWMSAALAYQYDCWSECLKLITHSKFSFAKISLLAAQKAPKDKILKNYIENELQLGNIDRCRKLYELLSGLQRIAMLGANTLS >A08g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9196635:9198944:1 gene:A08g505460.1_BraROA transcript:A08g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKDWDVGLLENYVNLDDIPLIRSLAISSTHRRDTFCWNNTRNGSGGEGDGSWTASAQFSGCGWVWMDSGENIQLMGTRNFTRRESALHSEVEALRWERENMLQHLTCQSFRTDCKELIAMIKEPHASPSFATELERIETLHMLPGFQHHSHSTSAQSDFRLFFS >A03p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:190107:193820:1 gene:A03p000050.1_BraROA transcript:A03p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLVPITRAFLASYYDKYPFPPLSDDVSRLYSDMASLIQLLALQSPPSQGEASLIEEANREPPHKIDENMWKNREQMEEILFLLQPSRWPVQLREPCTSEDAELSSTLRHLKDNFDKALTAMISFQSKNSERIFSTVMTYMPQDFRGTLIRQQKERSERNKQAEVDALVSSGGSIRDTYALLWKQQMERRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRERYGPHLYNLTKMVTAIRVSLTLLWERYDTFKLNKNQMNLLSEAVIVYTSEFERFITFISDVFANSPFFISADTAGTLGSRENEEYKEIIVQAGRTYEVSLMVESENSYIAWDFSLVQGKISMDIGFCVEYISASGEKTLILPYHRYEADQGNFSTLMAGNYKLVWDNSYSTFFKKTLRYKVDCIPPVVEPTN >A09p052570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45982405:45983963:1 gene:A09p052570.1_BraROA transcript:A09p052570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G24100) UniProtKB/TrEMBL;Acc:A0A178WKT6] MAETTTTAKTSSKGHVLVLPYPVQGHLNPMVQFAKRLVSKGVKVTIATTTYTASSISTPSVSLEPISDGHDFIPIGVPGVSIDAYSESFKLHGSQTLTRVISKFKSTDSPIDSLVYDSFLPWGLEVARSNSLSAAAFFTNNLTVCSVLRKFASGEFPLPADPASAPYLVRGLPALSYDELPSFVGRHSSSHAEHGRVLLNQFRNDEDADWLFVNGFEGLETQGCEVGESEAMKATLIGPMIPSAYLDGRIKDDKGYGSSLMKPLSEECMEWLDTKLSKSVVFVSFGSFGILFEKQLAEVAKALQESNFNFLWVIKEAHIAKLPEGFVEATKDRALLVSWCNQLEVLAHGSIGCFLTHCGWNSTLEGLSLGVPMVGVPQWSDQMNDAKFVEEVWRVGYRAKEEAGGGVVKSDEVVRCLKGVMEGESSVEIRESSKKWKDLAVKAMSEGGSSDRSINEFVESLGKKH >A05g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4687421:4688032:1 gene:A05g501220.1_BraROA transcript:A05g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEPVNWLSHIWNVKTVPKLKDFLWRVVRKAIPVSSNLEKRGLPSFNCKTCGVHEDDLHVFLTCPIAEEVWRLIPTQHTPSSLLPSTGVLICKVDAAWDSSSGKCGIGGIFS >A01g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9419576:9420184:1 gene:A01g502830.1_BraROA transcript:A01g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNKGLTRQGNGNSEELHCVIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAELQDLLDATRMLVPRTRQGRESDSDLEDLEHAEQLRQIKAVIEEVL >A03p005990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2497655:2498162:-1 gene:A03p005990.1_BraROA transcript:A03p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRYKGGVLITIIFFSIVLSPCSLAHSSSTKSFFWLQEIEDMKAMKKENEIGVVGSANEVEERQVPTGSDPLHHKRIPFTSP >A10g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17984387:17986289:-1 gene:A10g506330.1_BraROA transcript:A10g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCPASSYADFLAGSFPSSSSAGLGSPAAQGTSVPQPQPASIIEDRLLNELLVAPGRELLPKLSPNGEPNTSWFRRRNRNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPAFTNDVRTPFNLQARKQYTSNDKPICLNQDVWDGFKAYWQLDATAHIAATNSVNRRSKRGGKGEAIHNGGAKTREEREIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTASNMLSREEINQLVLENVPIKKGRRYGIGRTSEAISTSSSQLYVSSSSIVQYMERMKTELDEERSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSATQSPDDRCF >A06p052080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27548091:27549975:1 gene:A06p052080.1_BraROA transcript:A06p052080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TWIN LOV 1 [Source:Projected from Arabidopsis thaliana (AT2G02710) UniProtKB/Swiss-Prot;Acc:O64511] MSITQSSASLFTTATEEKEGAFSARYSLWIKEALDELPHSFTITDPFISGHPIVFASPGFLKMTGYSREEVIGRNGRAFQGPKTNRRSIMEIREAIREERPVQVSILNYRKSGSPFWMLFHMIPVFGNDDGRVIHFVAVQVPISGRKMRNGSGCSEMVFGSCRREVCLGSYVHQERALPVECDEQELENWEHCEASESEKLKSVEAVGNVLSVLTRYSEFAGRLVCGKRSCLRVADCLSSSLVISLGRIKQSFVLTNPCLPDMPIVYASDAFLTLTGYKRHEVLGQNCRFLSGVDTDSSVLYEMKECILKGKPCTVQILNYSNRKDKSTFWNLLHISPVRNASGKTAYFVGVQMEASCKDIESKELRPETRQLSVVGAVRVAVRSSFMVTC >A04g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10130929:10131382:1 gene:A04g504700.1_BraROA transcript:A04g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSYGVSIDLWSVGCVFAEILMGKPILKGRTEIEQLHKIYKLCGSPPDSFWRKTWLPHATSFRPQHTYEATLRERCRELPTSGVSLLETLLSMEPYKRGTASSALNSDRGEGRL >A09p061750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50792476:50798224:-1 gene:A09p061750.1_BraROA transcript:A09p061750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFQDWPEPIVRVQSLSQSNLKAIPNRYVKPLSQRPNLPSQNHHNKHNPVTAAISIIDLGGLYTNDITLHAKTLDEISKACKEWGFFQVVNHGMSPQLMDRAKETWRDFFHLPMELKNMHANSPKTYEGYGSRLGVEKGAILDWSDYYYLHYCPLSLKDYTKWPSLSLHRREILEDYCKEMVKLCEYLMSILSRNLGLQEDILQNAFGGKEESGGCLRVNYYPKCPQPELTFGLSPHSDPGGLTILLPDEQVAGLQVRGCDNAWITVEPAPHSLIVNTGDQIQMLTNSIYKSIEHRVIVSPAKERLSLAFFYNPKGNIPIEPLKELVTEDSPALYSSTTYDQYRQFIRFSEDGDNSTSQKRQRTSGDTKGKKKRTATLHDLDAIECPLCFNPLTIPIFQCDNGHIACFTCLHKLKQKCATCSLKTRSRNRAMERVLELLRVPCPNVELGCSGIISFAETSTHLEICAFTKRACPFSSCDFVCFNKDLYEHSVAKHCESSYMFECGKPVFIYPMSGKRVILKEQTTEGEGEGEIVIVECFDTPQGRIFYASCIGPGEEELSYTFKLFSSCSDRLWFESDLERVLEVSDEPPDEHFMLVPSCMCPDYKFYICINRQTEDDDE >A03p071000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22325770:22328610:1 gene:A03p071000.1_BraROA transcript:A03p071000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTRMCLKLLTDMRDTIGLHVKLLLYWVTFFRFFFKLVQELYCLQMRFQRYSYQPPSMSMLTDLTPIGLALEGKDTQSPLGNTYSLVLKQLQFGQRYRRQRQPARAWSLARRADLCFKKHDKAWPIIKVRSKSRAT >SC215g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000071.1:76722:79142:1 gene:SC215g500030.1_BraROA transcript:SC215g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A08p045470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24923654:24925660:1 gene:A08p045470.1_BraROA transcript:A08p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYKINRLRQFYMRKVKYTQTNFHEKLSTIIEEFPRLDQIHPFYGDLLHVLYNKDHYKLALGQVNTARNLISKIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLMPMENISEDDKKLIDEMKAEAMKTAMGASEEAVLLKMSTLTEEGVMSVKNAACERLLDQRVEAKMKSKKINDHLNRFHVAIPKPRDNIERPHSIPQVVLEAKAKEAAEKEKRKTEKDLEEENGGAGVYSASLRKHYILEHDEWKEDIIPEILDGHNVADFLDPDILLRLEELEREEAIRQADGEEEDFEMDGEELTDEQKEQLAKIRNKKAVLIREHRLKKTVAQNRSTVPRKFDKDNKYTTKRMGRELSSLGLDPSAAVDRARSKSRGRKRDRSEDAMDVDDDAQEGNKKMRVRSKSRSMSISRSQSRPPAHEVVPGDGFKDSTQKKAALKISNSSHKKRDKNARRGEADRVIPTLRPKHLFSGKRGKGKTDRR >A05g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4802009:4804566:1 gene:A05g501280.1_BraROA transcript:A05g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKAPVSSDSDEKVVFFNDVSLGPHESQLRFRLIHFWEARNPVKKTVIGLEMLLIDEQGTVVQGFIPPGRIKKFLPDMKRGSVYKLINFYGSKNKPVYRVSDHVATVSFTWNSELIPLHDIPIHFAEDRFRFHSHEDFEANCDLRGDLYDVLGHMKLVNGQTLTERPTLDELEIAATRHVLVHVQSHDGHVMKLYLWDQAATEFCQKFKTFENTPTVILVTTVNPKRLGGTLALSSMSSTRVFMDNDVQPTIEYSTWYVLMLGCNPDTANQVIAEVVTKRETLSIADIFSYIKQDSAKDAFFECTATIDDVVHGSAWYYIACSGCHSKATKGPTSMVCTNTKCEKVNTTGVAEYRAKISVYDNSEQAVFVLLGDAGRSLTGKHASELLSSYFEANGDKGAEDEVPVPEALISIIGQTHRFCVKVTDHNFSGNTRAITVTKVLSQDIPPHTEESVGNNNAAASKVTMLTRDEVSDSSKSRGDCANEESKRGYDTADPEKAKRPRCEN >A09g511800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34922093:34923940:-1 gene:A09g511800.1_BraROA transcript:A09g511800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTLGFLTDGKGWLSYWGTCECALLLALADVLEGFVHTLHKVITKLLDIKLKQSPSWIRFTFGYPRGLRTDGMNGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPQYGQIGHLAMVPAKAPFRTYAGLSSTLHGQSVRYGEKHEPRLKCSERSDLQAGSAPCTDPWTTVYQDRIHKELWNN >A06p044990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24158222:24158653:1 gene:A06p044990.1_BraROA transcript:A06p044990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKTVRCCSECKTTKTPMWRGGPSGPKSLCNACGIRLRKQRRSELLSIRIIHSHKAYKKINSSPSSLSFSHGGVSLRKRRILKEEEQAALCLLLLSSNSAFA >A02g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22413383:22415071:-1 gene:A02g508120.1_BraROA transcript:A02g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKNRGNLFHNFFTFLFFSKIFLKKKKTFYLSLHPLPLFSQEKCKDVEQEKDCEKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHHGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFITPFAPFPEDIISTSFTPKRVRKALRFAQPGPALDADTGSDSEPDNQNPVEAPTAVPELSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGVRPELLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFHECRGSVGSLWRT >A09p044170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35955741:35957863:1 gene:A09p044170.1_BraROA transcript:A09p044170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVDKSGEENEPKTPSSSSAPVTSQEPSSAVATPDWSGFQAYSPMPPHGYVASSPQPHPYMWGVQHMIPPYGTPPHPYVAMYPPGGMYAHPSIPPGSYPYSPYAMPSPNGMAEASGNTGSGVEGDGKQAEERRTRLEKTQEHQLMELVLKGIAESGSDGSSEGSDANSQNDSGSRHNGKDGETASDSAQGPPRNGSNQPVNQIVPVTPVSATGVPAPPTNLNMGMDYWSGHGTTVPGVVVDGSQSQTWVQDERELKRQRRKESNRESARRSRLRKQAECDELAQRADVLNGENASLRAEINKLRSQYEELVAENNALKNRFSTVRSLGVNLDGKEQEPETNTSQDVAETTRGSYNNSA >A02p001290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:593054:594103:-1 gene:A02p001290.1_BraROA transcript:A02p001290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKPVKKKLVREIRVNNGGDHIVSLTSTTYGHLDVQQRAETSPKGDDFEPERRSNAQRDDPEIINTWELMEDLEDSTKISPKSRGIFGKSWKTPVKSIVESPKRNGSSKRFRGKENRGEKQSPNQKNILETPKRGVMRLSFPLKSEEITQRRRKSFSPMFDPDLVASYERELSQEKEQIKMVISPPDPLPEKCPPGGENSVVVYITTLRGIRKTFEDCNAVRSILDSHEVRYLERDVSMHSVFKEEIRGIMGTKQVKIPAVFVKGRMIGSVEEVVRLEEEGKLGILLESMPKARISGCCCGCGGMRFVMCGVCNGSCKVRDAGKKDTVKCLECNENGLVVCPMCS >A04p035900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20738610:20742742:1 gene:A04p035900.1_BraROA transcript:A04p035900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDRSRAFAKDVKRIVVKVGTGVVTGKSGRLALGRLGALCEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQSELDGKACAGVGQSSLMAYYETMFDQLDVTAAQLLVNDSSFRDKEFRKQLNETVQSMLDLRVIPIFNENDAISTRRAPYQDSSGIFWDNDSLAALLGLELKADLLIILSDVEGLYTGPPSDPDSKLIHTFIKEKHQDEITFGDKSRLGRGGMTAKVKAAMNAAYAGIPVIITSGYSAENIDKVLRGLRVGTLFHQDARLWAPVTDSNARDMAVAARESSRKLQALSSEDRKQILYGVADALEANEKTIRDENELDVAAAQEAGLEESLVARLVMTPAKIMSLAASVRKLADMEDPIGRVLKKTEVADSLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPETVGGKLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKNTTKIPVLGHADGICHVYIDKACNLDMAKRIVSDAKLDYPAACNAMETLLVHKDLEQNAVLNELIFALQSNGVTLYGGPRASAILNIPEARSFKHEYCSKSCTVEVVDDVHGAIDHIHGHGSAHTDCIVTEDPEVAELFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGNGQVVNGDNGIAYTHQDIPIQA >A06p019220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9366361:9368776:1 gene:A06p019220.1_BraROA transcript:A06p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSKVRKKERKKDREKMIKVHGLFLVAIFSIVYSQLVNGQSRRGCQTRCGNVSIEYPFGISTDCYYAGQDSFNLTCNETTNELFAANLQVINISHSGEMRILNPRSYTCYNTTNVTEGTSYNTTLRSFSLSNKNRFTAVGCNTYAFMTTTYDNKNYSIRCLSICDSIPKENGLCTGEGCCHTSVPKGSNHFIISSSRVDREEGMFSFNPCSYAFLVEEDMFSFSPYRDLQNLRNVTEFPVVLDWSIGNQTCKQVGSTSICGQNSVCLDSTTRTGYNCKCKAGYDGNPYLQEGCRDINECTNSSPIHRHNCSGSSTCVNTMGHFLCSCPSGFDLNATANGCMRQHKPENHRSIIIVLGTTVGFMAILLVVVCIQQRMRHMKNIELRKQFFEQNGGGVLRQRLSGEGPSNVDVKIFTEEGMKEATNGYDESRILGQGGQGTVYKGILPDNSVVAIKKARLGDCSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFVTNGTLFDHLDGSLFNSSLTWEHRLRIAIEVAGTLAYLHSSASIPIIHRDIKTANILLDENLTAKVADFGASKLIPMNKEQLTTMVQGTLGYLDPEYFTTGLLNEKSDVYSFGVVLMELLSGQKALCFERPEYSRHLVSYFSCATKEKRLNEIIDSQVMNEYNRREIQEAALISVECTRLMGEERPKMKEVAAKLEGLKVSETKHQWSDRYTEPRVNEQLSYVETISAQGDMSSSGYASRM >A01p019480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9565167:9568528:1 gene:A01p019480.1_BraROA transcript:A01p019480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-1 [Source:Projected from Arabidopsis thaliana (AT4G26700) UniProtKB/Swiss-Prot;Acc:Q7G188] MSGFVGVVVSDPWLQSQFTQVELRTLNSKFVSLKNSSGNIAIEDLPPLLSKFKAISSTFKEDEIREILQEQASDTSSDVEFEEFLKIYLNLQGKAAEKAGGHSSSFLKASTTTLLHTINQSEKGSFVQHINRHLGDDPFLKQFLPLDPDSNQLYELVKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAVGCTVVNIGTQDLAEGRPHLVLGLISQLIKIQLLADLNLKKTPQLVELLEDSDDVEELLRLPPEKVLLKWMNFHLKKGGYKKTVSNFSSDLKDAQAYAYLLNVLAPEHCDPATLDAKDPLERAELVLCHAERMNCKRYLTAEEIVEGSPNLNLAFVAQIFHERNGLSTDGKYSFAEMMTEDVQTCRDERCYRLWINSLGIESYVNNVFEDVRNGWILLEVLDKITPGSVNWKHASKPPIKMPFRKVENCNQVVKIGKELNFSLVNVAGNDIVQGNKKLILGLLWQLMRFHMLQLLKSLRSRTRGKDMTDADILSWANRKVRTMGRKSQIESFKDKSLSNGSFFLDLLWAVEPRVVNWNLVTKGETDEEKRLNATYIVSVARKLGCSVFLLPEDIVEVNQKMILILTASIMYWSLQKRSTESSDSSSSQSTTTTCTTTSTDASPAPSVTGEDEVSSLSGEVSSLAVDDNEADAVSDITIVSEETPNE >A06p035290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19098022:19098775:1 gene:A06p035290.1_BraROA transcript:A06p035290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FLX4 [Source:Projected from Arabidopsis thaliana (AT5G61920) UniProtKB/TrEMBL;Acc:A0A178USJ6] MSSRERLGSSKHYSRGMSSSSSRHHETISSASDHRVSHSDVLENKIAARAAEIDRLSSDNRKLAASYVALKEDLALADREVQGLRAHIVKTETDGELQIRGALEKIAKLEGIVNNRDNIRRELQSAHIEAHTLAREREELAAQVKAAVKELKKVCLESEGLESSVQELERLKEEHQRLREEFDAEKSRNVEKLEQLKEMESNIIGAVKAIEKLRSEIATARSRA >A09g513300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:40538622:40540196:1 gene:A09g513300.1_BraROA transcript:A09g513300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRKPGVLMLAVSTKLGRLAVRGRGTFAATKKSATLPVTTAHSVAGDVQEEKSSPDLAGEPDSLGDIQGLTEAPSPYAVEGSSSSTKTISDHQPVHLTKDEDPVSVSPPSAYVAPTLSARIQKSTLLQELGTPTSHVSGAPFVLIPDENIESAKEEFKEFVFARFPGDVPSMGRIIGIVNAIWARSGPRIFVHKIGEGTYLLKVTNERTREALLSRQVWMIKGCPMFVAAWSPEFTPEQPQLTSAVVPVELRGVPYLLFNQQSLCRIATAVGKPVSLAPETERKENFEVAKVWVKVNLLNPLPDRIVSGFSSGREVEISVSYPWLPDKCTNCGKFGHRQHLCPASGSKWRPIVPPAARKDASPSSRSMSRESKGRKRSRPGRSARARRRDRSRGSDASVAVSTRPSSPQSVLPCVELECQHVESNDAPIAPNESTDDPQLSMDAESEESSENSTKEPAAERSCIKESSPKQVVSLDRNLGFSTVHVYHEDDGSASSSDVAGASNDPFFLVLNRKGGRKATKA >A07p021840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12842977:12848304:-1 gene:A07p021840.1_BraROA transcript:A07p021840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSLYGHPNESCELNLPADGAAATAPHHRHLRLRQDDIARFSRKSNWIEGLTVELGAEAMMFEALEKQWSLRKKKDLSPLPEADTGGVTVIESSTPIAETASSKTNSALQPEDATTRACSIQYETSISLLGDKDGGVIVTESSTPIAEAASSKTNNGSQTEHIQKPDDESTKPVTLRLRRLGQVRADHRRVREAAEVVSTEEEETIEKEEEEDVEALEERRRRIREKNLKRTREEADLMLPLEDEDEEEVQEEEESEYETDSEYEMFGFKMIKPVFVPKAERDTIEERERMEAEEEALEELAKKKLEMRKIETKAIVVEEVRKDEERRKNAILEEDANLGDVETDDETNEAEEYEAWKTRELGKIKREKEARGAILREKEEVDKLRNMTEEERKEWERDNNPKPSSSEKPKKKWKFMQRYYHKGAYFQEQGSDETDVIFQRDYSAPTGVDKLDKSVLREVMQVKKFGRSGGTKWTHLVKEDTTYGGKNKKDETKDWNDPWVSNVFLRQKYNKRMAAMDAPIARPKGSKRMKA >A08p043470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24188645:24191680:-1 gene:A08p043470.1_BraROA transcript:A08p043470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNLQSHPTAPPHLFTSIDMGTNSFKLLIVHADPSTRSFVPVERLKEPVVLSRESPTSISPQSQSRAIQSLRRFKSLILSHNVPLNQIRCVATEALRRAENQKHFVETALDDVGIQIDVLSGEEEARLVYLGVLQFLPVFERSVLCIDIGGGSTEFVIGKRGEVKLAVSLKLGHVNLTQMCVGLVEMREYIRGVIDESSLGERLREFEGFEVVVGSSGTIRAIENAVFSGYGSDLCHLEEGYKRDWRFGRRELSGVVERLCSEGDEGLIRREGFFGRRAEFIVAGAVLLEEIFEALGIEEMEVSEYALAEGVIADSLGKAFGGLYDLNANARWRSVMRLATRLNGKKRMNHAVHCANIAKEMFVGLRKCNEFNVVLDDKDLEYLEAACFLHNIGIITGKKGYHKQSYHIIKNGDHLYSYTAEEVELIALLTRYQRKKFPKLDRAPFKNFAEKAKRKFIIMCLIIRLSVLLQRSESMDLQEVEFLESTNSFKLVLKQQNQESLVIGSQDQAEEKSDALHMEQEVEHFKRFSCNEMSGYQQVPIIALEAVTAKKSENDNNFSLISYSRRKWWLFFLPENQSDGFLSSTVVLKC >A09g517610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52750826:52753591:1 gene:A09g517610.1_BraROA transcript:A09g517610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRGLSGVNRIRGGGGGGGGGSRSAFILLVFFCVFAPLVFFVGRGVYIDSSNDYSNDSVKQDLDWRERLAMKSLRSLFSKEASVLDVITASTADLGPFSLDSFKKNNLSASYREVDTSVRNSQNQTTSSALNAKGDITSKGGSHQKVETPAKFYRRQLREKRREMRANELVKRNVDTILKLENAAIERSKSVDSAVLGKYSIWRRENENDNSDSNIRLMRDQVIMARVYCGIAKLKNKTELLQELQARIKDSQRVLAEATTDADLPRRSLKKQSTFLAQLAAKTIPNPIHCLSMRLTIDYYLLSPEKRKFPRRENRENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFAAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADHPSSGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLNKILFLDDDIIVQKDLTPLWEVNLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFNPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNENRTLWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYNPSIAKKDIQNAAVVHYNGNMKPWLELAMSKYRPYWTKYIKFDHPYLRRCNLHE >A05g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14940828:14943432:1 gene:A05g505410.1_BraROA transcript:A05g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSRFDIGRYELVRIDRCSLVRLFRHENIDRCSMSSSETNARNRELRSKRRFDETSSSTNPQPLMHARRGISPQPAEHVPELRTHRHRLSPTSRTYQTSLCTTRETFRGSWWTLFRPSGLECLVGAGEPHERRHQHQQQHAGTLLQRTMRRLMRTPTSPHISISSPPIMNLANIRQGTSSLTPSTCKNFCASPSIDMERIISIDSRRVTSIDMEYIDRCVVRIDNTIDAEVDQPNYKAIDAKVKGIIKLTFNTNLTSLLVLGLGIHGIGFFRQVWKTPTKEFKTGLGGDNLQGSLLQRTLGYRSKRSEQSLVATTIKF >A02p030660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15641752:15643789:-1 gene:A02p030660.1_BraROA transcript:A02p030660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYDDESLKQEVIYLHSLWHQGPPTRNPIHDPVQRSRPNYIPPADFQLLSRYGANPIHPQPPLSNKRSRPGSDREWPVKELPRYPPTGWPEAKPCKKARPLSDSEKAKLAVSQIQRDTHRACREFFGKRAATSGEESDADDGGGGGGGDEEFQFLSRLFEENAKLKESYEKNTVHGEVWCLVCGGSGEKSVRKFKNCLALVQHSLAIHKTMKNQHRALAQVVCNVLGWDVNNPVGSSQKDSQTLGVEAAVEGATELPPDLKKLQEKQLVMSADEQAKAVVLQMQQNASEALKGISVKDTTGSVENGDEQVSEELESISRLFSENVELKSYYEKNSEGGHFVCLVCCAATDKKMLKRFKHCHGLVLHSTKTPKIAIRAHKAFARFVCGLLGWEFDHLPRRIVKGGAPLVESSANQNNEKPSSMIEEHMNEDEVDFPEEDNEPCVGK >A09g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13300641:13302214:1 gene:A09g504300.1_BraROA transcript:A09g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLSKSLYLTYSDACISQSALATDSAAATLTLSLRLRRFASAAASPTTPLCVYSCARGSRSPPVLHYPMRLQISFPFPQLGLDGSYTRGIVIDTDLGKLRSALQEIVNPYENAIFVIGKTLAPSDEHNFISCFGFFDCEFVSQNNFIFNFDSLCSFFIIIQLLPMARKCSVCTVTILPSHGFEDVLPCYRGFTPNFLLSAHMPIVLVSVGDGPWADMRKMGDLIPKRVDLSGIISSPISVPFVSFFDLDLLSAKFLNFTEIMREGPSELAKERELSLTDLICDSDEEWIRGGADEDVRHFCIFVFNTLKNLQA >A01g510730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29714502:29719301:1 gene:A01g510730.1_BraROA transcript:A01g510730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVQKQVELKMNCLNELLTKEMDKSKLLENQLADNLKKVRMLTTGTTALDHLLTIGQCPSSNWGLGFQGATSKSAEETVFVKGSSNEKDIQTTTKVQINNQKGENLKMTAATRRGNGCHFCGKRGHNVRYCFFRKNQYQRAWRMNLCFMEPSLYGHVWIAKKDLYPNYKQKALTVAHSEKSETRTDLSSSVTSLSLSLTGAIDLSH >A06p044720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24005087:24005640:1 gene:A06p044720.1_BraROA transcript:A06p044720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 2 [Source:Projected from Arabidopsis thaliana (AT5G26690) UniProtKB/Swiss-Prot;Acc:Q8GWS3] MVAKQKVEMKVDINCGKCKNAIMQAVAEIEGVNQVVLDEEKSLLTVVGTMDPICVAEKLRKIKQKPVVVNIGPPKPPEAKTEPKPVCCKPCPPYCPPTYCPPPYCPPYYNNNCDMVTVSTYSNGSGCTIV >A05g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1516029:1520058:-1 gene:A05g500380.1_BraROA transcript:A05g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSHKDDHSSSGFWSSDVDGVSYKQLDKFWSELSPRARQELLRIDRQALFEQARKSMCCSRCNSLLLECFLQIVSQGSSKSSPKDQTFLSRNFCNTSALSQWGGLAAARDGSLTVLDCYLYAKSFKVLQNVFESARVRERERELLYPDACGGDGRVWISQGYGKGYGTRDSCALHTTWLSCETLVDFWSALEDESRQSLFTMKEEDFIDRLTSRFDCKKFCRDCRKNVIREFKSLKELKRMQRKPQCTEWFCAADTAFQYQVGSNSVRADWSQHFTENAGYHQFEWAIGTEKGETDILEFKRVGSNRSAQASGLDLHGLKECYITLRAFKKNGRSSEISVKAHALGCQQCVHSRLVVGDGFVTIKRGKCIRMFFEHAEEVEEEENEVAMDKDGNELDGECFRPQKHAKSPELAREFLLDAAMVIFKEQVEKAFRDGTARQNAHIIFICLSSKLLEQRVHVACKEIITLEKQNKLLEEEEKEKREEEERKERKRTKEREKKLRRKERLKEKEQKNPKFIDKEREKRAEEGSMNLDEESNNTINCEDTGIETGDVELSSPGTPDDQDGECLDGCITSTAETHYSDSTDKEIIDHENGCCTNDSPGPVHQTERLWIERSSRHPNGSNKNLRVKASKPGGKPNSIRSHQGFQCSDKRNGERYDDHSCSYKPSNGYWEKAESNISAARGMPVTVRKVLDSTQVKHPRNSSHSDAEEVVDVSFAVKLADTQSECNASEKLGSDCIRFSGNYINPIEEDKKMEVHLISKNDDMYSKDPIMSRSSSSDNCSSCLSEGDSNTSSSNSRNTVSSPMSDLEEKLMDNEMHDCHEKMIEKEPEKSTDERDLLRIKKMSNHPAENEESKLSETPSTVLSQSLDNTVPSVITGSYLSQPQSMMFPQMLNQSIPLPVFKSPATMGYYHQAPVSWSAAPANGLMPFPHPNHYVYAGTLGYNLNGDSPLCLQYGTPLNHSATPFYNSGPAPLYHPYAEVNTVEQVQTLEPLEHSYLKEAADERKINRMSPVKTPSRRGQETDSGEDFSLFHFGGPVALSTASRSNPANPKDGVLGDFSLQFSGDHVFGDINKKKKERTVGEEYNLFAESNCLRFSLF >A04p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2728067:2729641:-1 gene:A04p005230.1_BraROA transcript:A04p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELAGVIPIVKTSIGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNCIACNDHVALAHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSYCALSNRGGIVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIGAGMTVNDWTSFCGSDTTATELSVIDSIFKLREAQPSSIVDEMRKSLIDTYV >A02p041060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25919795:25921831:1 gene:A02p041060.1_BraROA transcript:A02p041060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITEDTPQKNTPLEPNANWFSPKCVEAQQLTGHLGVNNCFGAGRESDPGPGGILGEAPRPELREQGLICGACFDAAPGTDYKTSDGTCIRDYIDVTGLVDAHVQFDITLTVLWFGETGRSGKEFVEACKKATGVEIKVDFLPSDPTKILGDLNWTARFTNLQDSLQIHPSSWLCFLLKTEETTTN >A05p019930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9332857:9333573:1 gene:A05p019930.1_BraROA transcript:A05p019930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRIVLKIDMSENEKAIKKAMKLASGASGVRSVGIQGQNDQLVVVGAGIDTAELTRLLRKKVCPTTSIVTVQAAPPPRPQQQQQQPQFHLMEHHNEMAPARRCICEIPNSGFCGFCRLPPYQMVALPYPAPVVYREESDGCRIM >A10g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7637978:7640450:1 gene:A10g502650.1_BraROA transcript:A10g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKPLFALEGTDIEAPFRSSFPDAGGVEARRRRLYSSSSSPLFILCSSSVSYFVVIKLSSLFRTGMCLFSKEIRSPCSSFTSSRLHRNLDDSVTSRSRVVCQEVLKMIFISSNIELQIYGLKLVSLRTYKCTGSTQSVGELTGSVRLSPVATIPRLTIATAPPLTVDAELTRSGRLSSTASPSPPTETTSPPWVYRLPYLERVTISRVLVPPPHCVFASPNRKEAPRIHLARELDCPDGIKPPPPDAQRPSPNADSRSIKFFKFVDSSALSSSSIIFRVTVKVKAIPVSDLSTGLRFSLGFRESYGYRYGNIRVLPLSLTSAPIPPLSISFNYLNRSLFLLWNEDVVLSLMLFLPQFEDVAGSVGFFMKLYLLQYEDITLWCTSFLPKYEVIWTFAFVVLVSIISGLLSWQWWSSSQLSDFIKHGFVVSVFVAVRSPAVHVKILSTDLVNGMWFKAFKFGGFGWSIYGKGEARDSQGSSISLSAGSSLANEAGKMIKALQSAKTCRLSSLQLILESIVLSSAMRSWLDMIKITGLLFRNLVTLFTPLSCTFNQCAATCFAVTFTMSVVSKLCSLITQF >A06p012220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5591644:5592726:1 gene:A06p012220.1_BraROA transcript:A06p012220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSADRTTFKRHSSLSTLVAHFFGILAVILMLIWLLHYREGIEYGSDNPLKVLNVHPFLMYCGFLFLVGQAMMMYKTAYASHQVQKMVHGGLHLIGLVLGIVGICAAFRFHDKLNLKNMVSLHSWIGLTTFILLGLQWLLGAFTFLAPQSSSGTRTRMMPSHVLGGRALLYMGIVAALTGLAQRATMLGQSTNAESRLINFTGLAILLFGVSVDFSVALGRYN >A07p013910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7008470:7011881:1 gene:A07p013910.1_BraROA transcript:A07p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGQEEEEEEEMIMTVAATTKPAWLEGLIAETFFSSCGIHESRRKSEKNVFCLLCCLSVCPHCLPSHRSHPLLQVRRYVYHNVVRLSDLEKLIDCSYVQPYTINGAKVIFINQRPQSRAKVSSNGCFTCDRILQEPFHFCSLSCKVDYLIYQGDDLSRILYRIDESDFTFASLRMDGHDQFGEISTMVDDADDIMVISDQSEQGNNSNKKEKKKKKKKESNYFPGMVLSLGSRRKGAPHRAPFS >A08g506200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10614918:10615700:1 gene:A08g506200.1_BraROA transcript:A08g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSDSEYFMEVVRNKYGSRRVQKLLGVSVDVDALFYDAILQRFFDIMTDKYASYVAIRAVVVFDQVKKHVMYKHVLHYALDIARYQYGCVALNEVIIDADDPLYRNRLLDVVARNALFLSNDLWGNFVVQHVLKLYDLRCTHNVAVSLRGHCVDLSFKKYGSYIVEKLLEAEVSMGMVVVELLKCDGDRLMRLARSEIGNFVVLKALEVTQKMNRVDLFWDLVQKLMPLRHLLLRSHGSNIANILESCSIANRCSN >A06p036660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19859309:19860450:-1 gene:A06p036660.1_BraROA transcript:A06p036660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSPAAITAHPPLSPESCKLPLSVISLPQITRRYLFKTLSLCLAAPSLSVAPVHARGLFQMPPLRLSNRYYLVRAGESDYESLGIINTNPVAKTSVDSGLSEKGKKQTVKAALQLKSMGACDRNCWLWPSITQRAYQAAEIIASINGISRSYIVPEYSFLDARGLGAYDGKKLDTISEVYALDSISMKTKPPPISDGTPNESVSDVFVRVTQLMSILETQYSEDTVVIVSPDSDNLSILQAGVQGLDLRRHSELYFGPGEVRLLDADSIPVYKQPASAVYKCTNPPNCD >A02p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2485133:2487344:1 gene:A02p005770.1_BraROA transcript:A02p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRRFSHVFDFSTIPKAPRSTRRKVLSSKNDGVDDIRTTAIDLLASLAVKLLEESESSSTSSTNAFVEGKGNIIKQEDVEDDDVKPCESELASKSPPSDITSETSLEKTRVSDCERGLGLKPCGNKKEDCDDITEETGVDIEKREVKTPPGTDGVLNQSKLVCRDDDDENYCKYYRFSDRCRRFNRPLTRVGHRRMMTKYGRAVPRDTRTGYYHVLFDASFVASALFLNSTCGYMCLDGCLLYRKRKLCNGYNPWRHETVHRKRRLSDGGLSSESVTNSPQKGESVKFSIKSFRIPELFIEVPDTATVGSLKRTVMEAVAALLGDGIRIGVLVQGKKVRDDSNTLSQTGLSCRENLSNLGFTLEPGHIPLCSESPVISTPTDCTNLSERSLDSRIPFHLQDADHVISSKNCVENNQELVPYQSDISADEPSSDSRALVPVSSLEPDALAIVPLNEKSKRTEVSQRRTRRPFSVAEVEALVAAVEELGTGRWRDVKLRSFDDASHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAHRCWSQHQLKQNGKLQAAATMVGSSM >A02p035600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19432220:19436374:1 gene:A02p035600.1_BraROA transcript:A02p035600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRTILTHTYPYPHEHSRHAIIAVFLGCLFFISSDNMHTLIEKFSLKWWSMYACLLGFFYFFSSPFIGKTIRPNYSNFSRWYIAWILVAALYHLPNFLSMGLDLRMNLSLFLTIYISSIVFLVVFHIIFLALWYVGLVSRVAGRRPEILTILQNCAVLSMACCIFYSHCGNRAILRQKPPGRQYSSLFSFWKREHRHNTWIAQLIRMNKLKDQVCSSWFAPVGSASDYPLLSKWFIYGEIACNGSCPDSSSEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVDKVEKLKKEQMKPNFLDMVPWYSGTSADLFKTVFDLLVSVTVFLGRFDMRMLQAAMTKSCDGTKREELLYDHLANKDNFWFDFMADTGDGGNSSYAVAKLLAQPTLQVSVDGETRPLPRGNVLLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPHWYKNDSIAVDKPELPEGVKDLKDYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHGDIDVDQFKFFAELVKEKVKEDDAVIIITHEPSWLLDWYWSSDTGQNVRHLICDVLNYRCKLRMAGDLHHYMRHSCTQSDAPVHVQHLLVNGCGGAFLHPTHVFSKFSKYYGASYASKAAYPSFHDSSKIALGNILKFRKKNWQFDFIVWNAFVYVMEQSYVSFTGVLVLLITAIIFVPSKISRKKRVVIGVLHVSAHLMAALILMLMLELGIEICIQHNLLANSGYHTLYQWYKSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRTNICKEGMESLSRSGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHIKENKDIEVFTLAVDKVPKDWKLDKDWDAEPKQSGVMSHKREFPSKWCASSAQQDPVATVKVVDYFLIHRSQNQNGEC >A08g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:766225:767657:-1 gene:A08g500330.1_BraROA transcript:A08g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQFDTRQFDQKLNEVLEGQDEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCNGLDVIQQAQSGTGKTATFCSGVLQQLDFTLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRADSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A05p012090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5256019:5256339:-1 gene:A05p012090.1_BraROA transcript:A05p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNCLTCHTLQRSDSDIDIVSLKDSNIKGKNVTSGYEKMVRNRTMLPAVRRVKMGHRRLYSADAVVYRDLDEPKLARSSGLRRDWSFEDLKKQRDEIKIGETIKE >A07p044510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24270691:24274519:-1 gene:A07p044510.1_BraROA transcript:A07p044510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSRDEPSTAPKTDQWYDLVLGSSAKDDSSHKFCTLRYEFKPASIDKKRSGTLHKKKDNRVSVEFQNNQPGKPKVTFEGSSEDYKDNDAVLFFDGEKFRLERLHRAVKQLRHLRTPGESAAAAAGSSSQSAVAPPVEHRVSPPVGRAAKSPHVNRSLHPDMPVEVERIEIGKRENSAEPAIPRNNTPSISPVDDVKNEDGEEEHHEIDLVDIFGSFTPEKDNAEKDNADIGGEYEENLNKQLSITEEEIADVDDDSGGEGEKVFLSVFLLLLLYSLSAFTSKPFPSPIRHGPHHPPAFAYYITGGCGDGDRIFRLLLAVYHPRNLYLLHLGAEATEAERLSLLSRLKTVPAVSAFGNVDVLGKVDRLSDNGASKTASTLHAVSILLKLGRSWNWFIELSALDYPLITQDDLSHVFASVNRSINFIDHTSDLSWKESQRIKPIVVDPALYLARRTQLFTATEKRSPWIVLSRSFLEYCIFGWDNLPRTLLMYFNNVILSEECYFHTVICNSPEFSNTTVNGDLRYMIWDSPPKMEPHFLSVSDYDTMAQSGAAFARQFKKDDPVLDMVDREILKRGRYRVTPGAWCARSHSSWWTDPCSEWGDVNVVKGGPQAKKLDETVTNFLDDLSSETNQCK >A05g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3019987:3024427:1 gene:A05g500830.1_BraROA transcript:A05g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRPQLTLSEPSAMAPSFSPPMSVPPGAVPHASAGSSSAAPAAPAPYVRRREDALLRAPSRRNQPHLHPDKINGALWFGIDPEVHAFIRATWQGNYWGSWASWNFVPPEKKDQWWHAFIQHYYWEDQFHDEIYLKWKKQTQVTVCGRISQKRRDNRQPSYMSDAHWATMVEKYNTEQAKKKSAKAAKSRKSAPVGKKMHKHGAGPRCFLNIQYNMMVDEGLDEPPSYTALARKTHTGKDGSFLDERTEELVLEVEEAVEEMLQDGSPHGDSQTDSTAASNAKRYLLNQEYIKRGKTKKGTIYGLGSAQYKNSSPSVPIPVSLKRNLDVDMRMSGFETTISEVKEDIAGVKEDFTALKTEINAFKTEVTGGMSASQATLNIILQTLQSQASTPASTAQPFQPQAQPQAPVQSHHQPQPQAQSTAPPQHLSTNTHSDLDRWCQELDICKTTYIKKRREYESFSPPDTTRQRSEGFVSAQSGDTDKAKKIRKAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLIFRSLYFLTSVAKMTYPAAPAASAAIATVPYSTFNSLRLGRNINKNGEFMGITILLLDELADLLRRGATHHDASSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVT >A02p001020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:502548:503354:1 gene:A02p001020.1_BraROA transcript:A02p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFHEETRLVLLTKGKRTKRPRSSSPHMNAEAVSGVCSEDRSLEAKEGAGEVEFQGATDEDQDMANCLMLLSQGHKEKSSGDHSSTLKIGFLTDKKPVASLGLGLDGVYQCKTCDKSFHSFQALGGHRASHKKPKRDEKNSASAVETVESAEAVGSFLSLQVTSSDGSKKPEKTHECSICKAEFSSGQALGGHMRRHRGLNVNANATSITKAVLSSSHHQEPIRPKNFLELDLNLPAPEDEPKFVFASKDQMLLFVSASNSLIDCHH >A08g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6436025:6437140:-1 gene:A08g503700.1_BraROA transcript:A08g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRLHTVITINLHVPYLVQSNHWRCRDKQDSCLNQVHGVCFGMRLRQEPSPGFTAGRQYKTADSRIAKGTFSHIGLIHRPGQRNAAPLCSTWDLQLHHHPPCMTNTQRFTLRAPLNPKPQQVVAQTVAYEVSPSSSITVGVRQLDHVEPK >A07p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4001055:4001537:1 gene:A07p002190.1_BraROA transcript:A07p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFTDFEANCDLRGYLHDVVGHLRLVDGHPLHPRPVLCITSSKAHCLIFSYKEISDAMCGFDRILQISEGGFGSVYKAIINDPTATGGDSHSVPLAVAVKRFKR >A09p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34886290:34888960:-1 gene:A09p042700.1_BraROA transcript:A09p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEVRRIVEQVKDLHDSATSFVSSSSHEELSLRKRSSAVEASITRLHSTLLEEDLQRARCMLADGDSSSFLPSKPQGRFVRMFLGPVNVRASRKDIQLKVKEEYNSYRDRTALLFLVFPAILLTLRSYVWGGCLPAFPVQLYEAWLLFLYAGLAMRENILRANGSDIRSWFYVHFHVPSFGSGDITERIGVLMIFSSSDSWLYHHYCAMAMALVSLTWEIKGQPNCVQKQKGVRLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPLLFTLQGFEAYVGLQLLRTALTGVVAEWQVLICGILLVVMAVGNFINTVETLMVKSRFKAKMKRSKSRAELD >A09p053640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46517083:46521740:-1 gene:A09p053640.1_BraROA transcript:A09p053640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSYHRRGSDVSVIIFTVMITLLTCPVIINASSSSEAANTRKLDEVDPIKCSPSCVQNPPPPSPPPPSPPPPACPPPPALPPPPKKVSPNCPPPPPANFLYITGPPGNLYPVDEQFGAAAGKGFTVVKLSGLIGFGLMGTIRSSRKVKKNMNTNDRGLTLLRLVFIFSLLYFPYLAISETPCPYPCYPPPIGGGSSTTQPPPYPPPAFNYPYPAGNLPNYPSPPYIGGGGSGSFHGPPPPDAILPYFPYYFRKPPHQTEQTSSSPLVAVSGKLTVRIIAMGNLVVVGLLCNIW >A09g514540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43438693:43439178:-1 gene:A09g514540.1_BraROA transcript:A09g514540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEFDNTSLFLAEAAMYADEAESSYNRAQPVHYPPQPVQNFCGGHATAEEMLDFQTQLRLLKDQVNETHQNLGKLEKTVCDELSQKKALVTKCFALVVCLLFCVLVLILGGRALKDYKKSI >A01p046030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25943457:25943945:1 gene:A01p046030.1_BraROA transcript:A01p046030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNMYASNVVEKCMEHTDSTERELLIEEIMGKSEEDNHLLAMVKDQYANYVVQKVLEINSNNYLVKRVKPQKKEQKVRRFANLDKCYI >A09p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19083945:19086188:1 gene:A09p031570.1_BraROA transcript:A09p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSTVDFLPQVEKTVSNTEEKTTTTEATTIETPKETVHADDSATAVEVEIKEDEEEAPKVEKETEKTETAPVKEEKLVETRAAVEEKDVKPAAEEEKTVEVVKEEKLVETRAAVEEKDVKPAAEEEKTVEVVKEEKLVETRAAVEEKDVKPAAEKEKTVEVVKEEKLVETRAAVEEKDVKPAAEEEKTVEVVKEEKLVETRAAVEEKDVKPAAEEEKTVEVVKEEKLVETRAAVEEKDVKPAEEEKTVEVKTS >A05p030620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15870724:15872247:1 gene:A05p030620.1_BraROA transcript:A05p030620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGSLPNKKKKKLSKDFRKTLGRLLGKSSVFYTRSLPTKSSESLPKSSAQSGTKRCCEVESKLIYVEE >A05p046810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27737507:27738721:1 gene:A05p046810.1_BraROA transcript:A05p046810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSREERRAESPSGLVLTTDPKPRLRWTAELHERFVDAVTHLGGPDKATPKTIMRVMAVKGLTLYHLKSHLQKFRLGKQPHKEHSHGHSTNIRDTNRVVNRHRSITHAASMLDLQRNVVFSTPHIIGRNMNEMQMEVKRRIEEEVEVERQVNQRIEAQGKYMESMLEKACETQEASLTKDYSTLFFNNTSPLPIPWFEDHFPSSSSMDSTLNLSDISLNFSLQDSRSSITKNRTVCLG >A09p014970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7809652:7812678:-1 gene:A09p014970.1_BraROA transcript:A09p014970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKYLQRKQIEEWRGYYINYKMMKKKVKQYAEQIRGGSQHPRHVLKDFSRMLDTQIEKTVLFMLEQQGLLAGRLATLRETHDAVLEQPDISKIVELRESYRDVGRDLLQLLVFVELNAIGLRKILKKFDKRFGYRFADYYVKTRADHPYSQLQQVFKHVGVGAVVGAISRNLHELQEHEGSFYSIYDQPVLPLQDPVVEAIKTAVDKLTNSTSFLNFLAQHALIMQDDLQTPSEDTIDERTYHFNSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIALFIGNLMYALAYDANSITLLLLGRLCCGLGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPALAGLLQIKFKIYKLTFNQSTLPGWVMAVAWLFYLVWLCISFKEPLRDTEEQEGSNPNETTSMTDREENSRAVEEGLRKPLLITSGLKLEDEDEEDCDESEESAEDSRRPANSFGDAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWTTSSVAIFLACLGLTVLPINILVGSYISNMFEDRQILLTSEIIVFIGILFSFNLFVPYTVPQYVISGLVMFVAAEVLEGVNLSLLSRVMSSRLSKGTYNGGLLSTEAGTLARVVADATITLGGFLGRNHLLNATLLPSLVICIGSIVATCCTYNSLY >A07g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14614023:14614946:-1 gene:A07g506160.1_BraROA transcript:A07g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLSRFLPGFDKKRDGTVGSSDDETSSCNASRRLLYIDFQASYRFPRYFYPLPFSILQSCDTLLMKTFSDTFLGPARLSVLESRKAVLVFHCAFHVHKFGNSSPPFRASILHSLNHPNVLSFYALYEMCAYMRLVLEYCFRVISIHFCSRGRQLRGEDAEHLKEE >A08p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11378280:11381553:1 gene:A08p012240.1_BraROA transcript:A08p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVSVQKAIMLNADQYSHWKARMKQMIRGINEDAWTTMEIDGDRSSNVEDAMGMLVRNLGKMMNSSGRRNQYGRQGGDFGNSRRRERLRCYECEGVGHIKADCPVAQRRELKCSECRGVGHTRRECPNSKKEKGVPLQSPDDSESEEDGKLNLKNENLRLQHDLVQSREQYDDLAEELAIVHEKNESLETEVSKLREVATGEQERARMLERDLAENRKHIRMLNSGSKDLDKILSMGKPAKVNWGLGYPGAEKRREGRRLTTIMTMKTRNERTKIEKGQNGARNEEQETMLRLSSML >A03p005330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2222983:2231183:-1 gene:A03p005330.1_BraROA transcript:A03p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQFLFFFVAAAVFAVNPSSSYRFAIVEPDSGPDPDSDSESLLFHQDYSPPAPPPPPPHGPSVSCSEDLGGVGFLDTTCKIVADLNLTKDVYIAGKGNFIILPGVKFHCSIPGCSIAINVSGNFSLGAESTIVAGTFELSAGNASFADGSAVNTTGLAGSPPAQTSGTPQGIDGAGGGHGGRGACCLTDTKKLAEDVWGGDAYSWSTLDEPWSYGSKGGSTSREIDYGGGGGGRVKMNVTQFLDVNGSLLADGGYGGAKGGGGSGGSVYITAYKMTGIGQISACGGNGYGGGGGGRVSVNIFSRHDDPKIFVHGGYSIGCPDNSGAAGTLYDAVPRSLFVSNYNLTTDTYTLLLEFPFQPLWTNVYIQDKARATCPLLWSRVQVQGQISLLCGGVLSFGLAHYGTSVFELLAEELLMSDSTIKVGPGSILRGPLQNASRDAVTPKLYCDRQDCPYELLHPPEDCNVNASLSFTLQICRVEDIIVEGFIKGSVVHFHRAKTVTLEPSGEISASGMGCRGGVGEGKLLGNGFGSGGGHGGKGGRVCYNNSCVEGGITYGNAELPCELGSGSGDYSADYSSSGGGIVVIGSMEQPLSALSLEGSIRADGESVKRSSRDENGSVVAPGGGSGGTVLLFLRYLILGESSLLSSGGGSGSPAGGGGGGGGRIHFHWSNIPTGDIYQPIASVKGIIHARGGAAVDDGFSGKNGTITGKPCPKGLHGIFCKECPSGTFKNVTGSDRSLCLPCPVDELPSRAVYVPVRGGVSETPCPYRCISERYHMPHCYTALEELIYTFGGPWLFGLLLMGLLILLALVLSVARMKFVGVDDLPGPAPTHHGSQIDHSFPFLESLNEVLETNRAEQSQSHVHRMYFMGPNTFSEPWHLSHIPPEEIKEIVYEAAFNTFVDEINSIAAYQWWEGAIYSILSVVAYPLAWSWQQWRRKLKLQKLREFVRSEYDHSCLRSCRSRALYEGLKLVPPTTWYRLVAGVNAQLRLVRRGRLRSTFHSVLRWLETHANPALETHGIRVDLAWFQTTACGYYQYGLLIHADEDCEPTSPQSVSETSWTEIQPRFGVTVHKENSPAHLRETMLYNQNHTNAEDNTTRRKNYGGIIDLDSLPSLKEKRDMFFLLSFLVHNTKPVGHQDMVGLVISMILLGDFSLVLLTLLQLYSISLVDVLLALSILPLGLLLPFPAGINALFSHGPRRSAGLARVYALWNIMSLVNVFVAFLCGYVHYNSESSASKKIPFQPWNINMGESEWWIFPVGLVVCKIMQSQLINRHVANLEIQDRSLYSKDYQLFWQS >A09g515160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45209490:45209968:-1 gene:A09g515160.1_BraROA transcript:A09g515160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTPLSGSLSISTNKGDLLGSLGLCLQPPRLSLTPTLLAHK >A03g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24219474:24221672:-1 gene:A03g506800.1_BraROA transcript:A03g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPPLQNVIDGAAHPSINNSSSSISTQTTVTPDPRWPSKCRWSSPPASTSTLSTEEKITGSELSSTPSAVSDGEDMRHQIDAVDLELNPKDSSKILTGKKMGESHSGVEQELEANGNITSTEITSSENKIEEIVSAVESTLEVAGVNVTAPEITNQPEDDRNTCVPQLRSPQSTGLPPITTISPEISVDSPIAAFVPSIGAWAKPLAFTPPATPPAPATPSGLDPQYLNNLLDSFWPTLTEGLGPNQKKKNHPSAVREFPRMPVQKIPVPELKEDGTLRFPWAARMDPATRNLYRAAKPTFRLDGTPQVTIPSQVLLLGPENKNEYIIGHFHRCSLPPGGLIHAVVNRLWGRTCRIGCRKLSDSSYMFHIPHDSTRQWVIQRAVCGHLGHKEKRCLLPAVQLNVEKSSTHEDSSAGKTQPEMEKALAQSNGPSDQLVLQAENLESGLVSAEEAREELTEVTLELSLGHLQSPLSVELKNLSTPVNNLTCSKVHIANGSNSLSTSSPLADTQSAPTKAPIMEEIPSPVIVLEASGVSADNSLVPPYSSVYGSPSVTQMACNEQDDERGYMSDATANLNMSRGGRPIKPLQKFQDMEWKTVRGKGKRGRRGRGNYLTSS >A02p048980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30446300:30447381:1 gene:A02p048980.1_BraROA transcript:A02p048980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPKRGFLGFEMSEPKKRSSLQAKKPSKNHKRKTFQINRLPGLSEDLKTMRKLRFVVSDPYATDYSSSEEDETYQTRKRYVCEIDRPFSQATAQSESESSSCHNDGSKAKTSHVVGRSSVRKPVGVRQRKWGKWAAEIRHPITKTRTWLGTYETLEQAADAYAAKKLEFDALSAGVSSVLSENSLSDDSASRFNVDFNFSDLQIPDMGCFVDESLISNACELDFHLPEESDQFLNDMNFIGLQRDGPNNLPEYDFSDLEFDLGLVGNTIDNKFNFFDHLATTTTTPLNIACL >A03p049410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15930810:15931623:1 gene:A03p049410.1_BraROA transcript:A03p049410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDYRRMKLTNEKLRGNKTSLLDHYYTSWKGVCWGFHGRENEMIEDSKYIGKENRERFLILTSFSRRVSNVLSIPSDPLIPCEWFFRPPGSGFLFVNPSSLKNTTPQKLLKLLKPVVE >A06g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10353664:10354861:1 gene:A06g503440.1_BraROA transcript:A06g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKDLTLSNSSSKRLTISLACSIAINLDSGEFLVVKQPLPPPLPDFGGHGRDNQPGDDMLGAGAVKTNPTDRFNSASPEKIPDGDNAGML >A03p041740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17417594:17419762:-1 gene:A03p041740.1_BraROA transcript:A03p041740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKTWILNFAVFFLHIFTSSKALDVTQYGAIGDGVTDDSQAFLKAWEAVCSGTGDGQLIVPAGMTFVLQPLKFQGSCKSTPIVVQILGNMVASSRGNWEGDKDQWILFSDIEGLVVEGNGVINGQGSSWWEHKGSSRPTALKFKSCNNLRLSGLTHVDSAKAHIHINNCNGVSISNLRINAPESSPNTDGIDVAASSNVIIQDCVIATGDDCIAINSGTSNIRVCGIDCGPGHGISIGSLGKDGETASVEDICVQNCNFRGTTNGARIKTWPGGSGYARRITFSGITLDNVENPIIIDQHYNNGGSEKNTDDKSSAVEVSKVVYSNFVGTSKSEYGVDFRCSAAVPCTEIFMKDVRIETPSSGSGQVAQGQCLNVRGVSTLAVPGLECLALSTDMLSSAELPEQTCMLPQPSVQPSTRPMQDPFWVYGSGGKRHRVFDVVLTSFIFVVLYMY >A05p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5974599:5975093:-1 gene:A05p013720.1_BraROA transcript:A05p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDRRMSFYIAKRVRRSPLYPPIVEAPPPPYPPTRTRFQDYYSGYGQLHPPPLRPFRDEYYGEGEYEGCFPFLRT >A06p029870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000164.1:9450:16534:-1 gene:A06p029870.1_BraROA transcript:A06p029870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRQHTQDIRGCPCVSVSTHRTSVAVHLYTYEHAGPCTHHAGPSRGLFGTHRTSVAVRVTLCVRQHTRMFVAIHQYTYQHVGPWTQHADPSRGLFGTHRTSVAIHQYTYQHVSPWTQHVGPWTQHIGPWTQHADPSSHTGRPWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVLIHTGRLWVSASHHTGPPMAVCGVVSHTWTYVAVRVFHQHTHEPWVSSAHTGLPNTGSLWVRQTHRTSWLSMAVRQHTQDVRGCPCVSVCVRQHTQDIRGCPSVHISAHWSLDSSRWPFPWTVRHTRTSVAIHQSTYQHVGPWTQHVGPWTQHVGPWTQHADPSRGLFGTHKMSVVSVSTHMTSVCVRQHTLDVCGCPCVSVCVRQHTQDVRGCPCVSVCIRLCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVHGCPPAHTGHIHGCLWLAVSTHMTSVAVRVCPCVSVSTHRKSVAVHLHTYQHTGLWTHPAGPSCGLFGPEKPQRLNESSELASPLSPSDIQKLNIQRCMQLHGFQGAIPSSCLRDLVPYRRTNSRMCGYKISQVDSNRNSTQLSSKQFITGRPEERRRCFMPYGVASAQGFLFTQSGSLEKHVATSFSTSSSLFPYKKKACPSAHTGRPCVSVSTHRTSVAVRVSVCVRQHTRTSVAIHQYTYQHVGPWTQQVGPWTQHADPSRGLFSTHMTSVAIHQYTYQHVGPWTQHVGPSTPHADPSRGLFGCFWPTWAVCSVHIGSPWCLPAHTGRPWLSVAVRQHTQNIRVCPCVSVCVRQHTQDVSHTRRLWLSISAHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRPWLSVCVRVCPSVSVSTHRTAVAVHQYTYQHVGPWTQHAGPSRGLFGTSLAAWCRKPPPDVCGFPCVSRQTPRTFGGVRQHAQTLLSVRQHTQDVRGCPSVHISARWSFDSARWPFPWTVWVILAHVVCLFSTYRTAVGVRQHTQDLPAVPWTVWVIFGPRGLYVQYTQDVRGCPPAHTGRPWLSVAVRQHTQNVRGCPCVSVSTHRTSVGIRQHTHGVRVCPSAHTGGPWLSMCVRVCNNPLEPVNFWSRKTPLDQFGVGRSDLKIKSTR >A09g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22698079:22711461:1 gene:A09g507940.1_BraROA transcript:A09g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQSGLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVRRHTITPRSDLPERRAEVAPKAWSDHTRATGRSRSHFHHPETREQARSDLPERRAEVAPEAWSDHTRATGRSRSRFHHPETRERTRSDLSQRHSEVAPEAWSDLSERRAEVAACFISARTCDFSRAFWSFHYAPTRRQIILVLRKNHQKPLESHLFESIDQFIIEILCSYLFSVFLYMINLKSTMGLRGIMEISDSKLDHPMSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNNRAWERGLTATPRGRSSWERGFESDTTRSLVFSSSDRDKIASERGLGERSKGRSSWERRYGSDTSESLSDTFKSLPLRASFPERHSKVARDFVDSRREETTRERPLPATPSRRSRPGPGKFPNSKPRFDSSKPTLDHPKPNRTTLNLPQPRDSNSIPLTFLSQTHKLSQTHPHQNPQNFLNSHPNQLLFVKMVKKTKGRLEAERQEAESQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGATESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKISFKVNGREYNMNFKDIGKVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAISFNIPQEYFLGEHGPLDPIQAAPSRRRSVPAQPEPPVADTSEHIYGPPCYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWHGGSGTASGEWLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVRRHTITPRSDLPERRAEVAPKAWSDHTRATGRSRSHFHHPETREQARSDLPERRAEVAPEAWSDHTRATGRSRSRFHHPETRERTRSDLSQRHSEVAPEAWSDLSERRAEVAACFISARTCDFSRAFWSFHYAPTRRQIILVLRKNHQKPLESHLFESIDQFIIEILCSYLFSVFLYMINLKSTMGLRGIMEISDSKLDHPMSNPYIHEFSFPIVKKVSFSYSY >A03p040620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16933781:16936114:1 gene:A03p040620.1_BraROA transcript:A03p040620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSAVLSLGFVSLRPSRLSFSSFNSLRQQTSLLRHQSLVLSRSPLNRVLQASLQSNYANAGDAEAASPGDRSEIVFLGTGTSEGIPRVSCLTNPLQTCSVCTKAAEPGNKNRRLNTSILVRYTRPSGTSNILIDCGKFFYHSALKWFPTFGLRTLDAVVITHSHADAIGGLDDLRDWTNNVQPHIPIYTAMRDFEVMKKTHYYLVDTSVIIPGAAVSELEFKIIHEDQPFMVKDLKIIPLPVWHGSNYRSLGFRFGDVCYISDVSDIPEETYPLLRDCDLLIMDALRPDRSSATHFGLPRALEEVRKIKPKRTLFTGMMHLMDHEKVSEELEKLMDTEGLDVKLSYDGLRVPISI >A02p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6901189:6907500:1 gene:A02p015610.1_BraROA transcript:A02p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DOWNY MILDEW 2 [Source:Projected from Arabidopsis thaliana (AT5G55390) UniProtKB/Swiss-Prot;Acc:F4K3G5] MAFIDDDEEEDSVPQSATNYYFEDDDKEPVSFACLPIQWSDKEKVDGSAAGLYLRGTSDDGLLPLHKLVKAWRFDLSNFEPEISVLTKDNVWIKLVKPRKSYAELIRTVLVTVHALQFLGRNPQASDRSLWEHLSKIFKAYDVKPSQNDLVDHIDVIAEAVKRDGKLSKSKFIHAFLSKKPTKKRLLDEDNPKDDFIVEDDSAVASNEDELDDEEDDDDYFESVCAICDNGGELLCCEGSCLRSFHATRKDGVDSDCDSLGMTKMQVEAIQKYYCPNCEHKIHSCFICKKLGSSDNSNGAAEVFQCVSATCGYFYHPRCVSKRLHSGKIEEAEALERQIIAGEFTCPLHKCSVCKNGEVKTDSDLQFAVCRRCPKSYHRKCLPREISFEDIDDEDIFTRAWDGLLNNRVLIYCLEHEMDEELMTPVRDHVEFPMSEEEKKRKILERERRNILDKARPTFKDLASRDRYGQASVKSFRSSFPSSKDGVSTKKHGLLSSVPDHLRKRKEVDPFRKSNLVRNKSQKTMEYGQSREAGKKKVEVDEARDAEQSKVSLGEGLFNYMQDSNKARSHLVPVDSKHNKTDSVSSKEAGSEIPKLDNDSQRRLLAIMKKAKEEITMDTILDKYKGPSTYRSSAMSVLSKTITMGKVEGSVQAVRTALKKLEEGGSIEDAKAVCEPEVLSQIFKWKDKFKVYLAPFLHGARYSSFGRHFTKPDKLQLIVDRLHWYAEDGDMIVDFCCGANDFSWLMKAKLEETGKKCSYKNYDLFQAKNDFCFEKRDWLTVCKEELPPGRRLIMGLNPPFGLNASLANAFVAKALEFLPKILILIVPPETERLDKKRSSYVCVWEDEEIVSGKSFYLPGSVNNEDQQLEESWNLVSPPLSLWSHPDFAAKHRAIAEMHNHLPRDVGSLQLNKTDEEANASFNPLGASSDGICDDKSTSRDSAFENGENSRLENEDVPMEVDELEVADCVNNILLSEKIEARETAAHVNHQSDHLSRRSHLEKERDTRDYSGRMLGKRTEMEGDRRELNRRSESIEIPEMTSPVRSSSDDIYAVCTSISNVSPQRSHEPVEASLPSISRKKSNLGKDIREPESKVQGIRKPEEMRNRPTSSARSSREDSYTVRPSAANTSEKPYEAFERSYGASLSHFDDGLAARYGFGGDYRMPDPPLIPDQFPLAPAMRNGPNEMYGYRGYSDLSRGGVGPEVYPQQYGGHLGPMLAPPPPNLMDNALQQRYAPHFDEMNYQRMGSFPPQAPMHPSGHNNFYDPQGFPQQPPPPGDFGMSPMGFAPGPNYPYMGRSGGWLND >A08p030120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18460394:18462083:1 gene:A08p030120.1_BraROA transcript:A08p030120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIMEKRSLMMMVFMLMVILAWQNECHGWEAAEDIVRNESEHSKNAAGTVTKMAAKATRDANDKTASWTGWVSDKISTGLGSKKEEAKEAAESAKNYAYDNAGSAYDNAGYAKDFVSDKAGSAYDSAQNAKGYAYEKATDAKDVVYDKAGQAKDMVYDKAGRAKDMASDKTGSAYDKAGQAKDLAYDKASQAKDMIYDTAGSAYDKAGQAKDTAYDKADQAKDMVYDTAGSAYDKAGQAKDMAYDKAGSAYHKADQAKDMVSDKTGSAKDMVYDKAGQAKDMVNKKAAQAEEKAGQAKDMVYDKAAQAKEKAGQAKDMAYNNAGQAKDKAGQAKDMAYDKAGQAKDMAFDKAGQAKDTVYDKADDVIRMATDKSDEAKEIGYGTYKRAKEGSKNAKDVSFEKARDVRETGGQAMDYGKDKATDAYGLGNEAAGKLEEAMYKVGERYGAAKDSTSEKAKEAYESAKEKASEATGEYGAYLRDHSVEL >A06p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17552228:17554653:-1 gene:A06p032860.1_BraROA transcript:A06p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLLQHSVTSRNSVRAAGKDFPKRSLVAAIPRAVHQTIGKHVSFSRHGSGTVQNAAVFLLKVAVLEVVRRVSKAKCPHLWTTLQALQCFCYPPLKWIRRWAPFKDFIKAMQMLSRPLLVLTIAEALTDQSESKQEAPGGTASNASSESQSEPHTSQSLSDIRIEDEAAHPVSSQDWVKQLYEELEKQRLSLPERINEDELHRFYRVSNGDFTSLLSSIKKTIHWRETYRLLSEEELETWSSLVFWHGYDRNQRPCLIVRLGLAFVKLPSHERPRFAQAIISQVEHGVLHLLNPENSELTVLVDCEGLSPLRIPMQMLRSCSSILQDHFPNRLGCLFIIRLPPVVRVISQTFIQILRPTTRKKLRIEGETFHRVLSEYLQTLPSYLGSDCNCKRCSNLSEQDSPQPQTHTRSKIGTSGETEQLDISCWSYDVQTPNLAYEGEPSPNVCSQVLRTAIVFVLMLWLFGALLAGFVDPESRPF >A05p054430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32068578:32069669:-1 gene:A05p054430.1_BraROA transcript:A05p054430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVAKSALEAIREKGLGGFLRMIREEGFLRCLPDGNLLQTKIHNIGATLIGVDKFGNKYYQKLGDTQCGRHRWVEYASKDRYNASQVPAEWHGWLHFITDHTGDELLSQKPKRYGIEHRENFSGHGDAYIYHSKGHTLNPGQKNWTRYQPWVPTKTK >A06g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25101121:25101687:-1 gene:A06g508860.1_BraROA transcript:A06g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADSQARRGGESIEMKESQRLGINLFARIEFFFWETLLLDFAKISFYGFVLDSSDLVSFVDKRICFSVNDLYQQSCDYAMSTLDDFSNTSVPNSSLIHCGRQNGTHQSQRNRFGHLKKASLRFHHL >A09p072010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55375641:55377421:-1 gene:A09p072010.1_BraROA transcript:A09p072010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT2G21270 protein [Source:Projected from Arabidopsis thaliana (AT2G21270) UniProtKB/TrEMBL;Acc:A8MQW3] MFFDGYHYHGTSFEQTYRCYPASFIDKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNAGIERVSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDIVKVRNVTLPKGTYVKLQPHTTDFLDISNPKAILETALRNYSCLTTGDSIMVPYNNKKYFIDIVETKPANGISIIETDCEVDFAPPLDYKEPERPKTAPAAAKGPAKAEEVVPEPEPKFNPFTGSGRRLDGRPLSYEPPAASSSSSSSSKDKQPAVANGNGQASVASSSSARQAQGKLVFGGNANRAPKEAPKVGAGKETKPEEEEKKEEPKFQAFTGKKYSLRG >A01p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19385158:19394873:-1 gene:A01p026720.1_BraROA transcript:A01p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSRSARGVACRMADGSPGNAPTENEPTTNRVQAQREVDQLDSASDSALSNSTRWIHIDLALTNLLVARCSTKHVLVEIRRSSNFVTSVSIGRNFELVFCRVKGGLFVLEPEALIGLIHGFSAYLHFISSKMSVIQSSALDFEEVKADTGVSEVNIPSQQANRYLVEAFSIDVIQFALGFVCVDEYGGIREIVLEITLHSSLDSAGSEQKFLCEVSRLSVLSKILESVERDINITQFSSPAFSESSFISGAPLETSFQQRDVISSGDSTSVSGDFNGLRESSMNRNLEEEFHSRYKNYILEDLRVSASVKKQEITGHQFSQAWEGGCSVLGFDITISLSELQMVLSMLSSFSALPGGENTPASLERPSFNSEPERSFESVVPDGAIVAIQDIHQHMFFTVEDRGDKCVVAGTLHYSLVGERALFRVTYHRHQGWNSSVLWFSLTSLYAKNSKGEPLRLNYHSRSDIVNVSGLYDNAPTLFQASVGESQNYKGDIDWETYRKLVKDSFYLVNKKGDSGVAFVDGVPEFVRKPGNPFKFKVFRESLATRNITSVVPPEINDSETQSVMNSSPPSITVTVDAVSLTIVHELSETRDRFPLFRGSINMSQLTLQILSCKVRIMSTSNVLVLYFDAQTNQWREFIHPVEVSAFYRSTFQTQDLENTIHKVPSHVYCRIGKLEVFVTELSLDMLLFMLGKLEVAGPFSVKTSVILSNCCKIENLSGLDLTCRFNEKQTVTVGRKQTASIFLRHSMNHQSETPPVAAVQLSSGNFVTSSINVSLLEARTLAWRTRIVSLQDSRSHPGPFIVVDVEKGFEDGLSISVSPLTRIHNETSLPMEIRFQRSKQKKDVFASVPLKPGGSIDDSVAAFNAISLSGDLKKALTSLAVGNFSLSFRPKSLEKVSESEKSLASEWSEELEGGKAVRLTGIFDKLSYGVKRALAIKSVNVSLTTTHCSVTSENQSVDKVHFLIHSIGREVSIIRPDASSDVFERRNACIALREQKEIFLLPTVQVSNFLSSEAAIFLTETDQLTSMEKHSIGKHATVQSGKTMDFYANPDMIYFRVTLTATQTSCKPVNSGQWVKKLQKQKNDAESLDVGLDFAGGKYSASLRLSLGKRGVLEAAVFTPYILKNDSDCTLFFYPPDQKPLSGEDLEKLDHIVPPEFGLYLPKKTEGSWFVRSRKLKVILADGHGATEAVLDLDALSGLTEISLGTKDDSGVRYITRFGLSVKSISSKMFVPSRIVTFVPRHLVINESEEAINIRQRYFQEDSVGIITIKSKQRAALRLQEETTLRKERHLFENFIRKHGSDNANPLTFIQFRLNKANWSWSGPLCITSIGCFFLKFRRQSAETGRGAIEFATVNVTEEGSTLAVRFQKPPNTPPPYRIENFLSASLTYYQKDSSEIEVLGPGNGADYAWDDMTLPHKLVVIVDGMIPLREVSLDKVRPWKPLFKATQHRSIASHLMLEKKAKDHKTAYEQLSSMPMVKVGYEVYADGLTRVIRICEVSKSHKGDSVFRSRSKVQFRITHLGIQLLEKVKQNTEEKTVLSYSPILVARLDNFGLQSMFTDQQKFNQLCIEALNVDHKWVGAPFAAMLRQHHSDSSEGNGCLFKCVFVLASSGSSVTQVKHASIVLQPVNLNLDEETLMRVVPFWRSSLSTNTQSSQYYFDNFEIHPIKIIANFVPGSSYSSYNSAQETLRSLLHSVVKVPQIKNMVVELNGVLVTHALITVRELVLRCVKHYSWYAMRAIYIAKGSPLLPPAFASMFDDFASSSLDAFFDPSRGLVNVPGLTVGTFKLLSKFIDNKGLSGTRRYFGDLGKTLRTAGSNVVFAALTEISDSILRGAEMKGLDGLVSGFHHGVLKLAMEPSVIGTALMEGGPDRTIKLDRSPGIDELYIEGYLQAMLDTMYRQEYLRVKVIDDQVFLKNLPPSNSLIDEMIDRVKDFLESRGLLKGDPSSSRLRRRLHGDKEWKIGPTVMTLCEHIFVSFAIRILRQQATKFISGRRPKKEEEADASDTGPSTAIVPLLDDKEKKKMKFRWKAGIGQFVASGIVAYIDGRLCRQIPNPIARRIVSGFLLSFLDKSNDQ >A07g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14355634:14361973:-1 gene:A07g506090.1_BraROA transcript:A07g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSAGTKEAENNAIWWFSRRTVLMIVPDSGATRVTVPTKVDIMVFGEPIGLDLYCLLSHLDPNESLGIKINQHRGQFHDSGLCMSSRHTRRNAQGELVTFTNQELVRLERTNRQQPRQTDTTMGDHANQEQLTAQLQQMQQQMLQMQQTIQAQQDAAEQAALARQEQQAQTNQNKRQSQSNQKAVPANGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESFKRQQETLPGRTDKNPRTEHCNAIEQPFAETAPGAEERAEQSVSSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKDVPEKEHGDKEQGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVVLIYHIFCFSLSFYAILDRRKPLEIFCAFGLVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRLALN >A06p053790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28285127:28289107:-1 gene:A06p053790.1_BraROA transcript:A06p053790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHSYTVDSLSQSQDLASAILAASTPSNISAACSSVESFLHSHTPDQCRHFFSITFPSLICKIFGFGDATAPSPAQSSSPPNGWIDVISAANDSDLSGRVFSLLSPSGILMSSIFAVDKLSLVKYVFPTERLPEYARFMLSSEKDRTALSNLCPFLKGKIEEGASCEVRVNVFEYYMFWLSYYPVCRGNNESSSMNMIPIPKKKMSRLESWTRIKGFPGSSKRDSDQKVECNLYVKILYSYLKAFVPVFYLNAHQPYRSSLLQYGNGFDGSLMARAEFLVSLFVHYWIVENDFSPFPVVTAKSFGVAPPPTCGLEEVVKLLVKYLNLSWVTSGVGSENYIEYGESPRWKTPSSGSSFHVANLSLRPLTSWNTHLQRPLYRYILRSFLFCPIGSSIKNASQVFSIWVAYLEPWMISLDDFSDLEAALNGSIKDAKKEESYESRGCGYTSLWQSYVISNYLYYSSLVMHFIGFAHKFLHTDPETITQMVLKVMSILTSSKDLLVLMKNIDKTFHSKQTGPGNSTVNELSRFVPSIREQLKDWEDGLCESNADGSFLHENWNKDLKLFNDCEDGGQQLLQLFILRAEAELQAVPEKNLSEALKCVDSLKQVVSNFFGGHVIKPIAFSLEMDHPQKNRDELFKPRGARNQMASSVKYKGDWMTRPVSEDEVAWMAKLLINISIWLNERLALDKPVTNNDKKENSESVSYVDVSEVDARNVAGAGDAGRMILRGVVIMCGSVLQLMRKYGVRVNLRVMASKKFLTLLFLYVVFVVLKSDHVMQSQQDTITPFQILGGEAQSEERVIAKPGSMCYMSGSVEMENTYTPEQEVGVLQWILGKSVSSVVLRNTGQNDGFVGIAAPYLARILLIRYRGASPWQRFFIDEEKKVVVVISKDDDKTRNIAYMISGEDGYFIEVYLGEIRHTYYWPLVCSYVPSSTHINHPFC >A05g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20297012:20298582:-1 gene:A05g507160.1_BraROA transcript:A05g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKFLVELSYLSLFKFPPTMCDFKNQTALEHWCDGHTARSMAAPVPEGSPLKVADEATSQAMQFEEGTEQGKEREPQREKTVERLCRPKVVEEVETISEAVTGREVEKQIEKSVEDEEPTVGKSGSCELQGGLRDKANYEVDVSMETEKDWSNVSPGKVGRSVEKHDAQTVISPSRFQLLAEADDDDDDDDDDDDDAQSTTQESVPDQRE >A07p029890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16726358:16727588:-1 gene:A07p029890.1_BraROA transcript:A07p029890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGKRNRSDMGQVPQVLVEEILERLDVKSLVRFTSVSKQWSSMIKSRSFALRHLIRAQSRDPHILVGGDQLRCESNPYPWLRTLELLDPFIMVNYIPKPNRTSPQFTQSCDGLVCIYDFEQVVYVFNPATRWCRFLPPAMIQQINNLSISQVGISRRPFLGFGKDAVTSKYKIVWLYNPLEPDLDGQTTTCEVFDFTTYTWRHVIGSPHLICDDFKSHPVHLDGSINWLTAYLNGTTKIVCFDLHTEVFQVMSEIPIAHADPHRSIMCSLHNRLCVSEMKRNNIQDIWLLNSLKVWEKTYSINLTLIVRLYGEYNGFPISPVTVFDKTRLLYLHPIITQPSLLIQDTIKESDRFAFSGLDYFNHVITFVPSLISI >A03g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14830301:14831577:1 gene:A03g504210.1_BraROA transcript:A03g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRRYSYLHEVHGYLKRRREGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A03g502470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7916622:7917497:-1 gene:A03g502470.1_BraROA transcript:A03g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVYTWIKMRVGNGVECRFWTDNWSLLGSLQDHFASTLASRQGIPPMAATLANLNRSGNWLLPRARSEAMIQVQVALTTMVLQEGIEDSYEWIVEGVASGKYKTSQVYWELKGEEAKVPWTKVVWTKRGIPKHSFLVWLVVLNRCPTRDRLLAWGLSVDSNCLLCNLEPESRDHLFFRCPFSMRVWSEVGRRCSFTSSPSWQDTINRLMALTGDRHANRLILLCWQAVIYFLWRERNQRLHSQRFQSSDMIISSLDRLIRDKILSYRSSSPSLSSSLMQRWFNTLSIPP >A02p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13588262:13589464:1 gene:A02p025870.1_BraROA transcript:A02p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERETSRERKGEEMNKWLGFSLTPHLRISDRVQEEDEENYVVTNQQKALMPLNSDGSPFFTDPLTHHPHPEVPKLEDFFSNSHQIECPPISGNNQTNVNCTTMLNRLSPPGYLLYEQAVSTPQYSNLDTNLSHDYGGSVSVFKSWLGQGTPTFPVSNTYVSGEGSTSNTSNFSHEETDYNTSGSTLLLTLSHGAASDLINELNVSVPVEEPVKVDEKRKSLVGKSQAKEPVETRKSVDSLGQRTSQYRGVTKYVIKLSMP >A07p013270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7550510:7553046:1 gene:A07p013270.1_BraROA transcript:A07p013270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRLLLTPKLNPHFSIRGFLPLTKRWSSSSSSSSSSLEDGRRSLQRLPDSNKDIGQKGMHWYSFGGLLTNLKQKIMGNLPLLNKPPFEEGSVLSKPPMLSSLDVSAVEKVISFQNAAENKNSIPPPSAKEESLVSEDDAYFWEERNHYICRESDEKVLGLLQAERVDKKESPQKKLSNMFINLHPDKEVKPRLRSEALSNSNSRLSTRESSRDEGGPHVPVQKTKNITKENGQKSGELVAIREMNPFGSSRGAVVPVAVSRDSSIKKVIEYLHAPTNNGTKKSYLFCVTEEEEESKGLCSQATLAAAATSANQESTEKSLDALSIREHSPNRVVLRFLHEGFQNSDIVDSFSEFGAVLDFQEVPSLEGCIYKDALVTFETKSAVKKALKKGVVMLKHSSAIVEAVSQEDMVEKIRIPDLIGDPDVPVSLVKEPTRTVKIHPLDQSIGSNQIREALRFCKSDISKIIFGSSTTAAFIEFETEDGKERALAAHSVDVQNKQLFISRIDIPRTTVARISNLSGSMTGDLRKLCMPYGQIKQMFHRGKGVADVHFDISEWPNILTILNSMNGKERNGKKWVVRPATTVIPHEILKVLWEDPQGMRYVKGLIKDMVREIEQPLDSADLSSLCSALED >A02p009360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3955758:3960303:-1 gene:A02p009360.1_BraROA transcript:A02p009360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKLQTRFPATRIKKIMQTDEDVGKIAMAVPLLVSKALELFLQDLCNHTYDVTLSRGAKTLNSVHLKQCVHAFNVFDFLRDIVSKVPDLGGSEGEDRPATKRRKLLDESISNDKDMKVTSQTDFAKHSGGGRGRGRGQGGGRMGNDQSLKFEDDSPEGSKSPSPENWSLSHEAATWKKAASHKSNHTNTELKVRDFDLNVELDENGEFATSPERELEGRPGWPLFGINDMKIDSDQREEVPKALLPVANRPVLSYVLDLLESSNLKDLIVVVEGEDAALKVGGWISSACVDRLHVEVAAVAENVGTAGALRAIAHHLTAKDILIVSGDIVSDVSPGAVAATHRRHDASVTAMLCAEPVSGPSESGVAGGKDKTKKPACYDIIGLDSSKQFLLHIATAAEIKKDTRVKKSVLCAAGKMEIRSDLMDSHIYAFKRSVLQKVLDQKPTFRSLKQDVLPYLVRTQLRSEVFPDEKTVEDNGKNNMQNNEVVLSQILSNASLPSFHQVYESGLNSRKTHKCCVYIADESKYCVRLNSIQAFMDVNRDVIGDANHLSGYTFSSHHNIVHPSAELGSKTTVGPHCMLGEGSQVGDKCSVKRSVIGRHCRIGSNVKVVNSVVMDHATIGDGCSIQGSVICSNAQLQERVALRDCQVEAGYVVYAGGEHKGETFARK >A07g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5322013:5323921:-1 gene:A07g502580.1_BraROA transcript:A07g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAICLHVGFKVDILIFQGKLRNPKLTPISHTTVLSTPPINEMMLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A09p064670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52014780:52020923:-1 gene:A09p064670.1_BraROA transcript:A09p064670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 10 [Source:Projected from Arabidopsis thaliana (AT3G59140) UniProtKB/Swiss-Prot;Acc:Q9LYS2] MSGKFWTLFCGDHHTSNCTLQFLQTCFGITLSFITLCIFLFHKEPPKHNHRFHCLRLVSAFFNGIIGSLDLFLGIWILLEDTNKPLALWLVISVQGFTWLFINLVVCLRGTRIKKPSLRLLSVFSFFYALVSTCSSVRNALSGKEIDFRTVLDVLLLLPGSVLLLLSAYRGYRFEDSVESSLYEPLNVGGYNEKTDFDKVSEFARAGLLSKLSFWWLNPLIKRGNVKDLEEEDIPNLREEERAETCYSLFEENLNDQKRRLGNSCRPSILKVTVLCVWREVVISGCFAFMKIVSVSSGPLLLNAFILVAEGNERFRYEGLVLAVSLFIAKSVESLSQRQWYFRSRLVGLRVRSLLTAAIYKKQLRLNTSSRLIHSGSEIMNYATVDAYRIGEFPYWFHQLWTTSFQLLIALGILFHSVGVATFSALAVIVLTVLCNAPIAKLQNKFQSELMTAQDERLKACNESLVNMKVLKLYAWESHFKKVIEKLRNIELKSLKAVQMRKAYNAVLFWSSPVLVSAATFATCYFLDIPLRASNVFTFVATLRLVQDPVRMIPDVIGVTIQAKVAFSRIATFLEAPELQGGERRRKKRSDGDQSAIVMKSACFSWEEKGSTKPNLRNVSLEVKFGEKVAVCGEVGSGKSTLLAAILDFYGTIAYVSQTAWIQTGTIRENILFGGVMDEQRYRETVKKSCLDKDLELLPDGDQTEIGERGVNLSGGQKQRIQLARALYQDADIYLLDDPFSAVDAHTATSLFKEYVMEALAGKAVLLVTHQVDFLPAFDSVLLMSDGEIIEADTYQELLSRSKDFQELVNAHRETAGSERVFAVENPSKPVKEIKKVPSSYTQSNVLKPSRLIKQEVREKGDTGLKPYIQYLNQNKGYIFFLIASLAQVMFGLGQILQNSWMAANVENPQVTTLKLILVYLLIGLISVLCLLVRSVCVVVMCMRSSTSLFSHLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFGLIFVVASTVNTGFSLVVLAVVTWQVLVVSVPMIYLALRLQKYYFQTAKELMRINGTTKSLVANHLAESVAGAITIRAFDEEERFFKKSLTLIDTNASPFLHSFAANEWLIQRLETVSAIVLASTAFCMVLLPTGTFSSGFIGMALSYGLSLNMGLVYSVQNQCYLANWIISVERLNQYTHLTPEAPEVIEETRPPVNWPVTGRIRYRREAPLVLKGISCVFEGGHKIGIVGRTGSGKTTLISALFRLVEPVGGKIVVDGVDISKIGVHDLRSRFGIIPQDPTLFNGTVRYNLDPLCQHTDAEIWEVLGKCQLKEVVQEKENGLDSLVVEDGSNWSMGQRQLFCLGRAVLRRSRVLVLDEATASIDNATDLILQKTIRREFADCTVITVAHRIPTVMDCTMVLSISDGRIVEYDAPMKLMENEDSLFGKLVKEYWSHYHSADSH >A03p039910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16609156:16613423:1 gene:A03p039910.1_BraROA transcript:A03p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTASSSAAAGSADSSTSRRNSKRPKYSKFTQQELPACKPILTPGWVILTFLVISVIFIPLGVVSLFASQDVVEIVDRYDNDCIPAPARANKVAFIQGAADKTCNRTIVVPKKMKQPIYVYYELENFYQNHRRYVKSRSDAQLRSVKDENQIDACKPEDDVNGKPIVPCGLIAWSLFNDTYVLSKNNQALNVSKKGIAWKSDREHKFGKNVFPKNFQMGNITGGGKLIPDVPLSEQEDLIVWMRTAALPTFRKLYGKIETDLQENDTIHVILKNNYNTYSFDGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALAFTIMYLVKPRRLGDPTYLSWNRVPGGEQHQQRPSAIIFDYLVGAVRRQILYTMHKLSRSNRDKVQQFVAITGASEKNALQTLKASDWQLEAAFDVFYSQPQPRSNADYSDMILADGISVLCTDLQVEPQDIVTLVLSWHMNAATACEFSREEFVGGLQALGVDSIKKLQEKLSFMRSELKDEQKFHEIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEREWPLVNHWCDFLQDRHNKAISKDTWEQLLEFARTVDPSLSNYDAEGAWPYLIDEFVEYLYDKSVVEK >A10p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16337760:16341014:1 gene:A10p025450.1_BraROA transcript:A10p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISVILMGCGGVGRQLLQHIVSFRSLHAKMGVHLRVVGVSDSKSLVVPVDVLKEELDDELLSVVCRIKSAGSPLTTLDALGKGEYRVFNGSESRRETEEIAQLLGKSTGLVVVDCSASSETVEILMKAVDLGCCAVLANKKPLTSTLEHYDKLVLDPRRIRHESTVGAGLPVIASMNRIISSGDPVHSIVGSLSGTLGYVMSELEDGRPLSQVVRAAKTLGYTEPDPRDDLSGMDVARKALILARLLGKRIVMDSIKIESLYPEEMRPGVMTVDDFLQNGIAKLDQNIEERVKRASSNGCVLRYVCMIEGSSVQVGIREVPKDSPLGRLRGSDNIVEIRSRCYKEQPLVIQGAGAGNDTTAAGVLADIIDMQDLFP >A09p007930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4126009:4128255:-1 gene:A09p007930.1_BraROA transcript:A09p007930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN2 [Source:Projected from Arabidopsis thaliana (AT5G61390) UniProtKB/Swiss-Prot;Acc:Q0V842] MVPSEDRSEIAFFDLETTIPFRAGQKHEILEFGSILVCPKKLVELRSYTQLVRPANLNRITDRSVSCNGIKREDVKSQPTFADIADDVYEILHGRVWAGHNILRFDIPRIREAFAEIGRDPPEPKGTIDSLVLLTQKFGRRAGDMKMATLATYFGIGNQTHRSLDDVRMNFEVLKYCATVLFLESSLPDELIENSVTTTTPETSSRRRRNTRTSPVGEQTAESTTAIPILSFVSPAGSQTNPFDMSTLSNEITSETLQPDVPMEEERNQPSKAIITSDQEGFLEPGEISSPSIKAVQVPLYHGSQITKPQLVLGDNKPLQLRWTDLKVRFGISGKFMDNAGRRKLNFVIDLCPSLCNVLQECDSAAKTISVDSGSGSDWNPVVIPVKGFLNSPTARIHIPIGLNGEMDCYAAEIHQRELPGAATTHKLISSNPSAEELESLVNPGTVLDAFLSVEPYDYQQRAGIRLVARKLVIH >A04p000190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:84842:85642:1 gene:A04p000190.1_BraROA transcript:A04p000190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQLPSPPTPNTYYSSDFFTSPKFRFLASKITPFDSIISPTSILEANPSIFSSINPKPTSYLDPTIPKPQRFHPPEPFGLADLVKSRDHSSKPVNKMVLFGSKLRVQIPCADFGTKTGPTSQMRHPHGQLKPCLQTKVLTVSEIDQTEDYTCVISHGPNPTITHIFDNSVFVEEATPPCSVPLPQETKKMDTGFLSNCYTCNKKLDQKQDIYIYRGEKGFCSSECRYQEMLVDQIET >A04p029720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17762119:17763462:1 gene:A04p029720.1_BraROA transcript:A04p029720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGGKDGSKGFVKRVTSTFSIKKNKKNTTTNDPKQLLPRSKSTGSNYEPMRHLPHGKKTLPDVRAANKNRTKSAGVSPQPRREKIDDSSGNKQFIKLRCFDDSDSVWLASDCASTSSLLEERRVSVSFHFSLDEKVVSWLSNAANSSLSLKENHHHHQTKCTSENMRKDGKFCNSSGKYIGAGSANPSSSRLLESNNKTESSSHEEKKVSFSLESDVSPSPANSTVEPSTPARPILEDKGDSKRKHVVEPLFWPLEQKFDWTPEDILKHFTMSPRRKKSIGSKGASTSPRSMRAQLQTRKLDLKEGCKRKLMFNGPAGSNSKPTRIPELKRTVSSSNKKTENSKNQQQPIRNIVKRNKSLPSRLRKSSKISSKVVPVEESGEIGGMAKTPKKLIMTRKSRTFLEDDFALMNDFSIEKAVGLCEFRGREGIDSDFNTDGFLFEDSL >A03p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16543606:16557372:-1 gene:A03p039760.1_BraROA transcript:A03p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sulfate transporter 4.2 [Source:Projected from Arabidopsis thaliana (AT3G12520) UniProtKB/Swiss-Prot;Acc:Q8GYH8] MSFTVSDITTIASSSSSSPAIPVKVIPLRYPDSTSSDDGPRRPSIPLHELFSGWTAKIKSMTFFDWLDTLFPCFVWIRSYRWHQYFKLDLMAGITVGIMLVPQSMSYAKLAGLQPIYDSSFVPVFVYAVFGSSRQLAVGPVALVSLLVSNALGGIVDPSEELYTELAILLALLVGIFECIMGFLRLGWLIRFISHSVISGFTTASAIVIGLSQLKYFLGYNVSRSSKIVPLVESVIAGADQFKWPPFLLGSTILVILLVMKHVGKANKELQFIRAAGPLTGLAFGTTIAKVFHSPSISLVGDIPQGLPKFSLPKSFDHAKLLLPTAALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANIFGSFFSAYPTTGSFSRSAVNSESEAKTGLSGLITGIIIGCSLLFLTPVFKYIPQCALAAIVISAVSGLVDYEGAIFLWRVDKRDFTLWTITSTTTLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNMKQYPEAYTYNGIVIVRVDAPIYFANISYIKDRLREYEVAFDKHTNKGPEVERIYFLILEMSPVTYIDSSAVEALKELYEEYKTRDIQLAISNPNKEVLLTLARSGIVELIGKEWYFVRVHDAVQVCLHYVESRNQTPTNVEQSSSSSLWRRCNAKNSSHTEVEPDSKLNNHKTKRKMKTYLLLLLIFSLLLSYSSGQQCGRQAQGALCPNGLCCSEYGWCGTTEAYCGRGCQSQCTPTPPTPTPPSPTPPRPTPPGPSGDLSGIISRDQFYKMLKHMNDNACPARGFFTYDAFITAAKFFPSFGNTGDLATRKKEIAAFFGQTSHETTGGWTDAPDGANTWGYCFKDEIGKSNPYCDSNNLEWPCAPGQFYYGRGPMMLSWNYNYGQCGRDLGLDLLRRPAIASSDPVIAFETAIWFWMTPQAPKPSCHDVITDQWQPSAADISAGRLPGYGVITNIINGGLECAGRNVAQVEDRISFYTRLREYEVAFDKHTNKGPEVERIYFLILEMSPVTYIDSSSVEAFKELYEEYKTRDIQTYLLLLLIFSLLLSFSSGEQCGRQSIPEGALCPNGLCCSEAGWCGTTEAYCGHGCQSQCNPGPYPPPSTPQCGRQSIPAGALCPNGLCCSEAGWCGTTEAYCGHGCQSQCTPTSTPPAPTPTPPTPTPPSPTPPGPTPPGPSGDLSGIISRDQFYKMLKHMNDNDCHAVGFFTYDAFITAAKSFPSFGNTGDLAMRKKEIAAFFGQTSHETTGGWSGAPDGANTWGYCYKEEIDKSDPHCDSNNLEWPCAPGKFYYGRGPMMLSWNYNYGPCGRDLGLELLKNPDVASSDPVIAFKTAIWFWMTPQAPKPSCHDVITDQWEPSAADISAGRLPGYGVITNIINGGLECAGRDVAKVQDRISFYTRYCGMFGVDPGSNIDCDNQRPFNEGSNVFLDAAI >A05p009480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3978755:3981686:-1 gene:A05p009480.1_BraROA transcript:A05p009480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHMVWFLFLVSFLSMFPAPSESMVRHYKFNVVMKNITRLCSSKPTVTVNGRYPGPTIYAREDDTLLIKVVNHVKYNVSIHWHGVRQVRTGWADGPAYITQCPLQPGQVYTYNYTLTGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPKPDHEKVIVLAEWWKSDTENVINEALKSGLAPNVSDAHMINGHSGPVNNCPSQGYKLSVTNGKTYLLRLVNAALNEELFFKVAGHLFTVVEVDAVYVKPFKTDTVLIAPGQTTNVLLTTSKSAGKYLVTASPFMDSPIAVDNVTATATVHYSGTLSSSPTTLTLPPPQNATTIANNFTNSLRSLNSKKYPALVPTTIDHHLFFTVGLGLNPCPTCKAGNGSRVVASINNVTFTMPKTALLPAHYFNISGVFTTDFPKNPPHVFNYSGGSVTNMATETGTRLYKLPYNATVQLVLQDTGVIAPENHPIHLHGFNFFEVGRGLGNFNAKKDPSNFNLVDPVERNTIGVPSGGWVVIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPKDLPKC >A09p038240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000271.1:962:2030:-1 gene:A09p038240.1_BraROA transcript:A09p038240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTHISMLALPLDCPCTDPWTDPSCWPFPWTVRVLIRGLIRVLNSYQPADHTYQHAGPSREFTGPWTGISLLKLLSPVDHNISIARALPVDCPVLIRVNDPRADTHISMLARPVDDPLYRIGTTDCTMSRQHIRTRPSRGFIRRTNYYPPADPTLSQHLLGHSVDSECDDWMSKSVLLGPSSTSAGSTSYQNKFNFLRSEGKRTDG >A02p053150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32371698:32375266:1 gene:A02p053150.1_BraROA transcript:A02p053150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGDMATTKFASPVLMAKSTESSKRNNKRSIRMFKCRAAGADGGRATVGDDVFSVTTASKYEVDYLGQSTKGDLNLKLDPLHSFGNGQATLEGPIEEVARAEAQAAENLIRELGIQGPFSAQHSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMENLKNMGFPVDGLSFDPELVIRGLMIDKEKGNLVKADRFGYVKRAMHGTKMLSNKAVSDIYGRELVDLRNQSRWEFLNTFFSVSEALAYAQMVDRLDDGSISADLGILDYRGLYKAVAKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNKFLPNDMDWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAETGGLYSGGSAQMVENSLNVHGDEILYVGDHIYTDVSVSKVHLRWRTALICRELEEEYMALIGSRGHREELIELINQKEVVGDLFNQLRLALQRRSKGRPAQTLAATNLADQELTETMQKLLIVMQRLDDKIGLMLESDGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQSLAHDSPLPNAAVEIPDAAMEN >A06p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20244334:20246666:1 gene:A06p037430.1_BraROA transcript:A06p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEAAEEKKMEEKKPEEEKKEGEEKKVEADEKKGEESEKKTQEGEPTKESKDESPPAAPEAPAPPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVMTDCKSGKVVVKGEKADPLKVLDRVQRKTHRQVVLLSPIPPPSQPPEKKAEEEKPKVEEKKVEPPVVVTVVLKVHMHCEACATEIKKRIMRMKGVESAESDLKGSQVTVKGVFEPQKLVDYVYKRTGKQAAIMKVDPPPPPPPEVAAAAAEGEKKEEAKGEEKDGGESKGEEGKEEKATTDEEKKEGDGGKGEGEAAEKGGGGETGGGGEEEEAKVVEVRKIENPYYYYLYQPPRVAVPPMEMPSYAYPHAYPPQLFSDENPNACSIM >A09p012980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6612571:6615124:1 gene:A09p012980.1_BraROA transcript:A09p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIDLMRVVDQSAADVKLAGDYDSSPPIEALGCCGGSVDVKLEEEEENSSCVKKTRPRRGRPPRTVGKASPVTSKRREDEDVCFVCFDGGSLVLCDRRGCPKVYHPACVKRSEAFFRSRSKWNCGWHICTACQKDSFYMCYTCPYSVCKRCVRSSEYVLVRENKGFCGICMKTIMLIENAPEANKEKVQVDFDDQGSWEYLFKIYWVSLKEKLSLSLDDLTKAKNPWKPSKRRSTSQLHGNDDGVMKLSRAKLRKMEAGKLDSKSCLGDRLAPLTGTAAAWATDELLDFVRFMKNGDVSVLSKYDVQTLVLEYVRRNSIQNASQDSEIVCDPRLMRLFGKERVGHLEMLKILDSHFLGQERSPVTVTETMSFQVDDRLNASEQHQEGESQRPTSAETRAALYNKPIDELDLNMIWLYGDPDGKIHGPFSLMNLRQWSSSGHFPPELRIWRLGEQQNRSILLTDALNGQFHKTGLLQNHSIPKQEETATIANVENRSVAVAKLESRVLDFSPNSVSTDQSVISSSNSVVTRGSDASNRSENLFSHTPLDFTHSNEREAVGNKLKIDSPLPGQSPISCSLSLSTFPGNSNCTLPPQQERWNVGHTEADGNTVMKACNQNDAGPSGSGEKQVTATVQSCGQNWNAATPSSASNVWDSNPGLMSFTDNQEIDFLDLFSPTFKFNFASDTTEWQPIVTGPDECDESVSDLLAEVEAMESQKRLPSPTSTYRGPGELTRHSINDSFSPVEGHSPALDVSKGDSMSSTNDLQMHLRTNNPVDF >A07g501130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2318458:2318709:-1 gene:A07g501130.1_BraROA transcript:A07g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNESPSKKKTKDKQKSPTKVSREKRNIHCGRCGTVGHNSRKCSNIGAEIQRPPKKKKTISHDETTSTEGSSQPMLSQVID >A01p016230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8018168:8022749:1 gene:A01p016230.1_BraROA transcript:A01p016230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23940) UniProtKB/Swiss-Prot;Acc:O22993] MASVSTVFSLGFRSPENPKKSICRHSTASKTRWRAPILRRSFTILCELKPGPSPSNPAGDDFVTRVLKENPSQVEPRYRVNGKLYNPKERDGLSKGGEARRGAFEFIKRKTEKEKSEDVNESVYLSDILREYKGKLYVPEQVFGQELSEEEEFEKSVKELPKMSLEDFRKAMENDKVKLLTSKEEASGVSYSGGYRDFIVDLKEIPGVKSLQRTKWSMRLGVGEAQALLKEYSGPQYEVESNMMTSWVGKVTDFPNPVASSISSRVMVELGMVTAVIAASAAVVGGFLASAVFAVTSFAFVTTVYVVWPIVKPFLKLFIGVFVGAIERSWEYIADVLADGGIFSRISDFYTFGGMSSSVEMLKPILLVVMTMVLLVRFTLSRRPKNFRKWDLWQGIAFSQSKAEARVDGSTGVKFGDVAGIDEAVDELQELVKYLKNPDLFDKMGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFVDEIDALATRRQGIFKENSDQSYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLDILKIHASKVKMSDSVDLSSYASNLPGWSGAKLAQLVQEAALVAVRKTHSSILQSDMDDAVDRLTVGPTRIGLELGHEGQCRRATTEVGVAITSHLLLRYEDAKIERCDRISIIPRGQTLSQVVFHRLDDESYMFGRRPQLLHRLQVLLGARAAEEVIYGSDTSKASVDYLSDASWLARKILTIWNLENPMVIHGEPPPWRKRAQFVGPRLDFEGSLYDDYDLVEPPINFNMDDEVAERSEELISQMYNKTVALLKQNQTALLKTVKVLLNQKEISGEAIDFILDHYPPETRLDSLLQEQNPGSLPFVPEHLRRESGEFVLVNHSTDANESV >A09p015450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8051001:8053324:1 gene:A09p015450.1_BraROA transcript:A09p015450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCEISKLCSFCCLSEPPESNRGLTALGVDDRIGEGNDLPQFREFSIETLRNATSGFATENIVSEHGEKAPNVVYKGKLDNQRRIAVKRFNRKAWPDSRQFLEEAKAVGQLRNYRMANLLGCCYEGEERLLVAEFMPNETLAKHLFHWESQPMKWAMRLRVALHIAQALEYCTGKGRSLYHDLNAYRVLFDDDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSYGTLLLDLLSGKHIPPSHALDLIRDRNIQMLIDSCLEGQFSSDDGTELVRLASRCLQYEPRERPNPKSLVTAMIPLQKDLETPSHQLMGIPSSASTTPLSPLGEACLRTDLTAIHEIVEKLGYKDDEGAATELSFQMWTNQMQDSLNFKKKGDVAFRHKDFANASECYSQFIEGGTMVSPTVYARRSLCHLMNDMPQEALNDAMQAQVISPAWHIASYLQAVALSALGQENEAHAALKDGSMLESKRNAL >A10g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20239549:20242049:-1 gene:A10g506880.1_BraROA transcript:A10g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLSTLLRSSSARSAARFGSRNSRLSSPSPARCVSPFGDLLGRVAEYSTSSPAPPSSPPPAKDEAAKKTYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A03p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7870514:7878263:1 gene:A03p019320.1_BraROA transcript:A03p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSKEVDFKNIRQPKSAGASSSSNPSLTRVKVDETLKRRRLSSPSARLFHLLLERMNEVSDQKEKPDGHEGSAARTRPTSVEEIMVRRKRKESLENLEAAQLLGNDLVGKVSDYHESRKGDYDESKEVSREEGVENSLRKKEDAISDSREERQDKSREEDSFGAVQLVGNNLVEKVSDYHESEKWNDRSEKPRHGELVNDSSRNKEEAISSSKDEKLDKEGTVGAATLLGNDLGEKVSDDHASEKGNDKSKKVRHEERGKDSSRKKEDATSSSKNEGPDKLRKDDHVEAAQLLGNDLVEKVSDCRASDKELEKSKKVSCESVKDSSRKKEEATSRSREGRLDKPMKKDPVDKVSDYRASGREHDRSKKLRPEERVKDSSKKKEDTISSAREEKSMKDDPVGAAQLLSNDLVEQVSDYHESRRGYHRSEKLRREEDDKDRLRKKVDAISSCREEKLDKSTKKEEPAGHRKRKAEGEGSRAKEKIVEEHSKDRRIKKEETNSSFREERPDKKMKKEDLIANRKIRVEGEFPTTETKTRTDRDGRGVDTRMKANRERPSEKTTEKQIHPQDAGREQGRNALENSAGSRKRLRSLVVADIPRNENSTKPDSGNKRKNQNGDHMTNRERDVSRRHDPTKVHAVEISERSEKREQPKSDRRDMRERRRSRSRDHGGQERQKRSSPLPKAEKATSRHKRGHEDRSENTVKDRAGKHHFNDNDKKSRRYSSSKSELGGYSPRKRREDASAKAASPSNLPSEKKVAKWDLPPTVTSGMFSNSGFSGLQPATQTAYPTMSEINKEKSQALVEFLTPQDASAALSLDGCSFAGSNLKIRRPKDYVETTSGELEKKEPATNALSDNVEDSSNKIFIGGFPKAISSEMLKEIVGVFGPLKAYRFVINNDLNQRCAFLEYIDGSVTPKACASLNGMKLGGSVITAVCALPDSSSVASDENPPFYGIPEHAKPLLRKPKHILKLKNLVDPEDLPSLSVPEMKEILEDVRLECARFGVIKSINIVKHESKDISVSITDASLNQESKEMNMSVIQEKDEISEKVDDTADNVDSGEVVRSDGSTGADKLCEPCSVTALETISQANKDHDSTEQGNSEKLVGESEAAIPQEEVGSARAQDDAEIPQEEVGSGRAQDEPEIPQEEIGSARAQDEPENPQEVGPARAVKTRWDSGDKIEEEQDPEEVFEPGCIFIEYGRPEATRVAAHSLHGRLYDNKIVKAEYVSKELYKIRFPSG >A02g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6074081:6074392:-1 gene:A02g501930.1_BraROA transcript:A02g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKVVARDEEMVVDTGSMALLSCGLDQWLSWSMCAWILFDVVGRVVDEDLVVSGCVNVVDVTGKVADEVLSGGYENGNRGRRDTGGGD >A02p053710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32644243:32645398:1 gene:A02p053710.1_BraROA transcript:A02p053710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAANKAAVESCHGVLNLLSQLTNDPKSIMFETREAVSKFRRVTSLLSSGSGQRKIKKLNNNYYKLRSLQLPQHLFLESPVSSNNAITGCIPILAPKPLQIVPPSAPSYSEQRQGHPPPMMLNQKMCVDKSFLELKPPSSHQSPYQFIPNHQQGVYSRSNSGLNLKFDGPGGGSCYSPSVSNGSRSFVSSLSMDTSMADYNRNSFHLIGLPQGSDHISQHSRRTSCSGSLKCGGKSKCHCSKKRKLRVRRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERCVDETSMLIVTYEGEHNHSRILSSQSAQT >A02p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18505627:18509533:-1 gene:A02p034130.1_BraROA transcript:A02p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQAKHLIPAVNGLHWRDSLEIHKLLQDNKDFCIYYNNVEKISVEKLAVALPVHLLAVIKAHDRDDKFEYLLSGIRLLHTLCELTSRHSKLDQVLLDDCVLSAMMVDLVINAMVALGGNRKESWKSDNESLVEATMVASTLHLLHGFISPEFVDIVQVLLAHSKVDLFIETAFGAVHNVVRSLEAKLLYRGSDHPKKCPLKSAKFHCQQAEAALQFLHSLCQEKLFSERVAKNKELCGKGGLLMLAKSILSLSVSPGFVKEATTVASMYRIKAKVLSLLHHIFEADSVSFLDELERAGNLHLAQPIASEVLSLLKLGLSDSPNDIASHDYPMGFVQLNAMRLAEVFSDDSNFQRFFTDHFSTILSALFCLSHEEFVSMLCSSALSSREDDATLDYDLFKSAGWVLSVFSSSSLFDVPQFKLNFQNNLTMSSYANQRTSLVIKIMANLHCFAPEVCIEEDRNRFIKAFVSGLRKDPVSMTIQLPNSSYTPVAQRATSVCRNICSLLRHADFLITNGLDVKDLMMFRVFCKQLQPLIRSEFEGSQATVKQRKEPLNLNIERASEEPNVRVEGAATKYNVNENMEIVPRLKESDADACNLETSSLDTRSNRGKSLVEDGDGDGDGDVELAHELFKGSGSGEVKEDEKQGKKRKRSIMSDDQVEMMEKAIVDEPDMRRSAAWIKKCAEKLNQNGPRVTAMQLKNWLNNRRAKIARAMSGKTSKGKK >A03g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7277662:7279170:-1 gene:A03g502260.1_BraROA transcript:A03g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYTLLADLRVGRCSNTAKVHLLRVWEARNINKGGELMSVDMLLIDENADREIVQRVESAKIEMYPMAVTTLLYSHGNAADIFQMYELFIELTIQLRKWVLNDPYTLSTPSRKRCDDVCVRPSVLNAPFQIPTGYFMKICFSDFMYLFFGLIQYFCSFDVEKHILLSLKSTKVFPNVIHKSACKEKPSTPPHPTDGRSSWWCLIYTVPLSDDYITHWKQQH >A01g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:800570:802571:1 gene:A01g500230.1_BraROA transcript:A01g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQTLTFTLIFVVLTLIFFIVTNRTKKRKPKLPPSPPFALPVIGHLRLLKPPLHRVFYSISQSLGGAPIFSLRLGSRLVFVVSSHSIAEECFTKNDVVLANRPNTIASKYVSYDHTTMVTAPYGEHWRNLRRIGAVEIFSAHRLNKFLSIRQDEVRRLIVRLSRNSSYEFAKVEINSMFSDLTFNNIIRMVAGKRYYGDVSEENSEAKLVRQLIADLMSIFGAGNAADYVPILRWVTGFEKRVKELGGRFDEFLQGLVDERRAAKEKGNTMIDHLLSLQETQPGYYTDRTIKGTILSLILAGTDTSAVTLEWALSSLLNHPEKLRKAREEIDCKIGLDRLVEESDISNLPCLQNIVSETLRLYPAGPLMVPHVASEDCKVGGYDMPQGTTLLVNLWAMHRDRQLWDDPETFKPERFEKEGEAHKLMTFGLGRRACPGSGLAQRLVSLTLASLIQCFEWERIGEEEVDMTEAGGATMPKARPLVAMCTARTTLVGKILHESA >A09p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3833139:3834034:1 gene:A09p007260.1_BraROA transcript:A09p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:Projected from Arabidopsis thaliana (AT5G23900) UniProtKB/TrEMBL;Acc:A0A178UBD6] MKHNNEIPGSHFRKHWQNSVKTWFNQPARKTRRRVARQEKAVKIFPRPTSGSLRPVVHGQTIKYNMKVRAGKGFTLEELKAAGIAKKLASTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVVFPRRSRVVKAGDSTSEELANATQMQGEYMPIAREKVAMELVNVTSDMKSFKAYDKIRLERTNKCHAGARAKRAADAEKEEKK >A03p050260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21607678:21611529:-1 gene:A03p050260.1_BraROA transcript:A03p050260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKMSKRARLYESESEEMSEPDDNLEVEGESEDEELSDGEGDSMEGSEEEDDEGDSEESDGGGEKEDVDGESDELDDDNKDAAMEELEKEYQELRSQEQDILKNLKRDKGEDAAKGQAVKNQKALWDKTLELRFLLQKAFSSSNRLPQETVKSSFCSEDEKVSTAYSELITSSKKTLDSLLELQEALFEKNPSVDQQANGTESIKSDAEDSDEWHRVSDMQKRNKAVDKWQRRTQVTTGAAAIKGKLHAFNQNVSEQVASYMRDPSRMIKQMQQSRSTVAVFGTVPEETMEPKQPKQEEKQVEGDPELVEDAEFYQQLLKEFFETIDPASSEAAFYAMKKFQTKKRKVVDRRASKSRKIRYNVHEKIVNFMAPRPAKIPPNTADLLKNLFGLKTRNGLPRDELNDLHVYKAHPEVKFLLHYNLSALPSSVEQESEEERDGWAQDCTRNLERTKCGEGVSYRSCTRPSGWWAMEDAPLERAEEDESIL >A04g502910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6529147:6530208:1 gene:A04g502910.1_BraROA transcript:A04g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKKKRNKKLLNPPPLFPLSTANLSLPHDLLLNCIGRLSRLYYPTLSLVCKSYRSLIASPDLYTTRSLLNRTESCLYVCLQLSPDSNPRWFTLSRRPNRTLARNKKLSDYLLVPVTSPHVTSLRSSSVAVGSDIYEIGGLISGVHSSSVSVLDCRSNSWRQAPNMQVGRSFPSANVIDGKILVKGGLELKDVNSSKWVEVFDPNTKNWTTVSFTCGSKEWQSEDDNGTARRSSSFCLIDDVSYCFDNRKLKWFDDKVGGWKFVTGLEGVPKCLLGFNTSCHVHLADYGGKMVVLWDRHDRSSNCHGRTIWCAVLALERCSSEEISGTVEWSEAVLKVPNSFEFVHVLAAIV >A01g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9489692:9491695:-1 gene:A01g502920.1_BraROA transcript:A01g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATKHCGVEITFLIKKRKRERMSQVQAMERMWWSFCLLLVSGQRSTRKGSKHRSYSESHISAIVFPFRLSSLSLSIDVMLYPRTQESSRRIHKLTKELSVEIQVSFFEEANNAHYNSVSIGYVDEVTSVFIGSCILMGQVVKKIIILILYWCNVLVCYMLGSTVSRGSSSYGTEYCFISLPLAVNFKTRDWIRVIIGVEARKGMLEIIDFTGTCAINYMYIQQQPQPQTTYNLSNASICAFVLTVCVSFNKAFYRILINGLTIALSISKFCFWMVRRYKNRDNWLYQPVDQARPEDWSVQFEVRERQIERYITLNIVCSWVTNGLSLKLKDDLLKLKNPSLESVKTESKYGAYKIYEAKPIFFKESFHLSWVHVILNRYFSKISLSLIL >A06p040100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21679038:21680356:-1 gene:A06p040100.1_BraROA transcript:A06p040100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLGMEEADQLCLAAKSNDFKKVQTLITSGTDVSHFDGDGLTPLMHAAKTGNAEIISALLEAGAPWNALSPSNLSAGDFAMEAGHQEAFDLLLKTGIQSELILGTIARKETKNEYSNQEYLQDRVTFSEDKIMDNESKGVMMAWEKPLMEAHAKAICTNGGSILNVGFGMGLVDTAIQQYHPTKHTIIEAHPDVYKRMIESGWGEKENVRIVFGRWQDVVGELDECGYNGIFFDTYGEYYEDLWEFHQNLPKLLKADGVYSYFNGFCGSNAFFHVVYCNLVTLEIESLGFSTQLIPLPVKDCLGDEVWEGVKQKYWQLDTYYLPVCLFSE >A06p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18108326:18109560:1 gene:A06p033620.1_BraROA transcript:A06p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTFAPGSHTLLAELSLFKPLLPVRVKVISKGRTEGNTIQATLFRDLDASTEMPLKEGHCYEIKNFKLTHALECVQLTKNRYYINLSNSFVILKIDPIHNSNFYCFPNFIDVYCGLVHPKFPIVTSNVAIKCVAYRALAHVFQYLWNSTDANIVLCVLQFWQINWGVDRLKNITNIDGFSKIVFESNDVLEIDAFRLKIVNEEL >A03p059340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25805291:25806778:1 gene:A03p059340.1_BraROA transcript:A03p059340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRAERNKEDFVGGFGFGVVEHSHKDVMLPSHHYHSYSSPASASFCYCSNGVADPIFSASNTSTLGEMFSLGGSNASAAAVSVADPFFALTSSGEMGRSMSGKEGAAFSEAQWQELERQRNIFKYMMASLPVPSELLSPFSRNHTNNHDVTVPRGGSLKLGIASNASNNTADMEPWRCKRTDGKKWRCSRNVVPDQKYCERHAHKSRPRSRKHVETSPHSHHNDARTTKNVASQFATAYPQFYGPPLSQFSAVSTLPPASSSYDHHHSGLRWLMKEGDSIATLNPEIHEAAQLKVGSSRELKRGFEYDLNYIQNDPLVDQRFGALEGLLSPNRQETRRFLVEGEQDEAMGSSLTLSMAGGGGMEEAEGRSQHQWISHEGPSWLCSTTPGGPLAEALCLGVSNNPSTSTTTTSSCSRSSS >A06p019860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9718945:9719350:-1 gene:A06p019860.1_BraROA transcript:A06p019860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKPKIEWNEGRHRFETEDHEAFIEYKMMNNGTVMDLVRTYVPSSKGGLGLASHLCVAAFEHASSRSFSIVPTCSYVSETFLPRNPSWKHLVYTEESKLLKSSI >A09p066030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52546652:52547157:-1 gene:A09p066030.1_BraROA transcript:A09p066030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNDAVSRGESSLFDGSAHWWWAMGSGAQIMWGVRCIRRGYAGDNRLMPVKAFGIASLFVGAIATTSVAFLSASGIHTVQDAIDMGASIRTNLGITPQIPDKHITEADDTS >A09g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19416915:19417479:1 gene:A09g506590.1_BraROA transcript:A09g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDVKGGSITFYRCNSFRHILQEGPVYELNGLIPRSNPTFKFADAAVSIKFTDHTAFVEVTDTTKLILNPEGELQVPQYE >A01g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14562167:14566509:1 gene:A01g504920.1_BraROA transcript:A01g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNENDDALKLPVLDTSSSSRTRPFTFSSPEPLILLPPPSTGGSSDPVGVSSSQPERYPSFAALEHDNSDDNSVLNPHLLRSEKFGVCNDPYCTTCPSYYNRKADQVPTSRVPAIFYSMFHSALYEDAKARARRFATSVNRHLPGIMNPHSIFIQSWTRLFSLSCLLSIFLDPLFVFLTLVKQNNKCIVIDWPMAKAFIIVRSVTDALFSVNILLQFRLAYVSPESMVVGVTWLVDHPVKIARHYFQGNFFLDLFIVMPLPQILISWITPAWLGGSWENNAYSLLQAAVLLQYTLKLYRLLPLLAGKTPIGFILESSSKFAINFLTFMLAGHVVGSCWYLFGLQRVNQCLRDACGNTDRACRELINCGRGSSDVVLAALKYNTSASACFQENGFPYGIYLKAVNLTNQSSLITIHKIHLLSFLGFSANLIRMFYGFDIKSALVGICGSLTLAYCQLSIVYYSPKQISTLAGNQVPSYFIWEVLFTMGIIGLGLLLFAFLIGNMQNFLQTLGQRNLEMTLRQRDAEQWMSHRRFPEGIRKRVREVERFHWAARRGVDEELLFNNMPDDLQRDIRRHLFKFLNKVRIFTLMDESILNAIRERLKHITYISSSVVFSAGDVIEKIVFIVRGEMESIGKDGSVISLSEGDVFGEELLSWCLERAASNTDGTRIWIKRKGLLSYRSVRCVTNVDAFSLSVADLDDVTRLFSRFLGSCKVQGAIRYESPYWRLRAAMEIQVAWRYRRRRLKRLYCSV >A07g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1337305:1338001:1 gene:A07g500680.1_BraROA transcript:A07g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNETLISSLLYIFQKYFLFSRLSSVFSISGQHRCGKRCRLRWTNYLTQFNLQEAQTIIQLRALLGNMWSAIETHLPKRTDNEIKNYWNTPLKKLLVKMRIDPVRLINPKTSLLLVYPKKSTLIHMAQWESARLEAEERLARESKLFHYQRKAPSPSSWIHSIRNRQQKQTKAMHINNNNNNLNL >A08p045990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25123103:25124656:-1 gene:A08p045990.1_BraROA transcript:A08p045990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAAYSLLPSTTASIPKEGRFSASLKETTLTSFSFSNNLKSDKISTLLTIKSLTLFYSVPSQKQRRQNPRFFTGIRAQTVTATPPANEASPEQKKSQRKGTAVITGASSGLGLATAKALADTGKWHVIMACRNFLKAEKAAKSVGMSKEDYTVMHLDLASLESVKQFVDNYRRTERPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKKSDYPSKRMIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGQNSSMIDGGEYDGAKAYKDSKVCNMLTMQELHRRYHEETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLGKSGVYWSWNNNSSSFENQLSKEASDAEKAKKLWEVSEKLVGLA >A09g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23674265:23678014:-1 gene:A09g508440.1_BraROA transcript:A09g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHNMDISESTLRIDDHFLDLVDFETILTGKKDTNILIDLIGQVLDLSDLDTVHCTEGKERKKLDFTLRDINQAITISESGDNKLDKKFVSHKWMQCSEKTLGELFESTELYRFKIHLMVKNDTSESSFMLLDSIAKLIVPQSVEYLLNVKCLIIRLEEDADFPDTITTLIGQTFTFGVYVEKDNATAEGVCYKFAILVTVSQAITISESGDNKLDKKFVSHKWMQCSEKTLGELFESTELYRFKIHLMVKNDTSESSFMLLDSIAKLIVPQSVEYLLNVKCLIIRLEEDADFPDTITTLIGQTFTFGVYVEKDNATAEG >A09g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28116409:28120154:1 gene:A09g509600.1_BraROA transcript:A09g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMKIYVHRAYPGFKATKSRFTLNATRHTQVHIIDHLNNRLYMDFKNIHEIPHMNHRDRNYPIDIMGVFFNTESHLDDLAIPKMVFYIGDNIESRIKCVATGDHAYVFRDGLENMRGRGQVIVALKMWRVWSFFNAGVDATDARVAANNGSTATGYAAGSTCPNWKIDELTAKVDLLLKKNQNQIYVMEETNLEPDTTDAAAETETSEEDQQENQNQNQNQNQNQNQNQNQNQDQNQNGKMFILSQAQNQFQNRQNNPQAPPATASGPPDVLKGMMQQLLQASHIRRMDVQIAQTAETIKRQQGTPPGKTDKNPKDCNAVELRSGRHLSDPVPKKLTAQEKGKQKEKENNLRLKMSTTTIINRNSQQPQNQ >A02g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12443235:12443978:1 gene:A02g503740.1_BraROA transcript:A02g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYSITCLETLFVIKTYFGVNFERMKRVLLVLRKETLISDLNKYMSCTYDPVWINGEHTDLKPAEVRVDELSDTTLELDELRDITLEVSELSDTRDGAGLAAERNGPFSAQRKVHKKFNMGLFLSKFVQPFP >A09g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13395759:13398703:1 gene:A09g504330.1_BraROA transcript:A09g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFVKVFVSLFYKILKRIFQRGLCQNIYKQSNKRVRMAMTSVYRRSLPSPPAVDFSSPEGKKIFNEALQKGTMEGFFKLISYFQTQSEPAYCGLASLSVVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEVVKEKGITFGKLVCLAHCSGAKVEAFRTSQSTIDDFRKFVVTCSVSDNCHMISSYDRGVFKQSCKDESWISIAKYLKEDVPRLVSSHHVDTVEKIVSVVFKSLPSKFNQFIRWVTEIRIAEDVNQHLSTEEKSRLNLKQVVLKEVHETELFKHISKFFSPMDYEDILTYSAAKACCQGAEILSGCSTKEFCCQETCVKCVKGPGEAEGTVVNGVVVRDGNEQRVDLLVPTTQTDCECGPKANYPVGNDVFTVLLLALTPQTWLGIKDQALMHEMKQLVSMACLPTMLQEEVLHLRRQLQLLKRCQENKEEEDFAAPAY >A01p002710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1238109:1241879:-1 gene:A01p002710.1_BraROA transcript:A01p002710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEATSNLPPTQRYAAGALFAIALNQAQINQTQPLGIPAAPDDDDDKECDRSEERRSNCSSGDSVSDDPNLWVHETSGLLRPVFRCLDIDSSAWLGLEETANSSPAKHHIGAFTRLLSEEASEASVEMVEQEMALAKAADAMVHSIRSSLSIDVKKEKHQEYENECREKYAVPEVKSKAVEKDKVKDKEEAEAAAERESLEAGVVIRDGSHNPEVVEDDKSVEDVVLLSHQRKINVLYELLSACLSDKYQEVKKSTRRRKGYDARHRVALRLLATWFNIEWIKVEAIETMVACSAMALQKSAEMKGQDAASSSSTWAKWKRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGAGGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRIGDLEEFEFKAIGENHNQGRLAVEVLVAGVVFEEDDFVKPWQGLTSSLERYTLQWESKNLILVSTAIQDWLTSRLAMELMKQGAMHTVLSSLLLALAWPATILVAADFIDSKWSIAIDRSDKAGKLLAEVLQKGLQGNRPITLVGFSLGARVIFKCLQTLAETEQNAELVERVVILGAPISINNENWRDVRKMVAGRFINVYATNDWTLGVAFRASLLSQGLAGIQPICIPGIENVDVTDMVEGHSSYLWKTQQILERLEIDTYYPVFRDTL >A03p012170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4843472:4844924:1 gene:A03p012170.1_BraROA transcript:A03p012170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEENFQKTSEPKYDCLLFDIDDTLYPFSSGLATLVKNNIQDWFVDLMAEPFFVVVVGAIVEYMTQKLGIEEDKVQELCLSLYKIYGTTMAGLKAVGYDFDYDDFHRFVHGRLPYATLKPDPVLRNIILSLPIRKVVFTNADKAHAAKIIARLGLEDCFERIISFETLNPTTKTESPVAGTGTREIFDISSYMANPDPSVELPKTPVICKPSEGAFEQVFKMTNINPHKTLFFDDSIRNIQTGKRVGLHTVLVGTSHKAEGVDIALEHIHNIREALPELWDAVDDKAEEIRARQKVAIETIA >A01p028250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:18436920:18437183:1 gene:A01p028250.1_BraROA transcript:A01p028250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTKSNPRKDIFTKSFAVESCLNLNRTTKYDCPKATDMYPNQPRTSSSMAIGPQTSQARSIRGDQACTQLGRYVATERPFRSVAA >A03p074300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32321091:32322151:-1 gene:A03p074300.1_BraROA transcript:A03p074300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSARKPIKLLRDCEYTYLRKSLGPVRGRNKDIYGTMLRLDAASKPPSHGKTQLHLISFHASTLSSPSSPARALSSPSPTQTSRFLISDSSPLFSDSSPLFSDADHELSHLLRFGFQVLLRQEEAVFVFHEFLRMGFGSDGDPREWLKRLPLATELSGKEL >A09g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10612422:10613493:-1 gene:A09g503340.1_BraROA transcript:A09g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGSSERFDDSGEIRRLRRDSTTPAIFPARFDGSDDVDVRRDLAKTTAQTNITISLHERVTKVMKIKLCSIRGDWKLINGIHWDFIVDDERGSCLSMILEDISYNDLIEVVLENFGIDVSPSKLNSINLSYVSPSKLNFSSKELPPVFIRNDRQVASYMNKLQENGCLHLCITIKIVCNTQDLLIAGNLINIMMSFGDLLLALCFLLTFHIRTHLSSRIVSNTIQTRDEVLITHDLHIAGNSNPP >A06p031740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:178337:179021:-1 gene:A06p031740.1_BraROA transcript:A06p031740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCGKFVVNMSSRKTAQRDLKHDSRPILRFPDPKAVNRSTVYAWPTRKDKCQVSADKYGSFEDNCYFPFLLFRTATQLGLAVLGLLELGISPTALESRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVPTVWR >A04p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12306609:12309837:1 gene:A04p020160.1_BraROA transcript:A04p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTWWLSFPGSVYAKPRLLSSSTALPLAYNPLQNPNNNKLCSRKGKMERLICRADFSQEAPLATAIGACILSSFVFPVAKRVEDEEEENSAIVSTDMRLAAMGIISFIPYFNWLSWVFAWLDTGKTRYSVYALVYLLPYLSSNLSISPEESWLPITSIVLGIIHVQLEASIANGDVQTLAFFRDASKESIHFDKKHSKGLIRNFFNPLPLVAAAMAPSSAVVRVYSSTTPTSPSEVSVKKVGTHNGSFHCDEALGCFMIRLSDKFSGADIVRTRDPKILAELDAVLDVGGVYDPHHDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELNVDQDHPDVLRLFLAVYKSFMEAIDAVDNGINQYDTDKPPRYVNNTHLSSRVGRLNLDWIDPDQSQEKENEAFQLAMALAGEEFLQSVRFHVRSWLPARSIVMQCLEERFKTDSSGEIMVLKQFCPWKLHLFELEQEMKIQPLIKYVIYQDERGKQWRVQAVAVAPDRFENRKGLPEQWRGLRDEKLSEAAEIPGCVFVHMSGFIGGNQSYDGALSMARTALTL >A02g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:804051:804977:-1 gene:A02g500220.1_BraROA transcript:A02g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTLNKIWCLKTKTLNENCGKSRCDTDIFGWALRIRSQTQRKQHSDKDKPVFSRGPQQLKLNTKVEAHKAVAEMDKLRRLCCVSHSLSSLLLDWSFLGSCSDQIVQRWSAEAVERLRR >A02g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14886183:14887286:-1 gene:A02g504550.1_BraROA transcript:A02g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQTWRTSLIAILTPLATNLLVEPAAFTPRQSSTLSLSVHARHDPALLDPAWKLRNRHRESSHQSPRGRAVSSSPSESSFTVSELQRDQASSSLLVITGSRPLYLRVSLPDPSRGLRCSILVVVELRRGWNQPRRRSRRNQALDLLQWRLLQYLQDRSPES >A03p024020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10154264:10156420:1 gene:A03p024020.1_BraROA transcript:A03p024020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEAKSLLSNGSVQQNGLNLEEKLDEFRRLLGKSDKDPLRIVSVGAGAWGSVFAALLQESYGGFREKFQIRIWRRPGRAVSRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRQVFEEISKYWKERITVPIIISLSKGIETALEPVPHIITPTKMIHQATGVPIENVLYLGGPNIAAEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEINRDMGDSISGKGMIQGVSAVGAFYQLLSQSSLSILHSEEKKPVAPVESCPILKTLYKILITREQSTQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLDQP >A05p052140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30243673:30244335:1 gene:A05p052140.1_BraROA transcript:A05p052140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHEGFLRDSITKTRETTIKKMMDNTESAMNETMFQFLRGRGDMFQLTDKHRDDLCRHIDQHLKELYHHRKLILNQSHLEYGESSSAANAMTQPAAIAEEGSSPFPDPDAFNTAQPVNELWALENNYPPAASDQQGYYQMTNPVGGYHQHPSLNLNFNPNQYQQKFVGYPNVAQDGNYSGIQNQNHQQEEWLVSQMINNSNQVRFPMMDDNNRYNYHQP >A05p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29994845:29997183:1 gene:A05p051440.1_BraROA transcript:A05p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPKSLIEDLHKWGCMKQTGVSLRYMMEFGSTPTEKNLLISAQFLHKELPIRVARRAIELETLPYGLSEKPAVLKVRDWYLESFRDMRAFPEIKDTADEKDFTQMIKAVKVRHNNVVPMMALGVNQLKKGVHFYENLDEIHQFLDRFYLSRIGVRNLIGQHVELHNPNPPRHCVGYIHTKMSPMEVARNATEDARSICYREYGSAPEINIYGDPSFTFPYVPTHLHLMVYELVKNSLRAVQERYVDSDRVAPPVRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTATNPLEEEMEFGTADVPVIMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >A10g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10902200:10902869:-1 gene:A10g504420.1_BraROA transcript:A10g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSILRTSERPSRNIERVISGHLRSRVSQKWYQSMIVMGSYPTVIFHSRSTETSLNGWTVFTDVTVTRLEFATLMVGLTTVMLGRDIWDIGYISNTSPNSCVIEVKVGVDCDLIQCDSTAHEHSDALILPASQVYPAYAKTYKHQSHTSLISLTLVSSLALEEEVV >A05p008490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3476803:3480544:1 gene:A05p008490.1_BraROA transcript:A05p008490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKLFFFKSSSGNGNDKQTRRGKESDPTPKGGALKSQSGQPLRRSHSFSSAAFLLDGTSSNDPTATRQRNHQSSRCFTPESQCKKPDQTHKYKVHDSSGTLSTCSSNVSSQVLDRFIDGEEHHHIKQKSGSSLSNHSCSTIRAKKHPPRAQIHSPTISDIVKERRHSDNNRINDASARSLARSVMERLSHTHGKSKALSYGSQDLDVFANLLPLAEHIKNGYYKDDHVEEEVFSHGKNSELEKKYKEAEKRVKMLSQELNEEKCDFEERVGLAFEVLSLLRSQIDERALAKEEIKRVKTDAELHIKRLEKEKSELEFGLEKEIDRRSIEWSSKLESFQIEEKRLRERVRELAEHNVSLQREVSTFHEKETERMDMIREMDEKVNELSEREEETRQENVFLVQNLSKLQESYGEAREDLDCVRRLFEEKDKECKELNKSITRLVRTCREQEKTIQGLRDGFSEKQPLEKFQTEQIRLSGVEFSLRKELESMKLEAETLRIENTCLRSRVKGQEGDAMATLKLDNEMKMRVCLLQDQGVSMLSESMQVCYKLLKFIKEKVSQDMRDGMSEQFLIESEMNVHGIRRGTEDLKRSLQTVTSLLLEKGNENASNSESPDRPSEPNNQSVEKLLRAELKAESLITCLLREKLYSKEQEIEQLHAEVAVGVRGNEILRGEIQKVLDNLSVNTHQLKDLKLQIVKKDETVNRLETNLQEAAKQFASMKVNLPRVMEEREQMCKEVNECRKRNMELESEKDVLKKEVERLEEETLYKEGQITILKDTLGSKHFDLLSGTDFSYNDFLVQ >A01g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6664382:6665280:-1 gene:A01g501860.1_BraROA transcript:A01g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVKKGESVGKDKTRVFQIIATPTRSLDRFFFFFSRSDNRSYHSLTLPQANLPLKKNPLYQPLSFFLSFFLSLSTPLTEREETFFCACPILFSIAKDGGQVVER >A06p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18601714:18603758:-1 gene:A06p034410.1_BraROA transcript:A06p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial phosphate carrier protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G48850) UniProtKB/Swiss-Prot;Acc:Q9M2Z8] MSDSSRSLIPSFLYSSDHRLFQLHEPAMNMTQRKAQPSRSLKTSSVSSNGPSFAIPAPNEKVELYSPAYFAACTVGGMLSCGVTHTAVTPLDVLKCNMQVKLLNQNCLVLLLAELILRINIFEPYSKAMYVIDPSKYKNITSAFKTTIKEQGLKGFTRGWSPTLIGYSAQGAFKYGLYEYAKKYYSDIVGPENAAKYKTLIYLAGSASAEIVADVALCPMEAVKVRVQTQPGFARGLSDGLPKIIRSEGVRGLFKGLVPLWGRQIPYTMMKFATFENTVELIYKKVIPTPKEECSEPVQLGVSFAGGYIAGIFCAVISHPADNLVSFLNNSKGATVSDAVKRLGLLGMFTRGLPLRIFMIGTLTGAQWVIYDAVKVLAGLPTTGGASPATALAPAGSA >A02p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21385318:21386547:1 gene:A02p036940.1_BraROA transcript:A02p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEMLDWELVHGSDTDSITSEKESSVIDDGMIVSDHFSADHPTTEQSIVGSGDSPRVGYGSEYPNPVGLGFNQLDVYQSGVRNELGLIDGEVKVSDFQPSDENNIMGEGVHDESLMEDASMCLSETDNLQVESQAGVEEHIEDASMCLSETDNLQVESRQAGVEEHIEDLGKSLSGDSGNGSGEEEIVSDSEAVEGSGGDTSAHVVAVDSAVVRSGDEGKKSRETVWWKIPFVLVKYYAFRIGPVWSVSIAAAVMGFVLLGRRLYHMKKKAQRIHLKVAIDEKKVSRVMSQAARLNEAFSEVRRVPVIRPALPSPGAWPVLSLR >A07p049800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26573101:26574113:-1 gene:A07p049800.1_BraROA transcript:A07p049800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEEDLEQLVIDYMESPMIASDELEKPSGVLMSLQDVLGAKGEKEKEIEEKIKSFIRRRKLSYKGDDEKKDVMKRIVSKLRSDGYDAFISRTSWDSSFDRLEGCRVFRCTRKYEFIDVMVASDRDGDDVNKLRRVIIDLDFKSQFELVKQTRCYKDVTEMLPTIFVASEVRLKRVVSLVCNEMKKSMKEEGMSRPPWRNKRYMQAKWLSENRQRVSGSKKGSWSLFDDGQGGEDVGTTSRGGIGLKTKCCFPIF >A07p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12355516:12358021:1 gene:A07p020990.1_BraROA transcript:A07p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVAETLTRKKKGRPSLLDLQKRAIKQQQLQKFHDDHRSAPKNPNSLNSGSRSKRRNPNRNRVSSDDDEDEEDDDERREKKHRLLRGLNTHSRRHSPNSKPGGAAHGSGYTGEKASVILQGSPAESGPTTPLPDKKLLLFIIDKLQKKDMYGVYSDPVDPEELPDYHDIIENPMDFSTLQKKLDSDSYRKHRLYKSWRKSTSRILRQDSDDEEPQTQQQQQQQHQHQLKVAERGRPPKKQPEPSCLDRTTSEISADDALNPRGDSSSKFSGAYNLRRTSVRINHDSETQSGCSQDWENEFPPSVVKAVNKYGLKHFDVDDNRRETYNHLSTSTQEPWVLTTLEDELKELGLTLSTVYTRSLARYGANLGPLAWKIVSRRIETALPAGIKFGLGWVGEDDPPKQTLLVWSLGKHKCSNDHSDRVMSPTASVSSAFIGNRHSSSQGIKETAPAPVMTYLL >A08p044620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24632283:24633521:-1 gene:A08p044620.1_BraROA transcript:A08p044620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNACFLHQSALASSSVARSSPSSSSQRYVSLSKLVCKAQQTQEDDTSTVSRRLALTLLVGAAAVGSKVSPADAAYGEAANVFGKPKTNTDFTAYNGDGFQVQVPAKWNPSREVEYPGQVLRYEDNFDATSNLNVMVTPTDKKSITDYGSPEEFLSQVNYLLGKQAYFGETASEGGFDNNAVATANILETNIQDVGGKPYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGANKFVEKAATSFSVA >A02p043490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27438843:27442933:1 gene:A02p043490.1_BraROA transcript:A02p043490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGIADAFKELAVLVNTPGLDVPVKNFSDACSRFFVVFKVLKTGMFPRFAEIDYVTKASNLTPTLELMVDRDIEANCVRKVGSHTRNLLRIKRSLEMMRVMCEVLLDTESDYSLRDATFTAYNQVFAPHHGWAIQQAVATGIGSLLPKTLLSGMFNETEETFKIHAQSYVTASASVTNYLDNLFLSKNLGIDW >A07p047410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25463063:25463839:-1 gene:A07p047410.1_BraROA transcript:A07p047410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKSKVILHGMWASTYSKRVEIALKLKGISYEYVEEDLQNKTESLIQLNPVLKKIPLLVHDGKPVADTQVILDYIDETWKNSSRFYPEDPYERAQVRFWVIYINQQVFEVMGRVMLQEGEAQANSVEEARERFKVLEEGLKKQFPNKTIRGNDDVGLLDIIIIASFGVHKALHEAIGVEIIDPVNTPTLYNWIEQLQELTVIKEVEVPHDRLVTFLQKCRQEHLQHTANA >A04g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19003450:19005497:-1 gene:A04g507570.1_BraROA transcript:A04g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLVLCLFVYLLFTQGAEGSIDDFDCVEIYKQPAFQHPLLKITRFSSYEDLERSDNYEKKEQCPKGTVPIFRQRNGSESFHLNTSDHLGQHFAVIETMIDGTIYRGAEAEISIHSLNLQDNQYSKSQIWLENGPPGQLNSIHAGWAVHPRLYGDSVTRFTIYWTGDGYGKTGCYNTQCPGFVVLSRNHRIGNQFSGTSVYGKTTRYFTLQIFQDISSGNWVLKLDNEVIGYWPKELFTELNKGASLVRYGGNTFMSTDEMSPPMGNGHFPVAEFLETAYFKNVLIIDSNYIRVYIQYRKLRCYADVYDCFEVTYWGYGRTTGDSFSFGGPGGKCGV >A01g511250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:31477416:31478066:-1 gene:A01g511250.1_BraROA transcript:A01g511250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIKLAFLILCIALTATAFIIPAKRDAVTPEHEKTVAGICSVVQDKRLCSITLKTVPSNDPDVLVRHLATGAETSVKKGLKFLSGIKPKYKGDKFATTCITSCEKQLNNALEDFSDFWKAAGKDITSMADNYFTCKKKMTSIFNYQSSCLDDIYDKTLLKEVQGGIGLGKRMSGESVDVFAGMGKVFNTLNIKTKLNQKDTDSLLPPPLSFYYV >A07p024090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13876511:13882247:-1 gene:A07p024090.1_BraROA transcript:A07p024090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFPRIDEPKHAGKPTPAKPNGLYRLYFKGMVGEDPLAVAGLGIAICGEKDDVLFQMKTPIFGSYVTELEAELRALKRGLTEAVGLGINHISIYCDYQPIYRLITGRSVLEESLSGMLLNEVQHIRRKFASSFPIFVAPQNIRYAYELARETVVCETRIQVDTQKTTCAICLDEDINADQMFSVDKCGHWFCSECVKRHIEVKLLEQGFVRCPQHRCKSKLTFTRCAYLLTPELQAIWLQRIKEDSIPVSERFYCPNPRCSALMSEKELWKSTKEAGVRCCGKCGEPFCSRCKVPWHNNMLCDHYKRLHPNPTENDGKLKALADEKMWRQCGKCQHMIELSQGCIRIKCRCGHEFCYQCGAEARRCPHGHGPDPRGVRPLPMWLKILSWVIFLGLAILVIWYVNVALSSRSEAERRANAPEAER >A06g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15992238:15993826:-1 gene:A06g505480.1_BraROA transcript:A06g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRDDFVYPGTYHPFRKRITYFLTQGLEKKTVNHLCCYNETNDNKSQRESVSSQTEIVGKVVSMRPTYPSSKKVKDYDKLEAEVESIGTVLSIDWKDVGAKKIESTLHDDLALKT >A01p006240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3192280:3193722:1 gene:A01p006240.1_BraROA transcript:A01p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSASSLDLNIRAAQKSSAPRCPNGARVATTARPVSASLRGCKSMPRASSDGNLHEMRAVQSRTKTSSVYHDDAASSRVLERSRLHQGGSNGGFGSRGGDGGAGGDGGGGGGGGSVDGYYEEMIQRYPGDALLLANYARFLKEVKGDERKAEEYCERAMLSEDGRDGEMLSMYGDLIWKNHGDGARAQSYFDQAVQSSPDDCHVLASYARFLWDTEEEEEEEEEGEEESKYENGFSTYNPSVSVMS >A08g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12389239:12391172:1 gene:A08g507120.1_BraROA transcript:A08g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVEYERYSLQEDTVIGKTFDQLVLNCPENVLLEVSNENESWFCGGEAAGSSSTTSKYGGVKELKSVTLQELNSYILNSTPHVDTDFPQSVADIVGNTYTFQLKVTEFNFTSNHKTFAISHIFPQRKLAPMPASVVNVCLFHCFEFDLTRNLKHAPSFGLRHSIDMDTPTLEDAFDRLKRFCDRHSVSDLHSKMSMVSTKLPTQRCQWCQLKGSYRTNLYRDGMLVPMLTEAGAISAKAGTTSA >A08p006590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3780186:3782954:1 gene:A08p006590.1_BraROA transcript:A08p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPETELISIPATPRVSTPEILTPSGQRSPRPATKPSSAAWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEMGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCTSNPLTTVEWYLVFTCLCVVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQPRPATISYEPLSMPSVSGSLFSVLNALGIVAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKVAYFFIALCIFPISIGGFWAYGKLMPPGGMLAALYEFHINDIPRGLLATAFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNKPCSVWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPAKCSFSWYFHWGLGWLGVAFSLAFSIGGISSMVTQGLKLKFFSPN >A02p036140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20445132:20445918:-1 gene:A02p036140.1_BraROA transcript:A02p036140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVRSLHSDRAFPNCLMRHESMHFRLPFDSITGRPSSIKLYGIKPRKYRFLSKEAIINVSSQKTAQRDLRHDSKPTLRFLNQQPVNHKTVYAWFVRKDKCQVSADKYKILKIITKIRKNGISPFLGYDGLRAEREKPATQLGLAVLGLLELGISPTALEPMLIPCYIWGL >A08g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6068908:6071141:-1 gene:A08g503270.1_BraROA transcript:A08g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKRLNRSKTTGPGLNQTCKEEDKERKQTFRDSTTLDIKGSKRSTRESDKLGLRKFVLGLAVVMEPINKHSHPLDSVFLYSTSNSELMDEMIALLMKRRAEDKEIFIKCIAEDQEHRLKVDSTLKMLYGSQKTQIGLQPAKSAITASEDDENDSDPFPEIVENLVSQNQHEVPMVEDPDIDLNQETQDQYGFIVGEKKTAPLFANYEDPKHNCGFRYKRIQGNSYGEEKLVRKRVKPLGAVEMGSKILSVVYDDSREVQRTYNRRSTRGMSTKKNKMKKFYSNEKRLRCCKIIQALKAKGARTKENSSIDETRMYRELPVFGYGTQMKNQKAGARKEKVSVSQYKRQARHLNRVTIKCGYHKARKKKSQGSGPVLRTTVSQCISKSVGEHEDLNPEFSLFGKVTGQDLEQDNKTLASPVTILPVSASPIIENNIQ >A03p023310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9822937:9824439:1 gene:A03p023310.1_BraROA transcript:A03p023310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVIMAPESPVFFPSPLVFSPTSVKTPSSSPRSTPQKLTMVACPSRKAKETTSCPGSDTVLKRKRPPMLDLKLPPVIGSWCTTTVKTPEKRDEVVEVEEDGVYSVYCKRGRRGPIEDRYVAAVEPDERVRKKAFFGVFDGHGGSKAAEFAAKNLCSNIDAAMAAARSGEEGYSTERAIRDGYIKTDEDFLKEGSRGGACCVTALISEGELAVSNAGDCRAVISRGGVAEALTTDHNPGQADELKRIEALGGYVDCCNGVWRIQGTLAVSRGIGDRYLKEWVIAEPETKTLRIKPEFEFLILASDGLWDKVTNQEAVDVVRPYCVDVENPKTLSACQKLVELSCKRGSLDDISLIIIQLQKFVA >A01p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28426544:28429367:1 gene:A01p050490.1_BraROA transcript:A01p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAGNRDWAQIYAIYGIEQWQTLVFLLFHAFVFSLLSVLFLIYFDQICIFLDSFFLPGAARLAAGFTGAVTALSAVCLLFAAANFLYSDVPLQYEMAQRMVSSVGDWSCVKTALDLGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTAKLEGVQEYVTCREGDVRKLPFGDNYFDVVVSSVFVHTVGKEHGQKSVEAAAERMRVLGEIVRVVKPGGLCVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMAASHIVSFRKPSELVAGPRESIRPFICRFLGFTGRYIVCFENPSKKALCYGLSSSGLPFLPPQQYLNYLRLAGRGTVEITINKSDRSTFQAEAEVTNGAYDRAKLNTSLLGVGRKFNHRRFIRRIGGVKALRNTSLLPSLGTINPCLTHLDEQAVLNTCKPVELSGPNRDSYV >SC383g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000225.1:18:3787:-1 gene:SC383g500010.1_BraROA transcript:SC383g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAYNPTKTEVLAKDERITTESAGTPIHLCEQCINNAKTQGSQVPAYVFTTSPLKEMPNTHFKQSPNNSFHDSLSPVDICCVPGIHTDVRVCPSANTGRPWPSVSTHRTSGCPSVHISARSVDCLGDFGPRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHAGRPSAHTGRPSAHAGVRGLSVCVRVSISAHQDICSVTHRTSVSTRRDSVVSVCVRVVRQCAHMGRRHTNRTSVRTRQDVRHTRRKSVAVSVVRVSVSPRRTSVQYTQDVRQAQKDVVDVRQPPHRTSVRTQRTVRGRPSAPTQGVRQHTTG >A10p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21063845:21065695:1 gene:A10p037300.1_BraROA transcript:A10p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHYSTDHHHHHNTLFWQQLHTTDATETTTATWLNQDQKESLFEKTLTPSDVGKLNRLVIPKQHAEKYFPLNAISNNADASTEKGMLLSFEDELGKCWRFRYSYWNSSQSYVLTKGWSRFVKDKQLDPGDVVFFQRQRSDPRRLFIGWRRRGQGSSSAVANPTSYSSSMVAAPPYLQVHASSNYSNPPSHSEYSHYGAAVATASETHSIPSSSAVGSSRTVRLFGVNLECQRDEDDGDDSVAATTATECPDGYYGQNMYYYYTPHPHNMNIAFTGDTMKQLGDRRG >A04g505180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11263069:11263293:-1 gene:A04g505180.1_BraROA transcript:A04g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIREVNRPSLKRVSRREDKIGFMVAIFVRFKQVERKRRRLWPSLRSVDGGEKQRTGEDGRRHVYQEPVLLPLN >A07g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22560122:22562799:-1 gene:A07g508170.1_BraROA transcript:A07g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVTTDGGFSAETPYPSLTVSASYKDTSSGNGAKTSSRRRPIRPSFDAATENNEFITQLHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERQREKAVEELTDELTKLEEKLKLTESLLQGKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTVQTAMAKAALVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAISDRAAKSEAQLKEKFQLRLKVLEETLRGTSSSSIRNTPEGRSMSNGPSRRQSLGGADNLQKFGSNGFLPKKSPSSQMRNAFTSNSTSVLKNAKGTSRSFDGGTRSLDRGKALLNGPGKYSFNKACEEAKESESSPNAWKEDSEEKPPSELPAPTTEDNVPGVLYDLLQKEVVALRKSSHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQDNRAKRSSNTKNPSNTAQILTGRAAGRSGLTRSTQ >A07p024780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14253345:14254617:-1 gene:A07p024780.1_BraROA transcript:A07p024780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFVRSLERASSSLLRSSYLLLISSRSLPQRQELAYTTSTFLLPTISTVSRPVCRRNFSHGTVNIVISEGEPKFETRELDPPKKWKWLTKKRLKLKRKKEREERNAANRKHPRRLTVKGKKRKFANPEERIKNKLERAKTKKASLIEKLKRYEVAKVQGPEVRPHEITGEERFYLKKMGQKRSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICINSKAGQVQQYAEELAKRSGGVPVNIVGDDTIIFYRGKGYVQPNVMYPIDTLSKKRAYEKSKYEQSLESVKHFIAVAEKEIELYYRHVALYDDPINRNPLSILDDSPSESGGESCDHHRNKLDISCSDTEAEELCQLDTGSYEDFYETGR >A04p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:33091:33529:-1 gene:A04p000050.1_BraROA transcript:A04p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENCIVSVNPKPSKGLSSKLVDLVERVVVKLMHDASLPLHYLSGNFAPVRDETPPVKDLPVVHGFLPECLNGEFVRVGPNPKFDPVAGYHWHV >A05p023490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11351244:11351864:-1 gene:A05p023490.1_BraROA transcript:A05p023490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSISFSCALSLVSTKSTNHSPTRTLLSSRFLGTRNLKLRIRPARLGPSNGSRTTCWFKFGKNGVDAESAGIYGSQSRDDLDKDDVEQYFNYMGMLAVEGTYSKMEALLNLNIHPVDILLMLAATEGDKPKIEELRRAGASYTVKDADGRTALDRANSEEICDLILGYSTQKA >A03p070000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30759840:30762221:-1 gene:A03p070000.1_BraROA transcript:A03p070000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSWDGLGEIASVAQLTGLDAVKLIGLIVKAANTAWMHKKNCRQFAQHLKLIGNLLEQLKLSEMKKYPETREPLEGLEDALRRSYVLVNSCRDRSYLYLLAMGWNIVYQFRKAQDEIDRFLKIIPLITLVDNTRVRERLEYIDRDQFEYTFAEEDRHVQDVILKQESTREAASVLKKTLSCSYPNLRFCDALKTENEKLQIELQRSQEHYDVAQCEVIQRLIGVTQTVGEVDGGSEKELSKKASRKTDHTVEYSYEEEDHPKKSSSRAASRSTSSVTSRHDMLSRRESQHHEEWHTDLLACCSEPSLCLKTFFFPCGTLAKIATAATNRHMCKGSIVCYLCVHALAASAEACNELMAYSLILSCCCYTCCVRRKLRKTLNITGGYIDDFLSHLMCCCCALVQELREVEIRGAYGTEKTKVSPPPSQFMEH >A09p000080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32271:39176:-1 gene:A09p000080.1_BraROA transcript:A09p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLMIPSKVSSHVRFLLMEFNDSDFHSLAQEICELFDYGVETSICVLKTCFTFWKEGHTNRLQIENVVSLVLKRVLEMPYFATLLSCALQGFEVTPEFVTAFHSDASTSAEIDSLLFLPKHLDSFMQILSCHQSSDKLQFVPNPFPSVGLHEADVFRSMDLNSLDAILTETAKETSVGDLIEELGYGFTSDASLCKEILSLFLPLTEAAISIILVVVVRTYAGLKDNYDAFLTFSSSLGCCTPTELHTPKSWNVDILFETIKQLAPGTIWPTVIENLDHEGFDIPNMEAFLFFMMVVRNICKDPFPLHAICSFVWKNMEGQLSFLKHAVLAPPEIFTFVHSTRKLLPMDIDEQLALSNHAWRSLDLLDVLCQLAESGHAVSVRSLLQYPLVHCPRTLLLGMTHIELNREFVIWGLLDAQNLGPDSMLRIIDIFHELKILSSVINSVPLSLGIKLAILASQRGFLEIESWLSKCIFLYKDVLFEECLKVIKDIHFQKSKDIFSKQFRSTDLMSDLCLDATSFLLNALKPHTNVITSRQLFEELEKVHEAVLNIGTFVAAAERKDNPIEAPPSEVQDKIYFIMNNVSAANVESKGKEFSEILSIQYYPWFAQYMVIKRVSTEQQFHDLYLTFLDKVNSQQLYKEIVQASYENCKVLLGSALIKSDSEERSLLKNLGSWLGKVTIGRNRVLRACEIDPKSLIIDAYEKGLLIAILPFTAKVLESCQSSIAYQPPNPWTMPILGLLSEIYSMPNLKMNLKFEIEIFFKNIGVNIKSVKPTSLLKNRNREIDGNPDFRNKDVGMAQGSRPQMIDEFKSENISPQNHVELPTNAGIHYAGVHSKLLPQATMLFSEWCQICSHPGENDASRTRHVLHLYQSGLLKGDDKTESFFRILTEYSIAHCISSRETNSGALQSTQEVLEPSFIAIDIYAKLVFSILDYFREQEFSCKSFLLSKIVTVIVRSILKDADDEKTPLYPRPYFRLFINLLLDLSSWDHITDSENYQVLVVFANAFHALQPLKIPAFSAELSGPVYLLYKGTLEVLLMLLHEYPEFLCGYHFTFCNVIPPSCVQMRNIILGAYPRNMRVPDPSTPNLMIDRLPQIVEAPCILSEIDALLKQKRMKSHLDEYLSMRQHDFSFLNGLKHNLLFRNSEADSAGTRYNVPLVNALVLYVGLQAIQQLQADVSESRSNAHTAAMQMFKALSCELDAEGLYLLLSAIANQLGHPNRHTHYFSYIMLYLFFESDQEIIKEQVTRVLLERLTVKGPHPWGLVFTVTELTKNPRYGFREQGFIRCAPGIEEVFESVASSEAGVNT >A02g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3058923:3061345:1 gene:A02g501000.1_BraROA transcript:A02g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTLLANLRAGRCSNTAEVRLLRFWEARNINKGGELMSIELLLIDEADTLVQGCVSAVHQRKFRERLAEGSVYTLSGFDVTRSKPKFKLSDGPVSIRFNEGTEFEKLAATARTIPTEHFRFRTHEQILELANTSRQLPDVIGEVRAIRSTITDRLPGAQRVMLTLRVESDVNVCVSLFDSLAVAFHTKLDGYGREPRIVIITGINPKIVLGKLYLNGTSASRIFFDSETSAGKDRLERQDIFLIIYSRYFGSLYSIPFDAFSVLSSYRLPGGGADEPGSSSKVVHAQKIEPLTLAELNEFVLSAEPQIIEFLCTAKVIGIQLDGGWCYIGCSLCSKKLVREESSFTCPSCNETNAVAELKYRVVFSVSDPTGTSSFLGFDKEVAKLTNVLASEAAQIVGIGLSAHVDTELPRTLAGIVGNTYTFQLKLTDFNFTANHQTFTISRMFAAPEIAPIPSFAEAEEDPQPAVSQTVTRESAANSAIGNREAAEKEQFGREESARKKARVD >A03p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12834338:12836568:-1 gene:A03p030790.1_BraROA transcript:A03p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAADKLGYFQAITGLEDPDLCTEILQAHGWDLELAISSFTSSDPHDHDADASSSHIVGEQNRDHSTANPETNDYPPRGIHDDSELERGLRPPGIAWRIITLPISIVSGSLGLVSGAIGFGFWAAGGVLSYSLGMLGFGGRRGGSDSSSARLVPPVSSAAGEAMEFIALFDRDYGRNAFKPGFVPEGFMDALQRSRSEFKLLFVYLHSPDHPDTPVFCDGTLCNEAFVAFLNENFVCWGGSIRASEGFKMSNSLKASRFPFCAVVMPAANQRIALLQQDCYVTYVIRELFRYSVVEGPKTPEEMIAILQRVVEDSSTILVTARVEAEERRTNLRLREEQDAAYRAALEADQARERQRQEEAERLEREAAEAERKRKEEEEARERAEREAAEREAARVRMRQEKALALGDEPEKGPDVTQVLVRFPNGERKGRRFESNTKIQTLYDYVDSLGVLATEEYSLITNFPRTVYGRDKESMSLKDAGLHPQASLFIEIN >A08p005970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3427782:3431986:1 gene:A08p005970.1_BraROA transcript:A08p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGMRVGKYELGRTLGEGNSAKVKLATDIVSGQSFAVKIIDKSRTSRLNVPFQIKREIRTLKVLKHPNIVRLHEVLASKTKIYMVLECVTGGDLFDRIVSKGKLSETEGRKMFQQLIDGISYCHNKGIFHRDLKLENVLLDANGHIKITDFGLSAVSQHFREDGLLHTTCGSPNYVAPEVLANKGYDGAASDIWSCGIILYVILTGCLPFDDTNLAVLCRKIFKGDPPVPRWLSPGAKTMIKRMLDPNPVTRMTVASIMANDWFKHEYSPSSCDNEDDEEDVSEEEKSHDSPTIINAFQLIAMSPFLDLSGLFETETVSERQIRFMSNRLATDVMEKIKTILMEMGFCVQKKHTMLKAIREESTRKGQGGLSLTAEVFKMIPSLNMIELRKSHGDSSLYKQLCERLLNEFDASSQR >A02p059070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35330108:35332463:-1 gene:A02p059070.1_BraROA transcript:A02p059070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHINGYVVAAGEKKKASQPSWNWVLINATGESKALDVDKYAVMHRVQIHARDLRIIDPNLSYPSTILGRERAIVLNLEHIKAIITSDEVLLRDPSHENVIPIVKELERRLPVGNQAHHGQGDGKESSAAHNDADDGEEDESPFEFRALEVFLEAICSFLAARTTELETAAYPTLDELTSKISSGNLDRVRKLKSATTRLTARVQKVRDELVHLLDDDDDMADLYLSRKLSCACSMASSPNCYLTSPTIGSKISRASVATVREDENDVEQLEMLLEAYFIQIDSTLNKLTTLREYVQDTDDYISIQMDNRRNELIQLEVVLGSGTVCSAFYSLVAGIFGMNIPYTWNDNHGYMFKWVCIVTGAICVFSLVFIMSYARFKGLMGS >A10p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16622:17754:1 gene:A10p000050.1_BraROA transcript:A10p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE63 [Source:Projected from Arabidopsis thaliana (AT1G02140) UniProtKB/TrEMBL;Acc:A0A178WA48] MEEENEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECKRIVSESEIMKEDDFKWPEPDRVGRQELEIVMGNEHISFATSKIGSLVDVQSSDDPEGLEMSCFFAHLSALQDQAYLEKEISRVAWITKRNWASLKDGVLSGRNQLLMLIFQLAI >A07p022610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13182066:13183851:-1 gene:A07p022610.1_BraROA transcript:A07p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase SRK2I [Source:Projected from Arabidopsis thaliana (AT5G66880) UniProtKB/Swiss-Prot;Acc:Q39193] MDRAAAVGTGPMDMPIMHDSDRYDFVKDIGSGNFGVARLMRDKVTKELVAVKYIERGDKARFFFQQLISGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRDYRKTIQRILSVKYAIPEDVRISPECCHLISRIFVADPATRISIPEIKNHAWFLKNLPADLIEESNTGSQFQEPEQPMQSLDTIMQIISEATIPAVRNRCLDDFMTDNLDLDDDMDDFDSESEIDIDSSGEIVYAL >A04p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21004968:21006056:1 gene:A04p036550.1_BraROA transcript:A04p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQNRASLQEKFQLLRSATNSHAQSETSIIMDASKYIQNLKKKVERLKEDTAAEQSSSEPTDPTTPMVKVETLEKGFMIKVFLGENQPGMLVSVLEAFEDMGLDVLEARVSCTDSFSLHAMGVKVINKSSYKHICIH >A07g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21779245:21783223:1 gene:A07g507850.1_BraROA transcript:A07g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPDLSAMLAAQLGLTSGGGPSTAVPRAGEVPPSGAANTGKGRKRKRGNSGVEGSAEEASDVPPSGEPQKKKKKRKRTKRSVEAQSEDPEEPTGAEGEEEENQHEEEVSEAEVSREQDDAGEADGSETSLNAALPDGSEEDSGESPLLMRRHNDEIDDEVRSPIPAFPREGNPVLTGEGAVQIGTSSRGSAVLRRVPGTNFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLVFGSEYEEAARAKLLGDSAMNVVIDKYDTVLKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGFALPFDMYSLIVHGRRSVDLHKWRNLSVSVQNVFSFSSSFSSYAASARNCRKEQVFTFCYLVDSLGLTTTVAESVSKKASFKDKGNPNAVLRLLRSYGFTDSQISSIVTNYPRLLLLDAEKCLGPKLQVIKSMEGASSSSDRLIETISKVPKLLGMKGDKTISRYYDVVKETIESGKSFKFEKLCHSLPHGMQQNKIRNASVLRELGVPQRLLNPLLVSDHKLVCGDGKFKETLKKVIDMGFDPTSSQFVQALGAVRKLGDKEIQEKVNVYGKLGFSVRDVWEMFLKYPISLRFSEDNVTQTFKILKTCGLIEEEILSVFKKFPQCIGYSRQKIYNSLKTFFGLGFNINQFSMMVKRFPPCLNMSAERLKKRTKFLVKKKNKLMLRKMKWSLNAVASFPQVLGVSMEKRIVPRCNVMKALMLKGLLGDRESILPDKESVLLCTDEEFLDRYVRNHDDKELVAELMSIFTRDSAS >A10p016260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3144033:3144281:-1 gene:A10p016260.1_BraROA transcript:A10p016260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEKMSSCGKVPLVPPIETKHERVLYNLGRGALRMGREQHVRSRSADGRAGRAFDSARRMVELVACSIQFGHLSSRMGSVR >A10g500320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1039934:1040605:1 gene:A10g500320.1_BraROA transcript:A10g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGGVLGNLLGGYLLLRTPPKISFLVFAAVLSFQLLVSLSSKEESFGLPRRKETSSVVMSVKKQLSNLMEAIQEEDISQPMIWAVASISMVPLLSGLVFCYQTQVLNLDPSVIGMSKVIGQVMLLCLTVVYDRYLKTLPMRPLIHIVQLLYALSILDYVLVKQINLGVGISNEVFVLCFSSLAEILAQFKILPFAVQDHLLPLLRLLAMQDSSLNRILYL >SC160g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:298698:300663:-1 gene:SC160g500180.1_BraROA transcript:SC160g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLWNLQLPLQTAHTGSRLDRQSLNNPQVFNHITTHNQILSQAHYHLRFKESLTIKEPGWSLYHSRMPSTRHLLDSFLFTPDEEQPLDKAPWTRFARPLHTPLLPTRVFIRIRSCGLCKVLEPPDWLRESLGWKCSSLAHVSALFFGQIHSQALLFLSSYSLPVLDCHSLSQTRRQSPLHTQPSSLSSSLK >A02p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13878917:13882476:1 gene:A02p026430.1_BraROA transcript:A02p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMFYFLLALTAVLAVTGSPGEPVLDIHGDIIFDGSYYVLPRISGPGGGGLTLSSRGDDGVPWLYLKQEYSEVNRGIPVKFSDWRTKVAFVPESANLNIHMDVKATINLEAKPCRELPEIRSPSRLSLSLPRAVSLLLLSLFAASLLSLSLRRASFSLSRPRLSLLAVNSREWWWWPRGVIDLRSRNDKKKEKLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGTQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPNDGGSSWGKKDDGGSSWGKKDDGEMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVRRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKISSIDAESLRRVTGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGKLIGVLEPWEFALVNRMAGQAREAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRVAGPKPDGEQKSPVRSG >A09p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5134736:5135614:-1 gene:A09p010030.1_BraROA transcript:A09p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQEYSPPHMDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKHRSLRRSLANASGHPSAASADIESSPFKPRLPFPDLKKKQNLSVTVLMPGDNTPKFIALPCPCAPPRPEKLTVNAQSPPHSPPVKPPRFPVPLY >A07p046530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25095464:25098529:1 gene:A07p046530.1_BraROA transcript:A07p046530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFLAADSLQKIIVLCLLFLWCKISRTGSLDWTITLFSLTTLPNTLVMGIPLLKGMYGEFSGELMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRRSNASRSDIYSRRSQGLSATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMGAAGGGRNSNFGPGEAVFGSKGPTPRPSNYEEDGGAKPPAGGGAGRFHHQSGGSGAHYPAPNPGMFSPQTGGGAAAAKGSAPVGGGKRGSGQDGNGRDLHMFVWSSSASPVSDVFGGGANHHADYAAANNDLHKDVKISVPQGNSNDNQHVDREEFSFGNKDDDSKVLATDGVNNISNKSQQQAKVMPPTSVMTRLILIMVWRKLIRNPNSYSSLFGITWSLISFKWNIEMPAIIAKSISILSDAGLGMAMFSLGLFMALNPKIIACGNRKAAFAAGMRFLVGPAVMVVASYAVGLRGVLLRVAIIQAALPQGIVPFVFAKEYNVHPSILSTAVIFGMLIALPITLLYYILLGL >A03p018720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7647643:7651950:-1 gene:A03p018720.1_BraROA transcript:A03p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKKAWSPPQKGWLKFNIGVDWSVRNQRGRAAWVLRDTSGRVLLMSPAYKKQKILMRLANIERWRFLKEDSHTNTEGVSSKSVTKGRNMQLYVISGPLVWLQDVFESEEDLPFVSVIEVYMFGLCSVCLKRYQIGEMIQQLNGFVSHASRTRTSRGRRRASTTVCVQTQLAPSRTQRIMEKIAVGGEAGGAGGAYSYNALKRLDNIWSNICTQPKGPQETQQIVSRVSGLSQDYAMGNNLVGTFDIAVCGGTLGIFLATALCAKGLRVAVVERNAIKGRDQEWNISRKEMKELTEVGVLTEEEIEDVIAAKFNPNRCGFESLGDIWVEDILNLGVSPAKLVETVKQRFISLGGVILEDCSLSSITMYDDLAVMQLSKGDILSSRLVIDAMGNFSPILKQIKGGRKPDGMCLVVGSCAHGFKENSSSDVIYSSSSVTKVADANVQLFWEAFPAGSGPLDRTTYMFTYTEPQSTSPSLEDLLEEYWKLMPKYQGVSLDELEILRVVYGIFPTYKNSPLQAAFDRVLQFGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAIGGDLLDSDSLSKLNPYMPNLSASWLFQRAMSAKQKLDVSPSFTNELLHVNFSCMQRLGDPVLRPFLQDVIQFGPLAKTLGLVMLNKPQIIPSIFRQVGIPVLLDWSVHFFMLGLYTFLSAYIDPVIRPSLEELPVKTKFQWKRRLEAWKYGAGLDYEL >A06p040350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21817146:21818718:1 gene:A06p040350.1_BraROA transcript:A06p040350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 4 [Source:Projected from Arabidopsis thaliana (AT5G67480) TAIR;Acc:AT5G67480] MVMACVDLQKATDSSSVPIPPPLPSKSDGQQKRYRNDVAPEHTCVPTATKDMWDRLFNDGYKANVVIYTDNGGIVYAHANIIGTASSVIKGMLKQAKKHGKWYTISIRGVPHEAVRVFIRFLYSSCYKKEEMNEYIMHLLLLSHAYVVPQLKRVCEWHLEHGLLTTENVIDVFQLALLCDFPRLSVISHRTIMKHFKELYATEAWTAMKKSHPFLEKELRDSVFIEENARKERIRKRNEERIYSQLYEAMEALVHICRDGCKTIGPHDKDLKPNHATCHYEACKGLESLIRHFAGCKLRVPGGCVHCKRMWQLLELHSRVCADSDQCRVPLCGNFKEKMEKQSKKDEVRWKLLVKNVLGSKKIGASPFFLPVTRSSSTMS >A06g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13844053:13847314:-1 gene:A06g504380.1_BraROA transcript:A06g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCMEPGHAFRQVEGVVSSCMRMRHAASHVEHEVSPRMRPEPCEATHGLPHGLFLIAHDPLSFEHVQNTSKSVQSVREREQRKKDRVSIVFERFKQFSRTITLPILSQHLENVLLKLDVGLLEMVRKGSDRRSQVWGQGHSQPKTVPVTWKLDHGRRPDEATMICNFMYGLKPELGSRLAGSNFISLSDLVEKAVNVETVLEAERKTIPHSGGHTKFSHGERPNFNKGPRSKKGKGQRFGGQTNYRSNTGVCYIFDQPGHISKVCPNRQRSNQQVSPSLRMEYVTCFFCGRN >A05p037110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21071793:21075168:1 gene:A05p037110.1_BraROA transcript:A05p037110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKAVVSGSHAHGIWKYFNPAYYLRRPRRLALLFFLFLSVSMVLWDRHSLSRDYQLEVSKLNEEVMRLQLLLEDVKSVVAEDVSVNSSTLKDDVQEDPLDAQRMLRVKEAMIHAWSSYQKYAWGQDELQPQTKDGVDSFGGLGATMIDALDTLYIMGLDEQFQKAREWVATSLDFDKDYDASMFETTIRVVGGLLSAYDLSEDKLFLDKAKDIADRLLPAWDTPSGIPYNIINLRHGNAHNPTWAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVISVLNKDFPADGLLPIYISAETANPSHSTITFGAMGDSFYEYLLKVWVFGSKTSSVKHYRDMWEKSMNGLVSLVKKSTPSSFTYICEKSGTSLSDKMDELACFAPGMLALGASGYNDPAEAKKFLTLAEELAWTCYNFYESTPTKLAGENYFFNSGSDMSVGTSWNILRPETVESLFYLWRLTGNKTYQDWGWNIFEAFEKNSRIESGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPTTVIPLDEWVFNTEAHPLKIVPWNDQVNLGQSTAVQQRKPTIRLRQRRIGRMTNK >A08p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15654082:15654869:-1 gene:A08p023820.1_BraROA transcript:A08p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPCNCLFNWFPLDEDLNCVCRYLEDQSHPSTLSLSPWTSLRSISSVTLSTIFSTNSTRVTGNLLRIRFVFKKPREAEVVVPQEDRVCSTSGTEISSSVSEKKRNIRQAKNPGTVPCLSIEEDNSNSDDWLLGTRRQENVSTKSTMNEDMVMNLQKPGESCFPSSQFLSEVGIFSLPYTVLF >A10p020840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14096324:14097194:-1 gene:A10p020840.1_BraROA transcript:A10p020840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDHSSVSTKLDVQYIHPHATLHSSIGLNPTALLDLSASIGSQTVCLGGEVGFDTASSLLAKYNAGIGFNKPDFSAALMLEDKGESLRGTYAHTVNPTTSVGAELIRHFSSHDNSFIIGSSYSLDPFTTVKARLSNNGKAGMVVQSEWRPKSLITLSAEYDSKAVTSSPRLGLALALKP >A04g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3365554:3369081:-1 gene:A04g501300.1_BraROA transcript:A04g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILHSFMESHIDGVVLLATDFVQKDEKKDERMDRILDMINRKHDWNNHESGEEKGEDQAADTERGENSHVAENVDGASDISGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEGLVQASFTTFGEKLCQQFSDRTTSERTEQFETVVTDRLGKIEAEVTQLRTTLVVTELVGKSDQASGPSMTKINSGPSTSKKGTVPSKKKAVKNQELKTADSCVNLPRANVTQSSASDLRRGTQEFLESCMKNLPLDTFVKGLNLSQAKVEDSWDWLELPKSLKNPTYSLELPKSLKKPAVQLDDRDIELDGEDFPDRCLVFVHPADFKKMHECITIHIGPSMLNGDLAGRIMSASKNGIKPNFINPISLDLTPIWTILEPNPDDFSEIADAVMLVVELHRMVKKGAPKKTKEAAPAATQTRDTTAASTSAQPESDEPREAAPWPRDPLTPFSKLPTIHNRHISSKKELKELASYAHRDYYAG >A10p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4006925:4008387:-1 gene:A10p014800.1_BraROA transcript:A10p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISQLPDDLLIKILSLVPTKEVVAMRVLSKRWMSLWTLVPRLIFGDYPEEDDDREDEDADASDNDHCCSQFVYGTLLLHKAPVLERFHLNRVSGCSASEIDLWVRLAVDRFVRDLKIRFFPEYGIIRLPSTLFRCATLETLELRKVVISEVPSRVSFQSLKTFRLLFVKYADEESFVRLISDCPVLEDLVVETCHDDNVVTFTINVPSLEKFSIRNTLQDLETENDVFVVHYHSLKQLTIVDYFGELKLLGNNNMPQLVEANLLSVSCHAKVLESFSRLKRISLCLPEEVQYPTGIVLSQLVFLKICSCESNWMNMLMSVLQHSPKLKVLKLALNHSVTEDRKVFWTQPSCVPQCLLYHLETFEWRDYNGTEDVEKEMAIYILKNASRLVTAIIYYPDLFEWERKLQIFKELEVVSRGSRSCELTMG >A04p016080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9901590:9904869:1 gene:A04p016080.1_BraROA transcript:A04p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 27 [Source:Projected from Arabidopsis thaliana (AT5G39040) UniProtKB/Swiss-Prot;Acc:Q0WML0] MGYKRLMTGGTSSRGHRDPLLQNQDRTKENGEETIENGTTDLESGVAEAANVGFGRVFSLAKPDAGKLVIGTIALLIASTTNLLVPKFGGMIIDIVSRDAKTPEQQSESILAVRNAVIIILLIVVVGSLCTALRSWLFNSASERVVARLRKDLFKHLMHQEIAFYDVTKTGELLSRLSEDTQIIKNAATTNLSEALRNVTTALIGVGFMFSSSWKLTLLALVVVPLISIAVKQFGRYLRELSHKTQAAAAVAASIAEESFGAIRTVRSFAKESYMVSQYSKKVDETLKLGLKQAVLVGLFFGGLNAAFTLSVITVVSYGAYLTITGSMTVGALTSFILYSLTVGSSVSSLSSLYTTAMKAAGASRRVFQILDRVSSMPSSGDKCPVGNPDGDVEINDVWFAYPSRPSHMILKGITLRLTPGSKVALVGPSGGGKTTIANLIERFYDPVKGKILLNGVSLMEISHQYLHKQISIVSQEPILFNCSVEENIAYGFDGEATLSDIENAAKMANAHEFIEAFPDKYKTVVGERGVRLSGGQKQRIAIARALLTNPKVLLLDEATSALDAESEYLVQDAMDSLMAGRTVLVIAHRLSTVKTADCVAVISDGQVAEKGTHEELLSLNGIYTNLVKRQLQSSSSGTNL >A06p000140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:520333:521286:-1 gene:A06p000140.1_BraROA transcript:A06p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCIYTSNTHLSQDDNSRFRYMNLFPDRISRTHITKTSNSSSLDGDDDDVWIKMLKEAQSDVEQESILSSYYYSSITSHRSLASALANILSVKLSTLTLPSSTLFELFTSVLQESPEIMESVKRDLIAAKERDPACLSYVHCFLSFKGFLACQSHRIAHKLWTQDRKILALLIQNRVSEAFAVDIHPGAKIGRGVLLDHATGVVIGETAVVGDNVSILHGVTLGGTGKQCGDRHPKIGDGVLIGAGSCILGNITIGEGAKVGSGSVVLKDVPPRTTAVGNPARLIGGKENPKRVDKIPGLTMDQTSYLTEWSDYVI >A03p033300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14104342:14106328:-1 gene:A03p033300.1_BraROA transcript:A03p033300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIDGKWAPLIMITVINMINGMVQALIKKVLDGGINHMVIATYRLGISTLFLLPIAYFWERKTRPKLTASISFQHFASALFGASLMQYCYLLGLSYTSATIGSAFWGTMPATTFIMALIFRFDKLNMKTKAGYGVVVGALISLAGALTLTLYQGVPLSNSQEHATILNIHKGHENWFKGCFLLFTGVTFFSSWMLIQAKINSSYPCPYSSTVILSVFGTIQCALLSLIKSRHVEDWILRDKLTIITIIIAGAVGQGMCTVGTSWCIKQRGPVFTSAFSPVILMSATLFDFLILHRMIYLGSIIGSVVVVVGLYLFLWSKSKQIVDSKIVKLPTSTVEEEKEEEEEDHTNVNKLGRLLVIPMTP >A05p008890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3676056:3678127:1 gene:A05p008890.1_BraROA transcript:A05p008890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNNNSSYFSPARAASPQIRSTPEIDSSQYLTELLAEHQKLTPFMQVLPICSRLLNQEMFRVSGMMPPNQGFGDFDRLRHRSPSPMASSNLMSNVSNTGLGGWNGGLSQERLSGTPGMTMDWQGAPGSPSSYTVKRILRLEIPVDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPEKEDKLRGRPGYEHLNEQLHILIEADLPASIVEIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALLNSNNLREESPGPSGGGSVSPFNSSGKRPKTGC >A06p044020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23686018:23688702:-1 gene:A06p044020.1_BraROA transcript:A06p044020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDLLFVSVQQVAMKPGGSALNPHAAAYVPLSKREGASASAKPAAASTHHVQYQPYGAYGYGDQGSQMYMPKTTYSSDKQLRDEDLEMDMEIEYLSATFFDLSHESISDVYLANNGDLDATIEMLNQLEIYSTEAQEYLPDTLDIGDVPETTAPSTSSAPEQKNGSNEASASSTSSGAASYICGPLVSLSGYYYRNHLNTSVLEKNKVRILLLVLEDQIQSLNRIYKNMGLDYYNILKVNRNATEDDLKKSYRRLAMKWHPDKNPNTKLEAEAKFKQISEAYDVLSDPQKRAIYDQYGEEGLSDRPPPGSTGNNGRAGGYNPRNAEDIFAEFFGSSPFDFGSAGRSMRFQSDGGGMFGGRTYTDGTVPKKPPPVESKLPCTLEELYSGSTRKMKISRSLIDTNGRQGQETEVLTIDVKPGWKKGTKIKFPDKGNETVNQLPADLVFVIDEKPHDLFKRDGNDLITSTRVTLAEAIGGTTVSINTLDGRNVPVGVTDIISPGHEHVVPGEGMPVAKEPRNKCDLKIKFDVEFPTRLTTDQKSALKRVLVG >A09p064330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51884832:51889937:-1 gene:A09p064330.1_BraROA transcript:A09p064330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRDDAGPSEQGPSNQTWWPSEFVEKFDSVHLGSSQEETSSTKGSPRNLAQDGLTSNSASNILWSTGSLSEPIPNGFYSVIPDSKLKQLFNSIPTLEDLHALGEEGLKADVILVDFQKDKKLFRQKQLITKLVSGLNSKPAAVIKKIAGLVADVYKQPTTLHSPAKATQSLENCGIQLLGQIKNGSCRPRAILFKVLADTVGLESKLVVGLSSDGAAESVDSYSHISVTVLLNSVEMLVDLMRFPGQLIPLSAKAIFMSHISAAGESDSAENDSCDSPLEPNSPMFGYSDHENAEKDENLLFHRKLEGSPIMSGPPSRNMLLRSASTLERKLSLSPSESNIANEFWRQNRRKVIADQRTAGSRLLSSQFDVGFPHHSKNSILLQFLRWLICITDSPEHLSFRTRTKSMLSGDKNLAQDVTGNVATSSKSVGGAKLETKRIRRRSISITPEIGDDIVRAVRAMNEALKQNRLSKEQCDDSSSPSSPNDRAEGPHLQKIVSGFHLDAHDQVSGGRSTLSREPFDPQKAISLPTSPQNYRGQSYERSGSSQRNISHIWDKVLGSPMFQNKPLLPYEEWNIDFSELTVGIRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSLITEYMEMGSLYNLLHLSGQKKKLSWRRKLKMLRDICRGLMCIHRMGIVHRDIKSANCLLSSKLTVKICDFGLSRIMTGTTMRDAVSAGTPEWMAPELIRNEPFSEKCDIFSLGVIMWELCTLTRPWEGVPPERVVYAIAYEGARLEIPEGPLGKLIADCWTEPEQRPTCNEILSRLLDCEYSLC >A04p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8606155:8607170:-1 gene:A04p007880.1_BraROA transcript:A04p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGSAVIVAKSAAAPIERVKLLLQNQGEMIKTRHLTRSYTGLGSCFVRIFREEGVLSFWRGHLTRPYTGYFKTRIRCFKEKDDYLKWFAGNVASGSADGATTLLFMYHLDYARTRLGNDAKECSVSGRRQFKGMLDVYRKTLSSDGVKGLCFGVSILGITLFRGMYFRMYDTIKPIVLVGSLEENFLASFLLGWSITTSAGVIAYPFDTVRRRMMLTSGQPVKYRNAIHALREIVKYEGFFALYRGVTANMLLGVAGAGVLAGYDQLHRIAYKHWLQ >A02p000090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:41791:42498:-1 gene:A02p000090.1_BraROA transcript:A02p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLTTPTSCSSSSISSSSHEMGFLTDTKGKMKEKIADGQATETPRVEFRFLFNNDNIRNSGFGVLDEAEGTKNEAKARVFACTFCKKEFSTSQALGGHQNAHKQERSLAKRRKEIEINYPGHSFYSQYPPSGVSNSNSSQYDLGVRCNPNIGKYKAYPLNIFRCRLGYRGLNINSHVPLLSQLSCPNTDDFSKNLISNLEGSIHMNKEDQGDKEDQSDSDTCKDTEIDLSLKL >A10g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4645118:4646096:1 gene:A10g501680.1_BraROA transcript:A10g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLRDSSAPLRLLRLYSSRLSLSLSTSVLLFPRISAPCLSTIRRSLLMSLHLHYRFYQLMCEDEPEDRYGVEEQRGVWRKRGEALKLLMNIISIFVGESGIQKNWKMESVAIPEQLIKLLPVAEPGIFDLVGYVIFAVEGIHTRASSTTETLR >A04p007560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8794351:8796207:-1 gene:A04p007560.1_BraROA transcript:A04p007560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQCGHCVTEAVIVSSMRLLLPPRCVAIETVALSPYLCALLRLLVVFVQLLRGQEGAQTLPLRRMDGQRHRSRRSANHHHHRRREPSRLPRRHPRYQHRRQVPEAIREVRHRDLHGEGHQGRFLLEAVQALHRFENCARRRADAVIISTGAVVKRLSFAGSGEGADGFWNGGISACAVCDSATPNFRNKPLVVIGGGNSAEETRQWRRRDTFRASKIMQQRKACWWFEVSGLLFAIGHEPATNFLDGQFELDEDGWQDKSYRQAITAAGTVVFVQLLRGQDGAQTSPLRRMDGQRHRSRRSANHHHHRRREPSRLPRRHPRYQHRRQVPEAIREVRHRDLHGEGHQGRFLLEAVQALHRFENCARRRADAVIISTGAVVKRLSFAGSGEGADGFWNGGISACAVCDSATPNFRNKPLVVIGGGNSAEETRQWRRRDTFRASKIMQQRKACWWFEVSGLLFAIGHEPATNFLDGQFELDEDGYVVPSLVPLRRA >A07g500210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:433122:433385:-1 gene:A07g500210.1_BraROA transcript:A07g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGSWTPTAQFSGCQWIWMDNIGNAQLIGTRNHVRRESPVHSEVEALQWTMQNMLQHSTCQSFGADCKELIDMIKEPRAWPSFATE >A01p012370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6020235:6044225:1 gene:A01p012370.1_BraROA transcript:A01p012370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLVMKLVYILLIIFTFTVSPAISTAPESCDSGSDNPCIDKAKALPLKIIAIVAILTTSLIGVMAPLFSRYISFIRPDGNGFMIIKCFSSGIILGTGFMHVLPGSFEMLSSKCLSDDPWHKFPFAGFVAMLSGLVTLAIDSITTSLYTVKNAVGPVPDEYGIDQEKAIHIVGNNHSHGHGVVLATTKDDGPSDPQLLRYRIIAMVLELGILFHSVVIGLSLGATNNGCTIKGLIIALCFHHLFEGMGLGGCILQADFANVKKFLMSFFFAGTTPCAIFLGIALSSIYRDNSPTALITIGLLNACSAGMLIYMALVDLLATEFMGSMLQGSIKLQIKCFAAALLGCTIETKMASIPTVLVKTMFLVLIFVSFTISPATSTAPEDCASESANPCVNKTKALPLKIIAIAAILVASMIGVGAPLFSRNVPFLQPDGNIFTIVKCFASGIILGTGFMHVLPDSFEMLSSQCLKENPWHKFPFSGFLAMLSGLITLVIDSMATSIYTRKNAVGIIPHGHGHGPGNDVTLPTKDGDSADAQLLRYRVIAMVLELGIIVHSVVIGLSLGATSDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNLNKFLMAFFFAITTPFGIALGIALSTIYRDNSPSALITVGLLNACSAGLLIYMALVDLLAAEFMGPKLQEKEEKMASTSTLLMKTIFILLIFVSFTISPATSTAPEHCGSESANSCINKAKALPLKIVAIATILVASMIGVGAPLFSSNVPFLQPDGNIFIIVKCFASGIILGTGFMHVLPDSFEMLSSQCLEENPWHKFPFSGFLAMLSSLITLFIDSMATSIYASNNADGVVPYGPVNGVTLPTKVDYSAQLLRYRVIAMVLELGIIVHSVVIGLSLGATNDICTIKSLITALCFHQMFEGIGLGGCILQAEYTKLSKFLMAFFFAITTPFGIALGIALSTIYRNNSHSALITVGLLNACSSGLLIYMALVDLLAADFMGPKLQGSVKMQIKCFVAALLGCEKMASTSTLLMKTILIVLIFVSFTISPATSTVPEECASESAHPCVNKAKALPLKIIAIATILVASMVGVGAPLFSRSVPFLRPDGDIFTVVKCFASGIILGTGFMHVLPDSFDMLSSKCLEENPWHKFPFTGFLAMLSGLITLAIDSMATSLYTSKNAVGIVPHGHGHGHGHGPANDVTFPTKDNDSETAQLLRYRVIAMVLELGIIVHSVVIGLSLGATNDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNLKKFVMAFFFAVTTPFGIALGIALSTVYRENSPNALITVGLLNACSAGLLIYMALVDLLAAEFMGPKLQGSIKMQFKCFAAALLGCGGMSILAKWA >A07p014660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9111143:9112207:-1 gene:A07p014660.1_BraROA transcript:A07p014660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 25 [Source:Projected from Arabidopsis thaliana (AT1G30110) UniProtKB/Swiss-Prot;Acc:Q9C6Z2] MENLPPGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAMRELQEETGVVSAAIIAEVPNWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLVRLIKDEDEREINLANNEADSEFSEWKWARPEEVVEQAVDYKRPTYEQVIKTFGSYLNDPGRAAKCKSAKW >A06p017540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7868950:7869237:-1 gene:A06p017540.1_BraROA transcript:A06p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLLTAFGADKLVRIERVKASVAKAERDPIAQKTVLHLESAPVFTKQLNKGKGIVFDFDINSSGDQLPMESSHKDKLRHQILRQERERVLSQG >A08g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8048098:8048823:1 gene:A08g504850.1_BraROA transcript:A08g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPASSDSNEKVMFFNDVSLGPHETQLRFRLIHFWEARNPVKKTLIGLEMLLIDEQTRSRVSTVSMSTMRECRSMVY >A08p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11879639:11880341:-1 gene:A08p002540.1_BraROA transcript:A08p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSFIKANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPGSRLAVDDLPGSRLVNAEVNFAIDFEICYFGRLKVKSSTIKFDFRRRLTFQSSGEN >A06g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11657080:11660573:-1 gene:A06g503730.1_BraROA transcript:A06g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITICKASSTPYCRDDRQRRKLAIYKKKEKKERDLSRRFLSTSTDETSYISIDGTSDPTIDCPFIVSIDFSSHRPMRPFANNTKETKVDQPLDYVTFSENVYMGLDSLNKSGSEHDLVAKAIMALFMEACPILSLFRVFTDSWTIWRKVVILVSFGTFLSAELHICVRCLAINGDLSTVRLSPYFNTSYRFELDF >A01p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15941081:15941396:-1 gene:A01p040270.1_BraROA transcript:A01p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNINSVSITVLLFVLLVASTEILKSEAQTFCFECGPVPFLGTNADCFNCCKTKYGSPPVVSGVVEGSEKHCHCYC >A08p027320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17271534:17274603:1 gene:A08p027320.1_BraROA transcript:A08p027320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQIYKRKLTINQSKNSVLVHFAEYSVHIEKIIYICVCVKIQTIQFHKQNRGEEEKTQYKLQQNNLMASSKTCFFLVFLCLVVLLIPKSAQAEDGGSHLEIVQGPCSKFPDCNQQCTDLRYPGGGKCIKVGRYAVANSTSATFLIVVGSELIQKYLGDGPKLVRELFRVVDDLSPSSVFIDEIDVVGMKLYDANSGG >A08g502500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5439130:5439501:1 gene:A08g502500.1_BraROA transcript:A08g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRWDLGIGEDDWVVVIYQDHKMDYGNQGIYSARLGIVLGIKKGICRRLRKFQIGTQWRWSPQIIKTELWIFPGCIFDSESLFSFLYILEIDLRYQGFGAWILWFLWGLKSESIKSQRKIW >A07p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22429864:22432222:-1 gene:A07p041580.1_BraROA transcript:A07p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50250) UniProtKB/Swiss-Prot;Acc:Q39102] MASTSLRSSLFFGSNVIISSPTPKTTKPSFHITRSSLDDKPLKSLPSRAALAAILFSSSISSQSPKALALDQPLTPTQPIVIEAQSLSPSPSSLSPFAQNQVITAPNPKAQSSSSDLPDGSQWRYSEFLNAVKKGKVERVRFSKDGSVLQLTAVDNRRASVVVPNDPDLIDILAMNGVDISVSEGESGNDLFTIIGNLIFPILAFGGLFLLFRRAGGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGMGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKAIGKDVDFDKVARRTPGFTGADLQNLMNEAAILAARRELKEISKDEISDALERIIAGPEKKNAVVSDEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGDDNVTTGASNDFMQVSRVARQMIERFGFSKKIGQVAVGGPGGNPFMGQQMSSQKDYSMATADIVDAEVRELVEKAYKRATDIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELYVS >A05p006350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2532692:2533540:1 gene:A05p006350.1_BraROA transcript:A05p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF034 [Source:Projected from Arabidopsis thaliana (AT2G44940) UniProtKB/Swiss-Prot;Acc:Q8LBQ7] METQINMEKSASQVTFISAVSSSSASLSYSPTTSSSSSSSSTSNLGNPKRKASQRSLSSLAVQDLDDQGVKRRKTNGGDKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTADMAARAHDVAALAIKGTTAYLNFPELAGELPRPVTNSPKDIQAAATAAAVNWQDSDGLHVDNSDITEKTEAEPSGAVMAQSLSDSVVFSSDIITQELSETSCASTTSFVDKDSDEEKLFDLPDLFNGGNEIGIIRNDAFWYDSSTWQLCGADAGFRLEEPFFCLND >A10p036000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20566564:20567615:1 gene:A10p036000.1_BraROA transcript:A10p036000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECKILQARGLKKHLKRLNAPKHWDLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNKLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRTIQVGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKIVDSIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLSAQQGA >A09g518670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56880791:56884447:-1 gene:A09g518670.1_BraROA transcript:A09g518670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINISFWNVRGINGKDKHPDFLNWRNVNKVSFGAILESHVKESNLSIVMNAICPGWSFASNHSEDEDGRIIIFWKHPLQVSVLLKTRQSLTCFVHCPGSHSFTVTAFFNYLVTHPDFQETVTSGWEFTSSDSWNLSSLSKKQKQLKKYLKSLNKDNFSDIQKRKILRLRHLAVRWLKILPGNGRECRFWTSPWSPFGQLINFVGQSGPRSTGIPISSSLASLWSNDSWTLAPARSDRMEEVLTYLTSISLSDRPDTMIWNTSTTRSNQSRCFSANQVYNLIRESNPSVAWKNVVWLKRGIPKFKTLTWLFVLDRCPTRNRLLAWGLQTDAGCLLCNRYQESRDHLFFDCEYSYAIWGSLATRLNFSLQSNSWNDSLQALIDFTGDSTLRYLIILAWQASIYEIWKERNNRLHRNIFRSPSSLVSSINATVKNRISSFRDDNGVFSSQTMQRWLSTN >A01p056560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32099332:32101485:-1 gene:A01p056560.1_BraROA transcript:A01p056560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGRSLKNNNMEDDMDLKRGPWTAEEDCKLINYIATNGEGRWNSLSRCAGLQRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYLWMPRLVERIQSASASAAALTNATTTGSAATSSCIIASNNQFMTYDYNNNSMGQQFGVMNNNDYITPENSSVALSPVSDLTDYYNAPNPNPEYHSGQVGNSYYPDQNLVGPQMLPDDYFDYSRLLDEDVPAMQEQSNLNWFENINGAASSSDSLWDIGENDEDFWFLQQQQQFNNNGNF >A08p029290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:18169144:18171579:-1 gene:A08p029290.1_BraROA transcript:A08p029290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSC1-like protein At4g35870 [Source:Projected from Arabidopsis thaliana (AT4G35870) UniProtKB/Swiss-Prot;Acc:Q9SZT4] MHRSFSPAATPPPLSSSSSSSPPPSSGDLPEIPDAWYGNIQYLLNISMIGLLCCVSIFLFLKLRSDHRRMPGPSALLSKLLAVWSATCREIARHCGADAAQFLLIEGGSFVLLFSIALLALSVMLPLNLYAGTAVLSDELSKTMITHIKKGSALLWLHFVFVVVVVVISHFGISAFEARLKFTRFRDGNGNVSDPNADSTAVFTVMVQGLPKNLGSDRVEFEECFRQKYPGKVYKIIVPMDLCALDDLATELVRVRDEITWLVAKMDSRLLPDEFENSGDDGVLSFLMGLWGKVKVMWSGVAERFGFTDDEKLRKLQELRADLESQLAFYKEGRAQGAGVAFVVFKDVYTANKAVQDFRNERSRRTGKFFSVTELRLQRNQWKVERAPLATDIYWNHLGLTKIALIVRRVIVNTILLLILVFFSSPLALISALVSAGRIFNAEALDSAQSWLTWVQTSGWIGSLIFQFMPNVFIFVSMYIIIPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLIVLKALVESSLESAFLKMSRCYLDGEDCKRIEEYVSPSFLSRSCVSALAFLITSTFLGISFDLLAPIPWIKKKIQKFRKNDMLQLVPEQNEEYPLENQSNLETPLLPENMFESPRFGDVEPMSQDLAEYPISRTSPIPKQKFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAVYFGYRYIVDKYNFLYVYRVRGFPAGNEGKLMDTVLCIMRFCIDLYIVSMLFFFSVKGDSTKLQAIFTLGLLVMYKLLPSDTERYHPALLRSIQTVDSIVDGPVDYEAYSQPNFDWDTYNR >A05p037660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21399845:21402491:1 gene:A05p037660.1_BraROA transcript:A05p037660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGKLRQEQFPRDERFEMSKIALGNESLVGSLTPSNKKSYKLTNRIQEGKIPLYSVVFNSIDARFFNCFVSAGGNGINLYNCLEDGGISSLHSYTDEDKEESFYTVSWACGVEGNPFVAAGGVKGIIRVLNVNDKIIHKTLVGHGDSVNEIKTHHMKPQLVLSTSKDESVRLWNVETGICILIFAGTAGHRYEVVSVDFHPHDEHRFVSCGMDTTIKIWSMKEFWTYVDKSFTWKDDPSKFPTKFVQFPVFTTSVHTNYVDCNRWFGDFILSKSVENEILLWEPQLIDNSPGEGTSDVLLRYPIPNCNLWFIKFSCDLSLNYLSIGNQKGKIYVWDLKSCPPVLVTVLSHSLSKSVIRQTAMSTNGNTILAASEDGTIWRWDAVTKVASESSQELMN >A02p021710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10212431:10214012:-1 gene:A02p021710.1_BraROA transcript:A02p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPTMSDSTSPLLRSRQSPRRRQPLLASLIGRASGRRGASVVVRETAAQELEDRRADWGYSKPVVALDMLWNTSFVVVAVVMLLISKEEEPNVPVRLWICGYALQCLVHVVLVWLEFRKRSMRGGDLEAGEGSGRDSDDEGGDERILSAKTCESMNTIVSFVWWIVGFYWLVSGGEILLENASHLYWYGLTFVFLAFDVFFAVFCVVLACLIGIALCCCLPCIIALLYAVAGQDGASEADLSILPKYKFEMMNNGEKQSDGGGKMIPMEAGTEYSGNERVLLAEDADCCICLSSYEDGTELVTLPCNHHFHSTCIVKWLKMNATCPLCKFNIVKGNEQD >A03p009800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3907572:3908183:1 gene:A03p009800.1_BraROA transcript:A03p009800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMRTSYLKPPLPKSPLRLRSRQVLSSSSSSSILQTPPGLTKFQKRSVADLESNLPVEYSSISSEIHAMAKMVEKEFAQEEVKSRASSLEHMAANSEVAPVFERGRFYDEYSARRNERLRRKKGGDESVVKGTPYNLGVEPMTTKRRGIVKKKTTMVETTATAPRYSLRSMKKENKKPPIPLNVDVSAMKTVTATTRRGRRI >A03p031530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13287387:13291991:1 gene:A03p031530.1_BraROA transcript:A03p031530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04350) UniProtKB/Swiss-Prot;Acc:Q9XEA0] MSSHQILQFRSDPFVVSPCCLRICPKVSPKPSLPFQWRRSHHAGVRSSTKKKTQCNLKNETPATETAKRVYPFHEIEPKWQRYWEDNRTFRTPDDVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARLRRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKTTTLKNIDRFRLQLKSLGFSYDWDRELSTTEPDYYKWTQWIFLQLYKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELEWPESIKEMQRNWIGRSEGAELDFTVLDGEGQETDKGITVYTTRPDTLFGATYMVVAPEHHLLSYFVTPEQKQQVEEYKDFASRKSDLERTELQKEKTGVFTGCYAKNPANGDAIPIWVADYVLASYGTGAIMAVPAHDTRDNEFALKYNIPIKWVVRNEASSSDEVYPGVGIIENSSSVETGLDINQLSSKEAALKVIEWAERTGNGKKKVNYKLRDWLFARQRYWGEPIPILILDESGETIAVSESELPLTLPELNDFTPTGTGEPPLSKAVSWVNTVDPATGKPAKRETSTMPQWAGSCWYYLRFMDPKNPEALVDKEKEKYWSPVDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTAWKDQEGNYVSADTEERLNEYQQVTIPEDKVMKSGDHFVLKEDASIRLIPRAYKMSKSRGNVVNPDDVVLEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLARTWRLVIGSPQPDGSFKDGTVVTDDEPTLEQLRSLHKCIAKVTEEIESTRFNTGISGMMEFVNAAYKWNNQPRGIIEPFVLLLSPYAPHMAEELWSRLGHSSSLAYESFPKANPDYLKETTIVLPVQINGKTRGTIEVEEGCSDDEAFSLASQDKKLEKYLDGQSIKKRIYVPGKILNVILNKSNVKVTTK >A09p071380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55101412:55108517:-1 gene:A09p071380.1_BraROA transcript:A09p071380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALGWRFASSNGNGLAPNDTDMKIPDSEPQTPHSTTKMSLRERTTSMEDPDGTLASVAQCIEQLRQGSSSAQEREYCLKQLLDLIEMRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCQENELRVKVLLGGCIPPLLGLLKSSSVEGQIAAAKTIYAVSEGGVKDHVGSKIFSTEGVVPVLWDQLRSGNKKGEVDGLLTGALKNLSSTTEGFWPETIRAGGVDVLVKLLTSGQSSTVSNVCFLLACMMMEDASVCSSVLTTDITKQLLKLLGSGNEASVRAEAAAALKSLSAQSKEAKREIANSNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDGKAETTRASDPLVVEQTLLKQFKPRLPFLVQERTIEALASLYGNSILSVKLSNSDAKHLLVGLVTMAANEVQDELVKALLMMCNHEGSLWQALQGREGIQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAREDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSANGKEIAAKTLNHLIHKSDTATISQLTALLTSELPESKMYVLDALKSMLSVVPFSDMLREGSASNDAIETMIKLMSSAKEETQANSASALAAIFHSRKDLRESALALKTLLSAIKLLHGDSEKILVESSRCMAAILLSIKENRDVAIAAREALPTLISLSNSSVLEVAEQGMCALANLILDSEVSEKVIVEDIILSATRILREGTVSGKTLAAAAIARLLSRHQINSALTDSVNRAGTVLALVSLLESADGRSDAISEALDALAIFSRARVIGNVKPAWVVLAESPSSMAPIVSSIVSVANPSLQDKAIEVLSRLCRDQPIVLGNMVNNARDCVSSIAKRVINSRDPKIKIGGAAIIICAAKVDDQRMIENLNETQLCAKFVQALVRILDSPSVQDQDKDERDNIFICIHPKEKEEDEEEEEATESREGSTGVTLLSGDNLAIWLLSVLSCHDEKSRAVILESEGIELITDRIGNRFLQADDGEDTNIWVCALLLAILFQDREITRANATMKAVPVLSNLVKSEEYADRYFAAQALASLVCNGSRGTLLSVANSGAAAGFISLLGCSDDDIKELLQLSQEFMLVRYPDQVALERLFRVEDIRVGATSRKAIPLLVELLKPIPDRPGAPLLALNLLTLLAGDCTQNMIVMVESGALEGLSKYLSLGPQDEQEEAATVLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALDSLFTADHIRNAESSRQAVQPLVEILSTGSEREQHAAIAALVRLLSDNPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCYVLFANTRIRSTVAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGRNYVLHEAISRALVKLGKDRPACKLEMVKAGVIDCVLDILHEAPDFLCAAFSELLRILTNNATIAKGQSAAKVVEPLFNLLTRLEFGPDGQHSALQVLVNILEHPQCRADYTLNPHQVIEPLIPLLDSTSPAVQQLAAELLSHLLLEEHLQKDPLTQLAIGPLIHVLGSGIHLLQQRAVKALVSIALTWPNEIAKEGGVSELSKVILQADPSLSNVLWESAASILVVILQFSSEFYLEVPVAVLVRLLRSASENTVVGALNTLLVLESDDGTSAESMAESGAIEALLDLLRSHQCEDTAARLLEVLLNNVKIRDSKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSTDAASACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLISSSDPDTSVQAAMFVKLLFSNHTVQEYASSETVRAITAAIEKDLWATGTVNDEYLKALNSLFNNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVTIKRGNNMKQSVGNPSVFCKITLGNTPPRQTKVISTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYSLLPESKSGPRNLEIEFQWSNK >A01g502760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9238493:9238672:-1 gene:A01g502760.1_BraROA transcript:A01g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMKMRLFEAVLVAMMAFSAPQQATAVEAPAPSPTSDASLSIPAFVAAVATLAFGFLF >A03p037940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15852444:15855046:1 gene:A03p037940.1_BraROA transcript:A03p037940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDQPRVEEHTSLHSPPQREGDSPEIAQEEDPWRRGIVSGTTPEDTGEDLSRQNASLTSSPVAKRVNFSPMSSPRIGQRGASLSPSSSSSRNKPNSLKNLVPKLSFKNRNSDVEKAEDLAFEGNGRDRSTWTLSNILTPRLKKTESLPVTPLAHSNPGSTHGRYVVDLKKGPPLPIHRSRSVPAFNKDGSLRQAGVFRVIPTPNMTPARNIMKLNDTDVDGGEDVPEEEAVCRICMVELGEDSDAFKMECMCRGELALAHKECTIKWFTIKGNRTCDVCKQEVQNLPVTLLRMQNSQGNFGDADTEASHYRQDVPILVIVSMLAYFCFLEQLLLTKMKSGAIAISLPFSCVLGLLASMTTTTMVTKQYIWIYATVQFGLVVFFSHIFFTLVHMKPVVSILLATLVGFGLTMSGQTGLAEFAKWRRIQRADEPPSSSQVDPPPVQTAG >A05p046120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27445681:27448074:-1 gene:A05p046120.1_BraROA transcript:A05p046120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGGKLLTVFVCLVSTVALVNAGDPYFYYTWNVTYGTVAPLGIPQQVILINGQFPGPNLNSTSNNNVVINVFNNLDEPFLLTWSGLQHRKNSWQDGVTGTSCPIPAGTNYTYHFQPKDQIGSYFYYPSTALHRFSGGFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTKSHTALKTFLDSGRTLGSPDGVLINGKSGKVGGPNVPLFTMKPGKTYKYRICNVGFKSTLNFRIQGHKMKLVEMEGSHVLQNDYDSLDVHVGQCFAVLVTADQTAKNYYMVASTRFLKKEVSTVGVMSYEGSNVQASSELPKAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLANTKNLVNGKVRFGLNGVSHVDTETPLKLAEYFEMSEKVFKYNVIKDEPAAKITTLTVEPNVLNITFRTFVEIVFENHEKSMQSFHLDGYSFFSVASEPGTWTPEKRNNYNLLDAVSRHTVQVFPKSWSAILLTFDNAGMWNIRSENWERRYLGQQMYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPTPYTI >A08p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16581092:16582325:1 gene:A08p025790.1_BraROA transcript:A08p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGKEMMKKLVKKVGAETLTPELKEKLKACVPDSKVVMGRAKRGLYAGRHIQYGNRVSEDGGNKSRRCWKPNVQEKRLFSYIFDRHIKVKVTTHALRCIDKAGGIDEYLLKTPYQKMDTEMGLFWKTKVEQRYAELGQMEVAFFNPEDEAKFEQGFKDLNIAKKDARREARKEARRKMYGDYGGEEKGEGEASLEAGGSESHQDDHGWLEANA >A05p034230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19243752:19245701:-1 gene:A05p034230.1_BraROA transcript:A05p034230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANITGQTQIANLDIEDGRSGGGSRSQDSPRPPIFISFVQKLFGEFVGTFSLVFAGCSAIVVNDTYGKPVTLPGIALTWGLTVMVMTYSIGHISGAHFNPAITIALASSRKFPLKQVPGYIAAQVLGSTLAIESLRLLFNLNNNGCSLKGAIYVGTHPSSSNTASFVVEFIATFNLLFVISAVATDKRANRSFAGLAIGATVVLDILFGGPISGASMNPARSLAPAYIWGCYKDLWIYIVAPVVGALTGAWTYNMLRSTNKSFGEIIRPNCNKEASLEEFCVLQMVDPNNRKIFILSSPIDINDTCNITCKLA >A10p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9206014:9210451:-1 gene:A10p005180.1_BraROA transcript:A10p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKSPSGGLPPPSGRYSSFPHLTPPPSGSSDANRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDLSFDSDLGVVGGAADGPSFSDDADEDLMSMYLDMDKFNSSASSSCEPAELVWRNELGSTTTPGGGGGSSERPRIRHQHSQSMDGSTSLMSGSEDVSGGVDSKKAISAAKLSELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLTLLQRDTNGLSVENHELKLRVQTVEQQVHLQDALNDALKEEVQHLKVLTGQGVSNGGASSVMNYGSFGSSNQQFYTNNQSRHTMQAAQQFQQLQIHSQKQQQQQQFQFQQQQQLYQLQLQQQRIQQQEQQSGGAEPRRPMSSSGQKESATSSPDLDLLSGLSLQSFQQDMAASSGVETEPEWIKRVRSEGAVPCLKPDSKDCKNSWTTPSPNTFMVRGPHYFSDKVKVPAGDFLLKPLGFDWVKGPTKLSEILSYPSSRIRKAIDEQFQTEDATAKPFVWAFNLQLPHKDNYSAVAYFVSTEPILQGSLMDQFLKGDDGFKKSRLKLIANIVKGPWIVRKAVGEQAICVIGRALSCKYVSGENFVEIDVDIGSSMVASAIVHLAFGYITTLTVDLAFLIESQTDAELPEKLLGAVRFSELQTDSAVSMELSSSTSNERSSWWKSLGNGFSNLLNQDTPNMNNTSFGNLQRDEDVKKP >A07p010830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6768726:6771746:1 gene:A07p010830.1_BraROA transcript:A07p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLLITILLCLAFSGRCIDAYSRNDFPKGFLFGSAFSAFQWEGAVDEDGRMPSIWDTYIRSNNAPSGDIACDGYHKYKEDVRLMYDMGLDAFRLSISWSRLIPGGRGPVNPKGLLFYKNLIDELTRHGIEPHVTLYHNDLPQALEDEYGGWIDRRIIDDFTAFADVCFRELGNKVKFWSTINEPNMSALGGYDLGFMPPEHCSAPFGHVNCSRGNSSTEPYIAVHNMLLAHASTARLYKQKYKGEQNGSVGMTCFSYWVVPFSSSKEDEMATQIAKDFFLGWILHPLVFGDYPDTMKRLVGKRLPSFSEEETKVVKDSSDFIGVIHYTTMTAAHVSTFQQGDFSADMNALVSPFGNSTLVKYDVLPWGLEGVLAYIKENYGNPPVYILENGQSTNHYSSLDDVERVEYLQAYIGAVLDSVRNGSKTKGYFQWSFMDLYEFLDTNYTYGLYYVNFSDPERMVVGSQDPKNVSVSSSTGFSSQ >A02p006180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2610426:2612447:-1 gene:A02p006180.1_BraROA transcript:A02p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTRFSDKQIMDLMHDTNSNDGDHQRSRVDDTNDLVPKKEAIVPSYDFQPIRPNTTVGLSHSALDLAGSVNSSAARGFAASDFFPVKSSARSYGSVDSIEPSKLFAEKERVNPETAILSEIDRVMKKHADDLMNVMEGVSARLTQLESRTRNLENLVDDVKVSVGNSHGNTDGKMRQLENIMLEVQSGVQLLKDKQEILEAQLQLSKLQVSKVDQRSDKHSTHVEPTAQPPAALPQPPASAAAPPSLTQQGLPPQQFIQQHSLQAPSSQLPQLPSQFPPQQESYFSPPGGGQSQPPPVNNPPYQPPPPPQTQSLHQPPYQPPPQQPQYPQQPPPQLQQPSSGYNPEEPPYPYSSNPPRQQPPPAPAPSQQYYNNPPTPPSMYDGPGGRSSSGFPSGYSPEPYPYTTPPSSQFGNSQSMKPSHQSGGGGGSGYPQIPMARPLPQALPMATAISSGGSGGGGSGSPRSGSNVPVDDVIDKVSSMGFPRDQVRGTVRALTENGQAVDLNTVLDKLMNGGVMQQQQQQPPRGWFGGR >A05p017220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7733001:7734332:1 gene:A05p017220.1_BraROA transcript:A05p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIEIVADDGDNRNNNALFGKYELGKLLGCGAFAKVFHARDRRSGQSVAVKILNKKKLLANPALANNIKREISIMRRLSHPNIVGLHEVMATKTKIFFAMEFVKGGELFNKISKHGRLSEDLSRRYFQQLISAVGYCHARGVYHRDLKPENLLIDENGNLKVSDFGLSALTDHVRPDGLLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGIVLFVLAAGFLPFNDPNLMNMYKKIYKGTYRCPRWMSQDLKRFISRLLDINPETRITIDEILKDPWFVKGGLKTIKFHDEVDLDNGAVKGKEDGGGGESEAVKSLNAFDLISFSSGLDLSGLFDGCSNSVGEPERFLSEKSPEKLAEEVEEFAKVENLRVNKKKKEEYEFEMEGLNGKFTIGIYISRLNDLLVVVEARRRGGEVDCYKEMWNDKLRLQLIRVTDQTPNASI >A05p053660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31049081:31051492:1 gene:A05p053660.1_BraROA transcript:A05p053660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRQKRSPMNSSAFFFIVISTVCFSSGGVSALGVNWGTMASHQLPPKTVVRMLTDNNIKKVKLFDADTDTMGALAGSGVEVMVAIPNDLLQTMGTYQRAKDWVQRNVSRFNFNDGVKIKYVAVGNEPFLTSYNGSFINLTYPALVNIQTALNEAGIGDYIKATVPLNADVYNSPPDNQVPSAGRFRSDILQEMTDIVNFLAQNKAPFTVNIYPFLSLYLSSDFPFEYAFFDGQNTVNDNGVIYTNVFDASFDTLLASLNALNHGDMEVIVGEVGWPTDGDKNANVANAERFYSGLLPRLANNIGTPMRKGYIEVYLFGFIDEDAKSVAPGNFERHWGIFKFDGQPKFPVDLQGQGQKKFLTGAQNVQYLLNQWCMFNPNGRGNISKLGDNINYACSLSDCTALGYGSSCGNLDANGNASYAFNMYFQVQNQEAQACDFEGLATITTQNISQGQCVFPIQIGKPSSGHYDYSYSSIVRFGLVISGLMFLLIT >A09p079340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58425215:58427093:1 gene:A09p079340.1_BraROA transcript:A09p079340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRARELVSLFLYKICNPRRSDYKIEEHVGEEAEARKLNRLRTSTQVHELEADAELKRLKTPLKKPEAQLNMSEAEELKSFRAIILESSSGSSEKHNQDFVLPDDLVRLILPRLAMKDNIRSSVVCKTWSEIAAASIRLKDKPYWLMYLITCNNTRGGVSYGFYDPIAKKKTKAMTVPELSMDSKIFCSNDGWLLIKDYSPHGNLCFFNPFTRERINLHFPKHTICSTTFAFTCAPTKMGCLLVGIEGFPDSVSVYTTISTWHPGAVTWVHEEFRNEISSHSRHTQNIIHSDGLFYMATEIALGVFDPSARTWTVLPMQPILGVGPRMRTLRWMTEYAGQIFLVDASYVEPVVFRLNQVESVWEKKSTLDGCSIFVSNGSCVITSGSMSNILYIWNNDINGRRPTKYMCTFKRNRPYKYSLYNRSLCEDPEGYYFEYRTRRDMDGVWIEPPQDVSIYDFSIIDPSVAINTCLFF >A02p056230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33933745:33935416:-1 gene:A02p056230.1_BraROA transcript:A02p056230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDMVKEGLMASPREIFSISGPIHLTSIDCPSQQAVYILKYYFIIKVNFFGRNNSYHRTSVASCLVQAVYTLERDRQQNRIGLKSQAHHWWEFFNFTLAETLIDQSDGSIYGAVFQYKLFSYNYHNTPYSKTPPFHVIAFRGTIMKPHSRSRDLRLDLRCVRDSLHDSTRFVHAIEVIKTAVAKTGNAAVWLAGHSLGAAVALLAGKIMTRSGFPLECYLFNPPFSSIPIEKLVKSEKLKHGVRFAGSLVKAGVAIAVKGRHHHNKGQEDDSFMKLASWIPYLYVSPLDPICSEYIGYFNHRNKMFEIGAGKIERIATRNSLRSLLTGGGGGGGGSSCSDSTSEPLHLLPSAYMTINTSKSPDFKRAHGIHQWWDPMFNGEYVLHQFNQ >A03g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31803255:31806198:1 gene:A03g509910.1_BraROA transcript:A03g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIHLNVFGNAGFSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLCLIFLHLVFQIWKTSGTTYLLVVWKSSGTRLEVVWKLSGSLLDFLKVVWTSCKVVWKSFELPKSLLTKSSELPGSRLDFLEVTTYNLVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLPNSLAYIKLFQAHRITNESHPPIINQNLGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A06p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:972612:976559:-1 gene:A06p004240.1_BraROA transcript:A06p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRFSFLGMSSFNEVSEPSSVSGIKAKPTLSLQTDKDVYMPGDFIFVTIEVGYSSVRDHENGVNPSVLVEKLSEHIFLDSSTPSLISNQLLSPGAKMTLMVRAILPPIIPPSYKGATLRYHYYIKSTLSGRLMVLENSQFYKESTKEFIEVNTRVPIQVWADPKNNGLLLEDSQTDGIVPTSTIQTEIYWKGMDGDSEWIRANDAYDNGEDGYDSSRDEISSVSSYPNRGNLNRTFGSSSSLNSGPRLSMKGTSYIEEGVGSSPKEIVSQLSAAVVSYDSGPDGFYPGKSSDSVILSQQLNQTNGSGASNSPEAGAGEPIPSERFTRGRSYNIRMDDQVLLRFSPKNTDSTYYFSDNIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTHTKVQSDHHEVVADLIQTSFLFSIPTDGPMSFTTPCVSVQWILRFEFLITPKDVDLSRYEHPLLIREKEKCEWVLPITVHAPPPRTSSAAQNRGDKLFGLEPSWVRS >A06g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8836796:8838749:-1 gene:A06g502720.1_BraROA transcript:A06g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSDEYDINALIQAEFELYGDEAESNYHIAEPLQYEPQPECDEGIPTICYCGGDPVVAISSTAKDLGRRYFTCPNVDDGDCHIWKWWDVAITEEMRELQTQIRQLKDQGFECEEKVVKLQKTVCALSKKKPGLITNGFAMEEEIQRSKSECLKPVECLYNKFAFYLPNCSVCIDFNFANFKCAYLRICVAFRVTHYTFLTMDKNTSYVNLLFSQSQSSVDLDSPEPFWFGSQVKEKLSKQKLLERLLGKKEPLTEMETSLKLKLMSKMLSSMTCEGTGAARK >A02g510330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27424755:27425571:-1 gene:A02g510330.1_BraROA transcript:A02g510330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTFFMSNGFHYHEPSVSYKLRNRYIISLIREVTKELCGDGVVLGVRVDADPGCTYEYGVEKTVSSEDSSPSWQKPPPLFLKCNVGASWDISSLSSGASWIVRDSKGVVLYHSRRAFSCIGSRIQADLTAISWAAEAIRDLKLKRVIFEISSDQAQTALDFPLSFLGNPHVCQRALFAIHAVSEAKLHLVQANCNIIANLIAGSVTRDHRHQSYVARNGPVWLSSQIHLETSS >A01p005860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3029515:3040217:-1 gene:A01p005860.1_BraROA transcript:A01p005860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSRSRIDQFYVSKKRKHLSPNLKSGRNEKNVKVTAERSPGDKGTLDSYLKPSLDDKSTSNSVLQARQEAFTKKLDLEVSRPPYAVESIHPGLPKPVIAESSRGDEGCLNRGESRVLHKEGVATAENHAPDVLLCANQKDNSELRDFATGFLSLYCSEVRSVVGSPTRQKANELKRCGSSSLLAHDMQISHKRRCDSANIPSLDAPAYPLGSKPVSVANIVDKRDKSVSGPTKKIPRNESVEIPMGLRKCTKAPEPSAHLTECRTPGSAVKSCHVGTPKSGSGSSIFSPGDSFWNEAIQFADGLSVPTENSGSVEAKDRDKEDNCSDNLKRSLELDESRVKDKDATGYSKVAEKHGRDFNKEVSPLPVKNLDLLFQDKRANGSTREQCASFDQNNITRGSSRTSQSALVDNRGCGSLDVANKNLIGRVYPDPEENKVVVCEDNNSVRSVPAISNMRKSVDSSESEESQTPSSSYHNKDGLSLSTWLPSEVCSVYNKKGISKLYPWQVECLQVDGVLQKRNLVYCASTSAGKSFVAEVLMLRRVITTGKMALLVLPYVSICVEKAEHLEVLLEPLGKHVRSYYGNQGGGTLPKGTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGSSESSSGESSGSSSGKNDPAHGLQIVGMSATMPNVGAVADWLQAALYQTEFRPVPLEEYIKVGDIIYNKKMEVIVQEGNSVLIFCSSRKGCESTAKHIAKLIKKVLIDVDGENSEFMDITSAIDALRRSPSGVDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPMIGRDFLDGTRYKQMSGRAGRTGIDTKGESVLICKPGELKRIMALLNESCPPLESCLSEDKNGMTHAILEVVAGGIVQTAKDIHRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHRKFLEWNEETKLYTTTPLGRGSFGSSLCPEESLIVLDDLLRSREGLVMASDLHLVYLVTPINVGVEPNWELYYERFMELSPLEQSVGNRVGVVEPFLMRMAHGATVRTLNKPQDVKKNMRGEYVNRHGSASSKMLSDEQMLRVCKRFFVALILSKLVQEASVSEVCEAFKVARGMVQALQENAGRFCSMVSVFCERLGWHDLEGLVAKLQNRVSFGVRAEIVELTSIPYIKGSRARALYKAGLRTSQAIAEASIPEIVKALFESSAWATEGTGQRRIQLGLAKKIKNGARKIVLEKAEEARAAAFSAFKSLGLDVHDLSNPLPLAPARSPNEQVTIERDISGSSVAPSGLQHVPGQSSMEGHMEHGNFDADNHREKPMEVSGAALGVSLEVNLSDPLPDFQPIGTTVGTDGLNAVSILSSDDRDVRNEDNAEQHLARNADIPLSNKDNAGEKGPITAGNIRGGFDSFLELWDSAGEFFFDIHFNKLQGLNSGISYEIHGIAICWDSSPVYYVNLNKDLLSLECAEKLSKDTAIGKKEVLGTHNVFDVIKSRWNRISKIMGNEKTRKFAWNLKVQIQVLKSPAISIQRCTRLNLEEGIRDFELVDGSWLMMHPLRISHTIDMSIVTWILWPDEERHSNPNIDKEVKKRLSPEAAEAANRSGRWRNQIRRVAHNGCCRRVAQTRALCLALWKILVSEELLEALTTTEMPLVNVLADMELWGIGVDIEGCLRARNILRDKLRSLEKKAFELAGMTFSLHNPADISNVLFKQLKLPIPETQNKGKLHPSTDKQCLDLLRNEHPIVPIIKEHRTLAKLLNCTLGSICSLAKLRLSTQRYTLHGHWLQTSTATGRLSIEEPNLQSVEHEVEFILDKNGKEVNSDAVSYKVNARDFFVPTQENWLLLTADYSQIELRLMAHFSRDPSLIAQLSQPEGDVFTMIAAKWTGKNEDSVSPHDRDQTKRLIYGILYGMGANRLAEQLECSSDEAKEKIRSFKSSFPAVTSWLNETVSFCQEKGYIQTLKGRRRFLSKIKFGNAKEKAKAQRQAVNSVCQGSAADIIKIAMINIYSAISEDIDTAASSSSTRFHVLKGRCRILLQVHDELVLEVDPSYAKEAAMLLQSSMENAASLLVPLHVKLKVGKTWGSLEPLQAD >A08p045430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24914101:24915229:1 gene:A08p045430.1_BraROA transcript:A08p045430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRRQDYNVVHKLPHGDSPYVRAKHVQLVEKDAEAAIELFWRAIKARDRVDSALKDMALLMKQQNRADEAIDAIHSFRYLCSRQAQESLDNVLIDLYKKCGRIEEQVELLKQKLWMIYQGEAFNGKPTKTARSHGKKFQVTVQKETSRILGNLGWAYMQLKDYTSAETVYRKAQVIEPDANKACNLCSCLIKQGKLGEAKSILFRDVLEKKEGFDDDLRLKVRVQELLSELERREEEETAVLASVECEVGMDEIVVVEGFDEFVKEWRRPYRTRRRLPIFEEILPLRDQLAC >A03p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2078897:2079397:-1 gene:A03p004990.1_BraROA transcript:A03p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A02g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26073493:26074948:-1 gene:A02g509770.1_BraROA transcript:A02g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTEITETTRRGFVTEKRGSEMEMTETARRGFVFLSLSNPDKKIRYYQEDGVANSSFPDSQMEFVSSGVPTMTLSKIKKTKKSIQVKLLRRWKIKLPSPGLYYLKLILVVFE >A04p035920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20720990:20725315:-1 gene:A04p035920.1_BraROA transcript:A04p035920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOS1 [Source:Projected from Arabidopsis thaliana (AT2G39810) UniProtKB/TrEMBL;Acc:A0A178W236] MNTNGSSSASAARSMNLPQQPDYASKPVQEALMHLASSNLRDLCNEAKVERCRATRDLTSCGRSVRYALNPCGHASLCRECCERFDLCPICRSTLPRSGDKLRLRLYYECVEAGLIPRVHGDASEDEDEDGVHRLYSLFDVALNNNLISVVCYYITNVCMDEAAVSSDPVIAFLLDEVVVKDWVKRTFRSILAGLQEIYSLEVKEMQGWLDKLLKYSKQVAGICSVLEVMESAFKGSVSPQLQDVEKLKDNIGKTKQHLDIMIWCIRHGFLHDVKSRYSNFTSWKALVLERKSNAIKRAWPDAVDQSSDCSVQGASLFIEDALENLEREPEYSQDIGADLEVGCLQNDERSFLRSRIEGTSGSYPFENLRTAADKLFLHGSSDLVVAKQAIFLYYLFDRHWTTPEEHWKHIVDDFAATFGITRHSLLESFVFYLLDDPSEEALQEACRTLPEICGPETYPKVAQVLLERENPETALKVLRWSGRDGASELVSIGEAVTAVRVRVECGLLSEAFTYQRTLCLKVKENELKNGAVKHVSDDGDSLSWTEWMEILVNEFCYLSIRRNVVDRIIELPWNPDEEKYLHRCLLDSATDDPSSAVGSLLVVFYLQASFNVLSLLELDVAWDRYRYIQAYQVDLRLQKIEEAFVSENRIGEEVMSRMRSQSHWRKELVDKAIDILPAIQQQQVRSGQFSEMEDTSESAYEAATSTDLPEAKQSSVPVSTYSVFLQRTKDGGAREPVANNGSSPFQPSRLIGSASIDISHGKLFTSANRGQKSEVRSITKALKFGEASTPFKDLNRARGNSQLKGRRTEDTSPEINVDRFMDSPYLTANSPATMKPRSTHLNGSAPKPESTFFGVRMQQDRQHPSHLDDPMDMSSSLKNNNNVLATESRNKSGGLRWRSDEGSDEESEPMWGMEPTSSGSMPVKGRRTRRFTAR >A05p003060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1081797:1082030:-1 gene:A05p003060.1_BraROA transcript:A05p003060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILTSVVAVFKPPVTVMMMSWIIKRTYEIHVGPIPAATKHYSVGYVEEEGTVVNGMASCSRGAIGAVGITAEAVE >A08p005840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3358725:3358958:-1 gene:A08p005840.1_BraROA transcript:A08p005840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWKKTIATPFKKAATFFNQPQQTSHNRHANAKAREEHERRTVKELQGDVMACGYEDVLVMWSILDKSNSSNNLSS >A07p042640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23087915:23088597:1 gene:A07p042640.1_BraROA transcript:A07p042640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLCCLRVPEQDGESRNVLRTSAFSYPISNNFPLKHEQLSQNLEKGKSKKMTFVPHVSMFILCLGTEYNEDNPKIVLQCGHIFHLACIYEWMERSTACPFCSKTMLFLESEITEQLE >A03p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19611584:19612016:1 gene:A03p046470.1_BraROA transcript:A03p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVQEIVERPVVHQGQEEQNVGGGQLTRAEIQRQNRRLAAIRLDLKRPIRKKTAPKIKGAAKLRGKYAKERFARKDDPKDDGASGAAAVN >A04p019480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11671368:11673953:-1 gene:A04p019480.1_BraROA transcript:A04p019480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNRKDLSERLMLDRILPGSGSATRPGSKLMVLLLLVSATYVVYTLKLISSSRACHVEPFSAVVRRLNDIVNSSQPFILLQSNQTAVIKSHSSSPPPPPPPPETDLRHVVFGIAASARLWKQRKEYIKLWYKPNQMRGYVWLEKPVKRLDQENETNNLPPVKISADTSKFPYKNKQGHRSAIRISRIVTETLKLGLKDVRWFVMGDDDTVFVAENLIRVLRKYDHNQMYYIGSLSESHLQNIYFSYGMAYGGGGFAVSYPLAVALSKMQDRCIKRYPALYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVAPLVTLHHLDVVEPIFPNMTRVDALKRLQMPAMLDSAGLMQQSICYDKRRKWTVSVSWGYAVQIFRGVFSAREMEMPSRTFLNWYRRADYTAYAFNTRPVSRHPCQKPFVFYMTTTGVHPMTNMTVSHYESHRVAQPECRWKMANPGDLRTVIVYKKPDPHLWDRSPRRNCCRVKSKKNNTLEISVAVCKEGEVVEVM >A04g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6499557:6499942:-1 gene:A04g502880.1_BraROA transcript:A04g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTARSLCSDRASVPLGRYIATELEPSSRPSSSQARSLRSDRASVLLGRYIATELSQARSLRSDRACVLFGCYVATELFRNVDTTPIHAFSSILRCYLPKTVVNSVHVFRPF >A01p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17192758:17193737:-1 gene:A01p037190.1_BraROA transcript:A01p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDVRWVGAVDGRVVLVDGGRLVSVDNHVFLSNDALRFPLRTEHSRRAGSEKRSVCSLLLLNSGSTSVFLDYLSPEQGPQLLLFGPEKVSIDSYNEVSIDTPFSRRSIQLMSCQSMNLPGSITHGFDIENQTSTRALISIRKVLISYSRTHALRTV >A09p046930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000052.1:64797:67037:-1 gene:A09p046930.1_BraROA transcript:A09p046930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEKYCDLPSLENEFNLDNEQAIVELTVLQLELPSSLVLSPQVFEEEPLDFPHQSPCLDTRICLDDDLGLIFDEEDEPGPVFDEEATSITPIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFFVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFLLLGRLFDLDLLQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCDSVLQPDLLSFEIDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKINTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQEIQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVVCLESNFTRKPTHQGFTEAWNSMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSFPRKNRSEPKPILHEQKVFPRSFSCQNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLKLSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHDQFLRRESTNGRQSTWNSLIKMTSKLQGSFCPLVHMRAAFHRPI >A03p043430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18164498:18168197:-1 gene:A03p043430.1_BraROA transcript:A03p043430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQSPENSPPSPTPPSPSSPDNTQQQSPPSPDSSSSSSSPSSSPPAPPPPDNSSSSPPPPSSDSQPPPSPQQENNNNNNNNNNGGNNDNNNSNGNSNNDGNNGGNNDGNNNNNGNNNNGNNNNNGNNGNNNNNGNNGNNNNDNNNGNNNNGNNGGGSNNHSPAPPSRNSDRNSPSPPRSLAPPRSSGGGDAPNTGAIIGIAAGAGLLLLVMILFCVCCCRKKKKKNQMPYYASNGYATGKGDQYQQQQQHYNNQSDHVMNLSHQHPGSNSNNNWMNSPPPPPPQPVSGGLNNGNSSEIYSGPYGPALPPPHPSVALGFNQSTFTYDELAAATQNFSQARLLGQGGFGYVHKGILPNGKEIAVKSLKAGSGQGEREFQAEVDIISRVHHRFLVSLVGYCIAEGQKMLLVYEFLPNDTLEFHLHGKSGNVLDWPTRLRIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDESFEAKVADFGLAKLSQDNVTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPVDLTGEMEDSLVDWARPLCLNAAQDGDYSELVDPRLEGQYEPYEMARMVACAAAAVRHSARRRPKMSQIVRALEGDASLEDLNEVKPGQSLGRGSSSDYDSSTYSADMRKFRKVALDSHEYGATSEYGNTSEYGLDPSSSSSEEIHTGGGSGGANKNNKTIPSREL >A01p056320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30703259:30705493:-1 gene:A01p056320.1_BraROA transcript:A01p056320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGDKKKKCIIAGVFSGLLVIMVVSVAIIASKNSPNENEIRQNTKAVKTVCAPTDYKETCLKSLLDASPKSTEPLALIKLSFNVTIKSIQEGLKKASEDVKHKADKHPEAKDAFELCEKVMNDAIADLKKCVDHKVSVDEIERFIKDLRVWISGSIAFQQTCIDTFEEIKSTLKHDMINIFKRSRQLSSNSLAMVTDLNSNATELTGAFENNARKLLSTEDGVPTWVGPEARRLMGAPGGPPVKANAVVAQDGSGQFKTINDALNAVPKENKVPFVIHIKEGVYKEKVVVTRKMPYVTFIGDGPTKTVITGSLNFGIGKVKTYLTAPLTVEGEHFTAKGIGMENTAGPEGGQAVALRVSGDYAVFFDCQIDSYQDTLYVHSQRQFYRDCTVSGTVDFIFGDSQCILQNCKIVVRKPMAGQSCMVTAQGRSDVREPTGIVLHNCHIVGDPAYIPVKTASKAYLGRPWKEFSRTIVMKTIVDDVIDPAGWLPWSGEFALKTLYYAEHLNVGPGANQAQRVKWPGIKKLTPEQALSYTGDRFLSGNTWIPRTQVPYTAGM >A02p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8466533:8470171:-1 gene:A02p018370.1_BraROA transcript:A02p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTKGDATKPSPNQISPPKDSSLDHQTPNPSVLHHLHQSFLSSPIFIPTVSSPGAPVIPKRPRFSSSGGLSPPQWRALPSPSTVPTASTISSLPFPSTAVIAASSTETAGSSPLVQEATNTEKQQPETESFQHKFRKGKYVSPVWKPNEMLCLARAWRVQYQNQRTGSGSGSGSGEGRGKTRAEKDREVAEYLNRKGVNRDSKVAGTKWDNMLGEFRKVNEWEKGADRERFGKSYFRLSPYERKQHQLPASFDEEVYNELALFIGPRVRAPTISRSVSGGATADVTLTPPSAETLPPPLHPPVMTSRDDDINNNPITSIGRGKRLALSIVGDDHPQYPYSSNIARGSGLFSNRSLYYNPSCERIPSSSSSSSSLKDLRRVGKIRLTWEESVNLWAEEGEVDYGRIRVCGSSFLNADELTYLDDSMVASTMESFEDGPLKGFSLDKFISGQHLKVFGRQKSTSSSAPPLSVNMAFDRAQLPLSEHIHKSISTLEFQDPSEHCLSKLRVPAGNLPSITELARYLQEPPPEDLRFPLRLDVYNDLPLGKELFFFTSSTELLDCRAITYDILGPIMSPLNKGFVIFSKDSLIPLWDDCVNRMVSKFCEMVVLRKPDSSSCVENVQDQWPNVMGFVKGFGLWRGEEVDKVREGAPDPSSLLVEKILWSYNDLPYILGYYAIGLTVTFCSLSRSSYDRVTCTDLYSFDVSSPSDRIKALVPCYRLASLLPLLADQCTAGRLCYNDFERIIHGDFLTEMTPHTVTKYYSSKTKWNVAKGIYDFLDQRVPHAEYLDKASEKDLSLSFKPRGIRVKPLNVDQLIESLMCVTRALVALHDISFMHRNMGWENVMKSETTSTSNAEWFVCGFEEAAESPQLNPHRPAAQEEEEERGRHAPEMERGLHAVKVDVWGVGYMIKTCGVSNVPKMLRELQGKCLEPNQENRPTAADCFHHLLQVQPAVPSSY >A07p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16950953:16951900:-1 gene:A07p030430.1_BraROA transcript:A07p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNVRNGYSDAPNQSNRYGLVTRSLGRPVPSFSSRNAWSMSDLIANRRLLDDPQSTYSSTATSNVDSIPHQTPIRTSNNLRRQHLEALRQAVHNQHHRHESNSRSATSQAREEDHVLKHLTKQTYNPVPKSQLLRNLSLYYRNKNPGIENSRNPQGYSGEEDDEKRCTVCLEDFEPKETVMVTPCKHMFHEECIVPWLKSKGQRDYSPGVSSDLAGDRTVNDLFTLELISVVRAMEESFLFGYPRRM >A04g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3455928:3457560:1 gene:A04g501380.1_BraROA transcript:A04g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLMYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKRLTRQGNGNSEELHCVIAKLKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRPGRESDSDPEDLEHAEKLRQVKAVIEE >A01g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16027331:16029705:-1 gene:A01g505330.1_BraROA transcript:A01g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDLLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVELSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALET >A03p040440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16845888:16853422:-1 gene:A03p040440.1_BraROA transcript:A03p040440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP3K epsilon protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G13530) UniProtKB/Swiss-Prot;Acc:Q9LJD8] QIFKSWHLNISLSQSKSRLSIPSIPSKTETLILISLDRVSFEDQSWERMARQMTSSQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIVQEDLNTIMNLNHKNIVKYLGSSKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLNEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLRQCFKKDSRQRPDAKTLLSHPWIRFSRRALQSSLRHSGTIKYMKEAATSSEKDAEGSEEVTESLSEEKAGMSKSDSKSKLGVASFRSEKDPSSSSDLGEEGADNSEADLSSDQVPTLSMHEKPSLQSSSDVKGSSEDESEFHGKSEHDDIPENPETESSKNGKNTLEKQVGKESSIHVDQPSHSVGQKGEDRRLRKAVRTPSSVGGNELTRFSDPPGDASLHDLFQPLDKVPEGKPNEASTSAPTSNVIQGDSPVADGGKNDLATKLRATIAQKQMEGETGQSNDGGDLFRLMMGVLKDDVIDIDGLVFDEKVPAENLFPLQAVEFTRLVSSLRPDESEDAIVSSCQKLVVMFRQRPEQKAVFVTQHGFLPLMDLLDIPKPRVTCSVLQLVNEIIKDNTDFQENASLVGLIPLVMSFAGPERERSREIRKEAAYFLQQLCQSSSLTLQMFIACRGIPILVGFLEPDYAKYREMVHLAIDGMWQVFKLKKSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISGGPLSVDGLAPRLRSGQLDPNNPIFSHHESSLGVIDHPDALKTKHVGGEEPSHASTSNSQRSDIHQPDGDRPRLSSAAADGSGTEHHRLSLSANRTSTDKLQKLAEGASNGFPVAQPEQVRPLLSLLEKEPPSRHYSGQLDYVKHITGIDRHESRFPLLHGSNEKKNNGDLDFLMAEFAEVSGRGKENGNLDTQLKYPSKTMAKKVVSSEGVASTSGIASQTASGVLSGSGVLNARPGSATSSGFLAHMVSTQSADVAREYLEKVADLLLEFARADTTVKSYMCSQSLLSRLFQMFNRVEPPILLKILECTNHLSTDPNCLENLQRADAIKHLIPNLELKEGNLVYQIHHEVLSALFNLCKINKRRQEQAAENGIIPHLMLFIMSDSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDEYWSVIALDSIAVCLAQDNDNNHKVEQALLKNEAIQKLVNFFQSCPERHFVHILEPFLKIITKSSRINKTLAVNGLTPLLISRLDHQDAIARLNLLKLIKAVYEHHPKPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >A07p051750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27429422:27431298:1 gene:A07p051750.1_BraROA transcript:A07p051750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSEETEHDAVSRRSNQGGMILDLMLKRPIRWLRMLVEELHWSFFFGVIVVYGVSQGLGKGLSKVSTQYYFKDEQMIQPSEAQVYVGLIQVPWIIKPVWGLLTDVVPVLGYRRRPYFIFAGVLSMVSMMVLSLHKNLQLGLALSCLVAGSAGVAIADVTIDACVTQLSISHPTLAADMQSLCGMSSSIGSLVGFSLSGVLVHLFGSKGVYGLLGMTAGLIVVVGLILKESPSRSPGGKHVNEKFIDAGSAIWKTFQYGEVWRPCLFMLLSAAVSLHIHEGMFYWYTDSKDGPSFSKEAVGSIMSFGAIGSLVGILLYQNFLKNFPFRNVVFWALSLSVLSGFLDLILVLRINLKLGVPDYFFIVVDEFVSHMISRIKWLPLLVLSSKLCPAGMEGTFFALLMSIEHVGHLLSSWGGGVLLHALKVTRTQFDNLWLVIVIRSLLRVVPIGLVFLIPNVDPSSTILPADMLMHRRSEDVVETDKIEMTALLSNEA >A07p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10359848:10362157:-1 gene:A07p017010.1_BraROA transcript:A07p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g24260 [Source:Projected from Arabidopsis thaliana (AT1G24260) UniProtKB/TrEMBL;Acc:B4F7R9] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMIRTLERYQKCNYGPPEPNVPSREALAVELSSQQEYLKLKERYEALQRTQRNLLGEDLGPLSTKELELLERQLDSSLKQIRALRTQFMLDQLNDLQSKLADGYQMPLQLNPSQEDHHVDYGRHDQQQHSHHAFFQPLECEPILQMGYQGHQNHGMEAGPSASNYMLGWLPYDTSSI >A07p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23348855:23349781:1 gene:A07p043130.1_BraROA transcript:A07p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSALAVRERIVRAFLVEEQKIVKKVLKLQKAKEKVAPKS >A06p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27098146:27101846:-1 gene:A06p051530.1_BraROA transcript:A06p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM7 [Source:Projected from Arabidopsis thaliana (AT2G03870) UniProtKB/TrEMBL;Acc:A0A178VPR3] MKLTRVTFLFCALAFLLLITPTCSLKLHARFSSPSQGVSEKIVIGMAPPRKLMIISSEHENVMKSGAQESSSEQPRVTSSSGKSKNEEKRLGEEEEEKALSKYLSMDYPRIKQNPKSLVWGLSLPALRFTHCNMMAGLVVFFYVISQEKNDVTSVEHALLYHGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDGALESVRDHDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTEEIANPFNQPEAL >A06g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11914685:11916171:1 gene:A06g503860.1_BraROA transcript:A06g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding APAPRPTSDLPASYYPTVSAAGDALASPAVKLAEVPDISIFSRLLVFDVSFNEITSLEGLSKASSTLKELYVSKNEVNKIMEIEDLHDLQILELGSNRLRVMVNMESLTKLEELWLGRNRIKVVNLCGLRCIKKISLQSNQLTSMKGFEVCVVLPLCSYSYHHFIKSDALKKICVDMTVLLLKSCI >A08p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9714712:9717985:1 gene:A08p015070.1_BraROA transcript:A08p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVVVSDPWLHSQFTQVELRTLKSKFNSNKTLLDRFTVGDLPPVLAKLNAFSGTFDEDEIKSVLDKSYSNTSDQEVDFETFLRAYLSVQARGVEKSGGSKASSSSFLKTSTTTVHHAINESEKASYVSHVNSYLRDDPFLKSYLPIDPATNAFFDLVKDGVLFKLINLAVPGTIDERAINTKKILNPWERNENLTLGLNSAKAIGCTVVNIGTQDIAEGRPYLVLGLISQIIKIQMLADLNFKKTPSLFQLVDDTQDAEELMGLAPEKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSSHVALETKDPTERAKKVLEQAEKMDCKRYLSPKDIVDGSANLNLAFVAQIFQHRNGLTDDSSKSTSFAEMMKDDVESSREERCFRLWINSLGTATYVNNVFEDLRNGWVLLEVLDKVSPGSVNWKHANKPPIKMPFKKVENCNEVIKIGKELRFSLVNVAGNDIVQGNKKLLLAFLWQLMRYTMLQLLKNLRSHSQGKEIADADILYWANRKVKRVGRTSQAESFRDKNLSSGIFFLELLTAVEPRVVNWSLVTSGETEEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMMLILAASIMYWSLQQQSDTESNVSEDATDEGDANSVSGEISNLSLDEASESSPIVQDQELLTKADEDTDKDVVDGDGDGENNNDAQ >A07g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18344974:18347674:1 gene:A07g507100.1_BraROA transcript:A07g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSLGTLMEADLKGKSVLVSVDLSVPLDDNSNITDDTRIRAAIPTIKYLMGNGSRVVLCSHLGRRVTPRFSLKLLVPRLSELIGVEVVMANDSIGEEVQKLVAALPEGGVLLLENVRFYKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKRPFAAIVGGSKVSTKIGVIESLLCTVDILLLGGGMIYTFYKAQGHAVGSSLLEEDKLDLARSLMEKAKARGVSLLLPTDVVIADKFAPDANSK >A08g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5947279:5948482:-1 gene:A08g503110.1_BraROA transcript:A08g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLCFQRNQRIQGQALEMLGESIRQSLLQEQSYLRREKASHQPAPAAPCSSRLQKQRNQRKRQNRLDDDEKRVRNGDRPFTKAKRSNCDMLDRNELQTYASLEKMLHKAIFAIQQLKKKGNTNTSSAPKHQCNFSSLSNSDLKSNVFSFDKSKAVKTTSKAHSTRCFKCHRIGHYANKCQNQKPLVTLENDKVETELEKEEFSDLLPFFDDYAHEPMACLKSCEYKNFFSSQSESIPGESCLQLTILQPENPSSFELISQFEKDSKNVLNKNEFSGPLNDLDIGAYDLGLGSFVSIQEGPDEEQNRGHQAN >A04p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:985467:986793:-1 gene:A04p002030.1_BraROA transcript:A04p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPKPNNDAYQHVFVMRHGDRIDNFEPLWVSTAARPWDPPLVQDGMIRAFRTGQRIRSQIPIHRVFVSPFLRCIQTASEVVSALSAVNVDPNAMSSKDVPSVDTSKLKVAIEYGLCEMLNSVAIRRELAPKDGNFDFRLSDLEAFFPEGMVDHNVDMVYKEMPKWEESVEGCRERYVKIVKALADKYPTENLLLVTHGEGVGTTFSTFYKDTTVYEVDYCAYVELRREVSSKDGSVVNGEYEVVLSHGQAGIRFSHDYFSDDPVISRTPV >A05g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25045728:25046014:1 gene:A05g508560.1_BraROA transcript:A05g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQWNLRFSAFLISKHEQEIVSENSDGFHKISGTASRMGLYSRQYDKAVVFFHLDSKRRFCL >A09g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8675206:8677431:-1 gene:A09g502600.1_BraROA transcript:A09g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYRWDPGIVGDVGQGIEFHYGKNKGILRWGSLSNLRGSDVGLGEWIQGILRKLGICASWIWILLINTMESYDYTGDLRFNQGITVAIFGKVVEENDSKWVKVAEKGNKGAFHNRGNYRGDGDGSRQRTSRREEPRMTGQDGRLKAAVGHTGDQRSQRGSRVEAQEEGEITNAEGTDKHLPSQNFQEELARTQATGTEVVSDPMDAENGLQMVQSLVENTTALEEDRVMDMDEIKAVFLEHGIDMDAADDLQDGSDGEFEKAVLELEQENGENVHAEEELATGEEEKLMEDGELAKRQGTRKRLFKTTIGTAASTKLRSASAMVSPRKRGASKPGTRHGEMGKQMEIKGTSNPKTGPQKP >A08p022840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15035618:15038287:1 gene:A08p022840.1_BraROA transcript:A08p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSFFLILCFVLISFVAASFSEETCSSNGMVFTPNGTYDANRRLILSYLPSNVTAQKGLFYNASIGQEPNRIYATGMCIQGSDPVDCSDCIRTTSDALIQKCPNQTEAYSWPGGPTLCHVRYSNTSFLGSVDLSPRDSITHGSNVTSDLTEFKKIWEDLAVRMFDAASTSRSTPSSSDNHYTANIAALTPFKDVYALMQCTPDISSSDCRTCLRQSAFEYSQPCCNTKQGTRIVRPSCYFRMELYSFSKATFVNFTAASPPPMAVPQPPDGQANMTNNGEDSKRLSTGIVVAITVATVVGVLILLVLGYVLCRRRRNSYQRTQFENDSDISTTHSSQYDFKTIEVATNNFSSSNKLGEGGFGEVYKGTLSTGTEVAVKRLSKMSGQGTREFRNEAVLVSKLQHRNLVRLLGFCLEGKEKILIYEFVPNKSLDYFLFDPEKQSRLDWTQRYKIIGGIARGILYLHQDSQLTIIHRDLKASNILLDAGMLPKISDFGLSTIFGIEQTQGNTNRIAGTYAYMSPEYAMQGQFSMKSDVYSFGVLVLEIISGKKNSNVYQMDETSTAGNLVNNAWRLWRNGSPLELLDPAIRRNNQSNEITRCIHIALLCVQDNPEDRPMLSTIILMLTSNTITLPVPNLPSFFPRNRLELEKVSEGFESSRSTVKSAGYSVSDSSITDLEPR >A02p015130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6757081:6760436:1 gene:A02p015130.1_BraROA transcript:A02p015130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRKVWGSVWSRSSSGKDSSSQSAIQVPLSPPASSSLGAFDHLPMDILIQILMLVEPRDAVKLSLTCKAWRCLAGGNRLWIFYLQCSQESWDSIFFAETSLRSGYPLRMLSSQSGELSFMRIYGQRAQVHGSIIIDGGSGYCKFGWSKYASPSGRSATFLEFGNIETPIYARLQQFFATIFTRMQVKPSMQPIVVSLPLCHFDDTESAKASRRQLKTAILNVLFDMNVPAVCAVNQASAVLALYAARRTSGIVVNIGFQVITILPILHGKVMRQIGVEIIGFGALKLTGFLKEKMQENNITFQSLYTVRTLKENLCYVALDYKAELSRDTQASMKIAGEGWFTLSKERFQTGEILFQPRLAGLRAMSLHQAVALCMDHCDAAGVTGDDSWFKTVVLAGGSACLPGLAERLEKELHDHLPSYICNGVRVIPPPCGVDSAWHGAKLISNLSTFPGPWCITRKQFRRKSRLMW >A02p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3674300:3676851:1 gene:A02p008930.1_BraROA transcript:A02p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 60 subunit alpha 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G18820) UniProtKB/Swiss-Prot;Acc:Q56XV8] MFAASPSYFSPATISPSRSGQGKKPQLPRKLSVVRAGGKRILYGKDSREALQAGIDKLADAVSVTLGPRGRNVVLAESDTIKVINDGVTIAKAIELPDTIENAGATLIQEVAIKMNESAGDGTTTAIILAREMIKAGSLAIAFGANAVSVKNGMNKTVKELVRVLQMKSVPVKGKSDVKAVASISAGNDEFVGNLIAETVEKIGPDGVISIESSSTSETSVIVEEGMKFDKGYMSPHFITNQEKSTVEFDKAKILVTDQKITSAKELVPLLEKTSQLSVPLLIIAEDISAEVLEILVVNKKQGFINVAVVKCPGMLDGKKALLQDIALMTGADYLAGDLGMSLMGATSDQLGVARKVTITANSTTIVADPSTKPEIQARIAQMKKDLAETDNSYMTGKIAERIAKLSGGVAVIKVGGHTETELEDRKLRIEDAKNATFAAMREGIVPGGGATYIHLLDEIPRIKKNVMEDLYEQIGADIVATALTAPAMVIATNAGVDGSVVVEKTRELEWRSGYNAMSGRYEDLINAGIADPCKVSRFALQNAVSVAGIVLTTQAVLVEKIKQPKPAVPEVPGIPTS >A08p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4879904:4884913:1 gene:A08p008350.1_BraROA transcript:A08p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKHKANMAMVFVQIIYAGMPLLSKVAISQGTNPFVFVFYRQAFAALALSPFAFFLESAKSSPLSFVLLLKIFMISLCGLTLSLNLYYVAIDNTTATFAAATTNAIPSITFVLALLFRLETVTLKKSYGLAKVFGSMVGMLGALVFAFVKGPSLINHYSNKTIPNKAVPSTKNSVKGSITMLAANTCWCLWIVLQSKVMKEYTAKLRLVTLQCVFSCMQTAVWAVAVNRSPSVWKIEFGLPLLSMAYCGIMVTGFTYWLQVWAIEKKGPVFTALYTPLALIITCIVSSFLFKETLYLGSVCGAFLLVCGLYIGLWGKTKEDEVQIYGEKQSQQEIKEEIIV >A09p009510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4890731:4892928:1 gene:A09p009510.1_BraROA transcript:A09p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 2 (XRCC2) [Source:Projected from Arabidopsis thaliana (AT5G64520) TAIR;Acc:AT5G64520] MGEHEARGWIRSDETAKQMLSRVLGDRAFLLLPPLHRVPLRAGNVVEITGSSPTAKTQILIQAAITCILPKTWNGVHYGGLEKLVLFLDLDCRFDVLRLSDMLKHRLLEAYRMQTSSSPLLMCLRIGNGAWWQLEESNVKTQDKSKIVYDEELYVSCMKRFLYLRCYDSLELLSTLKTLHYRIRLQEACGSQVGVLMIDSIGAFHWTDRLSSSLALDKNNRKSLSLTNVVETIVQEMKKLMQVHSLVVIATKATIYEEKYPTNENNRNLSSHSDLLGNPSSKAQQPPFREFMPSSWQAFVTHKIFIRKSDDHHKSLGQQNLSAYSLEWLQPQLSSIDRFIVDDSGIVIVSKHTQILFHVSSSHAVWYCHCLMT >A04p024920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15078792:15080362:-1 gene:A04p024920.1_BraROA transcript:A04p024920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLSSPKTEKLSEEGENDTLRYGLSSMQGWRATMEDAHAAILDVDDKTSFFGVYDGHGGKVVAKFCAKYLHQQVLSNQAYGAGDIETSLQRAFFRMDDMMQGQRGWRELAALGDKMNKFSGMIEGFIWSPRSGGDVNNQPDNWPLEDGPHSDFTGPNCGCTACVALIKYKKLFVANAGDSRCVISRKGQAYDLSKDHKPDLEAEKERILNAGGFIHAGRINGSLNLTRAIGDMEFKQNKFLSSDKQMVTANPDINTIDLCDDDDFLVVACDGIWDCMTSQQLVDFIHEQLKSETKLSTVCEKIVDRCLAPDTASGEGCDNMTIILIQFKKPNPSETEAEEAKEEVKQEPSQEAQDEPSSSS >A09p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3676057:3677455:1 gene:A09p007020.1_BraROA transcript:A09p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFLRQILFSSLPTKLPPRSYTLSPKKLSCSSPFTASLSVLSIGRLTNCRRKLSEVRSMATEKGKVEIFDTEENLAVELAKYTADLSDKYCKEKGAFTVVVSGGSLIKSLRKLVEVGSIDWSRWHFFWVDERVVPKHHEDSNYKLAYDAFLSKVPIPPGNVYAGPAQSLQRPCASRVCVYAINESLSAEAAAEDYETCLKHLVQTGVIRVSESTGFPKFDLMLLGMGPDGHVASLFPGHGLCDEEKRWVGPPSERITFTFPVINSSACIALVVCGSGKAEAVEAALKKTGDVPAGCVSAEEELVWFLDKPACSKL >A05p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6889740:6891281:-1 gene:A05p015470.1_BraROA transcript:A05p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRLNLSNDVPTSSSCISRSSTAFNERENSEPIPHDLIYELLLRLPAKSIARSRCLSKLWASILRSHDFTELFLTRSSTRQKLLFFCLKDHDFFFFTAPKNPDNNSSLVVPDYHMKFPFVGIGFQICGHVRGLVCLKACSFGRRRMLRPRLKKYTLSGGKIGRSGCFYKIQRQQVTDDAVKKGFTHLRTVKGGKHTVPEICNPSTGQSLPLPKVKTRRRVNVRCFFAYDPIGKQFKVLSMTWPCYRSYLLCQEYKVLTIGTGKLSWRMVECSVPHQPISGEICINGCLYYYSMVDSVEHRYVIVCFDVTSEKFTSIRKPMGDVKCIVSNLVNYNGNLATLTSDGDSQVTGQSRCIELWVLADAEKHEWSKHIYNFPRGIIRKVEILGTDAFKHRTINTFLHHAEDVKLM >A03p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9031504:9032909:1 gene:A03p021680.1_BraROA transcript:A03p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMEELKPSNNNILLEQLRHGLARFDLVSSPTPSISSASFLQGNSYAFFARIGSSLNGSPASKKVEQYAVDRVTGDGRCLFRALVKGMAFNKGLTINPRRERDDADELRMAVKEVICNDPKEREKYKEALIAITVDESLKRYCQRIGRHDFWGGESELLVLSKLCKQPIIVYIPEHEHRRGGGGGYGSGFIPIAEYGAEFRGGWGKGNNKKNVVRLLYSGRNHYDLLR >A02p020190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9421136:9422842:1 gene:A02p020190.1_BraROA transcript:A02p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPKGLRKGAWTAEEDSLLRQCIDKYGEGKWHQVPLRAGLNRCRKSCRLRWLNYLKPSIKKGKLSSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHLSKKHEPGCNTKMRKRNIPCSSTQPAQKNEVLKPRPRSFTVNNGCSHFNGKPKVDVIPLFLGVNNTNNVCENSITYKKDAEKYELVNNLMDGENMWWKSLLEESQEPDAIVPESTETEKLATSAFDVEQLWNLLDGETVELD >A10p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22167354:22168722:1 gene:A10p040170.1_BraROA transcript:A10p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQIRAKMETIVHKSMIAGVQSVMPVEVTQQREVRSILVQDPVGAGIFRRTLNIVTYYKQAGDSGERGWLVAGWIKESLGRVLTEQPLLCGRLRRRRTVKGEEDGLEVVTNDSGARLVEARFPASLPEFFEMVKRDKNIAEAQTVFWRDIDEVDPQFSPLFYVQVTNFESGGYSIGISCSILVADLLIETDFLKKWAKIQSSLAHSQTTLKPIFYLPPVKRDKFINELPRPVSVLDRGGPLVIRAKTCSKTPLACMKKSVKAAEDVFLFLKEQSAGEDSTTERHGMKVEIHSRDEAIGDCDCGDHLEETDVGLLLDVSLAFENKFEFNSCWVGSVAKGLVLIVPSTLGGTMSLVKFIAASPKNATH >A03p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1231865:1233611:-1 gene:A03p002790.1_BraROA transcript:A03p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTDHESLKSLLRNQLSGSSPECNENILDKRTADVSKLLSMLRSVSMMDASSSSHGDWKIKQDLEDCRVMYRQGLEGSPFHTLLVEGYMDSTLEDCLCVSWETALYKNWWPQFAFPPFKILQGTCLHKVRTGEQVCLVRMKVPWPLSERELIVHYFLFEYFEDGLVIVLLNSITDLDSIGVSAKNIIPESTSAVRIDLVGGFALQKVTPQRSFVRVIGELDIKLDLVPPSLMNFIARQIVGKGFKLYKKAAGSVAKLDEEFNRALADPLYINIRQAMYSTNKATEEEQELETENKHENDEDETVHCRRAILEIEEEKEEYFEESVSFEERNVNGNIRRQLSISTEVEQALGTLDRAINMVRNITPVKEDVEFPPNKT >A07g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1882348:1883146:1 gene:A07g500970.1_BraROA transcript:A07g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVKEKNNNMGLMRSMIPNGKQLFKSQSLKKRNQCPSAPNLELVPTGHVAVYVGEQVEKKRFVVPISYLNHPLFRDFLSCAAEKRPIGPPPDHSIVGSPPPPTDSAPPPSLLANSSPPPNIPSPPPPESNPRPLPTNDAPTFDPPPV >A07g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15773798:15775331:1 gene:A07g506510.1_BraROA transcript:A07g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKGFKAVYGLVKLVNRFARVSPYDTGSSDVARDDWKRVFVLTKSRHGVCANQIHLFSFLGFVSLKGDCASDGDAIPFLHFLDMDPAAERKDRKSQKDYINMLGYVADSEYGIPTRCPCGGRIIDEVRKKEEYDTLPGKRFFTCTNYEADGFHYRQAWVVGVQEEIQRLSKRVEEAEQVINGVPELNYQIDRLESQVKILTVHVDNLHVQVTDMEKLECLSKRLQEAEEMVKVVPDLNKKIASLEVEFLTGQVDNLTADVETLEKLCFH >A03p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7296382:7300592:-1 gene:A03p017890.1_BraROA transcript:A03p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLRSLWSNTTRRRSFSSQSPPSGHTLSSLRAFSAATGAPPNSSLDPNRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSINLERERGITISSKVTSVFWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKEPPADARNMSQLLDAVVKHVPPPKADLDAPFLMLVSMMEKDYYLGRILTGRVSSGVVRVGDKVIGLRNTDSGSEKIEEANVVKLMKKKGTTIVSIDAAGAGDIICMAGLTTPSIGHTVASAEVTTALPSVELDPPTISMTFGVNDSPLAGRDGTQLTGGKIGDRLMAEAETNLAINVIPGLSESFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTEDKQRLEPIEEVTIEINEEHVGLVMEALSHRRAEVIDMCPVPGNDGRTRLSLTCPSRGLVGYRCVFSSDTRGTGFMHRAFLKYEKYRGPLGNVRKGVLVSMGYGTITAHSLMGLEARGTLFVSPGLDSYDGMIIGEHSRDTDLDVNPVKAKELTNIRSVNKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKTIRLRKRCLDVTKRKSLSKRAKE >A09p061980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50900872:50902691:1 gene:A09p061980.1_BraROA transcript:A09p061980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAALGSLRQSLGSAVNVHSLSGNWSPSGNSCVPRWSLFNRNMLVLRADSSKSSTTTTKTDESSDASNGTKTKTVRRTTFPKEVEALVHEMCDETEVGDFEMNLKRKIGLAETPIPVPDISPSVAPPIPSEPMNKSVSASADASPSKAKPASEKVSPFINAAYRKSSKLAALEAAGSNNYVLVTSPSVGKFQRSRTVKGKKQGPTCKEGDAIKEGQVIGYLHQLGKELPVTSDVAGEVLKLLSDDGDSVGYGEPLVAVLPSFHDINIQ >A10p013670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4591257:4592235:1 gene:A10p013670.1_BraROA transcript:A10p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLRDSSAPLRLLRLYSSRLSLSLSTSVLLFPWISAPCLSTIRRSLLMSLHLHYRFYQLMCEDEPEDRYGVEEQRGVWRKRGEALKLLMNIISIFVGESDIQKNWKMESVAIPEQLIKLLPVAEPGIFDLVGYVIFPVEGIHTRASSTTETLR >A08g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16647514:16648634:1 gene:A08g508860.1_BraROA transcript:A08g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPSKPIKIASPFLLASNVSSDSKSVRLPNFTGVTPTTTTIALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKMMGLEPSKPIKIASPFLLASNVSSDSKSVRLPNFTGVTPTTTTIALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKVSASDSF >A08p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12512139:12515912:1 gene:A08p018030.1_BraROA transcript:A08p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAINRKRSEDSLYSNHPSTAQFRRHSPYFQAPKKRRFSFGTMSQDPNQPSSRISMYPDAKTPLRRAIHAPSRANLIYGGSPKAKPNDCCEKGELFTREYDNAKRSALNAFRFLTKDKEFIDLEEEEEEAVSQDSVIEVIDCDEEKLVKDDDNNKKKVEVVDCDDDDDEEEEENIQTPSDVNKFGVGETSTMLDSLSLGREETTDASSLEAYRKLMHSAERRNSKLEALGFEILFNEKRLSQLRKSRPKPLEKPLKKVPHEPFIPLTKEEEAEVYCAFSGRNRRKVLVTHESSNIDITGEVLQCLTPSAWLNDEVINVYLELLKERETREPKNYLKCHFFNTFFYKKLVSDSGYNFKAVRRWTTQKRLGYALIDCDMIFVPIHRGVHWTLAVINNRDRKFLYLDSLNGVDSKILSVLSKYLGDEAKEKSGKDIDVSSWEMEFVEGIPQQQNGYVTTLELAIYAIDCLGSEALNLRSRGKHWAWLKALFPFLFWTGLFVYPLYTLSFVVTCLMKSVRQQIRFSLFLVLVVNLNYMSMFGS >A03g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21682731:21684929:1 gene:A03g506060.1_BraROA transcript:A03g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSPGKSQLGKSTVAAYFNDISPGPAESEFRFRVIRFWEARNIAKAGAFIGIDLLLIDEHETVMQGFISSLRAPPYLPHVKAGATYTLQNFYAAKNKEIYCFTDQSLIVSFSNGSVLKPLDDIRLSFAAVRFRFHAYEDIQANCGLRGDLYGNLCYLLNQLHFNLCCWPLEADEWTVLDEAEIINMRHVLLYLWDQASKDFYKKSTSSEDTSTVILVTTVNPKRLGDVQPTIDYNWLSSNPKIVKRINADEVTRAETMTIGQILAYIKQEYAKEGSFDCIATIDDVERDSAWYYIACIGCQSKAIKGPYSLMCAKCGNTNYRAKISPSTTITTGFVILGDAGRELTGKNAVELVDNYFEANQDVHLSRTVDSLLMREMKVPITKRRSTEG >A08p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14528090:14530093:-1 gene:A08p021800.1_BraROA transcript:A08p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTEEKPASDGRGWGIFKIPFRNSHGNASSAATSPFPSGASSSSSHLHHHHHGYNGPHGDGSGQNQHPTPSPSVSSVAKSLLPVKRRLKLDPSEKLYFPYEPGKQVRSAIKIKNTSKSHVAFKFQTTEPKSCFMRPPGAILAPGETIIATVFKFVEPPENNEKPVDQRSRVKFKIMSLKVKGPMDYVPELFDEQKEDVSKEQILRVIFLDPERPNPALEKLKRQLAEADAAVEARKKPPEETGPKMIGEGLVIDEWKERRERYLAQQQGEGVDSV >A01g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6233245:6235294:1 gene:A01g501710.1_BraROA transcript:A01g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLGLQVERPLFLHRSISLLRFWEAMNIRRGGDLMGVDMLLIDCQVIYLTPILVHRLSNIAGDHDAGNPKMVGGNQPIKTYKISETSAGESFFNRLVEEDTGVTPATPLLRGYAKVEALSIAELCHHSLSGMQPERVTEIKIDKWWCYVSCSNCGKKLQHTASSFTCVPCNNTSVVGVLRVVCLLRWVMTKLHNMRAYEDGHLLSILCVDYLFIQSHSSNLAQAGDGVNPEETEAPPFVKDMEGKTYKLQGGDSGDNNHGGNSVPVKVEAAGSTNVDGATGKVKKARKA >A05g500250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1128202:1128660:1 gene:A05g500250.1_BraROA transcript:A05g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTYLQNLSLGLGDSFAGSIVSSMEVFRFISSCTNSYLDTQDSPVLQGFTSRIYDLSAFVAVCLTLLVALVVIISMGISKLVYKVDCQELTNLLSESWSFDVHRILLAIRSLIFSFVALYAHLSCCCAYVMANSLACDAFLCCNTSSLMEF >A02g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16820825:16821086:1 gene:A02g505780.1_BraROA transcript:A02g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETNLTLNLLIYGSTITTQLQEHGVLVDLLIFVSKRNITIGPRMKVVVMAMSKEQESSHMTCEGEELVLE >A04p038050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21675929:21678251:-1 gene:A04p038050.1_BraROA transcript:A04p038050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSQENRSFFFFFFFFFLFFLCLSTVSAYTNTSSNNGAKAVRRELRSGRCNWFRGSWVYDVKYPLYDPYKCPFIDPQFNCKKYGRPDNLYLKYRWQPSSCSLPRFNGLYFLRKMRGKKIMFVGDSLSTNMWQSLACLIHAWVPNARYTLLRQKGLASLTFEEYGVTLKLYRTQFLVDLDSEKVGRVLKLDSIKQGRLWRGMDVLVFNSWHWWTHTGHIQPWDYMEDGKRLYKDMNRLVAYYKGMTTWSRWVNAFVDPSKTKVFFNGVSPTHYEGKDWGEPMKTCKSQTQPFYGRKYPGGIPMAWVVLAKVMMRLKKPAHWLDITGLSQLRKDAHPSAFSGNHPGNDCSHWCLPGLPDTWNILFYSKLFS >A06g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3731757:3732538:-1 gene:A06g500980.1_BraROA transcript:A06g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPQFFRASQIYFFCVLDYGRRRWRRWWCRVQLTHGGGGFRDFKGRRNGMIKALTTEAVSDTKKAIELDPALAKAYLRKRLVKEKIEFAVNQMNWPLEVVALFPQLLGYSMEKGLCLGVT >A09p071850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55299118:55302204:-1 gene:A09p071850.1_BraROA transcript:A09p071850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) UniProtKB/Swiss-Prot;Acc:Q9SJT1] MDTQQQQQQSSIQGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRRSHVGQSKAKVARDAVLRFRPHINIRSYHANVKNPEFDVDFFKQFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQTKPAPKTYPVCTITSTPTKFVHCIVWAKDLLFAKLFGDKNQDNDLNVRANNAASSSKETEDVFERAEDEDIDQYGRKIYDHVFGYNIEAALSNEETWKNRTRPRPIYSKEVLPESLSQQNGTTQNCSATDDDSTVSAMPLLGLKNPQELWGLTQNSLVFIEALKLFFAKRKKEIGHLTFDKDDQLAVEFVTAAANIRAESFGIPLHSLFEAKGIAGNIVHAVATTNAIVAGLIVIEAIKVLKKDADKYRMTYCLEHPSRKMLLMPVEPFEPNPACYVCSKTPLVLEVNTQKSKLRDLVDKIVTAKLGMNLPLIMHGASLLYEVGDDLDDIMVANYNANLEKSLSELPSPVINGSILTVEDLQQELSCKINVKHREEFDEEKEPEGMVLSGWTQSPATNGESASTSNNENEKAVDVTESSSGPEMASKKRRLSETQPENDKKETENVESDDDEIMEIENPVMVSKKKKRVE >A06p021120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10431723:10432397:1 gene:A06p021120.1_BraROA transcript:A06p021120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQPADNSNQQPNGGVTPSVPSTSAEALAIPGGGSKRLRRPSVRLGEIGGDYHHDPHSRKPKWAPTATGSKTKSSTRTRALTNIGTLDDENVDSFGVVGSWRVKKRVGSSTAGAAKRVSSNWEELEGGFRDFSREDSESPVKEESLVRDGGGDGGFYGREGVKIWLQELGLGRYWPMFEIHEVDDEVLPLLTLDDLKDMGITAVGSRRKMFSAIQKLGREFS >A03p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17919628:17921865:1 gene:A03p042960.1_BraROA transcript:A03p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLVNLNLSDSTKQIIAEYIWIGGSGMDIRSKARTLPGPVSDPSKLPKWNYDGSSTGQASGDNSEVILYPQAIFRDPFRRGDNILVMCDAYTPAGDPIPTNKRHKAAKIFSHPNVAKEVPWYGIEQEYTLMQKGMNWPIGWPIGGFPGPQGPYYCGVGADKAIGRDIVDAHYKACLYAGIGISGVNGEVMPGQWEFQVGPVEGISSGDQVWVARYLLERITEISGVNVSFDPKPVPGDWNGAGAHCNYSTKTMRNDGGLAVIKKAIEKLQVKHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILG >A09p081790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59435054:59435868:-1 gene:A09p081790.1_BraROA transcript:A09p081790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTQSSFDSASAKNLVIVKEIIDVKQLISYLKLKRTVEVVPAKTEEAVAEVQNPFLTSLLVVWVNSIVTDSAKNLVTVKGIIDVKQLIPSLKLRHTVEVVPAKTEEAVAEVQNPFMISLFAVRV >A05p008720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3605005:3606530:-1 gene:A05p008720.1_BraROA transcript:A05p008720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPSSPAGDGGESGGGSVREQDRYLPIANISRIMKKALPPNGKIGKDAKDTVQECVSEFISFITSEASDKCQKEKRKTVNGEDLLWAMATLGFEDYLEPLKVYLARYREGDNKGSGKSGDGSNRDAAGGVPGLVKEVASKLSRDKEQRQNDQVNLETSVLLTIKLEIRCSWL >A03p060930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26439773:26442965:1 gene:A03p060930.1_BraROA transcript:A03p060930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENPIRMSGNNERWSNSRPVSVPNRSESAPPSMEGSFLAVDSLLSRQGHDRAAQEPLTTHPDKHNLTRTPSPPVYYPTTEYQLVDSRVGSFRSNQGLIIVNSPIHCPQGTLSTHKEVSEDEVSPQQHSVNSVSDRTNVVDTSLSQGLADTRQDGSSSGPTPQHSRPNSSDGEMNTADESGNFSEMSGDVVVKDNPASVGTEKSLDESTIISKMKNTNISGPGTAKHPREQPRNARPERQMYPPQSNVTWIQNGGNMGYQSVNGTTGQFHYGQQHVLHQSPGFTPPTQTGYVTSPAQVYNMQSPGVYSPQYGYGPYTNMIPPHPQFIPGYPSHGSVPLIVRPEYIPQLHGPSAGNVAHRGAESFYAPPGQPSFPDPMYMHYSQQALGHMNAPRGNHKNAPEPHKDESRFVRQIRGPNNSNMGLNYYGVQPNMVQYLPSAPPSPGYVPYVEAYPGWQQQGSLEGTNGPRLCNFLEDLKSGKGRRFGLSDITGHIVDADQHGSRFIQQKLENCNPEEKEAVFRELLPHACKLMTDVFGNYVIQKFFEYGTPSQRMELADQLMGQIVPLSMQMYGCRVIQKALDVIEPDQRVRLARELDGEVMRCVRDQNGNHVIQKCIESIHADRVGFMLSAFRGQVSSLSMHPYGCRVIQRLMERCSNEHQCHFITEEILESARVLCKDQYGNYVIQHVLEKGTSEERERIVRKLSGHIVQLSLHKFASNVIEKCLEHGGRIERDLIIKEIAGADESYDSLLMMMKDQYGNYVVQKIFETCSVDQRVVLVSRVRMHASALKKYTYGKHIVTRLEQPFGQESRESRR >A06p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1158422:1160810:1 gene:A06p004430.1_BraROA transcript:A06p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose kinase [Source:Projected from Arabidopsis thaliana (AT1G49820) UniProtKB/Swiss-Prot;Acc:Q9C6D2] MSFDEFKPLNEKSLVEYIKATPALSSRLGDKYDDLVIKEVGDGNLNFVFIVVGSTGSLVIKQALPYIRCIGESWPMTKERAYFEATTLRKHGGLSPDHVPEVYHFDRTMALIGMRYLEPPHIILRKGLVAGIEYPFLAEHMADYMAKTLFFTSLLYHDTTEHKRAVTEFCGNVELCRLTEQVVFSDPYRVSTFNRWTSPYLDDDAKAVREDSALKLEIAELKSMFCERAQALIHGDLHTGSVMVTQDSTQVIDPEFSFYGPMGFDIGAYLGNLILAFFAQDGHATEGNDRKEYKQWILRTIEQTWHMFNKRFIALWDQNKDGPGEAYLADIYNSTEVIQLVQEKYMRSLFHDSLGFGAAKMIRRIVGVAHVEDFESIKEDEERAVCERKALEFGKMLLKERRKFKCIGEVVSAIQQQC >A04p033910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19693518:19696489:-1 gene:A04p033910.1_BraROA transcript:A04p033910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTRNLVSSSPSFPTKTHLKNGFSSPSSVVMLHEQTATPVINSRHLASLSRHFPASVLSQEPREESRPPPLSHSLREDRTSQQLTLERRQFDGLVSSREDEKFEQQLLQSTGLWNLLLSPSPTVVSPLEDAVALAHKALSASKQAALLAEDSPPTSSSMSSLPEERTIVRSKKLLERRGRNRRAPKPNGLDNESSYLPQKSNAKKKMRQGFDNDDALQLFLWGPETKQLLTAKEEAELITHIQNLIKLEKVKTKLESQNGCEPTIAEWAEALGISGPVLKSEIHRGRSSREKLITANLRLVVHIAKQYQNRGLNFQDLLQEGSMGLMKSVEKFKPQSGCRFATYAYWWIRQSIRKSIFQNSRTIRLPENVYMLLGKVSEARKTCVQEGNYLPSKEELAGHVGVSTEKLDKLLYNTRTPLSMQQPIWSDQDITFQEVTPDSGIETPDMSVGKQMMRNHVRNLLNVLSPKERKIIKLRFGIDGGKQRSLSEIGEIYGLSKERVRQLESRALYRLKQNMNSHGLNAYADLLV >A05g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28352908:28354327:1 gene:A05g509340.1_BraROA transcript:A05g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLATKFKVLFDNGVEGIYRPSLDNARLVNVSYVDTCLNPHHKNALSIRDPGAFAAARTNAAVPTSPSLRNNTGNQLSVHPDPPELTDSTPSPPQMSLTQTDDGRTKRSFYLSGTGTGVDGAGGASASRRQNQIVAQRASPRFPHYVRAFTPETDSPWTALFQSSGKAEEREDDSKAKIEGFKGGLRGSGDGTHAHAPAGLRTRL >A01p037600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17602707:17604659:-1 gene:A01p037600.1_BraROA transcript:A01p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPGQHIKNKTRTRVTELSGITAGYSLSPTKRFSPISFLPPGAQIFFPVLTLWIQILPPFYVAGNLIWREGAASGERTFVHPCWWRACVDTVFWVMPLTKLVPDAFGVLTISLVALLILLGLLCIAYSFYFQSHVRKLGFTQLGYFSGPWIIRITFILFAIWWALGEIFRLSLFRRHTRLLLDLRWQQNVCKWYIVSNLGFAEPCLFLTLMFLLRAPLKMESGALSGKWNRNTACYTLLYCLPMLVLQLAVVLSESRLNGGSGSYVKLSRPFTRAYSRVTIDHAEVALCTYPLLSTIILGVFAAVLTTYLFWLGRQILKLVINKRLQKRVYALIFSVFSFLPLRIAMLCLSVLASADKIVFEALSFLAFLSLFCFCVVSISLLVYFPVSDSMALRGLRDIDGDDSRAVTEERSGALLLAPQNEDSLSLRGRRSSSQERYVELSLFLEAEN >A01p038310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14551133:14552277:1 gene:A01p038310.1_BraROA transcript:A01p038310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSFPSKSTIDVEKANNNQKDIALIVSKNLSSTKAKHSNSVFSPVSISSALTLAASCPGGSSVSDEILSFLRSSSTDELNAVFSKIVSVVFADHSANGGPKISSVNGLKDLFENFFKAVFDRVDFRSKAEQVRRELNKWAEDHTNGLIKDLLPRGSISSLTNCVYGNALYFKGAWQVPFLKSNTRDRVFHLLNGTSVAVPFMSSYENQYLKAYDGFKVLRIPYRQGDDETNHSFSYQQGLFGDATSHSFPFGHGGYSTNGSFSMYFYLPDKNDGLDDLVKTMASTSGFLDYHVPRCKVLVNEFRIPKFKIAYGLDN >A04p026670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16041815:16048985:1 gene:A04p026670.1_BraROA transcript:A04p026670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKVGEKFLSSVKSAKSLGLLPSSSSFSDRPEIPARATAAAAVARALAGLHPDQRLSISSAATELSSIYGNSHRPLPQEVEELEQGFYQEDFDPVRHILENIPDDQSELAYFEKQATLRLVQLDRVAEDLSHHVMEHHEVMVKGMNLVRELEKDLKIANVICKNGRRNLTSSMNEASRDLIVHTHSKKKQALLDMLPILTDLRHARVMQSSLEDLVDEGNYCKAFQVLSEYLQLLDSLSEFSAIQEMTRGVEVWLGRTLHKLDSLLLGVCQEFKEDSYVMVLDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKTVVGEDNTAATQFSRLTYSDLCLQTPESKFRQCLLRTLAVLFQLIYSYHEIMSFAPEKKVESYSSTSFASTPRIDSVSDTSCDPQDGGLSSSMSSAGVPNISAEETSERETSIPVKQASNNAIDDSRDFGETESSGESPWYYLRKESAALVSATLQRGRRNLWQLTTSRVSVLLSSPTASSTSMHQFLKNYEDLSVFILAGEAFCGFEVLDFREKLKGVCENYFTAFHRQSMHALKMVLEKETWTKLPPGTVQAINFAGLVGDGAPLIMSSRNATGSSRFAHSSKSNDSIDPSGNRSGFSYWLKSGNPFSAKLNYYREDQDYSSVNGAASGDSEGNDSIHEDVVNRKIRDAKRINGGSPVSGDENEDLHADYIDEDSQLPRRSFTRSVSRSASSHISTNDDLTAQTGSSLCLLRSMDKYARLMQKLEIVNVEFFKGICQSFGVFFYFVYQVFGQENTNSGGKGGADSSNHRLKSCLSRISQECEQWIKPQLSSSPSSSLSFPNTVPSLADVTPASPIKTSGHVSGTSFSLKERCAAVDTVSLVARILHKSKAHLQSMLMSRNGSLVEDFFGQLVGSVPDLTEHLHRTTARILLHVNGYVDRIANSKWEVKELGVEHNGYVDLMLGEFKHYKTRLAHGGISQEVQNLLLQYGVEIFAEMLVEGFSRIKRCTDEGRALMSLDIQVLINGLQHFVPTKVKPKLQIVETFIKAYYLPETEYVHWARAHPEYTKAQVIGLVNLVATMKSWKRKTRLEVVDKIESAAA >A05p002920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1035733:1036317:-1 gene:A05p002920.1_BraROA transcript:A05p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERSEDNTNVVRSLNVIVKESSQVNTSSRIYYYGGASVPFLWETRPGTPKHPRFSESFHLPPLTPPPSYFSSSLSSGNKLSKARTKQTRSVKTLFNAKHHVSCPSFSWSSTTSSSSSSMSSSPRSKTVYPANKCFLFCSRSYVKDDDEEEIGSSSPTSTLYYKRGFSSSMGSMKRALSSVLSNRSSRNDLRLI >A04g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19128712:19130824:1 gene:A04g507630.1_BraROA transcript:A04g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGKYTSEKPKAVESDSSPGPIKPIGTPYVSSGHSIGDPHSKQAKGEASVTSGLTKLSGKTAVSSGVFIGEPRSKNPNDTIIHSSKTGVSSGVRGKAAVSSSVRGKAIVSTELVAFKDVKYGSHDGELRFRLIHFWEARNVVSKVLLGLEMLFIDQEETVIQGFIPAGRIDTYLPRLRVGGLYRLNSFYGSNNKTLYRVAEPSFTVTFSSSSVLSDLMDSPVCFPEDRFRIHGYEEFDAACDLKGNLYDYVGHIKLVNGQVLSDSLMLDDAEIASSRRVLLHVQTHDDPVIKLYLWDKVASDFSEKFKATGGTARVVLVTTLDILHIYITCLGCILHTYVSIRYLSTTSSLWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATSRSDTTKSLASHAPLGATSQSDTPRSLASLWRDDTKRSLERPLRATH >A08g507670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13578242:13578676:1 gene:A08g507670.1_BraROA transcript:A08g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSICMNVSSGCVLCSVSDESISHMFFHCSFAVATWSRFCGRYMASPPASLPDVVARCQHLQGPHAPRAVAVLKLINQAIIYSLWRERNARIFQGVSLTQEAFFSVVDRRLRDRLLSLSLPSATAPSPSLLELYFWFLSPYS >A05g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29052990:29059687:-1 gene:A05g509490.1_BraROA transcript:A05g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCFSSSSSSTSRTRMVDYYYDPTLYDYNRVFPPCDVNYVNNLHLQEALASSLVTLMKEEINHHPQPQRHVTLRIKQEEEPVIKAENEPVEPSSMLCMKCMDEKSSSDMFRVIMGTCFSSSSSSTSRTRMVDYYYDPALYDNNRVFPPCDVNYVKNLHRQEALASSLVTSMEEEINHHPQPQRHVTLRIKHEEEEPEIKTENEPVEPSSMLCMICMDEKSPSDMFRGNCTHSYCTECTVRYVETKIGENVAGIKCPDVDCTHLIEPNTCRDLIPRNLMERWDKALCELLFMSSGKVYCPFENCSAMMVVEGGDDKVTLTECPSCHRLFCAQCKVTWHEGIGCKEFQRVGNTKKKCRVILNGILNIAKGKEKKKNVDKLLIQLAKKKQWRRCPSCNFYVEKLVGVVLSFAMVVDQSGVTVTHAKYSEVLYA >A05p025480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12388167:12388742:1 gene:A05p025480.1_BraROA transcript:A05p025480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTALSYKLAGSGTSGASQNVSQLHHSLTNIQANNLLGTHDLNTRLRVGNSSARVFGRLAYCYTRVFINSSLTRHEFTETLILLGTSSQSIRLCLSMSQVNSSFTKHEFKANSHFTRHKFERSISSLSLRTWGTYYWGWIFILLKVH >A02p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26690501:26693677:-1 gene:A02p042500.1_BraROA transcript:A02p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRWNPTGFQYSCLMFLVITLQSRSSLSLNSEGFLLLKFRERVDSDPHGTLANWNISDDHLCSWFGVTCVDNKIQMLNLSGCSLGGTLAPELSQLSELTSLILNKNNLFGDIPNEFVTFPRLKLLDLRDNKLNGAVPPELNKMLSPENLLLSGNKFAGYMKIKFLRLQSLYKVNLNKHRELSSASNAVFDCVNRKLGYWLLSEPHGKNKVVTYIPSSIENGSSIFRRRELLEGTSNLAAMPAPDAPSPSPQIITNVFPRSSGSFPALATAKKRIPPLIPPSLPPTDENNNNNNISSDPPRQFEEEETKGSKDVWLYVLIGVAAFVAVLIVIAVIFFFRKRAVKTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIETYDGYTVYKGTLSSGVEIGVASTAILERRDWSRAMEMTYRRKIDTMSRVNHKNFINLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHDKEMEHLDWSARMRIIMGTAYCLQHMHELNPPMSLSKLASSAIYLTDDYAAKVGEVPFGSQTGLKSRKTTSGDLDKSLLPLPAEPETNVYNFGVLMLEIISGKPPDSDEEGSITKWASKYLESDKLKDMIDPTLTTFKEEDLEVICDVARHCLRTDQSQRPTMKDVIEQLKEVINISPEQATPRLSPLWWAELEILSSEAT >A10p038130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21368901:21371662:-1 gene:A10p038130.1_BraROA transcript:A10p038130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 62 [Source:Projected from Arabidopsis thaliana (AT5G05230) UniProtKB/Swiss-Prot;Acc:Q6DBN5] MEAMSQACTNTITSLSPSPRTHQILAPVKAIPHLNARKQKPFAAARCVASGSGYAAAVEPITPEEEEDLTQRRSICGGEANRGMWELLECLEKEAIMGGDDGRDPKDYNRRAKIFDKSSKIFKNIKEQRDDHSPELFDSMSGKGLSPASFTAPPMTVQDADPLRFRVGEQDPKTREFAAFIGDQHRYFAAAAAAAAASANPHPHLEFRQSFYSESGNPNDSGGSDGEEEVEEEEEDDDDVDGNEGDGMNKDVGEDGGAVVGIEQDNAAYYSQQFKAMEASFVSRHEESSSVAAENGCDVSGRRDASSSSLSNSIESLRTILSDPLTGALMSDAMILPCGHTFGAGGIEQVKQMKACCTCSQPVSEDSITPNLTLRVAVQAFCREEKSQSNHSSKRKREGFDQERRSFGDTAYTNRSRNRTNHFPFAVADRVIIKGNKRTPPRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKATEDDPSTKATPSKLVSNWL >A08p045980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25120982:25122462:1 gene:A08p045980.1_BraROA transcript:A08p045980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGLRTEELDRFNYPEGFARRRGSYALAHFECEGKLGKMYGGYPNCALVKLFAKLGLHRYNWLEGTNFQFGRLKRFNMGSAATAYFITLVARLPTSHLEQIFQVVVEEERLGILDLTCRHSRPHEGTESSKKEMPSLRPHRQPVPTSYKGRLFDWPSSDFAWPSSFSDTKRFYLLNESEVQCDWISLYVELAICTSHRKIKARDLTKFHLEIVQVAIESLDDKEPPSLVSRAALLYVTYKDVVKSLRTGEPCLSKAAVRRVFNESKGRLSIQGDCWVEAETGLAFSEIPSKKSSKKGSLKLKRRLGVHKLWRLSSPRWYQTYKNRGDLDN >A02p041920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26244878:26247790:-1 gene:A02p041920.1_BraROA transcript:A02p041920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSTYSSDLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALLYFPLWTVLFAAAIMGFVGYGVQWLVITNVISLPYILVFLCCLLAGLSICWFNTVCFVLCIRNFPANRSLALSLTVSFNGVSAALYTLAYNAINPISTQLYLLLNALIPLIVSFAALIPILRQPPLEPLPPDGVRRDSLMFLLLNILAVLNGVYLLLFGSKTSDVTSARLLFGGSILLLILPLCLPGLVYARNWYLHNVHSSFRLEGSGFILVDVDELEIHKGMATREASLEGYQLLNDDVVRTAITPDQKSFIEDDNGSCCSKLITRNQLGMLGEEHPLYLLLCRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSETTTLVTLYSSFSFFGRLLSATPDYIRAKFYFARTGWLTVAFLPTTIALFLLASSGSLSALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALVYESHSMGGSKTESVICMGRDCYFLTFVWWGCLSVIGLASSVVLFLRTRRAYQRFEQDRITSSMLYS >A06p044490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23892455:23893334:-1 gene:A06p044490.1_BraROA transcript:A06p044490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAETNLGRRFSDDTYSGFDLPDLYLSDEWMDDDLVSAVSGMNHSYGYQTSDSAHAAAFFSGSSSGFGHPESPCANASVAAATATASAGKNYYKCSADGCPVKKRVERDGDDPSFVITTYEGFHNHSSMN >A03p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1406757:1407746:1 gene:A03p003230.1_BraROA transcript:A03p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGKRPFRAGESEEKKEADDDENIFPFFSARSEYDTRAMVSALTQVIGNQSSTHDNNLHHPVEYDQQDPIQHVPPTQDHGNLRKIHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAEAAALAYDEAALKFKGSKAKLNFPERAQLASNTSTITGLPNYYSSNNQTYYSNPQTNPQNIPYYNQYYYNQYLQQGGNSNDALSYSLAGGETGGSIYSHQTLSNTTSSPAGGSLRQQEDYTRFWHFGDSSPNSGV >A08g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:513789:514520:-1 gene:A08g500230.1_BraROA transcript:A08g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYFWGSWTIATRCCSSVVEESPPQNVFPGDQSQEHNQSPEPIITGSGDQSQNNVDPENQEHDPSQSLNSGDLFNDWNEMNKSRSPSHEELTNVGGSGDTLIQQEHEDDESTGNQEEDMNEMNKENEEHPDKENK >A09g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23130842:23134839:-1 gene:A09g508130.1_BraROA transcript:A09g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPDLNMLETNSGLRGSDGSFGGKAHATNSNITLDEDDDFEGCPPKARRGGRSDRNSYERFGWRGGRGQGSGKPASGRGQRSRTTGGSSSSKNRSNMRHYIELTDDQRDFLCTSRIIDVAYTEETDISLGVDVVHATPAKDRNQPNHEENFVYRRMSESTSGTLRCALTFETGTVEIVDVVAVTPPQQNKKHNRVIEDDDEFVDPPQTEFAKSTKTRHLKDTGESDDVVLVTPPKQYTKHNRVIEADDEFVNPPLTETAKQYNKHNRVIEADDDFVDPPVIQTTEFDGGESFTPRKHILSTFVFKDDDLFVDPPVTEGIGVQGGEAFMKGIQVSEMYGYDDVVHVFNNMEGSGFQPQDIDYSKEDSDIYMLGLPCRHAIAASSYRNMEYNFFVSQYHVKDTWSETVKGIILPVPNPEDIHIPADILKLQLFPPMIKRTKGRLCIKRKQSAGEVPDMLGGTHYLELIVFWNYD >A09p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4067226:4068462:-1 gene:A09p007780.1_BraROA transcript:A09p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFDLPTNLVEEILSKIPFKYMREVRLTCKQWDTLSKSRSFSKMHIDKLSEIREGESMMVAWIDYDLYLMRVLLVDNEDPIVEWKGKLNQQIKISKVFHCDGLLLCVLKDDATKVIVWNPYWGQTRSIECRCPSYGYNMFSYALGYEDKGSCRSYKFLRFMISTLAHGRLLMSLHTGVYNFAMECLKGNTYWPASQRKREGDVLNDHIICFDFTSESFGPLLRLPFDAGYCDYVTLSCVREEKLAVLLTHNEAGPMEFEIWITTKIEAENVLWSKFLRVLEPDLAPLITCNGFFVEEENKVAMGFVTDLSITTFNIVGEAGYLKKLKLVECLEIDIQCARGANACSYVPSLVQIKQPASGTKRKEQSDLEKQRCDQNMSRLVAFKKRSKFW >A06p054700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28659947:28664058:-1 gene:A06p054700.1_BraROA transcript:A06p054700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46400) UniProtKB/TrEMBL;Acc:F4KHG8] MVTTEVSTAVSGMKPTSPPPESDGHTDFLDNDRLKTTFSSGSLDFDDWTLLISEVETSFPDDTEKLCLVYDAFLLEYPLCHGYWRKYAYHKIQLCTLDDAVDVFERAIQAYTYSVAVWLDYCTFAVAAYEDPHDVCRLFERGLSFVGKDYSCCALWDKYIEFLLIKKQWSSLAHVYLRTLRYPSKKLDFYYNNFRKIAASLKEKIKCGIDVNGDLSSDPMEEDLVPTPHSDEEISVVIRDLMGPSSSLAVAKALHAYLSIGEQFYQDSEQLKEKISCFETQIRRPYFHVKPLDTNQLDNWHKYLSFAETYGDFDWAIKLYERCLIPCANYTEFWFRYVDFVESNGGRELANFALARASQTFVKNESAVHLFNARFKEHVGDASAASVALSQCGEELGVGFVENVTKKANMEKRLGNFEAAYTTYREALKKAFVGKENVETTALLYVQFSRLKYMITNSADEAAQILIEGNEKVPHCKLLLEELIRLMMMHGGSRQMDLLDPIIDKEISHQADSSGGLSAEDKENISNLYMEFIDLSGTIHDVRKALGRHIKLFPHSAKAKLHESRPLGNSLRELIQRREKTRECLNQDLSTNKGTGSKVVSPLEEKKDLPLNSDAVLSDYINTEPNMESHVEGTNNVADREKLCESQSDLSTGLKSDEDGERSREVSLPIQATPEPGFVAKQAHFSSSSVDTVKSDAIEIQPSGFRSPQSHHNQEFIRQANRNRFQRRDFNQNHRDPKPRSQERPPQMSYSRQQMAVAPRDNPSVSQSLQNQSQYSASQLHPMAQPSYAYPQAQIPGQHMSVASSDNPAAAVQSSFSQIPQNQYQASAAQVQPSFAYPQSQVPQHPVQSNDQLEGQMQSNEAYNQMWQQYYYYNYYYQQQQQQQLVSEQPQPYQNPHPQLDPNLVAKQYQAQAGTQHPQQQSHEPQNEQQIQYQQQQQQEWFQQQQQWQQQQEQYHLYLQQQQHLQGEAKGDEQRPSSVPQRDSDVQKSGESGGREVEHSSDTSITSI >A03p072570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:32087013:32087930:-1 gene:A03p072570.1_BraROA transcript:A03p072570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDTSRNRVQLSRGRNRVSPDSQRTISDNFRRSPQKSIYRGRYSHSPPSRNMEWRPVNKNPERGEGRGERNLSMERTRTLERTRTSDSARRLPQKEKDLLSTPSGKTITSETADKRIDRNTRPDKKGITERTPARETEEERRRRIRGKAIAEDINEGQINLELEQTVMGASHLPDPILNEIPENQVYVEIVQNRSPPSVGKNLLNKATETVAPPSNRALNIQDPVKERNKTVDNGKQGIEEDQQLVSEEEITQIMEEYASVDLEMDDDMVDDDDLLDDMDDEDAAVPETQEVLIQNKKDLSKKE >A08g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8423744:8424162:1 gene:A08g505100.1_BraROA transcript:A08g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQDAPGVLSLDFGQPHAHCFQTNTVSGLAKAGCLVAFSLTLFVLRFGDIRK >A02p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11697309:11698319:1 gene:A02p023510.1_BraROA transcript:A02p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHFHLTFGKKTLLNKEVVDKAVRFSNKKDASGDEDDPGRPTSNGIEPSTRERRGHVAEMTADLAGEAQLSVNERDRPQQGVRICREEGKHGRKPSLVKYGDCNRREIRRLGEPPENVRSGGTRSVKRSTSSENWRRRWKQNRDKEDEESQSGGPDAGGQRPHQHQEAADARESR >A04g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4310462:4320056:-1 gene:A04g501780.1_BraROA transcript:A04g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVLGQSASCSRGYARFTEEWSVCLTRGNCREEKATSIDAALCTSIDAALCTSIDGDPISWALYMPINTRSSKEELLYVSDPTRLERSIRKERRSPSIDNNTSSSINTRQPQSTETPSSSADTRPPPSTEATLLSTDIFHPTSIDALPQTSIDTEPRDMDANIILLRDENGDLHDHEGHLCNAASQKVDAQGAVIPEPSTATEDAKVLQPRTMAELIRPSQIYSNRSAIQPPVIQGVDMKARYFSHVAQHPFHGFPQENPFDHIETLEDYVSGIHENEAATDYIICKDDNKIFEAEIGSIHEVSLVEQAICQDEDQRHIEEMKFMLEKLLKEQQEMTEDLNLHLDFLCKEVNGRLETLDTHVKMLYTQASQTKEAKSAVHRSTVHPGTVHRSTVHPGTIHPTSIDTVHPTSIDTVHPTSIDIIHPASIDTVHPVTVHRGAVHRDTIHAVTVHYGTVHRDTVHQVTVHPVSKNTVYQAIEGHQRDSILDNELGEILEQEKREEYAFLVESSKSVGSSHSDFAARHPHPPTLVRIRPNDVDRQQAERIDRQHHERIDRQEHGSIDRQEQQRIDRFPSTPYRVRLPNLVAHRLNATQNSSQTSVCLGTTEQNSQQTEDATKKEHSTLAETSLVEIDQHQRNGYEHVMEVQATKEGVQCEKRVKSRKPFLPKHLRREVNKVELDGFHKRVKRVPKDMSFVDAYYKYRLGNFFRESRETYEDIEQLFNKVCRKPKRTLKKEQDPGKFLIPCSIQNHDLPNALCDTRSAVSIMSIDTADLLGLKMEPSQDSFTFVDNSNANSAGMIRNVKVEIGDCTIPVDFHVLEIKSGKPSSLLFGRAFMATVGAVCDLKKNMMCLTNIDEGVYYDHVDKTRSKDFISCIELSDDEAHTADSTREPAKPKSASIDNQPPASVDMQPSESIDTKHPASVDTLHISEQAVTEKSKSGGRTRQRKKKKKKKNIAADFLSLVPSQFQEGSLECRVHCRGGHEPFTKSMSISIDSTSPAATDADFQPNLTSFACLGAWYTKDRNPPASLEGSGTFRRNMVILESFGAFGGAELHRRVRCLAMNGDLPTVNQHPVPEVMPVLLKSGQSASQEEAAETRKPRRSMQHSARRSMEISDHGPCIFYDCVKPRSNHKLPECPWTTRNPIYVISKPLKVLLKFRRQRGRSCVGIKAKIALHDDGDDACCFLSKDRTRFLLLIKDRIWIIIQGFSSVKSWSLMWIVQWLFLKNLWKQNKITRMLRSTYILAPKSVYTFSLLPLSRHSLKVEIFHFPNLRDYLRKLQIYPRRHDIYLSLRTKHKPYRCMCGCALLMAFADVFEGFHHSLLKVVTDFLDIKMK >A10p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22414385:22416605:1 gene:A10p040760.1_BraROA transcript:A10p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSVAKYARAAAAAATIRGRAISVRSSSVRYSIPLRWIHGEISVPNANHVAIQMVNYALSHARSQKSGESYAQGMLVLEQCLGTQPNDDQASQDSKATVLRAMSDLLYESGNSSEAIERLKQVMSLTLSSLSIRAVAVEALVGLLVQSGQDDTSLMVADEFLELVKERGHENLQDVAATAKTIKGLAELVKGNIESAESLFGGLENNEMCKGNIALSYGEYLHATGNFEKAKEMYQKAIQGVTDTKESMCSCNMNLKAVSLAATFALGQLESHIGNFGDAEETLTSALTSAEEHYGHNHPKVGVILTGVALMYRNKAKQERSSSILIQEGLFRRALELMKAPPLDSEEIINMETQEVMALARGGYAELLLIQENRKSEGEKMKAWAESAWRNRRVSLSEALAPSSDPLDKVAIIDARTTRLL >A08p042400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23782023:23788459:1 gene:A08p042400.1_BraROA transcript:A08p042400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNITAIIKELNVRMKKEPITLRYIHILVSVVFFSSAASLLFLLALYFNQQFQTSLFLVKDLSSNPLTSLTPPQSSGNDVADEELMRRAAMASRGVVMNETNPKVAFMFLTRWNLPLSPLWEMFFKGHEGFYSIYVHSSPEFTEEPLESSVFYKKRIPSKAVEWGESSMLDAERRLLSHAILEPCNARLVLLSETCIPLFNFTTVYTYLMRATSSFLGSFDDPRPIGRGRYNPRMFPHLSLSDWRKGNQWFEISRKVAAEIVSDHRYYALFKENCRPPCYMDEHYLPTFVNKICPEMNSNRTVTWVDWSRDGSHPARFVKEDIRVGFLDWIRFGSNCSYEGEVMNVCFLFARKFHVSTLEPLMQIAPYLSSFISAKAMEVKKANRKKTNEHVGRRDLNEPKSRNQYSFSKSIKENEKMVEEMEQQMLQLERKITEKKMYHEKAISTLKYNMRFGRIYNEKWARIEDDQYSFQSDCLKKMELDKIIFANNAYRDKKAVASFSSGKREINVHNLNHRMLHEAKSMDGERSLLKMLNPSKDDDSDQFSINQIEDQMWKLQRWMKWPEYNNNPGTMDREECERKVKELEWEKYQGFVNAPCKASLWNSLPSTKVLRNQIQAMETRDEEKRKIVLVSLLKIESPTSGWVKPLKDLLKTIDGVDFKIDKRSKTVYIYGKTNPEIILEKITKAGQKAEIIWSNHERKKPLDNQRGHPMQQCNNYHQQYYNGPPPPWMYHQPPPYQSYALPPPYPLQLNPPPPLPVPSGSQPKEPAAKSFPPTPPPPKNFTMGDLHPGCGIM >A09p069460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54134510:54136284:1 gene:A09p069460.1_BraROA transcript:A09p069460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDKALEDLLDYQLKDQRESLSAIEEALASDPSNPDLLSVHEELVGAIKDAEEGLFQLKRARLLQEADIVLHGLNNNAAAVKPANELEPKRKDLDDGSKCRFRHTDGRWYNGRIIGFEGYDSAKISFLTPTSENMMMCKFFLQGRCRFGSSCRLSHGVDVPISSLKNYEETEWKQSMVGSKIWAVSGSKYDVWRVGELESWDDKLQLGGVVFRDDGSSVKLGSDAMVLSEYADDDGEGEDDEEEDGSDSGSEEESVSSDYDEEFPQGIGILGTTDQRRGGVQNETAIFAKWENHTRGIASKLMANMGYREGMGLGVSGQGRLDPIVAKVLPPKRSLDHALEHIKNGEAKSEKPKKKRSRGGRRKREKKFAEAARAAKQEEESDLFSFINNHEKATGRESVKKRQNIGPVDRKALVAYEDEVKDLKFQVKKLEEMVKRNKRDQSFSDAATRRLKEVQKALASTLAAQASASNAVESKENEKKWLKF >A09p017490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9053581:9055822:-1 gene:A09p017490.1_BraROA transcript:A09p017490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK7 [Source:Projected from Arabidopsis thaliana (AT1G63500) UniProtKB/TrEMBL;Acc:A0A178WJ26] MGCEVSKFCCGSEPSGSNHGVTSSGVDDRIGEGNDLPQFREFSIETLRKATSGFATENIVSEHGEKAPNVVYKGKLDNQRRIAVKRFNRKAWPDSRQFLEEAKAVGQLRNYRMANLLGCCYEGEERLLVAEFMPNETLAKHLFHWESQPMKWAMRLRVALHIAQALEYCTAKGRALYHDLNAYRVLFDDDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSYGTLLLDLLSGKHIPPSHALDLIRDRNIQMLMDSCLEGQFSSSDGTELIRLASRCLQDEPRERPNPKSLVTAMIPLQKDLETPSHQLMGIPSSASTTPLSPLGEACLRTDLTAIHEILEKLGYKDDEGAATELSFQMWTNQMQDSLNFKKKGDAAFRHKDFANAAECYSQFIEGGTMVSPTVYARRSLCYLMNDMPQEALNDAMQAQVISPAWHIASYLQAVALSALGQENEAHAALKDGSMLESKRNAL >A10p034660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20109465:20110686:-1 gene:A10p034660.1_BraROA transcript:A10p034660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMEQQSSKGLVLTTSGHETQSANSVKEYSDPPIPDDLLTEIFSRVPAKSIASLIIQTITLASLVATPYQTLIFPEYIGFDNPRTLCGLVLLGQMRVICNPATGEFLTLPNVIKENILPNGNPAVIAATYLGYDRIGKRFKVLRMTSSRDGRPNTHQVLTLESGKLLWRMVECKFHFVPTLALATHICINGVLYFEAKLGKSTVIVCFDVRSEKFGFINTNEDMEQGLACELKSLELFNYKGKLGIHNKENDLYGKRLVLWVLEDAGNHKWSKNVYVFSPLDEKMVKHIKFVGVTGRGEIVYSPRPFHPVNWYFVVFYNVESKTFTRVKVEGLEVEEGEKNHFKNTLIGYVENLEFM >A03p003510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1542232:1543662:-1 gene:A03p003510.1_BraROA transcript:A03p003510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENISQVKEMIPDENCCFVLPPPPPAAVSNGNVNMRRYSTGALDKRVPPGGKAQLQTRYRGNQTSSTHDLCKHGKRREDELVIKPWKLVKNKKVEGGGGLVKNETLSVVRKSLVSVSKPDKSLHVAKRDPPAAVVSEVVKSCDGLRAKRSETKSTTTTTPSDSAVGVRMVKKTNVDVKKVSKSSREDVLKNLKDKEKKTKIDDVLEKKVSRIMEKKSFKEDLLKNVKRKEKTEIDERVRCDDVVEKTLYVVESSIAEKKKKKSIGSVKSETLKRSDPRPPIRQITSRSNTSLSEKKESGSPTLVANAKTEIKKRIGLTLKPKAIPPPGPPTRPVSFKKGKVLEPKAEDPTLTSINFKKRVVQEPKLRSDVNKNKNNLKDKGEGVGKMSYNSGEGIREKVVLRHRKVEEKKIMGTLFNNVIEETVNKLGEERKSRVKALVGAFETVISLQDNDKTSLLKKKKIQNKSTSSQVVEG >A08p008800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4645061:4647471:-1 gene:A08p008800.1_BraROA transcript:A08p008800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MPPAPATSFIIPTPLLMLIAVTTMPLLLAGGESIPTTLDGPFKPSTRRFDPSLRRGSDDLPIDHPRLRKSNVSSDLPAQIALALSTPSSMWVSWVTGDAVVGKDVKPLDATLVSSEVWYGKEKGNYTLKKKGNATLYSQLYPFDGLLNYTSGIIHHVLIDGLEPDTKYYYRCGDSSVPAMSEEISFDTLPLPSKDSYPHRIAFVGDLGLTSNTTTTIDHLMDNDPSLVVIVGDLTYANQYRTTGGKGASCFSCSFPDAPIRETYQPRWDAWGRFMEPLISKVPMMVIEGNHEIEPQASGVTFKSYSERFAVPSTESGSNSNFYYSFDAGGVHFVMLGAYVDYNQTGAQYAWLKEDLSKVDRAVTPWLVATMHPPWYNSYSSHYQEFECMRQEMEELLYQHRVDIIFAGHVHAYERMNRIYNYTLDPCGAVYITIGDGGNIEKVDVDFADDPEKCPSPGDNVPEIGGSCPLNFTSGPAKGKFCWDRQPDWSAFRESSFGHGILEVMNSTYALWTWHRNQDVYKDDSYGDQIYIVRQPNLCISPATSREAGGGRETSGGENRLSSPSFPVFIWIFLMFGIL >A08g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9224580:9225744:-1 gene:A08g505480.1_BraROA transcript:A08g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTNLKQMRGDPRVGVATSINPKLVGGHLFLNATSGTHIYFDKETNAGESYFYRLVSQDIGLPSAAPLLKTYAKVETLSTAELSEFVIAAPSQAGEGVKPEENQAPPFVAAMDGKNYIFQGGDDDNLEAISVPAKLEIGGNSPAQVSAEKVKKARKA >A02p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28756313:28758642:-1 gene:A02p045930.1_BraROA transcript:A02p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPENSSNLDLTISVPGFSSSPPSDEGSGGGREQLKLDMNRLPWSEDDEELCHGGSAPPRKKLRLTREQSRLLEDSFRQNHTLNPKQKEALAKHLMLRPRQIEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNHRLHREVEELRAMKVAPSTVTSTSSLTMCPRCERVTTAASPSMAASAKKALPLKEREH >A07p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9254658:9256099:-1 gene:A07p014870.1_BraROA transcript:A07p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMAQHGTGKRGNQQNPDQERAQEDAKREADELARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLITLLEQINSQTSKQTKVTIQRRRGVDDD >A01p006080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3129211:3131291:-1 gene:A01p006080.1_BraROA transcript:A01p006080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRVKLICKRTVVSTKPVEQGRLYRFSVFDHVMEPNHIRLVYYYQSSKAREPGEITKKLRESLAYTLNCYPIVTGRLVKETDGTEENRWKVKSNDAGVRMIEARATGTVEEWRSSVNREEELKLVHWEDMYHLPYYWSTFYVQVTEFESGGLAIGLSCTHLLADPVCAMMFIRTWADLTLTRNMMTPPIFHSLPPRRFSSHKLSNNQLLSHYNRSSSLTAPSPNITEDYMVTITLLFPDPMVQVGENEPGLTAFEILAGLFCVCVSRAKGKRNELTDMSLCLDVRKLLRLDQSYFGNCMVYHKVHYSKPVKAIDRLLLLSYVVQEIHNVTKGLLDYHAVMDLVEWLGSNNNNPISNGSDLVCTNLENMGNPRPMMFEEDLMLSHLSCYVEGPVAGGGQVIVLPSPSGEGPMSRVVMVSLPQREMVKVLEDELLQSFSPVLLWKLQNKKNNKD >A09g517150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50396961:50401903:-1 gene:A09g517150.1_BraROA transcript:A09g517150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPEIDIVFSLTLNCSSPRYYFTDRRIGTGKAFFSSYSGKGDSGTLTILLHICAVDRPSLSPELHSICIWNSLANSNLSSEVIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINQLLKSGPKGADMGATYGFSPARHSSPFRGQNDDFNYTEVNPDRHTTPSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPGKQTESTHGAELRAQTGVHHGGDADIGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDSSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDDRGDATVANKGEDADEDDVTITKVQAGDKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPPSVVAEPSNPKPLRQEIHTFIGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSMILVKDRHWIGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPHMVSRFCLTSRARELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPAV >A08p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8700060:8707094:1 gene:A08p010610.1_BraROA transcript:A08p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEQDELPEATQREAELQRQIAGLQSQVKLFHIWKYGRFSLSDVFHFLEGSRVQGPSPGFPLVETRGTHLSGIRGSESCLEAGGKNTRIFSPTVCPLFLDFCRRSRGITCALKSTGSELILNLDKDRSLRVQSIYDSNFGYLPGNDKAGASSCDSRASLRQLSILLSLYSGSLKMKSEPDLASGSSSIGVRVRSRRKIGDEVCESMNSSGSFLGLSAEIEEIKNAAMGETSPLPEGGGSLLVGPVSEIGVEEVAFWRQKFHLSENLVNRIPGPFDMVSDFRSGEVPVYEGFFESGFRDQVSSLIAKVSRAVNISPGQLNPPALRILIVMQNLGDLEGLVIGAAEVLYCYSVSPLNGGERRYHLHPRSRMLPVQELSRSEKKHHPVCEGNWHQNISRADFSSGRHVIEQLLGLPVDRREISFLVSEEVLDRCSIRGVISDPRGAEALEEYKRALEVTAARKAAIHRVVPAGGSNIQFTRSGKRQAAPIVAPSSSKKRSRASVFKPSLSASRSCSKALASLNSEVFPMTPTRPSLDEDTSKVVRSLQGDVLQVASQLFHLKGRMKNTSATKAERDALAIRLREEKDAILAKDEEIEAWKLRRRLENKEEEICELRYAAEVFDAEKIKTVNDAKVVVCWELMREWLDDQTDRWDPITSFEQYKVVKISEAEFLGLPLPSFETQGSWVSTRTSGLYNDRTLKPLSVLEGAGLGENPSARLTLVSTRMSSLEGSFILCRVLHLFESRDLVWELFHAPALADLYVVDVRGPLSPISASMKHVVAFIHWSKWGPNPGFLLVGTRGTHLSGIRGSESCLEAGGKNTGIFFPNSLPLISRLCHRSQGITCTLKSTGVAHSQQAPVPLKSELILNLGKDRSLRVQSISNSIFFCLSENGETGADFHFGVPLDRGGERKCDIFPVFSPVARNSSEDLEISDFLFPGSKKGKS >A03p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22223328:22227018:-1 gene:A03p047770.1_BraROA transcript:A03p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM5 [Source:Projected from Arabidopsis thaliana (AT2G07690) UniProtKB/Swiss-Prot;Acc:O80786] MSGWDEGAVYYSDQPQFPEAGDAATVSPHAVMTKFKEFIRTFEIGQNCFPYREALLDNPKRLLVHLEDLLAFDSDLPSLIRSAPADFLPVFEKAAGEVLAGLRMREANETGEMEEPTPSDMQILLTSREDPVSMRLLGAQYISKLVKISGISIAASRVKAKATYVFLVCKNCRKTREVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWMVVPDRSQYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQLIVPGTRLTVMGIYSIFQASSSSNSHKGAVAIRQPYIRVVGLEDTNEASSRGPANFTPDEEEEFKKFATSQDVYSNICTKIAPSIFGHEDVKKAVACLLFGGSRKSLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRKYSQDKEIASHIIRVHASADKVTDENTDSKEDNWLKRYIQYCRSRCHPRLTEAAAMKLQQQYVKIREDMKRRAHETGEAAPIPITVRQLEAIVRLSESLAKMRLSHDATEDDVGKAFKLFDTSTMDAARSGINQQINITSEMANEIKQAETQIKRRMGIGARLSERRLIEDLARMGMNDSMVRRALLIMHQRGEVEYQRERRSIVRKA >A09p058000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49027713:49029425:-1 gene:A09p058000.1_BraROA transcript:A09p058000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITNFAPRHIALIPLSEFSEASVMKKYGLKPDAETLDIANTAARQKSIYWGDPREKICEAVDHIPLSSLGGLEWMIMGSVSNHIVNNVACPVTIESDEENCKGSHCDAKEYEELSESATMIMRLKATIQSLEGRMSSVTEKSAKGSLSSFSTLMISGELKMYSHLKQFTFLDLKLATRNFRPESLLGESGFGCVFKGWMEENGTAPVKPGTGLTVAVKTLNLDGLQGHKEWLV >A06p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7165772:7169408:1 gene:A06p016090.1_BraROA transcript:A06p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEESLPAFTLFGLDDVENYGLVSEADNSLPLDIHNQVFQLVEKGNQSFKDSRFEEAISNYSKAYSIKPLDPIVLSNRSAAYIRLGQYLKQRSASVSEYTPLNGFDMSMLGELALKDADKLMNLQSSSVKSYTTKACALMLLERYELARDTILSGLQIDPFSDPLRSNLQELEKVMPTSMRKTYGKAERSDDFDCTVCLKLLYEPATTPCGHTFCRSCLFQSMDRGNKCPLCRTVIFMTPRTCAVSVTLNNIIQKNFPEEYAERKSEQDTLVHLGNESMPLFVMDVIIPCQKLSLHIFEPRYRLMVRRIMEGNHRMGMVGVALDSATGSPVDVACEVEITECDPLPDGRFVLELESHRRCRIVKAWDQDGYRVAEVEWVTDIAPQSDQEKADLRELTTSAASFARSWLERAKEAARQGDRRRLETLLIVESMMPTPQDPERFSFWLATLTDRRPLERLELLRLQDTGERIRRGLIYLRSVERGCTMQ >A03p014130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5615571:5620546:-1 gene:A03p014130.1_BraROA transcript:A03p014130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVNGGAACAVPGSSSSSSSNPLGALTNALLGSSSKTQERLKEIPNATSSGPGPQFYSQDQHLSSLPGSELDQPLLQPGAQGTEFFRGFRSVDQNGLGAAWDEVQRGGPMPPMGPMYEPVQPTFEGPPQRVLSNFLHSFVESSRGGIPFRPAPVPVLGLSQSDKQCIRDRSSIMARHFFADRGEEFINSQVNALLSSLDIDDGIQARGHMPGRFRELDDYWNESQAVTKPGLHPADSWAAEFDQHQHGMNHGGPDAWVNSFEQQHGVNGWATEFEQGQSQLMSNQMRSMNMQNLAAMEQTRKLAHTLSQDGNPKFQNSRFLQFVSKMSRGELIMDENEVKPGPAPGDWATEYEQQYLGPPSWADQFANEKLARGPEQWADEFAFANRQQESAEDQWVNEFSKLNVDDWVDEFAEGPAGGSSADAWANAYDEFLTEKNAEKQNSGVYVFSDMNPYVGHPEPMKEGQELFRKGLLSEAALALEAEVMKNPENAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPSNLEVLLALGVSHTNDYLWPYVFGIRLVTNMLYPVITELEQATALKYLYGWLRNHPKYGSIAPPELADSLYHADIARLFTEASQMNPEDADVHIVLGVLFNLSREFDRAITSFQTALQLKPNDYSLWNKLGATQANSVQSADAISAYQQALDLKPNYVRAWANMGISYANQGMYKESIPYYVRALAMNPKADNAWQYLRLSLSCASRHDLIEACEARNLDLLQKEFPL >A04p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16506956:16512018:-1 gene:A04p027440.1_BraROA transcript:A04p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTERGRAMWRTCLASAFRTALACTIVGAATLYGPEWILRYVAFPAFSYVTIILIITDATLGDTLRGCWLALYATCQSVAPAIITLKLIGPARLTAGTTALAAALAAFVVVLPNGSTHLVAKRIALGQIVLIYVIGYINGAETDPVMHPLRVAASTALGVIACVLALLVPLPRLATSEVKQSCKEIGQNVTTRVKLYMKAFCAEDAMTAMASVSQARELSRISSKLYQTIKRYQPSMKWERLPFKIWRWQNVNDNKGEKLQSMEIALRGMDMVLASKSPIPASLLAGEVKDDLKNVQERVNLSIKRVNNVPQPSVTPETDLQKPDECLQTLQQVPETPQDLPFYFFLFCLRLLETISTAKPEETKVKPEENKGSVKTKSRSWSWFSDWDSKKVMPATKLSLSLGLAIFLGSLYSKPNGYWAGLPVAISFAAAREATFKVANVKAQGTVIGTVYGVMGCFVFQRFLTVRFLSLLPWFIFSSFLSKSRMYGQAGGISAAIGAVLILGRKNFGQPRDFAIDRIIETFIGLACSIMVELILQPTRAANVAKLELSRSFHALYGCASLFGAKASKGEIMESQKKLRSHLNLLKKFTEEAQAEPSFWFTPFNASCYEKLFKSLSKLADLLQFSGYAIGFLDEQGRWKSPQCKEILSDIDSDLKSLTQSISLLAKSFEEITLLKSLDALEKALTKNGNTSWDIELGKTPNPSFSSPESEPGKILNTYLQHCRGVSDGIFRADDEEGEEVKVDKSEVVLSLSALGFCVEKMGKETREIEEMVKEVVQSENPSSHVNLHEISCKIRSLYK >A10p029770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18132889:18140981:-1 gene:A10p029770.1_BraROA transcript:A10p029770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVKWESTILMMMRRSLVYFLGFSCLLCSVSGLLSSKGVNFEVQALMDIKASLHDPHGVLDNWDRDAVDPCSWTMVTCSSENFVIGLGTPSQNLSGTLSPSITNLANLRIVLLQNNNITGKIPSEIGRLTRLETLDLSDNFFRGEIPFSLGNLRSLQYLRLNNNSLSGVIPLSLSNMTQLALLDLSYNNLSSPVPRFAAKTFSIVGNPLICPTGKEPDCNGTTLIPMSMNLNETRAPLYVGRPKNHKMAIAVGSSVGIIPFIFIVVGLFLWWRQRHNQNTTFFDVKDGHHHHEEVSLGNIRRFGFRELQIATNNFSSKNLLGKGGYGNVYKGTLTDNTVVAVKRLKDGNALGGEIQFQTEVEMISLAVHRNLLRLYGFCITQAEKLLVYPYMSNGSVASRMKAKPVLDWSVRKKIAIGAARGLVYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDTHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELLTGLRALEFGKAANQKGAMLEWVKKIHLEKKLEVLVDKELLKDKKSYDEIELEEMVRVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQGRSESGSKGSNGRVNELMSSSDRYSDLTDDSTLLAQAMELSESLVLFFFIPALLSLSTRAYPYPHCIAAAPATMPTVNVGRDRLFAALGESYTREEFDDLCFRFGIELDDVTTEKAIIRKEKHIEEEAGKDEETIYKIDIPANRYDLLCLEGIAQALRIFNKKQEIPTYRLSDVGKDNMLRMNVRSDQTSQIRPFVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRRRSLVAIGTHDLDTLQGPFTYEALSPKDINFVPLKQTKSFRADELMEFYKSDLKLKKYLHIIESSPVFPVLYDSKRTVLSLPPIINGAHSAISLQTKNVFIECTATDLTKAKIVLNTMVTTFSEYCEKKFEIEPVEVKYDSGESYIYPDLAVYDMEVPLSYITDLMGVSLEVEELRAERSTSSDGQGLVKVHVPPSRSDVLHPCDVMEDVAIAYGYNNIPTRMPASIKPLPLNELTDLLRLEIAMNVYTEVLTWILCSHKENFELLNRKDDNSAVIIGNPRGADFEVVRTRLMHGILKTVGSNNKHPKPIKIYEIGDVTLLDETKDVGASNRRHLAALHCGATSGFELIHGLVDRVMEVMGVPFKPDGDIIGYCINRSEEPEFLPGRQASIICKGKHVGNFGIVHPEVLNNFDITDPCSFVEIDIEALL >A09p062690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51157194:51166728:-1 gene:A09p062690.1_BraROA transcript:A09p062690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQREARSHQSLNRQGSLYSLTLDEVQTHLGSSGKALGSMNLDELLKSVCSVDGNQPSSLAAHEGLSRQGSLTLPRDLSKKTVEEVWKDIQQDKNGGGSGHERRDKQPTLGEMTLEDLLLKAGVVTETVPGSNHGNGSAGMEQNIAQVAPWVQYHQLPSMPQPQSFMPYPVADMQAMVSQSSLMGGLSDTQTPGRKRVASGEVVEKTVERKQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENERLRRQKEVEKILPTFFSKFGEIEEGPLGLDKYTGRPKGFCLFVYKSAESAKKALEEPHKSFEGHILHCQKAIDGPKPGKPQQFNNNPRFQRNDNSGGYGTPAGHGHLMAGNQAGMGAPVQAMNPAIGQALTALLASQGGLAFNPAIGQALLGSLGAAGGVNPGAGVGMPTGYGTQPMTPGSIPGYGGQPGLQGGYQTPPPGQGGAGRGQHGVGHYSSYMGHKRLSKGRNKLCYYKSHGYIWLCGSSREARSHQSLNRQGSLYSLTLDEVQTHLGSSGKALGSMNLDELLKSVCSVDGNQPSSLAAHEGLSRQGSLTLPRDLSKKTVEEVWKDIQQDKNGGGSGHERRDKQPTLGEMTLEDLLLKAGVVTETVPGSNHGNGSAGMEQNIAQVAPWVQYHQLPSMPQPQSFMPYPVADMQAMVSQSSLMGGLSDTQTPGRKRVASGEVVEKTVERKQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENERLRRQKEVEKILPSAPPPLDPKRQLRRTSSAPF >A03p005360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2245929:2247314:1 gene:A03p005360.1_BraROA transcript:A03p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYKKVKRTEFLVRTGQYKSFRPKLLLLLGLFLAVLVTIFIISVSTINSTGLQSVVTTVTSTFVPCREDEPNSLVKWIQPPTVLMHNMTDEELLWRASLWPRRKGYPFKRVPKIAFMFLTKGPLPLASLWKRFLKGHKGLYSVYVHPDPSFNAKFPADSVFYKRQIPSQVAEWGRMTMCDAEKRLLANALLDISNEWFVLVSESCIPLYNFTTIYTYLSQSKHSFIGAVDDPGPFGRGRYNENMEPEVPITKWRKGPQWFEVDRDLAATIVRDALYHQKFREFCRPACYSDEHYFPTMLTIEKPTALANRSVTWTDWSRGGPHPATFGRSDITEEFFGKIFDGENCTYNGRNTSMCYLFARKFAPSALEPLLHIAPKIMGF >A02g512120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32841387:32843417:1 gene:A02g512120.1_BraROA transcript:A02g512120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFSRSEKEKWSETPRSPRKRSPIKIPGSNNEALIADNKLTLIGRSEIELPSGEVTEVEFEYLKIEKHCFTCFSLFHEETDCPRRSRHDPPAKNRKLGITQAIALERIEADKRRHDERRGYRPPDSRQAPLRIEGHERSRQSHRYSDNRVSQDPSRQETSNYTKYSNTSRPSVVLKNSDYHNNRSRREYVPRADSYQSRSIPPFRRRELTTVQTKAHALSPNRHMGTSSPLRNCESSEHTPSPRPRREPMRNGPEPVMASLSQKSQERRSALERIAEPDLRDQLERRGSLSGDSGKLFEITARPDDSLPQIPTLTENMIQSASRNSALQRLGGLASLGSRERTRETLQTLADSPTAAEPAMSTQGIKRKQTKATGKSKVARSPLQGLNLRKSRVVRSLNPPRKKLCTDKSALPYNITLEVLDSSPNIIDTK >A05g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11852374:11862177:1 gene:A05g504330.1_BraROA transcript:A05g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDILGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYQAPRKVPRNLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVSDFTSIVKSTLNHQVNRKFIQFYQSCTLYKISVFRIQREILCCLIDFVSLEKMEELAQSQALLASQKTLLAAMKGVQDQISQLEKRNKAQGQQPQQGERRFGDALDAGYVEPKLPDPSWITKHQTSYTHEYSNSSYHDYNSADDVNIYSFSGSRLSSEYLTWERTMDDWFTYYGVPKKERLAHAIKVDKTHGKSPEEVVTNWEDLKDVMIRKYVSSRPSPEVRERYPRRFSSHGYKEAKRRVPKECHRSLFHQDQIRPDKRSTVFYDQYQPYEVPMSMEKNHFSPDTLARHKAKSDKPILQGKAKRFSSPSICEYQTLEGDSSPRKERPEPKPIIGLKRNLSAFQKAHYQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDILRVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQITHEIRTYKAPRKVPRKLSYPLNRPGSRRIKFLIWSQNPIKGSNG >A09g515670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46208180:46209819:1 gene:A09g515670.1_BraROA transcript:A09g515670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFRAKQNSVTIGKQLHDHNSRDSRSASLSKATISDAEEAIETVKLLALKEGLLVGISSVAAAATALKVAKRQENGGKLIALLRQPERAKTAFQDIEQQETSNAKNKHGRALIPTHLVVEWAKNDSSMEEKQSIIQL >A03p037270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15590320:15604480:-1 gene:A03p037270.1_BraROA transcript:A03p037270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLIIGLSTVLFVWLSQVSVSAAVAALRTVVVRFADAAAYYIATAGFISINRRTRRNEQELTQNVDVAAAAGTCGNQTNSPYIRMSRAESSWKRLVNAALQRDRAGGGAGGGTGQGSLMDYVPSSLPNNRDIDAILRAADELQNEDPSIARILCEHAYSLAQNLDPKSEGRGVLQFKTGLMSVVKQKLAKREVGTIDRTQDSKRLQDFYRLYREKNNVDTLKKEEMQLRESGVLTGELERKTVKRKRLFATLKILGNVLEKVAKEIPDELKHVIDSDAAISEDTIAYNIIPLEAHVTTNAITAFPEVKAAVAALKYFRGLPNLPANFPIPATRNADMLDFLHYIFGFQKDSVSNQREHIVLLLANEQSRLNIPEEMEPKLDDAAVHKVFLKSLDNYIKWCDYLGIKPAWSNLERISGEKKLLFVSLYFLIWGEAANIRFLPECLCYIFHHMIVEMNEILRQQVSRPAVSCMPVDSHGGSDVGVSFLDHVIAPLYEVVSAEAFHNENGRAPHSEWRNYDDFNEYFWSLRSFELGWPWRTSSSFFQKPIRRRKYEFEAGRDKHRGKTSFVEHRTFLRLYHSFHRLWIFLAIMFQTSLQALAIIAFNKNSIISTKTLRQILSLGPTYVVMKFSESLLDVIMMYGAFSTTRRLAVLRIFLRLIWFSLACVFICFLYVKMLQEDTKPIYNSVMFKVYGLVIAIYGGIQFLFTILMHIQICRNIASKCDRWAVIRFVEGMRQERHYVGRDMYERMSDFIKYFLFWLVVLSAKFSFAYFLQIAPLVDPTRMIMKQDNIQYSWHEFVSIKNYNALTVASLWAPVVAIYLLDIHIFYTIASAFFGFLLGARDRLGEIRSLEAIHKQFEEFPGAFMKALHVPVTNRTFDPSHQAVDKNIVDATHFAPFWNQIIKCLREEDYITDLEMELLLMPKKSGRLQLVQWPLFLLSSKILLAKEIAAESNSQEEIVERITRDGYMKYAVEEVYHALKLVLTETLEAEGRMWVERIYEDIDASIKNRKIHNDFQLNKLSLVITRVAALLGILKENETPEHAKGAIKALQDLYDVIRLDVLNVNMRGQYEMWNNLTQAWNEGRLFTELKWPKDPELKALVRRLYSLFTVKDSAAHVPRNLEARRRLQFFTNSLFMDVPPPKSVDKIVFTPYYSEVVLYSMAELTKRNEDGISILFYLQKIYPDEWKNFLARIGQDENALEGDLRNERDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERKAGRDGGESTLFGNNMTDAEGFELSPKARAQADLKFTYVVTCQIYGRQKEDKKPEAVDIALLMQRNEALRIAYIDVVDTPKDGISHTEYYSKLVKADINGKDKEIYSIRLPGDPKLGEGKPENQNHAIVFTRGNALQTIDMNQDNYFEEALKMRNLLEEFNRDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAKPLKIRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMLSFYFTTVGFYFSTMLTVLTLYIFLYGRAYLALSGVGDTLRERAISLDDTALSAALNAQFLFQIGVFTAVPMILGFILEQGFLKAIVSFTTMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVKHITFSENYRLYSRSHFVKGLEVILLLVVYLAYGNDKAGAVSYILLTVSSWFLAGSWLFAPFLFNPAGFEWQKVVVDFTEWTNWLFYRGGIGVKGSESWEAWWEEELSHIRTLSGRIMETILSLRFFVFQYGIVYKLKLQGSDTSFSIYGWSWAALAIFFFLFKVFTFSRKISVSFQLVLRLAQGITFLVALAVVTVGVVLTDLSVTDIFATVLALIPTGWGILSIACAWKPIIKRIGMWKSIRSLARLYDAGMGMLIFLPVAFCSWFPFLSTFQTRMMFNQAFSRGLEISLILAGNHPNSDL >A08g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12505648:12506417:1 gene:A08g507180.1_BraROA transcript:A08g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSTHTNPSTLLVTSAAELLPSTTVTSLRELLPSVSAFLSAQETLSLVSGCKWAVGTGEDTVYFFLLTSVVLLLSKAVKVCTEELVPANPDILEGVADLIQLSYLN >A02g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16776740:16778051:-1 gene:A02g505750.1_BraROA transcript:A02g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSRSQPERPVQSDYLKSLPARATSSSHSRFDGSRHEETRRERPPGATMLGRSACFAWTIFMLFQGPFGHLFCCFTLPKPKLKVDSLIDHLPSLVRYLIIQGLIPMPMSSLFPLVKKCFDIPQN >A04p000760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:376301:377175:-1 gene:A04p000760.1_BraROA transcript:A04p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKERKIVVAVDESEESMEALSWSLDNLFPYGSNNTLILLYVKPPLPVYSSIDAAGFIVTGDPVAALKKYENELVESVMARSRNVYQDFESDINIERKVGRGDAKEVICNAVQNLKADMLVMGTHDYGFFKRALLGSVSEYCAKRVKCPVIIVKKNMPQNN >A05p045430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27177662:27179060:1 gene:A05p045430.1_BraROA transcript:A05p045430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQFDARQFDQKLNEVLEGQDEFFTSYDESHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLKRQSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A01g501350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5165023:5165478:-1 gene:A01g501350.1_BraROA transcript:A01g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERLPTRDRLISWGMSVPAACPLCSLANESHDHLFFNCVFSTAVWSHYTGWMFAAASASPAAVLAILDQPHISSCSGAAVILKLLLQVIVYNLWTERNQRIFRQSSSSEAAIISKVDRSIKDRLLSLPPLREGSISFLLLYLTSRSLFPP >A07p041410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22377799:22380035:1 gene:A07p041410.1_BraROA transcript:A07p041410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLAVNFFCKLIHSLMSSDQPPLIETPLLDSNHDQEQQQPLSDVVEDEDDDLGQTLQWLETFLTLLGFNQSSTRSLVLSWIVFLTVGLVIPVTVLELGHCKDCERYQYKSFELNIVVSQACLAGVSLLCVSHNLRKHGIRKFLFVDQLSGRMGRLKAQYIQQISNSVRLLALWSLPCFGLKAVREIIRMLYVPHDQPWLSVLILLSMIMSWTYLSTIFLAASAMFHLVCNLQVIHFEDYAKLLDGESEISLFIYEHIRLRHYLSKISHRFRIFLLLQFLVVTISQFTTLFQTTAYSGRITYINGGDFAVSAVVQVVGIILCLHAATKISHRAQAIASVASKWHAIMSCSSTDATQIRTSPSGVHLEATTNPPISFQISHSESDVESMDHYMRMPANNNSHHFPSYMSMSAYHKRQAFVLYLQMNPGGITIFGWTVDRHLINTIFFIELSLVTFVLGKTIVFSPE >A01g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24149547:24150844:-1 gene:A01g508920.1_BraROA transcript:A01g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRALLCVPQVLYVSRLAHQLDWIRFYRGCSTIRFLAYQRFFFLYKGKLLRPPFLKFSSLFLDCGSSFVSAHQVHPSLISLAVSIGAKTNMEVLLEVVEEEAKLVVAKPQRQ >A01p008390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4077923:4079409:-1 gene:A01p008390.1_BraROA transcript:A01p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTWMRRFYSKIKGKKVKELPAYIKSTYSMESVKTYVMKGLDNYNDKYIQTSSVDPLLHICFGGMAFSYLLAIPHEKCFFEEKCCCERSD >A08p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15736992:15738108:-1 gene:A08p024100.1_BraROA transcript:A08p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAEAKKTSSTNVEDKQSQNLRHQEVGHKSLLQSDDLYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLIKLVNAKNTMEIGVYTGYSLLATALALPEDGKILAMDVNRENYELGLPIIEKAGVAHKIDFREGPALPVLDQLVADEKNHGTYDFIFVDADKDNYINYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITICRRIS >A02p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12491236:12493119:-1 gene:A02p025000.1_BraROA transcript:A02p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFFLVFLFSSLLTPNVDASPIYIEALSKSLLFFHGQRSGPLPTDQQFSWRASSGLSDGSSANVDLVGGYYTEGDNVKHNFPMAFTTTMLSWSTLEYGEQMGTELQNALVNIRWATDYLLKCATATPEKLYVGVGDPNADHKCWERPEDMDTPRTVYSVSPSNPGSDVAAETAAALAAASMVFREVDSEYSLLLLATAKNVMQFAIQYRGSYSDSLSSSVCPFYCSYSGYKDELMWGAAWLLKATDDSNYKNFIQSLGGGDQTDIFNWDNKYAGAYVLLSQQALVNNDNTFDQYKQEAESFICKILPNTPSSSTSYTPGGLMYNYKLPQSNLQHVTAITFLLTTYAKYMKATQHTFNCGNSVNIVPDTLISLSKQQVDYILGENPAKMSYMVGYGTSFPMRIHHRGSSIPSQPHFSCADGLQFLNTSNPNPNILTGAIVGGPNEKDAYADNREDFIQSEPTTYINAPFVGPLAYFASGGSA >A04g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1879664:1880314:1 gene:A04g500640.1_BraROA transcript:A04g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIGSLGDDNSKAYVSGINVDGAKLSETDNGVRIKTYLGGSGTAKNIKFQIIRMDNESAVQVNNVVYRNISGTSATDVAIMFNCSEKYPCQGIVLENVNIKGGTASCKNVVNVKDQGTVSPQCP >A03p052130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20215825:20217326:1 gene:A03p052130.1_BraROA transcript:A03p052130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT3G52160) UniProtKB/TrEMBL;Acc:A0A178VHW8] MKKEPTTKMMNGGGGVESKPRKGLLPDYLGSVNMKYVKLGYVYLLSLSNTFCFFLPPFLLLFIFVSRFLPILAYPLFIFLLLLIYHFLTPSSSVFLLDFSCYHPPDHFKITKSDFISLAMKSNNFSETSMELQRKVLEQSGIGEESYMPRVVFKPGHKVNLRDGREEAAMVIFGAIDELLAATKINVKHIKILVLNCGVLNTTPSLSAMVINHYKLRHNTESYNLGGMGCGAGIIAVDLAKDLLNAHQGSYALVVSTEIVSFTWYSGNDVTLLPPNCSFRMGAAAVMLSSRRIDKWRSKYQLMQLVRTHKGMDDTSYKSMELREDREGKQGLYLSRDVTEVARHALRANVTTLRRLEPSFQHICVLATSKKALDEIQKDLKLTEENMEASRRTLERFGNTSSSSVWYELAYLEHKGKMKRGDKVWQIGFGSGFKCNTVVWKALRNIEPSRHNNPWNL >A04p030300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17989621:17995261:-1 gene:A04p030300.1_BraROA transcript:A04p030300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRRNLSGDLSSTTGSNKRSMTSENGRDNSLPFPIDLVFEIFWKLPLKSIAICRCVSKTWSSVLSRQDFTELFLSRSRTLPKLFLAYQKGGEFFFFSAPQPQSPDENSSSVVATYHMKFSFHAYLPGLFELSGLDQGLVGLTSRWIGKGRVPSVHVPVICNPSTGQSLPLPRVKTKRVKSRSLFGYDPIDKHVKVLSMSVSQGSVLPVAEEYQVVTLGTGKLSQRMINCGRELRYWPHIRGRCINDLKKVDMFFTEGTMINYNGKLGLLWSEGWLSRSSTSVNLCVLEDIGKQEWSEHVYVLPALWEDIVGSASLSFVGMTQTNEIGMRSLAYPPSPLYLFYFNTERNTVVRVAIQGMDVSEYDRVHIFLDHLENVKLIASAMKTRRRNLSGNDLTTTRRNTRSKTLENGRDNSLPFPVDLIMEIFSRLPVKSIATCRCVSKTWSSVLRRQDFTELFLSRSRTLPKLLLAYQKDGDLFFFSAPQPQNPDENSSPVVATYRMKLSFDAYFRNGAFGINGLDHGLVGLSGRTHSVICNPSTGQSLPFTRAKTRSMSLFGYDPIDKQVKVLSMWGAKFSGLLNVVAEEYQVLTLGTENPSWRTIDCGCILSYYSQHVRQKCINGVLYYLSTDMSTETTVLVCFDVRSEKFSFVKVKTFVTEGTMINYDGKLGFLRAGKSVCAYRESRIVNLWVLEDIEKQEWSERTFVLPALWEDVVVSAILGFVGMTRTNEIVMRPLSILPIPLYLFFFNTERNTVVRIAIQGMDVSEYDKVHIFLDHVDNVELIVILTMVNYNGKLGFLLSGESGYVYERSTSVNLWVLEDTDKQEWSERTFVLPALWEDIVGSVRLGFVGMTRTNEIVMRPLGLPGRPFHLIYFNIERNTVVRVSIQGMDVSEYDHVHIFLDHVENVKL >A06p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24606366:24609693:1 gene:A06p045910.1_BraROA transcript:A06p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWWVQIQKPSEMPVSHKRNMNVEIREMISRDPWSLKQPIHVLGIIFALVAFICVLEFLVIPSQGHLSTNLRFLVSGACLLTWASTLSRSFAFYCICSHLYGLFVASFLLFYQVVKRLPKKLSSSAVFMYTTLIGLTVVSLPYIPGWFEVIRETYALTRKFRNVGELAIVINTRLFETQFGLIAINSELLLAYDGSIDIGISRVMSWFIRIWATVLILQSSEDTRLAAGSSVCVLVVSPLLRMITRLIIPDRGILMAKLLIMRDAIRDVVGPFVWSLIILQIYSHRLKEEFVRSYGKSVRKKMKRRLETSVTVGESHTLVWHFPAPPRIKFSSGKKLVQTTVPPVFLIVMGTLSGSPLGPGPDARVVYIAGAFDLFHAGHVGILRHARELGDFLLVGIHNDQTVRAKRGGHPPNHEYAGKELKCWGMPLYHVLWHIISGSWDSSRERQFSKGKTRFRYEQKRNLKKEASDDKQMEKEASDDKHKGTDKNQNTERTASYLKNSSVGF >A08g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4200998:4203982:1 gene:A08g501700.1_BraROA transcript:A08g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGHMDVYASGALYEGLRGTKTFVLAAHSYALSAHLMFLGGVLDTVRLTSRSDCYRIGALGVLFFLFQTCGFYAFIDILEIYYMPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAEAQQVPPVQVQGHQQPPIQPVPPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFSGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEHKYSKEPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKGNERVEPADVNFPVGFPGELPARFLDELPPVAKVRAIPLNPELV >A08g510770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25240452:25246219:1 gene:A08g510770.1_BraROA transcript:A08g510770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEKVTIGRTEHGSDLPERPPGATSRSRCVPLLRATFLKRRHEVARSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A07p030300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16894091:16896093:1 gene:A07p030300.1_BraROA transcript:A07p030300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPP1 [Source:Projected from Arabidopsis thaliana (AT3G58490) UniProtKB/TrEMBL;Acc:A0A178VD76] MEGSGTWQGLILVSIVTWICLSSYLKLTQKVRSLVQPWVARQVVGGVPLILQIQKHQSGVLDAFFSGLSCVVSVPFYTAFLPLLFWVTSKSETLDIEMAYYCVDRIVILMLSLQSGHGRLARQMTLLIAFCDYLGNCIKLTMASTDEAFCEQYTTPYLLHYVLSSFEHEDASIQYYGFALACLLVAVIAFGRIYLGMHSVVDIIAGLAIGVLTLGLWLTVNENIDDFITSKQNVSSFWTALSFLLLFAYPTPENPTPSYEYHTAFTGVALGIVTGVQQTYSQFHHEGAPRIFSPELPVTAYLGRVVMGIPTILLVKFCSKSLAKWILPTVSNTLGIPIRSTTYIPKLKLDKGYANGKNTDETKNSVGYSQKLCDFLNQDSFDIDTGIRFVQYAGLAWSVVDLVPSMFSYFNL >A02p025210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12350039:12351491:-1 gene:A02p025210.1_BraROA transcript:A02p025210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIMQSCMPPGFRFHPTEEELVGYYLDGKINSMKTALDVIVDIDLYKMEPWDIQARCKLEYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNSVIGMRKTLVYYKGRAPNGRKSDWIMHEYRLQNSELAPVQEEGWVVCRAFRKPIPNQRPLGYEPWQNQLYHVDNKNYYSSSETTNTSHHIGASSSSQNLNQMVMSNNHYNANNPSSTMHQYGNIELSQLDSPSLSPSLGTNKDQNESLEQEEEKSFNYVDWRILDSLLEIQATHPQNRNVLVSSLATQSYNPEQSFPSMHQNYNYEIEANIHHSFGCFPDS >A06p054830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28734308:28739051:-1 gene:A06p054830.1_BraROA transcript:A06p054830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFISITASPPLYVRSKLLCFSLLYFFTTLSLFLYVSLSRNQCVFRYSPFDPVQTNTFSYPSSYGEHKYALPTHRSSCSSPVFFSDYWTVLNEIQSICSDSSSSEKLRYINGNSETFGGNFTTLKRFSYFNHSDNDVEVPCGFFRDFPVSDSDRAEMEKCGLVVASAIFNDHDKIRQPVGLGVKTLETVCFYMFIDDKTLNSLFHHNVIPKDNPRDYRVGAWRIIKISKSENLYLNPAMNGVIPKYLIHRLFPNSQFSIWIDAKIQLMIDPLLLIHSMLVVPDVDMAISKHPFFVNTMEEAMATARWNKWGDVDGLRMQMETYCEHDVPDSALILRKHGIRSDLFSCLMFNELEAFNPRDQLAFAFVRDHINPMVKMNMFEVEVFEQIVVEYRHNLKKIKTSSYEEQEEEQKQKSLRIIQKKRRWLDYGSWSLNGSSCKSYLMEMWDDHH >A09p026290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21003358:21005491:1 gene:A09p026290.1_BraROA transcript:A09p026290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANGKSPVSFANEEKIMFFKDASPDPHETQLQRPLKKVLRHGYTKPLSTIMIISKDRVKPSPTPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSENFGVRSIRENNDELMSVVYLEVSIISQALIFVTRSRSWSFVERPGVLLMIAFVIAQLVATLIAVYANWTFAKVKGIGWGWAAMIWVYSILTYIPQDILKFAIRYILSGKAWVSMFGKRTALTARRDYGAGERQAEWAREQRTQHGLQTRQEVNVFPENGGYRELSQIVEQDKKRAKIARLGEIHTFKGHVESVAKQKGLDIDTSGHHYTL >A08g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13592930:13593971:-1 gene:A08g507710.1_BraROA transcript:A08g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYDPDHEVLAALQGSNIELILDVPNSYLARIYYFQSQADTWVSNYVRNYTKGIKFRYISVGNEVQPFDTVYVSELVKQRIENENDKLMILRITLGTKCDISPPGNARNRESCFRPRNQGLHNYRHQRNKSPLLVNIDTYFSYVKSRGEIINDGFYSYQNLFLALLDSVYAALEKTGGRSLEIVVSESGWPTAGGTAANVDNARTYVNHLMQTVKNGSPRRPGRAIETYLLLDFDISFPNNC >A03p029690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12482434:12484845:-1 gene:A03p029690.1_BraROA transcript:A03p029690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDPNAWLSFLTKLWEHNPNSHERLKPRDTPESWSELPLDLVISVFKRLSFANFRRAKSVCTSWHSSSRQCVPKNQTHWLILFPEDNNSDNNSCTLFNLEEEDKLYRTKDLGLEFAKSFCMETYGSWLLMCNGMHNLYIVNLFTHERIDLPPVEAQRGVTKMERTLDDDVFRITSHNGKEYKGIRLRSPVLWIDEKTREYVVSWELRGLCVVYSRKGDTSWNQIPETSSCCDIVYRDSKLYFLSLFGQFRIFDFSGESPQQTFQCGVIVERFRLGIQLRQRSNSLSIVATKLVVTVTGEVLKVEKLWRPRSETWSFRVFKVYSSGFLKKHDRIYSLGDESMLLDQGITVLANDTDGFNKNSVYFSVSHGMDVHDIFLFNLETQTTELLHKFDCSSVQFSRARWFLPSFRLT >A06p042850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23055699:23056152:1 gene:A06p042850.1_BraROA transcript:A06p042850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILSAVSKLRGCVNQIENKNPSCVSEQDILNQAKMLLVQYVKYKRTLNSTSGLCSKAWKNLQITTVMHSKKKVVILHNLHRFQLIPSHHHPLTYEFEES >A08p001490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:882195:883085:-1 gene:A08p001490.1_BraROA transcript:A08p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDETQSSPLDSSSPAPSSPPVLPTSNVTVASVTKPPPSSQSPSRNALALAVHTPAVTVNKNGRSGGGGGGRDDCWSEEATRVLINAWGDRFAEPGKGTLKQQQWHEVAEIVNGSGQCKYTKTDVQCKNRIDTVKKKYKQEKAKNGPSKWAFFKKLESLIGGGGGGKAPVVGARSSGPMRWHFRKRSASETESESEPEHSAESLPPPLQPLPKRLKMGESGVGEVAKAILGFTEAYEKAESGKIKLMLELEKERMKFVKEMELQRMQFLRTQMEITQKNQEEERRINDDDDDRS >A07p003580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3217326:3218156:1 gene:A07p003580.1_BraROA transcript:A07p003580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLLLRLACFDSIDVKVKLEEESDCVKKTRPRRGRLQRTNGKASPISTRKRREDEDVCFVCFDGGSLVLCDRRGCTKVYHPVCISVLNLSSYKDQNETVVQVDFDDQCSWEYLFKIYWVSLKEKLSLSLDDLTKARNPWKII >A09g513090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39770353:39772589:-1 gene:A09g513090.1_BraROA transcript:A09g513090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECLKQEYNDCQCSNSRGWTEKKVEAEYKPVEFQTKIGAELEKQKNSVIVKKETELVDQKADHMIQELEKQKSVTEVEYQEDQNEVKEGNKKVNVPELHNQNKVETEKPKVGMRYVDVVKGIGP >A03p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3253980:3256053:-1 gene:A03p008060.1_BraROA transcript:A03p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase LIP1 [Source:Projected from Arabidopsis thaliana (AT5G16500) UniProtKB/Swiss-Prot;Acc:Q1PDW3] MMNCFPCFTSQKSSNPPSGNETNENNEQEVRPPPVAAVKHIEERETEQPPVKTFNFRELATATKNFRQECLLGEGGSGRVYKGTLQSTGQLVAVKQLDKHGLHGTKEFQAEVLSLSKLEHPNLVKLIGYCADGDQRLLVFEFVSGGSLPDHLFEQEPGQKPIDWLTRMKIAFGAAQGLDYLHDKVNPPVIYRDLKAANILLDDEFYPKLCDYGLNNLAPGAGDSMFHSSCVMDTYGYSAPEYTRGEDLTVKSDVYSFGVVLLELITGRRAVDTTKPNDEQNLVAWAQPIFRDPKRYPDMADPLLKKNFSERGINQAVAITSMCLQEEPTARPLISDVMVALNFLSMSTEEGIPDKVPILSFRDKSMSIALSRHGSCSVTPFSLLQKEGDDDSSSASSESEDDDDEKKEESKGSKKKQEEDSGDESGSDDEKGQEEVEKPGSSKSSSSDSGSERASPIDESNATAQSLEIKYSYSSEEEEGDNERLSSSKSDKDCTSFRYDSERNHDDSPKNTSIAHDDHSDDEEEEDHETRLEHIQSSKSEAHSVYSDDDAGEESGESSLNRAEPEEGDHGSSDEE >A04p022640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13663228:13671997:-1 gene:A04p022640.1_BraROA transcript:A04p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLCFFLVLLFTLVSSNFLKNITNSKFNLPRSPSRIPVIGNLHHLAGLPHRCFHNLSMKYGPVMLLRLGSVPVVVISSSEAAEAVLKAHDLECCSRPKTLGTGKFSYGFKDIALSQYGEYWRKMRKLAVIELFSLKRVQSFRYIREEEVGLVVKKVSESALRQSPVDLSKTFFSLTASIICRVALGHNFNEDGFVIDHERIEELVTEAAEAIGTFTFSDFFPGALGRFLDWLFQRHTKINKVFEELDAFYQHVIDDHLTLEGRKEPDIVSLMLDMIDKQGNEDSFKLNIDNIKAILMENPQNLGYDLFLAGVDTSAVTMIWAMSELVRNPRALKKAQEKIRTTLGDKKEIITEDDLGKVDYLMLIIKETFRLHPALPFILPRETMSHVKIQGYDIPPKTQIQINVWTIGRDPKRWTDPKEFIPERFTDSSVDFRGQHFELLPFGSGRRMCPAMPMGVATVELGLMNLLYFFDWALPDGMNFGDIDMKETGNISIVKKVPLQLVPLQLLSPLLYTRLIGIVGHHSTKQSFLKMGILLCFFLVLLLTLVSSNFLKKITNSKFNLPPSPSSLPVIGNLHHLAGLPHRCFHNLSKKYGPVMLLRLGSVPVVVISSSEAAEAVLKAHDLECCSRPKTLGTGKFSYGFKDIALSQYGEYWRKMRKLAVIELFSLKRVQSFRYIREEEVGLVVKKVSESALRQSPVDLSKTFFSLTASIICRVALGQNFNEDGFVINQERIQELITEATEAIGTFTFYDFFPGALGRFLDWLFQRHKKINKVFEELDAFYQYVIDDHLKPEGRKDPDIVSLMLDMIDKQGNEDSFKLSMDNVKAILMNVFLAGIDTSAVTMIWAMSELVRNPRVMKKAQEKIRTALGDKREIITEDDLGKVDYLKLIIKETFRLHPPLPFVLPRETMSHVKIQGYDIPPKTQIQINVWTIGRDTEHWTDPEDFIPERFTDSSVDFRGQHFELLPFGSGRRMCPAFPMGVATVELGLMNLLYFFDWALPDGMNFGDIDMEETGSISIIKKVPLQLVPLQCY >A06p010210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3643389:3645021:-1 gene:A06p010210.1_BraROA transcript:A06p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENNNTSSPPPPPPSSDAIDPAPLLLSGDENEGSNGNGGGEQRRSSSVRRPGLREAARLLSRASSGGGRAMREPSMVVREAAAEQLEERQSDWAYSKPIVVLDIVWNLAFVSVAGAVLVMARNEHPMMPLRVWLLGYALQCVLHMVCVLVEYRRRNRVRNNRTPRSRSSSSSSSSSMEEDALGSHEEVLSLGQIENERSSVAKHLESANTMFSFIWWIIGFYWVSAGGQELAQESPRIYWLSVVFLGFDVFFVVFCVALACVIGIAFRKVGGDVNKHAGDEAQGNAEGVMTECGTDSPVEHTILQEDAECCICLCAYEDGSELRELPCGHHFHCSCVDKWLYINATCPLCKYDILKSSNLDREEV >A09p063450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51518080:51522762:-1 gene:A09p063450.1_BraROA transcript:A09p063450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57520) UniProtKB/Swiss-Prot;Acc:Q94A08] MTRGDILTALPTYINRVFSATTFIHSSTKQKNVFDSCKPASYLSIHSFDGATTSVAATPCYYLISLSATFLLLHRAEFISCLFFVSFSSIRTRSNPFGPETMTITSNISVQNDNLVVQGKTILTKIPDNIILTPVTGAGSDSGAFIGATFEQSKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGTCGKDIPLETQFMLLESKDEVNGDDAPTVYTVFLPLLEGQFRAVLQGNEKNEIEICLESGDKAVETSQGTHLVYVHAGTNPFEVITQSVKAAERHMQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTAEGVDEGLRRFATRLVGIKENAKFQKSDPKDTQVSGLKSVVDNAKQRHNVKQVYAWHALAGYWGGVKPAASGMEHYDSALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVNPKKVFNFYNELHSYLASCGIDGVKVDVQNIIETLGAGLGGRVSLTRSYHQALEASIARNFKDNGCISCMCHNTDGLYSAKQTAIVRASDDYYPRDPASHTIHIASVAYNTLFLGEFMQPDWDMFHVTRAVGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRARLPGRPTRDCLFADPARDGTSLLKIWNMNKFTGIVGVFNCQGAGWCKDTKKNRIHDTSPGTLTGLVRAEDADLISEVAGEDWSGDSIVYAYKSGELVRLPKGASIPLTLKVLEYELFHISPLKEITANISFAPIGLLDMFNSSGAIQSMEINTVTDDKPEVSSSVVSENRSPTALISLGVRGCGRFGAYSSQRPLRCAVDGTETEFNHDAEVGLVTLNLPVTREEMFRWRVEILV >A09p051980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45659105:45662763:-1 gene:A09p051980.1_BraROA transcript:A09p051980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPMFRGVKSIPGMGSELEIEAEALRWAIYTLAGFEYKNVTFETDSQILSKMPSGEEEIWPRVKSIIQEISISLAGLSEAEVVYYSRTDNKVADRIVKETATFTSIVLKLYYIVPSWLFSCREADKSFVEQPIMAIKSSSADNKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIALEMTNSGADCNIVPSLNFETHHAGESSISGSSAKVKTFEPCDALYTDYTPCQDQRRAMTFPRDSMIYRERHCAPESEKLRCLVPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGEVFRFPGGGTQFPQGADKYIDQLASVIPMGNGTVRTALDTGCGVASWGAYLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPTRAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWILSGPPINWKINYKAWQRPKEELQEEQRKIEEAAKLLCWEKKYEHGEIAIWQKRVNDDACRSRQDDPRANFCKTDDTDDVWYKKMEACITPYPETSSSDEVAGGELQVFPDRLNAVPPRISSGSISGVTVDAYEDDNRQWKKHVKAYKRINSLLDTGRYRNMMDMNAGFGGFAAAIESQKLWVMNVVPTIAEKNRLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTLIKVKRIISGMRWDSKLVDHEDGPLVNEKVLIAVKQYWVTNSTSAQ >A06p019260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9373739:9374677:-1 gene:A06p019260.1_BraROA transcript:A06p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPTHDPESPYYLDPDFRPENKLSTVILREAEDNYFAWKRDIVESLGIRKKTGFVDGTLVRPDPSSPFYDAWKQCNEMVTCWLLNSVSPALQYVFFAETAHKAWDDIRRIFAPSSEFKIYDLRRKIATMTQGGGSVASFFAKLDLAWQELSEYDPVPECLCEGCTCEISKRAKEKRDKEKAFGFLIGSNNDVDITHSLVYALNVDWKIHQLRQTIAMPMQGGRSLAVYFQELDLAWQKLLEYHPLPECACGGCVCDVAKRASEAREKEKLFGFLMGLDRKLGKGMARIMLMKPRPSFNEAYSLLVDWTTC >A07g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5154739:5160297:1 gene:A07g502440.1_BraROA transcript:A07g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNANDFTNDFQKQIFPYHAIPMASTSTLLADLRAGRCSNTAEVCLLRFLEARNINKGGELMSVDMLLLDENSTLVHGSVSVLRQLRFRNKLTKGSDYSLSGLAMSVRNIPTEHFCFQPYDQILELANTGKQLPDVMGELCAIRSTITNRIPGAQRVMLTLRLGRDSTVCVSLFDSLAHAFHGKLDCYGREPRIFIATDINPKMVAGKLYLNGTSATRLYFDSESAVGKAELDRRVLIFYLDSATCLPGGGIGQSASSSKVVHAQKIEPLNVSELNQFVVTAEPQIIEFLCTAKVSEIHLGEGWCHIGCSNCSKKLIREETSFKCVPCNEANAVAELRYRVILSVTDGTGTATFLGFDTEVAKLTHVLASEAAQIVGVGATAQVDVDLPRSLADLVGNTYTFQLKLTDFNFNANHQTYTISRIFLARELAPIPTFYVSADVTEPALLQNVAAGPEGIAAITSNVAEHSPGADGTIPQREVVATEEADLAETVTAIASKSGSRAGRYKWDLVACSDCQAIVWNAEAVVQDTHNSPSKFSICCQQGRVKLPPRRQPPSPLKELLETTSFKIQIRVANGMLTFTSMGGQIDNTVINTPGPFAFRLHGQTHHKIASLLPPEGKPQQFSQLYIVDTDNELANIKKAFNKGTSALTEFPKWVLDVGNGTAPTVKTEGRSHEDGEQVIIEDEFMIP >A01p004360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1864489:1865271:-1 gene:A01p004360.1_BraROA transcript:A01p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF109 [Source:Projected from Arabidopsis thaliana (AT4G34410) UniProtKB/Swiss-Prot;Acc:Q9SZ06] MHYPNNRPEFAGAPSPVTGYPYHNQEQELSVIVSALQHVISGENETAPYQGFSSTVISAGMPRSDSDACQVCRIDGCLGCNYFYAPNQRIENRHHQQVEAEEEGVSNSRRESHVAAAEGGGKVRKRKNKKNGYRGVRQRPWGKFAAEIRDPKRATRVWLGTFETAEDAARAYDRAAIGFRGPRAKLNFPFADYTSSADDVGTSASANVSASTSVSATESAEAEQWRGGDCDMDEYLKMMMMDFGNGDSSDSGNTIADMFQ >A03g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9255239:9257391:1 gene:A03g503020.1_BraROA transcript:A03g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLVRKDDLSGDLDGFDDVRADEVYFLQEGDSWIVSATGPGDSWRPYKDWDHATTRLHLRDKVTVQESEPALERAETNSKPLVKVLVMGREYTGKSSIGGQIMCMTGHKTFQEILDCIKETIGYYGISEPYLPSLMDPYKKERGGTTLVGRFEFETTRTSVTMMDGPGAREHLDQMVHASIEADAVVLVVSAVKGEFETGFTTDEGTLEHAELAFSLGVSKIIVVVNQLDDVTERESRYNEIVQKLEGCLQNIGFEGVVFLPLSALYGMNIVKGVGDEFGWWRGPSLFEAIDTIEPQPRALGNPILVDRLIARITINKRSERVVTAEYPAFLCTHRAIVGCEISEIRKIREDPSAAHLKKTTALERFASVPFFGRFALTDEDYIGVLGVGEVLGIPEWRGWWNFHIRREFPANVVQPWGFTYCPSEPLRWEL >A01g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8159647:8160174:-1 gene:A01g502350.1_BraROA transcript:A01g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITKIQAAYIVVSNVNREVQMSLKLSFLEWTHNDQLLHQVMIAVFQQYQPSSNLIQKKMDGRMYVWNKYSG >A04p016510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10142037:10142970:1 gene:A04p016510.1_BraROA transcript:A04p016510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PENKSISSSEQFLLELHEERNRSKPLDNLVIWKSRNDKLFRGIKRIIWKLLDKWNQNVIHGLRLIVQGWRYLRNDKINYLSKPDGKPLEGNFKIFGATNKKEECHRYMQSMRPDIDNTRTRSIVKIFNIVKGAIKIEE >A10g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15018568:15021408:1 gene:A10g505830.1_BraROA transcript:A10g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYIDMSRIPYIPGRLHATNNPYQRYGPKGFMEIKVLHNDNLYVRVDLPGVPDDAIRYRVDAVRQKVVFFSGEETLRDGYKADDVREYSGTAGLGCDCCEITGVEAKMKDGVLRMILARVKVKDHDKKCTRFLPPNTGKSGRYDVNSFNCVEVEGHPFVVKGRKDTLASHRTSDGCYHFSVDMPGVCGDDMFVIPNENEIKFYGENKEVCMSTMRVAVFSLEPSAMFNAVSHHPPMSAGHAENEHFTYDAPPKALLIKKETSFCIVFCLSSCSLYIVKKNAVDLVPPLTKVHNLIFGRTWVWHVADVPKNDKFQYTHFGHKINSFDTAPSKLLASDSRLRPVRYALEQADLSKDTLRIGFCINIINESFLEERQRAENKTRETKNQKFTPRWFDLTDEITSTPWGDTEIYQYNGKYTEHRDAAASSSGASDEVDLKSIEFNPWQYGNVSTE >A01p018940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9210469:9216898:-1 gene:A01p018940.1_BraROA transcript:A01p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLVRCNRKAHTIVIGENKNLPKLHNPRDSIDPAAALFVLRQQRHRQFSLRRRRNQQGDRSYISSFPLFHFLERAFEKMASKLLQLKSKACEASKFVSKHGTTYYKQLLEKNKHYIQEPATVEKCNELSKQLLYTRLASIPGRTELFWKEVDHVKGLWKNRADLKVEDAGIAALFGLECFAWYCAVKDQKLRLKHSGTLSRSFFVISLLLSVLECVLGKETAIAMAKDEAKASDFSKGLLQSEELYKYILKTSVYPREPEALKELRSVTHNHPMANMATAPDAGQLMEMLLNLVNARKTIEVGVFTGYSLLLTALTLPEDGKVIAIDVNRSSYEIGLPVIKKAGVEHKIDFRESEALPVLDELLNHEENEGGFDFAFVDADKVSYWNYHERLMRLTKVGGIIVYDNTLWGGSVAEPDSSTPEGKREGKKAILDLNKKLSADKRVQISQAALETAITMANDEANASDFSKGLLQSKELYKYNYILETSVYLREQEALKELRILTHNHALAIMLLHRTKTIELGIFTGYSLLLTAFTLPEDGKVIAINVNRSSYELGLPVIKKAGVEDKIDFRKSEPLPVLDELLNHKENEGGFDFAFVDDADKGSYWNYHERRMRLIKVGGIIVYDKTLWGGSVADSSMPEGKGEGKRIAHELNKKLSADKRVQISQVALGHGITMCKLK >A09g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16759290:16765690:1 gene:A09g505330.1_BraROA transcript:A09g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARITHLPLVTRSSRFHLGSEEQKAVGVDLLPFANIRTRSEEPMMTPPRYGKHDSAIAQGNNHCYEHEHESTGRNPTDSHIGYEQEERYEDLRERNQAPDMYGSRRNYATTHNPRRNECEFMHRERTSEPRCRQEQRTAVSSYPLIVLVQGLLDRLDHRTDESSERRPSYPPDYLKMVTLMKKFGTVRYPGRTDPFEASTWLRNLEKNFWAIHCPDNFKKDDNLIEGEVEEEGLTNGLRMAQICPYSQGIKAPDYITCFSCGEKGHYANRTLCVGGVYVHVLFDSGATHSFVVPEIVSSFKGTFTRVKVARHVLLLYWIIHQAALAYVLLVELVAGHKTCFNWTDLWLHTNLSPIGGMGTHDSSCL >A03p054030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23181661:23183422:-1 gene:A03p054030.1_BraROA transcript:A03p054030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSKRKTPPGVQLLRRIRGRNWSPKTFRYVILLITFIAYACYHASRKPSSIVKSVLHPEPSTKPPPQAHIINEHPWPLGNVFVKEEANANNESKGWEPFNGKGGTSRLGEIDVAFLACYSLGMYVAGHLGDTLDLRLFLTWGMIGSGFFVGLFGMGYFWNVHAFWFFLVMQMAAGLFQATGWPSVVAVVGNWFGKRKSGLIMGIWNAHTSVGNICGSLIAAGVLQYGWGWSFIAPGFVMSLGGVLVYLFLAAYPEDVGFPDINSNSGKFIKRNRDIEEEEESVDADVEGEESEGSRFRYENKRSVGLLQACMIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTTIGGEYMSVKTAGNLSTLFDVGGIVGGILAGYISDKFKARATTAATFMYAAIPAMLVYHSYGGISQTVNIVLMMVAGLFVNGPYALITTAVSADLGTHKSLQGDSRALATVTAIIDGTGSAGAALGPLLTGFLSTLGWEAVFYMLVVGALCAGLLLTRLVIAEIREKLGYVDEADASEPLLNDRR >A07g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7604079:7604567:1 gene:A07g503850.1_BraROA transcript:A07g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKNHKFEQKQNTERPQPVAPTCRSRLRERPRCVAARGRSGLVLASPGDEDASDFSLSLWYGRSELRERLQHVALEATSCRRSERSLRQCSSKDHSNHLLLSSKCTQMSPETPCGTQIPDRDICMQNAT >A05p037560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21337550:21339512:-1 gene:A05p037560.1_BraROA transcript:A05p037560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase JMJ30 [Source:Projected from Arabidopsis thaliana (AT3G20810) UniProtKB/Swiss-Prot;Acc:Q8RWR1] MSGAPTAASSGNHSLHLPTPTLDAESQSLLQSISAQGGYAYARMAALAVAGDQSAAEAARDMAWEQLHSGPWHSVLPVWRDAYSMACLHVAKFHFAAGEFGEALGALDMGLIMGGTLLRKDLHDSVLLVSSEARKKAKSLGDFKGEKLVPEVPVDVNEVLKILPLRSLTSGRVDKRSDLSMEGFLRDYFQTGTPVVITNCMAHWPARTKWNHLDYLTSVAGNRTVPVEVGKNYLCSDWKQELVTFSKFLERMRTNRSTSVEPTYLAQHPLFDQVVGKKYIRLYPSSLQDELYPYSETMLCNSSQVDLDNIDKNEFPKAVELEFMDCILEEGEMLYIPPKWWHYVRSLTMSFSVSFWWSNEAESSDS >A06p008840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3084204:3087386:1 gene:A06p008840.1_BraROA transcript:A06p008840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSATAAVFLLLVSLLATSLASDSDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRPSLNDVHKWGGLGEVLGGNELIDSEIPIKFLKNVDRNVICKLELDEPKVDHFKDAIESSYWFEFFMGFVGELQSDKNGENGKHVLYTHKNIIVKYNKDQIIHVNLTQDNPRPLEAGRTVDLTYSVQWIPTDVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPFSLVLLSAVVGTGAQLALLILLVILMAIVGTLYVGRGAIVTTFIVCYALTSFVSGYVSGGMYSRSGGKHWIKCMVLTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A03p041920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17545199:17547693:-1 gene:A03p041920.1_BraROA transcript:A03p041920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFLIGGTCSSPSPPLHSSRFLPSPSPPSQFLLLCSNNAAKSKRRRPPSASLRRQDANNDVKRRAFVLVGISVLPFLQLQSPALADERDDNEIKTSKLNQESEVAVSEGTTSSPNTFLSLLNGLGIFSSGVLGALYALARKDTKAAQETIDSVSFLFYNLLNLFCLATLCGRMLLLAAGFDPSLLTYIQLKNQLKDRERALVLMEKDFEARIKREEEEWNKERKKAEEERFSLINQLNSAKEVAAGLGKELSSEKKISEELRAQVGNLQSNLSKAGEDKRALETELKEKLDLIEGLEDRINLLSLELKDKKEEVQSISTSLAEKEAELKKLNSAYTQTSSDLAEAKLQIKQLKEEVTRTQSEIDSKNSAIEELNTRITTLVAEKEGYIKKLDDATKDYNDLKLTSETRAAADADVIRSREEEIQKINEKLDHAVKDVNESKDKVADLTEKYEDAKRMLEIELASVHNLRHELEGTKRTLQASRERVSDLEKMLDESRGLCSKFESEVSKVSEEFDKAKKRYEENLADERRNGEVLASELAVEKDHVKKARDEIEELRREVEEASAKNQSLQKELVEVFKKGEATNKELKEEKETVSALEKEVKVMEKQMLMDTEAMKALETDLEEAVKSLDEMNKNTATLSRELEKVNTHVSSLEDEREVLQRSLEEAKNASKEAKENVEDAHIVVMSLGKEREVLEKKVKKLEEDLGSAKGEILRMRSQQQDSVKAVNSSDDEEKSDDKVAVKKVVRRRKSSTSS >A10g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4151170:4153492:1 gene:A10g501500.1_BraROA transcript:A10g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18923737:18928635:-1 gene:A01p027490.1_BraROA transcript:A01p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQEASLVTSPSYPNAVAWSSENLIAVAAGHLVIILNPALPSGPRGVISVPNAEPYQIGKVRYEDLLSGGLLPSSLKRERHPSVRSLSWSHLGMSQNYGCLLAVCSAEGRVKLYRPPFSDFSADWLEIADVSDLLFENLLSINFGESNNPSSTSLSNKDQVVQPDHEDDERIPILRTRKRRKTSLDNINLREMDISDQASCSKQDNQTVLAIELYEQPSNAHNCHSLPKAPKKCSREISPEKYVSREALLSSLSVAWSSLLTFSTEGSSCQNLLRFSLLAIGSKSGCVSIWKVHAPESYHIERGNVSPNVELTAIIQAHSSWVSTMSWGISGCDSSNPQMLLVTGSCDGSVKIWMSNKEDLQKSVEVYTSSFFLLKQVVAVNLVPVSTLSFVVNNYSDEMRLAIGKGSGSFEVWKCEISTRKFEQVASTNAHDQVVTGLAWSYDGRCLYSCSQDNYVRNWILSENTISEVPIPANTPGLSSTSDLPDDFLSCLGVALSPGNLAVALVRSFNIELLNPMYEARSQKAAVEFIWNGAQQCGESEYCSETITEAILGFSKNEYAYWESNLLWSLKEFKDYNKPLVLWDMIAAMLAFKQSMPEFVELVTTKWLSVSYLGFHADIPMEDLVPKISKRFSDVPSRLLHILNVISRRVMLSELKTDEINRKLQGQRMNNEEETDLWLKLLQESERELRERLVGLSFSAYLTAESAPSTGNWHPAGLAQMQQWVEINHDIVDNQLQTLSLEVKSSLTRSSSNSTETALEEETCPYCAAPVHFKSPEEAVCQAPHQKKKKERCDQGHKLERCCVSMQVCPPTPLWFCKCCNRLTLELAPEALFTLPSFPNDLKWLPEYSFSKVAFKPFCLFCGILLQRKQPEFLLSASPV >A09g518520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56430746:56431214:-1 gene:A09g518520.1_BraROA transcript:A09g518520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSWTTYLLVVWKSVWKSFGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFQEVVWTSRKSSGLPGSRLDF >A09g515640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46137485:46137889:-1 gene:A09g515640.1_BraROA transcript:A09g515640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKDEMGVWKVLSFLKSVMMNLLEKTKYRSLIKKSMMQCLEDLPEREENGKEAKEGTENGSQDFGCAKGDKETQTSSVSKSC >A09g513140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39925947:39926681:1 gene:A09g513140.1_BraROA transcript:A09g513140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKSSHYKADSKMELDTNRKAWIFQPSDLNFVWGGDSRYWIVPKEPRVPAELKMVSWLEVTGSFEETEPGKAYQIGFKISFKPDATGWDGAPLVMSAKIGKKGKTVWKKIKSVNQNKGGSEPVNIPDESDGQFEISVSPTADNQDTKLQFGLYEVWTGRWKTGLLIHEAFVSTSVSSLLETQILL >SC163g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:54186:56606:1 gene:SC163g500030.1_BraROA transcript:SC163g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVTVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDKMSVILKRIKHVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHEPGRTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A03p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2030846:2032096:1 gene:A03p004830.1_BraROA transcript:A03p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGTTKTKPDNPNLTRSRSLGRKPKPAPSSDADGSDKKTAEKPVPNYLKPTISSRPDPVKFLKKNSAVEDKLLRRRSFDRPPSSLTSSASSSHNKSLNTSPARSRDRPVVPREKPATSLRSSSFHGSSRGGLRGSSTLKSAPVASRGSPGVKKSGLSGGSSSKSKKEEKETDPVDASKEEVKDEAVQPEDSGEEKETGPVDASKEEEKEELINEDKTEEQTEEQKEPEITEENNNNDKEEVENHEENIETAVTPDMKEAENAEESKEEEDAEAKEEESESSKVEEAPTETKDQAEESPEEGAKKEVVQGKKESPSAYNDVIATKMQENKRKNKVLALAGAFQTVIDYETAASK >A09p064480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51928979:51934983:1 gene:A09p064480.1_BraROA transcript:A09p064480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKPKSPARFKLGRQSSLAPESRTPTETVTEDEDEELAAAAGIVDPTIRLMYLANEGDIDGITKMLNSGTNVDYRDIDGRTALHVAACQGRTDVVQLLLSRGAKVNSMDRWGSTPLADAVYYKNHDVIQLLEKHGAKPTIPPMHVLTDREVPEYEIHPTELDLSNSVKISKGTFHKASWRGIDVAVKTFGEEMFSDEDKVNAFRDELQLLQKIRHPNVVQFLGAVTQSNPMMIVTEYLPKGDLRQYLDRKGALMPAQAVKFALEIARGMNYLHEHKPEAIIHCDLEPPNILRDNSGHLKVADFGVSKLLVVKKTVKKDRPVTSLDSSLRYMAPEVYRNEEYDTKVDVFSFALILQEMIEGYVPFHLKEETEVPKAYVEGERPPFNAPAKSYPFGLRELIQECWDNEASKRPTFREIISVLELTSDRIAKKMSWKVRLGKCLPRIRLFTKRDYVNPSSSRSSITSKRHYRERTLSERETLKLFFFSLARLLGPMEADNERFSMREYTRNFRSVDIRKCCPFPGEFTGEFIQSLLPPLTVAKFRWWSHELISLLTKSPDDPKPAFRQTANAEESRQCKKRSIEETTTNDHLVLHKKNIKTKKLEDYKVYNCEEQARERAKGDGECSRGIKERPDVMSSSVNKVGVRCLTYTEEELFPDSPRLVSQDCDSEFQTPRTLKVAKRKICSVRSLDKSSIDAPQCERQVRFSLQLCCSQMNRLSLCSASEDQPPKVLSNDKTSEDMLVESRKLDHARAKSGLSCLPGPHLSLERIKDALDLERKRRVAQPNQSSISILSEIHYRSCSSSFSQPVSLLNQSPFDPLLVEEAILDLPLNLQGELVEANCSSRSAAVENDVLQSNLVDLSSGRKHSAEPALAIDVGRPPVPSEKHHMYYPARLGLDENAFFISDTNDGECSHTINLPKQEALCQKLGSRDMVATPDGLCLYNTQSTMRLMGKDVSLGTSYSDMVTRGERIIPSDPSIDYSFLGSYAHQSWLWRTTTLGENHTTTSLDKSWNTTLLCDTSKDRFPMFCEPPQVRTYVVPDSELPPTVMYPCGSLVSCPLTDKDLYFHESGLGQQLNSVTFSHQQLPFLPDIGGLPSAYRNNGVVGLLPDVREPSFGIPFTSTTQSQLHWPQSSFDNSRFDMSSISPSELN >A08g501500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3894671:3894841:-1 gene:A08g501500.1_BraROA transcript:A08g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTRLSWYWSAWMQSPGSSGVVSDDVLLGEVGTSEPSTISNIAVAVGVMEAISWF >A01p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2314609:2315068:-1 gene:A01p005400.1_BraROA transcript:A01p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKKDSTSASSSTSPCADLRNAYHNCFNRWYSEKFVKGQCDKEECVAEWDKYRHCLSENLDGKLLTRMLEVDGELNPTKQS >A01p012520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6148163:6148783:1 gene:A01p012520.1_BraROA transcript:A01p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSSRAGQWLQHYEPHQVQELSPCFNGINMMPSSLGDGGGIVEAHETSGRRSRASRRAVPTTLLNANPSNFRALVQKFTGRSSGGESNRRRGPVTLDFGSPTTISKEAIFPVSGDRKSYGHDHVLNQHVNNERHVTWSGTEATTTYQLGEESNSVFDQQDHDLLREYSVNSSYDDGLDHVDYYYHDFHQATETLEEFMMSDLDL >A08g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6870680:6871503:-1 gene:A08g504300.1_BraROA transcript:A08g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVPGQWSSKIGPVTSCSRSVTWFEFRYQGEWSDQSDNCDRSESQVYRKWSVISLSSCLVYLSQSQGLEVLLYTHSPESSRIIVNCSCDTEQGHEDTMMGSYHGGRVTACSIRCSILEYLMEMMVIFISPLGPVSLGGFPS >A03p036800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15414671:15416494:1 gene:A03p036800.1_BraROA transcript:A03p036800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGRSFKNNNMEDEMDLKRGLQRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDTMKYMWMPRLVERIQSAAASLTSTTGSATTSSCITTSNNEFMTYDYNNNNFIGERLGVMNNNDYITPENSSVAVSPVSDLTDYYNAPKPNPDQNLVGPQMLPDNYFDYSGLLDEDLTATHEQSNLDWFENIDEVVSSSSESLWNIGESDEDFWFCQQQQQFNNNNDF >A08p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18406787:18407859:1 gene:A08p029860.1_BraROA transcript:A08p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVAFRHPFRYKKQKELFVAAEGMHTGQFLYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVFARASGDYAIVIAHNPDNDTTRVKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAALASKQE >A09p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3227501:3228931:-1 gene:A09p006070.1_BraROA transcript:A09p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRRESKTEASDREKRINEKEQMNQESFIEELAGEFRLPITHRVTENVDLEDVEQASLDTMISSTNVGFRLLQKMGWKGKGLGKQEQGITEPIKSGIRDRRLGLGKQEEDDYFTAEENIQRRKLDIEIEETEEIAKKREVQAERELKIEGDVKEIRKTFYCELCSKQYRTVMEFEGHLDSYDHNHKKRFKEMKEMHGASSRDDRKKREQLRQERELTKMADARKQQQMQQNMQEDPENVPVPLPAKPALAPLVVQDQRKSLKFGFSSKSGSTSKSQPKSSVKKPKIAIASVFGNDSDED >A06p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18039452:18041974:-1 gene:A06p033540.1_BraROA transcript:A06p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVIHSHRERRDKHNYTQIGTMERKPFDVETTEDHKPYSSVDDGGSDSVDSFENEQKKLVYRGWKVMPFIIGNETFEKIGIIGTLSNLLVYLTSVFNLKSVTAATIINAFSGTINFGTFLAAFLCDTYFGRYKTLSVAVIACFLGSLVILLTAAVPGLHPIPCGTQSSCQGPSEGQIAFLLMGLALLVVGAGGIRPCNLAFGADQFNPKSESGKKGINSFFNWYFFTFTFAQIISLTLVVYIQSNVSWTIGLSIPVGLMFLACVIFFAGHKLYVKVKASGSPLAGIGHVIAAAIKKRGLKPVKQPWIDLYNHIPHNYANSTLKYTDQLRFLDKAAIMTPEDKLNSDGTASDPWKLCTMQKVEEVKCILRVIPIWFACAIYYLAISMQMTYPVFQALQSDRRLGSGGFKIPAATYVVFLMSGMTVFIIIYDRVLVPSLKRVTGLDTGITLLQRIGAGIFFAVLSLLVSGFIEERRRTFALTRPTLGSEPRTGEISSMSAMWLIPQLVLAGVAEAFAAIGQMEFYYKQFPENMKSFAGSIFYVGGGVSSYLASFLISTVHRTTEHSPTGNWLAEDLNKAKLDYFYFMLTGLMFVNFLYFLLMARWYRYKGTHDEVSYVVDTVDEETKQQDKNSV >A06g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10348119:10348905:1 gene:A06g503430.1_BraROA transcript:A06g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSKSHILIQQNFGSLYQHGRWLLPPARTEDQLALHIYLTTITLSDSADWYEWEIEGKKSTKFSTGAVYAYLQDSVPSVPWSAAVWNSMGIPRHSFLMWLVVLDRCPTKDRLLRWGLPGVNPNCLLCNSQQERRNHLFFECCFSEAVWRTIALRCQFQAPVGWEQNLNHMIQLRGNRELRRLTLLAWQATVYWLWNERNKRYHQQTFRTTDTLIGLIDKQIRNRIQSFRSTNPIACSAMMQLWLLNS >A04p004760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2465694:2466308:1 gene:A04p004760.1_BraROA transcript:A04p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMFSHCPVAAFVWELAPLSRAPTIQDRSMFLLMLACFDLVNLPPAGVYIPLWPWLLWNLWKARNKLCFEDRIFSGMEIVTKSIVDARNWQEAQMPPKDRRVTHTSAPKVANNPVPAGTVVCNVDAAWNASSGNCGLGVLYSGDKPTTLVSRTCEPHPFVSSAMMAEALATFGGYDRVLLKHQVPDNSVGFAHPDQNIERKRI >A05g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15335958:15338358:1 gene:A05g505540.1_BraROA transcript:A05g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEENKERENEFAWPSWIKTAFESCGIWSNHEKGEPSKVRAAEKDQTASLEEIQVKVKPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQDVVFGFLMGEICELVEHICDVWEINKKPDRWKRGTSCKKGKFRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVKSSGVMRKLETKGADEPVTKEEWDEFVKGGMELNLVRKSKTKRIQVFMIKIHHKKLRTIFSLVEKWMRFSLVEKNKSDQPVQKKSK >A02p023950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11941418:11944928:-1 gene:A02p023950.1_BraROA transcript:A02p023950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 8 [Source:Projected from Arabidopsis thaliana (AT1G70290) UniProtKB/Swiss-Prot;Acc:Q0WUI9] MTVPGIISGSSEVTSSPRERKIIVANTLPLQSKRDSETGKWSFSWDEDSLQLQLKDGFPPDTEFLYVGSLNAHVEPNEQEAVSQTLLTSFNSVATFLPKDLQEMYYRGFCKHQLWPLFHYMLPMFPDHGDRFDRKLWQAYVSANKIFSDRVMEVINPEDDYVWIQDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDEILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYQSKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLPSAAAKIKEIQEEFKGKKLVLGIDDMDIFKGISLKLLAMESLFETYWHLRGKVVLVQIVNPARTSGKDVEEAKRETYVTAKRINERYGSLDYKPIVLIDRLVPRYEKSAYYAAADCCLVNAVRDGMNLVPYKYIVCRQGGSLVNDSSPRTSTLVVSEFIGCSPSLSGAIRVNPWDVDDVAQAVNSALKMSEAEKQLRHEKHYHYISTHDVGYWAKSFMQDLERACKDHYSKRCWGIGFGLGFRVLSLSPSFRKLSVEHIVPVYRKAQRRAIFLDYDGTLVPESSITQDPSAELRRSRAFYSGWDSEFPNQQQEQNSEIVFGAVQ >A02g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1462676:1464530:1 gene:A02g500470.1_BraROA transcript:A02g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVSRLIRFKSSFAQTRFVSASYSGGGRYLSTDSNKIDEPFDAEEAETVHVPPPLTEKLLVLGGNGFVGSHVCKEALDRGLSVSSLSRSGKSSLQESWATRVTWHQGNLLSSDSLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEKGVKRFVYISAADFGLANYLLSGYYEGKRAAETELLTRFAYGGIVLRPGFIYGTRSVGSMKIPLGVFGSPMEMVLQQAKPLNQLPLVGPLFTPPVNVESVAKVAVRAATDPVFPPGIVDVHGIQRYSQQKSR >A06p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5287197:5288524:1 gene:A06p002180.1_BraROA transcript:A06p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIMGKPSGSEKDKDKKKQPITRSARAGLQFPVGRVHRLLKTRSTAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRISPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSAKE >A08p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11522939:11524411:-1 gene:A08p012680.1_BraROA transcript:A08p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SENSITIVE TO PROTON RHIZOTOXICITY 1 [Source:Projected from Arabidopsis thaliana (AT1G34370) UniProtKB/Swiss-Prot;Acc:Q9C8N5] MEPEDDLCQNNWGGSSSSSSSKRREQDLVHLMVARNGQLQGRQEQLVAQQQLITTDLTSIIIQLISTAGSLLPSVKHHNMSTAPGPFTGSALFPYPREANNLASQTLNNNNNNTCEFDLPKPIVVEEEHEMKDEDDVEEGENLLPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKEAVPGSEPMLIKRYSCPFPGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSFTCSRCHTKKFSVIADLKTHEKHCGKNKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKPSAPRGSSACENSNNNNTGMVGFNLGSATNAIEEVAQPGFMDGKIRFEDSFSPLSFDTCNFGGFHEFPRPMFDDSESSFQMLISSACGFSPRNGGESVSNTSL >A10p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12658050:12659873:-1 gene:A10p018020.1_BraROA transcript:A10p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate decarboxylase 2 [Source:Projected from Arabidopsis thaliana (AT5G54960) UniProtKB/Swiss-Prot;Acc:Q9FFT4] MDTVIGSIDTCNPTTGDICRPPNGTVSTVQTSPPAHSTTISPCDATLGRYLARRLVEIGVTDVFSVPGDFNLTLLDHLIAEPSLKLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTSQILHHTIGLPDFTQELRCFQAVTCYQAVINNLEDAHELIDTAISTALKESKPVYISISCNLPAIPMPTFSRHPVPFSLAPKVSNLMGLDAAVEAAAEFLNKAVKPVLVGGPKMRDVSTMIRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTAFVEAIHNGEGKCWTAKVRCEDELVKAISTATNEEKESFCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >A06p023770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11518621:11521308:1 gene:A06p023770.1_BraROA transcript:A06p023770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase HERK 1 [Source:Projected from Arabidopsis thaliana (AT3G46290) UniProtKB/Swiss-Prot;Acc:Q9LX66] MGPFFVGSKMGVEKFIFVSTITCLICICHGFTPQDNYLINCGSPANSTLMDRVFMSDKLASNLLTSSTKPEILASQSSSSDVYQTARVFTGVATYKFSVARGRHWVRLHFNPFNYQSYQMGSAKFAVSTQTHVLLSEYTVNGSKVVKEYSLNVDTDDLVLTFTPSATSFAFVNAIEVISVPDSLITSSPPPRLVGGSGMFQQSLSTQAFETVHRLNMGGSLVTPNNDTLTRTWQPDSDFLLSKNLANTVSKILSVKFVPGFATEETAPSSVYGTCTEMNNSSGDPTSNFNVTWEFDVDPGFQYYLRFHFCDIVSLALNQLYFNVYVDSMLAVMDVDLSTYVNTLAGAYNMDFVTPSPKGSNKIRVSVGPSNVHTDYPDGIVNGLEIMKMNNSRGQLSAGTFVPGSSSSGTKQKSVGLIVGATVGPLLALVLLGGGCFVLCKKRKRGQDGHSKTWMPFSINGMSVGSKVSYGTTLTSITTNANYRIPFATVKDATNNFDESRNIGVGGFGKVYKGELNDGTKVAVKRGNPKSQQGLAEFRTEIEMLSQFRHRHLVSLIGYCDENNEMILVYEYMENGTVKSHLYGSGLPSLTWKQRLEICIGAARGLHYLHTGDSKPVIHRDVKSANILLDENFMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLDQIIDQSLRGNIRPDSLRKFAETGEKCLADYGVDRPSMGDVLWNLEYALQLQEAVIDGEPEDNSTNMIGELPPQINNFSQGDTSVNVPGTTGRFEESSIDDLSGVSMSKVFSQLVKSEGR >A08p017230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12054699:12055407:1 gene:A08p017230.1_BraROA transcript:A08p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAGFHEDSNLHFTLIEHTFLLLGPLLSRIVLAIYIPTRFFQMLLNKLALDFHNYPAVGGLVQTLMSYVIGGVMGLPHYNMNDMPHVFFRVVLHLAQMKHSSMFKMLDYDSVKANMEVYGSPKRWILDSSMASYNEFEYAHLDFTFSHREELLAYVMWWLLLVEPTSTQPVHKKGMKLKNKLIHLNLIYELWKTRLL >A02p008780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3613665:3615747:1 gene:A02p008780.1_BraROA transcript:A02p008780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYDPALETGLEASMWRLGLRGGGGGELYPERLDEPDCVYYLRTGVCGYGSRCRFNHPRNRSPVLGGLRTEAGEFPERMGQPVCQVSVWIVVGCGLSNAVMLLKCRQFSLFMLLFSAQHFMRTGTCRFGATCKYHHPRQGGRDSVTALTSLSYMGLPLRPGEKECSYYMRTGQCKFGSTCRFHHPVPPGVQAPSLQLSTGSAIYPPLHSQSVPSPQQFGVAIPRPQLLQGSYVQSPYGTYNQMVLPPPGMVPYSGWNPYQASSISAMPSHGSQPSMGSSSVYGIRPSSPPAPAYPPGSSSNKEQTFPQRPGQPECQYFMRTGDCKFGNSCRFHHPLEAASPKGVNLSPIGLPIRPGTAQCSHFAQHGVCKFGPACKFDHSMSSSSLSYSPSASSVSDMHVVPYPLGSSSLGISAPSSSSSLSDQRTELHSSSSIKPTNTTSGGSETLPAETRTGDSASIEVKTSS >A04p007600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8764486:8765862:1 gene:A04p007600.1_BraROA transcript:A04p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELKLTPTSFRRRVILRALVIVFAFSVVSIIRNLNGAYQGETHPHHHRPRKVDDCAVNFAFLGPFLFSGNGFLSSTFLKPVWNFIESDKCKKNIDLTTQVVAELKGLNLLRNDARALCIGRRSVSALLAMNLQGISDARVSHAPPVFAFKHRKFTSELRYDDASFGFVFSMDLETVSVPASLVYEIERVLKPGGTGAMLVGSDSNGLVRSVSPVSSLLKNSSVVHVASLGEQVLIVFRRHGEDSFGLDQSHHHHLPGDCSSVLNSRPYIGLLEPLLEEKRSDFERRIHYLPEFIDVSSRKRLVYIDIGAADHLTPRSDWFFPSYPIDKKAFNSYFVHHNTSILTSYVKSPGVTFIYHPGLAGTVASRGEEEEEPFVEDDSFDFLAWFKETASFADFLVLKMNTSEAELKFLSELIKTGAICSVDELFLHCNGYGDCNNVIKSLRSSGVFVHQWWED >A05p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7248324:7249724:1 gene:A05p016320.1_BraROA transcript:A05p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSTVEENHRKDPSPPEKAAEKRKRKWVFWERKWRRLDYFKLTASLFVHSMALLAPFYFSWSALWVTFLFYTIGGLGITVSYHRNLAHRSFKVPKWLEYLLAYCALLAIQGDPIDWVSTHRYHHQFTDSERDPHSPKEGFWFSHLLWIYDSAYLVTKCGRRTNVEDLKRQWFYRFLQKTVLFHILGLGIILFYLGGMSFVTWGMGVGAALEVHVTCLINSLCHIWGTRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLAYDVKLPTESQRRRMAIAH >A07p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1094724:1095855:-1 gene:A07p007310.1_BraROA transcript:A07p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLPPASFPGVSAVAMRPGFQQRLSNRHLPLFNPLGLAPTQIISPKRRATISSYQSPSSLPVYGFQIRGSKPSFTPYTVAFSSPASVSADNEVDKAKLAQVAKRLEKTSRYFKRLGSIGFWGQLVSTVVAAVILSFSIVVTGKPTSPATFYATASGIAAAFVSVFWSFGYIRLSERLRRTAGDPAKAPPRADVVKGLRSGIMVNLLGMGAAILGMQATVGFLVAKALTTSANPFYQGVSQGYSPVLALDVFLVQASANTLLSHFLGLVCSLELLRSVTVPNSESVFVPKVA >A06g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20181982:20183466:1 gene:A06g507270.1_BraROA transcript:A06g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAAPEGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDRSSVLDKKKHLARLNVSEGGKVLLKRGEGKLERQFRMNCIGCELFVCYRADESLETAAFVYIVDGALSAVAAETNPQDAPVPPCISQLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTIAAPAARGEANNELLEFMGRVLGLRLSQMTLQRGWNSKSKLLVVEDLSARQVYEKLLEAVVS >A03p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8880110:8880749:-1 gene:A03p021960.1_BraROA transcript:A03p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPIPITTVLRGASAAASKFVKSSKPIRPVSSNNTMASPNKDSSAATTSSGSSRRSVPLSAVVSDCAKRWFEDTLEEAKAGNITMQVVLGQMYNSGYGVPKDARKGRVWITKASKVRSSVWKVMNKRPGYNASDSDSHSE >A02g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11025264:11025954:1 gene:A02g503360.1_BraROA transcript:A02g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLKTISHLPFLRSGTVQVTEISTFNLPLIDHPRSIQCDFLLCKAMEFGYKKDWPLLLHRLSLFAPFREARNVRHGVLWLTFQSSSKFMTQEDDKA >A03g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2876753:2879133:1 gene:A03g500940.1_BraROA transcript:A03g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFDSRKILFQWGESLTRKKKKERACCAFVVVLLVWQHAPFVVGFDPRGIVELEQRWTALSAKPCLPPTGLVSSPLFPWLLCLAIKAAREWDLAQTKEKVSTPPVPPVPLNYRSQSSSLIRTDAAWRKEDKKAGLGWTVQTPSICLKEKKAVQHVASPLMAEGIAIREALIFCRTRGIQACRLESDCSQLIRALNKKEPISELHGVLSDIASLSSSPSLSVSFAWIPRNQNVVADYLAKSALCMVDALMAPT >A04g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23260846:23261504:-1 gene:A04g508680.1_BraROA transcript:A04g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVWEALLCILKGKAAIELWLAAMIPYRLQLPNWFVGAHTMPVLYEKYEDEVDGFVDSLLMKFHSHYKKMDTGFLSRIPSGRMFQTMLAYFLMMAIDFTNQSVS >A02g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14803730:14804852:1 gene:A02g504520.1_BraROA transcript:A02g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPAAPAASAAVPYSTFNSLRLGRPLLSLEREEKWSDAFWKMVTWSLQDEDGTRDEEKCYLEYPNVEDVDN >A10g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19470924:19471837:1 gene:A10g506670.1_BraROA transcript:A10g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANQKAKPHAPPRPLFSCGFFRRCTQSVLSPTSPHRKPTTTPSSSSSSSTSTSQSFTQWRFPHHADQTPSTVTLPPTPPPPPPLPVATTLQETFQIAELHLTSVSQSDKLLALQLLERVVVPDPPSDPTCPPGLMRGLILLALCLAEGNRQVAVEAGAARAVVETAAGLEISAVERALAALELMCTTAEGAAEVRGHAMTVPAMVAVMARLAGRGRENAISILAVVYGRGGVDGEEIAVAPAEEVARAVALALEGECTARGRRKGAHLLKTLEEYGRLDLSQNGS >A04p017860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10791352:10796052:-1 gene:A04p017860.1_BraROA transcript:A04p017860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional elongation regulator MINIYO [Source:Projected from Arabidopsis thaliana (AT4G38440) UniProtKB/Swiss-Prot;Acc:Q8GYU3] MTEKEQSSGRVNPIAPLSSLVGSIVEKGFPENKPMPPKPSRLPFPVARHRSHGPHVGSRPQPKVPIVKEEEGDDEEGLINAENYARLQTMSHEEIVKAQAELFEKMDPALVTILKKRGQDKLKKRKHSVPEVSEECPSDIHSPQGGQQAAVTPSPSSQVTAIPKEASVASQGFFWDTWTERVEAVRDLRFSFDGCVLENVVVPPPETGVNMSAVERDFLRTEGDPGAAGYTIKEAIALSRSVIPGQRCLALNLLASLLNKALSKLCQSGIGQQKVQSTDWEAIWAYALGPEPGLVLALRMALDDNHASVVLACAKVIQCLLSCSLNENFFDLLENMGPCGKDMFTAPVFRGKPEIDLGLLPGCYWKYSAKPSNIVPFREEIMDDGTEDTDTIQKDVFVAGQDVAAGLVRMDILPRIYHLLETEPTAPLEECLISVTIAIARHSPKCTTAILKYPKFVQTIVKRFKLNKRMDVLPSQIYSVRLLKVLARYEKRTCMEFVKNGTFNEVTWHLFQFTSSLDSWVKLGKHKCKLSSDLMVEQLRFLRVCIQSGCCISQYPELFPALCLWLSCPSFEKLKEKNLICEFASVSTEAYLVLEAFAGTLPSLYSPRNESDAWDWRYVSPMIDTALSWIMLAPELLEWETGTESVSTSTASLLWLYSGVIHTFSKVLDKISSHGEEEPLPWLPEFVPKIGLTIIKHKLLSFTVEKDPSRCSSFMEFLCLLRENCQDEELALASVSCLHGLTRTVVSIQTLIESARSKMETPQGSESIRDGSVLAKGILTESLGDLTSVWSSFRDYVASEWPVMQSIEIHKRGGLAPGVGLGWGASGGGFWSTRVLLTQADAGLLSLFLHISQLDSQGSVFLMDKMNSALAMCLIAGPRDHLLVEKAFDYVLGPHALEHLACCINSNKRTIKSEWKCSEGDYDRMSNVLTSHFKRRWFHPKRKSEPENGSKKVAVGLETIHEEGEMPTEDQRSDSLIIEWAHQRLPLPPQWFLSSISAVHAGKTSAEDQEPTELLEVAKAGVFFIAGLESASGLGTVPSPVSSVPLVWKFHALSTVLLAGMDIIEDKNTRSLYSYLQELYGHVLDEKRQSSGRETELLLRFKSDVFESYSTFLEMLVEQYAAVSYGDVLYGRQMSIYLHQCVEPSVRLSAWTALSNARVLELLPSLDKCLGDAQGYLEPAEENEGVLEAYLKSWTCGALDRAATRGSVAFTLVLHQFSSLLFCNEDNKDNKEEEGSLRSKIVRTLVRDLSRKQHRQGMMVDLLGYNSKGCADAMEVEEEEESQKREGERRMEVLKEACQGNSSLLSELEKLKECVRVKSKGRN >A05p002590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:887574:887948:1 gene:A05p002590.1_BraROA transcript:A05p002590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNSVAGFALFTFVFAVISSLAGAQTLAPAPAPTSDGTSIDQGIAYLLMVVALVLTYLIHPLDASSSLSFF >A03p029380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12351419:12355504:-1 gene:A03p029380.1_BraROA transcript:A03p029380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein knotted-1-like 1 [Source:Projected from Arabidopsis thaliana (AT4G08150) UniProtKB/Swiss-Prot;Acc:P46639] MEEYQHESRSPPHRVSFLYSPISSSNKNDNTTTNNNNTNYGSGYNNTNNNNHQQHMLFPHMSSLLPQTTENCFRSDHDQPTNPSVKSEASSSRINHYSMLMKAIHNTQEANNNNNNNDIESMKAKIIAHPHYSTLLHAYLDCQKIGAPPEVVDKITAARQEFEARQQRPTASVTALSRDPELDQFMEAYCDMLVKYREELTRPIEEAMEYIRRIESQISMLCQGPIHILNNPDGKSEGMESSDEEQDNNNSGGEAELPEIDPRAEDRELKNHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQKLLTWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLQHPHHAALYMDGHYMGDGPYRLGP >A06p028950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:257293:257583:1 gene:A06p028950.1_BraROA transcript:A06p028950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAKIGRGCTAMYGSVRTGRDQNMSRRLCAILGCWEKALVVWNQEGIKKASRRCFVEANNQWELPCV >A06p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21876593:21878922:1 gene:A06p040460.1_BraROA transcript:A06p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIILRNIYTIVPSEPTWAGCFPLAEWDLVGTITHVPTVYFYNKPSELFQGNVVETLRNSLSRALFHFYPMAGRLKWLPRGRLELDCNAEGVSFMEAESEAKLSDFNDFSPTPEFEKLVPQVNYKHPIETIPLFLAQVTRFKCGGISLGVSISHAVVDGQSAFHFLSEWGRIARGEPLETTPFLDRKILWTGKPLPPFASPPQCERKGFEQPPLLIGETDNVEERKKKTTVEILKLSKTLIEKLRSRVNTSEYADPARGFTRYKTVAGHVWRCASKARGHSPEQPTSLGICVDTRSRVQPPLPRGYFGNATINAVARSTSGELISNGLGFAAEKISEAIKSVTNEYVMTGIEYLKNHEDLKTFQDIHALGSTDGPFYGNPNLGLVSWLTLPMYGLDFGWGKEVHMGPCTHDGDGGSLLLPDKNDDGSLILATCLQVAHMEAFKKHLYEDI >A09p056150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47860720:47861851:1 gene:A09p056150.1_BraROA transcript:A09p056150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKTLTALSRSPSPISAVNLEVAEPSRSSQITFRPRKLRRVSVDDLNPSPTTITASPLSSKSTVDIALRHLLISDELLGGVISAHNTPPVFESTSTPFLSLARSILYQQLATKAAKCIYDRFISLFPGGESGVLPESLISISAVDLRKIGVSGRKASYLHDLAGKYKSGELSDEMILEMSDGELTDRLTSVKGIGVWTVHMFMIFSLHRPDVLPVGDLGVRKGVKDLYGLKNLPGPLQMEQLCEKWRPFRSVGSWYMWRLIESNKTSKTKVRAHFFLVGQDS >A03p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2253501:2255016:1 gene:A03p005390.1_BraROA transcript:A03p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRSSTRLLQRNRFSVSNTLPRLTSSSATSPANESRSLGGFDYSRSTRAFDSPATYLISSLTKAVSFSSALPKQNLTYSSRCFSTVGDSVQSVPQGFPGSSPDAPPRIKFKRLDKTAKHIMQIVDKEAVEEVRTRRDIPEIRPGYIVQLKVEVPENKRRVSIVKGIVIARRNAGLNSTFRIRRLVAGVGVESMFPLYSPNLREIKVLDKKRVRRAKLYYLRDKMNALKKH >A03p051740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20403270:20406658:-1 gene:A03p051740.1_BraROA transcript:A03p051740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLYYWVTWFKPGKTFGINRNFRFETEAGSKINPNRLKIFFPQLHNSLSQFSSSEELHTSPSCEALDSDIREMQNSEAKRRKIHNPKQQPLTPLPPIIGKKASSMNKPDRVYASTEAQLFAARGRQLRVGERVEDEKVEILDKLVVMEALMLGQPTTWTNAQRPETRVKERRSNLPPAVEWRLDGTPPPSRVRPMKKRLTTEPPSRRSKPHTGSAFPRDKSSPKMKRLKTREGRDESRLEKESRLGREKPETPINEPRNNPEGAAVASVEESGSHRKQKPAILGDRAKDRRSTYSPPSSLVCEADKPKNQPDMGKVRDFGAEDHIPSNILHAVTAISICLSAIYLNLALVLFSLFFLPPSLSLLVLGLLSLFIIIPIDDRSKYGLKLARYICKHAASYFPVTLHVEDYEAFKPDRSYGLLQSSFYTPKVANFLRWVLTFEPETAVFGYEPHSVWPIGAVALVDLTGFMPLPNIKLLASNAIFYTPFLRHMWAWLGLASASRKSFSSLLESGYSCILVPGGVQETFHLKHDVENVFLSSRRGFVRIAMEQGAPLVPVFCFGQSRAYKWWKPDCDLYFKLARAIRFTPICFWGVLGSPIPYRHPIHVVVGKPIQVTKSLQPTDEEIDELHGQFVEALKDLFERHKAGAGYSDLQLNIL >A06p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5609207:5609774:1 gene:A06p012250.1_BraROA transcript:A06p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFIVSGAKEMRMNQEKKTCPVYWPMVPCDAKKCEKMCLDFYGLQVSSSYCDKPGDPNAQCALSCVYVTQK >A01p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24574557:24577745:-1 gene:A01p044080.1_BraROA transcript:A01p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDEKVETVLHLLRQQSPLTLNQEKFCNKECVERFLEGKGNNVKRAAKQLLSCLSWRQSIEIERLGAEEFATELSDGVAYIAGHDGDVRPVIIFRFKNDYQKLRTQKQFLRLVAFTMETAISSMSRNAERRVVLLFDASFFRSSSAFANLLLPTLKIIGDNYPCRLYKAFIIDPPSFFPYIWKGVRPFVELSTLTTVISSLDYDEQLDISHVSLASSSSSSSSCPRSASLRFDASSNKSAVASGSASSRFAFTVSHNSLKPWYLSFTDTSPLNAAVSTAASKVSPISSRSLSFASPAARGLIDARQPACMRKSVFPSTPLQEKTKTETYRKTPRPSFFQSPAMFFRGGKNVGGGEKSSREAFVPYLKFYRRPYDETAYRSKLRGPRGFVSVVSSHVRCRHASLSQR >A01g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16055536:16056677:1 gene:A01g505340.1_BraROA transcript:A01g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKDHVINPCLRVLDLFSLAEHSFRKKLVIGFPSVIQVLHYVGEVPCHPFQIQTLKRVSSCISDFPGIASSSQVQEIALVLKMMLERYYSQEMGLFPDAFAVICSVFVSLMKTPSFAETPDVFKSLQDSLRHAVLVCLSLPEKDSTQISHAVYLLNEVYASINNTGCIELRQCVIDVCVSHLLPWFLSDVNEEAILGIMESFHSILLRNSDVQAMEFAEILVSANWFSFAFGCLGNFSSDKMKQRVYQMLSSLVDVLHRQKLGSHIRDALFCLPSDPQDLLFLLGQDSSNNQELASCQLAALVIFHTGWIHNDRLADDKLVFASLEQYILVNRISLISDFPATSESI >A02p030970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15774092:15775191:-1 gene:A02p030970.1_BraROA transcript:A02p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVTTPPSCLRPPPDPPLFTPSDYCLCSYSTGIPCPSSMSHDVTKNIQFEHKASSLNLAARALSIDKEVEEAVSFSSAQWLLYLGNIPLLVTGASLSNDHYSFLIIDILLLWSLTQHRRLYIAPTAPISCIISELKTCRMATISVMGVSFQLMMFKDKTVLYLKIHLVLFRMRTSGSPSCPPNGVFLVICLSPLLHFMFFERRTLTMSIFVFGEMAFYVMPDELSRVGVLDSTLFDQGNLMELSSYVIVLASTASPNSLLLVSLEIHQQPNN >A02p026210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13741969:13743805:-1 gene:A02p026210.1_BraROA transcript:A02p026210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMDYYLLYAVLLLLLGSVPLFNARPRTNRRTAGCPLAATLSQSFRVLLLERGGVPYTRPNVMTHDGFLTTLTDVNSFDSPAQSFVSEEGVPNARGRVLGGSSAINAGPQLRTWQSAIRDVLLEVGVHPFNGFTLEHKVGTKIGGSTFDRSGRRHSSADLLRYARSSNIRVAVYATVERVLISDSGSNVSAIGVVYRDQLGRYHHAMIRDRGEVILSAGSLGSPQLMLLSGIGPRSYLSTWGIPVAIDQPHVGGFVYDNPRNGISIVPPVPMENSLIQVVGVTEDGAFLEAASNVIPFASPLHSVFIRAPASPLYVPVTTIMEKILGPVSVGSLRLASTDVRINPVVRFNYFSDPQDLERCVNGTRKIGEILRSRAMQDFMFREWFGSHRFRFVGVPLPLDQTNDLVMADFCRRTVSTIWHYHGGCVVGKVVNSDLKVNGVDSLRIVDGSTFNISPGTNPQATLMMLGRYMGLQMLKERMRY >A02g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24999602:25002303:1 gene:A02g509330.1_BraROA transcript:A02g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMWSDVPLGSVPGKTDMHGLIMGSSKDICSLFDSYILHHEASTHEITWRMFSTKLWSSAKKNQIKQSSYVTFMPLTIQKIFNSREFKPPKKLEMANLLSDEPNTNSIMTKGVSSGIRATHSERLTQRRPHKMPNRRCKEQLKMSKGEADPKRCFLQFDFQEICDNFEKEMMKILKDVSKIHKKSTSTRVPVTDPLLFISKKSKGKSENNLENLKHFSDSLPIFDEHDEELIESLMICEDNCDLPFPEPDFMFDKEQTIAELTVLQPEHPSSLVLFSQDFEEKPFDYPHQGPLLGTRRPMDVDLYPIFDEEDDHLDELGPTFDEKALTITPIIMENRFCFDPDTTPTPLSKEHCKEICIISYTPDLFDKVSSNDIKCSCLDHLEKSFELDLQHLVFCFRKSFDSFVFKENSSFRHALIIGNLFASTCALDEFLVKKLLEHKSLRAKTDFCYDYVLKSELELLYSNSDHVRYVLKMSYDISCLESILIPSSVIIAQQHLWP >A03g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30770080:30771189:-1 gene:A03g509520.1_BraROA transcript:A03g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGSKLDLRDDKQFFIDHPGAVPITTAQGEELKKLIEAPAYIECSSKSQENVKGVFDAAIRVVLQPPKQKKKKSKAQKACSIL >A05g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10806325:10808122:1 gene:A05g503810.1_BraROA transcript:A05g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRILDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAISFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAALELSSWKGKDVDLGDMEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A09p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11872385:11874691:-1 gene:A09p021910.1_BraROA transcript:A09p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDMMLIRQAICFCLLATFLHPTTSSGLFRVGLKKRRLELDDIRTGRVIRKLKHSQGGLACYPTLGGDSSQENQVILKNYLDAQYYGVIGIGTPSQEFEVIFDTGSSNLWVPSIHCKFLDLACWVHHKYKSSKSKTYTKNGKPCTITYGSGSISGFFSQDNVEVGGLVVKDQEFIEATHEGSLSFLLAKFDGLFGLGFQEISEGNAVPVWDNMVGQELVKEKVFSFWLNRDADAETGGEIVFGGVDPAHFKGNHTYVPVTRKGYWQFNMGDIFVGDNSTGFCEQGCDAIMDSGTSLLAGPTTVITQINHGIGATGVVSAECKIVVSQYGEMIWDLLVSKVLPSLVCKEMGLCVFGKQTGVKSVVEQEGSSVLCKVCEMAVVWVETRLKQKETKEKVFEDLNKLCESFPSPAGESIIDCNNIQNMPNVTFTIGGNPFSLTPQQYILKTGVGYAETCISGFSAYDLPPPTGPLWILGDVFMGAYHTVFDSGNLQIGIAKNA >A09p027280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15398395:15401200:-1 gene:A09p027280.1_BraROA transcript:A09p027280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) UniProtKB/Swiss-Prot;Acc:Q8GWU0] MAPKLLSSTNFKSLFNSVDTFLFDCDGVIWKGDTLIDGVSHTLDLLRSKASSLSHSVSLSLSLSDSDFDFVTLLQGKNIVFVTNNSMKSRRQYAEKFRSLGLTSVTQDEIFSSSFAAAMYLKANNFPKDKKVYVIGGEGILEELHLAGFTGLGGPEDGEKKAQWKSNSLFQHDKTVGAVVVGLDPNINYYKLQYGTLCVRENPGCLFIATNRDAVGHMTDLQEWPGAGCMVAAMCGSTERESIVVGKPATFMMDFLIQKFGTETSRMCMVGDRLDTDVLFGQNAGCKTLLVLSGCTSESNLLDENNKIEPDYYTSMVSDITKLMDSP >A10p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19708494:19714746:1 gene:A10p033770.1_BraROA transcript:A10p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDTSTNVREKKRAKMSFNRNLLNIIIILCVCLNWDCSEGAQEKQRRAIDSHTIQLSSLFPSSSSPCVLSTRASSTKSSLHVTHRHGTCSRITSAKAKSPEHAEILRLDQARVNSIHSKLSKKLTDRVRQSKSTDLPAKDGSTYGSGNFVVTLGIGTPKHDLSLIFDTGSDLTWIQCEPCVRTCYSQKEPIFNPSSSSSYHNVSCSSAECSSLSSATGNSGTCSASNCVYGIQYGDQSFSVGFLAKEKFTLTASNVFDGVNFGCGENNQGLFTGVAGLLGLGRDKLSFPSQTAATYNKIFSYCLPSSASYTGHLTFGSAGVSRSVKFTPISTITDGTSFYGLDIVGISVGGQKLAIPPTVFSTPGALIDSGTVISRLPPKAYAALRGAFKAKMSQYKNTSGVSILDTCFDLTGLKTVTIPTVSFYFNGGAVVQLGSKGVLYAFKMSQVCLAFAGNSDDNNAAIFGNVQQQTLEVVYDGAAGRVGFAPNGWGCTDAVQNRESGEISFHRIQVSSIIPSPSSSCVLSPRASNTKSSLHVVHSHGPCSSLSSEKARRSPDDDDMLRLDQARVKSIHSKLSKKQTAQYRVRQSQSTDLRARDGFTIGSPNYIVTVGIGTPKHDFVSGLRHKQRSDVDSMPAINCLASNCVYGGARHGDKTLTSGLLAKEKFTINYDVFDSVNFGCGENNQGSFLAFYGAAGLLGLGRGEFSFPSQTAMTYNNIFSYCLPSSPEYTGHLTFGSGGLSNAVKYTSISTVVHESASFYGLDIVGISVAGKKLEIPVTVFSTAGAIIDSGTVITRLPPKAYAALRTAFKKKMSNYKTTLGSRLLDTCYDFTGQETVDIPKVSFSFKGGTVVELHSKGILFAYDVSQVCLAFAKNSNVGNVAIFGNVQQKTLQVVYDGAGGRVGFAPNVTFLNIAAVRERVILVSIMRNVLNIILIFWVYLNWVCTEGAQERESRKVDYHTVQLSSLSSTGSNTKEPLHVVDKHVVRSHFRRGKVTRLDLDNILRHDRARLDYIHSRLSNNFADRPRETESKDIPVKDVSKSKSELGWGSYTETIRNRNT >A10p020110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13681758:13683471:-1 gene:A10p020110.1_BraROA transcript:A10p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFNLSSKINLSSKFSLSLDLYFHLSFSSMGSNFHYSIDLNEDQDHHEEPFFYPLGSCSSLLHNYQVPSNSSSSSSSISSLSSYLPFLINSQDDQHVACNNTYQYEHLHLSQPLKANMFNGGSSSSSYDRMVPKKETRLKLTIRKKDHHDDQTDFLHHPTKPNSDSDKWMMSPKMRLIKKTITNNKQPTDQANNNNNRNHKEDHYPFNQKTSFEKDHDEDLKKVTTTAVTTENRYNTIKDNGYGNNNGVIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARKAAMAAAVAAGDQEVVAAQLQQIPAKKKLQSKKKRSNGGDKFNLSPPVMSKTKKCKIKEQEQEDTTTVAGDSEISKSTTSSDSSISSNKLCFNDLTIMLRKSSAYQQVFPQDEKEAAILLMALSHGMVHG >A09p056140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47857978:47859222:1 gene:A09p056140.1_BraROA transcript:A09p056140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTPYNTSMQGQYCHSCGMFHHHNQSSCYNNNSNAGSYSTVFSMHSGGVYEPNGEDYYSSSVVDCTLSLGTPSTRLCEEDEKRRRSTPSGASSCISNFWDLLQNKNNNNSKMTPSNVPSYSIANSIKPGRGCSGGNGSGGGDSLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRTTAASVNAVVGAAPVAVDHYSHHNAGYNNYSIATGNNNNGTLWGHHTTQRVPCNYPANEIRFMDDYGGAGASNCDSDGGHGGVPFLSWRLNVADRASLVHDFTR >A08g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10827918:10829226:-1 gene:A08g506340.1_BraROA transcript:A08g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIEFPCALCDTGSLVIILPKVMADHLRLKIEPLEDSFTFVDHSTRNSGGIIRDLEVHIGNALVPVDFHVLENKQNKNHSLLLRRAFMATVGTVCNMQTNQLCLTLINSDVHYDPVRVDEFETEYSRLIDSGTPPSIDIAILPLIYDTSRESIDNSPANETFALPTHCYPNFEVATQPKTSINYHYSDTLSGQGGYSIGIWADESFSVDTALPEMQSDEYDEDYHRERNIEYRSLAMDDRGILHTSYAHTTSTSIDSDIQPSIHAHHRPNSKLHVTDNTNYDYLTPDEFGIFRVKEGQAREMDGRISTYPRRKMKPRWENIKVSIPTALEQNSYNKAEIDELVAEIYRAIKTLDDYHSKRLDDIYLFDNSISWLTACMDGMKQDVAMIQKLHVVDVGRSTSSTTHARPSIKTC >A07g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2674837:2675189:-1 gene:A07g501270.1_BraROA transcript:A07g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLRKKKIADETVAVKGVKGSLPERLFAMDRYPCERINMYSTIDNLLCVRDALNGTEEMALLLRSCFGSLQFWVFKAIPALLKR >A06g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14168450:14169207:1 gene:A06g504580.1_BraROA transcript:A06g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEIRGRLDSFRVRNITFLLQSLERKKKNAGCVGRLLFKFTTFSARLLEDLRMTSGRLMEDFWKTYGRL >A06g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1315778:1316407:1 gene:A06g500290.1_BraROA transcript:A06g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFFSPAHVLNYFFRRHLSRRLRGLVSSPVIRFHRRNDSLCSQEKLLHLRLRFCDNEVRMIKVETVYLRRIREDMCAG >A05g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13526707:13532776:-1 gene:A05g504850.1_BraROA transcript:A05g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYSTEKASSVQSAILYDCDAEALSISIRPGHSYSVMVKWRCRPELVQFHGFRSVKVMLDTPPRSPKNCPESRGGSVRVQISLSRPVSFFMVKPRLCPRQDQSSPVKSSRPLGFGQVLSDQPAAYRQRTLFPLLGSWIMAGGQSMVDSEDRYSTEKASSVQSAILYDCDVEALSISIRPGHSYSVMVKWRRRPELVQFHGFRSVKVMLDTPPRSPKNCPESRGGSVRVQISLSRPVSFFMVKPRLCPRQDQSSPVKSSRPLGFGQVLSDQPAAYRQRTLFPLLGSWIMAGGQCFLDLVPSGFKETPYSLDREHSERRGHGLWPLYWGCLVVTRPYCGLRSSCNNRQWPDCTGAVARSWSKFCDSDRIIPNPSHSTSGPWCWVGRSVMFLFDCWLAGWPFISNPWCGSSIGH >A06p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4994544:4999322:1 gene:A06p002730.1_BraROA transcript:A06p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRDRTEDFKDSVRKAAISDGYTEAKVASTMASFIIHKPKERSPFTRAAFKTLESIKELDQFMMKHRKDYVDMHRTTEHEKDSIEQEITAFIKACKEQIDVLKNSIRNEEANSKGWLGLAADNFNADTIAHKHGVVLILSEKLHSVTAQFDQLRATRFQDIINRAMPRRKPKRITKEASPVNATLANPEPVEPDEIQAQPRRLQQQQLLDDETQALQVELSNLLDGARQTETKMVEMSALNHLMATHVLQQAQQIEVLYDQAVEATKNVELGNKELSQAIQLKSHHPVSNKPHTSNDDQNLKAINASVGRLVWVRLRNGSWWPGQTLLHEELPESSLLSPKLGTPIKLLGRDDVGIGWYVLEKSKSVKAFRCGEYDAHIEKAKASAAAARASSKKTVKCTRRENAIISALEIENAHLAKEDHPDNDLCSEEEDDEVTESEDRGEAEDELDSAPELFQSSMSSQEMVKVEPKRRRTPNDSEDDGTEGTKRMRGLEDIGKEHVGATVLHRQEMGSICDVNLSNGYIGSNGYKACSPLSLKRKRSQVTNGSECSKRKNRSRQLTKVLECTAMVCVPGTSDQLVTSGLEPVESMKSVSVVINNNSDSTGVSCENAPENVVGDSHNNKAKDSETSSVSVSAEDAVQLYDVPLTEGEAKHSAGFPAACTMSSSKAALVSALTRRCSHDVSVKKVASYGSACANPADTQLVIWNSNGIEKSASKWKLKGKRNSRQRSKKQEARRSVYSEEANNNRPLLLPALFEVKIEVRASCNKPRVPLVSRMSKLNGKAIVGHPVSVEALEESYYNGMVMSQAVVKAKSLSKKKSNKKKTNAPFGKSSKSKKKSSSLSVKTRRLSTLTERSKKQTIEKLKETVVACIPLKVVFSRINQVLKGSARQTQHRALPSAVKT >A05p041090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25017258:25020551:1 gene:A05p041090.1_BraROA transcript:A05p041090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGKMADDRRIKAAVDLISNLPDEILQHILCFIRIELAITTSLLSRRWKHVWCEIPSLSLDATILTAASVNKTLSHYTAPKTKSFHLKTYRSKYTPHINRWIKFAMSHNVENLSLDFWANSYPYKLPDFFYNSSSFRQLNINELKVLDLRKSLRLRTLQIRRNMSVPGPTQIVAPHIHSLRLLNSQLSCTFVDVSSLTEAKLDICYVSVNPNLKADFLQTMVLKMLEKLQNVEKLTFGGNFIQILSLAEIRGVSFPMLKVKTLTLDTDICQYVIRGIERLLQNSPDLDTLTALSRDFNSMPGKYLDQYLKSQGFNLNTCWRSTSLNNRGVDLTSEHVASFVELMLKHTKKLDKMLVLLDERFLQFEIEDVVVPTFSHNKNVNVVLCATKLMASEECRLKVLDLSKSLRLRTLEVNRNLKTRGPRQIVAPHVHCLRLVDSLTSCTLVDVGSLTEGKLDICYVQTNNPFFEFTKPDFLQLQVKVLEMLEKVQNAEKLTFGGNFIEILSLAEIRGVYFPMLKKLTVRGRTSSKAIPEHHLDQYLKSQSLKPDQCWKSSKDGFNWNTSCCCCVLSALLLSCADGASSDSDLAYSGNTPDAGGAGCAGCSGGCGD >A03p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2525024:2526616:-1 gene:A03p006070.1_BraROA transcript:A03p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT5G13120) UniProtKB/TrEMBL;Acc:A0A178UN74] MAAFSMTLSNPRSFSAAPRRLSPINTSAPSSTTYRLSTKSTFHSIKFPSLTASSLLLRTSSTKRNRRCLSVQSSAEPLAEPQSKITHKVYFDISVGNPVGKLAGRIVIGLFGDDVPQTVENFRALCTGEKGFGYKGSTFHRVIRDFMIQGGDFDKGNGTGGKSVYGRTFKDENFNLSHVGPGVVSMANAGPNTNGSQFFICTVKTSWLDGRHVVFGQVIEGMDVVRLIEEQETDRGDRPRKKVVIADCGQLPMSEA >A03p003290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1430452:1432340:1 gene:A03p003290.1_BraROA transcript:A03p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRHASRILGLDSKIEKSLMIPFREIKVECTIPKDDGTLVSYIGFRVQHDNSRGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCSPRDLSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIQGLTFVVQGFGNVGTWAAKLIHEKGGKVVAVSDITGAVRNPEGIDINALLKHKEATGSLNEFTGGDAMDSDELLLHECDVLIPCALGGVLNKENAADVKAKFIVEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNLELQKYMTRAFHNIKTMCHTHSCNLRMGAFTLGVSRVARATQLRGWEA >A09p000770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:488245:496054:1 gene:A09p000770.1_BraROA transcript:A09p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESGIPPIKRERQQHSLLQRNRSRSFLFKRFSYIQWICSTCVFFFFVVLFQMFLPGLVIDKSDKPPWRSSEKELLPPDMLVFKERGVLSFGNDVRLEPNKLLMSFQRDDTLTSSNGFNTTAQRFGFRKPKLALVFADLLADPEQLLMVTVSNALIEIGYAIEVYSLEDGPVHGIWQQMGISVTILETNRASSCVIDWLSYDGVIVNSLEARSMFTCFMQEPFKSIPLVWAINDETLAVRSRQYSSTGHTELLTDWKKIFSRASVVVFHNYLFPILYSEFDAGNFYVIPGSPKEAWKTKNMDFPPKDDIVISIVGSQFLYKGQWLEHALFLEALRPLFSNYNSESYKSHLKIIVLGGESASNYSVAIETISQNLTYPKDAVKHVSVAGNVDKILESSDLVIYGSFLEEQSFPEILMKAMALGKPVVAPDLLNIKKHVDDRVSGYLFPKENLKVLSQIVLEVITGDKISPLAQNIRLMGKTAVKNMMAVESIEGYATLLENILKFSSDVASPKDVHTLPSKLREGWSWHLFEALMDASPNNRTARSYEFIANVEGQWNQTPGDSTKSRVVNDDSFVYEIWEGERYLQIINSKKRQEDEELKARALQYQGTWEEVYKSAKRADRSKNDLHERDEGELERTGQPLCIYEPYFGEGTWSFLHEYPLYRGVGMSVKGRRPGMDDIDASSRLPLFNQPYYRDALGDFGAFFAISNKIDRLHRNAWIGFQSWRATARKESLSEIAENALLNAIKTRKHGDALYFWVRMDKDPRNPLKKPFWSFCDAINAGNCRFAYNETLKKMYSVKNLDSLPPMPEDGDTWSVMQSWVLPTKSFVEFVMFSRMFVDSLDAQMYEEHHRTNRCYLSLTEDKHCYSRVLELLVNVWAYHSARRIVYIDPITGLMEEQHKQMNRRGKMWVDWFGYTTLKTMDEDLAEEADSNDRRVGHWLWPWTGEVVWRGSLEKERQRKNVEKEEQKKRSKDKLDRMRRKSHRQKAIGKYIKPPPENANEMAEESHVLEINLISAQGLKEPTGKLRRLQTYASVWVDSSTKLRTRIDRIGAENPIWNDKFVFQVSSEFLSSETSGVSIEIYAVGYLRDHLIGTVRFLVSNFLPTAAEKVPSLVALQIRRPSGKFRGVLNIAAMVIDASELPAGFFKSVREKEAESRRSRRMMKKSRSAVVLSENGLADDGGSSKENSLSGSVNFSDDGTDSTASTPMPSPLRDWNAVRNMAGKNHVRWSSDGGGMMCCFLMKSEFMARFTRQISAMEVRATQKNRVRYSSKNIKHLPSCTITEFDLKDYSPLGFRLIQELEDIDHDDYMHSICNDKTLNKLSSGKIGNVFLVSNDDRFLIKILRKSEIKVILETLPGYYRHIHNHRSSLFSRIYGAHVVKPVGGVKTYFAVMSNMLHSKVFMNKLYDLKGSPKGRTNKKIELRNNTVFKDIDLDFCFYVDPLARQRIIKQTKLDCDLLEEQGIMDYSLLVGLQVKESSTQGSVNGVNPVYGSFTPPCSLKSDSTNSLKTALNSPDSSFTTFYSCPPSRESVESENSMTSNSTGSENNLINRQSKLTTLSDLFVNSSCSSTNFGMKIPARARRVKRAAGEEEEWYDVVLYLGIVDTFQDYGMKKRIEHCYKTIQHNSNSISTVHPKIYSSRFQEFVSNIFLPRDDGDLSL >A10p030130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18264848:18266788:-1 gene:A10p030130.1_BraROA transcript:A10p030130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRLSLIFSIASHLFVSGVTSKNITIENKCDYTVWPGFSNSFDDIGTTGFALEKGESRVILASPLGSGKFSCATGDCGSGEIECAESGESPRNTTLAQFQLNNETVDLYRVNVVYGYNLPVLVVPQRIYYGFDYGKVCSRVDCDVVNLNQACPYDLMVLDAEERPIACTNPCESSDCMPSSYSESFKVPCPEATFDFSDDNYVACTGSTDYVITFCPSFATTPT >A06p032580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17431769:17434036:-1 gene:A06p032580.1_BraROA transcript:A06p032580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGLALIIGTSVGDIVYQATLLQNTRFVQDCQIICCCYMPHVLPMIWMILCVHLICISSQPETRFIYNGFHQAELYTDGVAKVLPEGQLQLTNGSGQSMGHAFFKKPFEFTAESLSFSTQFVCALVPKPGSGGHGIAFVLSASIDLSHADATQYLGLFNISTQGNSSSHLVAVELDTALSAEFDDKNANHVGINVNSLFSIESAPAAYFSDIKGKNESIELSSGDPIQVWVDYRGNVLNVSMAPLKNQKPSQPLLSSSINLSEIFPDRKIFIGFSGATGTLISYQYILGWSFSGNSVSLQRLDATKLPRVPPHKCRTERPSTLLIFLFILLAVIVLVVLVAAFVYRRRKYAEVREEWEEEYGPHRFSYKTLYNATKGFHEDELLGKGGFGEVYKGTLPCNGQIAVKRVSHNADEGMKQFVAEIVSMGNLKHKNIVPLLGYCRRKGELFLVSEYMPNGSLDQYLFHDDKPPFSWRQRFAIIKDIASALSYMHTGAPQVVLHRDVKASNVMLDAEFNGRLGDFGMARFHDDWADTATTAAVGTIGYMAPELSTVRASTATDVYSFGAFLLEVTCGRRPVDPALPEERRYLVKWVCQCWKMASLLGARDLRMRGEISSEEVEMVLKVGLLCTNALVEMRPSMDEVVQYLNESLKLPDISVNSPGIGSFAPFIIGSNLLLPSHTTNTFSTSSYSSSGNDSTFVTHSIFHGHGR >A06p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12020529:12021774:-1 gene:A06p022820.1_BraROA transcript:A06p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47470) UniProtKB/TrEMBL;Acc:A0A178VEE6] MATVTTQASASIFRPCTSKPRFLTGSSGRLNRDLSFKSIGSSSSKTASFKVEAKKGEWLPGLASPGYLNGSLAGDNGFDPLGLAEDPENLKWFIQAELVNGRWAMLGVAGMLLPEVFTKIGIINVPEWYDAGKEQYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKQYSLPPNEVGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVIQHNVTGKGPFENLLQHLSDPWHNTIVQTFS >A03p005510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2303132:2308843:1 gene:A03p005510.1_BraROA transcript:A03p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGHVQNLPVLNRTSFHFQPQRNWLNAPMYYKGFYHLFYQHNPLAPEFSRRIIWGHSVSQDMVNWIQLPPALSPSESYDINSCWSGSATILPDGKPVILYTGIDNQERREDRRQLTVLAVPKDASDPLLRDWVKPKQNPVMDPPEDILHYCFRDPTTAWQGQDGRWRVLIGAKERDTLRGVALLYHSTDDCEQWARYQEPLLVSQANEMLECVDFFPVKLTGKEGVDTSVNNASVRHVLKVSFEEELGGKDCYVIGSYCSETDRFVPDSELTYTHADLRYDHGWFYASKSFFDSAKNRRINWGWVVETDSREDDIEKGWAGLLGLPRQMWLDTSGKRLMQWPIQEINNLRTRQVSFHNRELEGRSMFEITGITAAQADVEVTFDLPVLEDNSRIRDATHVADAVLFNNGNSLGCVYGPFGLLALASNDLSEQTAIFFKIIRHGNGYSVIMGSDENRSSLRENVQKIPHGTFLDIDPRHEKISLRCLIDHSIIESYGAGGRNVITSRVYPKLAIGEAAKLYAFNNGTKGPLYYKGFYHLFYQHNPLNPFFGDIMVWGHSVSQDLVNWIKLEPALSPSVPSDINSFWSGSTTILPDGKPIILYTGNDANKHQVTVLAEPKDPSDPLLREWVKPEGNPVMVPLPPSNVPVHCFRDPTTAWQEHDGKWRALVGAKEKDIKKGMAVLYHSDDFVQWTKFPCLYLSHKSLECGSAWTFFLFRSLAFFDSAKNRRISWGWIMERDSNEDDIMKGWSGIMAIPREIWLEKSGKRLIQWPVEEINNLRAKNVSLDSKQLEGGSILEISGITASQADIEVAFDLPDLENDTDALDSEEVDQATLIDGYSASVKGVYGPFGLLALASNDLSEHTAIFLELFVVGMDMRSSLRDNIEKATLGTSLDIDPRHEKISLRCLIDHSVIESYGGGGRSVITSRFYPKMAIGEEARLYVFNHGTKAVTMSSLEAWSMRKAQINSNET >A09p011270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5648593:5657002:1 gene:A09p011270.1_BraROA transcript:A09p011270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GRIP [Source:Projected from Arabidopsis thaliana (AT5G66030) UniProtKB/Swiss-Prot;Acc:Q8S2T0] MAEEREEENGGTPHSDDELLRMIAELRLENDFLRSQFKEQAEVVDSSSQVKQLEETVESLTREIDVEKQTRVAAEQALEHLRESYSEADAKAQEYSTKFSQAQEKLEQEIKEREEKYADLDAKFTRLHKRAKQRIQEVQKEKDDLDARFREVSETAERASSQHSSMQQDLERTRQQANEALKAMDAERQQLRSANNKLRDTIEELRASLQPKENKIETLQQSLLDKDQVLEDLKNQLQAVEERKQAAVTELSAKHQKNLESLEAQVIDALSERDKAAETISTLQVLLAEKESKIAEMEAAATGEAARLRAAAETLKGELAHLKAENEKEKESWEASCDALKSKLEIAESNYLRAETEVAKMRSQLGSEMSMQTQMLSTKDAELKGAREEIDRLQSEFSSYKIRAHALLQKKDMELAAAKDSEQIKSLEEALKEAEKEVYLVSSERDKARQDLQGALASLEKELEERAGALKDASEQIKSLELKLDSTFARNQAEKQAWEEDLRVLEETWRRRCEALTAQNEASSAEDLEKELEDAKLRNKRMKEEHESVRELADRLIEEKDREISRLVDEIKNLRKSMESKPVVHHYGNNNTESKQEDVSNLSTSAAEHQILILARQQAQREEELAQTQRHILALQDEIEELERENRLHSQQEAMLKTELREMERKQKREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEIQKCQQAYHSSTTTATATEASVGGVASEGSVKRGGLGGINLNGSLLPQPSGAPPKHRLHSGLRLWEFPDQYVIEPTDGSAAPCLDISRLDGSMKLIDQVAECNSLRVPKIRSIFGVVGMLKLLAGSYLVVVTESESVGSFLGHTIFKINSLKVLPCDHSLKNSPEEQKKVETDFSRLLSVAERTNGLYFSYEINLTLSAQRLHDLGDESKSLPLWRQAEPRFLWNNYMLEVLIDNKLDQFLLPVIQGNILYSISSFSSISVLCRIMTIGRDIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVESEQIVHMNGYTSSFVQIRGSMPFMWDQIVDLTYKPKFEIVQPEEAARIAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSERFAGAMQHINGDDVRYLHFDFHHICGHIHFERLAILYEQMEDFLDKNGYFLLNEKGEKMKEQSGIVRTNCIDCLDRTNVTQASFNNNMTSMIGRKMLELQLRRIGVFGAEEAISSHLNFDERYKILWANHGDDISIQYSGTPALKGDFVRYGRRTVQGVLNDGWNALARYYLNNFADGTKQDAIDLVQGHYIVAVNRDMAPVPRKGGLEAVANFPVALAVVLISLWFATMSVKRAGSDYRHLFFSLVWAGISVAVAAVMRANGRIFCNRPRLHKPRP >A06g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11728700:11730639:1 gene:A06g503770.1_BraROA transcript:A06g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLNQTEPWRARQTLSEDVSKGKGSLELEKKTRRTMNAPLDSLQVMYENSDDAFCLRKPWQATSTLKLTVLTREKMTFRHWWKEYADCSQGPIPQVVPKNKSNKQLTETLLEAYVSSTLYEVEEERVGVPVKRQLYEVPSNHNTVGGLGEEALFPVYWNGDSWPVLRVQKLSGAEEGTNPLGFFASHADLKVPRICILQSLFPGEDDTWKSWLNAEN >A10p029880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18171559:18174524:1 gene:A10p029880.1_BraROA transcript:A10p029880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKVRRKVKAFVTKPFKKPNKTRPSRPPSPEPPPPPTSPLPQSPPPQEMSSSRQKNAPFLFPRSESSVLPDPSRFFSHDLLSTPLPTNSFFQNFTLKNGDQAEYFHPYIIKPSPSSLSISYPTLSHNSAFIFEAFNADITISGSDGPDPHSRKTHLISSFSDLGVTLDFPSSNLRFFLVRGSPFITCSVSGTSSITISTIHAVLSFSGNSSSTKYTAKLNNNQTWLIYASSPVHLNQTGGSSINSGAGFSGILRFAVLPDPNPDFESILDRFSCCYPVSGDADLTKPFTLEYNWEKRGYGDLLMLAHPLHLKLLSTHDCSISVLESFRYRTIDGDLVGIIGDSWVLRPDPVSVTWHSIKGVDEDRREEIISALIKDVTDLDSSAPVTNSSYFYAKLIARAARLALIGEEVCYLDVIPAIRKYLKSMIEPWLDGTFEPNGFLYDPTWGGVITKQGSRDSGADFGFGIYNDHHYHLGYFLYAIAVMAKIDPLWGKRYRPQAYALMADFMTLGKKKGASFSSNSVYPRLRCFDLFKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALLGLAYGDTHLVAAASTVLTLEIHAAKMWCQVPLNLSFSSWWWQVKEGDTIYPADFTAENRVVGVLWSTKRDSGLWFAPKEWKECRLGIQLLPILPVSEILFSDVKFVKQLVNWTMPALAREGVGEGWKGFVYALESIYDKEGAMEKVRGLSGHDDGNSLTNLLWWIHSRGGDDDDDDDDEGGYGGHGGGGKYCSFGHYCN >A05p013380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5835712:5837707:1 gene:A05p013380.1_BraROA transcript:A05p013380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPHHHQWQHDMNSPLLPSPASHRSSPFSEYGQVGDANVFYWFQNRKSRSKHKLRLLHNHSKHSLPPPQPQPQPQPLSSASSSSSSSSSSSKSTKLPKTKNKKNTNNTNLSLGGSQMMGMFPPEASFLFPASTVGGFEGIPVSSQLGFFPGEMVEQPKQAPPTVEPCTGILLSEIMNGSAGYGTHNHQQLSEKEDEEMRMKMLQQPQICYAATSDQISSYNNNNNNMMLHVPPTASTTTTSNPLAIVPSTLDQLQVQAGARIRVFINEMEFEVSPGQFNVRDAFGEEVVLINSAGQPIVTDEYGVAIHPLQHGASYYLI >A06p006710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2270626:2273875:-1 gene:A06p006710.1_BraROA transcript:A06p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSTSSPYLHFFFFFFFFYPPLPIRLRFSRNLIALSLSLFPHRCLSRHQGERDYFVTATMNMPLLDIQPRTLKFVVDLKKQSTCVVQLTNTTNLFVAFKVKTTSPKKYCVRPNVGVVAPKSSCEFSVIMQAFKEPPPDMVCKDKFLIQSTAVPEETTDEDITASMEAVFEDSILKDRVYGQSETLRPPQYESEIVKEPRMVGHDELKAPYDAKEANDLKATKGGYATSNLEKEAEFDPIRYADDGRGIKSTHNLDTPTKMAMDLDQGFANGKASANSVSYSDEPKIPRNRDVVQMQQTDAQNIKALEESKLVKDIEGMKLKVNALESKLKQADSTISKLMEERSTSFQHRESLQQELAELRTKKIVKEVHIGFPLLYVCVVAFISIVIGYCPRT >A02p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9251387:9254516:-1 gene:A02p019890.1_BraROA transcript:A02p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC028 [Source:Projected from Arabidopsis thaliana (AT1G65910) UniProtKB/TrEMBL;Acc:A0A178W7T7] MAPVSMPPGFRFHPTDEELVIYYLKRKINGRTIELEIIPEIDLYKCEPWDLPGKSLLPSKDLEWFFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVTSHSRTVGTKKTLVYYRGRAPHGSRTDWVMHEYRLEEQECDSKSGIQDAYALCRVFKKSALANKLEEQHHGMKRKKATTNSEKSTSSTCLYSDGMYENLENSGYPVSPDHGLTQLGNISSSDMETIENKWSHSMSHDTSYNFPAQSQFCSQYGTITYPPSKAEIAFECARLKNRMLPPAPPLHVEDLAHSEHLGTNVANDTDQMLSKIIALAQASHEPHNSLGSWEFASASGNFQGDVCYPGEKASGSWVEANMKAVDMQAHDGRFKEERIVENLRWVGVSNKELEKSFIEEHSTVVPIEDIWSYRTDSHEHQDGEGVNNNGDVDDVYTLEFSENELNANNSDKINNNDHDATSSPRFEMVNKVEVSHGLSVTTRQVTNTCFQQIVPSQTVIVYINPTGTVNCGHEKKLTEEVHVVKQINPRIDSFVSKILGPWRRFAYLLVSFISSY >A04p023700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14383040:14384796:-1 gene:A04p023700.1_BraROA transcript:A04p023700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLLSKRIFNISNQSSQSLTNCRTSSSSVSVRTRISPEPGDSGISRRFFHNTAVIRPEMMQMPVGGSLIEKLREIDGSKDRIRLDGIAPPMRETASLTVEDTKKVLRAAQMEMVKTKLRETGRSWMTYSEFASVCGEASSDPEDGARIATMLDDSANVIVLGGSVCLRPDQVTKSIEGLLPLPKIHNPNDPRRIELKELEAVKAVIDVKAHSLVRRELWAGLGYLILQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFYQSRFAAKQRKLMSLDEFDVERYQELKKLFCPKASDRVSKILGTAGS >A09p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:917313:918765:1 gene:A09p001160.1_BraROA transcript:A09p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arv1-like protein [Source:Projected from Arabidopsis thaliana (AT4G01510) TAIR;Acc:AT4G01510] MAKEKRCVECGHKVKSLLIQYSPGNFRLMKCENCNEVADEYIECELMIIFIDLILHKTKAYRHLLYNVFTQETVYVQLSDLQRVSQFGSICCGNRSLLLRRTSDESSVPISFVLASLQVLVNVLSANFAFVLSFALASKIISIGASRGKEILLGIFISSYIKIFLFAMPVWEFPVSVIFIVDMLVLTSNAVALKVMTESTTSRCLAACFIAHSTKFLADQISGSRSLKHLGSVMYLPFLFRNL >A09p001900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1446704:1447888:1 gene:A09p001900.1_BraROA transcript:A09p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome A-associated F-box protein [Source:Projected from Arabidopsis thaliana (AT4G02440) UniProtKB/Swiss-Prot;Acc:Q8LEA8] MAEAGCFSFVPEDVVFNIFFKLQDDPRNWARLACVCTKFSSIVRNVCCKKLCYSSIPTVVSELLPSPSAASASSSSLPPPGGWASLYKLAVCCPGLHHAGILLENSDFGLERELGPDQSLDPRPKPTDPGLVSKQIGSGLETPSFQPASKPHGPGLVETPSFQSGSKQVGSGLQTPSFQSGSKQIGSGLEPTTPFQSVSKQVGSSSETQSFWSLYDDLYTDTLSAPPEASVTEPQQPTETTEIRPGRGDLPVKKRRKISRSLGSHLAHGGWSLSREQGAKLLASRFRGDCLYICNWPGCIHVEEKRNYMLFRGVFKEFKRSRVWRTINEDGGGRSKVTGLKCAFCECDETWDMHSSFCLRRVFGFHDDGEPVVRAYVCENGHVSGAWTALPLYT >A02p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25286459:25289514:-1 gene:A02p039970.1_BraROA transcript:A02p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVSSAKFSSGVEDDKTKDEFGFPKFVVMGHRGFGMNMLQSPDEKMKSIKENSILSFNVAADFPIDFVEFDVQVTRDGCPVIFHDIFIFTQEKGVITEKRVTEMPLHEFLSYGPQKDGANVKPMFRKTKDGRIFEWNVVKDDPLCTLQDAFVKVKRSVGFNIELKFDDNTVYGEEALRQTLGNILKVVNEHAENRPIIFSSFHPDAALLIRNMQISYPVFFLTNGGCEIYKDVRRNSFDEAIKICKEGGLQGIVSEVKAILRTPNAVQRVQDSKLSLISYGQLNNAVEVIYLQYLMGVEGVIVDMVKEISEAIASISVRKKEDEEEDDGRKSMIMFGEERTKVKISKDEIAFLTKFAPKLLQQ >A02p045710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28632910:28633269:1 gene:A02p045710.1_BraROA transcript:A02p045710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKEPDAGSDWIQVRETLAEIGICCRICYYSSPCWCHDCHDQPSHEDLLVDPRRNN >A04p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9872048:9879388:1 gene:A04p016040.1_BraROA transcript:A04p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLERLPNSLPGRLHEQFQSRSWTHNRLGRLSHKPLRRLKSSWNCRELRKTVKAVGRGRNRLGRLRTGRNARGGYGIDGWGGNHPKHSFYGDFRGTRTVTLFSTRVLDREERKHRKRPSEINPDGKEGESWPEGRSESQLETAGPERTEGKEPRERDRRIRTGILGHTTVQASMVDAGPPCSAATGSDPYTNGSRLGCREMVPESDGLILRILPDGRRVLTRLIRRNSDRVPAFCTGHGIEPSVDGSVMTGPRLVLDDGRGERTGSRKTKRKKYMDGLSNNKAPRQDLILGVIKSDLHFVWANYWKQQKGLLKSMEPDSEVKKRERLTKSLLKLKEGKPAGVRIESSGSRKQRMLGESLSKPRNFQRPKSPKVEIIRTRDWKSGVLAKDLLDDQGTTQSLPGRLQEQFQSRSWSHNRLGRLSHKPLRRLKSSWNCRELRKTVKAVGRGRNRLGRLQTGRDARGSYGIDGWGRNRPKHSFYGDFWGTRTVTLFSTQVLDREESKQRKRPREINPDGKGESWPEGRSESQLETAGLSREGTEGKEPRERQTDPNRDIRPDDVQASVVDAGPPFSDATGSDPYTNGSRLGCRKMVPESDGLILRILPDGRRVLTRLIRRNSDRVPAFCTGHGIEPSVDGSVMTGPILVLDDGRGEGFGYVSHHADWIRRMGSSKTERKKYMDGLSYNKAPRQNVSKPSTDRLECDDRNTDKPSSVTTQRPNMHTTRSLRSDRACVPFGRYVVTEIFRNVDTTRIHAFSSTL >A02p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6253072:6257637:-1 gene:A02p014260.1_BraROA transcript:A02p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSMRDLDEALQGCGQKFGIEIWRIENFKPVTIPKESHGKFFTGDSYIVLKTTASRSGSLHHDIHYWLGKDSTQDEAGAVAIMTVELDSALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFNHVKPDKHQTRLYICKGKHVVRVRFARSTLNHDDVFILDTESKIFQFSGSSSSIQERAKALEVVQYIKDTYHDGKCDIAAVEDGRLMADAEAGEFWGLFGGFAPLPKKSALSDHRTAGSDGIKLFSVEKGQTKPIEAESLQKELLDTNKCYILDCGLELFVWKGKNTSIDQRKRTSETAEEFFRSSERPKSNLVSVMEGFETEMFRSKFDSWPATSAVAEPQHGRGKVAALLQRRGVNVQGLVKTSSSSSKDEPKPYIDGTGNLHVWRINREEKIPLEAAEQSKFYTGDCYIFQYSYPGEDREETLVGTWLGKQSVEEDRASAISMASKMVESMKFMPAQARIYEGKEPIQFFVIMQSFITFKGGLSDAFKKYIVENEVPDTTYDAEGVALFRVQGSGPENMQAIQIEAVSTGLNSSYCYILHGDSTVFTWRGNLTSSDDQELMERMLDLIKPNENTKAQKEGSESEQFWKLLGGKSEYPSQKIKKDGESDPHLFSCTFSNENLKVTEIFNFTQDDLMTEDIFILDCHTEIFVWVGQQADPKKKPKVLAIGEKFLKHDFLLENLASETPIYIVTEGNEPPFFTRFFTWDSSKAAMHGNSFQKKLAILTNKGKPLLDKPKRRVAAYSSRSSVPDKSQPRSRSMTSTPDRARVRGRSPAFNALAANFENIGTRNQSTPPPMVSPLVRKLYPKSLAPDLTPRSAAFAARTALFEKSRPTPQETPSSLGPSEATNEAEPPKTTEDESMSSIREDSKEEEEEAEEEESSLPTFPYERLKTDSEDPPPSDIDLTRREAYMSAAEFKEKLEMTKSEFYKLPKWKQNKLKMAVHLF >A09p004350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2502705:2505150:-1 gene:A09p004350.1_BraROA transcript:A09p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLRTNFIRRPHCFSSLRPVGSPTVTASTAAVPEILSFGQQAPEPPLHHPKHNQTHNDIDLSDQARLFASVPTPDLLRSTAVLHAAAIGPMVDVGSWVMSSKLMDNALTRGMVLGLVKGTFYDHFCAGEDASAAAERVRSVYEATGLKGMLVYGVEHADDAASCDDNMQHFIRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEHKSKSFKLSWKLKSFTVFSDSSPLYHTNSEPEPLTAEEERELEAAHVRIQDICRKCQESNVPLLIDAEDTILQPAIDYMAYSSAIMFNGDKDRPIVYNTIQAYLRDAGERLHLVVQEAEKEGVPMGFKLVRGAYMCSEGKLADSLGHKSPVHDTLQNTHACYNDCMTFLMEKASNGSGFGVVLATHNADSGRLASRKASELNINKKNGTIEFAQLYGMSDALSFGLKRAGFNVSKYMPFGPVETAIPYLVRRAYENRGIMASGALDRQLLRMELKRRLMAVIA >A01g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7111558:7117451:1 gene:A01g502020.1_BraROA transcript:A01g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSKGDFVSANQNGVNFQRDNFPAVFWINLENFQNLFGNRWRSSENIRFSAKSSRKICVYLGFSLSPSPSHLYQLRYQELRRQKIFFLLLMEENLAEVMKGMSLGEDKVEYVDVEYERVRKKCFHCLRLSHEKLKCPLYQGSKNKGKGIAARPQGTQEGQSSGTRQHHNDLAERLMPLLAPSVPPGFEPLPTLVAPEVFEQMKIYMSCTDPEERSIREAKMRKTLNELSKDPVAQRACLRLEMAPTITTEINRDRGKVFDFSRVQEQRSHEVAESSSHGISSSARSANELNVGLKSKDYYQTSSQHKDCLLDAAKGVNESDTRRPEAGVFVMGSGNSPSKDRNSRSGNSQRSRSSWRDDGQWDVNKLQCRFPQNEVTRIRQLPVGDVPDRDIWAYSPNGSYTVKSGYKVATQAKETAEVQAMSLKPGVLELKGIIWKRLAIPWILWTIWKNRNMLLYAETQVSITIQIKQALEEACTWHELNKKAVSLENITGLNEETKRWDPPLAGYVKCNFHANWRNAALHSGVAFIVRDQRGNVLHHARDAITFSPNRITSELRCLVWVLKSMKDLGYHEVVLASDFRELMEAVLKPKEWPRYRSFLQEINILCSLFRSVAFETESANSNQIAREIAKSVLRDGRLQSYLALGGPAWLHQRILRETVQMSS >A05p048100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28212814:28214725:-1 gene:A05p048100.1_BraROA transcript:A05p048100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARTSSDSVVSEFEGTILKNQDPFSYFMLVAFEASGLIRFAVLLFLWPVITLLNVFSYKNAALKLMIFVSTVGLREPEIESVARAVLPKFYMDDVSMDTWKVFSSYKKKVVVTRMPRVMVEMFAKEHLIADEVIGSELIVNRFGFVTGLIREADIDQSVLSRVADLFVDERPHIGLARPRKTSSTTFLSLCEEHIHAPIPESYHHHTQQLELRPLPVIFHDGRLVKRPTPATALLILIWIPLGIILAAIRIFLGSILPLWATPYVSKIFGGQIIVKGKPPQAPAPGHSGVLFVCTHRTLMDPVVLSYVLGRSIPAVTYSISRLSEILSPIPTVRLTRIRDVDAAKIKQQLSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEVTFLNQLPMEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYLSFLDQLKKVVSTFEPFLH >A05p054540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32037393:32039148:1 gene:A05p054540.1_BraROA transcript:A05p054540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLINHIRKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTAEEEQTIINLHSLLGNKWSSIAGHLPGRTDNEIKNYWNTHIRKKLIQMGIDPVTHRPRTDHLNVLAALPQLLAAASFNNLLTLNQSIQPDATSVAKAQWLHNMMQVLNSNNTSSSVDIHHTNYNIFGQSSFLENRPNISENLYDPTQSISRIDHQPLDSFSSPLGVFSHQDNEDMIPPLISASSDGSKQTQMMIKNKEILKHNEHTSNPSSTLTFTQDHQSWCDIIDDEASDSYWKDLIEQTCSEPWPFHE >A02g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1753501:1755424:1 gene:A02g500590.1_BraROA transcript:A02g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLASKVGRVIEIAYDPKASQKEAEKSDASGGSLKQRPLLIEHPVVPPGFAPLFPEMPQKERDMALQYISHSDPTERQARITRVQQSLQPGFEDNLISAPRISHDINKGKGHVFNFQEHDRPGKRVALTRERSAFSEADMIVVKDRTLFPSDNLEVSSSSSSLGPTVFRMGTSSGNLPTGANEAVKKSRRRPQRWKRICSQRPSGQAQDLDHTGKRDSPVGDGEESTATLGGNQAKRKAPERARQVEESYDCKCEE >A04g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3359147:3359703:-1 gene:A04g501280.1_BraROA transcript:A04g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDVKGEPISRFNICCNLFHLLEASRRQRSSKDQSPFTVVTPSDISCKKFANAAVSIKFTDQTAFVEVTNTTKLILNPDGELKVPQYE >A01g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17090139:17091275:-1 gene:A01g505740.1_BraROA transcript:A01g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKRNHFRPNAKKKEKLSTTGDFEASRYEDLWIQLRFDDVFFPFFSCLQMPNSHKPQFFKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTTSNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A08p025960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16632921:16634219:-1 gene:A08p025960.1_BraROA transcript:A08p025960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWVFGYGSLVWNPGFHYDEKVLGFIKGYKRVFDLACIDHRGTPEHPARTCTLEIDEEAICWGTAFCVRGGPEEERLAMEYLERRECEYDLKTCVDFYKEDDPLNPAVTGVMVFTSTPDKVSNKYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKAMHDIGHEEEYVIELANEVRKVLAEKVSPVKESRASPVAKKSKSNVPTAHQILPHQPEAVATTL >A02p037110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24635026:24637031:-1 gene:A02p037110.1_BraROA transcript:A02p037110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQSPCFPVVNGNLRLPIASFPSSTLRSGQRISGNGAWIHKRGRVCVKSEANTDEETSSSSSESTTSGNASSFLSVLCPLLKLFSVSSPFKLSAHQSVSFSVLTIRSLFFSLDCCFQGGDPSQQRNHALEVATSSLASIARLPWGSRVSSMSTDVSSSPPLRLQLFEFEACPFCRRVREAMTELDLSVEVYPCPKGSVRHRELVRRSGGQEMFPFLIDRNTDTLMYESGDIVKYLFNQYGNGRGPSTGLLESTLFTGWMPTLLRAGRGMSLWDKAPTDPPPQMLELFSYENNSYARLVREALCELELPYVLHNIGEGSTRMRSLLNVSGSDKVPFLVDPNTGVQLGDYQKILAYLFKTYSSPASA >A01p054580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30979385:30980718:1 gene:A01p054580.1_BraROA transcript:A01p054580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTRTTVLKVADHSVEGVSTNKAKGEKMIQDPRINSAQRRTLGDITNLPNQKVMLMNHGANQQQQAMSLSSKEYAEKLQKENMRLMKALTERNAIIERTGSELQKYRINLQMVQAQNLQLSQTNTRILAEIRTSKDQLKALQHELSCKNGVLIARKLPLEPQKLPCTHHDASEDKDRANASRGASGVFHPNGEDHKTGNKSNG >A07p007210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1143922:1147457:1 gene:A07p007210.1_BraROA transcript:A07p007210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQPQGQNPNGSGTAGGTMSLYVGDLHANVTDAQLFEAFSQMGQVVTVRVCRDLATRRSLGYGYVNFATPQDAARAIQEMNYIPLNGKPVRIMYSHRDPSVRRSGAGNIFIKNLDKSIDHKALHDTFSAFGNIISCKVAVDSSGQSKGYGFVQYEIKESAQKAMAQLNGMLLNDKQVYVGPFLRRQERDSTANVTKFTNVYVKNLAESTTDDALKNTFGEFGNITSAVVMKDGDGKSKGFGFVNFDNADDAAKAVGTLNGKTFDDKEWFVGRAQKKSERETELKVRYEQSLREAADKFQSSKLYVKNLDDSISDEKLKELFTPYGTVTSCKVMRDPNGISRGSGFVALSTPEEASKAMSEMSGKMIENKPLYVAVAQRKEERRAILQAQFSQMRPGAMPPSLGPCMQMYPPGGPGIGQQVFYAQTPPPMIPSHSAFGYQQQMVPGMRPGGGPMYNFFMPMVQHGQQRPGGRRPGGIQQSHHQQQQVPMMQQHPRGRMFRYSQGRGSGGPPDVPGMLPYEMPSNMPLRDPVLSQHVPIGALATSLANASPEHQRTMLGENLYPLVEQLEAESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRSVAAGGAGEQLASLNLNDDLVSYVFLSSCCIGKIQFS >A05p044300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26601921:26603539:-1 gene:A05p044300.1_BraROA transcript:A05p044300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSLHDRPLRRRRLNQEEEEDAELPQYAQPMADEAHNFLHPPAPPPPSSMSHREAANGGCGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGFDRPSKAVDWLIKKAKASIDELAQLPPWNPADAMRNAAANAKPRRTAAKTRISPSPPPPSQQQQNHLQFGGFDGAAEHRGNENESSFLPPSMDSDSIADTIKSFFPVVGSSTEAPPPNQLMHSNYHHHHPPDLLSRTNSHNQDLRLSLHSFPDGPPSLLHHHHSASASTAEPVLFYGQSNPLGYDTSTGGWEQQSIQRLVAWNSGGATETGNGGGGGGFLFAPPAPSTTSFQPVLGQSQLYSQRGPLQSSYSPMIRAWFDPHHHHQSISTDDLNHHIPHPVHQGEFSSGFRIPARFQGQDEEQHDGLSNKPACQASIHIESFGLDCSEQGRRLYSMA >A06g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19464848:19466150:-1 gene:A06g507030.1_BraROA transcript:A06g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKPSRAVPRDSHGTCRSDILWNRLTWLRRRLWLGEEEKLRLQRSSSGGGSVKKTRSGGGSVKQRTSGGGLVKNRSYNGGSVKKRRSGGGSVIIYGMQW >A03p065380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28844023:28844927:1 gene:A03p065380.1_BraROA transcript:A03p065380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRMNPNLELQEFTQPLLKKRRRFTQPPSHLSSLLIKEKSVVIVVGKTFDQLVSNCPDNVHLKVSKTKRSLC >A05p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18705155:18714491:-1 gene:A05p030430.1_BraROA transcript:A05p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLRKSLAEERIETSDESSKQVVTQRLNVRLARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVPLGRYVATELEPKLGRYVATERRSDRARAKARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELKPLGRYIATEHSFRSRLNLSEHRYDTKSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLKLGISPTALEPRLIPCCNVHTQIRNKIYFALFLISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPERWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRLAQSLRSDRAIVPLGRYVATELKPRLGRYAATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVPLGRYVATERSDRAIVPLGRYVVTELEPKLGHYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYAATELEPKLRHYVETEQSSRSRPSDRPARSLRSDQARAKARSLRSDRAIVPLGRYVATELKPKLGRYVATERSSPTEPFRTSIRHQFLHSCQTFKCYLPKTVASSVHVSCHSNSSIKLSGLETAENS >A03g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30734272:30735418:-1 gene:A03g509500.1_BraROA transcript:A03g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABB1b [Source:Projected from Arabidopsis thaliana (AT4G35860) UniProtKB/Swiss-Prot;Acc:Q38922] MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTVAGRPLKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRMLGNMLIGNKCDLPHKRAVSKEEGEQFAKEHGLLFLEASARTAQNVEEAFIKTAAKILQNIQDGVFDVSNESSGIKVGYGRPQGAAGGRDGTISQGGGCCG >A05g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13822220:13825274:1 gene:A05g504970.1_BraROA transcript:A05g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWARYGLREIASKGRRECMDSCRIDVTEELGRYRPSGTCALSLRNDRAWLELGRYGMCAWSLRSDRAWLELGRYEATGQYVSVALGQLVFDPTGTRTRFFLEIILAPSPLESRVPDEILACGMASSDEIGMFGRSSCPKVRSKKRSSKKGSSSANVCEELLVPKIEFMPHSVDPTENEAWWVASYGSITPPNEKSFPVMNHRLVEEGAPSRSTSEFLRIMRSFYQIPDTLEFWVPHQEERASSPPEGYFTCYEAFIVRCRLWFPIPEIIVRVLDHFEVAISQLNPLSIQHLVGVLILSYEHGLSLTVDHFEALFRLQIVQNTDKYRLVPRNFMYVVKGFLSNCNSWKRFFFFVRIDAGSVEENCIPLFWRLPNDRSFINLLTPFPEDIIAVRDLLKIGSDSEPDDQGPDAAPTVMTGLNSSKGKDIDLGDGSGMSEIPILNFDDFFVDLPSGFDPPPPMNESGRPKVVAEGSRIINGGLNLLGSALEASHREAIVYRFEVEKAERDLASMQGEMLERDAQLARDHARAIRKAERKGKRKIVEAMKSRASQFQIEYGNLKDAYTLVGDYRECPSSVGSLWKTQADDYIFEKKMRVMKDGMKDHAHAETLIPPFDGRIQGFWDPIPVSPDTVETTTEFPSDCEEVDRPADAFGALFEVNMSCRLIFNSIEMFNMSISCLKMSETNAMGLGQELGLLSVIRFVR >A10g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:811021:813420:-1 gene:A10g500210.1_BraROA transcript:A10g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSDCDEKVVFFKDLSLGHHEAQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEKGTVIQGFVSPGRIQKYLPDMKRGSVYKLNNFYGSRNKSVFRVADHAVTVSFSWNSELAVLLDCPTHFDEDRFRFHSCEEFQANCDLKGDLYDVVGHMKLVNGQSIVEGPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAARDFCRKFKSCEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPDIAEQVNAEVVTKREAMTIGEIFSYIGQEFPKDAFFECTATIDDVVHGSSWYYIACSGCHSKVNKGPTSLLCSNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEEVGHEAPVPEALISTIGQKHKFCVKVTDHNFSGKTRSLTVTKILPLDTPPATVSSEGNQTTATSEETSENRVDSADGSKRTCYSSEVERAKRPKCGN >A02g511250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29826098:29827220:1 gene:A02g511250.1_BraROA transcript:A02g511250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDLVTLKRNGARQRNSLKRNINAQFHNLSERAGSEIFGACGYVYRRRCKINEKMKSLQKLIPNSNKTDKASMLDEAIKYLKQLQLQVQTLAVMNDLCLNPMRLPPVLPPTQTRFNGTLQQEQHFATLLGAPHSMVNHEPPRATQEMCFSTATLL >A07g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7802316:7804208:-1 gene:A07g504000.1_BraROA transcript:A07g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFICSCLPFFRFQLPFSQYINISSDSMLHHHTRKIHHSERGMLTDSIRLLLVLTTVTFTEILPSTKAIVSFKVPDNSSGKQSPYRSFEAEPIDRCNSHSRYTSHLVLTLDMTHPPKLSPSTMLETLQSTLNHYSPLLTQSIIECIYHYFISLNPGDYLNYKKSQLFLNFVIFLTSTLSSSFCELYLSLYPNIECFYLHQEPKQNNLRQNTLALYCLHEITM >A08p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:691946:693913:1 gene:A08p001170.1_BraROA transcript:A08p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSVSSSLVRAALDTKPNKLRYNPNSPRNVKRNPNSTTSFVPPSSPSSSPAATVLTTTSVSVSDLLKRPASKDSCVGYEKWFPSPPKVGKPRSVFNAASLAYIGDSIYEIYARRHFLFPPLSIEEYNDRVRAVVRCEAQYALLHKLLDEDFLTKDEREILRWGKNVGSVKTRSTRRAGVAVYNKASSLETLIGYLYLANGKRLEEIMQKLGFSSSSSTEIMVKEAKH >A07p032740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17914650:17915856:-1 gene:A07p032740.1_BraROA transcript:A07p032740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTNQLSPIRFYQNAAFSHVWPFLIAMMMAMIGSNKAFLMSTTAAATATAMNTLFNSKPNVIEKKLNRASVSYEAPKRKLPVLLFDVMDTIVRDPFYQDIPAFFGMPMKELLECKHPTAWIEFEKGMIDEDELARKFFIDGREFDLEGLKDCIRSGYSYLDGMQELLQTLRDDNFEIHAFTNYPIWYKMIEDKLKLSAYLSWTFCSCNTGKRKPDPEFYLEVVEHLGVEPCDCVFIDDRPSNVKCAVEIGMRGLCFENADSLLKDLSQLGVNVSLPNI >A01p020560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10082800:10084226:1 gene:A01p020560.1_BraROA transcript:A01p020560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPISIHATTTFDQMLVSSVTWICLPPMGILVNIFPWVCWCIWTARNLLVFENRTLNPDEVICNALRLAREWQEAQQREQQSQRSLETNGTRTQNNTSTGLNTLYTDAAWRLQDKTAGFITTKFSNSSGKELKNIQDHSSSIISSIMISIVIMAELLVEYTTALAKLTVGILPRRQGDGNFIRIGNFSLYCPPRSSPVPDFSAHLVDF >A09g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12075847:12079599:-1 gene:A09g503820.1_BraROA transcript:A09g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHLILHRSYCTVNSSFQISRLSKVGQINEARKCFDSLRFKAIGSWNSIVSGYFSNGMPAEARHLFDEMPERNIVSWNGLVSGYIKNGMITEAREAFETMPERNVVSWTAMVKGYVQEGMVAEAETLFWRMPERNEVSWTVMLGGFIDDRRVDDARRLYDMMPVKDVVASTNMIGGLCKEGRVDEARMIFDDMRDRNVITWTSMVTGYCQNNRVDVARKLFEVMPEKTEVSWTSMLLGYTLSGRMEEAEEFFEAMPVRPVIACNAMIVGLGERGEIGKARRVFDSMNERDDATWRGMIKAYERNGFELEAIDLFGVMQRQGVRPSFPSLISVLSVCGALASLEYGRQVHAHLVRCRFDVDVYVASVLMTMYVKCGELVKAKLVFDRFTSKDVIMWNSIISGYASHGLGEEALKVFHEMPSSGTMPNKVTIIAILTACSYAGKVEEGVEIFESMESRFCVAPSVEHYSCTVDMLGRAGRIDEAMKLIETMTVKPDATVWGALLGACRTHSRLDLAEVAAKKLFEIEPENAGPYVLLSSINASRANWGDVAEMRKDMRNKNVSKFPGCSWIEVDKKVHTFVRGDVRNHPEKTLISMMLEKTEGLLREAGYSPDCSHVLHDVDEEEKMDNLRLHSERLAVAYGLLKLPEGVPIRVIKNLRVCGDCHAAIKLISKVMEREIILRDANRFHHFKKGVCSCKDYCIHKHFISLDEHKSFSDFNIGFSEIVNKEALSDNSSSCCFSVVAMGSEGPTAVTINITGFKKFHGVAENPTEKMANNLKEYLAKNPQSKDVVLGSCTVLETAGQGALASLYQLLQSAINTKESESVTCGKTIWVHFGVNSGATKFAIEQQAVNEATFRCPDELGWKPQHLPIVPSDGPISTVRKTTLPVEEITKALEKKGFEVITSDDAGRFVCNYVYYHSLRFAEQNKTYSLFVHVPLFVAVDEETQMRFTASLLEVLTSVCK >A06g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20503104:20509070:1 gene:A06g507380.1_BraROA transcript:A06g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINCFRTAKAPLHTFASVSRFRYSPNPIVSVLRRKSSPSFVTLRVVSSMAYEKELDAAKKAASLAARLCQKVQKALLQSDVQSKSDKSPVTVADYGSQAVVSLVLERELTSEPFSLVAEEDSADLRKDGSEDLLERITKLVNDTLATEDLTKAIDSTLTTDDLLRAIDCGTSEGGPNGRHWVLDPIDGTKGFLRGDQYAVALGLLEEGKVVLGVLACPNLPLTSIAGNKNSSSSDEIGCLFFATIGSGTYMQPLDSKSEPVKVHVSSVENPEEASFFESFEGAHSLHDLSSSIASKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHVAGAIVVTEAGGIVTDAGGKPLDFSKGKYLDLDTGIIVANEKLMPLLLKADSAELRKVAAETVLARITELVNDTLATDESYASSPLSSDDVLTAIDSGKSEGGPKGRHWVLDPIGGTRGFIRGEQYAIGLALLVEGKVVLGVMACPKLPLASTAAGINANKSSPEKVGCLFFGSVGTGAYVQSLNALDSPPVKVEVSSNDDPAKASFFESYHTPVPIHNTIATKLGIKESPIKINSQTKYAALSRGDGEVYLRFTRKARPESIWNHAAGSILVSEAGGKVTDAAGNPLDFSKGKYLDYKRGIVVTTQKLLPRLLKAVRESLKEEEEEEEKAASLKLH >A08p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20590830:20592334:-1 gene:A08p034730.1_BraROA transcript:A08p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQRDQDSRAFYDLSALVLSLLRSPPMPISLPDHFPDSPMMRSRSPSMAHISPSGFASLLLGISVALMLCGSVTFFIGFLLLPWVLALIVVLYVAGIVSAISMAGRSILSYVLTPPPSFSSRKDISDVEVLSRANEKLLDEKRNREAAAW >A01p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22868646:22870357:-1 gene:A01p042370.1_BraROA transcript:A01p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRLSKNLFFVFSEPSLCWKVAGKLPVMMEIRTPKTHKAKRVLEKRAPKLVENGKKTLILHGTKTSATLSSVLMELYRLKKGGAIKYSRRNENIRPFENGGETSLEFFSQKTDCSIFAYGSHTKKRPDNLVLGRMYDHHVYDLIEVGIENFKSLLSFSYDKKIAPHEGSKPFICFTGEGFENVPELKQLKEVLTDLFRGEVVDNLNLTGLDRAYICSAISPTKVFLTHCAIKLKKSGTIVPRIELVEVGPSMDLVIRRNRLPNEGLRKEAMKSSKDKPKKKVKNVDQDDVLGKRGRIFIPEQEVGKTPLPDKSKGVKRERREGKLKKKNKEEGSASKKHKESE >A05g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6745815:6749828:1 gene:A05g502040.1_BraROA transcript:A05g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLIAAALKSTYDTRSPSGVDRCIDLLIRLKSMSLSVKDILYFSKSIFKLETLRRHRNPRIREVSQSLFTSLLKTLYSQGSDKPAGLNAVRLKRKEANTGSLTNRRAKTNLLVSDKKQDHKTLAREPVVRRTVTKKTDACMSVTTKPVTTTALLQQSRRDIKDGGVTTKTLIHPPRRVPACKNVPAKASRNPKTEEVVELFEAAKKAADVANAKGILSGKADALRCVEAISLLTKMNVTPKPNEPRRMIERLQVLTKHKDRAICNAASALLQLWRQRIREQERKESGIKIPRKAQRTCGQGFTRESTKTMKSIQTRCHKIGLKEGAMTSLIMTTPFAGSLTQCKKTTNLSLQRAFKVSCMQTPLEELYNVKVERKVSQRRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSKRFMQFLAGDLVKYPKWLEADLFFNAPYRERYCFKAYAIEATYITRNIDGIEGCVEALNKLKTKSLSVSDIQRFS >A07p030340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16906324:16909048:-1 gene:A07p030340.1_BraROA transcript:A07p030340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G58560) UniProtKB/Swiss-Prot;Acc:Q8W0Z9] MLSVIRVHLPSEVPIVGCELTPYVLLRRPDKTATTDDVPESSPLEGHFLKYRWYRVQSDKKVAICSVHPSREATLQCLGCIKTKVPVTKSYHCSTKCFTDAWQHHRVLHERAAAEGNDEEEELLRLNSSGSVSSILSTVSSLTNGSSSSVYPSAITQKTGAGGETFVEVGRSKTYTPMADDVGHVLKFECVVVNAETKQNVGHSCTILTSRVIPAPSPSPRRMIPVSGTVDSNGRPMSMGSFTVLSYNILADAYASSEIYSYCPTWALSWTYRRQNLLREIVKYHADIVCLQEVQSDHFDEFFAPELDKHGYQALFKRKTNEVFVGNTNTIDGCATFYRRDRFSHVKKYEVEFNKAAQSLTEALIPVPQKKIALNRLVKDNVALIVVLEAKFGNQAADIPGKRQLLCVANTHVNISHELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTVPASAPHSLLAVGKVDPMHPDLMVDPLGILRPHTKLTHQLPLVSAYSSFARMGGSLTAEQQRKRMDPSSNEPLFTNCTRDFIGTLDYIFYTADTLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCMPKTRR >A07p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16368487:16370054:1 gene:A07p029120.1_BraROA transcript:A07p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIIIILFLVSLPIFIFFIFPRQPSSHIGFKSYPIVGSIPGLVKNRHRFLDWTVETLSRCPTQTAVFRRPGNQQFVMTANPANVEFMLKTKFDSFPKGERFISFLEDFLGRGIFNSDGEMWWKQRKTASYEFSTRSLRDFVMTNVTVEINTRLVPVLAAAATAGESIDLQDILERFAFDNICKLAFNVDAACLGDDGADGVEFMRAFETAATIISKRFQSVVSYSWKIKKKLDIGSEKVLRESIVTVHKFADGIVRHTIDDQARSSNTNEDLLSRFINIEEMSSPELLRDIVISFILAGRDTTSSALSWFFWLLSKHPEVEEKIRQELNSIRARTGKRVGEVYGFEELKLMNYLHAAITESLRLYPPVPVDTMSCVEDNVLPDGTFVGKAWGISYNAYAMGRMESIWGKGCDRFDPERWIDETNGGFRGENPYKFPVFHAGPRMCLGKEMAYIQMKSIVAAVLDRFVVEVPVKERPEILLSMTLRMKSGLFARIHERT >A09g515300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45517837:45520606:-1 gene:A09g515300.1_BraROA transcript:A09g515300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNKTHYGDLPNRTKEAYEVMCECQNQVLLDPNPLTFAAASVASDRWNKLARIEEKFYRQKSCIRWLHAGDLNTAFFHRSVQSRLSRNTIRMLKHQNGHELTASADIAREAWSIRGHRSRRFRELHAKIQDTPVPDPQMGDDKINPDWSDMLQVVCGEAYTLMDQILIRLLFQVVVYHVWRERNLRRHQQGFKGTDQLISMISKVVKNRISLLGYKGHHILEGLMRRWFEVFG >A08p043940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24385015:24385606:1 gene:A08p043940.1_BraROA transcript:A08p043940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVMGLGGSSVSRINTKAFSSSFLKPTLRANNPLRVAGASGGRFTWNWLRRDLNVVGFGLIGWLAPSSIPAINGKSLTGLFFESIGNELAHFPTPPALTSQFWLWLVTWHLGLFICLTFGQIGFKGRTEDYFER >A09g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6816387:6818007:-1 gene:A09g501880.1_BraROA transcript:A09g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAIEDRYPKWEDHKVSTELDNMIQDILKGQLDEKFWEVMAATKSKKRKIIVDPPVVPDTIDVGTSTKRKKDKEHVDACHASDMVVAHNIAILGLVESVKNLSAKIDGIDVNVADKVSEKLDATIQAKVDAKVGLYEKEMMEKIAMLVEDVKNLKEKAYVNIHTDVANSNDHNSIAQEEDDDSSNALSWMIEKKINSQDGLPIQCVVKKEKKTSKAMETKVCKTIDVKKKGKKDEVPLKKVKKEKAIVIPELNDISISSKDWQQAIEALASILEEPTRRRKPQLTKTQQWPFVGNSTVKRIITGVTPSTVSYDPFAKVESQKLTKVMDFIKRDLAQEESGYGEFSAKFYLKIMVPRNVWPTENYGWLCDSHLAAAMLMFHRRSMQSTSPYASPRIAFLDRWFVKSWVNDFEKQDKNSI >A01p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29463442:29467335:1 gene:A01p052490.1_BraROA transcript:A01p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKERRFAANNTIRRVKLDLFAEPSGDLGGSDVRSDGDEKEQTEPNELPKSPSSSGGFHQLSSVPLYVVATPLDTCQKTENPLLLLGQYSDEEEEVEDEKEDKADDATAESSLANKNEKVEARKDTNVKSGADTTMQMVDQQQTGEDSSASYYMAEGGSGYVTASNSTAADGLTKQIDPSVQASATVSLEQYAPTDVTSQWKMILHEESNQYYYWNTLTGETSWEIPPVLSQTATAYGTGYHESGAMVTDAYNLSSGVEPSYLQQPVENVYTGTDCSTSLTAQPGEGNKSEDHYAKSFGTDGHQVECQIDSAVNYQPSQEELAGPRNSDHVQATAVQVSATDLPSRLLSQSEGLLEKLRSLKKSHGNFNSNEQISKYILELEVRHSDVKALLNDTSPLLSFWLHTEKELKRLEDGVNDEIYELAKSAVMDGIAETNNSSPEEKLVTDDNTASETHDSGREGELAQSGKTLHSDESVDGDGSPTRSQSHPADKSDNVTPLDEIQKVGSSVVEDVDMDVDMEVEESVSLSPAQVIDASDTSNLHADVPPPPGEEWVPPPPSEVEDVPPPPPDSFSEPVPPPPLENDHALPPLSSDSVGVPYTVPQSYIQQSADYAAQYNLSYPESSYQYITNAVAPNTQFYGQVDGSQVSLPQSAYYYDTVPGTSEVAPVEAYYNLNGVAPLFPVSAEASLNHSGVGSASYNIPSDSSKAVEPSSKSNDSAEAASLGIATQSTDGSSQLAKGQSKVKRPKKRTVSATTSTLRSNKKVSSLVDKWKAAKEELNDSEEEEEEDDYGVLDRKRRREIEEWKSRQIASGEAKDNANFQPLGGDWREKVKRRKERTGREADEPKKKDEKQQKPDLTKLSAHLPSGWQAYWDESTKKAYYGNTVTSESSWTRPTTNN >A02p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1487913:1489453:1 gene:A02p004080.1_BraROA transcript:A02p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCKGKRSVNRMVLPRKVSSTVLLRENMSKKDEKSVSFCSRIGCSAKVSYTKGTRMDNNTKLGSSSNGKEIVGSSSRTPGGFGYLRKPATFTTGSPLIGSSVSSSSGSEHTVRGGNLSRNGLRCSSVSDALSTNATRISVTKRKNSDGESSSSSTRASKPSVSGTKGRNLSSSSGITVSDNRRNRIVPSIRGNSVVSVSGKRSSSSHRRPSSNSGPSPSRSLVSQDGLSRYNINGIAEVLLALERIEHDQELTYEEEYVDGDEVGTMPCEHMYHVSCVQRWLRMKNWCPICKTSAEEEKSL >A03p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12381726:12383242:-1 gene:A03p023400.1_BraROA transcript:A03p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCISSAFKALCLSLLFLNAVASRPTNRPKVFNVQRYGAKADGKADNTKAFTNIWKSACTRKGGNSKIYVPKGTFYLGGVQFVGPCANQIEFVIDGTLLAPSNPRDIKNDTWIQFRYINNLIISGAGTLDGQGKESWPLNDCHKNPSCPKLAMTMGFAFVNNSRINGITSLNSKMGHFNFFSVHHFNITEVTITAPGDSPNTDGLKFGFCSNINISKTHIGTGDDCIAILSGTTNMDISNVNCGPGHGISVGSLGKNKEEKDVNGLTVRDIVFNGTSDGIRIKTWESSASKILVSNFVYENIQMINVGNPINIDQKYCPHPPCEKKGQSHVQIQDLKLKNIYGTSTNKVAVNLQCSKSFPCKKVELIDINLEHKGVEGGPSTAVCENVDGSARGTMVPQHCLN >A10p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11862928:11865163:-1 gene:A10p011370.1_BraROA transcript:A10p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLSLLLILLLIIVPQSIQGSIFKTFSNTLSPKYPPYSFVFPLSGNVFPLGYYSVSLQIGNPPKDFTFDVDTGSDLTWVQCDAPCSGCTVRTELQYKPKSNTVPCSDSICSALHWPQKPECLNPKEQCDYEVEYADQGSSMGALVVDQFSLKLLDGSSFVPRLAFGCGYDQHFPSAHLPPATVGVLGLGKGKISILTQLVSARLTRNVFGHCLSSKGGGYLFFGDNVIPSTGVSWTTLVSPNNHYTTGPAELLYNGKATGLNGLKLIFDSGSTNTYFNRKTYQAIVNLKTGNVCLGILNGSEVGLQDSNVIGDISMQGVMVIYDNEKQQLGWVSADCDKLPK >A09p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5627973:5631983:-1 gene:A09p011190.1_BraROA transcript:A09p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYFFLKVIFGFYLLSGKIQTKIHPLLRFFPFALAVFFFFSVLFLFVFQKKSERKRDMDRYPLLLLHRIKDCFHSAISSLLANLFSALFTFVFALVGTLLGAFTGALIGQETESGFIRGAAVGAISGAVFSIDVLESSLLLWQSDQSGIACLLYLIDVIASLLSGRLVRERIGPAMLSAVQNQMGAVESQFPNQTDIFDTAISNGLTGDSLDRIPKVRITDTSGEMVSCSVCLQDFKVGETVRILPQCYHTFHLPCIDKWLCRHASCPLLYFPWNVAERMEWVEMCRVVRYMCQTFCCFLLFDDVVSVLTPVWPNEVKMTRIGRIHKQQQQQLILPPETPPASPSFSLISSLFASPYYYTMATTESSTANSASASFPPCDKDKKPVWNKPCISSSPPLMGADSWPALSPLSSHKSPSSKGLSDGSSPMPQEAAATSSDYHSVNGQRKPFRRNNSTSSSNPHPNADQNHTQRNGAATTQSRNPHHRHHRNGSSSYPGNRQRNVFEHGHSNGRGDMHLQPQRGVGTMRPQMLMGPPSSTQYMAAAPQIGSYGGPMLYPPDYALHVFMPHPPPESIALVGNFPPGPPPPIYFPSFDPMLSNKILTQVEYYFSADNLSKDEHLRGQMNDDGWVPVRIIARFRRLTELTDNIQTILEALRSSEVVEIKGEALRRRGDWDKYLLPHGPSSSGPAASLGGST >A06p008790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3068439:3071657:-1 gene:A06p008790.1_BraROA transcript:A06p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSILNPLGERREFSNGDFYSGEVKGLLPHGKGMYSWSDGTIYEGDWDQGKISGKGKLIWSSGAKYEGDFSGGYLHGIGTMTSPDQSVYSGAWRMNVRHGLGRKEYCNSDLYDGSWREGSQEGRGSYSWTNGNRYIGSWKKGGMCGRGVMRWGNGDLFDGFWLNGCRHGSGVYKFADGSLYFGTWSRGVKDGKGIYYPAGSKHPSLKKWCRSLEYDDTGKFVLSRSSSIDVDELRSLSLSAVNRSLSMRTSTSGMSDHPRELTSKSARSLGSGQSEGQDKKNRVAYEREYMQGVLIRESVVTSSVDRSLKIRPPSTLSKQVSARTFLTFLTGEHNYHLMLNLQLGIRYTVGKITPVPRRDVRASDFGKKARTVMFFPKDGSNFTPPHKSIDFSWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEICSPGKSGSIFYLSHDDRFVIKTLKKSELKVLLRMLPRYYKHVGDHENTLITKFFGVHRITLKWGKKVRFVVMGNMFCTELKIHRRYDLKGSSHGRFTEKIKIQEKTTLKDLDLAYEFHMDKLLREALFKQIYLDCAFLESLQIIDYSLLLGLHFRAPGQLNDILEPPNAMSDQESVSSVDVGVTQELSIPPKGLLLVTHEPNSVSTAPGPHIRGSTLRAFSVGEQEVDLILPGTARLRVQLGVNMPAQAHHKLDKDKEESATIELFEVYDVVVYMGIIDILQEYNTKKKVEHKCKSLQYDPMTISVTEPTIYSKRFVNFLHKVFPEEM >A10p031880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18956575:18960013:-1 gene:A10p031880.1_BraROA transcript:A10p031880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARTESPSYFRQWNGDSGTPNAAAAAPSSPARHHHARSSSVTGMSNVKRAQNVAAKAAAQRLAKVMASQTTDDDEDDDDVGGDDLDFRYGAPPLSFTRNNASTKPRPPAAAAAAAVLPPPKIGRSSSPAFSRNSPVVSVRASQQPPVPPSKLSQRNQATVPLPVVTPKNQQTEKRALPEIGHLNAKDSKDQHEASALQDELDMLQEENESILEKLRLEDEKCKEAEARVRELEKQDAYMIVLSQCCRKEAALRQREAALKDARQNREGANKETTALRAQVENAKQEAAGVVAQLQVAESEVNALRTMTHRMILTPKEMEEVVLKRCWLARYWGLAARYGICSDIATSKYEYWSSLAPLPFEIVLSAGQKAKEESWEKDSEENEKRSQLVQDINDLTGEGNIESMLSVEMGLKELASLKVEVTITMTLAQLRLANTFRLSDPELKSPGGPKLLEALELSPEESEDVLFKEAWLTYFWRRALSLGIDVDIARERLQFWISRSAHSPSSHDAMEVEQGLTELRKRRIERRLWEASRSNQ >A07g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13020194:13021671:1 gene:A07g505740.1_BraROA transcript:A07g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EB1C [Source:Projected from Arabidopsis thaliana (AT5G67270) UniProtKB/TrEMBL;Acc:A0A178UNG3] MATKIGMMDSAYFVGRSEILAWINSTLQLNLSKVEEACSGAVHCQLMDSVHPGAVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWLKKYCDSVNGGHLHNYHALERREACKGGKEATKRAAATQQSAKSSSSSSSVAPRPSSSNGTRRHDPPSSNTGSHHSSKAPSSKQSKPVSPAYDEKITELKLYIDSLEKERDFYFSKLRDVEILCQNPDSENLPLVGSIKRILYAADGEDVGAAAETQNLSPIDEGSEERRSSGIESQKRKLIANLDVDAAAITTLSPRQRLSDSSDVKCTGSSPLLTC >A02p024970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12528974:12533460:-1 gene:A02p024970.1_BraROA transcript:A02p024970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINMKTFTEALARTAAVIEKTVHTTVQEVTGPKPLQDYELLNQIGSAGPGLAWKLYAAKARDATRSQQYPTVCVWMLDKRALTEARLRAGLSKAAEDAFLDLIRGDAGKLVRLRHPGVVHVVQALDENKNAMALVTEPLFASVANALGNVENVADVPKDLKSMEMSLLEVKHGLLQISETLNFLHSNAQLIHRAISPENVLITSSGSWKLAGFGFAISTAQAGNLDNMQSFHYSEYDVEDSILPVQPSLNYTAPELVRSKSPSAGASSDIFSFGCLAYHLVARKPLLDCNNNVKMYLNTLNYITNESFSSIPSDLVSDLQRMLSMNETFRPTAVDFTGSSFFRSDARLRALRFLDHMLERDNMQKSEFLTALSDMWKDFDSRVLRYKVLPPLCAELRNLVLQPIILPMVLTIAQSQDRNDFELITLPALVPLLSTASGDTLLLLVKHAELIINKTDSEHLVSHVLPLLLRAYNDNDVRIQEEVLKRSTSVAKQLDGQVVKQAILPRVHGLALKTTVAAVRVNALLCLAELVQTLDKPAVIEILQTIQRCTAVDRSAPTLMCTLAVANAILKQYGVEFTAEHVLTLMMPLLTAQQLNVQQFAKYMLFVKDILRKIEEKRGVTVNDSGTPEVKPHSVANGVQFQSSAQTPEKVASAAKSSPAWDEDWVSPSKASAVGNPASSHHVTNNQFNKSSNQPSTMSTLPNKATAPTTCPPVDIEWPPRQSSSLTAPAIADETQLNTGASSTPGFDELDPFANWPPRPNNSVSVASTGLNNGTSGFSNNLPASNDNWAFSSASLSSLKPPQQGSSANNQDPINSFGLPKQSQGMSSFNGGSYNNQKPADISSIFGSSKTGQPAMKLAPPPSTAMGRGRGRGRGGTGSSTAKPNGSQPSLLDLL >A06p049170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25995077:25998270:-1 gene:A06p049170.1_BraROA transcript:A06p049170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHRRKVISLTLFLAVTLISLINGDLDSTQLNDDVLGLIVFKSDLHDPSSHLSSWNEDDISPCSWTYVKCNPKTSRVTELSLSGLSLTGKIGRGIQKLQHLKVLSLSNNNLTGNIAALSNNNRLQKLDLSHNSLSGQIPSSLTSLRHLDLIGNSFSGTLSDDVFTNCSSLRHLSLSRNRLEGQIPSALFRCSVLNSLNLSSNRFSGSPSFVSGFWKLERLRTLDLSFNALSGSIPLGMLYVHNLKVLHLQGNQFSGSLPSDIGLCPHLNRVDLSFNRFSGEIPTTLQRLRSLNHLDLSKNMLSGGFPVWIGNMTGLVHLDVSSNVLTGKLPSSISNLRSLKALILSDNKLSSEIPESLESCKELMVVQLKGNGFTGSIPDGLFDLGLQEMDFSGNGLTGSIPRGSSRLFESLVRLDLSRNSLTGNIPGEVGLFSNLRYLNLSWNHFNTRVPPEIEFLQNLTVLDLRNGALIGSVPADICEAQSLQILQLDGNSLTGSIPEGIGNCSSLKLLSLSHNNLTGPIPKSLSNLEELKILKLEANKLSGEIPKELGKLQNLLLVNISFNRLIGRLPSGGVFQSLDQSSLQGNLGICSPLLRGPCTLNVPKPLVIDPNSYRNGNSNEEASGNRPSKFHGGMFLSVSVIVAISAAILIVSGVIIITLLNASVRRRLAFVDTALESIFSGSSRSGRSLEAGKLVLLNSRTSRSSSSSQEFARNPESVLNKASRIGEGVFGTVYKAPLGDQGRNLAVKKLVPSPIIENLEDFDREVRILAKAKHPNLVSMKGYYWTPEMQLLVSEYIPNGNLQSKLHEREPSTPPLPWDARYRIILGTAKGLAYLHHTFRPATVHFNLKPTNILLDEKYNPKISDFGLARLLTTQDGNTMNTNRFQNALGYVAPELECQNLRVNEKCDVYGFGVLILELVTGRRPVEYGEDSFVILSDHVRVLLEQGNVLECIDPTMEEEYSEDEVLPVLKLALVCTSQIPSNRPTMAEIVQILQVISSPVPHRILDSF >A03g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24472589:24473365:-1 gene:A03g506900.1_BraROA transcript:A03g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLREGFCIFTKTHPFESSIGIWKQATSCLIKIHMTPKILDFGMARIFGRDETEANTRKVVGTYGYISPKYALEGIFSMKSDVYKRNKGGKRNKGFYNSDRDHNLLQCVSLKPLKFDCVAHSKSMTMSLRIDSTFMHRCGAGRNWKKGQGLAIVDMVIKDSSSPTFRPREILRRLQIGLLCVQSRVDDRPLMSAVVLMLGSEAVDIPQPNPPGYCVI >A06p026580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13811661:13815833:-1 gene:A06p026580.1_BraROA transcript:A06p026580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-rich interactive domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G43240) UniProtKB/Swiss-Prot;Acc:Q6NQ79] MMFHAQSRCNVLAVVSGEHCDNQKGEAHQPRYPFPDLSSSGRLKFQVLNNPTTEEFQVAINSSAPDIVYLQGEQSGDTDQVGPLVFRYADFSTPDALFALFGSSIPTTVYLELPNGEELAQALYSKGAQYVIYWKKVFSKYAACHFRHALFSIIQSSCSDTWDAFQVAEASFRLYCTSNSDLLSSDINRKINYEMGPCPCLLGDPPKIDLVTPGADELEDENSLESLPSINIYDEDVTVRFLLCGPPCTVDTFLLGSLVDGLNALLRIEMRGSKLHNRSSAPAPPLQAGTFTRGVVTMRCDISTCSSAHISMLVSGNAQTCFSDQLLENHIKHEVVEKIQLVHSVVNSEETKQVFSEPRKSASIACGVSVYEVSMQVPTWALQVLRQLAPDVSYRSLVVLGVASIQGLSVASFEKDDAQRLLFFCGKQISDTTNHDALLSQIPHWLTPPLPSRKRSEPCRENKEMENGRPTSKKINVAALRPIPHTRRHKMIPFSGYSEIGRFDGDHTKGSLLMPPKHGASGGTPVTHRKAFSGSYQRKQIISLNPLPLKKHDCGRAHIQICSEEEFLRDVMQFLVIRGHSRLVPPGGLAEFPDAVLNSKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYEYAHDDVDGECCLICRSSTAGDWVNCGSCGEWAHFGCDRRPGLGAFKDYAKTDGLEYVCPNCSVSNYRKKSQKSSNGLLVP >A09p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18857658:18860181:-1 gene:A09p031040.1_BraROA transcript:A09p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKMGTRFQSLLAFLLSCLIFISAASSSEQKGDGTLRIGLKKRKLDRANRLASQLFLKNRGSWSPKDYFRLNDANSDIVPLKNYLDAQYYGEITIGTPPQKFTAIFDTGSSNLWVPSSNCYFSIACYFHSKYKARDSSTYKRNGKPASIRYGTGAISGYFSNDDVKVGGLVIKDQEFIEATSEPGITFLLAKFDGILGLGFKEIAVGNSTPVWYNMVEKGLVKEPIFSFWLNRNPEDPEGGQIVFGGVDPKHFKGEHTYVPVTRKGYWQFDMGDLNIAGKPTGYCANGCSAIADSGTSLLTGPSTVITMINHAIGAVGIASQECKTVIGQYGQTMLDSLVSQVDPRKVCSQIGLCGFDGTHSVSMGIKSVVEDGVSSLLNEAMCSACEMASVWMQSELSQNQTQERILAYAAELCDHIPNPNQQSAVDCERVSSMPIVTFTIGGKPFDLSPQDVRLLILKMFVRALLITFNLFLGQYIFKIGDGVQAQCTSGFTAMDIPPPRGPLWILGDIFMGPYHTVFDYGKTRVGFAKAT >A09p060770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50297888:50299458:-1 gene:A09p060770.1_BraROA transcript:A09p060770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase L2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G55040) UniProtKB/Swiss-Prot;Acc:Q9M2W2] MSAGVRVGVCSYPSLALPSKDVSLPSSSLYFGRKIHRSSFDTDLKLRCNSNGTRRTKPVLAVVSSSRVPELDSSSEPPQVFDGSTRLYISYSCPFAQRAWLARNYKNLRDKIELVPIDLKNRPAWYKEKVYPANKVPALEHNNRVIGESLDLIKYIDTNFEGPSLAPNSVEKEAFADELISYTDSFSKAVRATLSGEDSDAADGAFDYIEKALSKFKEGPFFLDLFSLVDVAYVPFIERFHLIFKDVMNVDITAGRPNLALWIEEMNRIEAYTETRQDPQELVERYKKRAQAEARP >A03p058710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25458198:25460173:1 gene:A03p058710.1_BraROA transcript:A03p058710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSCIGWKFSGSEAAKEASAASLTTYTSKLFALCDPQGKPILPPRGETAETCHTAEKAVVKAVLCGTGNAYAPGKGLPAAKCAVAEYLNRDDIPKKLTPEDVFMTVGCKQAIELAVDILANPKANVLLPSPGYPWDFVNCILKKLEVRRYEFLPEKNYEINFESVRKQVDENTFAIFITNPHNPNGNIYSEAHLKQIALLARELGIMVVSDEVFRWTVFGSNPFVPMGKFSSIVPVMTLGSISKGWSVPGWRTGWVALHDLDGVFKCTKVLDAAKQFLEINSKPPTVIQAAIPTILKDTPKDFFHRRQMFLKDKADLAYSKLADIPSLKCYLKPEACTFLWTQLELSSFLNIKDDEDFCEKLATEENLVLLPGIGFGLRGWARHSIDMDTQTLEDAFKRLKSFCDRHSGC >A08p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21993236:21996698:-1 gene:A08p037770.1_BraROA transcript:A08p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSESDDSSHFERGVDHIYEAFICPLTKEVMHDPVTLENGRTFEREAIEKWFKKCRDGNKPPSCPITSQELSSADVSPSIALRNTIEEWRWRNDAAKLDVARQALFLGNDESDVLQALMNVRQICRSIRSNRQGVRNSQLVRMIIDVLKSNSHKVRYKALQTLQVVVEGDEESKAILAEGDTVRTLVKFLSHEPSKGKEAAVSLLFELSKSEALCEKIGSVNGALILLVGLTSSNSVNVSIVDKAERTLENMERSEEVVRQMASYGRLQPLLGKILEGDGSVSTGPAGLGSLGSPETKLSMTTFLGELSLNNDTKVYIAQTVGSSLVDLMRSGDMTQREAALRALNNISSYEGSAKLLIGIGILPPLIKDLFYVGPNNLPIRLKEVSATILANIVNIGYDFDKATLVSDNRVENLLYLISNTGPSIQCKLLEVLVGLTTCQKTVIHVVSAIKTSGAIISLVQFVEVKENEDLRLASVKLLHNLSPFMSEELADALRGTAGQLDSLVAIISEKIPISEEQAAAAGLLAELPERDLALTREMLSIGAFEKIISNVVGIRQGEIKGMRFERNFLEGLVRILSRITFAFSNETRGITFCREYNVASLFINLIQSNGQDTIQMVSAMALENLSLESINLSHMPDLPPPSCGSIFSCMSRPPVITGLCKIHHGVCSLRETFCLVEGGAVEKLVALLDHENDKVVEASLAALSSLLEDGLDVEKGVKILDEADGIRHVLNVLTENRTEKLTRRAVWLVERILRIEDIAREVAEQPNVSASLVDAFQNGDFRTRQIAENALKHIDKIPNFSGIFSNMA >A09g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19680994:19681587:-1 gene:A09g506690.1_BraROA transcript:A09g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRTRFWMEKGSGKKHWLLLARKLEHWPRLMGTKSLNSMEVVVQDGKKKKKGRRLRKKMKNNEKWVLG >A07p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8788134:8789439:-1 gene:A07p011300.1_BraROA transcript:A07p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKPGIVMANRDRELLIPVGEDDNDSTPKPSSSSSSSSSSAGQETFYKLIRSWASKKFMTGCVILLPMAITFYVTWWFIHFVDGFFSPIYAHLGINIFGLGFITSITFIFLVGVFMSSWLGTSVLNLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVAIIRHPRIGEYAIGFITSTLVLQTYSDEEELCCVYVPTNHLYIGDVFLVSTKDVIRPNLSVREGIEIVVSGGMSMPQVLSTLDMRLPSERSRSSRVERN >A02p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28555750:28557156:-1 gene:A02p045540.1_BraROA transcript:A02p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSTSSASLIHHFRLTSPDTCSPRKRPYTMNFCNSWKEGGLRHGLTQRCSKGLIRPVVRFSALDENSGDRPTETTPTLVSAVQDRPDASFEEEEKASPIYEFLYPDKEELPDDKEMTIFDHLEELRERIFVSVLAVGAAITGCFAFSKDLIVFLEAPVKNQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRAERRFLGPIVFGSSLLFYAGLAFSYWVLTPAALNFFVNYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVCSSNSVTPGTSRGGVGRSNAFDMEICSGGCGGCCSCGHALDRPSHSNAPSNAASGAILGWCVDGQAHRSVRNLAVQRQIKLSCIFVVYTTLRARDHSLVPKVFI >A09p081450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59266174:59271329:-1 gene:A09p081450.1_BraROA transcript:A09p081450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLTIEGEDEAALASLVPAPPHRKTQSFSHQFDLKPHPQIRRSRKHSLDEIPQSAALAAEAAVYFDSSDDEFSTGGLVVNGDNVCDGTVTGEDYAVVTPPPDAVVGDDDVKPLPEFIGAGGGAGIFKVPVRAAVHPGRPPCLELRPHPQRETQTGKFLRSIACTETQLWAGQENGMRFWNLDDVYEPASVIEGQVRRGDEDTSPFHESVITSPTLCLLADQSNKLMWSGHKDGKIRAWKMDQPPCGSDDDSNPFKERISWLAHRGPVNSIVISSYGDMWSCSEGGVIKIWPWESLEKSLLLKPEEKHMAALLIERSPIDLRSQVTVNGTCSISSSEVKYLLNDSVRAKVWAVQSLSFSIWDARSKDLLKVLDVEGQAECRADMPPLHGQQGDHETRVKFPTPSKKEKSPGFLQRSRNAIMGAAGAVRRVATRSAGGFVVEDTRKTEAIILAVDGTIWTGNMSGLIVQWDGDGTRLRYVNHHHRAVMCFCTFGDRIYVGYASGYIQVLDLDGDLVSSWVSHNEPVIKLAAGGGFVFSLATHGGVRGWYVASPGPLDNIIRTELSQKETLYARQDSVRVLIGTWNVGQGRASHGALMSWLGSVTSDVGIVVVGLQEVDMGAGFLAMSAAKETVGLEGSVAGQWWIDAIGKALDEKKTFERMGSRQLAGLLISLWARKEIRTHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRTMCFVNCHLAAHLEAVNRRNADFNHIFRLMVFSRGQNLSNAAAGMVLFLFLSCSLGFSTYLFCLLYSSGLPWALSLAAGASTAAYTLKTTTNPSPGAEEVKSDLAAADMIAFCGDFNYRLYGITYDEARDFITQRSFDWLREMDQLRQEMKLGKVFQGMREALITFPPTYKFERNRSGLGGYDSGEKKRIPAWCDRVIYRDTQSTPFSESNLQCPVVSSVIMYEACMDVTESDHKPVRCKIHATIAHVDKSVRRHELGKIIKSNEEIISIFEDLKHVPETTVSTNSIVLQSQDTETLTITNSSTTSKAIFTILCGGQTIVKDDGEEEEAEYNPRGSFGLPRWLEVSPASGIIKPEEAVEVKVHHEDSHTVGEYVDGIPQSEDTRDKEAILMVNIRGSCSTTWTSHSVKVRHCFSAGVCLLESKPPNLTENLDGSPREDGEEH >A09p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46508531:46513593:1 gene:A09p053630.1_BraROA transcript:A09p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHKVVAPPHRSTAAKLKTRLKETFFPDDPLRQFRGQPNRTKLIRAAQYIFPILQWCPEYSFRLLKSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQQVSPVDNPLLFLQLAFTSTFFAGLFQASLGILRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKALLGITHFTKQMSVIPVLSSVFHHTNEWSWQTIVMGVCFLLFLLATRHLSMKKPKLFWVSAGAPLLSVIVSTLIVFVSRADRYGISVIGKLQEGLNPPSWNMLQFHGSHLGLVAKTGLITGIVSLTEGIAVGRTFAAVKNYHVDGNKEMIAIGLMNVVGSATSCYVTTGAFSRSAVNNNAGCKTAVSNIVMSVTVMVTLLFLMPLFEYTPNVVLGAIIVTAVIGLIDLPAARHIWRIDKFDFLVMLCAFFGVVFLSVQNGLAIAVGLSLFKLLMQVTRPKTVVMGNIPGTDVYRNLHHYKDAQRIPGFLVLSIESPVNFANSNYLTERTSRWIEECEEEEAQEKHSSLRFLILEMSAVSGVDTNGVSFFKELKKTTAKKNIELVFVNPLSEVMEKLQRADEEEDFMRPEFLFLTVSEAVASLSLKGGPSFNNV >A02p001130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:520563:521186:-1 gene:A02p001130.1_BraROA transcript:A02p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLLLMLVTAVFVLTTMLNDLPTCPLRHRYGTELKMSNDDCNESSSCPSQFKSLYIGNLDPRVYEGILIQMLSGFGKITRSILAKDYRGESRGFAFVEFESTHSAEQAVEHMNGRLIGQKIIYVERTPKVDEGQDKTYNNLT >A04p001250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:628372:629721:-1 gene:A04p001250.1_BraROA transcript:A04p001250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(8)-fatty-acid desaturase 1 [Source:Projected from Arabidopsis thaliana (AT3G61580) UniProtKB/Swiss-Prot;Acc:Q9ZRP7] MADQTEKKYITKEDLSKHNKPGDLWIAIQGKVYDVSDWIKSHPGGEALIVNLAGQDVTDAFIAFHPGTAWHHLNSLFTGYHIGDSQVSEISRDYRRLAAEFRKLGLFEKKGHVTLYTLAFVAALFAAVLYGVLACTSVVAHQIAAAILGLLWMQSAYIGHDSGHYVIMKNKSYNKFAQLLSGNCITGISIAWWKWTHNAHHLSCNSLDYDPDVQHIPVFAVSSKFFSSMTSRFYDRKLTFDPLARFLVSYQHFTYYPVMCFGRINLFIQTFLLLFSKREFPDRALNLAGILVFWTWFPLLVSCLPSWPERFFFVLTSFTVTALQHIQFTLNHFAADVYMGPPTGNDWFEKQTAGTLDISCTSYMDWFFGGLQFQLEHHLFPRLPRCHLRKVSPVVQELCKKHNLQYRSLSWWEANVWTIRTLKKAAYQARDAANPVVKNLVWEALNTHG >A09p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10283419:10284837:-1 gene:A09p019420.1_BraROA transcript:A09p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 25 [Source:Projected from Arabidopsis thaliana (AT1G61110) UniProtKB/Swiss-Prot;Acc:Q8GY42] MENMGDSTIGPGHPHLPPGFRFHPTDEELVVHYLKKKAASIPLPVSIIAEIDLYKFDPWELPSKASFGEQEWYFFSPRDRKYPNGVRPNRAATSGYWKATGTDKPIFTCNSHKVGVKKALVFYGGKPPKGIKTDWIMHEYRLTDGNLNTAAKPPDSTTSRKNSLRLDDWVLCRIYKKNSSQRPTMERVLLREDLMEGMLSKSSANSSSTSVLDNNNNNNNEEHFFDGMAVSSDKRSLCGQYRIGHEASGSSSFGSFLSSKRFHHTSDINNDNYNVSFVSMLSEIPQSSGFHGNGVIDTTSTLADHGVLRQAFQLPNMNWHP >A06g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8288317:8289277:-1 gene:A06g502500.1_BraROA transcript:A06g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDVSHGSPGRADVPSVAAVLLYSYFACPLFSVVSAVRCQSQKLEMIDSLFQPVDDPVNGDNRYHEAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKIVHPTN >A05p003000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1062403:1063595:-1 gene:A05p003000.1_BraROA transcript:A05p003000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVFNLSDSSLLKILQGDITEWSVDASSDAIVNPANKRMLGGGGADGAIHRAAGPQMRAACYDIPEVRPGVRYPTGEARITPLVTLILLMGFNLHASHVIHTVGPVYDSDVNPRESLTSSYRNSLIVAKENNIKYIAFPAISCGINGYPSDEAAVIGISTIKEFANDFKEVHFIMSADDIYNVWVNKANEMLQEA >A09p000340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:177987:180537:1 gene:A09p000340.1_BraROA transcript:A09p000340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTHLLPLLVLLLFVFPLSACAKNNKAFTIIKGNQTILSLQSIFRLGFFIPSTNGNWYLGIWYASSPKPVYVWVANRNRPVSDPDSTTLELTSTGYLILNNSRDGVVWQTDNKHPATGFRFSDSGNLILTGEDGSPVWQSFQNPTDTWLPGMNVTGQTAFTSWRTPSDPSPGLYSLRLSPSFNEFQLLHNETTPYWSTGNWTGESFVGVPEMTVPYIYSFHFDNPYTPAASFRYIVTPTSDSPGQPRLSRFVVDSNGQLKQWTLEMQNWIMFWFQPDDQCRVHALCGELGFCSSKLLTPCACIRGFLPKNAAAWESMDYSDGCSREYGDSCDGRDTFEAVGDLRYDGVVQMSRLQQVSKSSCEKSCLGNCSCVGFYHNDKSSLCKMLLEPPMNIKNSSSWTGATDDVLYIRDQIKKSSKRSVSRSIIILCCVVGSISVLGSTLFVLLILLRKSKKTKKEEEDGFAVFFPPWAAREIIQGNVDLVVDARLNGEYNNDEATRMATVAIWCIQDNEEIRPAMGTVVKMLEGVVEVTVPPPPKLIQALVSGDSYNGVGNGLSGTSCSEGRGCSDLMTGLSSPGSRSSFGGKPPSSP >A03p046720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19749027:19755863:-1 gene:A03p046720.1_BraROA transcript:A03p046720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIETPPGRIVHLEMENFKSYKGHQLVGPFKDLTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGSQLKDLIYAFDDREKEQRGGRKAFVRLVYLLDKEGVEELLRFTRTITSSGGSKYRIDDRVVSWEEYNGKLRSIGILVKARNFLVFQGDVESVASKNSKELSGLVEQICGSDELKKEYEELEEKKASAEEKAALIYQKKKTIGAEKKLKKAHKEEAEKHLKLQDELKALKREYFLWQLYNIENDIDKANEDVDAEKNNRKDVAAKLEKFEHEAGKRKIEQAKFLKEIAQREKKIAERSSNLGKYQPELLRLKEEIARIKSKIESSRKEVDKRKKEKGKHSEEIEQMQKSIKDLNEKINELNERRQDSSSGKLPMPDSHLQEYFRIKQEAWMKTIKLRDEKEVLDRQYHTDLEALRNLEENYQQLINRENDLDEQIERMKSRLKEIEDSSSEYKKETTNLKKQLPTLQEKHRDARNASEKLKTRITELEDQLSDLTAERYENERDSRLTQAVESLKRLFQGVHGRMTDLCRPNRKKYNLAVTVAMGRFMDAVVVEDENTGKDCIKYLKEQRLPPMTFIPLQSVRVKPVLERLRNLDGTAKLAFDFTFDPELEKAVLFAVGNTLVCDDLDEAKRLSWTGERFKVVTVDGIILTMAGTMTGGTSGGMEAKSNKWDDKKIEGWLVTNCFKASSLSIFLRVGCAGLMKKKEEYELELEKVGSIRDMQIKESEISGKISGLEKKIQYAEIEKKSIKDKLPNLEQAKRNITEESRRINVELSKSRAEVDKRNTDIRKLEKRINEIVDHIYTGFSKSVGLTNIREYEVQKQLKEAQEVAEERLNLSNQLAKLKYQVEYEQNRDVGSRIRKLESSISSLESDLEKIQKRKSELKELTEKATNEINNWKKEMGECKQKSEEYEKEILDWKKQASQATTSKTKLNRQINSKETQIEQLISQKQEIAEQCELERIALPVLSDAADGPQYDFSELDRAHHQPSARDRMDAEYRQKIESKSSEIERTAPNLRALDQYEAIQEKEKQVSQEFEAARKEEKQVADAYNTVKQKRYELFMEAFNHISSIIDKIYKQLTKSNTHPLGGTAYLNLENEDDPFLQGIKYTTMPPTKRFRDMEQLSGGEKTVAALALLFSIHSYRPSPFFIMDEVDAALDNLNVAKVAQFIRSRSCHAARENQDAEDGHGFQSIVISLKDSFYDKAEALVGVYRDIDKSCSSTMSFDLGNYAES >A05p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25091222:25111610:-1 gene:A05p041280.1_BraROA transcript:A05p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDRKIKGAVDRINNLPDVILQHILCFVPTTKVAISTSLLSRRWRHLWCEVPSISLDVDTLTAASVNETLTRYTAPMTKSFHLILENITENIPYIDRLIKFAMSHNFENLSLDLCSSYEEFKLPDFFYSSDSFKQLKIYSHKIVPYCTVSWTSLQKLSLRFCRLSDESMSKIISGCPVLENLTLYDCYKLKVLDLSKSLRLRTLLVHRNMGAEGPRQIVAPHIHCLRLLHSQSSCTLVDVASLTEAKLDICYALSNPNFKFKAEPLQVMVLKNLEKLQNAEKLTFGGNFVKILSLAEICGVPFPILKVKSLTLDTVICKYVIPGIERLLQNSPDLEKLIVRGKIYNSMPGEHLDQYLKLKSLSPDQCWKSKDGFSWNKSRLNVQPKHVTSFMELVLKNTEKLDKIVVLLDERYLTFKIEYVIPTLPHNSNVTIVLSSTNKPMASEELTSQKKEKRMTEDMMIKGAEDLISNLPDGILQHMLCLIPIKLAMSTSLLSRRWRHVWCEIPSITLGGDTLTAASINETLARYTAPKTKSFDPLITPITKEKIPYVDRWIKFATSHNVENLSYFKQLNIYSHTIVPFKCTVSWTSLHKLSLSCCSLSDESMARILSGCPVLENLTLYHCGKLKVLDLSKSLRLKTLAVDRNVMVPEGPTKIVAPHIHYLRLLDSRPSCTLVDVASLTEAKLDVCYALSTSFFKSKADFLEDMVLKMLEKLQNAEKLTFGGNFAKILSLVEIRGVSFPMLKVKSLILDTLIYQYVIPGIQRLLQNSPDLEKLIIRGRTCSTIPEEHLDQYLKSLSLNPDQCWRSKDGFSLNNSCWNLQSKKLDKRVSTLSQKQCHHCVLHQQGDDIRLVMADVRRIKGAVDLISNFPDEILQHILCFIPIKVAIKTSLLSKRWRHVWCDIPSLTLDVDSLTAASVTETLTHYTAPKTKIFFLKATKREDIPHIDQWIKCAMSHNVENLSLDFPRPYYEEYKPFLDLGSSFCEGYKLPGFFYNSSSFKQLNIKLSLFGTMVSECSVSWTSLQKLSLSRFRLSDEFMAKILSGCPVLENLTLYHIRELKVLDLRKSLLLRTLEVKRNGWVQGLTQIVAPHVHCLKLLNSQLPCTLVDVSSLTKAKLDICHVSMNPHLTADFLQVMALKMLEKFQNAEKLSFGGNFILILSLAEIRGVPFPMLKVKSLILDTVICQYVIPGIERLLQNSPDLEKLIVRGRNYNTIPEEHVDIYLKLKTLNPDQCWRSKDGFDWNKSCWNVQPKQVASFVELVLINTEKSLKLVVLLDERPYLKFKIEDLVETLPHNNNVTILLSTNKPMTSEEKALEEAELWFHVNAVEDGGTENRVPERERYIKSEP >A02p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6495314:6497907:1 gene:A02p014630.1_BraROA transcript:A02p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1789 [Source:Projected from Arabidopsis thaliana (AT5G56930) UniProtKB/TrEMBL;Acc:A0A178UTA2] MESSVAPLPHRRSHQPNRSFRSVLHHLCSSFESNSQISLATPDVLRELVTRTDTAQACEPGEVLLTENPLIIEPRSDEFRTENVETVSLENNLMLGDVFDGIDLQDASVRSQHKDFFDEFELIISGSGDFASESCVNLCEALDVDDYGGGDDGMAKQAIDFVQSVAEKPSVADEVQVDSVEGEEKISVRSKEEEVPKLVGVLETDDEEMGLVTSSQVLTDSILQMVEDDDEVEEGEISGDDGDYNMLVGDDFPMERHEETHVSQEDLDKRGEGTSSKSTCFEVASGENGVKETDLTSKNQEQMASQTCIKKKSVPSKEAKARKKAKARKKRAQERIALGVKKLKLKPVAPKPKPIKYCRHHLKGRCHEGKDCKFSHDIIPETKSSPCCYFATQSCMKGDDCPFDHDLSKYPCHNIVTKGFCHRGDKCLFSHKGTPQAASDTPSANITTLSSNIAAASCSPQKSNKQTVRQAIAKLPAIQARVSSSAAFLKPSSQPNQKYSSDASSSKINEHATPPQIPPLRKPSVAPKGMSFLSLDKTTQEKEVKPSLGSKQNTENYDNQILKQSQQISAVPLVAPKGVSFLPSASEEPASSSNLKTAPNLHIQNSLHSAMKLAAEFESTKVERRTNAPEEAVNKGDVTVNTVTRNSGNISSKILNFLSSFNHGKN >A09p059110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49549582:49551481:-1 gene:A09p059110.1_BraROA transcript:A09p059110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKGSRIQDFLSSPWFTFFFVWKLIRVTLLLPQSPFQALTMPRFDDRYGNTRLYVGRLSSRTRSRDLERLFSRYGRFLWGGLPNLEMFDVWTWCNWWQLSRLVYRECIGDFEFSDPRDADDARYYLDGRDFDGSRITVEASRGAPRGSRDSGSRGPPPGSGRCFNCGVDGHWARDCTAGDWKNKCYRCGERGHIERNCKNSPSPKKARRGGSYSRSPVKSRSPRRRRSPSRSHSYSRGRSYSRSRSPVRRERSVEDRSLSPKAMERSASPIGKDQSLSPDRRVVDASPKLEKQDGSDYEGSPRENGNGKSSVSPIAGGEESPAQDRSPIDDEPELNRSSPKGSESP >A06p008990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3153697:3154566:-1 gene:A06p008990.1_BraROA transcript:A06p008990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMSTIKVAVVSTVITAMSLFVMSCVPTALDLSSSRFPILWSSFLSWLKPPYLFVIINVIIITTIVTSSKYFQSTGVNQDCEVKDVDMDDHFVAIFPPPIVVTDVDRSEVVCEEKEEEEITGLNNGGDELVMLTWKLNKVPTTITDESETKKALVSARFSHRKPVKVTSKGNNRKKASRVVKPKWHENTWKMMVTEELESTPLTHHSWSPETLRLGAGESQPVLRESETFRDITNNYPISPTVTEMSPSLEELNQKFEAFIKKGKEEMLDSLRLDKDVDSQARLISF >A08p033910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20265719:20270116:-1 gene:A08p033910.1_BraROA transcript:A08p033910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSDNAPPTQASSLASKLQISEEVERRCNIIKSVGEQCINDDELRDLLAKKAGPVCYDGFEPSGKMHIAQGLMKIMNVNKLTSAGCRVKIWIADWFAFMNNKLGGDLKKIRIVGEYYKEIFQAAGMNGENVEFLWSSDEINARGDEYWPLVMDIACRNSLAKIKRCMPIMGHSETDELSAAHVLYVCMQCADPLFLEADICQLGMDQQTVNLLARDYCDETERGNKPVILSHHMLPTKMSKNDPSSAIFMDDDEVEVNRKIKKKAYCPPKIVEGNPCLEYVKYIILPWFSEFTVERDEKFGGNKTFNNFEDIAADYESDKLHPMDLKNALSKALNNILQPVRDHFKTNNGAKNLLKQVNNLLKQDQKTNRVVATELSKEMEALSVNAPSSAAGLEMSEEVERKYNIVRSIGEECIQEDELKNMLAKKPTPICYDGFEPSGRMHIAQGVMKVTNVNKLTSAGCQVKIWIADWFAQLNNKLGGDLEKIKVVGEYFKEIWQAGGMNLEKVAFLWASEEISSRGNKYWPLVMDIARRNNLRRILRCGQIMGRSETEVLSAAQILYPCMQCADIFFLEADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGLLEGQEKMSKSNPSSAIFMEDEEDAVNEKISQAHCPLKTVEGNPCLEYVKYLVLPRFNEFVVEQNDGNKTFTSFEDIAADYESGELSEEDLKKALIKALNIMLQPVRDHFKTNERAKNLLEQVRAFRVTR >A01g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18048572:18050357:1 gene:A01g506110.1_BraROA transcript:A01g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRPSLIGFESSRPPWYALVKVQIPVKKLPGKRRGDDAHREREEHSGGCDGNHFLAGAAERFQINLEQYLGSIEKRFRFQKGDLRNFKESKDLDRGTMDLDYHYDGFISQHRVDN >A09g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25172097:25172680:1 gene:A09g509020.1_BraROA transcript:A09g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTLIDEIRSQRMIYGVLDILYICFQLVFKSLSSLPNHFRVITGLELYWMGDRPAGTKEAEHNAIWIFSRGTWSNPRDCSGYSKATRVPVLAANIFKETTSHYGICPNYPIVFSQPPVASIKIIFLEKAISLDLEKNPLNPITLFQSYFMLLYISVSVFSCFKAE >A08g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12739889:12740319:-1 gene:A08g507290.1_BraROA transcript:A08g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMTTSYPLTKLMPYKNNWRRMNIAFGDTFEMGNKIQACCTKTHMYHVQCAISIRKWEIIENVKMNAAGGRTDMIHQC >A07p052340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27627835:27630044:-1 gene:A07p052340.1_BraROA transcript:A07p052340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIQTRGLLSLPAKPIGMRSLLQPSHGLKHRLFASKPRNPPALSLSSKKFQSFEPTLRGISISHKLRSTEFICKAEGAASSGDGDTAAIAASPKIFGVEVTTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIIPFILYFGAFGFVMYPLSNYIHPEALADKLLATLGPRFMGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNTYVPLPARSQKKKNKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFDKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGMILLVIVTAWLAAAKSLEGQFNALRSEEELEKEMERASSVKIPVVSQDEEGSLGESSSSSPEKSAPTNI >A07p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3331972:3333321:1 gene:A07p003310.1_BraROA transcript:A07p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:Projected from Arabidopsis thaliana (AT2G18280) UniProtKB/TrEMBL;Acc:B9DGB6] MSFKSILRDLKEVRDGLGGISKRGWSKSSHIAPDHTVTPSENIPQSPWASLPPELLHEIIRRVEESETAWPARAAVVSCASVCKSWRGITMETVRVPEQCGKLTFPISLKQPGPRDLPIQCFIKRNRATATYILYYGLMPSEMENDKLLLAARRVRRATCTDFVISLSAKNFSRRSSTYVGKLRSGFLGTKFTIYDNQTGSSTAQAQPKQASPKLPATSYTSGSITYELNVLRTRGPRRMYCVMDSIPLSSVISEPSVVKEEVSSGSTDKENISPSLLDQPLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLVADIDPSLDALPEEHERVILQFGKIGKDIFTMDYRYPLSAFQAFAICISSFDTKPACEG >A05p005530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2128664:2130412:1 gene:A05p005530.1_BraROA transcript:A05p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVALCRARASASSSLFNSLVRPAFRSFSTGFGDVQNKTLVAEMEEKMLHMDINSMIGSSMPLGMMRIGTIIHNIEMNPGQGAKLVRAAGTNAKILKEPASGKCLIKLPSGDTRWINARCRATIGTVSNPSHGVKKLYKAGQNRWRGIRPKVRGVAMNPCDHPHGGGEGKSKSSGSRGRTSVSPWGKPCKGGYKSASVKKKKKRLAAREAKM >A06g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10407485:10408611:-1 gene:A06g503470.1_BraROA transcript:A06g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48890) UniProtKB/Swiss-Prot;Acc:Q9M2Z4] MVVELWETVKETITAYTGLSPAAFFTVLALAFAVYQVVSGFFVSPGEHRPRSTEDYPQPEPLPPPVQLGEITEEELKQYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEETDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTIQKNDGDGKESAEPSTNTVEEASAEVAHGETSRSIEEKTEETAEKKDVANGDAAKED >A09g513220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40163603:40165219:-1 gene:A09g513220.1_BraROA transcript:A09g513220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLIFWLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKTLRMFCAYQTLSFSSNAFRALIYIESLEVKAPSFFRSLYFLTPVAKMTYPAVPAASAAIATVPYSTFNSLRLGRSIQSIVGRLIRFLDSRNINKNGEFMGIPILLLDELGADLLRRGATRHDFSSSTILETLMNHKANIRALFQSNGWILSQTTAKPEEGREVECCVLEDGYLEFTR >A05p015510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6905395:6916071:-1 gene:A05p015510.1_BraROA transcript:A05p015510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 2 [Source:Projected from Arabidopsis thaliana (AT2G31960) UniProtKB/Swiss-Prot;Acc:Q9SL03] MFLLLLEAILGFDNQLPFLVSRSDQNFLVCLLWMIDELGSGSDKRMSQRRGGGGGGGGPDPPPPQRRILRTQTAGNLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVEVADEILEAHTKVEEKSQIYVPYNILPLDPDSQNQAIMRFPEIQATVIALRNTRGLPWPAGHKKKLDEDMLDWLQTMFGFQKDNVANQREHLILLLANVHIRQFPRPEQQPKLDDRALTIVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPTTGEHIKPAYGGDDEAFLQKVVTPIYKTIAKEAKRSRGGKSKHSEWRNYDDLNEYFWSVRCFRLGWPMRADADFFCQTAEELRLDKGEDKPKTGDRWMGKVNFVEIRSFWHIFRSFDRMWSFYILSLQAMIIIAWNGSGDLGAIFQGDVFLKVLSIFITAAILKLAQAILYKNFSVSAFLDMLFSFSELVANISAVLDIALSWKSRHSMSFHAKLRFILKAIAAAVWVVLMPVTYAYSWRSPSGIAETIKNWLGGHSGSSPSLFIMVILIYLSPNMLSTLLFVFPFIRRYLERSDIKIVMLMMWWSQPRLYIGRGMHESAWSLFKYTMYWVVLLISKLAFSFYAEIKPLVVPTKDIMRVHISVYRWHEFFPHAKNNLGVVVALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLGMLRSRFQSLPGAFNACLVPNEKSETAKKRGIRATFSRKFDQIPSSKDKEAARFAQMWNKIISSFREEDLISNREMELLLVPYWADPDLDLIRWPPFLLASKIPIALDMAKDSNGKDRELKKRLSIDSYMTCAVRECYASFRNLINFLVVGERERQVINEIFAKIDEYIANETLIETLDLSALPDLYGQFVRLIEYLMENKEEDKDQIVIVLLNMLEVVTRDIMDYEVPSLLETAHNGTYVKYDVMTPLHQQKKYFSQLRFPVYSQTEAWKEKASFLIYIHPFASSRGFIFYLLSKSQLWMYHLTWKLVGVLLSFQTPYSWKCQMHPRSATCFLSRRVLTPYYQEDVLFSIFGLEKQNEDGVSILFYLQKIFPDEWTNFLERIKCGSEEEIRAREDLEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELMKGYKALELTSEDASKSGKSLWAQCQALADMKFTFVVSCQQYSIQKRSGDQRAKDILRLMTTYPSLRVAYIDEVEQTHKDSYKGADDKIYYSALVKAAPQTKPMDSSESVQTLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLEKHGGVRTPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKVRFHYGHPDVFDRLFHLTRGGVCKASKVINLSFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEEGLSNQKAFRSNMPLQAALASQSFVQIGFLMALPMMMEIGLERGFHNALIDFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGIELMILLLVYQIFGHAYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPPEKSWESWWEKEIGHLRHSGKRGIILEIVLALRFFIFQYGLVYQLSTFKQENQSLWIYGASWFVILFILLIVKGLGMGRRRFSTNFQLLFRIIKGLVFLTFLAILITFIALRLLTPKDILLCMLAFMPTGWGMLLIAQACKPLIQRLGIWSSVRTLARGYEILMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSKNKE >A09p067980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53449712:53456158:1 gene:A09p067980.1_BraROA transcript:A09p067980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSLFINFLLIVLAIGYIDAFTRNDFPEDFLFGAATSAYQWEGAVDEDGRTPSVWDTFAHSSNYLGNGDIACDGYHKYKEDVKLMAEMGLEAFRFSISWTRLIPNGRGPINPKGLLFYKNLIKELRTHGIEPHVTLYHYDLPQTFEDDYGGWINRKIIEDFTAFADVCFREFGDDVKLWTTINEANIFAISSYSEGFAPPGHCSPNSLFNCSTGNSSTEPYLAGHNMLLAHASASKLYKLKYKNKQRGTIGFSIYAFGLPIFSEEELELVKGSSDFIGIIHYTTVYVKNSSPTPSLLPSRQNFFTDMGGVTIFMGNSTFFEWDAVPWGLERVLEYIKQSYNNPPIYILENGKPMKHGSTLQDNPRIEYIQAYIGAMLNAIKNGSDTRGYFVWSMIDVYELLSGYMYSYGMYDVKFSDPGLKRSPKLSASWYTGFLNGTVDVGPQAITRLHSNISGSSSDSNAFTRNDFPEDFLFGAATSAYQWEGAVDEDGRTPSVWDTFSHSDNKGNGDIACDGYHKYKEDVKLMAEMGLEAFRFSISWSRLIPNGRGQINPKGLLFYKNLIKELRNHGIEPHVTLYHYDLPQVLEDEYGGWIDRKIIEDFTAFADVCFREFGDDVKLWTTINEANIFAIGAYSEGFLPPGHCSTNEFVNCSTGNSSTEPYLAGHNILLAHASASKLYRLKYKSKQRGSVGLSIYAYGLVPYTESKEDEIATQRAKDFFYGWLLKPVVFGDYPDEMKRILGTRLPVFSEEETELVKGSSDFLGIIHYTTVYIANITPAPSVLPSKQEFFTDMGVDTIFIGNSSFFKWDAIPWGFEGVLEYLKQSYNNTPIYILENGLPLEHDSTLQDTPRVEYIQSYIGAMLNAIKNGSDTRGYFFWSVIDLYELLAGYKLSYGLYYVNFSDPGLKRSPKLSASWYTGFLNGTIDVAPQATTQQQSLFPGSSSL >A04g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4893126:4893728:1 gene:A04g502010.1_BraROA transcript:A04g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPARKEIVLALRAPSVVLATQPKSRKRKLAKSGDGETSQQGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETETMLTAVKDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVCRSKRLRMPFAQSFKLAWRRFLLPWVPSSVSGAGT >A02p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8813576:8815463:1 gene:A02p019020.1_BraROA transcript:A02p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRHKLETLTNDRWLVFVCAMWIQSVAGVGYLFGGSMSPAIKTSLGYNQKQIGLLGVAKNLGDAIGFVSGALSDVSPSWTVLLVGAAQNFVGYGVVWLVLFVAIFVGTNGETYYNTASLVSCIHNFPESRGPVVGILKGFSGLSGAILTQVYLMFNPSHDSSVILMVALGPPLVVLALLFVVRPVERSCRASSRSDDLRFLAIYGFCVVLAVYLLGVLVLRSLVDITQTVTTASGAILVVLMVAPVLVPFASVFLSGINNAALVKTEEGTSSVDPNEVKTLIERSDISPGKRRAPCIGEDFTLLQALGQFDFWLIFMSLVLGVGSGITVIDNLGQICYSLGYSNAKVFVSLISISNFLGRVAGGYFSELIIRKLSLPRTMAMSAVQAIMSLGLIYYAIDWPGKIYVVTIVIGMGYGAHWAIAPASVSDIFGLKCFGSLYNFQITALPIGSFVFSGVIASNIYDYYARKQAGTSTETESLTCTGSVCYSVTCGLMSVVCLMAMLLSLSVVYRTRTFYLRLHRVSKT >A08g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4104756:4106210:-1 gene:A08g501650.1_BraROA transcript:A08g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQRFLVIGDAQRPRQVAPARRSRLRERPRWVAARGRSESDFVFPRTKMASDFSLSLCDLMPSLREVAPGSACPKTTLITSFELQMHPNVSRNSIDLMPSLREVASGSARPKTTLITSFELQMHPNVSRNSMWYSNT >A01p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:998812:1001107:1 gene:A01p002180.1_BraROA transcript:A01p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35910) UniProtKB/Swiss-Prot;Acc:O65628] MACNSSGCESGGCYGREKENGCKVVDVKETVRLCVKCKSNEPMTFGDGGSDDGRFCAECFRSNVYGKFRLAVTSHAMITPSDNVLVAFSGGSSSRVALQFVHELQVKALKNYEASRDRSLPVFGVGVAFVDESAAYPSLSGGMSDAIELVRSTVLSLSPPEKDLHVVTIESVFGSESVEARDRLVKLLDSVSDETGKEDLLLHLKMLSLQKVASENGYNRLVVGSCTSRLASHVLTATVKGRGYSLSADIQHVDARWKVPIVLPLRDCVWQEITRLCHLDGLKTVELARHPQSGINDLVSSFVALLQEENPSRECTIVRTAAKLTPFYFNKIPETDDSCVPMATQRRLKKFNLKYDGSMTTEAFCPICNGPLNGSDSSEDESDALYAACCSSCRFQILPQEPSSLDHFGSLLPHHMISQVKHHQKFDSQTYLREKIKDCLLLDDEEAV >A10g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2984597:2986475:1 gene:A10g501030.1_BraROA transcript:A10g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRRWNPGIERGFQIEKVSDKYSQDGKDDPEGGLEDRARSYKGVVINGNGGQQDRGREKREYQGKGKGKMYEEAETKWVRAADREHKVYGNKNQRSGHRGEEESARYRNSRREMTRSHHQDERARGPRVARTEARREFQTEEKEEGEIKEKELERSVHKEAKAQDQPQPSQAFLAELMETQGELSMVISNLSGAEQEVDLENMDLGLGEGNKFETDVGMELEEHNYDEVSLGNHETLGVGAHVTVEEKMEGEVQTQEVMMEKLEDKKKIGDMEGKDGITGEVEKRQGIRKKAVKTSMGVAASNKLKMAQLVAAKRSVAKPGIRHGDNSTQGEEKGTSGPKHEPAKQAKDP >A01p050580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28490423:28492313:-1 gene:A01p050580.1_BraROA transcript:A01p050580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSLQLQGLCYPLIFPSVNVYWSCQYIARASNLSLSHWQKMNGVNIQDDMIIDKSIRRSLDSRASVVKRYVADIGKSWPVLIELTHVRGVAILMTFISVIAILTSVAIIHRMYSHGNISPQAAKVIGEVQALIIFRLYHTQCSLYSICSGYRRLSIYSVLVSIRYTPHITIAIFFHRFGCYWDTQFFSESSATVITGSVASYYLA >A09p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3592682:3595698:1 gene:A09p006870.1_BraROA transcript:A09p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFSSPLTHPLRRFNHHHLSNKPVSSLFFCSAAKMNGNIHCVPGLGEEEMDTVVGKTFERYALPSSSSSSSKRTGKGTTILWFRNDLRVLDNDALYKAWCSSDTVLPVYCLDPRLFHTTHFFSFPKTGALRGAFLMECLADLRKNLMRRGLNLLIRSGKPEDILPSLAKDFGAHTVFAHKETCSEELHVERLVDKALKGVGNGTKLELIWGSTMYHKDDLPFDVHDLPDIYTQFRKSVEANCRIRSSTRIPLSLGPTPCVDKWGDVPTLGQLGLEPQEVTRGMRFVGGESAGDLLKVYKETRNGMLGPDYSTKFSPWLAFGCISPRFIYEEVQRYERERIANNSTYWVLFELLWRDYFRFLSIKCGNSLFHLGGPRNVQGEWSQDKRLFESWRDGKTGYPLIDANMKELSTTGFMSNRGRQIVCSFLVRDMGLDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQNYDPEGEYVAFWVQQLRRLPKEKRHCPGRLMYMDTVVPLKHGHGGGNAQTSRGSKSRGGFRDNHSGRR >A06p009820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3523867:3526975:1 gene:A06p009820.1_BraROA transcript:A06p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(Oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) UniProtKB/TrEMBL;Acc:F4HP28] MNPLCCIAPVSIDDRTNPVIAKPASNHQLGLPPSNHASKPSFSTQASWISQDQLERLSSEDVNLEGKDSTSNGGFFFGNGVGGGAGVAGIMYKWTHYGKGWRARWFELEDGVLSYYKIHGPDKIVMNPAREKGVRVIGEESVRYIRKASFGGSSNKLGAGAGAGASSRPCKPFGEVHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSRENRATWVEALQVAKDLFPRVPSGDILPCEDAVVSTEKLRERLLQEGIGENLVKDCETIMLSEVSNLQNRLKVLTQKHLILLDTLRQLETEKIELETTVVDETKEHDSCCGQGRRFSDFYSVVSEVSASDSEADNESHDGADVESDEDDVPFFDTNDILSADALRSASYRSREAEGNGSIYDKDSFFSDRLQAPVRIPQYPYVRRRDNLPEPKEKEKPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLIDRALEWGKQGNELMRLLNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKASQPTHLSPLLLQEFHHPMIVACHCEGQGWNFWGDSNIKGKFWGRSIQLDPVGVLTLKFDDGETYQWSKVTTSIYNIILGKLYCDHYGTMRIKGGGNYSCRLKFKEQSVIDRNPRQVHGFVQDNRTGEKVAILIGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSPTKTRYNLSPFAISLNEITPGMMDKLPPTDSRLRPDQRHLENGEYEAANAEKLRLEQLQRQARRLQEKGWKPRWFEKDEEGNYRYVGGYWEAREKKAWDKITDIFKKQQQQRNSVSSSS >A01p003070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1404103:1404291:-1 gene:A01p003070.1_BraROA transcript:A01p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DVL17 [Source:Projected from Arabidopsis thaliana (AT4G35783) UniProtKB/TrEMBL;Acc:Q6IM84] MGQCSSTTKMRRKRKREEEGCRESSVEKNRGCLAMVNERRSRFYIARRCVLMLLCWQKYANS >A01p048760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27450947:27451927:-1 gene:A01p048760.1_BraROA transcript:A01p048760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLQGSLPPELANNVVRLYRECLRRATFIGKQQHNTELVVGMVRQQFKKHMNETDPEKIQKLKDDAARVLINHMLFESAKLTGRKVGQRS >A01p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:567082:568249:1 gene:A01p001420.1_BraROA transcript:A01p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB87 [Source:Projected from Arabidopsis thaliana (AT4G37780) UniProtKB/Swiss-Prot;Acc:F4JSU0] MGRAPCCDKTVVKKGPWSPEEDAMLKSYIEKHGTGNNWIALPHKIGIKRCGKSCRLRWLNYLRPNLKHGGFTDEEDYIICSLYITIGSRWSIIASQLPGRTDNDIKNYWNTRLKKKLLSKQGKAIHQQLSLRLEPETTSKRSSFGQNQILMFHDENAKPPLNQTIHSKMVDPSITSFAMEEKRMVPVLESYSWEQNKVWLDIDHDAASSSYHHHASPHFNSMTSSSSSIGTNSPLQMSHYTINDNNQGDQEMFFMAGFENLQDELFDEIINNITTEFEFRGTETLNNNCLGHEINSFVDCPLKDN >A09g518800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57374249:57376318:-1 gene:A09g518800.1_BraROA transcript:A09g518800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIASNQIYRLQVSTFVVIRFVVAVIRFVVVVPELWMLINKRGESVEDKIKKLDVELCKYREQIQKTRSGLVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQVSFAAEGLKDAQQTLLSTPVDMARSYMRARLPWGSLSVNNSEFWSPSSAGTQLLKEGTPFSYNAGNLSSSKFSNRKFAYQHVFLMALSELWLSQILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLPNLINLFFDSNNLNGQIPQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGIDIKDTNVMCSWMLQWCCSEHQHQNQNEFKKFYKVLIYFCCQDLLTDHHHSEREKGSTIVFKQ >A03p018280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7458042:7459330:1 gene:A03p018280.1_BraROA transcript:A03p018280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDTNAEQVLAGEASSSHLKRQRLSSLVEGGENVGEVTGDEVTTTEVRSGMLLDLDLLDCPVCCHALTNPIFQCDNGHIACSACCIDLRNKCPSCTLPIGIHRCRIMERVVEAIMVPCPNAKRGCTDKFSYGKELAHEKECSFALCYCPASDCNYAGLCKDLYRHYHANHRGYCETFTCGFNADAWMHISDKILVLQEGRGGALVAVQCFEEEQGVYVTVNCIAPFAPGVSEFSYQLSYSHGDKSMSFGLDEMDRIQKVSFQTPEKDFMFVPHYFLNGRESLKMKICIRRRGEEQEKKT >A07p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23012916:23015974:-1 gene:A07p042500.1_BraROA transcript:A07p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIQEDVYNHGEEALSSMSEEEQAREGMFKILSKLEIDSAYTSEKLLNLHVLLMHLLAWDNDLEGMGTLGSSPASFEKALTFDLLCGILESELKGVDEVLDELEAQIVDTSYKLSACKQVDYSVMEGKLAESAESLKQSRGQVSEITLQLAQLRRTLHYIRNGTSENEESAEYSGTGQDLRQKYALRPSDLRHKNALRMLEKSLSRELELEKKLMEFQQNEEQLKLKLHYTEEVSSRMEEASEFIWGRFLEAENSSEVFMGVSKELVGRLQIIQFSQSWSAQREAELKSKLEDITAQLQAKDLEVKKLEGTIQKNQEIVSEVLTLREHVKLTEQKLKDTEIELKSVNASKQEVLVHLAEMENANESVKESLFEAESRAESGETKIKELTAANLELTEELSFLKDADDKKTKKVSSLEKQLRELEFQLQNSKVLSEASQEQQNMLYSAIWDMETLIEDLKSKASKAESRTETVEEQCIVLSTTNSVLNKEVTSLRQRTKSLEASLDLAKEEREKYAQEIAKRNKLLMDMVMQLSSERERIKEQLYSLAKENEKLRVNQCSEGGKYQRNGTYAGDKELLSNTDGGGIEAFAESLQAGSSLGDAREMAKEEVNCIDGKCPDGKKNCNCLPPVAPVMYSYKINATCHRDIECIKFCPKGCKIV >A01p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000219.1:751:1159:-1 gene:A01p031570.1_BraROA transcript:A01p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGKTACGNTREISFPSHILEKEWGDDDFCSNCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVRSNQN >A07p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7322155:7322908:-1 gene:A07p013760.1_BraROA transcript:A07p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPSNLVLNKSLAQCVPKSAARFVCSDDKSATQQHQSMKALSAAVALSSILLSAPMPAVADISGLTPCKESKQFAKREKQQIKKLESSLKLYAPESAPALALNAQIEKTKRRFDNYGKYGLLCGADGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDEKKPAMKEIIIDVPLASRLVFRGFIWPVAAYRALLNGDLIAKDV >A02p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30081175:30083310:1 gene:A02p048380.1_BraROA transcript:A02p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIYYFIHINCYSSNVLISSCSFRLKNKSFVGLGRIGYPVLIRQIHNFIILISENTRNGFAFLSKTIVPYLLFLLLCLLNVITIISKKSLKGEAVLFGGNFPALYVIGDSLVDPGNNNHLLTLVRANFKPYGSNFEGGKATGRFSDGKTIADYIAIYYGLPLAPAYMGLSKKEKKQSLNGCGIFPDTGKQFGRCLSMNVQIDLLNKTIEKNLKKKFKTQSELSRHLGGSLFRTAIGVNDYAFTYKKNATDANEFASKLLNEFLIHLERLYNLGAQNFFVNNIKPLGCYPNIIAET >A09g514750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43989883:43990564:-1 gene:A09g514750.1_BraROA transcript:A09g514750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSCSQPSSSEEYDIDITSLLQAEAGLYSDDADTYESDEKLVKVEKIVGELAKKKTGIANGYPLLVCVLVSVVFLICMVVMFKWVAEKDNVVTESLEELQEEVQRMKMRLSDL >A03p018320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7475170:7476594:-1 gene:A03p018320.1_BraROA transcript:A03p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLFQSPNLKHVSFLKSDQTLVLPHVVSLPNSKNTPKRLVVSSSSTSSSSAVLSPHVLTSLVASPPVSRAQAPLAKVWREMQGCNNWKDLIEPTLNPLLQQEITRYGNLVSACYKAFDLNPNSKRYLNCKYGKQTLLQETGVDQPEEYQVTKYIYATPDINISISPIQNKANRGARWVGYVAVSSDESVKRLGRRDIVVTFRGTITNPEWVTNFMSSLAPARFDPHNARPEVKVESGFLSLYTSDESESKFGLESCRQQLLSEISRLVNKYKGEDMSITLAGHSMGSSLAHLLAYDIAELGLNRRMGERDVPITVFSFAGPRVGNLGFKKRCEELGVKVLRITNVNDPITKLPGVLFNENFRVLGGFYELPWSCSCYAHVGVQLTLDFFDVQNISCVHDLGTYIDLLNRRRINSRSADSDKDKDNDNFSLEFLKGEGENMMFLKGQRMVHWTNVVDLLSSVSDHMLYCNIF >A08p010510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8614995:8616128:1 gene:A08p010510.1_BraROA transcript:A08p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLAIFSSVFLSTNLKMKILKEHAELLGDIDEFFAFPWVVQEACSSSESDSDHNEIDCLVSKTKKKTLNLAHALEVDRNAEVLVRSIIPQDPKRLVDESLFVLADEVTDIKVEKLLRFIHANDVFSKDMFKGGETKFDVEKMPVEAMFKAFKAKSFLPLPPNAQPAAQAQHSTPPRGNGGKAGISGHADGGGGDENDQIIKNVMETFSQYSTHLVPLNVV >A08p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23898783:23901915:-1 gene:A08p042700.1_BraROA transcript:A08p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO84A [Source:Projected from Arabidopsis thaliana (AT1G10385) UniProtKB/Swiss-Prot;Acc:F4I4B6] MEARTRGSTSSSIGGSEELEANLTLSDRLKVFKGSTFDPEAYVTSKCQHMNEKETKHLTSYLVELKKASAEEMRKSVYANYAAFIRTSKEISALEGQLLSMRNLLSAQAALVHGLADGVHISSLCADDADDLTDQDLYNMDSKQLSKIETWVVEFFDRLEVLLAEKRVDESMAALEEGRRVAMEAQEQRTLSPSTLLSLNNEIKAKRQELADQLAEAIGQPSTRGGELRSAVLSLKKLGDGSRAHTLLLKSYERRLQANIQSLRGSNTSYGVAFAAALSQLVFSTIAQAASDSLAVVGEDPSYSSELVTWAVKQAESFALLLKRHTLASSAAAGSLRVTAECIQLCASHCSSLESRGLALSPVLLKHFRPGVEQALTGNLKRIEQSSAALAASDDWSLAYTPTGSRASSSTPTAPHLKLSISAQRFNSMVQEFLEDAGPLDEALQLDGIALDGVLQVFNAYVDLLINALPGSAENEENPVHRIVRIAETESQQTALLVNALLLADELIPRSASRILPQGGTNQSTPRRGASSDRQNRPEQREWKKKLQRSVDRLRDSFCRQHALELIFTEEGEVRLSSEIYILMDETTEEPEWFPSPIFQELFAKLTRISTIVSDMFVGRERFATILLMRLTETVILWISDDQSFWEEMETGDKPLGPLGLQQFYLDMEFVMIFASQGRYLSRNLHQVIKNIIARAIEAVSATGLDPYTTLPEEEWFAEVAQIAIKMLTGKGNFGGHGERDVTSPSVSSAKSYTSN >A02p051860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31706049:31710031:1 gene:A02p051860.1_BraROA transcript:A02p051860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCSLFAPPKPVKKRRPITKRQPSVPRSASGPRNRLRSSSSSSTRDNKFEDAIFFGQQNGGEAGSLPFDRSASQRYPVSGSKKNQLPRSSSTRSRSSTDPLLQPHQFLNKGVKLDDLETNHFILIHGGGFGAWCWYKTIALQEEDGFKVTAIDLAGCGINSCNINSIASLSQYVKPLTDVLEKLPTGEKVILVGHDFGGACISYAMELFPSKISKAVFLAAAMLTNGQSTLDMFSLQAGQSDLMRKAQIFIHTNGNEHPPTAIDLDKSLLKDLLFNQSPSKDVALASVSMRSIPFAPVLEKLSLSDGNYGSVRRYYIETLEDNAIPLALQEHMINSSPPEKVYRLKDMARSVFFFLFFTVSLLLLLAAASATASSGNVTSGFGYGGCGSGDTVGECITAVVEDEEGVEAVVRRILQQQRRKLSYKALQKQPTCNGKIAGNCIGTANRRNARCTYYNRCKHFSFFITVSLLLLLTAASAWNGTSELRYDGCAPGDTVRECLMAAAEKEDSAIKEIYKPKTSAATAGFTLEDRR >A05p053160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30370208:30374776:-1 gene:A05p053160.1_BraROA transcript:A05p053160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter ERD6-like 12 [Source:Projected from Arabidopsis thaliana (AT3G05400) UniProtKB/Swiss-Prot;Acc:Q8VZT3] MEGDNNMEKGLLLTNKEETARTTLLLVFSTFIIVCASFTFGVSVGYTADTMSSIMTDLDLSLAQFSLFGSLMTFGGMIGAIFSAKAASALGNKMTLWLADLFCITGWLAIALAKDIVLLDLGRFFVGIGVGLISYVVPVYVAEITPKHIRGAFTFSNQLLQNCGVAIAYYFGNFLSWRSLALIGIIPCGIQVIGLFFIPESPRWLAKKGRDEEVEVVLQKLRGKGHDIMFEARAIKISVEVSKQNSNTSIRSLFRKRYAHQLTIGIGLMLLQQLCGMSGIGSYGSTLFELGGFPARIGMTVLSLIVVPKSLLGLILVDRWGRRPLLMASAFGLCLSCITLAVAFGVKDVPEIQNITPVFTFIGILSFTMMFAFGMGALPWVIMSEIFPMDIKVLAGSLVTIANWFTGWIANYCFNFMLVWSPAGTFIISAIVCGVTIVFTWCLVPETQGLTLEEIQLSFAST >A07g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14678030:14680549:1 gene:A07g506190.1_BraROA transcript:A07g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSSGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLKSSGLLRSRLNFLKVVWSCLLKWNPSLFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6324715:6325348:1 gene:A08g503470.1_BraROA transcript:A08g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVGSNPEVSAIPNASEVTKVAAMILIVYEFPADTIDVVERDSNWYYIACSDCQTKVNRGSTSLICPKCINVKDTGVSKYRTDQSSTTMTIRPLLCYLVMIFLSSREGRRQSKWRLGWVLIMRSQACNT >A09p011960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6040819:6042057:1 gene:A09p011960.1_BraROA transcript:A09p011960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSTSSTTVPRKRSKTQSEVAVNPSFDNLPSSLLEVIMSRLVLKDNIRASASCKTWREAAVSVRVVEKHPWLFCFPKRGTSFELIDPLHWKTHTLNLPELADSTLCYSRDGWLLMRRSVSKDMFFFNPFSRERVSLPKFEQSFLVIAFSCPPTSDHCVVGLVSSLRFYVENQERRITISTCHPGATEWITQESVFHSVFLWHDKHHSKLFYLHNRFYFFHCFNQGGGSLHSFHTSSRTWDSHYAYVSSEHQLSFYQKASFLAEKNGELFLMLTSGNEKPLIYKLVSSNWVKMSLTELDGLTFFVSFYNSELRNNLPWMRNNVYFSRFGYNRKSCVSYSLDESTYSPCKEWHSWQQLCPPQSIWIVPP >A09p005540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3037465:3039349:1 gene:A09p005540.1_BraROA transcript:A09p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKARAPGKIILAGEHAVVHGSTAVAAAIDLYTYVTLRLPLQSAAENNDRLTLQLKDLSLEFSWSVARLKEVIPFDSNTSTPASCSGDTLKSIAVLVEEQNIPEEKIWLSSGISTFLWLYTSIRGFSPATVIITTELPYGSGLGSSAAFCVALTSALIASSISDKTRGEGWCSLDETNLELLNKWAFEGEKIIHGKPSGIDNTVSAYGNMIKFCSGEITRLQSNMPLRMLITNTKVGRNTKALVSGVSERTVRHPDAMKSVFNAVDSISKELAAIIQSKDEVSVTEKEESIKELMEINQGLLQSMGVSHSSIDTVIRTTLKHKLTSKLTGAGGGGCVLTLLPTVVSGTVVDKAVEELESYGFQCFTASIGGNGAEICF >A07p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:145861:148196:-1 gene:A07p001390.1_BraROA transcript:A07p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVEHYVSDSRIEEKKTKEERGDGGFMVPKSKETDEFDAPDINFLGHSFRDYENGESERQQGVEEFYRMQHIHQTYDFVKDMRKEYGKLNKMEMSIWECCELLNNVVDESDPDLDEPQIQHLLQTAEAIRKDYPNEDWLHLTALIHDLGKVLLLPEFGGLPQWAVVGDTFPVGCTFDPANIHHKYFKENPDNNTPKYNTKNGVYSEGCGLDNVLMSWGHDDYMYLVAKENGATLPHAGLFIIRYHSFYPLHKAGTYTHLMNDEDREDLKWLHVFNKYDLYSKSKVHVDVEQVKPYYISLINKYFPAKLKW >A03p072600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32116767:32117116:1 gene:A03p072600.1_BraROA transcript:A03p072600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNQRTKHKQSEQPVAASYRRKRRLQTLKHTASYSFQKNSHASTSRGGSATDDAVVQRRKLKIAASNPSSQVRVHPFNRQAPMEQRDQLGTPPPT >A03g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5497203:5498050:-1 gene:A03g501780.1_BraROA transcript:A03g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGDTVMSVAHFSADIFQRWIPASERIRSGEMLQLVCCFPLQELGRFVAWFWNYICVPPPEILYYERSSSSSSSSIVNSQNYYHLHLEFEKFNGIQFRINVVWQQWLGLRRVWAFSSMISLF >A06p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:94569:96696:1 gene:A06p000820.1_BraROA transcript:A06p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein Brevis radix-like 3 [Source:Projected from Arabidopsis thaliana (AT1G54180) UniProtKB/Swiss-Prot;Acc:Q5HZ09] MLTCIACTKQLNTTNNGGSRPQQEEDEETQTPRTKHATKSLTSQLKDMAVKASGAYKSCKPCSGTSNRNQNRNYADSDADLDSGRFHYAYQRAGAGTGASTPKVWGKEMESRLKGLSSEEGTPTSMSGRTESIVFVEEDEAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFDKWQAQRWWTENSEKVMELYNVKQFNQESEPLPTPPASEDGGSQIQSAKDSPVTPPMNNEQPRGMGYSSSDSLDHQPIQTPKRYDSAGLASTTKLISGTKTEASSIDGGSARSSSADEGSEEVSVSNASDTESEWVEQDEPGIYITIRALPDGSRELKRVRFSRDKFGETLARVWWEQNRARIQKQYL >A01p057230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:31896209:31896781:1 gene:A01p057230.1_BraROA transcript:A01p057230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSCITRNTYSILSLQLLLILIITPSSFSFTPTDNVTKETLNKLCSQSILYNRRFCVKWLTAHNRTTSMNIRGLMEFAAEKAQAFGQENLDLMDLFAKISGNDKQFKNACVECVNGYGTAIKELEVAKEFLRNNSFQQAYNAAYKALDYAYVCKDQFEGPSNEPPFVLNRSVKFIEMCHIVRFFTSLFN >A05g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27198784:27203150:1 gene:A05g509040.1_BraROA transcript:A05g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSSGKSTLLNHLFGTNFREMDAFSGRHVLLFTTKGIWIARCAGIEPCTVVMDLKGTDGRERGEDDTAFEKQSALFALAVSNIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKKILMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHKETPLSDFFNVYNLRQRFFQSVAPGGLAGNRRGVVPANAFAFSAKQMWQVIKENKDLDLPAHKVMVATVRCEEIANEKFASFIANENWRELEEAVQSGPVSRFGKKLSSILQSCLSEYDTEATYFEEGVRSSKKQQLQEKLLQDAFEKALNAGEAFSSSADSCAQSCMSKFDKGCEEAVIEQAKWDTSKTREKLQRDIEAHISSVRTEKLSELTTLYQSKLNVALSGPVEALLDGANDEAWPAIRKLLKREAKLAVYGLSDALSGFDMDKETQNKMLTDLENYARGIVETKAKEEAGRALMRMKDRFATIFSHDSDSMPRVWTGKEDIREITKMAHSASLKLLSVMAVIRLDDELDNIEKTLTLALVNSTSNNATNKSISTIDSLASSTWEQVAPEKTLITPVQFKSLWRQFKNETEYTVTQAISAHEANRRNNNWLPPPWAMLALVVLGFNEFMTLLRNPLWLLVLFVGYLVSKALWVQLNISEEFRNGALPGLLSLSTKFLPTVMNLLKKLAEDGQAPATNASQNSMNSLTQSEVTTNGESSSSSSSSSSLVRSVPIDKSA >A08g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15355920:15356193:1 gene:A08g508380.1_BraROA transcript:A08g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLLESGGDSTIKRCSSTVEVRSLGFWEVSNIRRGDELMYVHMLLLDSNVSSVFEANVSKARRMN >A09p023440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12835659:12836318:1 gene:A09p023440.1_BraROA transcript:A09p023440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H3 [Source:Projected from Arabidopsis thaliana (AT5G42980) UniProtKB/Swiss-Prot;Acc:Q42403] MAATAELIPAGEVIACHTVEDWNNKLKAAKESNKLIVIDFTAVWCPPCRFIAPIFVELAKKHLDVVFFKVDVDELATVAKEFDVQAMPTFVYMKGEEKLDKVVGAAKEEIEAKLLKHSQVAAA >A01g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13490116:13493091:-1 gene:A01g504390.1_BraROA transcript:A01g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPDTPPIHVTIRLCLSSRSKVETVSEFREEDNEADECFQDNDDDLVEDENHDGEKDDGEEDAGISIVAEADENGEDYSVYGKVEDEDEEDDDMCFDDIKKIEGGRWNGNNIYVNQSFVSKDALLSELQLTAVRFKFSFRIYKSTKTLLVTTCPVGGCQWKVRASVKHWTNTFCVTKYVEKHTCSVGDRLAQRRNCTPKYVGRLIDRVGIIDGLNPQHITDAMKNLFGMTLDYTSSYRALLYAQALLGKWFWKRTEDALSLPTQHSRGVEYLLAVRSKIADTMTVQPIDGWQFFVKGGKIDCVVDLEHGQCDCGVYAVEKIPCSHVTAAGTSVGLNISTLVCPVYSKNFLFVGYSKNIYPCAGQQVEELTCFPPEVKRGLGRQKKSRWQSWLKLSRMRARKPRKQQRVYRCSKCKETGHTKPQCRPVSRPRFFLPEDLQVSRPVSSLPVDLNVSHPEGRQVSRPGCFLPEDLQVSRPVFSLPEDLHVSRPKGRPVSRPLFFLPEDLQVSRPVFSLPEDLQVSRPEGLQVSGPEDLQVSRPGFFLPQDLQASCPGGRPELMRVKKLLKYIHRHLIPHSLPVGLSKVFFSKLYPKSMTFNVL >A01g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16627362:16628743:1 gene:A01g505610.1_BraROA transcript:A01g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTALQALEYKWVMPEREREIVASVEAARGSNRLPDLKNLHQWNKKYLILEELQIRIVNKFTKPKDINALDAANKLAVVEYVDHIFKFYKSIIEEEGRDYMGSQPGLNITMRSILIVDMVRGVTVYENPFYVSPNQIRALEKRNKAGNFAKKIKEERCLNSLTH >A03p062910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27313646:27314526:-1 gene:A03p062910.1_BraROA transcript:A03p062910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQHLLISSLPEAPRKPKSKVQKVARKAFKGTAHLSNLLPTGSVMGFQIMCPVLTHQGQCPTIASRWLTCFLVFVCSVSCFLLSFTDSFRDPRGKVRYGLATPSGLMVMDGTITLTDEEKEKYKLRFIDFMHAIMSMLVFFAISMFDQNVIRCLFPVPSEDTKELLTGLPFIIGVVCGGFFLVFPTRRHGIGSPLTKE >A06p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20990114:20993240:-1 gene:A06p039090.1_BraROA transcript:A06p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGAKSPSKKVLFYSLLLTLQYGAQPLISKRCIGKEVIVTSSVLTCEIVKVVCALILMARDGSLKGLAKEWTLMGSLTASGLPAAIYALQNSLLQISYRSLDSLTFSILNQTKIFFTAFFTFIILRQKQSVQQIGALCLLIMAAVLLSVGEGSNKTSSSGINPEQVLFSGIIPVLVASVLSGLASSLCQWASQVKKHSSYLMTVEMSIVGSLCMLASTLKSPDGEAIKRHGFFHGWTALTLGFVIVSALLVTALLQFAFEGKPPSSYCLVALPLVISSISLYQKYPYLDKKKKKVRNAQPGTMKEVLSFCLEQTCSSAYKGLGGGLKFGLNLVDDVIHLQRLIFGALQEVKSSGRGLKKKLKLADSKVYFMMCWFNEQTQTPELLSCSSKFNSRK >A03p019080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7789869:7791896:-1 gene:A03p019080.1_BraROA transcript:A03p019080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLSLEDTIRAEAKSHNNVHLMLVDGMSKLMTRQVENCVSSDFYVGGLKWNIVILQQQDCLYYVLVITDSKCIGSNWKVNCNVKLTIYSATSPFLNHNRWTWFCFDANNTTLLVSIPVKNMQELYTVNDKSVFSAEITNVNPQSLDVGWNPRTMGTAENIKLMEVERNKSKFTWKITHFSTFVSEHHSSYQFTVGPRKWYLRMYPKGTLEGKGNSLSLYLHASDYVTGPNTKTLAVFKLRVLDQLKRNHHEIGQQFWFGSDGQKGEPKFLALEELHKASNGFLVNDQIYIGVEFFYISTTENMI >A07g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2988484:2988891:-1 gene:A07g501450.1_BraROA transcript:A07g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDFPQRLQEVFRSLYPKLLRRLLKDFWKTLGRLPGRLSTKSSGSLLKSSAQSGTKE >A05p048680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28450763:28456542:1 gene:A05p048680.1_BraROA transcript:A05p048680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUN domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT3G10730) UniProtKB/Swiss-Prot;Acc:Q9SG79] MSASTVSLTANPTAAIRRTPVISGDKKSTLDFPPSESHANTNQDPIRGEAAADRSNSYDAGPVIRKSGSSTAAGTKSTTTQRRTRKVQGTKNEKTPWTRVVRVFAKQLGALLLLAGLIQLVRKVVIKETTLSSYSSFPIETEMGLSELESRIAAVDGLVKTTTKMMQVQVEFLDKKMGGETRALRETMESTSSALEDELKKVDSKVERLQASVEEVNSKSLVSREELERVYEELKKSKVDESSFSDVSIDELRAYAREIVEKEIGKHAADGLGRVDYALASGGAFVMDHSDPFLVGNWFGTSRRRVHSKAVKMLTPSFGEPGQCFPLKGSDGFVQVRLRAPVVPEAVTLEHVSKAVAYDRTSAPKDCRVSGWLEDKDMESETRLLLTEFSYDLDRSNAQTFDIAESAHSGLVNTVRLDFTSNHGSDSHTCIYRFRVHGRELDSVSATCYQTPPYLVIFLYRRSLPHLGSSSTLLLRLSSIPYERIKNITRSQSAKSFTDNLTAIKEAVSKMTGRDTEEVRVVVAPYRICPLGAHIDHQGGAVSAMTINKGILLGFVPSGDTQVQLRSAQFEGEVCFRVDEIQHPVGLANKNGAPKDQSIWGTYARGALYALQTSNKNVKQGIVGCISGSDGLDSSGLSSSAAVGVAYLLALENANELTVSATENIEYDRLIENGYLGLRNGILDQSAILLSSYGCLTYMDCKTMDHKLIQGPELEKPFRILLAFSGLRQALTTNPGYNLRVAECQEAAKVLLTASGKSELEPTLCNGLEAWATGNLEEFGKLISASGLSSIENYECGAEPLIQLYKILLKAPGVYGARFSGAGFRGCCLAFVDAETAEEAASYVKDEYEKAQPEFAKKLNGGKPVLICEAGDSARVL >A01g505660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:16744238:16745320:-1 gene:A01g505660.1_BraROA transcript:A01g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQSAKRTRATCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDKDVFETLHAIGIAPLCYTTHELYPDLVRQMLATATITYEDSDAPSYANCSFSFMADGEYCSLSLDKLNEIYEMATEPKGVAVAKKFSPCNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKVISNLLFTKDLTSKVTNGELQTLYTGIEDEIHASGSGIPIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVSKEVQYLDIRYLMACHIMRDEETYSFFDKAGTQLFTKLPHPEITRFSVFENIRFLPPP >A01p011160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5420043:5420279:1 gene:A01p011160.1_BraROA transcript:A01p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFSLYFLVFLLIASAATGSRPLEQTPAGLKVRDLSPSIKATSKTVVDGEATGGSSIQGKSPERLSPGGSDPQHH >A06p001430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:622710:622916:1 gene:A06p001430.1_BraROA transcript:A06p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCFLSRKEKDPRDLKKVEKGKKDGSLAVLKSSKKRSGKKKSKAGGGFGGFGGGCGGGGGCGGGGGC >A01g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9059632:9060360:-1 gene:A01g502680.1_BraROA transcript:A01g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVAEACTATGWRLPSHRVRHRRVAEVRDHLTAHPLPTQSQGPDVFSWEIPGIVSSDFSSTLVNWLQAARGNRLFTIKHIAAQATIYLIWRERNSRMHAGNPQPHSVVFKQLDRCVRDIALARKDRKRFKTMLSIWFRFD >A02g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4266348:4270084:-1 gene:A02g501420.1_BraROA transcript:A02g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCHHRANHLSRFNRIHSVFLIQPRDRTGNRVEDPAAGQNRVDPIRNRKSPARAASRTCVRGREDALQRSEDEAVGEIRGGDPRSEPSGTRVSLGTFDTAIEAARAYDQAAFKLRGSKAIVNFPLEVGTWNQRADVGQNKRKRDGEEEHTFTTTRCTGETVLSNHHLSPPISPTSIAHLARKQAIVGVLAAIDVIRGSLLAGIFYFVGCGLFCLEALLSLWVLQKKLDPSVFKIGSTQAAKKRWVAMGKQVSRKVQHVEDKVKESLLQIQQGLELDKESLNSLKTRKLLVTKGWTGYSDVEKGPTYASKRKIFATDLTRENLHKSQILCPSAHQINKVSCLNLIPRSLLPSFFIFGTWAMVNVSHLLINPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYIYSNILKDLKKVFCCNSTLVHDSELGHVHVYFTARYLRTSRKSFVAMVHWSQTQ >A01p012560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6159050:6161158:-1 gene:A01p012560.1_BraROA transcript:A01p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] METRKTQVAVPVLLAIMTLIVSQVAFAGKDSLHERHMAELQVVKASLVRRNLPGFVSPPPTPPQAVPGPRVYQVISYGADPTGKADSTDAILKAMEDAFDGPDHGVLMEGINDLGGARIDLQGGSYLISRPLRFPSAGAGNLLISGGTLRASDDFPVDKYLIELNDESSKLQYIFEYITLRDLLIDCNYRGGAIAVINSLRTSIDNCYITRFGDTNGILVQKGHETYIRNSFLGQHITAGGDKGERNFSGTAVNLVGNDNAITDTVIFSAAIGVMISGQANLLSGVHCYNKATGFGGTGIYLKLPGLTQNRIVNSYLDYTGIVAEDPVQLQISGTFFLGDAFILLKSINGVVRGVNIVDNMFSGSDNGVQIVQLDQTNKAFENVDQVVVDRNNVNGMATRSTVAKASVDGNGTSWTVDFNQVLLFPNLIKHVQYTLVARDGGAFPIHAVRNVSDNRVVVQTNAPVTAQVYVTVDQ >A09p014950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7793826:7794875:1 gene:A09p014950.1_BraROA transcript:A09p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRTFYFPSLPLIKTILLISLLPSHLKGSTSTSSTTQEPFKESSRLLDLLLRDYILNSFKNQHYSVKTGVVRHIHLPSNYSGINLDAVRFRCGSFRRYGAQLQEFHIGVGAILEPCGERLVLVRQILGSNWSDIYYKNYDLSGYRLVSPVLGLLVYNALNDVVLGNNFRSSYQISLLLDNAKDPSTVDFGNISGPSMVERTFLNKPMCAAFGLDGKVTFAGEVKPYVCAVKTNGHFGLVVTDDQDSSKSAGGGEKEMKKEKIGRWRSVVGGLIGSVTVGIVLLGLVMAATVVTAKKRGRRAKREEMERKACEEEALRVVTMVGHSRVFVASATRTLPSFMEHECVPN >A04p040630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22929925:22930756:-1 gene:A04p040630.1_BraROA transcript:A04p040630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSADPFMTMKKIKKSSHNEKNQRRFSDEQIKSLEMMFESETRLEPRKKVQLARELSLQPRQVAIWFQNKRARWKSKQLETEFNILRQNYNDLASQFESLKKENQGLVSELDRLNEAIQTKQDEGRECCGDQTVVALSSTDHESEKEENTKPEPEEVRPEMEVYEKGHHDDYGYNSHIKREYFGGFEEEADHLMNIVEPADSCLTSSVDWRGFKTNTNILDQSSSNYPWWHFWSSTI >A08g501320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3373460:3373660:-1 gene:A08g501320.1_BraROA transcript:A08g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPDLPAASSHQDSNDVTNAMDSETEVNQSENSSDEDTSDRSVYHQHPISKTFNLQYNTLHPNH >A09g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3058194:3060188:-1 gene:A09g500840.1_BraROA transcript:A09g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLESISEATSGAIGSLLSTTILYPLDTCKSKYQAEISVPGNHKYRRISDVFWEAISSGNVLSLYQGLGTKNVQSFVSSFIYFYSYSYFKRLHSERIGSKSIGTTANLLIAAASAAFTNILTQPLDTASSRMQTSEFGNAKGFWKTLTEGTWGDAYDGMGITLLLTPNPAIQYTVFDQLKQNLVEKRKAKAKKDSSPVVISSFMAFLLGAISKSAATVITYPLIRCKVMIQAADDTKDSEAKKRRERIKKTIPGVIYAIWEKEGVLGFFKGLQAQILKTVLSSALLLMIKEKITATTWFLILAIRKTLFVTKGRLKSS >A06p052160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27581296:27582962:-1 gene:A06p052160.1_BraROA transcript:A06p052160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIITQHTPRTVEEVFSDFRGRRAGLIKALTIDMVKFYQTCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMQEKDWVSLVAVHSDSWLLSVAFYFGARFGFGKNERKRLFQMINDLPTIFEVVTGNAKQSKDHSGTNNNNSKSKPSGLKVSKMMSSPPPKEEDESEDEEEEQGAVCGACGDNYGTDEFWICCDACEKWFHGRCVKITPAKAEHIKHYKCPSCTNKRARP >A10p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5837780:5840558:-1 gene:A10p010240.1_BraROA transcript:A10p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAHGLKPKRVTSSVPTLHKGAMRSSQTEKFQERPIPTLLMESQGIQESDLLVSNACIMHLSMPKGVNTGPKEHESIEEEPPGEIIEMDQNKAQDIRKHLFLKEINSEDSILPNPTSTTPGSPSLEHRIQKSNGDNISNKWRQNPSPSYTTQKSEPQESEATTECTRVAETTPREDQIHETIPEPIQRRKIKPEPLNFQVRELKDFETGLKTQKKFTAQRQRTSVLVLGIRQGDGRPSHGKMLKENQGKHLTCPQNVEGDARSIKSKQAAKEQNILQLAKTIWVNLNFTCLIYKFSNPDIIHLFPAKSVEFISGAEAKHQIDDQRKEITKCLHAKRNKEVVISNLLILDVPEDKTPPSRVPDQNRGVALSFLLKEEPPDVPSKIKPIKYQSKVLESQKRMKPNLLYLGADYPVSRSKLFQGRGYDAVIKSVPEPEANQLQQTANPKTHQDMCLIKTAYLTNQEDIVHETNFPALYAQQGVNPNWNHHQRYSDQEDMNFTNRRFSIPSICEYPNLEVVSSPTKKRSDPNQSLDIKKDLLAFQQAKNGKKSPRKYGVMINFSKPDRPVLHLPYLEAGWFNQLQTRHWRPGETSNHSGDQSKRPGESETFLQCTSIHQIIQNQTRPYLPFLESKAINSQQLFYHQDWYDFYTYFFSKEVPKKLTYSLKPSRYKTRIKSLEESHWNQEILLTASLSVSLFSFCFLSFFVTVWSLSEEP >A08g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:768491:769448:1 gene:A08g500340.1_BraROA transcript:A08g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKNIAFGLTLFYFEAFTGFSGQSIFNDSYLLLFNVVLTSLPVISLGVFEQDVPSDVCLEFPALYQQGPKNLFFDWYRILGWMGNGVYASIVIFTLNLGIFHVQSFRSDGQTADMNAMGTAMFTCIIWAVNVQIALTMSHFTWIQHVMIWGSIGAWYIFLALYGMLPPKLSGNIFHMLIEVLAPAPIFWLTTLLVIAATTLPYLFHISYQRSVNPLDHHIIQEIKHFKIDLEDERMWKREKSKAREKTKIGFTARVDAKIRQLRVKLQRKHSVLSVMSGTSSNDTTSNSQQT >A05g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11295638:11296289:-1 gene:A05g504040.1_BraROA transcript:A05g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALSYKVSLSMKTTPYQHCSQLDVSGHLRLIDGQHFPILQTFKLKEGPFINYQVHPMVSREPKQWCINQFNRGDQGGDFYWRYFGMTV >A01p021780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10614374:10616855:1 gene:A01p021780.1_BraROA transcript:A01p021780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGENPFASNTSSLQNQLREKDKELLAAKAEIEALRTNEELKNREFGELRENVRKLEERLGVVSKNLVVQKEVEMKKLEEEKEDALAAQDAAEEALRRVYTHQQEDDPLPLESVIAPLEAQIKFQKHQISALQEDKKALERLTKSKESALLEAERILKSALERALIVEEVQNHNFELRRQIEICQEEYKFLEKINRQKVLEIEKLSQTIGELEEAILAGGTAANAVRDYRRQISQLNAKTHNIVFNINQQEEKRTLERELARVKVSASRVALAVANEWKDENDRVMPVKQWLEERRLLHGEMQKLKDKLAVSERTAKAESQLKVDEYIISLVVQERLKLRLKTIEDGLKCPNMLSVSPITTKAEKSGKILGFLTSGVGGSKKRSTSQPRGSLTGRIHALNQPINRGEERDGKENSKVTSNGSVDQHEGKAEEDGNVDSEDMVSGFLYDRLQKEVISLRKICESKEGTINAKNEEIKMLLKKVDALTKAIEVETKKAKREAAAREKENALAILNEGSKRCRKANLPRSRVHKSC >A02p004140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1823255:1825182:-1 gene:A02p004140.1_BraROA transcript:A02p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDLALSCSNPTQTVETPASGGGLSQNGKFSYGYASSAGKRSSMEDFFETRIDGHGGVRAAEYVKRHLFSNLITHPKFISDTKSAITDAYNHTDSELLKSENSHNRDAGSTASTAILIGDRLIVANVGDSRAVISRGGNAIAVSRDHKPDQSDERERIENAGGFVMWAGTWRVGGILAVSRAFGDRLLKQYVVADPEIQEEKIDDSLEFLILASDGLWDVFSNEEAVAMVKEVEDPEESAKKLVGEAIKRGSADNITCVIVRFLETASSSHPSSSSSNEANLIPPVGDLKISSSESKQDHQIDSEDIIRVNKPDNAHF >A01p038130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14448990:14450282:-1 gene:A01p038130.1_BraROA transcript:A01p038130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKQTSFTFEIDNFWDKEALIRSPNFFSGGCEWFVDVYPRGCGIEDHLSTFLCVANPESLLLGWKRRAILSLVLLNVSGKRLYRLYRDGPPCKTFCAQIPAWGWADAMPLEMLQENGFTEKNKLIVQVNVQVVEVVDEAEVTGKETLDVNGFQVLYSEVGQVTAMFAKHPDVALNFIPKSQLVKTVYMKLLMFLIEKLNKPPRSFSNNALSNARMELIDLTKAGFKLDWLKEKLDEISLERKKENGDGSLSSYGFRVQELEEQVQELEEQVKNLNLELDTEKVKSAKVLSLEQTVSDLRDELSKEKTRSTTTTKDVLEGVIRSWEVLDYPDLSNEEVE >A07p042050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22651577:22652333:-1 gene:A07p042050.1_BraROA transcript:A07p042050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPGEEEEEEDYTTTGHDTPNKKKKKTLHNFSFTDLKWGAQRNLRCTKIESNVVAVDQRPRRSKLGDNRSHGGAEGIEEEAKGEDHEEEKTSKKEGEREVSPPWNLRKRRAACKAPVTDSEGIQKEEEENGVRPKFNPTLTKKEVEDDFIAMTGHKPPRRPKKRPRNVQKQIDVLHPASYFVEVTKDLYDVPDVAENGKK >A05p036960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20967170:20972679:1 gene:A05p036960.1_BraROA transcript:A05p036960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIGGLPWCEVELNLGSKCFQRTTIDLVNLLFLSFFYLLLIAGCVSQRFTLGSRKKGWIFVAAAICCVATSIVYLGAGLKNLIAGANDEVSWVACFVEGIIWVSLTVSLLVNGSKWIKILASVWWVSFALLDSAAKIELLSQGKSIRIFDIITLLISLLLLLCSWMNLRSSPEAQDYSTSGLSDPLLAENPRKNSVRLATAGFFSFLSFSWMNSLLSLGFKKPLTPDDIPSVVPEDEAELAYTKFSKAWDDALLSEPEGAKERNLVFRAVAKVYFKENILTAVCALFRTIAVVSLPLMLYVFVDYANSDHRDLRTGFLNLACLVMLKLVESLSMRHWYFAARRSGMRIRSALMVAAYKKQLKLSSLGRKRHSSGEIVNYIAVDAYRMGEFLWWFHSGWSLTLQLLLSTTVLFGVVGVGAVPGLILLLLCGLLNLPFAKMLQNCQTQFMIAQDKRLRSTSEILNSMKVIKLQSWEEEFKKQIESCRDEEFKWLAKAQLTKAFGTFLYWMSPTIVSSVIFVGCALMNSAPLNASTIFTVLATLRVMSEPVRVIPEAISAIIQVNVSFDRINNFLLDDELKIDEIERRGVEKSGTAVDIQAGNFSWDPETKHPTLRNINLEIKNGQKVAVCGPVGAGKSSLLHAVLGEIPKVSGTVKVSGSIAYVSQTSWIQSGTIRDNILYGKPMEIRRYNAAIKACALDKDINDFGHGDLTEIGQRGLNLSGGQKQRIQLARAVYADADVYLLDDPFSAVDAHTAGVLFHKCVEDSLREKTVILVTHQVEFLSEVDQILVMEEGRITQLGKYEELLMMGTAFKQLVNAHNDAVTVLPLASNESLGDLTKVGRDREIGNIQVVEKIEEEITTTTNVPGAQLTQEEEKEAGYVGLKPFLDYLNVSSGWFLLSSSVLGQVGFVVFQAASTYWLAYGIGIPKLTATMLIGVYGVISTLSAGFVYARAVTTAHLGLKASKAFFSGFTNAVFKAPMLFFDSTPVGRILTRASSDLNVLDFDIPFAIIFVVSPAVELTAALIVMTYVTWQVIIIALLALAATKVVQEYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFGTVDRFFKNYLNLVDADAVLFFLSNAAMEWVILRIETLQNLTLFTCALLLILIPKGYIAPGLVGLSLSYALTLTQTQVFLTRWYCTLSNSIISVERIKQYMSIPAEPPAVVDDKRPPSSWPSSGTIHLQELKIRYRPNAPLVLKGISCTFREGTRVGVVGRTGSGKSTLISALFRLVEPASGCILIDGIDISKIGLKDLRMKLSIIPQEPTLFRGCIRTNLDPLGVYSDDEIWKALEKCQLKATISNLPNKLDSSEVSDEGENWSVGQRQLFCLGRVLLKRNKILVLDEATASIDSATDAIIQRIIREEFADCTVVTVAHRVPTVIDSDMVMVLSFGDLVEYNEPSKLMETDSYFSKLVAEYWASCRGNSSQNLQAHI >A07p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11295329:11312840:1 gene:A07p018900.1_BraROA transcript:A07p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEISRSEEKSFENNDVFVWISKKIQEPLKAEFKKLRTVKEQQSMIKPVLEIETTHDEEREEEKLEKQLQAWRDNPSWIDQPPNVQVKSQNGLFCHLNAEFNVGLPPKSVYKIFTHPDNKRYFKNIKECISRKVLMEDGPMQTVEVKQAAAWKFLWWAGTFPIHLIVQENRKHLMSNYKQEKTMFMKVFEGCWRVEPLFIDEHLCERLKPKTQEDYDRCTNGRGRIGSKVTMDQMFQPSAILTPPPLSWYIRGITTKTTESMIEDLLAEAARIRGGGRDDDQVRNCSNELDKRKVEDIKDRWRSRRRFGGWITQNIQQPLKASIKRPESSSVSETDRYIQGPWYFNPAASPKEKAEIMKIDHVRSMSVYPTDPKYYDLAELWRQVRLWRSENSKHPWYDAPAKVKMKTKKGLCHLNIDFTLGWPPQAVYEMFTNPRNLNFFHSMASTYGAHDTIATMVLKKDGPRQITEVEKVLRWKILGYNGAIPIHVIIDENHQKVTATYKKVKVKYMKVFEGSWKMEPLYVDQERLCKSRSRISEEEYKKCSGGKGRIGSKVTMEHIFQPSSLLNVPPVSWFIRGIAVKVTKALLQDLREYTSKRSKNGKSRSASEEEDDRYLQGPWYWVPDLSPKEKAQSLELDHVKSMPLMAIDPKYYDMDELVRQNRLWNSEHKKHPWNDAPAKVKVKTRKGICHLNIDFTLGSPPQSVFQTLTDPRNMGIFHSMGKYKNNWRTRLDTRATKVLKKDGPRQITEMEKVLRWKILGYNGTIPIHLIIDENHQKVTATYKKVKVKHMKVFEGSWKIQPLYVDQERLCKSKSRISEEKYKKCSGGKGRIGSKVTMEHIFQPSPLLNVPPVSWFIRGIAVKVTKVLLQDLREHATYKKVKVKHMKVFEGSWKMEPLYVDQERLCKSRSRISEEEYKKCSSGKGRIGSKVTMEHIFQPSSLLNIPPVSWFIRGVAIKFTKALLQDLREYTGSKRSEDDKSKSESPKESNNMELYHDSEEEDKQIKLWNVAERKHPWYDPPPKVKVTTKRGICHMNIEFTLGDGPREILETENTVAFDFLGWSGAFPIKLIVDENIKDLTAKYKKEKMMFMKVFEGSYKVEPIFVDSERLCKHRLPKTREEYKKCSGGQGKIASKVIMNQYFQPSPPFNLPPFSWYINGITIRTTKTLLQMIELSTVTFRELS >A06p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8032635:8033955:-1 gene:A06p017840.1_BraROA transcript:A06p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYFTSPPPVYARNWANGQNLVESTKIERQIVTSKKVHRKEKKDIKKEKKQKQTNEKTIEHLYLPTKQVSDESEQLEKSCLTEEHEKYLSDGSQSSKKRRRDASPSVESNIEATPVTGNPLRIRFVFKKPKEAELVVPQAPQEDLVCSTSGTEISSSVSGHDGNLLPVSLASDETVIPSELKKKKKHKTSKESRYSSLFDEPVLPCLSIEEDSSNSDDWLLGARRQKNVSTKSAMNEDMVINMQKSGESCFPSSQFLSEVGIFSLPYTVLF >A03p020530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8429288:8430521:-1 gene:A03p020530.1_BraROA transcript:A03p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESTTIDIGEPSTVTKSTSHAVVDEKKKSFVTAAGGGGDKRGLTIFDFLLRLAAIGITIGASSVMFTAEETLPFFTQFLQFQAGYDDFPTFQFFVIAIAIVASYLVLSLPFSIVTIVRPLAVAPRLILLISDTVVLTLTTAAAAAAASIVYLAHNGNTNTNWLPICQQFGDFCQTASTAVVAASISVVFFILLIVISAIALKRH >A05p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27065237:27066668:1 gene:A05p045200.1_BraROA transcript:A05p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNIEKGNDNLYSLLGLKKECTPTELRTAYKKLALRWHPDRCSSMGNLEFVDESKKKFQGIQEAYSVLSDSNKRFLYDVGAYNTDDDSDQNGMGDFLSEMAVMMNQSKPSENNSGDSFEHLQDLFHEMLQGEAFASSPSPSSCYAASTFTSSCSFVFDSNTQQSPFGTNPMGINDPRPHPFSLGVEHQQDFKKGKNNGGRRSRRKNNAQSASHQTSSSNNYGVPTS >A02p050970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31374056:31378081:-1 gene:A02p050970.1_BraROA transcript:A02p050970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMEKPDNREMDLLTDGLPPIPILLTKIPKISGTESLLEDLYAIILAKLPLTSIITFKRVCKEWKSLVESPFCRDLYLSMHQNSYYSSWSFMCRGCETETMAHYGSDNWCLTRSLGSYISSFLAEKFENREGRVVAYTDVGLILIYVVTNQSFYVANPVSRQCVEILPHNHAMERFWILGIVTRTEDEVVLGYKVVLLEKKKNFTSFLIYSSETGSWSLETVSFPFTFIAQEFNNPISLNGKLHWLAHNPEYKDFLVSFDFYPSGDKGSDRCRVTPFPDLDKTTKFKRACTTCQGFLMYINIVSVAEVDKLCVWRLKSEGWQLVSEISTDIVTAGFDYIPLGMNPFDDKLVYFWRGNMEGQDLLSINLHIGEFMVHEELERSSDGRVLSSVGGPREIEYIKESFYSSFVLPRIMGDYTCEITTSNHHHFKTSMQAVEFHHRNTCFSHHQNSHSSWSLMCRNQTEEVVANYGCKVWGLQRSLGSYVSSFIAARLEAKKKVTRLWHPILISKQRITIPTPPTSLIGFETEEPWFHAVLSFVESDALFRQAGLVTRTKDGIVLGFKVVLVRTRVTNNECFVAFNIYSSETGLWSKILHSPLPLISLNLLEPVTLSWNLHWFGLNLDGQKILHTSGGSLMYMNIFSESVHHKLVVWRLMNREWQLVSVLMGFDYLPLTLAINPFDGNTIVHVESDARFFGIY >A01p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8292477:8295751:1 gene:A01p016790.1_BraROA transcript:A01p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSSVHLDVKDNHVVMKNGIVELTISKPDGFVTGISYHGVNNLLESHNEDYDRGYWDLVWSEYGTPGTTGNSERIKGTSFEVVVENEELVEISFTRKWDSSLQDHIAPINVDKRFIMRKDVTGFYSYGIFEHLAEWPAFNLPQTRIVYKLRKDKFRYMAVADNRQRKMPLPEDRLGKRGRPLAYPEAVLLVHPVEEEFKGEVDDKYEYSCENRDLKVHGWISHNLDLGCWQIIPSNEFRSGGLVKQNLTSHVGPISLAMFISAHYAGEDMVMKVKAGESWKKVFGPVFTYLNCLPDQTSDPLSLWQDAKNQMLIEVQSWPYGFPASEDYALSDKRGCINGRLLVQDKSLSDELLPANGAFIGLAPPGEVGSWQTESKGYQFWTEADADGYFTINNIREGEYNLNAYVTGWTGDYQYEELITITAGCDIDVSNIVYEPPRDGPTVWEIGIPDRSAAEFFVPDPNPKYINKLYIGHPDRFRQYGLWERYTELYPKEDLVFTIGVSDYKKDWFFAHVTRKTGDDTYEKTTWQIKFKLEKVQENSTYKLRIALATANVAELQVRMNEDESEKSLIFTTGVIGHDNTIARHGIHGLYRLYNVDVPSEKFVEGDNTLFLTQAMTTVGAFNGLMYDYIRLEEPCLDSNIR >A07p028110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15939360:15945201:1 gene:A07p028110.1_BraROA transcript:A07p028110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDHSASGTKRSFEDDEIDTSVSKKRCTCTKVDEEAAMIVSLTESLQRCKDELASCQSELESAKAEVDKWNSAFKEESFVPSRISPEPQFVIDYIQTLRSSQKSLKEELEIAQMKLAIRDLKSQLKPESMKIDEDPEVDGEQQGSTPAGTSRIAYLEDELRAANTVIAELREVQAVRVDALEKTQATHAKEFEYLKNNMLALQQSRRLILPGMEFSLQDDDFGGDFSASNASRAASGSKRSFGDLEDDEDDIFGSKKVRTKAEEAAPGVATGMILSLRESLQNCKDDLASSQNELESAKAEILKWKSAFQNESFIPAGKSPEPRFLIDYIQNLKSSERSLKEQLEIAKRKEASYIVQYAKREQEMAELKSAVRDLKSQLKPASMQARRLLLDPAIHEEFSRLKNLVEEKDKKVKELQDSLTAFTFTPLSLKGKMLMEKCKTLQEENEEIGHQAAEGKVIILQDKLEEKDKELERVKKGMEVVVSDVAEKKDDGEDKDPNYSALQNDVQFYTV >A10p018110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12713248:12721404:1 gene:A10p018110.1_BraROA transcript:A10p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPLSFVSKARTAFNSAAAKAERVFTDLKSDREEEQPSTRNENDSKEENEVKPQGWRTAHIRKKQEWQNKLKNLRIGRKEVVEDKDKAEDSTMLAPFYDQNSLILKAQEQEAKASDVGNLVQVLNAVDVNSIPRGSIVKQLAVAIEAGKMAKTMKEFAASSGSSSPGRDRGGLSLSAVKSMVLGEKEDKFGFDSRDEEKLVSLINAMFNVDGNFLVRMIVSDLESPTNRASFAKDLHVAPPSSFVVKLAEVIGSFTTPSRMALFWCRVVDELRRFWNEKKHIPWIPLDENPDLKSCLLHQWLQVINCCLGRKARCIAASEALDAVMRQASPANEKSDISEAMGSPVSLLYAKSNTGELILRLGIHHQVENLTMLETGEPVFAPITQEGPLLTEDLIKETEELVLRTGSMGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTGNDTSSGDDSSPLRGQLSIRMQKEGNLWRELWETAKPLPAVKQTPLYDEDFAVEGILNSLEDITPVDFFGQLFVSLVALGFVMAEPVVATNDDLSKLFFECKDYVVATCQGDAWTDKLDDLCQVYETVETMLVRPEKVLRSMKQTEESPSGGNETKRRFRRLNFIFRGKEGNKNRVPSETEQKSTEPSPRQSFSSLFDGKSSLFAKKPPKPAENGTLVVKDSVRGMMLRAVIRRASTRGGSSASSGLGKSLQSSRVAASSQSFHSLSATQTLVPRGSHARSCFHHRSCPGCSECSRTLLTSFQGTTLQRWVRPFSSDSGDVVEAVVPHMGESITDGTLANFLKKPGDRVEADEAIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGNKVAIISKSADAVSHVAPSEKIPEKATPKPSPPAEEPKVESTKAAEKPKASPPPSKQSAKEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFFEKHGVKLGLMSGFIKAAVSALQAQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRGADQMNFADIEKTINSLAKKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRIKDVVEDPQRLLLDI >A03g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20358739:20359964:1 gene:A03g505770.1_BraROA transcript:A03g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEILISRALVSSLLLWMWRLFLQRTQCSIPLAGRIIAVHCDRFWDIRSCFLSSLSSGHCKSRRVKVML >A06g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27492486:27493063:1 gene:A06g509540.1_BraROA transcript:A06g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSDISHATHSSKNPPTSGMNLSAPYHENTKQIILKFSSASCATTTTDNYLEGRFTGNIQPNDPKNLTEEDIFEFSGFSVIHNSRHQKLTQLPYYIQIDQKTITSKVTDIGPIFPVHNFSPQNYKNLLCLATTPTYLLGK >A06p054380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28527537:28530393:1 gene:A06p054380.1_BraROA transcript:A06p054380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKDLASGTVGGAAQIIVGHPFDTIKVKLQSQPAPTPGQPPRYTGAFDAVRQTVAAEGPKGLYKGMGAPLATVAALNAVLFTVRGQMEGLLRSDLGVPLTISQQFVCGSGAGFAVSFLACPTELVKCRLQAQGALACASTTSSVVAAVKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREIPGNATMFATYEAFKRFLAGGSDTSSLGQGSLIMAGGVAGASFWGIVYPADVMKSVLQVDDYKNPKYRGSMDAFRKILKAEGVKGLYKGFGPAMGRSVFANAACFLAYENTRSSLGLMETMEQQRHSLSSVPMLSRLDHLDFVTNNLERQQNLPKWKGESSSITRGLIDRGMMVREAYFKGLLLDRIATLETRLFQIKEETEMEINIEKPFLENKKKNFANETCKPKKKTKSPKKWRCNLLGC >SC184g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:237048:241587:1 gene:SC184g500100.1_BraROA transcript:SC184g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPREPVPFNEFEKWSLLLVCNIQRPRALERCVISDLSLGVSRSISAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGFHQVVSEQLWKGNLFLLDLNLIICYHIISDLVLFDLLKPDPCSASTMAGDQKGKLTKEERLLLKSMNAQMQQMLDNNMGEFQKELRQKFLQQTDDLRQKNKKRTDSEKLEPRSPESVQNKSSKHKWYKEEEAGRGQQSYKQTAHTSSRPHQAFRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQSDFCLKPCDSFARTEERSFVTNFHVHQLILDNSFVSAYVLNEPKKLQEPKLHQSDFRFKFVKSAKFSEFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVCSIFFDMHNRWRNHAVLCFGDILVYNTFFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKEFSNKLNCNGNYTHQGLTSNLNHVQSFSNERVMGSTRRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDVTCFILIKEVPPDATYKPKPRKYNFGIRILLYDDFACVNLSCFKVSGLSNASGVRKAKWISPFYLIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYINYQGSLFPLMNSRSGACSSLLYISFLLVCNIQRPRALERCVISDLSLGVSRSISAASCVTIRSTYLEKLESFD >A01p026640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19430560:19432295:1 gene:A01p026640.1_BraROA transcript:A01p026640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSMKGGDGEHSYANNSEVQKNINSHAKLAVVENVKEVIVKLGFPECIKVADLGCSSGENTFLATSEIVNTIIESYQQKGENPPEIDCCLNDLPDNDFNTTFKWLPYFHEKLKMNVKEKCFVSGVPGSFYSRLFPSKSLHFVHSSLSLHWLSKVPDGLEENKTNVYLRSPCPPNVYKSYLTQFKNGFSLFLRMRAEETMPNGRMVLTLVGRKALDPLSKDCFQNWSLISHSLLDLVSEGIVKESDVESFNLPFYNPDENEVREVIENEGSFKINNFETVYGLLFSYKTGRTEVKDDDDVDQSCVFEVVRKRAKTIRSITEPMLAAHFGSAIMDRLFDKYTYHLAQRYDTCATNQRLISVFR >A03p024590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10373885:10374274:-1 gene:A03p024590.1_BraROA transcript:A03p024590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKTSIAIFFVVILTISISNHNVSAVTVIEKATPPPCLFLCNGFPLNNFACWHDCGLKGYNDGRCGGNPSRCCCRN >A06g506580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:18361528:18361809:-1 gene:A06g506580.1_BraROA transcript:A06g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSGLRERPQWVALRGRSRLRFVSSRHRDASDLGCRFGRSLREGCEMRATSWCRSGRSLRARSRVSE >A08g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10126690:10129000:-1 gene:A08g505870.1_BraROA transcript:A08g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWALQPPRRPLSFRICSSSRSRALFTVRMTLSWSKLSSISYFSGGSRLQFSLASSTQRHQRHSSVGPAPSGIRSVRLNLPLLPTVSPRLESPLRHSPSIPPQVQLQASSSNVCKPGVRGAPQLTRVLDLEFCRLSRLCSPYVSSITTVAGLPTPLTAPLSSSQPYQLNIAASLLRRDSSQFIGFFDRGRLSHFVHEMGYFHFAVGLTSPIKLQGSHLFLAVSQPTLMWGGLVSLTNLLKMFGGFTSVFTETYLHTKFHLSCSKSSLSFHLPVGSLGPSFSSSASFLRSAFPPILWRCFSISITVLLSCGAVRSGPEDAAGFVSTSFRGADWMSTSQFKVTISLLPDHVVKATLTHSSTVLSSLSSSSFEDLSFLSYAVVVYVFNQRGWTIPSIICNQAKNEGDHALGPGTSEALKLREVEDPKVYEYEVLIIVQGTSLRGAATLHHLALSLAIGLQCFGTIESIVMSVTRRKVGNQDWCEYAARCSFHVLIELVLEKYVLLGGYAKKVVVPIGQSFQSRSFILLLYVLIIGLPNLRMLQFIRNLVFYDDLIVTFSIFVSYHLEVIIIPICNFVPELSKRNGKPS >A01p022520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11098813:11100288:1 gene:A01p022520.1_BraROA transcript:A01p022520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTCCIFFLYLAIILQHCFYFSVSSSSPLLLPLSHSLSTSKHSSSPLHLLKSSSSRSSERFRRHHQKRQQRQLPLPLSSGSDYLLSLSVGSSSSVSLYLDTGSDLVWFPCRPFTCILCESKPPPSLTSLSSSASTVPCSSPSCSAAHSSLPSSDLCAISNCPLDLIETGECNNSSYPCPPFYYAYGDGSLVARLFSDSLSLPPVSVANFTFSCAHTTLAEPIGVAGFGRGRLSVPAQLASFAPHLGNSFSYCLVSHSFDSERVRRPSPLILGRFVEEKEKRVGADDDHKEKVEFVYTELLDNPKHPYFYSVSLQGISVGKQNIPAPAMLRRVDRNGGGGVVVDSGTTFTMLPAKFYNSVVEEFDSRVGRVHERADRVEPSSGMSPCYYLNQTVRIPALVLHFAGNGSSVTLPRRNYFYEFMDGEDGKQEKRKVGCLMLMNGGDESELRGGTGAILGNYQQQGFEVVYDLLNRRVGFAKRKCASYWDTLNQS >A09p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1705864:1707704:1 gene:A09p002530.1_BraROA transcript:A09p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGWFMCMMMMVCVVSCGEAAPGAKFEELYRSSWAMDHCVNDGEVTKLKLDNSSGAGFESRSKYLFGKVSIQIKLVEGDSAGTVTAFYMSSEGSNHNEFDFEFLGNTTGEPYIVQTNVYVNGVGNREQRLNLWFDPTTDFHTYSILWSKRSVVFIVDETPIRVHKNLEDKGIPFAKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFIASYKDFKIDACEVPTTADLSKCSGEEQRFWWDEPTVSELSLHQNHQLIWVRANHMIYDYCFDAARFPVTPLECQHHRHLIKSNYNKLEEPTDEEENDMLDLAFGLTKAARLGCQVIARPELDGVRLAIPSATRNFAVDGFVPEPH >A07p051970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27505487:27506439:-1 gene:A07p051970.1_BraROA transcript:A07p051970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLRCLRTSVFSDSSPSIAYRNPKIGLPTVQNRNFLIGGRCLSSGSYVSEMQKSAFQGNICRLIRNEIDYELNHSPPLQSPSSYGPFTVEERHGEQWISLKRKYGEKEDIKVEATMFDRSVPTSKSTRTEPEFILHITFVVNISKGISGESLEIVCSAWPDNIEISKLCIRKGTNSSPSSYGGPEFEELDDELQDALYQFLEERGISDELAVFLHRYMKNKSKFEYVRWMESVKSYVEKN >A09g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24288805:24289306:-1 gene:A09g508720.1_BraROA transcript:A09g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYASCGVWKFDPCKGWGFAFDREKGGRVLAVELTSSFEDLRTTAFEDFGIDQNNVELELSYLPMELITTTLSLIRSNLTRCSESQVSLPHFHLEMELVVHLNRARMLKTNVTRTPRKNMKMLT >A05p045400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27161716:27163349:-1 gene:A05p045400.1_BraROA transcript:A05p045400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSGNGGRTIERPPFTPTQWQELENQALIYKYMVSGVPVPPELIFSIRRSLDSSLVSRLLPHQSIGWGCYQMGFGRKPDPEPGRCRRTDGKKWRCSREAYPDSKYCEKHMHRGRNRARKSIDQNQTTAPLTSPSLSFPNNNNPSPTLSSSSSTYSASSSSPSIDAYSNINRLGVGNSNSRGYFNNHSLDYPYPLSSPKQQQQQQTLHHASALSLHQNASTASQFNALASATDHKDFRQDHQEEEEKDSSETKKSLHHFFGEDWAQNKNSSDSWLDLSSHSRLDTGS >A05p043470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26229865:26232085:1 gene:A05p043470.1_BraROA transcript:A05p043470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSILIFSVFILQIFTYSKALDVTQYGAVGDGVTDDSQAFLKAWEDVCSGAGDGQLIVPAGMAFMLQPLKFEGSCKSTPIVVQILGNLVASSRGKWKGDKDQWILFSDIEGLVVEGNGEINGQGSSWWEHKGSSRPTALKFKSCNNLRLSGLTHVDSAMAHIHINGCNDVTISNLRINAPESSPNTDGIDVAVSSNVIIQDCVIATGDDCIAINSGTANIRISGIDCGPGHGISIGSLGKDGEIASVEDVCVQNCNFRGTMNGARIKTWPGGSGYARRITFNGITLDNVENPIIIDQHYNNGDSDKSTDDKSSAVEVSKVVYSNFVGTSRSEYGVNFRCSTRAPCTEIFLKDVKIETASSGMGQVAQGQCLNVRGGVSTLAVPGLECLALSTDMLSWGTMPEQACMLPQQSVQPNTRPLQDPLWVYGSRGERLGVYGVVLTSLISFGFSYVLG >A07p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7464505:7465589:-1 gene:A07p013450.1_BraROA transcript:A07p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERFFPTKPSSGGAGNYRRGNLTLLSGPISSGKTSLLFQFALNIASASQTNHVVFICHRKRIESNPPFLSQGIDPSSSDAFNRIQMKYVDDDEGLRNYFAAFHLHLDLPPAALVIDDFGDYFTNSKGSSIMNSRARDMAMVRTLALCHNAIAHANKKAACELVLSETSSGDSPRSLFIYKRWIPSIFTIKGHGDGSFLLTSNGSSQKSAKYSIALQYLILEEIVN >A01p003640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1588632:1590593:1 gene:A01p003640.1_BraROA transcript:A01p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKAKRSRTHFNGSHHPIVDFWRREVGGISPRSFSDRFSASENMVLRLEVYRKLAKHKGCVNTVSFNAQGDILVSGSDDRRVLLWDWELGNVKLSFHSGHSNNVFQAKFMPFSDDRTIVTCAADGMVRRASVLESGKVETVLLGLHQGPAHKLCIEPGNPHFDLRTQAPTELFTCQAVNPTRRHLEAAQLNAIAMDPRNSNLLAVGGMDEYARLYDIRRLQGDGLSRAADHFAPPHLIGDLHVGITGLAFSEQSELLVSYNNEFIYLFTHDMGLGSNPMPSSPEDDSKSSVPMAYKGHKNVETVKGVNFCGPRSEYVVSGSDCGRIFIWKKKSGELIRVMEGDRYVVNCIEPHPHIPVLASSGIENDIKVWTSKAAERATLPDNIEMQRKRKPRGWMYRVSSPQELLAQLFFLQNRSRFSEDREEGEASSSSTGRELLDLILNFNDDDEHVSDDGEDGVSRDDFFS >A05p028880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000061.1:41594:42175:1 gene:A05p028880.1_BraROA transcript:A05p028880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLCALPSIDMERITSIDSRQVTSIDMERITSIDVTIDAKVDQPVNYTHLLDFLKEPKLTSNTNLTSLLVLGFGIHGIGFFRQVWKVKPCVELYITFLSLISTLD >A02p050560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31181863:31187663:-1 gene:A02p050560.1_BraROA transcript:A02p050560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGDNASPNEDSYIQRLLDRISNGTLPDDRRSAIVELQSVVAESNAAQLAFGASGFPVVVGILKDQRDDVEMVRGALETLLGALTPIDHARAQKTEVHAALMNSDLLSREAENITLLLSLLEEEDFYVRYFTLQILTALLMNSQNRLQEAILTTPRGITRLMDMLMDREVTVNYILDILNVAIGLFKSLVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGDVVVQDCLELLKNLLRNSSSNQILLRETMGFEPIISVLKLRGITYKFTQQKTVNLLCALETINMLIMGGAVTDPGKESNKLANRTVFVQKKLLDHLLMLGVESQWSPVAVRCMTFKCIGDLVDGHPKNRDILASKVLGEDRQAEPALNSILRIILQTSSIQEFVAADYVFKTFCEKNREGQAMLASTLIPQPHPTTRDPLEDDVNMSFGSMLLRGIFSGETDGDLETCCRAASILSHVLKDNIQCKEKALKIVLESPVPSMGTSEPLFQRIVRYLAVASSMKSQDKSKSYIQQIILKLLVTWTVDCPAAVQCFLDSRHHLTYLLDLVSNPAATVCIRGLASILLGECVIYNKSSENGKDAFAVVDAVSQKMGLTSYFSKFEEMQSSLIFSSSSERPREDHEPLTRTATPSEAEIEDVDVAGAMDKGNEDHPMLISLFDPSFTGLVKSLEGSIREGIVDVYSRPKSEVAVVPADLEQRSGENEKDYINRLKAFIEKQCSEIQNLLARNAALAEDVASSGRNEQSQGSEQRASTVMEKVHIDSIKRELQETSQRLETIKSEKAKMESEASEYKNVAAKLESDLKGLSDAYNSLEQANYHLEKEVRSLKGGEGPMEYPDVEAIKEEVRKEAQKESEDELNDLLVCLGQEESKVEKLTAKLVELGVDVDKLLEDIGDESQAQGECEDDDQ >A01p054840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30866558:30866930:1 gene:A01p054840.1_BraROA transcript:A01p054840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATLFVVSCVLIFFVLLNTKVEADDCAPQLDYLHSGKCDPNPTMAARQCVSEIQDTCYPRCSCRNNESGHQCTCFHK >A01p015220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7401343:7403055:-1 gene:A01p015220.1_BraROA transcript:A01p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSSIQEACFNVCCSSPFSSHSMTQKQEQEEEEELEFSVITPGASFLTKDIKFTSQESLPPLRTSFYDLITAFPDYLQTNQADHLRSTEYQNLSCSSRHVLLSHTSQQQPLFSYSQFRQLSGLNNSLFTLSSTQASSGEEMLSLAREESQFQTRMRKRIMSFMNLEESEYDMILTQDRSSAFKILAELYSFKTNPNLLTVYNYEDEAVEGMIRISEKKGVKPKSAEFSWPSTGIVSEKLKRTILRSKRRSKEDKRGLFVFPLQSLVTGASYSYSWMSLAQENQWHVLLDTSALGSKDMDTLGLSLFRPDFLICSFTEFLGHDDDDLTGFGCLFVKKSSSQALSEEEAVTNPANLTVVKAEPNWIMLQNDESTLDDHNKASTSATEIEEDDKAIIEFRGLDHADSLGLILISRRLKSLTLWLVRALTCLKHPGSHQTETPLVKICGPKTRPDRGASVSFNVFDWQGEKVDPLMVERLAEREKVGLRCAYLQKIGSVGNKKRRDGESMSLRVSVVSVRLGFMTNFDDVFRVWGFVSRFLDADFVEKEKWRKKALEKEKKTNERRNSLRAF >A05g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17895871:17896676:-1 gene:A05g506220.1_BraROA transcript:A05g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNPLEFIIAYERSKDKKRLLKSIISLICKCVNTLLAHSRHAIHKTDHKQNYYRSFLYKYKLGLHLIWKKTLSEDFQEEVHTTFRKSIRLSGSPYDFQEVLTTEVEVVWKTSWKSSSALYFRRLTFKEIIVGLESFSLGKK >A08p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8145480:8151098:1 gene:A08p013480.1_BraROA transcript:A08p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTQIPLDEDEEDMLLAMQLIGLRIVPYAIKTAIELDLLEIIAKAGPLGTHLSPLDLASKVAARNPDAPMMIDRLLRLLSAYSVCTCRLVNDKEEREFRVYGLGKAGRKLIKDEDRFSLASTVRFTNPKFEGEISQLTASILEGGARPYERVYGDLIFKDMEKNENIRAEFHEAMLNHTSIVMKKILKTYNGFNSLSGGVLVDVGGGLGANLALILSRLPQLKGVNFDLPHVVSEAPKIKGVEHVGGDMFDAIPRGQAIFMKWILHDWNDDQCVAILKNCKKALPKNGKVIIIEYIMPREISETDLATKNSLFYDVGIMCATQGGKERTKEEFEVLAMKAGFNIPNIIYGAYSFWILELYAD >A03p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18897796:18900774:-1 gene:A03p045210.1_BraROA transcript:A03p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILTAFAFFIAFAILRLQPVNDRVYFPKWYLKGLRSSPIKTGGLASKFVNLDFRSYIKFLNWMPQALRMPEPELIDHAGLDSVVYLRIYLLGLKIFFPIACLAFTVMVPVNWTNTTLDKLQNLTFSDIDKLSISNIPNGSSRFWVHLCMAYVITFWTCFVLKREYKNIGSMRLQFLASDQRRPDQFTVLVRNIPPDPDESVSELVEHFFKVNHPDYYLTYQAVYNANKLSELVQKRKKCQNWLDYYQNKHSRNPSKRPMIKVGFLGCWGEEVDAIDCYTEKIEGLTRKISEEKEKVTSSTKSLVPAAFVSFKRRWGAVVCAQTQQSRNPTEWLTEWAPEPRDIYWDNLALPYVHLTIRRLVIAVSFFFLTFFFMIPIAFVQTLANIEGIEKAVPFLKPLIEMKAIKSFIQGFLPGIALKIFLIVLPSILMFMSKFEGFISKSSLERRCASRYYMFQFINVFLCSIIAGTALQQLDSFLNQSATEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLVIYHLKNFFLVKTEKDREEAMDPGTIGFNTGEPQIQLYFILGLVYAAVSPILLPFILVFFALAYVVYRHQIINVYNQEYESAGAFWPDVHRRVVIALIVSQLLLMGLLSTKHASRSTPLLFILPVLTIGFHRFCKGRYEPVFVRYPLQDAMVKDTLERTKEPNLNLKTFLQNAYVHPVFKAADNVKDEMVVEEPRADQTPDLVATKRSSKRHTSGSSFETY >A07g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23702927:23703396:1 gene:A07g508640.1_BraROA transcript:A07g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLSRPVPSRPSAGFSSGGTRRDRPARDASGGGSVKQRTSGGGLVKNRSYNGGSVKKRRSGGGSVIIYGMQW >A09p071820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55290657:55292481:1 gene:A09p071820.1_BraROA transcript:A09p071820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENEYYDILAVKTDASEADIKKAYYLKARKVHPDKNPGDPQAAKNFQVLGEAYQVLSNPEKRAAYDKYGKEGVQQDAMVDPASVFGMLFGSEVFEEYVGQLALAYLASIEADLESYEPEIRKQMLQDKIKALQKEREDKLAATLKNKLEPFVEGRTDEFTEWANQEAKSLSSAGFGEAMMHTIGYIYTRKAAKEIGKGKRFLKVPFLAEWVRDKGHHMKSQVMAASGAVSLLQLQDEVNRLHEHQGDNKEEHIQKAIEAKMDALLQSLWQINVLDIESTLSHVCQSVLRDPSVSKDVLRARAVGLRKLGTILQGAKKPYTRGSSLRHEAVKLDTGGSSTATS >A02g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1760817:1765449:1 gene:A02g500610.1_BraROA transcript:A02g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLENGVTKDVFIRTNTVGYKIDESALVRGALIAPILWALSPKGRLTGLKYSRVEPTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPPSSLVSGLSVSVNLWVREASGSEAPSLVVRPRRRARRRGLDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKESKKRSPDSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGGGIRFPDRVEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGFRNQKVLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVTSDEPVIGFTRLSSCFDLELSKSR >A03p035420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14881789:14883466:1 gene:A03p035420.1_BraROA transcript:A03p035420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLTMEKILDGKTNGALKKTKVVCTLGPVSRSVEMIEKLLKAGMNVARFNFSHGTHEYHQGTLDNLRIAMKNTGILCAGPEIRTGFLKEGKPVQLTHGQELTISTDYTLEGDSNTISMSYKKLAEDLSPGKVILCSDGTISLTVLSCDKANGLVRCRCENSATLGEKKNVNLPGVVVDLPTLTEKDQEDILKWGVPNKIDIIALSFVRKGSDLDLVRKLLGEHAKSIVLMSKVENQEGVMNFDEILKKSDAFMVARGDLGMEIPIERIFQAQKMMISRANAVGKPVVTATQMLESMTKSPLPTRAEATDVANAVLDGTDCVMLSGETAAGAHPEAAVKIMARICKVAEDTIDYEAVHERIQEAVPLPLSPIEDLAASAVSKAKIHNAKAIVVLTKGGYTAALVAKYRPSVPILSVAVADDCVSRCSVSVAKRGLIYRGIVPVVATGESTEERTRYAMEFAKEKGICKSGDSVVLLHYIDGSSVLKILPVE >A08p005430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000130.1:17710:23866:-1 gene:A08p005430.1_BraROA transcript:A08p005430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPPSIQSRRAYPVQLALRRPGPVYSHPVHSFYSSFTALGCTMTIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARPDEDVLAIPEGPITRSKSKQLKQAIGGLLMIAWKQEEGLEGSWINQDTLTTIQAISFST >A05p043650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26306930:26309475:-1 gene:A05p043650.1_BraROA transcript:A05p043650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDYGITEEDMVIEEAQGYPIAYAKICRDFDSSPYKNGPPFTFTPYILQHNEISRCREVDKMFPVIDPKARPTTKPKIFLSLLWKQLNHLGNAGFDPAVIRIDPYGNVLYFHADSASPLAWGFDHWFPLSRGGLTVPSNLRIVQWQARKNKKDKLEFLVPWWDLQVGISVNQFLSIFAASSSDFKRRAFSFMFKEGESEELNGSQTVDSHRFPQHFVESKEKFGLASAAVVVSRRDPYDPSLVLRSLDHNRQTPARKMRVGAMKENETPDLMKNPYQAIVAARDSLRHREEVQNMRVEMKKLDDEMNDLKRKNSEEQLTIQELESELIKRRRRAEKGRRLAEAQCSYRNTLEKMIRDAMHQSVVYKEQVRLNQAASSALMARLEAQKAICDGSEKELHKKFKEREELENQVRPELEKARKRSRTLLINEDELLLDDNDKDKKLSLYLPGTSEEEHKAAPSEADTQKHGEIEEVEEEQKIQEVSEKSLAALEEKKGSRSFRVLKEEESEEDEESRRERGKGNVEKWLHILLENNGKTTNPQDLQAERSKKIDELIEKLDHKFPLLDKVSEEDVLDLQLLQAVEANNNNTNKVETRAESSRRSRTSFDLKNTPEKSGRDKVVKRSESARAFRRIPSSPSLIFGMKKGLDCVRKKPVVSGHDDESEYLVKNNFIKSSLQTIKRAVKF >A07g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19450828:19452124:1 gene:A07g507330.1_BraROA transcript:A07g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHKYTRTLLRSSSLGLSEYLHSQCFDIPQNWFDNLLYYNICLMSLENS >A05p054020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31698865:31700640:-1 gene:A05p054020.1_BraROA transcript:A05p054020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARNNHASSVQIQEPRTRSPLPPSPIAFKEQQGRPPPTTQQTITGKLFRNLFKGLLFSQLTLTSLLVIVLTIRGLILASSHHFHPKKWYPPLLASVAVSGVASLTWQCIFIYNPSRAVRATFWLSPILTCSVGILLVLIGSAVDAGVGAVFVLFAITQSLYGCWITPRLEYTDKILSLSTAFPPPRTREVVTLSIVISVVYSGFLVTGIGGATSTRTNLDLLFISVILIGLAWTMQVLKNAQQVAISRARYVNFAHGEDMDAWSALHVTVKHLIGSICIGSTLVPVIVLIRGSIRSVNLMSGSSDEVMYSGADCFSSLANKVVTCGNRWGFVHVGTYDKGFVEASSDTWLKFRSVNGLEKVIDSDLSSSLCFLSAVSVGSVSSLTAGIWMLLIHKDYALEVTLYAFIIGYFTGMVALAWLQACVSAYYVAYSEDPHSMRFDGTIPQCIHRLQMLRAHRDNRERERQESDESP >A02p048280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30042830:30044545:1 gene:A02p048280.1_BraROA transcript:A02p048280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTSSRNFIRFVHLHKQTQLSPQPLINATCRVPFSKLEMVTLIVATTYDPASINPAAALLAMPGWTTGPTLPPVKLRSFFSSPRSCLNPLIVGFLQNIKSFTNQQTRLIQHDVSIVKEDDLDSRWEEATGEVVDEVIFLSRHTAASNRPALTVHPIGVLHLKEGESPPHGGKAGWAALPSPRIGPWLRLLKKMAEAHSLVPEFEITLEGTHHGPITNKPTMFLEIGLGLGGGEAVGNWNSETGKRKVLLGIGGGHYAPRHVDVVLKDDIWVGHLLSGYSLPMEEAKPGENHIGGTWRQSIQAAFEATKASFPGGEILAHLDQKSFKGWQKKAITEFLAEQNINVGRPNDFT >A09p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14182598:14183379:-1 gene:A09p025420.1_BraROA transcript:A09p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELITTKIAEEAHSSFEHLMARARTTIDVNKQVEKMRNHQPKLPKPPYLAKFDGMKLHEWMEQMSIMMIHSIQQYAPCILSHYDSINSTAIEQWRQHGFEETKKFLEKVPENLRNEFMYTALLKKHIEKVVFKIMREIGLLLKPSPVKSIMLFCLGNRVKVDEILIEKKMCDEFVMLWADQKELADLHSTIPTMYRHKISNTNKQHYADMCWYW >A03p016260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6471843:6475243:1 gene:A03p016260.1_BraROA transcript:A03p016260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQRQRLARKRYKEAHPEEFPKPEPTPPKDPNKKRKKKSLFKKKKPTSSSGSSSTRHPLRVPGMKPGEGCYICKSKTHIAKLCPEKSEWERNKICLQCRRRGHSLKNCPDKSDESSFEKKLCYNCGDTGHSLSHCPNPLVDGGTKFASCFICKGQGHISKNCPQNKHGVYPMGGSCKVCGSVAHLVKDCPDKLDRDSAPTKRSRFDATPRGKLTKFSGDDLEDDFYEEPQSSKKMKKNSDATAPDDLDQKSIPEKKQGPKANAASGMAVEDECKLKFLELKAKRNYRFIIFRIDGQQVVVEKLGSPEENYDDFSNSLPANECRYAVYDFDFTTNENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >A07g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10276692:10277240:1 gene:A07g504860.1_BraROA transcript:A07g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGVSFGSESSLPLLVKPMPKPASVAFGRIFFNTSSSNSFEILLQNEAVLKACGASDASGFSKTECCTSAVKK >A09p082870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59850436:59853196:-1 gene:A09p082870.1_BraROA transcript:A09p082870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVISKELEHGSYPCTCDILCIASVACDGSRGTEQVKAERSEDCDRSYICSSRLSPTPSLVTVYEEYMQEQKEKKNLPGVGFRKLKKILKKCRRRDHVTSQIALNEAITHQRGNNCPRECKGCCSPVRSHSPSSSGFENAKRAKTWLTTHFKRLWVRADLARNGIFDYEKLKRTWNVKMVDESEKCKESVMESKKEEAIGLKLKKAVLFPQETEKGLWPALV >A10g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14235362:14236382:-1 gene:A10g505600.1_BraROA transcript:A10g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNPRMLYIDNVDDETEDSEDDLDENFHGDDMPASHCNVDEGRERFGSTDAANIFFYPSFRFGLIHLLSIWKLNLLKKLQGISKFASSSGNKLQETLTPKKLLILALQEAERNGNSIYIICLIRVENSEDEDHTSQVARH >A02g512060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32667895:32668491:-1 gene:A02g512060.1_BraROA transcript:A02g512060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPQDPLASIALPIPLRLLPSSIAVSALNKVIFQVEPRSQEETEHVTQKTESCVM >A09g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2511445:2511974:-1 gene:A09g500630.1_BraROA transcript:A09g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPKSKILGFLLVLSNFSSVLFVHCKEIDSRSHNHNGFRSWNTQKVSVRKILLMWSYLWSWPYVLSGQMDEAHMEEFDLVAEKQATIEKELMELKQDLDLKKDVGEIIVVLESIRAKF >A04p038040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21672884:21675319:-1 gene:A04p038040.1_BraROA transcript:A04p038040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQARRENVVKEREVQVEKDRVPKMTSHFESIAEKGKDSDTQRQQETTHFVSLSDKGNEGEGETKMKMTKMPHTVGKFVVHSGDKEGTTGKKEEEEQERASLEDIQGFRANAQQQSMDTIRAAEERYNKAEESLSRGGQGGQQAEGRGRESGVHVTHVGTEKGGNVQGTASEKTQRASEYAREKAREAGHVGAQKGQEAEEQAGRAKDYTMEKGRDVKDTASEKTQRASEYAREKAREAGHVGAQKGQEAKEQAARAKDYTMEKAGEAKETATEKAQRASQYATEKAKETGNKTAEQAARAKDYTLQKAAEAKDTAAEKAKYATEKGRETGNTAAEQAARAKDIALQKAAEAKDIAAEKAQYAAEKGREMGSTAAEKAARAKDYTLQKAVEAKDIAAEKAQRASQYATEKGRDTGNTAAEQAARAKDYTFQKAGEAKDIAAQKGQEAKEQSVSVTAKAKDYTVQKAGEAVEMSKEAAEYAKETVVEGGKGAAHYAGVAAEKAATVGWTAAHFTTEKVVQGTKAVAGTVEGAVGYAGHKAAEVGSKAVDLTKEKAAVAADTVVGYTARKKEEAQHRDQETHQGGEEEKGQGYVKEPRRGFEEEYRGERGRGEEDVFGYGTKGFSGEERRDVREEYGRGRASEEDVFGYGTQGGGVSRDVGEELYGGGGRRNERYAQEQGAGAGGVLGAIGETIAEIAKTTTNIVIGDPPERTHEQGSTGYMGQEHGRR >A04p014140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5352365:5353068:-1 gene:A04p014140.1_BraROA transcript:A04p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEAEDFQPLPAKVILKSNWDDEDVDENDIKDSWEVDDEPVPHAPVVKPATEKAPKKAAAAKGVEKKAKTVEASKEEPLDPFAEKLRIQRLVEEADYQATAELFGAKTEKLHFGSCSYLEEIAFRRM >A02g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14187911:14193064:1 gene:A02g504260.1_BraROA transcript:A02g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGRLTLPRLSPSSSPSSPSPPNQTQKSPILDCLLHLHLFFILLPRIMTKPQCKKKKGKTTQQTPPTQIDGTVGEDLRLPPRLFATDRFPVRRLNIYSSPEILPFIRHVLRGTPEFDTIRQSPFGKLFDIPARQAPVSCKMIHSFLSRQLLCLPEHTLWTAFGGKPLRYSLQEFGTVTGLDCRPFPEGYHPDTAKSVVPGKHNVWKRVIGKKKTITIAELCCMLETGHKMSQWRKIRLALIIIVDGVLIAHQQEARPTPRYVSMLENLETFFAFPWGRESFLKTISCMKPPKFLKKKKCLDPVGTLVLKLKQDSFRLQGFPLSLQLVAFRAIPQLLSYIPAPTDQKTLMDMEGPHLPQHPSINSNDMFRVEFATDLQVTPIIPIQSQPQPGWGVWPNDPNDDRVRYLEQLIDDHHSFNKAMWPGGVTSLPLNVAPKPRCKRPGNKESVRLKQSLKPKPVINKHTSDKKQRRISSYFTRSSTTSLSNEQLTKMVIELQRGMKQLHQLIKRKKKRSHGRQSSFHTLLNRGKKPDTPQNTDNPEPSSRNDRPNDQDVLARDTYEFLQRQSPISQYEAQPEAFPLHDAAPKDQDVGAMETDELPLSQSPIISQYGAQLHRYSTQTLNDHTPVHTPAVHTQDVHTSPVHNQETDQVGSMETDDTEMNTTNPNSPAWLEVTPKRYNFSNPNTNSAIYDKSEHPNSPPFNHVLLHGVRIYDPLSPDPPSPGPKYDSSLPPSSPLRNPLLLSPEPVTPLTSPNKSNDSLSGFTVHASTVNAFQATASSHSPPCIGTQLAVYKGQESPSSPKLMVNEEHLRQDGFVDLTHTKDTPRHVPSMEENHLAKELFNCPDIPARTLITPLPQIQWDLFFKTLSANQNVFHVTPSTFDFSNKFLLDLAQAQKWTTTYRSTTLRFQAQDKHIEDALLEMRKLIDEQSKLMAATISTTTIDKSNHCTAGTKPHYPVLNIGAAAIALGTMAWLYVMLTT >A06p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20452215:20454850:-1 gene:A06p037790.1_BraROA transcript:A06p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLSRLSLTSSPRLSFLTRTATKKDSLKLDFDTDDDDSNVPSLLNPTPRNCFFTGYIKCSMKSYRLSELSFSQVENLKARPRIDFSSIFTTVNPIIDAVRSKGDTAVKEYTERFDKVQLNKVVEDVSELDIPELDSAVKEAFDVAYDNIYAFHLAQKSSEKSVENMKGVRCKRVSRSIGSVGLYVPGGTAVLPSTALMLAIPAQIAGCKTVVLATPPTKEGSICKEVLYCAKRAGVTHILKAGGAQAIAAMAWGTDSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADEHASPVYIAADLLSQAEHGPDSQVVLVVVGDGVNLKAIEEEIAKQCSSLPRGEFASKALSHSFTVFTRDMIEAITFSNLYAPEHLIINVKDAEKWEGLIENAGSVFIGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKFMTVQSLTEEGLRNLGPYVATMAEIEGLDAHKRAVTLRLKDIEAKQTQTK >A07p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11486655:11488821:-1 gene:A07p019310.1_BraROA transcript:A07p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIIPPASLLKLIAEIAEIPLNAGVFKKDCTDLTRRVSLLTHLIEEIKDSNQIDSSSSENDWWSDLVVALQASKRLLSSARDSSDVATKRISFQFQCVTWKLEKALSNLPYDLYDISDEVKEQVELARSQLRRAMQRYGSLNSNKFSSALSELMERDVKIKAEEEKDESVAETLHLAEEKKQLTKSPSISLAFYLSKDADSERLDKMVTKNTDESSKLTVPVDFLCPVSLELMKDPVIVSTGQTYERAYIQRWIDCGNLTCPKTQQKLQNFTLTPNYVLRSLISRWFTEHNIKQPGNGRCGDMSMIRSLVRSLSNRSLEERRNAVSEIRSLSKRSTDNRIMIAEAGAIPVLVNLLTSEDVATQENAITCLLNLSIYDNNKELIMFAGAVTSIVQVLRAGTMEARENAAATLFSLSLADENKIIIGGSGAIPALVDLLENGTPRGKKDAATALFNLCIYQGNKGRAVRAGIVPALVKMLSETSSHRMMVDEALTILSVLASNLDAKSAMVKANTLPALIGILQTGQGRNRENAAAILLSLCKRDNERLVLIGRLGAVVPLMELSKNGTERGQRKASSLLELLRKACQ >A06p045770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24520880:24534284:-1 gene:A06p045770.1_BraROA transcript:A06p045770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNISHLESDDSEFVEVDPSGRYGRYNEVLGKGSSKTVYRGFDEYEGIEVAWNQVKLYDFLQSPQELERLYCEIHLLKTLKHKSIMKFYTSWVDTDNRNINFITEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLDYLHTHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAMLQHSHAAHCVGTPEFMAPEVYAEEYNELVDIYSFGMCVLEMVTFDYPYSECTHPAQIYKRVISGKKPDSLDKVKDSEVRGFIEKCLASASLRLSARELLDDQFLCIDYPSNYYSDNDQSQWTYNGDEMIESHGIEIFEFQSDDHDQAEEYDNKFDNVHISIKGKRRDNGDELFLRLRIADKEGLVRNIYFPFDIESDTAISVAREMVEELEMDDRDVTKIANMIDGEIASLVPRWRSGLGFESSFCNCASNRSAVDFNVRQCCRNMCGEKHGRFEEITSGVNNSDEEEVFSCRLQRERNLVDFHNRRALRNRSSHGGESSHYQDQEVHDQQASLQEAVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDNVESAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAAVQDSDHKFLTQAVEEAYKGVDCGDGGPFGAVIVHKNEVVASCHNMVLKYTDPTAHAEVTAIREACKKLNQIELSECEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTGVYQKSNLEIKKADGNGAAIAEQEMSPSDEREAVFSLESPELEEDGSGGGGGGGGGAGGETDSDEEAVMPEPNAPEEDDDHEYEDLNSPLVSAAAKSSSAATDTVTVALPAGSAVPVSSIPADSDPKWHRTTEIVHLRPPPLDDSRRLFQRLWTDEDEIELLRGFLDYVATHRGTSSHPPDTAPFYEQIKSKLQLEFNKSQLVEKLRRLKKKYRNVMSKFSSGKEVVFKSSHDQATFDISRKIWNQTGKIIGFEDNNVMEFEETNGNNAHVEVDSENVLEKKVTMSSGSRKRPRSRIGKIDEDKAVSLSDGPVPSVNLNENVSAFGELADGRNLGGLIEETVKSCVSPVIKEMMNGTTGMMMAAVGGYPGGGGAHAMGVLSPMLMPSVNPLGFGGGGGVGDERWRRQQILELEVYSRRLELVQEQIRATEFQRETNMEDRCLIKNDVTELIGNTPMVYLNKIADGCVARIAAKLEMMEPCSSIKDRIAYSMIKDAEDKGLITPGESTLIEPTAGNTGIGLACIGAARGYKVIILMSSSMSLERRIILRALGAELHLTDRSIGFKGMLEKTEEMLSKTPGGFVPQQFENPSNPEIHYRTTGPEIWRDSAGTIDILVAGVGTGGTVTGVGKFLKEMNQNIKVCAVEPTESPVLSGGEPGPHLIQGIGAGIIPTNLDLTIVTGEEAIETAKLLALKEGLLVGISSGATAAAALKVAKRPENAGKLIVVIFASGGERYLSTKLFDSVRYEAENLPIE >A03g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7196917:7197388:-1 gene:A03g502210.1_BraROA transcript:A03g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETENRSAMPFQIIPSPKKLVLHDSHKNLPSHNPIWKQLPLPCLEGLCLDDLCFEAMVRRSTGIAYVFLSRFIGQAIEGYDGQEDGIKFFGLSGNLTEDALD >A04g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1267175:1268270:-1 gene:A04g500350.1_BraROA transcript:A04g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKACSEDSWNLPHPRSENEVILHAHLTTVAPPVPTSSAYKFFWTVDETRDHILLTCGYSSEIWRYVLPRLESPNVCFMNWTELLSWIKAPARGNFCTLKKIVTQSTLYHFWRQRNNILQNRVLIPPDTVFRIIDREVRNILLGRRGRRAYNTLLSSWLKFE >A06g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23654862:23659553:-1 gene:A06g508430.1_BraROA transcript:A06g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLENGVTKDVFIRINTVGYKIDESALVRGALIAPILWALSPKGRLTGLKYSRVEPTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPPVHLRDMASGNRLSREEKRKDIATSPSPARDADGGPLEDFDIIHRDALRDTENMSLSQRLLVADAHRQFREEIEENVEGEDREASGSEAPSLVVRPRRRAHRRGRIDQSDRLPAPRSVPFYEIDCRPVIYHPGGIFEELPPLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSAMLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKESKKRSREETSVGAMETSAAAGNDGAERNDPADSTRRSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGRGIRFPDREEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVIFISLIFANRQVMNRCPKVDNKELSIRVKGPSVRFLSDN >A05p021690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24737879:24743313:1 gene:A05p021690.1_BraROA transcript:A05p021690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRWERFTSLNGRRELRAEFLRCRSEDFHGKRCTMSMQMDEMCMVMCGAWLCGSDGKWEFVVDKTKMARMIPVHEALTIKEIESLVFAEFKKSEASFNLALSYWPPDSKDLATGIKTPPVLLTNDGALRYFFTHMKVTGSLNLFATFESLGYGTAAPDMDVFETPRCSAKQRQSGTKRKAVDLSSVGSKTNFINLDDFQLIEEVEKFEERLRSESNPTGGGDCNGWSEGIDNDYSGPEEIDERDIRPRGYDVEFWEPLIDGDLGGSDAVEVVFNDKEENGVAKLSEGSSSEPVGESSGGSGVKGEIPVDDFAWMGRTSVIRPIGDPRDESIPEDVRDAMLMPPLTKRPPGRRRTKRFPSTGEMPGPKKKSVPNKCGRCRMATCGVRSVLVMVMDGVEVFCFLVRKDPGGVGGSVGGNGGLGCGCGEWFELCVGLDDLIVVVGYVVWVGVVVITCESGRWSAKMWLDWLWLRPSPAVSDVDDMLVVVAYLFWLEVEGWGTVSSWSKRVGLLGRLDYFVWLKNGLVRLEGCFVDDMIVVVVCDGEEGCCG >A10g505190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12936575:12937126:1 gene:A10g505190.1_BraROA transcript:A10g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSPCNLKIILYMLLLNSLFLCIYSAFHSSSSSPEPNPNLPLRFHVSLSNHSATQKPWPILPSYLPWTPPQNNLPARSCEGFFGNGFTGRVEFLKPRISKEGSWFRCFYRETLQSSICEGRNLRMVPDRIAMSRGGEKLEEVMGRNEEEEEFQEGAFEVAEHVSFKKRNRQTRRGFSAAGE >A04p026640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16034253:16035600:1 gene:A04p026640.1_BraROA transcript:A04p026640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGIEIKPGKPTKVEQEDGYMIHVSQIAIGEFNKVGTVQVFVKVGGDEKQKLLIGNLSQKVPQVLLDLLFEQDFEVSHECKSSSVYLLGYKTVDPLDGELNSDFDSEDEDIPMDMFQSELEKSKANGLNGQKANLEDDESDSDEMGSDEDEDDSEEEEDTPVKVEPPSKKRPNGGAMNNNNTVASKKAKVANQQGGHKGHKCGGVHPPKRN >A05g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24639698:24642162:1 gene:A05g508460.1_BraROA transcript:A05g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p010920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6678340:6682024:-1 gene:A10p010920.1_BraROA transcript:A10p010920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELIPEVDELIAEVELVEVDMKGLVVKEVVSGHGDVEGLVVVVGCVLLWLEADNHIHNQTIGSGSGVSLGVARMAAKQKPKNLIAPVHQSFDANISMEARHQIIDSNITFIPAVHEKKVKSPMEPDQKMVTADALIPPYDAPKIGALNDDDPAEEDEMQEEFAGNELMVIEDDDLLGAGLMQMDDHSNNQLARLNDSDETIQPDMILIIEEKPEGDEADSADTNKALVESVRRASPRIRAISSSKQANGAGRGRLAQQSIYRFRRFRCLSIYGDLKTIKLSPYFDIRYRFELGFQFNRFEVNKQHIADVMPVLLKSGQSASREEAVEEMKDCRSTVHPCHRSTVMPEYGQAYFMTD >A06p056980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29634168:29638663:1 gene:A06p056980.1_BraROA transcript:A06p056980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIFKLLEEDEDESMHSGADVDAFQAALNRDIEGSASLPTVQGNSQSPTEQFTTWTNGIRDSTINLHTQMKEQLQGSRVENQHQRSDESHSQDLLHQAGQRWENPSQVPHPTEKNLTGNQVSESQYSKLQKMSSQQARGVEQPVNPINRNPKQVPFAALLPTLISQLDKDRAMQLRTLYSRLKKNEIPKEGFTRHVKEIVGDQMLRMAVSKLQQVGLNQGKMGIQAPTEINNKKSESDPRGVVHLNQLPTSTGSSVPVQGFTNHPQHNQMQRPPSSFPMYTSSGSFHSFPGPSTNVSGSSLRPHLHDSHMRHVALNQAVGSTGLGAPSQSTTNMMTAPKFERPASVNDPSRVQGGATSHFQNSSSLPLNSVPSQGSSLSHVKQESVEQSFEQNKAAPGTSKEDLEKESSRMVLSAPSNIDANTSMNARGPLGTSQAGFNARMPPKKPTVGQKKPLETLGSSPPPPSKKQKVVQNSMDQSIEQLNDVTAVSGVNLREEEEQLFSGGKEDSRVSEASRRVVHEEEERLILQKSPLHKMLAEIMAKVGLKKISNDVERCLSLCVEERMRGLLSHIIRLSKQRVDTEKYRHRTFITSDIRLQINEMNHKMKEEWEKKQAEAEKLKKSSQSEEGDGGVDSEKEKEDNRSKGLKGNKEDDDKMRATAANVAARAAVGGDDTFLKWQLMAEARQKSVSETGKDGNQKTTSGGGRNSKERQDGGRRFSGPGGRRLGKNQGPSLQPKVVRTISVKDVVAVLEREPQMSKSTLMYRLIQ >A10p020730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14046605:14046894:-1 gene:A10p020730.1_BraROA transcript:A10p020730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYPLRTNIYVNPPVAPPLIGGYGYGCGGWGLSPFSFFAPGPAVAAGVGGGFDPSFSSCFLEPLQP >A02g512260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:33197259:33197804:-1 gene:A02g512260.1_BraROA transcript:A02g512260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSDSSHYGGDQADSGYSETEELIGRDQAELELKYPEPSQYPMQYPPQPEVEFGFPQVCYCGDAPILVTSKNDPGRRVYTCKNVDDGDCHVWKFWDVAVMEEMRARDKHILQLEEKVDNLTLMSNFESEEKVVRLENLVSDLAKKSFTLKIEFEVCVGVMLFVLVVLGLVIGGK >A01p038190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14473942:14478028:1 gene:A01p038190.1_BraROA transcript:A01p038190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNSSSPATADPNSHKPEPSTPIPNPNPPQQQQHPQPLDPTKPQIFQPGPPPYAPPQIPGSLVPNLPPPPQFRPGMQFNQVPNFQNPIPPPGSMPYQSQPPPNAMRPFTPMPNGYPAAPPPASLIEKRCKASTHFYASLMLLFVATDFSLVLALSDCDTGAIFLMCPSGFCVHPGGVLRYPSPYPTMVRPGFIMRPPGTVGAVPLVQRPPIPGMPGLRPVMPPMIRPVLAPFVPPVEKPQTTIYIGKIATVENDFMMSILEFCGHVKGCLRAEDPTTKKPKGFGFYEFESAEGSLRAIRLLTKLTIDGQELLVNVNQATKEYLLKYVEKKIENAKKAKESQGEGPESEREKAVISAAPTVGETGKDGEPKSKENIDIANSALLTDEEREADKEAKEKIDNAIEQRSKTNPLPPPPPPPPADVSGIELAFKSKDGDSNTDVSRSDFAANDVETPGEHSRPDTSSPDWSKRNDRRSRDRGEKEQEMDRYEREAERERLRKEREHRRKLEDAERAYQTRLRQWERKEREKEKERQYEKEKEKDKERKRKKEIRYEEEEDEDDDDSRRRWHRGAEERRRRRQREKEDDLADRLKEEEEVAEAKRIAEEQKLQQQQLDALRLLSGQAVIGSEPNQTSTIENDNKATLQTVGESFSEHHASDMEQNGSGNEMFMAVDNNSGSEAHAPSKKLGFGLVGSGKRTSVPSVFYEEDEEEAHKDKKMKPLVPIDYSAEEQEAVAHGGSGNTPTPPHLALAAEFAKRISSSNPKDETTEGEKHRSKRSHDKPSHREREREKDRDRARDRGDGHGGSAKDGKDSGKAKTPDTKKLMDAKQLIDTIPKTKEELFSYEINWAMYDKHQLHERMRPWISKKIMEFLGEEEATLVDFIVSNTQQHVQAAQMLELLQSILDEEAEMFVLKMWRMLIFEIKRVEAGVPVKSKA >A08g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5746336:5751200:-1 gene:A08g502860.1_BraROA transcript:A08g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLTPLEDPPTASSTDDDQVEALSGDDEKEQISDDSSSDHLMNPVAIPSAKRSKSEKPIAVTKPKAVKKRPIETTSVSAKRARIAEEAKKPSSFQRLWSEEDEVSLLQGMIDFKADSGKSPYEDMDRFYELASKSISFEASKIQFVDKIRSLKRKYMGKAKHGAESLTKAHDIDCLKLATCIWGLALDSNSSAKKLGKEEVVAPESANGDKVEEDREVLVSGGEKDKEVLINGGGASKSHGVRADDWFEDSFLVGSIAGLGVSEQFVKQKWSMVTVETKKRMEDKWKLLQAKEMELVLHKTDFMQEKESAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTENDTKFGSFSRGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQNVMVSEDNQQPKSNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVRKNLDSAFGNADATNADLVSDSPRKEPPFGSGCRGLGKRNNLAADLERNEAELKKKQKQEEAD >A08g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:643764:644255:-1 gene:A08g500270.1_BraROA transcript:A08g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYGTIPTSSHPSPPIDLEPNLVYFRANYVIAVLVILFLSLIYHPTSLLVLAILVVFWIFLYFLRDEPLGVFNRQIDDRTVMICLSVLTVVMLLFTHATANVLGAMLTAVVLVLVHAAVRRSDNLFLDEEAVAASEYSGLTSYPSS >A05p005750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2219976:2221268:-1 gene:A05p005750.1_BraROA transcript:A05p005750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRISLVAIAIALLMATLVSAGEDKAKDKEECTEQLVGMATCLPYVQGQAKTPTPDCCSGLKQVLKSDKKCLCVIIQDRNDPDLGLQINVSLALALPSVCHAVADVTKCPALLHMDPKSQEAQVFYQLANGLNKTGPASASTISAPAPTSVSPTAGSEDGNNSGRATSLPSKNHAQSFRKPWLVLEVAAHLFIISFTITL >A01p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:710739:712567:1 gene:A01p001610.1_BraROA transcript:A01p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-B1-1 [Source:Projected from Arabidopsis thaliana (AT4G37490) UniProtKB/Swiss-Prot;Acc:P30183] MMASRSIVPQQTTGDVVVIVEDDDKNAAKGRNRQVLRDIGNVVRRNHPKNNDPAKINHPRTRSQHAPLVELVKPVARRVAVAVPKPKKRAEKPKDVEVIEISSDSDEEHGLEKKAAAKKKISVSYTSVLTARSKAACGLKKKEEIVDIDSVDAKNDLAAVEYVEDIYSFYKSVESEWRPTDYMRSQPEINEKMRLILVEWLIDVCVRFELNPETFYLTVNIMDRFLSAKPIPRKELQLVGLSALLMSSKYEEILPPQVEDLADIADHAYSHKQILVMEKTILSTLEWYLTVPTHYVFLARFIKASIADQRMENMVHYLAELGVMHYDTTIMFSPSLVAAAAIYAARSALHQVPVWTSTLKHHTGYSETQLMDCAKLLAFQQWKQQQQQQEEGSESKKGALRKKYSKEERFGVAMIPPAKSLLTGTDSA >A03p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1871533:1874380:-1 gene:A03p004430.1_BraROA transcript:A03p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESGNLEASVDRLLNEEKQMRLAENVAGTRKAATEILQLCFEAKDWKLLNEQILNLSKKRGQLKQAVQSMVQQAMEYIDQTPDIETKIELIKTLNNVSAGKIYVEIERARLTRKLAKIKEEQGQIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFDADTKIDKKKPKEGENIVEEAPADIPSLLELKRIYYELMIRYYSHNNEYLEICRSYKAIYDIPSVKENPEQWIPVLRKICWFLVLAPHDPMQSSLLNATLEDNNLSEIPDFKMLLKQVVTMEVIQWTALWNKYKDEFEKEKSLIGGSLGDKAGEDLKLRIIEHNILVVSKYYSRITLRRLAELLCLSIEEAEKYLSEMVVSKALIAKIDRPSGVVCFQIAKDSNEILNSWAVNLEKLLDLVDKSCHQIHKETMVHKAVLRS >A07p041970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22617978:22618974:1 gene:A07p041970.1_BraROA transcript:A07p041970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINGYEHGASSRKRRSLYHNLGGGHFADIMFWKNKKESGTILAVFTLIWFLFEVLEYPFITFLCQILLISIFIFFIWSYIGSSQLIKRRPPSIDDLKISESTWRVLFDKINWFIIKLYDVSSGTDFRLLVLAVVSLWILSVVGNYFSSLTLLYIVFVGLETIPMLYELYEEELNYAASKSGMNMKKLFDNFNSKVINKIPKATGKTKRRI >A07p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17305267:17307983:1 gene:A07p031250.1_BraROA transcript:A07p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTCPVPSHASESPGKIGSSIPNKMDRTLDLESGTNHELSKPVPGMWRFPTNPDLCCIYRVPDCLREVNPKAYTPQLVLIGPLHRSLKSQALKSRGDITKAKSLGYLNTEAHKKIYLVEFAKRVEGNKVVEELKRQIKEDEDMIRASYSESTTWIDSPDFVEMILHDSIFIIELMLRFNLKGPERIGDPLMDEPCLENTIKRDLILLENQLPYFILEKLFDPIIKILYPSETMRTLVISYFELDKKKKLKESSKFRHFTDLFRCIRVETLPEDGVGGFEHIAKMHNADKLYNRGVKFEAIEEEFSVWVKFDVETGCLKIPCFRADDDMEIELRNIMAFEQSYYPYNAYKDVDLLVEKGIIKNWLGHHGAISTLVNKLGLGVMDDGSSYAKIASNVIEYYDDSCNKARSILKRVYFSNLWRGTATITAGCILILTLIQTVTSIIDIIQK >A01p053650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29917332:29919684:-1 gene:A01p053650.1_BraROA transcript:A01p053650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLNAQVKKAGIFQRLKEFPSKLKDGVTKRIKNVQKFGKDDPRRIIHSLKVGLSLTLVSMLYYVRPLYNSFGVSGMWAILTVVVVSEFTVGGTLSKGLNRGFATLIAGALGVGAVHLARFCGDKGEPIVLGIFVFSLGAAATFSRFFPRIKQRYDYGALIFILTFSMVAVSGYRTDEILVMAYQRLSTILIGGTICILVSIFVFPVWAGEDLHKMVANNIIKLANSLEGFEGEYFPSSEKTSKETNSRVQQYKSILTSKSTEDTLANLARWEPGHGRFRLRHPWTKYLKIAGLVRQCAFHFEILNGYVLSDVKIPQDFISKIQEPCSIMSREACEALKAIAKSIKTMKRDSVCVNAHIENSKNAIQNLRIALKTSSPETEKDLLEIIPGVTMASILIEIVNYVEKISEAVEEFSGLAHFKETPDPKVSPELGQHQLLHRGSVKPVLEGDSEEENNSSPHVVINVHDEQPPTTSEKIVSGVQKTRVGVV >A08g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10838413:10841439:1 gene:A08g506360.1_BraROA transcript:A08g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRIPIEKPFDEAYFTHRLWMLFREKKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCLTVTDDRNNTSLDVTHPVDHFASPIHCYQHFAFQPPSKRGHDYYSIGSWAYSGFHESFAVDTDERFETHEFTNTIPTSFDAVHSISVDTHPCPAKQPLTSIDTYIGTSIDIRGAAKIQEANTQAKRMNTLPSTPTEQSMKINHLKNTSSAELTLLSIDATESTSIDTTLNPNLWTQMPTHVQWMEGSYKYVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDDPTSIDRRYEFGNRAFDMYGARKFTWEQRDEYGVYRDECGHARDVAELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHHPLDRGYNELFRSMAEMRIEIESLRQQLEKEATTSASIDAPHSTSIDVSLPTAQIPAEPQCSTQHMDEWEVSYIDTRINNVYCPLNNNQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTQRNRSMATRRDRPTNT >A10g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8066707:8075084:1 gene:A10g503090.1_BraROA transcript:A10g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAKQVETLTARTAAILLHGTTRVHRRRLDFATPLNKPSNAQGNYLLIRWRTKTNLSELTWIPTISLIIRTNKLTFIQEELEAERLGLFDKPMTKEVENIFWIEKEKIADSIIAKRPRMHAIRSLHSNQVQAKARSLRSDRARAKTRSLRSDRARAKLGRYVATDRASVLLGRYVATERPSRSVATDRASVSLGRYIATGLEPKFGRCIATELFRNVETTPVHAFSVNFGSHSLALEGGGGTDQSNPQKPHHAESDMSTNDADNVQTPLNGGSGTDLHTSAADVSAANAPANAATLEEFKKMFATYEKMSEEQDKLVSTLTKQVETLTARTRAIHPRGTTKIHGKRLDFATPLDRSGVARERPLGQSPSEKSPIEKGNPESPPPPAKDSEDNEAERIDLDPSDVSNDTDEDIDRHPRRTRSRSARESSPFEKPMTEEEEIAYWNEQEELAERQTELTCIYDFRYSKSSIKLCGLIPRKVRSLSKEIVINASSPKTAQRDLKHDSRPILRFLNQKPVNHRTVYAWSARKDKCQVSADKYGNFKDNHEDRKKWNISIFML >A07p051290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27248550:27249354:-1 gene:A07p051290.1_BraROA transcript:A07p051290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSLVRLLNGYNDAKESSGAKSTTAPMTRDLLGSRGGGGGGDRSLELDLDLQVPTGWEKRLDLKSGKVYLQRCNSTSSSSITNADQSNQTLPTTSSSFQDLNLFPPNPTNSHAKPLLNLFDDTSPELKLLPPNRNFQSVCTLDKVKSALERAERDPVVLKKRQSPDDRHHHRGAEVASSSPVAAGCPGCLSYVLVMKNNPRCPRCDTVVSLPTSSSTKKKVKIDLNISI >A07p034290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18666571:18668489:-1 gene:A07p034290.1_BraROA transcript:A07p034290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPSATADFLREVDPQIWRACAGASVQIPSLYSRVYYFPQGHVEHSCPSSLISSFSTAAPVPCVVSAVELLADPITDEVFAHLALQPISPEHFSPSNFSGFGSDDDDDNNSSSSNKVVTFAKILTPSDANNGGGFSVPRYCADSVFPPLDFHADPPVQKLFITDIHGVVWDFRHIYRGTPRRHLLTTGWSKFVNGKKLISGDSVVFMRKSVDEMFIGVRRAPISNHGDEYYGGGKKGFRRIGMGKLTAEAVSEAVNKAVQGYPFEVVYYPTAGWSDFVVRAEDVEVSMAGYWSPGTRVKMAMETEDSSRVTWFQGVVSSTFQETGLWKQLQITWDEPEILQNLKRVNPWQVEVVANSSQLLAIYPPTKRLKHSDSASGFLSGEGEMLYSGRGQQAVDPSPYMFSYTTFPAGMQGARHYEFGSFNSTGFIGENTPQLCTNNFFSPLPGLRKVSTEMMNYGSPLSDDLSPNSNTTNVSSGNELVGNRGHAVRVSSIQLFGQIINVQELTESGLAEGLYEEDGSKESSDNEVVNETQLSLTYAQGMLSE >A02p017000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7647406:7647862:-1 gene:A02p017000.1_BraROA transcript:A02p017000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLRVRMASFFAGAATASLIGLSVLYKDYKVAHESISHQAKAFHDSLDTRISTLESLRQSEAPQLPQTTTD >A08p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1701533:1702951:-1 gene:A08p002990.1_BraROA transcript:A08p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYYYQYLATAISDGMKFYFGYSMAVKLAWFECKSISKVDMCICTSAQTRHGQRRQNNNLRSCDGKQHGERAAFKEDSFSSSNYDSRRALYNNSAPVFVRGLSHGREEIGEEKCCLRAIRFGVRKYVSNWFLSCEAVPLDDSKGTLELAPKQKAIILHNKVETLPLQSN >A03p002340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1083408:1086281:1 gene:A03p002340.1_BraROA transcript:A03p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic endo-beta-N-acetylglucosaminidase 1 [Source:Projected from Arabidopsis thaliana (AT5G05460) UniProtKB/Swiss-Prot;Acc:F4JZC2] MSISKDEAMLAPPPPFDPTKPSTPISFPIKTLQDLASRSYFDSFHFPFNRSSVSLPQNIGTLPDRSRLLVCHDMKGGYVDDKWVQGCGNNKGFAIWNWYLMDVFVYFAHSLVTLPPPCWTNTAHRHGVKVLGTFITEWEEGKATCKELLATKESAQMYAERLAELAAALGFDGWLINIENVIDQVQIPNLKTFVSHLTKAMHSSVPGSLVIWYDSVTVEGRLAWQDQLNEMNKPFFDICDGIFMNYTWKENYPKASAKIAGERKHDVYMGIDVFGRGTFGGGQWKANVALDLLKSSDVSAALFAPGWVYETDQPPDYHTAQNKWWSLVEKSWGIVQTYPQSLPFYSDFNQGLGSHVSLQGQKLSDAPWYNISCQSLQPLLEFNEGNKSEAMQVMVDGREASYNGGGNISFKGTLKRNAHYTTRLFKPNLKLSGSHISVSYSVKSDERSMLGILLRFSTPSQETKSLVMAPNEYMHRFGDMFLPCLRRTTSDWTVHETNLLMDGHTLTEISALCYGPDDLAEETNTKGYSALLGHISIKSQQKTKPFPPASAWVVEAHNIEIVPGDSGSRTLSCKLQWRLKHPEEDSVFTRYNVYAEKLNSSDYKSRKVMEEPRSEKVFLGTAHVDAYYISDMVVGPDVKKVKFVIQTCGEDGSWQELDASPNILVEAERLSSKLCCCGLI >A05p054870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:47550:49125:-1 gene:A05p054870.1_BraROA transcript:A05p054870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGNSPISTDRNTNKNIVASSATSRPGRKSTASSAAVMKPNEKSVVSSATSATAMKPNGNSAVSSAVLMKSNASTALSSAQADQAMFFRDVSFGPHEAVLRFRLIHFWEAQNPNTKTLIGQEMLLIDEEGTVIQGFVPAGRVGTYELVSGSVYKLNNFFGSRNKAQYRVSDHIATVTFAWNTKLFVLDDPPVPFLEDSFRFHSYEEFQANCDRKVDLYDYVGHMKLVNRQTITEHAVLDEIDIAEKRHLCVHVQTHDGPVMKLYLWDKAASDFCEKFKSYGSTPSVLLVTTVNPKRLGGHLNYIEGVEADHCVPVPQALLDTIGQTYKFLVKVSDHNLSGKTQTITVTKIFPTEAPRPVSPLEERDVPPTSDDFLKTGSQESGPSRDFEDYAGDRVRKADESLESDEAKRSKSG >A04p008760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8035326:8039005:-1 gene:A04p008760.1_BraROA transcript:A04p008760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGDIFTNTLFINDQKTILALTLSLSLSRDPLLFLSLSLDLFSSSLPPIHRRSTVSPPSPPSLTKAWTSRLSSFSSYFLRFCNLGLLRNHTKALCLSAGAGHAPMAMAQIGLSDVTAVELVDSLPLVRRADPHNLSFFYGAFDFAFTAYLDDALFPWRVVEEMERTVRRGGFCVVAVDECGGDDVREIARLFLKSKLVDVANANNLLRGATCFWNRRRLLRLSLTGCSFRQNEHGRGSIGPRMDETGPYLPTEKVDQLQSSDTRERKLQWMEEPTLLVTRFEYASMFHTVTDWYSAYVSSRVTGSPNRPHVVFIHGHCTTQLEETWTGLFSGIRYAKNFTKLVCFRHTILSPLGYEITLFNGLSGEIDCNGESPHSLWLDPDNTKTARLSEVVSLLKCLR >A10g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6637202:6639586:1 gene:A10g502440.1_BraROA transcript:A10g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGEQNPKQNSPQKIQFLNVCSGNSLEKSTVKMGRLTKLILGLWSKSANGEWRFEETSAYHGECVVINKNASLEGLLELIRIRLDLGILTPVALTYQLPDWMNLPEGAKTPPINLLSDKDVEIMTSVEDYMAEAVPFVTSGPELVAKYQFFCRSPFTIGDTTYLGEEVTEAQHRQAIRDLVGGHPIVCSKHILEIMFNEPQLLIVFRVAIEIEMVHGFPNDEGETQDQAQFQRLTVDDIISIDGDGSMSPEDLTYFNPYEEVLYGKPMSLEDLENAVPNLQPAEILHLSTPLEVQPLRIWRDITQEDPYLDDMMDEEDSYEVYVGESPHENQGVLGLPLAANRRVSAPQPATIIIIDEDDDSSTTDSSDRINHNNNISNATATQVNKPNSTDVQNNTPSFIKGDSSAGLETDSCKDVNMITPSAPEKGTDVEPCLELTLGVGNKTSVVAQVPLGTLDDSSSESDETCDGIVGKHTINSDELYEGKIFTSRADFKQQLAVYALRHKFRFKNARSSPEGMVLRCISQTCN >A05p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3150530:3152117:1 gene:A05p007780.1_BraROA transcript:A05p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKAESTNKNVKKANLLEHNSIKHILDESVSDIVKSRGYKEDVRLSNLKLILGTVIIVVALVAQFYNKKFFDYDKYVVLNGVLQLILYTKEKNAILFTYPPEGSFTSTGLVVSSKLPRFSDEYTLTIDSADPKSISAGKSVQLTKSVTQWFTKDGVLVEGLFWKDVEALIKDYAKEEPKKKK >A02p032080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16836277:16838288:1 gene:A02p032080.1_BraROA transcript:A02p032080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENGFIGSIDQGTTSTRFIIYDHDARAVASHQVEFTQFYPQAGWVEHDPMEILESVKVCIAKAIDKATADGHNVDGGLKAIGLTDQRETTVIWSKSTGLPLHKAIVWMDARTSSICRRLEKELSGGRSHFVESCGLPISTYFSAMKLLWLMENVDAVKDGIKKGDAIFGTIDTWLIWNMTGGSNGGLHVTDVTNASRTMLMNLKTLSWDEDTIKTLGIPAEILPKIVSNSEVIGEICKGWPIPGIKIAGCLGDQHAAMLGQACKKGEAKSTYGTGAFILLNTGDVPIKSGHGLLTTLSYKLGPQAKTNYALEGSIAIAGAAVQWLRDSLGIIKSASEIEDLAAMVESTGGVYFVPAFNGLFAPWWREDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMNKDAGEKGSLDNEKGEFLLRVDGGATANNLLMQIQADLMGTPVVRPVDIETTALGAAYAAGLAVGFWKEEDIFESGEKSKNSKVFRPVMEEATRKKKVESWCKAVERTFDLADLSL >A04p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:873255:874155:1 gene:A04p001770.1_BraROA transcript:A04p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSKGKGISASALPYKRSPPSWLKTTSQDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >A01g511640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34332728:34334198:1 gene:A01g511640.1_BraROA transcript:A01g511640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPPSLPDRSSEETYSCSRITMATQAASFSGNLKKAVAGIKRINLDGLRWRVFDAKGQVLGRLASQISTVLQAKDKPTYCPNRDDGDICIVLNAKDIGITGRKLTDKYVGHLKERSLKDQMAKDPTEVIRKAVWRMLPTNNLRDDRDRKLRIFEGSEHPFGDKPLEPFVMPPRTVREMRPRARRAMIRAQKKAEQAENGGAEVKKGKKRAPSQV >A07p052170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27567630:27569729:1 gene:A07p052170.1_BraROA transcript:A07p052170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDDYVEYVPVAKRRAMEEQKILQRKGGKVLEVEEEASEKEKLPESKPSLLVQATQLKRDVPEVSATEQIILQEKEMMEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLRIRKMSRKQMDLIRKQWHIIVSGEDIPPPIKSFEDMKFEKPILETLREKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTMVFVLPMIMIALQEEMMMPIGPGEGPIGLIVCPSRELARQTYEVVEQFVAPLVKAGFAPLRSLLCIGGVDMRSQLDVVKRGVHIVVATPGRLKDLLAKKKMNLDACRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPTKIQIFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTSPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEDREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELKDPMEEAENIANASGVKGCAYCGGLGHRIRDCPKLEQQKSVAISNSRKDYFGSGGYRGEI >A02g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23696232:23702431:-1 gene:A02g508800.1_BraROA transcript:A02g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISADVRYQKMPRQMKITIDRCTQNIYCKCFDGRESFSFLDLVLLQVSFGEKITCDWNSLAFSYSRIGLARTRRSMSSTDNRSMLAKTQESKIEEMLDPVLLGQQQITVDFNGKIDSAYNNLNTKIETLGTQVRKLETQVIKTGEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKGEANVKEMDGFTKRVLRIPIEKPFDEAYFTHGLWMFFRETKVTEEDIRRMFHQVREKMKHMITLTKKSDPGKFAIPCVVKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLEIHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVATTEINPDLSRQPKGQASIDGTMETSIDRVTPTSIDMDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKHCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNEHIIASIDAESIPIGEQLIHKIVESMQKELTDLSAYAYDNIGWHQVSIDNIQERVQNISNVLEKMDDK >A09p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18573520:18580376:-1 gene:A09p030500.1_BraROA transcript:A09p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPQVSLGNSTGGDAKEEEEEEEEVNQHQLEEEEEEAESRDQIVVEEKSDDQMEVDPVSPATVFCVTLKQPNSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSKAIPPFWIPIHILIPERPTECAVFNVVADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPTQGAANLVHDATSWQCEHEWRQDIAVVTKWLTGASPFTALAYPGKFILIVFSSFENQYKWLSSNSKTSSGTNAKSTFEEKFLSQSSESSARWPNFLCVCSVFSSGSVQLHWSQWPSNQGGTAPKWFSTKKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNVLQATPKISTGSHVPPSLSSSAWTGFAPLAAYLFNWQEYLISEINKGKKPTDQESSDAISLSCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWKVQRWESSVQPVVLHQIFGNPTSNFGGQVPTQTVWVSRVDLSIPPTNDFKNHQTAVAGPSVDAQKEPDSGDDKANKVVFDPFDLPSDIRTLARIVYSAHGGEIAVAFLRGGVHIFSGPTFSPVENYQINVGSAIAAPAFSPTSCCSASVWHDAAKDCAMLNIIRVLPPALPPNQSKVDQSMWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLTSVIAVMDADFHSLPSTQHRQQYGPNLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVIEPWRTDGETILSTDPEALAVDPALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAASTGTGSNRNMVASPTQNASSPATTQGQREEWIMVHSGRNIIVLWVAVPDKSVNHGPGQPTATTSTTNPSGSTQMQAWMQGAIAKFSSSNDGVSNSTASPVSGSATFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCYFQRLQASRNHQKNADASSQKLQTGATSKSEEVNSAKPNPALNRMEEAQGFRTSQLGAGVKGIDDNSARTTKMGSGNAGQGYTFEEVGTCIPCLHFYTIIPGLKLIIMGENNENPRLVRVLFHILMDLCKRTAALPHPLPGSQVGSGNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNLFGGPGTDPEDAVPSDDTFFTQGHSLDVYDRVQSLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCLRQTSAFASPGASKQPNPNEREAWWTSRWVYCCPMCGGTWVRVV >A09p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10889709:10890202:-1 gene:A09p020460.1_BraROA transcript:A09p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSVWSSLVVVALLRFRIYEDGVRVLWFLRPSVVVVVVAAGGSFRCTPSHSALLCGVFVFLSLACLPLKIYVVAPSSVAFPFTVVAPPVAFG >A10p018980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13107293:13108954:1 gene:A10p018980.1_BraROA transcript:A10p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRYMDDDPLASSSSPITVSNPLDSFLGESTSRSGSFESESISEAEADFGPEKLAGTVEFYERHVFLCYKKPSFWPARIEASEFDRLPRLLSSVVSARKRLTHFDVDTFVEEVLVKDVVWLPGNPEPLSGSYVFVCCHGSRDRRCGVCGPSLVSRFREEIEMCGLEGEVSVSPCSHIGGHKYTGDVIIYGSNINQRVTGNWYGLVTLEDVPQLLEQHIYRGQILDRLWRGEMGLLEEDQKITQEQRFQERNAEKINNGEVSLVVQQNGNSSRCLEEENHTENNTSEKEISVKSASSRVSSSKKGSSCGFKVCAAMSMWLENWENEDTYAALSVVCAAASVAIAYNCYKQLK >A01p022800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11239105:11240819:1 gene:A01p022800.1_BraROA transcript:A01p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQNFVLLVLLTITLGLVTEAAQKHQAIPSEKEKNELERQLKAINKPAIKSFKTEQGDIFDCIEIHKQLAFDHPLLKNHSMKPTTVPEWITSNNIPGKVDSLLLLPDGINCPDGTVIVKRTTMQDLLHAQRLKSMGFNGPRHFLTEKNNTDGTGQYYVATVNYGPKSFTGVKGHLNLWEPQVSQDQISLAFIAVAGGPKERFASIFVGWMVNPSLYHFSQDHVRLYTYWNIEGSNPGCYDITCPGFVQVSKNIPLGSFLQPLSVYNGSQYDIDLTLYQDRVKGDWWFAYNHENVGYWPASLFKAARFENRANYASWGGQVYSPLIEKTPEMGSGHWPSEGLGKAAYVNDIRIIDGMGNFLYPEPYSLKEHETSAKCYRAMYVHENRDPWVRALYYGGPAGCIG >A01g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22356260:22358725:-1 gene:A01g507910.1_BraROA transcript:A01g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLDRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p068050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53480808:53481901:-1 gene:A09p068050.1_BraROA transcript:A09p068050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATQLFKLLMKYRPEDKAAKKDRLLKKAQAEAEGKPSESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGTVVHQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRMN >A10p005670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9413705:9417098:1 gene:A10p005670.1_BraROA transcript:A10p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 3, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G06290) UniProtKB/Swiss-Prot;Acc:P0CZ23] MSENRALQRAHILANHILRSPPLPSSLSLAREVCLQYSPPELNESYGFDVKEMRRLLDGHNTEDRDWLYGLMMQSNLFNRKERGGKIFVSPDYNQTMEQQREITMKRIWYLLEKGVYRGWLTDSGPEAELKKLALLEVCGIYDHSLSIKLGVHFFLWGNAVKFFGTKRHHEKWLKNTEDYVVKGCFAMTELGHGSNVRGIETVTTYDPRTGEFVINTPCESAQKYWIGGAAKHATHTIVFSQLNINGTNQGVHAFIAQIRDEDGNICPNIRVADCGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVSPDGEYVSAIKDPDQRFGAFMAPLTSGRVTIASSAIYSAKVGLAIALRYSLSRRAFSVKANGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANDLKMIYVKRTPETNKAIHVVSSGLKAVLTWHNMHTLQECREAVGGQGVKTENLVGQLKGEFDVQTTFEGDNNVLMQQVSKALFAEYVSCKKRNKPFRGLGLEHMNSSRPVLPTQLSSSTLRCSQFQKNVFCLRERDLLERFTSEVAQLQGRGESRESSFLVNHELAEDLGKAFTEKAILQTILDAEAKLPAGSMKDVLGLVRSMYALISIEEDPSFLRYGYLSRDNVGDVRREVSKLCGELRPHALALVSSFGIPDAFLGPIAFNWVEANAWSSV >A03g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21026222:21027417:1 gene:A03g505860.1_BraROA transcript:A03g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAQQRQPPRLPPSRSKNPKQEHMIDQITGFSDLTTRETEAKKKIHTEEELERKKTKDVKEVREEDFDPTSSTLINKKPDRSTLIAVTELLFDGRSRILSGPKLTFIAKGQCCDMEPAIVEIYKNIGEKYSRLRYLEDAKEDNKTKWWI >A07p030650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17062986:17065072:1 gene:A07p030650.1_BraROA transcript:A07p030650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENPLSAEMSKKISVFGLTDVKLWVLVCLVVGSFLALVLCILSIWIASRRKSRRPSHKMLPFSQIPGVAKDIRVDDRVGFQNHNDNLCVTVADKLSDRNSGKMMSYLGRTKSSDNDSISQSSSVHHHERACSSHSGEEGSFGAAWRQASLSQGGLVTASPLVGLPEISHLGWGHWFTLRDLQLATNRFAAENVIGEGGYGVVYKGRLINGNDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNSGNLEQWLHGDMGKHSTLTWEARMKILIGTAQALAYLHEAIEPKVIHRDIKASNILIDDDFNAKLSDFGLAKLLDSGESHINTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAITGRDPVDYERPANEVNLVEWLKMMVGTGRAEEVVDQRIEPKPATRALKRGLLVALRCVDPESEKRPKMSQVVRMLESDDNPFREERRNRRSRTASMEIVEATEESADTSKRPGHSQSHTSKPEKTHE >A05g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2012158:2024899:-1 gene:A05g500490.1_BraROA transcript:A05g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQETYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYVVTGFDFPGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNANMSETVFRTTSEALASYKINFNPLFTPC >A03p051720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20409280:20410044:1 gene:A03p051720.1_BraROA transcript:A03p051720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPETHLHMPVRSISLPSRIHHPSAKFQAALSQLQTCQNSSSDSQSLQVSLFNLSELYHSLHQLNHSLPTAQAEHSLDVSATLLDSCDAARNLILTLKEHLLNLQSALRRNGKSMEVQIKEYFVFRKKIKKETSKLILGLKKLDGSETTALTVSLLRSLFMFLSTTTSMKTNTCTLKFVSKLIGGGRSSSSIMSEMQNLDLVLRSEGDNSKAVKKTLEILEERTEGLEAALDSLFKSLVQYRVYLLNILTTHS >A09p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1986865:1989553:1 gene:A09p003390.1_BraROA transcript:A09p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAAFPGGYYRNTFEAPDESSQGSGSSAQIDTEVTASQNSTTPARKRINLNPDDEDPYGVRRQVIPLYNMSQSERKDLIHRLKEELEQTKIVLKNAELLNVRSITSRGWNRGSSGKFESLPDTALMKQCDTLLKKLWSHPHSWVFQAPVDVVKLNLPDYLTIIKHPMDLGTVKKNLASSVYSTPHEFASDVRLTFANAMTYNPPGHDVHIMADILSKLFESRWKAIEKKLPAVTLEPSVERRTAISAPPSKKRKMASPVRETVKPLMTAEERHRLGRQLESLLEELPSQIIDFLKRHSSSGGEVAEEDEIEIDIDVLSDEVLLTLQKLMDEYVKGKEAKQSDVEPFDGPGPSNSSLQRGGSQIVNEMAVEYVGGNEPPISGTSSDSDSGSSEDQSDDAKPMIREGSSKMPETANAEAQRDEDERMDDLLEESAGAAEQQKPSSDESDSQPDGNMLETPAPSEKQYRAALLKNKFADIILKAREKTLPQNSNKGDPEKLRKVREELELQLKKERARIQAEAEAAEDARRQAEAEAAAEAAAESKRKRELEREAARQALLKMEQTVEINENSRFLEMLSSSAPEQLPSSVDETSPERALDALGSFNFRGINPLEQLGLYMKQDDDEEEPEAPLAVPKLAADVEEGEID >A06p006130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2043836:2044168:-1 gene:A06p006130.1_BraROA transcript:A06p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR2 [Source:Projected from Arabidopsis thaliana (AT1G08180) UniProtKB/Swiss-Prot;Acc:Q9SGE2] MSKVLEPVEEEKVEHKPRKQEEEEEEEELKHEEVLGSLCTPTSSDHKIPELDTCPPAPRKRPREVPPTKKRRLSKDLRFFEATDVGSHEVETLFVHNPNPVRKKRRSNSA >A04p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13911584:13919853:-1 gene:A04p022970.1_BraROA transcript:A04p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHKSGKLEIQSPADEFIKYFIRKKSHVSFFYAAEDLRFEILSEDVASRTVTVTILGTLISKSYKMVTATFTVAPREEDITKSCLEYTFEFDDINNYIGKTVIVESLLTYILISDGNNIKGENFKYNSFDAGYPAEECFKRYVNEFSDDDDVRMENANKQKRIFTVSFRNAPNLADDMYDDDESIFQMMEVTVTITPKKGDNNRSCVKWTIKVEKVNDNEEESDPPPSLPSPVPFEALSPQPCSSSLAQALTPHEPPDSPRCLFSRVPFQALSRPEPPDPPDASFRLVVHLHFNTPFTLSQAYIQNLETRFPNLASGGVVSFVFFGATRFGSKGLYPNLLVRVCGIITVLRPFIPSLQVLTHILTLKPPSRMATKKSGGGGLPVSASDTSFAYGLLSPVVYRYLFGCVDDTSFAYWLHFPVIYRSLFGCFDLHTSRPCKVLHAHHSSLFTTYSATVEWFRQLSVWVMLELRFMILAGDIPMGLVSFGSTFVTFSSIYIALARASAVCSSLMGFIPDVEEKFIVIFIPMNMDVAGFNFPLVPRLNQSFFLIFLPIWSKLDEQVSLVLQGSSSHRMLFSAYGAVCVVLRVILDAVFKEAYDVVVVRFHMAPSCDLCRHYIPYVVVVICLAVNNPFVLSFVGKPSEFYVETDSGNDDQVTPKLGTFRHAVVEDEPLCLSFRRDMTIHLKEEHIRNTLKTRKIYHRGSWRVRAHRWEELRFEIVSEEDVAERTVTMNILGTLISEGYKTVKARITVAPWEEEITKSCLEYTFEFDKIKNHIRKTEIVESLLTYIDISDTNNNRSDDNFKYNSFDAAYPAEEYFKRKVKAFEEDDDVTVGDVNRINKRFTVSFISAPFLSDSYEDGSIFQSMEVTITITPKKDDNSRSRVKWTIKVEKFDYSKEQADFFFIAADHIRETIMAA >A10g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10800709:10810492:-1 gene:A10g504380.1_BraROA transcript:A10g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAYLVVVQELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIKSHVSKTFVAVCHHTQDVRGCPCVSGCPPAHTGQPWLSVAVRQHTQDVRLYPSAHTERPCVFVCVRVCMSAHTRRPWLSISTHISMLVLGLSTLAHPVDCLGDFGPNGMFVQYTQDVRGCPPAHTGRLWLSVSTHKTSVAVCVCPCVSVSTHMTSVAVHQYTYQHAGPWTPHAGPFRGMFSTLTLPVDCLGDIGHVGCLFSTHRTSVGVRQHTQDVRVTHVGSLFSTHRTSAAFRQHTQDVGGCPRLSVNTHRTSAAVRVCPCVSMCVQQDTQDVRVCPSAHTGRVWLTVCIRVCPSAHTGRPGLSIKTHISTLILGLITLTLPVDCLGDFGPRGQPVQYTQDVRGCPPAHTGRPWLTLALPVDCLRHFGPRGLSVQYTQHVRGCPPAHTGRPWQHTHNVCGCPSAHRTSLAVHQYTYQHVGPSTQHADPPRGLFGTMVRGLSTLALPVDCSGDFGPRRLSVQYTQASVGVRQHTQHVCVCPSAHTGRQWLSVCVPVCPSEHIGRPWLSINTLVLGLGMLALPMDCMFSTHRTSVGVHQHTQDVCGCLWLSVSTNKTSVAVRVCPCVSECVCGSPSAHTGRLWLSISTHISTLVLGLSTLAFPVDCSGDFGTRGLSIQYTPDVCGCPPAHTGRLWLSVCPTESVSTHRTSVAVHQYTYQHVGPWTQHAGPPRGLFGTCVAVCVCPSAHTGSLWLSVRTHRTCVDVRVCPSMSVSTHMMSVAVHQYTYQHVGPWIQHAGPSMDCLGDFGPRGLSVQYIQDVVGVRQNTQDVCGSHRTSVGCPSVHISACGLSVQYTHDVRGCPPAHTGLPWLLFSTHRASVGVRQLTQDVHGCPCVSVCVRQHTQDGRGCKSVHISARWSLDSARWPFPWTVCVILAHVGCLFSTHRMSVGVRQHTHDVHGCLWLSVSTHKTSVGVCPHTHDVHVCQSAHTGRPWLSLCVPVCPSEHTGRPWLSISTHINTLVLGLGMLALPMDCLGDFGRLGLSVQYTQDVCGCPLAHTGRVWLSVSTNKTSVAVHVCPCVSVCVRQHTQDGRGCKSVHISARWSLDTACWPFPWTVCVILAHVGCLFSTHRMSVGVRQHTQDGHGCLWLSVSTHKTSVAVLVCLCVSVSTHKTSVGVCQHTHDIHVCQSAHTGRPWLSVCVPVCPSEDTGRPWLSITTHINTTSVGVRQHTQDVWAVRQHLQTSVAVRVCPCVSECVCGRPSAHTGRLWLSISTHISTLVLGLSTLAFPVDCSGDFRTRGLSVKYTQDVRGCLTAHTGRVWLTVCIRVCPSAHTGRPGLSIKTHISMLVLGLSTLTLPMDCLGDFGPRGQSVQYTQDVRGCPPAHTGRPWACPCVSVCVRQHTQDGRGCKSVHISARWSLDSACWPFPWTVCVILAHVGCLFSTHRMSVGVRQHTQDVHGCLWLSISTHKTSVGVCQHTHDVHVCQSAHTGRRLWVSTSTHRTSVGVHQHTQDVCGCPWLSVSTNKTSVAVRVCPCVSESVCGHPSAHTGRLWLSISTHISTLVLGLSTLAFPVDCSGDFGTRGLSIQYTQDVCGCPPAHTGRLWLSVFPTESVSTHRTSVAVHQYTYQHVGPWTQHAVLPVDCLGDFGPRGLSVQYTQDVRGCSPAHTGHPWVTLALPMDCLGDFGPRGLSVQYTQDVRGCPPEHTGRLWLYVAVRQHTLTQDVCGCPSVHLSARGLSVQYTQDVRGCPPAHTGLPWLSVCVCLCPSEDTGRPWLSISTHINMLVLGLGMLTLPVDCLGDFGPRGQPVQYTQDVRGCPPAHTGRPWLSVCVCLCPSAHTGRPWLTHRMSVGVRQHTQDVHGCLWLSVSTHKTSVGVCQHTHDVHVCQSAHTGRPWLSLCVPVCPSEHTGRPWLSISTHINTLVLGLGMLALPMDCLGDFGRRGLSVQYTQDVCGCPLAHTGRVWLSVSTNKTSVAVRVCPCVSECVCGRPSAHTGRLWLSISTHISTLVLGLSTLAFPVDCSGDFGTRGLSVQYTQDVRGCPPAHTGRPWLSVCPIESVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGTSVAVCGCPLAHPGRPWLSVCVRQHTQDICGCPSADTGRPCVSVSTHRTCVAVRVCPSVSVSTHMMSVAVHQYTYQHVVHTRRPWVSASTHKTSVAVCGCPSAHTIRPWMSVCVRVCPCVSVSTHITSVCVHQHTGRPWLSINTHISTLVLRLSTLTLPVDCLGDFSPRGLSVQYTQDVRWCPPAHTGSPWLSVSTHRTSMLSVCVRVCPSAHTGRPWLSISKHISTLVLGLNTLTLPVDCSGDFGPCGQSVQYTQDVRGCPPAHTGRPWLSEAFRQHTQDIYGRPCVPVRVRVCPSGHTRRSWVSVSTHRTSVAVRLCLCLSVCFHQDTQDVRVCSSAHTGRVWLTVCIRVCPSAHTERPGLSIKKHISIGSLTQHADPSHGLFG >A10p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13393363:13395610:1 gene:A10p019560.1_BraROA transcript:A10p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDENVAVMGEWVPCNPTPGTLFSSSIGEDKTSKRVLERELSLNHGQVTGLQEDTTGNNDNNNDSSHNNNVSRGGLRERIAARAGFNTPKLNTENIRSNAGFSMDSSSLRSPCLTISSPGLSPATLLESPVFLSNPLAQPSPTTGKFPFLPGVNSNGMFSDKAKDEFFDNIGASFTFQPVSRSSSSFFQGGGTEMVPVDYGSYNNRSSHQSPEDVTKNVGYTGQKRKTSETVDHQEEEEEVEEEQRRGGDSMVGGAPAEDGYNWRKYGQKLVKGSEYPRSYYKCTNPNCPVKKKVERSREGHITEIIYKGAHNHSKPPPNRRSGMQVDGTDQAEQQQQQQQQRDSAPTWVNCNNTQQQVESNENNVQEGFEYGNQSGSVQAQTGGGQFESGDGNVGVVVVDASSTFSNEEDEDDRGTHGSASLGYDGGGGGGGEGDESESKRRKLEAYAAEMSGATRAIREPRVVVQTTSDVDILDDGYRWRKYGQKVVKGNPNPRFFIDFLLCLSSLLITTLNIVYVLFCRSYYKCTAPGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHGGGGNGNSGGSAAQPHHYHEQPRGRYERQLTASNQSPFGRPFSFQPHLGPPSGYSFGLGQTGLANLSLPGLGFGQGKLPGLPHPYMTQPGGMSEAMMHSRGMEPKVEPVSETGQSVYNQIMSRLPQI >A09p016680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8736693:8738924:-1 gene:A09p016680.1_BraROA transcript:A09p016680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRQIGEVLGSLNALMVLQDDILINQRQCCLLLDIFSLGFNTVAEEIRQNLKLEEKHTKWRALEQPLKELYRVFKEGETYVRSCMSNKDWWGKVINFHQNKDCVEFHIHNLFCYFPAVIEAIETAGEISGLDPSEMDRRRVVFSRKYDREWNDPKLFQWRFGKQYLIPKDICSRFENAWREDRWNLVEALQQKRKSKSDEIGKTEKRLADFLLKKLTGLEQFNGKLFPSSILVGSKDYQVRRRLGGGGQYKEIQWLGDSFVLRHFLGDLEPLDAEISSLLSLCHSNILQYLCGFYDKEKKECSLVMELMHKDLKSYMKENCGPRRRYLFSVPVVIDIILQIARGMEYLHSNEIFHGDLNPMNILLKERSHTEGYFHAKISGFGLNSVKTFTRASSRPTTPAPVIWYAPEVLTEMEQDLKGITVPRSKFTHKADVYSFAMVCFELITGKVPFEDSHLQGDEMGKNIRRGDRPLFPFPSPKYLVSLIKRCWHSEPSQRPTFSSICRILRYVKKFLVVNPDQGHIQIQTPLVDCWDLEARFLRKFSIETGSHAESVMQIPFQLYSYRVAEKEKMSPNLNKEESSDTGGESASESVSDPPTTTPKYTKSLCLDAISEYSESDTRSLYSEAPKKKISPASKKSGDMAKLRRNSSAGLRSTGSSPVKPRPAPKVSLPLSPFGRNSKARKDTRLPLSPMSPMSPLGHTRRSRHLSGPASDSELT >A08p015280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10071628:10071825:1 gene:A08p015280.1_BraROA transcript:A08p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRLIKATSGNMKDKLADHKNTGKTTQAATATMANTYANAAVLEKIKNLLRTFHHMKSTKAKS >A04g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12347988:12349108:-1 gene:A04g505930.1_BraROA transcript:A04g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel, voltage-dependent, beta subunit, KCNAB-related domain containing protein [Source: Projected from Oryza sativa (Os02g0817500)] MGLAIRELDWRRSDIVVSTKIFWGGPGPNDKGFSRKHIIEGTKASLKRLDMDYVDVLYCHRVPEIEIKSSSFRSFSVNVFRRFYYGTSQWFCFQTMSGDSKTTGPQGQYKPRKSSKKSGKKHESKPDTGGVSDEAEAPSDVAVGGASSHVNIGEEVVDPPPTSVNAAANSDVSVDTLQPGNTTATSDSGDEPRKEVANSENDISVALSTEEENVKSIDIGAAGAVDSLTSDLADTEKGVTHDDAEESLLAVRSELQKRSNELEQSEQRLLSTREKLSIAVVTC >A02p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7441436:7443725:-1 gene:A02p016580.1_BraROA transcript:A02p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRISKIGSYAISSSTRDCISCTTFNILAPIYKRLSRNDQSIRESDNRAYWMGRNHRILDWLLYERSSIICLQEFWVGNEELVRLYEKRLGDAGYLCYKLGRTNNRGDGLLTAVHKDYFRVVRSRDLLFNDCGDRVAQLLHVELVPPYSQYDAHQQVLIVNTHLLFPHDSTLSIVRLQQVYKILQYVESYQKEVNLSPMPIILCGDWNGSKRGHVYKFLRSQGFVSSYDSAHRYTDSESQKWISHRNHRGNICAVDFIWLLNPNRYRKLLKTSWSEAVFGMFRYLLRRASLTSEDAFAFLKTDNDGDYITFLGFCETLRQLNLTGHCNGLTKKEIKDLWIQADIDGNGLLDYKEFQQRIWNQTWSEQRDAEDGEAKGNQEQTVGFSVKNAVLFPPEVEKGVWPENYSLSDHARLTVVFSPIRMPCSQLVS >A09p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1638625:1641114:1 gene:A09p002350.1_BraROA transcript:A09p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative E3 ubiquitin-protein ligase RF298 [Source:Projected from Arabidopsis thaliana (AT4G03000) UniProtKB/Swiss-Prot;Acc:Q0WPJ7] MNECVVASLNGSNKVGVSPPPQPQVKGRKNKRKLADSPQPLTDSSLTEFPPYELVHSLKFQTPLSEESESLGWDDPFACHLEELLTSNLMALFRNAMDQIVACGYREDVVLKAISGSRLYCGGNDLVSNIVNDTLSFLKSGKKVSGLRDYLFEDLQQLVAYTLVEKISLVKEVRPSVSTVEAMWRLLMCDLNVFQAFEMEGDGIVECNPTKYSEPVVKFGNFKNCNGSSSGEVAKRKTLASSSSVAASSVVSGRKGRTKKEVAMMRQKSCVDKIRTYSKGSGGYKTAKYASVGGFLVEKRGKSPSKIAPEVVKDPPALDGSGYVTALPAITAPPAPLPSGSEPAPDYYTGIPYDASLGIYIPRNKRDELVLKLAPRMKDLETEVQVWTDWANQKVKQATSRLLKDQPELKALRKEKEEAEEVRKERQLLEESNTKRRSEMEFALSNMTRQLEKANNAVRRLEMEQSLLRKEREAANLRAVEAAVNYKEAKERVQRTLKSSQSWEGQKVLLQEEVKSQRDKVAELQQEVAKAKNRQNQIEATWKQEKAAKEKLAAQATALKEERVKLEELGKAEEERIKTKAENDVRYYTENIKRLESEISKLKLKSDCLKIAALKKGIDGSNEMTRTTTTKASLIWENNHRTEGKIKRERECVMCLSEEMSVIFLPCAHQVLCSKCNQLHEKEAMDDCPSCRAKIQRRIQARFARG >A01g510290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28148902:28149316:-1 gene:A01g510290.1_BraROA transcript:A01g510290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSLSSFLLPPHDFILLIFFFFCTSSISSSNLISLILFPSLFLLCSSFIYFSSFKQMDLNEEDYHRHASHHHAPFYSVAPFTTARSSTPPPESGGGTGLMNEAQEIDGGGSSGGS >A08p014160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9466077:9468778:-1 gene:A08p014160.1_BraROA transcript:A08p014160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGIPKRRVNSENREEEKSKDYCESYIKTTSLEGKEQFSLTKTLNLISERTTMSKLSYNLIFFIVFLCLGLRSSAFSNLNTLSFEESLSPLFGDGNLVRSPDDLSVRLLLDKYTGSGFISSNMYQHGFYSSMIKLPADYTAGVVVAFYTSNGDVFEKTHDELDIEFLGNIKGKPWRFQTNLYGNGSTHRGREERYRLWFDPSKEFHRYSILWTPHKIIFWVDDVSIREVIRSEAMGADYPAKPMSLYATIWDASDWATSGGKYKANYKYAPFVAEFKSFSLDGCSVDPIQEVPTDCSDSVDFLESQDYFSINSRQRAAMRRFRQRFMYYSYCYAEKDRFKDTGRLKFGGTEARGRRSNRRQQRPEIESDPDERRRLLK >A04p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9890076:9900976:1 gene:A04p016070.1_BraROA transcript:A04p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQVFLIFSILVSAAVAGEGATAAYVPTDVFLFNCGETSDQMDISGRNWMAEQPNFLPSNAANASFVSHASFQGSGVSKVPYMTARIFRHDFTYRFPVSPGWKFLRLYFYPTRYSSDLDAAVNSYFSVIVNGFTLLKNFSADLTAKASNGAFIFKEFIVPVSSGHTMLNLTFTPSLNMLAFVNGVEIVSMPDRFYSKGGFDDKIAQVGNSIDSEINNSTAFETVHRLNIGGRLIDGISDTGMFRQWLAEKFQLNEKSGIVPIVPGVKINYTENTPAYVAPEDVYTTYRTMGNAEHPRLNQNFNLTWLFPVDAGFNYLVRLHFCETLSDVNGPGQRVFTIFIGNKIAKRDMDVIQLSGGSRIPVFLDFSVFVGFESGPRPDLRLDLHPYTVSEPKYYDAILNGVEILKLSVSDGNLAGPNPNPNPTSGLTPNSVNQDIQKPKAKSHVLVITLGTVGFAIVLAMFIVVVIVMKRRKKKKKVNVDTKSKPTDSWTTLPLVTGSSHTRSTTSLPSDLCRRFSILEIKSATNNFEKKLIVGVGGFGPVYKGRIDGGATLVAVKRLDISSNQGVNEFEAELKMLSMLRHIHLVSLVGYCDDENEMVLVYEYMPHGTLRDHLYKRNKVFDPPLSWKRRLEICIDAARGLQYLHTGAKDMIIHRDIKTTNILLDENYVAKVSDFGLSKVGLTSSSQTHVSTVVKGSFGYLDPEYYRRQVLTEKSDLHEAANNKNGVDSLDLPRRDEVGTTTDGENDLFSRTTGRMSKSVTSNDDSARLAGDERSGSSWGVFSEIKDPRARHALLVICILVSAAVLGLGDATAAYKPTDLFLINCGTSSDTIDSQSQTWTSDQQHLLTSKLKNLSFSSDASYQEEVPQVPYTTARIFLSNVNYSFPVSPGWKYLRLYFYPTRYESGFDTASSFFSVTVNGFTLLKNFSADLTVKASKSKSLVKEFIVPVNQTLNLTFMPSPSSLAFVNGIEIVSMPDGFYSKGGFDNMITNVGSTIDFNIKNTTAFETVHRINVGGQMVDEVGDTGMFRRWLPDDDVMLSENSGIKPVVTGVKINYTEKTPPYVAPEDVYKTYRTMGNVHNAEINLNFNMTWLFPVDAGFLYLVRLHFCETLAEVNGPGQRIFTIFLGNQIAKQEMDVIDMSGGSRIPMYLDFNVLVGFENGPRPDLQLDLHPYADIFPKYCDAILNGVEILKLNGSEGSLAGPNPNPLVSSDQTPNHVKPSARKGNNSHVLVITLAVVGSSVVLATFVSVIALLRKKKKTKDVPLHTTSKPTDSCSPLTTYLCRRFSISEIKYATNDFDEKLIVGTGGFGSVYKGRIDGGTTLVAVKRLGIASKQGAKEFKTELEMLSKLRHVHLVSLIGYCDDENEMVLVYEYMPRGTLKDHLYKRNKAVDPPLSWKRRLEICIGAARGLQYLHTGAKHAIIHRDIKTTNILLDENYVAKVSDFGLSKVGPTSESQSHVSTVVKGTFGYLDPEYYRRQVLTVKSDVYSFGVVMFEVLCCRLINIEIVPQEQSDLIRWVKSNYIGGTLDQIIDPDLAVDITMISLEKFCEIAVRCVQDRGTERPPMNDVVWGLEFTLQLHETAKKNNEGGTMTDAEALLSKTDDNCDPVVGEEPKAL >A09g510810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33233101:33239324:1 gene:A09g510810.1_BraROA transcript:A09g510810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCPCNCCTLAKSKTREQIEGDLICFSFLNSYTSWILHGEDICVTGNARVPPDIAQVELDSTLNLLDDIFPDISTNMLAEHGFGSFEQSYKNELLTKQSHQSKRSRAIEIDQEMYLNFAKWIKHKVEINEIMDFMDSETQEPDDSPLWETDHGMPPPLAPKCKIFKWKIQVIDNDGNIKGKMVTSKDVWKFQNSRVIVHFDEDSGQPIKESGGVLESWIGQLSNDVNCYPLTTVIGGWLILTLRTKSGKFDDPQMRKSFLMGELGSRCKDVKLRVRKEYKGQFVHMRFTEKWKKMQERNTENQKKTYHASCLWKKEFSRRRNEITGKTLRRAEFFIETRTKPNENFVCEEAKERAEALTKLLSQNRHNTNNVTASLDDEYAQVFDPERLGQVRCVGRGHTPSKLVCRSTANRQDIENSEMVIELKTQKNELSYQVKWMTTFIQQIIGTSTGSRATSFAVAFANIPNPTFDNIPDPPNPD >A01g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4208462:4208924:1 gene:A01g501110.1_BraROA transcript:A01g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGTTTLFGAKRVSTAESPLHAEAEGLIWAMQESLNRRYMSVHFESDCQQLVNLLQRDEEEWPALAPELDEIKALCTSFDTFSIAYVSRSLNIRADGLAKGVRSRDLRVTYVQSCAPWWLAPVANQMDAV >A01g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14769920:14770226:-1 gene:A01g504960.1_BraROA transcript:A01g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVGVELKCVAFTEENVCVAAENYLIETLALCQSGALTQDVYYHLNYLRVVVMFYVVTPTLCIFFLFVAYTRRMKSYSIC >A05p018890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8840492:8841371:-1 gene:A05p018890.1_BraROA transcript:A05p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRRGSSSTPQSRRIMVIADPTRESAAALQYALSHAVLEQDELILVHVENNGGSWKNAFSSFLRLPSSSSSSNTSGSSPGAANFNPSTANSASSLASEIGQGEGNFLEQMRRICEVAQPKVPVHTECITMEGIKAAAILLHGEKLGVDVIIIGQRRTISSSLLGSRRPGGSLRGSKGVDTAEYLIENSKCTCVGVQKKGQNGGYVLNTKTHKNFWLLA >A02p054640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33153699:33155251:-1 gene:A02p054640.1_BraROA transcript:A02p054640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDGAYNPRTVEEVFRDYNGRRNGMIKALTTDVHEFHRLCDPEKENLCLYGLPSEDWEVNLPAEEVPPELPEPVLGINFARNGMLEKDWLSLVAVHSDAWLMAVAFFFGARFGFDKADRKRLFNMVNDLPTVFEVVTDFLARKLSKEKYSVSNNSSNRSKSNSKRGGSEARPIAKPAPKEDEEEEEENDDDEEGDTACGACGETYARDEFWICCDMCENWFHGKCVKITPARAEHIKQYKCPSCSNNKRGRS >A09p053850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46585789:46587995:1 gene:A09p053850.1_BraROA transcript:A09p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDEVVDELDENEDDMKKMTKNRQLGCWVSVSGTMKVFLGNNNVYDVENHELPRLVYFLVRPRFDHHEKAGAINSLVISGISIVPYRLKVDCYHYIYNSKTLRGEMCSVVASQSGKKICYVHYPQRFDGIGIHDIYSNSNVVFFNHLKVEPTDPKQGFLDFCYPPRQRDKELENEESEFRNSDLQLGLATLEKVFLNIAR >A02p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11878939:11885566:1 gene:A02p023800.1_BraROA transcript:A02p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECVGETFTTKSYSLEIVTSDVERQFSPIRKTEVVLIAPSDPAQTAPQGNKPKGDMVVKYSPQQRRRWKLCADNMTLLVFDGSKLDAGVNFHLHRTPDSQTNGSELDAGVDSHLHRTSDSQTDENMVVKGCYGSGAGTGGKQGRAQIEELGQSLPCDLSVGGNTWDQRRLPPINKAHQRWESPPDSLLVNRTNEREETPRGRERRTRSMVLVAPGFTYNDVISKVSQLFKEHKDLLLGFNEFLPPGYKITLPEDQTQRKKPELRDASEFLNKVKERLQDEHAYKSLLEILRMFKENKKSFTEVHHELFSLLAIDDTIEKIDLAVEIGWDIKLLSLKDRIIDSHTDRDLKPEHRDLDHERSLLKESKEEIRRTGTKNDNSKKKLTLRADDSPEISNQARGGDKFCGAVGTSSICDEKVAVAAAEKEWCESGTRCGGQREGQLKKERETRDVERALNVVEEMERAEEQFVKEMEVTRRNWEPASQVMTVVGVWRRDGGRRGFDGRIVEKFEGFDMLWHVGLMEVRGVGEVRRKGKMVGEGFGEREGAFILMLNVARFCHDNIVTCSRDGSAIIWIPRSRRSHVMFNFAWSLDNRFVLAAIMGNTFLMAESLSRPIFRALNSC >A06p055980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29195182:29195532:1 gene:A06p055980.1_BraROA transcript:A06p055980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISKVSSFCLLLLVVFFLSSQPAFSLRLPKPQSELASPQTMIDDSSPMGMIDHAKSMIAGFFSHKFPLMGWPFPKYPPFTMVNPNVPTNPSGAQEESEKLPSSPSKLNKAGGNA >A04p013910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5194636:5197229:-1 gene:A04p013910.1_BraROA transcript:A04p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFQYTIMYAYLLMSTTIFLSLPIQSHATILFNVLSFGAKPNGIVDSATAFAKAWDAACSSTDAAVIYVPKGRYLVNPVRFSGESCKSLDIVFRIDGTLVGSGDYNFLGREETWFSFERVTGVSVIGGSFDAKGPSLWACKASSNNSCPAGATTISFVESSRVKVKGLLSLNSQMFHIVINRCRNVKINDIRIVADGKSPNTDGIHVQLSTDVEIRNASIKTGDDCISIGPGTKNLWVERVTCGPGHGISIGSLGKDREEEGVQNVTVKKTVFVGTDNGLRIKSWANPSSGFVQRVRFLESHMFNVKFPLIIDQHYCPHNINCPSQESGVRIKDVIYQGIRGTSATKIAVKLDCSAKAPCTRIRMKDINLRYANEAAQSSCVNVLGDALGSVKHQTCF >A04p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6913749:6914481:-1 gene:A04p011070.1_BraROA transcript:A04p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGILVVSMLAPWSYRRAYVIELQCHSSKVCLYCVLRTCVDVGAILVEPGQKLKIRSLIDSESSFTFWLDMRCMFQESLDFMCVLNWCLDLMQAENVEKHISAKSSSPADAALEGIHASLCPPFKG >A10p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3226902:3228154:-1 gene:A10p016160.1_BraROA transcript:A10p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDYRNKSGPSYARPMYGYPNIGQQSGHGHQFFPPPERNQSFQQHNSSPFPFSSSSSWIKVTLKPQYRITPPPQLLPRAGDIPRSGFQFDFGLERAVLTEAEKDNPDWSKFGSDIPPPSNFPQPPPMGVDPLVMKYTASGLNREAVNIAVANYGDNPTKVQEFANGFAAMREMGFPTNAVAEALFMFDNDTDKALSHLLHGSS >A03p046600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19671800:19674151:-1 gene:A03p046600.1_BraROA transcript:A03p046600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTSTSNSGSSSRNDSYEAIKNGSWFNQFRNGCNPWMARYAYGMIFLIANLLAWAARDYGQRALTEVTKFKNCKGGENCLGTEGVLRVSLGCFLFYFVMFLSTLGTSKTHSSRDRWHSGWWSAKLIMWPSLTIIPFLLPSTIIRLYGEIAHFGAGVFLLIQLISVISFITWLNECYQSKKDAERCHVHVMLLATTSYTVCIVGLILMYIWYAPDSSCLLNIFFITWTLFLLQLMTSIALHPKVNAGYLTPALMGLYVVFICWCAIRSEPVGESCNRKAAESNRTDWLTIISFVVALLAMVIATFSTGIDSQCFQFKKDTNNQGEEVEEEEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHPMKKWTIDVGWTSTWVRIVNEWLAVCVYSNMDAGGSNHTEEQKTNDN >A09g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21191393:21196377:1 gene:A09g507190.1_BraROA transcript:A09g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVVSFSSQCPMKPKSYHLCNDMRIDDQHHESILISGLKEVHGLGFIGPEIKKKRLNGFKSVIRLLRVNPYDTGSSHASNRQWPHVIASNARKIGFRLGFISLGGDFSCDATAGDFLDLIDVGLSPRRSQMDLVEERRETKRQKEFINMQGYVADSEYGITTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEHIEHLTKCVEEVELVIKWVPVVNNQIERLEAEVKALNREVDNLTRQMDLAEERRETKRQKEFINMQGYVADSEYGIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEHIERLSKRVEEVELVIKWVPEVNNQIERLEAEVKALNREVDNLTGQMDLAEERRETKRQNEFINMQGYVADSEYGIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEHIERLSKRVEEVELVIKWVPEVNNQIERLEAEVKALNREVDNLTGQMDLAEERRETKRQNEFINMQGYVADSEYGIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEHIERLSKHVEEVELVIKWVPEVNNQIERLETEVKALNREVDNLTEQVYNISVQIADLEKLCFD >A10p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:614034:614495:1 gene:A10p001160.1_BraROA transcript:A10p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIVNATATMGEIVIDTLAENIVRKGNVRETLWMIVIITKIETVTDTDNVLQLFFGRGLSIEKERRGTGQGHALARAAALNVSQGRIVVDSKMVGDCRSHVCAITTMHKTLTLGA >A08g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20243176:20243927:1 gene:A08g509680.1_BraROA transcript:A08g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQVNLSDAGMWAKTELLEHQPACVLKPTTRRRDNRIHRHTPREGEHSDSTSENQGTTLQTDDSMDRKQRTADNPGEATSFHPHANRESQKRSKGKTISNISPKSRLPLTTNRSSASPKPQREGNRTSEQKHLCSGAHSGGKP >A06g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22129513:22129805:1 gene:A06g507940.1_BraROA transcript:A06g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRADCILSGSFICINQMIRVQSQQNRFAGLVAYLAEKLLVAQFIDMKIPGNISANLKACKG >A07p000900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:427583:431340:-1 gene:A07p000900.1_BraROA transcript:A07p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFFGRPNMRGSSLAPTSSPSSPATRRGKKNGSEKPKQPQRGLGVAQLEKIRLHGEMSCNSFNNYNPSLHRQEDVRGYSSTPSSSPSFTYALSTPTSTPNGFYPNMMPNIYMKSSKIFGQSLIHFYLLAELLCNHGLVLLSHEPSSTRRSKSLGWGNQNSGSSDSQELDLELRLSL >A10p026760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16922227:16924351:-1 gene:A10p026760.1_BraROA transcript:A10p026760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein RBP47B' [Source:Projected from Arabidopsis thaliana (AT5G19350) UniProtKB/Swiss-Prot;Acc:Q8VXZ9] MMTMMPPQPQGYHHPQTLEEVRTLWIGDLQYWVDENYLSSCFSQTGELVSVKVIRNKITGQPEGYGFIEFISHAAAERTLQTYNGTPMPGTEINFRLNWASFGSGQKVDPGTDHSIFVGDLAPDVTDYLLQETFRVHYSSVRGAKVVTDPSTGRSKGYGFVKFAEESERNRAMAEMNGLYCSTRPMRISAATPKKTVGLQQPFAAKAAYPVPAPSAVAAAVPAYAAQPAQVLAPENDMTCTTVSIADLDPNVTEEELKNAFSPLGEVIYVNIPETKGYGYVQFKTRTSAEEAMQNMQGHVIGQQAVRISWSKNPGQDGYATQADPNQWSGYYGYGQGYDAYAYGTAQDPSVYAYGGYGYPQYPQQGDGTQEVTNSAAEQDLYDPMSTPDVDKLNAGYFSVHASAILGRLSWHRTSPLAS >A08p018110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12576061:12577798:1 gene:A08p018110.1_BraROA transcript:A08p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIERQFKQGTKIVGVSRNYAAHVNARPKPTSSYLENGGTIEIPHPLDSLYHEVELAVVIAKKARDVPESTAMEYVGGYAVALDMSAREIQASAKASGLPWTLGKGQDTFTPIGSVLPKAMVHDPDNLELWLKVDGETRQKGLTKDMIFKVPYLISYISSFMTLYEGDVILTGTPEGVGPVKIGQKITAGITGLSEVQFDVDRRVKPLS >A05p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25259809:25262389:-1 gene:A05p041620.1_BraROA transcript:A05p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGSKNSPITVKEETSESSRDSAPNRGMNWSFSKKGSAASSQFLSFRPSQDDRHRKPGNYHLPHSGSFMPSSVADVYDSNRNTPYSSVQGARMFPNSHQQQESITVSMARPGLQSHYPPGGKSFMSNGINSQPFVGVPIMAPPISVLPAPGSIVGTTDIRSSSKPLGSPAQLTVFYAGSVCVYDDISPDKAKAIMLLAGNGSSMPQAFSPPQTHQQVVHHARASVDSSAMPPSFMPTVSYLSPEAGSSTNVLGARGFASTYHNNQTNASTVKPQTVALPQARKASLARFLEKRKERVTSVSPYCLDKKSPTDCRTPISECISSSFSSAT >A07g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23526767:23529874:-1 gene:A07g508600.1_BraROA transcript:A07g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPIVDIGDNVNQNNMGSLPEMMFAAGEEPVGVRVLTYQSSRAIKKIIKGLDEEEILSIRASSFGKIIDIGDKPSFSGRFARYMMSRQLKVKKKHEVWFRFAGQPIRFSLREFAIVTGLPCETITEKPYWPSLFGKVEVVTVASVIKMLRRRTVRDRETRIKFACLAILSSVLLPTSLNMKISREHAEAIEDLDDFYSFPWGRLAYEMLMNSIKERDEISLSQNTIAVKGFALALQLVLVEAVPSLTEVVQETCSSSESESADDVEEGSERSSKKQTLSPAHARNLDKKTEVYVKSIIDEDPSRPLDESTLGWSDDVEDVGVENLVKLINEGYKFSASMFKGGATKADVERMREDAKVRGKEKKSRKAPVKPAGVDGGNCEVAAVLMDMIKPDLDRIDGNVSSTMRAVDDMITKIGVWHGAIKTEVGEWMGKIKEDIQGHISLALKEAVGPSTHPPGVPIVTPTVPINPVGGGSVEEAFYRDTIRNIMGSIKQYRTPPRQNIQVQEVGVVDVRQDVGAEASVPIVPDNDRTALLASSHTHMRKDGVDEIKVTDNATKEQAPATPSFSLGLTQQERSPCNEQFGTVRLAADLNVAHDEGKEDVEANNVCRKSKRQKIFPTNLMADYECGHNIVGPGRQAPVLLFVSSNSEETLTKYQQLGEKLLSSFVINVAGLSVSDKDIKDIAERSRPLTAKIVDLLTRILRTVQDKHLISERSTRDEFFDTKFAGSLARNYSKFAKCKNKEGHVFPKDLTPIAEAFPFLLKQACGSAYEENLDPMVIERVKGVGQNKEESVSGITAMVLMWNHSIGGLEGCRSVNADHVILEAKGAAVMAYELHEEL >A01p050740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000148.1:17404:17914:-1 gene:A01p050740.1_BraROA transcript:A01p050740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFSVKRLATVTISKTNGGDVSGYVLRQATTRLITAFRQTEASNQLEINYLQSMRDRHNEQGSTNITNGDKSTTSYVSDKAKEGVKKATDAALNAGDNMKDAIGWRLESGEGNGSKHQRSNSGG >A04g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6772240:6772696:-1 gene:A04g503070.1_BraROA transcript:A04g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSFTFFFYCVILPISVFVPEVKIPNWATIYVPSVITLLSAIATPRSFYLLIFWVLFENVMAMHRAKGTLIGLFEGGRVNEWVVTEKLGDSVTTELLPQSRKPRYGFLER >A08p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22174711:22177955:-1 gene:A08p038250.1_BraROA transcript:A08p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQPIFQTKPQEHFVQIPINIERDSTTLINQTGNSNRKPNHWPTILLSTILVILGQSVAKLLENFYYDQINRSEYDESRQNDGVWTQALLQTVGFPLLLLPFIILTAKNRRNNHPSDHFHYKSLTVIYICIGIVMTVQARLSAMGKLEIPFGVFTLIYTTQLFFTPVFARLVNKIKFNRWVVISLALAIATGALTLSSAFAGEPDEAEENYARGAWAALFAGVCFSLLLCNIQNVFDNYIFKRTESRRPSFASVFEVIIFSSLVATIISVAGLLIAGEQDDLKREMNEFSKGKGAYVMAMVGQAVSWQVYWVGIVGLVFSVSSVLSNVISVVTWPIVSVLVVIFFNFMDDEFDVFKGVALITAVLSAAAYFFRLHKENRDSDLWMNHLKQQSFFHLPCLVSILSRLIVEEVKLSYGIVRRRFGSRCPVVSEEGDNDADLLYPLVFGISSEVFVLAYKLLLDFIDSDWILENYFFLQNFAKDKSFSGYSRERNTLQQLERTGPKSLGVSLLTSTFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGRMGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFQTLMCFTVGMASSALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTKGGTKGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV >A08g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9046881:9048040:1 gene:A08g505380.1_BraROA transcript:A08g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKSTGESQISTDKSQVAVYFNDISQNQLSQSFDFGSTAKEPNFTKESSWRGRFHVHVKRTVRPVYATVLQHKKPTSSAADDITGGSRLTSQTVLRQEVPTATSKSYTFKTSMVI >A06p050800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26722831:26724467:-1 gene:A06p050800.1_BraROA transcript:A06p050800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQRSTILAVNAKSNLHHFHSSQAELESVSKLLDRKWGLQSPATPIHRISGIGKLSFLNNTRPRLGDEVSKKRSSFYILRDDLLHPLVNGNKARKLDALLPLLQDHKVTDLVTCGGCQSAHTAAVAVSCGERGVRSHLLLRGEQPEVLTGYNLVSTMYGNVEYVPRSKYANREEMLRTHADLVAGEEGSVLWVKDLEAMDDFSSSEAASSRKVLIVNEGAGDALALLGMFRLVKYLSEDHLLGKKSRVKFVVDAGTGTSAVGLGVAAMSLGLPWEINAVMLADTLQNYKRHEHRLLEEFTRQFLPSIVCSSLDTIKWVERQRPRKFGKILEGEVEMCRKIAQETGVLVDPMYTLAAWETATELVEDEESSIVVMLHTGGTLGMFGLAQRYKSFFTNSND >A07p025810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14809425:14811544:-1 gene:A07p025810.1_BraROA transcript:A07p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKMKLKLYNTMTQQKEDFVPITPGKVGLYVCGITAYDFSHIGHARAAVSFDVLYRYLKHLSYEVNFVRNFTDVDDKIIIRANESGENPLELSNRFCEEYLVDMGALQCLLPTHQPRVSDHMDHILDMIQKIIEKDCGYVVEGDVFFSVDKSPNYGKLSGQLLEHTRAGERVAVDSRKRNPADFALWKAAKPDEPSWESPWGPGRPGWHIECSAMSAHYLSPRFDIHGGGADLKFPHHENEIAQTCAACEDGGVNYWLHNGHVTINNEKMAKSKKNFKTIREITESYHPLALRHFLMSAHYRSPLSFSASQLDSSSDALYYVYQTLQDLDDALLPYREAMAEDGGKAQQTAEAKDVINKLKSEFEAKMLDDLNTAHILTGAYQDALKFINASIGKLKKMQKKQRLSMLVSLVEIEKAAREVLDVLGLLTTLSYAEILKEMKQKTLTRAGLSEEDIEQKIEERIMARQNKEFEKSDQIRAELTVQGIALMDIGKETVWRPCFPSQANSSDGDKSTS >A07g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5884916:5885391:1 gene:A07g502890.1_BraROA transcript:A07g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKRRWNDVSDASYRANEITTIVNGSMLMTPKAFESHASLINLERKLTKSCDRACLLFTDAGEAEQEEERRRRRDAEKERRQRRRRLGFSFYVYFLFFFLKKLV >A01g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22573487:22574935:1 gene:A01g507990.1_BraROA transcript:A01g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPRLVNCGPKPTRFSVSSRRSFVSTIPHRNRSRRILAVATDPKPTQTPTVNGSSSSSKGVNKNVSTRVKDVSKEIKRVRAQMEEDEQLSVLMRGLRGQNLNDSVFADDSIQLRLVETGESSELLPLVYDPATISAYWGKRPRAVASRVIQLLSVAGGFLSRLAVDIINKKVKENEVSRAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDVAMALLEEELGKPWQEIYSELSPSPIAAASLGQVYKGRLKDNGDLVAVKVQRPFVLESVTVDLFVIRNLGLFLRKFPQARFRLTAQLMHLFFSFTVHLHDFRPSLLEFSCRCQ >A09p009050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4635467:4636981:-1 gene:A09p009050.1_BraROA transcript:A09p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIASFFLFLILGIILIFSFSTKALKKQQTKSSYKPTSYPLIGSILSFNKNRHRLLQWYTDLLRLSPSQTITIDLLFNRRTIVTGNPENVEHILKTNFCNFPKGKPFTDLLGDLLGGGIFNSDGQLWSSQRKLASHEFTMRSLREFTFEILREEVENRLVPVLSSAADYDGGRTVDLQEILKRFAFDVVCKVSLGWDPDCLDLTRPVPALVEAFDVAAAISARRATEPVHAVWKLKRLLNVGSERRLREAIKTVHMSVSEIIRAKKKSLDISGDLSDKQDLLSRFLAAGHGEEAVRDSVISFIMAGRDTTSAAMTWLFWLLSENGDVEDKILEEVRNKGSLGLGFEDLKEMSYTKACLCEAMRLYPPVAWDSKHAANDDVLPDGTRVRKGDKVTYFPYGMGRMEKVWGQDWDEYKPNRWFEEEPSTKPFLKSVSSFKFPVFQAGPRVCIGKEMAFTQMKYVVGSVLSRFEIIPVTKNRPVFVPLLTAHMAGGLKVKIKRREH >A07p029260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16421740:16423030:-1 gene:A07p029260.1_BraROA transcript:A07p029260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISLVVSDLVLSFMWIWAGVLVNVLVHGVLGFSRKDTTGDIVRYLFSVISMFVFAFLQKLTKGGLYNPLTALASGVSGGFSSFIFSVVVRIPVEVLGSILAVKHIIHVFPEIGKGPKLNVAIHHGALTEGILTFFIVMLSLGLTRKIPGSFFMKTWIGSIAKLTLHVLGADLTGGCMNPAAVMGWAYARGEHITQEHLLVYWLGPVKATLLAVWFFNVVFKPLTEEQQEKPKAKSE >SC141g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:15449:17700:1 gene:SC141g500020.1_BraROA transcript:SC141g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQKGELSKKEKLFLEEFTASMDKACKDQLRKFRQDIQQQRKGRSSRDEYKKKEFDQMDRNWKHAGLKYQIPSFHGKADPEAYVKWEKKIELIFSSQHYAEKKKIQMATAEFCGHALRWWNQLIKCRRLDGKEPVETWLKLRALMRREYVPRQYHKEVIQKQPETKLCSSLSVQKQPDSKRSSPSSNLVSSSKTSTYSFEDSIRKAISQAFRDVEKQFKQSKTISPSLEVQNQAPSSTVSELKDAKPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKRDVIEEEAPMASKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTREVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIDFGDLLPSEAKGMHVSAQQEFHYETNWRMLHTLSWIQQTRKRSKWPPDHQDIINSAKHIGLVKFCELLISDWGGRIQFYLWKLGAYASILIILGECSARGRTSWGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGQTVLSARIFEKRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLASNWNHVQSLSNERVMGSTRRNISILSMATRYDALVFAKRVMCRIYGSFEAYQGKEQA >A07g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5117636:5123634:1 gene:A07g502430.1_BraROA transcript:A07g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKVAKKGSSSTSAYEELIVPKMEFVPHSVHPAENEAWWVAHYGLMTPPKEKSFPVLTHRGVEKEDASRSTDDFLATMRSFYHIPDAVEFRVPYPGVCANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDTIEVRDLLRNGPFFWTSFTPKRVWKALRFVHPGPASVADTGSDSEPDNQSPAAAPPAVPESSSWKGKDIDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPAKESARPKIVAEGSRIINGGLNLLGSAIEASHREAMIYRFKAEKAERDLARVQGEILEREAQLTRDHARAVCKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTLVGDFLECRGSVESLWRTRADDYVFEEEMSLMKSGMNERAHSEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDRPADAFGLDGCICIYRDWPLVALNHLPRYAVIYMTNVSFRVFLNRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMVRIEHLLRVNWKTASVFVGANRRTGCKVLVVAFGQFIMIFMIFGPDEAADKSLNVSRRVLKRGLRTCVELRRPMRRSESRMRSLTLVTSESSPASSFAAIGRGVSSGLVELAEGVFVIPLIASPCVARGPALIRIDRIVMRPLEIFPLVMDVLVVTRAISSSSVIGFSNGEPSRADRLLVLLGCLSTSSSVSLETSLGSRSMCSASLSSESFAGGGRLSEFPFSMGDFFARVLTGRSFPRDSCSIEWGGEVEPLPADFGGSAGTDCLGPCRIHELILFFRPFLIGGEHLFELLERRGVGLRVGRGYVRYWSVEIGAAASIKRSLHVIRVRQTVGAEIHTVDFRLNKETRKTLISQRTRISANYHTSSNQNTRITTINSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSSET >A03p013580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5334568:5337844:-1 gene:A03p013580.1_BraROA transcript:A03p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMSNKFFESIGGAPAYSMVAVAVKGSVGDAVGGAASRRALRWTVENLLPNVDRLVLVHVMPNVTTIPSPSGSKIRVEELEESVVAMYKRDLRKEYEQVFVPFKRLCGSSKVETLLMEHDDPAKALLKYVSDSEVECLVLGSCSSSFLTRKKGQEMPLRVLGEAPETCETYVICKDRILTKSTNQLSPDNESITLSPDSSSSFRIPQGAEAYTETFSRTRSDKTGLSASSMSSSGRKHARRPASLPHSNPVSRVFSDAQSSTDIGLVDDEHTRSVIRHSLVSGNKMQLNPGANIKTPKSDVKSEVAQLRKEVETTLSMYKQACEELVHKQTQVKSLSSECIKETRRVITALEKEEMLRKAAAEEKEKHLKAVKEVQEAKSMLAKEFCERQLAELSALKQSIEKQKVIDQLFLKDGRYRKYTKEEIAAATDNFSSRKIIGEGGYGKVYKCSLDHTPVALKVLKPDSIEKKEEFLREISVLSQLRHPHVVLLLGACPDNGCLVYEYMENGSLDAHISPKKGKPSLSWFIRFRIIYETACGLAFLHNSKPEPIVHRDLKPGNILLDRNFVSKIGDVGLAKLMSEESPDSVTVYRNSIIAGTLYYMDPEYQRTGTIRPKSDLYAFGIIILQLLTARHPNGLLFCVEDAVKRGCFGDMLDGSVRDWPMAEAEELARIAIKCSQLKCRDRPDLDTQVLPALKRILESANERLKIEQDNVRPPSHYYCPILKEIMEDPYIAADGFTYEGRAIRAWIQHNQNVSPVTKHRLKHCDLTPNHTLKSAIQEWRSRSRLDLSTTLGSF >A05p047340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27902346:27904822:1 gene:A05p047340.1_BraROA transcript:A05p047340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD2 [Source:Projected from Arabidopsis thaliana (AT3G12120) UniProtKB/TrEMBL;Acc:A0A178VIK7] MEGPTSKKERKEMSLNRLTRAPVNLNGLPSAQSHLTRTREIHYQRDRERKRGDRESLRRCFFVGFIVINVKSSSPYVNQLKTKEIDIHKKEINMGAGGRMQVSPPSKKSETDTIKRVPCETPPFTVGELKKAIPPHCFKRSIPRSFSYLIWDIIIASCFYYVATTYFPLLPHPLSYFAWPLYWACQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLIFHSFLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKSDIKWYGKYLNNPLGRTVMLTVQFTLGWPLYLAFNVSGRPYDGGFACHFHPNAPIYNDRERLQIYISDAGILAVCYGLYRYAAVQGVASMVCFYGVPLLIVNGFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPVVKAMWREAKECIYVEPDRQGEKKGVFWYNNKL >A02p005150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2229670:2230380:1 gene:A02p005150.1_BraROA transcript:A02p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVDLVGNKISGAIPANIGNLLKLTVLNLADNQISGAIPASIARLTSLTHLDLRNNGLTGVIPVYIGRLKMLSRVLLSGNKLSGQIPESLTRIYRLADLELSMNRITGPIPPSFGRMSVLATLNLDGNLISGEIPGSLMTSSISNLNLSGNFISGKIPNAFGPRSYFTVLDLSNNRLEGVIPESITTASFIGHLDVSHNQLCGKIPAGSPFDHLDATSFGYNRCLCGTPLGDCGK >A06g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4292493:4294003:-1 gene:A06g501180.1_BraROA transcript:A06g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLKTKKTKPIIYLLRENIMADLNEVIPTIDLKGVPDEKLNQQIREASERWGCFKVINHGVSSSLLSEMKKTVTDLHERPHEVKIRNTDVILASGYKPRSELNPLYESFGLFDVASPQAINTFCDKLEASAEQREIMVKYGNAMDGLAKYLTRMLAKSYELADHDICKEWPSQFRISKYHFNPETVGKNGLITHTDPGFLTIVHGDDNVGGLEAMDHSSGTYFPINTSPNTLTVNLGDMAKIWSNGRLCNVKHRVQCKEAKMRITISTFLLIPMDEVVEPPSEFVDAEHPRLYKPISDGELRKIRLSNNMHDGESFQFITLK >A08p039820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22824919:22830459:-1 gene:A08p039820.1_BraROA transcript:A08p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKTDATTKGTRSGSVAIAIDNDKTSQNALKWTMENLTSRGQTLALIHVVPKSQSSSDIEEGITHKQQIEKETKHLFVSFHCFCSRKEINCLDVVLEDVDKVKAIVEYVTVSAIENLVLGAPSRNSFMRRFKTDLPTSVSKAAPDFCNVYVIAKSKISSLRSSSRPAPYHPSVLSEFDNHETTENKHKTREATTPAYSRGRRSVDSDGPRSGFVKPPQGHMKLMGDFSDSESEYSFINASQQGSDISYISSGRPSVDRSSFTYDLPDSARTSRMSTSSEQSIGSNRLGIKFTDLGFLNNASTASEESGRTSCSYSSQSLGDVEAQMRRLRLELKQTMDMYSSACREALTARNEATELQRLRSEEERRMEELKMTEETAMSMVEKERAKARTATEAAEAAHRLAEAEAKRRLNAEMKVLKENDSFPRHSIVRYRKYSVQEIEEGTGNFAESRKVGEGGYGPVFRGHLDHTSVAVKVLRPDAAQGRSQFHKEVEVLSCIRHPNMVLLLGACPEYGILVYEYMAKGSLDDRLFRRGNTPAISWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDHNYVSKISDVGLARLVPAVAENVTQCRATSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQLLTAKQPMGLAYYVEQAVEEGKLKDMLDPAVPDWPLEEAMSLAKLSLQCAELRRKDRPDLGKEVMPVLNRLREMGEESLESVYYAGHGPMSHSSQVSYTSSQLRGTKMGQGKEVKTRPDPQVEIQERGEIFFFYRPKVNKEEAHSVDDVQRLYIVMRPESGENPVEEKQDPLSGKEGSSDEDDNNSSKKGGEGGHGVEKVNIEKQLLLRFIVMGKKTLPDPSKKSQPFWGFVEMVTKKVEDVKEALKGEEYQTKTRGHRHNPPARAVGEGIYRILRHKPSPTRKHHTHLVYKLEYPSPTEKHEPQESMNIEPEGSLLIQVRNPEQGKGGRGSGFRGLKRKRKAQFPAHLQAHLGHTRFGAADPPDFLNYEGCEFLLISASDDIEKELGVELESEGEGDESSCDLVKTFGDDVDAIPLLRGTWD >A03p070710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31087216:31088777:-1 gene:A03p070710.1_BraROA transcript:A03p070710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTMDDDQNLAFISQLYPDVYTQILPQEGAVKPPKRRRKKIKRAVATGDGSNCFFRKRKLSDEQVNMLEMSFGDEHKLESERKDRLAAELGLDPRQVAVWFQNRRARWKNKRLEEEYNNLKNSHENVVADKCRLESELLQLKEQLYDAEREIQRLAERVERGSSNSPISSSVSVEANETPFFEDYNVRDDGDDYNLFYPVPENTYIDGLEWANLYI >A03p053840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23106486:23108804:-1 gene:A03p053840.1_BraROA transcript:A03p053840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGENPFASNTSSLQSQLKEKDKELLAAKAEIEALRTNEALKNRAFEELRENVRKWEERLGQKEVEMKKLEEEKEDALAAQEAAEEALRRVYTHQQEDDSLPLESVIAPLEAQIKFQKHQISALQEDKKALERLTKSKESALLEAERILKSALERALIVEEVQNHNFELRRQIEICQEEYKFLEKINRQKVLEIEKLSQTIGELEEAILAGGTAANAEEKRTLERELARVKVSASRVALAVANEWKDENDRVMPVKQWLEERRLLHGEMQKLKDKLAVSERTAKAESRLKERLKLRLKTIEDGLKGSISQTTTKTEKSGKILGFLTSGGGGGSKKRSSSQPRGSITGRIHAVNQPINRVGETDGKENSKISANGLVDQDAKEEDMVSGFLYDRLQKEVIALRKVCESKEDTINAKNEEIKMLLKKVDALTKAIEVETKKAKREAAAREKENALAVLNEEPKQCRKANLPRSRVHNSR >A06p002830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4954633:4956494:-1 gene:A06p002830.1_BraROA transcript:A06p002830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G51640) UniProtKB/TrEMBL;Acc:Q9C8H6] MAETKRLERLKAMRSLLKSEMEKTKTSSLVLEKTGSKLEEINTKLLSLEADVKVERWRSSPFSDHIRYTIAPVSAALRVFATVQELERDLMSCNEVLGYVSYVKRLGEALKLLSSSCVLALNWLEDTLKFLSENGMPEDHPCGLRFRTSIELLRELQMTEARAYRRGGSLHTALENLETELEMILEEEEVLSEYNLRDMKAIIKRLDAHTVLTNCVHVYIKNRTRVIQKRFEIDYLERTITEADIEGYIYQWRVDVEIAVKEVYEFESNLCNEVFKDVGEEHDVPLHCFGAIASNSGILQLLRFGSRIRKCKKGPPKLLKLLECFSTMDNVRTEFNRLFRGEECSEIRRETRELIRNLVKGVCEIFWELPCQVELQRPNCPLLDGGVPKLVSVVTEYCNKLLGDKNKPVLSKILEIDLGWKNEKYQEEILIGHMYNILREIALNLDAWSSSNKETALSYIFMMNNHSHFYGLRETPLGLMMGDSWLNAHEQYRDYYAALYVKESWGKLLSLLNNKAQTVKRTLQAFAKGFDEIFTESNHIGLLRMRRYKSYLQSYIILLAEEDAHKSHGKDLNYYTPKGLEMKMKAMFKSKEGAEFSHFDGNRD >A07p048640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25958317:25958887:1 gene:A07p048640.1_BraROA transcript:A07p048640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRMGPSGFQLAGDNSFDDGALDFDGVKNVSIGVREKQIVYISLTYSRGEEKETITHGEQPNENMEITFGKGGGYCKTVGGIYKRGTPQLPTGYISNLYFVTSEGQKTESYVRAAADESDEAFSFTADGETQLVGLFGRFGQKGLITIGALFAPE >A06g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14494481:14495548:1 gene:A06g504800.1_BraROA transcript:A06g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRDLCLLPINVILTNPQNCDSESPSSSDDQKKLQAKPLKSAIDDWVGRLLALVSSDMPDKCWVGLDLKGVTCQECSSDRFFSLYYVWFNSLLSHIKNPESSRIVRVVSCTSISDLLTRLSRFTNTKKDTVSHASKVISPSLNYWRKNLQRHYGKALSIC >A06p036350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19731595:19734070:1 gene:A06p036350.1_BraROA transcript:A06p036350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT6 [Source:Projected from Arabidopsis thaliana (AT5G62620) UniProtKB/Swiss-Prot;Acc:Q9LV16] MRKPKLSKLDVFVSVSKQRSVQILMAVGFLYMLLITFEIPFVFKTGFSTLSQDPLTRPEKQNSQRELQERLPPSRPLKSLLFQEPESEPPSSIQGLRRRSQILSTLKFDPETFNPGSKDGSVELHKSAKVAWEVGRKLWEELESGKTLKSLKKKTEESTSCPLSVSLTGSDLSNRGSLMELPCGLTLGSHITVVGKPRGAHSEKDPKISMLKEGEVSQFKLELQGLKAVDGEEPPRILHLNPRLKGDWSGKPVIEQNTCYRMQWGSAQRCEGWRSRDDEETVDGQVKCEKWVRDDSIASKEEPSKADTWWLSRLVGRSKRVTVEWPFPFTVDKLFVLTLSAGLEGFHVSVDGKHVTSFPYRTGFTLEDATGLTINGDIDVHSVFAGSLPTSHPSFSPQRYLELSSNWQAPSLPDGQVDMFIGILSAGNHFAERMAVRKSWMQHKLVKSSKVVARFFVALHTRKEVNVELKKEAEFFGDIVIVPYMDSYDLVVLKTVAICEYGAHQLVAKYIMKCDDDTFVQVDAVLSEAKRTPANKSLYIGNINYYHKPLRQGKWAVTYEEWPEEDYPPYANGPGYILSNDISRFIVKEFEKHKLRMFKMEDVSVGMWVEQFNNGTKPVDYIHSLKFCQFGCIENHLTAHYQSPRQMICLWDKLVLTGKPQCCNMR >SC259g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000109.1:11502:12098:-1 gene:SC259g500020.1_BraROA transcript:SC259g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEICKLVEHMCDVWEINKKPDRWKRGTS >A02p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2515780:2517742:-1 gene:A02p005880.1_BraROA transcript:A02p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKNSLIPSFLYSSSSSPRSVLLDQVLNSNSNAAFQSSNLEKNSPSPTMASRKNFLIASPTEPGKGIEMYSRDFYAACTVGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEFAAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGMSDGFPKFVKSEGYGGLYKGLAPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKHECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGMVGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVAPVPAAKA >A02p017590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7946625:7947428:1 gene:A02p017590.1_BraROA transcript:A02p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLHVYNLGQTASIIIRLMWSLKLAATLSTPVGSGEEEEDITDVDSEEERERDAIAMMEMLNRVSPIKEQQLKKAETWHRDAAARVQYSRPAQPITCATFAEDVLEGRASVSQSHEHKHQPMIFGPASIVNGGLSTERERMIAQMQCTNI >A04p033930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19702158:19702787:1 gene:A04p033930.1_BraROA transcript:A04p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTPCKFCERICLAFKREAEVIPKDVPKGHLVVYVGEESMRFVIISLLAHPLFKALLDQAQDAYGFSADARLWIPCDASTFLEVVRCAGSPQYQNS >A07p039540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21013437:21015557:-1 gene:A07p039540.1_BraROA transcript:A07p039540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTITTATSNGVSPAATLQLHSIIPENPTIAMELPSFVSLKRQFLGNSAPGDFFLASCPSIALHATCSFFRKPANFSPDLELSISEVAALDICQKRAIFKRMGEEERQEIKRKCGGSWKLVLKFLLAGEFGYRREKSQALAGPGHSIAVTSNGVVYSFGSNGSGQLGHGTVEDTWQPQPIRSLNGIRIIQAALGADRTMLISDAGEVYAFGKDCFSDPGLEIQQTKVITTPQRVKSLTEIFVVQAAIGYHFTAILSREGRVYTLSWGKDEKLGHGTDLNCLLPQPLLGDLENVPVVQISAGFCYLLALAFHPTGMSVYSVGCGLGGKLGHGSTISEKQPRLIEEFSLLKMEPVMISAGAWHAAVVGRDGRVCTWGWGRYGCLGHGTEELELAPKVLEGLKDVKAVHVAAGEYTTFVVSDDGQVYSFGYGEVNLGQGDVEENTLTPKLVSSLKETKERVVHVSLTKSVSFPWTGHTFAMTESGTLYAFGSGGRGQLGVKLGDNVMEREEPAKVIGIDLS >A09p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10114359:10117443:1 gene:A09p019150.1_BraROA transcript:A09p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPKSKNFKLQPPTSTINIYLFWEILNFLGSIEYPYRFGFGSDNTHNPKYHKTRSNRYLRRVVSDRMGMSESIKVIVSLFLVVLLALAATQTESRYINYHALHGDHSLICDKAHPNTCKKEEANPYTRGCETIDRCRGQSLGPKMFGFGSDNTHNPKYHKTRSNRYLRRVGSVRIHFYRIGFDLFAQL >A06g503310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10253238:10253546:-1 gene:A06g503310.1_BraROA transcript:A06g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFIVGLPSIVLARLGFQDLVSGDLTSMLSFITRGQFHSLLILFCFSFPLCFLISFQLSLLFASLIALPFTMLTTPGLDNVFVRVYAMWDLKIISGLKLIL >A07p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12311130:12312449:-1 gene:A07p020890.1_BraROA transcript:A07p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease XCP2 [Source:Projected from Arabidopsis thaliana (AT1G20850) UniProtKB/Swiss-Prot;Acc:Q9LM66] MATRIVCFLLALTALSLSFAASHDFSIVGYAPEDLESHDKLIELFENWLSNFEKAYETVEEKFRRFEVFKDNLKHIDETNKKAKSYWLGLNEFADLSHEEFKNKYLGLKTDIVRRDDDERSYQEFAYKDVESLPKSVDWRKKGAVSYVKNQGSCVEGINKIVTGNLTTLSEQELIDCDTTYNNGCNGGLMDYAFDYIVKNGGLRKEEDYPYSMEEGTCETQKDESEMVTINGHQDVPTNDEKSLLKALAHQPLSVAIDASGREFQFYSGGVFDGRCGVDLDHGVAAVGYGSSKGSDYIIVKNSWGPKWGEKGYIRMKRNTGKPEGLCGINKMASFPTKTK >A10p019920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13585619:13587574:1 gene:A10p019920.1_BraROA transcript:A10p019920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIFSISLLLLLLDGCYGGKVGVCYGRSADDLPTPAKVVQLIKQHNIKYVRIYDSNSQVLKAFANTTIELMIGVPNSDLKPFSQFQSNADTWLKNSVLPYYPTTKITYITVGAESTDDPHTNASSLVVPAMQNVLTALKKVGLSRRIKVSTTLSLGVLSRSFPPSAGAFNSSYAYFLRPMLEFLAENQSPFMVDLYPYYAYRDSPNNVSLDYVLFESSSEVIDPNTGLLYKNMFDAQVDALYYALTALNFRTIKIMVTETGWPTKGSPKEKGAASPDNAETYNSNIVRHTVTNQGTPSKPGEAMDVYIFSLFNENRKTGLDSERNWGLFYPDQTSVYQLDFTGKNGGFRSNSSGGNSRGRSESWCIASSKASEIELRGALDWACGPGNVDCTAIQPSQPCFQPDTLVSHASFVFNSYFQQNGGTDVACSFGGVGVKVNKDPSYDKCVYITAGGNNTKATNASALNSSASTSHGNESLVWNLSLCLVILLLFSLQTTNSQAL >A01p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3225147:3226379:-1 gene:A01p006320.1_BraROA transcript:A01p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSITIVCRVFVGSTSLESVSLPCLKTMRLENNTYADEACLELLISSCPVLEDFSFVRMPGSCGVNVLRVHSKTLTSLTIKTCVHDYDFQNNDRISVVRVLINAPRLKYLSLCDFISECKTISNLGSLTKVNLGRRAPDHFFTSISQVRDMKISDSAFRNLSRSLSWEKKIIFTHVPQCFMSSLEFVEIAGTFRGLPGEMELVEYFAENSVVLKKKKKQRY >A10p030690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18523532:18525365:-1 gene:A10p030690.1_BraROA transcript:A10p030690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPSPHHVVLFPYMSKGHTIPLLQFARLLLRHRCATSSSNDGEEPSMSITIFTTPQNKPFISNFLSDVTSSIKIISLPFPENIAGIPPGVESTDKLPSMSLYVPFTRATKSLQPLFEEALKNLPQVTFMVSDGFLWWTLDSAAKFEIPRLAFFGMNSYSSALYNSVSVHQLFTKPGNIKSDTEPVTVPDFPWIRVKKCDFVPVCTEPDQSGAEFDLLMDQLMSTGKSRGVIVNSFYELESPFVDYRLGVRGEPKPSCVGPLCLVNPLKPESDKPSWIEWLDRKREERCPVLYVAFGTQAEISNEQLKEIALGLEDSKANFLWVTRMDVGEMIGEVGFEKRVREHGMIVRDWVDQWEILSHESVKGFLSHCGWNSAQESICAGIPVLAWPMMAEQPLNAKLVVEELKVGVRIETEDGTPEGFVSREEVSQKVKKLMEGEMGKTARKNVKEYAEMAREALAQGTGSSWKNLSLLLEELCKIRETNDVNKLSSHD >A03g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20593954:20599242:1 gene:A03g505800.1_BraROA transcript:A03g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSHVSSDSDEQFMSFKDVSLGPHEAQLRFRLIHFWEARNPVKKTLIGLEMLLIDEQGTVIQGFIPPGRIKKFLPDMKRGSVYKLINFYGSKNKPMYRVADHVATVSFAWNSEMSVLHEIPISFDEDRFRFHSHEDFEANCDLKGDLYDVIGHMKLVDGQTLIERPSLDDVKIATTRHIVIHVQSHDGPVLKLYLWDQAATDFCKKFNSCENTPTVLLVTTVNTKRLGGTLALSSMSSTRVFMDYDVQPTRDYFTWLGSNPEIANQVCADVITKRETLSIAEIFSYMTQESAKCTATIDDVVHGSPWYYIACSGCHSKATPGPSSLICTNTKCQKVNTTGVPEYRAKISVYDNSEQAFFVLLGDAGRKLTGRHASELVSSYFEANKSEEADHEVPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKILSLDTPSPTEASVGNDIAAMSEGATQSGDAVCEPHKGGGDTADEESKRACSSADPETAKRPRCWLKDHCFNEMNNPPKDQPIETAVTSSMQHIPQRIHQLQEEISVRPITVCIRNVWDIINHQTDNTQTSIGFLCYDHHGQLLEGRLTGNIQPNDPTKLAEGDVYEFSGFSVINNPRNQKLTQLPYYIRIDQKTIVLNVTLDCPIFPVHTLVPQNCRNLLRLAITPTYLPDVVGQIVIIQKIKPNHPELNIDATIGLRMNRSTIVKLILCDKQAADFSVLQCKKDRKFKANYYSVHHQRQLSTSTNQLITLTNSKGK >A01p038890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14804635:14808359:-1 gene:A01p038890.1_BraROA transcript:A01p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 1 [Source:Projected from Arabidopsis thaliana (AT1G59750) UniProtKB/Swiss-Prot;Acc:Q8L7G0] MAASNHPSGKPGGALSDALCRELWHACAGPLVTLPREGERVYYFPEGHMEQLEASMHQGLEQQMPSFNLPSKILCKVINIQRRAEPETDEVYAQITLLPEADQSEPMSPDAPVQEPEKCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHNNEWHFRHIFRVTVACICLMIIIWLQIQADISSIIRTSRSEFIVSVNRYLEAKNQKLAVGMRFKMRFEGEEAPEKRFSGTIVGVQENKSSVWHDSEWRSLKVQWDEPSSVFRPERVSPWELEPLVANNTPSAHLPPQRNKRPRPPGLLSPTTAPSTPVTADGVWKSPADNPSSVPLFSPPAKTAAFGLGGNKSFGVSIGSAFWPTHADGAAESFASALNNESPTEKKQTNGNACRLFGFELVENMNVDECFSAASVSGAVAVDQPVPSNEFDSGQQSESLNINQANLPSGSGDHEKSSLRSPQKSQSRQIRSCTKVHMQGSAVGRAVDLTRSECYEDLFKKLEEMFDIKGELLESTKKWQVVYTDDEDDMMMVGDDPWNEFCGMVRKIFIYTPEEVKKLSPKNKLTVNVRMQPKTDADENGNTEGRSSSMAGSR >A04g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20252211:20252689:-1 gene:A04g507920.1_BraROA transcript:A04g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRHKVNDYVNRLKEILGMVPPACCMELPYFHGKAAELGDIIGSGRISNRLLPMYLACMGFGIVALLRGLVSHSTKRSPVLARRKRA >A05p021320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10138375:10140181:-1 gene:A05p021320.1_BraROA transcript:A05p021320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNRTVELEMEQQQQQQHQSQSSLQPGPCILLSSFPQQQQQQQPDNNNNNLPAMAASFPNLEARSLQDPTSYDMFYGLPQYHHHHQLHQPAPPPNYYVPYMAFQGPSSSSQGVVGVSSDQFMDHTIGTYKRKNAEGIPVMNHQSLSTLAAPFNNTPETIAPFGGPRSRPMNPVLPPPPPPHAPNSFIQGSYPGHHPFPPPGSIWYDQHHGRPDGSPSFWPHPPYMHAGSIEFSSRNPTAFMYPPRDHYYPHPPPPPPPPHVQGVRGPSATLYPPMPSSSPSYGFPPGNFAPPQNTINRGGPSGSEMGQVQPTGFRIYQRDDSVPLAALRQHRGGVPRFRMMPDDEVAILEFGDFLGGGSGNNHIDHHRDMRLDIEEMSYEELLALSERIGTVNTGLPEEDVKNHLKTRTCSVINLAAESPQTKDRETEPCTICQESFKNEEKIATLDCGHEYHAGCLEKWLVVKNVCPICKAEALVMEKTTV >A07p033880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18494249:18498855:1 gene:A07p033880.1_BraROA transcript:A07p033880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTIGTDIGGFIGNVFTAPFKATLGRSCLNVCSGPWDLSCFIEHFCLPDIAKLVLISGLCVIILMFVTLLFKLGICQCVVKSICKMSCAACAMYWFAIGEMIRCLWHSLTNTKRVYRRKRLRDIEAASYSYPSDDEPSSEDSTRPKQRRRRRTRRGSKHNHNRGSNRRLVRLKSRQMSIRVGGKSRRVRSGRKMKSSRVKVKKKILKVNDLQRRMFMTLAAANAVSFNSKLILFRIRCSDSNPKRGFGSKREEKDPSLLQRKSSSKQSVSAPRKAPGLNSQFEGKPGRSFDIDFEERLETIRRSALEKKKTEVVNEFGPIDYDAPAPVQTDQKTIGLGTKVGVGIAVVVFGLVFALGDFLPTGSDSPTEKTSVVKNKISEEEKATLQQRLKEFETTLDGAPNDQTALEGAAVTLTNLGEYSRAATLLEKLAKERPTDPDVFRLLGEVNFELKNYEGSIAAYKISEKVSKGIDLEVTRGLMNAYLAAKKPDEAVKFLLDTRERLNTRKTSTADSVPAETEPDLDPIQATYSDWGHISDAIAVYDRLITDHPEDFRGYLAKGILLKENGSRGDAERMFIQARFFAPDKAKAFVDRYSKL >A07p047140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25363728:25365156:1 gene:A07p047140.1_BraROA transcript:A07p047140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGKIFRQTSAHVTASNSMLQSIRCMSSSKIFVGGISYSTDEFGLREAFSKYGEVVDAKIIVDRETGRSRGFAFVTFTSTEEASNAMQLDGQDLHGRRIRVNYATERGSGFGGRGFGGPGGGNAGGYGAPSGGYGGGGGYGGGAGGYGAPGGGYGGGGGYGGGAGGYGAPAGGGGYGGGSSYGGNAAGGGYGGNSPYGGNAGGGGGYGVAGGVGGSDNFAQGSSSNAGFDDKFSSNETLGNDTDHQLESAGGEQFGGSDNQFGDSENGQTEIGGPDGFDQTDDGDVAKKA >A01p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7955385:7956510:-1 gene:A01p016060.1_BraROA transcript:A01p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKAMLAWEQKTLQSEVSLGLEAAKKLQARLSEASSPSSLSSYPAAETNEILIEQILSSYDKVLVMLNWSSSPPVQLTPATVAVVPVVNSGGIPESPASINGSPRSEDGGGSSESHRQDHMFNSRKRKMLPKWTEKVRISPERGLEGPQDDQVQRSDSDPTVFELTYRGTHTCQQGTPPPSHPPPASPEKRNTRPKLTTTTQKPNDILESLKTSLTVRTEGLDDADNVFSFPNTPPFCDFGTINDEFSSPIFDVVDWLNPTVEIDPAFPTFLQESIYY >A03g502110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7107411:7108694:-1 gene:A03g502110.1_BraROA transcript:A03g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQIVSDNSNTNALFGKYELGKLLGCGAFAKVFHARDLRTGQSVAIKILNKKKLLLTNPALANNIKREISIMRRLSHPNIVRLHEVMATKTKIFFAMEFVKGGELFNRISKHGRLSEDLSRRYFQQLISAVGYCHARGVYHRDLKPENLLIDENGSLKVSDFGLSALTDQIRPDGLLHTLCGTPAYVAPEILSKKGYDGAKVDVWSCGVVLFVLAAGYLPFNDPNMMSMYKKIYKGEYRCPKWMSQDLKRFISRLLDINPETRITVDEIVKDPWFVKGGFKPVRLHDEIDLKEGGGGEVEEGVKSLNAFDLISFSSGLDLSGLFAGCSESERFLSEKSPEKLAEEVEEFAKEEKLKVKKEEYGFDMEGQNGNFMIEINISRLNDLLAVVEARRRGGDADCYTEIWNNKLRLKLTSVCDQTPIATI >A06g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5520261:5522694:1 gene:A06g501480.1_BraROA transcript:A06g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQTAPPLNVPAVMDQEVNNNQNQVPPETCLGCILHTYVSIRYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAESIHNASSELATQKLINRHFPPKSSILDHPRSNPYAHEFSFPLVKK >A01p048550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27332295:27337085:1 gene:A01p048550.1_BraROA transcript:A01p048550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSMYLFVLLPQLFLRFGLAENPSGFTSLTCGAPMGTSFLEKATNITYSSDAPYIDTGIGRSIKSSYQSKSEQQTWYLRSFPQSSRSCYTFNLTTGDKYLIRAIFFHGGYDTRPSTKFDLHLGPNKWATVSTAEETKSETFEIIHILATSRLQVCLVKTEDSTPFISAIELRKLTSKAYANESGSLQTFLRADIGSFSKQSLRYGSGVYGADVFDRIWLPYNSENWSQIRTDNSVDNDNGFKVPENVMATASVPTDPDAHMNISLTGLHQTSRFYVCLHFSEIQELNPNDTRELKVMYNGRLIIEPFKPISFYTRSFIRDELGPNANGQCTFSLQKTASSTLPPLLNAMEVYTVNSLSQNETDTKEENVSGSATDWQGDPCVPRDYMWSGVNCSYIDSEQPKIISLNLTTHGLTGEIQETISNLSSLQVLDFSNNSLIGPVPEFLAHMTSLKVINLSSNLLYGSIPTSLLDKVQRGLVSLSIEGKPGICSSASCATTNKKKTKKKTMVIASVAASIFLILVIVLVILKRRAKLGRYPNSDHDDNNLQQCNNQSSSSEMANNMFTYEYLAQATDNFSNVNFIGQGGFGYVHKGVLPDGTEVAIKQLKAGSGQGEREFRAEIEIISRVHHRHLVSLLGYCVIGTQRLLVYEFLPNKTLEFHLHEKRRPLDWSKRMKIASGAARGLAYLHEDCNPKTIHRDVKAANVLIDDSYEAKLADFGLAKCCLDNDTHVSTRIMGTCGYLAPEYASSGILSDKSDVFSFGVMLLELITGRRPVGKSHPFADEENIVDWAKPLMLQALNTGNYHGLVDPRLEKDFDISEIKRMVLCADACVQHSAMHRPKMSQIVRAFDKSISLDDLIQGVALGDITSYNLDGSSDYTSTEYKEDVKKFKRLVLESQTFGSSDLTSDNAQSSSGSFCIKSET >A04p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23012299:23015310:1 gene:A04p040760.1_BraROA transcript:A04p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGQIGKSLEQGIRSQHALSPSQTQTLSPPPEIKSSAISVPVIVSREIFTFDSSSTEKSEQRAIHRFDYLITTSLVSIVQNKMSFVLGLADHSVPSSLPRSRMADGVRFRGLTDPLFKDPRYKRFFDLLIELDLNYRCVVEKYNQEKEKAANNISTQKQTLYPEMEEDDVVPARFRAFLHEINESYGAVYQNYKEEQAKNISKGKQQRSYAEVVMCPAAAKVVAGSGIVAAPPPQQKTAVLSGPHQAAHAPAKRVLPQQQQRAVSSGSKGFKTEPRRPHQVTAPRAPAKGGLPQQQQRAGLSGSKGSKTEPRTPPQVTAPRAPDKKAAEQTSSSLSGLDKKVSGAQKEAPCPSKSDAISSPQHGHSGNERSGPSDGELMKKRGKEKVVDDEEEPPPSGLLEVSKQASDGSSEAEAEIVSELMKKRGNEKVVSDGSSEAEAEIVNELMRKKGKGKVVDDEEEHPPSGLLEVSKQASDGPHRSEEAPSEVEASSPAKAELKREPKELHSSLELVTCDLSSDDMCAQVQTLLKENFSDTATFDLSKDYLRWALQPWGYKKEWHMGICSKRNKKLLGFISGVPIKIQIGEDVAEAADLNFLCVQKGFKKFSFGSLGSFIIDEMRRRVDLEGVSKAVYLTVSERSTPSITCQPWYRALKEEKQPLPAATTQTPGLRPMLPCDVPAVTKLIRSYLRQFRVSSYLEEADVTHWLLPRDKVVYSYVVVNPSTEFVTDFFSFYARKNSDLNVAFSFYHVARDTSTKTLMSDALVVAKESGFDFFGALDIMGDRSFLKKKLKFVKGKTLAYYHFSNFNLPHLKPREIGVNIKAT >A03p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19251622:19252103:-1 gene:A03p045830.1_BraROA transcript:A03p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSVPNHKNNSDIDLSSSGRSGDHDMLVPFLATQDWIRSLNYSIIDHWRPWLVHNQVAGNIYVKIINLFSLQNTKTYANKMTFATVKASLRFSYYLNEKFIVGRVSHFFVGLVYRAAGTR >A05p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24673745:24675006:-1 gene:A05p040310.1_BraROA transcript:A05p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFLFWINTINFFRYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVLIGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKSGEEEELYLPDTIDVGTSNQQRSTGCEC >A07p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17943777:17946284:-1 gene:A07p032800.1_BraROA transcript:A07p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 12 [Source:Projected from Arabidopsis thaliana (AT1G79860) UniProtKB/Swiss-Prot;Acc:Q9CA89] MVRASEQEQESYRSKLFNFKWRNNDNNNAGKQSNSPISKPGLDEAAAGSQEVEPLTIIHPNKSPLVSRPSGDDAALAAAQAREKQLLAGIHGTDERALLQAAAGRGQFRWRQRSSVFGEQRRLEPMPADRRARWRREIDWLLSVTDYVVEFAPSQQKNKDGTTMEIMTTRQRNDLHMNIPALRKLDAMLIDCLDNFKDQSEFGYISKDSPDSDNTKGHDEKWWIPKVKVPPDGLSEASRRFLQYQKDCVNQVLKAAMAINAQVLFEMEIPESYIDSLPKNGRASLGDQMYKNITVEFFDPDQFLNSMDMSSEHKILDLKNKIEASIIIWKRKMVQKDNKPSAPWASGVSLEKREVFEERAETILLILKHRYPGISQSSLDISKIQFSKDVGQSVLESYSRILESLAYTVLSRINDVLDADRAVSKRSTPMEPEEETLVGSMTLSDFMGWDFDQGNEDLDSKKDMSSDDKLVKEKLNVVATKKTSYLETLGGVNSPTARH >A05p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18592312:18593671:1 gene:A05p031250.1_BraROA transcript:A05p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLMKLVIELWSKNSTGEWSFEETSCYTGEAIIINNNQSFDGLIELMRIRLNLGILTPVALTYQLPDWMLVPDGPRTPPITLSCDKDVEILASVRDYMSEAMLYVTSGPELVARYHFFRRSPFSIGDTTYLEEDVTEAQHRQAVLEMVYGTADDNGEAEDPAKAGTISPEEHIYFDPNDEANNYRGAAICTTNGQDASLVNQSTSLEVEPINVWRDMMQDEEYWDGIAPDENNYEVYYTQSPHQTQGAIGLPLAPNRRIHVPQPATIIIIDDDDGSTTGSQQHSVRPSNSRFVNNC >A05p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7169995:7174355:-1 gene:A05p016130.1_BraROA transcript:A05p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMETNKNKFIEDWGSARENLEHNFRWTRRSFALIGIFGIALPILVYKGVVKDFHMQDEDAGRPHRNCVSSPLKGSPFGKRPARRRNNSSSTKTSSNPKTDTSSTSTLSRRLIFQPPSRVLPEPIGDGIFLKYELGKELGRGEFGVTHECIEISTRERFACKRISKEKLRTEIDVEDVRREVEIMRSLPKHANIVSFKEAFEDKDAVYLVMEICEGGELFDRIVSRGHYTERAAASVAKTILEVVKVCHEHGVIHRDLKPENFLFSNETETAQLKAIDFGLSIFFKPAQRFNEIVGSPYYMAPEVLRRNYGPEIDVWSAGVILYILLCGVPPFWAETEEGIAHAIVRGNIDFERDPWPKVSREAKELVKNMLDANPYSRLTVQEVLEHPWIQNAERAPNVNLGDGVRTKIQQFLLMNRFKKKVLRVVADNLPNEEIESIIQMFQTMDTDKNGHLTFEELRDGLKKIGQVCPDGDVKMLMDAADTDGNGTLSCEEFVTLAIHLKRMGCDEHLQQAFKYFDKNGNGSIELDELKEALFDDDKLGHGGDQWIKDIFFDVDLNKDGRISFDEFRAMMKSGTDWKMASRQYSRALLNALSIKMFKEDVGDNGPKSYSMEFPLARKKAKLLDAPKNKSMELVHSKTYRPSGLRN >A08p046300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25228637:25228944:-1 gene:A08p046300.1_BraROA transcript:A08p046300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNSGTNVISQLNMLSNSSAPRKNVHIYRDGSLTEETGVRRITFPENHEGAEIEAVTSPEISKPRLFLTTSNTLLSS >A01p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22613478:22616306:-1 gene:A01p041960.1_BraROA transcript:A01p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLRSYRAWLELGRYVATELCACLVAAYQSSLACPRGSIEIWTIFYCKALRKDIFTKITFRKNVYADFYGLSDIDSVVTDFDPNKGRLRVVISDKFWDLVSGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRLSAYTTCMRYYPCVGCTRVISTRWLNVSAYDCLVFQENIFIEGGNFIEERIFRRLRRLAMLKICYSFVCRVSGLKCSRVCRCFAMLQGFSLSPAFEKHSCLTTNVRSQNCCSCLDANSFICDRGIMTEDVSYSSRSFVMNFSLHAAYYGESCLELLMEYYRSLFQYDLVAEQVDLANHREESAPFNVHDATSILEFSSSQMFSMFFRDLLGTTETERNALPEKASILWLIAEFQFDIGSELDMRAIVSRLSESLDRFARSG >A05p005910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2340533:2352593:1 gene:A05p005910.1_BraROA transcript:A05p005910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 26, peroxisomal [Source:Projected from Arabidopsis thaliana (AT2G44490) UniProtKB/Swiss-Prot;Acc:O64883] MNQSMRNKQLESFSTAPDTYEGAVTEGERGQSMWDHFSNRFPHRISDHSHGNVAVDFFHRYKEDIKRMKDINMDSFRLSIAWPRVIPYGKRERGVSEEGIKFYNDVIDELLANEITPLVTIFHWDTPQDLEDEYGGFLSEQIIDDFRDYASLCFERFGDRVSLWCTLNEPWVYSVAGYDTGRKAPGRCSKYVNGASVAGMSGYEAYIVSHNMLLAHAEAVQVFRKCDHIKNGQIGIAHNPLWYEPYDPSDPDDVEGCNRAMDFMIAYGDYPDTMKKSVGDRLPSFTPEQSKKLIGSCDYVGINYYSSLFVKSIKHVDPTQPTWRTDQGVDWMKTNIDGKQIAKQGGSEWSFTYPTGLRNVLKYMKKNYDNPRILITENGYGEVADQSQSLFMYNPSIDTERLEYIEGHIHAIHQAIHEDGVRVEGYYVWSLLDNFEWNSGYGVRYGLYYIDYKDGLRRYPKMSALWLKEFLKFDQEDESSSSSAESKKEEKKESYGKQLLHSVQDSGALPAVLGSLFVVTATVGTSLVDFRDFATICFQEFGDRVKLWLTINEPWVYSVGGYDAGRKAPGRASKYMNDAAIAGKSGHEAYIVSHNLLLAHAEAVEAFRSCRNCKDGNIGMAHCPLWYEPYDLACVEDNEAAERAMEHMNPTVYGDYPEVMKKIVGKRLPSFTESQSRKLKGSFDFIGINYYSSVYAKNVAEVDPDKPFWRADQHVEWKKQNKAGKAIGAQGGVEWNLMYPQGLRKVLNYAKNKYGNPKFIITENGHCDAYEKKKPKICELMDMKRTDYHKKHISNLHKAIYEDGVQVGGYFAWSLLDNCEWNCGYEIRYGLFYVDYENGLERYPKMSAMWFKEFLKKRDEDMVKRSQAKRVKVSEF >A03p007500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3098417:3099724:1 gene:A03p007500.1_BraROA transcript:A03p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGFWGLLMGSVEKSSDSRKLVTCSKNRDEESSSSSSSASPLKRSLSRNTSPSRQIVVKTKPRGLEEETVASFGKQVVAADVPMEESIWAMLPEDLLNEILARLPPFMIFRIRSVCKKWNLILQDNSFLKFHSNVSSHGPCLLTFWKNSPQVPQCSVFSLPLKTWYKVPFTFLPSWAFWLVGSSGGLVCFSGLDGLTFRTLVCNPLMQSWRILPSMHYNQQRQLIMVVDRSEKSFKVIATSDIYGDKSLPTEVYDSKTDKWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDTGQWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVSWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYNVDKKVWSWISGCALQSCNSQVCFYEPRFDASVH >A03p030940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13005746:13006737:1 gene:A03p030940.1_BraROA transcript:A03p030940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKADSSRQVSKWKTLDRGILSVIFRKLNVEDLTMGASGVCISWFLAAHNKSLWNTVDLDKFRQTDKDLRLNELRYRIVFTENFKDSDISETSRSLRNITKFSRSAPVNLVFGCCSSLDDEILMFAAASMPNIEKLVLPRWCYLSKNSFGFAFSKWKNLKTLIVAHDVPLTETFEFQVVGENCSNLTNLKYLGGLGKETAEEIVRYFKNIKRLSLQCAYVSRPGVLLLITGLQNLAILNVSHCKEFDDETVTMDNIVQAATQKRVRFILCSNNCTCRNKPWLEGLTTYGSESWRNDEIKELEF >A02p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2042069:2043245:1 gene:A02p004700.1_BraROA transcript:A02p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICSSSTRLLQRNRFSISNTLLRFTSSSSPSWSENESRKLAGSDPFPYTRAFDSYLISSLTKAASFSSPVPKQSFTYSSRCFSTVGEYSVPQGFPVVSAPDVPPRIKFKRLDKTAKHIMQIVDNEAVEEVRTRREIPEIRPGYIVQLKVEVPENKRRVSIVKGIVIARRNAGLNSTFRIRRLVAGVGVESMFPLYSPNLREIKVLDKKRVRRAKLYYLRDKMNALKKH >A05p001270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:136673:137401:-1 gene:A05p001270.1_BraROA transcript:A05p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSHCREPKDCSFLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASRKGEQVVDATHTHH >A01p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6001238:6004073:-1 gene:A01p012320.1_BraROA transcript:A01p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRESMCDSDDDDDIGEDLEELRRACIVSDSNSGRGLPSDSENEDDFDMLRRIKTQLASSPDHPMGLSSLPSDSDNEDDFEMLRSLKRQLALPMDQEDEDDETLVAICKRFSSYENSGVEGTLMNESSRKQVHASCNEPSSEILSRSNTCESFSEDVEAVSTSTEPPLALASASSSTFQAFVDAIRKNRSYQKFLRTKLGEIEATIEKNEKLQKDVKIIDGFAVSCKRRMKQQAFSQGKDPRFELISTRKLKTHDSSEGNDKETLGPLENPCVASYRMALEEYPVSVCRRNWSAKENEDLAKGLKQQLQEALIHEATERSSSDLEGCSDDIDTILESVSNLEITPEMIRQFLPKVNWDQLDIKNRSAAECEARWMSSEDPLINQGPWTAAEDDYIRLVTQNKSVTDWRDVAVSLGTNRTPFQCLARYQRSLNTDILRREWTPEEDNHLRAAVSLFGEKDWQSVANEMDGRTGTQCSNRWNKSLVPSRKRVGKSNSKEAKWSSEEDKRLRVALTFFGAKNYNKIAQFVPGRTQSQCRARWKDSLDPRLNFGSWTEEEVTKYNEAVKEHGDSNWSKVASDVYSRTSKQCSRRWETLNPHLKLLKREAMRLRREAAVGNFVDRESERPHLVASDFLALAERSFEPEPVLKRKRKARQKKADAEGESEAVCADTERQRKRRRKGLERCSGDVCRQENGTEETGKEKKQRRKRKAVADNSTVTTNSNCSQVKVGIEKLKPRRKVSAVVPIENQHAPN >A03p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17815567:17818180:1 gene:A03p042670.1_BraROA transcript:A03p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-activated RelA/spot-like protein [Source:Projected from Arabidopsis thaliana (AT3G17470) UniProtKB/TrEMBL;Acc:A0A1I9LR76] MSVIRPSPIPTPRCRSQTVYRRLHSIHFIHHHRRRRWNPRSEAEDTATARSPEAAGGKMVVELVGAFNEVTERMNSVWLSTSSSRLLFKALKLSIPILQSLPLASDGRSPLSKALSLSILLADLQMDAEVISASILSEAVEANAISIHEVRDQIGTGTAHLLHEIFRVKNIPFKVDVLDDETATSLRKFYLTYYDIRAVITDLVSKLDEMRHLDHLPRYRQQILSLQVLKIYSPLAHAVGANHLSLELEDISFRYLFPCSYLYLDSWLRSHENGSLIDVYKEQLLCSLKGDLVLSGMVDDVYVKGRYKSRYSMMKKLLRDGRKPEEVNDVLGLRVILMPNDDEVGEKACYRTSEIVRSIWKEIPHRTKDYITKPKANGYRSLHMAVDVSDSDQTRPLMEIQIRTVDMDGSANAGTASHSLYKGGLTDPKEAKRLKAIMMAAADLAAIRLKDLSSNKQQSLKTTTNQRDRVFCLLDKNGDGMISIEELMEVMEELGAPGEDAEEMMQLLDSNSDGSLSSDEFDTFQKQVEFMRKWEDRDNEYKSILDEKLHDLPHQDATGLIQLYNKELEDRLSSH >A04p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9749174:9749837:-1 gene:A04p015760.1_BraROA transcript:A04p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFRSELDFGRLPNKSSNAFYARRFFTKSSGSLLKSSAKSDLSQTLEDFTDDSWKTLRRLSEDFLGSLQIRFMLEDFVGTLQETLEEFSDDFYRRLLGKSSI >A10p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:211015:214152:1 gene:A10p000400.1_BraROA transcript:A10p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKKKSRSFPPISECKSGEYDSIAADLDGTMLLSRSSFPYFMLVAIEAGSLFRGLILLLSLPIVIIAYLFVSEALGIQILIYISFAGIKIRDIELVSRAVLPRFYAADVRKDSFEVFEKCKRKVVVTANPIVMVEAFVKDYLGGDKVLGTEIEVNPKTMKATGFVKKPGVLVGDLKRLAILKEFGEESPDLGLGDRTSDHDFMSICKEGYMVHESKSATTVPIERLKNRIIFHDGRLVQRPTPLNAIIIYLWLPFGFMLSIFRVYFNLPLPERFVRYTYEILGIHLTIRGHRPPPPSPGTPGNLYVLNHRTALDPIIIAIALGRKISCVTYSVSRLSRMLSPIPAVALTRDRAADAARMRKLLEKGDLVICPEGTTCREPYLLRFSALFAELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEWTVNGGGKTPIEVANYVQKVIGGVLGFECTELTRKDKYILLGGNDGKVESINKSKAKENPKRCNSTEIEPSLTSEIVPPPPPRPEPRSTSSPPIPAKHHHRDRDVETSLKQEDQPEIRPDAPSRAAFVPRCSVRVSHAPPPPAVVRRRR >A03p049490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21199544:21202176:1 gene:A03p049490.1_BraROA transcript:A03p049490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSFHVRSNSYPSRLHPQAAHVDEQLTRLRSSDETSTSSSSSICQRLDNLQDLHESLDKLIRLPFTQHALPLEQNKKAVEQILDGSLRILDLCNISKDALSQMKEGLMEIQSMLRRKRGDLSGEVKKYLASRKSIKKSLQKALKSLKVKQDQECNEESLAVFGEAEAITVSLFDSLFCFMSGSKTCSKWSLVSKLMNQKKVTCEAQANEFTRVDSECESEKTLKMEDVQILESCIQDLEDGLESLSNLPSRSHPQAAHVDEQLACLRSSEEASTSSICKRVDNLQELHESLDMLIRLPFTQQALAQVQNKIFVEQLLDGSLRILDYCNISKDVLSQMKEGLIEIQSILRRKRGDLSGEVRKYLASRKSLKKTFPKIQKSLNVVAREGESLAVFGEAEATTVSLFESLFSYMFGSKTCGKWSVVSKLMSKKKVTAEAQVNEFTRADSKFQSGKILKIEDVQILVSCIQDLEDGVESLSKSLVKYRVSILNILGH >A10p006560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9765509:9766748:-1 gene:A10p006560.1_BraROA transcript:A10p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFSAITNLERDPKQAIFGVYDGHGGSRAAEFAAKNLCNNILGEIGSERNESDIDEAVKRGYLATDSEFLKEKDVKGGSCCVTALIKDGNLVVSNAGDCRAVLSVGGFAEALTSDHRPSRDDERNRIESSGGYVDKFNSVWRIQGSLAVSRGIGDAHLKRWVISEPETKTLRINPQHEFLILASDGLWEKVGNQEAVDIARPFCMGTDQNQKPLLACKKLVDLSVSRGSLDDISVMLIPLRRFI >A10p037660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21206396:21207239:-1 gene:A10p037660.1_BraROA transcript:A10p037660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVQPHLDTLKAIHVEDHDQRGKELVITDNNVEPRRSCSCAEEEDEERRSNASLCSVEVDLELGMPEKAVHFSEKDCRICHMTLDATNLESGVGIELGCSCKDDLAAAHKHCAETWFKIKGNKICEVCGSIAGNVEAEIEESRNEVNGTVNQSLRTVGPPLVEARSFWQGHRFLNFLLACMVFAFVISWLFHFNVPST >A09p075470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56830285:56834339:-1 gene:A09p075470.1_BraROA transcript:A09p075470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGYMKEETKRMNGGGGGVAESELDPWTAWAYKPRTISLLLIGACLLIWASGALDPESTTSDDIVTSVKRGVWAMIAVFLAYSLLQAPSTVLIRPHPAIWRLVHGLAVIYLVSLTFLLFQRRDDARQFMKFLHPDLGIELPERSYGADCRIYVPDHPTNRFKNLYDTVFDEFFLAHIFGWWGKAILIRNQPLLWVLSIGFELLELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAHWDKDEWHPLQGPWRFIQVLTLCIIFLTVELNTFFLKFSLWIPPRNPVILYRLILWWLIAIPTIREYNSYLQDRKPVKKVGSFCWLSTGICIVELLICIKIVPNRDAIVGSDTLGKCGTWTCGLFAGLDMEDTEDLREKETLSSSSLLQQRGEVRQINAIVGVLLFCRFPPSSLDLLSLPTRAEDEVVDPKKYLEESCKPKCVKPLLEYQACVKRIQGDDSGHKHCTGQYFDYWHCIDKCVAPKLFAKLK >A06p043150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23234930:23236415:1 gene:A06p043150.1_BraROA transcript:A06p043150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic import inner membrane translocase subunit HP30-2 [Source:Projected from Arabidopsis thaliana (AT5G24650) UniProtKB/Swiss-Prot;Acc:Q9FLT9] MGKDVGGEKKKRGDGETMAVMSLMKDQQNPIHQFQVKFKEAETGFKSWLSKQKLPVEAAVVTAMGGVQGAFIGGLMGTLSPEMPQTGVDPQAMASLQQTQALVGGPLVQARNFAAITGVNAGIACVMKRIRGKEDLESAVVAAFGSGVAYSLVSAGLQGQPMNAITTAAGFSLFQGIFFKLGERFSKPTVEDPYYTRARSMLLKLGLEKYEKNFKKGLLADPTLPLLTDSALKDVSIPPGPRLLILDHIQRDPELKGKRGSRG >A09p076030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57064541:57068521:1 gene:A09p076030.1_BraROA transcript:A09p076030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLNTGGYALVFLLAILVPHVQANVAVFDNYWTQRQGDALKQTIASYDPNPLNVTDHLNYHVALAVDATESTNNTRRELIGRKTQEGSGKCIAHNPIDKCWRCDPNWEKNRKKLAECVLGFGRKTTGGKDGPIYIVNDSSDDDLVNPKPGTLRHAVTRDGPLWIIFANSMVIKLQQELMITSNKTIDGRGAKVYIMEGVGLTLQFVNNVIINNIYVKHIIPGNGGLIRDSEEHVGLRTKSDGDGISLFGATNVWIDHVSMTRCTDGMIDAIEGSTAVTISNSHFTDHQEVMLFGAKDEHVIDKNMQITVAFNHFGKRLEQRMPRCRFGTIHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPNEQAKEVTKREYTSYTDWKSWNWQSEGDYFLNGAYFVQSGRPNAWSPKPKNPVPSKFAIRPKPGTMVRELTMDAGALGCEPECIYNDQFQIIIIFIRIHYISSCPIASDPKPKTGIVVFYVGQIGRMSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSVQSLLCDPNPNSPANSEAARMYSESKREYNRRVRDVVEQSWTAD >A05g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25317456:25318094:-1 gene:A05g508640.1_BraROA transcript:A05g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPRRKTATLVFIDDIKPGNNSYKLKVQVMKLWKLWRSKKVVSIEMVLVDATVRKVSEGIFKYITKGPDYVRASVQGDDTDDTIDDEIQKFLSC >A08p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16663893:16666307:-1 gene:A08p026070.1_BraROA transcript:A08p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSYFSVSFVIISLYINLFIEVEGIGVNWGSQASHPLPPATVVRLLRVNGIQKVKLFEADSEILRALSRSGIQVMVGIPNDLLAPPPPPQPEALQLQRYGSLRMSLLMSPSMASISGFCAYAKERIVEAKGRILKVTNYVWFVFERGSCLGYGSSCGNLSLDSACKFPGLSMVISVDPSVGSCKFKIMIKTDSSAGESSAKMPLIRSVSVLLLLWMCSCGIGGVFKGVTRPHIPDICSSRRLVSSALMAEAIVVRSAVMLAASSNLRSLQVFSDSQALVSMADLVAKSALALADRSSDHGV >A03p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5008371:5014537:-1 gene:A03p012650.1_BraROA transcript:A03p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKNVNWSELCPELVQCVFELLSFTYLKRGRSVCSSWRTALRGCVPKGNQIPWLILLPQNDNNNENNNTSCVLFVPEDRDKTYKTRDLGAYFVKSSCVATYGSWLLMLDTLWNLYIINPLTGERIDLPTTDYAQYDQNLVACLWIDDISKDYLVACVMGYPVFTKKGNHSWRRISSRYGEGRYKQMVYEPTSQQLYVRRYYNYPVKVWSFSGDDPQQVSEDNYPPVEYAFWDFLSKDKELYLKEEEFYVREYVDSRLHIAVSTVSGQVLKVVNVLQKSKRWLFRVYMMHPVELNWEVVDSLGDEALILDMGITVVAEDIPGIKKNSIYFSGLDNGRTNPDHIFVFDLTTHEIEPLPQCVFSSVRFSDARWFFPGRSVCSSWRTALRGCLPKRTQIPWLILFPQNDNNNNNTSCVLFVPEDRDKTYKTRDLGADFVRSSCVATYGSWLLMLNTLWNLNILNPLTGERIDLPTTNYARDPPGTYYDIKPRDFVACLWVDDTSKDYLVVCDMHYPVFTKKGNHYWRRVSEVVGCIQMVYEHKSQNLYVNRYYNPIQVWSFSRDDPQQIFEDYPPTRHIHFGIFSLEESVDRRVYIAISTVSGQVLKVVNMLQNSKRRLFLLYKMHPVDLT >A01p059630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33989733:33991782:1 gene:A01p059630.1_BraROA transcript:A01p059630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIYVINPLGGVRLYIQYLFDPTADAAAGFSLEMALPQHAFMFQQQDHHQSVDHEENLSDDGAHMMLGEKKKRLQLEQVKVLEKSFELGNKLEPERKIQLAKALGMQLRQIAIWFQNRRARWKTRQLERDYDSLKKQFESLKSDNDSLLAHNKKLLAEVMSLKNNDCNEGSIIKREAEASWSNNGSIENSSDINLEIPRETTTTPVSTIKDLFPSSIRASTHHRQNHEMVQEESLCNMFNGIEETTTDGYWAWSDPNHNNHRQFN >A05p016490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7335274:7335953:1 gene:A05p016490.1_BraROA transcript:A05p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-depolymerizing factor 6 [Source:Projected from Arabidopsis thaliana (AT2G31200) UniProtKB/Swiss-Prot;Acc:Q9ZSK2] MSFRGLRKPNATSGMGVADQSKTTYHELQRKKTHRYVVFKIDELKNQVVVEKTGNPAESYDDFLASLPDNDCRYAVYDFDFVTAENCQKSKIFFVAWSPETSRIRAKMLYATSKERFRRELQGIHYEIQATDPTEVDLDVLRERAN >A07p037160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19882539:19883540:-1 gene:A07p037160.1_BraROA transcript:A07p037160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKESVPQEHDVNAKWDACIDLTTRRFVYSSLGGAFAGLLFFRSRVTRWASIAFGAGLGIGSAYTDCSRAFDAPPSFTETSSVSQTVSQSADE >A06p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6153405:6153927:-1 gene:A06p013620.1_BraROA transcript:A06p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDDESLLKIDATIDRNVVVLRIGVLFGYTKAMVLDGIVSSPLRRHQSLKKQWEDLGSCSTVVNRHRYLLTALVLLAVLCTVYLYFAVTLGARHSSTCYGLTGKEKAMCQLQHVQAISKGKLKFF >A08p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1038655:1039251:1 gene:A08p001760.1_BraROA transcript:A08p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPEPVTYVCGDCGQENTLKSGDVIQCRECGYRILYKKRTRRVVQYEAR >A10p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20197941:20199929:1 gene:A10p034910.1_BraROA transcript:A10p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 (VPS9) domain [Source:Projected from Arabidopsis thaliana (AT5G09320) TAIR;Acc:AT5G09320] MENIDVFPGLHDFLERMRKPSAGDFVKSIKSFIVSFSNNAPDPEKDSEAVQEFFTKMEAAFRAHPLWSGSSEEDLDSAADGLEKYVMTKLFTRVFASNTEDVISDEKLFQKMSLVQQFISPESLDIQPTFQNETSWLLAQKELQKMNMYKAPRDKLMCILSCCKVINNLLLNASIASKENAPGADEFLPVLIYVTIKANPPQFHSNLLYIQRYRRQSKLVGEASYFFTNLLSAESFISNIDAKSLSMDESDFEMKMESARARLSGLGGSQSYQTDHGAAPTAHNPKRETTLLQSQSSDSLSGTNETLNQRSELPIKKAESISDLENKGAATLLNDNSEASKIFKEYPYVFASAGDLRVGDVEGLLNDYKQLVFKYVCLSKGLGDATTSLAASSSALQPSTETETEDHTTVSSDVQTKTETDRSVDDLMRALQGEGDNVHKLSDVKQEDYSEDVVQENPENDPKVVEETDTDLKKLSAEIEDDNSSSKRAEDEDADSKHLVAEDQNDQSQ >A07g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14923110:14923755:1 gene:A07g506290.1_BraROA transcript:A07g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPFNIFKQTMTKLKPNKKENKSKTSEEPTPRKSQQEVLSMSTLERWNDFFCSEENMGGGYWWLELSWCLDGHGSFHPNPSELRRLCSFEHEDVNSLCSLSSNALKALSRNKDIEK >A03p057840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25063839:25067686:1 gene:A03p057840.1_BraROA transcript:A03p057840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSVADTKVSSVQSGFCRRRSVTDFGERERERETVEVLFDMEYEFDAARWFDFTREESPAESQSAEFWFHSAPSYAPSPFVTKLLLGEDMSDDKTEASTKPEGEYGGEKDGDIDRHPYLNKTGNGMRFGVFSSQQAHNLKKVPNQPICKGPTVSSHNHNDKPKFRAKPSIRPTPRSSTLMKPTASQLAKQNNASKFHMQVDQVHDKGLCGTEVQAAKRQKLDGGLLRKVADTKPEMTFVHKIPKKDTTLDRNSQHTRTKITVPQEPDFATSQRAHRTRQKNDANLEQDSTAVYRFKARPFNRKIFDAPSLPIRKKSTPKPTEFQEFHLKTSERAMQHSSAVTTRSNQRNDAYKGSETDALDGINRESRRPNVMDISKHDVSEGKHVFKARPLNKKILSSRGDMGIFKNSKRETTVPLEFSFNTEKRVQPDLPTDLFSKLSIKPEIKQNSGSRTRFPQAKGFKENRVYSFQAGKEVTRVASGKTVSSAGQHIQSGHSGIVPETNQQWTASRSLGIR >A04p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9812254:9819274:-1 gene:A04p015890.1_BraROA transcript:A04p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKSEIEAALENMREEVNNIGVVERSMEDLKESVMEIRSRMSVMERAPGKTDMHGLIIGSSNDICSLSDSYLLKHEAYTHEITCRMFSTQLQSSSKKNQIKRSSYVIVMLFTNQVIYSSREFRPPEKLEMANLLSDESTANSIITKVIIHVLNVQESVRLDGFQKDSKTSLFGPNGETDKILAKGKDGFRPALKGTCLGPYQEYILHLSKSWSWLYEEVVQERQVQPLNESIGRAQQPQIWRSFVVQTGYLDTSDRGSVQGGYLNIPKVFCHEYNFPGKPTQTLFTEAWNLMKIFTDEKVMNFLNRRFFSPSIREYQISKRDSCPRMNRPEPKPILHEPKMFPQSSSCLNQKHCKDHELIASTLHENVLKPRISKRTYSYVVEKQILFRKRHEPKLLRPKNSFDFVHDENFSDLALSLSVPNCFSPWPNFKIDKPIFGDQLTCLMLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGERLRTYSSSKKNQIKRSSYVIVMLFTNQVIYSSREFRPPEKLEMANLLSDESTANSIITKVIIHVLNVQESVGLDGFQKDSKTSLFGPNGETDKILAKGKDGFRPAFKGTCLDPYQEYILHLSKSWSWLYEEVVQHILLWISTACNPINKALEPRNKPDCFPLLLKLVLSFLVRLSPSFDPSFVGPVRHIRQRSKSVSPLDPSVLNPLGSEFISNLPKSDPYFAQHGVQDSRKRPYLYPVHPSGSDESRHLDWSSPQHTTFVLRCLALDRGYIKSHSASLDDPFNPSQFQKRHLLLVVSEPLWLVCFLSSFIFSSLHVFLFLFLGSGLFLYSLVIAYYKKKIDKKEKKKFWLESPSEEKSRGSGGREILLAEEKPSLRTVKADPFQKSLHLSFSFLYPTKVCFGMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFAFVQKQKKRQNRCDDEKHGFSSGGPSGQSRKRPYLYPVHPSGSDESRHLDWSSPFSPIIFVCHLVVSQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKRHLLLGSYPTPS >A02g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1341714:1344635:1 gene:A02g500410.1_BraROA transcript:A02g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDGSNSGRKRRKNADDSGNNRASKRGREDKLAVDDSEPPVKKTASTKATETTRIEHVETSDSYLSNTRFDQFPLSPLSLKALEDAGFKTMTVVQEATLPIILKGKDVLAKAKTGTGKTIAYLLPSIEAVIKSPPPASTDKKKPSIIVLVVCPTRELACQAAAEAKTLLKYHSSIGVDVVIGGKKLRSEQRRMQKHPCRILVATPGRLIDHIDNTPGFARRLRGVKVLVLDEADHLLDMGFRRDVERIISAVPKKRQTFLFSATVPEEVRQICHVALKQDHEFVNCVQEGSDETHQKVIIFCTTAMVTRMVADLLGQLSLNVREINSRKPQGYRTRVSDEFRKSKCIILVTSDVSSRGLDYPDVSLVVQMGLPSDRKQYIHRLGRTGREDKEGKGVLLLAPWEEYFLSSVKDLPITKASLPQTDPEAVKKVKKALRQVDMKYKEAAYQAWLGYYTSQKKIARDTTRLVELANEFSRSMGLGIPPAIPVNVLDKMGLKNVHGLRVAPGS >A04p031330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18490409:18496049:1 gene:A04p031330.1_BraROA transcript:A04p031330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQLSLSRQLDLDHAGNSTTTIPFDLIIDIFSLLPAKSLIRFLSVSKLWFSTIRSKTFVDMFLTRSMTRPRLLFTFYHIESRRNFIFSAPEYEYSDDGKYFSSAMARYDMTISDLDHYRFCGSVNGFICFISGVSGPFCNWIPPFSSITVYNPTTRQLVKLPDFTSNGRYVYACLGYDPVEDQYKVLCVMMFGPGSQDIQQEHFVCTLSSFQQQEWRKIENPTGDNYRSVFFERICIDGALYYGADESRIVRFDVRSEKIEFIKTPHIWTSYHSALINYNVRIRGIEDGDLRLDGFGELIGHTSCRPGHIENIRKGKETKRSDNLSTYSDSPERRAMKTSLRRLRGVLHKHESKDRRDLRFLVQKDELAQASQDVKEMRDCYDSLLSAAAATANSAYEFSESLRELGACLLEKTALNDDEESGRVLLMLGKLQFELQKLVDKYRSHIFQTITIPSESLLNELRIVEEMMRLCDEKRNVYEGMLTRQREKGRSKGGKGETFTAQQLQQAHEEYDNETTLFVFRLKSLKQGQTRSLLTQAARHHAAQLCFFKKALNSLEEVEPHVQMVTESHHIDYHFSGLEDDDEIENNEEDDSEVNDDGELSFEYRMNDKDQNADSSPSVSSQLGQSDITFPLVAGLNTAQENKEASYGRSRSYRRDVRIESQSAPLFAENRTMPPSEKLLRMRSSLTRKFSTYALPTPVETARSSSSITSLANNNNNMASSNPAKAITKNIWYSSPLEARGPAKVSSRPMSALKEQVLRESNKNTSSQLPRPSSDGLFYSRIGSLKRRSFSGPITSKPLPNKPLSSTPRLYSGPIPRSSVSKLPKVSTSSPTASPTFVSTPKISELHELPRPPPPSNSSAKSSRAFGYSAPLVSKSQLLSKPLVSSSAAPLPIPPAITRSFSIPTSNLRATELDMSKTSVGANKLSTASPPLTPMSLSHPPPPSAITEHAEHLTMSKEERTRKTFPLVTDM >A06p003860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:917804:918565:-1 gene:A06p003860.1_BraROA transcript:A06p003860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNSTETSVATAETDKTFFCHQCNQTITITISSTADPFCPLCNLGFLEEYTDPPPSSRLPFIPVMDFTNLDHDPDAFDPVSFIHSHLQQLQSSGVNVQMLFENHHHHHHHHGDYFGRGGLEDLIQQLGEDDQNRYGPPPASKSAVDALPTVKVTMRSEMNQCAVCTDEFEDGVGVKEMPCGHVYHQDCLIPWLRMRNTCPVCRHALRTDDVDYENRRRGGGEGERRFRRHDAGSDSGSGSDMDTRPDELD >A03p021480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9146942:9148978:-1 gene:A03p021480.1_BraROA transcript:A03p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLITIFFFFFFFNLLFQSQETCSQSTNFTYNDGFNPPTDLSLQGITTVTPNGLLKLTNYTVQKTGHAFYTKPIRFKDSPNGTVYSFSTTFVFAIHSQIPILSGHGIAFVVAPNPSLPYATASQYIGLFNITNNGNDTNQVFAVELDTIRSTEFNDTDDNHVGIDINSLKSERTSLAGWWDEKGQFKNLSLISRKPMQVWVDYDGGSHKIDVTMAPFNEDKPRRPLVSAVRDLSSVIRQDMFVGFSSATGSVLSEHFILGWSFRVNGEAPPLALSRLPKLPRFEPKRISDFFKIGMPLISLFLIFCFIFLVCFLVRRRRKFAEEMDDWEKEFGKNRFRFKDLYYATKGFKEKDLLGTGGFGSVYKGVMPGTKLEIAVKRVSHESRQGMKEFVAEIVSIGRMSHRNLVPLLGYCRRRGELLLVYDFMPNGSLDKYLYNTPEVTLNWKQRIKVILGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGSDPQTTHVVGTLGYLAPEHTRTGRATTATDVFAFGAFLLEVTCGRRPIEIRHEIDETFLLVEWVFGLWNKGNILDAKDPNMGYEYDEKEVEMVLKLGLLCSHPDPRARPSMRQVLQYLRGDAKLPDLSPLDFTGSGMILGLQDGFSELGMSYSSSVFKGFTGGSIADSLLSGGR >A04p037810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21548965:21549532:1 gene:A04p037810.1_BraROA transcript:A04p037810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEMRYLEEEDGPMMKTIKGSVTGFAAGTIYGTILATWKDVPRVERNVALPGLIRTLKMMGTHGLTFAAIGGVYIGVEQVVQGYRGKRDFFNGAIGGFVAGASVLGYRARSIPTAIAAGATLAVTSALIDSGGQTTRVDNGREYYPYSPVEKTAQAADA >A07p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10151022:10152562:1 gene:A07p016480.1_BraROA transcript:A07p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSERHPCEFCGERAAVLFCRADTAKLCLPCDQHVHTANLLSKKHVRSQICDNCGNEPVSVRCFTDNLVLCQDCDWDVHGSCSVSDAHVRSAVEGFSGCPSALELAALLGVDLGGRKEENEGPTMESFGMQLDSWVTGSNVLQELVVPVPKETTSFRKRGSSCCGRFKQVLCKQLEELLNNGDGDGEGEAREGLMVPEMPERMGRARDAEEINSGGEVIQQPPTTSFTSLLLNADNPSASSAGRTTQIWDFNLGQSRDTKDAYVTKDDKSFTINSFLDLMNDTCSTKPKGVKQICQDDYYRPTSSQVPATSESNNLPLTFSSEKGSNSAASCKTTRVVGTKADLEQLAQNRDNAMQRYKEKRKTRRYDKTIRYESRKATADTRLRVKGRFVKATEAPYP >A03g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28846177:28849509:1 gene:A03g508450.1_BraROA transcript:A03g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRFILDKRLAKQKGVEEIVKLGWGVESVESDIPLLERINRCHLTLTVHDLIDSRHGTWDAQKIRHLFVEEDATAILGMKLNLQREDTMVWAFSKNGTNRCVFEHVVFGAKEVWERIQVEAEAWRAANVTDKEDRDQDAAGDSTSTWKKPCPSFVKCNIGSSWVDGNQNCGVAWLTRNHAGAALAHSRRSYSHVSSSLEAKPLGFYWASESVSTMRYEKVVFESTSYLAGEAILKPGNFPQWLSLIEAIREKLSLLRLWSISYVNRGANRCADAIALSVTRDQCYASYIATDCPGWLLPIVREDESGIFSAH >A07p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3812722:3815934:1 gene:A07p002450.1_BraROA transcript:A07p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRSNSLKRLFSFKRRSFDSDSENSAPNAKCVEGFQETEQFQRPKWKCFSFEEIYEATNGFSSENLVGRGGFAEVYKGFLVKSGEEIAVKRITRGAREDERREKEFLMEIGTIGHVSHPNVLSLLGCCIDNGLYLVFIFSSRGSVASLLHDLNQAPLEWETRYQIAIGTAKGLHYLHKGCQRRIIHRDIKSSNVLLTQDFQPQISDFGLAKWLPSQWSHHSIAPIEGTFGHLAPEYYTHGIVDEKTDVFAFGVFLLELISGKRPVDASHQSLHSWAKTIIKEGEIEKLVDPRIEEDFDIKQLHRIAFAASLCIRSSSPCRPSMIEVLEILQGEEIEKEKWKMEEEEEKKEEFWGYEDLEDCEYDSSISLSPPDSISNRSSSNRSS >A07p032520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17818280:17818960:1 gene:A07p032520.1_BraROA transcript:A07p032520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYRIARALSFSGIFRFRFQFTGSLSKKLNEAAHVNCFICSFSYTTELKLCSMFIQHKFTAQEFREEKATVCKAVVNWRRHSKLEHANGVLNHFIYK >A09p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6974731:6975738:-1 gene:A09p013480.1_BraROA transcript:A09p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPATPKPPESPSSVPQNPIVQPPVLPPPQQFKSVASSEQDGSVLGFFKNAVTKVQNGTEREKSEDEDCSVFVLNYFTTAHSSVDDHLVRWFGLNQSKYQWALDEYYEGKGSSEMKSVEAKEMPGKVQSV >A06g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9838352:9839084:-1 gene:A06g503120.1_BraROA transcript:A06g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPSTPASIHRPPKRHLRVAFSSEARFIDSASDDKTLKLWDVETGSLIKTLQRQLHSAVEHDRLWLLRRDPVRIWDVKTGKCLKVLPAHSDPITAVDFNRDGSLIVSSIVQGVKTLIDDENPPVSFVGTLKETDVGEAIQVQVDSFSLVLLLIKIWRILKHTLATPTLTTPFPLPSPSLMEAELQEVASET >A06p005320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1562303:1563056:-1 gene:A06p005320.1_BraROA transcript:A06p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDEVIPSKVHRQEVKVYKVPPLKLKTHTKNYSTNLSFKYSQPHRGGLLVGITIQQRCQGCGFSLKQAYFYVQVIVIVRCTHTLASASTKHKPISEDINYTIEIAYNVGDAIAKSCLEKGITKVAFDRGGYPYHKRIEALAAAAREHGLHF >A10p026170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16675872:16676889:-1 gene:A10p026170.1_BraROA transcript:A10p026170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPLLNSLVHASSPRESPIEAVESVHQIQRPRSLMLSSSSPCCYSPMSVHSSDESARRMKRTASESDLRHLTKPASKFLSGGGALMEDVEEGIGFGLIRTSSYDGLSWGLEEDETEVSGGCGGGGGVIRGGGKGDGSDGDDGTDVHYRKMIEANPGNGIFLSNYAKFLKEVRKDYVRAEEYCGRAILTNPNDGNVLAMYAELVWTIHKDSSRAESYFNRAVAAAPDDCYVQASYARFLWDADEEEEEERHEEELEPQTSRMSFFTGPSPVTAMS >A09g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16755022:16757580:-1 gene:A09g505320.1_BraROA transcript:A09g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFLSSVPKKKGHLVGLGLRSRSAAPSSAPPPYVDPEVLTDQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRM >SC274g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000121.1:1027:6900:-1 gene:SC274g500020.1_BraROA transcript:SC274g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLGQKHPDGRSIPSVRLPISTLAHQYAWPRSYQGKMLTLGWMMESRARISTTWTNQTDLASPVHQNSSLCSDQYTDQSTGRASMLICVLTWCISCPKSVHGQSTGRASMLICVLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHRSVHGQSTGRASMLICVYTDPYTDQYTDQLQFWLDRTHSFRISPNPGTKSVKENATKQPAFANPETVFVRKQCCNSLGQKHPAGSIKTQERLILLQYAFPSVRLPISTLGLPF >A01p048950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27527891:27530141:-1 gene:A01p048950.1_BraROA transcript:A01p048950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKKAGLTGRSLFGFGFKAVRPSLPAGQPIEQHLEASSISFLSSPENEKKERVKGDGASTTTAIVFPPSGDHIVLELHQRSFFTCIGDDEVDDGRKKVTIFFGTQTRTAEGVKMMKAKARYEKIRFKMVDLDDYAADDDEYEEKYGDGEPTDNAARFYQWFTEVILLLLGGNDRGDWLKNLKYGVFELGNRQYVLQVAKVVDDILVEQGAQHLVQVGLGDDDQCIEDDFTACSEDALGSVLERPAVSSMIGYTTLTYVPRDSLQRSVHLAVRVWDDLLETAARADLTRSDRQSDEPAAVRDGTGRINPFDISTCFSLVIVVVS >A04p018200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10948886:10949566:-1 gene:A04p018200.1_BraROA transcript:A04p018200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCIPSAYLKQKILKKTVWYIGDSMFHAVQWSSKASFTSPPLKSIQIWAHLTGVPLDICHEEGLSLVAGLVGDLKETDEFTKNLVSLTLSHVKVAVDLTKPLPSVVEFQRQSGEVVEVMVSYPWLPPTCSHCKELGHIAKNCLQLPPPTKQASKTPTKHASKTPKKSRNTAPSTKEVASSSRELNKETASCSDSMEVERSGDVPVDHVDPHALSASCLSLLHPFP >A07g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1194994:1196116:-1 gene:A07g500580.1_BraROA transcript:A07g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVQDVLNISTEVHVFHRTRLDLGQARLSLGGEETKDGHAFSFGGPSGQFRRRPYLYHVHPSGSDESGHLDWWLALDRGYIKSHSASLDDLFNPYQFQKCRLPSRIISNTQLKGTLAGLFLFHLIIFSYLHHFLFLLLFGSGLFLYSLVIANYIKKNKKNRLKTKKLKKKFWFESLLKRNPGGVVEEKPCWLKRNPALGQLRQIHTKISSFSFSFLFLTKLFWVLTADFLLPIIL >A10p017880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2264407:2266270:1 gene:A10p017880.1_BraROA transcript:A10p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:Projected from Arabidopsis thaliana (AT5G54810) UniProtKB/TrEMBL;Acc:Q0WUI8] MAASGTVASFRTSVSSSPQLTHLRSPSKALKFTPLPSSRARPSFSVSCTIAKDPPVLMSAGSDPSLWQRPDSFGRFGKFGGKYVPETLMHALSELETAFHSLATDDGFQTERELAGILKDYVGRESPLYFAERLTEHYRRENGEGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRRQALEKWGGKPDVLVACVGGGSNAMGLFHEFVNDTEVRLIGVEAAGFGVDSGKHAATLTKGDVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDMGRAEYFSVTDEEALEAFKRVSRLEGIIPALETSHALAHLEKLCPTLPDGSRVVLNFSGRGDKDVQTVAKYLEV >A05p034920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19738652:19739934:-1 gene:A05p034920.1_BraROA transcript:A05p034920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRQARSSKIHVFVFVGLILLSSIERISSLSVTVSDDECVQEYVLYEGDNVSGNFVVVDHDIFWGSDHPGLDFTVTSPAGNIVHTLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVVSEQKYLKARDTRHRHTNESTRKRVIFYTVGEYIFLAAASGLQVLYIRKLFSKSVAYNRV >A04p006460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3460465:3462068:1 gene:A04p006460.1_BraROA transcript:A04p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLVAAKTMAANKDKDKGKMKPISRSARAGIQFPVGRIHRQLKTRVSAHGRVGATAAVYTASILEYLTAEVLELAGNASKDLKVKRVTPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTNE >A02p016030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7155714:7157530:-1 gene:A02p016030.1_BraROA transcript:A02p016030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSTLSLSSTKPQRLFDSSFHGSSISSAAPVSVGLKPRSLSVRATAGYDLNAFTFDPIKESIVSREMTRRYMTDMITYAETDVVVVGAGSAGLSCAYEISKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDEIGVAYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGNRVGGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGLIDHVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNVIDGSYVGDLSPELVLAAADSAETVDA >A04p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22071944:22072613:-1 gene:A04p038910.1_BraROA transcript:A04p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERLHTLWATWLISSVGNRSILLVEDIDSHSIDLGSSKDGLATGNEKKEGGLSLAMILNSLDGVWTSCGEERIIIYTTNHMDDIDARLIRPGRIDTKVYMGYCGYDAFKTLSENYLEIHDHALFSYIQSKLTEVQITPARVAEVFTRN >A03p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:331301:333266:-1 gene:A03p000510.1_BraROA transcript:A03p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHCHVGLLCFTYAPHTIQTNEEDRLSLKKMMIGGTLTSSSSSSSSSTAKIILEAFPAEIFRRGGASAEIFPRKTSHLKLLRVDSVHGRILKPIPLRSSSIKANIEEEDAETEASPGRTVRVRFQLRKECVFGEHFFILGDHPVFGGGLWDPENALPLNWSDGHVWTLDLELPVGRLVEFKFILKAQTGEILWQPGPNRAIETWETSKTIRICEDWENADLQMMREEDFVPFDQEEEEQSSFILDMPLVAENVNQSVTLLTDASSNGAEEEVEVLDAVQQISSVVIVENEGYVSDDEPEENSSGALSACQDGNVIEEAMFSEEESPVLVPGLFPPSDLDSEEVSGPITQVSVEVINEGKAETFPEVDKKQGIKRERNDKEKIKAVTLFEKSEQEAVKGEEKMNYNAAEEEQQQQGLETEALLGTPHVLLEKDIQWGRRKLYKLLSNFGLL >A03p008250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3336761:3338530:-1 gene:A03p008250.1_BraROA transcript:A03p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-21 [Source:Projected from Arabidopsis thaliana (AT5G16830) UniProtKB/Swiss-Prot;Acc:Q39233] MSFQDLEAGSRFQQPNRGRQQRPPSRGDPSQEVAAGIFRISTALNSFFRLVNSIGTPKDTLDLRDKLQKTRLQISELVKNTSAKLREASEADLHGAASPIKKIADAKLAKDFQAVLKEFQKAQRLAAEREITYTPVVTIDIPTSYNAQELDTESLRTSQQQTLLLQSRRQEVVFLDNEITFNEAIIEEREQGIREIQQQIGEVNEIFKDLAVLVNDQGVMIDDISSNIDNSQAATSQATAQLRKAAKTQRANSSLTCLLILIFGIVLLIVIIVVLV >A03p033490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14179638:14183260:-1 gene:A03p033490.1_BraROA transcript:A03p033490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 13 [Source:Projected from Arabidopsis thaliana (AT4G01010) UniProtKB/Swiss-Prot;Acc:Q9LD40] MFCLFLLQLVVLAVIPNVEKPVSLLTKDYLITVIFAQYIPRILRIYPLYSEVTRTSGIVTETAWAGAAWNLSLYMLASHVFGALWYLISVEREDRCWREACGKRQGCELRDLYCDGNNNVINDYLTTSCPFINPDDITNSTTFNFGIFTDALKSGIVESDDFWKKFFYCFWWGLRNLSALGQNLNTSKFVGEIIFAVLICISGLVLFALLIGNMQKYLESTTVREEEMRVRKRDAEQWMAHRMLPEDLRKRIRRYEQYKWQETRGVEEENLLRNLPKDLRRDIKRHFCLDLLKKVPLFEIMDEQLLDAVCDKLRPVLYTENSYAIREGDPVEEMLFVMRGKLMSATTNGGRTGFFNAVYLKASDFCGEDLLTWALDPQSSSHFPISPRTVQALTEVEAFALAAEDLKLVASQFRRLHSKQLQHTFRFYSVQWRTWGASFIQAAWRRHCRRRLARSLTQEEDRFRIAVAKRERRAASSPSLVATLYASRFASNALRNLRQHNNNTLPLLPPKPSEPDFSVDDD >A01g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8390114:8391378:-1 gene:A01g502410.1_BraROA transcript:A01g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDGVADKRRRSSRKTMATRKDEGGSEVRRRSSRQTMKSPSPTPEASKRLIAGPKAASVGLVTETVLPKAGGELIPAIEGDDAGSLLAEHEEVGDEVVHEQGNVGLLKETRKPADVDEVMKVCPADAVGDRTEAPFRVIRVGGLYENLRSGDCGPKAVKFLEMYSTGDWNPKMVGLTDDLVDIFRKHYAMDIYKGVVVPLYLR >A01g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20937926:20939696:-1 gene:A01g507160.1_BraROA transcript:A01g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDTVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSDAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAVQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A04p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11662046:11665635:1 gene:A04p019460.1_BraROA transcript:A04p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein BEL1 homolog [Source:Projected from Arabidopsis thaliana (AT5G41410) UniProtKB/Swiss-Prot;Acc:Q38897] MASDQFHGHNHHQQHQHQMINQIHGFDERSNNPTDHQQHHYNHQIFGSNSNMGMMIDFSRHHQSGITSGMDHHHYHHQTSGGTVQNQLLEDSSSSMRLCHVNNNFSSEVNDERPTQRPSQGLSLSLSSSNPTNISLQSFDLRHQQQGYSGKSTDHQNLPHSQMMMLMNSHHQNNSSNHHQFQIGSSKYLSAAQELLREFCSLGVKESDDEVMMKHKRKQKGKQQEDWDASNNNNDQHDNSATTSSKKHVPPLHSLEFMELQKRKAKLLAMLEELKRRYGHYREQMRLATAAFEAAVGVGAAEMYTALASRAMSRHFRCLKDGLVGQIQATSQALGERDEDNRGVSNAARGETPRLRLLDQALRQQKSYRQMSLVEAHPWRPQRGLPERAVTALRAWLFEHFLHPYPSDVDKHILARQSGLSRSQVSNWFINARVRLWKPMIEEMYCEETRGEEEQQMEITNPMFMDTKPDPNQIMRVEPESLSSVVTKTGHKDNSNLGTASFGSTFDFSSYSNQAVTYSGEGGARDVSLTLGLQNGGVSLALSPVTAQGGPLYYGRDHMDGSVQYTSSILDDDQAQNLPYMNLMGAQSLHDMV >A07p019950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11843790:11845638:1 gene:A07p019950.1_BraROA transcript:A07p019950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLNASLSHCPNVAARQKPFFLNYFSYNQVFDTLRPKMSLGTKGSSSSGFENTSPPEDYLNKINEVRTLLGPLTGKSSEYCSDAAITRYLAARNGHVKKATKMLKETLKWRTQYKPREIRWEEIAREAETGKIYRSNYTDKYGRPVLVMRPSCQNTKSPKGQIRFLVYCMENAILNLPDNQEQMVWLIDFHGFNMSHLSIKVSRETAHVLQEHYPERLGLAILYNPPKIFEPFWKMVKPFLEPKTCNKVKFVYSDDNVSKKILEDIFDMEQLEVAFGGENSDSSFNFEKYAERMREDDLKFYGNTPVSSTSAHFTNSDSEVSDTEMKRLDDIPDEKIKNGTS >A04g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15605904:15606473:1 gene:A04g506840.1_BraROA transcript:A04g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLPEVDSLPDGFVDPEEANRTTTNNPTGDDVSIEKTKKPRTFPVPLCEEETYGNEDDLSKVSSLEQKEPSQTSSSQGSSQNSNKETESTQSIEPRKQEAVETKHKTSKNMFNSENDFLEFMLKYQQVLSERDSGK >A04p024130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14647382:14648261:1 gene:A04p024130.1_BraROA transcript:A04p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLDKSLKRKNDKWLKDFKSLMLDTDKLKNCGSDDPKFKLQKGDGEAVCGQFQKSIVIITRILHWPKLKGLLPSTKKEADKCNAATEICESAREIESSSIVSQREEGYFFGAWKDE >A01p055080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31367987:31370048:1 gene:A01p055080.1_BraROA transcript:A01p055080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDECVENKQSRAAASCSSVSEGSGGSSSFLKSPPSVASPPPTVSPTPRRTSGPIRRAKGGWTPEEDETLRQAVCKFNGKSWKKIAEFFPDRTEVQCLHRWQKVLNPDLIKGPWTQQEDEKIIELVEKYGPAKWSIISDSLPGRIGKQCRERWHNHLNPGINKDAWTPEEELALMNAHQVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKSEFLLANGSLPPAAATNGVPSCFQRRLSVSVAQTSSGRTQINKPREEVAAASPMAGFEEYVRSSQLPKPEPSPENGYHLNNKKPQPEDHHMASEADKQRMYGYECGCSPSASSPVIFFTPPPPSREEYSSNGSAPRSPESFLREAARTFPNTPSIFRKRRRKAVVVPDNNKTDEEEAAKEVVDEKVNGISETLDCEEKENSGSNAYNLSPPYRIRSKRTAVFKSRQLEFISPEEEKVDDETKSSEKDKFA >A10p009320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5012536:5015014:1 gene:A10p009320.1_BraROA transcript:A10p009320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFCKKSAGGKHNPDEAPPGNLRRETKPNHLPSSSSTAVSVPEVIETGESKKDLGSTQIQTARTWHTGDFSAGSSRRPLGMSLRAPEGWPPWLIAACGESIKDLTLRRATTYEKLEKIGQGTYSNVYKAKDLLTGKIVALKKVRFDNLEAESVKFMAREILVLRRLNHPNVIKLEGLVASRVSCSLYLVFEYMEHDLTGLAAAQRVKFDLSQVKCFMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNDGILKIADFGLATFFDPKQKQTMTSRVVTLWYRPPELLLGATNYGTGVDLWSAGCIMAELLAGKPVMPGRTEVEQLHKIFKLCGSPSELYWKKYKLANATLFKPQHPYKRCVAEAFNGFDPSTVHLVETLLAIDPGDRGTSTSALSSEFFTSEPLACDPSSLPKYPPSKELNIKLRDEEARRQKGLAGKARGVEGARRIRYRGDRTGRAIPAPEANAEIQANLDRCRVVPQTHGKSKSEKFPPPHQDGAVGHPVEDHQSTKSSVFGAKPEASFGSSRSLKAGEGTSMRKVSNKEGTRGSSSRKYIWGLKPPPALGLSMDLLFRSRSEVFGNRR >A09p062590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51141195:51141888:1 gene:A09p062590.1_BraROA transcript:A09p062590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGTLGKSAEVLTMTKKSDVVPEKNGQSNIVPKKEANPEIKQTDDKPERRCQSICFLLVNILYMCFSFSLISSSIREVEYNLRPASQELEVYIRKVISKFEGVENCVVDIQNKRIVVTGDFDQKKLFEELQKKRRKIIKKDHELIEKYRRIHARVRSGDEKEMAKFDMSNEENPNGA >SC259g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000109.1:6558:11471:-1 gene:SC259g500010.1_BraROA transcript:SC259g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVMMSRLCRKDIKESMQVGECLYSAYIGESVESSGVMRKLESKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQLCKDRAKSRRDLEVCLGANGRVCKVRARPYGLVRTCTDLYGPGLPESAQLDHLRCFGIVQSPGQSQVHLNLVPASAKLKAFSHVISKPRRSFMFSQL >A03p030120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12635775:12637039:-1 gene:A03p030120.1_BraROA transcript:A03p030120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTPIRIGVMGCAEIARKVSRAIHLAPNATIAAVASRSVEKAKSFATSNGYPESTKIHGSYESILEDPEVDALYVPLPTSLHVEWAIRAAEKGKHILLEKPVAMNVAEFDKIVAACEANGVQIMDGTMWVHNPRTAKLKEFLSDSDRFGQLKTVQSCFSFAGDEDFLKNDIRVKPGLDGLGALGDAGWYAIRATLLANNFELPNTVTAFPGAVLNEAGVILSCGASLTWEDGRTATIYCSFLANLTMEITAIGTNGTLRVHDFIIPFQETQASFTTSTKAWFNELVTAWVNPPSEHTVKTELPQEACMVREFARLVGEIKNKGAKPDGFWPSISRKTQLVVDAVKESVDKNYEQISLSGR >A04p000040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:28044:30036:1 gene:A04p000040.1_BraROA transcript:A04p000040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BB2 [Source:Projected from Arabidopsis thaliana (AT3G63530) UniProtKB/TrEMBL;Acc:A0A178VG02] MKSVEGCVSPHIFLPASSTAFSLQLTRPSTSYWSEILLCRRLQSPLAAPTLVECMHIPLPSPRALVSFLSHYSSASTASWTCSGFVHSYLVRYFKLDFKSTHLPQGHLTLLPLLLNASHSLLPIAIAEMNGDRPDSHYPETGFPYAASASYMDFYGGAAQDPLNYAHAGTMHPHQDSLYWTMNTNAYKFGFSGSDNASFYGSYDLNDHLSRMSIGRTNWEYLPMVNVDESVPRSVQVGDTDDHSDAEECIAIEHDPESPQVSWQDDIDPDTMTYEELIELGEAVGSESRGLSQELIETLPTRKYKFGSFFSRKRAGDRCVICQLKYKIGEKQMNLPCKHVYHSECISKWLSINKICPVCNSEVFGEPSTH >A05g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3222361:3226283:1 gene:A05g500890.1_BraROA transcript:A05g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSSSYGVAHVSHISNPCIFGEAGSSSSSTYRDKKWNLMKWVSKLFKSGSSGGGGGGGARTNHHPPQFQEDENMVFPLHPSSLDDRSRGARDKEELDRAMSRSLADDRRRPHGYGWSMDNNSDFPRPFHGGLNPSSFIPPYEPSYQFRRRQRICGGCNRDIGMGNYLGCMGTFFHPECFCCHSCRYPITEHEIPTNDAGLIEYRCHPFWNQKYCPSHEYDKTGRCCSCERLESWDVRYYTLEDGRSLCLECMETAITDTGDCQPLYHSIRDYYEGMYMKLEQQIPMLLVQREALNDAIVGEKNGYHHMPETRGLCLSEEQTVTSVLKRPRLGAHRLVGMRTQPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLSDPLSRNVPSTSSSGATSSSSSSFSNKKGGKSNVEKKLGEFFKHQIAHDASPAYGGGFRAANAAVSKYGLHRTLDHIRYTGTFPL >A07p024120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13899220:13903918:1 gene:A07p024120.1_BraROA transcript:A07p024120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 5 [Source:Projected from Arabidopsis thaliana (AT2G27880) UniProtKB/Swiss-Prot;Acc:Q9SJK3] MSSRGGYGGAGRGGGGGRRSDQPPGPVAWPALQSSGARGGRGRGNAGRGNADPVASSSSSPPSDAVSGAIGAVDVASSSSVREKPKASASSDSDSSLGQELAEKVQITTAPPSSSKAVTFPVRPGFGQAGKKVTIRANHFLVQVADRDLYHYDVSISPEVISKKVNRDVMTTLVKTYGESHMAKKIPAYDGRKSLYTAGPLPFESKEFVVDLNDKKPAASSKRDRKFRVAIKLASRPDLHQLQEFLRRKQRDAPYETIQVLDVVLRDLPSQKFVSVGRSFFDPSLGRRGELGDGVEYWSGYFQSLRLTQMGLSLNIDVSARSFYEPILVTEFIGKFTLEDNTQKTVVQYFAEKYNYRVKYPALPAIQSGSDSRPAYFPMELCRIAEGQRYTKKLNERQVTALLRATCQRPDIRENSIKGMVKNNKYNEIDLVRKEFGMSVTDQLATVEARVLPPPLLKYHESGREKMVNPRLGQWNMIDKKMINGARVASWTSVCFSTRIDRNLPQEFCKQLIDMCVSKGMQFNPQPAIPFISCQPQRIEEALCDIHKRAPGLQMLIVILPDVTGSYGKIKRICETELGIVSQCCQPNQVRKLNKQYMENVALKINVKTGGRNTVLDDAIRRRIPLISDRPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPEITKYRGLVSAQTHREEIIEDLYKLVQDPQRGPVHTGMIREHLIAFRRATGQKPLRIIFYRDGVSEGQFSQVLLHEMTAIRKACASLEERYLPPVTFVVVQKRHHTRLFPAQHGNRETTDKSGNIQPGTVVDTKICHPTEFDFYLNSHAGIQGTSRPAHYHVLVDENGFTADALQMLTNNLCYTFARCTRSVSIVPPAYYAHLAAFRARYYMESENSDGGSSRSRNTTASTSAVVSLLPATKDNVKDVMFYC >A10p033220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19489430:19493312:-1 gene:A10p033220.1_BraROA transcript:A10p033220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESECTITTSSTPPPPPPQGVTEGNPKSRQGRTSGPARRSTRGQWTAEEDEILTKAVHSFKGKNWKKIAEFFKDRTDVQCLHRWQKVLNPELVKGPWTKEEDEMIVQLIQKYGPKKWSTIARFLPGRIGKQCRERWHNHLNPAINKEAWTQEEELVLIRAHQIYGNRWAELTKFLPGRSDNGIKNHWHSSVKKKLDSYMSSGLLDQYQAMPLAPYDRNSALQSHFMQSTMDGSGCVSGQAEQEIEHGIMNMGQPFHHPCENSHTNERAAYHQDQYYYPELEDISVSISEASYDMEDCSQFPDHNVSASTSQDYQFDFQELSDISLEMSHNTSEIPMTYTKERKEASLGAPNSTSNIDVAAYTNTSETECCRVLFLDQESEGVSVSRSSTQEPHEVLCASASDSQVSEATKSPVKSSSSTSIATPASGKETLRPSPLIITPDKYSKKSSGLICHPFEAEPNCRTDENGSFICINDPSNSTCVDEGPSYHLNDSKKLVPVNDFTSLADVKPQPSLPKHETNMSREQHREDMGASSSSLCFPSLDLPAFNDPVHDYSPLGIRKLLMSTMTCMSPLRLWESPTGKKTLVGAKSILRKRTRDLLTPLSEKRSDKKLETDIAASLAKDFSRLDVMFDESENQESISGTSLVSHRMPEETTDVSKSLEKQQQTCLEANVQHAESFSGVLSESNTNKQVLSPPGQSLTKAEKTQVSTPRNHLQRTLMATSNKEQHSSPSLCLVINSPSRARNTEGHLVDNQTSNENFSIFCGTPFRRGLESPSAWKSPFYVNSLLPSPRFDTDITIEDMGYIFSPGERSYESIGIFTQRHEHTSAFAAFNAMEISLSPSTDDAKKMKDLDKENNDPLMAEGRVLDFNDCESPT >A04p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20266198:20268277:-1 gene:A04p035050.1_BraROA transcript:A04p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYKSNIKRKIDMCYYSHRNQDNLPMSPLKHWQGIEVEERFSGVDMSYIPPHKRHSKDPNRPSPVPDSLVTKFKNNLDFKSSSDKINRVTFSENFISKWLLVSSNGIKDELPASVDFVPFSSDSVECVNGERPLVLMNNDINKVSEQEERTQWLLIAEKVEEDLVLAYERAKTSVEENQHVLRLVARFGKILLYKRKPGPLAEFSQKNFKKMFSTDVPTSNLQHIMSNVVPNHGFSIDLDKETYTVKVSHSTRPTETINCKCTIKEDGRLSMYKAELNVVWHMVVDMSCIDKSLDMRLMLAAKRKMTALTEKEISDIKGLLDSATVDPNVKGGLRWPLGKASSGDGYRIFEVCHVRATIYKKQTLRLRVRETDRFNERTGTGAVKREVTLILKDVNTKLQEQNIERGCVIEMLRDTLGTIWDFMHCDASSLT >A04g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16738957:16740270:1 gene:A04g507070.1_BraROA transcript:A04g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRWSLQGMTALVTGGARGIGFAIVEELAAFGAKVHVCDISETLLNQSLNEWQKKGFQVSGSVCDVSSRPERETLMQTVSSLFGGKLNVLVNNVGGVRAKPTLEYTAEDFSFHITTNLESAYHLSQLSHPLLKASGSGSIVFISSIAGVVSAGNNVSIYSATKGALNQLARNLACEWAIDGIRANAVAPNAINTPLSQPFLDDLGLKESLFSRTPLGRAGEPREVASLVVFLCLPAASYITGQTICVDGGLTVNGFFYQPQA >A06p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4675332:4678060:1 gene:A06p003490.1_BraROA transcript:A06p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTGKPYPTATLQASESETKLFTNPLDLRAIQRATTVTKRRAKNPSLTRQRRSAAPGGRRSRPETPLLKWKVEDRKTERGGGVVEEDDDYEDERETTSRRKDRRKTTRPVSVRKLAAGLWRLQVPDAVVSGGERKVKEGLGFQGGVPYLYHHSDKPSGGSKNRIRQNPSTIATTKNGFLCTLEPSMPFPHSVMEGATKWDPVCMDTTEEVHQIYSNMKRIDQQVNAVSLVTSLEAELEEAHSRIDELESEKRSHKKKLEQFLRKVSEERAAWRSREHEKVRAIIDDMKSDMSREKKTRQRLEIVNHKLVNELADAKLAAKRYMQDFEKERKARELIEEVCDELAKEIGEDKAEIEALKRESMSLREEVDDERRMLQMAEVWREERVQMKLIDAKVALEEKYSQMNKLVAGLESFLTTRDVVVDVKEVREAEVLRETAASVDIQEIKEFAYEPANPDDIFAMLEEMNLGEGHDDKEMEKKPVAYTHQNEEDDSGWETVSHVEEQGSSYSPDGSIPSVNNHRESNASSCGKGWEETPTTEISEVCSVPRRSSSKKVSSIAKLWRSSGASNNGDRDNNSFKVISMEGRKSSVGMVSSPERGSSKGGFSPMMDLVGQWSSSPESASHPHVNRGGMKGCIEWPRGAAQKHSLKAKLIEARIESQKVQLKHVLKQKI >A06p015680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7024091:7028090:-1 gene:A06p015680.1_BraROA transcript:A06p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPGTPVSKTDRTPGGSSRSREDKIVVTVRLRPLNKKEQLAKDQVAWECVDDHTIVSKPQAQERSHHQSSFTFDKVFGPESVTEDVYEDGVKNVALSALMGINATIFAYGQTSSGKTFTMRGVTEKAVNDIYNHIINKGTVVEKLVEETANNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTHRENSDCVRSYMASLNFVDLAGSERASQSQADGTRLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASTHAEQSRNTLYFANRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAERRTPGPSTEKDFKIQQMEMEIEELRRQRDDAQVQLEELRQKLQVEQQQNKGLNPFDSPDPPVRKCLSYSVAVTPSAENKTLNRNERARKTTTRQSMIRQSSTAPFTLMHEIRKLEHLQEQLGEEATKALEVLQKEVACHRLGNQDAAQTIAKLQEEIREMRTVRSSSTVLKDVLDSGDVIAPNKSVSANLKEEITRLHSQGSTIANLEEQLESVQKSIDKLVMSLTSNTNAGDETPKTKNHHHHSKKKKLPLTPSSVSNRQNFLKSPCSPLSASKQVLDCDAENQDPQENNSSATRGGITTPQETPQKGGEESGDVSSRESTPGYRRSSSVNMKKMQQMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEGAGYSVEDEEKTIEENEEQSQVAWHITFIEERQQIIELWHVCHVSIIHRTQFYLLFKGDQADQIYMEVELRRLTWLEQHLAEVGNATPARIGNGTPSKNGDDTAVVSLSSSIRALRREREFLARRINSRLTPEEREELYMKWDVPLEGKQRKLQFVNKLWTDPYDSRHVQESAEIVAKLVGFCESGNISKEMFELNFAMPSDKRWNIGWDNISNLLHL >A01p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11842626:11844541:1 gene:A01p023970.1_BraROA transcript:A01p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WIRTRIGELESRLDTRLKHIHRTVFFSAEMMLLHQSPNEKEDDISYCFKRSGSIAGHVTDVLKGLLTVRIVSLNYLKHKPIAHSSNIINCLLLQQLMIEGGTANAIFFRCFVAKKGTETELKRRLHVVFPRWSLATIYVMLVFLTAYVSAAMEQLFLFHLVLIRKLDSSSVESSDFDSPERPRHMLISKFMFKKAHNQTIQKDIRRWAFNFFHADQQETRFPCKQTFVEEDILSYFRPGINGIKLMQGSKVLIFWSTARKK >A09g518640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56822376:56824075:-1 gene:A09g518640.1_BraROA transcript:A09g518640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSINDSLLLPVDRVEKETWRDQREGSYTEELKRIIFFAAPMAAVVIAQFTLQIISMVMVGHLGNLALASASLASSFCNVTGFSFIIGLSCALDTLSGQAYGAKLYKKLSVQTYMYSYVLSYTNHAIAHEAGRYAAWLIPGLFSYAVLQPLTRYFQNQSMIRPLLITSSFVFCLHVPLCWLLVYKSRLGFLGGALAMGLSNWLYAILLGSIMFFSSACSETRAPFSMEIFNGVGEFFRYALPSAAMVCLEWWSYELMILLSGLLPNPELETSVGLSVGLDHTQMACNKKKKDSTSDFYQILKTIADEDTDL >A02p058030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34886594:34888659:-1 gene:A02p058030.1_BraROA transcript:A02p058030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTTSSNSEPQSYIESPLSPLRFHSPLSDAGDPPPESRYVSPEASPFKLEYPNGKFPPLPPPPPQYPPPPRYQRNARAAAPTNSSSDKSPSSMVVLNRWVREEGPQTTARKAGESAAATTANRVRRDGSVAMAALGFRVSEVVLCVISFSIMAADKTKGWSGDSYDHYKEYRYSLFVNVIAFVYSAFEVCDAACYIAKENYMLNCGFHNIFVFFMDQFLAYLLMSASSCAATRVDDWISNWGKDEFTQMATTSIAVSFVAFGAFAVSALISSYRLFTHASS >A01p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18910159:18912961:1 gene:A01p027510.1_BraROA transcript:A01p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENMNGQIVNAAIGEPKKMKSCHQCRKLRSDAVGNCVTKKGAKTCVLKYCRRCLLTRYGEIGEEVAVNDNWVCPKCREICNCSSCRNNKGEKPTGKLTTTAKKNGCSNVSEFLKKEGSDKYFYRRKGKCAEEINDSSAGCSEENAAARTKPVLKEKEEFQLEEVKLPQGIGSITVSSVDLHPENAGSVLQFLEFCLTFREALGLRDGQADLVVREVLSGSQEHSMLTQTIIQLLTLTLVDRGDISVGLSATDDRWFTILGKCLAESEVKLDEFPPEMFQKGISEYEEMDSLQKLKLLNFVCDEALGISVMRNFIENPEYVEKKKKAEEKLNAAEAREKQLYKKIKDDFAKAEANNNGVALTIEQRVAILSQMSAESEEVHFEKKKALEMQSKSQEYNDALRTNPVELDDNGLILWNLKSYNEEPTILLQDLGSCSDICPHEKWYSFSSEQKPQVEKYITFKRKKCRLEKKMEKKEKKRKTILQ >A02p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31626143:31628072:-1 gene:A02p051630.1_BraROA transcript:A02p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFVLYESSSGYALFDVHGLNEIGQNVEAVQSSVSDLARFGQVVKLTAFHPWQTAQDALNQVNAVSEGFMSEELRSFLELNLPKAEEGKETKFSLGVSDPKLGSCIFEATKIPCQSNEFVQELLRGVRQHFDSFINDLKPGGLEKPQVGLALSYSRAKVKYNVNKEDHMVIQTISLLDTLDKDINSYAMRTREWYSPHFPELDKIVNDNYMYAQLSKIIEDKSKLSEEHVPMLTEVFGGDEDKARELVEAGQASMGPDLSPLDLLHAQTFAQGVIDLTDYRKKLYDYLVVKVKDVAPNLAALIGETVAARLISHAGSLRNLAKHPSSTLQILGAERALRRALRTGGKTPKHGLIFHSSFIGRASARNKGRMARCLAAKCSIAARVDYFGDSSSADFGEKLRVKVEERLDSLHNVYAMEEVLEDEEIVDASGEEIDEEEAADDVE >SC238g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:56041:56298:-1 gene:SC238g500020.1_BraROA transcript:SC238g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVESESLDSHPPPTPSVHDHLLVSPAQRLLLSPSLLGAITLTAFMMSRVKKALGGGALDEVRESSPYTSASNESLHQYRQEKG >A04p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13599585:13602588:1 gene:A04p022530.1_BraROA transcript:A04p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSGATTTVSNQNQRQQRRAMIHVLPDEVALRVVCHASVIGGIIGSNGYVVSTLRRETGTKIHCESPVNGSDHWVVFIVGSTAVNKSFLLTDRVGGFAGGEHEGWVTCEVSAAQMALIRVLERSWAVLAAKDSGGVVVGDDKEAYCGILADRSQIGAVLGLGGKSVEWMRRNSGAMIRVLPPPSCGTNSDELIQITGDVLAVKKALVMVSNFLQNSPPLNGYPPPLCSKPYDSTTEGPHSEFFPSMRSSLPNASETPASNNTHTPTPSRNRFQDSIDTYRKVVLKLICTSVAAGGIIGRQGTIIRAMQIEAGASISIGAPLKESGERVVTITARESLESGNSPAQKALGLVFARSVEIDVGKSLFPGALVKAKLLVPSQFANDFVGKREAVMVTGVDIHIPVGSQILDCLSENELVIEIMGEYRYVEKALCQVSSKLRENLLPKKAVEEVRARVSNPYNLQPSQQNAGRDDSLSVLDGEQDLNMLRISTEVMKSIDCTHTEANEVNGFTHPTSLLENGLTQGMEQLQLCSNGYLSSLPPRRSKGVSLRNVTLELAVEKDALAALYGRDGAGLDNLQQISGARVDVKDSLIGVEATVLLTGNPEQTQTAMSLFISILSDQ >A09p043790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35646240:35648217:-1 gene:A09p043790.1_BraROA transcript:A09p043790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALTSPPGVKIPSYMAASSSSSLFSRSSISFRTVESRSRICVSGSAKCNLNGNARMPIINETTLPKYFDSSRLEKSASRANTKLKLFSGTANPALSQEIAWYMGLELGKISIKRFADGEVYVQLKESVRGCDVFLVQPTCTPTNENLMELLIMVDACRRASAKKVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSISSKDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIVKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLLQEVIVTNTLPVAEKNYFPQLTILSVANLLGETIWRVHDDSSVSSIFL >A02p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15468181:15469604:1 gene:A02p030360.1_BraROA transcript:A02p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAREEFVYMAKLAEQAERYEEMVEFMEKVSASVDGDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVAAIRDYRGKIETELTGICEGILKLLDSRLVPAAASGDSKVFYLKMKGDYHRYLAEFTTGQERKDAAENTLSAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDAADEEIKEESAPKPAEEQQ >A02g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4073953:4074352:1 gene:A02g501210.1_BraROA transcript:A02g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPNNNQDLLNPRSTFNNIEEHERDLGVRETGSEIYDTTQPPPPLAAANGKEIERFDRERERDREEREREERDAARREKRKRGSAGREKDHRRLGLPVSGISLQSFASKFLMGD >A04p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20054666:20055998:1 gene:A04p034720.1_BraROA transcript:A04p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCMLDEAKLWLDQPPPPPPIVDYSLSTTFSDSDEDEIDPVERERFRKQVVESGGFDVDFFPVYEKLFSSGSTPSTVMLSKVGLHCHNFDKGTNLQFKSVQKANEEFVSFITYYITVEAMDPFNDSPPTFLTSVWDAATKNNESLRLVTKVCRIKGTKEETTLWDHDAVDEFYKDDISWLDDDALTASDKQLQYYEVKESDLLDNEWLYLYAELVLFSKWAMDLSAYLPVKMKKVVVRTREDVESSKKLRSKNATFYMSFTSCGGLECRGIIRRTTDGIPQHMSLQVKCWIDN >A02p013710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5973887:5975204:1 gene:A02p013710.1_BraROA transcript:A02p013710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERTMSLGFGANGGTSGVRETMWAQQELLQKISQELDDEREASSSAASEALSMILRLQGEKAALEMETSQYKRMAEEKMCHAETSLTLFEDLIYNKEMEIASLSALGSLIQLSWRTSSRKISSSLSPFDGMSSERRSLLLSDTNGDSSLSPGEDLSAYWEEMRRIDEHVREISDSRDAPKESKWPLLRRKSMSHALVSQVSNTILKSAKSDVSSIMERMKKNPDRLSPAKDALSPNLQDIFKIPRTKESLTIISEEEELEERNKESQVRGNMESSNYERELSKPPPSIKEEHMSLLKEIREQLNAMQSKMRSLRSELHQTQHVSHLEEDPALNSIQEAMIHFWL >A04p032880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19183805:19189601:-1 gene:A04p032880.1_BraROA transcript:A04p032880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT2G35780) UniProtKB/TrEMBL;Acc:A0A178VN35] MSPRLLVVFLLVLLPFSSSCRDAQEKDRISRLPGEPNDVSFSHYSGYVTVNESAGRALFYWLTESSQHPESKPLVLWLNGGPGCSSLAYGAAEEIGPFRINPDGKTLYHNPYAWNKLANLLFLESPAGVGFSYSNTTSDLYTAGDQRTAEDAYVFLVKWFERFPQYKHREFYIAGESYAGHYVPQLSQIVHRKNNPAINFKGFIVGNAVIDDYHDFVGLFEYWWTHGLISDLTYHNLRITCEFVSSEHPSPECSKAIEAADKEQGDIDPYSIYTVTCKREAAALRSRFLRVRHPWMWRAYDPCTERYSGLYFNSPEVQKAMHANTTGLAYPWKTCSDIVGEKWADSPLSMLPIYKELIGAGLRIWVFSGDTDSVVPVTGTRYSIRALKLQPVSKWYPWYDNGQVGGWSQVYNGLTLVTIHGAGHEVPLHRPRRGFLLFQSFLNNKPIMIIALLDIVMSSSEDAKEQKMRDRILSLPGQPPNLNFSQFSGYVTVNSAAGRALFYWLTEAPKPSDTKPLVLWLNGGPGCSSIAYGASEEVGPFRVNPDGKTLRLNPYAWNLDANLLFLDSPAGVGFSYTNTSSDELTVGDKRTGEDAYRFLVRWMERFPEYKERPFYIAGESYAGHYIPQLAQLIVNRNKGTKTPIINLKGILMGNPLVDDYNDNKGMREYWWNHGLISDETYFELTKWCLNDTILFPKPNCDNALNQAFSEFGDIDPYNIDRPACTKGSSSNEWRQAWRYRGNDECVTGYTRKYMNDPNVHKALHARLNGTSWTSCSRVIRKNWKDSPKSMLPILKELLQAHLRIWIFSGDSDGVLPLSGTRHSINAMKLKTSKRWYPWYHSHGLVGGWSQVYEGGLLTYATVRAAGHEVPLSQPRLAFFLFSHFLANHSLPSSSS >A01p059710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34010008:34012119:-1 gene:A01p059710.1_BraROA transcript:A01p059710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSVKVMEDWQSNRSNELNKRNKKKKKNNVRNSDGQEEEANGGCWVKLRVMLCCVASTSDVHSSLSLSTTTVGSKSPILKSNDQPAGPVSSTTTTSNAESSLSTPMISEELKIYSHLKKFSFIDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINYLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMRIALGAAKGLSFLHEEALKPVIYRDFKTSNILLDSDYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLDRRRFYRLLDPRLEGHFSIKGAQKVTQLAAQCLSRDSKIRPKMSEVVEVLKPLPHLKDMASSSYYFQTMQAERLKAGSGSGRGFGSRNGQLVFRTQSSPHGQAGSSPYRHQIPSPKPRGATT >A02p054140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32935714:32936954:1 gene:A02p054140.1_BraROA transcript:A02p054140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 36 [Source:Projected from Arabidopsis thaliana (AT5G27690) UniProtKB/Swiss-Prot;Acc:Q84J88] MDLGTQIGTQIGTEIGTDIKPETCQEDQRHVFQEYQEPLRYTTWVLRVSIHCEGCKRKIKKLLSKIEGVYTTNIDVKQQKVTVVGNVEPELLIKKIMKAGRHAELWPTSMDNNNNNECNYQQREKKPKKPKNDDEDSSEDDEDDGNNNNSGGMMDGGTCIGGPPGGGGDQVKQVVTFVNGQPQPPSGDGAPKKKKKKKKKKKSTTVVMEGGGGGGGPPPQSNGPPETVIYSAPPPDQYLPPHQHQQQHMYPTAHSPPRHYQHQTYGPPPPTYYHSQPQTAPSYTVSYNTAHGPGHSGPSNGGNDNAASYYAAPPSYYSYEYVDTGYESPPPEFYSYRSQPCESFEALSEGNPNACGVM >A02g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5816328:5819073:-1 gene:A02g501830.1_BraROA transcript:A02g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFECITGLMVFSKFIGCSECQTKVTRGATSLLSVFEVTCSNTNFCFSDSPIAIRFTNQTVGELYETDKPIDGISKHEYRHTRYCWHSNVFLNIVSSRLFLNETSETHFYFDKDCAVGQIYLKSEIETKSGCCFAPSATANCSMGSHHFNVLPAMRRIQLELLDSLPSTNFARYRVRMTISDATDAAEFVVFDTVITKLTNICAANPSNQQVAVSQDLQDWDLPQCVHEIAGSTLTFQLSLSHFNISAIHQIFTVSRIFYYNQCPPQLNFEGRYDNPGDDTPAAGAVKRSHSHVLQSSPVNQGESDGNGDVA >A02p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32277246:32278505:1 gene:A02p052910.1_BraROA transcript:A02p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGALSLDEIRKAQRGDGPASILAIGTANPPNQVIQAEYPDYYFRITNSEHMTDLKEKFKRMCDKSMIRKRYMHLTEEFLKDNPNICAFMAPSLDVRQDILVAEVPKLGKEAAVKAITEWGQPKSKITHLVFCTTSGVDMPGADYQLIKLLGLCPSVKRVMMYHQGCYAGGTVLRLAKDIAENNRGARVLIVCSEITVVTFRGPSETHLDSLVGQSLFGDGAAALIVGSDPDTSVGEKPIFEMVSAAQTILPDSQGAIEGHLKEVGLTFHLLKTVPGLISKNIEKCLEEAFKPLGISDWNSLFWIAHPGGPAILDQVEIKLGLKPEKMKATRHVLSEYGNMSSACVFFILDKMRRKAVEDGAETTGEGLEWGVLFGFGPGLTVETVVLHSVPL >A03p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16277530:16279938:1 gene:A03p039160.1_BraROA transcript:A03p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRGGGELGHHHEALKFSSLTFTLERPRDYLVLFTRFSILTCLIVSVSLVLRATFSSSSAPYSYGLRFPAVSQKALATPPARSTGPINISHIQFCIAGAAETWLDRSRYTSSWWSKSTRGFVWLDKPVMINKNHSNNRFSIPVRVSDTSWTRFRFSSSRAAVRIARVVLDSYKLNLPDVRWFVMGDDDTVFFAENLVEVLSKYDHEEMWYVGGNSESVEQDVMHDYDMAFGGGGFAISRPLAARLAAAMDGCLQRYFYFYGSDQRIAACVSEIGVPFTEERGFHQLDIRGDPYGFLSAHPLAPLVSLHHLDYLDPLLPNKTPIESLQTLMKPYTLDPHRILQQINCHDPKRGWSISISWGYSIQIYTYFLTTKDLETPLQTFKTWRSFSDGPFTFNTRPLKPDSCERPVTYFMDGAEDVRDSGTKTWYSVGDKNYGHCEKSEHTRVNKVKRILVTSMKMDPDNWKKAPRRQCCELLEGGGNEKEMSIRIRKCSSLEMI >A04p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22999493:23006118:1 gene:A04p040730.1_BraROA transcript:A04p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH70 [Source:Projected from Arabidopsis thaliana (AT2G46810) UniProtKB/Swiss-Prot;Acc:O81037] MASSSPQHCHIIEVNPGKSVEESTTILASKACGEAPCGFSDLNNASGDAQERNASMRKLCIAVVLCLLFMTVEVFGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQTYGFFRIEILGALVSIQLIWLLTGILVYEAIIRLLSETSESVGVMIGGGIIWYNPEWKIVDLICTLVFSVIVLGTTINMIRSILEVLMESTPREIDATKLEKGLLEMEEVVAVHELHIWAITVGKVLLACHVNITPEADADMVLNKLLDQKVNVRRSLQVQASPEDHSFPLEEDQYSPQSLQGTRLPFQQMLQDPSSVLSFKDPHILGNYLPYEVPEFHSPFHSDNNHYYQNPFLEGTNEDISSQELQLNPVDNAFSRRKLRNNNLAASVSREKRKRRRSKPMKNREEMESQKRMTHIAVERNRRRQMNVHLNSLRSLIPSSYFLRGDQASIVGGAIDFVKILEQHLQSLEAQKITQQTSKLRIDATVTERHVSLKIQYCQRKQGQLLRSIFLLEKLGLTVLHLNVTSPCNASVSYSFNLKMEEDCNLGSVDEITAACHQSAMASLSISSSSTIINSRASPPGQASFSSPSCISLPMLPPKPLRSTAYCRKIARRNVPTRATEVEAPVTAEAETTELPEIVKTAQEAWEKVEDKYAIGSLAFAALLALWGSTGLISAIDRLPLFPGVFELVGIGYTGVWRSASQILEPKELINVQRYLWEQDEERQVAKYILKNVRWLKKATFYTEPEDVSPYAFNAKWALTQRCNCELPRDYLNGLWYKLRTGRHLGEATQEDPQTSNANLAEA >A01p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9874338:9877120:-1 gene:A01p020090.1_BraROA transcript:A01p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLSLFRANSLPFPVLSSYSARFIPKPYLTPPKTLILCAFSTVPYGPSLLKGKKPSLNHLRLASTRRERDAHRRKIGDFEDPIEKGELLDEDEFTRIFEVSAVRVPAKDCFALENRLRGHLLNWPRIRNIARVPGDEIDEDVVKLLGRESDEEDGEEESVVDSVDRRIRGKAEGDGERLSPVLHRDQLAKTFNSTGYLKFRNLAKISRPKRKRKTERAEGKEKVNKGSSRNEFAVVEVVEDRGGDEDFEGLLGEGYGGSRGRWRGSTRLLLLDERYSGEEVQDLPEAIKVLFEEAKKTDASLSFELVKCRLTLFYDYWPMNEVLEALLPKGMIVPSAFEMVGHIAHLNLRDEHLPYKRLIAKVVLDKNQPKIQTVVNKIDPIHNDFRTMQLEVLAGNHSLVTMVVENGLRFHVDLARVYWNSKLGTERQRLLLGFDQNDVVCDVFAGVGPIALAAARIVKRVYANDLNPHAVEFMEQNSVVNKLEKRIEVFNMDGRRFIKAMFSSDKGQKVTQVVMNLPKDAAESLDAFRGVYNDRYRDEGLSFPTIHVYGFSKAADPEFDFHERIRIALSEVAVDVKMRKVRLVAPGKWMLCASFILPKSVAFSRKTINVD >A01p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6448065:6449296:1 gene:A01p013170.1_BraROA transcript:A01p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAPMQLTRATLFGLSKALPIARSPATLTASTRKVSRVCFASSVSHSEGRDPVGNARDSKADLDYGSKKWREDTGENYAQAATDKANEGASKAADKAYETKEKAKDTAYDAKEKAKDTAYEAKEKAKDTAYEAKEKTKDTAYDAKEKAKEYAERTKEKVNEGAYKAADKAEDTKERAKEKAEGVKETVKGKAEELGEKTKETVKGAWETTKNAARTATEAVVGPEEDADKARADIDKGVEDLTKKAEKKSEKDRKEDEFITFN >A06p040620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21978383:21980940:1 gene:A06p040620.1_BraROA transcript:A06p040620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFTIIPLCLLTLFLCTNSFSDQNDGVPSSQSPLLVKRHQRTQLVATEFGEISAVHIGEEYTIQFITLEPNALLLPLLLHSDMVFFVHTGSGVLNWVDEEKERTLELKRGDVFRLRYGTVFYLHCNLERDEVPEKLRVYAIFDVGKCLSDQCLGAYSSIRDLLWGFDEKTLRSAFAVPKDVFGRLRDAVKPPLITHAMPKNRTQGSEEETWGSRLAKLFVRVEDVTDHLEMKPVVNKKKKKKKKKSSAYNVFESDPDFENDNGQSIVVDEKDMDALKGSSFGVYMVNLTKGSMMGPHWNPNACEISIVLQGEGMIRVVNHPSYQSKNESERFMVEDGDVFVVPQFYPMAQLSFVNSSFMFMGFSTSAKTNHPQFLVGQNSVLKIFNRDVLATSFNMRYATVERLLGAQKDGLLLECVSCAEVELSRLMREIEERRRREEEEIERRKREEEEAKRQEEERRRREEEEAERKKKAEEEARKREKEREREEEAAKRREEERRRREEEEAERKRKEEEEARKREEERKREEEAAKKREEERRKREKEEEEARKREEAREREEEEAKKREEERRKREEEEAERKRRAEEEAREREEEEAKKREEEKEAARRREEEREKEEEMAKRREEERQRKEREDVERKKREEEEERKRREEEAMRREEERKREEEAAKRAEEERRKREEEAEHKKRPPPQGPQPPIHH >A04p015640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9695258:9695488:1 gene:A04p015640.1_BraROA transcript:A04p015640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGTISIPPASLSLKSTLNPENAVPHPSSQVTIQERMQRQPASYSISGEDLKSGGFPLCHTTAAKLRENGEAESP >A01p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1885486:1888258:-1 gene:A01p004400.1_BraROA transcript:A01p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI1 [Source:Projected from Arabidopsis thaliana (AT4G34370) UniProtKB/Swiss-Prot;Acc:Q949V6] MDDYYTADEEDCYYSSDHDESLGGIDNDDSDFHAASSKKSTTQVITQESLLAAQREDLLRVMELLSIKEHHARTLLIHYQWDIEKLISVFVEKGKDSLFSGAGVTVFDSSSLPSSSPNMSCDVCLEDVVAEQMKRMDCGHCFCNDCWTEHFTVQINEGQSKRIRCMAHKCNAICDEDVVRSLVSKKRPDLAEKFDRFLVESYIEDNKMVKWCPSTPHCGNAIRAEDDKLCEVECSCGLQFCFSCMCQAHSPCSCLMWELWRKKCRDESETVNWITVHTKLCPKCYKPVEKNGGCNLVRCICGHWLCGGATGKEHTYRSIAGHSCGRYEDDKERQMERAKRDLDRYTHYHHRYKAHTDSSKLEDKLRNTILEKVSNSEKKELKLKDFTWVTNGLNRLFRSRRILSYSYAFAYYMFGEELFKDEMSSSEREMKKNLFEDQQQQLEGNVEKLSQLLEEPFDDFSDDKVMDVRIHVINLSVAVDSLCKKMYECIENDLLGSLQSGIHNIAPYSSKGIEQAAEFHASWSSSKALDSSGTSGETSRPEKASGSRDSEDMVCSSSKKRPRREGSFTNSKVTLLDLNLPADFMDQN >A01p029360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20841368:20841851:1 gene:A01p029360.1_BraROA transcript:A01p029360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRVADKEIARLKDELEYSRCRERGSAGMEIRGAYRRGKREMAEVMKNRRDQFSREFGELKEWRMNERDMDFAIPHIEEMIWKQWELVPVSPDTVVAETRAPDETEEVNQLTFPLDVNDYSMEGSVTGYFDFDG >A03p053860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23127735:23128857:1 gene:A03p053860.1_BraROA transcript:A03p053860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGSGSSLGPGGLDLTNTFFKPILNSDPPIPSNRCTKVSVIGVGNVGMAIAQTILTQDIADEIALVDSKPDKLRGEMLDLQHAAAFLPRTRITASVDYGVTAGSDLCIVTAGARQNPGESRLNLLQRNVALFRHIIPPLAKSSPDAILLIVSNPVDVLTYVAWKLSGFPVNRVLGSGTNLDSSRFRFLIADHLDVNAQDVQAFIVGEHGDSSVALWSSISVGGIPVLSFLEKQQIAYEKQTLEDIHQSVVGSAYEVISLKGYTSWAIGYSVANLAYTILRDQRKIHPVTVLARGFYGVEGGDVFLSLPALLGRNGVVAVTNVHMTDEESEKLQKSAKTILEMQSQLGL >A01p050180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28202873:28208659:1 gene:A01p050180.1_BraROA transcript:A01p050180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHRLEKESGFFFNMRYFEDCITAGEWDDVEKYLSGFTKADDNRYSMKIFFEIRKQKYLEALDKKDHAKAVEILAKELKVFSTFNEELFKEITMLLTLTNFRENEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGHPNGSHVPSPVTNHLMGSVPKVGGFPPLGAHGPFQPTPAPLTTSLAGWMPNPSISHPTVSAGPIGLGAPNSSVSMLKRPRTPPTNSLSMDYQTADSESVLKRPRPFGISDGVNNHPVNVLPVTYPGQNHAHAAYSTDDLPKTVSRVLSQGSAIKSMDFHPVQQTMLLVGTNLGDIAIWEVGSRDKLVSRSFKVWDLAACTGNLQASLASEYTAAVNRVIWSPDGGLLGVAYSKHIVHIYSYHGGDDLRNHLEIDAHAGNVNDLAFSQPNQELCVVTCGEDKTIKVWSAVTGNKLHTFEGHEAPVYSVCPHQKENIQFIFSTAVDGRIKAWLYDNMGSRVDYDAPGRSCTSMAYSADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYLGLGKRSVGVVQFDTLKNKFLVAGDEFHVKFWDMDSVELLTTTTADGGLPSSPCLRINKEGTLLAVSTTENGIKILANAEGSRILHSMANRGVESSRAPPGSVSKGPIVGTFGTPSSSTGMSLSMAERSGPGAAVTAMNGDTRSLSDVKPRIPDEAERSKVWKLAEISERSQLRTLRLPDTLLPGRIVKLIYTNSGGAILALAENALHKLWKWQKSERNLSGKANSNVPPQLWQPPNGVLMTNDTREGNKEDVVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSSGADSQLCVWSMDGWEKQASKQIQIPSGHSPNPLAHTRVQFHQDQTHVLVVHASQLAIYEAPKLENVKQWIPTESSGSVTDAVYSCDSQSIYAAFDDGSVSILTATTLQLKCRIGPSSYLPSNPSLRLYPATIAAHPSEPNQFAVGLTDGGVHVIEPPGPEGKWGMSPPPENGAGPSVSSAPGSDQQPR >A08g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6873386:6876785:1 gene:A08g504310.1_BraROA transcript:A08g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYNKIALKLGRVRSLRSDRALARAWSLRSDRAERTLGRYVATELWLELGCYVVTEQNRRSRPSRTDAWSLRSGRALARARSWLLRSDRIACMCDPAENEAWWVAHYGSITPPKEKPFPVLTHYTVEEGAPSRSTDEFLEIMRSFYRIPSMVAFRVPRRGESADNPRRRFEVAISQLNPLAIQHLVGILILSNEHGLSLSVDHFDALLRLNAASVEESCIPLLRRLPNDRPFINPLAPFPEDIIESPNAAPAAATGWNSSKGKDIDLGDIEFSIDDSMLPGWDPDLAYGDESGYSKVPIPDFDDFFAGLPSGFNAPPPTSETGRPKVVAEGALTCLARPLRRAIGKLWSIVLKWRKRNEISLECKARCWSEKAQLARDHARAICKAERKGKREIVEGMKTCASQFQIEYGNLKNAFTSVGDFRECCGSVGSLWRTQADDYVFEKKMSLMKSGMNERAHAEALIPSIEERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPVDAFGASLSGDFDFGP >A10p036140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20619725:20623930:-1 gene:A10p036140.1_BraROA transcript:A10p036140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDDDLENRMSAKHHHHRGIGSKGGDRTGFGPPPRPAPLVYGGDDAFSSSPQWTSWLVPMFVIANVIVFVVTMFVNNCPAHSHGPNRRCVATFLGRLSFEPLRNNPLFGPSSRTLEKLGSLEWDKVVEKHEGWRLLTCIWLHAGVIHLAANMLSLVFIGIRLEQQFGFVRIGVIYLLSGIGGSLLSSLFIRNSISVGASGALFGLLGSMLSELLTNWTIYSNKIAALLTLLFVIAINLAIGILPHVDNFAHVGGFLTGFLLGFVLLARPQFKWLARENMPQGRRLTSKYKPYQYLLWLLSLALLVLGFVMALVLLFKGEDGNDHCRWCRYLRCIPTSRWKPNATKHHRGIGSRGGDQDLLGPPPRPVVPLVYADFGDDALSPQWTSWLVPLFVVANVIVFFVVMFVNNCPKNSKSLGPDQHCVARFLGRFSFQQLRDNPLFGPSSLTLEKLGSLDWYRVVEKHQAWRLLTCIWLHAGVIHLATNMLSIVFIGTRLEQQFGFVRIGVIYLMSGIGGSILSSLFIRHSISVGASGALFGLLGSMLSELLTNWTIYSNKIAALLTLLFVILINMAIGILPHVDNFTHVGGFLTGFLLGFVLLARPQIKWLAKEHMPQDRRLTKYKPYQYILWLLSMALLVLGYSSWWRWCCYSKEKTGMITATGVATCVVFLPQNGVVMAFDAIQEIV >A03p009250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3709047:3711396:-1 gene:A03p009250.1_BraROA transcript:A03p009250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITMLRDKASLCVLLFSILLLFKGSFGSESRSKEAYVTLLYGDEFLLGVRVLGKSIRDTGSDKYMVALVSDGVSDYSKKLLKADGWKVEKISLLANPNQVHPTRFWGVYTKLKIFNMTDYKKVVYLDADTIVVKNIDDLFKCSKFCANLKHSERLNSGVMVVEPSQALFNDMMRKVKTLSSYTGGDQGFLNSYYPDFQNARVFDPSLSHEELKARPVPDMERLSTLYNADVGLYMLANKWMVDDSKLHVIHYTLGPLKPWDWWTAWLVKPVDAWHSIRVTLEETLPGTGGGKNQNDELVVKFLFLLPLCALLFCIYRSIQGREGSLYNQIRYLYYKIRSNGTLGYNGVSTFSTMNPSHQLHSGNSQSKVPQHLGAVSVVVCFTALLISVGVSFLIVPRQIMPWTGLILVYEWTFTIFFLLFGCFLLLVHQHGKKIAVHTESSSLDDSGKGHQRGGVSCDVTTLYYGLGMVFLSIAAVSLPYILGITALFVRLGLMVGVAIVLAAYMTYASEHLAIRWFLKGFEDRRETSRSKSICFMC >A05p035630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20172659:20175808:-1 gene:A05p035630.1_BraROA transcript:A05p035630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSRDLYNFVRSSSDSNSKLFDASQYEFFGQNLDEMSLGGIDDDDVVAPVLGHSATDDDDDEYHLFNKGGEGAGLGSLSDMDDLATTFAKLNRNVTGPKPLGVIGDRGSGSFSRESSSATDWTHDTELTNWLDEQDQEANRWSSQPQSSAHSQPLYRTSSYPQQPPPLQHYNSEPIIVPESTFTSFPPPGSRSQQTSPGSLHRAPSLPTGSQMNFTAPSPLSNSRFHLSGPSHGPHYGGNLARYASCGPTLGNVVQPHWATDPGLLHGDHSGLLHSLAQQQQLPPRNDLMSQHMMALQQRQSYAQLAALQSQLYRSYPSPSRKVSFGGGEVREQHKHKSSHRSRKNKGISQQASDAASQKSESGLQFRSKYMTSEEIESILKMQHSNSHSNDPYVNDYYHQARLAKKSSGSRAITHFYPSQLKDHHHQPKSRNNSSEQHQQQVHVDALGKITLPYIRRPRALLEVDSSSPGLNDQKGSGKHLEQEPLVAARVTIEDALGVLIDIVDIDRTLQSTRPQDGGAQVKRKRQILLEGLATAFQLADPFSKTGQKSGLTAKDDVVFLRIATLPKGRKMLTKYIQLLVPGTEITRAVCMAIFRHLRFLFGGLPSDTLAAETIANLAKTVTVCVQAMDLRALSACLAAVVCSSEQPPLRPIGSSSGDGASVVLISLLERAAEVVVVPRAVHGSSNDGLWRASFNEFFNLLTKYCRSKYETIRSQNQGSAADVLELAIKREMPAELLRASLRHTNDDQRNYLLNFGRKPSAVSESASHARGGQINSESVMG >A09p076440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57180307:57182703:-1 gene:A09p076440.1_BraROA transcript:A09p076440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSATAGDGGEQAVSAAGTSAGYDKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDPSLVHARDYDKRTPLHVASLHGWIDVVNCLIEFGADVNAQDRWKNTPLADAEGARKQKMIELLKSHGGLSYPVQPPIPKKCDWEIEPAELDFSNAAMIGKGSFGEIVKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVDLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGGLTPATAVNFALDIARGMTYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFANYEPYEAAKHVSDGHRPTFRSKGCTPDLRELIVKCWDADMNQRPSFLDILKRLEKIKETLPSDHHWGLFTS >A02p010820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4710931:4712139:1 gene:A02p010820.1_BraROA transcript:A02p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHLVILLVLSIYATPTLAKPARPFILKLVRHKAEKNSKLYITKESDATISHLTPNREPPVFLAKLSIGEPPVPQLLHVDTGSSFTWIKCGGCITCQTQFPGYNPTASSTYSSVSCDDSSYESSPAFSPVKRTGECHYRQMYFDGSESRGTLAKETILFDTEDDGIESVSNVEFGCAHEIIVGFNVGTGLLGLAYGKFSILKQFSNKFSLCFETFSDDPSSGHSFLALGDGARTTGQSTSLFMRYGHYHVDLERISIDGRTINIPNQLTPIGNTIIDTGTSMLNLAEESYSDFKTHIDSLLDRHLNSFMDGHLVCYNGTIQHELPQLPTVTLTFYKGASLELDPTSLFHQLEDEYFCLSVMMSPKIDLSIIGTNALQSYNIGFDLDNETIYFDKISCDYLD >A03p014480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5751127:5753348:-1 gene:A03p014480.1_BraROA transcript:A03p014480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVSNRVDCSESRRDSSGGGARYKLMSPAKLPISRSTCITTIPPGLSPTSFLESPVLLSNIKAEPSPTTGSLFKPHAVHANSSSYTARTIRHNILDEKNSNESFEFRPPPASTMFYAELVDKQRSEAHSFKHSPSSATEAASSSEISPQNALPHQTTPPGSERSDQDESRGSAPSTLADDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKVFERSHEGQITEIVYKGTHDHPKPQPSRRNSGGLVMPAQEERLDKCSSLNGRDGNYTYNLSPPVEPNGNDDGGDLANRNNDDVEDNDPFSKRRKVDGAMEVTALVKPIREPRVVVQTLSEVDILDDGYKWRKYGQKVVRGNPNPRFRPEETTSTVSLHLGVGIASGCPDHTSNEHPHQQKPAGFMFVHGPSMGSYYANSLNGLRDNTIWSLEEDGLNKPTKVTQPKEKEKQRLFASTLYLDSS >A01g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3470769:3471979:1 gene:A01g500870.1_BraROA transcript:A01g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRWSKGQLLYFKDSQFREGDRAEYQFRITATVLDNVTQKQMLKFLRLQLSCSIHVLKLDGYVRSPRSLPNQYGIVGELRARELLHLHPVPRISYGNQRPPVQSFVDQPTPKLNKSGPENKTKAGDDVFIVQSPVDPSPEPPQNVEVSAEKTTVSDEAPSNKKAQNIVPTVEIM >A09p026130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14832031:14833855:1 gene:A09p026130.1_BraROA transcript:A09p026130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDEDGKDGFLKEMRDLAQLMQDTLSQDPPVHEVGSNQGEKKGTSNHQLSGLSTTYNALVAYTQSDQVGDSDLASKYNLPDDEEVTNPIDYTSSDYHVTRNHLQEPFSLLSIENNDDSKEFALDADSSSFDYPKAEQAQYGDHEHASAFPFDVWQPVNPAQAPPKQSLQRQNAMHRQRYTSELDPRYSASSLNHPMPTRDSITTTASSHGRRSSVSMTKALTTSTDFVPKRNQQAMNPHYSQRQVPSGQYGNITNSPYSPPNQNESYNMPPSAAAFQQQGGSNMALNGNMITFPYGRPEQNDSYHMPQIAASVAPYSYGSVNLFGNGTSSDNVANSRFGYEGGVSRNHFSSLQQHQSGDSFVGPLSSRRSRRQRQQQLRSDFFAALNQQNDRSDPSDKTQQRLFPRDN >A09p059670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49795306:49797545:1 gene:A09p059670.1_BraROA transcript:A09p059670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRILRPPHNPILSHHLQTHKTPITSNFFKTQTHKMAATAGTTSPSHLLISSSRHLASSSQPRILFQRSLFSGGKRAGKNHHAAGGVRCMAVAADASAEAKPAARKKSGYELQTLTGWLLRQEQKGEIDTEMTIVMSSIAMACKQIASLVQRAGISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECIVDDSDDISALGSEEQRCIVNVCQPGNNLLAAGYCMYSSSVIFVLTLGKGVFSFTLDPMYGEFVLTQENIEIPKAGKIYSFNEGNYQMWDEKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDAKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSKEEVEKLEKYLA >A01p052310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29246871:29248014:-1 gene:A01p052310.1_BraROA transcript:A01p052310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIILYNPTFFFFCTLSCFIPPSPSPSPPCSVKSTLLEVSQDYPKRRFTKSSILYGFNLQWTVMSEMTSRTADVMRVSSHCEVYEPCDDSFALVDALLADQTNLINHDPKVCMEIGCGSGYVITSLILLLKEKLPNVHYLATDTNPIAARVTNETLQAHGVSAEVVCTDIASCLEERLAGLVDVMVVNPPYVPTPEYEVGMEGIASAWAGGENGRSVIDRVLPVVDRLLSEKGWFYLVTLTSNYPSEICLGMRKRGYASRIVVQRSTEEENLIILKFWRDKDEEGVDKETSSESFVKQFSRSLSSFMEKQWR >A07p043570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23825949:23828347:1 gene:A07p043570.1_BraROA transcript:A07p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLSSRSTTLLQPLATTSLLRRRHISTDTTPITVETSLPFTSHLISPPSRAVETSSQELLSFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKRDAIITSYRDHCTFLGRGGGLFEAFSELMGRTTGCSKGKGGSMHFYKKDASFYGGHGIVGAQAPLGCGLAFAQKYSKEEAVTFTLYGDGAANQGQLFEALNIAALWDLPAVLVCENNHYGMGTAEWRSAKSPAYFKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIGSNHHAFAFVFEQILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQVRDPIDRVRKLIIAHDIATEKELKDMEKEVRKEVDAAVAQAKESPIPEPSELFSNIYVKGFGAESFGADRKELRATLP >A04p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14291739:14292274:-1 gene:A04p023600.1_BraROA transcript:A04p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATLYSAAYNRLRSYNKKSDICKNLIVIHVIFKCLPVLQICREKILNLFSANVDVTITSQTLSKIDSQVICLENICLMDGSLTPTSQFSGCRWV >A05p038890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23822571:23823137:-1 gene:A05p038890.1_BraROA transcript:A05p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRVNIIFISMMVVMVTGNFVVQTQAQDTLSFRTCYPSCIDGCAVEKQLPKLLLCPFTCLLTCLAPPTSNIPSPPSQMFLAREIDHIDYFCKLGCATNHCASLSSLKNPNVDKVADCVDSCSDKCSNKN >A01g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15168358:15169286:1 gene:A01g505120.1_BraROA transcript:A01g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRFEYRYATEDELEEMKQREFAGWMFTYMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDADVCSASGDDVYYGHIHEILEIKYLSMVGLRCTVFHCDWHDNTPDRGVRTSAFGVTSVNSRRKLQYYDPFIFASQADQVCYIKYPRVRNRDDPWVTVTRLNPRCRVQGSSELEDPLQPSTSGNLSAAEELGGVGLVVDLTDFGEEAAVHIEDEPVIGEFHQDPDSDSSGDDDSETD >A02p058060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34896501:34897935:-1 gene:A02p058060.1_BraROA transcript:A02p058060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIKTARTIVGAIGNVIAFGLYSAPIPTMVKICKMKSVAEFKPDPYIATVINCMMWAFYGLPFVTPDNILVITNNGVGLAMELVYVIIFFTFATSPVRKKITIAIVIEVLFMAVVVFCTLYFLHTTKQRSMLVGILCIIFNVIMYAAPLTAMAQVIKTKSVKYMPFSLSLANFMNGAIWIVYSCLKFDLYILIPNVLGCLSGTIQLILYAIYYKTTNWKDDDEDNENSNSNAEIEHSQA >A06g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27778673:27779927:-1 gene:A06g509580.1_BraROA transcript:A06g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATLPENTSLTAEMIEKIVRDVSDKLNATPCKDFDGMVGIEAHVRKISSLLCLECNQVRIVGISGPAGIGKTTIARALHSLLSNRKASPPDGFKKLADEVTRLCGDLPLGLQVLGSSLRGKSHDDWTDELPRLKYSLDGMIEKVLKVGYESLHEKDQALFLYIAFFFNFQPVDYVTTMLAKTKLNVRLGLNILANKSLIQTSRCSKKRVVMHHLLQLMARQVISKQEPWERQILVNARQIYYIFMYVSQGEMDYFFTIS >A08p013500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8132814:8133466:-1 gene:A08p013500.1_BraROA transcript:A08p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETNVMGCAMTTLNYVSHMRFQTDAYQFKIHDRFSACMTCLRYYPCVRCQRAISMRLLSLFRTLRILTFLSLREEYVFEEMLVRMTVWSSKKVSLSRNVILSKNGSSGVCDVSCFTILQGFSINPASDVRSQNLSSCLDVKDFDL >A01g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21529843:21530183:1 gene:A01g507580.1_BraROA transcript:A01g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGSKITRSDLQVSLREVAPGPIFGVSGREVASDFGVSLQRVALDRERPWKVALRSGTQRLRDVVPGGRSHARLAQWSPFHLF >A04p021200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12600558:12618355:-1 gene:A04p021200.1_BraROA transcript:A04p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSGSEVTKIGFIKGKKKTFHFIRSRIVSSPLGNLQTLTGTVVSDSGIVVSGSGIIFSDSGIVVSGSGIIFSDSGIEVSGSGIIFSGSLIVFSGSLIIFSGSIIIFSGYLIISVTLSTLKIGNFLKLGKAFQKSLKFFFSLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVFLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEIENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNSNVFPTNVTQKRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDGKPDDEIVREKLTSESPASQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQGEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHYRPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLDELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMGDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNQSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEIEVKQGKSVKPSQDDHAKKGKPHVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLTHWMDLRGIYRVPFYINGKEIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPPACFVPVKFLEEKVWLEDVDVIYGVIEDKLSCHYIGVEIQLMDNTITLFHCGLPKANIKRALNKIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETEMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKKKKNISFHSIANRVVSSRESSNPHRHCRLRLGNRRLRLGNHLLRLGNRRLRLGNHLLRLGNRSLRLGNHLLRLANRLLRLANHLLWLDNHLLRLSNHQRHTLYSQNR >A07p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12928962:12931207:-1 gene:A07p022030.1_BraROA transcript:A07p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKKDLLSSAMKRTSEWISSQEVSSDVTVHVGEASFSLHKFPLLSKCGLIKKLMSESKNDSDASVIKIPDIPGGFEAFELTAKFCYGINFDMSTENIAMLRCAAEYLDMTEEHSVENLVVRAEAYLNEVALKSLSSAITVLHKSEDLLPIAERVKLVSRCIDAIAYMTFQESQFCSPTSNNSNNEIVVQQSKQPVVDWWAEDLTVLRIDSFQRVLIAMMARGFKQYGLGPVLMLYAQKSLRGLEIFAKGIKKIEPKQEHEKRVILETIVSLLPREKNAMSVSFLSMLLRAAIYLETTVACRLDLEKRMGLQLPQAVLDDLLIPSYSFTGEHSLFDTDAVQRILMNYLEFEVEGVRLSNNGVDLGSDMERVGKLMENYLAEIASDRNVSLQKFIGLAELIPEQSRVTEDGMYRAVDIYLKAHPNMNDVEKKKVCSLMDCQKLSREACAHAAQNDRLPVQTIVQVLYYEQQRLRGEVTNDSEALPPQPPPPAAAATVLPPKLSSYNDELSKLKRENQDLKLEILKMKMKLKEFEKENEKKSSSTAMSSNHSSPISTASMDKPPLPRKSFMNSVSRKLGKLNPFGITPGRTKPPKDRRHSIS >A07p042230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22901611:22902318:-1 gene:A07p042230.1_BraROA transcript:A07p042230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVAGLSPVSAPIYSPITFNRKPINFHKPHHLSYKRNPVSALQHRSRTVRVVEQVARKHRNRLFSVFGSLTDDDSELNPEEEGQVASVDIKLPRRSLQVEFSCNSCGVRTKRLINRLAYERGLVFVQCGGCLKHHKLVDNLGLIVEYDFRKETSKDSTTDQI >A09p031940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19356499:19360400:1 gene:A09p031940.1_BraROA transcript:A09p031940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSGSYSNGGDHKSFRQITRERLLYEMLMPEKNGTPRKVLVLDKFTLKIMSSAAKMSEISQQGVSLVELITRRRQPMTSFDAIFFIQPTESNVNAFLSDMTGKSPLYKKAFVFFSSPVSRSLVTLIRKDMRAMKRISALKEMNLEYISMDIQGFVTNNENALEDLYSDEENHQRADACLNVVAKRIATVLASLKEYPFVRYRGAKALDASTMTTYRELIPTKLAAGVWNCLARYKQTIEDFPQTETCELLILDRSIDQIAPLIHEWTYDAMCHDLLKMEGNKYTYEVPSNSGGDPEMREVILDEEDPIWVELRDIHIADASERLHEKMTNFVSKNKAAQLKHSSKDFGDISTKDLTKVVHALPQYSEQIDKLSLHVEIARTINRTIMEQGLRELGKLEQDLVFGDAGRKDVIKFLSTNNVINQESKLRLMMILAAIYPKKFEGEKGRKMMELAKLSGDDVVAVNNLRLLGPVHTESKRNTTGSFSLKFDVLKKKRAARKDRVGETQTWQLSRFYPIVEELVEKLNKGHLPKQDYPCMNEPKPTFNSASQSPSGSPVLPHSRRTPTWARRHLSDDGYFSDSFLGRTSSGFKKKGQRIFVFIVGGATRSELRVCHKLTEKLDREVILGSSSFLDPQTFLTKMKQINEEEEISLDDIDI >A07p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10735680:10738438:1 gene:A07p017730.1_BraROA transcript:A07p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIHVARSKVLENPNQLLHQITPLTLYQPEKIETFGANSAAMSSVLQASSKRVEPVPGVARRSINRFRGNRLGHPMPFPPPNPPLPQQLNSGFTKSLAMTFVSEIGDKTFFAAAILAMRYPRRLVLAGCVSALIVMTILSATVGWAAPNLIPRKWTHHITTLLFFGFGLWSLWDGLKQGGGGGSEELAEVEAELDSDLKTNDKATKDKVEDENKKQKRPFLTQFFSPIFLKAFSINFFGEFGDKSQLATIGLAADENPFGVVLGGIVAQLLCTTAAVIGGKSLASQISERIVALSGGMLFIIFGIQSFCRES >A07p023830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13778236:13780120:-1 gene:A07p023830.1_BraROA transcript:A07p023830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIFSYGMLSSTFLVLSIILGSTTSGATSRVDLFEAAAKVKHEQWMARFHRVYSGESEKRNRFEIFRKNLELVQSFNMNKNATYKMDVNKFSDLTDEEFRAAYTGLVVPESINGLSKSESGKMLRFKYENVGDADESKDWRDEGAVTSVKDQDTCGACWAFAAVAAVEGVTKIKTGKLLSLAEQQLIDCDREHNNGCDGGLSVNAYEYIKNQGITTEQNYPYQRTQQTCLATTQSADLVAATISGYETVPMNNEEALLQAVSQQPVSVRIEASGVAFRHYSDGIFDGECGTHLHHAVTIVGYGMSEEGTKYWLVKNSWGQNWGEGGYMRIKRDVNTPEGMCGLAMHPSYPLG >A06p042890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23061054:23075851:-1 gene:A06p042890.1_BraROA transcript:A06p042890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPVHYLLRLHPDQEGDQKAVRAKLGKFGLQDEPTNHLDMQTIDALADALDGFKGGVVLVSHDSRLISRVCQDEEKSEIWVVKDGTVTFFQGTFEEYKEELKREIKAENHMASYSANTKREKKVFDTEDDVAKAMAEYTFNLSKKFCKKRGYFTIVLSGGDLVLWLKKLLVPEYADTEWSKWHVFWVDERVVPLDDKDSNYKQTLDSFLSEVPIPTSNIYAIDQNCAALGDAKGAAILYEECIKRLVNQNIIRTYKSSGFPQFDLQLLGMGPDGHMASLFPGHYQIKEKASLVTYITNSPKLPPKRITFTLPVINCASYNLMAVCGEAQADAVAKVFNDDFNLPSARLSADTQAIWYLDKAAASHFFVSMASSSSFLRSILFSSPTNFPSRSDSLSSFFPKNLTCSPPSTPSPLLSVSSIGSRSIRRVGDSRRKLSEARSMATTASQTGKEENKKRVEIFDTEENLAIDLAKYTADLSDKFCKERGAFTVVVSGGSLIKSLRKLVEVDSIDWSRWHFFWVDERVVPKHHEDSNYKLAYDNFLSKVPIPPGNVYAINDSLSAEAAADDYETCLKHLVKTNVLRVSDSTGFPKFDLMLLGMGPDGHVASLFPGHGLCNESKKWVASITDSPKPPSERITFTFPVINSSAHVALVVCGSGKAEPVQMALNKTGSVPAGSVSAEEELVWFLDKPASSKL >A05p041060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25000800:25002567:-1 gene:A05p041060.1_BraROA transcript:A05p041060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g18270 [Source:Projected from Arabidopsis thaliana (AT3G18270) UniProtKB/TrEMBL;Acc:Q94K39] MFSIATSSSSPLINSSFNFQNSPKTLIPVMSAASGFKTLTENFTVKVRKAENRELNVPLLSPFTIASSRLDSVGNVAIRIELSGGCVGWGEAPILPSVTAEDQPTAMAKAREACELLRELPEMKLGHVLEEIGGILPGHRFASVRAGVEMAMIDAAAKSVGVPLWKLFGGASNSITTDITIPIVSPAEASYLAAKYREEGFKTLKLKVGKNLKADIEVLQAIREVHPTCSFILDANEGYKTEEAVEVLQKLHEMKVTPVLFEQPVHRDNWEGLRHVTRVAKDRFGVSVAADESCRDLTDLKRIIKDDVVDVVNIKLAKSGVLEALEVIELARSSGIGLMIGGMVETRLAMGFSGHLAAGIGCFRFIDLDTPLLLAGDPVQGGYKATGAVYEFTDEGGHGGYLH >A05p006210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2448990:2450592:1 gene:A05p006210.1_BraROA transcript:A05p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKKSLLDDSFTSAMTLTNSGVPQVPDRYVLPPAQRPALGSSIGTRDDTTLPVIDLSLLHQPLLRSRAIHEINMACKEFGFFQVINHGIPSSVVKDALDAATQFFDLPVEEKMLLVSSDVHQPVRYGTSINHSTDKVHYWRDFIKHYSHPLSKWIDMWPSNPPCYKDKVGKYAEATHLLHKQLLEAISESLGLEKNYLQEQTEEGSQVIAVNCYPACPEPEVTLGMPPHSDFGSLTILLQSSEGLQIMDANKNWVCVPYIEGALIVQLGDQVEVMSNGIYKSVIHRVTVNKHVNRLSFASLHSLPLHKKISPAPELLDGNNAAAYGEFSFNDFLNYISSNDDFLQERFIDTLKKSSS >A01p026790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19311890:19313239:1 gene:A01p026790.1_BraROA transcript:A01p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVLISSTIVRPVNTIPSGQTKIHLTPFDLTNLHIDYPQRGLLFPKPNPDFHLISRLKASLSLALEIYFPFAGRLAKVENLEDNTVSFFVDCDGSGARFHHAEAKTISVSDLLQPDGSVPDIMKQFFPADDFKNCDGVTEPLLVIQVTEMKDGFFVGYCYNHLVADGVSMWGFINTWSKICSSGSSSGHKPLVLKRWFLEGIDYPIHIPVSEAERAPPSRELSSVPVTKDRVFHFTKKNISDLKSKANSEVGSSDITISSLQAVTAHMWRSIIRYSGLSGEGETHCKVVVDVRRRVDPPLEKDCFGNMIYIQPAIATVEELLDRGLGWGALQINKLVNSQTSENCKKFAEDWVRNVKNLKTGVGSRMVGDSVLVGSSPRFEVYINDFGWGKPIAVRAGPGNNINGKLVLFPGIDEGSIDVQTTLWSDVLVNLLADVEFLEHVTTMV >A05g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13048515:13050029:-1 gene:A05g504690.1_BraROA transcript:A05g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVTDHTRTVHGKGHHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVYGSVHGSVHGQSTGRASMLICVYTDQSTGEQSRSADMCGRMLYEFSGVHPRAVQQSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTDGISTRTVHGKGQHADMCGQHADMSSTRTFHTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADMCGQHADMSSVHGSVHGQSTGRASMLICVVSMLI >A06p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2280983:2281486:-1 gene:A06p006740.1_BraROA transcript:A06p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAGSGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHSNLLPSKVGKNKGDIGSASQEF >A06p055500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28975153:28978234:1 gene:A06p055500.1_BraROA transcript:A06p055500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKSSGDKSSLKPIRCKAAVCRKAGEPLVMEEILVAPPQPHEVRIRIICTALCHSDITFWKLEVPPACFPRILGHEAIGVVESVGENVTEVVVGDTVLPTFMADCGDCVDCKSPKSNLCSRLPFKVSPWMPRYENSSRFTDLNGNTLFHFLNVSSFSEYTVLDVAHVVKIDSSIPPSRACLLSCGVSTGVGAAWKTAQVEQGSTVVIFGLGSIGLAVAEGARLCGASRIIGVDINPTKFEVGQKFGITEFVNSMTCGNKRVSEVINEMTGGGADYCFECVGSSSLVQEAYACCRKGWGKTITLGVDKPGSEICLNSSDVLHNGKTLMGSLFGGLKAKSHIPLLLKRYMSKELELDKFVTHEMKFEDINDAFQLLLDGRCIRCVLWMG >A04p014150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5357354:5361844:-1 gene:A04p014150.1_BraROA transcript:A04p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKISSLEDFVKVHGILLAASGLPQKLYASLFRKLASDTLDGGAHFQIEPCDDARRRRLVLTSESMPKDSDVFLIDHAWTFRLPDAYKQLQEIPGLAERMGSLMCVATDLEGKDGVEEEEDAENYEQLSVDQTLESEIRSAADKGYDSLRWLELEGLGIDADTFLSLHLPSKFQDLLALSLFGNKLESADVVIQEVTKLKTLKALWLNDNPVLQESEGRLADEILKSCPSLEIYNSCFTPNYGLWALGFCGDVFGKDNPTDYAQQDQPLRNVTSLDLSNRSIHNLANKAFSVHELPLLSHLNIRGNPLDHNSVGELFQVLKLFPSLSSLEVDIPGPLGNSAVEILESLPNLSLLNGVDTAKIFENGKHVVDSMIQPRLPEPKPEDSLIDRVLGAMWLYVMNYRLADEEKIDETSLWYVMDELGSALGHSDEPNFKVAPFLFMPSGNLDSAVSYSIMWPIKSCHKGDECTRDFLSGIGEDKHRSARLTAWFHTPENYFIHEFEKYQQNQHVKAFKSLPLTPTISQSIRHNDGSPLLVHTDLPQVEEFLTRSEFVLTNEPKDADIIWTSMQVDEELKKAVGLTDDQYINQFPFEACLVMKHHLAETIQKAYGSPEWLQRTYNLETELTQFIGDYCVRKRDGLNNLWILKPWNMARTIDTSITDNLSAIIRMMETGPKICQKYIEHPALFKGKKFDLRYVVLLRSIDPLEIYLTDIFWVRLSNNPYSLEKHSFFEYETHFTVMNYGRKLNHKPTAEFVREFEQEHNVKWMDIHEKVKQVIRQVFEAAALVHPEMKSDKSRAMYGVDVMLDSSFQPKILEVTYCPDCMRACTYDMETINGKGIVKAKEFFNYVFGCLFLGETSHVTPL >A05p044220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26565684:26567395:1 gene:A05p044220.1_BraROA transcript:A05p044220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSAFRVSISRISSFRARQFSYPATSGLAHTKRIICSSSHSPSPSDTSSSSVSLMGTNENARWRPMCLYYTHGKCTKMDDTAHLEVFNHDCSKELPVTAADLEGKKPQEFDFFLVLDLEGKVEILEFPVLIVDARTMQVVDLFHRFVRPTKMSEQAINKYIEGKYGEVGVDRVWHDTAIPFKQVVEEFEGWLAEHGLWGKETDGALNDAAFVTCGNWDIKTKIPEQCVVANINLPQYFMEWINLKDVYLNFYGREARGMVSMMKQCGIRLMGSHHLGIDDTKNITRVVQRMLADGAVFKITARRSKSNMRNVEFLFKNRIK >A02p059960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35765530:35766236:1 gene:A02p059960.1_BraROA transcript:A02p059960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVLIHHPSENKVERIYWSVTASDVMKSNPGHYVAVVVTSPTLRNEKGSPLKQLKLLRPDDTLLIGHVYRLVSFEEVLNEFATKKCVKLGKLMKEGGGLELKKRKKHKQKTGQETGRINRQSDPSENVGNDTVAGEDGVDGFIIRRSQSGGRGGGGWRPALHSIPELGPS >A02p039750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:39203:40623:-1 gene:A02p039750.1_BraROA transcript:A02p039750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPAEEDDVLTIPKGPITRARARKLKEAIGGLIRKSLEQEELSNKEFKSSRVAQEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKFVDMKQ >A06p042460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:22841287:22843770:1 gene:A06p042460.1_BraROA transcript:A06p042460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVNLILTLILFFLSLLHLFSAAFTPPDNYLLNCGANTNASFSTRSFLGDSSKQASTFLSADRSISLSDQNPPPDSPLLYHTARIFPGGSSPSYKLHLTSNGTHFIRLHFAPFKASTFDLNSAKFSVLVNGFSVLTSFAANSVVVKEFILKIDSPVLEISFLPSKASTFAFVNAIEVFSAPKDYIIDQGTKRVVPNSAQIFSNLSSQVLETVHRINVGGSKLTPFNDSLWRTWLVDDDYLLLKGAAKRAWTTHSPNYQSGGATREIAPDNVYMTAQEMNRDSQELQARFNISWEFPVGSKRVLHLVRLHFCDIVSTSLNQLYFNVFINDYLAYRDVDLSALNFHVLASPLYIDFVAESDRDGAVRISVGPSDLSNPARANAILNGVEIMRIVNPVSSKVGYGKKHVVWIVAGSVLGSLVFLSLLVLLGLCLCRPKKKNRTKRSESTGWTPLRRFRNSSISRTTEGTVSSNGYQTLRISFAEIQSGTNNFDKSLVIGVGGFGMVFKGSLKDNTKVAVKRGVPGSRQGLPEFLSEITILSKIRHRHLVSLVGYCEEQSEMILVYEYMDKGPLKSHLYGSTNPPLSWKQRLEVCIGAARGLHYLHTGSSQGIIHRDIKSTNILLDNNYVAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLVREQVNLAEWAIAWQKKGMLDQIVDPNISDQIKPCSLKKFAETAEKCCADYGVDRPTIGDVLWNLEHVLQLQESGPLAEPGEACGDVNGSGTTARQGLSSDSNTERDSGDGTSGIIDSSQVFSQLMNNAGR >A04p002340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1116007:1118306:-1 gene:A04p002340.1_BraROA transcript:A04p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDYTTNIHSCSDSVNNRNGDGNGNQYQISQVWGTWEELLLTCAVNRHGSKDWDSVAKEVVSRSSLDASAPSCRLKYQDLKRRFRDSVDDDDGRGNSDGEIPWLEQLRSLRVAELRRDVQRCDESILSLQLKVKKLEEEKDGDDVRSINDETEPTRLNLETNESNSTASVDKIADHERLSRENSKNPDPNQKAATATTEEEEGTVSRRLGMSHSGELGESGTYTDHRKRGGHEYMSGEDNSIRRESGGNIKPNGNQSQPLIEIIKMIRSHPRGSVFESRLRSQETKDYKRVIRQHLDIKTIEKKMEKGCYVSSSLSFYRDLKLLFTNAIVFFPTSSSESKAAQELRTLVSNEMKKETGKSSHRVMKPEGGSLLSKQKSSAPPLVACKKKSSASKKTLPSSSSFKQKDEIQVSEEKDVTITAIATRRSRKTSKGMEVVAKDTKMGRAKNNNKKQTDSKADSSNDDDADDDKEKTPKIEKKRASEKKKSVAEFLKRIKNNSPQKGKETTSKKKVEVESTENKRSSKRKQLKKEAEEETAAATRKRGRELGKDNQQPKKRNKR >A09p082830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59832283:59835271:-1 gene:A09p082830.1_BraROA transcript:A09p082830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQSREPITIEDGIKLIDAAVTKLTRIIEGKPEPPFLPEEYIGNYTIVYNMCIQKPPHDSSGPLYEKYGAILQAYDKLTILPSIMEKHDEYMLRELSRWWEINKIMVRWLSHFFYYLDRYYIARNGIPSLTVVGMTCFRDHVYEKVHFNVKQVVIALIHKEREGQQIDRALLKNVLDLFVQNGMGNMERYEKDFEDFFLTETNSYYSRKASRWIQEDSCPEYMIKAEESLKKEKERVSHYLHSDTEPKLVANVQTNLLVSVAKQLLEKENSGCSALLRDDKTDDLSRMYRLYHAIPEGLEPVAVAFRLHVTAEGNSLIKQAEDAATSGIVEEQVLVRKIIDLHDKYMAYVTDCFQNHTLFHKSLKEAFEIFCNKKVAGSSSAEMLATFCDNLFKKAANDKSNDDSTIESTIDNVVKLLDYISDKDLFAEFYRKKLARRLLFGKFSDDHERSILTKLKGQFGGQFTSKMEGMVTDMQLGKDQQTGFEEYLKNANTGKPKGIDFTVTVLTTGFWPSYKTSDLNLPLEMVNCIESFKAHYEIKTNHRRLMWIYSLGNCNLTARFDAKPIELIVSTYQAAVLCAFNHTERLTYQELIDQLNLSHEDLVRVLHSLSCAKYKFLKKEPASKTISKTDSFEFNSKFTDKMRRIKVPLPPVDDRKKVVEDVDKDRRYAIDASLVRIMKSRKVLPHQQLVSECVEQLSRMFKPDIKMIKKRIEDLISRDYLERDTENPNTFKYVA >A07p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26105334:26108529:-1 gene:A07p049080.1_BraROA transcript:A07p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADTLTKKRKKKGRPSLIEVQKRALKQQQLLQSKLDESKEEVRSGFGNPNSAATRSSRRIVNPSEEEEEEDDDERRDKKQRLLHGLNSHDDRRESELDGDASSINRRKIGGAGSDVTGQKGSKATDILQRVSLVESGPVTPLPDKKLLLFILDRVQKKDTYGVYSDPVDPEEEDVFLICTNAMEYNSSDTIYHRQARAMLELAKKDFADLRQESDGEVEPVSQSQQLPKVVKRGRPPGSGLKKHSEPFLTDRTISESSADAAAAHTPGGDGSRLTGAYNLRRTPPSVRTNHYSENQSGLLIDWEKEFPPSVVKAVNKYGMKNVDENRRDSYFLDISPCSQEASVCTSLEDGLKQLTPVGLNTEYGYARSLARYAANLGPVAWRLASKRIETVLPSGTEFGPGWVGEKPEAPQQPKCSNDLASENIHSSKESKESASAARLLNQATVKSEPLINPESNGLLRGCRGVNQMLETAAFSRQGLLPNTKPELNRFQPDLNAKLVSPNSPVSNLQAGSSQQHPDLALQL >A06g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18781804:18782210:1 gene:A06g506760.1_BraROA transcript:A06g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSRTRALTNLSSGRRAPIHHLLSALSSFVQDRVDVRSRSPVVVALRRVTLTSASPRLKPMTAPSQVVLSAFAAIGINSHRRVSAPSLPARHRRQRYPTKT >A05p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8731314:8731754:-1 gene:A05p018730.1_BraROA transcript:A05p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEVFLCKYQLTFVFEKISKILEKTYCEITCLAHISLLQAPIISNKSDPQRIVSFNGSMNHKIFRIKILELRKKECKSIFRCIKSFKLVIHGGWCIDGNGNIVNT >A08p044740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24672610:24674071:1 gene:A08p044740.1_BraROA transcript:A08p044740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNPTKDSVPSQSGLVSKEKRAYFQRYWTWADVARALTVTIVHFWCLLAPFNYTWEALRFGLILVTLTNLLITFSYHRNLAHRSFKLPKWLEYPIAYAAVFALQGDPLDWVSIHRFHHQFTDSDRDPHSPKEGFLFSHVMWIFDTLYIKYKCGRRNNVMDLKQQWFYRFLRKTIGFHVLMFWTVLYLYGGLPYLTCGGGVGGVLGYHVTWLVNSACHICGSRSWKTKDTSRNVWWLSLFTMGESWHNNHHAFQSSARQGLEWWQIDITWYLIRLFEVLGLATDVKLPSEYQKQKLALTR >A02p020740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9739717:9740837:1 gene:A02p020740.1_BraROA transcript:A02p020740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT1G67100) UniProtKB/Swiss-Prot;Acc:Q9ZW96] MRMSCNGCRVLRKGCSENCSIRPCLQWIKSAESQANATVFLAKFYGRAGLMNLLSTGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWHLCQAAVEAVMRGSPVTPIACDAAVTGQAPPFSNNKLFDIRHVSRDENAVKSRCRSSRSGACKEGRNVRSSLSHESSLSQESAVVKGEGSMVSSEETTEEPSWIRLELTLGLEPSGARGSHVVVPIKKRKLEKSGTCDDDADTCKIELGLVCSE >A09g507210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:21238668:21238937:-1 gene:A09g507210.1_BraROA transcript:A09g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVLYLNENMPLPDFSPYTLGIGTFAPVLVDAASLVVSSASWSWSASSSANHSPYAYQGTDQPWGQTVETKNSLHIVSELEKPKTQT >A08p045890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25085993:25087549:-1 gene:A08p045890.1_BraROA transcript:A08p045890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 19 [Source:Projected from Arabidopsis thaliana (AT1G03905) UniProtKB/Swiss-Prot;Acc:Q3EDJ0] MAAKDQATTSDDAIRVSGMEFSYEAEDPIFFDFNLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNVVQVLSRSAFHDTQLVCSGDLSYLGGSWSKTVGSAGEVPLQGDFSAEHMIFGGLDLSRLFVFFVVLVEGIDPVRREKLIDLLDINLQWRMHKVSDGQKRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECDQRGATIVYATHIFDGLETWATHLAYIQNGELNRSSKMADISEMKTSPNLLSVVESWLRSETKIDKKKKEPVPAWKPTPFDNSPFRSSRHMAYYR >A05p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21254634:21256936:-1 gene:A05p037430.1_BraROA transcript:A05p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek6 [Source:Projected from Arabidopsis thaliana (AT3G20860) UniProtKB/Swiss-Prot;Acc:Q9LT35] MANKISETASSKMDDYEVVEQIGRGAFGSAFLVIHKSERRRYVVKKIRLAKQTERCKLAAIQEMSLISKLKNPYIVEYKDSWVEKDCVCIVTSYCEGGDMTQMIKRARGTFATEEKLCRWMVQLLLAIDYLHNNRVLHRDLKCSNIFLTKENEIRLGDFGLAKLLGKDDLASSIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEVAAHQPAFKAPDMAGLINKINRSSLSPLPVMYSSSLKRLIKSMLRKNPEHRPTAAELLRHPHLQPYLAQCQNLSPVFKPVISKSEHNTYENRTGETRLPPRTGTAKSTKTPMKHNQALKEEAEEKEKRNKNASSSSKDKEKPEKTQEMSLLSTLTLLREYQKKTPRSEERAEALESLLELCAGLLRQEKFDELEGVLKPFGDETVSSRETAIWLTKSLMNVKRKQNDDETNP >A06p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21372147:21375310:-1 gene:A06p039630.1_BraROA transcript:A06p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGYIFKFVTDYIMNLCLVKIFTDGNGIAYGVTRLVRPRGTHKATIVWLHDIGENGDDSARFVRELNLPNVQIREHIKWICPTAPRRPVSRLGGAVTTAWCDVTQVSENMEDDLASINTTCVLVTNLLAGEPAHVMKGVGGIGLGAAQGLYFASGYVAGGMGDMALNAVVGINGWLPAWTNFLYHIDSLTVSGTLAASLPILLTNENSMVPFQFGWLSEQSLSRAGIPVTFRPNDGRDPTAIGNWLKTYLQL >A05g503120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9278654:9278983:1 gene:A05g503120.1_BraROA transcript:A05g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTIEGEDSNTYQGLANATKAGMYETPHDALNRIPKRANTRFNRLRNLQKDGLYPSPQPEKKSQNQRKKASYTTARGTRPTPRCPDVSMDKTLTQRRLMTPENRLSI >A03p009830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3917740:3920084:1 gene:A03p009830.1_BraROA transcript:A03p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMMPPQQQGGYHHPQTLEEVRTLWIGDLQYWVDENYLTSCFSQTGELVSVKVIRNKITGQPEGYGFIEFVSHAAAERTLQTYNGTLMPGTEVAFRLNWASFGSGQKVDAGPDHSIFVGDLAPDVTDYLLHETFRVHYSSVRGAKVVTDPSTGRSKGYGFVKFADESERNKAMSEMNGLYCSTRPMRISVATPRKTVGVQQQYVTKAAYPVPVPVPSAVAAPAYVAQPAQVLAPENDITCTTVLIASLDPNVTEEELKKAFSPLGEVIYVNIPATKGYGYVQFKTRPSAEEAIQKMQGHVIGQQAIRISWSKNPGQDGYVTQADPSQWNGYYGYGQGYEAYAYGAAQDPSVYAYGGYGYPQYPQQGEATEEVTNSAAGGVAGAEQELYDPMATPDVEKLNAVSLSVHANAILGRLLWQRTSALSSQLGK >A02p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1044699:1045935:-1 gene:A02p002470.1_BraROA transcript:A02p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLLNPSLFFFFMFFRWSRSCNSNDKTTLLKIKKSLNNPQILNSWDPKTDCCTNWTGVVCTHRRITGLTISAGDVVGQIAEEIGDLTDLVILDLSSLSRLRGTIPRSITKLKNLVYLRFRITELSGPVPDYISQLQDVTFLDLSFNRFNGSIPGSISQMQRLETIQLSHNKLTGSIPESFGSFVGKGPKLYLGNNHLSGEIPKSLSKTNFNTVSLSGNNFSGDASMFFGHNKTTVRLDLSRNNFHFDLSKVKLAKSLVSLDLSHNRVFGELPLELTKLQLNQFNISFNRLCGSIPQGGLIQNFEVYEFSNNLCLCGAPLKRC >A07p049090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26110023:26112840:1 gene:A07p049090.1_BraROA transcript:A07p049090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 43 [Source:Projected from Arabidopsis thaliana (AT1G76390) UniProtKB/Swiss-Prot;Acc:Q9SFX2] MAGSCDGSQSDQSSTFEPGIDNIYEAFICPLTKKVMQDPVTLENGQTFEREAIENWFRECTQNGKPVLCPITSKQLSITDLSPSIALRDTIEEWRARNDCMKLDIARQSLYLGNAEANILLALKNVRDICRNIRLIKRRVRNPQLVRLVTDMLKSTSHEVRYKALQTLRVVVEGDEESKAIVAEGDTVRTIVKFLAKEPARGREAAVSLLFELSKSELLCEKIGSVHGAILLLVGLTSSKSENVSTVEKADLTLTNLERSEENVRQMASNGRLQPLLAKLRGGSPETKASMASYLGELALNNDVKVNVAQTVGSCLIDLMRSRDMRGAALGALNKISSFEGSAKVLIGTGLLPPLIKDLFYVGPNQLPIRLKEVSATILANIVNIGYEFDKVCVGAHHQTLVSEDVVENLLLLISNTGPEIQGKLLEVLVGLTSCPNSVINVVSAIRNSGAIISLVQFVEVHDNDDLRLAAIKLLHNISPHMSEELASVLRGTVGQLGNLVGIISESTTTITEDQAVAAALLAELPERDWGLTQRLLGDGAFEKIISKIILIRQGEIRGKRFERTFLEGLVKILARITFALTKETQAVSFCRENNLASLFLDLLQSYSQDNIQMASAIALENLSLETKNLIVLPELPPPSYCVSIFSCLSKPPVVLGMCKIHQGICSLRDSFCLVEGQAVDKLVDLLDHENDKVVGPALAALSTLLEDGLEVENAVKLIVEADGLTPILNVLLENRTENLRIRAVWMVERILRIEDIARELGEEQNVTAALVDAFQNADFKTKQVAENALRHIDKIPNFSGIFAPTLANK >A01p021040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10279339:10280068:-1 gene:A01p021040.1_BraROA transcript:A01p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSSERESVVRSGFGLFLPNFWALKSRGEEEDQSISETMARIELIDDEEEEKRKMKMKKRNHGSTKLFLLVDYLFIFIFFCFLCFIIFKVLGPRNLHRIYSNARDLSNTLYLFIERDNKDMTGRLVTDSFASSKCLFGDSFEVMVVALVELDLLLSSDRKL >A03p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2657477:2665005:1 gene:A03p006280.1_BraROA transcript:A03p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKMKVPCCSVCHTRYNEDERVPLLLQCGHGFCKDCLSKMFSSSSDTSLACPRCRHVSVVGNSVQGLRKNFAMLALVGGGNFDCDYTDSDEDEDDEEDDRYAASSSRGGDKSSSCGPVIEVGAHPEMKLVKRIGEEGSGGGGVEMWDATVAGGGGRCKHRVAVKKMCLTEDMDVDWMQGQLESLRKASMWCRNVCTFHGVVKMDGSMCLLMDRCFGSVQSEMQRNEGRLTLEQILRYGADVARGVAELHAAGVICMNIKPSNLLLDASGNAVVSDYGLAPILKKPICQKTRPEFDSSKITPYTDCVTLSPHYTAPEAWGPVKKLFWEDASGVSPESDAWSFGCTLVEMCTGSTPWDGLSREEIFQAVVKARKVPPQYERIVGVGIPRELWKMIGECLQFKPSKRPTFNAMLATFLRHLQEIPRSPSASPDNGFIKVCGVNVVEEPRATNMGVLPDNPINLHRVVLEGDSEGVRNILAKAATGSGGSSVRYLLEAQNADGQSALHLACRRGSVELVEAILEYGEANVDIVDKDGDPPLVFALAAGSPQCVHVLIKKGANVRSRLREGSGPSVAHVCSYHGQPDCMRELLVAGADPNAVDDEGETVLHRAVTKKYTDCAIVILENGGSRSMAVSNGKGLTPLHMCVSTWNVAVIKRWVEVSSPEEISQAINIPSPVGTALCMAAAIRKDHEKEGRELVQILLAAGADPTAQDTQHGRTALHTAAMANNVELVRVILDAGVNANIRNVHNTIPLHMALARGANACVSLLLESGSDCNIQDDEGDNAFHIAADAAKMIRENLDWLIVMLKRPDAAVDFPVYGNNLYNLLNSGKTVRDFLEALPREWISEDLMEALLKRGVHLSPTIYEIGDWVKFKRGITTPLHGWQGAKPKSVGFVQTILEKEDMIVAFCSGEARVLASEVVKLIPLDRGQHVRLRKDVKEPRFGWRGQSRDSVGTVLCVDEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRQNLTSAKHGFGSVVPGSMGIVYCVRPDSSLLVELSYLPNPWHCEPEEVEPATPFRIGDRVCVKRSVAEPRYAWGGETHHSVGKISEIENDGLLIIEIPNRPIPWQADPSDMEKIDDFKVGDWVRVKASVSSPKYGWEDITRNSIGVMHSLDEDGDVGIAFCFRSKPFSCSVTDVEKVVPFHVGQEIHMIPSIAQPRLGWSNETPATIGKIMRIDMDGTLSAQVTGRQTLWKVSPGDAEMLSGFEVGDWVRSKPSLGSRPSYDWFSVGRDSIAVVHSIQEAGYLELACCFRKGRWSTHYTDLEKIPSLKAGQFVRFQKGLTEPRWGWRGAKPDSRGIITTVHADGEVRVAFFGLPGLWKGDPADLEVERMFEVGEWVRLKEGVASWKSIGPGSVGVVHGVGYEGDEWDGTISVSFCGEQERWTGSFTHLEKAKKLVVGQKTRVKLAVKQPRFGWSGHSHGSVGTIAAIDADGKLRIYTPAGSKTWMLDPSEVETIEEEELKIGDWVRVKPSITTPTYQWGEVNPSSIGVVHRMEDGDLWVSFCFLDRLWLCKAVEMERIRPFGIGDKVKIKNGLVTPRWGWGMETHASKGHVVGVDANGKLRIKFLWREGRPWIGDPADIVLDEPSG >A02p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4821893:4828248:-1 gene:A02p011140.1_BraROA transcript:A02p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSHEKAVQAILYGQSCAKRLKLQLDHPMADGRSVSNYDLAKSIVHCFSSAISIFSDKPKSEDNLFSDLSSRDSSSSSPPPPLPQRSHSKKRKNNNTNSSENWRHDSPDPNYYDGFLWRKYGQKTIKQSKHQRSYYRCSYNIDHACGARKHEQKIKDNPPVYRTTYFGHHTCNINHNQDAGLTTVGDSVNDLENSRMIWFGEDLDQEKESHTSGLSVSVKHEEGSIKEETMDQSRHRGITSHDKDCQNVIKENHQPSPSGSYTPPSSSGSEIDMFDSDLLVEDLDLWDRYDMSYYRCSYNIDYACGAKKHEQKIKDNPPVYRTTYFGHHTCRINQNQDAGFTTIGDPVDNLENSRMIRFGQDLDQETIGLSVSVKDEKGIIKEETMDQCRGITGNDKDCQTVIKENHQSSPSGSYTPSSSGSEIDMFDSDLLVEDLDLWDRYDLYDF >A03g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9814884:9816841:1 gene:A03g503130.1_BraROA transcript:A03g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 28 [Source:Projected from Arabidopsis thaliana (AT2G40150) UniProtKB/Swiss-Prot;Acc:Q94K00] MKQMRKSNLSIFAVVFSLFLVGIIMYNDNVKSIAYLTSSSPFSSLFEDGGAVELPPEECDLFTGKWVFDNKTHPLYKEEQCEFLTEQVTCLRNGRKDSLFQNWRWQPRDCSLPKFKARVLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNQTGSLTTFQIQDYNATVEFYWAPFLVESNSDDPRKHSIIDRIIMPESIEKHAVNWKDVDFLVFNSYIWWMNTVSIKVLRGSFDEGDTEYDEIRRPIAYERVLRTWGDWVDHHIDPLRTTVFFMSMSPLHIKSSDWGNPDGILCALETTPILNKSMVFNVGTNYRLFSEIGTDYRLFSSVENVTQSMKVPIRFLNITALSEYRKDAHTSVYTIKQGKLLTQEQQNDPATYADCIHWCLPGLPDTWNEILYTHIISKSS >A05p050570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29416380:29417027:1 gene:A05p050570.1_BraROA transcript:A05p050570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESESKIETTVGMTSPAASSVATTSVHVSALDGLVNVNSLFTIAVFVGLSLATPGQRSLEQRSNCDASDDVAKKLLVFEVVSFSFFLFSSLVAQGLKLALNLLNSKDVDEIFRAHINIKVLRWGMMASAVGSVMGCLFLMLSMVNVIQIRLGLLSCGSQSAVQAVVTLVTLVSSALLVYISTAFYAFWH >A04p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3586326:3589620:1 gene:A04p006740.1_BraROA transcript:A04p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKWVYMVTAQTPTNIAVIKYWGKRDEVRILPVNDSISVTLDPDHLCTVTTVAVSPSFDRDRMWLNGKEISLSGSRYQNCLREIRGRAGDVEDKEKGVKIDKKDWEKLHLHIASHNNFPTAAGLASSAAGFACLVFSLAKLMNVDEDASHLSAIARQGSGSACRSLFGGFVKWEMGSKDDGSDSIAVQLADEKHWNDLVIIIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRTLQMEEAIKNKDFASFTQLSCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNRSEGTPQVAYTFDAGPNAVLIARNRKVAVQLLQGLLYYFPPKSDTDMKSYVVGDNSILKEAGLDGANSVENLQPPPEIKDNIGSQDQKGEVSYFICTKPGRGPVVLPDQTQALLDPETGLPK >A02p036000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20530888:20532884:-1 gene:A02p036000.1_BraROA transcript:A02p036000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKGPRKKGQPSIKHSCNFAEAKRKLSKISDHEHVEYHPQRHTRPRGSSKLSQMLSRDALISAVDLMWDRSDSTGSPAEQKANKSQLVRVSEKMCYRQSSEARWERDKLYSWMEGVAPSITRLHNGDAEIEKIESCCAEEGHSTPRSPLLEPSSLSILEGDRKDKENEACSSFAKHGHAFAGALAGVSVSLCLHPLDTVKTMIQSCRFQDKSLCYTGRSIISERGVSGLYRGIASNIASSAPISALYTFTYESVKGALLPVFPKEYCSLAHCVAGGSASVATSFIFTPSERIKQQMQVSSHYRNCWTALVGIIQKGGLLSLYAGWSAVLCRNIPHSIIKFYVYENMKRMVLPSIGPCGQAAQPTTLQTLVCGGLAGSAAAFFTTPFDVVKTRLQTQIPGSKTQHPSVYQALQSIRKREGPRGLYRGLIPRLVMYMSQGAIFFASYEFYKSILSLEDLSARWHKTKDGDNPNPL >A09g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12943755:12944641:1 gene:A09g504130.1_BraROA transcript:A09g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERPSKSVAEFVSVHHSRLWICTAVSCESASLASENVSRGPYPDGVFCFCNYDHLILMASTVLRLMYQIRQTLMCSLLSYRHGIAHPIYTSQGSQNLRDDKGTKEDRINEDSNFNGILFRADYYVR >A07p021570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12626133:12626509:1 gene:A07p021570.1_BraROA transcript:A07p021570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGEVCSTCPTALRVQWVKWVYTFSVSNDGTVCQWIPPRVKGDAILNELVLLVASRFEPLFSPGTRGNWGLTLLIHFPWAIDATLTPMCL >A10p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21092539:21093549:1 gene:A10p037370.1_BraROA transcript:A10p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >A10g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12878957:12880243:1 gene:A10g505170.1_BraROA transcript:A10g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVGDKAVNQLQKSVNSNLEAAIAVNVSIENKEAAEKIHQLYTITRVINGVATLLSGPREKQVKQLGAISTIKLPKNWLKSQNFQEQCIFSVIEHLAVHFSQWSFHMSFSELAIIPIMRLNKFGERTTLEELKLVVKRFIKQGELNIEFFLVKVEY >A02p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17782491:17784834:-1 gene:A02p033400.1_BraROA transcript:A02p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSAKTEVRRNRYKVAVDAEEGRRRREDHMVEIRKNKREENLQKKRREGISAAPQSGQVDLPSAKKLIENLPEMVAGIWSEDANLQLETTTLLRKLLSLEQNPPINDVVGSGVVPRVVTFLSRDDFPKLQFEAAWALTNIASGTSENTNVIIQSGAIPIFIHLLTSPNEEVREQAVWALGNVAGDSPKCRDLVLSLGAMLPLLSQFTEQTKLSMLRNATWTLSNFCRGKPQPSFEQTSPALPVLKKLVQSTDEEILTDACWALSYLSDSSNDKIQAVIDAGVIPRLIDLLAHSAPAVLIPALRTIGNIVTGDDTQTQTVLDHQVLPRLLHLLTNPYKKSIKKEACWTISNITAGCSQQIQGVIEAGIIQSLVGVLHNAEFEVKKEAAWGISNATSGGTHDQIKFLVSQGCIKPLCDLLTCPDPRILTVCLEALENILVVGEAMKSLGHTGEDNPYASMIDEAEGLEKIENLQSHDNNDIYQKAVKILETFWTEDDDEEGCNDENHAPQAGFQFGSANVAAAPGQFNFM >A05p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13852202:13852549:-1 gene:A05p026070.1_BraROA transcript:A05p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVDTSWTSTTQFSGCVWVWKDMFGKTQLLETWNLSCRETALHSEMGYGEHASVFDIIGSEKDDAYMFPGFQDLSYHKSAEWNFRFFS >A09p060500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50166572:50169266:1 gene:A09p060500.1_BraROA transcript:A09p060500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) UniProtKB/Swiss-Prot;Acc:Q8VZ40] MGSTNGSDEELMSRLVDSVKQISKFSNSKGFFGRIQGDLVRRITLLSPFFEELIDINAELNEEQQLTGFEVMRIALDSSLELFRSVNGGSKLLQIVHRGPVMQKFHDVTVEIEAALTQIPYDHFEVSEEVIEQVKLLHSQFKRATERQEDYDLQLSHDLAVAESVVDPDPETLKRLSQELQLSTIDELKKESHAIHEYFLSYEGDPDDCFQRMSSLLKKLVDCASTESSEPDASTGSKVISRHRSPVIPEYFRCPISLELMKDPVIVSTGQTYERSSIQKWLDAGHKTCPKSQETLLHSGLTPNYVLKSLIALWCESNGIELPQNQGSSYRTTRPGGGSSSSDCDRAFVLALLEKLANGSTEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSPDPRTQEHSVTALLNLSINEGNKGAIVDAGAITDIVEVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAIQALISLLEEGTRRGKKDAATAIFNLCIYQGNKSRAIKGGIVDPLTRLLKDAGGGMVDEALAILAILSTNQEGKAAIGEADTIPVLVEIIRTGSPRNRENAAAILWYLCIGNMERLNVAREVGADVALKELTENGTDRAKRKAASLLEIIQQLEGVVISTVP >A08p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21330076:21332578:1 gene:A08p036300.1_BraROA transcript:A08p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSSLLSRSLFSSSSLFSLRGKDPLMSRGARRYSNLASASLEDTITPPVKVEHTQLLINGKFVDSASGKTFPTLDPRTGEVIAQVAEGDVEDVNRAVVAARKAFDQGPWPRMTAYERSKILFRFADLIEKHNDEIAALETWDNGKPYEQSANIEVPMLARVFRYYAGWADKIHGMTVPGDGSHHVQTLHEPIGVAGQIIPWNFPLLMLSWKLGPALACGNTVVLKTAEQTPLSALLVGRLLHEAGLPEGVVNIVSGFGPTAGAAIASHMDIDKVAFTGSTDVGKIILELASKSNLKAVTLELGGKSPFIVCEDADVDQAVEMAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARAINRAVGDPFKSGIEQGPQVDSEQFEKILKYIRHGVDSGATLQAGGDRHGSKGYYIQPTVFSDVKDDMLIAKDEIFGPVQTILKFKNLDEVIARANNSRYGLAAGVFTQNLDTANRLMRALRVGSVWINCFDVFDATIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTSIKNPAWL >A04p004360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2162340:2163041:-1 gene:A04p004360.1_BraROA transcript:A04p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDGLASVEPWSFRQSFNIDSWLLPDHDSDILAKALHRSISTSTPTDPFSPSAYFDSAAVSDLSPPQTLSNVSFASDPDISGAGGGKRKRGPGVAGDKPAKRRSRVSPKKSQTTFITADAANFRQMVQQVTGAKFIGSSSHVIFPPIVKPEPHRLASRLPPPSSSAVPTLDTSSFLSNHHQENMVNDFSSVSAPASSFFQSSTVKAGVSGGGGSAVELDSYFPTLESWKVM >A09g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20608937:20610339:-1 gene:A09g507030.1_BraROA transcript:A09g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILMPARVFLLSGSSETRVSAEEFGFLKETCDRYGEHGPVLHAKCIDCFKTLPLASDFADNVSTQIMAASFKAPLGVYRREVGENDDGNITLNHVLWSCPWMADGLSESNYKGLLWGAGCTESFLKQSNLKGPDAKSDREEMGNMLCGYSVDHGVESGELYTIFSASHLSQVTSLKQREILKSLALQDFMFSEWFESCRFRLDGVPLPADQSNVCSSDGMILLMNCAHNMVHGTDV >A07p022920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:13333379:13334536:1 gene:A07p022920.1_BraROA transcript:A07p022920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCGLFSPLMNCIKPSSNKNNSPRSKYSSDLKYYTSSCQQDSDLKTFDSSLHKRTNSVMKLLADQAKSQSISQGSLMEVYEFMLDLNRDVVKEIIESREDVRNNKDLTSLVDVYFKNTSKTLDFCNTVQNCVKIAENSRLIIRYAVKQFEAESEDANKNKYAKTLEELNKFKAVGDPFDGEFLTQYDSVYEEQVLLLDELGKLRAKLDKKQRNLKTWRRLSNVVFITAFVSVLLLSVAAAALSAPIVLTAVAAGLTPSIEVVGKWSNKMWKKYEKAVKRQRGLVSTVETGVRVNRIATDSIKLEVDNLRIRLKFILETVDFAVERGEDEEATRLAMQEIKKKVEGLTEKIKEVGQHTDRFSKVISSERRLVLQHILSLPANSLS >A06p008980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3148084:3149115:-1 gene:A06p008980.1_BraROA transcript:A06p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIKPVLISTGVVAVAMQLKIIVPVVALDFSRAPILFSSFLSWLKPPYLYVITNVIIVMIGFSSMYYRIITNPDGKDHEGSYSGDHKFHNHEQNVHRAQPRRSETAKHEDFSFVAETQPSKKENETPKVVTEKLAEPAAKVEEEKKCLVVVAKPENLTPVEKPLVSARIGQRKMVKTTTAERNSLKALRVAKPKRQETLENTWKMITEGKPLTSYYRRPDTFGLGVEDSNKTKPFGLKKAETLTDRTKYYLSPAVSRSRNELNVRAEAFIKKCNDERFESMRQDTEVTRRGLSF >A08p036850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21558629:21559492:1 gene:A08p036850.1_BraROA transcript:A08p036850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSDDVNSKWDACLDLTARRVVYSSLGGAFAGLLFFRSPVTRWASIAFGAGLGIGSAYTDCSRVFDASSSSSASAALVAPISTEPSSSSSVSQAEEE >A09p018640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9825399:9829996:1 gene:A09p018640.1_BraROA transcript:A09p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKMSSRTEVCMLLLLAFCQICYVSARTNGLDASVLQALKSEWTRFPENWKGCDPCGTNWVGITCNNNNHVISISLGNLNLEGKLSADISSLAELQILDLTSNSKLSGSLPSNIGNLRKLTTLNLMECGFSGEIPESIGSLDQLITLSLNSNKFSGTIPSSIGRLSKLNWFDIADNQIEGTIPVSNGTSSPGLDMLLETKHFHFGNNKLSGDIPEKLFSSNMTLIHVLFDGNQFTGEIPNSLSLLTNLTVLRLDRNKLTGDIPSSFNNLTRLKELYLADNRLTGSLPNLSSLKSLYTLDVSNNQLTFSLIPSWISTLGSLSTLRMEGIQLEGPIPIFLFTPTLLQTVVLKRNQLDATLDFGTNYSNQLESVDLQDNEITNYKLAANKGIQVILADNPVCREAGNQQSDFCKEIQRPSTDFSVPQINCSPCGQGREPSPACRCVYPITGKFIFRSPSFSGFSNNTNFIMLQQGIEDFFRNPSYQVDSVAIRNFKETATGHRLLVDLLLFPLDKESFNQTEMNSAISAFSTHTYNPPSIFGPYIFRADQYRPFSGGSISINIGIVIGAVVGAVVLVMLLTIAGIYGLRQKKRAEKATGQNNPFAKWNQSTSSVEAPQLTGAKAFTFEELRKCTDNFSEANDVGGGGYGKVYKGILPSGKLLAIKRAQQGSSQGELEFKTEIELLSRVHHKNVVKLLGFCFDRSEQMLVYEYIPNGSLTDGLSGKNGIRLDWTRRLKIALGSSKGLAYLHEPADPPIIHRDIKSNNILLDENLNAKVADFGLSKLVGDPEKNHVTTQVKGTMGYLDPEYYMTNQLTEKTDVYGFGVVMLELLTGKSPIVGGKYVVKEVKAKMDKSKNLYDLQELVATTIIANSENLNGFEKYVDLALRCVDGEGVNRPSMGEVVKEIENIMQLAGLNPYIDSATNSRTYEEASKGSGDPYG >A03p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2883663:2886989:-1 gene:A03p006930.1_BraROA transcript:A03p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:Projected from Arabidopsis thaliana (AT5G14520) UniProtKB/Swiss-Prot;Acc:Q9LYK7] MPKHYRPAGKKKEGNAARYMTRSQALKSLQVNLNLFRRLCIVKGIFPREPKKKVKGNHHTYYHVKDISFLQHEPLLEKFREIKTYQKKVQKAKAKKNEELARLLLTRQPTYKLDRLIRERYPTFIDALRDLDDCLTMVHLFAVLPASDRENLEVKRVHNCRRLSHEWQAYISRTHALRKVFVSVKGIYYQAELEGQKITWLTPHAIQQVFTNDVDFGVLLTFLEFYETLLAFTNFKLYHSLNVKYPPILDSRLEALAADLYALSRYIDASSRGMTVEPKVDASSSSQSNDRAESELRLAQLQHQLPSSEPGALMHLVADKEVEEHEETRACKSLFKDLKFFLSREVPRESLLFVLPAFGGTVSWEGEGAPFKEDDESITHHIIDKPSAGHMYLSREYVQPQWIYDCVNARIILPTEKYLVGRIPPPHLSPFVDNEAEGYVPDYAETIKRLQAAARNDVLPFPGVGKEDLEDPQNLLYAGVMSRTEEAKAAENKKTMAEREKQYHEELKKELKKEVTGSVVDDVAAPVVEEGEGEESSVPDAAQIAQDTADMPTLMMSRKKRKLYDAMKIGQKKKSESVERIKQRKKNLKADQ >A06p042480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22845992:22848430:-1 gene:A06p042480.1_BraROA transcript:A06p042480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSNCVQIELEEELPTLLRTATTEEMVGFDNYKDNNLPLPRFISRFHPYHASTTTLNGQEAARSSMEAHRSYIEDLKETAPWMQINHQRKPSLSMPTSPNILMISDPTSTSSDNNNTVSTGKSVKFISQPMAKVSSLYIGSGNDDDDGRRHDNHHHQQNQQQSGQLQNQNPGMHKLKDHRYTSFKTWSGKLERQFTRKPAAIEPETPNRPKENINTNEAMPVDRYYDALEGPELETLRPLEEIVLPSEQTWPFLLRYPISTFGMCLGVSSQAIMWKTLATAEPTKFLHVPLWINQALWFISVALVFTIAIIYLLKIILYFEAVRREYYHPIRINFFFAPFISLLFLALGVPPSVVTELPQFLWYLLMFPFICLELKIYGQWMSGGQRRLSRVANPTNHLSIVGNFVGALLGASMGLREGPMFFYAVGMAHYLVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWAKITGSFDYGSKVCYFIAIFLYFSLAVRINFFRGIKFSLSWWAYTFPMTGAAIATIRYATVVRSTMTQVMCVILCAIATLVVSALLVTTIIHVFVLGDLFPNDYAIAISNRPRRKQTSHHRWLDQLRNVSSENIENFLKFTDSDSSQSNDLEAGNGKIQENDSA >A06g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12197658:12199697:1 gene:A06g503990.1_BraROA transcript:A06g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVQHSDKNFGYGEPEATTHYERLITSKVTLRGVVSTFPAAWNPELHNIRDVVERPHRREKLVSSPACGCNFRKNNLYEVIFTKKYSLGFKPNDRPARLLRSDRARAKARSLRSDRVIVPLGRYVATELSQDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELEPKIGRYVATERSSRSRPRDRPARSLRSDRARAKARSLRSDRAIVLLGCYVATELKPKIGRYVATEHSFRSRLNLSEHRYDTSPCILKPRKTRSKRLEPEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNCEDRENGISPFLCYDGLRAEDCDSIRFSRLRVARTRNLADSSRAQAYTLL >A03p021350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9079897:9081121:1 gene:A03p021350.1_BraROA transcript:A03p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPTKLLLLLLLIPSLTPYHSGLSSHRLKPLEKSDWRDFPSSIVVVFQPSGRCLVCKLQPIKLDMSSVCGKLDFKDADFDISTSSPPTQCCNGSKHSSHAGSEESQESDGDETGYIHQTENEDSVTKDLGKSTLESLPSDDENKDDNVNIQTPVVLIPAIKGSREKHGLSLKKSSVSWAEDVYDPPPSIASHTRNKKQQQHKSKSKDNHRKTGKKGQKNSSSRSGKDKKQAARKHSREKFDWVTQMPIVAASS >A03p056080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24244131:24251594:1 gene:A03p056080.1_BraROA transcript:A03p056080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVSGGVVSGLGKGVTASSIGLILKSCGFRVTAIKIDPYLNIDAGTMSPIEHGEVFVLDDGGEVDLDLGNYERFMDIKLTSENNITTGKVYKHVLEKERKGDYLGKTVQVVPQITDAIQEWIERAARIPVDGQSGPADVCVIELGGTIGDIESMPFINALGQFSYRVGTENFCLIHVSLVPVLNVVVAYQKTKPTQHSVRDLRGLGLSPNILACRSAKPLEDNVKAKLSQFCQVPMENVVTLYDCPNIWHIPLLLKEQKAHEAILRVLNLTGVAKEPALEEWSLMAKMSDKLHVPVRIAVVGKYTELLDSYLSIHKALLHASVARRKKLVIDWISASDLELGAKKENPDAYKAVWKLLKGADGILVPGGFGNRGVQGKILAAKYARENKVPYLGICLGMQLAVIEYARTVLGLSDANSTELDPNTKSPCVVFMPEGSKTHMGGTMRLGSRRTYFQAKDSKSAKLYGNRSFVDERHRHRYEVNPAMVPRLESAGLTFPGKDESGQRMEIIELPNHPFYVGAQFHPEYKSRPGKPSPLFLGLIGAACGELDNVLQQSCQETAVSRPQSNGKLERVYLKGAAKKPKPRPDSFASLIASLHHDSLDFSLLKLEDKILTMSCTVWWEGAEKTRVLIASDSGCVGNKPGELLTLRHPKSENGTCYLFSNEMLQEIQWFKQSYGSWFLGDYISQDGSLYMATPIDPVFILLPIFDEARMKKGEDPGKFRQLDEILFVEGYPEYQHLLPLAEKSMQIVCQTQEVGSMKFYRLDNSKVLAWLTCKVSCLKKTLPELDKNYAAQGEKQTLVDAVSIVGEYLKTEPWLKLLYDHLGLEFVDPTMKETNTENLPNANENKMEYSNSSQEKANKKTGKPGKQTKQAKVETGSKNIRDMFSRACKKKC >A06p012500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5716971:5717402:1 gene:A06p012500.1_BraROA transcript:A06p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLISSSPFRFHPLTTSHRIATITKRRKQTTVCCDYYYQGGRVVDENMVVLRKRIHEMKMVERNYEPPSHWMQWEKRFYCSYDATICDALCILQTFLMNSRPSVAFGTLFLIFVSVPVSTAFFAFRIFDIVLWLMSAIHAG >A03p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14639676:14641575:1 gene:A03p034750.1_BraROA transcript:A03p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQWRLILLRSYRSSFCSRFQVISNSTRSLTSLLHERSTFQPPQQSQCLYSVNRSFSSKPVIEEKPSPQAIVIDVFTRLNTKDEINKELDSNGIVISHDLALKALRSLESTPDVAQRLFLWVSEASPKKLSSKSYNTMLRILGVNGLVDEFWSLVDDMKKRGHGVSANVRDKVGVKFQEDGLESDLNRLKELFASGSMDNSVDKVCNRVCKIVMKDQWNAELEKQLRDLKLEFKSDLVKMVVEKLDVEPRKALLFFRWIDECGGFKHDEKTYNAMARVLGKEKFLDRFRNVIEEIRSGGYEMEMETYVRVSARFCQSRMVREAVELFEFAMAGRNTPTAHCCCLLLKKIVTTKKLDMDLFSRTVKAYTCNGNVMTDQMLQSVIKSLRSVDRFEQSNEVLKAMKEGGYIPSGDLQSVIASGLSRKGKKDEANELVDFMEASGDHLDDKAMASLVEGHCDANNLEEASECFKKMIGKEGVSYAGYAFEKLVLAYCNSFQARDAYKLFTELVKQNQLKPWHSTYKIMVRNLLMKKVARDGGFEEALSLLPMMRDHGFPPFVDPFLDYLANSGTGAEAFAFLKALTSKKFPSNLMVMRLFEAMLKSARHSEAQDLLSLCPIP >A08p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25014553:25016509:1 gene:A08p045720.1_BraROA transcript:A08p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLLVHGLLLFFFFFLISSVVAGDENDGGLSICNCDDEDSYFSYEGILESQKVGDFLIAVAYFSIPIELLYFVSRTNVSSPYIWVVCEFIAFIVLCGMSHLLSGFTYGPHYPWVMTAATVFKMLTAIVSFLTAISLVTLLPLLLKAKVREFMLSKKTRELNREVGLIMKQTETSLHVRMLTTKIRTSLDRHTILYTTLVELSKTLGLKNCAVWIPNEIKTEMNLTHELNGENVGRGPGGGPSGGPGGFSIPITESDVVRIKRSVEVNMLSAGSALASVTTRGKTGQTVGIRVPMLRVCNFKGGTPEAIHMCYAILVCVLPLRRSWSYQELEIVKVVADQVAVAISHAVILEESQLMREKLAEQNRALQVARENAMRANQAKAAFEEMMGDAMRRPVRSILELLPLITQDGVSLPETQKVIVDAMGRTSELLLHLVNNAGDVASGTHCFSLRSVVKETACLARCLCLGNGFGFTTDVDRALPDCVVGDARKVLQVVLHMLGGVMNRKIKGNVSFKVVPERGSSEVVKESQEAAWRQCYSKEYVEVKFGFDVAAEGEESSSSSSSTKFMQGNVLVVEDGQGLVKSLSVVFRFQLRRSIVSRGGGYSGETFKTSTPPSTSNGHWRQ >A01p053920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29772628:29773614:1 gene:A01p053920.1_BraROA transcript:A01p053920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVNLEGKSYSCRHCNTNIALCSDVVSKSFQSRHGKAYLFRKVANVYAGEKEDRMMMTGMHTVVDIYCVKCGSYLGWRYVCGPDENNYLVVAQEVEAGETDTDE >SC170g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:124359:126773:-1 gene:SC170g500040.1_BraROA transcript:SC170g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A07p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11223877:11227190:1 gene:A07p018730.1_BraROA transcript:A07p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucomannan 4-beta-mannosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT1G24070) UniProtKB/Swiss-Prot;Acc:Q9LR87] MALFLKPLIIFLHDSWLALLSLLKNYGWSSEEAAGVPKRLQASIKTTWGRQLRSSLMVPLFKCLVAFCLIISLLVFIEGVYMNLVVLYVKLFKRKPEKVYKWEPMQEDIELGDESYPMVLVQIPMYNEKEVLRLSIGAACRLTWPLDRLIVQVLDDSTDETIKELVNTECAKWESKGVNIKCERRDNRNGYKAGALKQGMKHNYVKLCNYVAIFDADFQPEADYLQRSVPFLVHNPNVALVQARWRFMNANKCLMTRIQEMSLNYHFMAEQESGSTRHAFFSFNGTAGVWRMAAMEEAGGWNDRTTVEDMDLAVRAGLLGWKFVFLHDLTVKSELPSKFKAFRFQQHRWSCGPANLFRKMIMEIIRNKRVTLWKKLYLIYSFFFLRKILVHFFTFFFYCVVLPTSVFFPEVNIPAWSTFFIPSLITLFIVIATPRSFYLVIFWVLFENVMSMHRTKGTFIGILEGGRVNEWVVTEKLGDALKTKLLPQIGKPRNGCFESINSKEIMMGIYILCCACYGLFSGDTLLYLYLFMQAVAFLVSGVGFVGT >A10p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16061601:16064267:1 gene:A10p024890.1_BraROA transcript:A10p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKIEEIQSTAKKQRIATHTHIKGLGLEVLLLPTLYRSNFPTGIPIPLAAGFVGQLEAREAAGLVVDMIKQKKMAGKALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKDVYEGEVTELSPEETESLTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLQDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGVCNVRGTDMPSPHGVPIDLLDRLVIIRTQIYNPSEMIQIIAIRAQVEELTVDEECLVLLGDIGQRTSLRHAVQLLSPASIVAKMNGRDNICKADIEEVTSLYLDAKSSAKLLHEQQEKYIS >A09g514120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42545276:42548520:1 gene:A09g514120.1_BraROA transcript:A09g514120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIVCTMEKCYKKGEAQMVVKLTGVLLLNIASSGVPIQEGAQTKTEHSWLCEEEGYSIKAASIVRRVIAIREEEEVRIQVATTTPHHLKQGITSLCKGFYKDHQPDQDSGVSRQEAVQSSLGEYHCLSLTKGVPGHFLASLSFSKMAEKSVERGRLQTGSMKREKMEVWCKDRAKSRRDLEEYLGECANLGTGCTDRTDPYGPRHPESSPVNHLSTFEVEKKTKERLASDRLERRVSCCKVKAKGDHVSSKRSGQIHGSL >A10p022560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14864662:14866113:-1 gene:A10p022560.1_BraROA transcript:A10p022560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGGSMEPAVLDDIIRRLLDYRNPKPGTKQVMLNESEIRKLCIVSREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPAANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDSFNCLPVAAVIDDKILCMHGGLSPDLTSVEQIKNIKRPTDVPDSGLLCDLLWSDPSKDVKGWGMNDRGVSYTFGPDKVAEFLIKNDMDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRRPRFL >A09p031280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18917619:18918036:-1 gene:A09p031280.1_BraROA transcript:A09p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAISPTNKLMMQSFLYNCKINMFKKMFELDKCNTSPRIETITLSDLKQEELEVLLISSIAMAQWFERKGRNMCDHSIVQPTNMSSST >A03p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6967931:6970675:-1 gene:A03p017300.1_BraROA transcript:A03p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Internal alternative NAD(P)H-ubiquinone oxidoreductase A2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G29990) UniProtKB/Swiss-Prot;Acc:O80874] MFLIKNLRRISPTTSSALIGFRNTGSPPLSSRFCTTLHQHQQVQSPAPNGLDRSRYEGLAPTREGEKPRVVVLGSGWAGCRLMKGIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSFFFLANCSRLDADSHEVHCETLTDGLNTLKPWKFKIAYDKLVVACGAEASTFGIQGVLENAIFLREVHHAQEIRRKLLLNLMLSDTPGISKEEKQRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYAHVKDDVHVTLIEAKDILSSFDDRLRRYAIKQLNKSGVRFVRGIVKDVKPQKLILDDGTEVPYGLLVWSTGVGPSPFVSSLDLPKAPGGRIGIDQWMRVPSVQDVFAIGDCSGYLETTGKPTLPALAQVAEREGKYLANLLNEIGKANGGRANSAKEIALGVPFVYKHLGSMATIGRYKALVDLRESKDAKGISMTGFVSWFIWRSAYLTRVISWRNRFYVAINWFTTFVFGRDISRI >A05p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23827634:23828247:-1 gene:A05p038910.1_BraROA transcript:A05p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRMTIMFITMMIVIGNFVVQTEAQTQTNPFRSCFPGCIVSCAIEKKFPTGLMCPFTCLMTCLLPPTSNIPTPPSQMILANKKIDHNDYFCKLGCASHHCLVLSSLQNPNVDKVVDCVDSCSDKCSNKS >A04p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2709509:2710053:-1 gene:A04p005190.1_BraROA transcript:A04p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGDATCLTPQKSLGRVNSNLRFTASYISLGFVFVLRSIATSELRLHHRNGLCYRISATIMPATTSANRVQILSSSACEKEIEFEPEDHQPRSSVKAQLSGRKRLVGGNSSNMSSKGAKKIYKQGEKMGSSFKRLFGEKDDIVLRIHEWLS >A03p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16530380:16532186:1 gene:A03p039700.1_BraROA transcript:A03p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSDQTAKIIDGKAVAHTIRSEIADEVRHLSEKHGKVPGLAVVIVGVRKDSQTYVNMKRKACAEVGIKSFDVDLPEDVPEADLITKVHELNSDPEVHGILVQLPLPKHINEENVLGAISIDKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLVRSGIKIKGQRAVVVGRSNIVGLPVSLLLLKADATVTTVHSHTKDPEAIIKEADIVIAAAGQACMIKGDWIKPGAAVIDVGTNAVSDPSRKSGYRLVGDVDFAEASKVAGFITPVPGGVGPMTVAMLLRNTLDGAKRVIGQ >A06p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4694609:4697885:-1 gene:A06p003440.1_BraROA transcript:A06p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MVKALQGAAQNLPADVNQLIDQLERHCLAPDGSLVTKSAYYDLQLAREEMSRERLRYLEAMAIYCEAAAMVEEYQQALSVANHGGSRDVQGLYPQLGLKNSPQVYETLEHRLVVAEAAQKLRLPLISDDGEIHEEEIEKWSMLSRSSLDSASTSFTISSASNSVTYPNSSANSVAFGAADTDGVGGVPNRFLGITPAYLSYVQLQNTMSMDMADYQMFLAREIEGRLKDKCDKLADAIVDDTDSSTGNQNSSARLPERVKFIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLEHQHKYDEMQKTWLCKRCETMNAKLRVLEHILLLETYTPESIPALHSIRNYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLENMQWTIHQVEMDLKAHA >A09p072500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55562387:55562809:1 gene:A09p072500.1_BraROA transcript:A09p072500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRPLGFLLGLPFALVALVLSLVGAIIWIIGTVLSCLCPCCFCFAALANFAVDLIKLPVKVLRCFTNSIPC >A10g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10768382:10774490:1 gene:A10g504370.1_BraROA transcript:A10g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLKKMVKNQSRVERSIVAQVINEETAIFAENYFPPEVHTKHRRPARHDDRGKRARYHVTVPSMFKEIGRLSGKFTKRRLTDTEHAHLQTYLLTNCEDVLQYESVYMAELRMTHRHATEDELQQLRDNGFVVWLRSYVNDGLARGFVFDDWIREFVQGPNYVVKSYPKFCTRGYAFTRKGHSKTTYDAGVSSSSGDDVYYGNIKEILEIQFPGMVGLRCVVFYCDWYDTTPDRGVKIDVFGVTSVHSRRKLQYYDPFILGSQADQVCYISYPRVTYRDDPWVTVTQINPRGRVDGTSDDDEPLQPESTSNAQAVEDLANVELVEDLTEFGLDAVVHSEPEAEVGESEDVPRPAARLRRSSVSSSRASGSSHKQNSVIAYIPAPAPAAPTAAAQQDPGVMPVDLLHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFADPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSSYIRNRKKSKEDLISKFAYERLQQGIGLGSRAVGEIPSSSNPKTAKPN >A03p014140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5621477:5623582:-1 gene:A03p014140.1_BraROA transcript:A03p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFEETVAVMGEWVPCNPSSKRVLERELSLNHGQVTSHNNKDSSQTNNILRGGLGERVAARAGFNTPRLNTENIRSNTDSSSPCLTISSPGLSPATLLESPVFLSNPLAQPSPTTGKFPFLPGANSNVLSSSAASDKEKDEFFDGIGASFTFHPLSTSSSSFFQGATEMTPLDYGNYNNSPSHQSPEEDVKLGSEQSGLKRKTSDATIITNDHQEVEEGEGEEQRGGDSMVGGGAPAEDGYNWRKYGQKLVKGSEYPRSYYKCTNPNCQVKKKVERSREGHITEIIYKGAHNHSKPPPNRRSGMQLDGTEQAEQQQQQQQRDNTQQQVGSIENNGEERGQYESGDGNGGVIMVDASSTFSNDEDEDDRGTHGSASLGYDGGGGEGEGEGDESESKRRKLEAYAIEMSGATRAIREPRVVVQTTSDVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTAPGCTVRKHVERASHDLKSVITTYEGKHDHEVPAARNSSHGGGGGNGNSGVSTAQTNHYSEPPRGRFDRQLNQSQFGRPFSFQPHLGPPSGFAFGLGQTGLGNLSIPGLAFGQGKLPGMPHPSYMSQPVWMSEAMVQRGVEPKVEPGSETGQSVYNQIMSRLPQI >A04p025400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15381418:15385022:-1 gene:A04p025400.1_BraROA transcript:A04p025400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLFRELSLGHSKRETTPPPPPSMTSTIPSDLPPSPLGQLAVQFSESDLRLISYEIFVAACRSATGKPLSSAVSSLSVSNPDSPSNGASPASPAAQRSLTAAAASKMKKALGMKSLSSLSPGSTKSPGSGSGSGSGGKPKRPTTVGELMRIQMRVSESVDSRVRRAFLRIAASQVGRKIESVVLPLELLQQLKSSDFTDQQEYDAWLKRSLKVLEAGLLLHPRVPLDKTSSSQRLRQIIHGALDRPLETGRNNEQMQSLRSAVMSLATRSDGSFSDSCHWADGSPFNLRLYEMLLEACFDSSDATSMVEEVDDLMEHIKKTWVILGINQMLHNLCFTWLLFSRYVVTGQVEMDLLYACESQLAEVAKDAKTTKDPEYSQVLSATLSAILGWAEKRLLAYHDTFDRSNVGTMEGIVSLGVSAARILVEDISNEYRRRRKGEVDVARTRIETYIRSSLRTAFAQASVGIRMEKADSSRRASRNQKNPLPVLAILAKDIGELAVQEKRMFSPIWKRWHPFAAGVAVATLHVCYGNEIKQFISGISELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAETVIANLVKDWIKARIDRLKEWVDRNLQQEVWNPVENQEGGYALSAAEVLRITDETLEAFFQLPIPMHPAVLPDLIIGLDKYLQYYVSKAKSGCGSRTTYMPTMPALTRCTTESKFQGVWKKKEKSPPSQKKNSQVPIANGEAGSFGVTQICVRINSLHKIRSELDVVEKRVITHLRNCESAHTDDFSNGLGKKFELTPAACIEGVQQLSESLAYKVVFHDLSHALWDGLYIGDLSSSRIEPFLKELEQNLTVIAETVHERVRTRIITDLMRASFDGFLLVLLAGGPSRAFTIQDSQIMEQDFKSMKDLFWANGDGLAMDLIDKFSTTVRGVLPLFSTDTDSLIERFKGMTLEAYGSSAKSRLPLPPTSGQWSGMEPNTLLRVLCYRNDESATRFLKKTYNLPKKL >A05p001380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:210708:213234:-1 gene:A05p001380.1_BraROA transcript:A05p001380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIFMFLITLSFFSILSSPSLAARLQATGNTTSPSDVCRYAPDPSYCRSVLPNQPGDLYSYGRFSLRRSISRARRFISMIDYQLNRKGKVAAKSTTVDATKTLSVSRADDVHTFLSAAITNEQTCLEGLKSTASENGLSGDLYNDTKLYGVSLALFSKGWVPKRKRSRPVWKPEASFKKFSGFRNGRLPLKMTEKTRAVYNTVTRSGRKLLQTGVDAVQVSDIVTVNQNGTGNFTTINEAVAAAPNQTDGSNGYFLIYVTAGLYEEYVEIPKYKRYVMMIGDGINQTVITGNRSVVDGWTTFKSATFILTGPNFIGVNITIRNTAGPTKGQAVALRSGGDFSVFYSCSFEAYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVLQKCNLYPRQPRQGQANEVTAQGRTDPNQNTGTVLHGCTIRPADDLASSSYRVKTYLGRPWKEYSRSVVMQTYIDGFLDPTGWNAWSGNFALSTLYYAEYNNTGPGSSTTNRVTWPGYHVINATDASNFTVTNFLVGEGWIGQTGVPFVGGMIA >A04p016660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10228600:10228758:-1 gene:A04p016660.1_BraROA transcript:A04p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERIRWKRGYGGAPNCYSGHAVGPVEVRSYGGGEGGRAGGGAVEVDQKSG >A10p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18826389:18828090:1 gene:A10p031550.1_BraROA transcript:A10p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKARPFMAIVFIQFLYALMSIVAKIALNQGMSPHVLVAYRMAVAAALITPFALVLERPVVEQNLYYSGMKLTTATFTSALCNALPAMTFIMACIFKLEKVNIRRRHSQAKLVGTMVAIGGAMLMTFFKGNVIELPWTSKPMGIIGQAHSMNTPKQEDIGKGSVMLVASCFSWSCYIILQANILNTYNAELSLTALMCFMGMLEASVIALIWERKNMSVWKIHPDMKLLASIYGGLVSGLAYYAIGWASKKRGPVFVSAFNPLSMVIVAVLSSFVFLEKLYLGRVVGSVVIVFGVYLVLWGKSKDKIEQPSTNAGCAETVVKTDEQMVRTPDNNQVLPIHDQLMIPKAATQSQSV >A06g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19418819:19420891:1 gene:A06g507010.1_BraROA transcript:A06g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIHGGGVVPPVWEARNIKRGGDLPVVDMLILDSKATLMEATVEFSHGQSSVGTVIRVESSRFLLTGYVNLEASQTAIFYNKSPECFFFTLKHLNQKLLAMDVEPEVMWLPVITPSSWEVSFGNDELASSTSSIKYSGVNKIETVTVSKLNTYVVNSTPQVNKCACGGSERAHERRNYPEGDICNCSDGEKAATDQVTEGDDNLSNHPGVWDATAAAEQPHFWQKQCRGTTGSSRRKRTKKHISPSSFLISEPCSLLS >A05g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20277876:20282383:1 gene:A05g507140.1_BraROA transcript:A05g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVDDLYFSRLLNNLHGRRIFQSSTSYRTFNFTNVRFNLTGSFTGHIKAIFFTISQLFETLAPFLSNGDFEGDKTKTLPGRVPPLSSPICNGLGPHLLRPYLLGQYILQLLLRRLPGSEKTTWTTSMKSSTMSYSLDDLHVSRPESLKMDLPELPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTPDEFEDLKASKLGTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGVSTKTSHKIAEKRVTRQSVRKRQKEAKEPSLTTEPSSSRELCLVSPADDLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPEELDEVMEPFLTMVPYLLVECTLSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFREFPMCHEWENQDNDENLA >A01p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13349052:13351373:-1 gene:A01p031250.1_BraROA transcript:A01p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLETPNSETGAKPPITTTGGDASTQEKAKDAQAYDVDDSDSEPEPNKEISDGAARTDLEVKPFWLIKWWPIVVKPVAMDKEHIVLHSDRRDRMFNESSGVVILEPLKSIRCVLRFSEEPVAKIGGDGCHRYLCLLLNTNLLASLRIDGVASAGFKISRSKLVNLISSGDIRVNWATVTKNGTMVKTGDVVSVTGKGRLKVRL >A10p013560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4692118:4692681:-1 gene:A10p013560.1_BraROA transcript:A10p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTASAKAETGNRNQRQMNGKGWTAPETGRVKCNIGISWSKATCMAGLGWIVRNSEGQTLLHSRRAFNGVSSLLEARRLGLIWAAESMNSHKLQKVSFELEDYELVGFVNRPKAWPAFRAYGEELRGVLNNVTDWMVSSVKRVVNKAAFMIARSVTKERRYQSYVAQDSPSWLRSLRAEEDTRSTRV >A07p025550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14688817:14690321:1 gene:A07p025550.1_BraROA transcript:A07p025550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYAFITDVSSSSSVDRLYITDVPRRDDVDVLKLRTRRGNEIVAIYVKHPKANATLLYSHGNAADLGQMFELFLELSTRLRLNLMGYDYSGYGQSTGKASECNTYADIDASYNCLKEQYGVKDDQLILYGQSVGSGPTIDLASRTPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGSVTCPVLVIHGTADEVVDWSHGKQLWELSKEKYEPLWVSGGGHCNLELYPEFIKHLKKFVIFISKPKGPRNGPNNTNPTDATKDQSKPSENGRADTFQLGCCLPEVSRNSVDSQLEKSKKTNKPEKSRMSVDRFKRKKGLVW >A07p033390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18251365:18254103:-1 gene:A07p033390.1_BraROA transcript:A07p033390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSIHMGRHDGSSEEDERVRRSDRERGHRGSNTRVTADKPSDDRQKSRSESERKQEGLLKRDWSDSQRDKLRNEEKSEVAKPKLPELNPSDSNAMALGKTGGVYIPPFKLARMMNEVEDKSSVEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVINAKFPEVAELLLKRVVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAEEIIALELVSLLLETPTDDSVEVAVGFVTECGAILQDLTPKGLHGIFERFRGILHEGDIDKRVQYLIEGLFAIRKAKFQGHPAVRPELDLVEEKYSHDVSLNDKISPDTSLDVFKPDPDFLENEKKYEALKKELLGEDESEDEDGSDASSEEDGSDEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGIDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLQDPTMQESLESIFPKDNPKNTRFAINFFTSIGLGGITESLREYLKNMPRLIMQQQKQVAESESSSGSDSSESDSDTDSSSSSSSDESDREKRKRRRRA >A07p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11249123:11250240:1 gene:A07p018790.1_BraROA transcript:A07p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSKDASRFLSLYSDSDKSLSLRAKLVLEDGYEVTTVVDGHKSGLNQHTVHALPGSSSNLIREICNLFGACFCVGYVTTIAGGISNEFGHRDGPAHNATFSSDFEITFINLKEEDCLEISHTSLGSYSLWSVGVVLSCFLGIALLLALTSLIMKKRITTGFIMTWKLLLIKLGKQVRTFFSYVRNLVAGSVLICLVMMLVSHLSLMYSAISRLD >A02p016300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7296982:7304027:1 gene:A02p016300.1_BraROA transcript:A02p016300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLAQFQTIKNSCDRIVAAVEDVSDLWPTVKGLFEEHQPLKRAVLTNKTRNPVLVENLPVEFILTTDARLRSRFPHEQYLYWFREPYATIVLVTCEDLDEFKNILKPRLKLIVQNDEKEWFIVFVSKAHPSNDQATKNAKKVYAKLEVDFSSKKRERCCKLDIHGPDANFWEDLELKITECIRNTLDRRVQFYEDEIRKLTEQRFMPIWNFCNFFILKESLAFIFEIAHLHEDALREYDELELCYLETVNMPGKQREFGGFDSEDDQAALLKPGSKPLTQMVHDDSFREFDFRQYLFACQSRLLFKLNRPFEVSSRGYSFVISFAKALTFHESVLPFCLREVWVLTACLALLDATASHHHDGVVAPDIEKEFYRLQGDLYSLSRLKFMRLGYLIGYGTDIEKSPLNSACLSMLPWPKPAVWPSLPPDASSEVLEKEKTLLQATSRTKHFGIQRKPLPLEPSVLLRVANRRRASLSTGNISEIFDGRPGFTEGSGLEASPRTPSSLKVQAAPMSRTNSSPGNFESPLDRPMRLAEIFVAAEHALRLTISDHDLLKTLSSVQDFEHKYLNLTKGAAENYHRSWWKRHGVVLDGEIAAVCFKHGKYDLAANSYEKVCALYAGERWQDLLAEVLPNLAECQKILNDQAGYMSSCVRLLSLDKSLFSSKERQAFQSEVVNIAHSEMKNPVPLDVSSLITFSGNTGPPLQLSDGDPGNLSVTVWSGFPDDITLDSLSLTLVATNNTDEGGQALKSSAATVLKPGRNTITFDLPPQKPGSYVLGVVTGQIGRLRFRSHSFSKGGPGETDDFMSYEKPTRPILKVSKPRALVDLSAAVSSALLINEAQWIGVIVRPINYSLKGAILHIDTGPGLKIEDSYGIEMERYVETDCDAGATKAEVSVEDSPVAPKRDSEVLNLCDGKIVFSEWASNVSSILWVPVRALSEKLARGSSSVTPLKQDILEGMRTVALKLEFGVHHNQIFERTIAAHFTDPFDVTTRVANKCNDGTLVLQVMLHSLVKANLIVLDAWLDLQDGFVHGKSDGRPTSTFFPLVVSPGSRAAVVFSISIEKTMPSGKDLQLPESILNIKYEIHGDRAAGAHNPVDAADHSVADAERRDLVFKSAIVLQRPVLDPCLTVGFLPLPSDGLRVGKLITMQWRVERLKELKGSESVEKQHDEVLYEVNANSENWMIAGRKRGYVSLSEEQGSRVVISILCVPLVAGYVRPPQLGLPNVEEANVSSNPPGPHLVCVLPPLLSSSYCVPVK >A06g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17645211:17645986:-1 gene:A06g506190.1_BraROA transcript:A06g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEYRPARIRSKSPFASTNSALRAESVASSASVDGGVSPTSIVPSSTPAKAKFLAWTTAKESRESAILAKRPTQLRGLSHLRLFRLKQRACSLPDAPQYMQPGQDGVQDDHIITTEVQADDRAVYRIDPRAAKKELRLMRHSLSLLVRLIRAECPDEQTDGSAGQYDQFLNFNDQNFSKARILQLSKDLGCAGTRMMHEPYPADCPERAPSVLLRTAKEPIGSDEPGR >A06g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27311886:27312652:1 gene:A06g509480.1_BraROA transcript:A06g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHGRFAATSSNFLLVLAAYTNQKAKSFYLFIYFTIKFSSSINSQSREMIKALFLPQILTHVRQRKHEVLSVHGHVFSSEKQPGSLLEGFTKTQIRFLD >A02p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2657548:2661821:-1 gene:A02p006280.1_BraROA transcript:A02p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEKKFPLNAKDYKLHEEIGDGVSATVYRALCIPLNEVVAIKVLDLEKCNNDLDGIRREVQTMSLISHPNVLQAHCSFTARHQLWVVMPYMAAGSCLHIIKSSYQDGFEEPVIATLLRETLRALVYLHAHGHIHRDVKAGNILLDSSGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKEMVGICLVKDPKKRPTSEKLLKHPFFKQARAPDYMAKAIVNGLPPLGERYRTIKSKEADLLMQNKSEYEAHLSQAKYIRGVSAWNFNLEDLKNQAALISDDDSSHAEEPDFNKKQCEIQDESALSPERASSSETAPSQDDELNDIHDLESSFASFPSKPLQALKGCFDIDEDEDNETIGTQAHTTKEEDTAAQISSLPRHVISEQKKYSSGSILPEGTFSPKKTSSDAERDFQQRKYQTERSYSGSLYRTKRDTVDETSEAPYVEHKGRFKVTSADLSPKGSTNSSFTPFGGGSSSPSSLNATAVSILQSILQQNTMQREEILRLVKYVEQTSDASCNSTGERTSDPTHATATKLLEPNRRSKETQAEEWAVRESAERVDTEKRLSLASHRDNRKQAQGRDRGS >A03p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7677793:7682612:1 gene:A03p018790.1_BraROA transcript:A03p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVSSAGGLLAMLNEPQPSLKHHALSHLNNLVDRFWPEISTSVPIIESLYEDEEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGPSFDVSEDTDYVHTLLAKAIDEYASLRSKAFESNEMVDIDSRLEAIVERMLEKCITDGKYQQAMGIAIECRRLDKLEEAITKSDNVEGTLSYCINVSHSFVNRREYRHEVLTLLVKVYQQLSSPDYLSICQCLMFLDEPQGVATILEKLLRSESKDDALLALQIAFDLVENEHQAFLLSVRDRLPAPKTCPVEAVQAVETTTAPTENPSGDVPMADGTPAPTIVHETDPVDATYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKEFLRDSLRSTNVEVIQHGACLGLGLSAIGTADEEIYDDVKSVLYTDSAVAGEAAGISMGLLLVGTATEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGKFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVIGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFMSHAKPSLFEYPKPTTVATANTAAKLPTAVLSTSVKAKARAKKEAEQKANAEKTSGAEKSVNESGSGKEKGSTEKEGDAMQVDSSATAEKKAAEPEPAFEILVNPARVVPAQEKYIKLLEDSRYVPVKLAPSGFVLLKDLREHEPEVLSLTDAPTSTASPATGAAAATQGTTASAMAVDDEPQPPQAFEYAS >A06p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2936417:2942427:-1 gene:A06p008470.1_BraROA transcript:A06p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKEIGERSSLSLREKRNLREKERRMRMKDLFCLLSSHVSPTRRQLPVPKLIEQATSYMIQLKEKVKYLQEKKKTLLGGEVGCRSEGSSSSLLPKLSIHSRGSIIEMNLIISVNMKRLSLHELMGVFEEEGAQVMSANLQNLNDRTAYTIIAQAIISRIGIDPSRIEKRAEGKRIRRRKLNVVEGTAKPQRERATNAHETSLLYTLFSFAGASAYRPFGIIHDPIKREGSSFNLPKLSICSRGSIIKMNLIMDLNMKRVMLHELVSVFEEEGAQVMSANLQNLNDRITYTVTAQAIICRIGIDPSRIEERLRDIIF >A07p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13839382:13840293:1 gene:A07p024000.1_BraROA transcript:A07p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYLILGKGGSNQLPFMFSLSGVMFSGIPNRDAEGVSRKATHMDPDCKCEKSSQISLMRQVVFQEPMSFRPNPPSILPSGVPFHKKFEHINLIFPIHFFGKTNVEVHKFRRHAITVSSLPVNDLTDQQLILALILWRRDSQFAKASRIEG >A05p052000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30143467:30144177:-1 gene:A05p052000.1_BraROA transcript:A05p052000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAVSKMESPPLIGPRISFSADLSDGGDFICISPVICKELEREVVKGSVKVSDFEFLSENVTPQRMHTADELFSEGKLLPFWQAKHSEKLKNVNLKTNEEEESRNVEVTMKGNNDNRVSWFIDEDPSPRPPKCTVLWKELLRLKKQRNSSASSSVRTVSSLSPSSSTSSSSSLEREEREKEGKRGKKGLERTRSASMRIRPMIHVPVCTPSKSSVPLPPLFPLSLKKNRAEKRT >A04g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3765655:3766267:1 gene:A04g501510.1_BraROA transcript:A04g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMKFLCVLGLFLLVGTVVDGAGECGRSTPDNEAMKLAPCVGAAQDANAAVPGGCCAQIKRFSQNPKCLCAVLLSDTAKASGVQPEVALTIPKRCNFANRPVGYKCGVLRVQIHGLYDEALEFTTTS >A03p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12225054:12226252:1 gene:A03p029080.1_BraROA transcript:A03p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G50240) UniProtKB/Swiss-Prot;Acc:Q64J17] MRSCPTLTAYGRHYCAPPRRLVSLTFTSLHRHNSAPFLNFSLFTAFRSPFSRMEIYSSGILEETLKLDSVCLEQFQTGTGSTGNKAMVENLKRFGVISSKKVAEVMEALDRGLFVPVGSSAYADTPLPIGYNATISAPHMHATCLQLLEDKLQPGMRALDVGSGTGYLTGCFALMVGAEGRVVGVDHIPQLVDMSIKNVEKSVAGSLLQKGSLSLHVGDGRKGWGEFAPYDAIHVGAAASEVPQALLDQLKPGGRMVIPVGTYFQELKVIDKSEDGSIKARTETSVRYVPLTSRVEQTGGF >A02p008180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3400897:3403138:-1 gene:A02p008180.1_BraROA transcript:A02p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKGNYNVMGVRYTRSHPSPFNDGDTEVRNRPLQKRTDSLSSTFSPPLLNQSSIELISILFISNLGLKEEPMDTEFLRTLDRQILLGVFVAFVAATAGAAYYLSSSKKRRGCLDPENFKEFKLVEKKQLSHNVAKFVFELPSSTAALGLPIGQHISCRGKDAQGEDVIKPYTPTTLDSDLGRFELVIKMYPQGRMSHHFREMRVGDHLAVKGPKGRFKYQPGQFRAFGMLAGGSGITPMFQVARAILENPTDKTKVHLIYANVTYDDILLREELESLTANYPDQFKIYYVLNQPPETWDGGVGFVSKDMIQAHCPAPASDSQVLRCGPPPMNKAMAANLEALGYSPEMQFQF >A03p066930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28602934:28604336:-1 gene:A03p066930.1_BraROA transcript:A03p066930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCFCLSSGGSTDRNQIYEINDYGQENAVLYSEQHDFPQDFGSVSSLAGGKGFNQDAAILHLGYGTEEGALCGVFDGHGEKGELVSKIIRNQLPSLLLGHMNNHSVTRDWKLICETTCLAMDKRILKLKNTIDCSSSGTAAVFAVKHGNQVMVANLGDSRAIMIGTSEDGETEVVQLTSDLKPSVPSEADRIRKRNGRVLALEAEPHILRVWLPHENRPGLAMSRAFGDFVLKSYGVIATPEVSTHQITSRDQFLLLASDGVWDVLSNEEVAKVVMKSANETGAANAVTEAAANAWRQKYPTAKVDDISVVCLSINKRHVPQPRI >A05g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14398993:14401923:-1 gene:A05g505180.1_BraROA transcript:A05g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHCVPTELGLSVFRSSHSNLSVAGLDTFSLHWDSWCLIQPRLEQESWISDEILACGMASLDEIDVFGRSSYPKVRSKKKISKKGTSSANGHEELLVPKIEFVPHSVDPAENEAWWVARYGGITVPHQGERASSPPEGYFTCYEAFIVRCRLWFPIPEIIVCVLDRFEVSISQLNPLSIQHLVGVLILSYEHGLSLTVDHFEVLFRLQIVRNTDKYRLVPWNFMSVVKGSLSNFNSWKKFFFYVRINAASVEESCIPLFRSLPNDRPFINPIAPFPEDMIAVRDLLRNGPFFWTSFTTKRVRKALRLAHPGPASGAETDSDSEPDALGFDVAPTGTTGLSSSKDPDLAYGDGSSTSEIPIPDFDDFFAGLPSSFDPPPPVDESESSSTVTEGSRIINGGLNLLGSALEASHREAMVYRFKAEKAERDLARMQGEMLDRASQFQIEYGNLKDAYTLVGDYRECRGSVGSLWKTQAEDYVFEEEMKFMKDGMKNQAHAETLIPPINGRIQGLWDPIPVSPDTVEATTEFAGNDEEVNYPADAFGASLSGNFDLGFEVKMSCRLIFNSVETFNLLISCLEMLETNAMGLGQDLGLLSVLRFSAYMTCVARIKHLSRDNF >A07p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3983215:3987170:-1 gene:A07p002210.1_BraROA transcript:A07p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLRSKARASFLQTNMDIRMIYFVVSALLVTVTAEVYIVTMEGEPIISYQGGENGYEATAVESDEKIDTSSELVTSYARHLERKHDMILGMLFEEGSYKKLYSYKHLINGFAAHISPEQAETLRRTPGVKSVNKDWKVRRLTTHTPEFLGLPTDVWPTGGGWERAGEDIVIGFVDSGIYPHHPSFASHHRLPYGPLPHYKGKCEEDPHTKKSFCNRKIVGAQHFAEAAKAAGAFNPNIDYASPMDGDGHGSHTAAIAAGNNGIPLRMHGYEFGNASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTTTKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWIMTVAAAIDDRRYKNHLTLGNGKMLAGMGLSPSTRPHKMYTLVSANDVLLDSSVSKYNPSDCQRPEVFNKKLVEGKILLCGYSFNFVVGTASIKKVVATVKHLGAAGFVLVVENVSPGTKFDPVPSAVPGILITDVSKSMDLIDYYNVSTSRDWTGRVKSFNAQGSIGDGLAPVLHKSSPQVALFSARGPNTKDFSFQDADLLKPDILAPGYLIWAAWCPNGTDEANYVGEGFALISGTSMAAPHIAGIAALVKQKHPRWSPAAIKSALMTTSKVVDRAGRLLQAQHYSDTEAVTLVKATPFDYGSGHVNPSAALDPGLVFDAGYEDYVGFLCTTPGIDAHEIRNYTNTPCNYEMKHPSNFNAPSIAISHLVGTQTVTRRVKNVAEVEETYTITARMQPSIAIEVNPPAMTLRPGASRSFSVTLTVRSVSGVYSFGEVKLKGSRGHKVRIPVVALGHKR >A02p052230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31950471:31951670:-1 gene:A02p052230.1_BraROA transcript:A02p052230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFTIIAVAFLIIIQALKDDHILVYAHEGGDAGHKSLDYRGDKDSHDFTSTGAPRKLRPGRTMRTTVVIAEKEKVRATNNDDLSIKISSGASKQLKVERKLGFHKRSKSSSFKWKTKKKKPSGRFVAFYDDYRGPARELKKTNILADLGTTKHIDDLTNGNSLPKRELFSSAKDMKKLARLLRSDYPIHSKPRGKPPVHNRAPDKI >A05p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2110889:2112650:-1 gene:A05p005460.1_BraROA transcript:A05p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPMRLLVPLTLPLIPPPPPSSDSLALRSKNPNFILRSSSSSSSSTSCSSSLSQLFPRRSRSSSPCVPMCTKDQDITDTWRNEDDPITCGLESDEDSGGLRIPTQAQAIVEGSGSVAVSELRPSPDVDYIQELLAIQQQGPRTIGFFGTRNMGFMHQELIEILSYAMVITKNHIYTSGASGTNAAVIRGALRAERPELLTVILPQSLKKQPPESQELLSKVQNVVEKPHNDHLPLLEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >A02g511080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29386647:29387045:-1 gene:A02g511080.1_BraROA transcript:A02g511080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGDGFGWYLHRREGTQIMHGSSAEAPMNSTLEAEAIALLMATQQMVRLNYSKFKTEQTNRNVRITEAVFVPQDIFEITRHRDYTFHYISRDNLNVVDSLAKQARLHKKNYVVSWKF >A08p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21232090:21236929:1 gene:A08p036080.1_BraROA transcript:A08p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKKKHPKGKLSSSSQKQLCRRLSFCSQPTIKSRLSRSSFHSFDLEEERAAMEASVLSKPPHRYKHDVFLSFRSEEDDFSERLYNAIRKEVRVFRDDNNEGMERGGGTDENNKRLFKAMEDSAASVVVFTQHYADSRSCLDELATLCDLGTSLDRPILPVFYKVDPSHVRKQNDHFKKDFDEHKKIFSKEEVQRWRKAMELVGNLAGYVYKHREGTVDQVKDEHDMIKLVVKKVLAQVSNTPEKVGEYTVGLETRVEDLMDLVDVKSTGDVQVLGLHGMGGIGKTTLAKRFYNLILENFEDHRVFISNVRQESSDGLLNLQKTFIKSLFGSVPEIEDVNSGRDKIRGRVREKKILAVLDDVDNVDQVDALVGERSWYGKGSVIIITTRDEEILNKLSVSQKYEVNCLTEVQALKLFSFHSLRKEEPTEKLLELSKKIVKITGKLPLAVEVFGSHMYDKKEGEWLTELEKLENTQPGDLQSVLALSFKSLDDEMKTVFLDIACLFLKMEITKEEVMDVLKGCGLNAEAALTVLRQKSLVKLLSDKDNTLWMHDQIRDMGMQMVLNESREDPEMRSRLWDRGEIMNVLNYMKGTTSIRGIVLDFKKKFVRHATAVEIASSNLQNNPGISSAVSYVKNMFVKFPEEEKTKTSEITIPIEPFAPMKKLRLLQINHVELEGDLKLLPSELKWIQWRDCPLKDVPSVFLSGQLAVLDLSESGIRRVQTLWFKKENENLKVVNMRGCHSLEAIPDLSNHKALEKLVFEGCKLLVKVPRSVGNLSKLLHLDFSYCSNLAEFLVDVSRLKHLEKLFLSGCSNLSVLPENIGDMTCLKELLLDGTAIKNLPESIGSLKSLVKLSLFGCRSIQKLPDDLDALTSLEELDLSDTALKTLPSSIGGLINLKKLHLMHCTSLTKIPDTINKLISLKEFFINGSGVEELPLNLGSLQSLTEFSAGGCKSLKQIPTSVGMLNNLFQLDLDHTPIVTLPEETGDLRFIQKLELRNCKSLKFLPKSIGGMDTLQSLYLTGSDIEELPEEFGKLEKLVLLQMNKCKNLKRLPNSFGDLKSLYHLYMEETLVVELPESFGNLSKLMTLKMMKKPLFRSDTKGSTSEEPGFVIPNSFSNLESLEEVDARSWGVTGKIPDVFEKLTSVKILNLGNNYFHSLPSSLKKLTNLKELILYDCQELTCLPPLPCNLEKLNLADCLSLESVSNLSKLTLLQELNLTNCKNVNDIPGLEHLKALKRLYMSGCNSDCSAYVKKRLSDSKASLKMLRNLSVPGDKIPHWFSQGPVRYIAQPNRELKGVIIAVVVSVSQQSKDDFHVPDVLGIQAQILQLDKVLVNHTLNLTGVPRTSDDQLHICRYSQHYPMVSMLKEGYTIQVVKQKMLIKQDAKLKMHGIHLVYEGGDDLGAEESDCVTDQTMQTVSQRLANFFRPCKDGEASSQGGSTVT >A01p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10865219:10867554:1 gene:A01p021920.1_BraROA transcript:A01p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHLIVFIIIISLLVKASKSDDGFCSAPSPSIDEKTKPIYWKVTNPTLSPSHLQDLPGYTRSVYKRDHALITPESHVYSPLPDWTNTLGAYLITPAMGSHFVMYFAKMKGMSSSGLPPKDIERLVFVVEGAVTLTNTSSSSIKLTVDSYAYLPPNFYHSLDCVESATLVVFERRYEHLGSHTTELIVGSTDKQQLLETPGEVFELRKLLPVSLAYDFNIHIMDFQPGDFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >A07p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22691895:22693678:1 gene:A07p042110.1_BraROA transcript:A07p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENFAFVLFGTKISKHNGDTPILLIDHDTASIASLTPMLKQHSYKVISVNVASEAVSMLEKQKDIVLVIANNEMPHIDSHSFYTSLLTKDIPLILISSEGKRNKSSNSLEKRACYFLTRPIHEKDINNMLQHVLPNKSQKLEKISIPNNAEALLRINQMKAFRENLRRQRTEVNNIESRVRRRSNLWTCENHLKFLSAISNLGDEDSHPKSILSIMNVPNLTHREVARHLQSYKAKVDRMNETLSRKEWIPTNKTFEYPSDYKYPFTLSNIAKNLFSGKNLIRERDTKLKFYLGGQMDLSSSQW >A07g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15994915:15996120:1 gene:A07g506580.1_BraROA transcript:A07g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSMAVVVSCKHMVVEETCTLPLEMVSSMVVVVGMENSMVVVENCRHMVVVEICILSLVMDYNMEEVVDKHIHEGVLALCMGKVSSTVVVENCKHKVVEEICSVPSEKVNSMVVEENYRHMEGEEICIQPLEMVSNMVVVGNCKHMVVEETCSILLEMERSMVVVESCRHMVVGKVSSTVVVENCKHKVVEEICSVPSEKVNSMVVEENYRHMEGEEICIQPLEMVSNMVVVGNCKHMVVEETCSILLEMERSMVVVENCRHMVEEEIYSQPSVMGSSMEVVVGNCRHMVVVENCKHMVVVETCSLLWEMENNMVVVENCKHMVVVETCSLL >A01p047650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26851714:26862357:1 gene:A01p047650.1_BraROA transcript:A01p047650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHAITHFRNLLGPDLLYPPIIHSGRLDANHSAKVSWEVVTKEKSSGGLGIKDLLTWNKACCIKLIWLLFFQAGSIWVGWFKEEVLRGSLSNFWTMKPSTTNSWLVNKIFKLRDEVYTWIKMKVGNGESCRFWSDNWSPFGKLSEYLLPNQSSGMGIRPSTTLAGLFVNGSWRLPSPRSEGMVQLHIFLTTLSLTGMEDDYEWVVNEKPSRRYNTSEIYRKLRDREEEVPWAPIVWTAGGIPRHNFLAWLFVLDRCPTRDRIISWRLQSDSVCLLCNHAAESRDHMFYLCPYSWSIWLEIARRCQLHPNRHWDQSVIQLQSLQGNKTMKRLTLLCWQSVIYWIWQERNKRLHSNQFRGPDAIIRLITRQITDRISSYRLKSPTASSRGARSPAAEELHTHLTTIPLPSLSSIEDSYVWEIDGTEIQNFSTRKTWSMVRNRALEQTWTRNIWFKGHIPRHAFTTWVAFQDRLPTRSRLVDWGMNIPSSCCLCSLLDESRDHLFLQCEVSEAIWASVLFRLGYSHWGFHTWTAFSEWMSLQDTVVSLTLKRMVAQVTISTIWTERNKRLHDGVSQSPEVIFKRIDRIIRDAILGRRKTDLFQPLMQE >A09p081110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59140871:59142923:1 gene:A09p081110.1_BraROA transcript:A09p081110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKFVKGDKVEVCSKQVGFFGSYFEAKVLSKLPCGSFYKVKYKNLVTEGEDPMPLIEIISADEIRPMPPKLFQPSTFRRHDKVDAFDLDAWWFGEIIGQKGDMFSVYFPTTNDVCEYPLERLRRHFDLVNGHWTIEMRVHMDCVGCESRVKSALLKMRGVDEVEIDMVQQKVTVTGYADQKKVLKKVRKTGRRAELWQLPFNPDHSSLLGGGSNSTNGGYFYNPHGCNGPINHHAPVPGSSYNYYKHGYDSNDYSSYQHHPVHASIFSHQAGSKFSDENPNAACSIM >A05p001840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:461028:462351:1 gene:A05p001840.1_BraROA transcript:A05p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLFTSESVNEGHPDKLCDQVSDAVLDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRAIGFVSADVGLDADTCKVLVNIEEQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWVRPDGKTQVTVEYYNENGAMCDVCALWFGTLV >A02p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30943115:30944446:1 gene:A02p050000.1_BraROA transcript:A02p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMRTINLSGFDPSKEFKGGFSCLRAQVSSEVLDIRTNSFSFSRASHHDSNPPKTDEKLWFDEGGFSGFLAIGTLGRDPETPKFTSSIAEDDVTVAKLVTEKLDKFLEEYPDKDSSSKQGEISKAEYGLFGSSTELTKRGNEVKKMKGLLKNLFKRRNAVEGECNSMEKQGTRDLIKRISKKLHVSPSKTRNDDDYDDYDYSMHKKKDIRKSGQIFQSKVHPVMCTPPRDDNKVDDRRSCKLKIPSLNGGFLVPSSISKVNKKRENWIRTDTECKSRCYSELIYWILFLSLSQEFSYRLLAFADHVLEL >A03p015190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6012383:6013574:-1 gene:A03p015190.1_BraROA transcript:A03p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKTLLLVISLVAATFFLQAKAAGVYCTNPKSRCYYKTIQCPEECPSTTVMNSKNKVCYADCDRPTCSAQCRMRKPNCNKPGSACYDPRFIGGDGIVFYFHGKSNEEFSLVSDSDLQINGRFIGHRPAGRARDFTWIQALGFLFNSHKFSLDAAKSATWDSEVDHLKFTFNGQDLSVPEETLATWLASVTNMYSPNKDIKIERVTMKNSVIVTIKDKAEIMVNVVPVTKEDDRIHSYKVPSDDCFAHLEVQFKFFNLSPKVDGILGRTYKPDFQNPAKPGVAMPVVGGEDSFKTSSLLASDCKTCIFSGSQAEIDSVKSEIEYATLDCTRGASSGNGIICRK >A06p015950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7110205:7114323:1 gene:A06p015950.1_BraROA transcript:A06p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVARRRLLSWIWLLLASFSVAGLVLFMVQHHHQQQDPSQLRVERDTRTENVSPPRLNFTEEVTSASSFARQLGEQMTLAKAYVFIAKEHNNLHLAWELTSKIRSCQLLLSKAAMRGQPISLDEAKPIVTGLSNLIYKAQDAHYDIATTMMTMKSHIQSLEERASAATVQTTLFAQSVAEALPKSLHCLMIKLTSDWLTKPSRHELAEDSPRLVDNNLYHFCIFTDNVIAASVVVNSTVSNADHPKQLVFHIVTNRVSYKAMQAWFLSNDFKGSAIEIGSVEEFSWLNASYSPVVKQLLDTDTRAYYFGDQTSQETNPEPKVRNPKYLSLLNHLRFYIPEIYPQIEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPLISSKFDPQACGWAFGMNVFDLVAWRKANVTARYHYWQEQNTERTLWKLGTLPPGLLAFYGLTEPLDRRWHVLGLGYDVNIDNRLIETAAVIHYNGNMKPWLKLAIGRYKPFWLRFLNSSHPYLQDCRSQKVRQVQIFSEDCSSYANKRDTNHLSVMESKSENDVVASPPSEFEKNQKHYQETIATLPHENGWRPKDPFVGYGGHWWLQPLLEGLLHAQSFFKARPNDFFVCSYPKTGTTWLKALTFAIANRSKFDDSTNPLLKRNPHEFVPYIEIDFPFFPSVDVLKDEGNTLFSTHIPYNLLPESILKAGCKMVYIWRDPKDTFVSMWTFAHKERSQQGDVIRIEEAFDKYCHGLSVYGPYLDHVLGYWKAHEANPEQILFLKYETMRGDPLPYVKRLAEFMGYGFTEEEEEGGVVERVVKLCSFETLKNLEANKGEKDREDRPAVYANSAYFRKGKVGDWENYLTPEMVARIDGLMEEKFRGTGFLASSP >A08g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17845867:17846746:-1 gene:A08g509190.1_BraROA transcript:A08g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKSKKKGNLNNFVHERDDEQIREIWCGEDYATASKSELRSGDDDRTAGRSELRCGDDAVTSRSDFRCGKEDNKLSWCEARYFDYMTTSRVYDVVNNNNVLNINLVPTHEESMDDASQHFLSRLQSVVYLLSLALTFHNYVNICNYYRPNLVKV >A07p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7478633:7480895:1 gene:A07p013440.1_BraROA transcript:A07p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKGELLFQQVSYGNAEGIRSLRREGADLEWMDREGKTPLIMACMNSELYDVAKTLIELGANVNAYRPARHAGTPLHHAAKRGLENTVKLLLSHGANPLVLNDDCQTPLEVARVKGFSNVVRAIESHICLFSGWMREFYGPAILDLFAPQLLSRRDAQPRTVMPLWKANLEEPKGKQSDTSVMIVDNSTIPSRRRQRRRACASHGRRRPQVVRQTRLKLAPSIEGDGQQLKWFCDACKGIPQPAHPPVFLQTAPSAPPLHPAMSDTPDINHHSIGEASSSSAPLPPTPPPSGKASTSGFNSHESVTVHEPSPSAPPLADEDMETVEEGPIHYPTIDSTPVDVPSPSSLPASTDGEKKDDGSSGQCSICLDAPSEAVCVPCGHVAGCMSCLTEIKAKKWGCPVCRAKIDQIIKLYRV >A05g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20205681:20210100:1 gene:A05g507130.1_BraROA transcript:A05g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHEPHFFKPLLPGFHSGVTIPLGFFSKHIEGNTNQKTWKLRSDASDQTWEVIQEGRTLTGGWKDFTTAHDLQIGDVVIFKHEGDIVFHVTPFGPSCCEIQYTHPHIIKEEADAGDADDNEIRGTWAMSSFSFDYCFLAEVTASNLKADKLYLPKGATSSTALNKQCQEMILVNKEGNSWTVSLRFSESGGMYYITRGWGKFCHGKTTPLLCVCPESKECSELLSKHLSRKRGESSPLTCLRRDIASDSRITCTSKSKSIEGSKGGHGLSFIGFLIQKKMKNGSLSLNRAVGESPHETSSSHVEKSYWLLRCALSTGNGEETRESPHFLLSPKKLGFATREAIVVATPTILVATPIQSKIVHQIDAKACFWFDIGVVCIILMDPAEERRHSKKQKDHCDMLGFVADSQYGVPRKCACGGRIIDEVRGKEDYDSLPGKRFFTCVNYEDDGLHYRHPWVVAVQEEIKTLSTRLDEAEEVVKGVWKLNKRIKDLEEQVSTLSEQVDDLTVEVGTLEKVMPEEEVRPAGVKAAKASKRKRHGNEAAFDQIESILAARKKISQQKLLDRLLAKNETDLSPNEISLKNKLVTGSSCLDHGLLCLMLLGCCFFFSTDAVYYFVSLYTATML >A02p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1508963:1510861:1 gene:A02p004030.1_BraROA transcript:A02p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEGKLVTETEKNRESKEHQEIELHRNDLGLEDSSSSPRGVLGITAMASDTDNNNSSSYSSCSSFSSDDKSSSTSTSPVSNIPNKNVSSSSHGLQWTKMIESIKKKSIKRLAAILFVASYQLTRKNLGRKQPILSPSENSFFMAKPSWRNFTYEELAAATEDFNPENMIDKGGHAEVYKGVLPDGETVAIKKVMSHNKKEEERVSDFLSELGIIAHVNHTNAAKLLGFSIDRGLHFVLEYSPHGSLATMLFGTKECLEWKIRYKVALGIADGLSYLHNDCPRRIIHRDIKASNILLSRDYDAQISDFGLAKWLPENWSHHVVSPIEGTFGYMAPEYFIHGIVDEKIDVFAFGVLLLEIITGRRAVDTASRQSIVAWAKPFLEKNSVEDIVDPRLGNEFDPTEVKRVMLTASMCIHHIATMRPDMTMLVQLLHGEDGPAELQQKPGERAEVSVNACDVQDHTSSSYLNELTRHRQLLME >A03p017320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6982485:6983129:1 gene:A03p017320.1_BraROA transcript:A03p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSAFSEMLGSEYESPTLSGEYCPTLAASCPKKPAGRKKFRETRHPIYRGVRLRNSGKWVCEVREPNKKSRIWLGTFLTAEIAARAHDVAAIALRGKSACLNFADSAWRLRIPETTCPKDIQKAAAEAAVAFQAEINDTTTDHGLDVEETIVEAIFTEENSDGFYMDEEESMFGMPTLLASMAEGMLLPPPSVQFEYNYDFDGDTDVSLWSY >A10g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17021122:17022160:-1 gene:A10g506190.1_BraROA transcript:A10g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFFAELSGVVLPACYGVLRFVMESRAKIPAMFVVFDKEMTKLTNKEFVVLPLKELPNGGEDELLPLKSLLARSVFQIRVTLYNFTPNHHTFSVSTITEDLIIDNQADVCNLAGESLVFPAFIYTSLSEAAENILPSSEGARGLTASSSDVAVVGKKV >A07p013780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7316134:7317138:1 gene:A07p013780.1_BraROA transcript:A07p013780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT1G31320) UniProtKB/Swiss-Prot;Acc:Q9SHE9] MKESSRKQGAASPCAACKLLRRRCAQDCVFSPYFPADEPQKFANVHRVFGASNVNKMLQELPIHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQGQLALAQAEVVHLRVRHSTNFPGNGLCPDSPSSSGSPSSKQVSPQDNKGIFSHMDMVDEASLGESMWSC >A02p023380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11620419:11621305:1 gene:A02p023380.1_BraROA transcript:A02p023380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSALAVRERIVRAFLVEEQKIVKKVLKLQKAKEKVAPRS >A04g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2690238:2691537:-1 gene:A04g500990.1_BraROA transcript:A04g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSSNNGEFLHLLADLKRVSVRPQPRRFRDSTIVSQDVDALALQSYIGMDKSLGILSPSIYIYLSFSLSIFSHHIEFLCNFNCRLSVCAIQFPEMMYHMPLASLMYSHGNAFDSGQMYELCINLSIHLCVNLDRLPRVAYLCWHYHSSMTIPHTRNKQQQAFERVSILTIGLTFTDITWCCTAP >A05p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31765:42562:1 gene:A05p001040.1_BraROA transcript:A05p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVGFLLPWLLLAAALINWSLISFVDLIAFLLLLFIAPDFGYRFQRSHWLLWPIFFFSCLIIVAQVVYLVLSDALAPDWDTSDTGWMSLTGFMILKSWRNPTVMYFLALQLLTSLVSLADIYTSRFGLVPWRDTCWSHFSQVFEHLGSHLRVATCLLLPAVQLAVGICNPSWISLPFFIGSCAGLVDWSLTSNVSGLFSWWRVLYIYSGFNIILLYLYQLPINFSDMIRWIASFIGLFRISAETEGPDICSGLFLLLFFIMLSYVRSDLEDMDFIMSTSENNLAERLLPPKYSFFIRESRAGVRHTNVLLRGAVFKTFSINFFTYGFPVSLFALSFWSFHFASLCAFGLLAYVGYIIYAFPSLFRLHRLNGLLLVFILFWAVSTYIFNVAFSFLNTKVGKDMKIWEMVGLWHYTIPGFFLLAQFGLGMLVALGNLVNNSVFLYLSEESSRSSNDSSYAEADEETKVLVVATIAWGLRKCSRAIMLALIFLIAMKPGFVHAVYVIFFLIYLLSHNINRKIRKSLILLCEVHFALLYILEIDLMSNSLKRQGSVSREILFQLGLLRSESSWDFLEIALLACFCAIHNHGFEVLFSFSAIVRHTPSPPIGFSILKAGLNKSVLLSVYSSPSSSYCQDNTTYERHIASFLSAIGQKFLSMYRSCGTYIAFITILISVYLVKPNYVSFGYIFLLLLWITGRQLFEETKRRLWFPLKAYAFLVFMFIYCLSSFVSLQLWLSGYIDLYFYLGYNSKAPLLDNVWESLAVLVVMQLYSYERRQNGHYIPGQSSLLQPGVFGFVERFLVWHGQKILFAALFYASLSPISVFGFVYLLGLVICTTFPKSSSVPSKSFLIYTGFLVSTEYLFQLWGMQAQMFPGQKYAELSFYLGLRVYEPGFWGIESGLRGKVLVVAACTLQYNVFRWLERTPGLTIIKGKYDEPCPLFVSAEDTTASVSSSNGENPSSTDHSSLLMKQGEATSNSWPFFSPRDNQAAGFFHPKTGGSESGSSRKFSFGHFWGSIKESHRWNRRRILALKKERFETQKNLLKIYLKFWIENMFNLYGLEINMIALLLASFALLNAISLVYIALLAACVLLRRRVIQKLWPVVVFLFASILSVEYVATWNNSLPFDQAPSETSVHCHDCWSIAVLNFKFCRDCWLGVRVDDPRTLISYFVVFMFACFKLRSDHIFSFSQSSTYHQMKSQRKNSFVWRDLSFETKSMWTVLDYLRLYCYVHLLDVVLILILITGTLEYDILHLGYLAFALVFARMRLEILKKKNKIFRFLRVYNFVLIIFSLAYQSPFVGNFNDGKCETVDYIYEVIGFYKYDYGFRITARSALVEIIIFMLVSLQSYMFSSQEFDYVSRYLEAEQIGAIVREQEKKAARKTEQLQQIRESVEKKRQRNLQVEKMKSEMLNLRVQLHKMNSDSNIGLTSPRTEGLRRRRNPFLIPDSGAASPEIDGVVHRKEEQPIVEDPQYPFEAQELPISTTPEAPDSPECSFGASPCEITEVQQDLDAMPMEHERKEKSEGKDNPLISAVQLIGDGVSQVQFIGNQAVNNLVNFLNISPENSDTNEQSSVDDEVYDEMESQKRIQKPFERSTSLQSDRSSDGASFQIGRILRHIWSRMQSNNDIVCYCCFIIAFLWNFSLLSMVYLAALFLYALCVHTGPTHIFWVLMLMYTEIYILLQYLYQIIIQHCGLSIDAPLLHELGFPTQRIKSSFVVSSLPLFLIYIFTLIQSAITVKDGDWVPSGDFTSRRNARGSQKDLTRSSWSQKILDVYKRLRDGAKLVMRGICRYWISLTRGAESPPYFVQVTMDVHMWPEDGIQPERVECRMNQLLRLVHNERCEKENPDLCPYSSRVHVQSIERSTETPNEALVVLEVEYASPTNGCCSAEWYRSLTPASDVAKEIRKAQHSGLVEGTGFPYPILSVIGGGKRETDLYAYIFGADLMAFFLVAIFYQSVIKNKSEFIDVYQLEDQFPVDFVIILMVIFFLIVVDRVIYLCSFATGKVVYYLFSLILFTYAVTEYAWSIYPTQQHAAGLALRFIFLAKAMSLALQAIQIRYGLPHKSTLYRQFLTSEVSRINYYGYRLYRALPFLYELRCVLDWSCTATSLTMYDWLKLEDVNASLYLVKCDTVLNRATHKHGERQTKMTKCCNGICLFFILLCVIWAPMLMYSSGNPTNIANPIKDASVQIDIKTIGGKLTLFQTTLCKRISGDDIDLGLDLGSQSFLPTYNKNDIQLICCQADASVLWLVPDTVVTRFVQSLDWDTDMDITFSWVLNRDRPKGKETVKYERSVDPQDLPKRSDVQMVLNGSMDGFRVQNLYPKFFRVTGSGDVRSFEDQTDEVSADILMNHADSKWWWSFHNLKASENISACEGMDGPVAIIMSEETPPQGFLGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDLYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKLDYDA >A05g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1202484:1205470:1 gene:A05g500300.1_BraROA transcript:A05g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSYVLKAWEVTLRKTQQAKKRANSVFGTVSVAPHADHDVTSDENDDETTTNRSSLEEFYHAERVLPNGDYYTGQWYDNFPHGQGKYLWTDGCMYIGEWYNGKTMGHGKFGWPSGATYEGEFKSGYMDGIGTYTGPSGDAYKGQWVMNLKHGHGVKSFANGDAYDGEWRRGLQEGQGKYQWSDGCHYTGEWKNGTIFGKGSFVWTNGNRYDGCWDEGFPRGNGTYKWDDGSFYVGNWSKDPEEMNGTYYPSGNEGSLEWDPKDLFNNLSEYTICNGERVPMLPSQKKLSVWNSSKRIEKPRRMSVDGRVSVGVDNRAFEKMNMWGNDTSEGGADMRKELDAELMRLEAEGLHSLKPSPAPMKLPKAGRKQGETISKGHRNYDLMLNLQLGIRSLRKLFKVDPADYMLSICGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLRMLAAYYNHVRAFENSLVIRFFGLHCVKLNGPTQKKVRFVIMGNLFCSKYSVHRRFDLKGSSLGRTTDKPESEIDSNTILKDQDLGFIFRLQKAWYQEFIRQIDKDCEFLEQERIMDYSLLVGIHFREASVAGELIPSGAQTPIGESEEDAGHRLSRSEVDDLLSEPSRCASIRLGTNMPARAERTMRKNDSELELVGEPTGEFYDVVMIFDYDISKKLEHAYKSIQYDPTSISAVDPRLYSRRFRDFIFKVFTDDN >A02p052650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32178319:32179033:1 gene:A02p052650.1_BraROA transcript:A02p052650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKISEWREKLLNSLDVSGKGLVGFHSSITRMARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A03p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7233902:7235508:1 gene:A03p017760.1_BraROA transcript:A03p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFPCFRARAAQSTTNSPVSQRKHLLRLVFIRTNISMMSGTTPATPVKIRKAPENLETPQRGKQFTSSQFDSWISSNSDAVIHLDDKTAEPCGEEVSEQTPSSCITDSQNSAKISTGNSDAGEESQGSIGTAFRDGVDRTIKVPLRAENITGKIKSVRFECDFDQSYSSSSSKNTNSKIPEKAGESTLSVTCEGKLEDSSASVSPWVKQSEEKSNNNISTITSRDRPIIGMVAAHWNEKEQSQISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEEGGQGFISSRKLEVMEDKERDTAISQLHHSAQSTSIVSF >A02g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22401665:22404885:1 gene:A02g508110.1_BraROA transcript:A02g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSNSMSRSLHVFFLDLVTGEVRLILVDFCLRRLGILKTHCFGLVAGDKCHDIADHFSYAGSGCHKWLEPSRWRSPINRSNSMSRSLHVFFLDLVTGEVRLILVDFCLRRPGILKTHCFGLVAGDKCHDIADHFSYAGSGCHT >A06p001820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:817566:817847:1 gene:A06p001820.1_BraROA transcript:A06p001820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHCKSGDKDIGFRVVNKGGLYEYRVRIGLQKTTLFFCGFSHGKIKKGIFDLYDASRDSDRCNKCTWKAVGNGIYGYTDKPHQAVLFYKWIK >A06p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5697678:5698461:-1 gene:A06p012440.1_BraROA transcript:A06p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFVNAIINGVFVYIKGKGDNNLIIKINKTQFKIKQSKANRFSSLMAMSGTYVTDVPLKGTAEKHYKRWRSEHNLFPDAIGHHIQGVTVHDGDWDSHGTIKIWNYTRDGKEEMFKEMIEMDDEKMAVTINGLEGHVMEELKVYVVTFHFIPASEEGCICKVTMVWEKRNEDSPEPINYMKFVEMMVADMDDHILQDQE >A03g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25519163:25522822:1 gene:A03g507230.1_BraROA transcript:A03g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVQRDVTDTKRRRRRRTAGNYRACTSSSMGHLVRVVVGDWQRFAHGTWRFDINHVDVKYDLVLKENETYEELVAMVRGKYQIHHTEPVALTYDFPEWMKVPGDYTTPPVDILDDKDVELFMAVRMDFANLMLCVTYGNVDVARYSQIQREEFGLTEDGTDVVPPKPIPWRGFRSGGYLQVSEERLMTICSSEQMEEIRRTAVRLTKREILHPLEVMDGVSSESDSSDEMEVFTQDGEGMIRLEEMTTEQQPEAGLTLAIAINNDVASGSTDKGKGIMTESGMGGGPMFSLQMWSGSGDASEDAYPNPEGAYTGHDVNAGDEGDEEMAKYDNLYVGQVFVDRDAFKASAYSGSIKPVEQANIAVYKFGVDGEDGHLLPPATRRPPGRPRKARFASRGELVVLSSAAGPAAGVEGRTITRSRVRCLSEGKDED >A05p004230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1618230:1620798:-1 gene:A05p004230.1_BraROA transcript:A05p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSLNRLLWNFFVHDSPFKKRRELYQGVLYNVIKRFQSRLPESSGKELEDSHDPNKTPNSSSSSSSLENRRGVESGIESDSSGDEDTGDGKWKLDLAWLTKALEPASQLRRWALPTGERSQPGNRSLSEIIASIQKSKLGIEGWTLGDLTIGLYLIYLRQASLSPFEDVKGVQVVSESTVSDLIYNAELAKGCYRDSVSGLAKNTMLRENNILKFVKDSSVMRPGYYVGVDHRRKLVVFGIRGTHTIYDLITDIVSSSDDEVTFEGYSTHFGTAEAARWFLNHELQTMRRCLERYEGYKLRLVGHSLGGAIASLMAIMLRKMPREELGFDAEIISAVGYATPPCVSRELAENCSEFVTTIVMQDDIIPRLSQASLARLRDEILQTDWTSVIEKEEWKSVLDLVTNAKQVVSSVQDAARKVSEYAKFGNKNEFPELPSSKNNQSDSLSTETTTKDVVKLPEELYVPGAVYYLMRNVRGNTKSSAGKKVEYFSLWKRDPGQHFQRILLSGNFITDHKCDSHYYALRDVLKGFPGFIDESIFNKRSK >A01p038090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14424988:14426995:-1 gene:A01p038090.1_BraROA transcript:A01p038090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAKEVNGGDARDLHSLLSSPARDFLIRNNGEQVKIESLKGKKIGLYFSAAWCGPCQRFTPQLVDIYNELSSNVGFEVVFVSGDEDEDSFKDYFSKMPWLAVPFTDSETRDRLDEVFKVRGIPNLVMIDDEGKLVNENGVGVIRSYGADAYPFTPEKMKEIKEEEERARREQTLRSVLVTPSRDFVITRDGNKVPVSQLEGKTIGLLFSVASYRQCKEFTSKLEEVYKKLKENNEDFEIVLISLEDDEEAFKQDFETNPWLALPFNDKSSSKLTRHFMLSTLPTLVILGPDGKTRHSNVAEAIDDYGVVAYPFTPEKFEELKEIEKAKLEAQTLESLLVSGDLNYVLGKDGAKVLVSELVGKNILLYFSAHWCPPCRGFTPKLVEVYKQIKEKDEAFELIFISSDRDQESFDEYYSQMPWLALPFGDPRKTSLARTFKVGGIPMLAALGPTGKTVTKEARDLVGAHGADAYPFTEERVKEIEAKYNEMAKEWPEKVKHVLHEEHELELTRVPVYICDKCDEEGQIWSYHCDECDFDLHAKCALKEDANINGDDAVKEGGGESQDGWVCDGNVCTKS >A03p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16352078:16358296:-1 gene:A03p039380.1_BraROA transcript:A03p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREICEYREKLDKTLTSPELTNDKTLKTLIRNQLKEEECSVDMLDQRVADLSSVLDKLRSVSTKDQDLSKSTNKASSSDWKVKHDHEDCRVMYREGLEGSPFHTLLVEGYMDGPIQDCLCVSWESSLYEKWWPNSVFTAFRILQSKCLQKLRINEQICLVRVKVPWPMTNREAIVQFFLFECFKDGLVIILINSISASQVESIGISEEAENAVRIDLVGGVAIQKVSPERSYLRYIVEFDIKLDLIPPSLINFMSRQLLGNGFRLFKETICSVAKSEDYAKVLDGPLYTLVRKALYPPTDNTDENVQASERAPSKGNVHEIEEEEEEEEEDKSVSCFSEEDENVIGKSQNNDGETLFCLSPEVKQALGTLERVISMVRKSKKYDNTSTSSEEEEGSSSSSLSPSPKQYSESTAAVSSSKVCKDPKTDVVDEASLTHYHNQNNNNNNRRSGSSSFAASPKNDLTTNFEEVTRITISQATTLFSRTEENSDDKPSGLEGGKRSTLQRKRRPGCFGVRKSISMEGSADQTTQAISKLSMDPAPSSTAEAGDGARSKNALKKELKMKQREEERKRKEEEKAKQAPKASSQKSVASSADDEDMDPTQYFENRLKYLAAEKAKGENPYPHKFAVSMSIPEYIEKYGGLNNGDHVEDAEVSLAGRIMSKRASSSKLFFYDLHGENFKVQVMADASKSGLDEAEFSKLHANAKRGDIVGVTGFPGKTKRGELSIFPRSFILLSHCLHMMPRKADSVGAKKPENWVPGEPRNPEAYVLKDQESRYRQRYLDLMLNVEVRQIFKTRANIISYVRRFLDNQRFLEVETPMMNMIAGGAAARPFVTHHNDLDMKLYMRIAPELFLKQLIVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMKMTEDMLSGMVKELTGGYKIKYHANGYDKEPIEIDFTPPFRRIEMIGELEKVANLSIPKDLASEEANKYLIDACARFDVKCPPPQTTARLLDKLVGEFLEVTCVNPTFIINHPEIMSPLAKWHRSNDVLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCSALEYGLAPTGGWGLGIDRLAMLFTDSQNIKEVILFPAMRPQDDPASVKASLQAEN >A02p030610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15624742:15626749:1 gene:A02p030610.1_BraROA transcript:A02p030610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT1G78660) UniProtKB/Swiss-Prot;Acc:Q9SYL6] MWKLCFCLPFLLLDIGVAKASASIYLPSQTGADGSSSPVCSSPDPNLNYRPIIGILSHPGDGASGRLTNDTTSTYIAASYVKFVEAGGARVIPLIYNEPEDVLFKKLELVNGVIFTGGWAKKYEYFDMVTKIFKKALERNDAGEHFPIYGICLGFELMSIIVSQDRGILQRFDAEDNASSLQFVENVNIEGTLFQRFPPELLRKLSTDCLVMQKHKWGITPEKFKANHALSSFFEIVTTCIDENSKTYVSTVKAKKYPITGFQWHPEKNAFEWGSSQIPHSADAIQVTQYAASYLVSEARKSLNRPSSEKVLKNLIYNYKPTYCGYAGKGYDEVYLFTQPRSRL >A05p039050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23930196:23931971:1 gene:A05p039050.1_BraROA transcript:A05p039050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLQAPLVRPKRKKTWVDYFVKFRWIIVIFVVLPISATLYFLIYLGDIWSESKSYEKRRKEHDQNVAKVIKRLKERDAAKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLGEFRNILEINKEKMIARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFADTVVAYEIVLAGGELVRATKDNEYSDLFYAIPWSQGTLGLLVAAEIKLIPVKEYMRLTYIPVKGDLQTLAQGYMDSFAPKDGDTSKIPDFVEGMVYNPTEGVMMVGTYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLFGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLYKAPIKQQIYPEPGFEYERRQGDTEDAQMYTDVGVYYAPGPVLRGEEFDGSEAVRKMEKWLIENGGFQPQYAVSELDEKSFWRMFDGDLYEHCRKKYRAVGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEAD >A01g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11106264:11106589:-1 gene:A01g503580.1_BraROA transcript:A01g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVEVLRFGGVTAFCFQQQCLYLYCCAPRLEGWWGTFSSSSIFVVSALFQRVGSGGASGARSEIGDLLTVRCSRLVRSTRFR >A03g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21986194:21986482:1 gene:A03g506150.1_BraROA transcript:A03g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEKWPGHGVDGEKSAVQVYSHVQELVNLLLSIIIGDGEKLKHQHHYKGSRVSRSRSKSSSSLC >A03p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16749282:16754565:1 gene:A03p040180.1_BraROA transcript:A03p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLGSTKANGMLTTFLSLSEPIVVRCVSGFLHGVLLLVLFCSWVRGRNNGFGSVTERLKDKRGFGFKSVLFCSLVLSLLNLVLTSLSGFYWYESDWLDEEKLVSLLMFLLPTVSWGVLSVSLHRCSDYEQRKSPLLLRIWLAFNLAVSCYSLVVDKRQVPLLVYDVVSFSGGLLLCYVAFFKKARGGGNNSNGVLEEPLLNGDGRSDEATPYSRAGLLSLLTFSWMGPLIEIGNKKPLDLEDVPQLHDSDSVVGLAPKFRTMLESSSDGGVGGGGGVTTFKLMKALFFSAQWEILVTAFFAFIYTVASYVGPALIDTFVQYLNGRREYNNEGYVLVITFFLAKLVECLSQRHWFFRLQKVGIRMRSSLVAMIYEKGLTLSCHSKQGRTSGEIINFMTVDAERIGNFSWYMHDPWMVLLQVGLALWILYRNLGLASIAALIATILVMLVNFPFGRMQERFQEKLMEAKDNRMKSTSEILRNMRILKLQGWEMKFLSKIFDLRKSEEGWLKKYVYNSAVISFVFWGAPTLVSVSTFGACILLGIPLESGKILSALATFRILQEPIYNLPDTISMVVQTKVSLDRIASYLCLDNLQPDVVETLPQGGSDIAVEVSNSTLSWDVSSESPTLKDISFKVLPGMKVAVCGTVGSGKSSLLSSILGEVPKVSGSLKVCGTKAYVAQSPWIQSGKIEDNILFGKPMERERYEKVLEACSLSKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKSVIYVTHQVEFLPAADLILVMKDGRISQAGKYNDILSSGTDFMELIGAHQEALAVVGSVDASSVSEKPALGGQEDAIGLDGKQESQDVKNDKPDTEETKRQLVQEEEREKGSVALDVYWKYITLAYGGALVPFIVLAQVLFQLLQIGSNYWMAWATPLSKDVEAPVNISTLMIVYVALAVGSSLCILVRATLLVTAGYKTATELFHKMHHCIFRSPMSFFDSTPSGRIMNRASTDQSAVDLDIPYQFGSVAITVIQLIGIIGVMSQVSWLVFLVFIPVVAASIWYQRYYIAAARELSRLVGVCKAPLIQHFAETISGSTTIRSFNQESRFRCDNMRLSDGYSRPKFYSAGAMEWLCFRLDMLSSLTFAFSLVFLISIPTGVIDPSLAGLAVTYGLSLNTLQAWLIWTLCNLENKIISVERILQYASVPSEPPLVIESNRPEQSWPSRGEVDIHDLQVRYAPHMPLVLRGITCTFKGGLRTGIVGRTGSGKSTLIQTLFRIVEPSAGEIKIDGVNILNIGLHDLRLRLSIIPQDPTMFEGTVRSNLDPLEEYTDDQIWEALDKCQLGDEVRKKDLKLDSSVSENGENWSMGQRQLVCLGRVLLKRSKILVLDEATASVDTATDNLIQKTLREHFSDCTVITIAHRISSVIDSDMVLLLSNGIIEEYDSPVKLLENKSSSFAKLVAEYTARSSSSFD >A10p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1365156:1369620:1 gene:A10p002650.1_BraROA transcript:A10p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGVNAGEVSTTFVTVSTTLSPPLISNLRFRFRDTVSNMGSHGKSSRDRDRYAKQKKRRDESESESDSYSSDSDGSSPPRSSRRRKGSSRSSSRRRYHSSDDSSDSDGGRKSKKRTSSRKPSEEEIKEYIARKAQKKALRAAKKLKTQSVSGYSNDSNPFGDSNLTEAFVWRKKIEKDVHHGVPLEEFSVKAEKRRRGERMTEVEKVKKRREERAVEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRLKPIDVLCKHLDGSDDMDIELSEPYMVFKGLSVKDMEELRDDIKMYLDSDRATPTRVQYWEALIVVCDWELAEARKRDALDRARVRGEEPPAELLAQERGLHAGVEADVRKLLDGKTHSELVELQLDIESQLRSGSAKVVEYWEAVLKRLDIYKAKACLKEIHAEMLRRHLHRLEQRSEDVEANHRLSPVVEGNEEEINDMNHSDSEEAFSPEPIAEEEEDAYVETEAAGSFSPELMHGDDREEAIDPEEDKKLLELKRMVVLEKQKKRIKEAMVSKPPPVEDNLEFKAMKAMGEMEEGDAVFGSKAEVNLDSEVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKTVQGYKFNIFYPDLVDKIKAPTFTIEKDGTSSETCMIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRHRYRR >A09g513800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41930632:41933004:-1 gene:A09g513800.1_BraROA transcript:A09g513800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSTKVLIDGVKHVRHNWQILVKVLHSWKQTTAFAGNTLEFILADETGVEIAASCKRNQISRLQRELPVGEWKTIDMFAVLGISGQYRPTTHRYKLSFSEETMITKCQVLSDDHYHSLASHDDLRKIDEKKNFFLKDVIGQVVDLDGIQTVQARGKDEQRVRFRCDASGDDVACCLWGRFAEQLDQVFQDNNNEIVICLIRFAKITFFRGEVQVSNAFDASIVAINPKLEDAIELKEKILANDLPLALIEKREEKKLTKKQKQDWDEIPVRAISEILEATEVESCKIICSIESIDTDWGWFYFGCNRNRHNRRVTKQVPKLSIAGSVMSNPSQKPLFYCDICRGITPDVSPKYKLHLFVKDDSDSCIVMMLDSVAQSIIGSAATELWDGSYDEIEDPTILPQPIESLVGKSFCFGISLSTDNVNNGNTTFKVSEVWSGDKIQKIESQTEPCSLLDTYSSTLSGGEVSLVDPNKESSSEGFSTPFSKRKEADADLLDMTSTSKKPCTQKIKMENTKTEE >A07p000680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:573679:574353:1 gene:A07p000680.1_BraROA transcript:A07p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMFLNFNDLKLGAKLLVYFNKNELRTLPPLLTRQEADLIPFTKSKLDFLLNHFSISKDSPQGKAMKETLVRCNYKEIEGEYKFCGTSLESMLDLAKKTIASNADIKVMTTKVIAQNTTSYALHNYTFVETPKELVGIKMLGCHRMPYPYVVYYCHGHKSGARVFEVSLVTDDGRQRVVGPAVCHMNTSMWNADHVAFKVLKIEPRSAPVCHFFPLDNIVWLAN >A08p001060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:619342:620247:1 gene:A08p001060.1_BraROA transcript:A08p001060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSDLIVSESADWNVEKIKLYLPQYEDLIMKLVPSACDMSDNLVWLPENSGNYTTKTGYALAKINVAENRDAFNWHQCGEIETTIHAMFLCPFVKNVWEKVFVLLVPEVLGKTMKHALEWQDSTANTKPPTFLPKYFSTIVTQTQSHENAILYFSDASWNSSSCAGEMCRIRSDSTDTSFMQGSTSHTIVASTLVAEALTSRT >A09g514910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44432709:44439590:1 gene:A09g514910.1_BraROA transcript:A09g514910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVEGLEGQEKLCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRHHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGVTSQSDPLRSLPKAGATCRSDMPRSLRVYYWSNHNASSELATQLLILRHFSPERLSEYLHSQCFDIPQNWFDNLLYYNICLRSLENS >A06p038970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20937529:20938891:1 gene:A06p038970.1_BraROA transcript:A06p038970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESYSNLSWLVWIQMFVFFLLLLLLCVFGLLSSESGVSSSADSLPSTSASRRFLSGDPIPISHHHGLGFSVVQSNQMGTSQAIKGEIAPAETRRVTRTEEEESYSDEDSSSSSSLYHPCNLFQLAGTAFLKCFGLDRSTEETDDSLRPESKKQR >A06p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3315237:3317074:1 gene:A06p009430.1_BraROA transcript:A06p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSDKNLLKTGLVTIATLVIAKLIYSFFTSSSKKKRLPPTLKAWPPLIGSLLRFLKGPIVMLRDEYPKLGSVFTVNLLHKKMTFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYTVRQEQFRFFTEALRVNKLKGYVEMMVTEAETELERLIILTASRCLLGREVRDQLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRAREKLSEIFTKIIGSRKRSGKCENDMLQCFIESKYKDGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLMKYKDYFSAALDEQRKLMEKHGDKIDHDILSEMDVLYRCIKEALRLHPPLIMLMRASHSDFSVTARDGKTYDIPKGHIVATSPAFANRLPHIFKDPDSYDPDRFSPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGSVMVRYKRRQLS >A06p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5510682:5517745:1 gene:A06p012040.1_BraROA transcript:A06p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYNNTISSSSTQSFPILAIGANNFNREETAMTMNQRPNSVSPPPRRLRNKPGNPSPDAEVIALSPEEIMATNRFQCEVCQKGFQREQNLQLHRRGHNLPFNLKQKSDEQVRRKVYVCPEPTCVYHDPSRALGDLTGIKKHYYRKHGDEKKFKCEKCNKFYAVESDCKAHFKNCGTKEYRCVCGTKFSRSDSYETHRAFCEALAQESAGNPNLSFTEMLAAAGGSDGSSRHGLYGGASSGLSHNHFGDNSNSGFAPPAAGFNLNRSSSPKFEDFFPQSTNPNHGPTTNFPMQYPSNQGLLARNDQNLMNQHGLINSNNNNNNLFNPGYFQANTQNLSDQTGGPPLFHHADNNVPSALLRGSSMAANNFGGSGNANSQGQMNSLAATSGHQGRPGSSIFDHRLGNNLSIGGPDRRTLDFLGVNGRGGRNEPPLDLDMKFSDPNNPFGNVLDLQELRWRLVLSCMYPISFADVFACSPFRSFIRLLLNLMFEWFMVIRSLSTTQRSDRVLVSHLLHQRHLLVTPSSSLTLPFRMSSSYNNTISSSSTQSFPLLAIGANNFNREETAMTMNQQRPNSVSPPPRRLRNKPGNPSPDAEVIALTPEEIMATNRFQCEVCEKGFQRQQNLQLHRRGHNLPFNLKQKSDEEIRRKVYVCPEPTCVYHDPSRALGDLTGIKKHYCRKHGEKKFKCEKCDKFYAVESDCKAHSKICGTKEYRCVCGTKFSRSDSYETHRAFCEALAQESAGNPNLSFTEMLAAAGGSDGSSRDGLHGGASSALSHNHFGDNSNSGFAPPGAGFNLNRSSSPKFEDFFPQSTNPNHGPTTNFPMQYPSNQGLLARNDQNLMNQHGLINNNNVPSAQLRGSSVAANNFGGSGNVNFQGQMNSLAATSGQQGRPGSSIFDHRLGNNLVSGSTRIKAQPNTSERAHLHQRSSSQQDQVLSSSVTERLSTESTSQLPIHNSFALLSICCAG >A02p058710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35185255:35191586:-1 gene:A02p058710.1_BraROA transcript:A02p058710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRFLSLVRGDSDESPREITSPSNLISESAGSNGWLIRFFDSAFFCEWIAVSYLYKHPHAGVRDYLCNKMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDICAKSLKIALKVHWFLLAELEDSEDSEGIGRIQEKCQIAATIMGEWSTLMRVQSEVSTPGSKNQVLSRLLSSKQKLFSLKLSPPMQKSLSFSPSPGSSVQDDGSQLPAEDSKIFKKLIPSPKVRDALMFRKSGERDDEESEKEGFFKRLRRDSKGEGDEATSNSEGFFKRLMKDNKSEDEEITNSSEGFFKRLLSSKGENEELTSSSDGNGMFKRLLRDNKGDEEDLSANSESFFKRLLRENKKDDEESNANSEGFFKKLFRDSKTEEDKVPKAIDDEDKDGFFKKLFKDKVDDKRHADEKNDTDGAVLADDKSGEEDEREGFFKKLFKEKPDDKKDIVKADDGSESEGDESPEFSLFKRLFRTHSEDAKPTSEIENTSNGIVESSPGTENFFRKLFRDRDQSVEDSELFGSKKHKEKRPGSPKQQDDTTAGKPPLPKNTASQFRKGAYHESLEFVQALCETSYGLVDVFPIEDRKIALRESLAEINFHLSEAETTGGICFPMGRGVYRVVHIPEDESTLLNSREKAPYMISVEVLKAATPSAKDTSISQKLSRGGIPLANGDAFFQKQPAWAYPLWTTQEAYRNSADRMSLSTAQAIDQAMTPKPDVKVKLVSVSFSVESCTASLESLSDPFDDVLAEAPKTGLNSDFEWVRVVVTADPGLRMESIPDPAVPRKKEHRRVPSTVAMEEVRAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMLKEGDALSGELWDGKRERIRKASIYGNLPGWDLRSIIVKSGDDCRQEHLAVQLISHFYENCLVHVLDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRYPNITSLRDFFAAKYKENSPSFKFAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDADGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGYPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >A04g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3302477:3303016:-1 gene:A04g501220.1_BraROA transcript:A04g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARPVPRRAITVESRPINPDQSLLNAAVFVESFTALLTCEGKPNGENSSLLLADLKGGRCRNTVEVRLLKFWEDRNVKKRGELMGVDMLIFA >A09p053070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46188252:46189016:-1 gene:A09p053070.1_BraROA transcript:A09p053070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSDESPPFQRRRSFLFPMKQLCSLSMVLMVTSFLSRFRPPPDPPPWSLCMSRPFKARSHVVPPEPPDVPFLLAPPLQNVKSSVNPVVFLPRCSSPISAQFLFSARATVYLCRNLLSSRILNVVSTLAAEALTLQVALPSASSAGFSKLQVISDSIVLFSALHSWMDLNKIAGCLFTNLATLFCPLSFNFYQCTALCLAVAIAMCVCSSDYVPLLLFFEI >A09p066640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52807934:52810360:1 gene:A09p066640.1_BraROA transcript:A09p066640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRGRYQPGTGTGRGAYQQQPQAQQHVQRGQPQNHQQQQWSRRAQLPGNATNANEIQTSSSQPPVASSDPNGQDWKASLRLPPPDTRYQTADVTATKGNEFEDYFLKRDLLKGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTGAFCIPVLERIDPNTNVIQAMILVPTRELALQTSQVCKELSKYLNIHVMVTTGGTSLRDDIMRLHQPVHLLVGTPGRILDLTKKGVCVLKDCTMLVMDEADKLLSAEFQPSLEELIQFLPQNRQFLMYSATFPVTVKAFKDRHLRKPYVINLMDQLTLMGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMVQDHRNRVFHEFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSESYLHRVGRSGRFGHLGLAVNLVTYEDRFKMYVALSLLPCIRLSKNLELKSNQFLRISIKQSTASKPVTARENVSTRRDTVLMKMVFLKLEGDEGTDTWWFM >A10p036560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20794818:20797492:1 gene:A10p036560.1_BraROA transcript:A10p036560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRFNHQFSISLALSCFFLTTFSFTEDVELTSLLRFKSSIDDPKNSLSAWSNTSSSHHCNWTGITCTRAPSLYVSSINLQSLNLSGEISDSVCNLPYLTHLDLSQNYFNQPIPLHLSACLTLETLNLSSNLIWGTIPDQISEFTALKVLDVSSNHVEGKLPEGLGSLLNLQVLNLGSNLLTGLVPNVIGKLSELLVLDLSENSYLVSEIPSSIGKLDKLEHLVLHRSGFQGRIPTSFAGLTRLKVLDLCLNNLTGSLGTSSLKSLVSLDVSQNKLTGSFPGGVCSGKSLVSLSLHSNAFEGSLPSSIGECLSLERFQVQENGFSGEFPVKLWSSPRIKIIRAGDNRFTGQVPESISMASSLEQVEIDNNLFSGEIPHGLGLIKNLYKFSASENKFDGELPTNFCDSPSLSIVNISHNTLSGKIPEIKNCKKLVSLSLAGNGFTGEIPKSLAELHVLTYIDLSANKVTGLIPRELESLKLALFNVSYNKLSGEVPPSLVSGLPASFLQGNPGLCGPGLPRSSCSSDRSSFRIALLLVLICLALALAASLFISYRYYKKKAQCKSPWRSEFYYPLRLTEQELMKAVNESSPSDLYVLRLSTGELIAVRKLVNSRNLTSKALKAEVRTVAKIRHKNVIRVLGFCFTDELIFLIYEHTQNGSLYDTLSNPGGGGDQLQWGVRLKIALGVAQALAYISKDYVPHLLHRNLKSRNILLDKDFEPKISDFALDHIVGEAAFQTYYSCYTAPENNYSKKATEEMDVYSFGVVLLELVTGQRAEEGESLDIVKQVRRKINLTNGAAQVLDQKIMSSSCQSDMLKTLDIALDCIAVAAEKRPSLLQVIKALEGIIISSASTELLPVSA >A03p028630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12032791:12033343:1 gene:A03p028630.1_BraROA transcript:A03p028630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSYGSGSWTVKQNKAFERALATYDQDTPDRWYNVARAVGGTTPDEAKRQYDLLVRDIEIIDNGHVPFPNYTTTGGRTKGRLRDEEKRINHLLLYKDSLTSYAKQCESLNLPSVSFSVPP >A03p017970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7339811:7341231:-1 gene:A03p017970.1_BraROA transcript:A03p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGVKRGPWTPEEDQILINYINLYGHSNWRALPKHAGLLRCEKSCRLRWINYLRPDIKRGNFTPQDEQTIINLHEVLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLNEDHNNGGVTNNLNGTNETAHEDKESVIVDTTPTQQFTTLEISNNNKADDMMSYEDISALVDDSFWSDVVSVDNKNSKEKMIEDWEGMLNSNSKIYSYNNSKLYNDDMEFWFEVFNSSRRIEELSDIPEF >A05g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21638317:21643730:-1 gene:A05g507590.1_BraROA transcript:A05g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVHDSYILVISGRWIMYDTGDWDFKLDSDLGTSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEVDGKILYLRPMGNLLKSKEVASSNEMQSGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTTCEVQNKVDTTEDAALGEGEDDDDEDYDYNLWHDFVGRNCEWGDDKDDDGGVGGGCRTNVTYGGVRGEVVTKTRSGRTNPSSNKGSGPSTNKQRTANPPSTFEDYVDEGRDYIGSSRISMENIEEASNNLGVKSSDQVADTENHSDLNQEEDPSLDNNSQMLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVSSRPTHDTSDGVYEDDDFVEPMGLDYSYSQPSESEDYGGHDSSDTEDREVEDIICRDQADLNYNYASTVQYPLQPEVEFGFPQTCYCGGRLKLATSRTVNDPGRRYYTCDTVNDGDCHVHKWWDDAVMEEMRARDTHTLQLSEKVDYLTFLNDYDPQLNKLKEFQNETEQKLVRLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGFVIIFRTPNNLSSQHSTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCKDFKNDALHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFELAQMREEIKELKQLIMVDR >A04p035260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20356918:20359385:1 gene:A04p035260.1_BraROA transcript:A04p035260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISRVLPTDGRLSHCTSWVPVTLVRRAQTPPDSLKLFPVSKAGVSLRIQNSSRPLRSVFALESPSSRSSRVACNAAANMSGDAPESTPKELSQYEKIIELLTTLFPLWVILGTLVGIFKPSLVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPVLGFLIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLALSTFQVVLVPTIVGVLANEFFPKFTSKIITVTPLIGVILTTLLCASPIGQVSEVLKTQGGQLILPVALLHAAAFAIGYWISKFSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVFWRNQPIPEDDKDDFKE >A08p041530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23420538:23422282:-1 gene:A08p041530.1_BraROA transcript:A08p041530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSPAKSPVLSLHQALHFTPIPECEEEDLHEERYKNKATPSSNGGSSATPSRHHKHTLTPLHHNEKPKNKKRHDDNNDEDGGAAVSCNNCRPHHSHREKFSVVPLESHNNNTSFIYSPNLIIKSIFQSLTRKSPKLSSTASSTTDASREEQWRLAAAELSHKLIQATKKKEDAVTEASKLKTSMSELEKKLNKLEVYCHNLKSGLNECSNNNKKQSTLFQKDGINDKIIQQFLVSVSESRTSIRALSRALASQLRTVGGKVYERLSLLLQPFDVKINSKSLILYLEAILSRAFFEDFEASGFQRTGSTRILNPIDRCESSYASFNALTELTWEEVLSRGTKHFSEEFSQFCDRKMSDVVSMLCWNRAWPEPLLQAFFGASKSVWLVHLLANSVNPGLQIFRVEKDDRFDPVYMEETGGDRFKDLVRAMVQPGFYVYGSVVKCKVVCKHYSSVEEEVVEDSMVKECNKSLISICSPLGG >A01p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1663015:1663650:-1 gene:A01p003820.1_BraROA transcript:A01p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTASTNGMWMLEDCKRSFMEMKWKKVHRYIVFKIEEKSKKVAVDKVGAAGETYHDLAASLPEDDCRYAVFDFDYVTVDNCRMSKLFFITWSPEASRIREKMMYATSKSGLRRVLEGIHYELQATDPTEMGFDKIQDRTK >A08g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17154480:17155802:-1 gene:A08g509010.1_BraROA transcript:A08g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNEDQVAQGAQDESMCDVTDVTDDTTSADYYFDSYSHFGDVIYQNKFLIKDKVVLDVGAGTGILYLFCAKAGASHVYAVECSQMADMAKEIVKANGFSDVDVIISEWMGYFLLFENMLDSVLFARNKWLVDGGVVLPDKASLFLTAIEDSEYKEDKIEFWNSVYGFDMSCIKKKAMMEPLVDTVDPKQIVTDSKLLKTINILKMSSGDASFTAPFKLLHNAMTTSTLL >A10p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2630985:2632819:1 gene:A10p017090.1_BraROA transcript:A10p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVSLILGLIAGWLAFVVGLLIGWAWRPRWVSSSDKERVKLECSAPTRSFDLSLPSSPSPRSATSPLKGFGSAPCLKALVCDTWTMALRQQKTVSHVSSSSSSSSSCDSSDVIAGGKKTEERLPNTVTELDLRQLVQLVERKDGGQAWIQMMDRFTPGMRYQAWLREPKNGPTEYRSRTVFEDATPEMLRDFFWDDEFRPTWDTMLASSTTVEECTSTGTMIVRWIRKFPFFCSDREYVIGRRIWNCGKSYYCVTKGVSVPCIPRNNKQKRVELFYSSWCIRPVESRREDGVASACEVLLFHHEDMGIPREIAKLGVKRGMWGAVKKMEPGLRAYQEQRLSGSKLSRPALMAQINTKVTSEHLISLSDGASPVSETPVTHNGGNVAANLKKLLFIGGAVAVVCALTGGGGGALVPPALLGFGKRFVNGGRKREPQETSRTTTQSQTTAT >A04p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3201803:3202794:1 gene:A04p006220.1_BraROA transcript:A04p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDNNLRDLLTIQSDDAITIDEIWRQIFPGFILDLLQLFVVNIYEDSYKICYWMPLDFILSGFKLLGFKFVELQGNNWWYPLPPFLLESSRHHYYFCSSCHWTLPVFISD >A04p016280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10021433:10021723:1 gene:A04p016280.1_BraROA transcript:A04p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGWVSLRASSLQKSSTRPFSVMLRLKADAFLWCGPLFSHYALSLCGARVGSFSLECCFFGSRRLQVKWWLSVAVVGQHCGTLGFAHIPALHKIT >A01p002050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:949157:951850:1 gene:A01p002050.1_BraROA transcript:A01p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKKDHNSDSDSDGPPEEFTQEQAKVEDAALRRIQRENKARVAREKKESRRHLLEKITPKKSRKIESFEEEEEEALANKGFLSKNIIDFLAQREKLKNSSDSEQEEANKEHPRKKKQKSSGIETVLYKEIPPPECLKTGLDFLKKRKAQVPRSSAILKNSSQALRLITGAASTKKHRFIAITIFVLETRLSLYRSSRIKGFVERKEHEKGNLEPIPGKLLHVLLPYDMEVETLTRLPGKSLMKFLCVSKTWSSLIRSQRFVASYYAAKPSRFVVAFTNSACSDPKRLFILSGEEEATSSSSSSSLVANLDMTIPSVTLRHGAYKYFSVHGSIACFELSNFIICNPSTGQVVTFHCKAPGTSLGYDPVDDQFKALTQVTSNYDHNPSVMVHEVINTWTRRSSVSNERILSFITTPQEVLVWQAFTSLIEYKGKPAVVVPICLGEGGSAFDRFNLWILEDVTRHEWSKQTFELSLSLPFTLGMGQRMISQGTNKAGEIIFSPTNLQHRAQPFYVFYFNTDTKSTRRVRIHGAADTEEFWSRYGLTGICCVSFSPQHNDSIAFL >A09p076850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57386044:57388301:-1 gene:A09p076850.1_BraROA transcript:A09p076850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRGLEGDYWQKPRVIPLTKLSHSLANYFHIRACFLSLSSSPISEMADKKIKIGINGFGRIGRLVARVILQRNDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHNELKLKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDDIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLIIHMSKA >A01p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8136415:8138571:1 gene:A01p016440.1_BraROA transcript:A01p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTSAVACYGIAVGGGFASYLLGLNHKTYELSGANMEGNSEKSVKEPGLGWMTAYLFAVCFIGLFVLIPLRKVMIIDLKLTYPSGLATAVLINGFHTQGDAQAKKQVRGFMKYFSFSFLWGFFQWFFSGIEGCGFAQFPTFGLKAWKQTFFFDFSMTFVGAGMICSHLVNLSLLLGAILSYGLMWPLLDKLKGSWFPDNLDEHNMKSIYGYKVFLSVALILGDGLYTFVKILCVTIISINARMKNKPNDLDDVGDKKQRKFLKEDENFLRDKIPMWIGVSGYLTFATVSTVVVPLIFPQVKWYYVIVAYIFAPSLAFCNAYGAGLTDINMAYNYGKIGLFVLAAVTGREDGVVAGLAGCGLIKSVVSVSCILMQDFKTAHYTMTSPKAMFVSQMIGTIVGCIVTPLSFFLFYRAFDVGNPNGEFKAPYALIYRNMAILGVQGFSALPLHCLQMCYGFFGFAVLVNVVRDLTPAKVGRFMPLPTAMAVPFLVGAYFAIDMCVGTVIVFVWEKMNRKKAEVMVPAVASGLICGEGLWTLPAAILALAGVKPPICMKFLAS >A01p025980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19841678:19843785:1 gene:A01p025980.1_BraROA transcript:A01p025980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLGGGGGGGGGGNSQEEHRNPPPPVSEAWLWYRNPNTNANAPSSSNAALGTLELWQNHNQQEIMFQHQQHQQRLDLYSSAAGLGVGPSNHSQFDISGETSNAAAGRAAALMMMRSGGSGGGGNGASCQDCGNQAKKDCAHVRCRTCCKSRGFDCPTHVRSTWVPAAKRRERQHQLATVQPQTYQPRGDSGVPKRQRENLPATSSALVCTRIPTHNASGLEVGDFPAEVSSPAVFRCVRVSSVEDGEEELAYQTAVSIGGHIFKGVLYDKGPGSSGGYNAIATGESSSGGGGGHQLNLITAGSVTVATASSSTPNAGRIGSSSAASYNDPSSLYPTPINTFMAGTQFFPNPRS >A04p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4600136:4601388:-1 gene:A04p013720.1_BraROA transcript:A04p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFDETEYSSCATGVRLFAETAMVHALVGNALAQTLQNPDSRLVSSSCLWRACSFDIASYHVLNSVLLFFTLFQKVALVGENGSRKTRVIAQQQRFYDPGQIILLLNGVEIKTFQMKWQGKKTRRVSQAPILFNETIRANIAYGRGRDAFETDMIYATEPSNPHCFISGLQQASLTGYNTMVGESRVQLSGGQAGKIRDWPYPEHVVHEALDGLWLTEPLGWWVVAHRLSTIKNAYVIDLVKNCIVEKGKHESA >A10p030540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18445730:18447752:-1 gene:A10p030540.1_BraROA transcript:A10p030540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEMKQEKDVLGLIPSKNQGKASSSNPSSVKSPHNKNKKKKKNNNNNKKKMVMAWRQKKTDSPAEEITAVRRLLLTCKEVFSNGGPGVVPSEDKIQQLRGVLDNMKPEDVGLALTMPFFRPVPGPRNGSSPRITYLPLHECEQFSIAIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDAPFAVRDPKTRLAKLKMDSTLTAPCNASILYPEDGGNMHRFTAETACAVLDVLGPPYCNPEGRHCTYFLEFPLDKFSSEEDDVLRGQVERECHAWLQERDDNPDDVNVVGALYGGPKVED >A02g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17538397:17539851:-1 gene:A02g506140.1_BraROA transcript:A02g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFFSLSYAARSGVSKQEFEVKKHVNSLNKPVVKSIPDGDVKDCVPTTKRPAFDHHFLKDHMIQFPKSAEPDLINQSGHQVSQSSFYFVGFFMSCVVYFFGLLAIAYMATINVWELNIQLKNELSFSQIWLPGGSSGHNLNSIEAGVMKGVIRLNRGMKT >A08g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4900404:4902871:-1 gene:A08g502160.1_BraROA transcript:A08g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFPKRILQEGAEMQMDKINNTCRRTLLKVVKVALKDENWKGSGKVSYQDITSLESHFDKGELFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDPGAESRKKQLLCQRAAEHNSGISSEMKTFIEGLFTASFNSFKEVVQKDIHERFDNVANEVSQLKEQVSQLKGLSETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGKGKGKASANVDPPPVRRSPRPVREPADWRPPTLKDVDSLEDRIHDPDYSLLFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLNKDFLAAMVSK >A03p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2709765:2714312:-1 gene:A03p006400.1_BraROA transcript:A03p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISQNLLPQCSLVTWSSNRLVPNRSNILLPGGGIKKSRFVIRNGTSDGYVVGENEDLGSLARRGDSKSKLLIPGLPDQSNGEAARITTSHCEWKPNLTVHYEKSGCGNVEAPALLFLPGFGVGSFHYEKQLTDLGRDYRVWAVDFVGQGLSLPTQDPTTTTSTSTLSEETSGLEDSEPFWGFGDEAQPWADQLVYSLDLWREQVQYIVEEVIGEPVYIAGNSLGGYVALYFAATHPHLVKGVTLLNATPFWGFFPNPVRSPRLARLFPWSGTFPLPARVKKLTELVWQKISDPESIAEILKQVYKDHSTDVDKVFSRIVEITQHPAAAASFASIMFAPGGELSFSEALSRCKENNVQICLMYGREDPWVGPIWGKKIKKELPNAPYYEISPAGHCPHDEVPEVVNYLMRGWIKHLESGGFEALPLLEDGEEDWEQSSIAREMEFPRGEGWKKSVKLWLYGSKYSFWGGVGEAFRASLVRVLRGSMKKTLLRYGNYQISSDVPGINCKTENQEECTEVDLLKDEISMLQEKHLHMQGKRLNLLSLKELQHLEKQLNFSLISVRERKELLLTKQLEESRLKEQRAELENETLRRQVQELRSFLPSINQHYAPSYIRCFAIDPKNSLLSNTCLGDINCSLQNTNSDTTLQLGLPGEAHDTRKNEGDRESPSSDSVTTSTTRATAQRISLV >A01p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21733510:21736786:-1 gene:A01p040680.1_BraROA transcript:A01p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVADKVAEKVAERTLQQTSTWAVAVVCFFLLLISIVIEKLIHKLGNWFKRKNKKALYEALEKVKAELMLLGFISLLLTIGQNYISQICVSESIAASMRPCSRSEEEKKYPNSKKDTGKDVGDEENSGRKLLELVESFIPRRSLATKGYDKCAEKGQVAFVSSYGMHQLHIFIFVLAVCHVIYCILTYALGKTKMRRWKRWEEETKTIEYQYSHDPERFRFARDTSFGRRHLNFWSKSTITLWIACFFRQFFGSVTKVDYLTLRHGFIMVKQLKSFLFLPVIWFVPVLFLLTNTNGLNSYVWQPFIPLVVILTVGTKLQVIITKLGLLIQEKGDIVKGMPLVQPGDHLFWFGRPRFILFLVHLVLFTNAFQLAFFAWTTYEFKLKNCFHKNTVDVVIRISVGVIVQVLCSYVTLPLYALVTQMGSKMKPTVFNERVAVALKSWHHTAKKQMKHGRTSESTTPFSSRPATPTHGSSPIHLLHNVHKRSRSADESFANSMSPRRNSDFDTWDVESQQEPSSSSVKYHSRFREEYSEKKKPASSSAVELPPGTGQARTHQHEISSISLRDFSFR >A08g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19209979:19227486:1 gene:A08g509510.1_BraROA transcript:A08g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLNVSQVKRERSAKKHSVYLHIKQTVQSYPWNWPVLGMLPGVLVRLSRIDDIISVLEKNNLTFLLKGPWFAKMDMLFTVDPANIQHMLSSNFSNYNKGPEFKELIDVFGGSILIADGELWKNLRMSSQAILSRQGFQNMSMSVTTSKLKDVLLPLFSRFSEDGTILDLQEVFQRFMFDTTLVTTIGSDPQSLSTEMPELELAKALENAGEAIVFRHFIPRFMWKFQTWMGLGQATKLIDAGATFDRVCAKYILAKRKEIQEIDHHHDHPDGESEDVLTYFMNLDTSKYELLKPSDDKFLRDTIVASILAMRDTTSTALTWFFWLISENPYVEAKIRQEINTNLPKAESSQERSWSAIDRKDYLNKLVYLHGALFETMRLYPPIPFECTSPIKSDVLPSGHRIEANFSIIIPIYLMGRMKSIWGEDALQFKPERWISETGKLRHEPSSKFFVFNSGPRICPGKNLAMLVMKNVVVEILQNYDIKLVKGHKVKPKPRLVLQMKHGLRKHFGYLHIKQTLQSYHWNWPVLGMLPAVPLWLNRIDDLIWVIEKNNLTFLFKGPWFTRMDMLFTVDPANIHHMLSSNFSNYIKGPEFREIVDVFGNAMFNTEAELWRNLRMSTQVILSRQSFQNFSMSVTTSKIKDVLLPLLSRFSEEGTIVDLQDVFRRFMFNTTVVTTVVSDPQSLSTEMPEFELAKALEDAGEAIVIRHLIPRFVWKFQRWMRLGQEKKLIEAGATFDRVCAKYILAKREEIRAQEIDHHHDHSDEESEDLLTYFIKLDTSKYELLKPSDDKFLRDTILASIVAMRDTTSTALTWFFWLTSKNPHVEAKIRQEINTNLPKAESSQERPWSANDRKEYLNKLVYLHGALFETMRLYPPIPFERSSPIQSDVLPSGHRIEANFTIIIPIYLMGRMRSIWGEDAVEFKPERWISETGDLSLLPSSLHLTPVQEFVLVSI >A08p032730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19803802:19807494:1 gene:A08p032730.1_BraROA transcript:A08p032730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFERFDDMCDLRLKPKILRNLLSEYVPNEKQPLVDFQSLSKVVSTISTHKLLSESPSDSADQKKLQAKPSKSAVDDWVERLLALVSSDMPDKCWVGVVLIGVTCRECCSDRFFGLYSVWFNCLLSHIKNPESSRIVLVASCTSVSDLLTRLSRFTNTKKDAVSHASKVVLPIIKLLEEESSEALWESIVHLLSTIVILFPAAFHNSYDKVEAVIASKIFSTKTSSNMLKKFAHFLALLPKASKGDAGSWSLMMQKLLISINVHLNNFFQGLEDETVGKKAIQRLAPPGKDSPLPLGGQDGAFDDASWNSEELIVSRVSALMFCSSTMLTSSFKSKLNIPVASLLSLVERVLAVNGSLPRSMSPFMTGIQQELVCAELPTLHSSALELLRATIKCIRSQLLPYAASVVRVVSSYFKKCSLPELRIKLYSIIKTLLKSMGVGMAMQLANEVVSNASVDLEGFDAVSSKPPSLTNGAVLKGGSKKRKHASNSGAEAENSAVEVGVPHNHSLKIAALEALETLLTIGGALGSNGWRERVDKLLMTTAKNACEGRWANSETYHHLPNKSSTDLVEFKLAALRSFLASLVSPSPLRPAFLAEGLELFQRGKSQAEMKVSEFCAHALMSLEVVIHPRALPLDGLPSLSSQFPQSDSLASQKQNALGLNKMDRLAGDGSVLSNLWLANVDVPSNSEIQRSVDTTLPLPEAKRLKVGNDLVGSEKVQQADVLMKVPESTKESLGHVSDRDDDMVSEKGCREVVSETQEGEGLAAKDSLMKESAIGKKQESLGDSDDDSVPSLKADDYLSSDSDVES >A07g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5335746:5354139:1 gene:A07g502590.1_BraROA transcript:A07g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGIYRYYNLQHLNSGPASNIISNQLLVDSIPKCMPSGTRSNKEKYLLFSDDSAHLERTIRRGQHSTSLDATTSSLIDTHNQPSTDIRPSSSIDPNRSTTIDTTPRTSIDTVSSKMVNIIILTHDENGNLYDQNGHLRNATCQKIDAQGTIGHLAMVPAKAPFRTYAGLYNTLHGQSVWYGEKHEPRLKRSERSDFQAGSAPCTDPWTAVYHPPSPFYDKIDRSVDQTIDRQSESDVDRHNTPPIDRHAPLTYRVWLPSIDNDYINALRPPPKPLANPPDPKPNPLNWRRLRKRKEKIPKNLKRKANDKEMDGFTKRILRIPIEKAFDELTSHTELGFIGVCHCGAEYETEYLESIDTHTFPSIDSNESTVTDDRNNTSLDVNQPVDHFAPPNHWYPHFAFQPPSKRGCDDYSIGSWEDSGFHESFAVDTMKDLNTHKFTTTFPTSFDEVHSTSVDTHPRPAKQPFTSIDTHTRTSIDIRAAAKIQEQENIPSPTRFIDTYLKRFVPLQPPPHTRANTQAEKMNTLPSTSTGKFMESNHLKNTSSAEIILPSIDASGPKWQRTCNRWKDLTSVQREHSRYPSSGQWTDNLFSQQPGTPDVIQTDPNKHIGVATTEINPDLSCHPKGQASINGTTQTSIDRLTPTSTDKDDPTSIDRRYEFGNRAYDMYGARKFTWERRDKYGVYRDECGHAQGIAGEMIHVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRDYNELFRSMEATTSASIDATHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVLKASSSSIDRLRGSWIDGKNPVELLPYTAAEVDKITSMIYTAIGTMEERPDIRCDDIYFPFDNRISGLDSHAEWLQKEVKAIQRQLAAQHQIPASIDRNKRNRSMVSRRDRPTNT >A05p037000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21008211:21008492:1 gene:A05p037000.1_BraROA transcript:A05p037000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQSPLICCTFNFPTNINLSNHSRDVIKRAVGRGMKPGHEQNDPLENSPEKSPETVRPSDLNPTIVLHQALGLPIPTPTLSVGT >A03p005020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2095550:2095999:-1 gene:A03p005020.1_BraROA transcript:A03p005020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNECSSPSSARSTRSTTHRMRSPICCLGANAVVEPEAMIGPRTPRSPYEWLKSTAQELELRERCRRVKTRVKVTCRNNNCAHHNHHHHQRHQSQSYPVDFSYDALSYALNFEDDVRADEDGSFPNFTARLPASPVTKPRSARVDLISF >A05g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2401012:2401859:1 gene:A05g500620.1_BraROA transcript:A05g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPSELLLKILSRLSLKANIQASAVSKTWCEAAVSVRKFQPRPQPWLFYPIRAPEEGPYILFDPSRSKTYKLNFLELKDYGFSYSGDGPFKFNILIQPIYPGSNNCVVISFNYTSIPSHVVIDTWRPGETVWTTHRFKNQLPGGRRAWNNCVFSNGMFYCLSTCGYMEETSLLCTCTSCYKKSSVFKLNRKGDAWEEKRELGGLTIFANRLASLTRATSPSSKERNRLYQSRKGDRGDYFSIGVEEIGSARRSSDFYPNYFSWVFPPHSNMNL >A01p024090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11883129:11887696:1 gene:A01p024090.1_BraROA transcript:A01p024090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLEINPPASRRPPGRPRKNRILSRGEFQMRVPKRRTVCSRCKGSGHNRATCKGQWFKLQEGVWRFDQDPTMTGRDILVANTEHLETLKDLVRAVFCLRTETPMVVTFQLPQWMLELDGATWPPHNLNSNADVDMMMSVHDWNVEPRLCVIFGAQDVATYQFRCRRPFNIGSCTFLAQGVTEEQDMARVLDMIRGNELLCSEQVLNEIFDEEKMVLLYRFSLEIQKAKNSLDLNGKLTTTLYLSGVRGGGGGGTHVQHGGGVPNTEVQPDVARGELPTGDVPFNTPPHFGYLPFNTAASMRTTPRQSMYGTHYYTLHMGSMDVRGSYWGNLMSSRIYGVPGSEYVGYSPNDLNIGNPTGPPMHGSPNGTPMHGYGVPIEVSSTASSTEVHNVHDIGVTVNTSKETGIGDGICVEKGESSNRPEPATHADLDVIFQIADTTPAADVGVDAGEGGEA >A09p068170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53529101:53531443:-1 gene:A09p068170.1_BraROA transcript:A09p068170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRVALSFPEEVLEHVFSFIHVDKDRNSVSLVCKSWYEIERWCRRRVFIGNCYAVSPAAVIRRFPKARSVELKGKPHFADFNLVPEGWGGYVYPWIEAMSASYTWLEEIRLKRMVVSDECLELIAKSFKNFKVLVLSSCDGFSTDGLAAIASSCRNLKELDLRESDVDDVSGHWLSHFPDTYTSLVSLNISCLVSEVSFSALERLVCRSPNLKSLKLNRAVPLEKLAALLQRAPQLEEFGTGVYSAEVRPDVLSGLSVAISGCKKLKWLSGFWDALPAYLPAVYSVCSGLTTLNLSYATVQSYDLVKLLSQCPKLQRLWVLDYIEDDGLEMLALTCKDLRELRVFPSEPFVMEPNVALTEQGLVSVSAGCPKLESVLYFCRQMTNAALVTIARNRPNMTRFRLCIIEPKAPDHLTLEPLDVGFGAIVEHCKDLRRLSLSGLLTDKVFEYIGTYAKKMEMLSVAFAGDSDLGMHHVLSGCESLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCSVSFGACKLLGQKMPKLNVEVIDERGPPDSRPESCPVERVFIYRTVAGPRFDMPGFVWNMDQQHSAMRFSRQIITTNGL >A04p026990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16219331:16225666:1 gene:A04p026990.1_BraROA transcript:A04p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMKSMQMGVIGALFLSVASSVSIVICNKALMTNLGFPFATTLTSWHLMVTYCTLHVAYKLSFFENKPIDTKTVVLFGLLNGISIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLNKKFSRKIKFSLFLLLVGVGIASITDLQLNFVGSVLSLLAIATTCVGQILTNTIQKRLNVTSTQLLYQSAPFQAAILFVSGPFVDKYLTRLNVFSFHYSPIVVGFITLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTPRNIAGILIAVLGMLLYSYFCSVASKSKQGSSESSFIGKDRDTTPLLSQEKENHEAKKLDKHSPKKTETTNGPFSRTFWGFGCGQMNNGGSWLPEEEEELKGLSENFFDDLITLPLEEDTETGDDDEEGDWDAKFENLVPPPLDLLTSLSSEFTHAPRAGLLRNPLPTLKQSSSSEVSSSSLPDVKLSTLFQSSSALSVLENAAAANGSVSFPVKGTRSNLRKRPTPPTFRSLKSFASEMVQQFAPDDPDSETYLPFAKKKRQRKNKDQSSVSDSPEQFNADGTIRMCTHCETTKTPQWREGQAVFFRSTVHRQARASSQACIRILTGRS >A08g510740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25156408:25157348:-1 gene:A08g510740.1_BraROA transcript:A08g510740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEKADLQKKEARESSQHRAYKSQSVSWQERSSHRRSYQARERTRQDYGRPSRPMREQVNHRYPLDPQAEAIIGKCQKESLRQGSQIPRIPVSQRLSGFGLIQTGGKDRVISASSTHERMPAILRLGSPPNPLMAMQNDLGSTHNLSNEERLPAILRLGPLPPPAGAETEAPNDVRRKPGRPPGKKKTTENQTRGVEEAPKNRRVTQQKPSPVRRK >A06p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9081203:9082214:-1 gene:A06p018750.1_BraROA transcript:A06p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRVRECYLLLCLIVFIIAAPCHSHESLFGGKTLYAGKELRKESLPLQSGSRVYRLQGLKSSSWYEVKISYPASIPALFSLQLLRNGGTGLKLNQMRRLLNTEKLIFKTESFEKVDDKDGLYVLVTVEPEGIVAIPNFKERSFIIYNIGKLFLTYLLLLSHQASLFLLKLTLVVTVCEEQLLGIAYSCCSVVVLVVLCLVVSVSLPRFLPSYLLSKDGPCQVNSIKKKHTVNYTDDFSLLHICFVTLIAS >A03p000930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:520820:521284:-1 gene:A03p000930.1_BraROA transcript:A03p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVCISSCIDDARDTRVPVRTTYVNLYKWPESDAEFVRSVRRGGGVPAARVVDSISCRQMYLRSYTFSREDDDDTSLPPATRTSCLGRVKETVSCMRGSKDESDVIVEKAKPRRRRDKRRVRRKKREQACSVMFRFFRRLLSCAATVDVVDPN >A01g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25025378:25028195:1 gene:A01g509200.1_BraROA transcript:A01g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATKMVFHIWKTSGLEDFHTTSRKTSRRLPRGLLTESSPMFYRSGFDINVFQIWIRLLKTYGKSSRKTTYTEVVRPTTYMEVVQDKQDDFRVSRLDKNNFFVLFFNCKTNLRQLTRKSSRKSQISDTIRSNAKLTRLICRLDFFLTNKDVRLPCKSSRKTHLKPDDLPGSHLDKQIWKKKTNFIVLGSEIICLAHKSLLQAPIISNKSDPLRIVSFNGSMNHKIFKIKILELRNKECKSIFWCIKNFKLVVHGGWCIDGNGNIVNT >A05g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20140460:20146458:-1 gene:A05g507090.1_BraROA transcript:A05g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKYREIEERKREKEAEAIREMDRNREARRVPMAAAGNGLSRRRHRAGSFRDSPEEDVPVELPEAARLRDRGGSSKKERDRERDRDRERERERDNRERDRLHNRSKRRRGERLVMVHGDDGGDDSSEESVNDDEEYDDGGVGPPSSLKMLPPSSNISAASFSSSLSNHHHHHHHSHNHQRKNFPPTSKVFRSPPSPAPVTPVVSSWKAADEMIGVAVPRKARSACTKRPHESWASSASGGGVFASGEQIHRQVSPASNLASPSPPAPTSPASSNVSARKKMPSGTKQKPLPPKPAPCKLSSSSSPVAVQDEIEIEIAEVLYGMMRMPLAASKQESAGEEGAKTAVDVKSRVSSPISNSQALLQSSTTTLAANSSSSNVSAIVPKRKKPRIIKYDDENSSNLPSRAVKSEAEAPTKSQVPLGDQLKRSGSAEESSAVLDSTNPQPRESNASLDSSSAEKKENNPPKEETVSPKVETSPGFRSDGDGAKISSPAKEKKFEIDLMAPPPVRSTSERGGEMECVAAEANPKVTEVVTEEKPLLKEDGVNATIESEDKKRSRAVAEAEPHKSERSCELKLDLKKSDNVGVVNKHHVQKQAPPQQQQQQQQQQQLSIPDKTAQATPMPLHMSMPGWPGGLPTMGYMPPTQGVVPADTTSSLSSAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQQFIKMNPFWPAAAGSAPLYGTKACNLSLMPPTELQGTVLGRSSNPGPDVNSQSTSKGSDTGQRNQILLQQALPPGAANNMMHGPTFIIPVGQQPHAAAASVRPNSGNTGSSGATATANSMNGSASATPAGAPTMTFSYPGMPGNETQYLAILQNNGYPFQVPAHVGAPPAYRGAPGQPMPFFNGSFYSSQMIQPPFSQPQKQQQQPQQQQAGQIPQSHSPSNQNGSVSTSSSAAQKHLQNQQLRPPINHGNSQGFPTHKVQSQPLSFQQRHQHRENTPQHSETVGEDSPSTADSRSSRSSVAYGQNYGMQMQPTNLGLMSSAVPGGGVVVSSSKHCEKKSQQQGSKAGMESFQSQGYAMTFATFNGTSSAPSLNMSSIPQNHPMFHSMPEAARQGYQMMAANVAAAQAAQQKMNYSAPSDDGKSGSNATANTMEEQRKTGKTSGVNGGQSIAFSNKHDLADASVSANLVQSPSNQVQSTQWKNNSPRTTSTAQAQSPSILSPSSSAAAAASSLRNVSHKQQSRPQQSQISFAANSKPMASGSPMQQMQGGANNRAPSPPMLVGSPSTSSVSKNAGGSPRTTASASSAVNKAGQASSTTHSSSQPSKNLQSASVASSTGGRNNGPSVLGNPTTSSGSKSQQQQLSKHGLQQQAQLFFSNPYMQSQHQQQQQIAISPSGGYFIQRHQQQPGSAAAAGATSVTLSGCTTGAVTATSDPAKAIAAAAAAANNVKGGGGMGKTQQHQLGPPGFTYVHAVPSAVQVKPADQKQQADTEWFGQLRFIACGGDTKDFKVGKRRRGKPFTSDDNFLGGAFKHCVQLVFILF >A07p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4176203:4177340:-1 gene:A07p001790.1_BraROA transcript:A07p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLIILLQYRERVIFNGQNIDEEFSNNILATMLYLDTLDDSRRIYMYLNGPGGDLTPSLASYDTMKSLKSPHQRFAMPLLRIALQSPGGPALCIRTMHLAGPAAGAARGQLVQIFKDLSRLKRFNSEEAIEYGLIIVRPPCIKDDAPLQDESSGLG >A06p056370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29356801:29357732:-1 gene:A06p056370.1_BraROA transcript:A06p056370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPYLVLHKNREYTGKSRYNLQKQLSNQTLQHYDGNSSITDEMNQLCSTIWKLNLPPKVKVVWWKLVHQGMAAAENLEEKRSKIDSTCQIFGEEHETQNDMMFTFRVLKEGDMTKKDPRKVKKNFVGWKIWRMRNNIIFQHKMEHILHVVHGALRDNQQWQEANYKKIIGSTYYVFTFVERVRAGSDEPREIVQQQRTLGFKQVVFLSDCKRLIDELNRFNTEMTIEGVRNT >A04g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11690472:11691160:1 gene:A04g505500.1_BraROA transcript:A04g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIALNLSSTHFSLSRESPSVVYRLCSDPLFSVQIHRLRSDSLSLSSRSTVSVLNRRLRPDSSSLSRSTKQSRSRLKTSNIAESVSFSSDKKYDITQVKAPPQLQKTIC >A08p026240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16723093:16723893:-1 gene:A08p026240.1_BraROA transcript:A08p026240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSMGFLDLKLKIGKSTCWLLYSEALVDFSLLFVVKNLVHVDGVSLKCFSDPILRDSEAAKEGNHNIRSKGCMEKVFSI >A02p038270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21876927:21878530:1 gene:A02p038270.1_BraROA transcript:A02p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGTKQAVQLVRSALFRCRTAQTRQTISDVRFIEGWTEDTIQLGGWPSWIEHATISAIRRAGLIQFSIWPSWNDRAVFISSSQLPPPPPPFGIRTNLLLFHLDRSHRPFEVLNCTYASEV >A05p051960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30114563:30115459:-1 gene:A05p051960.1_BraROA transcript:A05p051960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FANTASTIC FOUR 4 [Source:Projected from Arabidopsis thaliana (AT3G06020) UniProtKB/Swiss-Prot;Acc:Q9SFG6] MATVVYQGYQSHFESQHFEPRALRLRLSSPNNPHSSTPLNSHFLDSSISPQDNISTSNAASLPSPAPKPSSNSESWLETISNSSSDEKDKKTLLPYVHSPSSRRTLSDESLALCTESLGSETGSDVITDQDLFSVSSELKTMETRTSATTSRTSRQDRKRDALASLPPPLTSMRGFDCIQVKSHRENGRLVMTAMKPLPRDRCLQDRSNGCVRLAFLIESDDHIETETKEEEETMEIERDNEEEIQEYEAEEEVEEEEEKEDNEVMIIDNIQRSSRCHEGDRENRGFLTWESLCVATS >A06p055110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28846192:28849286:1 gene:A06p055110.1_BraROA transcript:A06p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE62 [Source:Projected from Arabidopsis thaliana (AT5G45800) UniProtKB/TrEMBL;Acc:A0A178UKF2] MFSRLLLILLFSLVSLSYSSTVSCPNGTDFRQLTRAFRYVSGFNSSWFSNCSVVVTHIVLPSRKLNGTVSWTSLRNLTHLHVLDLSNNSLDGSVPTWLWSKPGLVSVDLSRNRFGGSIRVIPVSGSVFSSVKKLNLSYNRFTNAINLTGFVNLTALDLSHNNLRVLPLGLGSLSGLHHLDISRCEINGSVKPISGLKSLDYLDLSENSMNGSFPVDFPNLNHLRFLNLSANRFSGSVGFDKYRKFGKSAFSHGGSFVFNVSKIPTRHRLHPLPHRNPPPHHRNVKANRFKRTPLVIGLSSSLGALVILVFVVSLILIRRRLRSARTKSRWAISSPAPLDFKMEKSGPFAFETESGSSWVADIKEPTAAPVVMASKPLMNLTFKDLIVATSHFGTESVISDSTCGPIYRAVLPGELHVAIKVLERIRDVDQNEAVTAFEALTRLKHPNLLTLSGYCIAGKEKLILYEFMATGDLHRWLHELPAGETNVEDWSADTWESHVGDSSPEKTNWLIRHRIAIGVARGLAYLHHVGTTHGHLVATNILLTETLEPRISDFGFNSIPKAEKDNNNNNVEFDVYCFGVILFELLTGKQGSEEHVKSVRRLFKERRGEEALDSRLRLASGESVNEMVESLRIGYFCTAETSGKRPTMQQVLGLLKDIRTVSR >A09p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2870978:2873792:1 gene:A09p005280.1_BraROA transcript:A09p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKPLIGLKWEPKLPSLALDSKTGSSSSKVAESGSSLWTSKSELVDGLCLPPTDPKKVNKMMRKQLKDTTGSNWFDMPAPTMTPELKRDLQLLKLRNVMDPKKHYKRVASSSKLAEKYFQAIIEPAQEYFDRVTKKNRKTTLAEDLVSDPKFSQYRKRKVREIEEKSRSNQDKKWKRKGSMASPEQSERNSPEKTGSNSVSKKVSEIWERMNEGVPNKRFNFVSKTSTSSPPAKKTANSVRLFSPALFFCHNIVLDFKSLNLFDSQNWKSYLGIDAKKRDTIVQKEDSVLDSSCSEEAKRIAAAALAAVRNATATAAAASSRGKIEITEVKDFAGQEIEVKRLVEAGSKEASSSSGVATSGVDAVLEQIKKKQKLSVLDKTKKDWGEYKEENKGVEDELDKYKKSSDKYLDKVSFLERADYRQFEKERDARLALQSKRRLDDV >A07p051500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27331721:27334940:1 gene:A07p051500.1_BraROA transcript:A07p051500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLFCLPQGDALFNPLNTMFIQMACILVFSQLFFLLLKPCGQAGPVAQILAGIVLSPALLSRIPKVKEFFLQKDAADYYSFFSFALRTSFMFLIGLEFDLQFMRRNLKKVTVITISSFVSCGLLSLGFFYLLKPLLHIKEDYFTFFLVLFITLSNTASPVVLRSIADWKLNTSEIGRLTISCALLNELTNVVVYTLIIAVVSGKLIGDLFLFIFMTGALILLNRFLAPWLPKRNPKEKYLSKAETLVFFIFLLIVAITIESYDVNSSVSVFIIGINFPRQGKTHRTLINRLSYPIHEFVLPVYFGYIGFRFSVIGLTRRYYIVLVIIVILTLVGKFIGVISACMYLKIPKKYWLFLPTILSVKGHVGLLLLDANYAEKKWWTTTIHDMVIAALVITTLLSGVLASFILKAREKDFAHQKTSLESHDTNEELRVLSCVYGARCARGEISLISSLSGSHGGSKPFTPLLMHLVPLPKKRKSELLYHEHDEDVHVDDDFGTNESLMVNDSIDSFAKDSKILIQQVKLVTQMVSMHEEICNATEDLRVNIVFLPFHKHQRIDGKTTNDGEHFRQMNRNVLRHAPCSVGILVDRNITGFQQPHGFGSVQNVAVLFFGGPDDREALALCKWFANNTLIHLTIIQFVPEDSHPESPVRNATTRDSSEVLIDVQGRDQSEHEADRSLLEEFHNRFVSTGQVGFIEKRVSNGPHTLTILREIEELYSLFVVGKSRGDCPMTVRMKDWEECPELGTVGDFFASSLDVNASVLVVQRQRNSHDDFIDD >A10p005440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9320086:9323924:1 gene:A10p005440.1_BraROA transcript:A10p005440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKISEWGEKLKTGRAQMNRIVSDKVKDILQAPTLESKMVDEATLETFEESNWGMSMRICAQINNHEFNASKLLEPSRKIFSEVVFERILDEMALLVKNSEAESENRRRAFQFIRAWGQSQNLNYLPVFQQTYMVANYHRSERNNFLEIGSYPVPNQEQASGDDDGLLDYNFGNLSIKDKKEQIEITRNSLELLSSMLSTEGKPNHTEDELTVSLMDKCKQLQSLIQMIIESTTDDEGVLFEALHVNDELTRVLSIYELADESDEKASMVEQESSGSKDAHPKPTEEEEKRYPVPNQEQASGDDDGLLDYNFGNLSIKDKKEQIEITRNSLELLSSMLSTEGKPNHTEDELTVSLMDKCKQLQSLIQMIIESTTDDEGVLFEALHVNDELTRVLSIYELADESDEKASMVEQESSGSKDAHPKPTEEEEKRFVMKQNHVENSESSDKTAKEDKKVKIELGLSSDEDEK >A01p009510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4584333:4585586:1 gene:A01p009510.1_BraROA transcript:A01p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIFILFVTIFHSYYYVSAQPPAPPFRNGDLVTNFEPSLAVVTGVLAIMFTLTFVLLVYAKCCHIDLRSGTGDGRRQDRRLRQGIFFNRSTNSSDRFSGLDKTAIESLPLFRFSALKGSKQGLECSVCLSKFESVEILRLLPKCRHAFHVGCIDQWLEQHATCPLCRARVSVEDESSVYGNSFRFLNQSEVREDSSLELYIEREEEEEERRQREELGGSSRFSIGGSFRKILKLGHKDKPLLDQHGEDKVMHKFNHRILVSDVVFKNRWSNVSSSDLMFLNSEMVSSISSERFSSMDRVKRGDEENQRGNVGIKEEKGMLENKLSENRDFGSKSRNVMIEPGRRSISDITAVPRLSIVVHGDCSGSNAASALENGGNETEERRRRLWLPIARKTAQWFANREKRHQINTTHQHFDV >A03g500440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1372175:1372831:1 gene:A03g500440.1_BraROA transcript:A03g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLPTSTSPDHLPTGGGDPQLLSSLRVLFSRVVSSVRHATSDARPWAELVDRSAFSRPPSLSEAASRVRKNFSYFKSNYITLVAILLAASLLSHPFALFLLASLAASWLFLYVFRPSDQPLVIGGRTFSDLETLGMLCLCTVVVMFMTSVGSLLMSTLALGMMAVAVHGAFRAPEDLFLEEQETIGSGLFNFFNQNATNAAAAAIATSAMSRVRA >A03p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11218373:11221290:-1 gene:A03p026910.1_BraROA transcript:A03p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVMEDPSAKNNKSNVLGAASAAAGINKWNGKPYSQRYYDILEKRRDLPVWLQKDEFLRTLQSSQTLILVGETGSGKTTQIPQFVLDAVEAENTNKHKKWLVGCTQPRRVAAMSVSRRVADEMDVAIGEEVGYSIRFEDCSGPRTMLKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQEYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDVLVFLTGEEEIEDACRKINKEVSNLGDQVGPVKVVPLYSTLPPAMQQKIFDPAPEPLTEGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGRTRPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTRTGEIMSEFPLDPQMSKMLIVSPEFNCSNEILSVSAMLSELGSLVWALHVRGWETLIPNCFVRPREAQKAADEAKSKFGHIDGDHLTLLNVYHAYKQNNEDPNWCFENFVNNRAMKSADNVRQQLVRIMSRFNLKMCSTDFNSRDYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLVDVASHYYDLSNFPNCEAKRVLEKLYKKRERERNESKSRK >A05g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26378056:26378888:1 gene:A05g508870.1_BraROA transcript:A05g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRWDPGIDGGVVLGKRNHKGRSKGISFRGFSSNLRCCGSGTGNWFKRIFWKSRTSAKWISILAIIPVNSHDYSGIIFDYSSIRNLIYYKENGGFNNGFDLMEIWRLVICGGLTGTGGLEWLTGKWVLWLVSLNQPQKTELIVETDLKGNHILAGADVWRLIWHEPRIFGRDMWRGRRESSRFFILVLLANDRVGDIGLSMFMFSGLGQGDGCIFQGLCWDMGIFGLEGT >A04p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14523045:14524199:1 gene:A04p023920.1_BraROA transcript:A04p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMASVILRFSPLRSSSPKIPTHGGSVQFARLSSSSLASTHSISGLRAVLPQKISTVVSQNPQRLRSLTVFAHKGYKMKTHKASAKRFRVTGRGKIVRRRSGKQHLLAKKNNKRKLRLSKMHEVSRSDYDNVIGALPYLKVNRKAT >A03p003850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1671988:1672200:1 gene:A03p003850.1_BraROA transcript:A03p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDWGPILVTVILFVMLTPGLLFQFPGRQRYVEFGNFQTSAVSVIVHSLLYFSLACVFLLALKIHIYIG >A09p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12511503:12513095:-1 gene:A09p022730.1_BraROA transcript:A09p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFVFFSSSLTRFSVEVSRALLSRVVLLTPSRTSLELSPKTRFSLAASSLAVATLVVPIFFLTWLTKTRTCSSATLTPSLTMTGDSSEGKVINFMIFSLLSDLFVEWLTILKISVVYVTSVRAYGGMRLNLMSLVEAQCWMQLLLETMNCLGRCRMLLKHFRRLCFSYWMVFDTDENFVVSGFFCCYEVTTGVSVLSKNHVVLARNSRIITDTDIDPIAWWQPRRIETLFFIMRKLTRLQYLYSKLAGKLRREYEIFLFFTVGLTLWCFYLQFDILAALHPTPAVCGLPEEEAILLIKEIELNCQEVTRLSVYIITYLNVKATFTCHPYTIEI >A08p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:216235:216611:-1 gene:A08p011790.1_BraROA transcript:A08p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTHTQPELSNLIWYQSQLKSKPLKLSPSGSAPYEEEDLSLASSHEVYGQGLAILVPRRLVQEKNTSGSKEDGVKSLAPSGDEERRGL >A06p054810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28728901:28729335:1 gene:A06p054810.1_BraROA transcript:A06p054810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQETITNQREGAEIVNGASMCKQKANEMLSSMNLPKGLLPLDNMTEIGYNKSTGYIWIKMNNNVQHQFKAIGKNVSYDAEVTAFVENRRMRGLTGIKSKELFIWVTITEIYIDDQDTTKITFAGRSGLSRSFPVSAFEEEEK >A04p023300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14117032:14118168:1 gene:A04p023300.1_BraROA transcript:A04p023300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 15 [Source:Projected from Arabidopsis thaliana (AT2G23320) UniProtKB/Swiss-Prot;Acc:O22176] MAVELMTRNYISGVGVDSLAVQEAAASGLKSMENFIGLMSHESFNSNQPSSSSSAAITDLELARNTTADAAVSKFKRVISLLDRTPTGHARFRRAPVISPIQEIKPTPFQAPPQIHKGSFSSPIKTIEFSSLSSVTAESEHMKHHHHRRETAPFGTQSLSTTVSSHHHRPSETAPFGTQSFSTTVSSFSKPTKRKCNSENHIAGKCASASSGRCHCSKKRKIKQRRVIRVPAISAKMSDVPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERAADDSSMLIVTYEGDHNHSLSSADLAGAAVANLILESS >A09p008190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4226641:4228937:-1 gene:A09p008190.1_BraROA transcript:A09p008190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDSSVQSDMKLWPFKIIAGPAEKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSALTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIARNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIQDDKIGEKLPAADKKKIEDSIEQAIQWLENNQLGEADEFEDKMKELESICNPIIAKMYQGAGGEAAGMDDDDAPPASGGAGPKIEEVD >A08p045370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24892170:24894672:1 gene:A08p045370.1_BraROA transcript:A08p045370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALLLMTHLSLLLVLILASANLTASRSSCPSHYGNISIPYPFGIGKGCYLNEWFAIQCNNSISGALVPYLPKINKEVVKISLPDANGFFKTTESYGSLGIKTNVTSMGCSNSSDETKFGEPLNFSGSPFTISRSNIFQAIGCNYKATLTHLDPAVVGCISTCEPRKIGDHTTSCRGNKCCQVDPPSEIGQVVGISMEVISSSITRERGCRVAFLTDENQDPLGYREAKVTDPKWFYDRQYVTLQLRWAIPMTNLSFINSLGCTMSYSSPSVSPCICVNNTNDKISSVGCACHKGYTGNPYILGGCKDIDECQLDKGNYENCRPQGGTCVNTPGSYQCVFKKYKTMPVTMGLCVGFGVLMMVFAVAFLLCKFIKKQRKIIGKRKLFRRNGGLLLKQQLTSTEGSIEKTKVFTSKELKKATENFSSTRVLGKGGQGTVYKGMLVDGRIVAVKKSTVVDQDKVGEFINEVVILSQINHRNIVKLIGCCLETEVPLLVYEFVSNGNIFEHLHGEFDESAMTTWEMRLRIVIDIAGALSYLHSSASTPIFHRDVKSTNIMLDEKYRVKVSDFGTSRWVTDDHTHLTTVVSGTVGYVDPEYFQTSQFTDKSDVYSFGVVLVELITGEKPISFVRFLRNRTLAAYFILAMEENRLIDIIDPQIRAECKLEQVMAAAQLARRCLKLTGKDRPSMREVSMELERIRSPSKDFHIVKNNAEEADIGVESCSVDTTSTLDAEPLFPGQTW >A09p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6603326:6603905:1 gene:A09p012960.1_BraROA transcript:A09p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS1-3 [Source:Projected from Arabidopsis thaliana (AT2G18050) UniProtKB/TrEMBL;Acc:A0A178VX64] MAKEKNESPTVKKTPMASKEKPKKLKKPKTTTHPPYFQMIKEALMNLKEKNGSSPYAIAKQIEEKYKPLLPENFRKTLSLQLKNSVAKGKLVKIRASYKLSETTTRTTRQQQKKKMETRSKKTVSKPEMVTTKKKRKAKKPRQLKSIKSPGSKKVLRASAS >A01g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18609617:18610071:1 gene:A01g506400.1_BraROA transcript:A01g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQARKKKRTGSIKFCENMEDAIAAASATVEATAANRSSGDCGGYGGGGYRDGKRGVGGATVEVDVDTGMEVVVVMDTKVVVDTEVDTFGNFVDETSFTNLIHTISFMELC >A03p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1906253:1908439:1 gene:A03p004530.1_BraROA transcript:A03p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPNRMSESQNVVVSEAARSIIPNNSSAPPGFISISKKKLLKNLEIINDGERINAWVDSMRASSPTHPKSLPSSISSEQQLSSWIMQHPSALEMFEKITEASGGKQIVIFLDYDGTLSPIVDDPDRAFMSSKMRRTVKKLAKCFPTAIVTGRCLDKVYNFVKLAELYYAGSHGMDIKGPAKGFSRHKRVKQSLLYQPASDYLPMIDEVYRQLLEKTKSTPGVIVENNKFCASVHFRCVDEKKWSELVLQVRSVLNEYPRLKLNQGRKVFEIRPMIEWDKGKALEFLLESLGFGNSNNVFPVYIGDDRTDEDAFKLLRDRGEGCGVLVSKFPKDTDASYYLQDPSEASDEFLATIGGVETNAAKSVKRMYV >A09p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7620997:7622571:-1 gene:A09p014500.1_BraROA transcript:A09p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL25 [Source:Projected from Arabidopsis thaliana (AT3G24790) UniProtKB/Swiss-Prot;Acc:Q9LRY1] MSCFSCFSSKNLENEGSSLPAPYRQTGSPNNQRERTREVVANNAPSQSNNIEAQGFSFRELATATNNFKLENLIGEGGFGRVYKGKLNKTGQVVAVKQLDRNGLQGQKEFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEYMPLGSVEDHLLDLEPDQKPLDWNSRIKIALGAAKGLEYLHDKANPPVIYRDLKSSNLLLDQDFDTKLSDFGLAKLGPTGDTLHVSSRVMGTYGYCAPEYQRTGHLTVKSDVYSFGVVLLELITGRRVIDTMRPSHEINLVTWAQPIFRDPTRFPQLADPLLRGEFPEKSLNQAVAVAAMCLNEEPMVRPLISDVVTALSFLGASSDFSNADSSHLQQNPSEIYHDAVQWDSSPR >A02p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11133141:11134205:1 gene:A02p023220.1_BraROA transcript:A02p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVFEGYERQYCELSTNLTRKSNSASLLPHGDDKKGKLGEIKSGIDEADVLIRKMDLEARSLQPSAKATCLAKLREYKSDLNQLKKEFKRVSSPDVNQSAREELMESGMADPLSVSADQRERLAMSVERLDQSSDRIRESRRTMMETEELGVSILQDLSQQRQTLLHSHSKLHGVDEAIDKSKKVLTAMSRRITRNKWIVTSVIIALILAIILIISFKLSH >A02p034240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18580361:18582290:-1 gene:A02p034240.1_BraROA transcript:A02p034240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLQPEMDNDSMASSPRSEYDNQPRVRFMCTFGGRILPRPPDNQLSYVGGDNRMIAVHRNTSFASLLNKLAKLSGKSNISVKYQLPNEDLDALISVSTDEDVENMMDEYDRVAQNQNPRSSRLRLFLFTNNIAGEDDNDSRASSISSLLDSSVNREQWFLDALNLGSSAVSNGGSGKGFERVRSEVSSIVSEVPDYLFGLDTFDETAPPHELRDRDPRAKIRREVSTLSDPGSPRRDVPSPYGSTSSAPVMRSSTPELQPVQTKPDSPEPVSTPKSDPQPEQVIQQSNLPVNPQWQYSPGPQVHYQQPVYYVPSSVQPGNHMVQPGNHMIQQGNHMVQQGNHMVQPVQIPGQYVPQYHHLPMGYHHQPQTHRIPGPGLGQVYGGTARPVIMAVDGVNRPAYYDMNTPGPVQMYHNHPGMVVPGMEGQYRTETDSDPGRAS >A04p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1200168:1202341:1 gene:A04p002490.1_BraROA transcript:A04p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMCDSFLPSKCSSSSTADEKRDKSTLNGWTVIVNTASSMASGAIRRFQDRVLGPSRTGIPSTTSEIWLLGVCYKISEAESLEEADAFRQDFSSLILITYRRGFEPIGETTYTSDVGWGCMLRSGQMLFAQALLFQRLGRSWRKKESEPSEEEYLEILELFGDSEASAFSIHSLILAGESYGLAAGSWVGPYAVCRSWESLARKKREETGVAMAVHIVSGSEDGERGGAPILCIEDVAKACLEYSEGETEWTSVLLLVPLVLGLDKVNPRYIPSLIATFSFPQSLGILGGKPGASTYIVGVQEDKGFYLDPHDVQQVVTVNKETKDVDTSSYHCNTLRYVPLESLDPSLALGFYCRDKDDFDDFCVRATKLAGDANGAPLFTVTQSHRGGERGIAETSSVASSTEISGEDHEDDWQLL >A04p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8182774:8183677:-1 gene:A04p008520.1_BraROA transcript:A04p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGAGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIAPHNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMETILTQLKKEMSTSHNRKLVQPPEGTCF >A03g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18061735:18065245:-1 gene:A03g505080.1_BraROA transcript:A03g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGGNSRPKGKSVAATSTPARNPDGGNLGDLESTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAVRDATECARDGQSGAMPADSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAVLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGGGRKRKRGNSGVEESAGEVSGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGSEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGMSPRGSAVLRRAPGINFPDNVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEIVRESSVRVSELSALNDRESDRED >A05g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6181950:6185311:-1 gene:A05g501810.1_BraROA transcript:A05g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRNMERRINLRSKDRDTEADLIEREKLLEVFWVVLKQWLNGAKGASQEVRRDVRQGVRQEVLQRAAVSNKPKVVHQCNNMKVRQEILKHDCASGTRKKTDRCISNGVRPSKKHHRMCCWFCGKVGHKKVDCFAREKSRNMTKKMNKTFTKPMRVEKVSLAKNGLLDEIKDETSEDGCSSVRIDLQEDQEASSVESGHGVVCDTKEKEIERALGADRKGFMVKKKTHDGSLVLNRSWSKCSLTGASDRDAGGNDDVLVQRMHISWGEKSVVWCTSRGGEKHIWYESFQVRNVVATWLLNQKSVLSWSRVDLESKEVSWRYGKRNKLEEQMSIHVALETRVSETWRII >A03p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10150667:10152244:-1 gene:A03p024010.1_BraROA transcript:A03p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLSEIGSTKMFDGFNKRYKHHSETLGCSMTFSVYFPPSASSSRRSPVLYWLSGLTCTDENFIIKSGAQRGASTRGIALVVPDTSPRGLNIEGEADSYDFGVGAGFYLNATQEKWKNWRMYDYIVKELPKLLSDNFSQLDTTRASISGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPINCQWGQKAFTNYLGDNKAAWEEYDATCLISKFNNLSATILIDQGENDQFFPDQLLPNKFEEACKKVNAPLLLRLHPGYDHSYYFIATFIEDHITHHSQALKL >A05p049340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28744640:28745349:1 gene:A05p049340.1_BraROA transcript:A05p049340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRITTLVLLIEILFLLNYVSQIAKTAAANDGDVIHVTGKVMCQDCTLNYDQWINGSEPIKGAVVSITCMDERERVRYYGSDKTDERGQFDLIVDKVLYGGKNLKPNLCNVRLVSPADQSCGIPTDFGNGQTGEKLVQPFMVFKDLVKFVVGPFYYTTPMCDTPKYENNY >A09p016950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8819985:8825501:-1 gene:A09p016950.1_BraROA transcript:A09p016950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRPFSKNDRRRWSFDSVSAGKLAVGSASTSPGTECSNGGYGEEFVEVTIDLQDDDTIVLRSVEPATSINIDISDETAGGGAGGGMMTPASISRSPTMKRTSSNRLRQFSQELKAEAVAKAKQLSHELKRFSWSRSFSGTLTSANQNGGGGGIVNSALEARALRKQRAQLDRTRSSAQRALRGLRFISNKNKNVEGWNDVQTNFEKLAKNGYIYRSDFAQCIGMKDSKEFALELYDALSRRRRLKVEKISHDELYEYWLQINDDSFDSRLQIFFDIVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKNSRIHRMSSDFVYFMQENWKRIWVLSLWIMIMIGLFLWKFFQYKQKDAFHVMGYCLLTAKGAAETLKFNMALILFPVCRNTITWLRSTRLSYVIPFDDNINFHKTIAGAILVAVILHVGDHIACDFPRIIRATEYDYNRYLFHYFQHKQPTYFDLVKGPEGITGILMIILMAISFTLATRWFRRNLVKLPKPFDRLTGFNAFWYSHHLFIIVYVLLVLHGIFLYFAKPWYVHTTWMYLAVPVLLYGGERTLRYFRSGSYSVRLLKVAIYPGNVLTLQMSKPSQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHIRQLGDWTQELKRVFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSISDFSRSSENSTGSNSGNNANTPRGKKILKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTSVRTHFARPNWKKVLSKLSSKHCNARIGVFYCGVPVLGKELSKLCNTFNQKGSTKFEFHKEHF >A08p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17713167:17718529:-1 gene:A08p028190.1_BraROA transcript:A08p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:Projected from Arabidopsis thaliana (AT4G26970) UniProtKB/TrEMBL;Acc:A0A178UVE5] MYRRATAGVRSASTTLTRLSSSSLASAPAASSSAPSASVINQTSGSRSFSSALRSYRVCSASTRWSHGGSWRSPASLRAQARVSAPVMERLERRYASMASEHTYQDILTSLPKPGGGEYGKYYSLPALNDPRIDKLPYSVRILLESAIRNCDNYQVTKDDVEKILDWENTSTKQVEIAFKPARVILQDFTGVPALVDLASMRDAVKNLGSDPNKINPLVPVDLVVDHSVQVDFARSEDAAQKNMELEFKRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNSGGFLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLDGKLKEGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLEYLKLTGRSDETVSMIESYLRANNMFVDYNEPQQERAYTSYLQLDLGHVEPCISGPKRPHDRVPLKDMKADWHACLDNPVGFKGFAVPKEKQGEVVKFSYDGQPAEIKHGSVVIAAITSCTNTSNPSVMIGAALVAKKAFDLGLKVKPWVKTSLAPGSRVVEKYLDRSGLREDLNKQGFQIVGYGCTTCIGNSGDLDKSVAAAIEGTEIIPAAVLSGNRNFEGRVHPQTRANYLASPPLVVAYALAGTVDIDFETEPLGTGKDGKNVFLRDIWPSNEEVAKVVQYSVLPSMFKSSYETITEGNPLWNELSAPGSTLYSWDSNSTYIHEPPYFKNMTANPPGPREVKDAYCLLNFGDSVTTDHISPAGNIQKTSPAAKFLMDRGVSQTDFNSYGSRRGNDEVMARGTFANIRLVNKLLKGEVGPKTVHVPTGEKLSVFDAASRYMNAGQDTVILAGAEYGSGSSRDWAAKGPLLLGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTVHLPTKVSDIKPGQDVTVTTDTGKSFVCTLRFDTEVELAYYDHGGILPYVIRSLSAK >A09p019640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10407883:10408947:1 gene:A09p019640.1_BraROA transcript:A09p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETVTNATLPKHQDETEREFEKNQQRYQDLIATFPHTQGWRPKAPLIGYGGHWIVKPLLERWLHARDVFQARPIDFFVCSYPKSGTTWLKALTFAIANRSASDSDQSSNPLKKCNPHELVPFIEGELSLFQQNDAVEDKGNTLFSTHIPHGLLPESISKAGSKMVYIWRDPKDTFVSMWNFFQKERSHNGTLNSLEESFDMFCRGHSLYGPFLDHVMSYWKAYQEKPDQVFFLKYETIRADPLPYVKRLAEFMGYGFTAEEEEKGVVEEVVNLCSFESLKNVEANKGEKDKEEIKGKVSGGDVPSKFYPNSAYFRKGKVGDWSNYLTPEMAARIDGLMEEKFKGTGFFEYGN >A07p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21034704:21035908:-1 gene:A07p039580.1_BraROA transcript:A07p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MDKVRSNEIIIPIAHDFIGARSMEVSMSLNALARLPLKNTGRLEEVGLARHSLFTSRTPCRESTKRRMVFVVEAKGKKGMAARQYQRTPPPMPKIEDDGNPRFVIFIRMANVYLWYPLSIIAGGTTAKIMVAAKDNLLGKYIYKDTIARNIAAVIYRDEKEIQKTAIKQHRVLRTATEFRYGYKLVENGNMRAALSTSDVIELPTQDQLKTVLDKVKDFFGDAKESFGKISSLNPGETEESPDEKAKQGPRLDN >A05p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2875945:2878925:1 gene:A05p007140.1_BraROA transcript:A05p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTPIPAMEERAGSMRFHGMGSPGSRSSRSGATEEPLSRLIEEKIFVAVGKDVAKSKSTLVWALQNTGGKKICLVHVHQPSQMIPVMGAKFPVSSVKEEEVRVFREKEREKVHVVLDEYLRICQQRGVRAEKMFIEMESIENGIVELILELGIRKLVMGAAADKHHSKRITELKSRKAIFVCREAPALCQILFTCKGYLIHTREAADDSESEYASPRPSITGSDIPEAFSTPESEHLNISRVHSTDSLHRLGSNGSSAEHSERVSDGSLTTDEEETVMNTVEQRSNHSHPSSFPDGVDDSFNGKIRQATSEAQSSKREAFAETARRQKAEKNALGAIKKAKQSETAYSEELKRRKDTEIAIAKIKERLVTIKKEQETLMKELQRAMAQKEMLESQIAESDGTMEKLNQKLDIAVKLLQKLKDEREELQTECDRALREATELRSRAETSSTLQSTQYFTHFSFSEIEEATNRFDSTLKIGGGSYGSIYVGVLRHTQVAIKMLNPNSSQGPVEYQHEVDVLSKMRHPNIITLIGACPEGWSLVYEYLPDGSLEDRLRCKDNSPPLSWQNRVRIATEICAALVFLHSNKSHSVVHGDLKAASVLLDANLVSKLSDFGSNSDVEELTPMSDVYSFGIILLRLLTGRPALGIEDEVKEALESGTLVDLLDPLAGDWPFVQAEQLARLALRCCETVSENRPDLGTEVWRVLEPMRASSGGSSSFHLGRNEQRIAPPYFICPIFQEVMQDPHVAADGFTYEAEAIRAWLDSEHDTSPMTNDKLSHTSLIANHALRSAIQEWLQHHC >A10p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4231377:4232906:1 gene:A10p014360.1_BraROA transcript:A10p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNSLSIQKTIKRYAEYKTEYFVAESHPMEQYVQGLKKEMVTMVEKIEMLEVHNRKLMGQNLASCSVKELQEIATQIEKSLHIVRSRKAKLYGDEIEKLKAKERELKDERVRLCGRVGERPMGTPSSSKEKEDVETDLVIGFPKSRR >A07p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14897847:14898991:1 gene:A07p026010.1_BraROA transcript:A07p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDASRIVEMVEDEEHIDLPPGFRFHPTDEELISHYLKPKVFNTLFSATAIGEVDLNKIEPWDLPRKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGNSLVGMKKTLVFYKGRAPKGIKTNWVMHEYRLEGISSFQNLPQTAKNEWVVCRVFQKRADGTKVDMSDLMFLDSNFNRTEPTRIPSLIDCSQRDSFRGSSTHVTCFSDQETEDKRLFYHESKDGSGSLFYSDPLFLQDNYSLMKTFLNDQETLFPGPDSTGLAAGTGELDCFWNP >A08p039310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22628392:22629974:1 gene:A08p039310.1_BraROA transcript:A08p039310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHGGGGASKKKNHQNRSKPMAGPRPNRSVPLPSEDDDYPMLKVSLSSISKLEVRNLKRKLTAELDEVRSLMTRFDPQPQSKKMKQTGNKKGTAQILKSCNALLTKLMKHKDGWVFNVPVDAKGLGLHDYHSIVKEPMDLGTVKAKLGGGLYESPLDFAEDVRLTFNNAILYNPVGHEVHSMAKFLLSMFEEKWVSIEVQFDNLHRKVKPTRDVALFSPPVVEALPAPPPPLLPPAVIEDRTLERAESMTTPVEPETVTTSALEKPEEEEEEEAPVDVRDLTMDEKRRLSEELQDLPYDKLETVVQIIKKSNPELSQQDDEIELDIDSVDVQTLWELYRFVIGYKEGLSSKKEDQGFGSERDAESVHNSIQEPTILATGTETSRANESGKAIRMSSPGRQENNAGGSSSSNSSSSDTGSGSSDSDSDSSSGRGSDTGN >A10g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9002668:9003427:-1 gene:A10g503410.1_BraROA transcript:A10g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSSFVSAQSDDTGKAKNIREAAIFTISFVACDSPSGNQLLWSIFKAPRMFCAYQTLSFSSNAFRALIYIEFLELLQPLQPPLPPFPTPPSTLSALESPLSPLLVGSSDSRIPGTLTRMESLWASLFSSLMNW >A03p028690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12061836:12063779:1 gene:A03p028690.1_BraROA transcript:A03p028690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) UniProtKB/TrEMBL;Acc:Q5XVE2] MENKNQEDLDLLLSLDDDERVLETPPGSPSAPPVYLTDEEESPKRRRGQADLSDFRSVVQDCIDYNPKPLPKLTNKPKCDIEKFSGLRLRNQLLSPAQISDLFSDIRFVRLPTIKNLLMSDKLSGCWATMGVLTEKGQPRTSSIGQPYCIWKICSLNDNNTVSLFLFGDAYKKNETEKAASVFGLFNSSLRKDKMGSDFSLSVNSAKQMVKLGVSADYGVCTAKRKDGTTCTSVVNKRQGAFCKIHKLNASDKFATMRSELKGGNLRTSFRDPKSQGIYTVEPPVDRSGNKKANQPVRVLSVEGLRKALSGADKVTPNVHSQGIRFLNEMAKQSALKNVNKKSEAVNKSVEKRKASNAKETQVKRKREAHGSDKKDTTPEIATGKMMVLDFCSSDEE >A03p000370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:279728:281788:1 gene:A03p000370.1_BraROA transcript:A03p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARSMVSLFFLVIFLVRAQRSTAESTTEFIFQGFKGNQSDIQLQGDSTITPNGLLRLTDRNSNLAGTAFYHKPVRLLDSNSSVRSFSTSFVFVIIPSSSDNGGFGFTFTLSPTPNRTDAESAQYLGLLNERNDGDPSNHVFAVEFDTVHGFKDDTNRIGNHIGLNFNSLSSEVQEPVAYFSKDGKKEDFQLASGEPIQVFLDYDGPTKTLNLTVYPTRLGSKPRTPMISQQHVPKLLQVVQEEMFVGFTAATGRDQSSAHYVMGWSFSSGGDRPVAATLNPSELPPSPPNRAKKRGYSGKVIALIVALTTVISIMSLLFVIFMLYKRRLQQEEILEDWEVDHPHRFRYKDLYAATDGFKENRIVGSGGFGTVYRGSSIKTKSSSSSDQIIAVKKITRNSMQGVREFVAEIESLGRLRHKNVVNLQGWCKHGNDLLLVYDYIPNGCLDSLLYSKPRRSGAVLSWNARFQIAKGVAAGLLYLHEEWEKIVIHRDVKPGNVLIDEDMNPRLGDFGLARLHERGSLQHTTVVVGTIGYMAPELTRNGNSSSASDVFAFGVLLLEIVSGRKPTDSGSFFLGDWVMELQVSGEILGAVDPRLESGYDEEEARLALAVGLLCCHPKMGYRPTMGMVHKYLNRDEDVPEVEVEEYSHSSRSKYYGGGYVSSFDRVSSSSLTRISSSSFISAR >A09p083300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59989336:59990843:-1 gene:A09p083300.1_BraROA transcript:A09p083300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSATLPLHGSSSQENRLLLPPTRLPPPPSSFLGSTRSLPFRRLNHAHAARRSPVVAVQEIVKEKKPTNPLLITKEEGLVLYEDMILGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLTKSDSVVSTYRDHVHALSKGVSARAVMSELFGKVTGCCRGQGGSMHMFSKEHNMLGGFAFIGEGIPVATGAAFTSKYKREVLKQDCDDVTVAFFGDGTCNNGQFYECLNMAALYKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAVARARRGEGPTLVECETYRFRGHSLADPDELRDAAEKAKYAARDPITALKKYLIENKLANEGELKTIEKKIDELVEEAVEFADASPQPGRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAQV >A09p029370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17550695:17551757:-1 gene:A09p029370.1_BraROA transcript:A09p029370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGADENTGAVTAVLSYVESTKTVHRRKDQVAYHFINVDKLVSFTFASSDRKIFQNFKVSSPAHISIICPLGDTARTTYLSSRSMSISKRSLPFFMFRASHRRRRPSPPTEGNDQTSRA >A09g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23402140:23407301:-1 gene:A09g508260.1_BraROA transcript:A09g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHSPTGTLLANSSMVWMFDRDWDPGAHRNFTIRGESYGDGKLGELSGDLRINYLITSSIEFQSKGVGNGDTIGLFRIWLSFRSSEWMRNPEDQAQSLRGRTGNILQMEKEQIWIRWGQQKLSKKIELEALLRVRGKRRRRRKRGCASLCFQLLVDTTPSLLKCLCSLANGYKLNRASTGVVVLSKWKKRVPHTRNSYPSLNCLWIVGVLKTAILR >A09g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27043956:27057558:-1 gene:A09g509360.1_BraROA transcript:A09g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSLVVQLFSLRLAPAYLPNFFSNWHLTITSCLLALFLKLSCEKHMKGLEFLQVKERAGVSSGQPQAYLGEEDQLRPSSPLLRCGALLVPSCPFSFVQEELKSCPTQFQDCSLVLDCSYRTFDNDGDASSLVLVIRFISRTSGQQSGNIDRVISSYPRSGVSHPWLSVCVRLCPSAHKGRPWLSISTHISMLVLGLSTLALPVDCLGDFGSRGLSVQYTQDVRGCPPAHTGRPWLSVSTHRTSVAVRVCPCVSISTHRTSVGERQHTHGVRVCPSAHTGRPWLTLAFPMECLGDFGPRGLSLQYTQDVRGGPPAHTGRLWLSVSTHRTSVAVRVCLWVSVCIRQHTQDVCGCPSVNISASWSLDSTRWPFPWTVRVILSHVGCVQYTQDVHGCPPAHTGRPWLSVSTHRTSVAVRVCLCVSVSTHRTSVAVHQYTYHHAGRPWVSASTHRTSVVVRQHTQNVCGCPSAHTGRPWLSVCLRVCPPAHTGRLWLSISTHINTLVLGLSTLALPLDCSGDFCPRGLSVQYTQDVCGCSSAHTGRPCESVSTHRTSVSTYRTSVAVRVYLSAHTQSPWLSISTHISTFVLGLSTLTLPEDYSGDFGPRGLYVLYTHDVCGCPAAHTGRPWMSHTQDVCGCPSVHLSARWCLDTARWPFPWTVWVILAHVDCLFSTHRTAVGVRQHTQDVRGCPSAHTGHPWLSVCVRQHTQDVRGCPSLHISSPWSLDSARWTFPWIVWVILAHMGCLFSTHRTSVGVRQHTQDVCGCSSAHTGGPWLSVSTHMTSVAVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSPHTGRPWLSVCVRVCPPAHTGRPWLSVSTHINTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVRGCSSAHTGPHTGSPWLSINKHISTLVFGLSTLTLPVDCSGDFGPRGLYVLYTPDVCGCPAAHTGRPVAVRVCQCLSVSTHMKSVAVHQYTYQHVGPWTQHADPSCGLTHRMSVAVHQYTYQHVCPWTQHADPSRGLFGTSVDVRVCPSAHIGRPWVYVSTLGVRVCPSAHTGHLWLSVCVRVCPSAHTGRLWLSISTLISTTAVGVRQHTQDVRGCPSAHTGHPWLSVCVRQHTQDVRGCPSLHISSPWSLDSARWTFPWIVWVILAHMGCLFSTHRTSVGVRQHTQDVCGCSSAHTGGPWLSVSTHMTSVAVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSPHTGRPWLSVCVRVCPPAHTGRPWLSVSTHINTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVRGCSSAHTGPHTGSPWLSINKHISTLVFGLSTLTLPVDCSGVFGPRGLYVLYTPDVCGCPAAHTGRPVAVRVCQCLSVSTHMKSVAVHQYTYQHVGPWTQHADPSCGLTHRMSVAVHQYTYKHVGPWTQHAGPSRELFGTHRTSVAVRVCPWLSVCVRGCPCVSVFVPQHTPEVCGCPSLHISARWTSVGVRQDTLASVAVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGRSVQYTQDVRGCPPAHIGLPWLSVAVRQHTQDVRGCTSAHIGCPSVYVSTHRTSVCVCQHTQDVCGCLFVSVCVRRPTQEYTQDVRGCPPAHTGLLWLSVSTHRTSMAVRVCPSVHTRCSWLSISTHISTLVLGLSMLALPVDCSGDFGPRGLSVQYTKDIRGCTSAHTGRSWLSVCVRVCPSAHTRCLWLSISTHISTLALPVDCSGDFGPRGLSVQYTQDVRGCTSAHTGHLCMSVSTHRTSVAVRVCPCVSVSPHKMSVAVHQYTYQHYTQDVCGCPPAHTGHPWLSVPVRQHTQDVRGCSCVSVFVRVCPSAHTGRLWLCISTHIITLVLGLSTLDHPMDCLDDFGPRGLSVQYTQDVRGCPSVGVRQHTQNVCRCLWLSVTTHRTSLAVRVCPCVFVRTHRTSVAAHQYTYQHAGPWTKHAGPSHGLFRTSVGVRQDTLASVAVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGRSVQYTQDVRGCPPAHIGLPWLSVAIRQHTQDVRGCTSAHIGCPSVYVSTHRTSVCVCQHTQDVCGCLFVSVCVHHPTQEYTQDVRGCPPAHTGLLWLSVSTHRTSMAVRVCPSVHTRCSWLSISTHISTLVLGLSMLALPVDCSGDFGPRGLSVQYTKDIRGCTSAHTGRSWLSVCVRVCPSAHTRCLWLSINTHISTLVLGLNTLALPVDCSGDFGPRGLSVQYTQDVRGCTSAHTGHLCMSVSTHRTSVAVRVCPCVSVSPHKMSVAVHQYTYQHYTQDVCGCPPAHTGHPWLSVPVRQHTQDVRGCSCVSVFVRVCPSAHTGRLWLCISTHIITLVLGLSTLDHPMDCLDDFGPRGLSVQYTQDVRGCPSVGVRQHTQNVCRCLWLSVTTHRTSLAVRVCPCVFVSTHRTSVAAHQYTYQHAGPWTKHAGPSRRLFRISVCVSVTTHMRSVAVHLYTNQHVGAWLSTLALPVNCLGDFGARGCLCSTHRRSVGVRQHTQDFCGCPSAHTGRPWLSVCVRQNTQYRGCPSVHISARWSLDSACWPFMWTVRVILAHVGCLFSAHRTFVGVRHNTQDFCGCPSAHTGHPWLSVCVRVCPSAHTRCLWLSISTHISTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVRGCTSAHTGHLCMSVSTHRTSLGVRVCPCVSVCVRQHTHDDVRGCPPAHTGHPWLSVPVRKHTQDVRSCPCVSVFVRVCPSSHTGRSWLCISTHIITMVLGLSTLDLPMDCLDDFGPRGLSVQYTQDVRGCPSAHTGRLWLFVAVRHHTQDVLGCDFGPRGLSVQYTQDVRGCLSAHTGHPSAHTGHPCVSVSTHRTSVAIRVCPSGHTGRPSLSISTHISTLVLGLSTLTLPVDCLGDFVPRGLFSTHKTSVGVRLHTQDVCCCPCVSVCVCLCPSAHTGHLWLSISTHISTLVLGLSTLALPVDCSGDFWPTWAVCSVHTGRPWVSAITHRTSVAVRVCPCVSVSTHRTSVAVHLYTYQHAGPWTQHAGPSLMIPPDRFSERSTKVKDSERSTMVKPRWSAMILGRILTDAPVSHIEWENSTPLTTHGIQTS >A06p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5123972:5127675:-1 gene:A06p002510.1_BraROA transcript:A06p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G52160) UniProtKB/Swiss-Prot;Acc:Q8VYS2] MILNRSLRLLLLSCPLKSSPFLLPLRPFSASYPMEKNKAKNGSSKSSPFEFNKRRADGFDETGKSKKNLERKTRKLNPNTTAYAQVLGTGMDTQDTSSSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHVFLSRVSSETAGGLPGLLLTLAGIGEEGLSVNVWGPSDLKLLVDAMKCFIPRAAMVHTRSFGPSSTPDPTVLVDDEVVKISAITLEPPRSMEEESCSKSGEISVVYVCELADIMGKFDLEKAKKVFGVRPGPKYSKLQCGESVRSDEKDITVYPSDVMEPSVPGPIVLLVDCPTESHVAQLLSAKSLESYYPSAGDGGKLVNCIIHLSPSSVTSSPTYQSWMKRFHSSQHILAGHQRKNMEFPILKASSRIAARLNYLCPQFFPAPGFWPPQHVTNSIVDSTPSDQDSNLGKSISAENLLKFTLRPYAHLGMDRSCIPPELTSPQVLDELLSEIPEIKDKTEEIKQLWNKPTGETESNTSLPSCLENIRRDDMEIVLLGTGSSQPSKYRNVSAIYIDLFSKGSLLLDCGEGTLGQLKRRYGLDGADEAVRKLRCIWISHIHADHHAGLARILALRCKLLKGVAHEPAIVVGPRSLKHFLDAYQRLEELDMEFLDCRSTTKTSWASCLEGGKEESSLFSKGSPMQSVFKTPMTDLPCLKNLKKVLGEVGVEDLISFPVVHCPQAFGVVVKAAERLNSVGERIPGWKMVYSGDTRPCPEVVEASQDATVLIHEATFEDDLIDEALAKNHSTTKEAIDMGSSAGVYRIVLTHFSQRYPKIPVIDDSNMHNTCIAFDLMSINVADLQVLPKVLPYFKTLFKDEMIEEDGDDVAIDELKEEAL >A09g510990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33507796:33508740:-1 gene:A09g510990.1_BraROA transcript:A09g510990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDKEKKMGNPDVVHKVCDWSWLHQTELTIHVIAPWLCSVPKSHPVLLICSYEDQEVRGPRGTRPKRYEDQEVGSDPYGSVYDLLSQDKYTICVSQGMSRKDTVDKFISFMIMLTEKLRMVLVKLRSREGSASEGAVKARPVRGCVTC >A03p003220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1400101:1403791:1 gene:A03p003220.1_BraROA transcript:A03p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCWSDGSGRGGGMVGVGGNTSSSAAAAAYASPNDAVDYYLKSRGFNGLFSQIELSFSASNLRDMDVTSKSDAMVVVYTTGRDGTLAESFRSEVVLNSLNPKWIKKFNIRYQFEVVQTLLFRIYDIDTQFQNSKEEMLKLDEQQFLGEATCTLSEVITKPNRTIALELTRKEGTQPQNHGKLIVHAEESLASKTTTEIVFRCLNLESMDHFSKSDPFLVISKIVEHGSPIPVSKTEVLKNDANPVWKPVSLSVQQVGSKDTPLVIECLDFNGNGNHNLIGKVQKSLADLEKLHLSGQGINLFLPTGVGHKHEDKALKSQLFVDKFTETVQHTFLEYLASGFELNFMVAIDFTASNGNPRLPDSLHYIDPTGRLNAYQRAIVEVGEVLQFYDTDKRFPAWGFGARPIDIPVSHCFNLNGSSTYCEVDGIQGIMNAYNGALFNVSFAGPTLFGPVINAAATIASESLAQNAKRYYVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADYKEMEVLDGDKGERLESSSGRVASRDIVQFVALRDMQYGEVSVVEALLAELPSQFLTYMRNRNITPTSITTSAS >A03g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17478433:17479894:-1 gene:A03g504890.1_BraROA transcript:A03g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKLADLILNCLKNKESRDFSFSFAETTPKVKFSPTLPSPISDSSFSVTTFGSHGRLQFQTPNPISSSCLLLSTNPNSTPPPSIPRNLNSMASSSAIRMYHLCGYTKLGNTNSEQKHES >A01p038320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14554721:14558488:1 gene:A01p038320.1_BraROA transcript:A01p038320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRALTVDSKAVQRHRATILECVKDSDASIQRRALELIYLLVNVNNVKPLAKELIEYLEVREQDFKGVLTAKICSIERSKLFAPEKIWYIDQMLKVLSEAGNYVKDDVWHALIVVITNAPDLHGRTNYGEYHHPAVFVVTCDWYCRWKLCVPHILKNVFQIWKTSETTYIRLPGSRMDFLEVVWTSWKSSGLHGSLLTKSPFHNRSECFGKFLCLIFLHLVFNQMVLMFYSFKGRSINFIYVFFHLNVFGYGGFSDLEDFSDDLPVSRLKYNALDDFQEVFQTTSISVVWTSWKSYGLPGSRLDFLEVVWTSWKSNKNVGSFILIQHFKKHFNHSSNS >A01p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4210320:4213312:1 gene:A01p008690.1_BraROA transcript:A01p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIKLATHKHPLYPTPWVSRCEGCPRRGGYVKDGYRCYECAISFHKECAEAILEIHHPSHHAHPLSLVLEWQGLKYCKLCGEDLFNNIYYHCSICDFVVDTACAKNPPPNVIEYPKAHEHSLVIEKDLYHPRCTFCGGGTRDMYYYRCSLCSLNFEIRCSMLALEIDYPYHPQHPLKILTKEEHHFSDGKCLICGEELGLKVYHCSICKFTVDVGCVRDPPPLTILFPKAHEHQLNLTPRKISFVCDACGMEGDRSPYSCQQCYFMIHQSCIDLPEIINVNRHEHRLSRRLHLSPGNWECGFCHKNVDWSYGAYSCSICPNYVIHSQCAIQNNIWDKLELKGIPEESHLEPFKVIDENLICHFSHEEHYLKLNEESIISGEGIRCEACVLPIYYQAFYSCVQCNFILHKTCANLSRKKRHFSHDKALTLICGDKIEHCEMCEKYSQGFKYTDFQYFSIDVECAMLSESIIHESHPCTLYYNNNTYIKCACCNEGGYRSFSCDDCSFGLHGRCAALPKTIQHCFVTYDRRFCCSGEKAAKPIASPIAVAMYPTLSVFTLMIGLVITAFFFIYEATSSRKNCSLKV >A03p049360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21116434:21118606:1 gene:A03p049360.1_BraROA transcript:A03p049360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTHHVVLFPYMSKGHTIPLLQFARLLLRHRRKQSTISVTVTVFTTPKNQPFVSDFLSDTPVINVISLPFPENISGIPSGVESTDKLPSMSLFVPFTRATKLLQPFFEESLKNLPEVSFMVSDGFLWWTSESAAKFKIPRLVFYGMNSYASAVSISAFKHKLFTEPDTKSDTEPVTVPDFPWIRVKKCELNLDNQSGPAAELFMDQIMSITTSHGFLVNSFYELETTFVDYNNNSETPKSWCIGPLCLTDPPKPERAKPDWIHWLDQKREEGRPVLYVAFGTQAEISTKQLKELALGLEDSKVNFLWVTRKDVEEIIGEGFKDRIRETGMIVKDWVDQWEILSHESVKGFLSHCGWNSALESICVGVPLLAWPMMAEQPLNAKMVVEEIKVGVRVETQCGNVQGLVTREELSRKVEELMEGETGKTSRNNVKEYSKMAKAALIQETGSSWKNLDLLFEKLCKSGETNEANN >A04g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1980861:1981215:-1 gene:A04g500710.1_BraROA transcript:A04g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDFSSYKIHDNGNSPNSRIQIDQETTMSKVTDIGPIFPSSANYNPAITYNKILLQQIN >A07p011610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8613318:8615621:-1 gene:A07p011610.1_BraROA transcript:A07p011610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGTSTSNSGNSRNDIYETIKNGSWFNQFRNGCNPWMARYVYGLIFLIANLLAWAARDYGRRALNKVTKFKNCKEGENCLGTEGVLRLFYFVMFLSTLGTSKTHSSRDKWHSGWWSAKLIMWPALTIIPFLLPSTIILLYGELAHFGAGVFLLIQLISVISFITWLNECYQSQKDAERCHVRVMLLATTSYTVCIVGVILMYIWYAPDSSCLLNIFFITWTLFLIQLMTSIALHPRVNAGYLTPALMGLYVVFICWCAIRSEPVGENCNRKAAASNRTDWLTIISFVVALLAMVIATFSTGIDSQCFQFKKDVCSEGEEEEEVEEDGVPYGYGFFHFVFATGAMYFAMLLIGWNTHHPMKKWTIDVGWTSTWVRIVNEWVAVCVYSNMDADGSNRAEDQKTKSKWDMRH >A04g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22911121:22911788:1 gene:A04g508590.1_BraROA transcript:A04g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRGGGGGVLNLTSTTTVAMNKAIDETFDKEEVGKTTDLANQVVDEITVHRYVSSSLKRRMATKK >A07p045610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24738759:24742022:-1 gene:A07p045610.1_BraROA transcript:A07p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G72300) UniProtKB/TrEMBL;Acc:C0LGI8] MIDEKKMRSTKSICLLVRPVPMFLFLLIYVLSISVFFLTVSEAVCNLQDRDSLLFFSSNVSSPASPLHWSSSTDCCSWEGISCDDSPQNRVTSILLPSRGLSGNLPSSVLDLPRLTRLDLSHNRLSGPLPEGFFSVLDHLTFLDLSYNSFNGELPLEANGTSRNFPIQTVDLSSNFLQGQILSGSVFLQGAFNLTSFNVSNNSFTGPLPSFMCTTSPQLTKLDFSYNKFSGDISGGLGRCLKLNSLRAGFNNLSGEIPKEVYNLSELEEFSLPVNHLSGRIDDGITRLTKLTLLELYFNQLQGDIPKDIGRLINLRSLRLHINNLTGFVPVSLSNCTKLEKLNLRVNRLGGTLSVDFSRFQSLSILDLGNNSFTGDFPSTVYSCRNMTAMRFAGNKLTGQISPQVLELKSLTFFTFSDNNMTNITGALSILQGCKNLSTLIIAKNFYDETIPSNEDFLASGAFPKLQIFGTGGSRLKGEIPAWLIKLKSVELMDLSQNRFVGSIPGWLGTLPNLFYLDLSDNLLTGELPKELFQLRALMSQKVYDATERTYLELPVFVKPNNITSNQQYNQLASLPPAIYIRRNNLTGSIPVEIGQLKVLMHLELLGNKFSGSIPDELSNLTSLERLDLSNNNLSGRIPWSLTGLHFMSYFNVANNTLSGQIPTGSQFDTFPKSYFEGNPLLCGRVLQLSCTVAPKPYTNEKASTTVVLGIVIGIFFGVSLILVMLALWVMSKRRVNPGDSENAELEINSNASYSEVPPGSEKDISLVLLFGNSRYEVKDLTIFELLKATNNFSQANIIGCGGFGLVYKAVLDNGTKLAVKKLTGDYGLMEKEFKAEVEVLSRAKHENLVALQGYCVHDSARILIYSFMENGSLDYWLHENPEGPAQLDWAKRLHIMRGASCGLAYMHQICEPHIVHRDIKSSNILLDGSFKAYLADFGLSRLILPYRTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPMEVFRPKMSREIVAWVNQMRREEKPEEVFDPLLRESGHEREMLRVLDIACMCVNQNPMKRPVIQQVVDWLNDVDAGNRNQSNREEAEEEEETK >A01p004510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1922198:1925978:-1 gene:A01p004510.1_BraROA transcript:A01p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 2 [Source:Projected from Arabidopsis thaliana (AT4G34260) UniProtKB/Swiss-Prot;Acc:Q8L7W8] MAEKSSFFAHFTILLIILIITITCCEGGRNPVRRSSGRTLMDGHDLSRPLKLTFAGPSRNWTDAIPIGNGRLGATIWGGVSSETLNINEDTIWTGVPADYTNPNAPEALAQVRKLVDERKYPEATSEAVKLSGHPSDVYQLVGDLNLQFDSSHRKYTQASYHRELDLETALAKVSYSVGAVEFSREFFASHPDQVIVLKISSSKPGSLSFKASFDSDLHHHSETKGNQILMQGSCRPKRLPVNLSKSINATNIPYDDHKGLQFAAALEVRVSSGGSVSSLGGKKLSVEKADWAVLVLTAASNFDGPFTMPVDSKRDPSKECVDRISSVQRYSYSDLYGRHLGDYQKLFNRVSLQLSGGNNKTEAASTAERVRSFRKDEDPSLVELLFQYGRYLLISSSRPGTQVANLQGIWNRDIQPPWDGAPHLNINLQMNYWHSLPANIMECQEPLFDYMSALAINGRKTAQVNYGASGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHAWEHYTYTMDKEFLKKKGYPLLEGCTSFLLDWLIKGKDGYLQTSPSTSPEHMFTAPDGKPASVSYSSTMDIAIVKEVFSAIVSASEVLGKTNDTLIAKVIAAQANLPPTRLSKDGSIMEWAEDFEDPEVHHRHVSHLFGLFPGHSITLEKSPELAKAVEVSLKKRGEEGPGWSTTWKAALWARLHNSEHAYRLVTHIFDLVDPLNERNYEGGLYTNLFTAHPPFQIDANFGFSAAVAEMLVQSTTKDLYLLPALPADKWPNGYVKGLRARGGVTVNIRWMEGSLVEFGLVSEQSVSTRIVYRGNSVASTLSPGKLFTFDKDLRCIRTEKL >A06p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3144415:3146698:1 gene:A06p008970.1_BraROA transcript:A06p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDRNPFDEGDEVNPFANPGSVPAASSSRLSPLPPEPAGFGYGRTVDVPLDRPGSGAQDLKKKEKELQAKEAELKRREQDLKRKEDAAARAGIVIEVKNWPPLFPLIHHDIANEIPIRLQRLQYIAFSTYLGLVLALFWNVIAVTTAWIKGEGVTIWLLALIYFISGVPGGYVLWYRPLYRAFRNDSALSFGWFFLFYMLHIVFCVFAAVAPPIVFKGKSLAGILPALDMLSSQALVGIFYFIGFAFFCLESVVSIWVIQQVYMYFRGSGKADEMKRDAARGAMRAAI >A08p040290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22996938:22999073:1 gene:A08p040290.1_BraROA transcript:A08p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSFSPSITTTHAQFSHPLSPMFILRRLPLARNLRLSSRRNNNRVASVVTAAAVRQDAAIWTPAPLSLIESAAESLFHVSIDVSSSPDLAASYTRPGQYLQLRVPDVEKPSFLAIASPPSLAASRGAFEFLVKSIAGSTAEILCGLKKGETVELSAVMGNGFDMGRVDPPEEYPTVLIFATGSGISPIRSLIETGFGADRRSDVRLYYGARNLKRMAYQEKFKEWESSGVKVVPVLSQPDDGWTGETGYVQAAFARAKQVSKPEATGVVLCGQKQMAEEITAMLEADGLSFASFILMTNQCYYGAEFLKKTAFSITNVVSAFGLVAVCTEAVGLDAVLPQQQLIWLLAVF >A01g500560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2359217:2360437:1 gene:A01g500560.1_BraROA transcript:A01g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRFPHLLLLCGILRAIEGERPQQTQRRSRGLFVSDLPVVLSPQMASSPATSREDSLATAVFRFLGTVPDEPPPDSCLTNLEVDFAPFSHPPLEHWFYMTSSPLPSLVRTEPIFTVCFAPHQPSGTRYQSSTRLELNFCGDSSLYASPKVVSSPFAWIFLNGFTVFLRRISGDLSYGTVEQPSFVHLWCSGLLFNYEWAWPIRSTKPASPSRSFLKPNSKIEDTSQICMVWSYWNFSSEISGGFIRISPLCITRSYLSFGMKLLVRSSDSVLVRPSSSFEEKLLLPYPLSMERGVSSVLRPSVCFSFLIGLLSCGAVSTGPEDAIETTLVFLVDEDWTSTSYYVTIPQLYDFVVKAPPTHLSIVSNPLSSSIEDLSCLVYLCFVCYAWCQRGWLIPSIYCIEED >A02g512620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34426793:34427884:1 gene:A02g512620.1_BraROA transcript:A02g512620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFCEFQKEEEQIDLPPGFRFHPTDEELITQYLHKKVLDISFSAKAVGEVDFNKSEPWELPWMAKMGEKECPVLNSIQADIFHMIPLYQSPMLAQERSVLQAMVDNNTRQSLKAMSVSQETAVSTDMNTDTSSDFEYGKRQLSAQEDPYSSTGLVDLEPFWNY >A01g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26152128:26153691:-1 gene:A01g509600.1_BraROA transcript:A01g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTTHLSKCSNDNTFTRIANALGTKLLVDDKKARIQVSIDADKPLQFERRIGFPNGDIGKVTLSYDGLHRYCFTCKLISHDENTCPQLSPEERELKRKQRLESLNPNEQSRLPLQAPYGDNSRNLLKRPRSPPNGRYLSPSESSRHSDLNREDKRRKSTLPSYSTREARDTGLQTRDRKSSSRQDNRYTHQRREVWSRLESPIRKGGRSSNYYPRSSPRNDKTRSSYKTHTEWRPRRGQEEPRSRINNNAVSHQGANDRTERSRAIVDSQKTITDNRASLESGEIVATRGSEAANDNAEDERIRRLKGKAIATATSPPAVVRRNSTLSIREKATEKAMESPLTIRQAKRYETPQLEQRENLLALENDIGIDQDLDTPLTDLEIAEVENLVLETERLEMAENRLDENRQAASIDENMLDIDNDDLLGDSPVHYAETIEAISQLSPANAVYKKRVSSSQHSTLPKADATLLTAKQNASGAYIPKGLLKKKIPHSPEIKGAKASKKLQ >SC232g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:1247:3173:1 gene:SC232g500010.1_BraROA transcript:SC232g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPFAIQHLIGILILSYEHGLSLYVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIVVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPKVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADALGASLSGDFDFGL >A02g512440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33749288:33750103:-1 gene:A02g512440.1_BraROA transcript:A02g512440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRFEEKEAEASVLATQIPDDKECLLQFMDQRAKSIQLSKFGFDAAYCRLAEERELMKDAETKFLQLDRVESDSLSKAKPMVTGKTGSLLDEVKTANGTSTPYVRGEKMEYETATLHQRSEKDPSKLPSIILPPSFKRKASAPVRPEVNETPHAQAMVTRSSNIPREEGVKRSSHTI >A01p030320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21316374:21317911:1 gene:A01p030320.1_BraROA transcript:A01p030320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRELIVSFILYCTIPYQTDAYNNFPNLPFRSEGWPVVRNKIKKELPSRSPIIEPWIRDSWLVSRNSKEDANLLFPASVFSEHLMVLPGPPLHMQPPASATKNSLGVTNIDYMEIFINLNSSIGPKGSAERAVINWTKRKCRKSSYVTVTGRSWEFTYVLESLAVRHGMLESLDVQPRTIFATSINLKFTQTASLQLFASTVRLGGTDGSDRQSVSKYGGVLYNN >A05p043920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26477656:26478082:1 gene:A05p043920.1_BraROA transcript:A05p043920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G15353) UniProtKB/Swiss-Prot;Acc:O22433] MSSCGNCDCADKTQCVKKGTSYTLDIVETQESYKEAMIMDVTGAEENGCQCKCGSSCSCVNCTCCPN >A09p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41117398:41117995:1 gene:A09p047360.1_BraROA transcript:A09p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQSREIDDVLDYTIDLFVGEFVVDDSYKSLVVGVDLDISDIKEYCLSWFLAVHSVQQIQRKRLPVIPDGGQQTALSEIIRAVTKSVWAAR >A01g501340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5122757:5123113:1 gene:A01g501340.1_BraROA transcript:A01g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVSQLIKPNSQTNPETALTVRVISPLRLKSSSLFLSGVSLCFAILFLFPNSSSITPPMRVMVLQCALINPPFMIPTDLPHTLSVSMISRSLFIPLSQIVKPIPVPQILKSKPSDVN >A08g504770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7964706:7965185:1 gene:A08g504770.1_BraROA transcript:A08g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEPQAVCLGNICLLDGSWTLSANFSGCGWTWIDSSGNIQLMGTKNITRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQICFPDFNITYVPRAHNQTADFLAKTARSFRRELHFVGCSIPVWLPRPPQV >A02p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25435399:25437092:-1 gene:A02p040210.1_BraROA transcript:A02p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYAKRRVSEPNNTAGSGSRRSKGLRVEKKPKIVSLKNQMRSVERFLRKDLPREVKETLKHKLEYLKKQQDDHTRLAVERKVFLRNRKIRFFERRKIERSIRRLEKLQRTSSAPVDVAEQLCKLKEDLEYVRFFPKNEKYVSLFTGAEDSEVIERRSKMRKQIKANIIVAAASGKELEETGSEDDGLLELSDDDFFDKGSSSDEADADDELTDKSTKEAASSRATSGMSSDERNQKQNSDRALMPPPQARFGPNARKSSSMQRNEMPSSSRNTSMPSSSRNTSNRRSESLYNARGADATSYSSQSSNLSSNSDAHKPKRKRRPKKKKQQA >A07g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11030942:11042929:1 gene:A07g505100.1_BraROA transcript:A07g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETRQSLRAIQHRENLRSKEQTTTQTGDTTLILLTPRLDNDISEDYRIWKEPTSPSCATVGKPYFFSKRSVLQQDFLRFQVCKTHLAPRILSSGTLSTGSKRAQVQATPGEDYRRNTQRTTERRQGGSKELPSGGRTKEMEKEQFSSAFHERIDRHGKNFGARALEVGSKNHNYTSPPYSKRSDLIVGRGTQRRTPFPQKGLSEWRLKQGSQPLLPTETTEPTPVAPLIPEGTNRPDQCFQVAPSSQTEVQILKDLNEATLLYLNCPDPTEAAARRQRVLASDSRGQTEAAITRMLKFQGRTSSEIAETSHQYNQNSSSLTKEKFLQELQEVTKQYISCADPVEAAARRLRVLAGDAEELMDKTADSILAASTEQRRPLSPWEMGIRSVSPPAIDFDRAMQPSDVEFTPPPRPRSSEIHHQETTQHEEPNNILMEDVNPARIKSLIISPRDEEAIAQDELRNVMEVAEDEETLQSFQNKAKLKEINLKKKRPRRQVRSSPNILRGASSKKRKISQIQNSPARGARTILPWVCWVLWTARNTLIFEGRTLSQQEVTTKAIRSAREWNLAQDHKEKTNQSIPESGVGRRSPIQNQTVICKSDAAWEKNTNRGGLGWIISDRTGAIVKKRSTIQDHKYP >A02p017750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8046233:8047430:1 gene:A02p017750.1_BraROA transcript:A02p017750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKMMDQTNQGSSSSFANTRKRGRHEGQGQHPPVVNENLIGQVFSGVVEGSFEAGYFLNVKVLDTEKQLKGIVFLPNKVAPVTPATDLFPQAKMYVRERITTPPEVKKNQSAMLPDNQPMSVGSEAVDERNHHMDTEMKDVGLTETKGQTLSLMPQFASDDVLKEDHTVLTSEACEASKTAVTATSSLPEDSTTFVDFFPAPGTNRKQATGSSSSFSLELFQSETNQLGTGDEPRGVEVKSASPVDDDVPEELQLELGNKKMNASAVAATEANPDQPASSKSGFLVDLFESREETVKEQEQSNAASESVFLEAATQVDDDNDS >A06p020210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9909184:9909673:-1 gene:A06p020210.1_BraROA transcript:A06p020210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASRPKESDMNNEGSVPKPVSENVVAKEINTEESGEKQNQTETTETTSVEAEETSEVEPTKETAPAAEAEVAAAVEESSSAGEVAAATEKVENVAAATENVEAVAVAAAPEKVEAEPVKEEKEAVVIV >A09p003580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2067663:2068718:1 gene:A09p003580.1_BraROA transcript:A09p003580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQHSSFAGQTALKPSNDLLRKVGASNGGRVVMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >A01p036320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16500622:16501687:1 gene:A01p036320.1_BraROA transcript:A01p036320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYNFSTNKKKMLPEACIANIISFTSPADIFSSSAVSLVFRLAGDSDFVWETFLPSDYKSLISRSTDHQRSFSSKKEIYRCLCDSLLIDNARKLFKINKLSGKISYILSARDISIQSNDQASYWSWSKVSDSRFLESAELITTDRLEVNGRIQTGVLSPNTRYGAYLIVKVSKHAYGLDLVPAEICMKSSNGRTVKNKAYLCCLDEKKQQMKRLLYGNREERMAMTVGMVGADHEKIREPKGRDDGWMEIELGEFETREGEDDEVNMSLTEVKGYQLKGGIVIDGIEVRPKSLN >A04p022450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13542561:13544218:-1 gene:A04p022450.1_BraROA transcript:A04p022450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLTCGETSDSWITDNDDETTIPGGGFTNDNHQLFSKDDNFNGDGSIPMMGSPSSPREERIREMLEREVEFSPGDEYLKRLRSGDWELRLRNQALDWILKACAHYNFGTLCICLSMNYLDRFLASYDLPKDKTWAVQLLAVSCLSLAAKMEESDVPQTVELQVGDPKFVFEAKTIKRMELLVLNTLNWRLQALTPFSFIDYFIYKTNGHVSENLIYRSSQFILNTTKAIEFLDFRPSEVAAAAAMSASISGEIKCIDDDKTLSNLTYVKQERVMGCLSLMRSLTGDNVPGAAKLSPQQPRLTARVVPVSPIGVLEATCLSYKSDERTFESCTNSSQSSPDTNNNNSNKRRRK >A02g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24045207:24046720:1 gene:A02g508970.1_BraROA transcript:A02g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVFKDIQECINNEKIVQGKE >A09g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9740678:9741642:-1 gene:A09g502890.1_BraROA transcript:A09g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFRFPARSDDAKKFSGKADRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKELRIYSTL >A07p007520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:914869:915300:-1 gene:A07p007520.1_BraROA transcript:A07p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLTHTFQLSESTKGNHFKPGQPRPARHMASWANKDPLATWLSGMSKTCSPYGELVRPGPAHHMARLSVQGPARHMASWANQDPLAIWRADIYNPTHHMANRDCTLSISCTLISSLSLAPSSLGYIVSCFISIGVTVETLR >A09p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5727985:5730361:-1 gene:A09p011370.1_BraROA transcript:A09p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRSKTVFVLFSFLLVATGISIAEKEAASVEEEWGTSVKERFMAEEKGENSSLILAANRTKRKDPTENFNIYTGGWNISNTHYWTSVAYTATPFFVIAGVWFVIFGLSLSLICLCYCCCARQPYGYSRVAYALSLILLILFTIAAIVGCVFLYTGQGKFHASTTDTLDYVVRQANFTAENLRNVSDYLNAAKKVDVQSIVLPGDVLSSIDNIQGKINSSATTLSVQTMENQDRIQDVLDNMRLALIIIAAVMLFLAFIGFLLSIFGLQCLVYTLVILGWILVTGTFVLCGVFLLLHNVVGDTCVAMDQWVQHPKAHTALDDILPCVDNATATETLSQTKLVTYQLVEIVDNFMNTIANKNFPPQARPLYYNQSGPLMPLLCNPFNADLSDHLCKPGEVHLSNATEVWKNYTCKYITPGICSTPGRLTPDHYTQMAAAVNVSYGLYKYGPFLADLRGCNFVRSTFTDIERDHCPGLRRYTQWIYVGLVLVSTAVMLSLVFWVVYARERRHRVYTKDYNAMHSEAPRDKGPQ >A08g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11754815:11756223:-1 gene:A08g506790.1_BraROA transcript:A08g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENAAVSENQHHDDAATTSASPGFKLVGFSKFVRKNPKSDKFKVKRFHHIEFWCGDATNVARRFSWGLGMRFSAKSDLSTGNMVHASYLLTSGDLRFLFTAPYSPSLSSGEIPPTTTASIPSFDHVTYRSFFSSHGLGVRAVAVEVEDAEAAFSISVSNGAVPSSPPIALNDAVTIAEVKLYGDVVLRYVSYKVATVFLPRFETVDDTSSFPLDYGIRRLDHAVGNVPELGPALTYLSRFTGFHQFAEFTADDVGTAESGLNSAVLANNDETVLLPVNEPVHGTKRKSQIQTYLEHNEGAGVQHLALMSEDIFRTLREMRKRSGVGGFDFMPSPPPTYYKNLKNRVGDVLSEEQIEECEELGILVDRDDQGTLLQIFTKPLGDRPTIFIEIIQRIGCMKKDEEGRVYQSGGCGGFGKGNFSELFKSIEEYEKTLEAKQLVG >A01p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:48310:52956:-1 gene:A01p037150.1_BraROA transcript:A01p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKTKHIQKMSTRRLIKNQVLQPTVQREVVFQRQSSRPVHPPQVKRNQGKHSNSLKPPEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMGKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPCIYQGKTLASQIRMKPNLLYLGAGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDIPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLAXDWGEEADQDCWDDGDDHTEGHWCADSVPEYVPNDEQEYPEVEPESMDRYSTCYGPKSQLIYEDSSEGKYYSQARPRREKTTVAAPSRSYHGSLSRHAHSTPWNNNGDQFYQNRLAAPSIHFSGHKQGPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNSLKPSEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLCNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNFEIGDFKKETTILPREIIDQPWKGGIASLLIKEEPPVGQCITKPCIYQGILVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIEHAANWFHTKKSNGLGDMPVTSQTIYTASELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHLFLPKLTRYKERIQLPYMDRFCTN >A06p015620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7005790:7013060:-1 gene:A06p015620.1_BraROA transcript:A06p015620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELCSLNSKFAALKNQRNKVTLEDLPPVLVKREMAHSKPKSDAPFRFPKCEASVLPDPSRFFSNHLLSNPLPTNSFFQNFTLGKDDQPEYFHPYLIKPAKSSLSISYPSLFHNSDFFHEVFKPDITISGSPIDQSSKQTHQISSFSDLGVNLDFPSSNLRFFLVRGSPFITFSVSCNKITISTSHEFVSFSGNSSCTKYTAKLNNNQTWLIYTTTPTKLTKKDNSSIHCRGEFSGLIRIAVLPNSKPDFEPILDRFSRSYPVSGDADFTTPFTLEYKWEKRGHGDLLMLAHPLHLKLLSESATVLDKIKYKSIDGDLTGVIGDSWVLKPDTVSITWHSLKGVKQDSSREEIISALIKDVIALNSSSPVSCWSYHYGKVISRAARLALIAEEVSYLHVIPAIGKYLKDMIEPWLDGSFGPNSFVYDPKWGGIITVHGSKNAREDHGYGIYNNHHVHLGYFLYAIAVLVKIDPLWGKRYKSHAYTLMADYMTLGRKCDGCDSVFPRLRCFDVFKLHSWAGGLTVFADGRNQVCPSEAVNAYYSAALLGLAYGDVHVVAAASTIMSLEIHASKMWWQVKEDDTIYPKEFTAKNRLVGVLWSTKRDSSLWFGDKEWKECRLGQQLLPLIPVSEILFSDVKFAKQLVKWTLPALKRDGVEDGWKGFVYALESVYDKYEALQKIRGLHEFDDGNSLSNLLWWVHSRD >A02p048930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30414497:30417330:-1 gene:A02p048930.1_BraROA transcript:A02p048930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTSLDFENSFILILLCIFSTFFLFAFFLKKPKNVFDLPPSPPSLPIIGHLHLLFSTSLHKSFQRVSSKYGPLLHLRIFNVRILLVSSASVAYEIFKDHDMSISSHGPIGIDECIVFGSSGFIKAPYGDYWKFVKKTITTKMLGPQALERSRGLREVEVERFYRDLLDKAVKKESVEIGEEALRLVNVILGKMSLGMSFSEEERVSEFSVEIAALTQKIFLQQVLRKPLEKLRISPPFKKEVMNVSYRFGELLEKIIVRYEEKKDEHEGSEIMDALLAACGDEDAEYKINRSHIKALLAELFFGAGDTSSKSTQWAMAEILNNNKIFESLREEIDSVVGKTRLIQETDLPKLPYLQAVIKESLRLHPPGVLLPREFEQGCKIGGFYIPKGTTLVINAYAVMRDIDSWTDPDEFKPERFLGEEDARREHVLNFLPFGAGRRACPGSNVAYILGGITIGVMVQCFDWKVEGVKVNMEEATGRAFLALAHPLNCTPLPRILNILPSNL >A01p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8602718:8603593:1 gene:A01p017640.1_BraROA transcript:A01p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTARTHHDITSRDQYPRDRDQYSMIGRDRDKYSMIGRDRDQYNMYGRDYSKSRQIAKAVTAVTAGGSLLVLSSLTLVGTVIALTVATPLLVIFSPILVPALITVALLITGFLSSGGFGIAAITVFSWIYKYATGEHPQGSDKLDSARMKLGGKVQDMKDRAQYYGQQHTGGYGQQQTGGEHDRDRTRGTQHTT >A02p000060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20183:23091:1 gene:A02p000060.1_BraROA transcript:A02p000060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VACUOLAR SORTING RECEPTOR 2 [Source:Projected from Arabidopsis thaliana (AT2G30290) UniProtKB/TrEMBL;Acc:F4IMR9] MYLSGGMMMMMKNVRLSVMGWVMVWWWWGSCMGRFVVEKNSLRVTSPESIRGIYECALGNFGVPQYGGSMSGSVVYPKANQKACKNFEDFDISFRSRVSGLPTFVLVDRGDCYFTLKAWNAQRAGAATILVADNRPEPLITMDAPEDETADAEYLQNITIPSALLSRSLGSAIKTAINQGEPVRISLDWREALPHPNDRVAYELWTNSNDECGSKCDAQIRFLKRFKGAAQILEKGGYTRFTPHYITWYCPEAFLASRQCKSQCINGGRYCAPDPEQDFSRGYNGRDVIIQNLRQACFFRVMNESGKPWIWWDYVTDFAIRCPMKHEKYNKQCADQVIRSLGVDVNKIDKCIGDIEANTENPVLRDEQEAQVGKGSRGDVTILPTIVINNRQYRGKLERSAVLKALCSGFRETTEPPICLTEDIETNECLQNNGGCWEDKTTNITACRDTFRGRVCQCPIVQGVKFLGDGYTHCEASGALRCGINNGGCWKHTQMGRTYSACRDDHSKGCKCPPGFKGDGLKNCEDVNECEEKTACQCRGCKCKNTWGSYECSCSGSLLYIREHDICISKDARGDVSWGVIWIILMGLGAAALGAYTVYKYRIRTYMDSEIRAIMAQYMPLDNHPNTQPSSQLEL >A09g513380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40701358:40701859:1 gene:A09g513380.1_BraROA transcript:A09g513380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNDNKVSSFLMIETENRSAMPFQIIPSPKKLVLHDSHKNLPSHNPIWKQLPLPCLEGLCLDDLCFEAMVRRSTGIAYVFLSRFIGQAIEGYDGQEDGIKFFGLSGNLTEDALD >A04p016300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10027327:10029498:1 gene:A04p016300.1_BraROA transcript:A04p016300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRSPMLVTNCLLQLLVSFFMEGKCSAACVAWCAEACHQLSNLIFRKVQREREKNLLEKLVEKFRKKSGKRMSICVVKSWRFGFLKLRITHVLQPLILIGKDMVKDSVRISRILVVVLNREYFRSRVLTVYSLSRSFRITVNCSYDMEQGHEDTMMESHPGGCVTACSVRCSILEYLMEMMVIFISPLGSVSLGGFPVQVPYDISPCPDKLTIGYYFLGLKSLEINHRGTSTVSFPDIYDWGFHRHTSPPHHHKHARF >A08p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9426133:9426602:-1 gene:A08p014100.1_BraROA transcript:A08p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKNAMFGLMRVKYLPKVGSEKMCMSLKRYVVKTNPTNGHKKSDKKRVLFPLGARNTCLDNGEHASAFYMSKFQNKLEQNNIDDSLVRTAR >A05p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30654979:30656862:1 gene:A05p052510.1_BraROA transcript:A05p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g04750, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G04750) UniProtKB/Swiss-Prot;Acc:Q9SR01] MFVRRGVRFFATECKRKTTTWDPLHSLELNHQSLVLLEKCNSRHQFKQILANIMRVNLICDTFPMSRLILFSAITYPDNIDLAKLLFRNFTPNPNVFVYNTMISSAVSKKECFGLYGSMIRHSVRPDRQTFLYLMKVTNFLSEVKQIHCHVTVSGVLSEGSDYYLWNSLVKMYMEFGDLGYAEKLFDEMPERDVSSFNTMIVGYAKKGYCLEAMKVYYKMVGDGIEPDEYAALGLLVCCGRLSDVRLGKGVHGRIERRKPGSSSNLILWNAVLDMYFKCRESGLAKRVFDMMAKKDTCSWNTMVGGFVKLEDMEAARDVFDQMPKRDLVSWNSLLLGYSKKGCDQMAVRELVYEMLIVEKVVPDRVTMVSLISGAANNGELSQGRWAHGLVIRLGLQIDAFLGSALVDIACRGKEDVETAEMALTELLKLEPEKEGGYVLLSNIYAAARRFGYSDKTREAMESRGVKKVAGYSSVVGVEGVHSFVATEKQNHP >A09g518150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55442688:55445980:-1 gene:A09g518150.1_BraROA transcript:A09g518150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNHTFSGIVVSVVMKYAENTVKTSVALLLTAVVSVYLFNFHVSLAFLLGSIFFDLWPSKATMEFQERRNVVNLCVKLRSSHLLWKPGRLRNESRLHTDQVSVSTESHVSVVYLKVTTKAMARSHVRDCDSRTVRNFLEDDRHGVGREGPSIPVFIYITCLRKGKKIREEKGISLKHKLNPSLSFQAYGKEFSEKSKDLEETMFLFRTIGETTRSMTL >A03p066480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29380532:29381689:1 gene:A03p066480.1_BraROA transcript:A03p066480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYDCIRRAWHSDTHQPMRGLLIQEIFRIVCEIHSQSTKKNTEWQEKLPVVVLRAEEIMYSKANSEAEYMDLTTLLDRANDAINTIIRLDETTETGDYLQPCIEAALHLGCTPRKASRSQRNINPRCYLSQDSTKLDNILSPQYQVFMKPNSFAPKTLPVMTFNNDVQVKKCPVSKYSSYPLCYSLRVPSLQVNVTDSCKSNKNSRLVSVKDATNGIAFGGCDLSLRLGPLGDDTRSPTQKRSKISSSNNNNKS >A05p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25292485:25295080:-1 gene:A05p041700.1_BraROA transcript:A05p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMARSTLIPSINPAYSFRHQFPLSNPSFSSPPSFLRVRRCGNRRRGVTMAAGKDHYKTLNVGKNATLKEIKSAYRALARKYHPDMNKNPGAEDKFKQISAAYEVLSDEEKRSAYDRFGEAGLDGNFNASQDASQGVDPFDLYSAFFGGGSDGFFGEMGDSGGMGFDFMNKRSMDLDIRYDMRLSFEESVFGVKREIDVSYLATCDGCGGTGAKSSKDVKQCSNCGGKGRVMDTQRTPFGIMSQVSTCSKCGGDGRIITDKCRMCNGNGRLRSSKKMDLVVPPGVSDRATMRMRGEGNVDKRSGRAGDLFIVLQVAEKRGIRREGLNLYSKITIDFTDAILGTFTKVETVEGTMDLRIPPGTQPGDTVKLCRKGVPDTDRPSVRGDHCFEVKVLIPKNLSERERKLVEEFSSLRRSSSSTETRQEEHRFDSESREKPSLWRKMKSFVRPEDSRTKFGTMSLNSSVPLQRIKETGTSIVFPFLALCVIASAVALVQKKGNRLKEKKEI >A02p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3202728:3208056:1 gene:A02p007580.1_BraROA transcript:A02p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISRICQEMASNKHFSKIPSNLSNNSDATDPPRPYVPNTVTFARRTNSGRYVNYSRDDLDSEIGSVDFNNYTVHMPPTPDNQTTDPSISQKVEEQYVSNSMFTGGFNSTTKAHLMDKVIETETNHPQMAGAKGSSCAIPGCDAKVMTDGRGQDLLPCECDFKICRDCFVDAVKTGGGICPGCKEPYKNTDMTDQGDQQRLPGGEGGGSKMERRLSLMKSNNKSAMVRSQTGDFDQNQWLFETSGTYGYGNAFWTKDGNFGSGKDGDGDGMETQDLMSKPWKPLTRKLNIPAAVLSPYRLLILIRVVVLALFLSWRIKHQNQDAIWLWGMSVVCELWFAFSWLLDQLPKLCPVNRSTDLQVLKEKFETPTPSNPTGKSDLPGLDVFVSTADPEKEPPLVTANTILSILAADYPCEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHTIEPRNPDSYFSLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKMQRQNRDDELLEPVKIKKATWMADGTHWPGTWLTSASDHAKGDHAGIIQPPSDEPLHGDSEGFIDLNDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSEAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSRERSSGCCGCCFPRGKKKKNHIPEENIALRMGEYDDEEMSLYLVPKKFGNSTFLLDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGVEISFTLTTKSGGDDVDDEFADLYMVKWTSLMIPPITIMMVNLIAIAVGFSRTIYAVVPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWAGLIAITISLLWVAINPPAGNTEIGGSFSFP >SC122g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:2712:3236:1 gene:SC122g500010.1_BraROA transcript:SC122g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVARGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09p023790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13034116:13046953:1 gene:A09p023790.1_BraROA transcript:A09p023790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMILCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFAKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNGIHEVFMIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETREAKMAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMIVCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFAKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNGIHEVFMIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETEVEK >A09g515460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45786168:45788410:1 gene:A09g515460.1_BraROA transcript:A09g515460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKAPQNISLNNVHSRRLLSTSNQVSTNSVLASCRIRKSLSSSNLLTNRFSPLSSDDEDESLVSDEELDPKENLFTAGRVFLRDRPAKKKSKASQKSKVSIAALSFDSLFLVLDLMEKMSSHSSRTILANFPCDIHESGDPAMLSLRLVSINHDHRDEPSLSSSRFEDPIMSPFRLVSVNHDHREEPSLSSESRTFMNCGGDDQEIKQEMEKQFVDLLLVGYVNS >A08g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12024427:12029954:-1 gene:A08g506960.1_BraROA transcript:A08g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWFTGVWLKAFLHYSSLSSPPEIDIVFFLTLNCSSPRYYFTDRRIGTGKAFFSSYSGKGDSGTLLVDHLRHRNCIQYVYGIVLQVHSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDTVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFEKINQLLKSGPRGADMGATYGFSPARHSSPFRGQNDDFNYTESTPRDGEDVAATETASGGLGQNLDEGKMGEGLSPEKQTESTHGAELRAQTGVHHGGDAGIGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGINKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDARGDATVANKGEDADEDDVTITKVQAGDKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPPVRKKDGNKKVAHQTDDNPAPPKRSKKVAAEPSNPKPRRQEKHTFTGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPHMVSRFCLTSRARELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPAV >A03g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9055138:9055840:1 gene:A03g502920.1_BraROA transcript:A03g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGLLDFWKYLKKRGYPREVNQKIPRNKLENGEDDDADDQFYEEYFDHDLGRDEDEYERHYARIRMLKKRKRKLRGSEKGIVHDNDDVISLENHKVLKNKIGGQPLFISHGWIKWG >A03p071390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31429994:31430996:-1 gene:A03p071390.1_BraROA transcript:A03p071390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVEEAHNVKVIGSGNQGTIVLGHGFGTDQSVWKHLVPHLVEDYRLVLYDNMGAGTTNPEYFDFDRYSTLEGFSFDLIAILEDFQVESCIFVGHSVSAMIGVLASLNRPDLFSKIVMISASPRYVNDTDYQGGFEEEDLNQLFEAMRSNYKAWCLGFAPLAVGGDLDSVAVQEFSRTLFNMRPDIALSLAQTIFHSDMRQILPFVSVPCHIVQSVKDLAVPVAVSEYLHNNLGSESVVEVMSSDGHLPQLSSPDSVIPVLLRHIRNDIAV >A09p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5813658:5818520:1 gene:A09p011510.1_BraROA transcript:A09p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G13560) UniProtKB/Swiss-Prot;Acc:Q9SIU0] MGIGNTLRLSSRMLHRRILYSSARSFTTTEGHRPTIVHKRSLDILHDPWFNKGTAFTMTERDRLDLRGLLPPSVMDSEQQIQRFMTDLKRLEEQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSSEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGIHGIGISVGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLENDEYIEVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNRYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMLDFPKMKIVVAGAGSAGIGVLNTARKTMARMLGNTETAFESAQSQFWVVDAQGLITEGRDNIDPEAQPFARKTKEMERQGLKEGASLVEVVREVKPDVLLGLSAVGGLFSKEVLEAMKGSTSTRPAIFAMSNPTKNAECTAQEAFSILGDNIIFASGSPFKNVDLGNGHVGHCNQGNNMYLFPGIGLGVLLSGTPIVSDGMLQAAAECLAAYMSEEEVLKGIIYPPISRIRDITKRIAAAVIKEAIEEDLVGGYREMDARELKKLNEEELMAYVENNMWSPEYPTLVYKDD >A04p006770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3605934:3606260:1 gene:A04p006770.1_BraROA transcript:A04p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSADNSYVTFSPKQLQQSGVAAWREGYRSSTWTHDAAVEAREDQDDCARASAMRKFVDKMITLGKDGSLHKRRQVIFEKQIVNTLFAEVPDRYGERNGGYMRIITA >A01p052690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29534199:29545296:1 gene:A01p052690.1_BraROA transcript:A01p052690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGGDQSRKDNSTLHYSSSMPIQEESFDLSASVVTFGDSRILPRETILIWCKKPILIQGIMNSHRLVVSTAQEECNKGIQKACSSSLSPAFNFLNVQPETTRKSPFIRSQSPDWPKNSTFSRSSTFCTNLYSSSSSANETKKHLGNSLPFLPDPSASASGVESARSPSVFSEDLGNPFDGDNSGSLVKDFLNLSSDACSSGGFHDLDCSNDSYCLSDQLELQFLSDELELAITDRAETPRLDEIYEKPLASPIPVTVLSPSQRCDAEAMCIDPVSSHPSPRSAAAANHKPRMRWTPELHESFLNSVNKLEGPEKATPKAVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKNVNSEEKKLAMSNSEADEKKKGAIQLTEALRMQMEVQKQLHEQLEVQRVLQLRIEEHAKYLEKMLEEQRKAGRLFSSSSSSQTLLSPSDDETRPDSQNMSKTEASLPQPSSSAKNIASETEDDQCESPQKRRRLENNTEPQDSERKQGDRTFAFTPPRIRVSFLFRILLSSPGTLMDIHEHGVCVDKKKQRVKCNYCGKEVQGFSRLKFHLGGVSGDVTLCEQVTFNVREAFRSMVMKQKLSSAAAKAKRVHKRGRIDISSSKSGSPEEGTTSVEANNALVSKTAVMFMKQKLSSTAAETQRVVGKVQMGNGLNKRGRLEISSTKSVSPEKRTTSVEANNALVSNTAVMFMKQKLSSTAAAAETRRVVGNVQMGNGLSKRGRLEISSTKSVSPEKRTTSVEASNKHDVNFQSNNAQKCIARFFYENGFDFSAVDSPGFREMMSVSGGSGDIPGSHDLDGWMLQEAVKEVQEHVKKIKDSWAVTGCSILLDAWVDHKGHDLVTFVADSPAGPVYLKSFDVSDIKSNANDLLSLVDGVAEEVGVHNVVQIVACSTSGWVGELGKSFASNNMFWSVSISHCFELMLVEIEKMDSFGGILDKVNNITEFLHNNPLAWELFKDPSHGKDMKISSYSSEFEFVTPYLTLENVLKAKNNLAAMFASSNWNKEEGTSVSKFVKDPSFWESVERLVKSTSPMIRGLCLFSTANNQHIGYIYDTMDGIKESIAKELSKEERCYKPVWDVIDDVWNKHLHSPLHVTGYFLNPAAFYSTDFSSDPEVTTGFVSSLVHMVKECHVQAKISAQLKMYTLGQGCFDEASQADQITDIAPAEWWAQKASQHPELQSFAIKILSQTCEGASRYKLKRSIAEKLLLTRGMSRCEEQHLEELSFVHYIEDESLNRLVLASMDIHEHGVRLGKEKRRIQCKHCGKQMSGWYRLKHHLSGVGGDATPCVQVTSSVREMFRTTTTGPKRGRTGDSEHVWCSYPNCLDFSSNGFREIMMTVSGRTIPDPHGSMFGEVLKEVEDHVEKVKDSWGVTGCSILLDAWVDHKGHDLVTFVADSPAGSVYLKSFDVSDIKHDSEALISIVDGLVDEVGVSNVVQIVACSASGWVGELGETYVGTKKGVFWSVSVSHCFELMLMKIGEIDSLGEIVEAVNMITDYVNSNPLALKLVRDQDHSHGLLDMAVLSSEFEFFMPYLTVESIFRARDELAAMFASSSDWNKEEEDIAISNLVNDSRLWETVERVLKCSSPLIHGLIWLSSANNNQHVGHVYDNMDVIKESIAREFDNDELCYMPLWDVIDDVWNKLHSPLHAAGYFLNPNAFYSTGFHLDTEVAIGLISSLVHIVKELDVQVKVATQLDVYKVREGCFNETSQADQVSGLSPAEWWAEKASQHPELQSFAIKVLSQTCEGASRYKLKRRVAEKLLLTRGMSRCEKQHLEELAFVHYNLHLQSCRAKLSEE >A02p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12781081:12781988:-1 gene:A02p024410.1_BraROA transcript:A02p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCSLGFCAPNALSLSSSPRSVKAHLCITSHTKPISNTYSLLHNIAKMRAKAGDFFGAKKTIFAAQLGTVLATIDHPALAITGVNHEQELSSVVLDIGIIAVWYFLVMPPIIMNWLRVRWYRRKFFEMYLQFMCVFMFFPGLLLWAPFLNFRKFPRDPSMKYPWDKPKDPSTIKNGYLKYPFAQPEDYDY >A10p001290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:668394:670769:1 gene:A10p001290.1_BraROA transcript:A10p001290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to OsGA2ox1 [Source: Projected from Oryza sativa (Os05g0158600)] MVLPSSTPFPPTGKKAKASPEYNFPVIDFSTHDRSKLSEKIVKACEVNGFFKVINHGVRPEIISRFEHEGEEFFNKPESEKLRAGPASPFGYGCKNIGFNGDLGELEYLLLHANPTSVADKSETISHDDPFKFSSATNDYIHAVKDLACEIIDLTAENLWGQRSSEVSELIRDVRSDSILRLNLYPPAPYALRGVSQIGFGEHSDPQILTVLRSNDVDGLEICSQDGLWVPVPSDPTCFYVLVGDCLQALTNGRFTSVRHRVLANTAGKPRMSAMYFAAPPLEAKISPLPEMVSPANPRRYNSFTWGDYKKATYSLRLGVPRLEFFKTS >A08g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10673179:10675585:1 gene:A08g506220.1_BraROA transcript:A08g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETFEQMRTEVKDSRKEPSVDVEFGEPSPTKPSTNQIPLRRSTRGDDMGRGIGTQGVEGISQASYVPDFDPSQTKKEDDWWTPMTSVQAPPPSQWEKWCKETSKGLQLSNSPLPEDSCKGFTELALNLIPLIIGPTCFNLYVAIRVVSVGKWLGNKKMDAVMFIWRVNTTLNRWAPSRIAFMSVMFYLQLDAAYNKFLPNKKSYQLPIFFWGTIEESFHLMDRLIYFGYLIHFLPHSSSTEIITAAKIWRSTHSCL >A06p000800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:129291:130268:1 gene:A06p000800.1_BraROA transcript:A06p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWDNNTTDHQYRRRDHRHPSFSSSLLDQIYRSTDVSSDVSMRKKQNRAASLDENRVCLEKILLNRRKTADDFAVNRRKTAEINTVEPVFFKHSSSSSSDSSGFSSSESDSFYKRTRSPPEIHHHPKPIRTAVERLERPNSKVKSKALKMYSDLKKVKQPISPGGRLATFLNSLFTGNTKKPNRTVSTATSSHTTCSSASSFSRSCLSKTTSSSEKTKRSVRFCPVNVIFDEDSKQRESIRHHQSRVMEENRRVIEAAKELIRTYRENKDVEEEDDDDDDDAASCASSDLFELDNLSTIGIERYREELPVYETTRLNTNRIISR >A04p039210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22176321:22178159:1 gene:A04p039210.1_BraROA transcript:A04p039210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLSITDPSSEAVSEKILKALPVETPFKFPSPLPTLPQGLEVSQVSTFNKVWSTYEGGPDNLGATFFEPSSVPSGFSILGYYAQPNNHQLFGWVLTARDLSSDTLKSPLDYTLVAKTESLKIKQDGPGYIWQPVPPDGYQAVGLLVTTTSQKPPLDKLRCVRSDLTEQCEADTWIWGINGVNVSNLRPNIRGTQATGVCVGTFTWQPQNSSPPSLACLKNTKLNFSTMPNGSQIGVLFNTYSPLIHLHPDEEYLPSSVNWYFSNGALLYKQGQESNPNPIESNGANLPQGGSNDGSYWLDLPRDKKAKERVKKGDLRNTKAYLHIKPMLGATFTDIAIWLFYPFNGPARAKVKFINLPLGRIGEHIGDWEHVTLRISNFTGELWRVFLSQHSGGVWLDACDLEFQGGGSNKPVAYASLHGHAMYAKPGLVLQGDGDVGIRNDTAKSKKVVDTGLGYEVVAAEYEGGGVVEPPWLNYYRKWGPKIDYSVDDDVKRVARLLPGALKKAFDNFAKKIPDEVYGEDGPTGPKLKGNWAGDEK >A06p057900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30058284:30064447:1 gene:A06p057900.1_BraROA transcript:A06p057900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKSVDPSLWWDSFGSLLSSLENASLSHELPQPIAEKLEENHAWFVNTLSMFKPPSVKSKDALDSDLVQIKQHRLVIKPHLKLKALRISSHLNLDEIQSYILVERCTEQEYGSSDSLSQHLLDMILLQYYIERQCLLKCTKRMLTHALYTSREQSTIRDAAVKLISDGLEKQQSSLLENLFSSSFPQHMDVNLFTLWAEETLIEDNLVLDILFLLYHESFHTCNGERWRTLCSLYKGILLGSYSFWKLAVSAEAQHSACRVKIQLLMILIDTLDMENLLQMVHDGLPFRSGPCVFSIIDVQEMDATISSLNTLEVKEAGPLVLAWAVFLCLISSLPGKEEIPFLMEIDHVSYVHQAFEAASLSYFLDVLQSNVLNDFDGPISGFRSVLRTFISAFIASYEINIQLEDANLELILEILCKVYQGEESLCSQFWDRKSSVDGPIRCLLFDLESEFPFRSVEFIRLLSSLSEGSWPAECVYNFLDKSVGISTLFDITSDSVVDGASQLVETSQPLQIPGLEGLVIPRNTRGRILRVINENTGLVRWEYSLSGATLLIIHLANVLYTGNNREAFVILELVRRMVTFNKAVCFSLLNISHFFHVNESYMNEKLESDVRVVDIICNSVRSLTFDSSGAAMMAMAIDILAKLLRCSPSNVAPMVLKANIFDMTSGPGVPDSGFNISLSGSWSLSGRLAKMILIDCEKNDTSCPLVISGKFVVEYCWMFLEFTMQLVEGGVENDLVLALIVFSLQYVLVSHESWKYNLGHMRWNVTLKVIEVMKSCLRFSKFSAKLKDVLLDILLNDASVHNALFRIICTTAHTLENLRVHRFIEPAEIEGWQLSIVPVLDVLDITLSQSSQSTDSGLPVFHQTMLSSTSKPIPVVAAITSLISYFRNPTIQICAAKVLSKLYAMAESSQLYIISKAGFGLDDKQITDLRTSVSQIILDPSEPNEDLVIVTMKLLTVAARYQPALLVALFDSNEDSDAGKLKQSDKETSSGPELACKSRLLHIILQHVERATDFVNRYVKG >A09p027430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15492182:15493448:1 gene:A09p027430.1_BraROA transcript:A09p027430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTTKVMKIDGETLKLKTPVTAEEVLKDFPGHVLLESESVKHYGARAKPLDAKQRLVAKRLYFVVEPVKECPPRRVRSGIQMSAKERLENLMLARRSSSDLSILKPAGRWTTKEEEEKDGGAMRVKLRIPKAELEKLVKEGATEAEATQKIAALFLAKQKNDEARQNKLQRGDGGEEPATATAAATRVVKSCLKRVSFMAERGVNEITVA >A04p038080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21705607:21708018:1 gene:A04p038080.1_BraROA transcript:A04p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAX2 [Source:Projected from Arabidopsis thaliana (AT2G42620) UniProtKB/Swiss-Prot;Acc:Q9SIM9] MASTTTLCDLPDVILSTICALVTDSRARNSLSLVSHKFLALERSTRSHLTLRGNARDLHLLPGCFRSISHLDLSFLSPWGHSLLTSLPVDHQPLLALRLHLCFPSVDALTVYSRSPTSLELLLPQWPRIRHVKLIRWHQRPSQIPQGDDFVPIFEHCGLLESLDLSAFYHWTEDLPPVLQRYADVAARLTRLDILTASFSEGYKSSEIVDITKACPNLRDFRVACTFDPRYFEFVGDETLSAVSANCPKLTLLHMVDTASLASPRAVLGDEAGDSAITAATLMEVFSALPHLEELVLDVGKNVKLSGVALEALNTKCKKLRSLKLGQFQGVCSAADWRKFDGVALCGGLLSLSLKNSADLSDMGLVAIGRGCCKLSKFEIQGCENVTVKGLRTMVSLLRKTLTDVRISCCKNLDATASLKAVEPIYDRIKKLHIDCVWSGSEEEGGERVETSETNDDNDDGDDDDHERSQKRCKYSTDDVNGFSSEDRVWEKLEYLSLWISAGEFLTPLPMTGLDDCPNLEEIRIKIEGDCRDRRRPSEPELGLSCLALYPKLSKMQLDCGDTIGFALTAPRMQMDLSLWERFFLTGIGNLSLSELDYWPPQDRDVNQRSLSLPGAGLLQECLTLRKLFIHGTAHEHFMNFLLRIPNLRDVQLREDYYPAPENDMSTEMRVGSCSRFEDQLNSRIIID >A07g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18721279:18721630:-1 gene:A07g507180.1_BraROA transcript:A07g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHRHLPLSPPSLSPPLSLGLRLGGDGEAGGREERWWSWGLRRGGDGEVGGREKRLWSWGLRQGTEKFVSRLAQED >A10p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19727552:19729512:1 gene:A10p033810.1_BraROA transcript:A10p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDEALSYSNPSQSVETPSSGGGLSQNGKFSYGYASSAGKRSSMEDFFETRIDGVDGEIVGLFGVFDGHGGARAAEYVKRHLFSNLITHPKFISDTKSAITDAYNHTDSELLKSEDSHNRDAGSTASTAILLGDRLLVANVGDSRAVISRAGNAIAVSRDHKPDQSDERERIENAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIREEKIDDSLEFLILASDGLWDVFSNEEAVAMVKEVEDPEDSAKKLVAEAIKRGSKDNITCVIVRFLDTASSSHVRSSSSNKMPPLGDLKISSNETKQVQIDAEN >A09p032790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19856012:19860909:1 gene:A09p032790.1_BraROA transcript:A09p032790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPFWWKGASGFSAHSTAEQVTHGIDGTALTAIITGASSGIGEETTRVLALRGVHVVMAVRNTDSGNQVRAKILKDTPEAKIDVMKLDLSSMASVRSFASEFKSLDLPLNLLINNAGIMACPFTLSSDNIELQFATNHLGHFLLTNLLLDTMKKTANESNTEGRIVILSSEGHRYAYREGIRFDKINDEASYNTLQAYGQSKLCNILHATELARLFKEQGVNITANSLHPGSIMTNLLRYHSFINSKNSLALLFLNFGTGYTSDSLGNAVGKYVLKSIPQGAATTCYAALHPQAKGVSGEYLMDNNISSPNSQGKDTDLAKKLWEFSLSLTAIDSKLGLLTPLRDLFRISQSICLLVDIIALIVILIGGGRLYVLSPFHHFSMDCLQRKATHGLPCNFLTSKHKAFGSDLERMKLKTSCLHIFCSFLISLDAVSAQICLNGYFRPNSAYHSNRRQILSSIASNVTSHNGFFNSSIGQIPNRIFITGMCIPETKPESCSDCIEDASERLLEGCPNQTEAYSWPYLCMVRYSNVSFTGSLVMEPSHVICDPGDINVDLAVFDRVYEELMVRTTAAASNRSSSFEQKYYAAEAASLKNFETMYTMMQCAPDVSSQDCEFCLNKSVAEYKSSCRGKQGGWVSRPSCYFRWEMYSFSEAFDTITLPPPPPHQSPPSLTPPASDGATTTGKDGKTNLTGTIVGVVVPTIVIFLAVLIIRFTVCRRKKSEPGDDITRTSSLQFCFKTIETATDKFSDSNLIGRGGFGEVYRGRLPSGTEVAVKRLSKSSGQGAQEFKNEAVLVTKLQHKNLVGVLGFCLEGEEKILVYEFVPNKSLDYFLFGMVSGYMSPEYARCGHFSMKSDVYSFGVMILEIISGKRNSSFYHIDDSAGNLVTHVWRLWRNGSQLELVDPTLEENYESDDVIRCIHIALLCVQENPVDRPMLPAIILMLTRSKTTLPVPRAPGFCFSSMSGFASQSTSRSIPGSINDVTFTKLDPR >A06p007610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2630052:2633134:-1 gene:A06p007610.1_BraROA transcript:A06p007610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVGSSRSSKGVKASSSSVDWLTRDLVEMRIRDKVETDDERDSEPDIIDGAGTEPGHVIRTTVRGRNGQSRQTVSYISEHVVGTGSFGMVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFYTRADNEEVYLNLVLEFVPETVNRAARSYTRTNQLMPLIYVKLYTYQICRALAYIHNCFGLCHRDIKPQNLLVNPHTHQLKICDFGSAKVLVKGEPNVSYICSRYYRAPELIFGASEYTPAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLLCRFFQYSPNLRCTALEACIHPLFDELRDPNTRLPNGRPLPPLFNFKPQELSGIPSEIVNRLVPEHARKQNFFMALDA >A07p002100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4041822:4042136:1 gene:A07p002100.1_BraROA transcript:A07p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIIPLFLFSHRSQHSPRRHLRLRRRVFHQPASSRPSQPPSGRRYTLPSPLRRGARDDIKHKGNSRCRVSVAVRFDRHARDAEVLIKIGYIVQMSKQLNQDQV >A10p025360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16285373:16297710:-1 gene:A10p025360.1_BraROA transcript:A10p025360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHEAKRLPPPPPVVPPNLVPEREPIKKTTLLPMARRGIGSEGVRTPLLTNHFRVNFNNANGHFYHYSVTITYEDGSPMEAIGVFRKILEKVQETYRTDLGSKYFAYDGHKNLFTIGALPSNKMDFLVVLENTPSSRNNTGNASPNETNDADRKRSRLPHRSKKFMVEISYAARIPMQAIASAIRGKETDNIQDATRVLDVILRQNAARQGCLLVRQSFFHNDARNYINIGGGVFGARGFHTSFRTTQRGLSLNIDTSTTMVVQPGPVIDFLLANQNVNDPKYLDWNNARRALKNLRVKVVPSNRECKITGLSEERCKYQMFTMNSKNEKGDVQITVYNYFTEIRGLKLRYSGDFPCINVGKANRPNYIPIEHCELVSLQRYTKSLSSFQKASLVENSRQSPPERMASLTNSMKKSNYNADLVLQESGVSIGSSFIQVEGRVLPAPRLRIGNGEEFQPRNGRWNFNKKKLVEPVTVTRWVVVNFSAECDTDRIICDLIRCGQMKGMNVEPPYKVVFQEDPNYRGAPAKIRVEKMFEQIQSELRKEGKPKFILCILAEKKNSLVYGPWKKKNLIEHGIVTQCIAPPKNVKDQYITNVLLKINAKLGGLNSLLAMERSRAMMPLVTQVPTFIVGMDVSHGSPNQADIPSIAAVVGSREWPLISKYRACVRTQSRKVEMIDNLFKLVPNEKGKLVDEGIFWFDYSRVVFIACGSGFCHISEKLYALFRELLFDFYTSSGKRRPEHIIIFRDGVSDSQFNQVLNIELDQIMQACKFVEENWEPKFTVIIAQKNHHTKFFQDRGTENVLPGTIVDSRICHPHNNDFYLCAHAGLIGTTRPTHYHVLYDEIRFSTDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQMGTVMKFEDMSLSERSSIHDGITRSGEPPVPPMPMLNSDIWVVIKEKDYHLPHLSFHPTLYLNWSL >A10p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2075903:2076874:1 gene:A10p004220.1_BraROA transcript:A10p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRTAMCIKSSLSSIIPKNLQAGYNMANPQLPLSELAGRDLTVCVSVLTGSQCATVLHEKLVASGVEPKVVVATNVNPKLVGGCVFLNE >A06p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9646519:9647269:1 gene:A06p019720.1_BraROA transcript:A06p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGTSMLGSVLLFTVVLSLQEVYRGKLASSELHTILGGFTSSLLFLFSLTFIGNLQESSGMKSGWGAVVFAEIIALVAASTVHRVCITTCFLFSAGLLYEMSKISGYMLGRAESKSKRH >A07p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19079750:19081675:-1 gene:A07p035250.1_BraROA transcript:A07p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRSIHHHIISYLFPSSSSILCFCISFLVLLFTTTTNALVKLPENTTVPAIIVFGDSIVDAGNNDDLITEARCDYPPYGIDFDGGVPTGRFSNGKVPTDILAEELGIKPTIPAYRDPNLRQEDLLTGVTFASGGAGYVPLTTQIAGGIPLSQQLKFFEEYIEKLNGMVGQERTTFIITNSLFVIICGSNDIANNFFSLPTTQLQYNVASFTALMADNARSFARTLYQYGARRILMFGAPPIGCVPSQRTVAGGPTRDCVVRFNDACKLFNAKLSANIDGLSRTLQDTTLIYIDIYDPLLDLILNPQQYGFKVSNLGCCGTGLIEVTALCNNYTAAVCPVRSDYVFWDSFHPTETAYRNIVAKLLQRYLNRFF >A06p033580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18088704:18089860:-1 gene:A06p033580.1_BraROA transcript:A06p033580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMRPEKLDMSNDTSSLGSPELLHVLAVDDSIVDRKFIERLLRVSSCKVNSATRALQYLGLDGNHSSVGFEDLKINLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSCLEEGAEDFLLKPVKLADVKRLRDSLLKADEIAFKNIMHKRELQANDIYSQLKRAKI >A09p030650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18701311:18703036:1 gene:A09p030650.1_BraROA transcript:A09p030650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0051 protein ABCI8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04770) UniProtKB/Swiss-Prot;Acc:Q9ZS97] MASLLANGISSFSPQPDSSKSPKSFHPKPDSFKFPSSKTLIPTPRLVKVRADVGTIESNPAGNSSSSSTDKLQQYFQNLDYDKKYGFVEDIDSFTIPKGLSEETIRSISTLKDEPDWMLDFRFKAYAKFLTLKEPQWSDNRYPPIDFNNMCYYSAPKKKPTLNSLDEADPQLLDYFDKLGVPLTEQKRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAIREYPDLIKKYLGRVVPIDDNYYAALNSAVFSDGSFCYIPKNTRCPMPISTYFRINAMETGQFERTLIVAEEGSFVEYLEGCTAPSYDTNQLHAAVVELYCGEGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDSVGEFYSVALTNNYQQADTGTKMIHKGKNTKSRIISKGISAGNSRNCYRGLVQVQSKAENAKNTSVCDSMLIGDKAAANTYPYIQVKNPSAKVEHEASTSKIGEDQLFYFQQRGIDHERALAAMISGFCRDVFNKLPDEFGAEVNQLMSIKLEGSVG >A07g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7713700:7714939:1 gene:A07g503930.1_BraROA transcript:A07g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTFPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSWKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEMRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRRRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A03p027530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11531415:11532103:-1 gene:A03p027530.1_BraROA transcript:A03p027530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQTVLCQLLAVDLSDYCYRVCSRCERVLPGDNNKCVFSSASSLCKFCQSNEPKLLYRILMSVVTDTSVKTVICFDRAATVLFGCSADEFFHFTKLNPKAASMVNQVFDGEMLRMTLTRPKNLNAQHMRVTSVVPLRSGFQPAIVTLRQLCCTKSASLGGDCSITNNSF >A04p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9937287:9938061:-1 gene:A04p016150.1_BraROA transcript:A04p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSQSIITIMTLALVMPFGNAYDPSPLQDFCVAVNNLNNGVFVNGKFCKDPKQAKAEDFFYSGLNMAGNTDNKVKSNVTTVNVDQIPGLNTMGISLVRIDYAPYGQNPPHTHPRGTEILVLIEGTLYVGFVSSNQDNNRLFAKILHPGDVFVFPIGMIHFQVNVGKTPAVAFAGLSSQNAGVITIADTVFGSNPPINPEVLAQAFQLDINVVKDLEAKFKN >A03p060570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26252695:26256056:-1 gene:A03p060570.1_BraROA transcript:A03p060570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIEIKRIENVTSRQVTFSKRRKGLLKKAHELSVLCDAQVAAIVFSQKGRLYDFASSDMQKMMERYEIHGSEYFGAERLQKQQFVQELKNEMSKTLDQIELLQLHSRKLMGQDLDSCSVEELKEITIKLEKSLTIVRSRKAKLNEDTIEKLKAEISGEKEVLNETSSLRQMFEEPTLWMHSRSLESEKSPPSCAYGNMNISDVKTELSIGLPESRE >A01p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:218069:232862:1 gene:A01p000530.1_BraROA transcript:A01p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLKEGPTLLQLHKWEPSQLQLNLSEFREAFISPSRQSLLLLSHHSEALLLPLTAGSSIGSEVPVDCHNDESSCPTTVSVGSDPVNTAPPSGSGVGSGDPGFVDNCTSFPFIFDAKSVAWGSCGDTYDRHGDPLFRELLFISGSHGVTVHAFCCFKDSSDVANGKPNGELRHGEWVEWGPSRLNQDPEPEHGTASSFDGSKQWMQSFLVDVETTEIEGIRQSRFPEKSAFPGSANVVSFSILNGDLPFSNLQEGNMPEEGMYRCTKVFSSDSHVLIGFVMELSGCASTPTSNANGKSKGKSVVFVAQLFNWGMEWVSLVNIGETSIRPTNEWADFRMTDKFVICLSVSGLIFLYDVKSGDCFAHHDILQTCGPGLHSSSNVQEVTAESDDRNDFQSLAPSMFKSHVVGSAGRRKFRKLILASHTPLVAAVDENGLVYVLCVDDFVSEEYHMPLESIPSLCHLGPGSLVGWKVGGMDIGQQKVHHAGEDVFTRCDPRSFASDTPMSDPCLKRQQNNFDRRAGYCGSWLSGFTVQPKTNARGLENFQRDSQVMRKMFLSAEKLGSEDNLCFSPLGFTHFSRKHTKKEDQSCKVLHYSLQTHMTTRDDSYLNYDNRISVQGAEETFVGESVGCSFQGFLYLVTCSGLSVFLPSISVTSNYPTVEAVEYLQPLQTTVMGCQGRDNLRTDESRIPLQVEVLDRVILFEGPEAADRLCLENGWDLKIARLQRLKMALDYLKYDDINESLKTLGNMKLAEEGMLRVLFSALYLLSRKSRNDTEISAVSRLLALATRFATEMIRIYGSLEYQKDGHMLDRRSRTRLLSLPPISRHHDVMENSRRLSEMGYLLEITRNFQSRISRKFKKLGKGKNEKSLNLVDPSSLQDDSQLDVVPDAAHAESRQLDDTNEELALTPLGMMTAKAGQVIDEISYASSLVPQGVVPETKVLPLENPKEMMARWKTDNLDLKTVVKDALLSGRLPLAVLQLHLQHSKDSSENGEHHDTFTEVRDVGRAIAYDLFLKGEPGVAIATLQRLGEDVEACLNQLVFGTVRRSLRYQIAEEMRKHGFLRPYEDNVLERISLIEHLEIECGEVDGVVLGSWTKINESASEHAPDETDAIAGYWAAAAVWSNAWDQRTFDHIVLDQPLVMGVHVPWDSQLEYYMCHNDWDEVLKLLDLIPEDLLYDGNLQIALDVPKQSSGQNYSVSSHSEYIGSIEEVDAVLMDVPYIKIFRLPADIRCSLWLTTLMDQELAKKLIFLKEYWENALDVVYLLARAGVILRNCEVSFKEESCRPSLDLSLSRKERGADVDTLNAVHKLFIHYCTQYNLPNLLDLYLDHHELVLDNDSLSSLQEAVGDSHWAKWLLLSRIKGREYDASFSNARSIMSPGAAPNGEVSIPDIDEIVCTVDDIAEGAGEMAALATMMCSLVPIQKSLNTGSVNRHSNSSAQCTLENLKPFLQRFPTLWSKLVTACFGEDISGNLLRTKARNVLSEYLNWRDSVFFSAARDTSLLQMLPCWFPKGVRRLVQLYIQGPLGWLSFSGYPTGEYLLHRGVEFFINVDDPTEISAISWEAIIQKHIEEELHNTKTEGTELGLEHFLHRGRPLAAFNAFLEHRVEKIKLEDQSGSLIHGQRNMQSDVPMLLAPLTQNDESLLSSVIPLAITHFGDSVLVASCVFLLELCGLSASMLRIDVASLRRISSFYESNDNIAQQKSFKGSMFHAVSSEGDLMGSLARALANEYGYPDISSVSTKKHTPSSFSGAQPCLPLMLVLHHLEQASLPDIGVDRKTSGYWLLTGDGDGSELRSQQTSASLHWSLVTLFCQMHNIPLSTKYLAVLARDNDWVGFLSEAQLGGYPFDTVLSVASKEFGDQRLKAHILTVLRHANSKKKATISSSDDTSGGFTCSFSEDGAYVSAELFRVLAYSEKLNKPGDYLLSKAKELSWSILALIASCFPDVSPISCLTIWLEITAARETSSIKVNDITTKIAENIAAAVVSTNSLPTDARGVQFHYNRRNPKRRRLIAHTSVDSLASANSLSTSAGKTLYSHKTEAAEDEIAEDTSVTNDSSDEHASLSKMVAMLCEQRLFLPLLKAFELFLPSCSLLPFVRALQAFSQMRLSEASAHLGSFGARVKDESMHFQSNTAKEVNFGASWISKTAVKAADAVLSTCPSPYEKRCLLQLLAAIDFGDGGSAATYYRRLYWKVNLAEPSLRWENGLDLGSEALDDGSLLAALEKNRQWEQARNWAKQLETMGATWTSSVHHVTETQAESMVAEWKEFLWDVPEERIALWGHCQTLFVRYSFPALQAGLFFLRHAEAVEKDLPAREIYELLLLSLQWLSGLTTLSHPVYPLHLLREIETRVWLLAVEAEANVKNLGAFSPSSTRRDIANGNSSNLIDRTASIITKMDNHISSATKNKIGEKQDSRPPGQAHQRNQDTTTSVFGASTKSKRRAKGNVPQRRHFVDSSDRNIDSEDSPSLLNIKSEFQLQEESTGLEICLSKWEESIEPAELERAVLSLLEFGQVTAAKQLQLKLAPESLPSELIILDTVMKLAMFSTPCSQVPLSMLDDEVRSIIQSQNLKIDQPVIDPLQVLENLSNILNEGSGRGLARKIIAVIKAANILGLTFTEAYQKQTIELLRLLSLKAQDSFEEACLLVKTHSMPAASIAQILAESFLKGLLAAHRGGYIDSQKEEGPAPLLWRFSDFLKWAELCPSEQEIGHSLMRLVITGQEIPHACEVELLILSHHFYKSSTCLDGVDVLVALAATRVEAYVAEGDFSCLGRLITGVGNFHALNFILNILIENGQLDLLLQKFSSAADANTGTAQAVRSFRMAVLTSLNLFNPNDHDAFAMVYKHFDMKHETAALLEARADQAAQQWFRRYDKDENEDLLDSMRYYIEAAEVHTSIDAGNKARKACGQASLVSLQIRMPDSKWLCLSETNARRALVDQSRFQEALIVAEAYGLNQPSEWALVLWNLMLKPELAEEFVAEFVAVLPLQASMLLELARFYRAEMAARGDQSQFSVWLTGGGLPAEWAKYMWRSFRCLLKRTRDLRLRLQLATTATGFSDMVDACMNALDKVPDNAGPLVLKKGHGGGYLPLM >A08p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20606361:20609083:-1 gene:A08p034750.1_BraROA transcript:A08p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLGKLTILIGAGLVGSVLAKEGSLPDVSHFVSGAFKIVLRQLKQEEPAKSGSRPRNDTLTAQVNSLRHELQLLASNRPITIISTGGSGGKKYGWIIIIGVIGYGYVWWKGWKLPDFMFATRRSLSDACNNVGSQIDGFYESLSGTKRELGSEIDRMDRSLDESTIIIKETGREVNELRDGTANMKDDVRSVFEAVETLASKVYRIEGNQELELCMLSAGSTKDFKSPIKHEPSSALPSTSSVPALEPAPVTPSLRQSLSLPPASPRESQLPSTPNGAQQSNGPLQHTQSMSGLKDINESSNGTPSGEAIGNTSSGLFSMFSMPRIGRTRSAVNAVPANLTGAQ >A04p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15701195:15704406:-1 gene:A04p025950.1_BraROA transcript:A04p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTLPPPDSVDIRPPENTPSLPPPPQEHEPQEQQQPPQRDSRERRDDRDLERPPNRRDRSPLPPPPRRDYKRRPSGSPPPPYRDRRHSPPMRRSPPPSKRYRRDDNGYDGRRGGGGGYGPPDRRFGYENDREMGGRHGYGDERPPGRFMGRYQDWEGGRGGYGDSSNRGSTQRDGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRVYFNTHKEEEWLKDKYHPTNLLTVIEKRNEHARKLAKDFSLDLQSANLDLGPAVTALNKTSEPKSEDEAGGGVGKRGEESDFSAAPKAPSFTSDAKRILTDIEQAQALVRKLDSEKGIVENVLSGSETETSGKDKSHSGSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHGVDYYGKLETSEAKGLRHVRAEGKGSDAKGDEGEDKFDSHWQQRLKGQDPLEVMAAKEKLDAAAIEALDPHVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHAELIVDMTVKVREELYFQNYMNDPNAPGGQPATQQPGPRDRPMMRRKPSMESRLRDDRGGRRERDRIDRSEDQQRGDGNGPNGPNPEEGGYDAFGGQGGVHVPSYSADMNAPPVLMPVPGAGPLGPFVPAPPEFAMQMFRDPSGPNPPLEGGGRGGPAPFLLSPAFRQDPRRLRSYQDLDAPEEEVTVIDYRSL >A02p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2981209:2982964:1 gene:A02p007020.1_BraROA transcript:A02p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLIRARSQSSSSLVTMSSITQRGNSRPLTEAAGSHSRDKILVLGGNGYVGSHICKEALRQGFSVSSLSRSGRSSLHDSWANDVTWHQGDLLAPESLKPALEGITSVISCVGGFGSNSQMVRINGTANINAVKAAAEGGVKRFVYISAADYGVINNLIRGYFQGKRATEAEILDKFGNRGTVLRPGFIHGTRQVGSIKLPLSLIGAPLEMVLKLFPKEVTKIPLIGPLLIPPVSVKSVAGTAVKAAVDPEFASGVVDVYQILQHKTTPS >A09g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27761731:27765709:1 gene:A09g509450.1_BraROA transcript:A09g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSRTLLGLLPLATPQKGPPLSSLLNPHRNAFRFVSIGVSVEILRRKQVGLFLACFHSLRSDLSDRPPLGSLLNPHRNAFGFGSIGVSVEILRRKQVGLFLARFHSLRSDLSDPQLASLRPFRLQKAPPLCSLLNPDRNAFCFVSIGVSVEILRQKQIRLLCILLQISIETSLVSIGVPLKLYDKKKTRKDFRLNYTITLNLFTKIDVLSKEKITSVKISMNIFSKSIPRKDIFTKSLAVKSCSTFNRTTKYDCPKATDMYQNRPRTSSSTAIGPQTNQARSIRGDQACTQLGRYVATERPSRSVAT >A05g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12947774:12948404:1 gene:A05g504670.1_BraROA transcript:A05g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLCKDARITSAGLYRYATQAPDQFNKHKTMLVKKLRRIVGLCNQKSNWKTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGGRRLKRVPTPYIYKTLFVSLSPVDIGCVPGSIFN >A02p016980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7640019:7640790:-1 gene:A02p016980.1_BraROA transcript:A02p016980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNDIETKIYVAGLPWITKTESLRNYFEQFGEIVYANVVCDRATKRSKGFGFITFKEAESANRACEDPNPTIDGRKTSCKLAYLGARVHNNQSNENGTSIIATGSIISSITNITLGLASIRIKRNLNHEIHRASCSVTLS >A02p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25259384:25261962:1 gene:A02p039900.1_BraROA transcript:A02p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFISGTFPSVKPPDHNPISKSKTLKTQFPISSSTSSQQNSNVSDPKSWSVYLILSTKEPIKTYVGITTDFARRLKQHNGEIKGGAKASSAGRPWLCACIITGFTCLSKASSFESKWKIFTRKLPRRKKGEEMSRSEALLQHRRRALDKVHDSLECSHLQTDWKIATRKLPRRKKDEEMSQSEALLQHRRRALDKVHDSLECSHLQTDWKIATSYAKDISQP >A07g508370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22866391:22868217:1 gene:A07g508370.1_BraROA transcript:A07g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKKKKLRPPLALSFKPFRLARASSRSSAMAKKKNKSISLAVLGVAAIGASAPPTDLSSLANSGSTQIGGSVSAVSLLTSMEAISSSQRSVSPPPVEVSNLPSGSDSALPVSKVSEEVGIPVKNYAALLKSSAQLQELGTPSEHVSGVPFVLIPDENIEAAKLEFKDFIYARFHGDYPSMGKIIGVVNAVWAKTGPRIFVHNIGQGRYLLRVTNPKSREVLLSRTCWNIGGLPMFVAPWSPDYSPDEPPLTSAIVPVEMRNVPYMLFNKESLSRIATAVGKPDSLAPETERKENFEVAKLYVRVDLTAPLPHKIISGFSNGREVQIDVSYPWLPVKCDTCKRFGHKTDRCPAGDSEGSFGQVKTRKFVAESARRRSKSRPGRTRDKLGKNLESRYVPVVQVENNNSSDANGAAAVASASEAVTEMEDTTTTEPSQDEAVAVLEKSETTTTESAPEVEVVSPTKSLQFSAEIGLEEGEICEEGSCQDDKRIDAPGYNAGIVQQDHPTKNAIISDEMLLLSSEPTDTVNGAKVLLVPDDGLHSALPGVVSLDPNVSVSDTGTSVGDTNHKLLADPSINQGHNDYPEEDRDNPFLLVKNRKCGRKVTKLF >A07p038440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20451592:20456051:1 gene:A07p038440.1_BraROA transcript:A07p038440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTEVSTIVKNWREHPPASYSLKIHSFSQFENSYSSSDDKYQSRLFSSGRYKWRLIVYPKGNEKDNGSGFISMYVEIDSKSLMVFTPPTEVFAEIRFFIYNKKENKYFTIQDVEVKRFNALKTVWGLQQILPCDTFSNPENGYIFEGGQCEFGVDVIVSLPLTNWEVLSYTEKFSNSKFSWAIKNFSELKENVQTSKSFSMAGKKWFLKLYPKGDSRADGKFLSIFLCLDDCDKPKDDEKIFVQANFRVLDPRGSNHFQCQCIIQNYINFWYNEQHLGGGWIQFLSLPELRKVYLDKEDALKVEIEFKAHWREHPPSSYSLKIHNFSELEKSTALSDHKYQSRLFTYGGYNWRLIIYPKGNGEDNGGQCEFGVDVIVAPSLTNWEVLSFTKKYFDPKFSWTIKDFSELKEDVQKSNSFPMGGKEWVLALYPKGALEAHGKWLSIFLCLADSDKPEADEKIFVQARLGVLNPLGSYHFEYPSELPKVYLDKEDALKVEIEFKVVSETKYSFTEADPLEKDWVQLTPEN >A09p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11773621:11775944:-1 gene:A09p021770.1_BraROA transcript:A09p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGLFGVFIAFIFFLIYQCFFLCKKSHNLLSLKNWPFLGMLPGMLFNIPRIFDWLSEVHEANDMTFAFKGPWFSGTDMLFTVDPRNINHMLNSNFAKSKGPEFKKIFDILGDGIVTADMELWEDLRKSGHALFHHQNFLKLSVSSTTSKLKDDLVPFLDKAAEENIVIDLQDVFKRLMFDTASILMTGYDQMSLSYEIPGYEFGEAADFSEEAIFYRHLKPVILWRLQNLIGVGVESKIKTASEFFNSMFAKIISTRRKEEKSGGKKEPGIDAVTYYMNVDTTKYKLLKPGNDTFIRDVVLSLLLAGRDTTSSALTWFFWLLSKHPHVMTKIRQEIHTNFDPTDLEKLVYLHAALLESMRLYPPVPFNHKSPSKSDVLPSGHRVEAESKIVICIYALGRMRSVWGEDASEFKPERWISENGGLKREPSSKYLVFNAGPRTCLGKHLALLQMKMVAMEIIQKYDFKVVEGQKIEPVPSIILRMKHGLKVTVKPPKEKSTAPAGEQNPSNLFGDVDLISSLPDKMLHHILSFVPTKVAITTSVLSKRWRHVWCKTPYLSFPHLKSSLVFAKP >A08p027480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17352623:17354047:1 gene:A08p027480.1_BraROA transcript:A08p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Ha. [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MNLPVVACFAPRTPMLHAGLNSKSSDTNRSSTLFFPARIPSRNLKPLAALSSDAIPDPESNLKPKKEATESENFPTKVPRKPKRGRRSEADAVEDFVRSSLEKTFSTIREQNPEVFENKEKASFFKNRAEQSDEDDDESEGEGEMVVEEEDPDWPVDTDVGWGIKASEYFDTHPIKNVVGEDGTVIDWEGEIDDSWVKEINCLEWESFAFHPSPLVVLVFERYKRASDNWKTLKELEKAIKVYWDAKDRLPPRAVKIDLNIETDLAYALKAKECPQILFLRGNRIVYREKDFRTADELVQMIAHFYYKAKRPSWIDKANVAPYC >A08p037340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21786096:21792627:1 gene:A08p037340.1_BraROA transcript:A08p037340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHYNPSYGQWNPHLLLLPPLPPVPPPPPPPPRESHPYSPNFYVPSIQNGGQRLHYLPPKPAVNQPSPYYSQQPPPRQHLQCIPEKFSYESQKVSQPLSFTESRDISQSVGVGYNDRRIDSWRTEYSAQGRRDSSGVGGINRGLDGSSWSRDEFRNLGHLRKESGAAWVEGNYQDRGQLQAECFRGLDDGHRSLSSRVGYSSERYGVSVRRDMSRSSASREGARNLRWDEARNGVRVLYPGRRDDYYHLDRGRREGSNDAKRTPEKQTQKKSALLRLETPRSHHNGRENARSRCSYSGRRFNSNLFKCKEHLGYSDRGLMENQRGRTSVNLDVSFQSNRFVAKPVASPASAGIQPRRSVTSRSSKAGRALVPDKSEKASVTEENGNRSILKSHEDLVDRTGTGCKALLPKGMEMEGNVKKKTNSSPKKLPISWSTVADLSGCSEARIRFAGMSMCNAGSQPCEDVDLDCLPSRNSSVMDVNAEDDIKGINKNADSLSPENDSRRCLPKCPVSSASLDIPNVSTELANAHSFTVCTFTNATVNPLVENANGSRTESMETTARNSAAEMADNRDSDKGEKACVKGTSSSLTKVDVKDSSVVLPVERTDGCSGSDESGLAMAVPSDVCMENVSAERLVPDEDLGITSHYPAEIPSVDQLSGTTIRGLEVCLPEPDVSLSKGITGGSAECLVQRDVRQKDSTFCDSLRSSPPLVTETNLAVGINGMSANETVTDAESGLHESQPCSTVCKFMHEKNNCAEKTVVDTQEEKTNPYGGTLNYKTPGTDIVAVTGDSVFPCSSVSSSPRRSLRQIRSKIHVVGTVDETCKDKPKPKHYGGTSKYKTGGTNIFAFSGDSVPCGSLSNSPTLYSQIRSKVHVASMVDDTSNYKEKAKPSGGTSKCRILESDVTFDVGGQEKYSLNRVKTDIFDGEVWSSFVNVSGAEILGDSGVPLSRSHSNVKDHVISARDLDSQSKTSLSSRYDVENMENKSNYSAQKRFSRALPFVSGPKKDANPPWHRKPDSSASPLVAVKPLSSTLFTQPKFPIMTAQSSISYVRKGNSLLRKPSYGSLVKTGEITTLERQSNPSSDSSTSKVSNAIITSSGKCPLSYSRDHLISGLPESIMDSATSEEANAPHSGGDASKTSDIQTNHASDCQQKRNHPKLDSSHLKRTVYVKKKANQLIAASDIHGAVKGQIPTSDGYFKQSKNQLVRTSASCVSHSPDDSLDSQAATTMVSKRSSSAAFSDSVKIAHESHALSEDLAAACSLEKSDILEKTNEFSLRLCFPKWFFFQEQGLLIFSQKLSMMRKTHTIYTRSTNGYSLRKSKVLSLGGSHLKWSKSIERDSRKANEEATLTAAEFSKKESEKHSGQSTTRITSRNHLTRERVFRIGSLRYKMDSSSRTLQRISDDDSPCSGPTENGKAAKKSPFIPKRLVIGNEEYVRVGNGNQLVRDPKKRTRELANEKVRWSLHNVRFRSAKNKKYCQFFTRFGKCSKDNGKCPYVHDPSKIAVIPARMPDCSYFLQGLCNSDACPYRHVHVNPSAAICDGFLKGYCSDGDECRKKHSYTCPVFEATGLCSQGSKCKLHHPKNQSKGRKRKRPAEPSEKSFRGRYFGSRHKVFSESEPMVVDRRPTNSADFGIEEGLDFIFLGDTEYEAGDNSDLAAEESVSSASEEPVSVYNLIRPVALMQ >A01p018540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8983961:8997258:1 gene:A01p018540.1_BraROA transcript:A01p018540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVTGVSAWILDKVQQQANKTILVVQQNKVFSLNQISELNFQQGIVLVSEHCEERRFTMGNKANLIFLWATLVAVVAMATEHRPFERTKGINGLEKIIVRDRRGRSFEVYLYGGQVTSWKNEKGEDLLFMNKGFGKLMLIHLRYHHILLLVLTFVYRLRVALGHGGDLILTSRVKNSDVKPFNFTVGLHPYFSVSDISKIQVEGLQNLDYLDQQKNRTRFTDHGKFITFSSQLDRLYLRTPKKIRIVDHNKKKTIVVHKEGYADAVVWNPWEKKVSDLGVEDYKRFVAVEPVAVEKPIKLKPGQEWKGVLQVSVALFYFHLLFPALVYIWNEKLREFGQGNLGYLIFLWATLVAVVVMATAQRPFERTKGINGLEKIIVRDDRGRSFEVYLYGGQVASWKNEKGEELLVMSSKYANTGPLPSHGFVRQRFWEIDTNPPQLPSNSYYKAWVDLILRSSQDDLKIWPHKFEYRLRVHLGTEGDLILTSRVKNTDVKPFNFTMALHPYFSVSDISEIQVDGLQNLNYLDQLKNRTRFTDHDKSIIFKSQFDRIYLSTPDDIRIVDKKKQKTIVVHKEGQVDAVVWNPWEKKVEDLGTEDYRRFVTVESAAVEKPITVNPGQEWKGILQMSVVPSNAGLNLVLHYKGSIIHSVTPGKMWYGGDITHGNGYGGESIYAGYQVTDKKFIQKHDRKGISMVNFHENVVGSQLMLFMKEFPDLDGDQVAFGQVLDGVGHVMSPSCVMPLTEENVERVLDEVRPALMADGGNVALHEIDGLVVVLKLQGACGSCPSSSMTLKMGIESRLRDKIPEIMSVEQFLEAETGGLELNEENIEKVLAELRPYLSGTGGGGLELVEIDGYIVKIRLTGPAAGVMTVRVALTQKLRENIPSIGAVQLLE >A02p002700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1126449:1131073:1 gene:A02p002700.1_BraROA transcript:A02p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIHVSMLLAMVMVFVTPMVLADDNTPIPEAKPQAEQWFKTNVAPLPSRKGLDPALVAAEASPRTINVNQKGGEFKTLTDALKSIPEKNTKRVIIKMAPGEYKEKVTIDKKKPFITLMGDPKAMPVLTYDGTAAQYGTVNSASLIILSDYFIAVNIIVKNSAPKPDGKRKGAQALAMRISGNNAAFYNCKFHGFQDTLCDDAGNHFFKDCYIEGTFDFIFGSGTSMYLDTQLHAVGDGIKVISAHAGKSAEEKSGYSFVHCKVTGDGGGIYLGRSWKSHPKVVYAYTEMSSLVNPTGWKANKVAAHDKTVFYGEYKCTGPGSHTAKRVPFTQDIDENEANRFLSLGYIKGSSWLLPPPGFKMGYISPSIVAFIVAFILPVVLATDTAPIPEVRAQIPQWFKTNVAPYSQRKGTLDPALDAAEAARIIVTVNQKGGANFKSINEAIKSIPIGNKKRVIIKLAPGIYTEKVTVDVGRPFVTLLGQPGSQTVLTYHGTAAQYGTVESATLIIWSDYFMAANLIIKNTSPMPKPGSQGQALAMRINGDYAAFYTCSFYGFQDTLCDDKGNHFFKDCYIEGTYDFIFGRGASLYLNTKLHAVGDGLRVITAQSRQSNTEQNGYTFVHCKITGTGTGIYLGRSWMSHPKVIYAFTEMTSVVNPSGWRENFNRAYDKTVFYGEYKCFGPGSHQEKRVPYTQDVDQNEVRPFLTLGYIKGSKWLLPPPKY >A08p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4188341:4190520:1 gene:A08p007200.1_BraROA transcript:A08p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKYSLYIHPTTISCPMSIVFSSSPKKKSSEKEMKLVNHAVLILFLTLIAVSSAMDMSIISYDKNHRTVSAGSRSDAEVSKLYDEWLVKHGKVQNSLTEKDRRFEIFKDNLRFIDDHNGKNLSYRLGLTKFADLTNDEYRSMYVGSRLKRKSTRTSLRYGSRVGDALPESVDWRKEGAVAEVKDQGSCGSCWAFSAIGAVEGINKIVTRDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEEDYPYKSVDGRCDQTRKNAKVVTIDSYEDVPANSEESLKKALSNQPISVAIEGGGRAFQLYDSGIFDGICGTDLDHGVLAVGYGTESGKDYWIVKNSWGSSWGESGYIRMERNIAASAGKCGIAVEPSYPIKNGQNPPNPGPSPPSPIKPPTQCDSYYTCPESNTCCCLFEFGKYCLSWGCCPLEAATCCDDNYSCCPHDYPVCDLDQGTCLMSKNSPFSVMALKRKPAMPFWSHGRKTIA >A03p060480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26189676:26191366:-1 gene:A03p060480.1_BraROA transcript:A03p060480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNIGHLPDDLLLRILSLNKTKLVMATSLLSKRWRSLWSLVPGLKYVDDTNRDADYQRFMQFVDRSFLSNKVPVLEHLHPNLGHACPFVEIGLWIKLALSRHVRELHIHIRSSKKGSLTLPSSLYTSETLHSLTLIKCVSLDVPVHVRLPSLKTLSLKTSFKDSPMDVTVVLPSLQRLHMSHNKTRGTYVLNVPSLKYLKCSGMASSNLLQIKNMPELVTAHFNGKFLKALTSVRRLKLWITPPQVMNPSGMIFNQLVHLDLCLFREGWWDLLICILQGSPKLRFLKLINKLDWGDIFSNSGRKIPTGWKPPSSVPECLLHSLEAFEWFGYRGRHGDKEMAAYIDSVSVTKSFGPESLPRLTRSRDEIIGSQGTKI >A05p055280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32654941:32655799:-1 gene:A05p055280.1_BraROA transcript:A05p055280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQTQNTSLQRLQNVERRVVRVLDIAGGVMEELTNPSGPRRDLVKSLCGEFMQSIKDIQVTLREEIKSACEYRPFEKCDYNSRIANEICFQKLQYVLSQLDHLKITVDRYPSSD >A09g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15372206:15373420:-1 gene:A09g505070.1_BraROA transcript:A09g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGGNGVVARSGASSLRQRAGMGLPVGKHIVPDKPLSVNDELMWDNGTAFPEPCIDRIADTVGKYEALGWLCGGLGFFATLGLLAVVNDKASKVPFTPRVYPYDNLRVELGGEP >A05p026200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13809810:13810779:1 gene:A05p026200.1_BraROA transcript:A05p026200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNFLFYAVDRYREDGIDRCAGQRGTKADLQHQPDTTACLEAWYTWARILQTNLEGGNLQGSLHKEVLDIGQKEVNMTWWQPPLSLDSWMPVQSWSMILQYKQTLTQERN >A07p035280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19098546:19098893:-1 gene:A07p035280.1_BraROA transcript:A07p035280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTSIIVLLFAALVLFAGFEAPTMVEAQKLCERPSGTWSGVCGNNNACKNQCIRLEKARHGSCNYVFPAHKCICYFPC >A07p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18732756:18735582:1 gene:A07p034540.1_BraROA transcript:A07p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYAAGVIVPLALTLLVRNAKKDKKRGVVVDDVGGEPGHTVRNHRFKDPVSSHWEDISTLPELFEISCKSHSERFFLGTRRLIAREVETSEDGKVFEKLHLGDYEWKTFGQTLEAVCSFASGLVQIGHKSEERVAIFADTREEWFIALQGCFRRNVTVVTIYSSLGEEALCHSLNETEVTTVICGNKELKKLMDISQQLETVKRVICMDDEFPSEASSTWTTTSLADVQKLGRESSVDPSFPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPDLGKRDTYMAYLPLAHILELAAESVMATIGSAIGYGSPLTLTDTSNKIKKGTKGDVTALKPTIMTAVPAILDRVRDGVRKKVDAKGGAAKKLFDFAYARRLSAINGSWFGAWGLEKLLWDVLVFGKIRAVLGGQLRYLLSGGAPLSGDTQRFINICVGAPIGQGYGLTETCAGGTFSEFDDTSVGRVGAPLPCSFVKLIDWPEGGYLISDKPMPRGEIVIGGSNITLGYFKNEEKTKEVYKVEAALSISPYVENIMVHADPFYSYCVALVVAAQQTLEGWASKQGIEFTNFEELCAKEQAVKEVYASLVKAAKQSRLEKFEIPAKIKVLAAPWTPESGLVTAALKLKRDVIRKEFSEDLTKLYAS >A01p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2231874:2236020:1 gene:A01p005230.1_BraROA transcript:A01p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGMNTSTHLKAQARCPLQEHFLPRKSSKENLDRFIPNRSAMDFDYAHYALTEGRNGKDQVTAAVSSPSREAYRKQLAETMNLNHTRILAFRNKPQAPVELLPTDHSASLLHQQPKSVKPRRYIPQTSERTLDAPDIVDDFYLNLLDWGSANVLAIALGHTVYLWDASSGSTSELVTIDEEKGPVTSINWAPDGRHVALGLNNSEVQLWDSGSNRQLRTLKGCHQSRVGSLAWNNHILTTGGMDGQIVNNDVRIRSHVVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSAASSNSTTQYLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKATHEPFSHVNLIAQLIKRRRFGTKTLDPSPPSTVINRARKREMGKEMESPKEQSSYTVEQLVAVNPFNPEILPDLENYVNEQVTSQTYSLDANLCLLRLYQFEPERMNTHIVARILLKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFQQFWDEAAKNRHILEAVPGFEQAIQAYASHLLSLSYQKVPRSVLAEAVNMDGASLDKFIEHQVANSGWIVAKEDGSIVLPQNEFNHPELKKNTGENVSLEHIARIFPILG >A10p033530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19614357:19615548:1 gene:A10p033530.1_BraROA transcript:A10p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAENGLKGDPRLEAISAAIRVVPDFPKKGIMFQDITTLLLDHKAFKHTIDIFVDRYKDMHISVVAGVEARGFMFGPSIALAIGAKFVPLRKPGKLPGKVISESYELEYGHDRLEMHVDAVEPRERVIIIDDLVATGGTLSAAISLMESQGAEVVECACVIGLPEVKGQHKLEGKPLYVLVEPSGLDEF >A09g517550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52353594:52358471:1 gene:A09g517550.1_BraROA transcript:A09g517550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKLRDTETFVLAAHCMEIAGHMDVYASGALYEGLRGTKTFVLAAHRHCMPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAEAQQVPPVQVQGHQQPPIQPVSPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFSCGRLGHVAKYCRFTKPVAKVRAIPLNPELV >A09p033970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20533106:20534787:-1 gene:A09p033970.1_BraROA transcript:A09p033970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases II, IV and V subunit 9A [Source:Projected from Arabidopsis thaliana (AT3G16980) UniProtKB/Swiss-Prot;Acc:Q6NLH0] MKFCRECNNILYPKEDKEQKILRYACRNCDYQEIADNYCVHRNEVHHSVSEKTQILTDVASDPTLPRTKAVRCAKCQHKEAVFFQATTRSEDGMALFFVCCNRNCGHRWRD >A10p019760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13499334:13501367:1 gene:A10p019760.1_BraROA transcript:A10p019760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable truncated L-gulonolactone oxidase 7, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G56470) UniProtKB/Swiss-Prot;Acc:Q9FM84] MPVLKSFFKKMAFWLCVIFCLFAFASSTPPDDPVKCESGNTICTVTNSYGAFPDRSICEAAKVEYPRTEAELVSVVAAATRAGQKMRVVTRYSHSIPKLVCTDGKDGILISTKFLNHVVRTDPKAETLTVESGVTLRELIEEAAKLELALPYAPYWWGLTVGGMMGTGAHGSSLWGKGSAVHDYVTEIRLVSPGSVSDGYVKVRVLSETMNPEEFNAATVSLGLLGVISQVTFKLEPMFKRSLTYVMKNDSDFGDQALTFGKQHEFADFLWLPSQGKVVYRIDDRVPTNASGDGVFSFFPFRSQVSVVVAFVRSLEESDELSGDANRKCARARRISSFLFGISFGVTNNGFIFTGYPAVGSQDRMMSSGSCLDSHQNGLITACPWDPRIKGEFVHQTTFSIPLTHVKDFISDVKALVKIEPKSLCVLELNNGILMRYVTSSPAFLGKEEKALEFDLTYYRSKDDPLTPRLYEDYIEEIEQMALLKYNALPHWGKNRNIAFDGVIKKYKNANLFLKVKERLDPSGLFSTEWTDQILGLKGNVTVVKQGCALEGLCICSEDSHCAPNKGYLCRPGKVYSAARVCTRVSA >A04g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19379771:19380742:-1 gene:A04g507710.1_BraROA transcript:A04g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIDTSDDTSFLFPSTTPLPKSYCFRFVFSSEPLFDPASASVISSTSVPDDNSDLNHEVFPGMETVSSISLKAHQSSSDCSNLARSSSPSFPYHVFREWVLCQEIVDLIRFIFGGFICLWICKVGNFMIWAIYRYVRRNHYRPLHLLRKKASPTPWFSQIPLFSTRYTETNLEEQWRLPRYHFPNRSPCIAVEPLSHASPFWEDMKVKKDCEFKDMTCRFWMITSPSPKHIQTTS >A07g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15474260:15475088:-1 gene:A07g506430.1_BraROA transcript:A07g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYFTMKGDVVKPDPNWEEDEIFYCSICDLEWLNNCLGKRCFVAKKGMETELKRRLHVVFPRWSLAPISVMLVWLAGYVSAAMGKFFLFYLVLIKKVVPKYLQRMKTYDYILATGGGNRFTEVDHSDQLDSSSDEI >A02p008550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3521340:3524496:-1 gene:A02p008550.1_BraROA transcript:A02p008550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRLRQPNPQVIDQAENIELPPQAATRRRGGGGGRGRGNAAKAAAPPRPTGRGRGIRLIDLEVEPCEVRPVVGEPVAADKGVAMEGGSPDKAVGVEEDPSSAPVPERVQVGNSPVYKTERKLGKGGFGQVFVGRRVSGGSDRIGADAIEVALKFEHRNSKGCNFGPPYEWQVYNTLNGCYGVPAVHHKGRQGDFYILVMDMLGPSLWDVWNSSGQSMSPNMVACIAVESISILEKFHMKGFVHGDVKPENFLLGQPGTADEKKLYLVDLGLASKWKDSHSGHHVEYDQRPDVFRGTIRYASVNAHLGRTGSRRDDLESLAYTLIFLLKGRLPWQGYQGDNKSFLVSKKKMSTSAELMCCFCPPPFKLFLEAVTNMKFDEEPNYAKLISIFDTLIEPSALSRPLRIDGALKVGQKRGRLLLNLEEDEQPKKKIRIGSPATQWISVYNSRRPMKQRYHYNVGDSRLDSHVQKGNEDGLLISCVASSVNLWALIMDAGTGFTSQVYELSTVFLHKEWIMEQWDKNYYISSIAGSDNGSSLVVMSKGTSYSQQSYKVSDSFPYKWINKKWKEDFHVTSMTTAGNRWGVIMSRNSGFSDQVVELDFLYPSDGIHRRWESGYRITSMAATADQAAFILSIPKRKLNDETQETLRTTAFPSTHVKDKWAKNLYIASICYGRTVC >A03p041410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17310672:17312271:1 gene:A03p041410.1_BraROA transcript:A03p041410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSRVLLALQFPRPLSCLLFLPHTMDMDVFNDWERSRYEDETVMPPGFRFHPTDEELITYYLLKKVLDSSFSCAAISQVDLNKSEPWELPEKAKMGEKEWYFFTLRDRKYPTGLRTNRATEAGYWKATGKDREIKSSKTNSLLGMKKTLVFYKGRAPKGEKSCWVMHEYRLDGKFSYHYITSSAKDEWVLSKVCLKSSVVSRETKLISSSGGVNCSSSSSSAGSLIAPMIDAYATEHVSCFSNTSAAHADASFPPAYLPAPPPPPSLPRQPRCFGDDVAFGQFMDVGASGQFSIDAAFLPNLPSLPPTVFTTPSQPFGVYGGGSAVSSWPFAL >A02p043290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27119558:27119885:1 gene:A02p043290.1_BraROA transcript:A02p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTDAEILEIKSEFERVIKTSELDEKHMKDLEEKMTEYLEKEKLVGSLLNAVLKMIDNSDVKKQDCSSRSIPSASDDKGKQK >A05p045150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27043159:27044040:1 gene:A05p045150.1_BraROA transcript:A05p045150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGDIGKVSLAGDISQVSLAGGILSDPIGDCEIVTMSEGLRLLSSPLVLLVYKVQVGAPEMRESSDIKYLISHGYDLINLLNSKNGFDVESIKDCKKKLEACKKKTEEAYSDESAGDDDIELVADELKDLNAQWTSVDEKRQSLKSKDRTS >A07p039050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20754110:20754466:1 gene:A07p039050.1_BraROA transcript:A07p039050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFESKAVILSLINNISCPISSTSCSKPGLVPTSNALHFCSKQRLVLETPSLSMRLRPKRTCSGVEVFGGFHIKQQKFSFFIVR >A01p015320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7449038:7450444:-1 gene:A01p015320.1_BraROA transcript:A01p015320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT4G23070) UniProtKB/TrEMBL;Acc:A0A178UXE9] MLSTAEEDPEVGGTERRVGNRGDETTPDVRWTSWLIPVVVVANVVIFISVMYVNDCPHKSDRCLAKFLGRFSFESFKKNPLLGPSSSTLEKMGALAWGKIVNKRQGWRLLTCMWLHAGVIHLLTNMFCVAFIGFRLEQQFGFVRVGTIYLVSGFCGSILSCLFLQNAISVGASSALFGLLGAMLSELLINWTTYDNKGVALIMLLVIVGVNLGLGTLPPVDNFAHIGGFLGGFLLGFLLLIHPQFEWEENRVSLMPGSIVKPKYNTCQFVLCIAASIVFVVGFVTGLVLLFRGDNLNRYCKWCHKLSYSVKSQQS >A06p019030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9232586:9233338:1 gene:A06p019030.1_BraROA transcript:A06p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVDLGKQRDHLHHRMQIINTPTTVDCAREIRLRRTLRSFIECMLPYCCTYQQQPAHSYQNDTVSVSSSTSSDHSSSDHSSSSSSIVSGTFFGHRRGRVSFCLQDATVGSPPLLLLELAVPTAALAKEMDQEGVLRIALECDRRRSSSSNNSRSSSIFDVPVWSMYCNGRKMGFAVRRKVTENDAVLLRMMQSVSVGAGVVPLDEEEQTLYLRARFERVTGSSDSESFHMMNPRGSYGQELSIFLLRS >A09g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23284219:23285738:1 gene:A09g508210.1_BraROA transcript:A09g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLLFFDEKMLGKFNLSKFGLEGRYRYDLSWGLISSGDRTQTVMVVTTVNPKIFVGNLYLNSTPSIKFYFPALQAISEFTASLEGPVRQAFQCIDTKKGIKKGIRLNRKAKQTRITEWLGLLMSCNNGWSFVSCTGCSRKLDKDETSLRCIRYVNPNATGINGGGSVELPQCLKDLGGQDFVFQLRVTPFNFTPSHRGEASATGSNMTGGEEKEPNPSDAGGKGSSRKRLRE >A03p014270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5676038:5677356:-1 gene:A03p014270.1_BraROA transcript:A03p014270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSSNIVSITISSSSSLSTSPPRSENTHAPASVQERSFYLRFAMRVSRARWFIFLRRVFHYQNGSRSDLGSNPFNSSTWMMSELIALLVQLTVITLTLAISKEERPVWPVRLWITGYDVGCLLSLMLLYGRFRQLNQGNWFVDIEQQHRGRVETRSSHLMNKCRTSLELFFAIWFVVGNVWVFDSRFGSFNHAPKLHVLCVCLLSWNALCYSFPFLLFLFLCCLVPLISSLLGYNMNMGSSDRGASDDQISSLPSWKHKRADDNASDSAPKTDDPECCICLAKYKDKEEVRKLPCSHKFHLKCVDQWLRIISCCPLCKQDLSG >A03p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7166868:7168724:-1 gene:A03p017600.1_BraROA transcript:A03p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKSLIAVFAAVVLATVISKLRGKKLKLPPGPIPIPIFGNWLQVGDDLNHRNLVDYAKKFGDLFLLRMGQRNLVVVSSPNLTKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQRNREGWEFEAASVVEDVKKNPDSATKGIVLRKRLQLMMYNNMFRIMFDRRFESEDDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICQDVKDRRLALLKKYFVDERKQIASSKPTGGEGLKCAIDHIIEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQSKLRNEIDTVLGPGVQITEPELHKLPYLQAVIKETLRLRMAIPLLVPHMNLNDAKLAGYDIPAESKILVNAWWLANNPESWKKPEEFRPERYVPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKVDTSEKGGQFSLHILNHSTIVMKPRSF >A05g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18851720:18855028:1 gene:A05g506690.1_BraROA transcript:A05g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKHPSDQSNTFLTMVQQLMLNNSFKIGTQHRPRIKTEEDSWSVVGCASTEPTNTSYPITTHTPNSNWKTDETRPRPRKRKNLKLGAKRSTGKFTGKVPGKFTGDNPAIDLNPALDSVGPSIHTDVRVCPSAHTGRLWLSVRTHRTSGCPSVHISARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTHDVRGLSISTRISTLALPVDCLGDFGPRGLSVQYTQDVCGYPSAHTGRPRTVRVCPCVSVCVRVSVSTHRTSVAVRQHTQDVHGLSISIHISTLALPVDCSGDFGPRGLSVQYTQDIRGCPSAHTGCPCTVRVCPCVRQHTQDVRGRPSAHTGRPWTVHHTHRTSVAVLQHTQDVRQHTQDVRGCPSGHTGRPWLAISTRPWLAHQYTYQHAGTVRGLPISTYISMLTTHISMLALPVDCPCTDFGQLMHHVSTHISMLVLPMDCPCTEFGQLMHQVSTYISMLALPVDCPCTDFGQLMHHVSTHISMLALPVDCPCTDFGQLMHHVSTHISMLALPVNCSCTDLDMSSSFDGLDCPSPVNIFPWYDRGQAYTWASVLKGCFWVLMLPPGCFWPRLVHMRAAFHRPI >A09g501660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6053037:6053222:1 gene:A09g501660.1_BraROA transcript:A09g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRIEFCIEFLKITMDLAAVVAEAARVLLSHAPQVPPVLPRQYSASSYQSSAYMIPYFP >A01p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25784600:25785850:-1 gene:A01p045660.1_BraROA transcript:A01p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFDLTLRLGLPSPTVETHLSLNTLTTDQGNNVDGNHRDNNDNGDIRGRRHILNNTESTNGHEGGRKSVDVNTRYYNLIVNHFAGVGKALTFKPLPMQPSPAPVPETPTGSDYVLIDVPAKRAQRNSLAFKNAVDAHETLGRRWGCSGACTAGRINEMRKCTNMNCKAINTPMWRKGPLGPKAKFMQCLWDKVQEGEREEMQWN >A06p050720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26673190:26674794:-1 gene:A06p050720.1_BraROA transcript:A06p050720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFIYFILLLPLLLIFLKRFSPSKGKLPPGPIGLPLIGNLHQLGKSLHRSFHKLSQDYGSVMFLRFGVVPVVVFSTREAAEEVLKTHDLETCTRPKLSATRLFSYNYKDIGFAQYGDDWREMRKLAMLELFSSKKLKAFRYIREEESELLVKKLSKSADTQTLVDLRKALFSLTASIICRLAFGQNFHECDFVDMDKVEELVLESETNLGSFAFTDFFPTGLGWIIDRISGQHSELHKAFARLSNFFQHVIDDHLKPEQPQDHSDIIGVMLDMINKESKVGSFKVTYDHLKGVMSDVFLAGVNAGAITMIWAMTELTRHPRVMKKLQQEIRETLGDNKEKITEQDLERVQYLKFVIQETFRLHPPAPLLLPRETMSDIKIQGYNIPKNTMIEINTYSIGRDPNCWENPNDFIPERFVDSPVEYKGQHYELLPFGAGRRICPGMATGITIVELGLLNVLYFFDWSLPDGMTIDDIDMEEAGAFVVAKKVPLELIPTPHQW >A08p012720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11539520:11541988:-1 gene:A08p012720.1_BraROA transcript:A08p012720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPYPNLILLLLLLLLLLRFPFSSSTIPLGSVLYASGSNQSWSSPNFTFSVSFLPSSSPNSFLAAVSFAGKIPTWSAGSVDSQGSLRLSSSGSLRLTNGSNATVWDSGTDGLGVVLASIEDSGNLRLLDNQSNPVWSSFDHPTDTIVQLQNFTAGKVLRSGNYSFQLERRGNLTLKWNNSTTYWSQGLNSSFSSNLSSPSLALQTNGVVLMFDSTLSGGTETIYSDDYGEGSNTFRFLKLDDDGNLRIYSSASRNSGPLSPHWSALANQCLVYGYCGNFGICSYNDTTPVCLCPSRNFDLVDVSDRRKGCKRKVELNDCAGNATMLDLGNTRLVTDSSDPNSEVFFAGSSPCRSNCLVSTTCLASVSLSDGSGNCWQKQRGSFFTGYQSSSVPSTSYVKVCGPVLPNQPLVGTKGDGNNSKVHLWIVAVALVGGLLGLALVEVGNTEAILDKRLGEDQTVDMEQVKRMVQTSFWCTQEQPLQRPTMGKVVQMIEGITAINKPPRPKTLNEVSFSGSSGSTSHALSWLLLVRLDHLLRLQQGRFRQWESRRLPQR >A01p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11916994:11918723:1 gene:A01p024160.1_BraROA transcript:A01p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQLHLLPTIIPKEAKPNQKETKPKPQPLSFSSLPDDIIETILARLSKWNYPDLSLVSKRFLFLLSSPELYTTRSHIETTEPCLYFCLEDLPNIPHPKWFTLWMKPADETLAEDDEILEDYSLVLIPSCHHLQHVPYFSTVAVGSDIYLIGGPYKGPLSSRVRIFDCRSHTWRDSPNMLVAREAAHGATEFRGNWFLANVFRGKIYVIAEEENFAYDPKEGTWEVVGTHKCYGHIDVWCAIKDVMFCFTNFGYCRWYDTKSREWREVKGSDMEVLHKSKDGLAGRCLVQIVNHGGKLLVIWVPGLRNTRQSGKGEFGVRESHLRSALKVRFGFKKDRICLLDALFGRVWTAKYLEFLNSSLILDCSDKQLPLGAL >A10g500890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2723086:2723379:1 gene:A10g500890.1_BraROA transcript:A10g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPSHIIVSETSLESGGNSLAFMYTPTHGSPFHNAIEQFNVHDVGDGLMSDATANLNMSRGGRPIKPSQKYQDMDWIKIQGKGKRGRRGRGYHTP >A01g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9748523:9752062:1 gene:A01g503000.1_BraROA transcript:A01g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHARCNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTTYTTSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFVFSPAQNTQFHNQKQPTNQQPAQPAQTAPQDEMKSLANMMSQLLQGQQIQGKALNQPPVTAPADEEEAELPAKHTPTNTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKSEQNVQNIDADGYAKMLDSARTMERLVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDYMRSLCTTSRSDSSRATARSRLRFHIRRHTYLTLERPSRATC >A09p066170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52617094:52618970:1 gene:A09p066170.1_BraROA transcript:A09p066170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQAGSSLDSLISSFNKRVSELQELVIARNMYPASSVPDLTAIDTALSSMELQVQSIKDRLREETEAIPKAKVLVSVSAAAAASMRTVVVRFADADAAADAAADTAAYYIQVLSALAADAAFGRGVRRSFPRQRNEQELTQNVDAAAAAGTCGNQTNSPYKLIEASLKQQGKLQKMSVYAPSHFPDKTTMLNSDINRCLLQENVKKHEQSSALRSLRSEEEAAVLPKERKGRGSPPLWYITVEELNSLSSYMRGRLTLEKVNAAINDMASYAEANAHLISAPKQKLAENLWEKALKLRDIVTSGALKGKHFFLETDMKGPMLKLDNTGKAILTVLRHLGRVSETRIGQNRVIILMKPN >A01p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10302906:10304305:1 gene:A01p021080.1_BraROA transcript:A01p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKYKPVIALVLLQFTSAGVALFTKAAFMEGLNPTVFVVYRQAIATLFICPISFFSAWSKANKPSLGIRGFWWVALTAFFGVTVNQNAYFKGIDLSSSSMACAMTNLIPAVTFIISIIVGFERIKRRSLKSVAKVIGTGVCVGGAMAMTFLRGPKLLNAMLNQDNSNTWLLGCLCLLVSTFAWSSWLILQVPIANHCHDHLYTSSWTCFMATVASFFMALALGNTDLTSWKLDSSLKLSCCIYSGLQLAVSFFLQAWCVSQKGPLFSALFNPLSTVIVTFFGALYLKEQTYLGSLLGALAIILGLYIVLWGKTEDYLQEEATDLKQQNEHTTTSQSDFVPIMIGDRAFSSSELLEPLLM >A04p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:189902:198644:-1 gene:A04p000380.1_BraROA transcript:A04p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRKKGGAKAAAAASARRQWKVGDLVLAKVKGFPAWPAAVSEPEKWGYSADKKKVLVHFFGTQQIAFCNPADVESFTEEKKQSLLTKRHAKGADFVRAVKEIAESYEKLKQLDESNGPKSAEETTVGSSGNTIELPQASENLIGTRLDTQIESSSHGRDESTLLSEDASAAEQMLALRHNSLARNGACDNAAAKDLCEIATHSSRRRNERARSQKCAPQKIVLPVQHSKISSSLEFDRLQRSIHQCSDGGHSVDDIDDGTKGRRKRIRRSGESDNNSRNMGNGVDSGSKVEHPDAVGEGCEGGHELSKGLDFQISTMVKRKRRKPTRKRETCDLVDPPAKIEAEEGSGTKACDSCQGSQNSHETLNERLCAENGDEHLPLVKRARVRMSRAYYADEKVNASSQFEERSSKDTPTSSAMQTSPSVNHENDIVSGHDTSAAKEFNSFELSGKLPGDMVDVVPPYMGKPSGRMSPSISCVQTVGDKQTAMEVHENEFSMTPNDEVTRARSNKLGSSLEGNTRVSEGFQGCSEESQTINCQNVESDPIGMQCTRQSENNGTPLNPDTVDSSVNNPPSLCSGLDMTASWVPAQSPHQHQSHDHDSCDQSLVAVEDASLKEKCENIDNVTQGVQSQVVEHSPPFCSVVNNQAAENMQETENTLLEIKQGSLGKELDSGKQAQIIQNPAPSATERYMMDKEAEPQYETVHSHCEDAVENKELEKSCEADEQKEQIQATNSVSVSENLSREKMSLSPDSSARGAPHGNVSTVEGADGMQNNNNCSTSGEKKTISDDTGKEERRVEIGVTQVNKIGSSDVQFTIESFETALASLVRTKETIGRATRLAMDLVKFGMSAKAMEILAHTLESESNLQRRVDLFFLVDSIAQCSKGLSGDAGGVYLSSIQVMLPRLLAAAVPAGATTQENRRQCLKVLRLWLERRILPESIVRHHIRELDSHSNAPACLYSRRSARTERALDDPVRDMEGMLVDEYGSNSTLQLHGLCMPAMLKVEDEGSDSDGGDFESVTPEHESRILEEHVTPSITERHTRILEDVDGELEMEDVAPPWDVGSKAPTDQADNTESAYCQPVSGTSHQNVTSLSPLAPPSQNAQSNSYTNGFDGSGYQSMHGDQQARMNPSTHYRSPESSYSSRASLSKSMPRGEGSDFQHRPYPSPNPPPPSSHHYYSHMEPDNHMTEGPSYPHGSHYTGDFGERNYHDSHESMRHAPYESRDNWRYHPPPSHGPRYQDRHKGHYQSSSYSGHHRDSGRFQNHRWSHHSPRAYNNNNRHSFQHKPHSEGPIRRAHGIRGELQTFTDGDRRGGVCGLRQQQTHIELIPHEMGGSLSAVSEKSIHEFTVKDSTGKEVDLSIYQGKVLLVVNVASKCGFTESNYTQLTELYQRYKDQGFVILAFPCNQFMYQEPGTSQDAHAFACTRFKAEYPVFQKVCVNGQNVAPVYKFLKSKKPTFFGTRIKWNFTKFLVGKDGQVIDRYGLTVPPLSIEKDIIKALGEAGDDVSKY >A06p000960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:33759:35573:-1 gene:A06p000960.1_BraROA transcript:A06p000960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNCSLANVLGVVLVFTLFHDPITVAGQNIPAVALFTFGDSNFDAGNRMFLAGTRFPQNFWPYGKSRDDPTGKFSDGRIVPDFIAKFMGIPHDLPPAFEPGANVSRGASFAVDSASILGTARDSLNLNNQVRRFNQMISNWKEDYITKSLFMISIGMEDYYNFTKNNPTADGSAQQAFVISVISRLRNNIEMLYSSGASKFVVYTLPALGCFPIVRQEFNTGNDCYEKLNDLAKQHNARLGPMLNDLARARSGFQFTVFDFYNVILRRTQRNMNFRYSFTNVSCCGIGSHNAFGCGRPNVHSKLCEYQRSYLFFDGRHNSEKAQEQFAHLLFGANPNVIQPMNIRELITYPVNTNMSEFWKEPVGRNLLLVHEYDANASAST >A02p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7762907:7763822:-1 gene:A02p017270.1_BraROA transcript:A02p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLKDQRRPRYDGEEPPPPEFDDGDEEGGTPGPFAGGKIRRKASRYREHRGDYLHVSTRPGLMRILEKQGDTSIRFADKVLKFTGTGKMKRRIFILTDFAIYLIDPESEAMTRRIGLAAVEKVCLSKLSDNFFAVIIPTEYDLLMASTRKTELVQVMVDVTKSASDYELEVLLSNRFEYNASASLVKEVSFEEAEGGIKTSFKWK >A09p028360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17077091:17079077:1 gene:A09p028360.1_BraROA transcript:A09p028360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENTNGRQATFAKRKNGILKKANELSILCDIDLILLMFSPGGKASLCCGRRSSIEEVISKFSQVTPEERTKKKVESLETLKKTFLKLDHTVNIRELIASSNSTTEDLSTQASVLQARISEIHGRLSYWTEVDKINNVDHLGQLEISIRQSLDQLRAHKEHLGQQQQQQAMQIENANFVKDWSTCSLQDGIEIPLEQQLQSMSWVLNSDNTTNIITEEHNPIPKREVECSASSSFGSYPGYFGTGKSSETSFLDELNTTNGDIKPQLCTNNNNIIPYNPNIMQNDIKHHQTYPPHPPLFNLPMNQREYHMNGFFEAPPQPHGTSAYNNNNNQARFGSSSSSLPCSISMLDEYLFSQMQQPN >A09p047550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41305896:41308721:-1 gene:A09p047550.1_BraROA transcript:A09p047550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGLALVFLLASASCKADEEITCEENNPFTCSNTDILSSKNFGKDFIFGIEGGRGRGVNIWDGFSHRYPEKSGSDLKNGDTTCESYTRWQKDVDVMGELNATGYRFSFAWSRIIPKGKVSRGVNQGGLDYYHKLIDALLEKNITPFVTLFHWDLPQTLQDEYEGFLDRQIIQDFKDYADLCFKEFGGKVKHWITINQLYTVPTRGYAVGTDAPGRCSPMVDTKHRCYGGNSSTEPYIVAHNQLLAHATVVDLYRTKYKFQKGKIGPVMITRWFLPFDESDPASIEAAERMNQFFHGWYMEPLTKGRYPDIMRQIVGSRLPSFTEEEAQLVAGSYDFLGLNYYVTQYAQPKPNPYPSETHTAMMDAGVKLTYDNSRGEFLGPLFVEDKDNGNSYYYPKGIYYVMDYFKTNYGNPLIYVTENGFSTPSSENREQAIADYKRIDYLCSHLCFLRKVIKEKGVNVRGYFAWALGDNYEFCKGFTVRFGLSYVNWDDLDDRNLKESGKWYQRFINGTVKNPAKQDFLRSSLSSQSQKKRLSDA >A09p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1457051:1463494:1 gene:A09p001930.1_BraROA transcript:A09p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEEERLKAAFGDSSDDEHLADRETSVVGDSTTAVWERVEKINGLWLCRNFLSDQSHLLSAIVNEGWFVEESINQAMRFGDLPSWATELSDLILESVESVDLPVMPADLLWREPLFNQLIVNLYQPGEMQKLEDIGTLPASEQNDTGICAHVDLLRFEDGIAIVSLESPCVMRFSPAEQGEGEGVDVLLSPGSLILMSGEARYRWKHEINRKQIGFQVWEGEEIDQKRRISITLRKLCPS >A01p059230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33921284:33923095:-1 gene:A01p059230.1_BraROA transcript:A01p059230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPANTVGIPLNHTALLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIAVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSSPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQSAKLSKEAVTVQQCYIELSKMVKEKLSAIDPYFDKLADAMVTWIEAWDELNPATKA >A03p060760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26363880:26374606:1 gene:A03p060760.1_BraROA transcript:A03p060760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLTGGRGGGGFSGGFSGGRGGSSGGRGRGFGDRGRGRGMRGRGRGEPGRGRGAPRRGGMKGGSMIVEPHRHAGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKTEYRVWNPFRSKLAAAILGGVDNIYIKPGAKVLYLGAASGTTVSHVSDIVGPEGCVYADEFSHRSGRDLVNMAKKRTNVIPIIEDARHPTKYRMLVGMVDVIFADVAQPDQARIVALNANFFLKTGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPAEQVTLEPFERDHACVVGTYRAPKKVKAATAA >A06g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15045616:15046580:1 gene:A06g505100.1_BraROA transcript:A06g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIGGIQNHNEVLESRVFEGEHGIDGVRTMRGLQWMGIFTRSEEKMRNSEYQSRDPREEHEQGGTGEQSIQAGDEEKKKGARKALFKRMTAIAVGTSRMRFVQAVLPPRKNDPAKPGKGRGEGDGARQTEDKGPLNPKLSSSKPFKTQEVLWWGWGVGGEILVFGWFSGFLESENGSWKELADVVSGLIKPKTATSRISWIWTWRSSASSGI >A06p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15640432:15647063:-1 gene:A06p024100.1_BraROA transcript:A06p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSENLDRTVEGTAANNEKLERTVEDKAANCLMLLSRIGENGGGGRESRVYRCKTCMKEFSSFQSLGGHRASHNKHVNNSSSDEQSSLSSGSIAKTKRKTTNTTKSHRCPICGVEFPIGQALGGHMRKHRNEEEASGALVARPFFPEAATSMITTLKKSSSGKRVACFDLGPDSVESSINLNLELGKKEMMMKKVKKATPELATSKTIVWWDMDSCPLPNGYEPSRVGPRIDTELKNQGYNGPLTIIGIGNLEGVPHDFLKALSSGGVVIKQLPLGSDMMSCVRRALFSREPRFEPPLSMMLITAVHADLLEDVICVFFNRGPRYNLLLAFPAVTEHADPEPPTLLVDNFGGEWVWDRLGLLKDPGSANYDTRRQDTGCESHCCGLCDDFSSDSFDDFKAHLDSPQHADKGKKFKAALLCKASSLKKKATAKPKKPSKAKKAEHSLPKNAATTISENLDRTVEGTAGNNEKLERTVEYKAANCLMLLSRIGENGGGGRESRVYRCKTCMKEFSSFQSLGGHRASHNKHVNNSSSDEQSSLSSGSIAETKRKTTNTTRSHRCPICGVKFPIGQALGGHMRKHRNEEEASGALVTRPFFPEAATSMITTLKKSSSGKRVACFDLGPDSVESSINLNLELGSSMY >A01p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24880448:24881146:-1 gene:A01p043460.1_BraROA transcript:A01p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMAEKKKLSGGFIGCTHCELSLATSPPPFLVSGSISLPTKTEFKEQAQTYEVLSNVVLLSGLMMTKL >A05g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27629146:27634337:1 gene:A05g509190.1_BraROA transcript:A05g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRIELKNSCNVREFRSLNELMEKAAEQEAGLEEERKQNQATRAAKRPRETTTPVDNGTLNDGSVTKTPNITCQLCGRYGHAARDCRDRTEAGTGAALPAPPLKKPATQPRVFVAGNIQGAETIAGRVKVGGVVAYTLFDTGATHSFVSQALTKKWNFQGKYEARTTRVETAGPDEISAMGLNHQTLTKRSPARSESRRRRQPVEEAPPPITARVAQVADRECQAAGFSIPWRVTGFVWIIKGKNRGAGKIESRRVLAGRGRNTLQRVDCIQGTGCRVYPGQAASQECSKKRGGMVRLSCVVNGFLKSVLTEYFPKCSPLLLQVRSGRRRSEVGVVPVLGPG >A04p016000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9859648:9859914:1 gene:A04p016000.1_BraROA transcript:A04p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVMLLLLLAVSTSGNKMYSCQNLTGVHEGKGLWDSLAGNRKYITFIAKNAKSNCGPNPGACTGIVNGMKFSDCPTYTRCKRFDST >SC150g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:159525:159824:1 gene:SC150g500080.1_BraROA transcript:SC150g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A03p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:458318:463852:-1 gene:A03p000790.1_BraROA transcript:A03p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIAVAAITSLWVIPMSIIVNHIVPGPYMDEIFHVPQAQQYCKGNLRSWDPMITTPPGLYYLSLAHVASLFPGMLLVGATSQSFSEACSTSVLRSTNAVFAVLCGVLVFEIIRFLGPNLSDAKATLIALVMSLYPLHWFFTFLYYTDVASLTAFLAMYLACLRKRYFLSAFFGALAICIRQTNVVWMLFVACSGVLDFTLDSPRQKQKEKVDQDSHHQSIDGKEATLRSNLRKRKPDNSNLDPFDRAKSFSSTEDTSGLVYDVYAVISTCWSMKWRLLVTFSPFIVVVVAFGIFIIWNGGIVLGAKEDHVVSPHFAQIMYFSLVSALFTAPLHFSVGQLRNLLQELRQNRPLSLLLTLVALVAGLASVHYFSLAHPYLLADNRHYPFYLWRKIINAHWLMKYMLVPVYVYSWFSILSLLEKSRRKIWVLVYFLATCAVLVPTPLIEFRYYTIPFYIFMLHSCVRNSGWATWFLTGTVFVCINVFTMGMFLFRPFKWSHEDGVQSVRFLSNWLVHNITAHAMGKNLRQKVTCSPIQNHPGFMWGLFDILKHNHWRYIKKRLPHKRPIGGRRSASAGTKNEVNNTIPPDGMPVSKSKVEDNTNVDSGKRPNKPSSSAVKSKDPNSAEKPKKNQNSEDKSKNLNSEEKRRRTHSEIKRSVKALIKALVIEDKTKRKGRHHRSSTYPVQSNPKEKESLSEVRESSDNKAIAVSPSIGSLNPLYLMSEESSYSDSEEFKLENTPADESDHSKKKKEEARSGPKLNEDYDTSSSPRQIKACLDALNLIHMNRNFLLKVLQDPGSPLARHFQREQAFSSKTMTRSGSFPTHEHSNVAPTSPSIAVELKAVEKLADEDSSSYTRKRGKNHQVVIKRFKDLRQKIKHVINENKSEKHRITMDAVLDKVPRKYGFSKDLRQDILSQCSSTKKEGAKPRQIRRTSSLCGSVDRYLQLYEKSFQKSNSTKEKSKVESEEPALSCKIVPKILGRILSLPGTKSPYALKNEDVPDLFTTSSISMEQEQEQDGLDDISEISEDQSSEHEMPETEQDRETSTVDVETETKSLYESSVDYPTFDDNASESHTPRDLKVGHDPDTETCETRKQLESITAEAIDEYLQIEAQDKGKFNYVRDILEISGFNAPESLSMWQSDYQPLDPLVYEEMTTTATIGCMIQDPECSRNDYGEEEESNCNHLLLFDLINEVLIEIYERSYHYCPKPLSNLCRIHPMPVGYSVLKDVWVRINFYLRYKPHDEQSFDEIMSRDLRRDDGWMDLQFESECVGIEVEDLIFEELLEELLGSC >A08p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23101713:23102698:-1 gene:A08p040590.1_BraROA transcript:A08p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDGHGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADFTKLRQEKRVVPDGVNAKFFSCHGPLANRQPGTAFLPDTY >A02g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16166353:16166684:1 gene:A02g505300.1_BraROA transcript:A02g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETAIILLLFDRAALRPATARLDSTPEIASLDTWEEEEELTLRAGHDGGYLYRRSFMPRPKTIEAEKESIRW >A05p005740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2216137:2218621:-1 gene:A05p005740.1_BraROA transcript:A05p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVIVGEDEEDSFTKPLGRLSVFYYGVGHMLNDITASCWFTYLLLFLTQIGLSPRDAAIVMLSGQVADGFATIFIGELIDRFGHFKIWHAAGSLLVAISFSSVFGGCLPCSILHSTSLTIETLSYSTFAAIFNIGWAATQVSHMAMVNCITLNSTSRVALTSSRNAFSMVANLGLYAIALVVFGVSKADTKENTESQYRWIAYSCITVGCCFVIIFLMGTKEPRLRINLRESNRTRIPWAYWFKKILYYQVAVVYLLTRLVLNVSQAYLAFFVIDDLQMAQSAKALIPAIIYICSFVVSVMLQEIPWNGKRLKAYYCAGGIIWMFCGVSILLLPRSINSFMYAISVFIAISMQSVLIGSELGGCAFVCGSLSFLDKMSCGLALYVLQSHQSATSPQVDVNIQHSFNFSVTRYGLGLVPAVCSFIGVAVTYFMELDSTILKRLCQPLLLE >A03p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17551573:17552427:-1 gene:A03p041940.1_BraROA transcript:A03p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISHGQPLLLLLLVSLFFVPAALGSSRVFRPCNITHPYPVSVRTVDISPHPVDRSGNSNITIAGYAGIQIPDGATVVVNVSAPFLVNPYVSIKTYPICDITECRVTVRLFSRFAFTVPNAFIPEELNGLLNIVTLSIKVEQEKIMCVVFNCVVTGRRST >A05g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3461422:3461734:1 gene:A05g500990.1_BraROA transcript:A05g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKVFDSVSHTLSLLSKNLYNTLQGARELAKPPILSEILYSNSKKKNNNKKKKKKKMNIVKGRRGNTVQMHS >A01g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25142121:25143922:-1 gene:A01g509270.1_BraROA transcript:A01g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRLSKLYVTSIVEIIQNRAQYCLVYVVSPTIGTAAERARGGTAAKRLRTALLECLATREMISVAFCIHESLSGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMRATSPERHHQVALISLSERPYQSDREKSLAFWPLGDARTSTERPLAATQRGRSRSLERLTRATGRSRSRFHHSETRERARSDLSQRHSEVAPEAWSDLSERRAEVAARFISARTCDFSRAFWSFHYARFYFLNLCFNTLRATKRRIIFVLRKNHQKPLESHLFESIDHSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICPRSLENS >A01g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6081891:6082365:-1 gene:A01g501680.1_BraROA transcript:A01g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSDGLRLVVVKIISPAGVKIRCLRLVCFLSLSAYVLHIQTIYEASTPWTGWHRTRHVMAGADTPVVMTRRGEKRWKEGDACGGGPGGAMEVMAPHSHAFNIGGLPHGRSSLHYIIRFMCVQTHDLKKKL >A03p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10404093:10406613:1 gene:A03p024680.1_BraROA transcript:A03p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKNSDHRQMRRGGESMKTRSPSVIVVGSGFAGISAARTLQDASFQVTVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPKELVREVGVTFKQILEELKKVRDEQDADMSISQAFSIVFSKKPELKLEGLAHNVLQWYLCRMEGWFAADADTISVQCWDQEELLPGGHGLMVRGYRPVINTLAKGLDIRLNHRVTKTVREYNGVKVTTEDGKTFVADAAVIAVPLGVLKSGTITFEPKLPDWKQEAINQLGVGIMNKIILRFEKVFWPQVELLGVAAESSYGCSYFLNLHKATGHPVLVYMSAGQLAKDIEKMSDEAAASFALMQLQRIFLNAMAPVQYLVSRWGSDVNSLGSYSYDAVGKPHDLSERLRVPVDNLFFAGEATSSSFAGSVHGAYSSGLMAAEECMMRVLDLFQLVMGEEGPASIPLLISRL >A04p030450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18083918:18084867:-1 gene:A04p030450.1_BraROA transcript:A04p030450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPLHRTPPKPSSLLTKPCYSTSRNRNVEYSLRAFPLGGFVGIMISTDDENLLKNRPVLDRSIVVSAGIIANVIFAYVIIFVQVLSVGLPVMAVDGTNLSKTGPDAVSKVVDVVKRNPKSDVLFRIERWNQDFDIRVTPDKNFDGTGNIGVQLSPNVRITKVRPRNIPETFRFAGKEFMELGEVARSNIDGLYQFAALLNVNLAVIIILALPAPDGGTLALIVLEAVRKREEASCRGGRRDHVIRDHACGIHWIVSHRQGHT >A02p059570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35554510:35555301:1 gene:A02p059570.1_BraROA transcript:A02p059570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G65220 protein [Source:Projected from Arabidopsis thaliana (AT5G65220) UniProtKB/TrEMBL;Acc:B9DH43] MLSLSITSPGTAATFLRGASSATSTSSSFHGVRIRHEVSARVPAATVSSSSGKTMVVMMSKREAELKDIRGKTTEELNEEVIDLKGELFMLRLQKSARNEFKSSDFRRMKKQVARILTVRREREIEEGIGKRLSRKLDRQWKKSIVVRPPPSLKKLQEEEAAEEAAEAAKSA >A03p038340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16000443:16002383:-1 gene:A03p038340.1_BraROA transcript:A03p038340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNCFRVLDKCKRNDRLGQKEAEEICTDNVRVFSYNSLRSATDDFHPSTRIGGGGFGVVYKGVLRDGTQVAVKSLSAESKQGTREFLTEINLISNIHHPNLVNLIGCCIEGNNRILVYEYLENNSLASVLLGSRSKYVPLDWSKRAAICVGTASGLAFLHEEVEPQVVHRDIKASNILLDRNFCPKIGDFGLAKLFPDNVTHISTRVAGTVGYLAPEYALLGQLTKKADVYSFGILVLEVISGGSSSRAAFTDELLVLVEWVWKLREEGRLLECVDPYLTKFPEAEVIRFIKVALFCTQAAAQKRPNMKQVVEMLSRKEIKLNESALTEPGVYRGVNKGGNHRGLGLIGSSSQESSSTQGYKGKSPAVNQGSSSVSVISFQSISEVAPR >A08p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17117092:17120851:1 gene:A08p026940.1_BraROA transcript:A08p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALIDDPVYVAVSTDVSESRLTLTWALRHLQPKKLYLLHVHQPIFNSLSTDVSESRLTLTWALRHLQPKKLYLLHVHQPISINPTSSGLEQSEIDAIQESELTSSYEILLKYRDICAVEGILEQDVDISYSLANNVGEGIVELIYENNIKKLIMGAAADSHNSEDMVNITSRKFDYVTKHAPHCCKIWLVGNGNLIHTREGRFDRRGSPHPSSESLTSLQGLDSALVPYEEAVRGEHDNVSHALSSPEDQSARGFETMYYEEQRRGLEIEERRIKAEEDLRAEIENMKGIQKELEEQLYIDCPRQFEMFQRERDEAMKTTVELLRLLNLDNSESASHSPSSSFQRSVSNEPPPYFLCPITQEVMREPSVAADGHTYEAEALREWLHNGHDTSPMTNLKLAHRNLVPNHPLHHITSHSKFLSHLHYTFQIVSKHSSTATIQRVKSITIGSNCIPQTSEGGASRTAIVALVSTSKTRTKLSSEEDAAIIPEGCAATAATPKECPVLVRTRSSSSARHSFTVSSRDPVRSNDGRSSVAGTQVAAQNIFLHVQSYKL >A05p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4317807:4318911:1 gene:A05p010280.1_BraROA transcript:A05p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEIDAEIQQQLTNEVKLFNRWSFDDVSVTDISLVDYIGVQPAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDANPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A07g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20676177:20685827:1 gene:A07g507570.1_BraROA transcript:A07g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMKEPNFQYNNYQQKFYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEGIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A05g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10521311:10523262:-1 gene:A05g503640.1_BraROA transcript:A05g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEPMLNLESVLLDAHRCLNEPRQVSMFDDLHGPSKPGENVPGAKSYPLEAIVKDCCEVTNRRISFEYAILGLILYNPIHDCANIKCCPKLEKKSNLKTVNCT >A10p013900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4484135:4484365:-1 gene:A10p013900.1_BraROA transcript:A10p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPQRYTLVQGLVDSIHELLLYLDGWQCVHVMESRNQPALEIAQSVIKENRVSSYIARGGPRWLQERLSAKAKFR >A03p006460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2732124:2732759:-1 gene:A03p006460.1_BraROA transcript:A03p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEP [Source:Projected from Arabidopsis thaliana (AT5G13910) UniProtKB/TrEMBL;Acc:A0A178UQP2] MNTSKTKKKQEEVGTKFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRASRSMRGTRARTNFVYSDMPPSSSVTSIVSPDDPPPPPPAASLTCPNDPVDYMMMFNQYSSTDSPMLQPHSDQMESSYMFGGSPSCYSNSSCELPPLPSDLSSSCYSQQQWSVEDFSSANYFEGEYVHSPMFSRMPSVSDSLPHGFNHFYSYN >A05p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5953939:5956011:1 gene:A05p013680.1_BraROA transcript:A05p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRVEISDPESEFFASKQETGHEWELFKENVRPLKRGRNVRLLNHALKSHTDHQLRKTLVEKRRKLIEEIDEYDGDDPLFPWIQCIKWVQEAFPPGGECSGLLVIYEQCVRKFWHSERYKDDLRYLKVWLEYIFNLGISRNAKPVEKLNDAYKKFMVRTMRRPNTADEEPKENNNDLPSRSFGTVLSRVDNNNTGRQALGPQAKKPKLNQSSKAPLSIYTDTTSGNQQQESDKSRPEYGSWLMLGGRAERNKENNSLPGKWKTFKVPQKPVVRTPAAATASSFEVFVDEEEEEECTEEGGEKKKKSETNSSSSSNALPLNDGREIKKETELLRQNPLRHFPPNSFLR >A07p007380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1064106:1064414:1 gene:A07p007380.1_BraROA transcript:A07p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDYLVVKRHSLEITTRELRGRDKGRLIDPTRRTGEYDASVDPTRLLGELDGLLHPIRRTGELVGASGPTRPFGELDYGCFVVRDPLSEALSNPSRRLIV >A08g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1749623:1753312:1 gene:A08g500660.1_BraROA transcript:A08g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIYPYTLCYKNKKKTFHFLRSRIVSSPLRNLQTLTGTVVSDSGIVVSGSGIIFSDSGIVVSGSGIIFSGSLVIFFGSLIIFFGSPIIFSRSLIIFSGYLIISVTLSTLKIGHKSLCSFLKLGNVDIFDRVLNLLMSLFLLVKLSSVYKNFRKHLLCYFRKAFEKSLKFFFSLFTQDRNKMGDSVPLKLALPELKYPIGSHPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPTRFSIREFHMMTGLKCSGALEGPRRETKRFNWELLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRDKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDITKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSETPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIEPAASPKSQQNEDYTQQSSETPLSPMSQQPNLTHKETMNESDDETLALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDKPALDTQRETQTSTDETPPKTNQEEGKPDDEKKCEYTQRGSNTAYCVIRVH >A08p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2606771:2608658:-1 gene:A08p004450.1_BraROA transcript:A08p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLLSLSLVSFTLPTGDTHITKRKSFEIIIGGGGNPPPSPSPEPEPEPEDCSPPPPPPPCLPAPQLPPPQRQRAPPKPPPKSRGQPPLPLVGFESPLLEKVFPVLKAFKKLVTRDPMLILKTWEGTDICNKYKYLGLECAIFPNTTDKALASIQFNGFNFGGDKLVLHNFLDKLDTVTIFHANSNDFLGSVPEVTNLKYLFELDLSNNKLTGDFPASVLKAKNLTFLDLRFNTFSGCVPPQVFNLDLDVLFINNNNLVQTLPSNLGSITALYLTFANNRFTGPIPASIGNIKFLQEVLFLNNSLTGCLPYQIGKLNRATVFDVGFNQLTGLIPYSFGCLAKMEQLNLARNKFYGTIPEIVCELSSLKNLSLSYNYFTQAGPKCRELIKRNILDVRMNCILDLPNQKMASECSTFFMQRQTCPDPKSMYLIPCGKNPNGVKLDQERLEAKEAQASSPVSYGVLNPDGVRNR >A10p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8995608:8998978:-1 gene:A10p004820.1_BraROA transcript:A10p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNQHINKVSDQQDVNLVPDLKPLLSSSGVLSLSLVDSRRGRPVINIGGAGEVRRVKLIYFLSSRSGQVDQPHILSVHHLSRNGVFLRDVKRWLAGVRGSVMPDQYCWSCKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEILLSTSKEDSSHTEKKAWKTRNGADGGNDTNEKLLKSKLTSDMIHKESPVFCSQRSTATTSTVTDESTTNEEETFVLKKPGLKKVSSQGDGSTGKVSGKDTESGRPSVSSTTSSSSFIKGKSYSSARASQVLRNLIKCGGLDTNDAVLVPLNKSVSRSFGAAWEDERRFQYQQHNARKGFEGAWNAIKMKDTIEFCKPKVASSNLEGMLMKVSIFALVQAIVYLILFTSSSVFSKSNTMKRLHSFRSARSMSISRILAVLQDMPAGGEMSPSMRLSSLASPSSSPLES >A02p056630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34121769:34125609:-1 gene:A02p056630.1_BraROA transcript:A02p056630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) UniProtKB/Swiss-Prot;Acc:Q0WPR4] MRISHHVVHSVLVLFLVSCLLGSSLAAKLCSDDDKDGDNGCFRSRVLAAQRADLVKELPGQPPVKFRQYAGYVTVNETHGRALFYWFFEATHNPNKKPLLLWLNGGPGCSSVGFGASEELGPFFPQNSTQPNLRLNPYSWNKAANLLFLESPVGVGFSYSNTSRDISQLGDTVTASDSYNFLVNWFKRFPQYKSRDFYIAGESYAGHYVPQLSELIYNQNKIAPKKDFINLKGLMIGNALLDDETDQKGMIDYAYDHAVISDGLYQRVNEHCDFRLAHVSKECDGALDEYFDVYKILDMYSLYSPRCVPTTENSSISHSVAGSRHLPAARGIFRPRLVSNNEGWRKMAAGYDPCASDYTEKYMNRRDVQEALHANVTNLSYPWTHCSDHISFWADAPASMLPTLRTLVSAGLRVWVFSGDTDGRIPVTATRYSLKKLGLKTVQDWTPWYTNKQQVGGWTVEYDGLMFVTVRGAGHQVPTFKPREALQLVHHFLANKKLPTFPF >A06g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23573275:23581140:-1 gene:A06g508410.1_BraROA transcript:A06g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVYASCGVWELVVSSGWSFNVDKKKGGRLLALELKSSPEELQKNVIEDFGFEETDADLELSYLPIGLINSSKCPPVIIGNSRQVQNFLGFCKKHQSTQLCVSYKAKQGNPNKIDIDLNKMPTDASTSEENKRNLCDIGTASNIVKGAKHNEKRKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDYDADKINSEKENREKLAKSQVVELVKTGDLFLNKTVLKARFELCAMKHNFHYTVTNSNKSVWCIRCVISCIFTLYLSTTSSLWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPGGRSDLPERHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAESLLRIVSRLKVDSLIDHLPSLVRYLITQVSTCILGALISLRTGSTIFYTTTFVLGALKTPNINALIR >A05p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1456323:1464361:-1 gene:A05p003760.1_BraROA transcript:A05p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAISLCLAFLFALCHGSHSLPAQRTPHLDVLLPNGNFEQVPNKSNMRKRQIIGKHSLPHWEISGHVELVSGGPQPGGFYFAVPRGVHAARLGNLASISQYVKVKRGLVYSLTFGVTRTCAQDENIRISVPGQTNELPIQTLFSTNGGDTYAWAFKAKFDVVKITFHNPGVQEDPSCGPLVDAVAIKEILPLRYTRGNLVKNGGFEIGPHVFNNFSTGILIPAKVQDLISPLPGWIIESLKPVKYIDSRHFEVPSGLAAVELVAGRESAIAQIIRTVAGRNYMLSFAVGDAQNGCHGSMMVEAFAGKAAFKLRFESNGKGAFKVGRFRFRADSNRTRLTFYSGFYHTKLHDFGHLCGPVLDSVSVVMTRLLPNGNFEHIPPKSNMKGRQIIGAHSLPHWEISGHVELVSGGPQPGGFYFAVPRGVHAVRLGSLASISQDVKVNRGFVYSITFGATRSCAQDENIKVSVPGQADQLPIQTVFSSDGGDTYAWAFKAMSDVVKITFHNPGVQEDRTCGPLVDVVAIKEMLPLGYNRGGNLVKNGGFEIGPHVFANYSTGILIPARIQDLISPLPGWIVESLKPVKYIDNRHFKVPSGLAAVELVAGRESAIAQIIRTDAGKAYMLSFKVGDAQNGCHGSMTVEAFAGKEPFKLSFVSEGKGIFKTGHFRFVADSDRTRLTFYSAFYHTKLHDFGHLCGPVLDSLLSNGLFERTSLTSDMKGRQIIGTDNLSDWQVSGYVELINSDEPPPGGFNFSLAFGFNAVRLGNSSLISQEVSVKRGLVYSLTFGGTRSCAQDMNIKVSVSGLSDNISIQTMFSTDGGDSYAWAFRAMADVVNVTLENPDVSLDRRNLVKNGRFDIGPYVFAKYSTGVLIPAKSQEDSISPLREWFVESPKPVKYITNSTFDIPTGLPVVELMGGRESAISQVINTLEGRAYLFRFWVGDAHNDCHGTMMVMAFAGNESSNVSFVSKGEGDSKGGFLRFVAVSDLTKITFYSEFNHTKHDDSGDLCGP >A02g511580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30971183:30973887:-1 gene:A02g511580.1_BraROA transcript:A02g511580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVKDATMCPLREALKRGIEISNLTKDDMRIVTLQDFKDTLQEVRPSVSQNELGIYDNWNNQFGTGVETLRRSMISGAGDAFSRRRWPPLTTLLLSTVISLSIAHFVPLLIRVRNVMLSGGKDSQQIFYRRQAPGILVFFTVLTASRRSWWVVSPKSNREDSLATATLRLSETSSGDLPSPQTCLDLEHVHVVGSAFQLLLEYRSVDGIHFPLMGFHYSYRPDLVPCTCVCALTLRPDWDSRSSTTISMPLTSCGGGCLSYCGEERALPPTYTQSKTFTVIVPFISQLELVLADPKNLLVLSVSHPSVALSWNLFVSTILKPRTLDVFVVSLDGLSLTRVGSSVNSPAPLSTIYANPAIDVGGTPLRRPDLFLISLRRQASSSDIPFPHSIIHAWPPPPFRQFKLGLKRSPEDPCHQPPQTYLLLQRFVNLASDVGGNPLRRPVLNHLFMKMVSDVGGNPLRHPALSHQKLVRSVFRCTTLTSSSVVERTSLPCLPSMIGENFSVSFPSFSCSLLTGLLPCGAVRTGPEGAIETTSVFLVGEDCLSTSLVTISQLSDFVVEALSTHSNLVLNSLSTSYEDLSCLFLIVIVVHELSTRGCLILSWLCSICI >A09p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10706804:10710980:1 gene:A09p020150.1_BraROA transcript:A09p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3-kinase VPS34 [Source:Projected from Arabidopsis thaliana (AT1G60490) UniProtKB/Swiss-Prot;Acc:P42339] MGASEFRFFLSCDLNSPVTFRIEKLDGTLPVEKSTVSGVVSGAEDKRPELYVECALYIDGAPFGLPMRTRLNTTGPPYCWNELITLSSKYRDLTAHSQLAITVWDVSCGKGEGLIGGATILLFNSKMQMKSGKQKLRLWKGKEADGSFPTSTPGKVPRHERGELERLEKLMNKFERGQIQSIDWLDRLLLKSLDKIKEQESSKHGNSYLYLVVDFCSFEHRVVFQESGANLLITSPIGSTNEFVTVWDTELGKFNPSEHKQLKLARSLDRGIIDRDLKPSNTERKSIQRVLKYPPTRTLSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIQLMYKWETIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDRSRLSQFLVQRALQNIQLASFFRWYVAVELTDHVYNKRYFSTYDLLEQSMKKLPPDVNGEDGNKLWQSLVGQTGLTAQLVSITREVRNVRGNTQKKIEKLRQLLSGLLSELTYFEEPIRSPLTPSVLIKGIVPGESTLFKSQLNPLMLAFRTEEEGSCKVIFKKGDDLRQDQLVVQMVWLMDRLLKLENLDLCLTPYKVLATGHDEGMLEFIPSRSLAQILSEHRSITSYLQKFHPDEHAPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFYLMAGSTIPDIASDPEKGILKLQEKFRLDMDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >A01p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9120110:9122935:1 gene:A01p018750.1_BraROA transcript:A01p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein NPR1 [Source:Projected from Arabidopsis thaliana (AT1G64280) UniProtKB/Swiss-Prot;Acc:P93002] METIARFDDFYEISSTSFPAAPAPTDNSGSSTVYPTELLTRPEVSAFQLLSNSLESVFDSPEAFYSDAKLVLSDDKEVSFHRCILSARSLFFKAALAAAEKVQKSTPVKLELKTLAAEYDVGFDSVVAVLAYVYSGRVRPPPKGVSECADDSCCHVACRPAVDFMVEVLYLAFVFQIQELVTMYQRHLLDVVDKVNIEDTLVVLKLANICGKACKKLFDKCREIIVKSNVDVVTLKKSLPENIAKQVIDIRKELGLEVAEPEKHVSNIHKALESDDLALVDMLLNEGHTNLDEAYALHFAVAYCDEKTARNLLELGLADVNRRNPRGYTVLHVAAMRKEPTLIALLLTKGANALETSLDGRTALLIAKQVTKAAECCILEKGKLAAKGGVCVEILKQPDNKREPFPEDVFPSLAVAADEFKIRLIDLENRVQMARCLYPMEAQVAMDFARMKGTREFVVTTATDLHMEPFKFVEMHQSRLTALSKTVEFGKRFFPRCSKVLDDIVDSEDLTILALVEEDTPEQRQQKRQRFMEIQEIVQMAFSKDKEDLGKSSLSASSSSTSKLTGTDSRGFFGIIFYMLQPSVHHLKKVSRVPPRTATSVPSNPEGL >A03p039260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16315710:16319658:1 gene:A03p039260.1_BraROA transcript:A03p039260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLEDNTERERSPPLVENGFANGPKATQGNGNDNDTLSYANVLRARNKFSDALSLYETLLERDSNNVEAHIGKGICLQTQSKVNLAFDCFSEAIRLDPHNACALTHCGILHKEEGRLVEAAESYQRALMADASYKPAAECLAVVLTDLGTSLKLAGSTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDSALGCYEKAALERPMYAEAYCNMGVIYKNRGDLEMAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDVSQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVLYKDRDNLDKAVECYQMALSIKPNFAQSLNNLGVVYTVQGKMDAAADTIEKAILANPTYAEAFNNLGVLYRDAGNITAAIDAYEECLKIDQDSRNAGQNRLLAMNYINEGLDDKLYEAHSEKLFYEHRDWGWRFTRLHPQYTSWDNVKDPERPITIGYISPDFFTHSVSYFIEAPLTHHDYTKYRVVVYSAVVKADAKTFRFRDKVLKKGGVWKDIYGIDEKKIASMVRDDEIDILVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPTVDYRITDSLADPLDTKQKQVEELVRLPDCFLCYTPSPEAGPVCPTPALSNGFVTFGSFNNLAKGLSLRCCNQITPKVLQVWARILCAVPNSRLVVKCKPFCSDSIRQRFLTTLEQLGLESKRVDLLPLILFNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSNVGLGRLVAKNEDEYVQLSVDLASDVTALSKLRMSLRDLMAGSPVCNGPSFAVALESAYRSMWRSYCKGEVPSLKRMEKLQREVQEDPLISSRLNVTGEATPSLKANGSAPVPSSLLTQSWQQDNRDYRC >A02p044110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27770471:27784506:1 gene:A02p044110.1_BraROA transcript:A02p044110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGGSGKGFSYSDRQRPKNLPPIRSNRFQKSGNKEVYETKDMENAFVMRVDMPGCSASSFVYRVEEDKNVYFSAHEPDMPEYGHDGRKYEGTLVCNPAVFEAKEAKAELVDGVMWLTVPKIPREEESEHYVLQKMLKLKITSDIERERERGRDYTEKEDEEEEEEEMAEARVLDMSRVPFIPGRIHATNNEFQRYGPKGFMEIKILQNDNLYVRVDLPGVPDDGVRHRVDSVRQKVVFFSGEETLSDGDDKKNAREYAGTAGLGCDCCEITGVDAKMKDGVLRMIVSRVKVKDHDNKCALFLPPNTGKSGRYNMDEPASNMANAVEDHPFVVKGPKRSENSVQRRGDGSVWVSFDLPGVCGDDILVLPNENEVKFYAENKEVYEHDESCRIFMGAVNGAYVCAPGVPLLSHDIAWDAEFGVLTVRITPPERCGECLQGARRNSRDGSGEKTLSDKKNALGYSGTAGLGCDCCEITGVDAKMKDGVLRMIVSRVKVKDHHNKCTLFLPPNTGKSGRYNPDESPWNLAELEDHPFVLKGCKDSSTSEATSDGGRLFSLDLPGVCGDDMLVLPNENEVKFYGENKEVCEHDESCRIFMGAIKGSSFCAPGVPLLSHDIAWDAEFGVLKVRVSPPGSNISSE >A03p022230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9348083:9349223:-1 gene:A03p022230.1_BraROA transcript:A03p022230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSDLNSPESSKPSLSHLAPLEAILFDVDGTLCDSDPIHLIAFQELLQQIGFNNGVPIDEKFFIENIGGKHNSEIALALFPNDVTRGLEFCEEKEALYRKLVAEKIKPLDGLIKLTKWIEERGLKRAAVTNAPKENAELMISKLGLTDFFQAVILGSECEYPKPHPGPYLKALEVLNVSKEHTLVFEDSVSGIKAGVAAGMPVVGLTTGNPASMLMQAKPVFLIENYADPKLWAVLEELDNKGSFQKS >A07p032300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17752868:17755423:1 gene:A07p032300.1_BraROA transcript:A07p032300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLIVAWLLIFGTETVVSHQESGEWSCESDSEIQVLADFRPGLITLDGRNDDWKDIDGSEFPLRPALDPDADHEYPAGQMTVKALHDGRDVYFMLEIDGNYAYDKGENKKCPSVALMFQIGDQATYHDMGGCKEGTDSCTSKACKGFEVDIMHFSIGNAIPGRLYGGNPVDNGEGNGGDRFGHLVDIYAWNPHCRYLDGLGPSGNDSSAQNDWHGAWWHSSFTTQSGYIAEDSPYTTDGQKGTYYFEFSRPLRTMDRLQQDVQFTLGSTAKMSVAFWYPMDSKPWHGSGHYTINCDWIPLDISSGSSLGLTASTVKGSSDGTSISAIVISMISLVVSGFIAYKLFSPKNVAFTPMGNDL >A08p039180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22581633:22584922:1 gene:A08p039180.1_BraROA transcript:A08p039180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVQQRYGITEPISLGGPTELDVVKTRELEKYLQDVGLYEGKEEAVRREEVLGRLDQIVKTWIKTISRAKGLNDQLLHEANAEIFTFGSYRLGVHGPGADIDTLCVGPRHATREGDFFGELQRMLSEMPEVTELHPVPDAHVPLMGFKLNGISIDLLYAQLPLWVIPKDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIENFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNMLASRFFRVYTQWRWPNPVLLCSIDGGSFGLQVWDPRRNPKDRLHMMPIITPAYPCMNSSYNVSASTLRIMTGEFQRGKDICEAMEANKADWDTLFEPFAFFEAYKNYLQIDISAANVDDLRKWKGWVESRLRQLTLKIERYTYDMLQCHPHTHDYQDASRPLHCSYFMGLQRKQGVPAAESEQFDIRRTVEEFKHTVNGYMLWIPGMEIGVSHIKRRSIPSFVFPGGVRPSHASKGTWDSKRRSENRITSTASAATATTTNEASSESKGGSNSSGDGKKRKRGDDETLTDQLKNSKRLSVSVPVENGEGGSPDPSGGSVCSSPPKDCCTNGKSDPVIKDPPESVVVFSKDATESHPIEKIATPQAPSQETEELEDGFDFGNQVIEQISNKDTLLAASATILPSETNTSNGSPFSNEAVEELEVLPMPQPEVMHRASVQQRKPIIKLNFTSLGKTNGK >A02p055680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33718553:33719747:-1 gene:A02p055680.1_BraROA transcript:A02p055680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTAKDIGMKKSASELALQELLTKLLSRQESILDTSPLDPSFDLMNWDYTCELRDSLLKSEILTPAGLFIDAQSSICENLSADSPVSANKPEAKRAARGTVSVYTDDHSDEEDAETEAGQSETTNDPNDVKRIRRMYSNRISARRSRQRKQEQLADLESQVDSLKGVNSTLYKQLIDATQQFRSAGTNNRVLKSDVETLRVKVKLAEDLIARGSLTSSLNQLLQTHLSPPQHSINSLHYTGNNTSPAITVHSDQSMFPGITISGQNSSPGLGNVSSEAVSCVSDIWP >A01p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14957843:14961760:-1 gene:A01p039090.1_BraROA transcript:A01p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW7 [Source:Projected from Arabidopsis thaliana (AT1G59520) TAIR;Acc:AT1G59520] MLNDREETLTRHEILSMVKKHSKSLGKTSLDEQEASDVEMDSNFWHGVFDVYFVRCMESRRRQDDDLLFFVRKLSCKSYGLTDNEDAPAPYFVRRWAPKLDVLLGETLAEVDWRKSFYLNMVAHTSFTVTVAICRLDDHFFVVKTVYASPSRVNFHLDSKKASKEVETTPAYPEICFAVDDFDSTFDAVVLTEKDHCYCVLLNSHDGAAFPSADVKTDKDSSGSNTNTDPRRVKDPKVTLFSGFVSYQMVREAYEGGRNRFGSLLSLGHHSGKADRLYMKGPGGRGEVEVAVSGVIDQSQVVLGPVSPMSSKKSIDLGSIFRKAASVASVAAKHAIAAATASYDEDEMFPLKCCLMSISLPWDTIAHDLLFKGSPPVNME >A06p016890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7572282:7574090:-1 gene:A06p016890.1_BraROA transcript:A06p016890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRNGKSFFLTFYFIITLTLLFISPSDANESPAIVDSTEDVVSTDVAASVTEPTREDALLHKLEELVKNLTEIVSNLDAKLSESKNEISKSDDEAFVGGGRAKAFSVTKYSPFWTERFSFTSAVKLDSDATCINVLPFKDFEGSSKYFAIGDSRGRVYVFLRNGDVLIEFFTTVDSPVTAMVSYFSMFKNSSFVVTGHQNGAVLFHRIHEGSSGEDWNSNSVSMEHVGAFDVDDSADPVTLLEVHHVGRVRYILATDLSGKLTVLTENRTVYGSVIPTSRPLVFLKQRLLFLTESGAGSLDLRSMKIRETECEGLNHSLARSYVFDATERSKAYGFTSDGEIIHVLLHGDIMNFKCRVRSKKKFQMEEPVALQSIKGYLLVINEEKVFAFNVSTQHYVRTAGPRLLFSAGLEEIRSSFLSHRQSSSRNVGVKARPLIASDRENLLVMGLGDGYFGVYKSKLPSLKGEFNTMLWSSPVFFFILFLFGAWHFFAKKKESLTAWGPDDPFTPTAAGQNSSAKEPSFTEPARRSDDLMDLRRRYVGASPYRNDQSSRAPVDGGGYRTTAQDHNNYRGGSGLDSSGFGNRRDSLYGNNKVMDDES >A10g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9164116:9166795:1 gene:A10g503510.1_BraROA transcript:A10g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGFWSGLMGFARFVLWPVLQLKPRSTEARSGVSPSLHHFSSSSPLCFHHPIPHDRNSINGDETTWEVDYVIRFRRKSVFFKDLSLGPNEAQLKFRLIHFWEAWNPVKKTLIGMEMLLIDEQGTVIQGFISPGRIEKYLPDMKRGSVYKLNNFYGSRNKSVFRVADHTVTVSFSWNSELTVLLNCPTHFDADSFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIVEAPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAARDFCKKFNSYEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYEVQPTIDYFSWLGSNPAIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGCSWYYIACSGCHSKVINGPTSLICTSKKCGKVNASGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEAPVPEALISTIGQKHKFCVKVTEHNFSGKTRSLTVTKILPLDPQPTTVSSEDNHTAATSEETSENRVDSADGSKRTCDSSELERVKRPKCGN >A04g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13367039:13369112:-1 gene:A04g506270.1_BraROA transcript:A04g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSAESLDMTYLLEAECELYKDEDDSRILHQVYGDEADDGMPSTCYCGSDAVVATSYTRKDPGRLYLTCENVNDGDCHIWKWWDVAVTEELRDVQTQLRLVKEQAFECDQKLMKLQKVVCELSKKNAVLRNGFALRVCVMVAALLLVGLAVMKSFQELNQSPFRVCIIKSDLIGVECKYFAFHLPNCSVEMYLRLKVAYLRLCVAIRATQNILKTMDPKTSYTNLLFSQSQTTVDLDSPEPFWLGSQEKLSKQKVLERLLGKKEPLTEMETSLQLKLMAEMFSSSQVQQ >A05g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11842858:11844417:-1 gene:A05g504300.1_BraROA transcript:A05g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLLTINLYHLRIHHLKFLLKSSSTIYFECEILHTRRWDFDYKKKGNGKRSDLSFRPEDRTMLYHRPNVEGRRRDVEDRFASFQGYIRGDREEEDGGLEKDLSSTLLRQRRDRESGEELKGLAEPMRKEVDSICVSGCLKLLPPEELEHLPPEELEHLNILERKDSSSPFKKLVYLSGADSSSPVSETVSVHCEFFNENLRFRILDEYKKYVQASTQKVCYVAFGMMLHSQRSKQRVIRLARILL >A03p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5872274:5874264:-1 gene:A03p014820.1_BraROA transcript:A03p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNNIPFLRMFLIFLGLLWFDSFTGLEAATGKLASVPGLYVFGDSLVDAGNNNYLAISISKANYPHNGVDFPNKKATGRFCNGKNAADAIAEKFGLPLPPPYLSLKGPFKEEKKKSAVMTGVNFASGGAGIFNSSDHKLGQAIPLSHQVNHWLSIHQELTSQLGPAEAQNHLSKSLFAVVIGSNDLFDYFGSLKLRQETNPQQYTQSMADELKKQLKRIHDTGARRFLLIGVAEIGCIPGKRAKNSTLHECDEKANTWCSLYNEALVKMLQQLKQEMQSSMTYSYFDNFKSLHDIISNPARYGFTDVTSACCGNGKLNADIPCLPIARYCSDRTKYLFWDRYGHPTEAAARTLVDLMLSDDSQYSSPLTLAQLISS >A02p055190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33421177:33421908:-1 gene:A02p055190.1_BraROA transcript:A02p055190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGDWNCLSCSHLNFQRRESCQRCREPRPRSITDLVSGFASRPISNAFAFNTGPDVRPGDWYCNLGNCGTHNFASRSSCFKCGAAKDEFSRSAAALATGFMELSDGPRRGFFGFGSTSSGGGGGMGRSSWKSGDWICPRSGCNEHNFASRSECFRCNAPKEPDTNPPY >A07p007610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4664936:4672205:-1 gene:A07p007610.1_BraROA transcript:A07p007610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEKKTLSILTEEPKRARFSSSGMSFKGVFAAVSYMASAVLLVIFNKAALSSFSFPSANVITLLQMLSSCFILYVMKYFKIISFCTDKSKSEHDSLLTFVSPRRLVQTIPLAFTYLLYMLVTMESVRNINVPMYTTLRRTTILFTMIMEYFLSGQKHSASVISSVGIILLGAIIAGIRDLSFDAYGYGLVFTANICTATYLALIARTGKSTGLNTFGLMWCNGIICIPFLLFWTNIKGELKAMLSFPHLYSLNFQVVICFSCLLAFLINYFVFLNTTVNSALTHSICGNMKDLFTILLGWLIFVGLPFDWINVVGQSLGFAGSMLYAFLKYKGIRRRQDGDEDEDDDSSDETEPPMARMKMVKMSSLKYTLPWHNIIKSARIAAEKQGNQYILFLLVSHCELKGVISLSC >A06g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8039998:8041202:1 gene:A06g502330.1_BraROA transcript:A06g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIKLVRRKITGFLMKLFRSAHRVDQISAEVSQRLSNAKESASRNVSVQSHEDLARKLQEEMQKNRKSSGSREGSGRRMKDVACPTVHLQVQVPISGSETIECGVCQNPFLVSAH >A04p011290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6802260:6802994:1 gene:A04p011290.1_BraROA transcript:A04p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPGYLLIPHAKQSEHEITTTKYKNRKKRAKRSLIPNLPRTQERSARFLVLATLRRLNLIESQLEITKTESCLIALSAKFAVKTPLTCLSPMTPYILAPRSVYAFIRCLVFLPQNSSSLESWNERRDSSARFGDLGKDRRIGRSLYSKLRGGKSSRNELLSFISFIPFVLQIFSSYSPKF >A02p036020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20538097:20542280:1 gene:A02p036020.1_BraROA transcript:A02p036020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFAKPENALKRADELINVGQKQDALQALHDLITSKRYRAWQKPLEKIMFKYLDLCVDLKRGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFLHLSTDKAEQARSQADALEEALDVDDLEADRKPEDLQLSIVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFDQLKVATELGLWQEAFRSVEDIYGLMCMVKKTPKSSLLMVYYSKLTEIFWISSSHLYHAYAWFKLFSLQKNFNKNLSQKDLQLIASSVVLAALSVPPFDRSQSASHMELENEKERNLRMANLIGFNLEPKFEGRDMLSRSALLSELVSRGVLSCASQEVKDLFHVLEHEFHPLDLGSKIQPLLEKISKSGGKLSSAPSLPEVQLSQYVPSLEKLATLRLLQQVSKIYQTIRIESLSQLVPFFEFSVVEKISVDAVKNSFVAMKVDHMKGVVIFGNLGIESDGLKDHLAVFAECLSKVRAMLYPVPSKESKLGGILPNLADTVEKEHKRLLARKSIIEKRKEDQERQQLEMEREEEQKRLKLQRLTEEAEQKRLAAELQERRKQRILREIEEKELEEAQALLEDTEKRMKKGKKKTLLDGEKVTKQTVMERALTEQLKERQEMEKKLQKLAKTMDYLERAKREEAAPLIEAAYQRRLVEEREFYEREQQREVELSRERHETDLKEKNRLSRMLEFKETFQGEVISRRQAEFDRIRTEREERISQIIRARKQERDIKRKKMYYLTSEEERIRKLQEEEEARKLEEAEKRKKQEAEHKAKMDEIAEKQRQRERELEEKEKKRREEVLKGTDAPPARPAEPAVATAAAPPASGAGKYVPRFKRQTAEASGPAAPTPAAEADRWANRGPPPADDHWGSNRGPSQKSDRWTGGRERAGPPPAEGGDRWVPGPRGSDRPSGGDAWRSGEERRSPFGSSKPRPAQR >A01p048520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27301171:27305980:-1 gene:A01p048520.1_BraROA transcript:A01p048520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTRVPPTSVKQLRLTCKRFFHRIHNGYDQVIELTNKLRCLKNSEDVKISTISHCKGLLLCMTEDNRLVDTKINNNKSLDSYKILSCSHYYRKIPGGNFSIRQGAFEIYEFNSDSWRVTDAISEFSFHRIHNGYDQVIELTNKLRCLKNSEDVKISTISHCKGLLLCMTEDNRLVDTKINNNKSLDSYKILSCSHYYRKIPGGNFSIRQGAFEIYEFNSDSWRVRETMVTPNLPWELESEILSRVPPTSIKQLRLTCKRWYALFKDPISIKKQLGKAATQMILKNDESVFSFSFSFHELFHSQVIKLTGILKSLKDLEDVKVSKIFHCKGLLLCTTKDNRRVLWNPCTGQTRSIQSEPSSNYYLGYENKKKSGYNYKILSCSYYYRGSSRVGKYEIYEFTSDSWRVLDAISDDWLYLWPDVAMSLKGDTYWLDSETNENPSILRFDFITEKFERLPLPSNSDEHNRLVILSTVRDEKLALLCQYSDSTGSLKMKIWLTNSKTDEAKDLSWSEFLVCKVVIYDMTRMKSFLVDEENKKVLCCYKDHGYRTRIYIVGEDTYKNVYREVLQEQRSRVAPRLFSYVPSLVQIPTSQSNPFCKRKR >A01p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4909702:4911318:1 gene:A01p010080.1_BraROA transcript:A01p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSGIGGGGRISRNGVVMKKEGLGFSTEGMRLENPFAFKALQVFTGFGVGCGVGIGSGAPLNLGSIPMVGEVMSAARGATSAFSGATHHVNDALRKLGARNIKAGVGCGVGFGHGFGVGIAVKPSAIHKLQATVMGTASSLMTKLRRTSETTTDQTEIEDQAPQSLTEHKKHLDTKSSYKNNGSPIDSRTFGTRTEKVINSFLDNPILTQQQDTTAEVRQVTQLESESLMLQMVLKHQKLVNELMEENETLRRIIIEDLKVSPKKLKSVSSYVYESPCKDCFQCRRKQRRNR >A02p016700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7490861:7493105:-1 gene:A02p016700.1_BraROA transcript:A02p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSTITIKGILSLLMESISELEDEEGRCVKRVISLGMGDPTLYSCFRTTQVSLQAVSDSLLSNKFHGYAPTVGLPQTRRAIAEYLSRDLPYKLSQDDVFITSGCTQAIDVALAMLARPRANILLPRPGFPIYELCAKFRNLEVRYFDLLPENGWEIDLDAVESLADENTVALVVINPGNPCGNVYSYLHLMKIAETAKKLGILVIADEVYGHLAFGSKPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVTTDPSGSFKDPKIIERFKKYFDILGGPATLSRFRINFYGFSSKDTNITDESFFKKTLNSLKNSSDICYDWINEIPCIDSSHRPEGSMAMMVKLNLSLLEDISDDIDFCFKLAREESVILLPGTAVGLKNWLRITFAADASSIEEAFKRIKCFYLRHAKTQSQTIVDL >A10p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10110046:10111020:1 gene:A10p007200.1_BraROA transcript:A10p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:Projected from Arabidopsis thaliana (AT1G47830) UniProtKB/TrEMBL;Acc:A0A178W398] MILKICDIVRIPPLSKIRFILLQNRQGKTRLAKYYVPLEESEKHKVEYEVHRLVVNRDAKFTNFVEFRTHKVIYRRYAGLFFSVCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMSELEKLE >SC208g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:186952:194957:-1 gene:SC208g500050.1_BraROA transcript:SC208g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVFMEHSFLSLHTHQTTSEALRLSQSLHMISLTILEKLGHDQIIFKTLVRLINTSHTACPLHRTDSPSSLEPRLEGAKLVMILCISMELGCLNHHRESHKTHLSLHNDPCYTSSRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKTFVFTFLVVGELHLLPQSPHNRGRLDRVAFFFIQPRVLLGRPVSFKWVLQPSALHPSCSNSPLHTQWTPFFLFKLGFDDELGTPFVNLKHHSNSSQDKTQLHWDQSLTSSSLITNVSSFITLDRIKTMSNSHKTICNSFKFSFNPCMQADSVNHTKHHYETKLSILITFSHQTKLLKYPGTDSKHFNLSIFNNPQVFNHITHYNQSTTQAHYQDGSKSLDCPPSPSPSVHGHHLDENFSWTRRLGVWSARPLHTPLLPRRITIWPDREQDEEPRTHTPWLQPSSSFTVVTLELLSDPNLL >A05g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27897069:27898690:-1 gene:A05g509270.1_BraROA transcript:A05g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDPNRRRLSVSSHFARLLHRIKDRDRRPTTSLWLLTEIPPPSPPKPTIKTEDESRGPHGVSSHFCRLLRQIKDQNRRPTTSLWLLTEIPPPSPPKPTIETEDESGDPHGVSSHFSHLLRQIKDRNRRPTTSLWLLTEIPPPSWDHQAVTHFQTLCSMKEKDLAVKEKDLAVKERDLAVKERVSKMSLLDSLISKKDSLSEAEEALKQKLLTEMLSI >A02p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5366455:5368306:-1 gene:A02p012290.1_BraROA transcript:A02p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAFRATPDSNYLVPRRSRDQQDTSPDRNRIWTEPRHKPTLNRKVPVVYYLCRNGQLDHPHFIEVTLSSTDGLYLKDVINRLNDLRGKGMASLYSWSSKRSYKNGFVWHDLSEDDFIFPVQGQEYVLKGSEVLDSCLLSNPRSLLGTTSFRDTSSLNPEKNSNSVSPASRRRNQSWSSIDLSEYKVYKATTESTAESTRRVAADAATQTEDRRRRRKPAKEEEVESPASFENQSTELSRDEISPPPSDSSPETLENLIKADGRLILRQNESASEHRTVESLSSGRMRASAVLMQLISCGTMSFKECGPVLLKDHGLSLTGRTVTRGAGDNSLERAEKELASFGRVKLEDKEYFSGSLIETKKELVPALQRSSSYNADRSSRMGPTTEKDEEEAVRAKCIPRKPKSVALRNNGVHQ >A03p057920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25084479:25086041:-1 gene:A03p057920.1_BraROA transcript:A03p057920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKTIERYQKRVKETGINHTRENNSQQARDETYGLTKKIEQLEISKRKLLGKGIDACSIEELQQLENQLERGLSRIRAKKYQLLREEIEKLKEEERNLIKENKELKEKWRGMGAIVVASPSSTLSSAEVNTDSVDNMEVETGLFIGPPEPRQSKKLPL >A09p045440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39921810:39922981:-1 gene:A09p045440.1_BraROA transcript:A09p045440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide formyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31220) UniProtKB/Swiss-Prot;Acc:P52422] MVSRVLFSGQLTFPAKPPRETPIAPLTPSRNVLSFPLRSSVDRFAMRIVKAASFTRTVAKVDEEAISRKKLAVFVSGGGSNFKKIHEGCIGGSVQGDLVLLVTNKKDCGGADYARSNGIPVLLFPKPKRESSDGLSPTELVDVLREYGVDFVLLAGYLKLIPAELVKAFPKRILNIHPALLPAFGGKGLYGMRVHKAVLASGARYSGPTIHFVDEEYDTGRILAQSAVRVIANDTPEELAKRVLDEEHKLYVEVVAAICEERIKWREDGVPLIQSKDNPDDYY >A03p053780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23091437:23093338:-1 gene:A03p053780.1_BraROA transcript:A03p053780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLNSSSSLIKRKDAKSSRTQENSSNMSFAKTKPPTYQFQAKSSVKEMKFTHEKTYKPESETTERWEKLHVLSYPHPKNDSSVPVFVMLPLDTVTMSGHLNKPRAMNASLMALKGAGVEGVMVDAWWGLVEKDGPMKYNWEGYAELIQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDKSGRRNPEYISLGCDSVPVLRGRTPIQVYSDFMRSFRERFDNYIGGVIAEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKSSLQAYAESIGKTNWGTSGPHDAGEYKNLPEDTEFFRRDGTWNSEYGKFFMEWYSGKLLEHGDQLLSSAKAIFQGSGAKLSGKVAGIHWHYNTRSHAAELTAGYYNTRNHDGYLPIAKMFNKHGVVLNFTCMEMKDGEQPEHANCSPEGLVKQVQNATRQAGTELAGENALERYDSSAFGQVVATNRSDSGNGLTAFTYLRMNKRLFEGQNWQQLVEFVKNMKEGGHGRRLSEEDTTGSDLYVGGFVGSKISETVEEAVLV >A09p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17009729:17010903:1 gene:A09p028240.1_BraROA transcript:A09p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISTLLSISAFTLSPSSTKTHLLTAIPTRPFSSPISLVGLNQNSILQSQSYSLFYPSRREFTTRCSSPDGFLREEEEENEIIQLPPSIGANPLKLAICVVFWTAFSLLWFARSGDAKAAADSIKSSTFGLRIAAALRRFGWPDEAAVFALATLPVIELRGAIPVGYWMQLKPTVLTFFSVIGNLVPVPFIILYLKKLASFLAGKSRTASKLLEILFKRVKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWSAVSSNFCGVVLAGLLVNLLVNLGLREAIVAGVVLFFVSTFMWSVLRKIRKSIRPTLP >A05g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20322709:20325233:1 gene:A05g507170.1_BraROA transcript:A05g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDDTKAKEDGSSSVVGDEMAMGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGRDEGEKEKEVGEEGEKEKEVGDEIEPRRNDEEAAIIPSRQHETESHADLIEGPTNLIGGPSNNAQSGQAHADSVEATGATPGAETLKAIEGQLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEEVKSLRLSVPGSDNPAVQDDGDGSDNSESEEEDDDAGGDKESEEEDGGDNNEPDKEDGSDNDVEDAILDISKDVQREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESRKDDGKEAVPVKKVKVDRGDNVRSPIQLRSRAAE >A02g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20876680:20877191:1 gene:A02g507390.1_BraROA transcript:A02g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPIVTGALSMQVQGFCSSVLDGSECTNYGLLSLLVVSPFVLVQVQLSVREGRKLGGTCKLFFTNPIFKNCSSGKLISTGLSSRYHCGFNFAA >A04p007410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8909845:8910912:1 gene:A04p007410.1_BraROA transcript:A04p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMVKKLKNNLSSGSFGKNKERSIKKQRRFLENGSILLNELIADCNGKTIPIRSFSSSQILKATNNFDTSCSIAQEGFYIWYKGVIEDRSYMIKRFSEYKVTDRRVGEVYKDIVLSARMSSHNNFLKLLGSCLEFPFPVLVFEYAEHGVLNHRGGVTTVNGEESLLPLSLRLKIAKEIANALAYLHMAFPKIIIYRDVKPMHVFLDENWTAKLSDMSFSISLEEGKSRIEAEDVLGTYGYLDPLYFATLVVSEYTDVYSFGVLLMVLLSGRSVYFNVSDGDPVGILQYVKGLYEVGKLSEVIDLMVMKDITSAQRLEAEACVLLALRCCRERDEDRPKMIQVAKELKRAETSFL >A03p055240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23812282:23813416:1 gene:A03p055240.1_BraROA transcript:A03p055240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oil body-associated protein 2B [Source:Projected from Arabidopsis thaliana (AT4G18920) UniProtKB/Swiss-Prot;Acc:Q1PE68] MASSDKAPVACPASIGECKEPMGDPTKTTTAILEKGTTTMQSMKPIKQMSLHMCSFACYSHDPGRQIEVHIYDHRVNHDFLQCAVYDSSSSNVLVVTLRIEYIVSEKLFESLSPEEQKLWHSHDYKIQMALLVTPRIPELVAKPELKNLAKSNGKFWCTWQIDRGDRLPLGVPSLMVSPQDVNLGRIKPKLVKKRDEEHGISTESLKPSREGICGPGKKILVADYLRLMSLRQI >A02p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29148933:29149938:-1 gene:A02p046740.1_BraROA transcript:A02p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVHGLNQGRKPLLLRLLLSIGLTKNVSLCLSNSSNRSSAVRHSTSESLRLGHSSQSIASGFLRFWDSLNFMKDKEFVGITVLFLDKKVNSVIRGFTLDERANHYIPSLKGGSIVKVDRFEVVRCSNMYKITDHSFLIRFISLTIINEVIMGASEINLQSRLDCLKIFK >A09p060040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49944748:49946656:-1 gene:A09p060040.1_BraROA transcript:A09p060040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQIKRIENQTNRQVTYSKRRNGLFKKAHELTVLCDARVSIIMFSSSNKLHEFISPNTTTKEIIDLYQTVSDVDVWSAHYERMQETKRKLLETNRKLRTQIKQRLGECLDELDIQELRSLEEEMENTFKLVRERKFKSLGNQIETTKKKNKSQQDIQKNLIHELELRAEDPHYGLVDNGGDYDSVLGYQIEGSRAYALRYHQNHHHHYPNHALHAPSASDIITFHLLE >A07p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2729725:2732611:-1 gene:A07p004670.1_BraROA transcript:A07p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLHLFDFHNNSFSRRVFSHHKTRDEADLEAPRNSFELQVDNLHTFHNDKDKPQSNEFEEEEWYERSCYPIEESMKKKIIEELSKRSNDKHNSPSLVAKLMGMEALPLESTKSTAWINPRHTAKVDQGGGKRNKKERRLASSAVNAMETDDITKPPMRREHPQEEELQRFRREFEAWQADKRSKDCSRIIDSGSEKERLFTRTRSFGRDFNLKSDRTGPTRIVVLRPGLQRVYDYEDSLTTSSGTTMEGSRGSSIEEFLEEVKERLKGELQGRAALKRSSSVRGSGIETPFSERPFPRSESMRSYAASEVQCNAPDSPQDFISRDTRKLLAERVRNVLSKETSSSNRLRPTVSDAESLQKHTDEIEEDSRRNVHKKEPSSPRNLKRSLSAPVSGTSFGKLLLEDRHVLTGAQIMRKHESVITEGEETETETEPVVVDPIRRKERFNLRKKVSSFRSTLRGRIFGKKIRSMIESNSFEDESIKDFVTGSRFNSFYDRNENSTEVPPSPASVCSSTPEEFWRNVDYLSQVSTPDVTVSDENGMPQVFRDISSNLSELRRQINELDSDIQVPTPVEEEAAREIEITVDLGNPDKTFVRDLLVASGLYEGTTDRSLSRWDPFAKPINKSVLEETKENLKKRSNQNQEDEEDIEDNHNILFDLLNEVLTVVLGPVRKSGYRKKLMNSYVFEPTSIRGKYLLESVWRIMSEYLYSQPEKPFCSLDGIIGWDLERCPWSGLIDEEATVLGREVEGMIMSDLVEEVVKDLRAQMV >A04g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1501803:1502540:1 gene:A04g500480.1_BraROA transcript:A04g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAPSSASSVLSLSWRRLVMSEAVCGVGVMALLVSGYVVFSLSTLRIRCRVLRWWWRVGFRRWWCRWVRSQTTERRHRRTSASPRTAGGFFFRARLRSSSLDSMLWFAMGYQSEAVGVVAFMV >A01p020850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10176110:10178787:1 gene:A01p020850.1_BraROA transcript:A01p020850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKTLPTYFCRNCENPLALGEDLISKKFVGASGPAFMFSHAMNVVIGPKIERKLITGSYVVADVMCGENLIDLFGEVDHALKVFDRMAQSIGPRLYSCCNCRNHVGLHDDIISKAFQGRTGRAFLFSHAMNIVVGPKEDRHLLTGLHTVADISCADCNEPLGWKYERAYESSQKYKEGKFIFEKAKIVKEEDW >A05g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10821590:10823097:-1 gene:A05g503830.1_BraROA transcript:A05g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYKWIIQRVGNEMESAFSGFTSSSSQCLSLPRQEHIAIYSHQDDGSWRSGLDHGTRFMWDYQDWGRGRTPDNSKSGRNQSEMIQRKKAGSV >A02g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24505642:24518944:-1 gene:A02g509150.1_BraROA transcript:A02g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEKFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIHGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPSPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRIRIKAGPRATHGLAIKGMTKTPSASSTNPEDTPRPIAKSWEQDWPRSYSLESFWNAPGEDKVKSSINANAADVEARHKSEAHATTQPEHPENSTTTRIYFNPTQENSKQNIYHINNPRKAARDSKPPTASPVKVPGQRSAERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGTFTHPTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRCKGILEVPILNLELRCTSLHHLDDFSFASPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRFEAVDHGFSMARLNGRAQQAQALQNRLASSIRTKKKNFFHELKFEINFLTTDINFRGTNLCLSVPLTNAEWQGVSTDLSQLRNDPTLGREFRVWGTIGDNALRTPHSKEPGTPQHPGCGRTIASSRETRQILRCMILTGWGANCWGQKRLRRNYHPKILGDRISERDKVFLWDSNRTNQARSPRIHAVRSLCSNQTRAKLGRYVATELSQARSLRSDRAIVPLGRYVATERSSRSRPSYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIQNKIYFALFSISYFYRCYSRISGSSGKLGSMSLDGSQWCRPMSMNSHRSTDHDEDRWMDYSSHRSTSSANSTECNTVQILTHEEFAAKHPHPPSPFYEKSIDRLTQPSIDRVSPTSIAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTYRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASRKVINSMDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVPMVIDDHNNTSLDVMHPLDHFASPNHCYQYFAFQPPTRRGHDDYSIGSWADSGFHESFAVETVITSPHEEHTEDLTHFYPCILELKGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQNIYCKCFDGRESFLSRPSFVTTFIGERRSLAWLEPVDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTSETQDLDPDGYARAIDGCKLNVSRKDIADILQTANGAENLFVHQCNIPKYQHKDTKEFYDTSGGIDKSFKQRSRHPTRPSIDVDVPTSVDRRPEFGRRAFDFYGTRKFYSEEKNDTKDTKVDQPEPKLTSNTKLDTTACLGAWYTWIGFFKQVWKDICQKEVNMTWWQPSLRLDSWKPLQSWSMILQI >A08g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8632234:8633396:1 gene:A08g505220.1_BraROA transcript:A08g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQVHLSELKVGRCRETVQDDNSSAQSMEFLLARQSKFAASQVVWMGGVVNSTRSNRFANGLNMTHVAALFYSSTAFAIENHGDVYICLSVFDGIAYKFHIKFQAFGSKPKVLFWYKSRKMLEVIYYVSF >A02g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12092162:12093781:-1 gene:A02g503600.1_BraROA transcript:A02g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSATTGQLSQKEADIKMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPAQKWDVMVDLFFYREPEEAKPEDEDEVAPQAEFGLPAPEYGGGDQWTTAAIPDAAWPGEAQAPIAAAPAGASWNESGAAPAAEGGWDTAVPPTNAAVSGWE >A06p055430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28950583:28956301:1 gene:A06p055430.1_BraROA transcript:A06p055430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKCTIDQIKDVLSGLQSQLDAIQDSKRDTVLDLQSELDAPTQSKKDNAVDKNEEPVEEKRPQTSSWWGRIREAVKKGFKVKKESSAYASDSVEGSQGGRRKSKRNPSQDEKDANLEILKLQIDIRQMKAAFEKLAHFQSNISKSLEIEVPSNKLRAILLKTDSAKSRARDLKEIRRKVLNLKSQIPLLLKKQSSIGLSVTDSQTSEENGQIIETGSDIFLPGLHVSDDFKDSSAFEEVVDKFEVLEFTYMLCLLSFAVFPENKEVKKTMLMYWWIGEGYISYEDSENTVTRILDEFSRKGLVEAVEDERKLKPGGYKMDPHVHAAVIYLASHKGLGLFDLYSKKMQASESHNVCLVQKSSLQPEAKASKMPLKDLNSVFNSSERYPDFTFKWFPEMDSLKVLYLGRWERTAKRHIEVESTEFLKDIKSLKSLRLASFQGISRIENLKKLPCSLPKLVILDLRACYNLEGLPEDIGSLESLIYLDVSECYMLDRMPREISRLKTLQVLKGFVISQSDNEKECAVKHLENLRKLSVTVNKYQFKVEDFMESLKDLKQLESLKIAWGARFPYEEEGGKMEETDEAKGSKETANEENPPKEEKDEKKGQTNEDINEESLKADKVIEGDNGNAAQEEGKKQDKVDAEKSRSEDGVIKASTSSESTNTIENKKTDDKQKEKREKVNPEEGEKKDDVSSKPDMVTEGDEKDTVKSKPDDHQSGDKPEEKRDGEEDKTEGEKKADKVDKKTSPPQESKDTVTSKPDDHQRGGKQEEKRDKIEEANTKDEVKAENSKPDKVDEKTSPPQESKDTVTSKPDDHQRDDKQEEKRDEGEKDIIEEEGKKKDEVKAEMSKPDKKTSPAEDTIKSKADDHQENSKDGERIKAALKKPDDEGEAKTQKLDKKKLSKKVSFSEEPRAPVQKKQATKESGPRKSKLFTITRTETKKHGKGRQEDSGIGTSKLPSSLKKLELECYPEKEPPVWLNPKTFDKLEKLSIKGGNLSSFSDHPSNAENKCSVQILRLKYLHEFKAEWKDLHTLFPQLKLLEKFKCPEVAFCPTDAEKKGR >A03g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15569121:15571036:1 gene:A03g504400.1_BraROA transcript:A03g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCFHFHEKKKAPRDSENSRRRNGDQLTGRDNNKTHPENPDKAENEQNKNNDANNEVTNNIDAQTFTFRELATATKNFRKECLIGEGGFGRVYKGKLEKCDKAVAVKQLDRNGLQGNREFIVEVMMLSLLHHKHLVNLIGYCADGDQRLLVYEYMSRGSLEDHLLELTPDQEPLDWDTRMRIALGAAMGLEYLHDKANPPVIYRDLKAANILLDSDFNAKLSDFGLAKVGPTGDKQHVSSRAQPMFKEPGRFHELADPSLEGVFPEKALNQAVAVAAMCLQEEGTVRPLISDVVTALGFLGTAPDGSISVPRYDDIPSPPQPSGETSGDDSAAAEERERAVAEAMEWGVASRAHSRNPSAAQSLNPSAAPSLNPSASHSRNPSAS >A05p003710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1375294:1380667:-1 gene:A05p003710.1_BraROA transcript:A05p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSTKVLDPAFQGAGQKPGTEIWRIENFEAVPVPKSEHGKFYMGDTYIVLQTTQNKGGAYLFDIHFWIGKDTSQDEAGTAAVKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYTCKGKRAIRLKQVPFARSSLNHDDVFILDTKEKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGRKVANDDDIIPESTPPKLYSIIDGQMEAIDGDLTKSMLENTKCYLLDCGAEVFIWVGRVTQVDERKAASQSVEDYLASENRPKATRVTRVIQGYESHSFKSNFDSWPSGSSAPSNEEGRGKVAALLKQQGVGLKGIAKSAPVNEDVPPLLEAGGKLEVWYVNGKAKTPLPKEDIGKLYSGDCYLVLYTYHSGDRKDEFFLCCWFGKNSTQEDQETALRLANTMSNSLKGRPVQGRIYEGKEPPQFVALFQPMIILKGGLSSGYKNMVEEKGSADETYTPDSIALIQVSGTGVHNHKALQVEPVATSLNSYECFLLQSGTSMFLWHGNQSAHELLELAAKVAEILKPGMTLKHAKEGTESSTFWFALGGKQNFTSKKAASETVRDPHLYSFSINRGKFQVEEIYNFAQDDLLTEDIYLLDTHAEVFVWVGQCVDPKEKQTVFEIGQKYVERAGSLEGLSPKVPLYKVTEGNEPCFFTTYFSWDSTKAIVQGNSFQKKAALLFGTHHVVEDKSSGGNQGLRQRAEALAALNSAFNSSGSKPSYSSQDRSSGSQEGPRQRAEALAALTSAFNSSGPSSKSPPPPRPAGTSQASQRAAAVAALSQVLVAENPKSTDTSPTRRSTSSNPADESKFLSFLSRFKNSLTQLTNLSSSSTDEEADASEEASHETKVEEEVSPAVEEPEAKQEETAEQDDSVIDASGATFTYEQLRAKSENPVTGIDFKQREAYLSEEEFQSVFGMEKEAFKNLPRWKQDLLKRKFDLF >A05p043600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26271490:26273043:-1 gene:A05p043600.1_BraROA transcript:A05p043600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHQSMGSGSRSGRGYEFGRTYVVRPKGKHQATIVWLHGLGDNGSSSSQLLESLPLPNVRDPRRQTHLEIKWICPTAPSRPVSLLGGFPCTAWFDVGEISEDLQDDIEGLDASAAHIANLLSTEPTDVKVGIGGFSMGAAIALYSTTCYALGRYGTGHPYTINLRATVGLSGWLPGWRSLRSKIESSNEAARRAASVPVILAHGTSDDVVPYRFGEKSAHSLAMSGFRQVVFKPYEGLGHYTVPKEMDEVVQWLASRLGLEGWR >A05p049170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28677118:28677744:-1 gene:A05p049170.1_BraROA transcript:A05p049170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML36 [Source:Projected from Arabidopsis thaliana (AT3G10190) UniProtKB/Swiss-Prot;Acc:Q9SS31] MKFAKLNPKRLFRSKDRSTVSKSTASSFSSGAADECNNHSSAVTGGSVTPTSILPEVSAVHSPYSYVEILQAFKLIDRDNDGAVSRHDLESLLTRLGPDPLTEEEIDVMLKEVDCDGDGTVRLEELASRCVDDDQSRGGSDELKETFEFFDADRDGKISAEELLRVFSAIGDERCTLEECERMIAAVDDDGNGFVCFTEFSRMMDLQR >A06p043250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23304229:23312748:1 gene:A06p043250.1_BraROA transcript:A06p043250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRARAFRQTNGKIVKIQVHPTHPWIVTADDTDHVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDSGRHFLVICCENKAIFLDLVTMRGRDVPKSELDNRSLLCMEFLTRSSGGDGPLVAFGSTDGVIRVLSMITWKLARRYTGGHKGSIYCLMNFMASSGEALLVSGGSDGLLVLWSADHGSDSRELVPKLSLKAHDGGVVAVELSRVSGSAPQLITIGADKTLAIWDTMTFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWSIEHPTYSALTRPLCELSSLVPPQVLATHRKLRVYCMVAHPLQPHLVATGTNVGIIVSEFDPRAIPSAAPLPALSGSRENSAVYILGRELKLLNFQISNTANPSLGNNSALSESGMSKGDSGEQLTVKQTKKQIVAPVPHDSYSVLSVSSSGKYVAVVWPDILYFSIYKVSDWTIVDSGSARLLAWDTCRDRFAILESVLPQRMPIIPKGGSSRKAKEAAAAAAQAAAAANAASSASVQVRILLDDGTSNILMRSVGGRSEPVIGLHGGALLGIGYRTSRRISPVAASAISTIQSMPLSGFGNSNVSSFSSYDDGSSQRSTESAPLNYQLYSWDNFEPVGGMLPQPEWTAWDQTVEYCAFAYQKYMVISSLRPQYRYLGDVAISHATGAVWHRRQLFVATPTTIECVFVDAGVSEIDIETMKMKEEIKLKEAQARAVAEHGELALITVEGAQNAKQERILLRPPMLQVVRLASFQNAPSVPPFLSLPRQSRGDGDDMDERRASEVAVGGGGVSVAVTRFPVEQKRPVGPLVVAGVRDGVLWLIDRYMCAHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALHCLLTMSNSRDIGQDGLGLDLSDILSLTAEKKEDVVEAVEGIVKFAKEFLDLIDAADATGHADIAREALKRLATAGSVKGALQGHELRGLALRLANHGELTRLSGLINNLISIGLGRESAFAAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKTLQKEVEQAPSSKTDAASAFLASLEDPKLTSLSDASTKPPIEILPPGMSSIFASISAPKKPLPTLKPQEPTKPLAVEEPAKPLAIEAPPSSEQPQTESAPETAAGPESAAPETAAASESAAPETAAVSESEARETAAGSESAAPETAVAETAEHVDKPVIETVSEPPVVEETPSEEKSDPSSTPNTETALAATEVDSQTIPPPPPPEPVTTTVKPTENAATGRQQVTYPPIRSQPIDFGF >A07p046410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25039668:25043307:-1 gene:A07p046410.1_BraROA transcript:A07p046410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDALKQSRYHMKRCFASFVKGGKRLMKRENLMNEIEKCIEDSLERKKIMEGLFGYILSCTQEAAVVPPFVALAARPNPGFWEYVKVNAGDLTVDEITATDYLKLKETVFDESWANDENALEIDFGAIDFTTPRLTLPTSIGNGADYISKFMSSKLGGKSDKLEPLLNYLLSLNHHGENLMINEDLNTVTKLQKSLMLAVNVVSTYPKHTPYETFSQRLKEMGFEKGWGNTSERVKETMVMLSQVLEAPDSVKLDLLFSRLPTVFNVVIFSVHGYFGQQDVLGLPDTGGQVVYILDQVRALEEELLIRINQQGLGFKPQILVVTRLIPEARGTKCDQELEAIEGTKHSHILRVPFVTEKGVLRQWVSRFDIYPYLERFTQDATSKILQRFDCKPDLIIGNYTDGNLVASLMATKLGVTQGTIAHALEKTKYEDSDAKWKELDPKYHFSCQFTADLIAMNVTDFIITSTYQEIAGSKERPGQYESHTAFTMPGLCRVVSGIDVFDPKFNIAAPGADQSVYFPYTETQKRLTKFHPSIQELLYNEKDNQEHMGYLAEREKPIIFSMARLDIVKNITGLVEWYGKDKRLREMANLVVVAGFFDMSKSNDREEKAEIKKMHDLIEKYKLKGSFRWIAAQTDRYRNSELYRCIADTKGVFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESVAKIGDFFSKCGTDGLYWDTISKGGLKRIYECYTWKIYAEKLLKMGSMYGFWRQVNEDQKKAKQRYIEMFYNLQFKQLTKKVTIPEDKYLPLRLASLRNLLPKKPTSLGGGSKQKEVNETEKMKQESKDGQEHNESTVGGEVREGLLAAEASEKMKKVIETSEETQRLEKMKIAYGQQQQHQGVSTVRNLFWSVVVCLYIFYVLKQRFFGTYSVQED >A08p043150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24088732:24098750:-1 gene:A08p043150.1_BraROA transcript:A08p043150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIKKRLHVRVEHVQQSRCAEEFKLRIKKNDELKAAAKAKGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGYYCSKGARANDTIIDENINNVKERRSTPYTSSSIVVPKRAFCSNFISLKSSEVSKVNIERKSSRSVFQRRPNIETTNKLGAPQQPKMTRITSVSNGERGAQVVAGWPSWLASVAGEAINGWIPRKADSFEKLEKIGQGTYSSVYKARDLETSQIVALKKVRFANMDPDSVRFMAREIIILRRLDHPNVMKLEGLITSRVSGSMYLIFEYMEHDLAGLASTPGVKFSEAQIKCYMKQLLHGLEHCHSRGVLHRDIKGSNLLLDHNNNLKIGDFGLANFYGDHQKQPLTSRVVTLWYRPPELLFGSTDYGVAVDMWSTGCILAELFNGKAIMPGRTEVEQLHKIFKLCGSPSEEYWKGSKLPHATIFKPQQPYKRCVAETFKSLPSSALELVEVLLAVEPDARGTTAHALESEFFTTKPFASDPSSLPKYQPRKELDVKLQKEEARRKKGTISKENELKQVAQESKAVPAPDANAELLASIQKRQEQNNQTSMTEKFNPNEDAAMKNVVMRGSSRSPPPRKELRMQRSFVQRGSAQLTKFSNSVAARDASHFAIANPRWFEDKYNNKKEKQKDGDDCTKDKESTVNKIKRMNISRALVSAGGNLDVMLKEDERQIQAQQMRTRTSQTQELKLLLICLFAALVLIFIVRSTLTTSQQHQTPEETTRSKGCAGACNKLPRSLAQALIHYSTSVITPQQTFKEIAVSSRVLEKKSPCNFLVFGLGHDSLMWSSLNYGGRTVFLEEDEAWIKQIKRRFPMLESYHVTYDSKVNQAENLIEVGKGPECTAIGDPRYSMCQLALKGLPAEIYETSWDLIMVDAPTGYYDEAPGRMTAIYTAGMMARNRERVGETEVFVHDVNREVEDKFSKAFLCEGYMKKQEGRLRHFVIPSYRDGSESNRPFCP >A02p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16065746:16066488:1 gene:A02p031120.1_BraROA transcript:A02p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETPPIHPFVAPLSYLLGTWRGQGEGEYPTIPSFRYGEEIRFSHSGKPVIAYTQKTWKLETGDPMHAESGYFRPKPDGSIEVVIAQSSGLVEVQKGAYNVDKQTITLKSELIGNASKVKETSRGFELVDGKLSYVVHMSTTTNHLQPHLKATLEKL >A05p047040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27814551:27816289:-1 gene:A05p047040.1_BraROA transcript:A05p047040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQNDFIVSLEETNKAPQSIQPLTVVTQHDIAENSSLTVENNTSLMEICLKNNNTQAGPVLWKCSKSLELFFQELCDRTYEITLEKGAKTVSSLHLKHCVETYDVFDFMREVVSKVPDYGQAQGQGQGNATMYDRSISKKRCEMQKVGNAKPSGRGSRGRGLGRGRGGRVARTAERENLNRQMELETAMVEPPPPQDSIQVDVSVSSQQDNEKKDVDGGIAEPNEDTKQQFESPKECIAASNEDTKQQLQSPKEGIDIDLNAESHDLNETKLAPLADTDTASEEYPGWPINGQNRSSTLCKFR >A03p024260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10250388:10251716:-1 gene:A03p024260.1_BraROA transcript:A03p024260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAAQILGWSSGLTIISQRLTKTRNSSSSTISLKLSTFSSSPRRILSFNPTRMSSSQSGSDPLPKSQTFVSVQSSGDVRKIKFCQWCGGPTKHEIPDGEEKLRAICTHCGRIAYQNPKMVVGCLIVHEEKVLLCKRNIQPSHGLWTLPAGYLEVGESAAEGAMRETWEEAGATVDVISPFAQLDIPLIGQTYVIFLARLKNLDFAPGPESIECRLFGLDEIPFDSLAFSSIYVTLNLYLEDLKKGKVKFHYGTINKRPGSSPSDIRAFTLDYHLQP >A05p046710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27688013:27689010:-1 gene:A05p046710.1_BraROA transcript:A05p046710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRKEKSVLASLKPRRFLCQSNEFVHELLRGVRQHFDRFIKDLKPGDLEKAQLGLAHSYSRAKVKEWYSWHFPELVKIVNDNYLCARVSKVIKDKSKLSEEHIPMLTDILGDEDKAKEVVEAGKASMG >A05p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19131178:19132575:-1 gene:A05p033960.1_BraROA transcript:A05p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIGSYGCLCFKHYHSLSKFRSPHSTSPSLLPQRCHSFCIPKLGSSSNNAENGRGRGVTVRASGEDDNFAPIAPVELESPVGQLLDQILRTHPHLLPVTVDQQLDKLSTENDNLKAEPSSSQDILSKRISEVKDKEKRKTLAEIIYCLVVHRFVEKEITMIPQIKPTSDPAGRIDLWPNQEEKLEVIHSAEAFEMIQSHLSSVLGDRTAVGPLSSIVQISKIKLGKLYAASVMYGYFLRRIDQRYQLERTMNTLPKRPDKTRERYEEPSPPYPLWDPDSLIRIQPEEYDPDEYAIQRNEEESSSSYGLRSYVTYLDSDTLQRYATIRSKEAMSLIEKQTQALFGRPDIRILEDGKLDTSNDEVLSLSFSGLAMLVLEAVTFGSFLWDAESYVESKYHFLKA >A07p026130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14956394:14957330:1 gene:A07p026130.1_BraROA transcript:A07p026130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE1 [Source:Projected from Arabidopsis thaliana (AT5G39860) UniProtKB/Swiss-Prot;Acc:Q9FLE9] MSNRRSRQSSSAPRISDDQIIDLVTKLREILPEIGQRRRSDKVSASKVLQETCNYIRNLNREVDNLSERLAQLLESVDEDSPQAAVIRSLLM >A09g518080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55048158:55049082:1 gene:A09g518080.1_BraROA transcript:A09g518080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLPGSRMDFQEVVWTSRKSFLIRWYSSSTNLKVFQIWKTSGMTYLLVVWKSSGSHLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLEVVWTS >A01g511670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34347817:34350944:1 gene:A01g511670.1_BraROA transcript:A01g511670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASAVRYAPEDHSLPKPWKGLVDDLTGYLYFWNPETNVARSGAANGLGNSSPPPPSSSALANDISPDAYCRRHEITVSGGQVPPPLMSFETTGFPPELLREVRCFLLRGANVVHCTNFLRTSKIMQACDRPVNGVMIHFTTGSRQKKRRPPAAGFSAPTPIQAQSWPIAMQGRDIVAIAKTGSGKTLGYLIPAFMHLQRIQNDSRMGPTILVLSPTRELATQIQDEAVKFGRSSRISCTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEIPTKRQTLMYTATWPKGVRKIAADLLVNPAQVNIGNVDELVANKSITQHIEVVATMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLTRNITRQFGAAAIHGDKSQPERDSVLNQFRSGRTPVLVATDVAARGLDVKDIRAVINYDFPNGVEDYVHRIGRTGRAGATGQAFTFFGDQDSKHASDLIKILEGADQKVPPQIREMAARGGGMNKFSRWGPPSGGRGRDSGYGGRGSSFGSRDSGMGSRSSNGWGRERERSRSPERFNRVPPPSSNGSPPRSFHEAMLKHR >A09g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25606789:25614635:1 gene:A09g509140.1_BraROA transcript:A09g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRYGRSVKGLGLGFRIENRQGQEQLEVVEDRLGAVIAERLQGRERHLFGETGSWEARPEEMDTRQRDREMDKVKEMAPGERTPKGTQNQGPGRFSIQDRTWTVVRERHREDSGHGKMCGEWVIGTKRTKSRKGKEAAGGSGPVIGDGANPTQVLPTQTGLVNEKTGEPFATFLPTEVQVDNLGEQQQEGREEEGDSSHVGDETGPGDGAEELAKPSMREVTDVVKAIGTQMLAFTPEFTPFLNSSVGQITPAQATVQATQRAARTAGTASGVARAAAQNGFNRAGRVMWELDGTAAELGQLREAQWEISQYASARGARRTTSLSWDQLAMVRPWYGRSVKGLGLGFRIENRQGQGHEQLEAVEDRLGAVIAERLQRRKRHLFGEPLPNRERQTPEREERTGDPRREPARGFAVPGDTGSWKARPEEMDTRQRDREKEKEKEMAPGERTPKFSGVDGKEDLTRPWPVQYPSPWPLAGLFMDRTWTVVRERHREDSGHEKMCGKWVIVDRCDILIAYCATCELMLD >A03p001110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:586179:590086:1 gene:A03p001110.1_BraROA transcript:A03p001110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIPGDPFSISSSMGGFVHQEQHIHHLQQHIPELNPNSNSNPSAKPNSSSAKKKRNQPGNPDPDADVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIKKKVYICPIKTCVHHDSSRALGDLTGIKKHYSRKHGEKKYKCEKCSKKYAVQSDWKAHAKTCGTREYKCDCGTLFSRKDSFITHRAFCDALTEEGARMSSLSNNPAISTTTSLNFANDSHVINNPNLPHGFVHRGVHHPDINAAISQFGLGFGHDLSEMHTQGSSEMVQMASTGNHHLFPLSSSSFLSDFSDHHYNQQFEIPTTSTNPNLTLSSSSTSQQTSASLPSLHQQHQTLKDSSFSPLFSSSSDKKQNKPLSPMSATALLQKAAQMGSTRSNSTTVPSLFAGPTMASSSSAASPPRSSPMTIQQQLNNFNHNLAPPTSGVTTSSVDNNDPFQSNRSGLNPAQQMGLTRDFLGVSNENHPHQTGRHPFLPQELARFAPLG >A02p024330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12858813:12859867:-1 gene:A02p024330.1_BraROA transcript:A02p024330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKTIQGLEQLDVDISCRHSLLCSSIWGKGFSLSFPIELKNIHLAKHGSDSGVYDKNGRFVESKFEEIFAKHSKTHPDALTNKELKQLLNSNKEANDRKGAIAAFTEWKILYYLCKDKNGLLHKDTVRAVYDGSLFEKLEKQKASKKHA >A01p015460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7545117:7556923:1 gene:A01p015460.1_BraROA transcript:A01p015460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDRRKYCASTVNKYSSMLKLVSAQDPTYRYHNCPNTTTYTRNSTYFANLQTLLSSLSTPDASYSSGFQNDAVGEPPDGVSGRFSCTGGVLPELCRSCVSFPVKDILIRCPNEKEVMILYDQCMLRYSFFRVSVKDPTYVYHVCPNTTTYTRKSTYLAHLQTLLSSLSSRNASYSPGFQNATAGQAPDRVTGLFLCRGDITPEVCRRCVVFAVNETATRCPNEREVTLYYDETLLSSLSSPNASYSTGFQNATVGEPPERVTGLFLCRGDVTPEVCRSCIAFAVNATLYRCPNKREVTLYYDECMLRYSNGNILSTLNTNGNFILWNPQNVTSDRAGFRDMVLSTMNQAATDASNSSRKFEVRRANSPVFQFRTFYRLVQCTPDLTSQDCLSCLNLTINLLPTGNIGGRIIVPSCSSRYELYPFYNESNIGTPQPQPGEDGNSTVIVIAVVVPITVIFLLLVAVFIIWAKRKTTGYETEPLADGEDITTAGSLQFDFKAIEAATNKFSDSNKLGQGGFGQVYKGTFPSGVQVAVKRLSKTSGQGEREFENEVVVVAKLQHRNLVRLLGFCLEGEEKIIAGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFGIDQTEANTRRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKKNSSLYQMDDSAGNLVTYTWRLWSNGSPLELVDPSFQDNYQTNEITRCIHIALLCVQEEAEDRPTMSAILQMLTTSSIALAVPRPPGFFFRSRHEQVGRADPSMHMSALCSVDDASITSVAPR >A03p071220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31336322:31337087:-1 gene:A03p071220.1_BraROA transcript:A03p071220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDCCWLTIFFGYISSSLYLIFAYTIAFRLNMGQDYSYNQPFSSDEFDITSLLQAEADIYADEAESSYNVAGPFQYPPQPKADDGIPTSCYCGGEPVVATSYTSKDPGRRYFTCDNADDGDCHVWKWWDVAVMEEMSDFQRQLRELKDQSDVNVSKLVKLERTVGELSKKEAEVIHGFALEVYEELERITTIVYDLESVCIKVRSLCSL >A03p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5561197:5576211:1 gene:A03p014000.1_BraROA transcript:A03p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISLLPEDLILKILSSVPTKFSVTTSLLSKRWCYLWKHVPKLLFYLGPSDYQRASRLVNTFLLLNKAPVLESMHLSLGQKGSSIDIETWTRVAISRGVRNLLYSRFSATLRLPRSLYTCETLVTLTLIAAVIVDVPLTNICFPSLKALILLFVDFLSDEIVSSLLSGCPVLTELNVSRLSNARVKTFTVFVPSLQCLTIIDIKMGSQAQGDDVGFVIKAPSLNSLTIYSEFSWFCSLVKMPYLVKANVKLPHGDSKKFKGCLTSAKHLSLCLQPPLDPSHIGVFDQLVSLNLCTCSLDWCGLILRHTPKLRVLRFVFFRANVSPKTVNIIKKCRMSYGDSTTQWEQPSSVPQCLTSSLETVEWIDYKGTQTEKEMVMYFLKNSRQLKKVSIRSLASINLNEKHKMLLELASAQRISSECRLLKKTLKIIKEERDHMDRISKLPDALLLEILSYLPTREVVTTMVLSKRWKYLYTFVPTLEYNHNSYPDGEDRSFSRFVYSSLLLHEAPVLKGLSILLGQNTGAIDIGVCVRTAIKRHARQLNIEIDDTCSAETINPVVLPTSLYTGCTMLVSLRLNNVVLMDPSSTVSFPSLKTLVLISVKYPNNESVPKILAGCPVLENLFVNRCPGDNVNLFAVRVPTLKILLLQKVSDIHADGFLIDAPCLELMGINVNTKGFCGIEHNMPKIDAASMCVTCNRTEQILSSLTSLQQLRLCLMTSKDAYPEGIAFNRLVELTLCTCEPEWLNLLMRLLKDSPKLRVLKLEQVHLREAVNPRPCWNEPTHVPSCLLSSLETFQWSQYEGREEEIKVAQFIIRNSACLKNATFYPKSTDPVEKLEMLIKLSVSPRSSSICQLDFGRAAPTAWDIFYHHRLMKRLRQTCHQFVNKTIRLKLLGGKMPPVDRICHLPDALLLRILSEVPTAKDVVATMVLSKRWEPLWKSVPKLVYDDSYQNIDDVGRFSRFVDRSLILHEAPVETLHFKLTQKSLAVDIGVWIAIAVKCSVRDLVIDIDCPAILPRSLYRGSKMLVSLKLKSVTLMDVSSLPSFLTLKTLSLVSVKYPGDEFVRRFLSSCHVLEDLVVEQYLHDNVTIFTVKVPSLKSASLHKSSKRCTESEDGFVIDAPSLEYLNIFYGTIGFCVIENDMPNLVKADVVVAYSSPGVTLSSLTSAKRLFLCLPYSKDAYSVGTVFHCLVHLRICTCETEWLNLLMRLLNDSPNLRFLKLQKCHKIEGTRPCWNDPSSVPECLSSSLETLEWVGYQGSKEEKEVATFILRTGSCLKEVTIITPKSSDSDKKLEMLRELSLSARRSPTCQLIIKEERDHMDRISELPDALLLKILSYLPTREVVTTMVLSKRWKYLYTFVPTLEYDHNSYPDGEDRSFSRFVYSSLLLHEAPVLQVLSFLLGQNTGAIDIGVCVRTAIKRHARLLNIEIDDTCSAETINPVILPTSLYTGCTMLVSLGLTNVVLMDSSSTVSFPSLKILGLQSVKYPNNESVPKILSGCPIIESLFVERCLGDNVSLFVVRVASLKILSVINLSDNHADGILIDAPSLEIMRILENTEVFCGIEHNMPKIETANVCVTCNRTEKILSSLTSLQQLRLCVMTSKDAYDAAYPEGILFNRLVDLTLCIFEPEWLNLLMPLLKDSPKLRFLKLEQVHPPEAMNRRPCWNEPTDVPSCLLSSLEAFEWSQYEGREEEIKVAQFIIRNSACLKNATFYPKSTDPTEKLEMLMELSVSPRSSSICQLDFGRGTPTVRDIFWDHQPLFVFCVSGFQIALMDRISHLPEEIISKILSFLPTRDVMRTMFLSKSWKSLWILVPRLEFDDTHFLRGGTSRAPHYGNFRRFVDRSLLSHAAAGQVLQSLSLRSPHNVTRDDVEIWLRTAVKLGLKELKLVNFIDYSGLGLRSIYTCETLVVLRLEKSTLDVPDHVCLRSLKTLSLIYMTYSSPNSLLRMLPSCPVLEELFLQQTQAYQTNVLSLKIMVPSVKRLSLISEGYRSTGDVNLMIDTPSLKSLQIVDRSGNFSFSEPMNINQVLKANVDVILKRPEKLLHSLASVVHIHLCLSASEVVYPDGCCFHRLKHLEVCTCKSEWLLLFMRLLQDSPVLKVIKINQCHPAINPRPHHWNQPGSVPRCLSSNLEHFEWINYEGTQYEKQLSTYILKTAVFLKKATFTASSGDDYKEKLQMLQELSFSRRASSTCELEEDMDRISLLPNDFLLHILSLLPTKDVPATILLSKRWLNLWKLVSKLNYIERYDNDDHVGFVRFVDRSLLLNTALVLESLHLKLDQQCSDVDVGFWIITAVRRGLRELSFEYCYTIEEPIRLPQSLYTCGTLVALKLQNVSLVDVQFPVCFKLLKTLHLDEVIYLDDETPKKLLSCCPILQVLDLDRAENDNVRRFSITVPSLQKFDYYGRPGSVLVMNTPSLKYFKSLDYASECMIEYLPEILVAHVEVTCSNTDDILRSLASVKRLLLCLSSECLCLLMFFVPHPQFPSGSIFHQLEHLEFCTCETECDLLMSLLQHSTKLRSLKLNETHGNVCGYRTLHWEEPSTVPETMMLVLETFEWRNYRGRNVERELASFVLKHARRLKVATFSPLASTQLDTTLGEKYRMITELARLPRGSTECELVFG >A07p006960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1343093:1344426:-1 gene:A07p006960.1_BraROA transcript:A07p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15690, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G15690) UniProtKB/Swiss-Prot;Acc:Q9ZQE5] MAFRSCRSQKILTIGYLLQLRSSSSQFSWVTKTSTVKNLSTSTAATSDPYQNPQSAASPGFDSQRFQNQRNSPRNPNQWNPQHSGQYYHGNSNQIPNQSNEISCANLKSLEHSKKVHDHFLSSKFTSDPKLNNVAIPMFGECSSVTDSKRVFDHMADKDMDSWHLMMRVYTDNGMGDDALQLFLEMTTKQGLKPNEETFVALFSACASVDAIKEAFLHFDSMENEFGITPRTEHYLGLLDVFGKCGHLVEAEQYIRDLPFEPTAEFWEAMRSYGKLHGDIDLEDYAEELIVDLDPSKSEPIKFLPLHQNHTGTQDEAKEMAAKKEAVYVPDTRCVPHDIDEEAKEQELLYDSWRLDIAYGIRCTPPRKSLTIIKDLPVCNDCHNFIKIMSKII >A03p050650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21759056:21760245:1 gene:A03p050650.1_BraROA transcript:A03p050650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEMVTTGKTCSHCGHNGHKAPTCLNGVNKGSVKLFGVNISSDPIRSPEVTEVTALRKSVSLGNLDALLANDNSNGDPIAAVEDNGYHSDGQIHSKTGRSAHEKKKGKPWSEEEHRIFLVGLKELGKGDWRGIAKKFVTTRTPTQVASHAQKYFLRLNANDKRRRRASLFDMSLEDHQKNKERNSQVMTTDASSSLSKIPPRQPITGSQEPVQVQTQAEISNRFQNLSMDHMPIYPTVPPYYNFPSVMIHPMYYYPNPEHVRYVHPSGIPVPRPLPIGMPQPHANDASRIAKKDGMEPDIGLRPPPPPPQATAETDLAGHGVIHVK >A08p026970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17131930:17134118:1 gene:A08p026970.1_BraROA transcript:A08p026970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAKPRPLLLEKKPVEFVKPLKHTPCGNLSLSTLDNEPINEPMYAYIYVYEPNEKNQNDPVSLLRKALSDLLLYYYPVSGKLVRRKSDGKFQLACIGEGVPFAVATADHDLCSLNYVENFADEVAMQLVHELDVNFQSDNGCHPLSLQVTKFSCGGFTIGIAVTHVLCDGYGVATIFNALTELASGKSELSVVPVWQRERLVGKLDGEPAKVPGADYEGLMATSPYMPSGDMVTETVNIMSENISRLKDTVVLKEHFTTFEILCAYIWKATSRALKLNLDGITILIITVGIRNVLDPPLPEGYYGNAYIDVYVEIPARELEESSISDIAKLVKRAKKSSLNKTHIVEELRNSERLMKEYAKFEGVADGVFLLTDLRNTGLFESMDFGWNKPVNIWPLTPQKYERNFGIIMRPPKLDPSMEGEAKVVMTLPRNAMVNLKKEMAKKVDPWLKLMKPRF >A05p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6734746:6735832:1 gene:A05p015220.1_BraROA transcript:A05p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTAALKAGLTLLALSMIGYILGPPLYWHLTEALVASASSCSPCVCDCSSLPLLTIPKGLSNGSFGDCAKHDPEVNEDTEKNYAELLTEELKQREAASMEKHKRVDTGLLEAKKITSSYQKEADKCNSGMETCEEAREKSEKALVEQKKLTSMWELRARQKGWKEGATKSSVKSKSSVQAS >A05g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10985815:10987501:1 gene:A05g503880.1_BraROA transcript:A05g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKKTQQKEGSLLDWRCSLLTNRNFSTDTDSKRSKALASFTGDIPLAYDDQFEKPVWSWKGILVNIPTKMRHDGLCCTGESGPQLKDELIRRGFNPIRVRTVWDCFGHSGTGIVEFNRHWNGLNDALLFKKAYQEDGHGKKDWLSGGGAADSSLYAWLANTDDCYRAANYIGEYLGKMGDLKSISRFAEEEASKDHKLVVRLNVISENIQSRLRMLDEKISKTSIKLKCETEEKDKILHGYNQDLTGRQQRSTAHFNRIFSDLGKFSSFLLPNLT >A04p025470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15422861:15425595:1 gene:A04p025470.1_BraROA transcript:A04p025470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHATLSHSLILSSSRFSRLGYSTRFLRHPNPLSLPLTRQRFRCYCSDQTSPSVSFSRKRVVSGVQPTGSIHLGNYLGAIKNWVALQDTYETLFFIVDLHAITLPYDPLELRKATRDTAALYLACGVDISKASVFVQSHVRAHVDLMWLLSSSTPIGWLQKMTQFKEKSRKEGGENASVSLLTYPVLMAADILLYKSDFVPVGEDQKQHLELARDLAQRVNNLYGGRKWKKLGGHGGSIFKIPEPLIPQVGARVMSLTDGRSKMSKSAPSDQSRINLLDSKDLIADKIKRCKTDSFVGLEYDNAERPECNNLLSVYQIVSGKTKDEVMEECKDMSWGTFKPLLTDAVVEHLSPIQVRYQEITAESAYLDKVLTEGADKATEIAEVTIRNLKQAMGFYI >A02p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13122800:13125555:-1 gene:A02p027090.1_BraROA transcript:A02p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFFSIISLLLLLFSLSSVVISDGESFQKLPVPENRSGPEAFAFDSTGKGFYTGVSGGKILKYTPETGYVDFAQITESSNSSWCDGVVGTALAGRCGRPAGIAFNEKTGELYVADAPLGLHVVSPAGGLAVKIADSVDGKPFKFLDGLDVDPTTGVVYFTSFSSRYTPIQVLIALGLKDATGKLFKYDPSTKVVTVLMEGLSGSAGCAVSSDGSFVLVSQFTKSNIKRYWIKGPKAGSSEDFTNSVSNPDNIKRIGSTGNFWIASVVNKIVVPTNPSAVKVNSNGEVLQTIPLKDQFGDTLLSEVNEFDGSLYIGTLTGPFAGVLKL >A07p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:448330:449057:1 gene:A07p000830.1_BraROA transcript:A07p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQWPLAMPPHCGPQIRSSCFDILSWFLSKVGSCYVLSKLEAYRLELCPCSYFCHCAGNLSVPDSFGRVVVLVSLTATSSVRPSLTYQHLTGLFELHVVVHVAIVCRMEYGGCSSFCSDRHPVQVVSGPNKCLVSLLRVGWCFEALAELFSGGPLSLIADSSGRPKLPGSDSRLNLVHLFAASCWCGLVEPRDCGASSCVCLAFHNFIEFVFFFLRFVLIH >A01p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:728501:737112:1 gene:A01p001650.1_BraROA transcript:A01p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALNRTLSSSMSLKLNTPTPFPIFAFPNSKPSEIRFSNRWGNAQRKVERSLSHSLPLLDHQSYRRRRDQIRCCGMAGGGDHYHVGVWWDLNTCPLPAGVDPRCVRPCIESALEKLFGRRSAVSIYIYAIGNLEFISSDLLQNISSSGIILTHAPCGMHDLHDFLVDWSEDELKNPHPPGYVMIISSDYKLLYPERFRLFRFTTFVAYPKGVRLLAHLTQLKFLGQEFDEVFAKEFVWETLLSDNLDETLLLSDNNTCDEKPLCICNICCYDYEVCDEFITHLNGLKLCTTASLNIFAKFAIIPPIATITSFSITKVKHIIASWLRNRLKKRRIARAGRQIQNWISSTRETRSNLFEPRQPKEEEEEAARVRASPWGVHDLYEFLNDWSDDELKKKTYPPNYIMMISGDYDMLYPQRFRCYGFTNFVAYPENVPVAAPLDELSLLAEEFDKVFAKEFVWETLLSDNLGETLLLSDEKPLCICNICNDVYQVCDEFITHLKSEEHRNQALKQAACIDRHGKPKYFCQVCNYPVYGEYLLFVHNESEEHIRKLVEKQAQEEEDCQSRKTNPELDLFYERNKKQSL >A06p042440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22831062:22834623:-1 gene:A06p042440.1_BraROA transcript:A06p042440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILKMLMLVAFVGWIFVWIMVSTNVFKNKWTPKMAKYLNTTYFGPQGINLVLLTVPMMFIAVLSSVYLHIQKKPTQTQSKWKIKGRMGRVMMVMNPLGIVTATEFTFSLLFVALLAWALANYLYLSYHVSLHNDDNAKIWQAKFRAFGLRIGYVGHYCWAFLFFPVTRASTILPLVGLTSESSIKYHIWLGHISNFLFLVHTVVFLIYRAMINKLMETFAWNPTYVPNLAGTIAMVIGIAMWVTSLPYYRRKKFEIFFYTHHLYGLYVIFYVIHVGDSWLCMILPNIFLFFIDRYLRFLQSTKRSRLVSARILPSDNLELTFAKTPGLHYTPTSILFLHVPSISKLQWHPFTITSSSNLEKDTLSVVIRRQGSWTQKLYTHISSSIDSLEVSTEGPYGPNSLDLRHDSLILVSGGSGITPFISVIRELIFQSQNQSTKLPDVLLVCAFKHYHDLAFLDLIFPSDISVSDISRLNLRIEAYITQEDKKPETDNNHRLLQKKWFKPHPLDSPISPVLGPNNLLWLGVVILSSFVMFLLLIAIVTRYYIYPVDHNTGSIYNFSYRGLWDMFLGCVCIFIASSIVFLWRKKQNKEGEKESKKQVQSVDIQRPTSSPGSWFCGHERELESVPYQSIVHATSVHFGSKPNLKKILFEAEGSEDVGVMVCGPRKMRHEVARICSSGLAKNLHFEAISFNW >A08g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5595769:5599325:-1 gene:A08g502700.1_BraROA transcript:A08g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVVPYFPKPVMLEERYISHTSNWAYVQSLVCTDVDGDLLNQSLGDKADASVANMVSLIEEDYPFEHNTWSGGDRRRVRLEHQNLVMTMFPPQRRRVMCHKGAGIVVCRVETGGGNLAGNRGMNLIRGHFNREVGVLRTDLQSATTSIRELETAVTTEFENNKKLITGPRYNDEKLPIGGGSPHRQYSPYRDAEHDGGDVFVPPTKGEDNVQLPAEERSMRTQGHEGLSPDPPRPSVPHTKFTDSAPVDVDSHGGLPGDKDTESQGPLPSNVPPPPTHSTGGIDDVAVEPMKSHTHDSHEALPQDLLPPLSQSDTVPDVSGVVNQILSDAGIIKELPRPSPAPATVAAGPSVSSKFVPLNEKETASLGGEIHVSDPVEEASELGVENNDDVDGSSVGDVPAADDAAGDGGRRVSKRKHTSLQ >A07p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11139408:11140036:1 gene:A07p018530.1_BraROA transcript:A07p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g27050 [Source:Projected from Arabidopsis thaliana (AT1G27050) UniProtKB/Swiss-Prot;Acc:P0CJ66] MSRKRENPYTYRHTPARISKRRRPWAPPPSLETNEIIDKPTAKPPPPPALVVSGLPANCSVLELKSRFEIYGSISRIRIDKDGVGSVSYRTAESAEAAIAGSHEPSFGISIDSKKLEVAWATDPLVGEEKEKTSPWLSSKLVRAEMSLRKHGRRNRLASTIVNPRSQSPATRREVKDRDIVAYDDIL >A02p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28640510:28641828:-1 gene:A02p045740.1_BraROA transcript:A02p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVVVPESVLKKRKREEEWALAKKQTAEAAKKTNAANRKLIYKRAEQYAKEYAEKEKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATMNMLRRVEPYVTYGFPNLKSVKELIYKRGYGKLNHQRVALTDNSIVEQALGKHGIICTEDLIHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >A03p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16778172:16780442:1 gene:A03p040260.1_BraROA transcript:A03p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCKVPALIEAQVEMGSVNELEHKSLFRREDDSTQTKEASLMEQGSLSPSFHEHATKSPKNSVIKSIKIVILSNKLNLLLPFGPLAILVHYMVDSKGWVFLLSLIGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNVTELIISIFALKNGMIRVVQQTLLGSILSNMLLVLGCAFFCGGLVFHQKDQVFDKGIAVVNSGLLLMAVMGILFPAVLYYTHSEVHTGSSELALSRFSSCIMLIAYAAYLFFQLKSQSSSYSRLEEEANQNEEASGEDEDPEISKWEAIIWLSILTAWVSLLSGYLVDAIEGASVSWNIPIAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFVVPFCVVIGWMMGEQMDLNFQLFETAMLFITVIVVAFFLQEGTSNYFKGLMLILCYLIVAASFFVHEDPHQDCSGVKQVGSSLQADDFTNTKFWGEV >A04p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14483679:14486743:-1 gene:A04p023810.1_BraROA transcript:A04p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDCGTNGVKSQVISGHREKFIRLESMDSRYSQSSDNTGLNKCTLNIQAPKRFAQGSKTSSGSFKKGFRKGSEGLWSIGRSIGLGVSRAVFPEDLKVSEKKIFDPQDEFLLLCNKLFVASCILAVSVDPLFLYLPFINDKAKCIGIDRKLAIVATTLRTVIDSFYLFHMALRFRTAYVAPSSRVFGRGELVIDPKQIAKRYLQQYFIIDLLSVLPLPQITVWRFLYTSKGANVLATKQALRYIVLVQYIPRFVRMYPLSSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGALWYLLALERNNDCWSKACVKKDDCTRNFLFCGNQNMEGYAAWYTAKSSVLQEMCPVNVTEGEEPPFDFGIYSRALSSGIVSSKKFVSKYFFCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPELRERVRRYDQYKWLETRGVDEENIVSNLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICMRLKPCLYTEKSFLVREGDPVNEMLFIIRGRLESVTTDGGRSGFYNRSLLKEGDFCGDELLTWALDPKSGSNLPSSTRTVKALTEVEAFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYTKRKKLEQLRKEEEEEEEESAARLIAGGSPYSIRATFLASKFAANALRSVHKNRIRKSNLAPPSTKELVKFQKPPEPDFSADC >A05p014640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6412115:6415389:1 gene:A05p014640.1_BraROA transcript:A05p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGKVTSTFSCSFIISTDPTMWKFKPFAQKEPAGLEGRYLEIGSLKVQARNVIAEGGFSSVYLAQDTTHPSKQYALKHMICNDEESLELVMKEISVLKSLKGHPNVVTLYAHGILDMGRGKKEALLAMEFCGKSLVEVLESRGGGAGYFEEKQALAVFRDVCNAVFAMHCQSPRIAHSSDGQWKLCDFGSVSTNHKVFERAEEMGIEEDNIRKHTTPGYRAPEMWDLFRREVISEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPESPKYSSSVTDLIKDMLQGSPDERPDITQVWFRVNELLPFHLQKSLPDQPPEMSSSKSASNSSPAPRRSPPPPSSGEPASSGGLLGAFWATQHAKTSVVTEDNKGMPIFDEPNSHNSHHSNKPSPAATNNRSRVSKDDAFNSFIADFDTAKLDNGNKPAKEVALEAEVERLKEELKRTKSEKAEITAKFEKLSVICRSQRQELQDLKQTLASKTVTSSPSRDSPGMRSTSSTPSRDKGNNNSNNESVRSKSKTASLAPAPASQGFEPWGFETESFRAASQSSGSASTTAQRSVSFGSSSQRLGNTKMRENQKAAQPAGWAGF >A03p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5462878:5465855:-1 gene:A03p013830.1_BraROA transcript:A03p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHVTTTGVNGEITAKNLIRERINEGKKKLKNRKAKTFRGRERRRRKRKRRAPLVIPAVNKTTATAKAETEELLLLMAESNGSVSVDVGTIYLDMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAGPIFPNDSVPSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAMKHRERVAGLILVSPLCKAPSWSEWFYNRVVSNLLYFYGMCGVVKEFLLQRYFSKEVRGNVEIPESDIAQACRRLLDERQSVNVMRFLDAIDRRSDISSGLKKLKCRTLIFIGDQSPFYSEAVHMAANLDRGYCALVEVQACGSMVTEEQPHAMLVPMEYFLMGYGLYRPSCFTESPRSPLSPSCISPELLSPESMGLKLKPIKTRVSA >A09p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17983468:17986946:-1 gene:A09p030260.1_BraROA transcript:A09p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase B2 [Source:Projected from Arabidopsis thaliana (AT4G05020) UniProtKB/TrEMBL;Acc:F4JGL5] MRRSFSFFERFSKAFQDYPSLSRIIVVSTISGGGLIAYSEANGTNGVVEAGTKKKKVVLLGTGWAGTSFLKNLNNSQYEVQIISPRNYFAFTPLLPSVTCGTVEARSVVEPIRNIGRKKNVDTSYLEAECFKIDPASKKVFCRSKQGVSSGKKEEFSVDYDYLVIATGAQSNTFNIPGVEENCHFLKEVEDAQGIRKKVIDSFEKASLPELSEEERKRILHFVVVGGGPTGVEFAAELHDFVTEDLVTLYPKAKDLVRITLLEAADHILTMFDKRITEFAEEKFSRDGIDVKLGSMVTKVNEKDISAKTKGGEVSSIPYGMIVWSTGIGTRPVIKDFMKQIGQGNRRALATDEWLRVEGCDNIYALGDCATINQRKVMEDVSAIFSKADKDNSGTLTLKEFQEAMDDICVRYPQVELYLKSKRMRGIADLLKEAEADDGSKKNIELKIEEFKSALSQVDSQVKFLPATAQVAAQQGTYLAKCFDRMEECEKNPEGPIRMRGEGRHRFRPFRYRHLGSFAPLGGEQTAAELPGDWVSIGHSSQWLWYSVYASKQVSWRTRVLVVSDWMRRFIFGRDSSSI >A06p047270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25125130:25126467:1 gene:A06p047270.1_BraROA transcript:A06p047270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAKRLASVSPPLRNVAPLLQFFRTEAGQPRRRTKSHSPPLKKKEEKSEWWIVDGEMHEIGDHVPLRERFTIPRDNIPNRRRKQLREQFMRRTRLVLKESEHEPWCKKYMELYNELRENWERLYWDEGYSKKIARDHANYESAEEDDEDFNPYRNRRSFNDPTKQEQGFVNRTTQQGDGNWDKVNQIRDKFEYDRERRMREKAFAPMNAAPDARDSNWNAQRQPFDAERYTRD >A02g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24646315:24651578:-1 gene:A02g509200.1_BraROA transcript:A02g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHYRTTAHAYRITITNDTSIASLDVKIETSFLSLASFTSINNGDLDPDFLIDVFGQVVDIGDMLTIQVSGELQVTNSFDASDVKINPSIPESDEYMKLFKLHILVKDNNNNTKMLLLDSEAQKVVCCMAKDGSYNEMEDPELLPVEIAGAVGKTFHFGVQINKDNVWTLEELAKIEAEAAEADACETEEQESDEPVINMSSTKLSDNGVKIHATCKRLFFARVQKLVVGQWRFIENFSLTAAAGNYRPTRHGYIILITSNTNVTNSSLQNDDNFLSLTTFPEIMNGNLDSKFLIDVIGHPIDIGNIQVVPVQGQVQITNAFEISSVEINPPGFILQDYIRLMPNDLTLTAGVPHVVKTIGNKRQPETFKLHLLLKDETGELPVMLLDTIDEHILGVSAEVLLDGSLEEVKDYEDLPNIINDLIGKTFKFGVYVSKDNVDYGCHIFNIGGTWSADEIFSQSDDDNTEDTTHVVVSCDHLPGQDSFVSIENAANTCFSSTPLSKRKVHNEIDDLSSTSKSRFSKIIKVEMNDGE >A09p068500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53655762:53657481:1 gene:A09p068500.1_BraROA transcript:A09p068500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVVKEGDVEKHIGGCMAGFFNIFDRPHLLSPKRFSLPKRLSSSSYSLKTEPSLDSGSHSVYSTPQLRSPAPPEFKQQVVSPRRFSKEAPRLSLDSRAVVDAKGSLKPRQIHADVGSGSPSVIARLMGLEALPDSQQQPLQRSASESRVISRFVDRLNFQNQQSSSTQGVEEDHLSRKEPSKASSTPVPLRRKSFFDTADFFPEPRQVMSRGSESDLETLKQLLEALRLKGLLHSCSTNRNVIIPQSPIRSVASKRDLNKGKTLRRSENSVRTPNRRPTLKDSWRAEHVHTRNLSQRRRPIEDDHEEFNRHGKTLLERCDKLLNSIAEMAASEAAAAGDSQPSPVSVLDASLYQEDSSPSPVMKRTLHFTELLLEDESFTSSSSDSEYDYISDILRASSCLPQESDIFSLLEEKQQYLKGAHERRLTFDAVQEIVGRIRRRGRMVGDADEMLQLTWSEFQKLRKKSSSLPAEEEAADLVGYVCRVLGRDLTEDPWRDFQVETSEAVLVIERLVFKDLVGETIRHMAALNRSASLRRRRRLLF >A08p037020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21624551:21626376:-1 gene:A08p037020.1_BraROA transcript:A08p037020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g22070 [Source:Projected from Arabidopsis thaliana (AT1G22070) UniProtKB/TrEMBL;Acc:Q147Q9] MMMSSSSSNTQAVPFRDMAMYEPFQQSTGWDNTFNTITSNNHNNNNQTSSTVARTQADDNNKGNYTSLYNNSVEAEPSSNNDQGEVQINDKTKRRLAQNREAARKSRLRKKAHVQQLEESRLKLSQLEQELVRARQQGLCVTSDATYLGPAGTMNTGIAAFEMEHKHWLEEQNKRVSEIRTALQAHISDVELKMLVDVCLNHYANLFRMKADAAKADVFFLISGMWRTSTERFFQWIGGFRPSELLNVTKPLTRYSLFTRENVVMPYIEPLTDQQLLEVRNLQQSSQQAEEALSQGLDKLQQGLVENIAVVESLNHGGGAQMASAMENLESLEGFVNQADHLRKQSLQQMSKILTTRQAARGLLALGEYFHRLRALSSLWAARPRN >A07p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:451964:458675:-1 gene:A07p000820.1_BraROA transcript:A07p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALEMARAKDTKERMAAVERLHQLLEASRKSLSPSEVTSLVDSCLDLLKDSNFRVSQGALQALASAAVLAGEHLKLHLNALVPAVVERLGDSKQPVRDAARRLLTTLMEVSSPTIIVERAGSYGWLHKSWRVREEFARTVTSAIGLFASTELPLQRVILAPILQMLNDPNQAVREAAILCIEEMYMQGGNQFREELQRHHLPSYMVKDINARLERIEPQQRSTDSRSSHHAAVNEVKASSVNPKKSSPKAKISTRENSLFGGDPDITEKPIEPINVYSEKELIREFEKIASTLVPEKDWSMRISAMRRVEGLVAGGATDYSCFRGLLKQLIGPLSTQLSDRRSTIVKQACHLLCLLSKELLGDFESCAEIFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIAEAAKHDRNAVLRARCCEYALLTLEHWPDAPEIQRSVDLYEDLIRCCVADAMSEVRATARMCYRLFAKTWPDRSRRLFSSFDPVIQRLINEEEGGSHRRHASPSVRERHSQPSFSQTSAPSNLPGYGTSAIVAMDRSSNLSSGGSLSSGLLLSQSKDLNKGSERSLESVLQSSKQKVSAIESMLRGLHVSDRQNPAALRSSSLDLGVDPPSSRDPPFRASVPASNTLTNKTTAESMPSINRVSNRSGGLGLSDIITQIQASKDSGRQSHRSNLLSESHPSFSSLTAKRVSERNERSSFEDNNDARRFMVGHLDRQQMDNAYRDSTFRDSNASHVPNFQRPLLRKNVGGRLSAGRTRSFDDSQLQVGDMSNYVDGPASLNEALNDGLNSSSDWCARVAAFNFLQTLLQQGAKGAQEVIQNFEKVMKLFLRHLDDPHHKVAQAALSTLADLIPSCRKPFESYMERVLPHVFSRLIDPKEVVRQPCSSTLDIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNRYAGNPEISGNSGILKLWLAKLTPLTRDKNTKLKEASITCIISVYNHYDSAGLLNYILSLSVEEQNSLRRSLKQYTPRIEVDLLNYMQSKKEKLRVKSYDPSDAIGTSSEEGYPGASKKNIFLGRYSGGSADSDSGRKWSSSQEPTTVTGGGVGQSVYSGTQEKLYHNFRSGISSASDGLNQKDSDYTFTSAGHNLISRTSPNGSSDKVENFDSVSPPHLEKNGLDMTNADSLEDRHENEASRELDLSQYMLSSIKVSPTPESGPSIPQILHMINASDGSPSSSKISGLQQLIEASVANEETVWTKYFNQILTVVLEVVDDEDLSVREVALSLISEMLKSQKDAMEDSVEIVIEKLLHVSKDSIPKVSSEAEQCLTTVLSQYDPFRCLSVIVPQLVTEDEKTLVACINCLTKLVGRLSQEELMDQLSSFLPAVFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQVRLVTIYANRISQARTGAPIDPDT >A10p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3126331:3129364:-1 gene:A10p016310.1_BraROA transcript:A10p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 14, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53450) UniProtKB/Swiss-Prot;Acc:Q9LV04] MALCGVSSTPSLRSLEILQSARNSSIGLKRNRSLCHPTNSSYRAKPVRLRCSSSNVEMEEDVGNGSSSVSVEDESAHVMQFKWNDFKILDRVSIGHGGRADELVFEALVQVPDSPLFNQGVVLRKLNTTHAQRRGRRAIEVLKKLVRRRLMYHSYSMQVHGYISNSLRSDDPYSFTLVHGCHGSFSIRHWLQQSDWLPTLEATLALDEESFRRVGDDTTGGPAVSRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFYGDGPSSSNAYSTMDRRQMMIAFDMRCVGFMMAKMVLQELMDPLIFSKLKSFLAKRNDPSSLREFFVTTLNTNSESGNTGVQILDRNWGAGWHLLSSLIATRPSKRISCLDALKHPFLCGPRWRVAPSMDIIRWGLGSTAVRISEEYIYRMPQRQRLTHFIELMEMLNPCPKPNCWLELLPGRWRLLYSTGKHIGLTLRQPSTRALIGNVYLTINRASEDSNNTLLSFTSDIGFTAITSKDWPQDKTGARGKLQTLSQFRLVAGKRLYLKEEKKNISKFSMGEPNAEEGLVEKLGTKKWKKVVPFKEFPSSLPVVKLVSGEIEVTMNMADRIESPGNVIGEVRKQIPQEMFDLSKLVCGTYIDSRLLVLRCVNGLALLFTRSSFGP >A07p034150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18589524:18591554:-1 gene:A07p034150.1_BraROA transcript:A07p034150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHILPHDPSSPSSSSDLSNEAFFITGGLFLEPPDLSSPFFRSISSKCICSDSKPLHFTGHRRNQTRLRSDKNFLFMSLSLSKDEKTVSSGVRRRSGTMNTRKHLWAGAVAAMVSKTFLAPLERLKLEYTVRGEQRNLLVVAKTIATTQGFRGFWKGNLLNVLRTAPFKAVNFCAYDMYRKQLLRLAGNDEATNFERFVAGAAAGITATVLCLPLDTIRTKLVARGGEALGGIAGAFKYMIQTEGLLSLYKGLVPSIASMALSGAVFYGVYDILKSSYLHTPEGRKRLKDMKQQGQELNALDKLELGPIRTLLYGAVAGACTEVATYPFEVVRRQLQMQIGRDKLNALAMGFNIIERGGIPALYAGLLPSLLQVLPSAAISYFVYECMKIVLKVE >A02g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19779533:19780669:-1 gene:A02g506950.1_BraROA transcript:A02g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHSLFYTTGRLECFVLLGSDVMESGWISQTCPVDLSESEYKSCEVLLYTHSPESSRITVNCFCDTEQDHEDTMMGSHPGDRVTACSVRCSILEYLMEMMVIFISLLGSVSLGGFP >A09p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5889018:5891715:-1 gene:A09p011630.1_BraROA transcript:A09p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY2 [Source:Projected from Arabidopsis thaliana (AT2G14820) UniProtKB/Swiss-Prot;Acc:O80970] MKLMKIGSKPDSIKTDGNNVRYVENELASDITINVDGSRFCLHKFPLLSKSACLQKLLSRTDKNNADEVDISGIPGGPTAFETCAKFCYGMTVTLSAYNVVATRCAAEYLGMHETAEKGNLIYKIDVFLNSSLFRSWKDSIIVLQTTKTFSPLSEDLKLVSLCIDAIASKACVDVSHVEWSYTYNRKKLAEENNGADQVRAREVPRDWWVEDLCELEIEFYKRVIMNIKSKGVLGGEVIGEALKAYGYRRLSGFNKGVMEQGDLVKHKTVIETLVWLLPAEKDSVSCGFLLKLLKAVTMLNSGETVKEQLVRRIGQQLEQASVAELLIKSHQESESSLYDVDLVKKIVVEFMARDQNSEIEVEDDDEGFEVQEVKKFPGILSEASKLMVVKLIDGYLTEIAKDPNLPASKFIDLAESVSSISRPAHDGLYRAVDMYLKEHAGITKGEKKRMCKLMDCRKLSVEACMHAVQNDRLPLRVVVQVLFFEQVRASASSGSSTPDLPKGIRELRSCGTYGSSRSVPTVMEDEWDTVATEEEMRALKSEIAALKLQEESGRKSTDKAAVTAISRVKSLIMSRKMFGKKLQGKGGGGEKNNGGGGSDSSESLGSVTEEAAKTATPSRNLTRKVSVS >A06g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18869111:18870086:1 gene:A06g506780.1_BraROA transcript:A06g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHVAETREPKKDVMKKSVRFILEAIHRRTKLSGTTPDRWFSRMGHYTSRLVSRNAYMVGAGGVTIKKTT >A09p028170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16960037:16961615:1 gene:A09p028170.1_BraROA transcript:A09p028170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKEKLKLYSYWKSSCSHRVRIALTLKGIEYEYVPVNPLKRDEFLKINPMGAVPALVDGDVVVSDSLAIIMYLDEKYPVPPLLPRDLHKRAVNYQAASIVFSGIQPYQNTPVARYIEEKTNAEEKTAWVSNAITKGFTALEKLLVSCTGKYATGDEVYLADLFLAPQIHAAINRFQINMEPYPTLAKCYESYNDLPAFQNAVPEKQPDAPASTS >A03g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11020960:11022161:-1 gene:A03g503370.1_BraROA transcript:A03g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIICKIIPLSSHPFLPPTLFSKVHHHFACFFRRPLRRSRSSDPLLSPVCFLLSLSSLCSLISAAGRALRKLRSRISSFRSVASGGEFRRVRTVSVFPMVVAFSLVLGVPAFLFCLWRVDAGWVFFTAVLSVFGRGVCPWLALARSFVAGFLFLFSLGLSVVLPVMARESQSGAIVRCCGPRVTLAFPNKDVGFGGGGRRFSILSACLVVFLRKRCFWPVPLLYMSLGFNGCTRSRVGELEAAIFSTLLRTTASSVVRFSSCCRLSISREPCVSRFEGAFLSGSSWRLVALSVVDSLSGPSR >A05g510490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32337960:32339482:1 gene:A05g510490.1_BraROA transcript:A05g510490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDWSVRKEFKDLILVYVATFEWDGFCLCWAGLKKIRSFSTETEKKKKLSTIRRKTGTTKLVGSSRISARSKTFSPNSTPSSPALVTSPSAPLFPSSYRHDPMILRSTDRLIAFAIMHQCYSSVKPSLNPFISEMIIAACNEQAEKYERASFSTCFSGIATTTLKSIRALLQILKLSAADYIKTFDPLTNEFPELGELQREYGNKADGPSSHVFADYALKKLLHDPDVPRGCDPNSPEYVTDELGGSGSC >A03p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:820194:823995:-1 gene:A03p041850.1_BraROA transcript:A03p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAYSKHIVHIYSYHGGNDLRNHLEVDAHAGNVNDLAFSLPNQQLCFVTCGEDKTIKVWDAVTGNKLHTFEGHDAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGRSCTAMAYCADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYHGLGKRSVGVVQFDTMKNKFLVAGDEFQVKFWDMDSVDLLTTTHADGGLPSSPCLRINKEGTLLAVSTTENGIKILANAEGSRILHSMANRGLESSRGPPGSVAKGPIVGAFGTPSSSTGMSLSMADRSGPGASVAGMNGDNRNLPDVKPRIPDEAEKSKIWKLTEISERSQLRTLRLPDSLIQARVVKLIYTNSGSAILALAENALHKLWKWQKSERNLLGKANSNVPPQLWQPSSGVVMTNDTREGSKEDVVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSSGADSQLCVWSMDGWEKQASKQIQIPSGHSPNPLSHTRVQFHQDQTHVLVVHASQLAIYEAPKLESMKQWIPKESSGSVTDAVYSCDSQSIYAAFDDGSVSILTATTLQLKCRIGPNSYLPSNPSSRVYPATIAAHPSEPNQFAVGLTDGGVHVIEPPGPEGKWGMSPPPENGAGPSVSSAPGSDQQPR >A10p028600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17720414:17725008:1 gene:A10p028600.1_BraROA transcript:A10p028600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRDSSSSDEHETSFNTSDGDKKNYNRHSNHQIQRLEAYFKECHHPDDSQRLKLGEELKLKPKQIKFWFQNKRTQAKTQSEKADNAALRTENMKIRRENEALQAALNTVTCPPCGGPRPGKGERTLYLQNLRTHNTYLREERDRLSSLVNKSEGHSRPSFNALAYHHGPSLYASASNNPHVTYGSSSNYRVEPSSLVKEPYSRERINIGQPPQPRNPIQLQRFQTLSQMEKVTMTEAMVTAMTEVITLIQTEESMWIQSSIDGRLVIDQKNYEKTFTNPSHFKSPSSRIESSKEVAVIPMDAKNLVNMLLDTEKWANLFSTIVSEAKTIHVLESMDPRRNFSKLMYEQLHILSPLLPPREFMILRCCQQLEEDVWVIADVSYHQVAFEFEFETPACVKRPSGCLIQALPNGHSKVTWMEHVEVNDKVRSHRIYRDLLCGGFGYGARRWTATLERMCERLSLYSVSGLPTTDFPGVVDTMDGRKRVMDLGERMLKNFAWILKMPEKSGFSQQTATNSSGVRISVRVNEEVGQPAGLIVCAGLSLCLPLAPLQVYSFLKNLEVRHQWDVLCQASPVTEVARFVTGTDNKNCVNILKPSSATENGALMIIQDSFIDALGGMVVYAPVDLRTAHAAFLGNVDPSSIPILPSGFVISRDGRPPSAAELDGGLDNCKTLLTVAFQILVTGPAMFEEDQMNEWTTKVHTLLSSTIRRVKGMLNCDDAQ >A09g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22759705:22760203:-1 gene:A09g507970.1_BraROA transcript:A09g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSLSSLHDSREIKLRNIIALEQFHYPKHTHVCSYALFLDYLIDTDKDVDLLIEKVAQMVNKLVTVIVDPGSYYYDIAGEVNKSKTILKRVYFGNPWTWTATIGTTFLLVMTLIQT >A03p054350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23331545:23333574:1 gene:A03p054350.1_BraROA transcript:A03p054350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMIESLGSLNKDSFVSLLSKLIGESKLVQNNPPELIPQEDRIVNHVLDSLRPYSTESGGGPLVINHVAYHSGRGNLIVEYPGSVPGKIVSFVGMHMDVVTANPDEWEFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLGESKPVLRSSVVAVFIASEENSSIPGVGVDMLVKDKLLDKLKSGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINAMELGMEGLKEIQSRFYRDFPPHEQEKVYGFATPSTMKPTQWSYPGGGINQIPGDCTVSGDVRLTPFYDVKEVMKKLQEYVDDINTNIEKLATRGPVSKYVLPEENLRGRLTLSFDEASAGVACNLDSRGFHVLCKATEEVVGHVKPYSITGTLPLIRDLKDEGFDVQTSGYGLMATYHAKNEYCLLSDMCQGFDVFVKIISQLEQD >A07p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3006880:3007864:1 gene:A07p004160.1_BraROA transcript:A07p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQTVKKQLEPWCELKDKVVLVTGASSGIGREICLDLAKAGCKIIAAARRVDRLESLCSEINKTGVQAVALELDVSSNAATIQKAVKEAWDIFGKIHALINNAGIRGNVKSCLDLSENEWDNVLTTNLKGAWLVAKYVCVLMRDAKTGGGSVINISSIAGFHRSLAPGALAYACSKSGVDTMTRMMAIELGAYNIRVNSIAPGLLNSDITKDLMQKKWLKVVAERILPLKVNQTVDPGLTSLVRYLLHDSSQYVSGNIYIVDSGTTLPGLPIFSSL >A10g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2843630:2852976:1 gene:A10g500950.1_BraROA transcript:A10g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAEERIETSDESSKQVVTQRLNVRLARSLRSDRAIVPLGRYVATELKPRLGRYVATERPARSLRSDRAPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELSQSSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKIYFALFSISYFLSLLFSCSDCLTCVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPERWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGLTVGVKNDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVPLGRYVATGRSSRSFGRYVATERELSQARLLRSDRALVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSVATDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCC >A06p009840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3530791:3532392:1 gene:A06p009840.1_BraROA transcript:A06p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g13140 [Source:Projected from Arabidopsis thaliana (AT1G13140) UniProtKB/TrEMBL;Acc:Q500V6] MSEASSMSLTERIYNHLCLFDVSLALLGLFVFCCVREKLAKKPGPTTWPVFGVTPEFFFNRNDVYGWVTRCLKTCRGTFLYRGIWLGGSYGAVTCVPANVEYMLKTNFKNFPKGVFFNERFNDLLEDGIFNADHESWKEQRRIIITEMHSTRFVEHSFQTTQDLVREKLLNVMESFAKSQEAFDLQDVLLRLTFDNICIAGLGDNPGTLGSDLPIVPFAQAFEEATESTLFRFMIPPFVWKTMRFLDVGYEKGLRKAVEVVHEFVDKMVVERICKLKEERTLGNRSDVLSRIIEIESHHKKSNEKDPSIVKFFRQFCTSFILAGRDTSSVALTWFFWVIQKHPEVENKIIREVRQILRQRGDHQTSLFTVKELNDMVYLQAALSETMRLYPPIPMEMKQATEDDVFPDGTFLSKGSRVYFAIYAMGRMESIWGKDCEVFRPERWIQAGSFVSDDQFKYVVFNAGPRLCLGKTFAYLQMKTVAASVLMRYSVKVDPDHVVVPRVTTTLYMKHGLKVTISPRTLGEKTHVQLEKR >A05p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6937618:6940497:-1 gene:A05p015570.1_BraROA transcript:A05p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTAGKLGMVTLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKACKLDPTSSGCGVPQFKTALLQRLEHENETTLAGRQQSDARDMQNFYQHYYKRYIQALVNSTNKDDRAQLRKEYQTSSVLFEVLKAVNQTEDVEVPDEVLEAHTKVEEKIQIDVPYNNLPHDPDSQNLAITRFPKIQATVTALCNTRGLPWPAGHEKKLDEDMLDWLQTMFGFQKDNVVNQRENLLLLLTNVHIRQFPRPEQQPKLDDRAVTIVMKKLFKTYKKWCKYLGRKSSLWMPVIQQEVQQRKLLYICLYLLIWGEAANLRFMPACLCYIYHHMAFELYGILAGSVSPMTGERIKPAYGGGDEAFLQKVVTPIDKTVAKDAKRSRGGKLKHSERRHYDDFNESVRCFQLGWPMRDDADLFCQTAEELRKTYRCFSAFALPEADPMKEKVGQMTQRWRRNDDVNDTFDKILKMM >A02p004610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2014628:2015332:-1 gene:A02p004610.1_BraROA transcript:A02p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G11590) UniProtKB/Swiss-Prot;Acc:Q9LYD3] MVSTVSKSTSIDEYDDTIHKERVSQLHSPYSSSDLVSQEIKAEQTDNSKKTKRVKDSGRHPVYHGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGSSAILNFPELVESFPRPVSLSPRDIQTAALKAAQMEPTTSFASSSSSSTSSFESLVLVMDLSRTEAEELGEIVELPSLGPSYYVNVGNEFVFSDSVDYCFYPPPWGELSEDSYGYNQVLSWDL >A07p044540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24290982:24293593:1 gene:A07p044540.1_BraROA transcript:A07p044540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLVFSVLFAFGFVSLPCSVALSRDSSSSAAAAQDPLKLILGSPNFGSWKGAISAESLAPGPSADISDYLVLAAHRTKRPDILRAFKPYLGGWNITNNHYWASVGFTGAPGFILAAVWILSFGSLLVVYHCFKWRVCEKAKGSSYNSRRICLILLIVFTSAAAVGCILLSVGQNKFHTEALDTLKFVVNQSDYTVGILRNVTQYLSLAKTINVTSISVSSDVLGEIEKLNVNLNAAAETIQEKTRDNAAKIKRVFYAVRSALITVATVMLILSFLGLLLSVLRHQHAIHIFVVSGWILVAVTFVLCGVFLILNNAISDTCVAMKEWVDHPHAETALSSILPCVDEQTTNKTLTQSKVVINSIVTVVNTFVYAYANTNPSPGQSSYYNQSGPPMPPLCSPFDSNMEERECGSWELSIGNASSVWESYLCEVTESDVCTTVGRVTPDSYKQLVAAVDQTYALEHYTPPLLSFRDCNFVRDTFESITSDYCPPLERNLRVVNAGLGMISVGVLLCLVLWVFYANRPQREEVFAGPHRPRVKDHHSSGNGLDNNGHSGDETKRYAEVV >A09p078740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58145934:58147345:1 gene:A09p078740.1_BraROA transcript:A09p078740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPDAILQYILSNLTEAKDVASCNCVSKRWKESTDSVKSIKFPRNSFENISDVTASDAIVLKMISSFHRLEELVLYSPFTSKGLASWLMHVCQSLRLLELRMDNLASEEALVEGPLKLDCIGVVKGLETLKLWGVLMMSPPKWDLFPNLRCLEIVGARMDDNALGSALRACPNLSSLLLLACEGIKSISISLPLLEHCKLDFYGQGNSLLSLTAPRIVSLDVQGCSWISVPETSFLKNLSIANVSGRVYMVQFRNLSSLEALSVRGVQWCWDALTTILEQARDVKHLFMKVEFTGNEALQPFPEIDFVEFFKNHPKLQKFDIHGAMFAALCQKNSLKKLETGFAIQCLEEVVITVRSPLNAEQKMNTLESLVRYAKGLKRMVIRVLRMKSNHSSADDFCDDICKFHHMNKHLVHIE >A06p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9726635:9728585:-1 gene:A06p019890.1_BraROA transcript:A06p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVSVPFHILQEDRSIHLIDLTTSHFLSLCFSFTLSLLFFSPLVVKMDMYKDDSSPYCYFHPKEEYVGVCPLCLNERLLVLASKQRSSRTKYSSSSPVINLPKIFTLSSLLSRLDLRHRRKFHPSSDLDVSTSQEDSFISIKFENDGNASWEKKTVSKVCVDNTSSACKEQQSLTTSSTSIIEPNSVKSSLRWRKRIGHLFHVIKLRSGWSTSSRHVASSKVEGTKVRKQGWMVRSVRTLTRRKSRKRTRGLPFTILLCWHVV >A09p032240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19570468:19573998:1 gene:A09p032240.1_BraROA transcript:A09p032240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHPIYTETLSMGGGSSHGFGQQPVPFATSSGSLRVELLHGNLDIWVKEAKHLPNMDGFHNRLGGMLSGLTRRSSSKDEKPSKITSDPYVTVSISGAVIGRTFVISNSENPVWMQHFDVPVAHSAAEVHFVVKDSDIIGSQIMGAVGIPTEQLSSGNRIEGFFPILNSSGKPCKQGAVLSLSVQYTPIERMRLYQMGVGFGNECVGVPGTYFPLRKGGRVTLYQDAHVDDGTLPSVYLDGGVQYRHGKCWEDMADAIRQARRLVYITGWSVYHPVRLVRRNNDPTDGTLGDLLKAKSQEGVRVLVLVWDDPTSRSLLGFKTQGVMNTSDEETRRFFKHSSVQVLLCPRSGGKGHSFVKKSEVGTIYTHHQKTVIVDADAGQNRRKIVAFVGGLDVCNGRFDTPKHPLFRTLKTLHKDDFHNPNFLTTADDGPREPWHDLHSKIDGPAAYDVLANFEERWRKASKSRGLGKLRSASDDSLLSIERIQDIVGLSEASSVNENDPETWHAQVFRSIDSSSVKGFPKDPKEATGRNLLCGKNILIDMSIHAAYVKAIRSAQHFIYIENQYFLGSSFNWDSNKDLGANNLIPIEIALKIANKIRAREKFAAYIVIPMWPEGAPTSNPIQRILYWQHKTMQMMYQTIHKALVEVGLDGQYEPQDFLNFFCLGTREVADGTVQALKSRRFMIYVHSKGMVVDDEFVLIGSANINQRSLEGTRDTEIAMGGYQPHHSWAKKGSRPRGQIFGYRMSLWAEHLGFLEQGFEEPENMECVRRVRQLSELNWRQYAAEEVTEMQGHLLKYPVQVDRTGKVSSLPGCETFPDLGGKIIGSFLALQENLTI >A01p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19591910:19592385:1 gene:A01p026400.1_BraROA transcript:A01p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDSEDQFTFDSSYTPPNTLDFETQQVMARLGAAEEIASQVGDEVVNAGEKQSSKRKLISLVDSEEDSDVEITPTTQTTKPRRPTSFGTASQKPMIQSTLQVGSGSSKQVCSQKKYVPVKSVIRGGKRNKGVSKGSDGRRDTGA >A02p053260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32418353:32420658:-1 gene:A02p053260.1_BraROA transcript:A02p053260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT5G49180) UniProtKB/TrEMBL;Acc:A0A178UKT8] MGFGKDNKKKKCIVAGAVTGLLVIMVVAVAVIANQHAHACKKMPDVNIKMTNKAVEAVCAPTDFKETCVNSFMKASPNSTEPLDLIKLSFNITIQAIKDGVKKSSVELKAKADHETKGSLELCETLMNDAIDDLTKCFDNFAGFSVDQIEKFVYDLRVWLSGSISYQQTCMDAFEEVKSILAQDMKDIFKPSKELTSNSLAMITSMSSMFGKSNITEATGDLGNNARKLLNAEDGFPSWVGPDTRRLMAAPQGGVKPNVVVAKDGSGQYKSINEALKAVPINNKVPFVIYIKQGVYKEKVVVTKQMYHVTFIGDGPTKTKITGSVNFAIGKVRTYLTSSLTVEGDNFVAKNMGIENTAGPPGGQAVALRVSADCVVIFNCQIDGYQDTLYVHSHRQFYRDSTISGTVDFIFGDSIAIFQNCNIVVRKPMGGQGCMVTAQGRTDVRAPTAIVLHNCRIIGEPAYLPVKNINKAYLGRPWKEFARTIVMRTTISDVIDPAGWSAWSGDFALKTLFYAEYENSGPGSNKAQRVKWPGIQRITRPQALGFAPGRFLRGGSWIPQTGVPYLPNLQ >A02g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18350383:18352392:1 gene:A02g506550.1_BraROA transcript:A02g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKPLKFTRKLQTKKKEDITIKLFYEKLFKHCSTCGLMTHENQDCLTKKPALNQLASRENVFDRVRPPRQGGSSRETEERVTDEASYKSLKDGASSTSRVQRSSHSSRVNRTNNARDSRYKPYSYVRGNDADKSQGPVWKEKQRPLRIKEPVKKKSVIDKAHTAGSPVKSGVNRGKSAIEPLGSSQQNKRVDVNVTYRNSSGTQSGGSTVDAGKIEDLIPPYDALKIDALNEHEPDEDDQAGDAEMQDVPIGNELMIMEEDDLLEEELNQIEIQERIEQKHIEPDRLILMIEAKDKQTDEDNEDYAKELTRTVTRSLLTSQTASQRRASPRINAKPLPSQGSGPAAGKHYLSGKEKGI >A02g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22372828:22375344:1 gene:A02g508090.1_BraROA transcript:A02g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHQYQKQQGNSTAILISSYKFGMFDPQRKTLLIDRQQHRFIAQFLSTQTPVSSTDIRSPLSTEATFLSTNIFHPTSIDTLVQKSIDIEPLDMVATLILVRDEKGDRHDQEDHLRNAAGQMIDAQGAAIPYREFDEFRRITLVSIDARLQTSIDERQPEPIDIFSRASIDGTCRVDHILQCREDHDSRGVRSKTPTSGPALFMSASIDKLTPPSIDKERQTAIDRQPPVPIDRCTPLTYRVQQPKIDIARLNALMPQPKPRETTNTHSGDAAEPMEYTYRTRSDLEESDDFEAFWRYLVKASELTIKHDHRSTLKRNNRSMFNRDIDRRQSAQKPVLSKPT >A01p039310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15023598:15025350:1 gene:A01p039310.1_BraROA transcript:A01p039310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNALVGCPKLETLLNLSSTSTLQTPLGLIGVPRNNRTRRGLIHRARCELSPSDSATISALEQLKNSAPDRYTKERSSIVVIGLSIHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHASARMLIIGAGKMGKLVIKHLVAKGCNKMVVVNRSEERVTVIREEIPGVEITYRPLDEMLASAAEADVVFTSTASESPLFLKEHVETLPPASPEIGGVRLFVDISVPRNVGSCVNEVETARVYNVDDLKEVVAANKEDRLRKAMEAQTIIAEESNQFEAWRDSLETVPTIKKLRAYAERIRMAELEKCMSKMGDDINKKTTRAVDDLSRGIVNRFLHGPMQHLRCDGSDSRTLSETLENMHALNRMYGLEKDILEEKLKAMTSGQQK >A06p044370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23852190:23854145:1 gene:A06p044370.1_BraROA transcript:A06p044370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase-1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26030) UniProtKB/Swiss-Prot;Acc:P42043] MEATALSSGLRPLPNPNGYRLSRSCSQRKSLPLARFHSKETPFKKPQGLSFKTNVFEQAHHPVAGDLSYDDTTRSSVAEDKIGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRPFQFLQGAIAKFISVVRAPKSKEGYAAIGGGSPLRKITDEQADAIRLALQAKNVSADVYVGMRYWFPFTEEAVQQIKKDKITRLVVLPLYPQYSISTTGSSVRVLQDLFRKDPYLARVPVAIIESWYQRRGYVNSMADLIQKELQTFSDPKEVMVFFSAHGVPVSYVENSGDPYQKQMEECIDLIMEELKSRGVQNNHILAYQSRVGPVQWLKPYTDEVLVDLGKNGVKSLLAVPVSFVSEHIETLEEIDMEYRELALESGIENWGRVPALGLTPSFITDLADAVIESLPSAEAMVNPSAGVSEDSESSDAFGYIMKMFFGSVLAFLLLLSPKMFHAFRNHLI >A07p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10850535:10851675:-1 gene:A07p018000.1_BraROA transcript:A07p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGDRQSHVQNLNEDMKVNSIWKSNQKNQSLSLFPTEHGLFETSSCSSLDNCKQRGMHVQKWWKEKFGFELDGFGEVDDAEGVFQDAKEKAIKRLFGDLDQSFRFISKLCFTKLIFQSFFQTISQPITLDFYSLSQGITSQRILCLTLNIHPSVACFGAFSQSVAGFNHCRPLIVVVFMGTVLNKEEGNSFLKVNSVTSSYLPENYSSFDTLISEI >A09p016210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8458222:8461306:-1 gene:A09p016210.1_BraROA transcript:A09p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVDTQKEGGGHSWGCVRSLVRRKQVDSAANGHSHHQQLAKALTVSHLVAIGVGATIGAGVYILVGTVAREHSGPSLALSFLIAGIAAALSAFCYAELSSRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALIFGGEDGLPSILARHQIPGLDVVVDPCAAILVFIVTGFLCLGIKESTFAQGIVTAVNVCVLLFVIVAGSYLGFKTGWAGYELPTGFFPFGVDGMFAGAATVFFAFIGFDSLASTAEEVKNPQRDLPIGIGLALFLCCSLYMMVSIVIVGLVPYYAMDPDTPISSAFASHDMQWAVYLITLGAVMALCSALMGSLLPQPRILMAMARDGLLPSLFSDVNRRTQVPVKATLATGFCAATLSFFLDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDELPLPASLHERIDSVSFIPGETKSSNHVGTSSNSTKQPLISENDASVMEKQEALGFWVLSEENRRKVGGWSIMFTCIGAFLLSYSASSLNFPGFIRYPLCGIGGSLLLAGLIALSSIDQDDARHTFGHSGGFICPLVPLLPIICILINMYLLVNLGSATWARVSVWLVIGVLVYVFYGRKNSSLANAVYVTTAHAEEIYREHEASLA >A09g508700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:24279998:24280450:1 gene:A09g508700.1_BraROA transcript:A09g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSFEKIIKRETFAMVLEMQIYTSLVASCVSVIGLFANGEWKMLRMEMEEFHKGHVSYVLTLVGTAVSWQLGSVSAVALIFLVSSLFSNLIGTLSLIVTPLAALVVFDDKLTVAKIAAITFAIPGVAFYMYKNYLDGLKVERASESLAD >A01p054640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30955519:30958969:1 gene:A01p054640.1_BraROA transcript:A01p054640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSLRRLAVSAAVIAAASGGAVYLSPSVASSDRAGGGPVLDSLKRTLGDPSASVPSRSAQESALIKATSSNPLDVLVIGGGATGSGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQLIENAPHLCHALPCMTPCFDWFEVVYYWMGLKMYDLVAGPRLLHLSRYYSAQESAELFPTLARKGKDKSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLITDDDTKRIVGARVRNNLTGKEFESYARVVVNAAGPFCDSIRKMVDEDTKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITPLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAMDPTAKSTESISRDHVVFEEHPGLVTITGGKWTTYRSMAEDAVNAAIKSGKLSPTNGCVTQKLQLLGSHGWEPSSFTALAQQYVRMKKTYGGKVVPGAMDTAAAKHLSHAYGSMAERVATIAQEEGLGKRLAHGHPFLEAEVAYCARHEYCESAVDFIARRCRIAFLDTDAAARALQRVVEILASEHKWDKSREKQEMQKAKEFLQTFKSSKNAQFHDGKHN >A04p024120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14643221:14645510:1 gene:A04p024120.1_BraROA transcript:A04p024120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLCLLFLFFTIFAEATYPPGGFHHLSSSLRQNKKTSKSKSESPFETRYFPQNLDHFSFRPESYKVFQQKYLINRRFWRKGGPIFVYTGNEGDIDWFASNTGFMSDIAPKFRALLVFIEHRFYGESTPFGKKSHKTAETLGYLNSQQALADYAILIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILHFDNIVPLASFYDAISQDFKDASVNCFKVIKRSWEELDAVSTMKHGLPELSKKFRTCKGLHSIYSARDWLMGAFVYTAMVNYATTANFMAPLPGYPVEQMCKIIDGFPRGSSNLDRAFAAASLYYNYSGSEKCFELEQPTDDHGLDGWGYQACTEMVMPMSCSNQSMFPPYENDYEAFEEQCMSKYGVKPRPHWITTEFGGKRIETVLKRFGSNIIFSNGLQDPWSRGGVLKNISSSIIALVTKKGAHHADLRAATKGDPEWLKEQRRQEVVIIEKWISEYYRDLKEEE >A01g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26079167:26088133:1 gene:A01g509590.1_BraROA transcript:A01g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVSEGGSGGRDYEGGVTVFVVITCMVAAMGGLLFGYDLGISGGVTSMDEFLSKFFPQLEKQRVKAKHETAYCKFDDQKLQLFTSSLYLAALVASFVASVVTRKYGRKVSMFTGGLAFLIGALINAFAINVTMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNICFQVAITSGILVANLINYGTSNMAKNGWRVSLGLAAVPAILMVIGSFFLPDTPNSMLERGKYEEAKQMLKKVRGTENVDHEFQDIRDACEAAKKVEHPWKNIRQSKYRPALVFRSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNVLATFVSLYSVDRFGRRFLFLEGGIQMFICQILVGSFIGLKFGTTGTGTLTPATADWILVFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFAGMVAIMTIFIYFLFPETRGVPIEEMGRVWKQHWFWKSYIPDDAVIGGAFVSEGGSGGRDYEGGVTVFVVITCMVAAMGGLLFGYDLGISGGVTSMDEFLSKFFPQLEKQRVKAKHETAYCKFDDQKLQLFTSSLYLAALVASFVASVVTRKYGRKVSMFRWTCFPHRCTNQRLCH >A06p015560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6983814:6986511:-1 gene:A06p015560.1_BraROA transcript:A06p015560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERAD-associated E3 ubiquitin-protein ligase component HRD3A [Source:Projected from Arabidopsis thaliana (AT1G18260) UniProtKB/Swiss-Prot;Acc:Q9LM25] MRISGYGIVVLSVFLFYFIDHSVHARPVVLVLSNDDLNSGGDDPGVGDSSDFDEFGESEPKSEEELDPGSWRRMFEPDDDSTVEAASPQYYSGLHKILSAASEGNDRLMEEAVEEIEAASSSGDPHSQSLMGFVYGTGMMREKSKSKSFLHHSFAAEGGNMQSKMTLAFTYLRQDMHDKAVKLYAELAETAVNSFLISKDSPVVEPTRIHSGTEENKGALRKSRGEEDEDFQILEYQAQKGNAAAMYKIGLFYYFGLRGLRRDHTKALHWFSKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLAAKEGLYSAFNGIGYLYVKGYGVEKKNYTKAKEYFEKAVDNEDPSGHYNLGVLYLKGIGVKRDVRQATKYFFVAANAGQPKAFYQLAKMFHTGVGLKKNLEMATSFYKLVAERGPWSSLSRWALEAYLKGDVGKALILYSRMAEMGYEVAQSNAAWILDKYGERSMCMGVSGFCTDKERHERAHSLWWRASEQGNEHAALLIGDAYYYGRGTERDYVRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALQSDAAAKLPVTLALASLWLRRNYADTFLVRVVDSLPEVYPKVETWVENVVFDEGNATILTLFVCLITILYLRERQRRQVVVPDPVGGDVAQPLDADVAQHLAAFPR >A03p053390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22907490:22909129:1 gene:A03p053390.1_BraROA transcript:A03p053390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRKDEEAAAKEAQIQREEARKRDAQSRLEVLRNVRGLAPLKRPSPEAEKTKDAAPAPAPAEKIVEPEEPKTGHINLFEGIKIFDPIELPKKDGDKPGEEEDHRRKKMRKEAAATARASAKDAAARAGDPDEERYRLGYGVAGKGVKLPWYVENKRKYEDNRVGCGGGEEEEEDDGGRGGESKKKSGKKSLKELREERLKRERVEKERERALFMKQSQRSGGFSRRR >A02p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1605875:1606464:-1 gene:A02p003820.1_BraROA transcript:A02p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLALFKGHVQTRPQLVPRLNPRKVSIVAQSRGLRMASDNAGPVVLITGCSHGGIGHALAREFAENGCRVVATSRSRSKMADLEQDPRMFVHELDVRSEQSVNNVVSNVIDKFGQVDVLVNNAGIQCVGPMAEIPISTMQNTFDTNVFGSKIGFDFDNDI >A10p003240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1640936:1642155:-1 gene:A10p003240.1_BraROA transcript:A10p003240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04530) UniProtKB/TrEMBL;Acc:Q8VZ31] MLKSEPSLSIYCESGLNPEDTATGTDENLDRTVSIGDSVEADFSFAKHSSSSIDALSIKEEDEIERPPSPPMHLAAGLGIDKFDLYGGEIKFDLPSLDDERCGDYYKRMLEEYPLHPLLLRNYANFLEYKGDFSGAEEYYHKCTVVEPSDGVALANYGRLVMKLHQDEAKALSYFERAVQASPEDSNVLGAYASFLWEINDEDDDEVDDDAFGDRTRQGKEDFEPEAGEKRSSRLSETEDGETLCRYAKAFWSINGDKEKALFYFEKAVEASPNDRYSYKYGISLTTQTLTENAIIIVFCGGGSIILGEYARFLWEIEE >A02p054270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32986175:32989620:-1 gene:A02p054270.1_BraROA transcript:A02p054270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWFGRGFLSNSNLLESLIHAAKISTDFKPLSEDLASTLERLIPLSEEIESFQGKLDFAYGDLKELVDTLFQAREVVNTCIEGVSWFQKPILTREIQRIINDMLKFSQTKLQFLQFRTLDDGGLCKRIDGFSVPVYTDLCSVPLPDKDLLGFDYPLMELKKKLLDDSVGSLVVCAPPGVFKHIFYWVTSRTPNLRVMVQHLLLHNGFKDLTFSNDSQAANCLRKLLEELKGNGGILLVFDDVFAGAESLLKTFQINLQDYKILVTSQFEFASFGPTYHLKPLEHQDAKNLLIQLASPLPHHTNPYEFEDLLQKTLKRCNGLPLVIEVVGVSLKGRSLHLWKDQVESWSEGKTILERLQPSFDALKPHLKECFMDMGSFLEDQKICASVIIDLWVELYGTSSSSSIVYMKYLNDLASQNLLKLIPLGKNEQEDGFYNGILVTQHDVLRELAIHQSRLESILETKRLHLKIIKNIFPDWYSNLRQPINARLLSISTDDLFSSSWVEMDCPNVEALILNLSSSDYALPSIIAGMKKLKVLTITNHGVSLAKITNFSCLGSLPNLKRIRLEKASVTLLDLPQLRLGSLQKISFVMCSFHEVFYECVDIDISKALPSLQEIEIDYCYDLDEVPYWVSQVVSLKKLSVTNCYQLSRLPNDIDNLSKLEVLRLASCFNLCELPETTSELRNLRFLDISDCTGLRKLPLEIGKLQKKLKKISMRKCWRCELPGSVVNLENLEVI >SC179g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:749983:760428:1 gene:SC179g500370.1_BraROA transcript:SC179g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEDEVLTIPKGPMTRARARKLKEAIGGLIRKYHGRRSKRRTQIRSRSTGVIGLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPAQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIVFGDLLPSEAKGMHVSAQQDFHYETNWRMLPTLSWIQQTVKRSKWPPDHQDIVNSAKHIGLAKFCELLISNWAGRLQIYLWKPGAYDSTLMILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQIKSGDGDFIRVNGEVITGVGGKLMFSSQNKEKPPDGLSLHQSPNKSARGNYLDSKKRMKPDLLSIGTGQTVLSAILFERTGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKDLSNKLNCNGNYTHQGLTTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDVTFLILIKEAPPDAAYKPKPRKDNFGIRLLLYNDFTCVNLSCFNVSGLSNASGVRKAKWISPFCGNRNSHCCLRTPFEDQAERSSIDRAGQEIELLGRVRLRPSGTSARSLRSDRAGRSLPSDRAGRSLGRYVATELWLELGRYVETERDDRLVAYVATPSFGRARSLLSDRAGRSLSRYVATEAWLELGRYVATELCAWVAEYRSSLGCPRSDSYSSLLVRGRFGNVRCLRTIGI >A03p041650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17380169:17383437:-1 gene:A03p041650.1_BraROA transcript:A03p041650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPSPEPVTVYANPPSHYHQETFAAGEMEYYSWFDEASIQDMNYFVKTITGIKSKGIRPDLIGSIIAHYASKWLPDLSGNVSAVTAPPPPPTESVTASVMKKRFFVETLTGILPPEKDSVPCNFLLRLLRTAKMVGANQNYLTELENRVSWQLDQASIKELMIPSFSHTCGTLLDVELVTRLVKKFAGLDSEGVKTGAALVKVAKLVDSYLAEAAIDGGLTLPELISLAEALPSHARTTEDGLYRAIDTYLKAHPQVMKQERKELCRLIDSRKLSPEAALHAAQNDRLPVRSIIRVLFTEQTKLSRHTDWSPSGSHYSEPGSGPQCLSKREMNVQQAEIKRLRDDVLRLQSECGAMHMQMERLMEKKTSGGGSKGFFRWKRLGLGPSIRGSVSVEKMMNDEEEVGDNGEGFEPRTPGNMKTRLVKGRTTPSRGDLMMLFLTMREEDDGILLTALALSDLSTTLSLPLFSGVFFISKLILLL >A06g509660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28065013:28065213:-1 gene:A06g509660.1_BraROA transcript:A06g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEDVQAQCNEESSKLESQRSSAVIKTKELQSKKTASSTIKQTAKEKFELKLSNPFSALDGLVSS >A06p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14425682:14426072:1 gene:A06p025870.1_BraROA transcript:A06p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGLIRHISVDIDGCGKSFWYMSTFSIKESKKARPIALYRTGSTYLTQQHNHCFSSELKELATTKKRFYDFTIVYNPQTQNWTVNLVAKTICAFHMNLFFFGCSTMA >A05p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28134852:28137753:-1 gene:A05p047910.1_BraROA transcript:A05p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNQTVENLLLLGKIRKRGCSSPTSSTSSVLREGYRFKRAIVVGKRGGSTTPVPTWRLMGRSPSPAASPGYALSQCGSKTGRGGAPAAPVSARKLAATLWEMNEMPSSPRGVEEVRKVRKERIAPLPPPPPRSRSRMERSGTGSRQRRASSTVQKLRLGDHNVVARDRISNASFMDIETRSRGETPTGSTTVGVKTRLKDCSNALTTSKELLKIINRMWGQEDRPSSSMSLVSALHSELERARLQVNEVIREHRPENNDVTYLMKRFAEEKAAWKSHEQEVVEAAIESVAGELEVERKLRRRFEGLNKKLGKELSDTKAALMKAVKEIENEKRARVMVEKVCDELARDISEDKAEVEELKRESFKVKEEVEKEREMLQLADALREERVQMKLSEAKHQLEEKNAAVDKLRNQLQTYLKAKRCKEKTLEPPQTEEYLNHHIGFGSYNIEDGEVEDENEEDSGGESDLHSIELNMENKSYKWPYGEDNNRVGRKSTPSKSLSLQRSISDCVDWVVQSEKLQKSGDGGLDWGRSVEVEPKGYLDETQAYKPSKASSKDHLLSGPRLSNFRGGSVSKSRLSDAAKSENQNARKSRW >A08p002780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1532571:1533764:1 gene:A08p002780.1_BraROA transcript:A08p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQNTASSSLPTQRLLGKVALITGGATGIGESIVHLFHKHGAKVCIVDLQDELGDKLCNRLAVDSACFIHGDVTVEDDISKAVNFTVNRFGTLDILINNAGLSGAPCPDIRNNSLSEFNTVFDVNVEGAFLGMKHAARVMIPAKSLDSMGYIRNVNI >A05g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21579709:21582674:1 gene:A05g507550.1_BraROA transcript:A05g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSLSLNRAVGESPHETSSSHVEKSYWLVRRALSTGNGEETRESPHFLLSPKKLGFATREAILVATPTILVAMPIQSTIVHQIDDVVRSHRAEMDPAEERRHSKKQKDHCDMLGFVADSQYGVPRKCACGGRIIDEVRGKEDYDSLPGKRFFTCVNYEDDGLHYRYPWVVAVQEEIKTLSTRLDEAEEVMKGVWKLNKWIKDLEEQVSTLSEQVDYLTVEVGTLEKVTESSCLDHGLLCLMLLGCCCFFSTDAVYYFVSLYTATMF >A03p032150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13604576:13607200:-1 gene:A03p032150.1_BraROA transcript:A03p032150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVSSVSSSLQAAMINFEFQNSFILFLLSFFSLLSFLGFFFFKKPKVDLKSPPSPPSLPIIGHLHHFLSPLIHKCFQKISSNEIFKSHDVNISSRGLPPIDESLFFGETGFFSAPHGDYWKFMKQLLITKLLGPHAIERLRAVRAEELERFYFRLLEKARKKECVEIRREAMIFTNNSTCKMIVGRTCSEEDGEGERVWGLITESISLTKKVLFTTLLRKPLEKLGISLFKEEIMGISERYDKVLETFLAEHENVAEKQGMNLMDVLLEAKGDEKSEYKITRDQIKALVGVASSSDKGRYFKRVYNLQELFLGGTDTSKQTIQWKMAEIINNPSTMERMREEIDSVVGKSRLIQETDLPNLPYLQGVVKEGLRLYPPIPVFGRRLQEGCVMGGFYVPEKTTLVVNGYAVMRDSDYWENPDDFKPERFLASLGSEQEDATKEKVLKYLPFGSERRGCPGTNLAYIFLGTAIGMMIQCFDWKIEGDKVNMEETLSGMVLTMAHPLKCTPIPRGLPISKGL >SC165g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:94005:98333:-1 gene:SC165g500060.1_BraROA transcript:SC165g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A03p041400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17305586:17308626:1 gene:A03p041400.1_BraROA transcript:A03p041400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQEGDWNYHLRILSNSARDSTDPASDPSVLQSVKKLHGFCKLENSDDLVARIYPQINKVFQRSVASLSQSESGTSKGLLLLAILQFFLDFGDMVLHDADPSLRTFFHSCLSREFSDAAVAEATCEFLIENKRKLLASFPNLLPQFFPLLLKLIAWNWEKLEKSFLKIFPGLISPGSFLPLFPSILDLPMLVVALEKVERSSGSGSRVGGSIASIQKSAAPEMLLALMDEAYTGSTIGDGGDDSESEDNNTIDVADPLFLELLKDENDGLAERHRPSPALNTALQAAASGPRSERMMQTLKIAPRLLDVYFSVTLRDANDSLICALIPLLMARNSTMFPDKNFSHEIRRRLLEFVLAAFQKSPNFIALLKKPIIDRLGEAYDDPAKSRLLCFVVTAIAKLATYHRELLPRARVALGKVVRSRISDARVWRRAHDYLGLMNEPGICWSVLGPSRVSEKRFPGTVNWSEGGDKMVAHIPFHILSEQGGPPFHDFALSDVIIKN >A09p044920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39667342:39669370:1 gene:A09p044920.1_BraROA transcript:A09p044920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPPVEPKVRRFESVEEADDMHSISKSDGIRFRLVSYNILAQVYVKSSLLPHSPPACLKWKARSHAILGVLKKLEADFFCLQEVDEYDSFYRKNMDSLGYSGIYIQRTGQRKRDGCAIFYKPSCAELVAKERIEYNDLLDSVKADSVQETETSNESKGDEHAKDSRKDSRDLNDPLVRLKRDCVGIMAAFKINKPFHHVVIVANTHLYWDPELADVKLAQAKYLLSRLDQFRTLISNEFACTPSLLLSGDFNSIPGDKVYSYLVSGNGKPAEATEEEVEAPVPLCSAYEVTRGEPKFTNCTPGFTNTLDYIFFSPSDFIKPVSILQLPEPESPDVVGFLPNNHHPSDHLPIGAEFEISRNR >A02p060190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35887128:35893142:-1 gene:A02p060190.1_BraROA transcript:A02p060190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSSSPCAACKFLRRKCTQECVFAPYFPPDQPHKFAYVHKIFGASNVAKLLNDLASNQREDAVNSLFYEAEARLRDPVYGCIGLISILQHRLKQLQHDLDNAKKELAAYVAPNAMFPMHHPQPHFMSLPPQPQQPSSASSSASVLTQQQQHLNLLPVAYGGGSISPHHHHNQPQFDILRFNSGFGSVPTGSVTVTGFNQLSSSGTTITGMSPSLALGISNYNINVINNNLFNSYRFKRRSLRRIRIQRARRVEGVSLVHL >A03p037350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15649069:15649558:-1 gene:A03p037350.1_BraROA transcript:A03p037350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDRTRVEEDFRKAVQLDHNSVKARLFFYYWHCMRSFLLLPSYGGLHVGKFDPITREKLDPSKLVPNLAIKEAVAAYLERHVWAYKVGS >A09p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5622083:5624626:-1 gene:A09p011170.1_BraROA transcript:A09p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint protein RAD17 [Source:Projected from Arabidopsis thaliana (AT5G66130) UniProtKB/Swiss-Prot;Acc:Q9MBA3] MGRGNQMVEEEEEEGSYNLRSSRSSAKSKSRSSGGGCRTNPRASKKARLSSHIRLSFEDFDEALSGFKASSSPSYERIKKKAGLWVDKYKPRTLEELSVEQVKLWFEECFDCSKDGVRNNILLLTGQAGVGKSATVHLLASILGVTVYEWNAPIPTLWQEHVHNSSSGLKYSSKLDEFENFVDTSRKYGVISGGTKTQRLVLLIDDLPLANGRHAFERLQNCLTLLVKSTQIPTVVLITDYVKSDSSDQTARTMEDLQSSLERAGALKVAFNPITKNSIKKTLQRISREEHCKVTTAEVDQMVSASGGDIRHAITSLQLFSVKPQLNHTMHSGLDSGISSCFGRDETLSLFHALGKFLHNKREATDNVIISDCSDYLVHNEFARLPLKMDAPEKVLSQAHGQAGRVVDFLHENVLDFVSDEAIEDAWCVSSYLADADLLLAALRGKMSVHNNKTEDVLQSLGASVAVRGVLYGNKQPWSSRWHVIRKPKLWQVEQSSIQTKKNLKEQRNIGYEGSRMADMSVMATEYSPALKWLSYRASADVFSEMEEETDEDKSEVSEDDEIQDW >A09p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19924293:19924573:-1 gene:A09p032930.1_BraROA transcript:A09p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWEMDVDKSQIRLENFSSPMKPAAEETWRRRHEISGGKNMKFTRFDGILLGPGLQSGKIFDDSSN >A06g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30226928:30238225:1 gene:A06g510040.1_BraROA transcript:A06g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSDLMCPLTNRWELEKIRKVLPQYEEAILMLKTSSTHSHDTLFWIPEKSGAYSTKTGYGIGRMGTGTSNSDSDPVNWLKHVWNVKTAPKIKDFLWRLLRKAIPVSANLERRGIASFNCKKCDGQEDDLHVFLKCPLAEQVWSLLPTTQTPSSSLLSIAAMIKQGNSFCPLPPVGVTSPLWPWALWNLWKARNTLMFENRAFTAKEIVLKSIKDAKEWSQAQSINEVPIPRFDSLNPYHQRSPCPPPTFQPGTLVVKVDAAWDAKTGKCGVGGIYTGEIAGLPPLISEAFSHVSSAIMAEAFAVHRAVSSAVYSNVRSLAVLSDSLSLINLLKKGESQPELFGTIFDIYHYVPLFDVISFNFISRSFNSEADLVAKSVLAEYIMNSIGDRRSGMERECGSKKTLFSEDELQKISGVIVGDDYVEVMCGCTSHRYGDAIARLRVFSDGDLQITCQCTPACHEDKLSPAAFEKHSERETSRNWRNNVWVFIEGDKVPLSKTVLLRYYNQTLKNNSNGSKVTHRDEFVGCSRCGKERRFRLRSRGECRKHHDALAEPNWKCCDYPYHKITCDEEEERVSRKVYKGCTRSPSCKGCTSCVCFGCNLCRFADSKTFLVWALAPINPNVEGSGLIDFPVNWTNLMGLTCLPPIGLSAEVLIPWLLWSLWIARNNLLFNKKTAAPEDIISRAVGSAREWLNAQEPAELSPRSRPIVERPIDNCHRLQSDAAWREDTGIAGLGWTIKKNTERASFGSHCYFVASPMVAEALALREAIFKSKELGIQRLRRETDSLQLVKAITAKKPPPDIYGIVSDIISLISEFELIQFRWIPREQNKDADVLAKQALLLETNVMNPTLRGF >A09g515990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47375045:47382083:-1 gene:A09g515990.1_BraROA transcript:A09g515990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSVSMPCDQVVNQVSQCLSDKGSYIYDLSMNLAALEKDMEVLKAKRDDVQGRVNREEFTGCRQRLAEVQVWLKNVLDIEDEFKDLLSTSTVELQRLCCCGLCSKNVEMSYSYGKRVIRMLKIVKSTSSEGKFDVVTEKVQVTEVEEMPIQPTIVGHEALLERVWNRLMDDGVGVLGLHGMGGVGKTTLLAQINNKFTKARGSFHVVIWVVVSKNLDIHNVQEDIAKKLGLWNEEWDKKNVNRRALDIHNVLKRRKFVLFLDDIWAKVNLPTIGVPYPDVVNGCKVAFVNLLPTACERLDYSLTAFTTRSRDVCGRMEVDELMEVSCLGPDKAWELFQKKVGERTLKIHADIPDLARQVAGKCSGLPLALNVIGETMSCESTVQEWRRAVDVLTLSAADFSGMKDEILPILKYSYDSLNGEVVKSCFLYCSTFPEDYLIDKERLVDYWICEGFIDESQSRERAINQVYEILGTLVRACLLVEGEMNNISYVTMHDVVRDMALWIASDLGKDKEIYIVQAGVDLRNMPDVKNWKGVKKMSLMRNNIERICGSPECAQLTTLFLQKNQSLLQLISLRYLDLSRTSLEQFHVGSQELTKLIHLNLESTRKLKSISGIANLSSLRTLGLEGSNKTLDVSLLKELQLVEYLENLTIEFSSGMVLEQLLSCHMLDAEWGKYRLRGQLQVSKTSPESIYVFAIVLKI >A04g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10277658:10277992:-1 gene:A04g504820.1_BraROA transcript:A04g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFMTKYLNKSSTSLKLMERGESQIIFSDDEQVNPKNRASTVLAQQLAFKSDNKNFKVAVSE >A02p016400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7354804:7356570:-1 gene:A02p016400.1_BraROA transcript:A02p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNNNIVHICDTCRRQFPTLKALYGHQRVHTRERELERQLKRTKPSYPPGQGTSYGFSPGTPLVTHNHNHPTFSNFNRPGISFGPFKSGGGGNSRGYPLFNHGNTMAPPANPYRSLTQNTSYGSSSRVPLPSFRYNNSLGSANSIGRFSNNNSSQGELSLELSLGPSKSMGDSNSNSSSQGSLSLELSLGPSKSMSGNNNNSSAYASLNCGVTGGGNMYTNMPVRPRVPGYHFYRNNPIDSITRNVPLSHPPPTTNTMNRLVRPRASRFHFHGHNPLDSIIRNVPLSQPPPTINIPDDNNVSGTSLIVKEKDKTVVLDDDEKDDVVIVDHDEDQQEEVEAKSCGRDSIIRNVPLSQPPPTTNMNKLVRPRASRFHFHGHNPLDSIIQNVPLSQPHPTTNIPDDNNVSGTSLIVKEKDTTVVLDDDEKDNVVIVDHDEGQQEEVEAKSCGRGSIISNVPLSQPPSTTNPPDDNNVSGSSLIANEKDKTVVLEDDEKDNVVIVDHGEDQQEEVEAKSCGRDSFISNVPLSQPPSTTNLPDDNNVSGSSLITKEKDKTVVLDDDETDNVGILDHDEDQQEEVEAKSCGRD >A05g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21658050:21662680:-1 gene:A05g507600.1_BraROA transcript:A05g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPLLQKVRIQEDIESDKKTRVNGGDDDGPVTFVLLLTTVTALWGTFSYGTAAGFTSPAQTGMMEGLNLSLAEFSFFGSVLTIGGLVGAALSGRFADLFGRRGALWVSNSFCMAGWLMIAFSQATWSLDIGRFLLGVASGVTSYVVPVYIVEIAPKRIRGAFSAVSMLVMCASMAFSFLVGSVISWQNLALFSTVPCVLEFAGLFFIPESPRWLSRNGRVKESEVALQRLRGNSTNITKEAAEIKKYMENLQESKEDGLLELFKPRYSRAVIVGIGLLVLQQLGGLSGYTFYMSSIFNKAGFPNNVGVTIATVVQATMSVFGLIIVDKFGRRPLLMVATGMMCLGSFITGLSFLFQSYALLDNYTSISTLIGVLMTPMNIKGSSGTLCNITSWSSNWFVSYTFNFLFQWSSSGVFFIYSMISGMGILFVMKMVPETRGRSLEEIQADVAR >A05p035240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20000072:20004016:1 gene:A05p035240.1_BraROA transcript:A05p035240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVRCECCGLMEECTQDYISEVKSNFDNKWLCGLCSEAVRDEVSRRKMTTVDEAVRAHVSFCGKFKDNPAVLVADGMRQMLRRRSGDLTSSASKKFGRSNSTKFRFTRILLNLFRDLGTSNPGTFIMSPRPGLQIIEGVPYHEEKWREHFFVFKVDQASMGSFDFSKLPRNCSEDIVHFGRSLMSDELWGLIEVLRRGHPHWSSFDQSRIRAAFLLPKGEGRPLVIKDTTENEVGRLLDQEEVATPSTNSLSSSRLDRKLSRRSSFWTSRSTPENKSVGESPLIPIFDSKEDDEAGAQKSPISLSLGSQNLLAACGRKSSGCRLPSLASSDEKEAYAKVAVANSKVMEVFNEFTIAMKDCMHALRGEKGVEEEKVEDEWKRACQERIIFEYEVTAQKTKIADLEADRDRDIRRSSRIVRREVVDSYWEIPIYLEKRWANKRKEVAAEIQLHEVIANIDLLNEIKDGGLVVEDELA >A01p052840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29613051:29614089:-1 gene:A01p052840.1_BraROA transcript:A01p052840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASML2 [Source:Projected from Arabidopsis thaliana (AT3G12890) UniProtKB/TrEMBL;Acc:A0A384KNQ3] MASSLPQFYSDFTFSGETPSQFHGSSSYPDVSALSNYFDDGYGSFNPSSNPESTFFPQVFGVADVSVPDYNNYYQKVGVNVNGAQYFHVGDQECYGYSPEIKPLFHPSTGEKSWGNSEGGIQAEPNIKVGRYSVEERKDRIMRYLKKKNQRNFNKTIKYVCRKTLADRRVRVRGRFARNNDTSEQQSHMSKNHNNHSEKDEDMLSGSDDYLIQQMETDDGWLQEAMSNLISFPCELNAPGDAHHPNTWSF >A05p048280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28297036:28299573:-1 gene:A05p048280.1_BraROA transcript:A05p048280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQDKIMIEKETTDKIEIKSKNGLNEQELEVKMDNMSDLNKTTNGLGEKWPEPIIRVQSLAESNLATVPDRFIKPPSQRPNETITINNQPEAAAINIPVVDLRSILSGNQEEKERISEACREFGFFQVINHGVRPELMAAAREAWRSFFHLPVEAKEAYSNSPSTYEGYGSRLGVEKGALLDWNDYYFLNFLPLALKDLNKWPSLPSNIREVVDEYGEELVKLGERLMRILSSNLGVNEEQLQEAFGGEDFSACMRVNYYPKCPRPELALGLSPHSDPGGITILLPDDQVAGLQVHHGDTWITVNALPNAFVVNLGDQMQILSNSIYKSSEHRVIVNSQKERVSLAFFYNPKSDIPIQPLEQLISSTNPPLYPPMSYDQYRLFIRTHGPRGKSYVESHVSLVNG >A01p009690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4710953:4712207:-1 gene:A01p009690.1_BraROA transcript:A01p009690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIIYLSSDEEDDKIVDHTDVFDDEKPNHTGQRKPVSSDVMVEEEDDDCVVLDGDPYKTKETISNTCVTDDDILVLGQKGEVACRDFPHPRHACAKYPFKSTSHDKYCDMCHCYVCDIRAPCPHWCIGIPAYDHCHANDKDQIWKNQRECVRTGTGNLLRPQSMQQNTWYSSVNQFGPSTMVASRPNTYISPGYRPEQPRTFPQNLQPRAPQLYQNQYGWFNNGNPIPQAFSSKSQPWTQKPSVGATPVEVAQGLPYNRYVTPPTALQGNSQQTFGGYVSTLTSSNTNGYARKCSWPNAVPCGTPNPPANQQQQQQQSRSVNKALAEIEDWLMDIGQY >A01g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4369551:4370670:-1 gene:A01g501160.1_BraROA transcript:A01g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSLKQRLQAGMFNGVGEAIVGTWKQEGPGGFFRGTGPTLCREVPLYVVGMGLYAESKKAWETIAVGAVSGGIVAVVTTPFDVMKTRMTTAKPGRPISMSMVAFSIRPLGLFKGAVPRFFWVAPLGAMNLGYELAKKAMQKNELSQRWTHHKTLVPEIVGCFDCWDDFIE >A08p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21455675:21458182:-1 gene:A08p036650.1_BraROA transcript:A08p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISDLTEKANDKLKILEDLTSNVKQIQDNVLEEILTLNTNTEYLQRFFHGKFDKEIFKKNVPVVTYEDVKPYIQRVANGGPSNVISTRPITGFLLSSGTSGGAQKIMPWNEKFLDYLTFMYDLRMHVITNYVKGLEKGKAMMFYFTKLESITPSGLPARTASSSYLKSSYFKNRPCNWYYTYTSPDEVILCPDNKQSLYCHLICGLVQRNEVTRMGSIFASVMVRAIKSLEDSWEELCSNIRSGQLSEWITDPGCRDSVSMVLGGPHPEVANTVEKICNEKCWKGIFKRLWPRTKYIETIVTGSMAQYVPTLNYYCNDMLPLVSTIYASSETTFGLNLNPLCKPEDVSYTIMPNVSYFEFIPLDGDENDVVDLADLKLGCSYELVVTNFSGLYRIRVGDILLVTGFYNKAPQLRFIRRDNMVLSIDMDKTNEEDLFNAVTRAKIIINSSGLMLTDFTSYADVSTIPGHYVIYWEVEDKREDKTKHMELKEDTFSECCLVMEDSFDNVYKRCRFREKTVGPLEIKVVRYGTFDSLTDFFISQGASIGQYKTPRCIGSGKPLELLEKSVVATFFSTGDCSFKN >A03g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10529248:10529697:1 gene:A03g503240.1_BraROA transcript:A03g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYKKSGRSSSTVEVRLLRFWEARNIRCADELLLVDTNVSFVLGFLRRLLCQPPLGLTEPNPVLHTLKNAFHSVVKARCLL >A01p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11879625:11881567:1 gene:A01p024060.1_BraROA transcript:A01p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVHIRSGRWKKIGDGSWKFELDSVDTGHYIVARTNETIESFKTLVRDELGIGHLIPLLLTYQLPGSMSEGDPARQAPTDLLTSEDIELMMSALNLAKYQFFCRTSFKIGENSYLEPGVTEAEHCSIINDMVGEDEITCAGSVLKQLFSEEKLILVYRFSFEIENARKYFDRCSTGSSGDIIGAGKISEKPMPSHQPSYDGNGEAKSTSDFPDDSIIRLNEAEEPDFFNAEWADMDMSPPMLETGSMSTNLHDNGAALNNISIVDLDGSSTGSTGDVNVLVKGNTRWNPINVPLNDNSVDGFGMVNERDI >A09p058150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49098383:49100389:1 gene:A09p058150.1_BraROA transcript:A09p058150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRTAASEAHDSMESEERVDLDPEETLEEEYEYEEVEEEEEVEEEIEEEVEVEVEEDEEEEEEEEGEKKKHDELLALPPHGSEVYLGGIPTDASEGDLKGFCESIGEVTEVRIMREKESGDGKGYAFVTFRNKDLASEAIDTLNNTEFKGKRIKCSTTQAKHRLFLGNVPRSWTESDIKKKASGVGPGVQNVELPKVKALYIKNLPRDITQERLKALFEHHGKILKVVIPPAKPGKEDSRYGFVHYAERTSVMKALKNTERYEIDGHTLDCTLAKPQADQKANTPTGQNMQNSLLQPNYPPLLGYGMAPSPFGALGGFGASPYPQPLMHAGGHAAGGMAMMPIMLPDGRIGYVLQQPGLAAVPQPPPRHSPPYRGSGSSSSSSSKRSSSDNGRGRSRYNPY >A10p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3927906:3929644:-1 gene:A10p014940.1_BraROA transcript:A10p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIAYNYSDKATFNTFLSLRTKALDFIFSGCSGIGYRRRRRAPLPLYSSFLVCYLSLLSLFHRYTWSSVIARLLSFGEASPLISGDSLGLVPCGFAEKTPLPGSVRFGVNIIFLISGGVGWRRMKEAQLVLIQDVWCDSPMRARCFWSACRSFLFDGGSCKSVLGGGVMTLRFSSRVAFVARFQLRAYGPCPHSKAFACLRELPDVSKTTCFGVQLPSAIACLLEALVVVFLTALFAARKKREREQKCFKAWGFRVATESFYFINSIIEEPVAFVALKENEN >A04p040690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22980496:22982832:-1 gene:A04p040690.1_BraROA transcript:A04p040690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSESMSISVNGQSQVPPGFRFHPTEEELLQYYLRKKVNSIKIDLDVIRDVDLNKLEPWDIQEMCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATTVGFWKATGRDKIIYSNGRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLEDKVISPEDVTVHEVVTIIGEASQDEGWVVCRIFKKKNLHKTLNSPNGGASLSGSGDMARMSSSSHFFDDDSLEQFLELMGRSCKEELTLDPLMKLPNLESPNSQTFNNNCHVSSPDTNHVIQVSNAIDTSFVSSWSALDRFVASQLNGPSISAVDESHADQDHLALSSLRSPYPSLNRSGSYHDGLSQEHPAELELWNTTTSSLSSSSDPFCHVSNGSG >A10p003860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1897602:1900352:-1 gene:A10p003860.1_BraROA transcript:A10p003860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase like 2-2 [Source:Projected from Arabidopsis thaliana (AT1G04980) UniProtKB/Swiss-Prot;Acc:Q9MAU6] MKMERKMNKTRVFTILSLVFAFSFDLSNALYGSSSPVLQLTPSNFKSKVINSNGVVLVEFFAPWCGHCKSLTPTWEKVATTLKGIATVAAIDADAHKSVSQDYGVRGFPTIKVFVPGKPPIDYQGARDAKAISQFAIKQIKALLKDRLDGKTTGTTTGGGSSEKKSEPSASVELNSSNFDELVTESKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGKVKLGHVDCDADKAIQSRFKVKGFPTILVFGADKSSPLPYEGARSASAIESFALEQLEANAGPVEVTELTGPDAMEEKCGPAAICFVSFLPDILDSKAEGRNKYLEMLLSVAEKFKKDPISFVWVAAGKQPDLEKRVGVGGYGYPAMVALNAKKGAYAPLKSGFEVKHLIEFVKEAQKGGKGNLPIDGTLEIVKTEAWDGKDGEVVDAEEFSLEELMADD >A08p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17238029:17249996:-1 gene:A08p027200.1_BraROA transcript:A08p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT2a [Source:Projected from Arabidopsis thaliana (AT4G29040) UniProtKB/TrEMBL;Acc:A0A178V3V3] MGQGPSGGLNRQGGDSKPDGGGDKKEKKFEPAAPPSRVGRKQRKQRGPEAAARLPTVTPSTKCKLRLLKMERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGIMSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLEAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDANSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLSEDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHPDFKKAKEKVMFKKKEGVMATVSPSSISKAGLIPGAAFTVKKNDYSFKCCFWRNAGQKQTPSSAQRLVLPLSTSLKLFPTHGKQFVLHPHRSRATGTDVVATVDEQDSSPPVAEADANEKADAAPTTTISQSRGTSRPGRKSEMPSVKNEELVAGATFTGKVRAIQPFGAFVDFGAFTDGLVHVSQLSDTFVKDVASVVTIGQEVKVRLVEADIEAKRIALTMRENDDPPKRQPGGSDSKPRGGGKRNASKEDGFSSKYVKGQMLDGTVKNLTRSGAFITIGEGEEGFLPTNEEADDGIGSMMMGGGSSLQAGQEVKVRVLRIARGRVTLTMKEEDDGKFDETLSQGVVHTATNPFVLAFRKNEEIAAFLDKREEEAEEKPVEPVTVTVSESEEAVVSEETSEEVVSSETPKVEEVIETKAQDASQESTLGAAAEVEEVEKIEETSDAPSMKRKLLEEPYTKDGSVLNMMFLVMTDLVES >A09g516530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48801026:48804004:-1 gene:A09g516530.1_BraROA transcript:A09g516530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHRSGRSEKLEEENKWVLSRVVKTALKSCGIWSNHIKVESLKGRAAEESQTASLEKIHVKVEPLKEVAAEEGQTARLKWKGGTSCKRRRLRKLSKVWFMMRGPWREESESDDLRHMMGLKGIKDVVHQMVRGECSYSAYMGESVEDSVVLKEQEKGSGADDHITRKEWRVSHQNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSGEEEQVEPAS >SC180g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000050.1:4810:8757:1 gene:SC180g500010.1_BraROA transcript:SC180g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNGRQHTQDVCGCPSAHTGRPWLSVCVRQHTQDVRGCLCVSVSTHRTSVAVRVCPCVSISTHMTSVAVHQYTYQHVGPWTQHVDPSRGLFGCVRVCPCVSVSTHKMSVAVHQYTYQHVGPSTQLAEPPWAVCSVHRGRSWVSAITHRRSVAVCQHTQDVHGCPCVSVSTHRTSVAVHQTSVAIRVCPCVSVSTHRMSVAVHEYTYQHAGPWTQHAGPSRELFGTDRTYVAVRMCPFVSLCVRQHTQDVRGCPCVSVFVCVCPSAHTGRLWQSISTHISTLVLGLSTLTLPVDCSGVSVCVRQHTQDYTEDVRGCPPSHTGSPWLSVSTHRTSMAVRVCPSAHTGRLWLSISTYISTLVLGLSTLALPADCSGDFGPRGMSVQYTQDVCGCPPAHTGRLWLSVAVRLYTQDVRGYLCLSVCIRQHTQDSVCTHRTSVAIRVCSLAHTGRLWLTLLVDCSGDFGPRGLSVQYTQDVCGFLPAHTGRPGLSVCVRQHTHDVCGYPSVHISARWSLDSTRWPFPWTVRVILAHVGSLFSTHRTSVVVRQHTHDVCGCPSAHTGPHTGRPWQSISTHISTLVLGLSTLTLPVDSSGVSVCVRQHTLDVRGCPSYTEDVRGCPPSHTGGPWLSVSTHRTSMAVRVCPSAHTGRLWLSISTNISTLVLGLSTLALPVDCSGDFGPRGMSVQYTQDVCGCPPAHTGCLWLSVAVRLYTQDVRGYPCLSVCVHQDTQDVCGCPSVHISTRWSLDSARWAFPWTVRVILAHVGCLFSTHRTTVGVCQHTQDVRGCLWLSVCTHKTSVAIRVCPCVSVSTHRTSVAVHEYTYNTLVLGLSTLGLPVDCSGDFGPCGLTSVAIRVCPCVSVSTHRTSVAVHEYTYQLAGPWTQQAGPSCGQFGMSVGVSQHRQDVCGCPYVSVCVRVCSPAHTGRVWLSISTHMSTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVCGCLPAQTGRPGLSVAVRHHTKDIRASPCVSVCVRQHTQDVCGCPSVHISARLPLDSAR >A03p070510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31015043:31016954:-1 gene:A03p070510.1_BraROA transcript:A03p070510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFTVLPLFVLLFLVLLCTKSWAKSEEFDESSDEENDVAAVPSCCGFSSPLLIKKDQWKPIFGTQFGQISTVQIGEGCGGMGPYKIHSITLEPNALLLPLLLHSDMVFFVESGSGILNWVEAEPTSSEIRRGDVYRLRPGTVFYLQSKPIDIFLGTKLRVYAIFSNTEECLHDPCFGAYSSITDLLFGFDEAILQSAFGVPEEIIGLMTNRTQPPLIVHDMLSTPGEANTYTWQLQVQPRLLKLFAGYVSAAEKKKKEKKTKKAKTFNVFESEPDFQSPSGRTITINRKDLEVLSGSMVGVSMVNLTQASMMGPHWNPWACEISIVLKGSGMVRVLRSSISSTSSSSSSSECKNMRFKVEEGDIFAVPRLHPMAQMSFINESLVFIGFTTSARNNEPQFLAGQRSALRLLDQEVLAASLNVSSVMIEGLLGAQKDAVVLGCPYCAEGELEKLKVETEMKKRDDERKREEEEAKKEEEERRKREEEEEEEKQWPPLPQQPPE >A08p037330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21782459:21785293:1 gene:A08p037330.1_BraROA transcript:A08p037330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNGVVTRGGGRTVVVGVKFDAASSELLDWALVKVAEPGDTVIALHILTNGDSSFVSLVKSFDSVLEAYEDFCKLKQVELKLKLCRGSSTRKVLVREAKLCNGSKVVVGVSKSCSHSSLSLAKYLAKKLPKDSWVMAVDNGKVMFQKDGSSLKGRSNVGRNTLSSFFQMHKNTKVVNSWDEEEEEEDRYNGCSLRQALVSSCLGKNLATCGDLSRSSSCNGDQDDFHKSVVTEPVKIPEDLTRFITMLVNELPEFRPGWPLLCRVASPDLLANVPRSYSFRQIPVAQWVLKLPSRTNSLVSSSNAKQLSSLNNGSLATVPDGNDSMTLNCSPEGLHERFFSTSCRSFKYKELVSVTSNFSPDNFIGKGGSSRVYRGYLTNGREVAVKILKQTKGVLKDFVAEIDIITTLNHKNVISLLGYCFENNNLLLVYNYLSRGSLEENLHGNRKDHVVFRWNERYKIALGVAEALDYLHNKAPQLVIHRDVKSSNILLSDDFEPQLSDFGLAKWASSVSTTQIICSDVAGTFGYLAPEYFMYGKMNDKIDVYAYGVVLLELLSGRKPVNSESPKARESLVMWAKPILDDRDYSQLLDQSLVNHNNSDQMERMALAASLCIRHNPQSRPEMGMVLNLLKGDVEMLKWAKEQVSSGLEDSKLLKDEKLKRSNLQSHLNVAFLDMEDEESISMRSMVQGISVEDYLKGRESRSSSFN >A03p017830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7266661:7269394:1 gene:A03p017830.1_BraROA transcript:A03p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDVHRFAESITCHAWSPDHSMVAFCPNNNEVHIYKSSQDQWERLHVLEKHDQIVSGIDWSSKSNKIVTVSHDRNSYVWSLEGGEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHESSVTNVAWHPNNILLATTSTDGKCRVFSTFIKGVDVKDPKAGSPAESKFGEQILQLDLSYSWAFGVKWSPSGNTLAYVGHSSMIYFVDDVGPSPLAQSVAFRDLPLRDVLFISEKMVIGVGYDSNPMVFAADDTGIWSFIRYIGEKKAVSSGSSYSSQFSEAFTKFYGQSKATTANEASESSKSRGGVHDNCINSIVPLSKAESPKVMRFSTSGLDGKIAIWDLENMEEELGHQF >A04p015120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9444170:9449584:1 gene:A04p015120.1_BraROA transcript:A04p015120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVSLLRHTLYPSELKIEGRNLSGFKKPRLRQKKPCSYSSTTTSSSSSSSSSASCSSSRKKTDESTMINLYQSPTKSWSYATIWMLFAIPSYVFSVDEHHKKCHPSFRCANQLELSYPFWIPERKECGHPEFKLNCSGDFAEFSRSSVKFQILETEFYSIRLAIKDYQSNLCPPHHENIDINQDILPFSQDTMLSIFYYNCSAPRVDVPHGFYIRQLDCGNDNGRSSYFVSTASHSWDRAILENSSASCERNVSIPVSRDALSIQDGNPTLEAIEKALNKGFEVMFTTECWECKQSQGSCGYNDSSRGFVCYCVDGPHKRTCPHRPTKSGIGFAGGFFGAILLAVAVLCIIWRRKRLAAQNISKGISTTSPYSSSNTMSNTPTSTTISGSNHSLLPSISNLANGSVYFGVQVFSYEELEEATANFSRELGDGGFGTVYYGILKDGRAVAVKRLFERSLRRVEQFKNEIDILRTLKHPNLVILYGCTTRHSRELLLVYEYISNGTLADHLHGDQAQSRPICWPARLSIAIQTAKALSFLHASGIIHRDVKTTNILLDSNYQVKVADFGLSRLFSTDQTHISTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLSELISSKEAVDTTRHRHDINLANMAISKIQNDAVHELADLTLGFARDPAVKRMMMSVAELAFRCLQQEREVRPSMDEIVEVLKGIQKEGMRDFPEVVDIDVSGGEDVVLLRHGVPPPLSPVTEKETSSSNTTASSF >A06p057770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30011997:30018278:1 gene:A06p057770.1_BraROA transcript:A06p057770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASKCDCAITVRKKDVQIQKMEKKMAKLRKQRDIAESRLEDFMRIIEHHHQALKSGTPYFGNHTDKWEDDGSVSDTSGMVDLLDTRSFISDDDDDDDDINEELPMRSQDPSDEYCREVQCIEIEETATVFSNNNPKDEKEETKNVVGHNEDHANDERSVVQNVNHRETMPGTSTPPENLGEERQESHKIEFPELELVSSSVSRSDSMSSSYGSNSNGIPTPLGEEGDISTFQTFVDGLKEMSKRHQEVSNAEASGKMERDLGVDGDFEIKRQEILELWQSCNASLVRRTYFYLLFKGDEADSVYIGVELRRLLFIKDRFSQGKQASDGGETLTLSSSLKALHKERKMLSKLVRKRFSEEEMTRIYHKFGIAVNSKRRRLQLVNKLWSNPKDMTQVAESADVVSKLVKLTEQGKAMKEMFGLASTSPSLLTSQKAHVALAEEDGKGTHVCGPRREDTGSGEIEASKQEEIAANEATDWECINDTTIMYRNTLRQGSNIPTTYSFDRVYGGECPTRRVYEDGTKEIALSVVKGINCSIFAYGQTSSGKTYTMTGITELAVADIFDYIFQHEERAFSVKLSAIEIYNETIRDLLNSDGTSLRLRDDPEKGTVVEKATEETLRDYNHLKELLSICQAAQRKIGETWLNERSSRSHQIIKLTVESCVRELLGKENSTTLMASVSFIDLAGSERASQTMSGGGARLKEGCHINRSLLALGTVIRKLSKGRQRGHINFRDSKLTRILQPCLGGTARTAIVCTLSPARSHVELTKNTLSFASCAKEVTTKARVNVVMSDKALLKQLQCELTRLQTELRNAASNCDCAAAARKKDVLIQKMEKQIEELRKERDLAHSRLEASKLEDGSVSGMVDLDRRGQDDDLNEDLPTRSEDPSDGYYREVQCIEIEESANSNLKEERAEKPSNVLGQNVKLRRLNHIETVPSTSSPPGADFLGRPEIVLPDLENDSSISSSCGSSSTGVQSIPLGEEGGITSVSNAAEVTGTMGRELLGVDGDFERQRKEILELWQTCNVSLVHRTYFYLLFKGDDEADSIYIGVELRRLLFMKDRFSKGHQASEGGETSTLSSSRKALHRERKMLSKLVSKRFSEEERTRIYHKFGISVNTKRRRLQLVNELWSNPKGRTHVAESADVVSKLVSFTEQGRVMKEMFGLAFTPPSFLQLRNSKVGEKHAFTFLTICFRH >A03p010150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4055015:4066597:1 gene:A03p010150.1_BraROA transcript:A03p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKANIIATLTILTLLLVIIAARVYLKLSKPFYLLAGVDISLILAVFCFLVIRSRYNRERKNLESRYISEGRELRIEYSFLRKVAGVPTKFKLEDLEEATDGFRVQIGKGGSGSVFKGVLKDGSQVAVKRIEGEEKGEREFRSEKMRERRVIEIVDQRLIEAKEVGDEGQVMKLVCVALWCIQEKAKNRPDMATVIEMLEGRVTVNEPPDSKLVVVDLLAAAGGGDDDVDDVTTGVRRVVEMPKLHIQRGRHFRFPSVCSSIISPVSPRRNLRKAVEFGKTHVVRPKGKHQATIVWLHGLGDNGSSWSQILETLPLPNIKWICPTAPSQPISLFGGFPSTAWFDVVDLTENGPDDVEGLDVAAAHVANLLANEPADIKLGVGGFSMGAGTSLYSATCFALGKYGNGNPYPVNLSAVIGLSGWLPCAKTLTGKLEEEQIKNRAASLPILVCHGKGDDVVPFKFGEKSSQALLSHGFKKTTFKAYGALGHYTIPQETEDVCAWLTSTLGLEEMLALFLSSSSSSYLTLSRSVTLHLFRRTTLSSLTMSTNLRTHAYAGNPLKSKTPKSTDTFSPSSAFESLKALIPLIPNHPAPSPDFKVLPFSKGRPLVFSSGGGDASTTTPIWHLGWISLSDCKGMLASRGVDMDENSLVYLGPKVEEDLVCWAVDVSDEEDGVVSGLESRKLCFVELRTLMVAADWVDQRAMDELAIAGHARALLEWHNVSRFCGSCGGANVPKEAGRRKQCSNKACGKRVYPRVDPVVIMLVIDRENDRALLSRQSRYVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGDVVYHSSQPWPVGPGSMPCQLMLGFFAFAKSLDINVDKEELEDAQWHSREDVKKALAFAEYRKAQRTAASKIEQICKGVEKSKSLTTDFNVESGELAPMFIPGPFAIAHHLISTWVDQGSSNVHSKPQASVSLSRCSYQMHFQSSGLVSLTHKVLNPLPLRYYLCYSPPRSEPTMATSFFRRLARSAPIAFPAALRSQIKSGHGTFRFSAGAIAALSGGFSCYYLTSGNNLAYLDQAKEETGPKTALNPDKWLEFKLQDTATVSHNTKLFRFSFDPSANLGLHVASCLLTRAPLGYNAEGKTKYVVRPYTPISDPEAKGYFDLLIKVYPDGKMSQHFASLKPGDVLEVKGPIEKFKYSPNMKKHIGMIAGGSGITPMLQVIDTIVKNPEDNTKITLLYANVSPDDILLKQKLDSLQANHPNLKVFYTVDNPTKNWKGGVGYVSKDMALKGLPLPADDTLILVCGPPGMMEHVSGGKAPDWSQGEVKGILKELGFTEQMVFKF >A03p028230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11816827:11818705:-1 gene:A03p028230.1_BraROA transcript:A03p028230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSGSTSCDTHTNNEDQANMGYISRKKHPITTEEVDDGQDSTGHKKVKKNNSEAEADSSSSDNSCFVAPVTASNLEEDSLCLPPDFTISNGFKRKCRKIVLTDERERSWALDLRFNKSSDTFCITRGWRNFCEENGRKEGSLFKFKLMRNGETPLLSVCPTESISDGTQGGDENIPQICRDSTLPNPNRFVTLTLTNDSLKSSRLYLPLPFLKENGMDKPGMVTLLGKDGTRMLANLLRENSGRMSLGKGLKGFARANGLNMGESFTLELIWENATPVLSLLSTEFRSQNRFVTLTLTQDSFKNSRLGLPLPFMRENGMNEPGTIALLGKDGTKWMTNLLRESQGRMSLGKGWKDFARGNGLEIGESFTLESIWEDATPMLSLSSRESKREKVYVSAEPTIGNIEE >A07p016430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10115967:10118565:-1 gene:A07p016430.1_BraROA transcript:A07p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSYDPNDTEAGLKLLEDLTSNAEAIQEQVLHEILSQNSGTQYLRAFLDGESDKNQQSFKNKVPVVNYDDIKPFIQRIADGESSDIVSVQPITELLTSSGTSAGKPKLMPSTAKELDRKTFFYSMLVPVMNKYVNGLDEGKGMYLLFIKPEIKTPSGLMARPVLTSYYKSQHFRNRPFNKYNVYTSPDQTILCQDSKQSMYCQLLCGLVQRSHVLRVGAVFASAFLRAVKFLEDHYKELCADIRTGTITSWITDSACRDSVLSILDGPNQELADEIESECAEKSWEGILRRLWPKAKYVEVIVTGSMAQYIPTLEFYSGGLPLVSTMYASSECYFGINLNPLCDPSDVSYTLLPNMAYFEFLPVDDKSHEEIHFASQSNTEDDDSIKEDLIVDLVNVEVGRYYEIVITTFTGLYRYRVGDILKVTGFYNKAPQFRFVERRNVVLSIDTDKTSEEDLLNAVTQAKLNHLQQPSSLLLTEYTSYADTSSIPGHYVLFWELKPRYNNDPPKLDDKTMEKCCSEVEDRLDYVYRRCRNKDKSIGPLEIRVVSLGTFDLLMDFCVSQGSSVNQYKTPRCVKSGGALEILDSRVIGRFFSQRVPQWEPLGLDS >A06p049810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26268289:26268801:1 gene:A06p049810.1_BraROA transcript:A06p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQHGIVRTYRILPPPPLNPRLVNSATPSTSSAVFTKLPSKPTRAHRRIWYGQVHWLRSNDMASSTSYKLLTCRIITRPGPRSVLDLTGLSDLNGNGDDFEENKGEEAEAETIKRENGHNSDKEVEDGSRGGYVDGSMSFYDVGMMMEHVLDHDDDKEEEDGWCLV >A06p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7328595:7333729:1 gene:A06p016390.1_BraROA transcript:A06p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18870) UniProtKB/Swiss-Prot;Acc:Q9M9V6] MASLHCSSYFLGTNLTKQNSVAIFQSYSPTSFTKLASRVSRQRFLLCSLAMNGCEADHKEPLGTVETRTLSTVTSPAIATEKLITAVSNLKTEPPSFSSGIIRLQVPIDQTIGAIDWLHAQDDALPRSFFSCRRSDAGRQDLLQDLASENVNGSSDRNPVSVAGIGSAVFFRDLRPFSHDHWRCIRRFLSSTSPLIRAYGGLRFDPRGKIAVEWEQFGSFYFTVPQVEFAEFGENSVLAATVAWDEEISWTLENAIEALQETMLQVSSGIMRLRRESLGVSVVSKNHVPSEGAYYPAVASALEIIKAKNSPLSKVVLARSTRIITDTNIDPIAWLARLQREGQDAYQFCLQPLGAPAFIGNTPERLFHRKHLGVCSEALAATRPRGDSAVSDMEIERDLLTSPKDDLEFSIVRENIREKLKAICDRVIVRPPKTVRKLARVQHLYSQLAGQLRREDDEFDILTALHPTPAVCGCPVDEARILIKQIESFDRGMYAGPVGYFGGGESEFSVGIRSALVEKGLGALIYAGTGIVSGSDSSSEWNELDLKISQFTKSLEPALQPIN >A06p043700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23513598:23514623:1 gene:A06p043700.1_BraROA transcript:A06p043700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRMVVVTGRKKKAGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVEVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTDLDPEKVRAFMEKLAEEYSGNTYHLITKNCNHFCNDVCVQLTRRSIPSWVNRLARFGLFCNCVLPAELNETKVRQVRSKEEKVPEAEEKKLRSRSSRFPPGPSLSSSGSLNRSRRGGGERRRQCLPPSPPVTA >A10p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8966730:8970815:1 gene:A10p004680.1_BraROA transcript:A10p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIVGLVIGLIVGITIIIGFVKAENYRSKLRSELANTVAAFARMTVEDSRKLLPAEFYPSWVVFSERQKLTWLNHHLTKIWPYVDEAASELIKASVEPVLEQYRPAIVASLTFSKLTLGTVAPQFTGVSIVEGDKNGMTMELDMNWDGNPNIVLGIKTLVGVSLPVQVKNIGFTGVFRLIFRPLVDEFPCFGAVSVSLREKKKLDFTLKVIGGDISAIPGLSDAIEETIRDAVEDSITWPVRKVIPILPGDYSDLELKPVGMLEVKLVQAKNLTNKDLVGKSDPFAKMFIRPLREKTQRSKTINNDLNPIWNEHFEFVVEDASTQHLVVRVYDDEGVQASELLGCAQIRLCELEPGKVKDVWLKLVKDLEIQRDNKNRGEVHLELLYVPFGAGNGVNPFTSSSMTSLEKVLKNDTADEVNASSRKRKDVIVRGVLSVTVISAEEIPIQDLMGKADPFVVLSMKKSGAKSKTRVVNDSLNPVWNQTFDFVVEDGLHDMLVLEVWDHDTFGKDYIGRCILTLTRVIMEEEYTDWFELDESKAGKLQLHLKWMAQSIYRDS >A01g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17645188:17646082:1 gene:A01g505980.1_BraROA transcript:A01g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGQPLKKKTSDQVVDADDLQMDEDEDDNEEDGQEVDHRNKAKTRYVVKRLLSVAIIKLKPRNLILVVSLSFIHGTMKLRLDRQLLKMTGLCMTVILGPIILVVCMHYSQTHLFIFHVCSFEKVFLGNNNVCDVENHELPRLVYLLVRLGFDHHKKAGAMNSLIRVLGVLSVVPYLLNVRM >A01p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10179251:10180884:1 gene:A01p020860.1_BraROA transcript:A01p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISI1 [Source:Projected from Arabidopsis thaliana (AT4G27750) UniProtKB/TrEMBL;Acc:A0A178URL9] MYLKKPIWSDGPSATPENPSGSENGEETDAATMVVEELVTSLNTQRLYRELTLSLRTGLRDACAEFSFLRIRGLRSLLKTLRSIAESDSIIRLFSHTQTVSDLQLVPVLFRHSLKEGEDDRVTSLDHIFSVEPMKITSPSTDDEVAVALRVLEGCCLLHPQSTVLAHKHGAVRVMMNILSTRGVLEQGACLDALISILLDSSANQVDFGACNGIEEVAMLMRDKQADENLRLRCGEFLLLLVGHVNGKDRSPIASVNEDIRRLLGEKSASLIWAASQFGSTGDPEQRITALHIQAGRVLESLDLY >A07p027650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15743481:15745806:-1 gene:A07p027650.1_BraROA transcript:A07p027650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTETMQKSKFGRICVFCGSSQGKKSSYQDAAVDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVRAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPEYSPCHERVASKLCWEIERIGYSSED >A06p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4540125:4543138:1 gene:A06p003750.1_BraROA transcript:A06p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRKLQVVSPVPADIDIANSVEPLHIAEIAKDLNLSPLHYDLYGKYKAKVLLSAFDELKDREDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITASNNLLAAAIDTRIFHEASQSDKALFNRLCPVNKEGKRSFSDIMFRRLNKLGISKTIPEELTPEEVKKFARLDIDPDSITWRRVMDVNDRFLRKITVGQGPEEKGMTRETGFDISVASEIMAVLALTTSLGDMRERLGKMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLTPQCAIVVATVRALKMHGGGPDVVAGRPLDRAYVSENVSLVEAGCVNLAKHIANTKAYGVNVVIAVNMFSTDSEAELNAVRKFSMDAGAFDAVICSHHAHGGKGAAEKQIEMYTQQGFSNLPICMSKTQYSFSHDASKKGAPTGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTVTGKVRGLF >A08g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6038350:6039477:-1 gene:A08g503240.1_BraROA transcript:A08g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFTNSFAAAQPVVADGNGHFARRLHFEKMVANFLFEKELWPRNEKIEQQNQFGSEGRCNQENYMSLISISRYRSLRVAYVDEREETADA >A05p008450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3438764:3443756:-1 gene:A05p008450.1_BraROA transcript:A05p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGGNTQTVAPLDPNPIEKRYGDDGSQVQISPYQYSTVSDGTSWTAPYSQAVQNGNYYHPPQPTVPPTGNVQETSNNAPPSVTVNVAQDYSGGYTPYQTSSDPPQNYSNTGYSNYYSAYQQQQQPSQSYPQPVGAYQNTGAPYQPISSFQNPGSYAAATASYSGTYYNPADYQTNGGYQTTNYNNQSAGGYPSTNYSNQTNTPNQGNYTSTPYQNYTHDAANTHSSTVATTTTPVHYQQSYQQWPAYYGQTEVPCAPGTEKLPATSAVSQSFPVPGVTNETPASNGQPAPSYAQPWRQETDLSQPPSQQPAAAVSASNDAYWKHQTQNQQAHYPVPPQNHYQSPLETKPLYETPFQGHQRATYPQGMNSQSSVHQAPLGYRQPTQATPSVDTQRVSKIQIPTNPRIASNLPSGYTKMDKGSSAAGATQTPAYVSVSMPKPKVHATPVPEPGTLPKSLCGFVERAFARCKGDKEKASCQAALKKVITEASDDGTLHTRDWDTEPLSTVLNTDVTNTESSSTLISSLQNKSPTKRPKSRWEPLVEKPFVKSASTFSSGVKFGGWNQQNQNNKKSFESFQKADAVTDSKPTYSWQNSKPAYSWQNSAKKSFQRPVKRQRFSGGAATAIDDEASSDSDKDSTPSAMSFATSAEQTKRRDSRSKRFEKVQGHSRRNDIPRPNIANVGILHSRRGTALRLGEDFDESGCRSVEDIDWNALTVKGTCQEIEKRYFRLTSAPDPSAVRPEDVLEKALLMVQDSQKNYLYKCDQLKSIRQDLTVQRIHNHLTVKVYETHARLALEAGDLPEYNQCLSQLKILYADGIEGCSLEFAAYSLLYITLHSNNNRELLSSMSRLSKEAKKDEAVRHALSVRAAVTSGNYVMFFRLYKTAPNMNSFLMDLYVEKMRYKAVTVMSRSCRPTIPVSYLAQVLGFTNTSSEGSGEKETDSMEACSEWLKAHGASLIADSNGDMLLDTKVSTTNLFMPEPEDAVAHGDKNLDVNDFFTRTS >A09g511820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34976636:34981169:-1 gene:A09g511820.1_BraROA transcript:A09g511820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVMCCGRNILKESLAITGTSLEVWYNSILILLTGNLKNAEVSLNALAICININALEMMVAFGFMAAASVRVSNEIGSGNSKGAKFATMIVVLTSLSVGVILFFVFLFLRGRVSYIFTTSELVAAQVADLSPILAFSILLNSVQPVLSGVAVGGGWQKYVTYVNLACYYLVGIPTGIFLGYVVDLQVKGVWLGMIFGIFVQTCVLTIMTMKTDWDKQVSVSQRRLNRWVEPESRGRNQTSPRE >A05p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12339139:12344831:1 gene:A05p025370.1_BraROA transcript:A05p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTDGPSEGGEGSGSRDAAPASGGGRGGYQQGRGGGGGGQQQGGRGYSPQSQQGGRGGGRGYGQPQQQQYGGPREGHPPQQQQQQQYGGPRGGQPPQQQQYGGPRGGQPPQQQQYSGPRGGQPPSQQQYGGSREGQPPQQQHQQYGGPRGGPPRGGGRGGASSAGLPQRQSVPELHQATLPTYQAVSSQPTPAEVSPTQMPDPPAPVQEFEQLSIEQGAPSQAIQPIPSSSKACKFPLRPGKGQFGKRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMKQLVDLYRETHLGRRLPAYDGRKSLYTAGPLPFVSKEFRILLQDEEEGAGGQRREREFKVVIKLAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTSKERYTPVGRSFYSPDIGRKQSLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEALPVTEFVCELLNRDIRSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTAVATRELTFPVDERNTQKSVVEYFYETYGFRIQHTQLPCLQVGNSNRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREKDILRTVGLNDYDHDPYAKEFGIKISASLASVEARILPPPWLKYHDSGREGTCLPQVGQWNMMNKKMINGGTVSNWICVNFSRQVPDNLARTFCQELAQMCHTSGMAFNPEPVLPPVSARPEQVEKVLKTRYHDAMAKLSKGKEIDLLIVILPDNNGSLYGDLKRICETELGIVSQCCLTKHVFKMSKQYMANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLFKEWKDPQKGVVTGGMIKYAFRYFELYSESVFSLHVALCIKIIASFSLLCNRELLIAFRRSTGHKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEAGYQPPVTFVVVQKRHHTRLFAHNHNDRNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGSMARGGGMGGRNTRGGPHVNAAVRPLPPLKDNVKRVMFYC >A09p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17095331:17101684:1 gene:A09p028400.1_BraROA transcript:A09p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT ROOT IN SALT MEDIUM 1 [Source:Projected from Arabidopsis thaliana (AT2G03150) UniProtKB/Swiss-Prot;Acc:F4IS91] MYSSRGSGYGQQPYGSQSGYPQNLGSNYPGSSVSGGAEGGSQIPLSSRLPSGAPQETDIGGGYRSQLSTASHYGAQYASLYGAASLASSQPLSTKGVGSSVLDNRSGYVPTLPDSPKYASGSYLSSSAHGYGQKEDDLYSDKLSGYVPVDRRQSSAYLGRELQNDPAARYADSSSFGRQTDLYDRIDQASLLRGEQLLKMQSLHTTSADGGVRQADYLTERSSTVRHSDQEAMHYGRRLESDPHGLSLHSTSSYASQHTPSLLGAAPRRNLDDYIYMESSSNPGYGVSLPPGRDYGTGKGILTAASLDLDYPGGMLARGGPRVDELRKDDRASYLREFELREEERRRENLRARDKERERERDRERDREREREREKEREKQRARDRERDRIREKEREDERERDRKRALERKRDRTPTARATSRDPKERTPVPKAVSRDARSSSLRRDAQHREASIRRPSPVRPIRRDYVCKVLSSRLVDMERDYVTLDKRYPRLFLPSEFSKVVVNWPKQKLTLSMHTAVSFEHDYIEDGGVDVKPTPTKSSAVKTGGKTVWNAKMILMSGLSRTALEDLSSDKLFEERIPHICNILKFAVLKKDHSLMATGGPWDPTDGMDPSVDQSSLITTMLRHTKDKLHLDLSNCRHWNPFLEIHYDRVGTDGVSIFKEITVLFVPDLSECLPSFDAWRTQWLAHRKVLAERDRLLSQEAKKDVIVGKGSDNAGEVAKDAEKKTPGTKKVVKKIVKRVVKRPVNDGKATDKKDEKPDEKDVPGKVAISGDNQGESSDPSAKGNEQTPSKTIVKKKIIKKVAKKKIAEVDNNMDDDLKNNGENDEEKVVEAEKKTPDSGSMEMKSPAGKKEESASKIVETKQKAGSPSTEKKEGASSSTKDIKAGEDKKAEKKDKSENQSEGKKVDEKKAKEKINEKEIKERSGKDESILQVKDKKKSDEPPRPGFVLQVKRNKDSKQLRSLSVSLDSLLDYTDKDIDESSFELSLFAESMFEMLQYQMGTRILEFLKKLRVKFVRERNQRKRRQEELSAKEKEAKAQNKRQKTDKEATVTTESVPEKDDKKNSAKETVANTEDTKKATDDEAATMEADNQDEEIDEDPEEDPEEDPEEDPEECEEMDDENPEQEETAEEPQKNEENSEKTSSAVARPITEVATERKEEIVEKTDSKSAEIKPKSESGKHGKQEEGTSDVPKKEETVDKELLQAFRFFDRNQTGYVRVDDMRTTMHSLGKFLSHREVKELVLSALLESNTGRDDRILYNKLVRLSL >A01p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5195727:5196883:-1 gene:A01p010600.1_BraROA transcript:A01p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDTLKPNVATEESNPNSADHPIDRYHEEGDDAEEGATVDESSKSAVPESHPLEHSWTLWFDNPSVKSKQTTWGSSLRSVFTFSTVEEFWSLYNNIRHPSKLANGADLYCFKHNIEPKWEDPICANGGKWTMNFSREKSDKPFLYTVSIGRQWKEFLDYNSSIGFIIHEDAKKLDRGAKSAYTA >A02g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4259545:4260217:1 gene:A02g501400.1_BraROA transcript:A02g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRLLIFRTVNSTLCVLSPKSMYDQVVRVIVIQKTLIEHAEKLRQVKAVLEESFSHSREPFEMAQEGNFSGIYRKVQLKPLKWDELFNLLTFNITCHII >A09g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6981043:6982184:1 gene:A09g501960.1_BraROA transcript:A09g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILGSFCEFHARCHFCGGKYLHWLQQYVSSLSYHFQIAFYLHIQTHVFGRPVLFTRPLVFATAFMSFFSVVIALFKDIPDIEGEESDHSLRFRHVFHYLQMAYAVAVLVGATSPFIWSKVISVVCHVLLATTLWTRAKSVDLSSKTEITSKYLLLSFFEVNIRREEGRSHYYPYVYLFRQDHEIR >A01p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9829597:9832060:1 gene:A01p020010.1_BraROA transcript:A01p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKNESLEVFDLTLDEKNKRRLQLIEELTSNADQVQRRVLEEILTRNADVEYLRRHDLDGRTDRETFKNVMPVITYEDIQPEINRIANGDKSPILSSKPISEFLTSSGTSGGERKLMPTIEEELDRRSFLYSFLMPVMSQFVPGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKERPFDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHHEVLRVGAVFASGFIRAIKFLEKRWTELVRDIRTGTLSSSITDPSVREAVAKILKPSPKLAEFVESECKKKSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPLCKPSEVSYTLIPTMAYFEFLPVHRNTGVTNSINLPKALTEKEQQELVDLVDVKLGQEYELVVTTYAGLCRYRVGDLLKVTGFKNKAPQFSFICRKNVVLSIDSDKTDEVELQNAVKNAVTHLVPFDASVSEYTSYADTSSIPGHYVLFWELCLDGNTPIPPSVFEDCCLALEESLNTVYRQGRVSDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVDSYFSPKCPKWVPGHKQWGSN >A03p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13617866:13620168:-1 gene:A03p032170.1_BraROA transcript:A03p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSLHPLSDYIQLPVIDFSDQCLTPGTSKWDKVKTDVRKALEDYGCFEAFFDKVSVELDKSVFEAMEELFDLPTQTKERNVSSKPYHGYLSQDIYESFGIDDANLAEKVNEFTQQLWPDHGNKRISETMHGISEKLAELDVMVRRMIMESFGIEKYIDEHLDSTNYLFRMMKYTPPRPHEEKKLGLPSHTDKNIMTILHQYQVEGLEIQNKDKKWFKVKPSHQNSFIVMVGDSMCAFLNGRLASTYHRVLVTAKKTRYSTALFSTPKTGVILDSPEELIDEEHPRVFKPFEFNDYRDFYNTEAGFAAQSTLHAFCAL >A01g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27393303:27394428:-1 gene:A01g510010.1_BraROA transcript:A01g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKSILSCLGNFCLPIILVRDRYQDLINVKYRTFSAVVGPLVIVERVKVFEKIGTVQSTGECRFVDTAGIVPRSVYISRKSSSAAALTATVAKEPETGEFCIVISELFLTMKFTSNLDFVAGALMLDNRLGCVHEFDNLNIKNQAAIREAMEQPQ >A05g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26405299:26406919:-1 gene:A05g508890.1_BraROA transcript:A05g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVISTGPSALELYFCLIHLWEARTGSKGGALIDLELLIIDEQVTLIQAFIHHIVLHGTSFIIFSTQRKAKSYAATVFYKFTASVDNSCHPFSGDCESKSYRCSMSSSVFSDKDFNPQSTTPIIPVYDNNDQAVFVLLGDAGRELTGKHASGLVDKYFEANGDLGPDHEMPACPTNFDRHHWSNT >A07p029390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16470885:16472818:1 gene:A07p029390.1_BraROA transcript:A07p029390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MTREESSKVTRRSTRFSSSVTNDNPEPNPSHPPTVADLTFGQESITLDALLSSFPGRRSQILDLIRLIGPLDSPALPVMVYGDASTGKTSVALQVFKHLNRPFVYSSCRTCCTPRLFFESILNQLLLHSKSSSNGYASAKRCDKPSDFVNLLKEALCSVVETLGSAKLERKLMGKMVYLIVDHVDLIKEWDKGAMILQFLFGLYSVLKMPQLGIILISGLPPDVYYSNMGYTDPLPVYFPEYSEEELRQIFLKSQVNKKLYSAFLDVALRPFCRVTRRVEELSTSLSSLFRKYCEPLDDLSISPNEDLKRRLYSNLRPHITSCLNEVFRVSGRPHDGDTRGERRQKASYSSEKGEELEILDFHMSTSAKYLLIAAFLASRNPATLDASMFDSTGGMDNRKRKRKASEKSMEKKEMAEQEAVMKGPGSFPLERLLAIFQCIASVGDSSLGEEEDEEGEEEATGYDKESNNMMSDILLQVASLCDANFMIKSGSCPLEGSIRYRSMVSEDLSLKVARSLSFPLSKYLYRR >A10p015640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3512969:3513828:-1 gene:A10p015640.1_BraROA transcript:A10p015640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVYTFKFVPSGILLPSAQSNLHISSSNASFTQRWRSFASFSSSSSSPIRRKTLTLVSAKSSEAEEVSDTEDEWLKKLPEKNKPLYSHSLPCIEAWLRKLGFYQSKDDRAVWLIQKPDWHAQLSLDVTDLCIRYLKSGPGNLERDMERRFSYALSREDTENAILGGP >A01p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:964988:966228:-1 gene:A01p002100.1_BraROA transcript:A01p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCPQPINEEPHNTTTTTRGRKKNREKMKQYVLVHGGCHGAWCWYKVKPVLEASGHRVTVVDLTASGVNMSRVEEIQSLEDYTKPLLKVLESFGSDDKAILVAHSLGGPSVGLAADMFPSKIAVAVFVTSFMPDITNPPSYTFEKCLESFTEEESSNMEFGTYGTHERPLTSVFLGTKFLAKYMYQLSPVEDFELAKMLVRVGPAITSNLTGTKSLTEEGYGSVARVYVTCGEDKSLTKEFQRWIIENFPVKEVMEIKDADHMPMFSKPLELCDCLFKIANKYA >SC117g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:227222:229085:1 gene:SC117g500070.1_BraROA transcript:SC117g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASCTDCTDRAKSTDDQAVPSCAVKELPSLEPEPDLTEPDIQDMISNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGEASEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTG >A06p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27907897:27911439:-1 gene:A06p052850.1_BraROA transcript:A06p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSWRKAYGALKDTTKVGLVRVNSDYADLDVAIVKATNHVECPPKDRHLRKIFAATAVTRARADVAYCIHALSRRLHKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDTEAERLPKSTPGQDKGYSRTRDLDGEELLVQLPALQQLLYRLIGCRPEGAANHNHVIQYALALVLKESFKVYCAINDGIINLIDKFFEMPKHEAMTSLEIYKRAGQQARSLSEFYEACKGLELARNFQFPVLREPPQSFLTTMEEYIKEAPRAVDVPMPLLLTYRPDDGLPVEDAEPSHEEREVVLNTDDVVLVSEETEPSPPPPPSANTQSQNIIDTDDLLGLNTAAPDASAIEEQNALALAVIPTDGNPPTPRFGQTNNYDPSGWELALVTTPSNDISAATDRQLAGGLDTLTLNSLYDDGAYIASQRPVYGAPAPNPFEVHDPFATSNRTPPPQQPAVSNPFGSYQPTYQPQQQQLQLAFPNPPANNNPFGDFGDFPVNPVSQQPNTSGFGDFAVNQHNNPFRSTGLL >A10p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2432329:2433594:-1 gene:A10p017530.1_BraROA transcript:A10p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRLPAIAIAATEMASAPVGLRRLFCSNASKFSFLSPQANAETPARPQAEPSTNLFVSGLSKRTTSEGLRTAFAQFGEVADAKVVTDRVSGYSKGFGFVRYTTLEDSAKGIAGMDGKFLDGWVIFAEYARPREPYRPQNNMPPPPYGNRY >A09g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22655074:22658345:-1 gene:A09g507930.1_BraROA transcript:A09g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSNKKKIEINCRRPRFIASSSPHRCLSSSSSLHRRHRRSLSVVVIVVVSPSSSSSSLRRRRRRLSVVVFASPLICRRRRRLSVYLSLSSSTMEVLCISGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGISLDMKCIIESFPPISIGNTRQLRTFITKTRAFDGTCRLCVKVSTDPASCNTQASDTFASIVPLNANPVIRSTVQREKHVSTYPASCNTQASDTFASTVPLNANPVIRSTVQREKQSLLYEGVSTVPLNALPDFSPVHIGLSPTTRGAGDIKNIDELSCPPPATKKKSGRPPTKRKRSVGEFGVLGSKSQSDKCSRCGIGGHNKSTCQRPIG >A09p016100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8408585:8411871:1 gene:A09p016100.1_BraROA transcript:A09p016100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFASVAALLLLLSFPVAFSGHDYGQALSKSLLFFEAQRSGVLPRNQRVTWRSHSGLTDGKSSGVNLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGNQLAANGELGHSIDAIKWGTDYFIKAHPEPNVLYGEVGDGNTDHYCWQRPEEMTTDRKAYRIDPSNPGSDLAGETAAAMAAASIVFRRSNPAYSRLLLTHAYQLFDFADKYRGKYDSSITVARKYYGSVSGYNDELLWAAAWLYQASNNQFYLDYLGRNGDSMGGTGWSMTEFGWDVKYAGVQTLVAKFLMQGKAGRHTAVFQKFQQKADFFMCSLLGKGSRNIQKTPGGLIFRQRWNNMQFVTSASFLTTVYSDYLTSSRSYLRCSAGNVAPSQLLSFAKSQVDYILGDNPRATSYMVGYGNNFPQRVHHRGSSIVSYKVDRSFVTCRGGYATWFSRKGSDPNLLTGAIVGGPDAYDNFADRRDNYEQTEPATYNNAPLLGVLARLSSGHSGYSQLLPAVPAPVVVRRPMPIRKPRVTSPVRASGPVAIVQKMTGSWVSKGRTYYRYSTTVINKSPRALKSLNLSIKNLYGPIWGLSRSGNSFGLPSWMHSLQSGKSLEFVYIHSTTPANVAVSGYTLA >A09p000800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:508748:510819:1 gene:A09p000800.1_BraROA transcript:A09p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box-binding factor 2 [Source:Projected from Arabidopsis thaliana (AT4G01120) UniProtKB/Swiss-Prot;Acc:P42775] MGSNEEGKTTQSDKPAQVQAPPPPPEQSNVHVYHHDWAAMQAYYGPRVAITPQYYNSNGHAPPPPPYIWGSPSPMMAPYGTPYPPFCPPGGVYAHPALQMGSQPQGPASQATPVVATPLNLEAHPANSSGNTDQGFMKKLKEFDGLAMSISNNKSGSGEHSSEPKNSQSSENDDSSNGSDGNTTGGEQSRKKRSREGSPNNDGKPSSQIVPLLRDESEKQAVTMGTPVMPTVLDFPQPFPGAPHEVWNEKEVKREKRKQSNRESARRSRLRKQAETEELSVKVDALVAENMTLRSKLGQLNDESEKLRLENQALLDQLKAQATGKTENLISGVDKNNSSVSGTSSSSKNAEQQLLNVSLRTDSVAAS >A04g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6173643:6174948:-1 gene:A04g502620.1_BraROA transcript:A04g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNFRDKAWIFLAIMCFSLLICSTKALVSYDHKALIINGQKRILLSGSIHYPRSTPEMWPDLIKKAKEGGLDVIQTYVFWNGHEPSPGQYYFGDRYDLVRFIKLVQQAGLYVSLRIGPYVCAEWNFGGIPVWLKYVPGIVFRTDNGPFKKIVDIMKEEKLFETQGGPIILSQIENEYGPMEWEIRSAGKAYTKWTAAMALGLSTGVPWVMCKQDDAPYPIVSLPFFFLFNLPLS >A06p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5623644:5627162:-1 gene:A06p012290.1_BraROA transcript:A06p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:Projected from Arabidopsis thaliana (AT1G14790) UniProtKB/TrEMBL;Acc:A0A178WKG4] MGKTIQVFGFPNGVSAEEVKNFLERLTGPGTVYAIKVRQPKKGGPRVYAIVQFTSERLARHIVTLASQRLDYGRSYLKAFEVEQDIVPKPRASLHNMPNLKMYFGCQVSPMKLSVFWSAQNVAVSYGTGMRKFHFSVSWCEKEYRLELPYENIWHIDLHFPQGRRDSKFLVIQVIGAPKIFEKEDQPVNLLFGLLDFYRDGSDEQWIRTTDFTSSSCISQSSAFCLELPVHLNVSDFRENFANYAEHEASTFALESGRSFSSNANKLVPVVDPPPGCYLPFEILFKVNTLVQNACIPGPALDPAFYQLLNPQRFDRALIDHCLEKLFHLPECCYAPARWLREEYSTWVTKGKLPQSPMISLDDGLVYMYRVQVTPTRVYFSGPEVNVSNRVLRHYSDYINNFLRISFVDEDLEKVRSMDLSPRSSTVKRTKLYERINSVLRDGIVIGDKRFEFLAFSSSQLRENSAWMFAPVNGITAANIRAWMGDFDNIRNVAKYAARLGQSFSSSRETLTVRRDEIEVIPDVEIRYSDVHYVFSDGIGKISAEFARRVAKKCGLTEFFPSAYQIRYGGYKGVVAVDPNSSKKLSLRKSMSKFESENTKLDVLAWSKYQPCYMNRQLITLLSTLGVEDNVFEKKQREVVNQLDAILTDPLEAFEALGLMAPGENTKILKELILCGYKPDAEPFLSMMLQNFRASKLLELRTKTRVFIPRGRSMMGCLDETRTLEYGQVVVQYTDPTRPGSKYIVTGLVVVAKNPCLHPGDVRVLQAVNVPALSHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDPELIPQGTSNPMDYTPEPAQILDHDVTIEEIEEYFTNYIVNDSLGIIANAHTAFADKEPLKAFSDPCIELARKFSIAVDFPKTGVAAEIPQHLYVKEYPDFMEKPDKPTYESKNVIGKLFREVKERAPPLISIKSFTLDVASKSYDKDMEVNGFEEYVDQAFFHKGNYDYKLGNLMDYYGIKTEAEILSGGIMRMSKSFTKRRDAESIGRAVRSLRKEALSWFNASEDEEEVVNESAKASAWYHVTYHRSYWGVYNEGLNRDHFLSFAWCVYDKLVRIKKANVGRRQRQETLERLGLMRLS >SC179g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:273401:283274:-1 gene:SC179g500130.1_BraROA transcript:SC179g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIETTLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTVFLVFLSVLGESGLCSSVTRLVWIFLMETNNLGMEMKHSSSIPLKLEEETGGSWSRWAKAVLRSCVLWSSHKKGKPLRRMATEAGQAWSLRYEDRVVQENHTRCGIEAAHGSRSDLKKVCGVKRANTDLRRGKEELHQLVGKLKYLWRELDLLRSRTSDPEVIQERLEQDVVLSLLVSLNSSYGQLIMQVAKDDERVDVDGLCELVQSSYKVYEKSKRLIRIRDGTRCKKGRLRRLSRTWVMVRKTQRKSRQCGYFGNDMETRLIKEFAQHVVRGECSYSAYMGSSVEESVVMKGQGTKGADDPITKKEWDGFVKYHQGDSGHHDQEVTQEVENFPQVDEQGEVHDQEEIKAWEVTLNLFGEGITSKGEQGVVWIRIGHSWKGEATLQPVQACEASQQPASLDFTCFESHFEIPF >A05p051460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29999521:30000136:1 gene:A05p051460.1_BraROA transcript:A05p051460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSEKVVVKWVNDTRGRVCWVILASLCLCGFKQLMEVFKDESQVLYKYVGMSLVSLYVILLVKSLCSETPTITYRSVALVQLLFPIYEFYVGYVMVIIALEISVAYGVVDNDLDEEITASLIAIDMFLHQFYLCF >A06g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12157949:12167020:1 gene:A06g503960.1_BraROA transcript:A06g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVPLKLALPELKYPIGSQPKEKSAIKQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEIENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETDQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNINVFPTNVTQKRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDGKPDDEIVREKLTSESPASQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHYRPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLDELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREGTEKFKWDFLKGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMGDKANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVI >A03p043650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19271396:19272118:-1 gene:A03p043650.1_BraROA transcript:A03p043650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCGALVKSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLSLAQNASAALSPSLLRNRVSPPLLVDSAGVSRFLTDRLESSASVLVESSSLSVVSPIVSSLSAEDASLQLSFTTPPLFLHLSSATPSVSLGGSISLSTMVRMKRTSMVITDQDTSRFDYNGNK >A06p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6490321:6492528:1 gene:A06p014450.1_BraROA transcript:A06p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPS2 [Source:Projected from Arabidopsis thaliana (AT1G17050) UniProtKB/TrEMBL;Acc:A0A178W8X1] MMMSCRNIDLGGTSVLDLMPCGCSSSSSPLTRRIFFGNCSKTVCSIGRRSYGAGNLVFLRRDSGSCRAIPAKPKESALVNGLGEDKTVMINLRQESRKPISLESLFEVVADDLQSLNDNLLSIVGAENPVLISAAEQIFSAGGKRMRPGLVFLVSQATAELAGLKELTVEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHELFGTRVAVLAGDFMFAQASWYLANLENLEVIKLISQQASSLFDCDVKLDDYLLKSYYKTASLVAASTKGAAIFSSVESEVAEQMYQFGKNLGLSFQVVDDILDFTQSSEQLGKPAANDLAKGNITAPVIFALENEPRLREIIESEFCEPGSLEEAIEMVRSGGGIKRAQELAKEKAELALTNLSCLPRSGFRSALEDMVMFNLERID >A06p006180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2056829:2066145:1 gene:A06p006180.1_BraROA transcript:A06p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNRRWDRKDSRRSNTQKVVKTAEDELESKLGFGLFSEGETRLGWLLTFASSSWEDPDTGKTYSCVDLYFVTQDGFYFKTKYKFRPYFYAATKEKMELEVEAYLRRRYERQVADIEIVEKEDLDLKNHLSGLRKKYLKVSFDTVQQLMEVKRDLSHVVERNQAKFDALEAYESILAGKREQRLQDCLDSLVELREYDVPYHVRFAVDKDVRSGQWYNVSISSTDVTLEKRTDILQRAEVRVCAFDIETTKLELKFPDAEYDQIMMISYMVDGQGFLIVNRECVGEDIEDLEYTPKPEFEGYFKVTNVSNEVELLRKWFSHMQEVKPGIYVTYNGDFFDWPFIERRASHHEIKMNEELGFRCDHNQGECRAKFVCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVQFAMEKPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMVPDEVLRKGSGTLCEMLLMVEAYKANVVCPNKNQADPEKFYQSHLLESETYIGGHVECLESGVFRSDIPTSFKLDTSAYQASNLLYFPQYDFSCCFLNNTSCDMVLFKCHLFMHLSDIGPFNCQQLIDNLDRDLEYAITVEGKMRMDSVSNYDEVKEKIKEKLEKLRDCPIREEGPLIYHLDVAAMYPNIILTNRLQPPSIVTNEICTACDFNRPGKTCLRKLEWVWRGVTFMAKKSDYYHLKKQIESEFVDAGTNIQSSKSFLDLPKVEQQSKLKERLKKYCQKAYRRVLDKPSTEVREAGICMRENPFYVDTVRSFRDRRYEYKTLNKVWKGKLSEAKASGNSIKIQEAQDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNACLLIERIGRPLELDTDGIWCALPGSFPENFTFKTIDMKKFTISYPCVMLNVDVAKNNTNDQYQTLVDPVRKTYESHSECSIEFEVDGPYKAMIIPASKEEGVLLKKRYAVFNHDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTAKRLADFLGDTMVKDKGLRCQYIVACEPKGSPVSERAVPVAIFTLSKTEPERMKFYLGKWCKQRLSSDHKVDIRLIIDWSYYKQRLSSAIQKVITIPAAMQKVANPVPRVLHPDWLHKKVREKEDKFRQRKLADMFSSANKNHSVAQDDMNDIEDFCKENKPGVKGPKPIARSYEVNKEQFGREQQESKDPKCDDDISFENIDKNVDYQGWLEVKKRKWKGIVEKKKKRRLGDQRSLKQIESHEIKKKVTQVRRGVGSFFRRPEEALTSSHWQIIQLVPSPQIGQFFAWVVVEGLMLKIPLTIPRVFYINSKAPIDGKFPGKCVNKILPHGKP >A08p032490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19643626:19649895:-1 gene:A08p032490.1_BraROA transcript:A08p032490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKAWVKKLKSKDKVKKKEAAAKEVVPKPPGGEEALSNVTKEKAAAAKLYIENHYKMQMQSLQERKERRKMLENKLADAEVSEEEQNNLLKNLELKETEYMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKGTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIGETVLAIESIHKHNYIHRDIKPDNLLLDREGHMKLSDFGLCKPLDCSNLQEKDFTVARNVSGALQSDGRPVATRRTQQEQLLNWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDDPMTTCRKIVNWRNYLKFPEEARLSPEAKDLICRLLCNVEQRLGTKGADEIKGHPWFRGTEWGKLYQMKAAFIPQVNDEMDTQNFEKFEEADKQVPKSSKSGPWRKMLSSKDINFVGYTYKNVEIVNDDQLTGIAELKKKKTKPKRPSIKSLFEEDESSEGKTTQQGSFLNLLPTQMEDAEKEGSKSSSSGGGLIGFFKMDQEVVIGGKFKLGRKLGSGSFGELYLGINIQTGEEVAVKLEPVKTRHPQLQYESKIYMFLQGGTGVPHLKWFGVEGEYSCMVIDLLGPSLEDLFNYSNRIFSLKSVLMLADQLICRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTQKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRGGTKKQKYDKISEKKMLTPAEILCKSYPSEFTSYFHYCRSLRFEDKPDYSYLRRLFRDLFIREGYQLDYVFDWTNLKYPQTGSSSRPRPTPRPALDLTGSPADRSQKPTVGKDLRERFSGAIEALTRRNVSSQGAHGDRSTRYNRSSDVKKDKQESSDRHRSSSRNGSRGVMSSSRPVSSAEPSENRSSRLFSSTSQRVQQSSESKPSSAAAGHEDAIRRFELLTIGSGKKRK >A05g500860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3203868:3204212:1 gene:A05g500860.1_BraROA transcript:A05g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRHDHFHEPPQTPSSFSALCRFLRHDRTTSAFVYDVDVFLTCSSRSSATTIAHNHRDSSFAGVERPILGLHSSSFHAPLRPFSPDSGFAVGIEVKRSYNGDFSDFCKIGP >A10p028230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17595577:17596314:1 gene:A10p028230.1_BraROA transcript:A10p028230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQRNHSDKGFFHHLAGYASGRYRPHGHHGYNNHGHHGYGVPYAYPAPPPPYGYPPVAYPPHGGYPPPGYPPPGYPPHGYPGHHHHGGIGGMIAGVAAAAVGSHHHGHYGHHHGHGYGYGYYKHGKFKHGKFGKRWKHGMFGKHKGKFFKKWK >A02g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21224432:21226137:-1 gene:A02g507660.1_BraROA transcript:A02g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKTSKKGTTPANVHEELLVPKIEFVPHSVDPVETRHGALRAMVLRTVWSFYRIPDTVEIRVPRQGERPSSLSDWLLYLLRSIHSALPLVHLVGVLILSYEHGLSLTVDHFEALFRLQIIRNTDKYGLVPRNFMSVVKGFLSNFNSWKKFFFFVRINAASVEESCIPLFRSLPKDRPFINPIAPFPEDTIAVRDLLRNGPFFWTSFTPKRVRKALRLGHPGPASGAETDSDSEHDAPGFDVAPTDTMGLSSSKGKDINLGDIEFSVDDSMLPGWDPDLAYGDGSGTSEIPIPYFDDFFAGLPSSFDPPPPVDESARSRVVAEGSHIINGGQNLLGSALEASHRETMVYRFKAEKAERDLARMQGEMLERDSKLARDHARAVRKAKRKGKREIVEVMKSHASQFQIEYRNLKDAYTLVDDYRACRGSVGSLWKTQEEDYVFEKEMRFMKDGMKNHAHAETLIPQIDGRIQGFWDPVLVSPDTLEVTTEFAGGDEEMNYPADAFGASLSENFDL >A05p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1229434:1232644:1 gene:A05p003370.1_BraROA transcript:A05p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKSLVILALLFSLSVAVFADTSNDASTPKDEVKPSEATDAIGQQQPQAAEAEAEANDVVVEPQQRVRCGCINGCCGICNYGRCSYCCPRQQSEEAVETEAIDANVVEPQQRVRCGCINGCCGICNYGRCSYCCPRQQSEEAVETEAIDANVVEPQQGGRCGCRYRCCGICRYGRCSYCCPRAQAEAEVIEPQQRSRCRYGCCGSYAYGQCTKDEVKPSEATDAQAAEAVAKDVVAEPQQGRWPGGCRYGCCGGWFFGRCNYCCRSPQAEETVETEAVEANVVEPQQGGRGGCRYGCCGSWRYGRCSYCCRSPQAEETVETEAVDANVVEPQQGGRGGCRYGCCGSWRYGRCTYCCRSPQAETVETEAVDANVVEPQQGGRGGCRYGCCGSWRYGRCSYCCRNPQTETVETEAVDANVVEPQQGGRGGCRYGCCGSWRYGRCSYCCRGAQAESEGQKKEEAKP >A06p006530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2196503:2198644:-1 gene:A06p006530.1_BraROA transcript:A06p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast J-like domain 1 [Source:Projected from Arabidopsis thaliana (AT1G08640) UniProtKB/TrEMBL;Acc:Q93WG3] MASAVSNAFRYPPPQIGHRSSNTTLRAPKSPSFVRLLPRRVLQSRIVIRAASSSAAGNPQSDGDFNPYEVLGVNPIEGFDKIKQTYQRKLKEAQRSGDEATAALLEKAYDKLMYSQLMNRKKGVTFGSFKVSKDIRYADKQPIIPWGPRYSKSPKNDMLINLAISAVFSAWIAIQRSVEYKPLQFMSFVFVYRIFEKLKSFEGPSTPTYNEEGEENGRGLKMGKRLLRSLSLVFGSILVASLAYTGMLNVIEYMGSSIPIALYNNQELIITASSALMLYVMASYYR >A08p026490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16876590:16877678:1 gene:A08p026490.1_BraROA transcript:A08p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVPVLTLVFLLLTTMSHAASKPRMILVGGSAQAWKVPDSPGNTLNHWAENNRFKLGDILVWKYDAKVDSVLQVTKEDYDSCNTANPLKQFNDGDTKFELDNSGAYFFISGAPDHCAKGQKIHLVVLAERNPGGGGGGSADRGAGDNPKVTPVSPPANTPAPAPAHNAAGGLNVGSGLFLVAVAIGLAMA >A04g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6461120:6465568:-1 gene:A04g502850.1_BraROA transcript:A04g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGLRIEIGTNGERQSSMINNDLTTREEWMLVTRSSRFHLGSEEHKAVGVDLLPFANIVYSNLEVTDDSAIAQGNNHCYEHEHESTGRNPTDSHMGYEQEERYEDLRERNQAPDMYGSRRNFETAHNPRQNESEFMHRERTPEPRCRQEQRTDGSSDPLIVLVQGLLDRLDHRTGESSERRPSSPPDYLKMGRKAPDYITCFSCGEKGHYANSCPHKRQVTLPAPPTRLAIELAPKRQAVGKQVNALELGKPEPQQPHQGPITGPGSLREPTTSDRILFRVRVRALRSLQEIIKALAAKAVSKLLIDFGLNLLKGCLRTPFEDQAKHSSIDRAGQETELPGRVLVPLCLSPRTPYILAPRSVYAFTLLPLSRHSIKDILFFSIFTIIFKTSVFICGNLTFILPCGPSVNRAVVYGWASSRVLGPFGPSSDSKSLLRFLSTKKELSANAWTGVIPMIGRVRSLRSDRTLARARSLRSDRARRTLGRYVATEQDGRLRPSLAQARSLRSDQARRMLGRYVATELGSGSVAM >A07p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3452915:3455386:-1 gene:A07p003010.1_BraROA transcript:A07p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPESSPPPSNSSSTSSTPPSPPSPTQGDSSSPPPDSSSPPAPQAPSPPSSSNNSPPSPASQGGGGNGGGNQSPPSRGSPPSRGGDNSGSRSSQSGNNGGSRSDNSPSGGSGGGGGGGGNNTNTAIIIGVLVGAGLLMIVLIIVCLRRKKKGKDSFYPESMKGNQYQYYGNNNNNNNNNNSSQNYPNWHLNSQGQNQQPPNSWGGGGPSLPPPQQMPTSGDASSLYSGPARPVLPPPPPTLALGFNKSTFTYQELAAATGGFADSNLLGQGGFGYVHKGVLSSGKEVAVKSLKSGSGQGEREFQAEVDIISRVHHRYLVSLVGYCIADAQRMLVYEFVPNNTLEYHLHGKNLPVMDFSTRMRIALGAGKGLAYLHEDCHPRIIHRDIKSANILLDFNFDAMVADFGLAKLTSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGKRPVDSSGTMDDTLVDWARPIMARALEDGNFNELADARLESNYNPQEMARMVTCAAASIRHSGRKRPKMSQIVRALEEEMSLDALNESVKPGNSKVYGTSGTSTDYSQTSYNADMKKFRHVALSSQEFQSSEAEGSCSTDSRETKSPAAPK >A05p012810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5597067:5598669:-1 gene:A05p012810.1_BraROA transcript:A05p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLLGHTQKLTLPFSNFTSSHKPTLGSQKPFLVSPSNGSPSGRLFIRSPITMAKSNSKSDYQDDKKLLKPLKMAAGASLALACALGIFGFKIKNMSYSAAAAGRPSAADMIITGKPTAAVSESSGMYPLPAKYALQSLFEVSSMLASAKPIPSQRPFNLHKLPSLPSKEDTDSIKMEAVRKMKEGKCEEAVQLLRDANMRYKNEPEAAFNVQMALVEILILLERYQEAAEYSCLNDENALISDIRIPLYKAIIYTMLDKDTEAKKYWKEFRKSIGEGFDPFSFEE >A04p031610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18600082:18601251:-1 gene:A04p031610.1_BraROA transcript:A04p031610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33800) UniProtKB/Swiss-Prot;Acc:P93014] MATTSALSSLSSLSLHTPTSRLTSSRPTISFSFLKRPTTLTPLKATSSSDQSETIFFEDQNPEITANAVFDPPSPPEGFVPAPYFDEGADETEAEIATAYEELYGPAYSGESMLGKDVNVMDSKMKKGGGGIGGQKPKKEKIRDGFEERVVQVRRVTKVVKGGKQLKFRAIVVVGDKQGNVGVGCAKAKEVVAAVQKSAIDARRNIVQVPMTKYLTFPHRSEGDYGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATLAAVQQMRQFKDVALERGIPMEELWK >A09p056310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47925882:47926533:1 gene:A09p056310.1_BraROA transcript:A09p056310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNRQTTRLTCGFKVNTSSPEWHKSMTKILKKIKGGNFWIDVDEGMAYVTGQGDPNKLLKLMASRKGKDAEMAFVKTGTHHHHDHSHFGNSYQNSYFGQSTPYWPGDMNMSSYHPSSSHGYYPSAPPAMQPYQQQYPYSGGYGYGFY >A01g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25768615:25771574:1 gene:A01g509540.1_BraROA transcript:A01g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPILQVETTCGSLLYELQIIWDEVGETETDRDKMLLELERECLEVYRRKVDHANRCRAQLRQAIADAEAELAAICSAMGERPVHNTRQSDQSVGSLKQELGTILPELEEMQKRKTERRNQFLFVLEEIENITNDIKGQGQLVLPKPPIHETDLSMRKLEELHCHLQALQKEKSDRVETIRKHLCTLYSHCSVLGMDFNEVVSQFNPTLTDPEGPRSLSDHTIDTLDAAVQKLREVKIQRMQKLQDLATTMLELWNLMDTPIEEQQEYQHITCNIAASEHEITQANSLSEDFIKYVEAEVVRLGEVKASKMKELVMKKRSELEEICRKTHMLPVSDSAMDQTIVAIESGIVDATLVLEHLEQHISKVKEEALSRKEILEKVEKWLSACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVNKLPVMVEALASKTIVWEQEKGIEFLYDGIRLLSMLEEYNLLRQEREEEHRRQRDHKKLQGQLIAEQEALYGSKPSPSKPLGGKKAPRMSTGSAATNRRLSLGAGTHQTPKPNKKADQRQAEGALSTGRRGLDVAGLPSRKQSMNPCEQLQSPLVRKPFSPISTTVVASKANIATPQQLVPSSKSNAVNEISSFATPVKNNNIIKNLEEEKIMMMMQTPKNVAAMIPIPSTPATISVPMQTAPTPLNNNNNARFLPEKAEVIEYSFEERRLAFMLQSESPIHV >A09p038860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38434810:38449250:-1 gene:A09p038860.1_BraROA transcript:A09p038860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLGDFGPRVLSVQYTQDVCGCPPAHTGRPWPSVSKHRTSVAVCVCPTAHTGRLWLSISTYISTLVLGLSTVAHPVDCSGDFGPRGLSLQYTQDVRGCPSAHTGCLCVSVSSHRTSVAVRVCTCVSVSTHRTSMDVHHTLTLPVDCSGDFGPRGLSVQYTKDVFGCPPAHAGRLWLSVSKHRTSVAVRVCSCVSVNTHRTSVAVHQYIYKHAGPWTQHGGPSRGLFGTSVGVLQHTQDVRVCPSAHRGCPSLFGCVRVLSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVYGCPQAHTESPWLSVSKHRTSVAVRVCSSAHIGHPWLSISTHISTLVLELSTKFLRLSTVAHPVDCSGDFGPRGLSNQYTQDVCGCPSAHTRCPFVSVSSHSTSVAVRVCSCKSVSKHRTSVAVHHTLTLPVDCSGDFGPRGLSVQYTQDVRGCLPAHTGSSWLSVSKHRTYVAVCVCLCVSVSTHRTSVAVHQYTYQHAGRWTQHGGPPRSLFGTSVGVRQHKQDVHVCLKAHTGRQWLYVCVRVCLSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGQRWLSVQYIQEVIGCPPAHTRRLWLSVAVHKHTQDFRGCPCVSVSTHRMSVAVYQYTYSTLVLLVDCLGDFGPCGLSVQYTQDVCGCPLAHTGCLWLSVCRHRTFVAVRVRLCVSISTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTSVGVRQHTQDICGFPWLAHIGRPQDVSGCPSAHTGRPCVSVSTHRMFVAVYVCPSAHTGRLWLSINTHISTLVPGLSTLAVPVDCLGDFGPRGLSVQYTQDVRGCPPTHTGRLLLSVSKHRTSVAVRVCSCVSVSTHKMYVAVHKYTYQHAGPWTQHGGPSLHTGRPWVSVSTNRTSVCVRQHTQDVCGCPCVSVCVRQHTQDIRGCPSVHISARWSLNSARWSLNSARRPFPWTLGDFGPRGLSVQDTQDVRGCPPAHTGHPWLSMASTHRTSVAVVCIRVYPCVSVSTDRTSVGVRQHTQDVPVCPSAHTGNLWLSVCVRQHTQDVCGCPSVHISARWSLDSARWPFPWTVWVIFAHVGCLFSTHRTSVGVRQHTQNVCGCPWLSVSKHRTSVAVRVCPSPHTRCPWLSVSTHRMSVAVCECPSPHTTVHHTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRLWLSVSKHRTYVAVRVCPCVSVSTHKISVPVHKYTYQHAGPWTRHGGPSRGLFGTSVGVRQHTQDICVCPSPHTGRSWLSVCVRLCPSAHTGRPRLSISSHISTLVFGLSTLTLLVDCSVILAHMGCLFSTHGTSVGIRQHTQDVCGCPCVSVCVCQHTQDVRGCPSVHISARWSLDSARWPFPWTAWVILAHHKQDVRGCPCVTVSIDRTSVGVRQHTQDVRVCPSAHTGRPWLSVCVRQHTQDVCGCLWLSVSKHRTSVDVRVCLCVSVNTHWTSVAVHQYTYQHAGPWTQHGGPSRGLFGTLALAVDCLGDFDPRGLSVQYTQDVRGCPPAHTGHPWQSVASTHRTSVAVRVYPCLSDVRGCPSAHTGRPCVSVSTHRMYVAVCVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFCPRGLSVQYTQDVRGCLPAHTERLWLSVSKHRTSVAVRVCTSAHTRCSWLSISRRISTLVLGLSTVALPVDCLGDFGPRGLSVQYTQDVRGCPSEGT >A06p057990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30094703:30096876:-1 gene:A06p057990.1_BraROA transcript:A06p057990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:Projected from Arabidopsis thaliana (AT4G38530) UniProtKB/TrEMBL;Acc:A0A178UYI1] MSETFKVCFCCSRSFKEKKRQPPGSIKRLFEAYSRNGKMSSDDLLRFVSEVQGEEHAGMDYVQDIFNSVKHHNVFHIHGFVHLNAFYRYLFSDTNSPLPLSRQVHHDMKAPLAHYFVYTGHNSYLTGNQVNSRSSVEPIVQSLRKGVKVIELDLWPNPSGNAAEVRHGGTLTSHEDLQKCLNAIKENAFHVSDYPVIVTLEDHLPPNLQGKVAKVESIFFSFAQNRGALQMLTRTFRGMLFRSDSESCKHFPSPEELKKKILISTKPPKEYLESQVIQSSRRTPVDRATSWSGTTNMSWRGEKKIPEEDEESEAVGYRDLIAIHAGNCKSGLEDCLRDDPEKPRRISVNEQWVENVVRTRGIDVVRFTQRNLVRIYPKGTRVDSSNYDPHVGWTHGAQMVAFNMQGHGKQLWIMQGMFRANGGCGYVKKPRILLDTQRLFDPCKSLPIKTTLKVKIFTGEGWDLDFPQTHFDQYSPPDFFVKTGIAGVPRDTVSYRTETAVDQWFPIWKQEFLFQLSVPELALVWFRVQDYDNDTHNDFAGQTCLPLPELKSGIRAVRLHDRAGKPYKNSRLLVSFYFDPPYTF >A08p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17069376:17072577:1 gene:A08p026880.1_BraROA transcript:A08p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAYTVHQTLTPEAASVLKQSLTLARRRGHSQVTPLHVASTLLTSTRSNLFRRACLKSHPFTSLGRQMAHPSLHCRALELCFNVALNRLPTNPNPLFQTQPSLSNALVAALKRAQAHQRRGCVEQQQSQQNQPFLAVKVELEQLVVSILDDPSVSRVMREAGLSSVSVKGNIDDDSSVVSPVFYGSSSSVGVFSSPCSPTSSENNQGGGTLSPNPSKIWHGHLTTHHSFEQNPFFHFPKGKTFAPDHVREDANPVIEVLLGKKNNKKRNTVIVGDSVSLTEGVVAKLMGRIERGEVPDDLKQTHFIKFQFSQVGLNFMKKEDIEGQVRDLKRKVDSFTSWSSKGVIVCLGDLNWAVWSGENSASSSQYSAADHLVEEIGRLVYEYSNSGAKVWLLGTASYQTYMRCQMKQPPLDVQWALQAVSTPSGELSLTLHASSGHSSEMAPQVIEMKPFRVKEEGSGQGEEDDKLNFCGECAFNYEKEAKSFISAQHKILPPWLQPHGDNNNINQKFQDELSGLRKKWNRFCQALHHMKPGMTSQSFGWRAEQSSSAFLDSSGVKQNSRASSSVAKFRRQNSCTIEFSFGTNNQESLKKNLTDELSLDGFKISNDEGVEAKITLALGHSPFPSDDEEEPERSTTMRGLSEKLQENIPWQRGVLPSVVEAMEEFVKRSTRRDTWMLLSGNDVSAKRRLALTVATSLFGSVDNMLKINLKTSKASEAYEELEKALKNGEKVVVLIEGVDLADDRFMELLVDRVEAGKSGGLDDSQGKKSHMIFLLTREDDECEDNEHVVIPMVLKCKKLSSGLVNNKRKPESDAVSTMVKMKNPRIQEEEDVACDISNIKKEFSRQLSFGPNALDLNLRVDAEEQEEEAAAKSATQERFLDSIKNRFDFTVLSNEDITKFFVTKIKDSCEEILGQHEERFGFTVEPELIEKFYRGCGFFANGLFEEWVKNVFQTGLVTVKNGGKEGISVINLCLRGIDMIDQGEVYEEEGFMGTCLPNRIQVSFVD >A08p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:785033:786165:-1 gene:A08p001310.1_BraROA transcript:A08p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYRGVMMRSWVKFAAEIRDPNRQGTRVWLETFDTAIEAARAYDQAVFKLRGSKAIVNFPLEVGTWNQRGDVGQNKRKLEGEEEEVTVVANISEGLLFLLLWQRLNSKRGPVYHFIKPQGFVLTTLLISTKIQKRTSGYATGITGYVLRRASLTAEDAFAFLKIPTMMVAQFMDFLILLRLFTGLTTKDINDLWIQADFDGNSLKQFQVPFLNEDI >A08p008150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:140289:145492:-1 gene:A08p008150.1_BraROA transcript:A08p008150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEAIGGLIRKRKKLEAWSVLLISQPFLSLPDRECLFGSRGGWRGVMNLKPKLLVQELITSGYKKDEAKKSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEREVVMRHWCELSLKLTCKLGPILNPSLRRGV >A07g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5072937:5073363:-1 gene:A07g502350.1_BraROA transcript:A07g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDNKEFQSQVKNSSGHFRNLAWPWVLSLLDPKCRVSNVSTSIYGTCVHRSILIFICRGIPWCRSIALMRIDRLFFLSSTSTWSARVKCLLSSNMLQSHSFTPICT >A10p034850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20178640:20183267:-1 gene:A10p034850.1_BraROA transcript:A10p034850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene induced calmodulin binding protein [Source:Projected from Arabidopsis thaliana (AT5G09410) UniProtKB/TrEMBL;Acc:F4KCL4] MADRGSFGFISPPRLDMEQLLSEAQHRWLRPAEICEILRNYHKFHIATESPTRPASGSLFLFDRKVLRYFRKDGHNWRKKKDGKTIKEAHEKLKVGSIDVLHCYYAHGEGNENFQRRCYWMLEVELMHIVFVHYLEVKGSRTSIGMKENNSNSLSGTASVNIDSAASPTSRLSSYCEDADSGDSHQSSSVLRASPEPQTGNRNGWTSAPGMRIASPVLGNRVGETDSQRLFDVQTWDAVDNLVTRYDQPCNNLLLEERTEKGGMLPAEHLRSPLQTQLNWQIPAQDDLPLPKWPGYLLPHSGMTDDTDLALLEQSAQDNFESFSSLLDIEHLQSDGISPSDMESEYIPVKKSLLRHEDSLKKVDSFSRWASKELGEMEDLQMQSSRGDIAWTSVDCETAAAGVAFSPSLSEDQRFTIFDYWPKCAQTDADVEVVVIGTFLLSPQEVTICSWSCMFGEVEVPAEILVDGVLCCHAPPHTAGQVPFYVTCSNRFACSELREFDFRSGSTKKIDAAGIYGYSTKEASLQMRFEKLLAHRDFVQEHQIFEDVVEKRRKISKIILLNEEKENLFPRIYERHSTKQEPKELVLRQQFEDELYIWLIHKVTEEGKGPNILDEGGQGVLHFVAALGYDWAIKPILAAGVNINFRDANGWSALHWAAFSGRGISGFLAESSLTSYLEKLTMESKENSPANSGGPKAVQTVSERTTAPMSYGDVPETLSLKDSLTAVRNATQAADRLHQVFRMQSFQRKQLSGFEADDDDEIGISNELAFSFAASKTKNPGQREVFVHSAATHIQKKYRGWKKRKEFLLIRQRVVKIQAHVRGHQVRKQYKPIVWSVGLLEKIILRWRRKGTGLRGFKRNAVPKTVEPEPQCPMVPKEDDYDFLEKGRKQTEERLEKALTRVKSMVQYPEARDQYRRLLTVVEGFRENEASSSLSVNNREEPVNYEDDDLIDIDSLLNDDILMSTSP >A03p068080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29762351:29768422:-1 gene:A03p068080.1_BraROA transcript:A03p068080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSEWSSGCESGWTLYLDHSVSSFPSSSCFRDINGFENRRRSKDSWSQNYVHQEEDEEEDLSMMSDASSGPRNVCEEDSVKKLNSVGPKKQNKSEKKRRDYEKMNSVLDDTASSHMLQKSVGGNKIEQTFPESTLDYSQGFSATHIQTIPLDFFSKHIEGKTNQKTWKLRSDASDQTWEVIQEGRTLTRGWKDFTTAHDLQIGDLVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADSGDADDNEIRGTGAMSSFSYDFCFLAEFTASNLKADKLYLPKRATSSTALNKQCQEMILVNKEGNSWTASLRFSESGGMYYITRGWEKFCRDNICDIGDLFVFNLVGDGKTTPLLCVCPESKKCSELLSKHLSRKRELPDA >A04g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11473089:11475570:1 gene:A04g505350.1_BraROA transcript:A04g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFCDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLKSSGLPKSRLDFLSRLDFLKVVWSCLLKWNPSFNNVFQDTTYNSVVHETTEIKRLNFQSSQVTDFKVNCKNNLCVDQTTSSSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09p070450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54602093:54606267:1 gene:A09p070450.1_BraROA transcript:A09p070450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 84B1 [Source:Projected from Arabidopsis thaliana (AT2G23260) UniProtKB/Swiss-Prot;Acc:O22182] MGSSECHETHVLMVTLPMQGHINPMLKFAKHSSRSNLRFTLATTDQARDLFSSAAATTTGNNPCNPVDLAYFSDGLPKDDPRAEPTLLESLRNVGGNNLSKIIGENRFSCIISSPFTPWVPAVAAAHNIHCAILWIQAFSPSLLGANQDQTIDGENLDMWKADDHCMKWLDKQARSSVVYISFGSLLKSSVDQVESIATALRNRGVSFLWVIRPKENAQNVAVLQEMVQEGQGVVIEWGPQERILSHVAISCFVTHCGWNSTIETVAAGVPVVAFPSWTDQPIDARLLVDVFGIGVRMRNDAVDGELKVTEVERCIEAVTKGTAAAGMRKRATELKQAARLAMAPGGSSAQNLDSIESQETHVLLVALPYQGHLKPMLKFAKLLSRPNLHFTLATTEQARDLLSTAAEDEQPCPVDLAFFPDGLPKDDPRDADSLIVSLRNVGAKNLSEIVESKRFSCMVTVPFAPWVPSVAAAHNIPCALLWIEACGAFSVYYRYYMKTNTFPDNLEDLTQTVELPGLPMLEVGDLPSFLLPSAGSHLNNLMVDFVECLKNVKWVLVNTFHELESEIIDSMSELKPVMPIGPLVSPFLMGADEEKTVDNGDCMEWLDKQARSSVVYISFGSLLKSSVNQVESIATALRNRGVSFLWVIRPKENAQNVSVLQEMVQGEQGVVIEWGPQERILSHVAISCFVTHCGWNSTIETVATGVPVVAYPSWIDQPLDARLLVDVFGMGVRMRDDAVDGELKVAEVERCIEAVTEGPAAEDMRRKAMELKNAATSALEPGGSSARNVDLFIAEINNQSTT >A10p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14400139:14404795:1 gene:A10p021420.1_BraROA transcript:A10p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDEFGDLYSDVFQPPAVLPPPPPPLRSIDLNLRSQDQDEPEEPNPPPVSRVSDTTTAPKLPPALPHAANRDSGGGGDDKDMAFDIEEPDADSTPAIPGLALDRGVTTTIDEAGDGGGYGGQGGDDWDSDSEDDLQIVLNDNNVMMGGDSRRSRMGDNEADDDDDDEEPLVIVTDTDQNQLMEDQLWGEDGLQGVEGEGKEGGGEALKGTGPGGAVGPPKAGYSSHGYHPFHSQFKYVRPGAAPMPGGAASAPGTSSGQVRPPANLGPMAGRGRGDWRPMGMRNPSVAQKGYHQAWGSNTAGRGLDFTLPSHKTIFEVDIETFEDKPWRYQGVDITDYFNFGLNEETWIDYCKQLDQRRIETTMQSRIRVYESGRTDQGYDPDLPPELAAATGAQGVPVDSSNLVKVETAQVDSAKVPAHVRPALPPGRPIPVETGSGERQPSVDTRAPRMRDLDAIIEIVCQDSHEDAPSGENDTEPAVSSLPIENVPVETGYVNSRRPDGESAERSPTQDEPRKRLLRKQDDEISRSTGSGQSFRSSSPVGDRGTMSSSVDREDMEGVAGKDAEMEEERKTSSAVQEDDGGESKTERSSGNSKARSESHRDHQQLKDSAEQEVIQNKHSTRPASSKKHHDNSAPCQSRKNQDRGKEMERTRAASKGGWEYSNPHTDVDYSIARGDDYDRRKRRDVDGGFWRRKEDDPFSRRGGDEGFRKRDREEDLGSKQRGKMRESDIRNKDDHVPSRKHLDDGGLRNSYELDDHIGKRRKDEEYLRRNRSEKNEISYGQRESTSRLKRERGDRLDHQKRDVQHKSRDDFDDHSSLRHRDDFYMQRDGNERLREREDMDKLKLTQEDGLSARGRERQVPTTRGHRGSEDRSSMMKDDYKASEKEHLTKDSTRHSKQTKRREYHGEESSHHRGHGDFSARTDETVNNEKMPRQEKTSAKSDNVINSMDGQQLQDRKHKDSRRKIKEQREGADSARSKQGEENGSSEVTGSKGKNEARNHRSEIPQQTNATKRHKEDASSGDELQDSKRGRTKMERWASHKERDDVVTVKASSTSSKLQDKEKGTNGRVLEPVHDKNRDVTEEKSSHDLAETKDRSEKGPPGDRHLDTVEKLKKRSERFKLPMPTEKDTTGVKKMESETLPSAKIEGPVDSEVKAERPARKRRWTSS >A03g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3472707:3474612:-1 gene:A03g501150.1_BraROA transcript:A03g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFIFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKPKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEVQTTLPEDF >A09p051700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45501983:45507251:1 gene:A09p051700.1_BraROA transcript:A09p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESSDAGASKTYPQQAGNIRKGGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRIDYQLIDISEDGFVSLLTDSGGTKDDLKLPTDDSLSALMKSGFEEGKDVVVSVMSSMGEEQICAVKEVGGGKSSLCFGFISLLVKMETESNPILRKTELKSYSHKHFHSTNALEILRESVRILRYNLGAFMLTAAVLICPVSAVLLPNFLVDHSLVNKLTVKLLLVAKSSGLPLQPFVKHSCQKFAETAVSSAMCFPLFVTVSLLSKTAVVYSVDATYSREKVEINKFLVALKKLWKRVVYTYLWVCILIVGCFTFFCVLLVSICSSFSVLGFSPDFNVYGAILVGLAFSIVFANAIIVCNTAVVISVLEDVSGAGALARASDLIKGQVQVGLLIFLGSTLGLAFVEGLFDHRVKKVSYGDGSSRLWEGPLLVVMYSFVTLIDSMMSAVFYFSCRVYYSMEASSVGEAQPIMMDTVEVVDT >A02p026470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13911224:13913176:1 gene:A02p026470.1_BraROA transcript:A02p026470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTEQKTPKLVETSPNISTDSSASEKGEATSQQQSNNGYALTVDEVIEQHIGALGFAQIVHALLVSIAWTFDAQSTLISIFSDAKPAARLLTTGAIVEGSMLCGLSTGEWEWVGGKSDTIVSEWNLICEHKFLVALPSTLFFIGSLFGSGVYGYLADSWFGRKKALLISCLLTFVTALAISFSPNIWVYAFLRFANGFFKSGIGSCCIVLATEVVGKKWRGQVGQYGFFFFTLGFLSLPLMGYLERKSWRNLYRITSIIPLGYAVFLLPFAYESPRWLLVKGRNKEAMVVLKKLARRNGKQLPAELSLVDPIQGRDDRASSSSEKFWRTKWAVKRIVMVMMAGFGTGFVYYGIQLNAENLNFNLYLTVAVNALMEFPAVFVGSFLLGVMNRRPLFSISSYLAGTACLLCAVLSLHRVTTVLPATKWLQLAVEAVGFMASSTAYDVLYVYGVELFPTNVRNFAVSLLCQAFMLGASAAPLLVALGRENAMMSFIVIGTASVLSGVLSLWLKETRNAPLYETLTQQGKAEEMENKTECS >A02g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24123904:24128414:-1 gene:A02g509020.1_BraROA transcript:A02g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVIKKSDIDALIKALKESGNTLGNTLGYSYTAHVLPRTCDNLLGNFDRMRTEPDSQKMGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEEEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKQGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLFASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWSLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDCITRKEWRVAKSTGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQS >A01p052210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29216058:29216764:1 gene:A01p052210.1_BraROA transcript:A01p052210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPRDGIKAEDIRSWMEDFGKIKNVVKYVARLGQSFGLSKETLTVMADDVELFLMWRSSLQEHTMCFQMELARFLLSLMYWWLGNATSKEFLHLLFRLVMEGVSDSVFGKKHKEVVDTLDSVLTDPMKILCLMPPREHTKFLKDLVSCDIKPSEPFLSMMLHYIRESKLVELCSKTRI >A09p008750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4521536:4522532:-1 gene:A09p008750.1_BraROA transcript:A09p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C1 [Source:Projected from Arabidopsis thaliana (AT5G63030) UniProtKB/Swiss-Prot;Acc:Q8L8T2] MSKEKMEKVMNKAKEIVSSYPVVVFSKTYCGYCQKVKKLLTQLGADFEVLELDQMSDGGEIQSALSKWTEQRTVPNVFIKGKHIGGCDSVMESNQKGKLVPLLIEAGALGKISSKL >A09g510140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:29554412:29554708:-1 gene:A09g510140.1_BraROA transcript:A09g510140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESEEEADLVRKNKMLREQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPIRQEHAGSQETDNYYSRHRTEHNQTER >A02p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26753799:26756626:1 gene:A02p042630.1_BraROA transcript:A02p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELDEIKAYATRFMEFSILFILRALNVRADGDALRRIGSFPSVNGCSPRWLVPNTGHNSYGCRPMYKMIITCKLKILCHNHFIGTLPVVSHFFHYKTPLRNPFGVIKLVSKSFNFSKTMALEHIKIFLIVSLVSSFCFSTTLSRLLDDELIMQKKHDEWMAEHGRTYADMNEKNSRYVVFKRNVERIERLNNVPAGRTFKLAVNQFADLTNDEFRSMYTGYKGDSVLSSQSQTKSTSFRYQNVSSGALPIAVDWRKKGAVTPIKNQGSCAVAAIEGATQIKKGKLISLSEQQLVDCDTNDFGCSGGLMDTAFEHIMATGGLTTESNYPYKGEDATCKIKSTKPSAASITGYEDVPVNDENALMKAVAHQPVSVGIEGGGFDFQFYSSGVFTGECTTYLDHAVTAVGYSQSSAGSKYWIIKNSWGTKWGEGGYMRIKKDIKDKEGLCGLAMKASYPTI >A09g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18829156:18831948:-1 gene:A09g506310.1_BraROA transcript:A09g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLDRELGQCIRSDKVYFASNPNTAEAKTRSDVPQTTDHYMEPAQHGVQDVLNISTEVHVFHRAILDLGRARLSLGGEKNQRRTCILIRRTIRTVPQASLSLPRASIWWLALDRGYIKSHSASLDDPFNPSQFQKRHLLLGGVVEEKFCWLKRNPALGQMFGLLKKSKPQQDVYFTFKTVFEKEQLIFDKKQFASNEFDFVQKQKKRQNMCDDEKWVRSRDRLFTKAKRSNCDVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNSNTSSAPKQQSNSSSISNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHKVGHYANKCQKQKTLVTLEKVETEPEKEDVLPIFDDYAHEPKEGSGGEQNCGHREGSCSIHNPDQTQDLRTNLFEEEGNDVPQTTDHYMESAQHGVQDVLNISTEVHVFHRAILDLGRARLSLGGEKTKDGHAFSSGGPSGQSRKRPYLYPVHPSGSDESRHFDWSSPFSPIIFVCHLVVSQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKRHLLLGSYPTPS >A10p027120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17110856:17111880:-1 gene:A10p027120.1_BraROA transcript:A10p027120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 12 [Source:Projected from Arabidopsis thaliana (AT5G18960) UniProtKB/Swiss-Prot;Acc:Q3E7I5] MNNAMVADESKESLLWLFQTWLRAMPGHPPRSIVADQDLPIKQALSQVFPGAHHRYSAWQIREKDRENLRPFPSEFKEVHEHRENWVPAYLRASFLAGIPISGAIEPFFGASLDALTPLGEFIPGMNKDLSKDAKRKEKRISTLTTCSRFISADEEGSRGRACRRLYTLNVFRIFQNELVQSYNYLCLKTHGEGAVSRFLVRKESEKHAVTFSASNRSSSCSCQMFEHEGVLCRHILKVFNLLDVRELPSRYILHRWTKNTEFGFVRDVESGVSSRDLKALMVWSLREAASKYIEFGTSSLEKYTLAYEIMREGGKKLCCQR >A10p006360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9683546:9685806:1 gene:A10p006360.1_BraROA transcript:A10p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSKLLLLVCYVSLLCFVSSSSLSSELSGKSQPSSSKVSLGLYYESLCPYCSSFIVNHLTKLFEDGLISIVDLHLSPWGNSKLRSDNVTVLCQHGAYECFLDTVEACAIDVWPELRDHFPFIYCVESLVIEHKYKKWETCYEKLNLSSKPVADCLSSGHGKELQLQYAAETSALEPPHKYVPWVVVDGQPLYEDYENFISYICKAYKGNKVPSACAKYSSIRSLKVNRFPIVCRKGVNTMWELLERTKTSLLSYLTCLL >A10p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11393456:11394693:-1 gene:A10p007440.1_BraROA transcript:A10p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSLCRTAAAASSVATLRSSRSAAPSQLLRHRFLSTRGFFAVSSCPSNRTPYDFRYPRAMDIGQVRSFSEDVSHMPEIKDGDVLKAFKELMAADWAALPSAVVNDAKNAVSKNTDDKEGQEALINVFRAAEAVEEFGGILTSLKMEIDDSIGMSGENVKSLPEDITKALHLAYHRYTTYLDAFGPEEVYLKKKVEMELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLSGSYVEQRA >A07p033510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18335099:18339868:-1 gene:A07p033510.1_BraROA transcript:A07p033510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G79560 protein [Source:Projected from Arabidopsis thaliana (AT1G79560) UniProtKB/TrEMBL;Acc:B9DHQ6] MEIAIPYKPNPLISSPTNLLKRPKSSGLVRCPAKYGHRVTRKNPIFRVYASESSDGSSSNNGGGFSWLRLTQSLRIGLEGIGESVKKETGFDPEEAGARVDEYVGRVKASVKKGQGELTRFRTEIVPSFIDWNKWEHWKDIKNWDGRRVAALMIYAFAVLFSCQRVYVAVQAPRIERERRELTESFMEALIPEPSPDNIDKFKRNMWRKTTPKGLKLKRFIEAPDGTLVHDTSYVGENAWDDDLESTEGSLKKIIDRNARIQTEAKKKLSRDLGVSGEVGGSVGTWQERLATWKEMLEREKISEQLNSSRAKYVVEFDMKEVEKSLRKDVVEKTSETEGTRALWISKRWWRYRPKLPYTYFLQKLDSSEVAAVVFTEDLKRLYVTMKEGFPLEYIVDIPLDPYLFETISNSGVEVDLLQKRQIHYFMKVFIALLPGILILWFIRESAMLLLITSKRFLYKKYNQLFDMAYAENFILPVGDVSETKSMYKEVVLGGDVWDLLDELMIYMGNPMQYYEKDVPFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAAKINEMFSVARRNAPAFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGIDRFSLRQAVIFICATNRPDELDLEFVRSGRIDRRLYIGLPDAKQRVQIFGVHSAGKNLAEDIDFGKASTFFLLIFGFSGADIRNLVNEAAIMSVRKGRSSIYQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQSVSYEKKRLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAVSVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAERVVFGDDVSDGGKDDLEKITKIAREMVISPQNTKLGLTQLVKKIGMVDLPDNPDGELIKYRWDHPHVMPADMSIEVSELFTRELTRYIEETEELAMNALRANRHILDLITGELLERSRITGLEVEEIMKSLSPLMFEDFVKPFQINADEEEPLPHKDRVSYQPIDLRPAPLHRC >A01g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2611728:2616106:-1 gene:A01g500700.1_BraROA transcript:A01g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARKFEVRGKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRSVTSRHWLCSGDTHGRPCVSVSTHRTSVAVRQHTHRNVPWPSVSTQLTSGGCPSYTVSHAPWTLVWVGTTRGRPSAHAGAVAVRVCRVSGSGTQNVRQHTQDAVQHMAGLPVAVRVCPCVRQCTQDVRQHTQDIRQHTHDIRQHTQDVRGCPCVSVCPSVHTGHPSAHAGHPSAHAGRPSAHAGRPWLSVCVRVSVSTRRTSVSTHRTSVSTQRTSVAHCFRTNTVSGLAKAGWLVAFSLTLFVPGFGDIRKLCVRSNQN >A02p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12195367:12197520:-1 gene:A02p025540.1_BraROA transcript:A02p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYPQDPFSLISCKDIQFHDFYFQQEDNQDPLDTKNNIKLGQEQRQGLPRINYNGKSVDTFTNDDYNYNDQEEDLRWPRDDHLYGSALDEPPPSDVAAGGGRRKRRRRTKSSKNKEEIENQRMTHIAVERNRRKQMNDYLAVLRSLMPPSYAQRGDQASIVGGAINYLKELEHHLQSIEPPLKSTTVPVDTESASPAADQINTIAASSLGQFSEFFAFPQYSSRPSSSSVAEGMAEIEVTMVESHASVKILAKKRPKQLLKLAASIQNLKLTVLHLNVTTCDDSVLYSISLKVEEGSQLNTVEDIAAGMNQILRRIEEESS >A05p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9412912:9415791:1 gene:A05p020070.1_BraROA transcript:A05p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTATSSIGSMVAPNGHKSDKKLMNKLSSSSFGRRQNVCPRLRRSSPAIVCAAKELHFNKDGTTIRRLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVAELKKMSKEVEDSELADVAAVSAGNNEEIGSMIAEAMSRVGRKGVVTLEEGKSAENALYVVEGMQFDRGYISPYFVTDSEKMSVEFDNCKLLLVDKKITNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGHAAKVVLTKETSTIVGDGSTQDAVQKRVTQIKNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKAALDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNENVKYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >A03g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7949552:7951549:-1 gene:A03g502500.1_BraROA transcript:A03g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDMDIDDDDVQVQVQRAIAGDSGLLLAKPSDSQSRNDVKKHLDENSGKNNTMLGEAKDMLLSRFTIAKNSVLRFPAPAPSFPLVNGPEQKRAALPCKFFGQGWCDNGISCRFLHVKENTNGTSQQQWVNHMAGASGIQSVEGENGVASLVNPSGDHEIRFMPSLGNMERGSLPKGGAVFTEDRHVFVNSTSSFPLKSSFVQEHGASLTSYGQTDMGSSGPAWTGSLFSSTPMNQYASKLGNCENINDINGSGSPPMVEAISVSSVQDTEGDRTSNNKKVYSHDWEPSEPFRASFTIPPYILPSSDALYDPFTDIENPQDRSLTAPLSSKGRYAQKKSSQQKDDESASDNKNSSCSNNQYQESMATKNLEAHGVVEGVATSVVDQNDETTPSKEVSAENRSVLKRSKPAGHGSWRRSDGSSHQKVLKSDERDGEARSDAGTKALRQFRTAVVETIKEMVKPLWREGRLTKEVHNMVVKRASEKIVSAAVQSHQVPTDSASVDQYLSMSATKIVKLVEASFFLDTSVKKLSKVLH >A01p000330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:136345:137659:-1 gene:A01p000330.1_BraROA transcript:A01p000330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKLSFSLLLLSFLLVSVSSSSDGDDAVIRQVVDGAAEPNVLTSEDHFSLFKRKFGKVYASGEEHDYRFSVFKANLRRARRHQKMDPSARHGVTQFSDLTRSEFREKHLGVKGGFKLPKDANKAPILPTENLPEDFDWRDHGAVTPVKNQGSCGSCWSFSATGALEGANFLATGKLVSLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKTGGLMREDDYPYTGKDGPVCKLDKSKIVASVSNFSVISIDEDQIAANLVKNGPLAVAINAAYMQTYIGGVSCPYICTRRLNHGVLLVGYGSAGYAPARFKEKPYWIIKNSWGETWGENGFYKICKGRNICGVDSLVSTVTAAVSATAH >A01g510230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28030175:28035456:1 gene:A01g510230.1_BraROA transcript:A01g510230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATVAAVKPSAAVNGLGGSSLAGAKLSFKPSSLSIKPKSSKFFETFAAPFTKRGLLLKFLILGGGSLLTYVSASSTGDVLPIKRGPQEKPKLGPRGKL >A05g500520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2040066:2041169:1 gene:A05g500520.1_BraROA transcript:A05g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMEQFLALSVSSSPLIPPLPDDVTVDIIARVPISHYPTLNRVSKSFRKLIASCTLYKRRSQLGITQHRIYAVLRSRNPQTRDYFNFYILHRKLNCRNRLVLVEPLPLMSYLGKYVPVGSKVYVFNDLDALSIDCTSHKSQPISDIPQRMSYKVANVVDRKVYLIGGLFFPDESGSLKSAVTVFDTETQSWEPKLVKEDMPVGLGPFLYDSVVMEGKIYMKDYCKGNSFVYEPEERKWGLMDEVLNSKEWERACVVDDILYNHDVSENVLRAYDPKQSCWSVVNGLEKFLAVETARSRWSRTVNYGAEKLALFFHKNQDGKDVIFCAEIALERRQGGGIWGEMESCDVVIEDRLFYRIKFVSVTV >A09p022260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12256841:12258431:1 gene:A09p022260.1_BraROA transcript:A09p022260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQNSAKSSELAINYATAANFMAPLLGYPVEQTDSLEDQVILTVPLLLQAYTTTIRSMFPSYENDYEAFEEQCMSRYGAKPRPHWITTEFGGKVKLLLCLLSLDHNWISFNNELIGYVFVENIVSVEEIWKQHHILQWNARFVEPWRGTEEHFEQHYRTYLRAVTKGDPEWLKEQRRQEVAIMVVSLGGGNSPAFFPARVTALHTWETETIVIE >A05g500160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:676009:676311:-1 gene:A05g500160.1_BraROA transcript:A05g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSESHVSGDLISKKSNGKDGVSSNEPIECTGQSGVSSAKAVSGDPLSKKPNGKAVVSSAEPIKHSSGTGVPKSQPISSSSSLPYLPFNVYATSHQLY >A09p065790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52467604:52468748:-1 gene:A09p065790.1_BraROA transcript:A09p065790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKERSGGGGGSSRSEIVGGAIDRKRINDALNKRLEKSSTSTSKDKHQLPDVESETDSEEGSDVSGSEGDDDDDTSWISWFCNLRGNDFFCEVDEDYIQDDFNLCGLSGQVPYYEYALDLILDVESSNSEMFTEEQNELVESAAEMLYGLIHVRYILTTKGLAAMTEKYKKCDFGRCPRVFCCGQSCLPVGQSDIPRSSTVKIYCPKCQDISYPRSKFQGNIDGAYFGTTFPHLFLMTNGNLKPQKPTQSYVPRIFGFKVHKP >A09p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19025958:19028754:1 gene:A09p031470.1_BraROA transcript:A09p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPVLIIFLSSSLLIVLQNLDVANAVTCSGSFINGNSSYFQNRDNLFSTLVSKVVANGGFYNASLGKSPNSVHALVLCKRGYERQDCISCVEKVTQEIQTGCPNRMDSFKWDNDDGDHVNCLVRSSNHSTFKNFQLVPAVIYPNALTIEPSKDMTLFRQQWEATVNRTIEDAAEAETSSILKYYSAVEAEFTEFPNVYMLMQCTPDIASRECKICLEKCATYYKKQFWGRQGGEVSRPSCVFRWDLYAFHGAFDNITRVPAPPGPQAQANGTFITDKKGRSIRYSGTIAIIVVPSLINLLVFIGLIKFYARRKNSYNGCNVGTAEYSDSNGQPILRFDLGSILMATNDFSSERKLGQGGFGTVHKGTLPNGQEIAVKRLTKGSGQGDLEFKNEVSLLTRLQHRNLVKLLGFCNEGDEEILIYEFVPNSSLDHFIFGKASKESCKFYTNEGKRSLLTWEVRFKIIEGIARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFEADETRAETRRIAGTRGYMAPEYLNHGQISAKSDVYSFGVVLLEMISGGKNNSFKGEGLAAYAWKRWVEGKPEIIIDPFLVENPSNEIIKLIQIGLLCVQENAGNRPTMNSVIVWLGSETITIPLPNAPAFTGSMSQSEKGTTSISNVFTELSCR >A05p046630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27677089:27678120:-1 gene:A05p046630.1_BraROA transcript:A05p046630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPQFYADFTFSGNTSSQFHGSSSCPDVSALSNYYDDGYLPFNASSIPESTFYPQVFGTSDAPMQEYNYYYQKMGVNNATQYFHGGDQEYYGFSPEIKPFGEQSWGSSEGGIQAEQNTKVGRYSVEERKERIMRYLKKKNQRNFNKTIKYVCRKTLADRRVRVRGRFARNNDTCEQQSHMSKTHNNNSEKEEDIFSGSDDYLIQQMENDDGWLNEAMSNLISFPCELDAPGDDHNPSTWSF >A06p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20773221:20777068:-1 gene:A06p038610.1_BraROA transcript:A06p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64580) UniProtKB/Swiss-Prot;Acc:F4KF14] MAFYLSSSLTPTHFSKPLNPSKTLLLLPIQSPSSLSSFLRRRKPTEAKPTSKFNLFPSRRNGLITCCKSSSFESTESQEEDAESNRLFERLREAERERISNKEELERKANLQLERQLVMASDWSRTLLTMRGKLKGTEWDPETSHRINFSDFMKLLDSNSVQYMEYSNYGQTISVILPYYKDGEPLGEEEDTKKEIIFRRHIVDRMPIDGWNDVWTKLHQQIVNVEVFNVDVVPAEVYTTVATFVVWSMRLALFVSLYVWIDNITRPIYAKLIPCDLGTPTKKIRTPLKRQALGSLGKSRAKFISAEERTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFGSSRSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEDEKEELLQEVAENTEDFTGAELQNVLNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEVPEELKLRLAYREASVAVLACYLPDQYRPISETDINSIRSQPNMSYTETSGRVFARKSDYVNSIIRACAPRVVEEEMFGIENLSWISAKSTLEASQRAEFLILQTGMTAFGKAYYRNQRDLVPNLIPKLEALRDEYMRFAVEKCSSILQEYQSALEEITDVLLENGEIKADEIWNIYNTAPRIPQKPVRPVDEYGALIYAGRWGIHGVSLPGRVTFSPGNVGFATFGAPRPMETQIISDDTWKLVDDIWDKKVKEIKTEAVIQVEEEKKKPQILMATHFF >A01p001850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:842601:844977:1 gene:A01p001850.1_BraROA transcript:A01p001850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQLITAFFFFFFLCFVLGSSGLNSDGLLLMNFKSSVLVDPLSLLQTWNYSHETPCSWRGVSCNNDSKVINLSLPNSHLLGSIHSDLGSLRSLQSLDLSNNSFNGPLPVSLFNGTELRSLDLSGNMISGEVPASIGDLHSLQTLNLSDNALAGKLPANLVTLRNLTAVSLRSNYFSGEIPGGWRDVQFLDLSSNLINGSLPPDFGGASLRYLNVSFNQISGEIPPEIGANFPINATVDLSFNNLTGSIPDSPVFLNQKSIFFSGNPGLCGDPCPISSSPSTISDADSPTSTPAIAAIPNTISSNPVTNPTTQQTNRTPRTGLRPVVITGIVIGDIAGIGILAVIFLYIYRRKKNIANNNNDKQREETTDTITLSPSSSSSSSPDESRRFTKWSCLRKDPETTPSDEESGYNADQRSRDSEGTLVTVDGEKEMEIETLLKASAYILGARGSSIMYKAVLEDGTVYAVRRLGETGLTQRRFKDFESNIRAIGKLVHPNLVRLRGFYWGIDEKLVIYDFVPNGSLVNPRYRKGGGASSPYHLPWETRLKIARGIARGLAYLHEKKHVHGNLKPSSVLLGHDTEPRIGDLGLERLLTGETSYSRAGGSSRIFGSKRSRGSSLDFSSIGPTPSPSPSSLGPLSPYCAPESFRSLKPSPKWDVFGFGMILLELLTGKVLSAEEVGLGIGLTVEDGHHALRMVDVTIRGELLGKEDFLLGCLKLGYNCASPIPQKRPTMKESLAVLERFTPSSDVVKSPSFHYMNH >A07p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6841072:6841918:1 gene:A07p010940.1_BraROA transcript:A07p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASRIRRSFFREEKRKLPNVNGSSSRNEDDDSLGVTDELIDHVRSLTIDTFKNFSLYDEEACVNPLEEDEEVSSSENVKKDLSDWQERHAVLVLSKSKELSQLRFKLCPRLLKEDHFWKIYFKLVRNLVAKYEVQAIQQAIIKGVYEVEMSETRPNLTSTGGPATL >A02p047590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29673342:29675515:-1 gene:A02p047590.1_BraROA transcript:A02p047590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTKKKNKIVINEDDDSKKKERHFVTWSQQEDDILRQQITLNGTQNWAIIASKFTDKSTRQCRRRWYTYLNSDFKRGGWTPEEDTLLCEAQRLFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKYEAMAKENNIACSVNSNNKRVLFPDGVTTPCKVESESPIAKKTRRSHIPNLKETTSYGDRSHIKVHSGVNQQVRPPFSVLPHNAASGDSTEEHQTGSVKDVEGKHKGNQEAFLKKDDPKVTNLMQQAELLSSLAHKVNSDNTEQSMENAWKVLQDFLNKSKENDIFRYGLPEMDFQLEEEFKDLVEDLRSSNEASQASYRQPDLHDSPASSENSSGSTVMPHPSGDKTQQQPMSSDTQTISQKQSVVEVLQDQGIVSDATVEQVGLLSTCHDDILKNCNEIVPMSGEEEFNSPVQVTPLFRSLAAGIPSPQFSESERSFLLKTLGVESPYPCPSANPSQPPPCKRVLLDSL >A06p046050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24678499:24681550:1 gene:A06p046050.1_BraROA transcript:A06p046050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAVAPGDMASLDADLLQLQEMSTFVLKSRPEFTQKLFDQWLSLPEAHRQVASLLQDAVAGAPLNVPGSASGSGPGTSNSLPSMFPAGSAPPLSPRSCGSPRTMKQRAPSNLGSPLKVLNEPVKESIPQFYFQNGRPPPSEIKEQCMFRVNHFFYGHTDGLQIQEFKLVTREICKLPSFFSTSLFRKIDVNNTGFVTREAFIDYWVNGNMLIMDKTTQIFKILKQQNQNFLVKDDFKPLLKELLATHPGLEFLQGTPEFQERYAETVTYRIFYYINRSGNGRLTFRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLMKYGNHALTYRIVDRIFSQVARKFTSKVEGKMGYEDFVYFILAEEDKSSEPSLEYWFKCIDLDANGILTRNEMQFFYEEQLHRMECMAQEAVLFEDILCQLIDMIGPEDESYITLNDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >A02g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13589963:13591578:1 gene:A02g504100.1_BraROA transcript:A02g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL1 [Source:Projected from Arabidopsis thaliana (AT1G72570) UniProtKB/Swiss-Prot;Acc:Q1PFE1] MLLSNTITGGYDDEEKAARAYDLAAMKYWGPTTHLNFPLSNYEKEMEELNNMTRQEFVAMLRRNSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDICRYDVKRICSNSTIINSDQAKRSPSNSASGQ >A01g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18570210:18570535:1 gene:A01g506380.1_BraROA transcript:A01g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFWGKAKLHGVEEERLHLWISRSSGKSTTSHDSLDVERGLIEPRKLGIEQQLWEASRREIDQLPSPTSSNHDLDADS >SC271g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000118.1:30226:32086:-1 gene:SC271g500040.1_BraROA transcript:SC271g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTCGDSHWKDSNELLAVSQWFYTRLLISFHTQISSKSLLDMIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A04p037110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21206740:21207837:-1 gene:A04p037110.1_BraROA transcript:A04p037110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTHIQLPSSCTLLPSSSFISSLPLPPLSITTASAILTSTRLSSLRVRFSRRSIVRYNDNFEEDEEEDENEEDWSFEEAVSLFNKRDYYKSHDALEALWIRAEEPARTLFHGILQCAVGFHHLFNNNHKGAMMELGEGVCKLRKMNFEDGPFQEFERDVSAVLEFVYQTQLELAGCSEDMCLTMDQSDRSYQLLGGYAAGESIYTLETVVDFNNGMSETCVILFSPSSSSSEPTRVKLPTLEATNKHLLAFTGDESL >A03p068200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29885128:29887544:-1 gene:A03p068200.1_BraROA transcript:A03p068200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYKQPWAFSKVSVLAMAKPPSFVCCIFLLFFFLLSSSFVAFALTDTEAAFIVQRQLLTLPANGELPDDIEYEVDLKATFANTRLKRAYIALQTWKKAFFSDPFNTTGNWHGPHVCGYNGVVCAPALDDPDVTVVAGVDLNGADIAGHLPAELGLMTDVALFHLNSNRFCGIIPKSFEKLKLMHEFDVSNNRFVGPFPEVVLAWPDVKFIDLRFNDFEGQVPSELFKKELDAIFLNNNRFTSTIPESLGESPATVVSFANNKFTGCIPKSIGNMKNLNEVVFMDNKLGGCFPSEIGKLSNVTLFDASKNTFIGRLPTSFVGLTGVEEFDISENKLTGLVADNICKLPNLVNFTYSYNYFNGQGGSCVPGGGRKEIELDDVRNCLPHRPDQRSAQECAVVISRPVDCSKDKCAGGGSSTPSRPSLVHKPSPVPTTPVHKPSPVPTTPVHKPSPVHKPSPVPTTPVHKPSPVPTTPVHKPSPVPTRPVHEPQPPKKSPQPDDPYDQSPVGNRRSPPPPHESQPPVVFSPPPTPVSSPALLSPPLPSPPPPVYSPPPPVHSPPPPVNSPPPPVHPGSLSSTAGSTTTSPFPTTTGPLSPPPPPPVHSPPPPVHSPPPPPPPPVHSPPPPVHSPPPPPPPVHSPPPPPPPVHSPPPPFTLRHLLSTLLHRQSTPHHLRLSTLLHHLSFHHHPHRYIPRRHQSIRHLHLFTRHHLPRLTRHRHRHRWKRKRCRWHMNQPQVMSSSYHLSSATNTHRHHLQCSLATNIHQREKNRLYIKSKLYLLELNL >A03g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26324736:26327619:-1 gene:A03g507430.1_BraROA transcript:A03g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVIWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIIWYSSSTNLKVFQIWKTSRTTYLLVVRKSSGSRLDFLKVVWTSCKVVWTSCKVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHENFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6876288:6880436:-1 gene:A08g504320.1_BraROA transcript:A08g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATYEKRSEEQDKLMSTLTKQVQTLTARTRAIRPRGTTKIRGKRLDFATSLDRSGIARERPSGKNPSEKSPVEKGNPESPPPPAKDSENNEAERIDLFPSDVSNDTDEDVDIHPRRTRSRSARESSPFDKPMTEEEETLYWNEQKELAEKQTELTCSKRRQARKAAGETKKDSRNDKYVHHEGEDLQGAHNYAISSDQARTMGNTWTRNQGYDENTFCEFHQSRGHFTTNCKVLGASLAAKLHAGELSEVTSVKDLILGSDRPPKTDRNPPAEKSPQRNQPGDKRDRSSAKNVPRKDELTSSADANASDVETQHESEADATTQPEHPENSSKSPDNEAPNASTGRSTSSPPSGNPVGTSSVSGETGMESQNPWILSSIEGMSASAWAPLTEIAYRGEGILEVPILDLKLRGTSLHPLDDFSFALPFRLTDSPRMIASKLRLLAPTSRLALERDLVPLSPL >A02p018270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8401382:8402056:1 gene:A02p018270.1_BraROA transcript:A02p018270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSNSPTTRTTSFRVASLALPSKSSDQSPQSSPGSSSSPTPTPRPSLSAGGSPARRTATGLSGRHSLFRGIRLRNGKWVSEIREPRKTTRIWLGTYPLPEMAAAAYDVAALALKGPDAVLNFPGLALTYAAPASNSAADIRAAAGRAAEMKQPERVLEPGQSEKEEEEEAILSSSLEFMDEEAMLNMPNLLTEMAHGMLMSPPRMTDPAPENHEEDSLWSYR >A10p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1893446:1894400:1 gene:A10p003820.1_BraROA transcript:A10p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEMICYYLEDVSFGLEIGPEGHYVPALSGHGTTPRTMDEVYDLMAKQVTETQGFDMDFSQFRYDFNYRPVDFDDNSLVIDGETMRDLLNRLSRQSLEQYNQDKETKYELVEVFKANYHMAGAGMMFFITFQAKQDFSSSGDVPKTTFQAKSHYSYFSPNKYITCHLKAPPEKKVHPIDSTEKEFAKKPRLTQDKDASTDARIVI >A05g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14777836:14783697:1 gene:A05g505350.1_BraROA transcript:A05g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLVSDKEFKSLRVVQEAMGSEEDDETFMRRNKMLQEAITKQVMDAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSHSSGPITRAMTRRLKEAVGNILKISKEQEDCLGRSLSHQDTLITIHVILPSS >A03p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10299014:10301583:-1 gene:A03p024400.1_BraROA transcript:A03p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSIPTDATIDLDEQIGQLMQCKPLSEQQVRSLCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVALKLRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDFFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNGLKLIARAHQLVMDGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHSFMQFEPAPRRGEPDVTRRTPDYFL >A09g518310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55711933:55712601:-1 gene:A09g518310.1_BraROA transcript:A09g518310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLEMATVPGQLIWEIVKTNNCFLVKQFGRGNAKVQFSKETNNLCNLNSYKHSGLANKKTVTIQAADKEQGVVLATTKTKKQNKPKVSVNKSVLKKEFPRMSKAVANQVVDNYYRPDLKKFALARLSVISKSLRVAKSGAKQRNRQA >A07g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8213484:8216823:1 gene:A07g504220.1_BraROA transcript:A07g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSLIQSILLIHEDLVWEKYKIEEIWEIFERIVTLVDFHRGFWDYFDGGILLGNFLIGKLGSHCPRDDARMLTDLSNLHSNLRDFLTEYGNWGDNQYYFGLGVFSLWIEALSYKGAVELQPKENHPEGEARRQYHQTTGKHDYKGKGVASERERNASLARGGPGRRSREQERAVPKYVRQAGYLPPKELQDSYLMATDGINGLRNQDVGNHLDDNQKLMLDAFKSGGTKEMSGSKTRKALQFEEEPSVEMNQEDEGKTQVEAKGCGGSMEISVETVENTSDEVQGLKNTVETSDLNVSLESQVPKPSEEKLEIHEVELGSGVEGGSLEMVAGLGNEDGNLEYVMSEEGEEEDQEEFSLEDTNTDDTEMVMADARVLEKGPQTDVVGELTEEKGRQKKKSGKLQAAATGGNAKKRTVQCFASPRKKVMAKHFSKVGEKGPAPKKALAKTKPDQVVLSRSQKVCFGVSLFVSETGVLWYEFPVIKCMSWLIGSGGVDKSVRTRENGTSGECLVVCSDIEMSVIQVTQLVRDGSMITNRGLLSLLPVRLGNGVLIVEQCNINGRIRVGIVICLLLLFVLEVIV >A06p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1208932:1220600:-1 gene:A06p004600.1_BraROA transcript:A06p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDIIAENPKQFSEKLAWICGRCPQTEWLLAESPRVSRSHLNAVLAVARIISKNPESTDNRAKSAVNDFLSAVPASFRRSFWPHSFPSQLISAFYCDFLSYLSSAADLSPDFATEVARFTGEVVIAAASCCGDGDGDGDPSISKAFLVALSQNFPSILQSDGDKLITMLLDQFVVSRAPLNSANSETSSAQSSPVSTNRYPSGKTEESSPGDGSTVSSKSSSAVVVNGGSIVWKTGVDQLSFGFSEGSGGSNPVFRQQVASFEDESIESLEKQEIAFRLITHILEKVKVDSKLQDQVRFIAKRQLQSTSAFLKSRKRDWNEQGPVLKTRVNAKLSVYQAVAKMKIKSLVSLETDGKTSKRLVLETLALLLDAADACLTSVWRKMKACEELFGSLLSGIAKIAVERGGQPLRVLLIRLKPLVLAVCAQPDQGALLESVFKTSCEIIESGWSKDRAPVDTFIMGLASSIRERNDYEEQVDREKQVPAVQLNVIRLLADLNVAVKKPEVADMILPLFIESLEEGDASAPSFLRLQLLDAVSRIATLGFEKSYRETVVLMTRSYLSKLSTVGSVESKTSAPEATTERIETLPAGFLTIANGLADTKLRSDYRHRLLSLFSDVGLAAESKSGGSGVDFLGPLLPAVAEICSDFDPTLDVEPSLLKLFRNLWFYIALFGLAPPILKAPTPAGKSTSNSANSGSMNAALQAVGGPYMWNTEWIIAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNEKVASTQRLALSTALGGRVDVASMNTISEVKATYLLAVAFLEIIRFISNGGILNGDSSVSASRSAFSCVFEYLKTPNLTPAVSQCLTAIVHRSFETAVSWLEDRISLTGKDARNRELTTYAHACFLIKSMSQRDEHVRNISVNLLTQLRDKFPQVLWHSSCLDSLLFSVHDNTSSTVVNDPAWTAAVRSLYQKVVREWIIISLSYAPCTSQGLLQDKLCKANTWQRAQTTTDVVSLLSEIKIGTGKNEIWSGIRTANIPAVMAAAAAASGANLKVSESFNLEVLGTGVVSATVKCNHAGEIAGMRRLYNSIGGFQSGSAPSGFGGGLQRLISGAFSQAPQPEDDSFNEMLIARFVRLLQQFVNTAEKGGEVDKTQFRETCSQATALLLSNLGAESKTNVEGFSQLLRLLCWCPAYISAPDAMETGIFIWTWLVSAAPQLVSLVLAELVDAWIWTIDTKRGLFASDVRYSGPAAKLRPHLAPGEPEGSPESDPVDQIVAHRLWLGFLIDRFEVVRHNSTEQLLLLGRMLQRSTDLDWCFTRHPAAAGTFFSLMLLGLKFCSCQTQGNMQKFRSGLQLLEDRIYRTSLGWFAHQPEWYDVNIPNFCQSEALSVSVFVHFLSNELSDLSQSDSKGKPREIGNLIDVVQDSPYSRLKISSEKWTEHAKTAFSVDPRIALSLASRFPANAGVKSEVTQLVQTHIVDLRTIPQALPYFVTPKNVEEDSALLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPDRVTFFMPQLVQSLRYDEGRLVEGYLLRATQRSDIFAHILIWHLQGESVQETPKEGAIDKNASFQEILPQVRQHIIDGFSPSALDMFTREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIEMQGDDLYLPTAPSKLVRGIRVDSGIPLQSAAKVPIMITFNVIDRDGDHNDVKPQACIFKVGDDCRQDVLALQVISLLRDIFQAVGLNLYLFPYGVLPTGDERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSATFETARENFLISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSKTWHQFVSLCVKGYLAARRYMDGIISTVQMMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTAGYDLIQYLQQGIEK >A03p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7819236:7822366:-1 gene:A03p019190.1_BraROA transcript:A03p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLISSIASKARVARNCTSQIGSRLSSTRNYAAKDIRFGVEGRALMLRGVEELADAVKVTMGPKGRNVIIEQSWGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGIKLAVDTVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMESVGKEGVITIQDGKTLFNELEVVEGMKIDRGYISPYFITNQKNQKCELEDPLILIHEKKISNLNSMVKVLELALKSQRSLLIVAEDLESDALAVLILNKLRAGIKVCAVKAPGFGENQELGMNLEKIDLSMLGNCKKITVSKDDTVFLDGAGDKKALGERCEQIRSMVEASESDYDKEKLQERLAKLSGGVAVLKIGGASESEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLSTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVIVGKLLESDNPDLGYDAAKGEYVDMVKSGIIDPVKVIRTALVDAASVSSLLTTTEAVVTEIPTKEDASPAMGGGGGGMGGMGGMGGMGF >A03p000150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:219628:220314:-1 gene:A03p000150.1_BraROA transcript:A03p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLTTSCSSNSISSSSNEMGFSTDSKGKMKEKIAIEPEFRFLLNKDSIRNSSFGVLEEAEGMKNEAKARVFGCTFCEKEFSTSQALGGHQNAHKQERSLAKRHKEMDMNDPGLSFYSQYPPSGDFFSSSNRSQYGLGVRYNPNIGKSKTYPFNIFRCRLGYREHNINSHIPLLSHLSCQNTDVFSKNLISNLEGSIHMIKEEQGRKQEQAETDTCKDTEIDLSLKL >A01g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26779269:26784004:1 gene:A01g509800.1_BraROA transcript:A01g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPELSPEEQKMAMLYISHADETERRARIERVRQGIAENAREASLRLARITTELDKGKGHVYHYPVGPTEMARKEKSLRLLEPLTKTLEVNGEEDECSDTNSVTFSAPVRTLSGFQLGPSSGGRVTGNAGASKSLRKRPSSWKRKLASKEPITLASATPEKDDPSRNRFFFDKRFINKAGFEEVVKQSWGLGEDETSNTMDRIGRCRRDTTCPRCGLCEETISHVLFHCEAAKEAWSLSQFPLPPGGFSRSSVWLNFYHLMSVSKKLPPENASRLSFPWILWHIWKARNSFCFEQVQYSGSSIFNKASEESAIWLSSQLLSSDSPSTSSSNTVVSLKWQKPPLGSVKCNVASSWTSSSQFFGAAWIARDSSGLPLFHSRRAFPLAPSAFEASLYSLGWAVSALLDLRVKRVIFEISSPQILDALLNPQSYPNAALVISHILRSMHSFDQCQLLDVSLGVNSLAVEIATSVTKDRRLQSYVAKGGPLWLSSLLLSEARNDNLS >A03p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9390883:9394773:1 gene:A03p022380.1_BraROA transcript:A03p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTATSPGGDGGESGGSVREQDRYLPIANISRIMKKALPPNGKIGKDAKDTVQECVSEFISFITSEASDKCQKEKRKTVNGEDLLWAMSTLGFEDYLEPLKVYLARYREGDNKGSGKSGDSNNRDAAGGVSGEELPHLLVHLDYTELVRLEVASELFRDKEQLQHDQFPISVLQKIKNFKSTMDSQEAAAREKVDFSQLVRDKESEWNHRRSLAWDSAFFTTPGVLDHEELFGSLKLGENEIDENQKDFIKKTILPCVSSDIATRPSFAWDNAFLTEPVNNGFTSNTQPRNSADSMTTTTEGSRFSVSSIELDLFNELRASLSNVKQTGAQEIQRKLPDGNKRAKWYKLKSQRLSLIPQPKAYTPSSSSSLSSSVSTSLIPRQAKPEKKVVPSELGNKGSNSIIRSSYSGYKGKDLTSSSSGLRLPLPKMGFFDSENEGDKENKEPNASANRRRRHKSKLGTLSPQETPNVLGQRKTR >A09g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17861175:17862965:1 gene:A09g505690.1_BraROA transcript:A09g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKKNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEVQTTLPEDF >A09g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20419730:20419953:-1 gene:A09g506970.1_BraROA transcript:A09g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPEGGISIPDESDDPLIQPVINQDCPAKKIENVAGLFKKKIGFEEARFKEY >A09p062110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50950700:50951432:-1 gene:A09p062110.1_BraROA transcript:A09p062110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVKGNVEPEAVFQTVSKTGKKTSYWPVEAEAEPKSVAEPKAEVETKTEVKVDAKADAEPKLAEA >A09g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18746011:18746722:-1 gene:A09g506260.1_BraROA transcript:A09g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMSNLPEELAREILSRVLFTSLKTLRCTCKTWNDLSKTRVFGKEAAVSRVHGIHNEGDLVYKSTKKISKLDQTKMCEVLHCDGLLLCVYKNNNLVVWNLYLRQTRCIPVRCKTNLFILDDIGKFSLVFSKKKNLKTKKIGILPQKLSQRNTHKVNYNFLSTHKFSLSTQNLKQKRFTKNRELEWLYEP >A04p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13277949:13285444:1 gene:A04p022020.1_BraROA transcript:A04p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSALGWRFASAHGNGLAPNDTERTGDVKIPDSEPPTPRSSAKMNLRDRTTSMEDPDGTLASVAQCIEQLRQGSSSAQEREYCLKQLLDLIEMRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLCSLCKENELRVKVLLGGCIPPLLGLLKSSSVDGQIAAAKTIYAVSEGGVKDHVGSKIFSTEGVVPVLWDQLRSGNKKGEVDGLLTGALKNLSSTTEGFWSETIRAGGMDVLVKLLTSGKASTVSNVCFLLACMMMEDASVCSSVLTADITKQLLKLLGSGNEASVRAEAAAALKSLSAQSKEAKREIANSNGIPILINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDGKAETTRASDPLVIEQTLLKQFKPRLPFLVQERTIEALASLYGNSILAVKLSNSDAKRLLVGLVTMAANEVQDELVKALLMLCNHEGSLWHALQGREGIQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAREDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSSNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKMYVLDALKSMLSVVPFSDMLREGSASNDAVETMIKLMSSAKEETQANSASALAAIFQTRKDLRESALALKTLLSAIKLLHVDSEKILVESSRCMAAILLSIKENRDVAIAAREALPTLVSLANSSVLEVAEQGMCALANLILDSEVSEKVIVEEIILSATRILREGTVSGKTLAAAAIARLLSRHGINSALTDSVNRAGTVLALVSLLDSADGRSDAISEALDALAIFSRSGANGNVKPAWVVLAESPNSMAPIVSSIVSVTNPSLQDKAIEVLSRLCRDQPIVLGNMVNNARDCVSSIAKRVINSRDQKIKIGGAAIIICAAKVNDQRMIENLNETQLCAKFVQALVRILDSPQICVQDQEKDERDNICICIHPKEKVEDEEEEATEIWEGSTGATLISGDNLAIWLLSVLSCHDEKSRAVILDSEGIELITDRIGNRFLQADNGEDTNIWVCALLLAILFQDREITRANATMKAVPVLSNLVKSEEYADRYFAAQALASLVCNGSRGTLLSVANSGAAAGFISLLGCSDDDIKELLQLSQEFLLVRYPDQVALERLFRVEDIRVGATSRKAIPLLVDLLKPIPDRPGAPLLSLNLLTQLAGDCPQNMIVMVESGALEGLSKYLSLGPQDEQEEAATVLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALDSLFTADHIRNAESSRQAVQPLVEILNTGSEREQHAAIAALVRLLSDNPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCYVLFANTRIRSTVAAARCVEPLVSLLVSEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGKNYVLHEAISRALVKLGKDRPACKLEMVKAGVIDCVLDILHEAPDFLCAAFSELLRILTNNATIAKGQSAAKVVDPLFNLLTRLEFGPDGQHSGLQVLVNILEHPQCRADYTLSPHQVIEPLIPLLDSTSPAVQQLAAELLSHLLFEEHLQKDPLTQHVIGPLIHVLGSGIHLLQQRAVKALVSLALTWPNEIAKEGGVSELSKVILQADPSVSNVLWESAASILVIILQFSSEFYLEVPVAVLVRLLRSASENTVVGALNTLLVLESDDGTSAESMAGSGAIEALLDLLRSHQCEDTAARLLEVLLNNVKIRDSKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSTDAASACRALVNVLEEQPTEEMKVVAICALQNLVMHSRSNKRAVAEAGGVQVVLDLISSSDPDTSVQAAMFVKLLFSNHTVQEYASSETVRAITAAIEKDLWASGTVNDEYLKALNSLFNNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVTIKRGNNMKQSVGNPSVFCKITLGNTPPRQTKVISTGPNPEWDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYSLLPESKSGPRNLEIEFQWSNK >A01p058980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33700830:33703145:-1 gene:A01p058980.1_BraROA transcript:A01p058980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRRQWSLSPILLAATVVTLLFELQLSAAETENVVFEVRSKFCGKIEKDLRALKAHDAHRHSRLLSSAIDLPLGGDSWPTGQYFAKIGLGTPSRVKLTPYDSDVSSTAQTVSCDDSFCSYVYQTSKCHSGSSCKYDIKHGDESWTSGYLVRDVMRLDLVTGNSTSTNGTVIFGCGSKQSGQLEVSVSAVDGIMGFGKSNSSFISQLASQRKVKKSFAHCLDNNNGGGIFAIGEVVDHYSVSLASVEVGNSVLQLSSGEEVIVDSGTTLAYLPNSVCMPLMNETLSTHPELTFHNVQDLQGSFICFRYMDKLDLFPPVTFQFDKSTSLTVYPQDYLLQLQKTKSWDGPITTVSITISFLLKYSMRFCSFSSGGIQVKDEQSGSIYTVGAHNLSWSSSLAITKLLTLVSLLVHFLFYH >A09p023090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12714314:12715180:1 gene:A09p023090.1_BraROA transcript:A09p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYATEKPQEVIISQCVRERNCEDRISALPDDLLVKILLFVPTKDIVTTMILSKRWRTIWTEVPRLEYEDIVSNTNSEQKSVWWFLEKSLQLHKAPILESLCIQLKEICPTNVDVAKLVGQAVDRSLRRLLFHLSWLAKPTSMPNILYTCETLTELELFNKIILDIPPSVCLPSLAKLYLFCVLYKDDVSLVRLLSNCPVLRILLVIRSEEDGNVKKYTVKVPSLEEFTYLYKRPLSLAENTRSLVLDCPVLTKLSINDHSGDSCSIGNMPSIVRAELSTFCYPDKKF >A05p048420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28357228:28358988:-1 gene:A05p048420.1_BraROA transcript:A05p048420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTETYKQEDVQNLYGTMSVRKLQKGDLCGVIFGCKFSTIKECHAKNLFGLPGPHMAYIKNIEPGLTLFLFNYSDRTLHGVFEAASEGKLNIDPKAWSHNGTDPSPYPAQVKVRVRVKCEPLSEETFGPAIADNYKDEKIFWFELDRVQTNKLLRLFKPSTSKHVVASPSSSSRKAIPAASLVEIGDSGGAPRVDKWSSLFKQDSKDGKTKECEVAQKHVSQSGPSYSSVLRNAISESSARREAPSQASKGVLSSRQDVMVNTCEQHIFHATQNGISAKAKNIIGPSKGSSAEDGYSEIDWDAASNFQAHLKGLNMILEDTRGKDGYKSFDQGNNTGFASSSSMMQNDWEDERVSWEYEEENNIDKSSCGSSYVTAMSGDAFEDNGEDKEKLCLDVLREIWAEVKEMKQTQMKQAEYMISLQMDLLESRKEILRLKGLYGSS >A10p028170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17566607:17568180:-1 gene:A10p028170.1_BraROA transcript:A10p028170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lysophospholipase BODYGUARD 5 [Source:Projected from Arabidopsis thaliana (AT5G17720) UniProtKB/Swiss-Prot;Acc:Q9FN79] MMASTFQENCISVINGAPSWAVFFLFDLLDNFLCIVFRFLDQVMEEKLESCQCNNPQETSGYEFLSDHQHLSETLYRRRNIFRQAGFLRFARKLPEITKKIGIATFLRNFLFPDKMKKVPREVANRWSDCGCKNCVSWTSTDQLNVIVKQPSVSSDLSMSNKLVENVIFIHGFLASSSYWTNTVFKYLPDTTEKTNYRFFAVDLLGFGDSPKPRDCRYSLQEHVEMIEKSVILPNNLTSFHVVAHSMGCIVAVALAAKFSGSVKSVALVAPPYFGDKEGASCDALDVIAEKKLWPPTSFFSAMMAWYEHIARGVCFVVCRHHRTWEKIIKIITWRSKLPMAITELTKHTHQSSWHSMHNVLCGGAKFTDKHLESLINSGVKISVVQGNKDAVVPIDCLWNMKAKFPAIEVEVIAGTDHSSVIMSRIEVFVTNLVKLWASSGKKQN >A06p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21168583:21171874:-1 gene:A06p039350.1_BraROA transcript:A06p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFMITALALACLWSSVSPDDQGEALFALRSSLRASPQQLSDWNLNQVDPCTWSQVICDEQKHVTSLTLSYMNFSSGTLSSGIGILKTLKTLTLKGNGITGEIPESIGNLSSLTSLDLEDNQLSGPIPSTLGNLTNIQFLTLSRNNLNGTVPDSLTGLSKLINILLDSNNLSGEIPQSLFDIPKYNFTANNLTCGGIYPHPCVTVSNPSGDSSNSKTGIIAGVVSGSTVILLGFFLFFFCKDKHKGYRREVFVDVAGEVDRRIAFGQLRRFSWRELQLATDEFSEQNVLGQGGFGKVYKGVLLDGTKVAVKRLTDFERPGGDEAFQREVEMISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREIKRGEPVLDWFRRKQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPECISTGKSSEKTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLGDIVDKKLEEDYIEEEVEMMIQVALLCTQAAPEERPAMSEVVRMLEGEGLAERWEEWQNLEVTRQEEFQRLQRRFDWGEDSINNQDAIELSGGR >A04p014110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5322591:5332106:1 gene:A04p014110.1_BraROA transcript:A04p014110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVMAEPPNLMLQARELLATPSHELLESLVNHLSTRQETTEYQTAMALFKFCTVNFANSLTLKLLQMYRSSSDTLLRSKSIVVLSQTLAAYKSRRFELSLVALREIKPLVISCLRMQETEIKLFRRIVSFVAHDVVMLDNGGWDELSDCIVELSSAQPLKALHVFVDLPPVYGRFIYSCFGKIAERAEKVLLMPDQDRVEDWSLGLQAVVKLGIQVLDFELRFDMVKGLLTLLVKAASDLVDKGMEEFLLRGLADLEMFLSRDKKLYNYNKEQCDFVSCFLYKIKDLGPLTKQATGKIHRLVKSTPSLVVQKQQGHAACSEREWLDRLNNLQPLEMLKVFASTDVEERFRELAIRRLNVVLSDRVSREKSADMKELQPLLISCLSSKERISESMFKILGEVVYHVAFEMTNFHFETWYDLSDCIASTSETEFERAVYIFQCLTMWLDDEFMVPIVKFLLPEINKRLNPPREVLVDNSCWVLAFLGAFCVIIQLVEMETSAVEEIADKMVDSVRELVERKMEVGLVRRAFRDLESIVKIQKDWFGDNEYKLTKSLLLRLYVIKGMTMDSKMVLWRINVFVERGMADLAEVEPDGGVGGVTVEVDVDTGVEVVVVMGTKVVVDTEVDTFGNFVDETSDVLAIPSHQGLESVVTHLFFMAQETTEYQTANALFNFLTLYFANCLTLKLLHMYRSSSIGVHRSHLIYLLFETLQDYKNRRFELSLVALNEIKPLLVSCLRMQEPEIINLRRIVSFIAHDVMILDNGGWDELSECIYEISSHDPLKALHVFVDLPPVYERFVHNCGGMVVEKAEKVLLVPYQDRVDDWSLGLQAVVKLGIQVLDSEMKVDMVKRLLALLVKAASDLVAKGMEEFLVRGLADLERFLEREKRLYNYNKDQCEFVSCFLFKIKDLGPLTYEATERIHRMVKSTPSRVHGACSEGEWFDRLNNLPPLEILRIFASTDVEERFRDMAIRRLNVVLSDYVSREEESTDAPLLRELQPLLISCLWEKEGITESMFRVLGEVVYHVAFEMMTSHVELWDDLGYYITSHIETDFQRAVYVFQCLTMWLHEEFIDPIVEHLLPEINKRLNPPSDVLVDSSCCWVLAFLGAFCAISQLVAMKDYAETVMEMADKMVDSVRELVERKLEVGFVRRAFRDFEIIVKKQMEWFRMNEYKLTKSLLLRLYVIKGMTMDSKMVLWRINVFVERGMADHLAA >A07p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17141092:17141978:-1 gene:A07p030870.1_BraROA transcript:A07p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVPGKTICKIAHLGFCFLKRIFSFFSDGKRKEVAAAKTEPRMPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSRYLYTLCVFDQEKADKLKQSLPPGLSVQDL >A03p064200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27898976:27908212:-1 gene:A03p064200.1_BraROA transcript:A03p064200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDEVEPTKKKKTVPEPPSMFSSLPDEIIENILARISRWNYPSLSLVSKRFHSLLSSMDIYRARSQIGSNETCLYIWLKLPGHPCASWFSVAFWRMHKMIVIKHLYYLLYINHEEPFSSVRIFDCRSHTWRDAPNMTVAREDAQTVLLDEKIYVMGGCDIDKYNANWIESWTAFPGPGVDELCNHFRKRRCYNVNVFEGIIYLLAEISSFLSDDSVEAWSEIGKVICCCTRSGYLMWSASEIEGREWREIKGLEKLREHPTRCLENGSDFGLVANFYLHIPVLATNTNDSMDVRCGEKLSVLMSLPFPSNHMKDLVVLLLVNKVKANSCQDPLGSCLQCDERCKAKHGPTGQASCDNRNQLCTCYYTCGPPSPTPPHQKQCYGGTGLCSSACNQNCAQKYLGGSGFCESIGNTRLCKCHPIVSTTSPVAVPACL >A03p049240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21076768:21077507:-1 gene:A03p049240.1_BraROA transcript:A03p049240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATLVPLRRRTGEQCMWVSRRVRRQSFMRHFQIDDQRDDRKVERSTGEQNWMDDSGNFSPPMTSPFLSTCNRRFLAFLRF >A03p002550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1166775:1167422:-1 gene:A03p002550.1_BraROA transcript:A03p002550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKCLMSMKFRPVVTRQSSNAALLWPFGEEYGGGCMWPPRSYTCSFCGREFKSAQALGGHMNVHRRDRARLKQQSLSSSSTDQTTPLDYDHQQHEVLDVGPKFPVQEDSRKPNGSKREISDVGNSNVLEISMKRFEHYNDEVKTDLSIGLVSSEFDPRRKQLINGVLASKKAKTDVSRLPMMVGLVIGVSKINGHHEELDLELRLGADPPKVN >A06p053850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28310223:28311509:-1 gene:A06p053850.1_BraROA transcript:A06p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLGLSSSLCSTDEKEVEEMVGDSSSLTPVYLNVYDLTPVNNYLYWFGLGIFHSGIEAHGFEYGYGAHEYSSSGVFEVEPRNCPGFIFRRSVLLGTTSMSPSDFRSFMEKLSRKYHGDTYHLIAKNCNHFTEEVCLQVTGKPIPGWINRMARVGSFCNCILPESIQLSTVGQPEALEFSDDNDGSEESVASSLSDETDGEGQDHHLITAPNSDIAYLQDRPVRLARELLQEPSDGSPMLKRS >A02p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8533799:8535847:1 gene:A02p018480.1_BraROA transcript:A02p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREGTSSNSNNNITSFGLKQHVAPPPPPNSGVYQMGPPRSENPNPFPAGLPNTNAASASASAVAATPPENAAPPFSLTMPVGNSSSELKMKKRGRPRKYNPDGSLAVTLSPMPISSSVPLTTGFAPPQRGGRGQGRGRERGQARVDPPSNNNRLTNPQMFGFNNSSPVVGTSEVVCASFTPHVLTVNVGEDVTMKIMTFSQQGSRAICILSGNGAVSSVTLRQSFTSAGTLTYEGHFEILSLTGSFITSETGGIRSRAGGMSVSLSGPDGHVFGGGLSGLLLAAGPVQVTVGTFEAGKEEPQHQQMQNLRRERLGIPMTTQDSNISFGGGSAEDPKARYGLNKPVVIQAPPVSAPPVSLPHEPNTNAAQGYYTNNTANQIRDLFTSLPGEDDEDFEGEDDDEEFGSDSESDTEVPN >A06p014930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6665809:6668765:-1 gene:A06p014930.1_BraROA transcript:A06p014930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRMAARRVKGKDSNAVTAIAIDKDKNSQHALKWAVENIIMAKEVLLHDIDIASAIVDYINNNSIANIVLGATARNSFLKKFKTVDVPGTLLKTTPDTCAVFVVSKGKLLTSKSASRPQTPQHSPQPPKPHPHSAISDPGPASSITFSDSGRSSPALNGGFSPPTAHFTPSLIRSSPSRFSNGLSPSGHSGESNASFYSILGRSTYGGSSHSSTSMSELADGEERFSGGSYITEQNHNLEAEVRRLRLELQQYNVSMGRESAPHLQGPSAAAESVKLEEAKVARDMLRAMSEMDKHKTQSEIHATELAHSLAEMDKQKRRLVEMQARFKEQDMASNVSYRRYSIRDVEGATDGFSDAQKIGEGGYGPVYKAVLENTSVAIKILKSDVSQGLKQFQQEVEVLSCMRHPNMVILLGACPEYGCLVYEYMENGTLEDRLFCKDNTPPLSWRQRFRIAAEIATGLLFLHQAKPEPLVHRDLKPANILLDRHLNSKISDVGLARLVPPAVADSFTNYHMTAAAGTFCYIDPEYQQTGMLGVKSDLYSFGVVLLQILTAMPAMGLSHRVEKALERKRLIKVLDPKVSDWPEEETQVLAQLALQCCELRKRDRPDLATVLLPALSNLREFATEDHEDDRIYSVPRAHNSVHDVDDDDRIYSVPRAHNSVPRSPISSSSQKFLLIPYLPEEGDKTMRRKILLVF >A03p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14295042:14297201:-1 gene:A03p033770.1_BraROA transcript:A03p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQCSNLGRCCGNSSHKTAVLEAPPHVENGENNDITDVPAFREYTLDQLKSATCGFAVEYIVSEHGEKAPNVVYKGKLENQKKIAVKRFTRMAWPDARQFLEEARSVGQLRSERMANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWTMRLRVVLYLAQALEYCTNQGRILYHDLNAYRVLFDEECNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNLQTLTDSCLDGQFSESDGTELVRLASRCLQYEARERPNTKSLVTALTPLQKDTEVPSHVLMGLPHSGSVSPLSPLGEACSRKDLTAMLEILDKLGYKDDEGVTNELSFQMWTDQMQESLNSKKKGDVAFRQKDFREAIEYYTQFIDGGMVSPTVCARRSLCYLMSDMPKEALDDAIQAQVISPVWHVASYLQSASLSFLGMENESQMALKEGSTLETKRNSPSQLK >A04g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20823296:20824183:1 gene:A04g508150.1_BraROA transcript:A04g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVYWAEIEFGPYSHNLHLVAQLTSPLLVSSSTRSQTHQNSGWSSSCAILMIRSGEGFAKFLLRSVAMSLLMLLVFLMLHLLFETVMMLLDKTPLSLTPNPFSSLPFLKSPDHQLLLYAEILVSLQPPSCLRRSLRVVIFLSQCFFIELCHPFLIPFLQFLHLELKIPMPRLSFPQVTYP >A07p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2946567:2948261:1 gene:A07p004240.1_BraROA transcript:A07p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKLVCVEDCFHSSSRSEVFDTHSEEKMSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELTHYAPGVPIVLVGTKLGKFASLLCIYLRDDKQFFVDHPGAVPITTAQNVKGVFDAAIRVVLQPPKQKKKKGKVQKACSIL >A05p017420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7860916:7861730:-1 gene:A05p017420.1_BraROA transcript:A05p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVHQRADTVNSLVFEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPNLQSHHQILELDQDDKIILLHNNIDNCNNNSNNNLGYAMSSGQFNSNFASPSSIMQMQDPLKQESLWT >A08g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11502119:11504696:1 gene:A08g506670.1_BraROA transcript:A08g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEDDFVFHGTPIEREDEIASRKKKAVAGASGTLKTLPAWKQEVTDEEGRRRFHGAFTGGYSAGYYNTVGSKEDGKSNFAKELYGESLQLSKPETSLGLSNLENEGGSFYGSSDEEPSEAYSMNKDTENMREKFHMLGYRDGISAGQEAAAQEGYNVGYKESVLAGYKFGIVRGVSSALAFLPDDLREKLIDEQETRDKFRNLHGSVHDLSTEAALKLFYGALTTKQGEEESGEKEPCSSLCSGSGCVSGSRSVSATNDLGSYVTELSSLLDNSPKIKVRLEDT >A07p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7702931:7705189:1 gene:A07p013070.1_BraROA transcript:A07p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLDNVLQFLSTEKAKMEIVSENLFLSFCFILSCFFIFTTVRFRQSSTRSTMLPPGPPRLPLIGNIHQVGKLPHRSFTDLSRTYGPIMHLKFGRLNTVIVTSPEAAREVLKRHDQTLSGRNSPNSIRSINHQNVSVAWIHPSTARWRLLRKLSATPMFSPQRIEATKALRMKKVQELMSFMDESSEREEAVDISRASFITTLNIISNIIFSVDLCSYGSEISNGFHDSVIGGMEAAGSPDLANFFPFLGFLDLQGNSKRMKFCTERLFKVFRGFIDIKTAEKSLRNDPKDASNRDFLDALLDLTVGDEAELDNNDIEHLLLDMFIAGTDTSSNTVEWAMAELLTNPKTMVKAQSEIQRIIGQNGFVQEPDISELPYIQAVVKETLRLHPAVPLLLPRKAEKDVEVFGYLVPKDAQVLVNVWVIGRDPNVWENPTQFEPDRFLGEEIDVKGRDYELTPFGAGRRICPGLPLAVKMVSLMLVSLLYSFDWKLPNTVDMEETFGITLHKANPLHVVPVKKNRH >A08g502920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5795313:5795534:1 gene:A08g502920.1_BraROA transcript:A08g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDKCPTTLRRLAAQATIYKLWSERNNRLHNATSSTPQRIFKNLDRLIRNSIFARKERRKFRGLMQVWLKHS >A07p048740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25990018:25991417:1 gene:A07p048740.1_BraROA transcript:A07p048740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGGGSRRIRWLLGFGFFVQGFRGFPWLGANFFLTDELRVNPSVLQLLQNSANLPMVAKPIYGVVSDAVYFFGQHRVPYIAFGALLQAVSWLAIAFLARSNVSILALSLYLLLSNLGASVVEVANDAIVAEAGKQKSPSGELPSFVWMASSLGGILGNLLGGIAIKTFSSQSTFLVFGLLALLQFLVTITIREKSLNLPDNHSPSGGGGGIKSHVSDLSRVLRKPEISYSIAWMALSTALVPVLTGTMFFYQTKILNIDASLLGISKVVGQVAMLLWGVVYNRWLKTTSPRKLIAAIQGTIAVFVVSDLLFVRGVYRDLGVADSVYVLFVSGILETLFYFKNLPFTVLMTRLCPRGCEGSLMAFVMSAIALAFIVSGYLGIVLASFVEVTVDDHSGFAGGLAVEAVCVVVPLVFTSWIYDEKEGAEEKSKKKE >A02p055840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33765156:33766720:1 gene:A02p055840.1_BraROA transcript:A02p055840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYLDYILVPLGMGLMIFYHLWLLHRIIHRPSSTVVGLNAFNRRLWVQAMMEDASKNGVLAVQTLRNNIMASTLLASTAIMLCSLIAVLMTSGTGERSVWFVFGDKSDQAFSIKFFAILVCFLVAFLLNVQSIRYYSHASILINVPFKQLMAVSSGGHSLMINQDYVAATVNRGSYFWSLGLRAFYFSSPLFLWIFGPIPMFITCCVLVSLLYFLDLTFDSMACSVEVADVEETEIRSLAENA >A06p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3342674:3345851:-1 gene:A06p009520.1_BraROA transcript:A06p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSPVKIPATSLEDYAHSPFHYAVVLGDHVGLTRLVSSLPKLTEPEQIHTESDSASQEHLAEQISASIDRRDVPLRETPLHLAVRIGDVFAAETISSAGADITLQNAAGWSPLHEALCRRNAEITETVLRHQRRSAWCKWRRRLPHLIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYRIWKRGGDLRADTSLVGFDRFKIRRASQSFLFLGDGDEFLDVAPGTLLVLNRDEKTISNAFENANDPISDGEIAGFCSRTSLYRPGMDVTKAELVEMTNWRRQVKTETVGEWRAKGYEVANVSFSFKSRRVVAVGETEQNSALDCKNNRSFSEPQRSQHGCSNAEEKEFQPSSSSRRSRKSVSLPAEGVSVAGSVPRIKEKEFVKSLSPSVWLTEDFPLKTEELLPLLDILANHVKAVRRMRELLTAKFPPGTFPLSIPVIPTVKVVVTFSKFVPLRPIDHFYTPLSSPRHLSAAVEDQCDVESEETSDIGTSTSSRSSFSTSSWRRLSITGTGKSAQRRLEEEQAQMVDPFSIATGYKWTSNSDKSVSPFSHFHLPCSFNIVSFLFAKKSSTNSRHIRYFDRKRMASEAPSWADQWGNGGIGVMAAKEETTDGKKDASGKKSSKAKAGFNRAKMVAFIGVNWMKNLVHRKKKDATSS >A10p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4373535:4374567:-1 gene:A10p014080.1_BraROA transcript:A10p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQETEPPPRKQLRQPPSVPFVWEERPGFPKKNWQPSLATFVPSPPPLPPPIPVPVKLVTSVPFRWEETPGKPVPYSTDDPPKLPQPPSETVTTPHPLPPPVPVPVKLVTSVPFDWEETPGQPYPCFVDFNPPDPLDQPLPPPPMYGTGEEVETSSDTYDDASSDSFSSVPISGATAADDNLTSPGYESDDSTSSYMTGASSLVGASFLEKLFPRLLPQEKAKAADSTEAFQDSTRPLHEEVKLTADSGNMKIGFPVKMPQTLGELIMLSRKRSYMRRAVAMRNHNPSMGFTVNGAERFCIKMKQGHEWEKYQPRLKLV >A08p022480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14853385:14854771:1 gene:A08p022480.1_BraROA transcript:A08p022480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSPSPDAKIVKEGQVVIRARNLKAGANFIPPKSFRARRFYFSNEENGLLHVPKDQAQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLGAEKDGLDIIQHEWALPGFEHRAESVLRKLVQ >A07p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4980911:4982850:1 gene:A07p008470.1_BraROA transcript:A07p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYYSYHFIIYFFWNMFSMKSIIGRYNKVKEEQHQLLNHASEIKFWQREVATLQQQLHYLQQCHR >A04p034700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20027427:20033076:-1 gene:A04p034700.1_BraROA transcript:A04p034700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQVNPWFPERGCDSGLNPGEFPVHPPDPPDPPDPDFPPLPSSPATITSQTASSMKMKTASTASSQDPSIKISVNLKQITNSDSAISKSGSEKITAQPTVQNSPRFTIHLPKPSSPLRSNPASSAPPSSPIPPTPSLPVSNPDSLLPGSTPESVAEFIAPATAPPTKKPSSRSNFPPKKNSQPPQTYAQKARNTVDRSLKRLAPTSTSADGKPQVVVPDVVFQRGAELHKEYLVGTFLGKMPDYGLIQSVLNYMWGKGVKLEIHLQPQKRSFLVRIANEFIRSKVLEKQLWYVGTSMFFVSQWGSPNSSVIPEIESIPLWAYLSGVPFDLRTKEGLILAAGLVGEPIETDDYTKNFTDLNVAHVKVEADLTKPLPSSGELVRQNGEIILISIEYPWTPPSCTHCSRIGHIKNDCIYAPVNDTRKGTSKASQVGVHDPPYDDEAPDHSTSVIIPADNITEPTILADSPDDLEMENVPEISYPITTGVPDPPDDDMNLIEEGEITAEPPLEPTHSLNPPPSCPLISNSFSLLDTNPLLNLWINYNKPLFGAILETHIKEPMLHSIISKTCPRWNYLSNHYSDEDGRIIFIWRPPVSAWILAGIFSSTLADLSWKLKSLKSVLQRLNSENFSKIQERVSYANRGLNCRDLVAWNTACILKLIWLLFCNSGSLWVAWYKKEVLNGLLSNFWIRKPNQKYSWLANKLLKLRGIVYQWLLVKVGSGRNTRFWTDNWTPFGCLEDFLSPSISRRMGVPANATLRDISCDGNWVIQSPRTENQLLVQTFLSTVQLNDEEDTYEWTVNGTKLGKYNTGLIYGTLKTHATMVPWFQTIWCKGGIPKHNFLAWLFVINRCPTRDRLLGWGLTVDPSCLLCNSLPESRDHMFFECSYSWDVWSRTAIKCQVSTPRDWTAIVSYLNSVRLPKPQKKLLLIAWQCAIYLLWSERNSRLHRACFRSPDSILSSLNLIVRNRCSSLRPQNPSTASAMIQLWLQ >A01p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:646058:656323:1 gene:A01p001530.1_BraROA transcript:A01p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMDVISPENASGPPSPPPPPVKSNSGTKWNKSTLQKCNIFAYKATRDAWDRMFDEAHGADVLIHTDDNGLIYAHSNVIGMASDVIRGLMKQDKRNSRRKSISILGVPHDAVRVFIRFLYSSCYEKQDMEDFAMHLLVLSHVYVVPHLKRVCESHFENTLLNEENVIDVFQLALLCDAPRLGLLCHRMILKSFEEVSTSQGWIAMKQSHPSLHKELVRSVSYELNSLKQRNRKQKEIQTYTQLYDAMEAFVHICRDGCREIGPTKIENPHVSCGFQACNGLEMLLKHLAVCKLRSIPGGCSRCKRMWQLLELHSRICVDSEQCKVPLCSSFKERMKKQSRKDEKRWKLLVKNVLSTKKIGGSPFFLQAIDVTM >A02p043370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27171411:27173026:-1 gene:A02p043370.1_BraROA transcript:A02p043370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISSSTPPNSKDKMMELPPSTSGASLGSSLALDCASGPKSLHLQGSKAGASLPCADSAEEARDTQVPPSNTDSGSVSIQAETTTQFVPFLGSWAKPLIFKPLATPPDPSTPREYDSVGNQLASLWPSLNNEILNKKQKSKLSPQSRNLFRTASPTYRFDGTPEVSIPSKVLKLGHENKDEYIIGKFHRCSLPPGGLVHAVANKIWGRSCKISCKKLSESSYMFHIPHQPTRQWVIQRGVWHIDDCLLFVLPWTPEGSFQIPKVSTLPVWVTLKYISDCCYSRLGISHVASGLGELFLTHKPRLDPTNMGEAKVLVEMELDRVFPKLIALDDKQGSIYLVKVEYTWIPSTCERCGSLGHKAKRCLMSSKPPENSDISVDVTADIAIVDIDHILQQQNDETVTGSLPTTSAMPHVDSQPELNINIQDVPRLHSDLIADSQEPYVSTHAPMECQDIQVSKITTSSSTPQVQEDITK >A04p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10118481:10122994:1 gene:A04p016460.1_BraROA transcript:A04p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATVAAPFSSFVTSTQNSSSSSSSPCSLLLSRKKKNLGSVNLRFKSRLSVRASSSTSDSVVTLLDYGAGNVRSIRNALRHLGFSIKDVQTPGDILNADRLIFPGVGAFAPAMDVLNKTGMGEALCTYIENDRPFLGICLGLQLLFDSSEENGPVKGLGVIPGTVGRFDSSAGGIRVPHIGWNALQVGNDSDILDDVGNRHVYFVHSYRAIPSNENKDWVSSTCHYGESFISSIRRGNVHAVQFHPEKSGGMMFYSKVGLSVLRRFLDPKSSSKQKPEEGKKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQSNENEVRNLGKPVDLARQYYKDGADEISFLNITGFRDFPLGDLPMIQVLRYTSENVFVPLTVGGGIRDFTDATGRYYSSLEVAAEYFRSGADKISIGSDAVYAAEEFIKSGAKTGKSSLEQISRVYGNQAVVVSIDPRRVYVNDPDDVPYKVIRVTNPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDTDLVKLISDSVGIPVIASSGAGTPEHFSEVFETTNASAALAAGIFHRKEVPIQSVKEHLLEKSIEVRIKIQPREHLSLLHTSDEHPSSDLLVYKSYCSSSQTRQHRCCPPAPSTHSLQFLIDQHGN >A04p009170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7863837:7866034:-1 gene:A04p009170.1_BraROA transcript:A04p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVLSRIQGLDPENASKIMGLLLLQDHGEKEMIRLAFGPETLVHSVIAKAKKELGLMSCSRPSWSQEELISPRNNNNNNRGSSLNPASLPFYANGARSSKNLTNEFEFMDDVNPRSDFLGSVHARSGSCVLDGLGYGDSDLGFGGVPCSYYARGFCKNGSSCRFVHSDGGAELVGSPSRIELLRSNSVPPRLAHHFMTRSFSPKGVNLQSSDAQRAAAAALMMGDDFQKLGRWRPERIDLSAMACPASRQIYLTFPADSRFREEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFVYPETVKSILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRTNQPTELELSPTGLASSPRDAIGGRGFYNNAQDVLWESKFEEEILELQSRRLMNMQLHDVKKHFQLNSPTHIHSPNPFTQALVSPRPLPVKAGREMGKGSSKEGSDDDTMNLPERLEDSLPDSPFASSTHHLVMFGESTDNNGSDLWSPSSDNDDNSTPSTLSDSNSFNCQMPRFGDLTTVLCPLSSYCLLGCCPVGVDRPVVSGYKQEEK >A03p056430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24407279:24407625:-1 gene:A03p056430.1_BraROA transcript:A03p056430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNSLQEEKRSHCERSSSIRATNTSLKPKAEALRMAVKQMMMLNFKKVAFISPYHRVSMLQDIMESSKSYKFSFCYVPRNWLTLVDELAKNSR >A05g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29193220:29196086:1 gene:A05g509600.1_BraROA transcript:A05g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGDVNWKLEDHPKLPKGKTIGLIVLDGWGESEPDQYNCIHKAPTPAMDSLKNGRPDTWRLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIYAQGAKLVDLALESGKIYEDEGFKYISEAFEKGTVHLIGLLSDGGVHSRLDQVQLLLKGFAERGAKRIRVHILTDGRDVLDGSSVGFVETLESELAELRSKGVDAQVASGGGRMYVTMDRYENDWTVVKRGWDAQFGHVTFFWNGNRSGYFNEKLEKYVEIPSDSGISFNEQPKMKALEIAEKARDAILSGNFDQVRVNLPNGDMVGHTGDLDATVVACEAADVAVRMILDAIEKVGGIYVVTADHGNAEDMVKRDKAGKPALDKEGKLQILTSHTLKPVPIAIGGPGLSAGVRFRQDLDTPGLANVAATVMNLHGFVAPGDYEPSLIEVVDK >A02g500750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2231971:2233095:-1 gene:A02g500750.1_BraROA transcript:A02g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAPWTSSETLTLPEISSIPIWVTLKNIPSTLYSILGIEWIASGVGEPMLSYKPWLDPTMIGEAKIMVEVELDKPFPQKVAAWDKQGNFSLVDVEYSWLPTSCEICGQIGHKSRRCLSISGLKAATPATKRKDSVVAHVFASADASPPEMNIQKVIEVSSETSQEAAVAKRDATLSDIPIPSQIKEAMSMATVQASNSQQYISHAPVSDKPATPKEDTSCICDEVIDKINSTDVDDLVSLATVSVLENLYESPTVICVNETIESSPTESAPTKQHIESSITQTSTEVSKESIRMQEIDLGSNQFASLTSLEGEEEYQLDLDESSGPIDILTPLGKRLLRERPVKPSAKGMEWQLQSSSRGRGNRGRGNRGKLR >A06p035630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19282889:19283765:1 gene:A06p035630.1_BraROA transcript:A06p035630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSVEKLHITSLQKAYGALKDSTAVGLVGLAKANSEFKFEKHMYVQVEMKMLIIVIHRMLREAWYCSAWDRTDALFLEERHKCYHVLKYDIEAECLVRA >A03p003790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1651921:1653250:-1 gene:A03p003790.1_BraROA transcript:A03p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g08305 [Source:Projected from Arabidopsis thaliana (AT5G08305) UniProtKB/Swiss-Prot;Acc:P0C8Q7] MLRNGFSPDHMTYPFLLKSNSRLMNRKLGGSLHSSVVKNGFVWDLYICNSLIHMYGSLRDSVSARKVFDEMPYKNLVTWNSILDGYAKCGDVVSARQVFDEMTERDVVSWSSMVDGYVKSGEYSEALEVFDEMMRVSNIKANEVTMVSVLCACAHLGALNRGKAVHRYIVDKHLPLTVMLQTSLIDMYAKSGSIGDAWGVFCGANVKETDVLMWNAMIGGLASHGFIRESLQLFHKMQESEFEPDEITFLCLLAACSHGGLVKEAWHLFKSLEKSGAEPKSEHYACMVDVLSRAGLVKDAYGFISEMPMEPTGSMLGALLNGCINHGDWELAETVGKRLVALQPDNDGRYVGLANVYAINKRFGAARSMREAMEKKGVKKVAGHRLS >A06p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10358469:10359325:1 gene:A06p020970.1_BraROA transcript:A06p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G48970) UniProtKB/Swiss-Prot;Acc:Q84K70] MSMTVEIRVPNLDCEGCASKLKKTLLKLKGVEEVEVEMESQKVTARGYRLEEKKVLKAVRRAGKAAEPWPYRLGNSHFASFYKYPSYVTNHYYSDAHRTDPTGGVHTFFHTPAVYSVAVAGDEIAASMFSDDNPHACTIM >A10p039180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21747343:21749116:1 gene:A10p039180.1_BraROA transcript:A10p039180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRFFSIPVLSVILVMGLVYYITLFVFIDDWVGLRSSAGKLNALVFTFLASLCFFSLSICVLLDPGRVPSSYAPDVESSSWSGSNNGTEARKCDKCVAYKPLRTHHCRVCRRCVLKMDHHCVWINNCVGYANYKAFFLLVFYASVACIYSTVLLVCCVFKNAESYAGNVPLKTFMVACGVFMIGLSITLGTLLGWHIYLIAHNMTTIEHYDSKRALWLARKSGQSYRHQFDLGVYKNITSVLGSNIIKWLCPTFTRNTEDGINFSASRDS >A02p030030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15238848:15239793:1 gene:A02p030030.1_BraROA transcript:A02p030030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGDDNPRIMFGVIITVYVDRQTTTVRSFRARPRNEGMWKRGIGRTNGYDRRANLLAHIRQLRSENEAGDSKCDGVESENVPDRPNAKKKKRRWIRKMMSKFRLPFLRPFRRKNRTFRYRQFVPDEEEGEAKSRAYSSDLWGYHAAAYEVEKTHEDNFKKQTAVRS >A09p068710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53745060:53747341:1 gene:A09p068710.1_BraROA transcript:A09p068710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISKKATTTPSSDPKLKPTTLNPHAAEFVPFTLRSTSTTTTTTSNLDVTTPRLLASSSSVLDRSESSASRHSDEEARQFWSHQLPDDITPDFKLMTTQDDTSYGASGSLSLAGLSLYDADNFPSPSGGGGGGFVFSDQPHGHNLSDKSRYPISSFGEDQSFSPKPWDKQIMSAEKQLLGGNDDRERRNPFGRFMVSENPSIGEMEVNPVEFLASQFPGFAAESLAEVYFANGCDLQLTVEMLTQLELQVDNGLNQNMRPKIPAPAPAPNLTPMDFPALSPAQFGGDDVQQTGNHYQSAGKDNMFFFKPDYVSAVKKLASQDTGLWKYEGNGSGDSSIGGSSRNYHAGGGRGPARSIYSDKLSSNRATPVAWLETGDAVGNLYSDLRGEARDYARLRNVYFEQARQAYLVGNKALAKDLSAKGQMHNMQMKAAHGKAQEAIYRQRNPVGQGNNSRGSERMIDLHGLHVSEALQVLKHELSVLRSTARATQERLQVYICVGTGHHTRGSRTLARLPVAVQRYLLEEEGLDFSEPQAGLLRVIIY >A08p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17466378:17474540:1 gene:A08p027770.1_BraROA transcript:A08p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKSKGDKKKKEEKVLPIVIEISIETPDETQVTLKGISTDRILDVRKLLAVHVQTCHFTNFSLSHQVRGARLKDSVDIVSLKPCHLTIVEEDYTEEQATAHIRRLLDIVACTTAFGSSKPPVSRASPKESGSNEDAGDSGSNLSPKSEKKLVIGGCEASPAAEGGDKGEINMSPPIRLGQFYEFISFSHLTPPIQYIRRSVRPSIEDKGVDDLFQIDVKVSSGKPITVVASRTGFFPAGKQQLLCHSLVELLQQISRPFDAAYDALMKAFIEHNKFANLPYGFRANTWVVPPVVADSPSTFPSLPVEDVTWGGDGGGAGRSDRRKWAMEFAVLAAMPCKTSAERQVRDRKAFLLHSLFVDVSVSKAVEIIKNVVEGNQRLSKDPAVLGFHEERVGDLVIRVARDDPDASAKLDRKSDGTRVLEISQEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGFTAIVKVAAEYNLDGGRIPQDIDIEDQSEGGANALNVNSLRTLLHKSSTPSSTVQRSPNADSEQIRVAKSLVRTVFEDSLQKLEAEPPRNTKPIRWELGACWVQHLANEASSKTESKNTEDAKPEPPVKGLGKQGAMLKEIKRKIDVKSNKTEQGKDALANTVDNDCKSETEDQKELEKQNEEVDKMWKELVTEAAYQRLKESETGFHLKSPRELIEMARKYYTDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMHSLGRVVELAEKLPHVQSLCIHEMIVRAYKHILQAVVAAVENTADLAISIASCLNVLLGTPSDTESEYDEKIKWTWVEAFISKRYGWDWKHEGFQELRKFAILRGLSHKVGLELVPKDYEMDTSSPFKKLDIVSMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGLEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPETDLKARDAQRKARMKVKGRPGQSPTSVLEENQKDDEILTPTPITVESSSDKDNKSEAKPEETKVDKRVMEPRDQVTLVKAESTAQEDDSSDEGWQEAVPKNRYPSGRRTRPSLAKLNTNFTNANQQTSKSRGKPTNFASPRTSPNEISISAAGSTSQHAKKALKSPSLNKKKSSSNIVGEKPVSNKSATEQINKPISMVSPVTVQAGKLFSYKEVALAPPGTIVKIVAEQLTEETTVPETLDAAKVDGPEKVKAEEDESESKHEATETENADGNEQGGAAVGGSKLMSLQEDIKAEKAGEEGSPTKTAVSDASRGISESVQTAKDSNGSGPVTELKQHKDVSDATAKAVDGETEALPNGDLSPKSSVVADGEKQEACEAEKETSKKLSASAPPYTPTTIPIFGSITVPGFNDHVGILPSPVNIPPMLPVNHVRRSTPHQSVTARVPYGPRLSGGGFNRSGNRVPRNKPSFPISPESNGEAKQFIGPRIMNPHAAEFIPSQPWVSNGYPVSPNGYLVSPNGTEITQNGYPLSPVAGAYPCNIPAQPQNGHIIPAPVALEEVHDTESAEEKSGGEEESNKENKAAEDEEVTAQETTETPENGQSAAGEEKPTAQEISDEN >A09p060620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50213951:50216038:1 gene:A09p060620.1_BraROA transcript:A09p060620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPHFSTTIFLLCLFFFTLLEATNLTLPHQHPSPDSVALHVLSSINASLSRRQLSSSSSTCRTGNPIDDCWRCTSSDWSSNRQRLADCSIGFGRGTLGGKNGKIYVVTDSSDNNPSNPTPGTLRYAVIQEEPLWIVFSSNMLIRLRHELIINSYKTIDGRGSAVHITGNGCLTVQYVQHVIIHNVHIYDCKPSGGAVVAATPTKSGRRGRSDGDGISIFGAQKIWIDHCSMSHCTDGLIDAVMGSTAITISNNYFAHHDEVMLLGHDDSYGPDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTSWKMYAIGGSGNPTINSQGNRYIAPSDPSAKEVTKRVDSKDDGEWSNWNWRTEGDLMENGAFFVASGGGVSALYSKASSVEPKASALVDQLTRNAGVFGGPRYPFSLFLRYFLCT >A02p038540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21964136:21964680:-1 gene:A02p038540.1_BraROA transcript:A02p038540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDQQVEDLMVVVLTETVKEEEPHVEVELIEVWSYGGDERGRAGGGAVVVDQKAEGKYEVTWLVVIEAAEEFMAEVEELMAEVELVEVDMKVVVMKEVVGGH >A04g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1225360:1225777:1 gene:A04g500310.1_BraROA transcript:A04g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSFPYNASLRTLSLEALIMLLSGHQSAGTVIASREFTRTLYSIFTGLVMAHRLFQTVLISLGTGRDTISGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDAADNWYEP >A10p006830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9904759:9906720:1 gene:A10p006830.1_BraROA transcript:A10p006830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRIDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVADEDGRSLAEKEGLSFLETSALEASNIEKAFQTILSEIYHIISKKALAAQEAAGNLQVPGQGTAINITDSSVAKSKGCCST >A07p048790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26004524:26006635:-1 gene:A07p048790.1_BraROA transcript:A07p048790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G76150) UniProtKB/Swiss-Prot;Acc:Q8VYI3] MARSDSEFNPDLLLAHKLPETRSSYNERDVAIYALGIGACGQDAVDSDELKFVYHENGQEHIQVLPTFVSLFSLGSLTDGLDLPGFKYDPSLLLHGQQYIEVYRPLPSKASLINKVSLAGLQDKGKAAILEIETRSYEQGSDELLCMNRTTVFLRGAGGFSNSSKPFSYKNYPSNQGLAVKIPQTQPSSALLYRLSGDYNPLHSDPNVAKLAGFPRPILHGLCTLGFAIKAIIKCICKGDPSAVKTISGRFLATVFPGETLITEMWLQGLRVIYQTKVKERNKAVLSGYVDIRGLSSSL >A05p031660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18279771:18281789:1 gene:A05p031660.1_BraROA transcript:A05p031660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPCPNSCIKEGKFTAPGFRFHPTDEELVMYYLKRKIYRKRLTVNAIGVVDVYKIEPDDLPGQSVLKTGDRQWFYFTPRSRKYPNAARSGRGTATGYWKATGKDRVIVYNSRSVGLKKTLVFYRGRAPTGERTDWVMHEYTVNEEELDPCKNAKLDDFEEIPQICINGLASSVPQVNREEEMESTLVNNSSGEFLKPQQTGRFLPNGEPYIRPSSSGVEPFVFEIEDYIEMDDLLASELAVERPAQFLNPGEFGDFNEFDQLFHDVSMSLDMEPIAQGASVHPSSPSKFADNTSNEKQLFQDQIPENKVNNNNLDDFTDDMWLQDDDDQAVLFDQPQSITSGAFAPLPSSGVMPGSTNLTVSAQDQEGENGGGTSPFTSALWAFMDSIPSTPASACEGPINRTFVRMSSFSRIRYSKKANGTPPVTSTVVAKKRSRNRGFLVLSIVGALCAIFWVFIATVQASGRPVLS >A04p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3578043:3585137:1 gene:A04p006730.1_BraROA transcript:A04p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDGPPRLILVADLDCTLVDHDDPENTHLLRFNALWEAHYRHDSLLVYSTGRSMRSYLSLRNKKPLLTPDIAITSVGSEIAYYGESIVFDDVWVARLSEMWNRDIVVEETSKFSQLEPQPKRSQEQHKVSFYVEREHAVKIMKVLPGILMERGVDVKMVYSNDYAFDVLPGGSGKGGALTYLLEKLANEGEQSSKILVCGDSGNDAELFNISQAYGVMVSNSHKELLQWHEDNAKDNPNIILASERCAAGIIEALQRFNLGPSVSPRDVLDAEHFYKEILDPAHEVVQFYLLCEKWRCGEVEKSDKYLQNLKLLSSPLGMFVHPSGVEKSIHEWIDDLENLHGEGKEKQFHIWLDKVSSSRISSDTWIVKFDKHELSDEKVRSCSTRVLLSCQLTQTSSISFLQMATLHTRVFFLISLLILSKKASSQLDELWLVGDDDDDPLRALQTRHERREEKCDYSLGEWSYDETYPLYDSNCPYLSSALSCQRNGRPDSYYQKWRWVPKFDALKFLGKMRQKRIMLVGDSIMRNQWESLVCLVQSVLPTHRKKLTYNGPTMSFRSLDFETSIEFCWAPLLVELKKGSDRERVLHLDSIEDNARYWRGVDVLVFDSAHWWTHSQKRSSWDYYKDGNKLYKAMNPMVAYERGLTTWAEWVEINLDPSKTKVIFRTSSPRESGQKCYNQKHPLPSSSEPHVPQQSRVLKKVLMKMKYRVYLHDITTMSAYRRDGHPSVFKRAMGEEEKHHRFAGPLPDCSHWCLPGVPDIWNEMLSSIILTKAT >A07p047290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25408445:25410083:1 gene:A07p047290.1_BraROA transcript:A07p047290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP1-interacting protein-like 2 [Source:Projected from Arabidopsis thaliana (AT1G74410) UniProtKB/Swiss-Prot;Acc:Q8LBA0] MDAIFSPAVEPEGATDSTIDTVSRLVSGAFSGALTGFFAMAGAFTGAVTGAVAGRAAQYGVLRGAALGAVAGAILSVEVLEASRAYWYLELSGSRGPSSMADFVEQLFRGRLVDEQLMSTMIQSHHWQLRISDVSYEERDDVYSELEPRGLSGDSLRRLPCYIMSSEMTKKQIIHCTICLQDVAVGEITRSLPRCDHTFHLVCVDKWLIRHGSCPICRQAVKD >A06g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6888128:6888709:-1 gene:A06g501890.1_BraROA transcript:A06g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGARIHLLDSFVQGPIKSDPISKDSPENVAVLGKDPPESQPIEKIANTQAPSQETEEIGDSFDFRKRNLSTATATIPPFVATTSNGSPFSSGELEELEVTHRASVQQRKPVIKLNFTSLGKTNGK >A03p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9276198:9276980:-1 gene:A03p022030.1_BraROA transcript:A03p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPAMYNCSSSFLLSLPAIDARLVRPTSYSFRWIHHSIITSSWRRRRNHLSIHEITILSSSQACCFGAKDSTFLRKFKFNEKPSGKFVTCVSSSLPSEEVEGEEVDSSHLGFLENDSAESPRGGDLIQQVGEDNLIKIGSKGFKQTLTRSNLVAKQVISIQSALSLGFISQLWVDTTSWLVLVVDVKPTLLSGESERFLLTDITRVLLLLLLLSATLNCFSSSESVCYSLSLC >A03p020680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8500076:8501947:1 gene:A03p020680.1_BraROA transcript:A03p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RKF3 [Source:Projected from Arabidopsis thaliana (AT2G48010) UniProtKB/Swiss-Prot;Acc:P93050] MFLRRTTVVYILLFFTAATSSLVAAQNSSCPLDFSILQPFKRPSPDGSTTCQYLLQGLRLVYSHHLRQTGSFSPPLSSASSCWDALQSSISGFLPRFDVRSRCGFQTPWISQGCMNITTRSQFEALIPNSTLSTAVMRCNLSLESNTPCASCTQSLSAFQAYLTGDSLGNVSDCTGFSSIYGAAFANRFGPTDKGTAKCLFLLDLASSSGSGSGKKKVVKILVPLLVILLVASGLILWYYLKRKRDFKMRRMKLRQQRDSLEAGTRSRLDSMSESTTLVKFTFEEIKKATNNFSRHNIIGRGGYGNVFKGVLQDGTEVAFKRFKNCSANGDANFAHEVEVIASIRHVNLLALRGYCTATTAYEGHQRIIVCDLVSNGSLHDHLFGDSETHLPWPLRQRIALGMARGLAYLHYGAQPSIIHRDIKASNILLDDRFEAKVADFGLAKFNPEGMTHMSTRVAGTMGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGRKAIVTDEEGQPVSVADWAWALVREGQTLDVVEDGMPEKGSPEVLEKYVLIAVLCSHPQLHARPTMDQVVKMLESNEFTVISIPQRPIPLVACREEIDRSVSSSSGSGKLTSPTGYQAFSFGADGSGHH >A05p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17359188:17360377:1 gene:A05p033520.1_BraROA transcript:A05p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRRDSGGDVVHVIPTNNPPPENWFPNVGDSAVWATEDDYNRVWAVNPDGENGPPNKKSRGSPSSSAASNRTKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHTVEELRRPPPNWQEIVAGTPTTVEQREEFQIPSTADESGRSFKGRHCKKFYTEEGCPYGESCSFMHDEASRNRESVAISLGPAGYGNSNLLVLGGIGIQIVKPSNWKTRICNKWEITGYCPFGAKCHFAHGAAELHRFGGGLVEGQGKDGISPNPDVKQTGPNPKGHSDTTTTLLSPGLPHHSDAGYHSGVALQRASSAVTQKPGIRTLQKWKGPGKISLIYGDWIDDIE >A07p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12577443:12581791:1 gene:A07p021470.1_BraROA transcript:A07p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSPMPCPSTVTVRRNPPRKARATPYTTAAKPPPSSNSHDVPSFPIDEILSIQIPLPEPPKPSASESLKIFLRIKPLRVYSKVTAAAKSRPRNVWPQNPSKKQNVAKESRSKKSEEACIALNDSYSVTLTPPQALQELKRSKTEVFEGFSHVFPADCSQSDVYGKMVQPLLEDFLNGKSGMLAALGPSGSGKTHTVFGTPKDPGVVPLTLRQIFKKRDETSSPVSLRSFYLSIFEICSERGKGEKAYDLLGDGSSELSVQQSTIRGLKEVPIRNLEEAESLIGQAMLKRATATTNSNSQSSRSQCIINIRAASDGVSNETKVQSTDAMLTIVDLAGAEREKRTGNQGERLVESNFINNTSMVFGQCLRSLLEYQKNRKKGFQKHHQNSLLTRYLRDYLEGKKRMALIITVKAGEEDYLDTSYLLKQASPYMKIKFDNIEEVCNKRQLKTFPRVEKNKKMKLSAPKTSQIAEPVAGEKNQITQEVNLEGKKAHQTDKSSPKLEDNNEREHIIMRNFAKVVWNVLKQYNEKLKVAEGDICSLKERLKNEQLKSRELETELTSLKSSCLFSKSNLAEPCLHEVEALVHAKEQLEIDTSLANADTNVDDDDPCDLIESRSEVTAEGCSESPVPHKSLVPGLGNEEISCGEQECAKEDDSCNLIDSTSEVTAEEYNESPVPNKNVVPDLANEQECDNQIDPTPSPEQAEVSLINNRLSNIQTKSAVSCRFPDSEKQERLKRLLPASSRLLAEDMNDLEIKDKQIEKPQVKLAETRDQEKTESTKSREIEIPAREAEPASTKKQRNEQKKPRRRLQPASSVLLTREINTLEIEDDVAEPKGTRGGKKTIVTQPRSQGSVTLLRLLTSNLHL >A06p054200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28452247:28459688:1 gene:A06p054200.1_BraROA transcript:A06p054200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIALLLILNVIFFTLVSSNPVPYRKPTCKNALKFKVCANVLDLVKVSLPTRSKCCGLIKGLVDLEAAVCLCTALKADLLGLKLNVPISLNVILNHCGKKVPSEPPLIYSSMAYSKIALLLILNVIFFTLVSSNPVPYRKPTCKNALKFKVCANVLDLVNVSLPTRSKCCGLIKGLVDLEAAVCLCTALKADLLGLKLNVPISLSVILNHCGKKVPSVLRVITVPYHEPFKLNNELEIDATIALLLILNVIFFTLVSSNPVPYRKPTCKNALKFKVCANVLDLVNVSLPTRSKCCGLIKGLVDLEAAVCLCTALKADLLGLKLNVPISLSVILNHCGKKIALLLILNVIFFTLVSSNPVPYRKPTCKNALKFKVCANVLDLVKVSLPTRSKCCGLIKGLVDLEAAVCLCTALKADLLGLKLNVPISLSVILNHCGKK >A03p000470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:313771:315572:1 gene:A03p000470.1_BraROA transcript:A03p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIPNRGAVGGTRIPLSIIVLVLCGFMFFALLYTERISLMSSSSSNFLRSKSCPRKNISSKPKEKVREERSEKMDVLDDRFEFDPEECNVAAGKWVYNSSAEPLYTDESCPYIDRQFSCMKNGRPETDYLRWEWQPDDCTIPRFNPKLAMNKLRGKRLLFVGDSLQRSQWESFVCLVESIIPEGEKSMKRSKKYFVFKAKEYNASIEFYWAPFIVESNTDLPVILDMKKRVVKVDSVEDRSKYWEGADILVFNTYVWWMSGLRMKALWGSFGNGESGAEALDTPVAYRLGLKTWANWVDSTVDSNKTKVFFTTMSPTHTRSADWGKPNGTKCFNETEPVKDKRFWGTGSNKQMMKVVSSVVKHMATHVTVINITQLSEYRIDAHTSVYTETGGKMLTAEQRADPMRNADCIHWCLPGLPDTWNRILLAHL >A01p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14238511:14239654:1 gene:A01p039550.1_BraROA transcript:A01p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNNENPLPQDSQFQYQTNSSLNQLHLLGTMRAGCTIDPINYFANDNLALMTRNNSKRARETETNHSIQRQQKLQSSLNYNYNNNSVAQDEAPKHNLVSTGLRLSYDNDERNSCANGSITTPMFQSLGDTIRLNLDRQKEDLDQFLKFQGNQLAKGVRDIKQRHVTSFVTALEKDVRKKLQEKDQEIENMNKKNRELVEKIKQVAVESQNWHYRAKYNESVVNALKLNLQHVMSHGNNTNPGGVLAVEEGYGDSEIDDEAASYNYLNIPGMPNTGMRCKSCNVKEVSVLLVPCRHLSLCKDCDVFTGFCPVCQSFKTSSVQVFFS >A09p010320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5299403:5300019:1 gene:A09p010320.1_BraROA transcript:A09p010320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLNPYEKQYMKMAMLKHEETFKQQVNELHRLYQVQKILMENMQINKGNNVSSGLETFNRTVDHETDRLARRDSGGNNTDIMDESEIELTLGPSCYSGELMRMNKKNKNSLPEMIDENLNSDGWSFWSSSTGSSNNNHNNLEEQVIQEKLMKHQNQKQPWLRALTLNII >A07p020530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:12091149:12092798:-1 gene:A07p020530.1_BraROA transcript:A07p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTARVMSLVSSAEPSAAAYKNPKLYSLNENGYNSGGGTSVEIFDPDKSKNTCLTHDSYPSQSYFLESPTEQHLVASGAASVSSFGSLDSFPYQSRLVPGSSMEFDDEQMRSKIQELERALLSDEDDNIMEGLDHLMEIDNEWSYQNHSNKDSSSADSNSHSTSSKEVVSQATTPKKMLISCALALSEGKSEEALSLLNELRQIVSIQGDPSQRIAAYMVEGLAARMAASGKFLYRALRCKEPPSDERLAAMQVLFEVCPCFKFGYLAANGAIVESIRDEKEVHIIDFDVNQGNQYMTLIKTIAELPGKGPLMRLTGVDDPESVQRSVGGLRIIGLRLEQLAKDHGVSFKFEAVASKTSLIVSPSALGCKPGEALIVNFAFQLHHMPDESVTVVNQRDELLHMVKGLNPKLVTVVEQDVNTNTCPFFPRFMEAYEYYSAVFDSLDVTLPRESQERMNVERQCLARDIVNVVACEGEERIERYEVAGKWRARMMMAGFSSRPMGSRVTRSIESLIKEEYCSKYKVKEEMGELLFCWEDKSLIVASAWR >A03p004790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2012323:2016065:1 gene:A03p004790.1_BraROA transcript:A03p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSLSSNPLLQNFEFPPFDVVDARHVRPGIRALLHQLEAELEQLEKTVEPSWPKLVEPLEKIIDRLTVVWGMINHLKAVKDTPELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKSIRESPDWNTLIEAPQIKEAVLSGIALEDDKREEFNKIEQELEKLSHKFSENVLDATKKFEKLVTDKKEIEGLPPSALGLFAQAAVSKGHENATADAGPWLITLDAPSYLPVMQHATNRALREEVYRAYLSRASSGELDNTAIIDQILKLRLEKAKLLGYSNYAEVSMATKMATVETADELLEQLRSASWAPAVQDIEDLKSFAKDQGAAEADSLTHWDITFWSERLRESKYDINEEELRPYFSLPKVMEGLFGLAKTLFGIDVAPADGVAPVWNSDVRFYCIKDSSGNPTAYFYFDPYSRPSEKRDGAWMDEVFSRSRVMAQKDSSVRLPVAQMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKEDEGLVAGIRNIEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGETLPENVYKKLLAARTFRAGSLSLRQLKFATVDLELHTKYVPGGTESIYDVDQRVSMKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRNTILALGGGKAPLQVFVEFRGREPSPEPLLRHNGLLLATA >A06g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19057811:19060898:-1 gene:A06g506860.1_BraROA transcript:A06g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDPSATTFGLADSFKKNFFHELKFEMNFLTTDINFRGTNLCLSVSLTSAEWQGVSTDLSRLRNGPTFGREFWHIPRGREPGTPQHPGCGRTTASSRETRQWIPPCMILTGWGANCWGQKRLRRNYHPKILRDRISERVSKRRDVIFVKINYTRLFLRRSILWDSNQTIVPLGHYVATKLGPKLGRYVATESIPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRRVARYVATELAFVPLGRYVATDASQTRSRYVATAPARSLERPSELARSLRSDRAFVPLGRYVATDLSQARSLRSDRAIVPLGRYVATGLEPKFGRCIAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSTTQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRIFLVSLFKRKSTVRILVLTFLARGSLKTETRLTRDREQSDRRGVLIVKQRRRHIKEELSLRRPDACGRAGNVGAEPGL >A01p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20203577:20205268:1 gene:A01p025240.1_BraROA transcript:A01p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14605) UniProtKB/Swiss-Prot;Acc:F4JVI3] MQTFSQLGPSEIFFIARRDNPCTSNCRAQLWFTGKLHFRQESFGFRIKNRVEYSARPVPPNLIAAEKEEAKAVLTLFFKKQGLSNSVSVRIINKSDLFIDHLVSRLHSVHKARYLVGRELTTLEIRDSLIPYLEQLHEQHGDLLSDLVVSFPDPPPPHAEDRPPPVPLTPPRGLTDSAADSKKLRAVSRVSELDTEGALRPQTLYLLDLGLNLEQIKTITRKFAAFPYYSLEGKIKPVVEFLLDLGIPKSDIPTILCKRPQICGISLTDNLKPTMAFLETLGIDKNQWAKIIYRFPAILTYSRQKLISTVEFLTQTGLSEEQIGKILTRCPNIMSYSVEDKLRPTMEYFKSLNVDVAVLLHRCPQTFGLSIETNLKPVTEFFLEKGYGIDEIGIMISRYGALYTFSLRENLMPKWDYFQTMDYPKSELVKFPQFFGYSLQERIKPRYELVKRSGVRLLLNQVLSLSGVEFEKVVKKKMMKKLLSDHQSSSELL >A02p051960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31750086:31750626:1 gene:A02p051960.1_BraROA transcript:A02p051960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSARGFELPAHSECDGSGVKFVHAAAKTVSLGRGLRIFACGPSNGVERWSVHRIWRFLSLADLQKMIFRFTSRHISELKAIANSEVAGSDVDLKISSFQAVLAHMWRSIIRKSGLNPEEVTHCNMRQRLNPPIGLATATTTAGEMLNNGLG >A09p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14112646:14115120:-1 gene:A09p025270.1_BraROA transcript:A09p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSQSASPSPSPSPSMGDTFVGSFISLVSNSEIRYEGILYHLNVNDSTLGLKNVRSCGTEGRKKDGTQVPPSDTVYDYILFRGSDIKDLQVNPSPSPQPRHQIQREHDVNQSSHARPTMSSPPPGYDSGYGLGRGAQWVQTPALSSKPVPATHHSPVPLGFQPPPSNAGTESPASLIGSANSFQAAQSNAGLSMPMPSFVQGNKLPSTGVPPGMMGQAVSSSATRHNDPQIIDMSASPVMGLVDDASQVVTRAPDVASDQAYSSPLGQAQRHTPPGLASSPLSEAQLSAPYIQNSYPIAPQAVGNGVYDSLSNHHNRSIPYNIPAMTSHSAPVVPGPFSNSPQSFSDMKPLLQSRQMVNRSQEMFVATNPVSVGVPSRSLATTYQEPLLPLAATAHQSRIPSSRNEFTEEFDFEAMNEKFNKSELWGFLGKNNHREETVVEPTEEGKAKPAYNKDDFFDTISCNPLDRVARSGQQQLDSQFPEHMRQNPEAYGNHFQMPLQPQPGQGAYLAAQTNYRGGYDNNNYSNNYYSNSGYGYYSGGRGRGRNTHF >A09g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19501211:19506257:-1 gene:A09g506620.1_BraROA transcript:A09g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTSQKFPSPSICEYPTLEGDSSPRKKRPEPNPIIGFKRSLSAFQKAQDQEKWPRNYEVMIQSPKPIKPVLHLPQLEANRFNQLQTRHWRPGDISMHSGSLSNGPEESDKFIPCTSPHRIRRILINPNLPYLELLAIQLQQLFFLQIRHDLSTLQTIKKVPRKLSYRLKPSRYKENTIYIHLAKIFIIKPPTASFHGAINSFAFNSRATRLIKDPFHRSLWCLSIHFVHPNHWPSLRELHNQQAKLHLIPLQPSFDQAERLNLGSTIQPIRGLPPLCLQHFGIRAQAPESGHSILASFIFEAMVGKTHGQSQMAKQNQQLTALQEINDRIAQLRKRNKARVQRPQQGERRFGDAPEAVYVEPKPPDPSRINQHPTSQTHTHHVANSRFDHKSFADKIELFTFSGGRSYLFWERNLDEWFHYNNILKEERLSYAIDQLRGNAFKWWVQEEDDRWFYKEPAIKTWRDLKEVMRDEFSPELTSSKIRKIYPRRYLTHVSKEKTEPVIVQVKAKVSPILDKSVNESSTTCMSHLSLSKNVKTDPEVQKETNSTSLLRSKVVHDLSPRDKEILNTNKEEPTSQVKSSNSENLKDQTCYRCHKRGHFAVVCPSKQALIEASLEKKTDLSMKSDSFIQSDLLAQNSCMMYLSLSKGDVTGTKEHEFKGEEPPGATPVMDHKMVQDTMQSMLFKEAKPILRVSHQGRINESYKLIEVPKKEPDHKLSHEFTPKWKPKSEQSIVQVPKPMSVEIISGCQEESFKEIPPDNLMLLGESTPKKIRNVATQTLKDHPLQKRCNGHDHSRGVILSHLLKEEPPDAPCITKPKLYQGYIVSRSKPCQEGGDVVVTKSMVQPESHQTVQTGYLGGTSDRGSVQGVYLYSQKDFQHETNFIGFSTQEGVQPNWNRAKIFTEQEVMNFTSQKFPSPSICEYPTLEGDSSPRKKRPEPNPIIGFKRSLSAFQKAQDQEKWPRNYEVMIQSPKPIKPVLHLPQLEANRFNQLQTRHWRPGDISMHSGSLSNGPEESDKFIPCTSPHRIRRILINPNLPYLELLAIQLQQLFFLQIRHDLSTLQTIKKVPRKLSYPLKPSRYKENTIYIHLAKILIIKPPTASFHGAINSFAFNSRATRLIKDPFHISLWYLSIHFVHPNHWPSLRKLHNQQAKLHLIPLQPSFDQAERLNLGSTIQPIRGLPPLCLQQQLRRPKIDKAMDDELCNILDAKILCTFCRFQDKGCK >A09g513410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40754465:40755269:1 gene:A09g513410.1_BraROA transcript:A09g513410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHASFWSPLTKSFERTMTLLLLASRRRSRSLFNWLDLVLGFAARGGSEKRHAGQIAVVTSSQVSCFTFGGECASAHFEFLGVFTQVKSLSLFSRQVKITSLDEFTRSHILWKRHLKPRYPRKGGESHLYVVSVKG >A04p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21510301:21511431:-1 gene:A04p037700.1_BraROA transcript:A04p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRRGNLDYDPTMNPGLGSARCPRCLSLLNPNPEKGEWTITSVLHDVAAVAGSGIGGLLSAVHAFNTGIPYLQNRFPGPKRLSFLVGVPLLLGYSGVGAAFGAPEVFDLVTIFDQLTVTSYYASSSASHYGISMLTRHIEEYYLSRTLKE >A06p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:137164:138951:-1 gene:A06p031290.1_BraROA transcript:A06p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSHAGHKLLASAACSFLHGKEKFSCLYLLQLLVAFFMGRKSSAACAAWCAEACHQLSNLIFVFCGSKPSSEATPYDIKYPLLSSGHGQGEYSDQLDPCDGSEPRVIQNLIVYSLSRKSRITVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILKYLMEMMVTSRWETFTLGREGTALASVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGVGGG >A03g505000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:17871249:17871557:1 gene:A03g505000.1_BraROA transcript:A03g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQGSEEGGEQAHIQTMIPTQPHAMEEVQSEDAAPQIETETQGMELGQGIEGGGEQAQIQTLILTQPHAMEEAVQQKQPRHSRGVCGYECSSNIWVLDRL >A06g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25812490:25816942:-1 gene:A06g509070.1_BraROA transcript:A06g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIMGNSYTEEWSAILAFMSQLKLSRSLFALHKSLSGFGVSIGDLRGTWKHLGSKREWEMLFRRAKHQSGVRERRATPAPEESKVGATSSTEVIHPLQTQLLVDDFHDAKRPMGATSRTRAGCRATSGATSSTRARFGEVKHVLQSDLWERPSAPAPRFGVSIGDLRGTWKHLGSKREWEMLFRRAKHQSGVRERRATPAPEESKVGATSSTEVIHPLQAQLLVDDFHDAKRPMGATSRTRAGCRATSGATSSTRARFGEVKHVLQSDLWERPSAPAPRFILCRKLMFYLGF >A09g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14380272:14384414:-1 gene:A09g504740.1_BraROA transcript:A09g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRGDTYLGELDELSELSDTTLELDELSEQNDTSLELNELSNTEDGAGSAAGRNGPFQPKEKTLLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRRVILILVLSSGIRATLPEKPIWWSKEHVNTSKDVADPKRQHFQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAQVAEPSFSISKKTQGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSQQVFEEEPLDVPHQCPCLDIWISLEEVPEPIFDEEDEPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPFLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKDFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDLFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDKTWYFLRSFRDNGAVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMHILKMNTVIAYLDKILVCNIYFDEHLDRLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFILSIQERQVQPLRIESIVRAQQSEFWRSFVETGYLDTSNRGSVQGGFLNIPKAFCHKSNFPRKPTQTVFTEAWNRMKIFLDEKVMNFPNWRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQNHFALNKQDKHDQLLRRASTNGRQIPHTSGKELWLEPWPDDRSDHTGACLSRPTSLLKTYGRARIHFGRAGRGDTYLGELDELSKLSDTTLELDELSEQNDTSLELNELSNTEDGAGSAAGRNGPFQPKEKFIKSSLWDCFFPNSTSPFLSPFQAHSHQEYQEGVSKEVLVVHGKKNSTKIINFGL >A02p023960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11952214:11968156:-1 gene:A02p023960.1_BraROA transcript:A02p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRRSPCLLLAVLFLCIHGLVHVVRAQNRTRATTHPDEARALNSIFATWKIRASNEWNISGELCSGAAIDNVSIDDGAYNPMIKCTCTFANSTCRITALKVYAIDVVGSIPDELWTLEYLTNLNLAQNFLTGPLSPAIGNLTRMEWMTFGINALSGPVPKEIGLLTNLKLLSISSNNFSGSMPAEIGSCTKLQQIYIDSSGLGGEIPLSFANLVEMQQAWMMDLEFTGRIPDFIGNWTKLTTLRIVGTGLSGPIPSSFSNLTSLTELRLGDISNGSATLEFIKNMKSLSTLVLRNNNLTGEIPSDIGDYSSLQQVDLSFNKLHGPIPSSLFNLTRLTHLFLGNNTLNGSLPTQKSQSLSNIDVSYNNLSGILPSWVSLPNSKFNLVANNFTLEGLGNRTELSAKELPLQSRQRNLSVSRALFEKDDADLGPSSFFVNAARRWAASSIGLFAGSSSNAYIASSLSQFTNTSDSELFQTARLSASSLRYYGLGLENGGYTVTLQFAEIQMEASNSWKGLGRRRFDIYVQGRLVEKDFDVLRTAGGSTNRAVHREYKANVTENYIEVHLFWAGKGTCCIPIQGAYGPIISAVSAAPDFRPTVDNKPPSKGKNKTGIIVGVIVGLGLLSILAGLGIFIIRKRRKPYTDDEELLSMEIKPYTFTYSELKSATQDFNLSNKLGEGGFGPGNLKDGREVAVKLLSVGSRQGKGQFVAEIVTISTVLHRNLVTLYGCCFEGDHRLLVYEYLPNGSLDQALFEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDEVLDDDKKYLLEWAWNLHEKGREVELIDDRLSEFNVEEVKRVIGVALLCTQASHSLRPPMSRVVAMLSGDVEVSDVTSKPGYLTDWRYDDITTSSGFQTKETDTSSSKISPGNTDSLPMLGAKINLFKKIFFLLSTMPRLRRPPCLLLTVWFLCIYSLVHVVRAQNRTGTITHPDDARALNSIFATWKINASNDWNISGELCSGAATNGNVDVDDPAYNPIIKCACTFANSTCRITALKVYSKDVVGTIPDDLWTLEHLTNLNLGQNLLTGPLSPAIGNLIRMEWMTFGINALSGPVPKEIGLLTNLKMLSIGSNNFSGSMPAEIGSCTKLQQIYIDSSGLSGEIPLSFANLVELQRVWMMDLEVTGRIPEFIGNWTKLIVLRIVGTGLSGPIPSSFSNLTSLTELRLGDISNGSSSLEFIKDMKNLSILVLRNSNLTGEIPSDIGEYSSLREVFLGNNTLNGSLPTQKSQSLRNIDVSYNNFSGSLPSWVSLPNSNFNLVANSFTLEDYNFSINCGGPDITSVSGALYDKDDADLGPSSFFVNAARRWAVSSIGRFAGSSNNRYTETLLSQFTNTSDSELFQTARLSPSSIRYYGLELENGVYNVTLQFAEIQMTSSNSWTGFGRRRFDIYVQGRLVEKDFDVRRTAGGFTDRAVRREYKANVTENYLEVHLFWAGKGTCCIPIQGAYGPIISAVSAAADFTPTVSNKPPSKKNNRTGVIVGVIVGVGLFSFLAGVVIFTIRQRRKPYTDDEELLSMEIKPYTFTYSELKSATQDFNLSNKLGEGGFGPVYKGNLKDGREVAVKLLSVGSRQGKGQFVAEIVTISTVLHRNLVTLYGCCFEGDHRLLVYEYLPNGSLDQALFVVLLYQCRGYLAPEYAMRGHLTEKTDVYAFGVVALELVSGRPNSDEILDDENKYLLEWAWNLHEKSCEVELIDDRLSEFNVEEVKRVIGVALLCTQASHSLRPPMSRVVAMLPGDVEVSDVTSKPGYLTDWRFDDITRDVEVSHVTFEPGYLTDWRFDDITTSSLRSFQTTETNTSGSKISPRKADSEPMLGAQDQFWKRTMSLL >A07p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18927464:18929139:1 gene:A07p034910.1_BraROA transcript:A07p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG0212 [Source:Projected from Arabidopsis thaliana (AT1G76730) UniProtKB/TrEMBL;Acc:A0A178WEK7] MMIRLSSGIAANPRFQLNPFFNPQKPTSLHAQIVPLRKKNFSKLRDGDAFDAAAYESERLSLDAAAMETMAETARKEIESDQESDPKAWKWIIRKKMWDLMEARNYAMSPRPVHHRIPNFVGAPAAAAKLAELEAFRMANVVKVNPDSPQKQIRFLTLSGEKKLLTPQPRLRTGFFSVLESDFLKPETIREACTSVGVAKYGRAIGLDEKIKVDLIVIGSVAVNPQTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTTIHDCQLVDDIPLEKLAIHDVPVDIICTPTRVIFTNTPIPKPQGIYWDKLSPEKLQQIRILRELKRRLGKETGRKLPTGPSEKLPPTADRKRR >A02p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5967999:5971479:-1 gene:A02p013690.1_BraROA transcript:A02p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor isoform 4G-1 [Source:Projected from Arabidopsis thaliana (AT5G57870) UniProtKB/Swiss-Prot;Acc:Q93ZT6] MQQGDKSVLSLRPGGGRGNRIFGSSSSSSSISFGSLSSSDLPLLRPHGGAPPSSFPFKGGDSRFDGRERVKYTREQLLELKETAQLSDEILKVKRETELELFGEEQTWARGETVVSNVAPVQSASRFSEPDTRNWRSRSTAQPPPSGEERSWENLREARDSRYVEANQYNRQGQPRGQTSSNQGGGPAPVLVKAEVPWSARRGNLSENDRVLKTVKGILNKLTPEKYDLLKGQLIDAGITSADILKGVITLIFDKAVLEPTFCPMYAELCSDINDKLPTFPPEEPGGKEITFKRVLLNICQEAFEGASNLREELKQMSAPDQEAERSDKEKLLKLKTLGNIRLIGELLKQKMVPEKIVHHIVQELLGADEKACPAEENVEAICHFFKTIGKQLDGNMKSKRINDAYFKRLQDLSKNPHLELRLRFMVQNIIDLRSNGWVPRREEMKAKTITEIHSDAEKNMGLRPGATANMRKGMASSSGPLSPGPIYPGGRPGAGGLMPGMPGTRRMPGAPVGDNDNWEVPRTRSMSRRDGPGPLHSPAMGKSTSMNTRLLPQGSSGFMSGKTSALLQGSSSRPAEQPVQRAAPVAVPAPVEKPQASAAPKLSEEVLKSKTKSLLEEYFNVRLLDEAMQCLVDLASPSYHAEFVNEAITLALEKSPPVVEPIASLLVYLLSKKVVTPKDLETGCLMFGAMLDDVGIDLPKAPNNFGEIVGKLIVAGGVDFKFVREVLGKMEDDRFQKAVIDAAVRIVESSEQGKSLLASQAADVEACRNL >A01p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1202415:1210066:1 gene:A01p002640.1_BraROA transcript:A01p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTVADVVLLSDSDDDDTGVVRRQGGGGGGAFRRRSASLMENRQAPSTIGVTTVTRRETLECRSFWKAGDNFVIPRAVTPTAPGMLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVKIDKIDIAKDNSPALVFQDDGAGMDPDGIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFTRSTRGGKSTQSIGLLSYTFLRRTGQDDVVVPMIDIDISSDLPQPIIYGTPEDWSTNLNILLKWSPFSTEDELFQQFEDIGTHGTKVMIYNLWLNDEGVYELSFDDEDEDIRLRDENALTRKGVVAVTLELRSHISYRFRHSLKAYISMLYFKKFKNFKIILRGIPVEQFNIADELRYPETIMYKPHAAAVEYAVTEIKVGFVKKAPKLPVCGFNVYHKNRLIMPFWRVTLSGSTSGNGVVGVLEANFIEPAHDKQDFERSSLFQRLEARPQYPCLIIPLLILEKNRKSRSEDVGYHPDSRAHKSKRKATPDQPPGDDTFNPSPLASDKISQGGPIIREISLSKGSSSRAHKSKRTATPDQPPRDDTFNPPPLPSDKTSQGGLIIREISLSKGTSSRTVALPPPHMRNFTGVRSNFQPVQLNPQPVQLNTQPVQLNPQPAATESGDNLGGETASKLSEENIQLFMECEEYAKKETEMEQMVKNLEKELEEAKSKCALLALLVNAKKMELQQLCNLPATITLICTIESNDADLNSLVASSSEMSANAKTVAAADVVHLDSDDDDDVGGGGGGRGLSLIETPQVPSTTANATVAPLETLECRSFWKAGESYVTPNVVSQAAPGMLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEIQNGATFVKIDKIDIAKNNSPALVFQDDGAGMDPNGIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADAIVFSRSTRSGKATQSVGLLSYTFLRRTGQDDVIVPMIDIDISKERPQPIIYGSSEDWSTNLDILLKWSPFSTKDELFQQFDDIGTHGTKVIIYNLWLNDEGIYELSFDDDDEDIRLRDESVHDGKRVHAKELERRSHISYHLRYSLRAYTSMLYLEKFKNFKIILRGIPVKQFNIADEFRYPEIIKYRPQIATIEQATTEIKVGFIKEAPKLPVCGYNVYHKNRLIRPFWKVTMDGSALGNGVVGVLEANFIEPAHDKQDFERSSLFQRLEARSSHSHVFGYRTPQMPADKSKRIPIPDQPPTVNTFNPFPSPSSQGGPVIREINISNATSVRTVAAPPPHMRNSTGVRNNFQPVQLTPQPAVTDTRNKHVGKSVEEISQENIQLFMKCEEYVKKETEMEQTVRNLEKELEEAKSKCAQLAMLVDAKKKEMQQV >A06p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2523309:2524173:1 gene:A06p007280.1_BraROA transcript:A06p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAFSLPRRKSHLLLQSLSPFINYHSQLFTVTPSTTINMASQTPFLSISISLALAAFLFFITVSADPDMLQDLCVADLSSGIKVNGFPCKDAANVTSLDFFSQGIANPGLTNNTFGALVTGANVMTIPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVYVLEGTLDVGFLTTANKLIAQSLKKGDVFAFPKGLVHFQKNNGRVPASVVAAFNSQLPGTQSLGATLFGSTPPVPDSVLAQAFQTSPGTVKHIKTKFQPKK >A10p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19299332:19301955:1 gene:A10p032810.1_BraROA transcript:A10p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYSSEDGHAPANSTVVAIDKDKNSHYAVRWAADHLFNMINNPNMILVHVRLKSSNHGDDELNQLFVPYRGYCARKGISMMEVILEDSDVARAILDYVNNNLVNNIVVGSASSSKNPFARSLKFTKSHDVAASILKSTPEFCSIYVISKGKVQSSRAAQRRITNTLVPPREPSSAFHLQNLPDPDQDPLPRGQRNSRNTTPERYHNDNGFNAMRERRRSAANGSLDFNYDFKQANGQRNPVGRNSFSDESDGGSLMMGSVDLSAQNYDFIGASGSSDESASQSTRDIEAEMKRLKLELRQTMDMYSSACKEALNAKKTANELNMWKKEEARRFEEARSAEEAALAVAEMEKAKCKAAMEAAEKAQRMAELEGQRRKQAEMKARRESQEKDRALTALGQNDVRYRKYSIEEIEEATDRFASNMKVGEGGYGPVYKGTLDHTPVAIKVLRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGCLVYEFMENGSLEDRLFRRGNSPPLSWRKRFQIAAEIATALSFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPASVANNVTQYHMTSAAGTFCYIDPEYQQTGKLTTKSDIYSLGIMLLQIITAKNPMGLAHHVSRAIEKGTFKDMLDPVVTDWPVEEAINFAKLCLKCSELRKRDRPDLGKDIVPELVRLRNLGLDNESGML >A04p029640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17708361:17715191:-1 gene:A04p029640.1_BraROA transcript:A04p029640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLALILRAAALSPNPDERKASEQQLNQLQHTPQHLVRLLQIVMDGNCDMAVRQIASIQFKNFIAKNWAPVDSGEQPRILQSDKELVRDNILVYVTQVPTLLRSQLGECLKTIIYADYPEQWPRLLDWVKYNLQNQQLYGALFVLRILSRKYEFKSDEERTPVSRIVEETFPVLLTIFNGLIQIENPSLEIAEFMKLICKIFWSSIYLELPKQLADPNVFNAWMLLFLTVSERPVPVEGQPMDPELRKSWGWWKVKKWTVHILNRLYSRFGDPKLQTLENKPFAQMFQKTYAGRILEGHLNFLNTIRLGGYIPDRVTNLLLQYLSNSISKNSMYSLLLPRLDVLLFEIVFPLMCFNDSDQKLWEEDPHEYVRKGYNIIEDLYSPRTASMDFVNELVQKRGKENLPKFVQFVVGIFRSYDEAPAEHKPYRQKDGAMLAVGALCDKLKKTEPYKSELEHMLVQHIFPEFSSPAGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGMRDPDLPVRIDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPFAFGLCQNLAAAFWRCLNTSEAGDDSDDMGALAAVGCLRAISTILESVSSLPQLFVEIEPTILPIMQKMLTTDGHDVFEEVLEIASYMTFYSPTISLDIWSLWPLLVEALVDWAIDFFPNILVPMDNFISRGTAHFLTCKEPDYQQSLFNVLSTLMTDRNIEDSDVESAPKLIEVVFQNCKGQVDQWVEPYLRLTVDRLQRAETSYLKSLLIQVVANMLYYNPGLTLGVLHNTGLGSTVFDLWFQMLQQKKKSGLPANFKREHDKKVCCLGLTSLLALPGGQFPDEALQRVFRATLDLLVAYKNQIAEAKEAEVDYENEMNGLDSDDEDDDGSDGEMGMDDTEDGDEAESMRLKKLAAQAKSYGYDDEDEDDDDSDDGSDDDDEFQSPIDEVDAFVFFVDAIRVMQASDAQRFQNLNQSLDFTYQAIANGIAQHAELRRVEIEKEKQKKAAEAAGTPVPAI >A08g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7605194:7606788:1 gene:A08g504600.1_BraROA transcript:A08g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDKEKDMENPVVVQKVCDGPWLHQTEHTVLVIAPRLWSLCWFSPRDMSLGLADHNQTASLDTGRAKWLGTSQKARVSKGHELPKVVSCQRRCEDQEVPRAEGVHVPNGVSLRQVVSDHYGSVYDFLSQDKYTPTGLGLRLTWPFGTRPKAGSGKKVRWAIEPDFIGRSNLDSIRIDGLVFGRSGFVRVFCLSILDCLSYSKSRGGWLNELGYSRHKTRMVTVKLRSREGSVSESLCNMWLDDARDELVIVYETMNKLCIGSHVSKSERVRERPVRPVKRPRTELICPVYQPIRLRLTQEVCYRLIRPTL >A08p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3010274:3011089:1 gene:A08p005210.1_BraROA transcript:A08p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTWISRCSSPCHQEVKEGGYTSCACLKKNDGYNIQTTVEENSGGLMYVRNYLSAAHAKLNFHHGLVQPQALLDFARSQVLSPCRAITFFEKLSRNELPSWIWTKISNQSPPYRPVIPFNLCSTFLCELCWFRMSHADMLSTVLLLMDQIRMITIQMKDKPRANQNQLLSGTAPLVGLFAKL >A09p012610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6418315:6418524:1 gene:A09p012610.1_BraROA transcript:A09p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDAGRPLPKFGDWDVNDPATADGYTVIFSKAGEDKKTGRSSTKTNSQRKQDGDKPAVKKWLCFTFS >A10p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1196620:1198804:-1 gene:A10p002330.1_BraROA transcript:A10p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDIEIAVLRHRKARIRELRHMQLLHDATISPPEEINYMERRIYMVATEGNIEAFDELLALGTAVPVLTDITPQGNTIVHLAAIYGHFRLLEHIINHERVVFRNFDPIPNYYQSLLLRPNANGDLPLHVAAAAGHAPAVIRLINYLNQLPRGMQIFLREGRQVEVGDVWVVQNNGGNTALHLALKANHQDVALRLAEVDPRVSFVPNEERASPLYMAAEAGDVLLVARMLISPVPRYEGKSVMHAAIKSKNIEILNLLLVPIRQNLINSRDEEKRSVLSYAASIGYDEGVERLLQEFSNIAYVKDPDGFFPIHSACRRGHMGALQAILQSCPDTIELLNLQGQNVLHVAAECGKNEVVKYILGNEKYANLINQKDHKGNTPLHLATMFWYPMIVHILTQDERVNLGEQNKLGFTALDAAEEWMDLNPTFRERLTWMALVCVGTPRALKLMPYDSFLAPGPQEGIIQSNEMPSAKKYKDRVNTLLLLAILVATVAFSAAFSVTKVPEGETHWYKVFVVSNTIAMYSSVLTTVALIWAQLGDLVLILNVFKFVLPLLGIALISMSFAFLAGMLVVVGNQLWLSVLLLASGGVFLGMLFLLVVPFVCPYTSRPSFFRYVLRYPFYLLLMLIVWDDTDDDLE >A08p024830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16110948:16115733:1 gene:A08p024830.1_BraROA transcript:A08p024830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNNSHNIDNGKYVRYTPEQVEALERLYNDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHPQNGNLATTDTSCESVVTSGQHHLTPQHQPRDASPAGLLSIADETLTEFISKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGIAARACGLVGLDPTRVAEILKDRPCWLRDCRSLDIVNVLSTANGGTLELIYMQMYAPTTLAPARDFWMLRYTSVMEDGSLVICERSLNNTQNGPSMPPSPHFVRAEILPSGYLIRPCEGGGSILHIVDHFDLEPWSVPEVLRSLYESSTLLAQRTTMAALRYLRQISQEISQPNVSGWGRRPAALRALSQRLSKGFNEAVNGFSDEGWSMLESDGIDDVTLLVNSSPTKMMMTSSLPFSNGFTSMPSAVLCAKASMLLQNVPPSILLRFLREHRQEWADNSIDAYSAAAIKAGPCSLPIHRPGSFGGQVILPLAHTIENEEFMEVIKLESLGHYQEDMMMPADVFLLQMCSGVDENAVESCAELIFAPIDASFSDDAPIIPSGFRIIPLDTKSEGVSPNRTLDLASALDVGSRTAGDSCGSRGNTKSVMTIAFQLAFEMHMQENVASMARQYVRSVIASVQRVALALSPSSHQLSGGLRPPPASPEAHTLARWISHSYRCYLGVELLKPDGTDLLKSLWHHPDALMCCSLKALPPVFTFANQAGLDMLETTLVALQDITLDKIFDNNNKKTLCSDFPQIMQQGFMCIDGGICMSSMGRAVTYEKAVAWKVLNDNEDPHCICFMFLNWSFI >A01p007090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3555716:3557700:-1 gene:A01p007090.1_BraROA transcript:A01p007090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRQRPPFKGPRWIITLVALVTLVVITALIYPPRNSVTCYMFSGPGCQLYQQFLFVPSRELTDTEAAAQVVTNEIMNLPESKTANPKIAFMFLTPGALPFEPLWEMFLRGHENKFSIYVHASKKSPAHTSNYFVGRDIHSQKVAWGQISMVDAERRLLAHALIDPDNQHFVLLSDSCVPLFDFNYIYNHLIYANLSFIDCFEDPGPHGNGRYSQHMLPEVEKKDFRKGSQWFSMKRRHAIVVMADSLYYTKFKLYCRPKMEGRNCYADEHYFPTLFNMIDPNGIANWSVTHVDWSEGKWHPRLYNARDITPYLLRKIKSIQLAYHVTSDLKKVTTVRPCLWKGDQRPCYLFARKFNPETLDRLMYLFPNYTSLV >A05g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5041256:5046716:-1 gene:A05g501380.1_BraROA transcript:A05g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCDWLCFRPEPRRLSHPLSLQTLTSPPPLPHLKPSPTISGLGPSSSDVLPLRFPTTVPQAQSTSDSAIQDRSVQTTVQTTVHETLNYTIHPPKPSSPLRTNAASAQPANHQTQTQTPQSESPLPLHLPTTDPIPVSPSPRTTAATRNARVPKENAPPKTWAQKAKASTDRSLQRLAPTTTLANGTPRVAVPDEVFQRGADLHKEFLVGTFLGKMPDLGPIQSVLNYMWGKGTKLKIHLQPFKRSFLVRVPNDFIRSKALEKRLWYVGTAMFHVSQWSSSSTCTIPEITSIPLWAHLTGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNLTDLNIAHVKVEADLTKPLPSYGELLRQNGDIIPIDINYPWVPPSCTHCLKIGHVVKDCIFAPTPADVHDSPDTNAPVLVPDRPDEVPDPPDDDVIQDPSEPADTIMETITSTEPETCLSDPSLVLAPDPSVLPLDPPTNPIFVSNHILSPSQASASTKPPIIPLSSSSPPLSPPPIQFSNSPSKASFVFGIPATYAPTFGSYICTKQALAFNAPAITLPPQYSIPSRPSLVFCASKSASEPMLNNILSRVCPRWNYVSNHQSDDDGRIIFIWKSPAVVTLLNQSRQSLTCEVCLPTMPKLIVTAVYASNLAAERVDLWAELISIQQTYSLHLSPWLVAGDFNQITHPREHSSPSVQSSTSAMIQFRDSLLHMGLFDLRFQGSLNTWSNKCPSSPITKKLDRVLVNHEWIISVPQSSAIFLPPEFSDHSPSLIDLASTLPIAGTKPFKFFNYLTKHPSFLETVTEAWILAGISSTCLSDLSWKLKSLKSVLQKINSENYSKIQERVLLANGLLKDVQGILAPAVLPLQNAPLSWFQELIPFRLAMLDLPTLVRKEFKDPSQWLQVSSIASIIPGLDRS >A09p031000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18837290:18850869:1 gene:A09p031000.1_BraROA transcript:A09p031000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 36 [Source:Projected from Arabidopsis thaliana (AT4G04490) UniProtKB/Swiss-Prot;Acc:Q9XEC6] MLKFRADVEVLRRRRSFHMENLLLLRLYCCYLCFFLLLTINGVHTTTYVCGDENFSPNTTYDQNLEALLPSLASKVITEGGFYNASLGRVYALSLCRKHYGAQDCRRCVDRAGRNLLTQCQGKTEAYHWDSETFENVSCLVRYSNIPTFGKLKLEPLLNIPHSNVLPSLNVTRISQEFSARANQTLEVASKADESSVLKYYGVSSAEFTDIPEVYMLMQCTPDLSSADCYHCLKESVAYNQEENQKRKGGTVARPSCYFRWDDYSFAGAFDELERVAAPPGHHQHLEDSPVKRGRIFQPWSVVVIVIPLVIYLVVFVAFFLAYRRMQRRFRAQINDSSGFDGQSMIRFNLDMILIATNEFSLENKLGQGGFGSVYKGILPCGKEIAVKRLARGSGQGDLEFRNEVLLLTRLQHRNLVKLLGYCNEGGEEILVYELVPNSSLDHFIFDEDNRRLLTWDVRCRIIEGVARGLLYLHEDSQLRIIHRDLKASNILLDADMNPKVADFGMARLFNMDETRGETSRVVGTYGYMAPEYVRHGQFSAKSDVYSFGVMLLEMICGERNKNFEVEGLPAFAWKRWVEGEPESIIDTHLREHPINEIIKLIQIGLLCVQENAANRPTMNSVIIWLARDGTFTIPKPTEAAFVTLPLSVRRSMNKSKDKDSKFSVDEVSIILRDKLTSSAFEDVITVLDSLRYMRVRFSLMEKSVLIQLLIFLLTINGVHTNFLCGDETFSPNTTFGDDLKTLLPSLASNVIAQRGFYNASLGGVYALALCRKHYEDQDCRRCVDRVSRNLLTQCQGKAEAYHWEAENDANVSCLVRYSNLPTYGKLKLEPLENIPHSSLPSTNLTRFTEEFRATANRTIEDASKADESSALKYYGVSSAEFTDSPEVYMLMQCTPDLSSGDCKYCLGEIVRYNLEEYWGRIGSTIAVPSCYFRWDLYPFVGAFDNLERVSAPPRAFQEDAQTKKEGTFQPWSIVVAVVPTVINIFVFVAFVIAYRQMRRRIYAGINKNSDSDGQSMLRFDLGMILIATDEFSAENKLGQGGFGSVYKGILPSGQEIAVKRLAGGSGQGDLEFKNEVLLLTRLQHRNLVKLLGFCNEGDEEILVYEHVPNSSLDHFIFDEDKRWLLTWDVRCRIIEGVARGLLYLHEDSQLRIIHRDLKASNILLDAEMNPKVADFGMARLFNMDETRGETSRVVGTYGYMAPEYVRHGQFSAKSDVYSFGVMLLEMICGERNKNFEAEGLPAFAWKRWVEGEPQSIIDPYLSEHPINEIVKLIQIGLLCVQENAAKRPTMNSVIVWLARDGTCAIPNPTEAAFVTLPLLVKPAERYMNKSKDSKFSVDEVSITVLHPRFLGYKLTSSGFKTELRCWIA >A02p006480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2734046:2740137:-1 gene:A02p006480.1_BraROA transcript:A02p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMRDDVYSSGSQFKRSFGSSRRESYVQSPIPASGGTGGGGGRIGDGGVNSQKLTTHDALSYLKDVKEMFQDQRDKYDMFLEVMKDFKAQRTDTSGVIERVKELFKGHNNLIFGFNTFLPKGFELTLDDLEEEEAPPKKTVEFEEAITFVNKIKKRFQRDEDVYKSFLEILNMYRKDDKDITEVYNEVSTLFEDHPDLLEEFTRFLPESLAPHSAAQLIRSQAQRYDDRGSGPPVVRRMLIDKDRRPRERAVASRGDRDHSVDRSDLNDDKARVKMHRDPRKRVDKENRERRSRDLDHGEAGQDNLHHFPEKRKSSRKTEEFEAYSGHASHSEKNNLKGMYNQAFVFCEKVKEKLCSQDDYQTFLKFLNLFSSGIIHRKELQNFVSDLLGKFPDLMDEFTQFFERCESTDSFQHLAGVMSKKSLSSDEHLSKPMKAEEKERDDKRDLEAAKEKERSKEKYMEKSIQELDLSECERCTPSYRLLPSDYPIPSVRHRQKSVAAVLNDHWVSVTSGSEDSFKHMRRNQYEESLFRCEDDRFELDMLLESVGSAAKSAEDLLNTIIEKKISFEGSFQVEDHFTALNLRCIERLYGDHGLDVTDLIRKNPAAALPVILTRLKQKQDEWTKCREDFNVVWKDVYAKNHYKSLDHRSFYFKQQDSKNFSAKALVTEIKDLKEKSQIEDDVPLSISAGYRQPIVPHFEYEYFDRTIHEDLFKLVQFSCEEICSSKEQISKVLRLWNSFLELMLGVPPRAKGSDSVEDVAETKHPVTNGEANVSSDAVSLVSRQLKFAANGDEYASSGVSKHAGDGLMNRDSSAKENCKDVDQASKDAATCSAVKPQKDLEIGNGADKKSGDDERVAITSASFPSGVENKNDNVDAIQRTQVGDIGRTIAIANGVKPDASKANSNQDESGSPSKIEKEEGELSPIGDSEDNNYVVHEERGLKSTAKSEHSVEAVGENDDDADDEEGDDASEGGEDASGTESVGDECSQDDNGVEEEGDDHGKAESEGEAEGMESHLMEESGLPPLSERVLLSVKPLSKHVAAEGLLDERKQDSRVFYGNDDFYVLFRLHRILYVRISSAKTYCNRRNTKDSTSSPDPYARFMSALFSLLNGSAESSKFEDECRAIIGNQSYVLFTLEKLIYKLVKHLQAIVADDTDNKLLQLYEYEESRKPDRVIDSVYYENARILLHEENIYRLECSSSPPRLSIQLMDNIMEKPEAYAVSMEPTFASYLQKEFLSNSSSGRKTAPQPIVLQRNMRGYSGLDDLAVACKAMEGVKVINGLECKMSCSSYKISYVLDTEDYFHRKRQKKSDKSWQQRKLDRIERFHTFLSASR >A05p009310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3851742:3854443:1 gene:A05p009310.1_BraROA transcript:A05p009310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYDANLPSVPDWLNKGDNAWQLTSATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLLCYKMAFGDELLPFWGKGGPAFDQGYLKGQAKIPETVRKFGNGTTEKEATMPYFPMATLVYFQFTFAAITTILVAGSVLGRMNIKAWMAFVPLWLIFSYTVGAYSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFVAAYWVGPRPQADRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANLTSSIAVLNTNLSAATSLLVWTCLDVIFFGKPSVIGAIQGMVTGLAGVTPGAGLIQTWAAIIIGIFSGSIPWASMMIIHKKSTLLQQVDDTLAVFYTHAVAGVLGGLLTGLFAHPDLCVLLLPVPNTNGAFYGGNGGKQFLKQLVGAAFITVWNVVSTTLILLAIKMFIPLRMAEEELGIGDDAAHGEEAYALWGDGEKFDATRHETQMQQFERDQEAAHPSYVHGARGVTIVL >A07p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16891141:16892192:1 gene:A07p030280.1_BraROA transcript:A07p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYVDAIGVDTEATTTTETTAKQNKKKLKVMVAMDESKNSFYALEWAVEHLRDVISAEPETDQAGGLLTLVHVHPTYLQYIYPSGGTASAMYAIDSVPESMKKAREESTTKLFTRSLEICRGKLMKTETMILEGDPKEMICQAVEQTHVDLLVVGSRGLGMIKRAFLGSVSDYCAQHAKCPILIVRPPRETSTSSSTKEHKSK >A04g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3721172:3727195:1 gene:A04g501480.1_BraROA transcript:A04g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVMCSPATGEFITLPEVKEILPYPKTYCGYDPTDKQFKVLCVSANSASTRAHVLTLKTGKHLWRMIECTPHHTVVSHGISIARWGFVSAAAAASAAAAAAASMKTCVVRFADAAADAAAYHIATAGFIGVSRRTQRSDAAAAAGSCVNETNKS >A09g513340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40661099:40662414:-1 gene:A09g513340.1_BraROA transcript:A09g513340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTDKAKKIREAACFTISFVVCDSPSGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYLESLELLQPPFPTPPSTLSSLEGPLSPLLGADLLRRGATRHDASSFTILETLMNHKANIRALFQSNGWILSQTTAKPEEGREVECCVLEDGYLEFTR >A06p050570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26597502:26601110:-1 gene:A06p050570.1_BraROA transcript:A06p050570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVEYRCFIGGLAWSTSDRGLRDAFEKYGHLLEAKKAMDEAIAAMNGMDLDGRTITVDKAQPLQGGSGRDHDGTAQRTIGTVRRMTGMVLRKIGMVGMVVGIAMDLIAMAIALEDEAGMVAAVEVLEERGTVVLHTIAPELEAFTKILLQRRKKWKVSAFGSLVKFEVKVTLDGLFVNMCSLCLMNDKFAKSLSSRSLVARLVYGHLSLFVVGSLLLSASPMAMIVAGSSTSFLVHPRLCSPTCTFPTIFNFGDSNSDTGGLSAAFGQAPYPNGQTFFHSPSGRFSDGRLIVDFIAEELGLPYLNAFLDSIGSNFSHGANFATAGSTVRPPNTTISQSGASPISLDVQLVQFSDFVTRSQLIRSRGGVFEHLLPRKEYFSQALYTFDIGQNDLTTGLKLNMTTDQIKAYIPDVLDQLSNAIRKVYKSGGRRFWIHNTGPIGCLPYVLDRWSVPASQIDKHGCAIPRNEIARYYNSELKRRVVALRKELSKASITYVDVYSIKLTLITQAKKLGFKDPLVACCGHGGKYNFNKLIKCGVKYMVKGKETVLAKSCKDVASRVNWDGVHFTETANRWIFQQINSGVFSDPSIPLKFACTR >A06p055920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29165369:29169467:1 gene:A06p055920.1_BraROA transcript:A06p055920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFVMDEQAIQVENVFLEFLKSFRLDANKPELYYEAEIEAIRGGESTMMYIDFSHVMSFNDALQRAIADEYLRFEPYVRNACKRFVIEMNPSFVSDETPNKDINVSFYNLPFTKRLRELTTSEIGKLVSVTGVVTRTSEVRPELLYGTFKCLDCGSVIKNVEQQFKYTQPTICVSPTCLNRARWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDISALAAPGERAECRRDSSQQKSSTAGHEGVKGLKALGVRDLSYRLAFIANSVQIADGSRNTDMRNRQNDSNEDDQQQFTGEELDEIQQMRNTPDYFNKLVGSMAPTVFGHQDIKRAVLLMLLGGVHKTTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPVGGRYDKSKPLKYNVNLPPAILSRFDLVYVMIDDPDELTDYHIAHHIVRVHQKHEAALSPEFTTVQLKRYIAYAKTLKPKLSPEARKLLVESYVALRRGDTTPGTRVAYRMTVRQLEALIRLSEAIARSHLETLVKPSHVLLAVRLLKTSVISVESGDIDLSEYQDANGDNMDNADDADNPANGDEDQQNGSAEAAPATADNGAAAPKLVISEEEYDRITQALVLRLRQHEETVKKDCSELPGMRQKELIRWYIDQQNEKKKYTSQEQVKLDIKKLRAIIESLVCKEGHLIVLSNEQEAEGEEPRRRDERILAVAPNYVIE >A05g510250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31788022:31789075:-1 gene:A05g510250.1_BraROA transcript:A05g510250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIMKADDHDGCVLVAPQNFAMVENGIYRSSFPKPEHFGFLTALNLRSIIYLCPEPYPEESLKFYEANNIKLFQFAIESQKDPPTPIPEDTVMAALRVLVDVRNHPILIHCKAGKHRTGCLVGCLRKVQNWCWSSVLEEYQKYAGLKCRQRDMKCIETFDTVSLRQCLLSIMYRYLGYGPNRKRLLYGEENVQTPKPQATKV >A01p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1962219:1962985:1 gene:A01p004590.1_BraROA transcript:A01p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress enhanced protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G34190) UniProtKB/Swiss-Prot;Acc:Q9M7I9] MALSQVSASLSFSLSNPGAIKLGRITNTCRVHVPQLAGSRSTFASGSPLLPLNLRLPRGGGRNGNRRGASVSVRSEQSTEGGSGLDIWLGRGAMVGFAVAISVEIATGKGLLENFGVASPLPTVALAVTALVGVLTAVFIFQSSSKN >A08g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18703651:18704891:-1 gene:A08g509440.1_BraROA transcript:A08g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVISINPKVVGGCSKKLQREISSFTCIVCDNTSAVGVLRYQVELSVSGQTDETVLVAFDVEMTKLTNIQAAEADHILGVGVNAHVDNELPEFIADIVGKTFIFQLKEVTMVLLMICLERIQ >A09p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10314496:10318153:1 gene:A09p019470.1_BraROA transcript:A09p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGCLDIQTMLFGRKSSSKLQPVKSQASPKVSKVKKQDDKSSSSSSSSPKPSSSPKPSSPIPIRSSKTILSGSQLSSSSRRVSSPMGILKNVSIREAKSPKTTSSPKWTGNFILMVELRRKIVTFRDIIDLAPLEGSPSITDMVMHTMKDLQNLCPEIIHSSHISEIRRANVDKVLDHFFNALKSIGDSWIDNPEWIAKSKYWSSSVGKNQSDRLVEKVLAALDGLIKMSKERFDMMEIDEVEEKKELVSPRTAKTSSSRVLSPSDSFSDSRSSFCGSPITPRSVLPEPMMMGSPGKVGDFANSASHLLWNMRVQALEKLSPIDVKRLAIHILSQKEAQEPNQSNGEEEVNVVEENKMKTNDIDVKMETEESVVLDEQEDTIMKVSPLDSTSESKLNVSVKSEVAPASLSPPPPPPPPPLSTTKSVYLASQPPPLPPPSPPLITKANVVAISSIPPPPPLPPSPPSIMPLQGSIPPPPPPPPGAVTAPQPPPSPPRTTVAPPPPPPPPGTAAAPPPPPPPMQNIVPPPPPMPMSNRAGGGPPPPPPPPMPQANGAAPPPPPPPMAKGAAPPPPPPPMANGAAPPPPPPRMGVANGAAGPPPPPGAARSLRPKKAATKLKRSTQLGNLYRILKGKVEGRDPEAKKGGGSGRKAGVGSAPAGGKQGMADALAEITKKSAYFQQIQEDVAKYMKSINELKVEITKFQSKDMTELLSFHRRVESVLEKLTDETQVLARCEGFPQKKLEAIRMAVALYSKLHGVITELQNWKIEPPLVQLLDKVERYFTKIKGDIDTLDRTKDEEAKKFKSHNIHFDFNILLQIKETMVDISSNCMELALKEKRDEKLVSPDAKPSIKKTVGCAKMLWRSFQFAFKVYTFAGGHDDRADSLTRELAHEIQTDTQNP >A09p056450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48022338:48024639:1 gene:A09p056450.1_BraROA transcript:A09p056450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMKRSKKSRVSWPSGPKLCQVKMFRTEDCPAKVASQPQRLNYPKQSPGRRQVPDLPPGFEGNHYAEKISVSTIPRIKWKRPAKFIVSGAWLMGDGGESTERRTENLRISKVLEGLYPHRSTIPSRPSVSPVVGAESFDDSKTPTIRLTPIEDESESSEESSNTTASKQSSLETKPQCSIQELVSGPAPELSLAAASAALAALMKTKEQGSMIDPDLLIKLLSDPKMIKNLITDTSGKSSEPKNQPLETNTINPTRLVPEHATALPMTKPQPTIIPQKQGFTASQPVTNPEQRRVSPPQTEQRRVSPPKPEQRRVSPPKPVNQNISPLNQINRNPVSIPMSVQPCVTQPSLPARIPSSSLPMNVNPHQRPPRVFSEPKVTMNPPHHNPAYRTPEMNIVQAPVGFGRGPQTGFNNYPMNLNRTGKPVVQPMKSDDYFKNLIREHGTVNHETNQYHSQNGKFNGRFDQNKVQKQCMYYGTARGCQMGDRCVCLHDRLRPNFEAETPGAKRLKFGSYDRNGF >A02g506830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:19127429:19127848:-1 gene:A02g506830.1_BraROA transcript:A02g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHPTDPYPPPLDLLLTELTGVSRRSARVFLHGVGRAGLMLKVKAFAMRLFHLGLSSHLVSDVTTPPISSPDLLIASAGPGGFSTVDVIFSIAKSCGAKVVLITAQSERGSCVKHTTDMCYVPAQTMASDGGGATEKG >A03p033150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14021075:14023027:1 gene:A03p033150.1_BraROA transcript:A03p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSGTIIFTTVGRTHYGFDVFSLHIASSVERRLTDGVSVNFNAQFADDNGNDIVFVSERAGSARIFRTRPGNSKPEQLPGAPESFFHDRPIITQDNTLYFISAHEQPDRHFKNWTALYSVNPNSKEKAVTRVTPPDTADFSPTISKSGKYFAVASYGSRSWGGEFHEINTDIVIFKPSEPEKRVVVCERGGWPAWSGDSTVFFHRQADDGWWSIYRFDIPENLNLSPVEPVRVTPPGLHCFTPAAFHDGKRIAVATRRRGVNSRHVEIFDLEHKTFQAVTEPLNPSFHHYNPFVSANSELLGYHRFRGESTQGESTVPNLESIVSPIKTLRLIRLNGSFPSSSPEGDLIALNSDFDINGGIKVARSDGSKRWTLIKDRTAFYNSWSPTERHVIYASLGPIFRPAGIAVQIARIQFSPSDLIDDRDEVPCEVKFLTLDNTGNNAFPSCSPDGKSVVFRSGRSGHKNLYILDAVNGESEGGEGIRRLTEGAWIDTMPCWSPKGDLIGFSSNRHDPSNDAAFGAYVVRPDGTGLRRIEIAGPEGSEEAARERVNHVSFDKDGDWLVFTANLGGITAEPVAIPNQFQPYGDLYVVKLDGTGLRRLTWNGYEDGTPTWHTVDDLGLSGLSLNGQDGDKLEGQFEEPLWITCDI >A04p037600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21458134:21458967:1 gene:A04p037600.1_BraROA transcript:A04p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQKPKQKQKQPVVYEEDYVPIKTLIDYGIDAETVKILGDAKIWNSLLIKNKELLAGVDGLSEIKFDQICEAVDKLMASLTSQVQVFTQTVLLENIPRKRCMSECIEACYSLDEMHETLSRKFGQSFKTTKNTYDYFLKGRHGSQAYKQLSLVRAAQRKAKKLPKAQSQKQQLAAQS >A04p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18682264:18686523:-1 gene:A04p031860.1_BraROA transcript:A04p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKIIGGGGKKSYNGVSDIPTGSRKMVQSLKEIVNCPEAEIYAVLKDCNMDPNEAVNRLLSQDPFHEVKSKKDKKKEVRDTQDSRPRGYSNNYNRGTRGGSDRYGGPRRTGASSFNSSESGSFQGKTTNKRESEKQTYGGSFSSTSGVSSHQQIPHSDSVAVDSKTPTVSSGGGISSSQPVSVQQPAWFGAAGQRSMADIVKMGRPHNKTTNSQKNVPVVNQEHETVKDQWPSIEKPMAASTSSVSVAPTEPVICNGPADFQSSGGDQQVKGHLEDTHLTENGPFGNLGRDHVQADTVAGGVVPEDESEVSSEVDDDDTYEHQTQNHPVEHQKDEENVSSVAANLQELSIENHDKYTSHEENRPAVLIPDHLLIYSEECSQLSFGSFGSRPLNNNLEEASDVAPPMERADARHVPFITDFPFLKNYDDSAESQQEVLLPENPETAHTQNQYSFAQSDQEYAYENAKQQTNTAFDSSQTSMQNQIASLTDVMQGYSNATPNTLLAQTAQNARELELQYSTFPGGQSMPSRSNDYSLNDQSISMPEALRDGGGIQTTQPSQQQNLPGANVATGPALPQGLQQLPMHPYSQHTVPLTHFANMISYPLMPQGYPYMPSAFQQAFSGNSSYHQSPAALLPQYKNNISPSSLPQSAPISSPYGFGNSSNNENSPVWLHGPGSQTMSGVQSNAYYNLQAQQQAQQLRQAQQQAHQQYGSLGYPNYYQSQTGMSMEHQQRNPREGGSQGQPSKQTPQQHLWQNSY >A05p039610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24213678:24216651:-1 gene:A05p039610.1_BraROA transcript:A05p039610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEENQDALSSWNNSSPLCNWKGVTCGLKNKRVTRLNLGGCQLRGMISPSIGNISFLISLNLSDNSIGGTIPYEVGNLFRLKYLNISFNFLEGEIPDNLFNCSRLLDLDLWHNHLGGGVPSELESLEKLETLDFGANNLRGKLPASLGNLTSLTRVRFSENNLEGRIPDDLARLTQLVHLGLGENKFSGGFPPSIYNFSSLEYLNMFGNVFSGSLKPDFGNLLPKLRLLEMGTNSFTGPIPTTLSNISNLQEFSIEQNKMIGSISSSFGKLKNLKTLRLHNNSLGSYSSGDLEFLKALSNCTQLQTLLVNRNRLGGVLPTSITNLSTNLWKLDLGTNFIFGTIPYGIGNLVSLQKLVLRENLLTGPLPSSIGKLSRLVFLNLTSNRMSGEIPSSIGNITWLEKLNLSNNSFEGTIPPSLGQCKYILYFRIGSNKLNGTIPQEIMQIQSLVYLDLSNNSLTGSLPEYIKPLERLCTLSVAHNKLYGHLPQVLGNCLSLENLYLQGNFFYGDIPNIKGLMGAKILDFSNNDFSGSIPGYFGNFSLLEYLNLSINNFEGEVPTEGKFRNATVVLVFGNKYLCGGIKELKLNQCIVQAQPSHSSGSKKVTIELSIGIALLLIVLVMAYISLCWFRKIKNNQLSSVVKNLRRTVWQPVKPERS >A03p043180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18028525:18030402:-1 gene:A03p043180.1_BraROA transcript:A03p043180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITHYLCRKVSDTGFTGKAVVDVDFNKCEPWDLPAKASMGEKEWYFFNLRDRKYPTGLRTNRATEAGYWKTTGKDKEIYQSGVLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLETKQPFKPAKEEWVVCRVFEKSTTARKPQEQQPQSSFGSPCDASSSMANEFEDIELQNLNSNSSTIDYNHIHQYSQNGVYSQDNTTTSTACLNMNMPTNNIPSWSTTNLLGQPLSPINSLLLKAFQIRNSYININPSTLQQGVSNIMQNGSSSSQPQPQEEAFNMDSIW >A03p002680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1210419:1210832:1 gene:A03p002680.1_BraROA transcript:A03p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDRIEVDKETLDMLASLGMGDLPGVVKVEPVSAAPIAGFGRGRRF >A09g517120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50343141:50343552:-1 gene:A09g517120.1_BraROA transcript:A09g517120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A08p027890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17552463:17554221:-1 gene:A08p027890.1_BraROA transcript:A08p027890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESMDCESSTRSISNDNGTYHFSSTKNHAAAAVVANIVGPTTTAAATSVYELLECPVCTFSMYPPIHQCHNGHTLCSTCKVRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHESLCNFRPYSCPYAGSECGVVGDIPFLVSHLRDDHKVDMHAGSTFNHRYVKSNPREVENATWMLTVFQCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDEEEARSYSYSLEVGGSGRKLTWEGAPRSIRDSHRKVRDSNDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQHSPDSGLCIPDLSS >A09g509670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28224316:28227845:1 gene:A09g509670.1_BraROA transcript:A09g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQAVVVERAARNSIQDLYGLKASSRSIKALAERRGVYHFLDVLSVPWGLNMPSELLKTCYNEGNPSMLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERDVYTHAMTRAIFEGEGKYFDGIPFESVDRIDANVQILCSDNVTACGTLMSLRMITCVTAVSGSKSSACSYAELIVSLAKVEENIEKLMATEFDHAHNNEIQAVVVERAARNSIQDLFGFKASSRSMKGLAERRGVYHFFDVLSVPWGLNMPYELLKACYAEGNPSTLYIKGRAADAGYERAVYTHAMTRAIFWGEGKYLSRIPIESLDRIGKLVRSVKWAWGLWHTPEFKERIALFISHILPKFYSCQCENPVERDCPCLWHIDVTKDDNMCSHCLWLKEIGLFLREFEPVSLYRNTRKW >A09p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5049415:5051288:1 gene:A09p009840.1_BraROA transcript:A09p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSTHFVPPRRVNIYEPLHQFGMWGETFKSNIGNGNINTPSHIIIPNNQKLDNNLSEDTSHGTPLIFDQETSTSRHPDKIKRRLAQNREAAKKSRLRKKAYVQQLETSRLKLIQLEQELDRARQQGFYVGNGIDTSTTTSLGFSENMNPGLYQEQGSILDKNQNLNVKIKTGIAAFEMEYGQWIEEQNKQICELRTVLQGHIGDVELRLLVEIAMKHYFDLFRMKSAAAKEDVFFVMSGMWRTSAERFFLWIGGFRPSDLIKVLLPHFDVMTDQQILDVCNLRQSCQQAEDALSQGMEKLQHTLADCVAGGRLGEGNYIPQVNSAMERAEASVSFVNQADHLRHETLQQMHRILTTRQAARGLLALGEYFQRLRALSSSWATRHREPT >A06p045610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24452026:24456366:-1 gene:A06p045610.1_BraROA transcript:A06p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27270 [Source:Projected from Arabidopsis thaliana (AT5G27270) UniProtKB/Swiss-Prot;Acc:O04647] MKSDFLTSTTHLHPILLPKIPSRNSRTTIKCSSSPGVRPDPWSLSDGNPERPKPRYERPKHPLSDDDARRIIKKKAQYLSALRRNQGSHAMTPKWIKRTPEQMVQYLEDDRNGQMYGKHVVAAIKKVRGLSQRREEGSGADMRVVMGSFVAKLTFRDMCVVLKEQRGWRQVRDFFAWMKLQLSYRPSVVVYTIVLRLYGQVGKIKLAEETFLEMLEVGCEPDAVACGTMLCTYARWGRHNAMLTFYKAVRERRILLSTSVYNFMLSSLQKKSLHDKVIDLWLEMVQEGVPPTEFTYTLVVSSYAKQGFNEDALQAFGEMKSLGFVPEEVTYSSVISLSVKAGDWDGAVGLYEDMRSKGITPSNYTCASMLSLYYKTEDYPKALSLFADMERFKIPADEVIRGLIIRIYGKLGLFHDAETIFEETKRLNILSDEKTYLAMSQVHLNSGNVAKALDVIEMMKTRDIPISRFAYIVMLQCYAKIQNVDSAEDAFRGLSKTGLLPDASSCNDLLNLYSRLSLGEKAKSFIKQIIADQVQFDMELYKTVMRVYCKEGMVAEAQELVEKMGREAVVKENRFVQTLAEAMHIERNKEAVVNVSRLDVTALGMVLNLRLKEENVNETKAILNLMFKTDLGSAAVNRVISSFVREGDVSKAEVLADLIIRLGLSIEEETTAALIAVYGRQHKLKEAKRLYLAAGESKTQGKSVVNSMIDAYVRCGWLEAAYGLFMESAEKGCDPSAVTISILVNALTNRGKHREAEDVSQTCLEKNMELDTVGYNTLIKAMLEAGKLKCASEIYERMCNSGVSCSIQTYNTMISVYGRGLQVDKAVEVFNSARRSALYLDEKIYSNIIMHYGKAGKMSEALALFSEMQKKGIKPGTTSYNMLVKICAARGLHHEVDKLLQAMERNGHFTDNSSTYLSLIQAYAESSQYKEAEKMIALMQEKSISLSQSHFSPLLYAFVKAGMIDEAERIYCKMSEAGISPDSACRRAILKGYMNCGEVEKGILLYEKMMRNSVEDDRLVIRVVQDLYKAIGKEQQFL >A01g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23859058:23860958:-1 gene:A01g508770.1_BraROA transcript:A01g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYYDSLQEKAQGDAHDIEFEGSRVSVKDSLVGALEGNKGPWMDDNNDLNPCWPTLLSDEAVSSKGYVTFSLTNGPEYHISQITDAVMVAKHLGATLVLPDIRGSKPGDERNFEDIYDADKLIKSLENVIKVVKQLPEEVSLRDIAIVKVPTRVTEDYIKEHIEPIFKSKGNIRVATYFPSVNLRKSSQDGETDPVACLAMFGSLELQPELNAVVESMIERLRTHSRKSDGRFIAVDLRIEALEKKNCHSTGVGGSKTCYNAQEIAVFLRKLGFAGDTTIYLTQPRWDSSLNILKDIFPKTFTKEAVMPASKRSKYLESESSEYENVIDFYISSRSDVFVPAISGLFYANTVGKRIALGKPQVLVPAEISETSSLATDFISPYISKKNHLAYSCFC >A02p051920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31735096:31738901:-1 gene:A02p051920.1_BraROA transcript:A02p051920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEQTEETFQVQANFATGTRWVLLDTGSTHNFMKSSLVEDLGIPIHRKSRWFVALPDGGKCPIQGFCQGMVMSVQGHQFKAGCFAIPLKGFYVVLGIRWLNALGRVIWDGLNKTIEFHHSSTPVVWHGESKARGKPQVSLHALECDGEALDNWFSDEEEVFTTPRLGDRLISVYNCSKRVRSYILTTEVSQFVRPIWLMHGDFAFLTIALTATTVLFISTFYV >A05p052450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30679842:30680899:1 gene:A05p052450.1_BraROA transcript:A05p052450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 39 [Source:Projected from Arabidopsis thaliana (AT3G04670) UniProtKB/Swiss-Prot;Acc:Q9SR07] MEEVEAASKLAIESCHGVLSLLSQHQTSSGSKSLMVETRETVSKLKRVASLLTKGSGHGKFRRTNNKLSPSFPQHILLESPIFCGNDESSDYTQVLAPEPLQMVPASDEIDPRYQLGHPLSHRWPPPFRASYQQIAYSRSSSGGVNLTFDGSASSCYTPSVSNGSRSFVSSLSMDTSVDRSSFQLTGLSRGKMCSGSLKCGSRSKCHCSKKRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDETSMLIVTYEGEHNHSRILSSQSAHT >A03p061270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26643778:26647184:1 gene:A03p061270.1_BraROA transcript:A03p061270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MTRSLGGISFALTLLLYSSVQATTPISHVYIVYLGANRLQNAALASRHHLHLLSKVFTSKEDAGRSMLYSYTYGFSGFSAKLNSTQAASLAKMNQVITVFKSQSLKLHTTRSWDFLGLTVDNTGHTPPPQLAYGSDVIVGIFDTGLFSISISSLFQSSLNLKICYFRLGIWPESESFKEPPEAKPIPSSWKGKCVGGDVRCNRKLIGARFYLKGFEEAYGEVDRTRDREYRSPRDRLGHGTHTASTAVGSVVSNVSGFAGGVARGGAPSARLAVYKTCWGKDFEGVCTEADILAAFDDAVRDGVDVISASFGSSPPLTPFFESSADVGGFHAAERGISVVFSGGNDGPDPGLVQNVAPWAVSVAASTMDRSFPTNIVIDGGFTLTGQSLVSQEITGTLALATTYFDGGVCKWENWLKKLATEMIILCFSTLGPVQFIEEAQAAVIRANASALIFAASPTKQLVEEVDVIPTVRVDILGGTRIRNYLARSPTVPVVKVGPSKTVIGEITAPSVAYFSSRGPSSLSPDILKPDITAPGIGILGAWPPKTPPTLLPGDHRSVEWNFQSGTSMSCPHVAGVMALLQSAHPDWSPAAIRSAIMTTAGTRDTSNDLILSGGSMKPTDPFDIGAGHINPLKAMDPGLVYNTKTEDYVLFLCNIGYTDQQIKSMLLLHSESSTTCLPSHSYPTNADFNYPSITIPSLRFTRTIKRTVSNVGPNKNTVFFVDIVRPVGVEVEVWPRILVFSKCQQEHSYYVTFTPTKTSSGRYVFGEIIWTNGFHRVRSPLVVCLSNSGFVAK >A07p035240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19070200:19072273:-1 gene:A07p035240.1_BraROA transcript:A07p035240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENTSRSSSCSSLKLCLLSILFLTETVVAIKLPPNLKIPALIAFGDSIVDTGNNNNVKTVVKCDFQPYGINFQGGVPTGRFCDGRVPADLLAEEVGIKSVVPAYLDPNLTPKDLLTGVSFASGGSGYDPITPKLVAAISLQKQLEYFEEYIEKVKNLVGEERKNFILASSLFLLVAGSDDIANTYYDLHARPHYDVDSYTTLMANSASDFVNKLYGYGVRRIAVFGAPPLGCIPSQRTLGGGLLRECAEYYNDAAKLFNSKISTKLDYLRKTLPDSKPVYINIYDPLYDIIQNPTKYGFGVSNKGCCGTGAIEVAVLCNKITSSVCPDVSSHVFWDSYHPTEKTYKVLVSLLVDKFVNQFI >A02g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14903843:14908580:-1 gene:A02g504560.1_BraROA transcript:A02g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGFTKTRFPLTFRKDKTSTEHAQVARSPRSDRARTLLGRYVATEHAHAARWLRSDQARTLLGHYVATEHAHCTRPSTHTARSLRSDRARTLLGRYIATEHAPLSRYVATEHPLLGRYAATEHAHRSRLVRPQKGPPLGSLVNPHRHAFRLVSIGVSVEILRRKQVGLFLACLHSLRSDLSDQVLLWVLFRILIETLFVSSQSEFPLRFYDENKWDSSRLPFTRYSDRDDILTRYSDLSGLKGGTRLGFLPLAIATEMTSSLAIATCQASKGSSFAFSFELSSKRFSKTHLKLLGLFLLTLPPSLRSLSNLDRNVSYFASIEVTIETLRYKKKIAKTYFLSWIQINHIKRQRQQTCIQIAAADKLEYGNQTADKPSSIDTRRPSMHTARSLHSASPSLSQARSLRSDRASVSLGRYVATRLEPKFGRCVETELFQTSV >A03g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7722611:7725194:1 gene:A03g502410.1_BraROA transcript:A03g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSFLIKTQNKDLHCRLDVTSCVAMAVDIPLLLPIDEFLVKRHDMGKHALRFIIRMTTGVVYSIAMHVLLITAYALLTFQIFYTKDFDLVLSFDATSVLSFVVYLLSGLVEIVGRTFLNDQVLFTFFGHVSHMVGLGSMFLLAYNKLWRMIIKRLVLKVVGMRMTKIERLSRTSVTKKKKKKRLSRTNLKLEENMVMFNACSEMGVRMCAMDSSSRNAGEMLDCFTSHLQQDSSRFHHNIAYRDYLCSLCT >A02g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18037229:18038112:-1 gene:A02g506340.1_BraROA transcript:A02g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A03p005970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2491417:2493726:1 gene:A03p005970.1_BraROA transcript:A03p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPGQNIDFALKETSPKIGAGAVTGDKLSCTYDLVEQMHYLYVRVVKAKELPGKDVTGSCDPYVEVKLGNYRGMTKHFEKKSNPEWRQVFAFSKERIQASILEVIVKDKDVMLDDFIGGIMFDLNEIPKRVPPDSPLAPQWYRLEDRHGRKVKGELMLAVWMGTQADEAFSDAWHSDAATVGPEGVTNIRSKVYLSPKLWYVRVNVIEAQDLIPHDKTKFPEVYVKAMLGNQTLRTKISQTRTLNPMWNEDLMFVVAEPFEEPLILAVENRVAPNNDETLGRCGIPLQSVQRRLDHRPLHSRWFNLEKHIMVDGEKKEIKFASRIHLRIFLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGLLEVGIISAHGLMPMKTKDGKGTTDAYCVAKYGQKWIRTRTIVDSLMPKWNEQYTWEVFDTCTVITFGAFDNGHIPGGSGKDMRIGKVRIRLSTLESDRIYTHSYPLLVFHPSGIKKTGEIQLAVRFTCLSVINMLHMYSQPLLPKMHYIHPLSVLQLDSLRHQAMNIVSARLNRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMNVLSGLVAVTKWFDQICNWRNPITTILIHVLFIILVLYPELILPTVFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAVHPDELDEEFDTFPTSRSPEIVRMRYDRLRSIGGRVQTVIGDLATQGERFLSLLSWRDPRATTLFLFFCLIAAVVLYVTPFQVVALLTGIYVLRHPRFRHKLPSVPLNLFRRLPARSDSLI >A02p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3185410:3188164:-1 gene:A02p007520.1_BraROA transcript:A02p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVAVHRVSFDCVSRFNLIRRESGGGGFSGARIVNSRPRRLHAVTASLITSADSFEVGRLIGSYGFMNVTSYSGLRSGDEFESTSDDIGRLKSQDIGEGGVKIRLYEGRISQGPFRGTPITFKVYPGQRAGGIEADMMAANELNAHSFLQSNKNLPANLLILVGGFETQFGEQWLAFRDGGKDSAADYARIASEKTSRARSQGAWNPYEKEVTMKRRRNFVVTILQGAMKGLAFMHANDRLHQSLGPSSIVLNTPAEREAMYLIPRLRDLAFSVDIRQSLPYRPSCLEEGATSGALSEQLWRRATASGAFTVFEKRAFGIADDIYEAGLLFAYLAFVPFCEAGRLLENTFRLDIEAVREYCLADERLEEAVKFLDFGDRAGWELLQAMLNADHRKRPMAEAVLSHRFLNGIVK >A05p011230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4775031:4776527:1 gene:A05p011230.1_BraROA transcript:A05p011230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCSAHLYSNGGISCFHKNHSSPLNNHLKLSGPLFPIKTKRPSRVLVTSAVQFRPCIDIHKGKVKQIVGSTLSDSKEDGSVLVTNFESDKSAEEYAKMYKEDGLTGGHVIMLGADPLSQAAALGALHAYPGGLQVGGGISSENCLSYIEEGASHVIVTSYVFNNGKLDLERLKDLVKIVGKQRLILDLSCRKKDGRYAIVTDRWQKFSDVLLDEKSLEFLGGFADEFLVHGVDVEGKKLGIDEELVALLGNYSPIPVTYAGGVTVMDDVERIKEAGKGRVDVTVGSALDIFGGNLPYKDVVAWHHQQQESSSALH >A05g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27258926:27261152:-1 gene:A05g509060.1_BraROA transcript:A05g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAPRNPERCRTLRLKVFERFKKQFEKQERDHDQGENHKLSLYQAFSLKTLLADYLLQIAYKDRISGCVRMRIVALIVSAFELSSLKCRYCASVSTYIFSVAKAEKDNDEKHKPSTQALSYHNVSQEFRVVIPRPKLLPGLHVQSTYGYSKKWFFLSVWSHAQLTLASHCVINSYVVTMQPVSAMCDWQVWAYLQICSLQELLFFFLKLQPDGFFSH >A07g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21827956:21828606:-1 gene:A07g507900.1_BraROA transcript:A07g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT1G62040) UniProtKB/TrEMBL;Acc:A0A178W309] MADIYFKSEHPLERRQIEASRTRDKYPDRMKCMLQVIVEKAERSLMYLVPDDLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTGD >A03p062400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27139711:27140861:-1 gene:A03p062400.1_BraROA transcript:A03p062400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECSNNLVGILNFFTFLLSVPILSAGIWLGKNAATECERFLDKPIVVLGIFLMFVSIAGLVGACCRVSCLLWLYLFAMFLLILLGFCFTIFAFVVTNRGAGEVLSDRGYKEYRVGDYSNWLQKRVSNAKNWDRIRSCLVYSNVCSTFSSRYASVTVNEFYKTNLNALQSGCCKPSNDCNFTYVSPTNWIKTTGPYTNEDCNVWDNKPGTLCYNCQACKAGLLDNLRNSWKKVAEVNIVFLVFLIIVYSVGCCAFRNNRKRRWH >A01p052140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29190482:29192668:-1 gene:A01p052140.1_BraROA transcript:A01p052140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFSCQFEQDDVEAALDSVTVKSISFGDDDECKTPKRSVNFSDGTLEPTILKSMGSGGKMVVEKSVSFKGMQLERMISLKRSLLKEKDNAGMIARELSVLDPRNPKHEAAIKLQKVYKSFRTRRKLADCAVLVEQSWLDIGEGKEVNLVEKCPRSKLQQQCIKYLGPMERKAYEVVVEDGKFFYKNTGEMLHTSSLEDSDSKWIFVLSTSKVLYVGKKKKGTFQHSSFLAGGATVAAGRLVVEDGVLKAVWPHSGHYQPTEENFLDFLSFLRENDVDITDVKMSPTDDDEFSMYKQRSTHMRNHSLEEDLEAEKTVMADPREEETTPVMDNIETPKKMESLSIFGDETQSKATEDYDSGDDDEEEEEEIFELEQESIPSEKTSPKRGGEEETKESEEVVNIPEESILKRINSKKESKSFQLGKQLSCKWTTGAGPRIGCVRDYPSELQFQALEQVNLSPRCGSVSRLCFSTSSQTQTPQMSPLWKSLPGDITDS >A08p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1381634:1382529:-1 gene:A08p002440.1_BraROA transcript:A08p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVIIIVFILDLIAVGLAIAAEQRRSVGKVVPDKEKVYEYCEYGSDIATSYGAGAFVLLLTTQVIIMLASKCFCCGKALSPGGSRACAIILFLICWVFFLIAEICLLAASIRNAYHTKYRKMWNVDEPPSCEVIRKGVFATGASFALFTAIVSQFYYVCYSRARDDYKNPPY >A01p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1623060:1623800:-1 gene:A01p003750.1_BraROA transcript:A01p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQLNYNASNVINQIGMDELYMNNNNQQHALFNQQSFLDALMEKQRQEIDQFIRTQSERLRYALREQRRQETETLLRQMEAKALVLMTRKEEEMSRALSKNMELEDLLRRMEAENQTWQRMARESEATVATLSSTLEQVKERAVTCCKDEGSCCGGGDDSVPGKRMSGSCLNCGSDGETRVLFLPCRHLCCCTECEAGLVVCPICSTPKKNRIEAITF >A08g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7314760:7315786:-1 gene:A08g504430.1_BraROA transcript:A08g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNSLSHNHHDFKLPSLIKLGFKHNHLKTTIPISNFMCAMSSKTRLAEKKEKAEASEKKKNVGVKRRKEAAAKRRAAVKKKRDAAKRNETIEKKRKARNRVRETAASPPEHHCPFGLMNTARVGWSSGRIVGRTDGRSAGQLWLGWMVLAGSSLACVVPETNMNFNEFFTRTRTGTEGLMDEW >A04p016930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10413946:10414841:1 gene:A04p016930.1_BraROA transcript:A04p016930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTQLHNVFVYGSFQEPEVVKVMLDRTPEIISVTLPGFKRFRLKGRLYPCVIPSEDGEVHGKLLMGLTDEELENVDAVEGNEYERVTVGVVREDNSEKMTVKTYIWINKDDPDIDGEWDFEEWKQLHMKKFIETFKEIMEWKRNPHGKGRDDFNHVLRDAPSA >A07p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25077165:25079398:1 gene:A07p046470.1_BraROA transcript:A07p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVETMSMGTDHSSALILTSGASGRVRALFSMRELKRLFTIIHSLILFLLLPFRFVLWQGKMGAVVIRDEKQERKVRAAPQILVKKRNMISVSPPSVPAAVVDEEVAVRRELAIRRLFTTKRGDTLFTQSWSPLSLNHRGLVVLLHGLNEHSGRYNDFAKQLNANGFKVYGIDWIGHGGSDGLHAYVPSLDFAVDDLKSFLDKVLTENPGLPCFCIGHSTGGAIILKAMLDPKIESRVSGIALTSPAVGVQPSHPIFTVLAPIVAFLLPRYQLSAANKEGVQVSRDPQALITKYSDPLVFTGSIRVRTGYEILRIASHLQQNLNKVKVPFLVMHGTADTVTDPNASKRLYEEASSSDKSIKLFKGLLHDLLFEPEREVIAGVILDWLNQRV >A05p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17473698:17477279:-1 gene:A05p033420.1_BraROA transcript:A05p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMMKKEEEEETRDGTVDYYGRPSIRSNSGKWVAGIIILVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLSSLSLSSYMFLIRPKGCGDEVTPCGTHSTMEITMFYLSIYLIALGNGGYQPNIATFGADQFDEEHPKEGYSKIAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWASTGSAILALILFLLGTPRYRNFKPTGNPLSRFCQVLVAATKKSSMEAPSRGREEMYDEDRQGKNASGDTGRRIVHTDEFKFLDRAAYITARDLDDKKQGGVNPWRLCPVTQVEEVKCILRLMPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSDFKIPPASMSSFDILSVALFIFIYRRVLEPLANRFKKKDGTKGITELHRMGIGLVIAILAMVAAGVVECFRLKYADKSCTHCDGSSSLSIFWQVPQYSLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMMSMSMGNFVSSLLVTMVVKISTEDHMPGWIPRNLNKGHLDRFYFLLAALTSIDLSCGSRT >A08p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19250889:19253415:1 gene:A08p031570.1_BraROA transcript:A08p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAMT1 [Source:Projected from Arabidopsis thaliana (AT4G39460) UniProtKB/TrEMBL;Acc:A0A178UZZ4] MAHYFILGLVGPLRPIEVKRNYFNQTSKKPKKVNSQRYLLHLLLSLLYLLILWSEKLLMAPLTLSVDVKSSSATAPDVSNRLVQIPQMKKSKGFASVSTQNENENPFDFFRTLFEGFIAGGTAGVVVETALYPIDTIKTRLQARQTKFISSFGLSLTAARGGGKIVLKGLYSGLAGNIAGVLPASALFVGVYEPTKQKLLKTFPDHLSAVAHLTAGAIGGLAASLIRVPTEVVKQRMQTGQFASAPNAVRVIASQEGFKGLYAGYRSFLLRDLPFDAIQFCIYEQLCLGYKKAARRELNDPENALIGAFAGALTGAVTTPLDVIKTRLMVQGSAKQYQGIVDCVQTIVKEEGASALLKGIGPRVLWIGIGGSIFFGVLESTKRTLAQRRPKTVKESKED >A09g518240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55678327:55680469:1 gene:A09g518240.1_BraROA transcript:A09g518240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVPLGSHPPQHDRAFDSLRLGRSSQTIHARLLRFWDTPNMINSNEIRGITMVLLDEKDSSINAFIPAEWAKLYRQCLQEGQIYEVAHFEVDSCPYMYKTTEHTFVIRFIAQTSLHKVINNGPVINLHKFMIRNSDHLHILANTNLELPDVVGVIKSVKGFGLLSTDIISPILIRFLISPNVEVYLSLLDEAAARFKGLLNSGESTKSVMVVTSLNPQKKGDHLYLNSTAATKFYFGNNLAAITEFTMSIDSSLSDAIGDDLPSLNAETVITTKELSPPGDLSKFLSNSSTQEAYFTCIARIVEVVAQKGWYYVSCTHCGKEVGNSATSHPCNQCHDTTATTVVRYKVELLVDDGENYATFLVLDNEMMKLTKQGAATLLDDEVNRRLRNRLPKCIAELQGQKFIYHVNVTTDNLTDNRPTFTVSGMSAILNKEILTINGKQKKGKMEYGESSTSAAATYTSANEADKDGPTYSTE >A01p050220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28273435:28278053:-1 gene:A01p050220.1_BraROA transcript:A01p050220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLQNKMGTEEESVSVELPAPSSWKKLFFPNKVGSVKKTEIVFVAPTGEEISNRKQLDQYLKSHPGNPSITEFDWTTSGTPRRSARISDKKTKSTPSPDKEPPKKRGRTKSSGSKKDAEEEGEKPEDTEMSPLKGTEESVTVKDGSGETKDDMVAEKTPVQEAVGESMKEQTPDLVGDSSKERVESQTDKEEETGSVVKTSVEAEIKTAEGNTEQKKEDAGQGAPESEPEAETKSHEGNGLTAEAEGKEKAADKSQMHSSEMKYSCMVRSTFFLSLLFLFASQTAVAFIGTYGVNYGRIADNLPSPEAVATLLKSAKIRNIRIYDADHSVLTAFRNTGIEIIVGLGNEFLKDISVAEDRAMTWVKENVEPFIRGGTRISGIAVGNEILGGTTIELWEVLLPAAKNVYYALRRLGLHNMVEVSSPHSEAVFQNSYPPSSCTFRDDVAPFMKPLLTFFWQIGSPFYINAYPFLAYKSDSKEIDLNYAIFEHTKGIYDPKTKLHYDNMFDAMVDASYAALEKAGFPKVQVIVSETGWASKGDPDEAGASVKNARTYNRNLKKRLKKRKGTPYRPNMAVKAYVFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFKGLKDSSATRCGFGTSLNALVSACVVMFLLLYRFV >A09g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20805718:20806657:-1 gene:A09g507070.1_BraROA transcript:A09g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYCPSIEVIQPPLERSDVFTRVQLPVHQSARDTQSSDRNYHQSSLMKREMYSRNSQEYETRPDLRNRFGESNNNYSRSWGKDRSDRSHAGRSDRYGGGHARTGPYDRNEGRSWRVKPKLNNATDSELNGNGVANKRNEIVPYEHFLGAGSHDPLISSNDLSNREEKKEDTPGTRKLASAIVTPSRVRSSENVTVRGRVDDVADGGFSHSLHKQEAPWMIRLSEL >SC297g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000143.1:1162:2262:1 gene:SC297g500010.1_BraROA transcript:SC297g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVNLQYQSLNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSNATQPRCPSVPFQPQLHSAMQRGRGGRAFRGGRSGGSRPRTPTCFTCGQLGHVRRDCPTMGQFQPAVPSHITCYTCGERGHYATSCPHTHLAQPVVSSARPARPLNPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISGLFSYPFECCIIF >A01p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5008649:5009068:-1 gene:A01p010260.1_BraROA transcript:A01p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTLIVIAIFYLFQHTNADCNGIRCPKAIIRTGYVQCIRGVCQCPSKRRAVLSDDTNSNCGVAACIEYCKAKGEVAYACLFNHCYCRKPPM >A01p053460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30028141:30042932:-1 gene:A01p053460.1_BraROA transcript:A01p053460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSKRVPSGKRNDSTKAFKSSRKPFKKTKDDVAARSEAMALQLEEVPDFPRGGGTSLSKKEREKIHEEVDAEFDAGDERVAKRSKGGKPKKRNTSDVDELGSLFDGGLTGKRPRYANKITIKNISAGMKLLGVVTEVNQKDIVVSLPGGLRGLVRASEALDFTDFGTEDDENELLRDILSVGQLVPCIVLQLDDDKKEAGKRKIWLSLRLSLLHKGFSLDSFQPGMVVTANVKSVEDHGYILQFGMPSITGFIKKSHEGTRELKTGQLIQGVVTNIDKERKIVSLSSDPDSVAKCVTKDLSGMSFDLLIPGMMVNARVQSALENGLLLGFLMYFTGTVDLFHLQNPLCNKSWKDEYNPSKMVNARILFIDPSTRAVGLTLNPHLVGNKAPPLHVSSGDIFDEANVVRVDKSGLLLELPSKPVSTPAYVSTYDAAEDEVKKLEKKFKEGSRIRVRVLGLKQIEGLAIGTLKESAFEGPAFTHSDVKPGMVTKGKVISVDTFGAIVQFPGGLKAMCPLQHMSEFEVRKPRKKFKVGAELIFRVLGCKSKRITVTCKKTLVKSKLPILCSYADATEGLVTHGWITKIEKNGCFVRFYNGVQGFVARFELGLEPGSDPASVFHIGEVVKCRVTSAVHGTRRINLSFMMKPTSVSEDDSIKLGSIVSGVIDSITPQAVTVHVKSKGLLKGTIFAEHLADHHDQAKLMISLLRPGFELDKLLVIDIEGNNLALSSKYSLIKFAEELPSDLSQLQPNSVVHGYVCNLIENGCFVRFLGRLTGFAPRSKAIDEPRADLSESFFVGQSVRANIVDVNQEKSRVTLSLKQSSCASVDASFVQEYFLTDEKISNLQSSDITESECSWVEKFSIGSLIMGTVQDKNDLGLVVNFDNINNVLGFIPKYHLGGTSLENGSVVQAVVLDISRAERLVDLSLRPELINSSAKEVSNRQSKKKRKRGISKELEVHQRVSAVVEIVKEQYLILSIPEHNYTIGYAAVSDYNTQKLPVKQFTTGQSVVASVEALQNPLTSGRLLLLLDSVSGSSETSSKRAKKKANCEVGSVVQAEITEIKPFEVRVNFGQSFRGRIHITEVNDVGTNEEPFAKFRVGQSVSARVVAKPCHTDNKKSQLWELSVKPAILRDSGELTEVREQLEFVSGEPVCGYVYKVDKEWVWLAISRNVTARIFILDTACEARELEEFEGRFPIGKAVSGYVLTYNKEKKTVRLVQRPLLNIQKSIGNDGGPKKDKLDSSIPGDDATLFIHEGDILGGRISKILPGVGGLRVQIGPYVFGRVHFTEINDSWLSNPLDGLHEGQFVKCKVLEISNSSKGTLQIELSLRTSLDGMSSDHISEASSNNLDSGINLMMICRVSVFKRFERIEDLSPDMGIEGYVKNTMSKGCFIMLSRTLDAKVLLSNLSDTFVKDPENEFPVGKLVTGRVLNVEPLSKRVEVTLKKVNAGGPSKSESYDLKQFHVGDMISGRIKRVEPYGLFIEIDQTGMVGLCHKSQLSDNHIEDIHARYEAGESVTAKILKLDEERRRISLGMKSSYFVNGDDDTAQPRSEENADEASMECDPINDSKSGVLAAVGDFGFQETNSGTSLAIAQVESRASILPLEVDLDDIEEADLDKNQNQKLQGADKDEKSKRKEKQKDKEEREKKIQAAEGRLLENHAPESADEFEKMVRSSPNSSFVWIKYMAFMLSLADIEKARSIAERALRTINIREEEEKLNIWVAYFNLENEHGSPPEEAVKKVFERARQYCDPKKVYNALLGVYERTEQYKLADKLLDEMIKKFKQSCKVWLRKIQSYLKQDEENIQSVVNRALLCLPRHKHIKFISQTAILEFKCGVADRGRSLFEGVLREYPKRTDLWSVYLDQEIRLGEVDVIRSLFERAISLSLPPKKMKFLFKKFLEYEKAAGDEERVEYVKQRAMEYADTMAAAVEIDAEIQQQLTNEVKLFNRWTYDDVSVTDISLVDYIGVQAAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDANPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A03g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8072465:8074582:1 gene:A03g502530.1_BraROA transcript:A03g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLKPKSVTLNNSQVHVNPKCKPSPVLIPVVNLTDPNAKTQIVKACEELGFFKVVNHGVRPEFLTQLEEEAIKFFALPQFHKDKAGPADPFGYGSKRIGPNGDVNREVVEVFMEEMKDMSSKVLDMVAEELKIEPKDKLSKLVKVKESDSCLRMNHYPEKEETRRKEEIGFGEHTDPQLVSVLRSNNTEGLQICLKDGTWVDVPPDHSSFFVIVGDTLQVMTNGRFKSVKHRVLTNTKRSRLSMIYFARPPLTEKIAPFSCLVPKQEDCLYREFTWSQYKSAAYKTKLGDYRLGLFEKQFPFSFSGTV >A03p054750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23584871:23586947:-1 gene:A03p054750.1_BraROA transcript:A03p054750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal (S)-2-hydroxy-acid oxidase GLO5 [Source:Projected from Arabidopsis thaliana (AT4G18360) UniProtKB/Swiss-Prot;Acc:O49506] MEITNVMEYEKIAKEKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDVSTTVLGFNISMPIMVAPTAMQKMAHPDGSLTLSSWATCSVEEVASTGPGIRFFQLYVYKDRNVVRQLVKRAEEAGFKAIALTVDTPRLGRRESDIKNRFALPRGLTLKNFEGLDLGKIDKTNDSGLASYVAGQVDQSLSWKDIKWLQSITSLPILVKGVITAEDARIAVEYGAAGIIVSNHGARQLDYVPATIMALEEVVKAVEGRLPVFLDGGVRRGTDVFKALALGASGVFVGRPSLFSLAADGEAGVRKMLQMLRDEFELTMALSGCRSLREISRNHIKTDWDFPHYLPAKL >A03g508630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29227337:29227531:-1 gene:A03g508630.1_BraROA transcript:A03g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTILTKMLLQSVIYHRGEDVMRRHHQTWTTTDQLRRVIDKAVRNMIVSLRYKFDHKYGGFIQK >A02p053790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32706491:32707919:-1 gene:A02p053790.1_BraROA transcript:A02p053790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRQNRVEVRSMLTAISSKPSRSGQIHTFTALDNAMSPHTVHVIFYYPRSPFGSFDLDSVRIPLSELLSMYPPAIGRVTKNPEGILEVKCNDAGLRILKAKVSVGIDEWLRSADGHEETNLTAWEDMPEDPSTWSPFRLQINEFEGGGVAIGLSCPHMHADATTLTVLLKSWTEAQRRQCITHPPSFSPLPYNLTETDAVKSDRDSFSKPIAGTVSTKTTTATFRFSGSAFTRCVKEHSIHEISPKATPFDVFAALFWTRVALVKDKSDRVCVCVDFRRLLPNPLPYGFFGNALNFSSLEMANVVDMEVGHVARLINEHVAGLNVEKIRSGLKRAGSQRKMYGTDLTIVNMEHMIVDGEPLMYETVFEEGVKPMHVSYRIGNNGGEGVITVIPSPEKGFGRTVAVTLPEEEMSKLLSDQEILRLEPEIILKGVR >A07p045480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19852983:19855155:-1 gene:A07p045480.1_BraROA transcript:A07p045480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYPQDPFSYISYKDYQFHDLYFQQEEDRDPLETKNNVKLGQEQRQGFESIYYNGNSGDNNDDYNYNDHKDLQRPRDDLPYGSIVDTENQPPTSVLATGEGRRKRRRTKSSKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYAQRGDQASVVGGAINYLKELEHHLQSMDPTVSTPATKQAGDGAGDQMNTIAASSSGPFSDFFAFPQYSRRPSSSSVAEGTAEIEVTMVESHANVKILAKKRPKQLLKLVASIQSLRLTVLHLNVTTRDDSVLYSISLKVEEGSQLNTVEDIAAAVNQILRRIEEDLSFS >A05p011470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4898019:4898540:-1 gene:A05p011470.1_BraROA transcript:A05p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFQPPPPLVMPGYNHLLGTEDIVGFRYRIGFSIGVLLLIITTITLISYFCKRSQLSSSTSSPDWARVHHHFIIDGLDDETIQSYPKILYSEAKVNSTSSCCPICLGDYKGNDLLRQLPDCNHFFHLKCVDMWLRINPTCPVCRTSPLPTPQPTPLAEVVHSASSVATTRMS >A08p044580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24621499:24623926:1 gene:A08p044580.1_BraROA transcript:A08p044580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQRFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKMFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRKIQFSLTILLLGVGIATVTDLQLNMLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNQNVFAFKYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLKDPFNWRNILGIMVAVIGMVVYSYFCSVETQQKASETSTQLPQMKESEKDPLIAVENGSGVLSDGGGVQKTAAPVWNSNKDFQA >A04p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10540523:10541761:-1 gene:A04p017170.1_BraROA transcript:A04p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFQNLLCFTILLATVTFFNVASAHVKIKLALPQTGDPISVGDVEPYTVKIVSTFVADLEKECAKTEKFRHFFEKVNAFSKCVCSVSMDHESHMKAKAGSLFQAISALGSDENGSKGGMVNKLQKEKTEAMETVKMLQSIGEKITGRQNNKTEINGTLKLTTKQQKEIKDGILKWVKVITQIAKQADEISMESETKKESKEENYVGPRILVQTKSSTKSDKNSDKKSGNKKNNKGESKKKKRGGKEGRQGSAKSKGGSNDDKSSKNKGKSSENAKNQQSKYGKVEKKKGGVDQSRNKTAERLRAEAADELD >A01p044480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24342353:24342639:1 gene:A01p044480.1_BraROA transcript:A01p044480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMTKPALMWVFNSSIEIGEAVSLTDSNELMEVAGYEAMREWLLRVFGFGLRTVLCVFLV >A09p003950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2269737:2271216:1 gene:A09p003950.1_BraROA transcript:A09p003950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKGGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKASQDSHQELDQSRDRSSISSSPSSSSANSNSYIPRGQWERRLQTDIHLAKKALSEALSPAVAPIITSTLATTATTSSSSESRRSSSSTCGFLRVQETSTTYASSTENIAKLLKGWVKTSPKTQNSADQKNPPDSETKELMKSDDVQKDCAGGFQAFSGLDHSNDCDSPYHQTKLSPDHETKQAITGCSNQSQLSLFEKWLFEDFGGQIGDILLDENPNFF >A03p005130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2136504:2140663:1 gene:A03p005130.1_BraROA transcript:A03p005130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGMDPFFSSLWHVDVVASIWNVYVSHPRETSSQLISSEIFPVPMIKALLYPGAIVNGLATNMTFPKWDDVLDLYNLTNVKEASAVPDLQRLEVLAGSYFSVAGAFCGWVVAASLGLKKAMRAAPARPIAKPLTSSSKSKLKRLGKAPTSGIPFLVNVSHMRHESKSGYYGASRLRRLNLDAYSPRVVSIGPLHHGKEEELEAIEDHKLRFLQSFILRTALSSFLLRLRSATSQKLSTVILFEQCHRLDACFFHYMRFLSCFVTSPMDAELFIDNEIIVIRRGNAEDVSRLFTTILKERTQTNYRGRGFYYQTVMYLVAAAVCCGLGILIRFSSGSKKMTCQLLRQAIPVNDLKGLGECLENKEIKKPIVVVRGTVGSTSTVDKSCLGVFIEETASLDIEKMNAFGSVVPESKTNFLSRKEVPWYLDDGTARVYVCNYQLAEGFYDTLKKYLSKEPVMTYLKTFASDGKEKLIMVTDADCWKRQKVLEIGTDLTVVGTAMRDKDGAPTIADAYRFFNGHIELDDFVNYLESGLETGGNLSLYLIALSAVLVALNMLQTDADDI >A05p041710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25299889:25301754:-1 gene:A05p041710.1_BraROA transcript:A05p041710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLVNLNLSDSTEKIIAEYIWIGGSGMDIRSKARTLPGPVSDPSKLPKWNYDGSSTGQAAGDDSEVILYPQAIFRDPFRKGNNILVMCDAYTPAGNPIPTNKRHNAAKIFSNPKVASEEPWYGIEQEYTLMQKGVNWPIGWPIGGFPGPQGPYYCGVGADKAIGRDIVDAHYKACIYAGIGISGVNGEVMPGQWEFQVGPVEGISAGDQVWVARYLLERITEISGVNVSFDPKPVPGDWNGAGAHCNYSTKSMRNDGGLAVIKKAIEKLQVKHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILG >A01p018700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9105848:9107433:-1 gene:A01p018700.1_BraROA transcript:A01p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSPAVAMPFMPFPEQQMELAGIMLGKGYCNGQYSSQDSENGSCSVSGSRKVLTSRINSPNLNMKKEPSSSSSSSSSSSSSEIVVAGEEINGSDERSKKMISRTESRSLFEFKSVPLYGVTSICGRRPEMEDAVSTIPRFLQSPTNSMLDGRFNPQTTAHFFGVYDGHGGSQVANYCRERMHLALAEEIAKEKPMLCDGDTWQEKWKKALFNSFLRVDSEVESVAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTALPLSTDHKPDREDEAARIEAAGGKVIRWNGARVFGVLAMSRSIGDRYLKPSIIPDPEVTAVRRVKEDDCLILASDGVWDVMTDEEACEMARKRILLWHKKNAVAGDASLHTDERRGEGKDPAAMSAAEYLSKLALQRGSKDNITVVVVDLKPQRKFKSKPLN >A02p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2941746:2942937:1 gene:A02p006910.1_BraROA transcript:A02p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKNHEKKVLKKVNFLEWKREGGHRETLITGRYHMGGRDDYKKYSGLCRMVQKLTNVLKQMDATDPFRIQMTDMLLEKLYNMGVIPTRKSLALTDRLSVSSFCRRRLSTVLVHLKYAEHLTEAVTYIEQGHIRVGPDTITDPAFLVTRNMEDFITWVDSSKIKRKVLQYNDKLDDYDMLA >A07g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12642699:12649044:1 gene:A07g505610.1_BraROA transcript:A07g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADDVPQSEELDHQEDQDIPTEVHRPNQGRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQRLGTLMVEDGSGDGQDGTDGPARDRPALGRGSTSRGVTLTSPQIEESFFFVFQNSSRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLG >A04p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17418794:17420791:-1 gene:A04p029080.1_BraROA transcript:A04p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G30920) UniProtKB/Swiss-Prot;Acc:O49354] MNQMQRILSARRLSHSIIPQSCFLHRRWFSTSETDASSPPLSSPHQKIQTLEDKASNKSRSTASTTSSLNEQELAKFSAIADTWWHAEGPFKPLHLMNPTRLAFIRSTLCRHFSKDPSSARPFEGLRLIDVGCGGGLLSEPLARMGATVTGIDAVDKNVKIARLHACFYLDFYSLLYVNEMSLQDMDPMTATIEYQCTTAEKLVDDGRTFDAVLALEVIEHVANPAEFCKSLSALTIPNGATVVSTINRSMRAYASAIIAAEYILQWLPKGTHEWSSFLTPEELTMILQRASVDVKEMAGFVYNPITGRWLLSDDISVNFIAYGTKKE >A09p048690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43249071:43251321:-1 gene:A09p048690.1_BraROA transcript:A09p048690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSFAHKRKKKSGEGEEENQATFLLSPIPLWVFSPDCELRSFSDSKLFPLQESVFVFLMTGKRSKITCRSTSHKLFKDKAKNRVDDLQGMLLDLQFARKESRGVDVTLLEEQVNQMLREWKSELNEPSPASSLQQEQGGTLGSFSTDICRLLQLCDEEDDATSKLAPPKPEPTTDQNLEAGKAAVFQRGYNLVREKSENEFPLADHGNNFDGTAHLDYNQYDLQQEFEPNFNGGFNECPTYGPLHISAFIPTICPPPSAFLGPKCALWDCPRPAQGFDWFQDYCSSFHAALAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNAPELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQIMNEFGGLKRSYYMDPQPLHHFEWHLYEYEINKCDACALYRLELKLVVDGKKNSKGKVSTDSVADLQKQMGRLTAEFPQENVNNKRCIKGRPKVNTKVAATTTGNVQNTVVEQGNEYGVGEEFNYLVGNLTDYYLP >A09p072750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55665381:55669134:-1 gene:A09p072750.1_BraROA transcript:A09p072750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDDALEQNPSAFCSPFAVFLQIQGCVICLLLALGWLMAAYVRNREVKRIKNSVKAGNSFAFLYQDMDELEHSRQAKLPRVSVIMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTEDPAYHAVSRLLSMYQIGAEKIHKDTKYVLFLDDDVRLHPGTVGALTAEMEKNPEIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRQDRYGVVTGLRDGGYSDDMTLASLAGAHKRLITSPPVAVFPHPLASDLSFGRYWNYLRKQTFVLESYISNVNWIMNKVLFAVHCYLSWGFVAPYVMAVIHITSALRIYIKGYHHLQDTTVASGGMSLVILLAICTFIELLSMWNLTRREVTLCNLLSPEAPRLSLAPYNWGLIFIAMLVDNFLYPISAFRSHFSQSINWSGIRYHLRNGKVFKIERRNDMVPAKTDLGGKHLYGKKGAPQKASFLSSLGRNFAHWRQPKKFDV >A08p010000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7700007:7701894:1 gene:A08p010000.1_BraROA transcript:A08p010000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPTEKKKRARSSPAEEHEADDGGSLSQPTKRPRLTSNRKNLQPNSAAASASPTLAASPTQPDNEETPLGPPARSEDPSPTKRQNPLHQQDPPDASLSRSSTKGRTPSLEQGYNEEMGSHGEPPTSRELNATEEQIMNLCITSITRICITCVTRRTSNLRDRFEIMEDLFDHDRFDTSGGQENDDTDKDATMEGETEPEQMAEYDADKEATKDGENEPEKEIQVDADKEATKEGDNEPKQMAEDDADNEATEDGENEHEKDSHVDADKEATKEGENEPEQMAEDDADKEATNEVENEPEHALQEDLEVMVATAEKFEKEVLEKEAAEKEAVEKKASEKESTDEKDGDAEEDSPKKTKRVPNPSRMKQSPYVEK >SC385g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000227.1:296:3695:-1 gene:SC385g500010.1_BraROA transcript:SC385g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKDKEKSMENPDVVHKVCDGPWLHQTKRTVHATAPRLWSVPKSHPALLIYFNSLLFSSLWLNTKGCQGPKGTSYQRLRTSKGTRTKRHEWPKGACSKRCLSGQVRTDPYGSAYGLSNEDKVTPSGLGLRLTQPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGKRSGSDCVSYHSGHLFWLLLLNSRELRMVLVKPRSREGSAVRWLCNVCGLDDAGKSKSGKSRKSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQHDGREHRESDEEVESLNANRDGDQHERVADGTANVPATLSKDDLLEAMKVMGTQVAAMAQLFTPLVNSSAEIVSCGFSLGPPKHHESDPTRRTGGKVSGQLSIAMRQCANWRWASTRCPVL >A08p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14990211:14991426:-1 gene:A08p022760.1_BraROA transcript:A08p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGRDPFNFGGPFGGSFGGPRSLMSSFFGGRDPFDDPFFTQPFGGGMFQSNFFGPTMDPFSAMRPPSGFIENHHQPPQMRRSHGPIIEEIESDDEKEGDKEKKVRLGKHGRSSSEVETEEARALERRRNRRMHNMNVNGQWQPQARSYSFQSSTVTYGGHDGNYYTSSKTRRTGSDGLTLEESKEANTATREAAHRISRGLHNKGHTVARKLNSDGRVDTRQTLHNLNEDELADFEQSWSGNARMQLPGRSGSFGSNYREQPMLLPSTDPSPSPARAGSFRRTKAATNVRGHGRN >A08p015620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10224004:10224195:-1 gene:A08p015620.1_BraROA transcript:A08p015620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLAVSRLMLHPWQLAPVVQNTVLTDRSHNHPGVRSLIEIRSMSMTACPRWSVYDTSFMAT >A02p007490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3173322:3178141:-1 gene:A02p007490.1_BraROA transcript:A02p007490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLKKSYRCSRSLKQFYSGGPFIVSSDGSFIVCACGDAINIVDASDSSVKSTIEGESDTLTALALSPDDKLLFSGGHSRQIRVWDLETLKCIRTWKLELIGKSLFGMLMVVSALITSKVIKELFRVSCSILMLTKTSDDATVRVWDLMAKNTEKKCLAILDKHFSAVSSIALSEDGLTLLTAGRDKVVNVWDLHDYSCKTTVATYEVLEAVTIVSSGSPFASFIASLDQKSKKKKTSSQETHFITLGERGVVRIWKSEGSVCLYEQKSSDITVSSDDEESKRGFTAAAMLPSDRGLLCVTADQQFFIYSIVENVEEPELVLSKRLVGYNEEISDMKFLGDEEQFLAVATNLEEVRVYDVATMSCSYVLAGHKEVVLSLDTCVSSSGNVLVVTGSKDKTVRLWNATSQSCIGVGTGHNGDILAVAFAKKSFSFFVSGSGDRTLKVWSLDGISEDSEEPINLKTRSVVAAHDKDINSVAVARNDSLVCTGSEDRTASIWRLPDLVHVVTLKGHKRRIFSVEFSPVDQCVMTASGDKTVKIWAISDGSCLKTFEGHTSSVLRASFITDGTQFVSCGADGLLKLWNVNTSECIATYDQHEDKVWALAVGKKTEMVATGGGDAVINIWHDSTASDKEDEFRKEEETILRGQELENAVLDAEYTKAIRLAFELRRPHKVYELFAGLCKKRESDDQIVKALQGLEQEEFRLLFEYLREWNTKPNRCHIAQFVLYQTFNILPPTEIVQVKGIGELLEGLIPYSQRHFNRMDRFVRSSFLLDYTLGEMSVIDPEAETEYPKDKKIEEEFIASVSAIEQETEADQKTPSRKRKSQKSKDRSSKKRLVAEAQGNVIAV >A07g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26038665:26039399:-1 gene:A07g508980.1_BraROA transcript:A07g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGHFVTPVVILFDHLAFAGSNQTWKKWGRGKNQETQRPTKIPENGRLCVLLCSDPGQKNE >A08p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4329952:4331396:-1 gene:A08p007320.1_BraROA transcript:A08p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHFDPHLQDSKSFRDFCGIDGQISPAALGFESSTNLHEHPPYIPPFHVPGFAPGPALQTDGADVGAGFEWNSIYGRKSLKEMDFMENNSQLSSVDFWQGRSVSTGLGLSLDNANGSALLSLVGDDVDRELLRQDSEIDRFIKIQGDQLRQSILEKIQTSQRKTVSLMEERVIQKLRGKDEELEMINRKNKELEVRIEQLTLEAEAWQQRANYNENMIAALNYNLERAHGWPRESNMEEGCGDSELDDTASCFNGKATMMMCRFCGVREVCMLLLPCKHMCLCKECERKLSSCPLCQSSKFLGMEVYM >A09g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9079355:9080850:-1 gene:A09g502720.1_BraROA transcript:A09g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLCSLDADNLSAFTVALRRRSRRRNPKNPLRSGPPLLMGKNKSPRREFPPPLGENPTPSLRETPPVSSDLVAPPSVSVETIKSAGPLASDLPAPVSPRVSESGSDLESSAVKTSVTVEISTTPGLSDEELTTSKVILFSESGLGKSPVEDLEKGTSSVADQVQDKNQKLIMGEESDDGQQNKNSVSA >A06p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21024999:21026998:-1 gene:A06p039190.1_BraROA transcript:A06p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNSVFQSLTELFPQIDERILRTVAREHPTDADEAAAVVISEVVPLFPQEWEVHVPKRKLHHLPLCKVEPETQHEDALSQWLHPSHLPQSYLALLNEEEEEEKATPDLKPLLDYAVKKVVLPSRDAATGARREVRTIYSASNNTASSVVKPSIRVQSQTNNEQLSGSSSKVPVAGRSSRKAAHPWSNYSEKLRSWKKRSSDFSQLHGQCKYVPSWQSNQSNCKSYTTERERKQRIDDNIKALAKLLPHEVKEDSSEVILNEIVDHVKLLQLEMKELSLNRLGGEPISHPMTFIEGFGHYIHHEEMMTKPLEEMMEDLLANDPDAAARLLESKGLYLMPLSSVQDLC >A02p057250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34438655:34440348:1 gene:A02p057250.1_BraROA transcript:A02p057250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTTEEDKTLISYIHEHGEGGWRDIPQKAGLKRCGKSCRLRWTNYLKPEIKRGEFSSEEEQIIIMLHAARGNKWSVIARHLPRRTDNEIKNYWNTHLKKRLIEQGIDPVTHKPLASNTSPTVTTTQPENLHSLDASSSDKRYSRSSSMPSMSRPLSSGFNPASEITTPVQGGSLSCKKSIKKSNSTSRLLNKVAAKATSIKDILSATTIPYESFSNGFPEQTRNEEDSSNALADFDPFSQSLLYTDHEIHATSDLDMDQGYDFSYFLETLGRDEHNMNVDQYGHDLPMSDVSQDVVSSTSVDDQDNMTGNFEGWSSYLLDHAGLMLGHRKK >A01p038940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14826348:14827616:-1 gene:A01p038940.1_BraROA transcript:A01p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWMSKGGHAKHFNRIMELGITAYLQGPTVRQVPMDSLPDAGNSKLSMSEECPALTIDPSRKSRKQRAYMSSPQAASLADSSTPAFVLEYDLRDISSKYHGQRRCVPNAISFKNCSTLPGGLINGVASRIWGNKYSNTRKLVLVRRIWNRNDSLIFLTLKNIPFQLFLLKALIKLLHILLLLETATLSAYVAQQVLHSAQYSPKQRKLPKIASSDARHLVFVTSFLLVTYPWMWYLPPPFHFLYMFQFRLSSWMKTSSIELEEVDS >A06p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13700042:13703051:1 gene:A06p026690.1_BraROA transcript:A06p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSKIQNENSGDSSTLHANLSSSTDHRQEEEKRFLRELLGQRGSAREEEEEKRKAARVRVRQEKEFAVGNGTWEWTGCYTRILCFLMVMEQCGFLFNHRTDAVSVELRERESVFVVSSVRGLHSCRNGDLTKLERYHNRRPWFYRGINNFGLGSSSMILSSRNTSKGSNIVLIQVILALKYFSAFVFSEGHISAIVSQFRLSSLALMLCSDIYCLLLTKKGLFCKPSLTRTILPLQDDLKVVQAKFPKTEVVQDEGMDSRDHWSRVMKGHTGDNMTLIVGQVNSIGGISGCLFG >A08p021000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:14151722:14152063:1 gene:A08p021000.1_BraROA transcript:A08p021000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQWAWPRSGPVDIAEKKISESDYVRAKKFVNKAQNLYPNLDGLKQVSVMITGGREADWYRVIGVDPLADDETLKKQYRKLALLVHPDRNKYKGAEGAFNLVLEARRPLSDNG >A04p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22488504:22490618:-1 gene:A04p039760.1_BraROA transcript:A04p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVYLLTFVFLCIFLASTVSGYNSKHVKAWCSKTPNPKPCEYFLTHNSDQKPIKSLSEFLKISMKLALDRAILAKSHASTLGPKCRDTREKAAWEDCLKLYDFTVSKINKTMDPDTKCSKTDSQTWLSTALTNLDTCKAGFLELGVTDFILPLMSNNVSDLICNTLAINKVPFNYTPPEKDGFPSWVKPGDRKLLQNSTPRDNVVVAKDGSGNFRTIKEAINAASGSGRFVIYVKQGVYSENLEIQKDNVMLRGDGKGRTIITGSRSVRGGSTTFKSATVAAVGDGFIARGITFRNTAGANNGQAVALRSGSDLSVFYQCSFEGYQDTLYVHSNRQFYSDCNVYGTVDFIFGNAAAIFQNCNIFARRPPGRTNTITAQGRSYQDQNTGIIIHNSRVTAASDLRPVLGSTKTYLGRPWRQYSRTVFMKTSLDSLIDPRGWLEWNGNFGLTTLFYGEFHNTGPGASTSSRVTWPGFRVIRSATEALQFTVDSFIAGSSWIPSYVPFTSGL >A08g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15007445:15012197:-1 gene:A08g508240.1_BraROA transcript:A08g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRKTYTETTYDRLRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGRELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVIMFGLQRKNTKEKSPRPSASQSSFKSSLNYFDECVSVQEKPNRWSNEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVRKSQKKSTSTRAPVAEPSFSISKKTEGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDRPYLESEFINDNEHANVELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLPDLQEHCEKSELVISLPDMFDKISSLDVIRFGLDKIKENCFSKSVFGNMINSFKIFEPDKFLDQQRFQNNLGISSEIILSFDQSLEQSKVFDHFEKYLELDMKQTDFCATKSFDSFVFKENSFDLNSSRHRLITDDSFASSLDLDDFLIKKMQEQNSLETETGFCEHDFCDSVLQPDLLSFENDKTWNFLRSSCENFVDLSVADILVYNTFFEKCLESLIVVSQSELKLVCSDVDNDMHVLEMINVVAYLDKILVCNVYFDLHLDRLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFILSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEVYLNIPKVFCLESNFTRKPTHQGFTEAWKRMKSFTEEEVMNFPNRRFFSPSIREYQISKGDSCPRKNQPEPKPILHEPKVFPRSFSCLNQKHFALNKQDKHDQFLRRASTNGRQSQTDRTVPNASGRELWLEPWPDDRFHCTGLCLHRHVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFRLFRNP >A09g513960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42205376:42217776:-1 gene:A09g513960.1_BraROA transcript:A09g513960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRGCNGVCVLIMKPSVQACSCESLKLEGERGSNLLGSMMKDEEAMSKAASSVEVIGDSSNKVATLEEEEAAKTQQECCISKKRLIWCVRALQPKKRLGERGRDQDQAVRRGMTVQIRERKGEGGWKAKSPSDAEGLERIRPFMDKVGARLIYPALGQASLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMMARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEGMTVQIRERKGEGGWKAKPPSDAEGFERIRPFMDKVGARLIYPALGQAVKPKADKSRIDLEVYLGANGRVCKDRVRQYGRVRTGEADVPGKLVQCLGKLLQKLTSSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEVGVDTNSSLSCHVLWSFKELTLVPWLFTRCVLGSPSIRVESRRLLPIECAMES >A06p026670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13715856:13717002:-1 gene:A06p026670.1_BraROA transcript:A06p026670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AL3 [Source:Projected from Arabidopsis thaliana (AT3G42790) UniProtKB/TrEMBL;Acc:A0A178VCG6] MEGGAGLYNPRTVEEVFRDFKGRRAAIVKALTSDVGEFYQQCDPEKENLCLYGLPNEQWEVNLPAEEVPPELPEPALGINFARDGLSEKEWLSLVAIHSDTWLLSVSFYFGSRFAFDKADRKRLFDMINGVPTIFEVVTGNMKMQTKEKSSAANRNGNLSKSDSKVRSSDGKNSKAVQASNEEDGSEEEDEDEHGETLCGACGDGDGTGTDDFWICCDVCEVWFHGKCVKITPARAEHIKQYKCPACSNKRARP >A01p007100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3559138:3560286:1 gene:A01p007100.1_BraROA transcript:A01p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGDKQDAENRNQNLPSYVKNPTPGIKDVDMILGELTTVSPNSNYAAFLLNLVRAKNADEASAENRNQSRLSSVIKDVDMILGEPSSSTVSTNRNYTAFELSLERGKNADVASADQAAIYYIGGICCLMPEVTDDVLEGFRQKGWRVRIVRVPESDDVAISRQKKANAYFGWLVTMLYKNTNEGLANVITDPDFKAPFDVAGYVPLRGEAAYVLSLFDYLKLPCYLGHAQLGRIKESVLKGILNHKKDGGSFGDVCSYVSKALSWKYMTGFYNVYKTLVLSDSPVLKFHGLAHEIENLHRAFKAVSGSEMPRFFRILVDGSKYHLVDRRMFPTLVAVSEQIMRTAGGSRLSDEDFLVAMHRNQFVDAVKAHELQFVNDRR >A08g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8318362:8318821:-1 gene:A08g505060.1_BraROA transcript:A08g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEFLMTATPFSVVAPPDLSSVLASSVYRHLWRPASGVLKPLCHPIVTLPISSFPPDDLNYAGDVNNISRPKS >A08p039210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22598497:22599546:-1 gene:A08p039210.1_BraROA transcript:A08p039210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLIPLWDANKVTIASVASVVFLAFAGLTMAVSAVALVVSAPLFIIFSPILVPATIATTLLATGFTAGATLALTAIGLIIGLIRTAEGTRLALSAQRTLKLLKFSGGYWGFWGGKTFSGSFGDILKWLQKQPWFKGIPAGEAAPPAGGAAPPAAEAAPPAAEAAPTAAEAAPPAAEAAPPAAEAAPPAAGGAAPPAAGGAAPPPADGAAPPPAGGAAPSM >A01p002700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1232062:1234030:1 gene:A01p002700.1_BraROA transcript:A01p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSISQTLSQVLDPTTGILIVVSLFIFIGLITRRRRPPYPPGPRGWPIIGNMSMMDQLTHRGLANLAKKYGGLCHLRMGFLHMYAVSSPDVAKQVLQVQDSVFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKVCVMKVFSRKRAESWASVRDEVDKMIRSVSSNVGKSINVGEQIFALTRNITYRAAFGSACEKGQDEFIRILQEFSKLFGAFNVADFIPYFGWIDPQGINKRLVKARNDLDGFIDDIIDEHMKKKENQNSVDAGDVVDTDMVDDLLAFYSEEAKLVSETADLQNSIKLTRDNIKAIIMDVMFGGTETVASAIEWALTELLRSPEDLNRVQQELAEVVGLDRRVEESDIEKLTFLKCTLKETLRLHPPIPLLLHETAEDTEIDGYFVPKKSRVMINAFAIGRDKNSWVDPETFRPSRFLEPGVPDFKGSNFEFIPFGSGRRSCPGMQLGLYALELAVAHILHCFTWKLPDGMKPSELDMSDVFGLTAPKATRLYAVPSTRLICSV >A03g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3425427:3426954:-1 gene:A03g501120.1_BraROA transcript:A03g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKISFHIRYGRTISRLVHRCSQNFRLSDSLLTIWFSDSTNVHNLSDVISELTAVRSTVSDIPQGKDRVMTTIKIDGFWGDSRVVVANSIKPKMVEGVFLSGIKTHAYYHAGFQRPRNTHVPSFLRGYAKVEPLTIAELNEFVIISEPQVHEEAPPNGLILYLRELKYLGLLRVSLLDSISGEMTKLHNVRAYEAGNLIVGTSLSGIAIKKPQASKSLKVMKKLRVVMQMEYLLFQK >SC234g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:85342:85776:1 gene:SC234g500040.1_BraROA transcript:SC234g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A06g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1161881:1162351:1 gene:A06g500250.1_BraROA transcript:A06g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTAIRVLKAALNDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A08g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6492590:6492854:-1 gene:A08g503790.1_BraROA transcript:A08g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTLVHIAQSCDVVIDFVSLLCQLSQVSRDYTVSAPLFMNIRVKSSQMRHFPTVLSYSSGVTHVLLPANSF >A03p010420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4189675:4189932:-1 gene:A03p010420.1_BraROA transcript:A03p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDFDGKGSKTIQAMFEEEGLDGDEHGDTLCGACVDNYASDEFWICCDMCEKWFHGICVKITPARAEHIKHYKCPSCSNKRARP >A08g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10118438:10118823:1 gene:A08g505860.1_BraROA transcript:A08g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPNSLNRSNQFCNCLIWSLTGSILVKPNNGDQESDSGGVRILTMHRSPDDQEDLFMATLFLFGVSSIQTTTVLFPIKIGPISIHTSAVKKFPKNSLTALNRPDTKPSSQLLNQAI >A03p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21713128:21714886:-1 gene:A03p050500.1_BraROA transcript:A03p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQKLHEAYKGTVERFTGPRTTSAFKEKGVLSVSEFVLAGDNLVSKCPTWSWESGDPSKRKSYLPTEKQFLITRNVPCLRRAASVAEEYEAAGGEVLVDDEDDDGWLATHGKPKDKGSEDENLPSMAALDINEKRGIRSIPTYFGAEEEDDDIPDMEEFDEADNVVENDPATYLVAHEPDDDNILRTRTYDISITYDKYFQTPRVWLTGYDESRMLLQPELVMEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSST >A05p049010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28580906:28583729:-1 gene:A05p049010.1_BraROA transcript:A05p049010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSLRRLAVGAAVIAAASGGGAVYLSPSVASSDRGGGPILDSLRRRIGDPNASVPSRSAQESALIGASASDPLDVLVIGGGATGSGVALDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQLIENAPHLCHALPCMTPCFDWFEVVYFWMGLKMYDLVAGPRLLHLSRYYSAQESAELFPTLARKGKDRSLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSLITDEATKRIVGARVRNNLTGKEFESYAKVVVNAAGPFCDSIRKMVDGDTKPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITPLPEPHEDEIQFILDAISDYLNIKVRRTDVLSAWSGIRPLAMDPTAKSTESISRDHVVFEENPGLVTITGGKWTTYRSMAEDAVDAAIKSGKLSPTNGCVTQKLQILGSHGWEPSSFTALAQQYVRMKKTYGGKVVPGAMDTAAAKHLSHAYGSMADRVAIIAQEEGLGKRLAHGHPFLEAEVAYCARHEYCESAVDFIARRCRIAFLDTDAAARALQRVVEILASEHKWDKSREKQELQKAKEFLQTFKSSNNAQFHDGKHN >A04p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21165866:21167954:-1 gene:A04p036990.1_BraROA transcript:A04p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRISESAKPYFAMICLQFGYAGMNLVTKTVLDRGMSHYVLVAYRNAFATAAIAPFAFLSERKVRSKMTFSIFMHIFVLALLGPVIDQNLYYIGLKLTSPTFSTAVSNIVPAITFILATLFRMEKVDMRKVRCQVKVVGTLVTVVGSILMILHKGPFISSFRSQLTTASSPLAGDYLKATIFLLIASLSWASFFILQASTLKKYSAHLSLSTMVCFMGTLQSLALTFVMEHNSSALNIGFDMNLLASAYAGIMSSSIAYYIQGLMMQRKGPVFVTAFNPLVVVIVSIMSFFVLGQGTYLGGGIGVVVLTVGVYTVLWAKHVDDDGEAICREDNTTLEAVKCCSGNNGLSIMPKIDEAHEDIETGKIKAEEKESSAVVLVIGCGFWL >A02p056840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34269352:34269808:-1 gene:A02p056840.1_BraROA transcript:A02p056840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQHPYLDNITQIFSFNYKSLTPYAGLNDTAMLWGVKFYSDFLSEAGPLGNVQSEILFRKDQATFTFEKGWAFPRRIYFNGDNCVMPPPDTYPFFPNGGFRQQFSVFSAVLLPVLLFFLFST >A06p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11899646:11900766:-1 gene:A06p022960.1_BraROA transcript:A06p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLTQIKWTQAINAKESDLGISEEASWHSKYKNSAYIYAGGLAFDLTEGDLLAVFAQYGEVVDVNLIRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAQVLGRTIKVDHCSKYTKREEEDEDTRQHNREARGVCRAFQRGDCTRGDSCKFSHDEKRAANTGWGHEEDRSAKWDHDKFNGPNKGGGHRGDFQPDANYRGKGRGERDRVDREPRSRDSYDRREQKRSGRLDDSQYTRHAAENDYARDDKRSRTHDMEKSKERSRRDYNDREGEGSSRQSGKDSDYSSRDARRR >A02p050180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31000703:31003175:-1 gene:A02p050180.1_BraROA transcript:A02p050180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFDTEQLLHCVNTLKSGQPYQVPIYDFKTHQRKADAFRQVNACDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDVDSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIHTKLGQHDLCKIYPNVFVIESTFQIASFVWLWSMVTEKQVVTPTGAVYSGVDFCKKLCGVSVIRSGESMENALRACCKGIKIGKILIHRVGDNGMQLIYEKLPSDISERHVLLMDPVLGTGNSASQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDLCINEEYRVIPGLGEFGDRYFGTDD >A01p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28921928:28926284:1 gene:A01p051560.1_BraROA transcript:A01p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPERSMDTSSSFLQKFRLYETRSNFYMIGRDKNRTFWKVLKLDRTEPTELNFHQDSTPYTESECFETLRRIHEGNRSAGGLKFVTTCYGIIGFIRFLGPYYMLIITKRRKLGEVCGHTVYGVAKSRIVTIPHASVLSSVAYSKDEKRYKRLLCTVDLTKDFFFSYSYHIMHSLQRNLSNNAEGHTYYESMFVWNEYLTRRIRNNAEDCMWTVALVYGFFKQVKLSVSEKNFRLTLIARRSRHYAGTRVANDVETEQIVYEEAQDGHPVRISSVVQNRGSIPLFWSQETSRLNIKPDITLSAKDPNFEATRLHFENLAKRYGNPIIVLNLIKTREKRPRETILRAEFANAIKFINKGLSKEERLRPLHWDLHKHSRKKGTNVLGILGRLATYALNLTGIFYCQLTSDGFQNQNPSTVENNIGECSTKDDLPTKDEIASDSAVENSNDRKDRQKEATMLQKGVLRTNCIDCLDRTNVAQYAYGLVAFARQLHALGLTESDTIDLDNPLAEDLMGVYETMGDTLALQYGGSAAHNKIFCERRGQWKAATQSQELFRTLQRYYSNAYMDAEKQDAINLFLGYFQPQPDKPALWELGSDQHYNAARFLASSVPEISRSTMKRSLSESSILSEGSSTVAGRHGLGEKDEEVKGLSDSAPEIISTSNTTAMIAGSFSAPPATLEDIGLDEILENDCFCCNDDRHGGDQCTCAAFDMDWVSSSGASCEDESSYGRSTMVRSFETIPESTKIESEICVINTKRDEVTTMEGEVISGIPQGYVRWVMDEEEGHFW >A03p058470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25396771:25399052:-1 gene:A03p058470.1_BraROA transcript:A03p058470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKDSSEKPGTLSVVTRPGPKLMVWLICFIAFTYIIYMLKLVSTSRSCDDSITFTTLSANLSSSSSSALPSRRRESEEEEKAEDEPTDLSHVVFGIAASAKLWKQRKEYIKIWYKPKHMCGYVWLDKEVKKNITSSNEDDEDLLPPVRISGGTASFPYTNKQGQRSALRISRIVSEMLRLGPRNVRWFVMGDDDTVFVTDNLIRVLRKYDHEQMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALSKMQDRCIQRYPALYGSDDRMQACMAELGVPLTKELGFHQYDVYGSLFGLLAAHPVTPFVSVHHLDVVEPIFPNMTRVRSLKKLTVPMKLDSAGLLQQSICYDKHKSWTVSVSWGYAVQIFRGIFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRQPCQKPFVYYMSTTKFDKQLNTTVSEYTRHRVSHPSCKWKIANPAEINTIVVYKKPDPHLWERSPRRNCCRVLQTKRNNTLWINVGVCRDGEVTEVK >A01p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21788434:21788802:-1 gene:A01p040830.1_BraROA transcript:A01p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKKLKGEKTPTTEEDDRDTNLASANENINLMDSVEEEDDNYDFSPPLNSLEISLIELGELENARKEEMGE >A10p015110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3785165:3786940:-1 gene:A10p015110.1_BraROA transcript:A10p015110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g52630 [Source:Projected from Arabidopsis thaliana (AT5G52630) UniProtKB/Swiss-Prot;Acc:Q9LTF4] MLDNVSSAFFAVPCHNYHLINDLLLSSARSKSTAKGLQLHGYIVKSGLFLIPLIANNLINFYSKSQLPLDSLRAFDETQQKSATTWSSIISCFAQNELPWKSLDFLRKMMAGNLRPDDRVLPSATKSCAILSRSDVGRSVHCLSMKSGVCANSTLLELGRQVQGLCIKSSFDSSSFVGSSLVSLYSKCGVLEGAYQAFRETPVKNLGIWNAMLKACAQHSHVQQVIELFKKMKRSGLKPNFITFLNVLNACSHAGLVDEGRYYFDIMKEEYRIEPTDKHYASLVDMLARAGKLQEALEVITNMPIDPTESVWGALLTGCTLHKNTELAAFAADKVFELGPVSSGMHISLSNAYAADGRFEDAAKARKLLRDRGEKKETGLSWVEERNRVHTFAAGERRHEMSKEIYEKLAELGEEMEKVGYVADTSFVLREVDGDEKNQTIRYHSERLAIAFGLITFPADRPIRVIKNLRVCGDCHNAIKFMSVCTGRVIIVRDNNRFHRFEGGKCSCNDYW >A09p050100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44100200:44102664:1 gene:A09p050100.1_BraROA transcript:A09p050100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPLGKLTVLIGAGLVGSVLAKDGSLPLVSSLVSGAFKIVFKQLKQEEPSKSRNDTALVAQVNSLRHELQLLASNRPITIVTTEGSGRKYGVIIIIGVIGYGYVWWKGWKLPDFVFATRRSLSDACDSVGNQIDGSSILVGNDGILDTKRELGSEVDRMDCTLDASSVIIKETGRQVTELRDGTANMKDEVRSVFEAVETLASKVCRIEGNQPLISTSSLPAIEAAPLTHSSSETLLLPPPASPCESQSSPSTPNGAQQSHGPLQRTQSISGLKDISESSTGNGISGSSSGQLGRFSVPRIVRTISAVNTVPT >A09p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3083321:3084161:-1 gene:A09p005650.1_BraROA transcript:A09p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGPQVWRFLWQARFKTLGLLANINAAASPTGRVSSVAARPSLLLLSRVFGFRLVYTLGAKSIKMQNEEGQITELYVPRKCSATNRMITSKDHASVQLNIGHLDADGIYTGQFTTFALCGFVRAQGDADSGVDRLWQKKKVEAKQI >A09g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19910178:19911155:-1 gene:A09g506740.1_BraROA transcript:A09g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSCLLALFLRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALEKERKKNRKISEKIRKINQEKILGDLIFNPRPVCCFEKDQKSSHKLYLGEEDQLRPSNPLVHLGKLWSLTSVRGRVKLEVSSPVHSVSWSIQPCSSGNQVLSKPVSRLFF >A09p072250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55483069:55489641:-1 gene:A09p072250.1_BraROA transcript:A09p072250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFISNREKYGNRAGLFGHKSASKSNPSSPPHPTEGRSSPPVSYYGIKRSESEYAFPISDHHTTHWKQQQQQASERVPNSHHRPPVYRYSTPERPRENGKERTEAISYEPDADVTPRSEASLSPFRSARTRTPDRRRRSTDFSRELHERMHETEANVSPFHPSRSRSPAPNNTQKEFRGRDYSRERYEAEGHLTSQRSAPSSPFHPSRSPPHTRATPERYNRGKDHYEADADVTPRSSPPMSPFHGATSRYPPPPFYSSSDDDEDNHSTTYLFPEISTGHRSRGVSGSSTPVHYKYQMATAETYEQDRQFEPPELPDESESFTMHEITKMRGLESYEEETQSDAYVSVANYKVRHCVSATLQAIIDKHGDIAASSKLQSTSTRSFYLESLAAAVTELKSTALRDLSKTRVAEIAAVVKDMDSVRIDVSWLKTAVEELAEAVECFGGYEAAKMEKEECSRGVKEGKVEMEELRDELKRREKEMKECRERVTAMAGRLGQLEMKDSWVTKKLELFQSKVHKFDGEAVFVEIFQSCCEMELVSGKVIQGCSNAGNPFHECTAICLDKLNSVDHVYKKEKKLFGFGKRTPSRDTPPDSPARGSRSPLPSFFAAKKKVESNSPSSTDHTNNNFFSRLSPLHGLPSQLKNEAATSVDSLPMSPTLAGYLGGDYFARKRGGEDDDLYSPRPFGIRPKTPEHPLRTPQHRPRTPQHVPDTRPWANQEDPISLETRPKTPIHESSAIGRRPQTPETRKQTAQHRGRSTEFMARSPGPRSKTPEPQPTYYEPSSRSPKTRSKTPEPSTRVPQTQPISHRSLDSAETRPRTLEHQTRTVETRPRIHESRPKTAVHRGRSPHHRETISQNQHSSFEMGQRSPQNHIYLGSKDDSENDDDSPASSDDDKFSFVDDDDHDDKQDKEPPELPDESQSFSLSEISRMKGIITIKKSDEIQSIVSDSIVSVGDYRVRASVSATLYQILNKHGDIASGSKLHSLATRSYYLEMLASVVFELQTTPLRHLKESRVVEMLAIVRDVESVKIKAGWLTPVLEEIVEAVKHYDQHERSRVEREVWEGEVLLVRQEMEREGKELKEKEKKLKEWRERTTEMAGKLGSLDMRRARLDKSLALLSSKVDKFQGKYVLL >A10p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21925021:21926655:-1 gene:A10p039620.1_BraROA transcript:A10p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTTRNLENVRVAFMPPPWPESSSFNSLHSSNYDPYSGNSCTPTDAQIGPVISVPESEKIINAYQFPSYDNEMIKKKRLTSGQLASLERSFQEEIKLDSDRKLKLSRELGLQPRQIAVWFQNRRARWKAKQLEQLYDSLRQEYEVVSREKQMLHEEVKKLRALLRDQGLIKKQISGGEDMTEIPSVVIAHPREENLNNNQINGENQIYVVDQYNNPMLIASSCWPPFP >A06p045340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24304020:24304960:1 gene:A06p045340.1_BraROA transcript:A06p045340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYSKYKRRVFSVVWLLFLCRTCFASPQSLLELYSNVVVMCCSVFDIVFEFRYRLLEFKMQNEEGQVTELYIPRKCSATNRMITSKDHASVQLNIGHLDADGIYTGQFTTLALCGFVRAQGDADSGVDRLWQKKKVEAKQI >A03g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1791111:1793182:1 gene:A03g500620.1_BraROA transcript:A03g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETAAANNHHHHHGHQVFDASVPQQPAFKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMLLFSFVTLYSSTLLSDCYRTGDAVSGKRNYTYMDAICGLIQYLNLFGIAVGYTIAASISMMQVAIKRSNCFHKSGGKDPCHMSSNPYMIIFGVTEILLSQVPDFDQIWWISIVAAVMSFTYSAIGLALGIVQVAANGVFKGSLTGISIGTVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVRSPPSESTTMKKATKISIAVTTIFYMLCGSMGYAAFGDAAPGNLLTGFGFYNPFWLLDIANAAIVVHLIGAYQVFSQPIFAFVEKSVSERFPDSDLLTKELQIKIPGFRSPYKTNVFRVVFRCCFVVLTTVISMLMPFFNDVVGILGALGFWPLTVYFPVEMYIKQRKVEKWSTRWVCLQMLSVACLVISVVAGVGSIAGVMLDLKVYKPFQSTY >A05p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2964111:2965838:-1 gene:A05p007390.1_BraROA transcript:A05p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSPTSFTSSSLSPSLPRKKRLSPYLFTLLTFILFVCVLYGEDFMCIFGQLEPTFAFQPSPKPSNKKAEKLAFAIGKTEENCDVFSGKWVRDEASRPQYEEWECPYIQPQLTCQERGRPDKDYQFWRWQPNHCDLPSFNATLMLETLRGKRMMYVGDSLNRGMFVSMICLLHRVIPDDQKSIKTTGSLTVFTAKEYNATIEFYWAPFLLESNSDDAIVHRISDRVVRKGSINKHGRHWKNVDIIVFNTYLWWMTGLKMKILQGSFEDKEKVITEVSTEDAYRMGMKSMLRWVKNNMDRKKTRVFFTSMSPTHAKGIDWGGEPGQNCYNQITLIEDPNYWGSDCRKSIMKVIGEVFERSKTPITLLNITQMSNYRKDAHTSIYKKQWSPLTAEQVENPTSYADCVHWCLPGLQDTWNELLFAKLFYP >A09p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2391721:2393415:1 gene:A09p004080.1_BraROA transcript:A09p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERMATSRTVTVAQDGSGDYCSVQEAVDSVPLGNTCRTVICLSPGIYRQPVYVAKKKNFITLAGIFPETTVLTWNNTASKNEHHQEARVIGKGTFASGTVIVEGDDFIAENITFENSSPQGSGQAVAIRVSADRCAFYNCRFLSWQDTLYLHSRKQYLKDCYVEGSVDFIFGNSTALLEHCHIHCKSPGYITAQSRKSPQESTGYVFLRCVITGNGQSGYMYLGRPWRPFGRVVFAYTYMDACIRNVGWHNWGNEDNETSACFYEYKCFGPGSCLSERVTWSRELLDEEVGQFLHYCFVDPDQDRPWLCPRTGGRTPCSA >A06p037560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20376298:20376904:-1 gene:A06p037560.1_BraROA transcript:A06p037560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRDSILAVSDKMHVFLKDIVPAAQNNINTRFIILDKSRSPAAASGKSCIALAADETAAVHIQLWGEECEAFEAGDIVKLTNGIFSYVRNSGLLLRAGKRGKMEKMGEFTVAFVETPNVSEIQWNPDPENPKRYIQNGVVSAHSRIFPPLP >A07p002200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3979681:3982965:1 gene:A07p002200.1_BraROA transcript:A07p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIRGWRLGRTSHMPGARHRARTEKPVWIIAVVSLIIMFVIGAYMFPHHSKAACYMLSSKGCKGFTDWLPTSPREFSYDEITARVVTREVLSSPRVIKKTSKIAFMFLTPGTLPFEKLWDLFFRGHEGKLSVYIHASKDTPVHTSRYFINRDIPSDEVVWGTISMIDAERRLLASALRDPENQQFVLLSDSCVPLRSFEYMYDYMMFSNVSYVDGFYDPGRHGTGRHTDHMLPEIPKEDFRKGSQWFSLKRQHAIITAADSLYYSKFRDYCGPAVESNKYCIADEHYLPTLFHILDPGGIVNWTLTYVDWSEKKWHPKTYMPEDITHELLKNLMSIDTVSHVTSDRTGVVTWTQCLWNGIKRPCYLFGRKFHADTLDKLIDLFSNYTSILHKPHYKM >A04p022840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13815834:13816855:-1 gene:A04p022840.1_BraROA transcript:A04p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAT9 [Source:Projected from Arabidopsis thaliana (AT2G22800) UniProtKB/TrEMBL;Acc:A0A178VZE8] MGFDDSCNTGLVLGLGLSPSSNNYNNTIKRSSGYKSDPSLTLSLSGDPSMMVVAGADLLCRQTSSHSGVSSFSTGRVVKRERDGCEESQEEEEKEKTERLISDYHEDEEGVSARKKLRLTKEQSALLEESFKHHSTLNPKQKQDLARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENIRLQKEIQELKTLKLTHQPFYMHMPASTLTMCPSCERIGGRSGGESGGEGVGGGSSVATTVAVDGGTSKGAFSISSKPHFFNPCTNSSAAC >A07g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3103733:3104200:1 gene:A07g501500.1_BraROA transcript:A07g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLIHFFKGLESHRVKLNRKDGEKRWILILPFTNHPSHPIYTFMLQKIFLRFSFSIYHEDK >A05p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7765737:7767555:-1 gene:A05p017290.1_BraROA transcript:A05p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVLRWFSLVAILWLQSINGTNLSFPAYSSQLKEFLSISQFKLNYLSFASDAGKVLGFISGIAAVYLPLPLVLLAGGSLGFAGYGLQYLCIVKKMFTLSFYQIWGLSFLAGNSICWINTACYIVAINSFPVNRQVAVGITASYQGLSGKIYTDMVQTFFYSSQREAASGYLLLNSLVPLVGCLVTAPMLMREANATSSSSWDINVGFIVLFVVTIATGIYAVATSLLTAPAVLVLVGIVLFLLAPLAIPVGVGVKELVSSRRSQQKVHDLEVPSEEGQNKEEEEEFDEKVIVGVKEEVEWTKLCKKLDFWIYFGLYLFGPTVGLVFMNNLGQIAESRGCAATTSLVALSSSFGFFGRLLPSLLDYFLSWNKYMPSSPVSMAVSLVAMVASFLVLLIDSDIALYTSTAIIGVFLGALTSLSVTMTAELFGTKHFGVNHNIVVGSIPIGSFGFGLFAAKVYRDGAAFDGRFDGKCYGMYCFQTTFIFWGTLCSIAAVLAAVLYLRNRKFFSSTR >A04g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11442361:11447115:-1 gene:A04g505330.1_BraROA transcript:A04g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAMYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRFFVKGGNRDCNVDLELQKCDCAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHFLPDGLPVFFVKRGRKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A02g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21491640:21493428:-1 gene:A02g507760.1_BraROA transcript:A02g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRPIFAQLHQASSIDEPDHVLGRPNFARHLREARTRPDHLPNTSEADQSLHTRLAFFIDQVWNLPLSTDFTASLEKLRDDPELRIDGERSSSLAKTALNSLLPRHATILVRLMILVEAFAKTYSPSSLEPRLEGAKLVMILCISMELGCLNHHRESHKTRLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKIFVFTFLVVGEFHIIPLGSNQDHPSCLITNATIIYDT >A04p009750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7440735:7440914:-1 gene:A04p009750.1_BraROA transcript:A04p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEYGIGMGSIMAIVVVAIILLFVPLMMGPVAPPSPPLILVFPLVLLFVFLYLHFTCK >A08p002230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1256358:1257468:-1 gene:A08p002230.1_BraROA transcript:A08p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSSESLIDDLVDDYWFFENLFTRRSRVLKYCHSDPYPSSSSSLTSPEKVGDLDEKKSLQASTGRCLIRAASIDGRKGETKQFSEKTRVQEPRPVGSFLRQKEPVVLPKSAGSCSAPGKIQEASTDRCLVRAPSLPPRIEKRNVDYEAKKMISKLTRQFSEKIRVLEPKTTGERYLHKKKRNSERNMTEGGSSSSSSSSSVKISLQRTQTMPNNIREEDELEDHETDSRMGFLIREALASSQNVPKVSINPRQRPPRQLRSEETVMVKQGSSSSKTLRKTVSSIETTKEIQRLKGYDDQLVEPHGLATPPRVPKDSRKEMKDQIKFWARAVASNVRQEC >A03p009300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3725740:3726672:-1 gene:A03p009300.1_BraROA transcript:A03p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAIINQNTANTTTTTTGERRGRRSKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAALSMRGTQGRTNFAYTPTDVHTILTNPNLHSLIVSPYNNNQSFLPNSSPQFVIDHHPHYQNYHHHQQPKHIPTASFKTPVRHQNADIQAFSSSPQNSSSNGSLSSSLDEEHNFFSFDGSNSSNNSGYLDCIVPNHCLKPPPETTTTQSKTGAGFTTPASKASDSYGGFNNSYFEDGDMMNHHHHEFGSCDLSAMITNYGAAASMTMKQQDFSSASSSIAAFGDVADTTGFYSLY >A01p026900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19264078:19264799:-1 gene:A01p026900.1_BraROA transcript:A01p026900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWVCVWTARKSLVSVRSRRDLAVVGWRQSVWLVCAEVSSGLRLQILVRVSRVGISYGSDRVLVSQSVRGGLIGKASFPCGVWLELLLREAAISRGMGVRSGGYRMASYVQ >A10g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11499952:11501963:-1 gene:A10g504700.1_BraROA transcript:A10g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRRKVGKQQRQMQDLKRKSTEELKAFVEISCTTSPNHLVSVRKAYCLLLNSSLAEYTVGSEEAQLHKYDGIFGFTKETIKRKGDEDTRRHCMQSIVHGVMPDDIARLLNRACYYSAVRFMAYIHREYKKTMENEMVVEVFKKLQNPYEVGIMEVAGGTTEVV >A04p034060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19773212:19774364:-1 gene:A04p034060.1_BraROA transcript:A04p034060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEIDAEIQQQLTNEVKLFNRWSFDDVSVTDISLVDYIGVQPAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A04p033140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19311090:19312882:-1 gene:A04p033140.1_BraROA transcript:A04p033140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLHKACGVVGYHVDARYAEMEKDKLREACGVVETMWTQDAKLAWDKLEEQVYTVEKRKVYLLIWKLSIFMLSWRLGFQERGDHYKKIYGVILHRLPVKSLVRLKSVSKEWKSLMESGYLAEKHLRLLEKKYYGIVEEEIKITVHKSTSKSLCIKFFSKCGKMSSEASSGGLLRVAGSCNGLVCVHELDSVNVYLCNPMTGVTRTLTPPPQANNKLSVGFGIDVVTGTYKVVLFYYGLGTVVFDFGTSKWRPTAGPMPLSCIPRPDRNPVFVNGSLFWLLKNNFSEILVMDLHTEKFRTLSTPSDMGVTVGEIYMCSFEDRVCFFNFKQMYNFSQGVRSYVWVLLKNERWESTTDNLLGISSPPISLNSAWFSQTLVSPCQSSSSTCIRYRQRQRSSASAQYFSGDGDTRVMDAPIELHLSLN >A05p030200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:79437:81479:1 gene:A05p030200.1_BraROA transcript:A05p030200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGNEFLFSLPAKFIKDREARAHASEGTSGAGSSSRGAEGEGREGDGKALVTYSGAPNTRGNDQDFIRRSEMDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A07p027230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15564949:15569174:-1 gene:A07p027230.1_BraROA transcript:A07p027230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 21 [Source:Projected from Arabidopsis thaliana (AT3G52810) UniProtKB/Swiss-Prot;Acc:Q9LXI4] MSDLTSCINKLETSTSRQYLNNSSDLVHRHIHLKLFEKKMKIFDLFLSFSIFFLSPFVSQAYNHDSNYTRPPPRADFIVSHGHPNFYPQQVHVSLAGKDHMRVTYITDDMNVASMVEYGKSPKKYDRKTIGESTSYRSFFYSSGKIHHVKIGPLQPSTTYYYRCGGHGKEFSFRTPPSTFPVEFAVAGDLGQTEWTVKTLDQITKRDFDVFLLPGDLSYADNHQPLWDSFGRLLENLASTRPWMVTEGNHEIESARIIEEHISFKAYNARWLMPHAESLSHSNLYYSFDAASVHIVMIGSYTQYDSHSEQYRWLREDLRKEIDRKRTPWLVAVMHTPWYSTNKAHEGEGEKMRKAMEGLLYRAQADVVFAGHIHTYERFRSVYNHKADPCGPMHITIGDGGNREGLAYTFHNPRSPLSAFRESSFGHGRLRVIDKKRAHWSWHRNNDNFSVIADEVWFESTSSSAHCILNRFRNEL >A04g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12037807:12038289:1 gene:A04g505760.1_BraROA transcript:A04g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFRVSSFLEKFHNTEIRVFAQFQIFPSCFDPVVLASYFPRKLRDEETSVFKNVELLKCHASKTVMLPKRPSDQSKTSYNYGRAAYSTHSSLAINSSTTSFFEFCRSIFTARGSTTKLMFYQSSLFFESCQ >A02p058010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34866534:34868915:-1 gene:A02p058010.1_BraROA transcript:A02p058010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPLEVESTDHQKPSSAVYDGSATAVDSVEEEVQETKLVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTAVFNMKSITAATIINAFSGTINFGTFVAAFLCDTYFGRYKTLTVAVIACFLGSLVILLTAAVPQLHPAPCGTALTCTGPSGGQIAFLLMGLAFLVVGAGGIRPCNLAFGADQFNPKSESGKRGIDSFFNWYFFTFTFAQILSLTLVVYIQSNVSWTIGLTIPVVLMFLACVIFFAGDKLYVKIKASGSPLASIAQVITVAIKKRGLKAVKQPWVNLYNYYPLNYANSKLKYTDQFRFLDKAAILAPEDKLEADGKPTDPWKLCTMQQVEEVKCIVRVLPIWFASSIYYLTITQQMTYPVFQALQSDRRLGSGGFVIPAATYVVFLMTGMTVFIIIYDRVLVPTLRRITGIDTGITLLQRIGTGIFFAFLSLVVSGFVEERRRTFALTKPTLGMAPRKGEISSMSAMWLIPQLTLAGVAEAFGAIGQMEFYYKQFPENMRSFAGSIFYVGGGVSSYLGSFLIATVHRTTQNSAGGNWLAEDLNKGRLDLFYFMIAGILAVNFAYFLVMSRWYRYKGSDDEVTSYETNGDVIKQQDKNKV >A08p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13203544:13208944:1 gene:A08p019310.1_BraROA transcript:A08p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH4 [Source:Projected from Arabidopsis thaliana (AT4G17380) UniProtKB/Swiss-Prot;Acc:F4JP48] MEDDGGERSSFVVGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTNTLLRFYDPCVIIVPPNKLAADGMVGVSELVDRCYSTVRKVVFARGCFDDTKGAVLIQNLAAEEPLALGLDTYYKQHYLSLAAAAATIKWIEAEKGVIVTNHSLTVTFNGSFSHMNIDATSVENLEIIDPFHNSLLGTSNKKRSLFQMFKTTKTVGGTRLLRANLLQPLKDIKTINTRLNCLDELMSNEELFYGLSQVLRKFPKETDRVLCHFCYKPKKVTEAVLGFDNTRRSQNMISSIILLKTALDALPLLAMAIKDAKCFLLANIYKTVCENDRYASIRKRVGEVIDDDVLHARVPFVARSQQCFALKAGIDGFLDMARRTFCDTSEAIHNLARKYREEFNLPNLKLPFNNRQGFFFRISQKEVQGKLPSKFTQVVKHGKNIHCSSLELASLNVRNKSAAGECFVRTEICLEALMDAIREDVSALTLLAEVLCLLDMIVNSFAHSISTKPGYRYSRPELTDSGPLAIDAGRHPILESIHNDFVPNSIFMSEASNMLVVMGPNMSGKSTYLQQVCLVVILAQIGCYVPARFATMRVVDRIFTRMGTMDNLESNSSTFMTEMRETAFIMQNVSNRSLIVMDELGRATSSSDGLAMAWSCCEHLLSLKAYTVFATHMDSLAELATIYPNVKVLHFYVDIRDNRLDFKFQLRDGTLHVPHYGLLLAEVAGLPNTVIETARTITSKITDKELKRIELNCEKHHEMHRIYRVAQKLICLKYSKQKEDSIRQALQNLKDSFTEGRL >A09g513460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40944080:40944946:-1 gene:A09g513460.1_BraROA transcript:A09g513460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPCRSCESLLSITAEFHNATGSQRNLDFSPVQQMCKSPCHRCYQEMTKLTKQEAAVLTLEEIPNGGYEELPSCLGELAGEEFFFKFVSHIFHRIFTKATNKLLPLS >A07g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11891100:11897119:-1 gene:A07g505380.1_BraROA transcript:A07g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLRKHEFEQIGDAQRPRQVAPARRSGLRERPRWVAARGRSESDFVFPRTKMASDFSLSLCDLMPSLREVAPGSARPKTTLITSFELQMHPNVSRNSMWSLRNHDFRQQNNAERLAGVAPGSRSRADFWCLRARGRKRLWCVAPTGRSGSGATLVGRSERSLQGHLCVSPDSLLEVAPGSARPKTTLITSFELQMHPNVSRNSMWYSNT >A03g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22563916:22566033:-1 gene:A03g506300.1_BraROA transcript:A03g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEMEEKFEDWRGKEAIPGKHGGIRAASIACVVEIMENMVFLACSTNFITYFTKSWHYSSAKASNMVTNFTGTSFLLTIIGGFVADSFLTRFTAFVIFCSIELLGLILLTIQAHSPKLQPHGDNTPSTLPSLVLFTGLYAVATGVGGVKASLPAHGGDQLDSRKQGLISGFFSWYFFSICFGGLLAVTIMVWTEENKGWSSSFDICTVILASALFIFTVGFPMYRFRRPTGSPLTRIVNVFVSAARNRNRFVTDAEITLNNSTDKSIHHNKFKFLNKAKLNNKISATEVEETRTFLALLPIFASTIVMNCCLAQLSTYSVQQGMLMNRKLSKSFELPPASLNTIALLFMLSSIAFYELFAKRIASSNNERSSSFNLKRIGTGLALTSIAMAIAAIVEAKRKHEAVHNNIKISVFWLEFQYVLLSFSDVLTLGGMLEFFYRESPASMKSISTALGWFSTALGFFLSTVVVDVTKSVTGWLDGEDVNGSRLELFYAVLCVLNTLNLFNYIFWAKRY >A05p018740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8732694:8733219:-1 gene:A05p018740.1_BraROA transcript:A05p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLKSGSDSGRLLGSFLESLLKYNAPEDFLKIFYYMVLIFHSFKAFERFWICRFFRSESNFGSLPERLP >A06p015340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6866103:6868898:-1 gene:A06p015340.1_BraROA transcript:A06p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGDSSETDKKKMKKRFHRHTPHQIHRLESSFNECQHPDEKQRLQLSKELGLSPRQIKFWFQNRRTQKKAQHERADNCALKEENDRIRCENIAIREAIKHAICLNCGDAPLHEDSYFDEQKLRIENAHLREELEKVSSIAAQFMGRPLSHLPPLLNPMHVSPLELFHSGPSLDFDLLPGSSSSSMAVPTLPSQPNLVLSDMDKSLMTNIAVTAMEELLRLTQTNEPLWIKANGCRDVLNLQSYENTFPRSSGRGGKNFNLRKEATRACGVVFTNAMTLVNMLMDSVKSSELFSSVVTSSKTLAVVSSGVRGSHGDALHLMLEELQVLSPLVQTREFSVLRYCQQIEHGTWAILNVSYELPQFIPHSRSYRFPSGCLIQDLSNGYSKVTWVEHVEVEEEEPIHEMFKDNIREGIAFGAERWIATLQRMSERFKALLEPATSSRDLKGVVPTPEGKQSIMRLSQRMVSNFCLSVGTSNSTRSTVVSGLDAFGIRVTSYKSQHEPNGMVLCAATSFWLPVSPQNVFNFLKDERTRPQWDVLLNGNSVQEVAHIANGSHPGNCISVLRGFDASSSQNNMLILQESCVDSSGSLVVYTPVDLSALNMAMTGQDTSYIPILPSGFAVLPEGGRNNQNAEIKAEGGGGGSLITVGFQIMVSSLQSGKLNMESMETVNNLISTTVHQIKTTLNSPSTA >A01p013920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6800950:6801294:1 gene:A01p013920.1_BraROA transcript:A01p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIPIGTRGTIGSLVRKEIDYFKNFNTCPQYDPRRGNSEETSNGFEQRDHSSRLSSWFSRTNWRKKKKKKKKKRQSCGGGGRFLPSMCSAVEVSGENQVPGFNYRILKNDEN >A03p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20867654:20870661:-1 gene:A03p048820.1_BraROA transcript:A03p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDKQSHEGAQEPIQRENAENAAESPPKNTGGWGWGFSGFSVLSDLQKAAQDISRNAAAVAEKAAKSIADMQEGDEESESESSAKEEEKAEEPEDSDDESHKLKKLALAKLEDAIESFASGAWLALGNALKGGTSLVQKLENSVQQGSSPREAGSDAPSLLETGKALTAKGMQVLEFVGKETMDLLITETGLGVDKNEEGFKDQTVEEVTFDRCFYIYGGPEQLEELEALSSHYTLLFNRRKGKLSQDEKSMYDGKLKQIQQLFSFAAEMNGIKAESDKGKQIDTKTEGSDDDMKNLHNSSVSKAADMAAGFTNALTGLSVNDIIQRTGGRLESLHSEGVHRLSEMCCFAVTHLLILGKSMISHANKVQDEDTEALKIEWPEDPTEKARLIRGKAESMAGFVEAVSNSFITGISDVSETYSAAIKGASTDDDSKDNLLKTSTMEEKANTFNDSLRSDQTTAITKIQEGLQYLSFVVISTSMPSA >A07p036930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19784392:19786404:1 gene:A07p036930.1_BraROA transcript:A07p036930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSATSSETSSTSQSPKSKLHEPDKIYQSQEETINHILHLLVPKSLFEKKQFMPYNEDVNVKRCECSDPSCNKWSDLYPHAQE >A08p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22484393:22485928:-1 gene:A08p038950.1_BraROA transcript:A08p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCKAELGLKKGAWTPEEDQKLVSYVNRHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTEDEERSIISLHALHGNKWAAIARGLPGRTDNEIKNHWNTHIKKRLIKKGVDPVTHKSLISDKSENLPEIPEKQNVIQTIITSDDDLDNEKVKNNNKKPGLTSATFLNRVANRFGKRINQSVLSEIIGSGGPLTTTTSHTATTASVTVNSESDKSTSSSFTPTSDLLCQMTVNGNATSSPSTFSEASVNDSLMYCDNEDNLGFSNFLNDDDFIMLEESSVDNTEFMKELSRFLEEDVNDDVEVMPVYEHQDNIEDIDNYFA >A02p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4486032:4487952:-1 gene:A02p010410.1_BraROA transcript:A02p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENNEMKEVKDEQELAPFDPTKKKKKKKVVIQDPIEEPTETQAETSDSLSANDGLDGPSFGTKKKKKKKPFESSLLNEESVDAPEDLDENANDEEEAEGIDLQQQQQRYPWEGSDRDYMYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTYGQTSIIFLFKLVRMHRQPDHVMNFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVTEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKT >A02p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11081551:11082526:1 gene:A02p023130.1_BraROA transcript:A02p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYIAIIFNYMPSYNVNTHLNQTQDKVRKGEDDDMGSCLSSPSSSSTLPRTRTVDYKVNYIARPTRTKQQVRAKPSTTLCLICMDEKSPSGIFRGTTSCTHSYCTECTVRYVTTKVEENAARIKCPDMNCTQLIEPYTCRDLIPKDLFVRWDKALCELLIMSSEKVYCPFEKCSAVMVVDDDDGGKVTQTECPSCHRLFCAQCEVPWHAGSGCKETKKKTRNSDKEDALLIDLAKKKKWKRCPKCKFYVEKASGCLHIRCRCGFQFCYRCGSPSINLHHSCGVGSTSSTPW >A03g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23819446:23820133:1 gene:A03g506620.1_BraROA transcript:A03g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCIDFVKALKIGKLKNKLVLEGRQITPENTKLARMDLLLVSIPKGLVLIELVIFLYIRHFCLLSHILPLKLRITFISCLTYPFITHSSFKGYFGLSYRFLVNCALIPIVRIVKSRVQLYLILLVRYCPLWALEAGQHGFIFGFLPKRPRTNRIGHLFIY >A05p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11730687:11731606:1 gene:A05p024230.1_BraROA transcript:A05p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNAWEHKMNKFFNSCGCPDFEKVYIAADQLIDQTQHLWSQSTAKKDNRRYSRESTWHEMKNLMRKQYIPCDQYQEIRRQFCTLCQENKTFMEYHKQFNHLRIRLNPGVSNENVMDQFVYGLRDEIRFHIQSIFSLLFKSTNIYNFFKVCLVIVWSDHFLWKTIRPKMLP >A09p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12449841:12453792:1 gene:A09p022620.1_BraROA transcript:A09p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSYFFPLQSSIFSFVNFKKNPFPLPPRLKKSKMATTTTSSSLLALPSFRSNCNQRPSFKVRAQISGDKATSVEPVNGSVSVSNLQNLKGSEVNGKVKFQREAEIELLWDDGYGSKSVKDYFAAAREILKKPDGGPPRWFSPVDCGQPIKDAPTLFFLPGMDGTGMGLVPHQIALGKAFHVWCLHIPVHDRTPFQGLLKIVEDVLRQEHATRPNKPIYLVGDSFGGCMALAVAARNPSLDLVLILVNPATSFERSPLQPLLPILEMVPEELHFTIPYALSFIMGDPIKMASIGIDNQLPAGVKLNKLREKLTNSMLPLLSELGGIIPRETLLWKLKLLRSGAAYTNSRIHAVEAEVLLLVSGKDRMLPSQEESKRLHGLLKNCTVRCFKENGHTLLLEDSLSLLTVIKGTCKYRRSRRYDFASDFLPPSKGELDFALQELLRFLRNAVSSVFLSTMEDGRIVKGLAGVPDEGPVLLVGYHMLMGLELGPMSEAFIKEKNILFRGMAHPILYAETDSTKEFGYMDWIKVFGAYPVTATNLFKLLSSKSHVLLYPGGAREALHNRGEQYKLIWPDQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPFLSNYITEATRDTKEFKLRDESSGEVANQQLYLPGVLPKIPGRFYYLFGKPIHTKGRPELVKDKEAAKLVYLEAKEEVEKCIAYLLKKREEDPYRSVVDRLSYSLTHLPENDVPSFEP >A03p056620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24517799:24519283:1 gene:A03p056620.1_BraROA transcript:A03p056620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWTPPCGSQCTHKYAALTQIPWRVFCKKGCDADSDSWEDCVSDCSEICYKDPVLKDRQWSAYIDRSPGAASYSEECFHACVAGCGYKFEVESEEVNKVKPKRPPPPPPKPQPPPRVKRPMQPPSDEDVPTSSA >A10p016760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2800008:2802849:-1 gene:A10p016760.1_BraROA transcript:A10p016760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2737 [Source:Projected from Arabidopsis thaliana (AT5G53860) UniProtKB/TrEMBL;Acc:F4JYQ8] MSRGPGRLIQNVTQFADAQFKQFSLRHGQKVIDILDFPIKLVLSPFTLAFDIAGSAPRGFGIPELISKISYLSVFAVATLGTYDIALDLGKKVICQRDCKTCNGWQALRCTMCKGTGNVHYQIKDYNLRSGEKPTADCVADAIVDNRAELVHLPSSLNLSAPLPTKDCPTCDGTGVMSCTECKNKLQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIANFWLITLPQIVGGFDYDEDVKKKIWLQYEESMRYDQLRDLVAKRNPGWEYLQDALFSIDPVRAQEDPVIVKNVPFYKAKKALEAEVTKLNPPPRPQNWGCHIEVTGKDVQELNLPLNTSSWSEEDLKNPAKLYEKTVLLNAQREIADKILDAQWEAKWRQEKVEEMLEEKVRPFIQDSNMAVLPQPILLKSQKTNQKGNRQKKWWFF >A02p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6099873:6101506:-1 gene:A02p013940.1_BraROA transcript:A02p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDVKLKDYIDKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDSIILNLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRQKQMNCQDSITHSNENNISNNNNKSPQNLSNSALERLQLHMQLQNLQSPFCSFYNNPILWPKLHPLLQTTPDQNSKLASQETLHPLGVNFVHQKSHINLAELSNGASPIYSDNVEQALNPANEFQPNFGFSQDLQLDNHNMDLMNRSCGSKEWFQVGNEFGPTNDSSWWSEEVELERKTMSSSSWGSASVLDQTTEGVGILQDYTQMSYHSV >A03p025750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10844796:10846594:-1 gene:A03p025750.1_BraROA transcript:A03p025750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASADSSSEMEDAHSPTIPQSSPSQPLLSTIPSANIAATTVENAASWIDDAMRQALVYQNTIVETLDSTIDASRTRLSQLRDTSKAHTSQTIDSLREIASEYSVYEHMVFTKIKEGVNVAASHPLISGSLAFGVGIFALKKTRRFVYYNTLRMFSSEEALLSRADLRVKELRQSMDRLTAESEKLERISTVAEDELIRGRMKLRQAGKQIRGVVQSAYKIENQAAGLKDVLKELPTREASRFRSQISNRASEIKQERKALTKEVNKISNYGISV >A10g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19966346:19967001:-1 gene:A10g506840.1_BraROA transcript:A10g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A03g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8420550:8421644:1 gene:A03g502690.1_BraROA transcript:A03g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYLPIFDVRNTVSNTCLPIHNFPTLSFTPNTCPSSSSIFFSPETHIHEKLLYLNSLGIDFLTLFNCHPPSTHPLFPPSNPSSTTSPLHLSTSPYKTYATSSPCSASTPSSTSVTPSSSSPRMQRQYTAKTCALVPPGNWYPRYKLGLSCRRATPMFTRSTQLFNYSVAENYEPKVSYLVGEIGRDMREILESTQYFSFSLENWIKPRHEACLAKEVRFPLAVMMKTKEDGFRDALEVCSDSSPPSKTSGLICVQKDSLFLEFWLGQ >A01p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1873423:1880171:-1 gene:A01p004380.1_BraROA transcript:A01p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XLG2 [Source:Projected from Arabidopsis thaliana (AT4G34390) UniProtKB/TrEMBL;Acc:A0A178V358] MAKILRKFSALPSPIPNEDDSDSFQIDYSFAEEYKGPLIANLPRANPVQVDQIPTALPVSFSSLSSGGVSYPVVQPLVTKKPPEKKKKNVLVDAAATPSLVSGSSASSSSKRLEVAVDSPSSSGEVIEESDGDDCSDEGQGNRVRFDVPSEGNGCDESFYNSDEESIAAAATPVAERKGKKGSCYRCLMGNRFTEKELCIVCCAKYCSNCVRRAMGAMPEGRKCQTCIGFGIAEDNRKSLGKCSRMLKRVLTDSELKQVMQAEISCKVNQLPSRLIAVNGKGLDEEELYMLQTCPNPPKKLKPGEYWYDKVAGYWGKVGEKPCQIISPHMNIGGNIKKEASNGDSGIFINNREITKSELTILKMVGVQCEGKPHFWVNSDGSYQEEGQNRIMGNIWSKKRARIACVVFSLPAPPTSSAVEPNDEPVYEHKMLNKLLLIGEEKCGATTIYKQARSLYKVPFPEDERERIKVIIQTNLYGYLAMVLEAHEEEMNNTGGDESSAKTVSTISPRLKHFSDWLLKEKEEGNLKIFPASSRENAQTVAELWRVPAIQATYKRLRDTLPRNAVYFLGRILEISRAEYNPSEMDILQAEGISSIEGLSCVEFSFPSTAQEDSLEMDYQHDPEMKYQLIRLKPRCLRENWKLLEMFEDADLVIFCVSLTDYGEYIEDADGVLVNKMIANKQLFESMVNHPILAGKRFLLVLTKFDLLEEKIEEVPLRTCEWFEDFNPLISQNQTSRHNPPMAQRAFHYIGYQFKRLYDSLVEPFSMRGGGRSFRPKLFVSQVSLESDTVDNALRYAREILKWHVEETSMFQEMSTTSGYSWRFLFVNTNVKGCSINIAQKQSIKTAQHHQQKPTPQPIQKPSRDRQTKELSETKQVQASCSKSMEKQMLQLHNRYA >A03p018100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7392206:7393512:-1 gene:A03p018100.1_BraROA transcript:A03p018100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTTDFRCLDEGFGGKTAKRKRESQEQAAADADEASMDIDSAHPPSAKRSAVASSEDPDKPVAVAAIGKPTYDGVIAGKVSGRNWKTPRTHRSSGRFVRNKGPDLEEMKRQREIKKAYRERKNELKEEIRSHKVEKRKKKEEREKRKAENVLRTGTKLQKITNPKTLKKIAKSKQRKHLKVIPDEVVNGNKKSINNYGCKCNSFEDASMLLEELRLFDNQVYGVVHGLLKGHGQNVWLQARSLFDKVNEMDGSAASSFYNALTNMLRCSGTLVRKYHTDIVKAKFWN >A04p037610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21460215:21462508:-1 gene:A04p037610.1_BraROA transcript:A04p037610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTGGTLIGNDKNKKGFKIANPFSIDYGRRHDGDKLVVLKEPTGRDIKLRYNLGRELGRGEFGVTYLCTDNETGESFACKSILKKKLRTAVDIDDVRREAEIMRVMPEHPNIVTLKETYEDDKAVHLVMELCGGGELFDRIVARGHYTERAAASVIKTIMEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSIFFKPGEKFNEIVGSPYYMAPEVLKQSYGPEIDIWSAGVILYILLCGVPPFWAETDHGVAKAILKSVIDFRRDPLPKVSSNAKDLIKRMLHPDPKRRLTAQQVLDHPWIQDGKNASNVSLGESVRARLKQFTVMNKLKKRALRVIAEHLSVEEASGIIERFQVMDTSNRGKITIQELRLGLRKLGIVVPQDDIQILMDAGDVDKDGYIDVNEFVAISVHIRKMGNDEHLKKAFKFFDLDKSGYIEIDELRDALADEFDTTSEEVVEAIIYDVDTNKDGRISYEEFATMMKTGTDWRKASRQYSRDRFKNLSRKLMQDGSLQSHGDIK >A09g511470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34383273:34383910:1 gene:A09g511470.1_BraROA transcript:A09g511470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVHPTVPIRLTEPSHVRLDWSFGWNHVQTTKSTEPQPVFPDQLNILRPTVEPELAWVMKKPKTNMHYYPADHPDSPASVLIFTPCIHLPTHSFISGWLALDHGYIKSHSASLDDPFNPSQFQKCHCLLGSYPTPS >A03g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19731590:19735102:1 gene:A03g505540.1_BraROA transcript:A03g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSVVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPATDNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVSGGYDPFAPIDKMKSKELTAWVQKDPCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLELYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATYD >A06p049280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26055489:26057959:1 gene:A06p049280.1_BraROA transcript:A06p049280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP1 [Source:Projected from Arabidopsis thaliana (AT3G27925) UniProtKB/TrEMBL;Acc:A0A178V823] MATTTSSLLLSTLFLHSPPSSSRFSNGSFFNPSPRSLSLYPVRSKRCLRILSKLPLDDTDNDDSPLLPRLSAAAFKPFLLLCTSVALSFSLFAASPAVESAAAFVVTTPRKLQTDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKQGHIVTNYHVIRGASDLRVTLADQSTYDAKVVGFDQDKDVAVLRIDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGTLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPPTGPAGKAGLQSTKRDGYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGDEVTVEVLRGDHKEKISVTLEPKPDET >A04p035950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20700746:20701859:1 gene:A04p035950.1_BraROA transcript:A04p035950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSCMGFQRYPHEDQPQGIKDTRLRLFSSDGRSHQIDIITWHIFYTSTLRQSNAYQSYHQQASNSRKKSMLCVRGTLVLCSQLCRHLVAPLVATRRD >A10p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19351390:19352928:-1 gene:A10p032970.1_BraROA transcript:A10p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYGDELARSVHPSNTHIHSLASIGTCGFLSLPHAPPAETEDERIVREFAHLLDASEAYNIASGLKAKGSENEISTLSERFMGIKAALVTDNSSLTSFGKLIGLDVLQLNEIHQKSDDSFPSDATATELLRLLGFEGGKCLDVSLYDSVFVHIGGDNVGTINSLIGSIMRMSQPGSEIAPRLHLSLVLSYGSVTDKDVSVFPVKNTPQEGINPAFQGLVPRQSYTMRVYRFVGDIQGLLPMLVAQWQDAVTRKDLVDTLSFEALKKLSGSLVIPADRFIHEVAFKLWKAPKYGA >A02p000080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:34496:34954:-1 gene:A02p000080.1_BraROA transcript:A02p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL74 [Source:Projected from Arabidopsis thaliana (AT5G01880) UniProtKB/Swiss-Prot;Acc:Q9LZV8] MHRLLLESHVGGGGGDGYLRDMNFDANMVIILSALLCALILALGLNSILRCAMRCGFGLSSAASTVAADRPGLKKRELKRFPVAAYGSSGVEIATTECAICLGEFADGEKVRVLPPCNHSFHMSCIDTWLVSHSSCPNCRHSLIELHVAGST >A09p079530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58514229:58516806:-1 gene:A09p079530.1_BraROA transcript:A09p079530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G09350) UniProtKB/TrEMBL;Acc:W8PVD7] MAPVINTKVSNGGKKRAYVTFLAGNGDYVKGVVGLAKGLRKAKSKYPLVVAVLPDVPVDHRRQLLEQGCLVNEIQPVCPPDNQTQFAMAYYVLNYSKLRIWKFVEYSKMIYLDGDIQVFENIDHLFDLPDGNFYAVKDCFCEKTWSHTPQYKIGYCQQCPDKVTWPESELGPKPPLYFNAGMFVYEPSLPTYYNLLETLKVVSPTPFAEQDFLNIYFKDIYKPIPPVYNLVLAMLWRHPENIELDKAKVIHYCAAGSKPWRFTGQEENMERKDIKMLVEKWWDIYNDESLDYKNINVRSKQEEEDVHRKQQTTMPQFFTDSSEAESLLDDNTQLAVNRICKQTTDTKFCSEVFANKLISSSPSKKDLMNVTVTEAERFSANTYFFISTLLRNAEDERPNLQMCAEAYAIVNTMFRNATNFIAPGYETNPMIEKKRETMVLVAMQKIVVHM >A07p041400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22368606:22369702:-1 gene:A07p041400.1_BraROA transcript:A07p041400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQWAGQRRLTAHFPLTQKQDQPARRRPRPRPLETSGATILATSRVVYTRTKTSKNPASFLVQKLFQLVLFFASMTTPFHRHLLAILSVADDHILALQETVETYFPSSTFAFIKISDFLIASESLPEKLDAVLERLPCLMSRAAWLDWILIHAIYCLDSLVNVLGRWRDKNKGANEKEITVDRSFSRTGSNYEEEQEEEKMKLGDDEGKKVTYKEALQRGSSGEDGGGSTGRSSSSGGGDPIMEMFENGWITKPIKRSSRSADSLTCSRSDSYETTT >A04p010150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7319740:7323776:-1 gene:A04p010150.1_BraROA transcript:A04p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRLVDSSDSPEEQPIRPIPEMMFAEGEEPVGVRVLTYLSSGAINRIFNALEEEEMLRKRTVEDRLVRIKYACLAILASVLLPTNLKMKICKEHAEAIADLEEFFAYPWGRLAFDMLMTSIKERDEIALSQNTIAVKGFSLALQLLVVEAVPSLTEVVQEMCSSSEGDSDEEADDMSVKPKRKTLSPAHARNVDKQSDVLVRSIIDSAGPEFYDEKVDNMVSLINTKFKFTKSMFVGGVTKLDVVRMSQSGNFTTKAKISKKQLARTPSNDPGYIASLVIEKIKPEFQTMDGNILEACKRVDSIEGSLVGLVHSVLEKFRDEMLESVRFLVSELTKGDGAAPPTLAQQGTKTPATENGKEPDSIATPLRDANDVTIRNILGNISAYSTPPDSPRLSQAENLSPTYNKEGLFGEAAGDNVNDSFALSAHSHNHRSCGQGTWSHGPFVDMPSFSLGLTQEGLLHCNHAITMPEIVTAVPEPIVVDVLMQLVQFNIHQHYPAKSHQRTVFLDSKYVSGISRTYPKFCKSRKKQSFIFPKGVVQAFLDRDEANFQATRYYFPLNVGKKHWVGICVDRNCGKITVLDCNTCLFTDEKIEKHLYPHLHMLPYIVRLSTRAVGSAEPKRFSVERPKNLSQIQNPCDDGLMSVLLMCSHAVYGIEACKNISTDSLVEEGKSAVVLAFEYKETM >A07g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6300832:6301730:1 gene:A07g503160.1_BraROA transcript:A07g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDFGTWLTISLYLGECYKFKDQGFWASKSPRESISDAQIILYLLDIYRKWLELLLLKSRSKQTMDWFPPLHFDIIPIKKSKPTYLDTFFFLVS >A01p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3061511:3068690:-1 gene:A01p005930.1_BraROA transcript:A01p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGDIRPNNQRNSGPPNYFPGSQGNANALADNMQNLSMNRPPGSGPRPPPPFGQSPQPFPQGAPSYGAPQRGPSPMAARPGPPHAGMGRPSGPPPGAQPSGFQSNVPLGRPTGPPSSQPPFGSRPSMPGGPVAQPGVSASGFPAFGPSGPPPGARPMGFGSPPPPVGSSAMSMPPSGMLGGPVSNGPPQAAGSGGFQRGPQFPGAAVSTPQASYAQPPASPFTRPPPQPLGAHPLSGNSPLSASVAPSMPPPPATFPGAPYGRPAVSGSPYGPPSGQVAPPPLGFPGQMQPPRYGGMGPLPNQSMTTIPSAMGQPGAPVPGPSRIDPNQIPRPGSSSSPVVFETRHSNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALMVQPLALPHPSEEPIQVVDFGEGGPVRCSRCKGYINPFMRFVDQGRKFTCNFCGYTDETPRDYHCNLGPDGRRRDADDRPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAIQQVLSDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLETDIIVQLSECREHLELLLDSIPTMFQESKTPESAFAAAVKAAFLAMKSKGGKLMVFQSVLCSVGVGALSSREAEGRANMSANEKEAHKLLQPADKTLKTMAIEFAEYQVCVDLFITSQAYVDMASISVIPTTTGGQVYCYYPFSALSDPPKLYNDLKWNITRPQGFEAVMRVRCSQGIQVHEYSGNFCKRIPTDIDLPACALLYTTIYGERRIRVTTLSLPCTNLLSNLFRAADLDSQFACMLKQAANEIPTKALPLVKEQAINSCTNALYAYRKFCATVTSSGQLILPEALKLLPLYTLALTKSVGLRTDGRIDDRSFWINYVSSISTPLAVPLVYPRMISVHDLDAKDDEGSVLPPSIPLSSEHISNEGVYFLENGEDGLLYVAESVDSGILQKLFGVPSAAEIPSQYVLQQYDNQLSKKFNDVVNEIRRQRCSYLSIKLCKKGDPSGMLFLSHMVEDRTASGPSYVEFLVQVHRQIQLKMN >A09p070390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54575493:54577458:1 gene:A09p070390.1_BraROA transcript:A09p070390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGAVANGRNRRLILRVGRSFTARSFSSSSSSSPLSGGDSDYSFFAEHECFIKEVAKAQPPQHLTQLLSIFTARGKSIVSPGAKQGLLPLTIPLVRMSPGSSIALLRWPTARPSMEMPVVEVQKHGVWFLANNVDQFIHRILVEEDISKPEESSQVIFDAAGEAGKKLYSKGDFARSELMDLDLYLLRKVGLFPDSLERKVIRHIENGDHVSALVAAEFYTKRGNFPGFARPFAFNAKVLLKLGRSLEAKDAARGALKSSWWTLGCRYEEIARIAEWGEEQIVQYKEKVTGEGRQRDISRGKPRAQASYDEAGLLLDLASLEGTWDESRERVAQCYKDAGLNDMANFVLYRD >A08p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16443375:16444662:1 gene:A08p025540.1_BraROA transcript:A08p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQCNNFIRYTFQELSNRFKINNYTSKKRTILSRDFSSKCILIRERYRSFQPLIIDEMMQGQRGWRELAFLGDKVSCYKPDAWAFEEGTPHSDFPGPNSGITTCVAVIRHTASYNLCRDHKPDLEAEKERILKSEKFICDSDTEGMIDLLCCWP >A09p064940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52143840:52145320:-1 gene:A09p064940.1_BraROA transcript:A09p064940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPSSEEILECMSSCLSQIKWRLKPNSKRRLEIDVLALCTGMRPVVMIDYGGKLPELQDRLLSLLELIQEVLPVFKDLRVMVIEDMIYLINVRRLPKWLSSEPELFFVDLEQDPPKMVEQSKESNLGMQLRSIQKLFSSTFPLDGSDNDAKSSLFIDLSCCLQDTKVTIPTLNGWLLDYPVVYLFGTDHIEEAIYNLSTKSLRIFKVLVQRNGTTEKDSLLEELTSFSVPYDLSMGGSKEVWAEAFMERMSSRWEECKHIWRSLDLQVSECYPQAIVL >A01p059220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33918555:33920600:1 gene:A01p059220.1_BraROA transcript:A01p059220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEAEKFIYNKKKLLLEMKVKFLGDSKLKNSLVSKSRMSLWMIRAITILLLWSCCVHLVAVGGMWRPRLLKGWPSCFNSHHDLPMASQEMTSLPMKIALPPKRLYQNNGYLMVSCNGGLNQMRAAICDMVTIARYMNVTLIVPELDKTSFWNDPSEFKDIFDVDHFITSLRDEVRILKEVPPRLKRRVELGVYHTMPPISWSNMSYYQDQILPLVKKHKVLHLNKTDTRLANNELPVEVQKLRCRVNFNGLRFTPKIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCSHGCNRYEEEELTRMRYAYPWWKEKVINSELKRKEGLCPLTPEETALTLSALGIDRNVQIYIAAGEIYGGKRRLKALTDVFPNVVRKETLLDSSDLSFCKNHSSQMAALDYLISLESDIFVPTYYGNMAKVVEGHRRFLGFKKTIELNRKFLVNLIDEYYQGLVSWEVFSTRVKAFHATRMGGPKKRLVIPNKPKEEDYFYANPYECLQLLHENDSGNSLDETI >A09g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16552574:16553617:1 gene:A09g505310.1_BraROA transcript:A09g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDGLIWAELPHNNCRNSSIRQNFASWRHQPISFRLVAARVSLRMAPDACTATPRAPHGWLHVQDTCRTPPLLPDVRLHYWSSCKAPHILTHVDQNASVACVATSSAWPIHLVLLHVKLHVQLPCTATPRASLDTQLVRQLPPRSYPLDQATSSFSVPLRDLDPSCEFLTRDQSRIFFRSHSDSLNIFNKPPASSKIFVIPKLGFLPNFGFSRRASIPSCLLPVLLNSRPASDL >A01g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15100575:15100951:1 gene:A01g505110.1_BraROA transcript:A01g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDDVILEKNHARLEKDHARLEKDHARLDKDHARLDLGRRARLSLGREETEDGHAFSSGGPSGQSRKRPYLYPMHPCGSDEPGHYLKRHL >A04g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8031684:8032265:-1 gene:A04g503730.1_BraROA transcript:A04g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMDSYLLYTVLLLLLGSVSLSNARPQANRYVNSFDSPAQSFVSEEGVPNARGRVLGGSSAINAGFYSRADRQFFENSGLNWDLTSVNPI >A09p058390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49211431:49214570:-1 gene:A09p058390.1_BraROA transcript:A09p058390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 22 [Source:Projected from Arabidopsis thaliana (AT3G52820) UniProtKB/Swiss-Prot;Acc:Q8S340] MSSSPNFNSLDNKMKNFSLFLSFTFLFLCPFLSQADVPELSREPPRPIVYVPHDRSKSDPQQVHVSLAGKDHMRVTYITEDKKVESVVEYGKQPGKYDRKNTGESTSYKYFFYRSGKIHHVKIGPLEPNTTYYYMCGCNGPEFSFKTPPSSFPVEFAIVGDLGQTEWTAATLAQIKSQDYDVFLLPGDLSYADTNQPLWDSFGRLVEPLASKRPWMVTQGNHEIEFFPIIEHTTFKSYNSRWLMPYTESLSESNLYYSFDVAGVHTVMLGSYTDFDCDSDQYQWLQADLAKVDRKTTPWVIVLLHAPWYNTNEAHDGEGESMREAMESLLFNARVDVVFAGHVHAYERFKRVYNNKADQCGPIHITIGDGGNREGLATSFKKPPSPLSVFRESSFGHGRLKVIDGKRAHWSWHRNNDSNTLLADEVWLESLSSSSSCWSSSQSHDEL >A04p022260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13422388:13425249:1 gene:A04p022260.1_BraROA transcript:A04p022260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 79, subfamily B, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT2G22330) TAIR;Acc:AT2G22330] MDTIASNSSDLTTKPSPQTSPFSNMYFLTTLQALVVISLLMIIKKIKSSSHNKKLHPLPPGPSGFPIVGMLPAMLKNRPVFRWLHSLMKELNTEIACVRLGNTHVIPVTCPKIAREIFKQQDALFASRPLTYAQKILSNGYKTCVITPFGEQFKKMRKVIMTEIVCPARHRWLHDNRAEETDHLTAWLYNMVKNSEPVDLRFVTRHYCGNAIKRLMFGTRTFSEKTKTDGGPTMEDIEHMEAMFEGLGFTFAFCVSDYLPMLTGLDLNGHEKIMREASAIMDKYHDPIIDERIKMWREGKRTQIEDFLDIFISIKDADGHPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMINKPEILQKAIEEIERVVGKERLVQESDIPKLNYLKAIIREAFRLHPVAAFNLPHVALSDTTVAGYHIPKGSQVLLSRYGLGRNPKVWSDPLSFKPERHLNECLEVTLTENDLRFISFSTGKRGCAAPALGTAITVMMLARLLQGFKWKLAGGETRVELMESSHDMFLAKPLVMVGELRLSEELYPMVN >A07g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18175509:18177986:1 gene:A07g507020.1_BraROA transcript:A07g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRIGGEIFCINRHRISHLRFTKSFFFAYYSYRFRFRFVMSTPRVFFSDLKSGKCSSAVEARLLRFWEAKNVKRGGELMWVDMLLIDVNATMMQATIYANRLSRFRSKLAAGTMYTVSGFDVARCAQNFRLTDSPLLIRFNDSTAFDELIEPVSPLPEEGFRFRNQSELIGLANTSTQLPGEITAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSFFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKSGEDYFYRLVARDNGVPSAAPLLKGYAKVETLTISELISFVATAQSQDIDFVCTGRVVRLDVDKGWCYVACARCSKKLQRTVSALECVRCNNPNAVGVLRYRLELAIADNTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDMMVPPFISDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDDNNLGDGGHVPVRGDSVEGSSGPDKKADGTPADAAVEKRSRPSTSAAKKARVV >A08p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14145577:14146984:-1 gene:A08p020990.1_BraROA transcript:A08p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVKNVKPSSKDTLASSRPMARNLKGVGDLAEDLSRINDAEIAGYLNTTKESLLMKIAWELMNPEYKNGTQRKPTTTVKKKDPISKTTAAPSKKTSAITTLSNVESEKKKRLSAYINLDVLDKLFEDDENSSKRTKLEEPVVGDKMENFQESSEDEPVFNEDYSNEDANGGYGDADLEYEDQDEGEEDNGDIIW >A01p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27009902:27010334:-1 gene:A01p047910.1_BraROA transcript:A01p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFDPETRMLYHGVKKIIKEGYKSFPSGHTSWSFAGLTFLACYLSGKIKVFDRRGHVAKLCLVFLPILVAVLIGISRVDDYWHHWTDVFAGTIIGTFVASFSYLHFFSLPIRRKW >A04g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8831987:8832808:-1 gene:A04g504250.1_BraROA transcript:A04g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVLGLGRHRGSDLSVLVFGSTARLRKLGARGHRLRSKVICFGFSVVVARGVRICGFVNCGLVLCGGACGPIQSRRLDLGRVSDLSLREGAGLQSPGETVNVRIGSFVLRSSFRTGLWASRILPGPVSPCDMSTTYCELLWLRDSFALAR >A09p004530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2570745:2571713:-1 gene:A09p004530.1_BraROA transcript:A09p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFRIPSLGSNPTAFAAKITDTTKTVAQLKSAATPTPHSTVTCGYQAHVAGFFRNVTVLWSKNLMNHSLTVMISSLDKDMNYCCKIDLVKPWQFWSKRGSKSFDVEGTFVEVFWDLRAAKLSGNGSPEPVSEYYVAVVSDEEIVLLLGDLKHKAYKRTKSRPALVEGFIYFKKESVFGKKTFSTRARFDEQRKEHEVVVVMDEKDPEMRISVDGVVVVHVKNLQWKFRGNQMVLVDKTPVMVYYDVHDWLFGSSESTTTRSGLFLFKPVVVGAMVDEFFSDAEEGGDSGGGSSPLSRYNSASSGYGTLHEFCLVLYAWKLE >A09g516020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47449407:47449847:1 gene:A09g516020.1_BraROA transcript:A09g516020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVSIPKGFVLLELDISLYIRYSLSNSSMWDLDTHSSLIHHSKGISVFLADFSSTGSDTNCWDCEIPCPTLYYPISTILFTLSLIGKPAWIYFWFTSQKASYY >A05p032950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17671873:17673692:-1 gene:A05p032950.1_BraROA transcript:A05p032950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNVNLSINGQSKVPPGFRFHPTEEELLHYYLRKKVHSQKIDLDVIREVDLNKLEPWDIQEECRIGLTPQNDWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKIIYSCVRRIGLRKTLVFYKGRTPHGEKSDWIMHEYRLDDTPTSNGCSDVVTEDPMSYNEDGWVVCRVFRKKNYQKIDDSPKITLFSSPDDTDENRRPTTFHNTLNATVLDHVLLCMDRSGPNIFMPEIQTKNQHQDDLLFMQLPNLETPKSENLVGQCLTTTNQLDSSLVEEKITGRPGCSNWARLDRLVAWQLNNGHHTCDRTSFDEEEDGDAMMQRWDLHWNNDDNVDIWSSFAESSSSPSSLDPLLHLSV >A02p057530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34579299:34580642:1 gene:A02p057530.1_BraROA transcript:A02p057530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 2 [Source:Projected from Arabidopsis thaliana (AT5G62100) TAIR;Acc:AT5G62100] MKKSLDFGISDQKHTKMMKMTIGTTAAGDGEWELRPGGMVVQRRTDYSSNVPHVIRVRVKYGSVHHEISINSQSTFGELKKRLSFKTGVHHEDMKILYKDKERDSKIFLDLCGVKDGSRLVLKEDPISQEKRFLEMSKLVAKEKANKSISDISFQADRLAGKLSAFDAVIGKGVKVEEKNLENLLEMLMNQLVKLDAILVDGDIKLKKKMQEERLQKYVEALDALKIKNSMQPQTQLKPQTQPQLKPHIQPQHKERDVVTVEEETSRKCTALSPGPPVIITTRWETFDSNATYAAEAKTVKPLHPKFKWVLFD >A03p014830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5879735:5881815:1 gene:A03p014830.1_BraROA transcript:A03p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYGVGTGNDGGSTNHISDGGGRVALKKGPWTAAEDEILAAYVRENGEGNWNALQKHTCLARCGKSCRLRWANHLRPNLKKGSFTGDEERLIIQLHAQLGNKWARMAAQLPGRTDNEIKNYWNTRLKRLQRQGLPLYPPDVIPNHQLHPHPQHQHQQQNQHQQMYFHPHSSQPNTPSSSPLPSPTQLNANPSSSFTFHTTNASTANLLHPLSPHTPTTPQTPSQLSSRPPPQPLSSPLSSPLNNQYPTLPLFNNNNNNTNFTFPRPPPLLQPPSSLLARRYNNSNTPLNYTNRVSTAPFSPVSRDSYTSFLTLPYSSSAAPNTQPITYHTNNNYSSFSLNPSSSSYPTTTSSPSFLHSHYTPNSSSTSFHTNPGYSMKQDLPSNQIPQICAFNNVNNFIDNEKGLHRRSISCSLLEDVMEEAEALDGGGGGRPPKRRQLTYSPLNNHHNNNDNDFFSVTFGQYDSSENICSLQDLKPKEGETLEMNTMQEDIAKLLDWGSDSGEISNGQSSAVTDENLVLDVHQFASLFPADSTPAANDNNDNNNFSWDDLD >A05p046640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27678804:27681124:1 gene:A05p046640.1_BraROA transcript:A05p046640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVASLPGVARIQVGEEVTLASFTFSFFLHFSISSSLLAATKGEIRCDRWVCSTPRLRLSGWLSSTMANDGLSICCSPPPRKTVTSLSVSFLSSAKGEISPDRWFCWMIRRRLRRWLSSRKGHGDLPICLFPLLGGIVTSSISGKSKFENFNMRNMINLELIFLSSEDQGLHVKGKTFCIWSGKLDEALCNGKVRETKVLCLLIESSITGVATWTRTGESGKADKTTRVLYHGTCTLALFWSRELYSCSVLVTSLCNDLSRECMYFFTGLCKTYKM >A02g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23947553:23948186:-1 gene:A02g508900.1_BraROA transcript:A02g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFYETALRKKESRHPTKARNRSLHNDRTSVPLGRYVATELSQAWSLRSDRALVSLGRNVATELEPKLGRYVATERSSRSRPSSAKLGRYVATELEPKFGRCVAIKLFRTSIRHQPMHSRRNFECYLLKTIASSVNVFRHSNSSIKLRGFETAERSFFIKRNRSKRVESEDGPKGPKTRLEAHPTIS >A03p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18415481:18418017:1 gene:A03p044040.1_BraROA transcript:A03p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPSRGGGRRGGGPMDDDKLVFETTEGIEPITNFNDMGIKEDVLRGVYEYGFEKPSAIQQRAVMPILQGRDVIAQAQSGTGKTSMIALSVCQIVDTSSREVQALILSPTRELASQTEKTIQAIGLHANIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRKSLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPDLQVDWLSEKMRTNNFTVSSMHGDMPQKERDEIMNQFRSGDSRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >A02p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2798413:2799037:-1 gene:A02p006620.1_BraROA transcript:A02p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNSAASICRRVSLRELITEVPAYNGSGISDGSSSGLSLVFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGENVIPGNIIIRQRGTRFHPGDYVGIGKDHTLFALKEGRVRFEKNKITGRKWIHVDPKGGHVLHPIYTKAASTKMELETASSSS >A01g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20317384:20322612:-1 gene:A01g506930.1_BraROA transcript:A01g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSASDREAIPKKIPQEGKSRTRYEVMTTESEVVEPASTDQEEAASTEQDEAASTEPEFIVTRPTFPERLFARNCYPAKPRLNIYSNASIIGSLVKLLRGSPEMNCLLGNEDVTVPDVLRMLEQPSLPEWKRLPLALITLVDGLLVCGHKLLRVTPAYVEMLEDTGSFLQYPWGREAFVSTLSRLTPPQPSDPFKMDKSVSVMRLQPEGCDSTNALLNFEDILQVETQREVIVTYSIPDEGGDPKWKKEIIDPQIDNLVRRMREGHEFKATDFRGGDSSLPPLKAAEKAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAGKTNRDNGHADPTGMEVPKKRHPFSGDGNDEAKIFGSDSKKHKKNNSDGFSDEETMRMHDNHCDGRTPNARFWEKVDSMADEGPSFSKSAKIPEADVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFVLACEVYRNTDLFGQGEGGDGSPISGLNLLAEEVEKGTQSDNAYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSENYKTPPEDDPMTESRTPDVGNSELSRYLTSSTKKYDIPTKRIPRRSTKIGGVYTPDRRLKKLFQSCRKPKYTSLVDLEIAQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPTNWVSTEHISVLIGMLVRRHGRNYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYKLNSMASLIIPNLPEEILYKIIEMVGADSFYYLGGILRAGKRGYALVHEPSVLRKSVGIFNVCIGNDKEASKLFQQFAANHYDLRSDAIVGLGADLEWGLISFGAPYMNRYGASFKFPDDEVVKSPSCLYGHDYPLMELVVDLKYS >A07p034980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18960765:18962907:-1 gene:A07p034980.1_BraROA transcript:A07p034980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLHLFITSSKPVVEILLITSVGLYMALDGVNLLGPDARKYLNDIVFYVFNPSLVGSRLADSVTYDSLVKMWFMPINLLLTFIIGSLLGWIVILITKPPSHLRGLIVGCCAAGNLGNMPLIIIPAVCKDKGGPFGDPENCNKYGMGYVALSMAMGSVYIWTYVYNLMRVLSNSPIETQPSIESNCKVPLISSREEEEDNHKVGRWDRFKRRMVSLSEKVNLSTIFAPTTIAAIIALVIGLINPVRELIIGNVAPLGVLQDSVTLVGDGAIPATTLIIGGNLLKGMRSSGMKRSSIVGVLVARYILLPISGVLIVRGAYKLDLITSEPLYQFVLLIQYAVPPAMSLGTITQLFGAGESECSVIMLWAYGLASVSLTAWPTFFMWLVA >A01p016550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8196153:8196737:-1 gene:A01p016550.1_BraROA transcript:A01p016550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTTISRYMFSGVLQDEFSGWYNELSITARAVVYITFLGTIMFLIFLILKFLSDCDMEDDTERLPVVAGEEVTGWTPLVNETSEVTGKGDLETASFSSAEDVDYSTYCVICFEERRNCFFVPCGHSATCRGCAEKIMSEENKVCPICRRVIRKSKRLVLKV >A08g500060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:133365:133661:1 gene:A08g500060.1_BraROA transcript:A08g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKRQKHPSSPGGASSARNSSGSSSPPSSTSTKSEMKKQKSTGSSANSAQQINDLVAPGMESSVLEKTVALDSEIQTTEKVYHDKINDLTAPVMES >A08g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16445864:16447594:1 gene:A08g508780.1_BraROA transcript:A08g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIYFSLSSKSVTPKAKLRSLSFALHRRRSRRRSPPPAMTKKKKLKPAGGSSPDSSSSSVLSSTKSAPATFAADAPLVIHSSQMNDLQLLGEINSPTSLTFLELQGCAARAVNNSRNPNPQISATNEIVPEEIESEATSAQTKSVVPPIVAAQQNIEQPNAQPPRLPTVPEEACPRSTVPQESNVPNRNGKAPIASQFPIVGTGNDKGPGIASATPKALPKEKPKASAPPPASNKKGKRQNNKQWAPTEGNKRTDADSRAQMYQAGPSTDPKTITLDLEEGEICVDLRPINLDPGSHESVSPSEEDDPGSDGPSTEEENSMI >A10g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2222893:2223789:-1 gene:A10g500710.1_BraROA transcript:A10g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQNAAGAGQQIPSDQQAYHQQQLSWMMQQQQGQQWNQQSAPSQGQQPYGSQNPGSDNEIRSLWIGALQPWMDESYIMTVFAQAGEVQSAKVIRNKLTGMCEGYGFVEFANHAAAERVLQTYNGTQMPNSDQTFRLNWAQAGAGERRQAEGPEYTIFVGDLAPERGIEEH >A06p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4580040:4580700:1 gene:A06p003630.1_BraROA transcript:A06p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLIVGIHQHHHVKETTPTGSVFFVACNYVWGLQLEGMSLTRMLNLDQLVPTKNLPTMILMNNDFNGLMPQVKRLTSLRSLYLSNSRYALVEEECVGEYRLQGNNSFCFANANRSSAEGAAAVSQTEDAADTSQTVEAEDAATDAECDDPSEPVSHSETRNRPEVENKEDPSKDVVDGS >A01p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3717316:3719085:1 gene:A01p007550.1_BraROA transcript:A01p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRPVRESSKTTPERNGKALDSGEKKKRSERRLMKFEELPRYLKDNEYIHNHYRCEWSLTETFLSAFSWHNETLNIWTHLGGFLIFGWMMVVSCMETTEVGLAGFVNVFSSKKFNFLGGSSNDRVTIRWPWPSMAKAVYFSSDHHDSNVTHTSSFLNPQGDVEYETIPKWPWLVFLTGAMTCLICSSMSHLFACHSRRFNLFFWRLDYAGISLMIVCSFFAPIYYAFSCHTNWRLFYLSSISILGLLAIFALLSPALSAPRFRSFRAALFLTMGFSGVIPASHVLYLHKDHPNVVIALVYELAMAVLYATGAGFYVSRIPERWKPGAFDIAGHSHQIFHVFVVLGALAHSVASLLIMDFRRASPSCAF >A02p026940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13102597:13103865:1 gene:A02p026940.1_BraROA transcript:A02p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTELPMDLITEEILTRLPVKSLMRFKCVSKLWLRLISSRYFTHRFLTVHSPRLYMCLWDANDYLNCEILSSAPLDATTTTPSPFLVDHYLTTPRMGRPILQNLGGFMCYIYWNKPRMYNPATRQLVTLPFKKSDHMIVPPGGKKIVRYYFGDEALNHKYKVVSSISVHLKQNMEVISSENWVFVLEGGVCSWKMAALTSPDFCPHVPCKMEGLCMDGVIYYMALLGPFEYVFVSFDVKSEEFNMIQVPRRNGDELLERFQNVGLLEYGGKPTLFDQTNLKDKGVVALWSVEDAGSKKWSCKSLVVQPSQLHLVNTITFNVKGTTQNGKVLLIPKDFLFPFQILSYDIQNNDMRKIEIRGIPDRWFNMDEEAEVCVDVMFMDQSESVISSDFVSSLDWTERDNHDTSIHRSLPLSVSLPIL >A07g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6149072:6151763:1 gene:A07g503020.1_BraROA transcript:A07g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLSKQIIALGRFCPTEGQSSEDQIRWESSQRGYRERERKEVIDSRVWSILKTDTPPRRPVPSNRRFSTIVTRKLCPIQFVQAMEVLPRVSSEPWVQISRSSARYSAGSPKNCPEARGGSVRVQISLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVLFEFHLEFRVPVIFKDSFSAGGWTIWVTVLTLSPKSGLGWWILKLCGIIGWPIMFLFDCWSIGQWFPLLEARSWQEAKSNLVTVALGKDDRIASCWTLGPPVRVAKPYDLTQRDELVDELV >A02p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4156499:4157936:1 gene:A02p009870.1_BraROA transcript:A02p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIRQLLINPPSSSSLLLLRCRTTLDFNYVFSLRTSVTTSVTKSKGRFSCLFSGGNQREEQARKALESALGGKKNEFDKWDKEIKKREESGDDGNGGKGGGGGWFGGGGGGWFSGDHFWNEAQQITITLLAILFVYMIVAKGEVMAAFVLNPLLYALRGTREGLTSLSSKLMGRQASKVNGGNSEEMWKNSQVSAKESVVRKWGSD >A01p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18292637:18295382:1 gene:A01p028450.1_BraROA transcript:A01p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGCFKTCSISFMTNHFLNPKLNKTKHQIKDANPKVNKKKIPNHTSLFVLVPKYFCLLSVFCLFWFLNIFVSTTLCLYLCTECKKLDRLLVNSQFISAFPNSTAHFLPPLTSDHSPCLIDLSCPLPVAGTKHFRFFNYLTKHPLFTQLVTETWNHARSMAINLANLCWKLKSVQALDNPYETNLQQERDLLQRWMFLQQIEESYFRKKLRVNWIKEGDLNTAYIFRVFQTRLSYNSIRSFQLLSGVFIDDPLVMSLHAVQHFQSILGPLNLDPPPIISTSEWFSSRTVDQNERRNLYLDKDACGKWAYMSVLDGQLVTLWIDGDLFT >A01p006200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3181268:3181534:-1 gene:A01p006200.1_BraROA transcript:A01p006200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAGVVKDWLLIAFSWSVIKDTVTPLNLFGYGLAFLGVAYYNHCKLQALKAKEAQKKVQQGGDEEAAGKLLEVRESEGGGKRDDAED >A07p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14750669:14752894:1 gene:A07p025700.1_BraROA transcript:A07p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLLLPPNPFTRPVSAKVFLTGDDLTLKRKSNQATRVSNGFSLRAKAALRSNHSSSVEIPNQWYNLIADLSVKPPPPLHPKTFEPIKPEDLSHLFPNELIKQEATLERFINIPEEVLEIYKLWRPTPLIRAKRLEKLLQTPARIYFKYEGGSPAGSHKPNTAVPQAYYNAKEGVKNVVTETGAGQWGSSLAFASSLFGLDCEVWQVANSYHQKPYRRLMMETWGAKVHPSPSDLTEAGRKILESDPSSPGSLGIAISEAVEVAARNEDTKYCLGSVLNHVLLHQTVIGEECIKQMEDFGETPDVIIGCTGGGSNFAGLSFPFIREKLKGNISPVIRTVEPSACPSLTKGVYAYDFGDTAGLTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYEQGFMEAISIPQIECFQGAIQFARAEGIIPAPEPTHAIAATIREALRCKETGEAKVILMAMCGHGHFDLSSYDKYLKGELVDLSFSEDKIRESLSKVPHVV >A05g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29225723:29226929:1 gene:A05g509650.1_BraROA transcript:A05g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREEEHYSNYFVQSPSSVFHDLESEFQSPSQYDSVPLVLVRLDESPKHSDFLKYSESKCVIEDDDEKRLMPLRSPSSGWWIVLQILWRFLFSFGVALLVFYIATQPPHPDISLRIGRINQFILQEGVDSHGVTTMLLTFNCTTNLIVDNKSNVFGLHIHPPSIKFFFGPLNFAKMKGTKLYASSHESTTFQLYIGTKNQAMYGAGREMADLLQSKAGLPLILRMNLISDFRVVWNIINPKYQHSVECLLFLSSSGRHNQATVVREKCRLLS >A03p047430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22421672:22424190:-1 gene:A03p047430.1_BraROA transcript:A03p047430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIENSSSWTLESDLEDLDIDLQDYGPPLPPKKVPNGDIFEASRAGDVDRLRYLLETGVNVNARDRWDSVALYYACLTGRLDAARLLLENGAICSEHTFDGDRCHYASLNLRIRKLLKAFEARPPPLAPLQASLRDTFLGCRHNQAYLKQGSDGNSVSVDETGSSSYFPPDVTFFVQGRPVEAHRVILSARSPFFKQKFENEWKDRREVRFSKEKLSYPALCSLVHFFYSDRLEISVDDMEDLVRICKVCKCESLQKIVEKELIHQRYAEYKTHRDLDNSMKRFILQGVALPEEDRLPASLGRILGVDSLADVCVRVDKRSYYCHQVILASRSEYFRARLSRVNDFNEGKNGLPGDSLPFLEEHDLSAEAFEKMIEYMYTDGLKEISPDQAEEIFDVASRYLLFPLKRAVADALLPHLESATPAELCHWLVLSDMYGVLKIREYCLDLVACNFEAFVETREFRAMLLTLPPPSGDSSLRTTVPSAPGATMTTDQGNLLDDLREKWLEAEALELDMRDESALIFDKRLRMLVEMAEQEKSESEAEDNRDTGP >A08p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22968572:22970176:1 gene:A08p040210.1_BraROA transcript:A08p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDELVSIELPAPASWKKLFYPKRAGTPRKTEIVFMAPTGEEISSRKQLEQYLKAHPGNPVISEFDWTTGETPRRSSRISQKVKATTPTPEREPHMKKRRSSLTKKDLKEAAEKENKKEDEKEGGAEVAEAEKENKEGEKTEAEGEVVTEKKEPMEVDTSEGEKKIEEENKEGEAVTDKKEPMEVDTSEVEKKSEKENKEGEAVTDKKEPMEVDASEVEKKTESGGIVEEPSKVVTDGENKPAEKETENKGSIAAEANGEKNEPNLDAEVNKGNETKEAEEKKTDGEPNLDAEVNKGNGVQEAEEKKAEAAAAVSEEKSNDVKGEDTNRSGEANQVQQQQGAAAASVSC >A05p008370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3401198:3403111:1 gene:A05p008370.1_BraROA transcript:A05p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSKTVSFSSVSSISNLSSLPSFNSLPASQLKQTFSQSMMEEALESAETIIKKWDPNSQSYTKIISMFRNSRKEAKEFIRCIRDLRRAMHFLVSQDSKSDKLVLAQNLMQIGMSTLEKEFFQILSSNRDHLDPDSASGQSTISSNSEFEVVMENDDDDVEDAALKKAGESISQVEKASALVMSDLKVIAETMIRCGYGKECIKSYKLIRKSIVDEGLHLLGIEKVKTSQFQKMDWGVLEHMIKNWIKAAKIGVTTLFRGEKLLCDHVFSASITIGQSSFNQIAKEAGLNLFMFPELVASKEKKPHHERIFKLMDLYAAISDLWPDIEMIFSFDSLASVKTIVLSTLKKLKDSIHTCLREFEVTIHKDSSKELTPQGGVHKLTRTTMSFISSLSEYSRVLSEILAENPLKRNTRLLEYYFTAPILEDEHVNNHAFSVYLASLILVFLCKLDTKAESYKDVSLSYIFLVNNLQFVVVTVRSTRLKSLLGDDWLTKHEAKLRSYAAKYEIAAWTNVFASLPAKTSTKLSPEEAATCFKRFHASFAGAYMKQSSCDIEDAKLRDELKVSIARKLVPEYRKFYEKYLLMLRQDRNIEMLVSFKPDNLENYLSDLFHGTAIISGSSHSASSSCCSIGCVKN >A08p000180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:68521:70776:1 gene:A08p000180.1_BraROA transcript:A08p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g56110/T6H22_9 [Source:Projected from Arabidopsis thaliana (AT1G56110) UniProtKB/TrEMBL;Acc:Q9SGT7] MAMYLLYESSSGYGLFEAHGLDEIGQNTEAVRSSVSDLSRFGRVVQLTAFHPFESALDALNQVNAVSEGVMTDELRSFLELNLPKVKEGKKPKFSLGTSEPKLGSHILEATKIPCQSNEFVLELLRGVRLHFDRFIKDLKPGDLEKSQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIVNDNYLYARVSKMIDDKSKLTEDHIPMLTEVLGDEDKAKEVVEAGKASMGQDLSALDLINVQTFAQKVMDLADYRKKLYDYLVSKMSDIAPNLAALIGEMVAARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGMIFHSSFIGRASAKNKGRIARYLANKCSIASRIDCFADGATTAFGEKLREQVEERLDFYDKGVAPRKNVDVMKEVIENLQNKDEEGKEAVEASGKKSKKKKAKGEEEEEAVDEEKSEKKKKRKMETVEENEKSEKKKKKKSKSAGGDEETDDGLTQSKKKKKSKSEE >A07g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15161457:15161951:1 gene:A07g506330.1_BraROA transcript:A07g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPVPQTGVPGVYHSTFESLRLDSVIYGFISARRANHYMPSLKACSIVKVDRFQVARCSSMYKITNHPFLIRIISPTIIDEVITSAPQTIFSHN >A09g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24019811:24022187:1 gene:A09g508550.1_BraROA transcript:A09g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A09g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4443035:4445798:1 gene:A09g501340.1_BraROA transcript:A09g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVIVEAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFHKKI >A05g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24422040:24423748:-1 gene:A05g508390.1_BraROA transcript:A05g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFRSDVFDLLGWEDEIDSGRFCFEFKPERLTLKSYALSIHNYRPIHTDYDTNTNTKLSIRVSAPEIQQQLKLLLGFLFHEAQNVVYKRKQGYTLQIFSIYTKASYGSPWRIHEVFFQQYSNSILWCIDTFPEGLTRKLPVTNKYVKPICIGFGGAEYHDLENLKKQLEDDDIIRGTITAEHQGSEVTKASVHSKSSRHNHSWENQGTAQHGSPLLLEILETNELEFRDINW >A03p070220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30874705:30876040:-1 gene:A03p070220.1_BraROA transcript:A03p070220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMFSLGGNNNNNNNGDQEEGNQQQQKTNWVWYRSNTNTNNINPSSSVWQIPPEQQILMHHHQPHPQQQSIDLYPGHQIEVSDIATSSRSITISCRDCGNQAKKDCTHMRCRTCCKSRGFDCSTHVRSTWIPVARRRERQQQLHMSTSGGGGGSGGSSIPKRHRDTTLPETSSSRLPSDSAGLEMGKASFPPEVSSDALFRCVRMSGVDDGGDGQYAYQTTVNIGGHLFKGILYDQGPESSYMSGGSGGSDHHSSSAGGGNTFNTPAIADGGRGGSSAMFVDPNSSSYYSSNMMTMFVPPGTQFYQNPPRS >A05p008280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3365901:3372249:1 gene:A05p008280.1_BraROA transcript:A05p008280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDPGAENGGGAGMATQAEEDEEIEEQEEMEPPPAAVPFSQLFACADRFDWVLMVFGSVAAAAHGTALIVYLHYFAKIVEVLAYTVESGRRIPDDQFNRLVELSLTIVYIAGGVFVSGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYVRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWIGRFFVIHGRATGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMITRSSSGTNQEGTTLSAVEGNIEFRNVYFSYLSRPEIPVLSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDATPDQIEEAAKKARAHTFISSLEKGYETQVGKAGLTLTEEQKIKLSIARAVLLDPKILLLDEVTGGLDFEAERVVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLLEMGTHDELINLGNLYAELLKCEEATKLPRRMPVRNYNDAAGFQPERDSSAGRGFQEPSSPKMAKSPSLQRGHNVFRPQEMYFNSEESPNDHSPAPEKMGENGSSLDVADKEPTIKRQDSFEMRLPELPKIDIQCPERQKSNGSDPESPISPLLISDPQNERSHSQTFSRPHGHSDDISANVMVAKDSQHKESPSIWKLAQLSFPEWLYAVLGSIGAAIFGSFNPLLAYVIALVVTAYYTSKGSHLREEVDKWCLIIAGMGLVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSPDTLSMRLANDATFVRAAFSNRLSILIQDSFAVIVAILIGLLLGWRLALVALATLPVLTLSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLQRILKQSFLHGMAIGFAFGFSQFLLFACNALLLWYTAFSVHRGYMKLSTALTEYMVFSFATFALVEPFGLAPYILKRRKSLASVFEIIDRVPTIEPDDTSALSPPNVYGSIELKNIDFCYPTRPEVLVLSNFSLKISGGQTLAVVGVSGSGKSTIISLIERYYDPVAGQVFLDGRDLKSYNVRWLRSHMGLIQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLAHGYDTHIGMRGVELTQGQKQRIAIARVVLKNAPILLIDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGKIVEEGTHDALAGKNGLYVRLMQPHFGKGLRQHRLI >A01p003090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1408699:1410526:1 gene:A01p003090.1_BraROA transcript:A01p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARFIPVSSCQFRFSFRELPPSSSTLYPRRFEVNLVSFSCDGVPLPMIGFVMYGLVTALSTQLEEGNLPFGVSKSNGRFALFAATTTMASASAYFLYILNTKLSGSSCMYCLVSAFLSFSLFFLALKDVKMQEIQQVVGLQICLALIVVASLTASYSTAQPIPSSSGDIELPYYSTEITTSSSPYATALAKHLNSIGAKMYGAFWCSHCLEQKEMFGREAAKLLNYVECFPEGYKKGTKIFKACSDVGIEGFPTWMINGQVLSGEVELAELAEMSGFSLEQATEAKQLQ >A08p001110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:640708:643148:1 gene:A08p001110.1_BraROA transcript:A08p001110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLHLSLKMIRGKHEKRPSSNGTEKVLVAVKASREISKTALVWALTHIVHPGDCITLVVVVTSHNAGRKLWTLPKFAGDCASVHRKPHSDAIPEIKSDLTDTCSQMILQLHDVYDPNKVNVRIKIVSGSPCGAVASEAKESQATWVVLDKHLKQEVKRCIDELQCNIVAIKRCQAKVLRLNLVGSPTTKDAVKENKSRSLGSVGAVVTTPLSSPEVGTPFTGTEAGTSSVASSDLGTSSPIFTAEVKKDDTNAAQETKISRRSDSETSGNIRGAVSLSRNAPPVPPPLCSICQHKAPVFGKPPRFFSYKELELATKGFSQSNFLAEGGFGSVHRGVLPEGQIVAVKQHKLASTQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEQSRRLLVYEYICNGSLDAHLYGRHKDTLEWPARQKIAVGAARGLRYLHEECRVGCIVHRDLRPNNILITHDYEPLVGDFGLARWQPDGELGVDTRIIGTFGYLAPEYTQSGQITEKADVYSFGVVLIELITGRKAMDISRPKGQQCLTEWARSRLEEYAVEELVDPKLEKRYSETEIICMIHTASLCIRRDPHVRPRMSQVLRLLEGDMSVNERFSGRLSTESQRSVR >A02g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14193606:14196608:-1 gene:A02g504270.1_BraROA transcript:A02g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQCLVLSGDWVCGDEGKCDFVIEKNQMGRMVEIHPGLGFKELEDNVLREFRLDEGHFRVKGGMNLFARFDAKPKDVDTEVVDDSGMCFVSPTAARFQGSGEFGSGRSRKGYLSSAASKTKVINLEDDDDFLDEIELRPRGYDKEFWDPLLAGDYGGSNAVNVVFNEDEIVEGLKKKNGPRSYFCDTNSCFDHWVEVGGGSVGDNKAKVENFGGVGSDDVGSGGGVGSGGAGSGGGVGSGGAGSGGGTYTGVINPDGDPRDFEIPEEVSSMVLYPPITKRQAGRRRKTRIPSTGEPQRRNWFPTNADGVGWRDTIGQIAPTPYRRVWSRGFLEMNGYAA >A08p022510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14862398:14863908:1 gene:A08p022510.1_BraROA transcript:A08p022510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPVTNEDAIVAVAAVEGTVRVNISLALESKADVTVSKDGSGKFTTVKEAVASAPENSKTRYTILVKRGTYLENVIIGKNKTNLTILGEGSNLTTITGSWNHVDGKGTYDSATLGILILVYTISAVDGEGFMAQDICIENTAGPTKEQAVALRVSADKAVIYRCRIDAYQDTLYAHIERQFYRECYITGMVVFICGEATAVFQHCRIEARKPLKEQSNMITAQQRYSKSKISWFSIQKCEIKATPDLDQVKGTVQTFLGRPWGVYSTVVVMQSLIDDVIDPAGWAPWDNDKGRLSTLFYGEYQNSGPGAGTVKRITWKGFKVITNPEEAEQFTVEKLLQGQSWIRSTGVPYDEGF >A03p006530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2753986:2756031:-1 gene:A03p006530.1_BraROA transcript:A03p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDDKDPDLHHDDDHNDKSKEEEKGDTVSDDVDVDKKENVDVSNAETDHVDPGDNADKVNSPLGQEEAPPEIPQTIESLSEELDQLLTSLSLHKEEHKDVTEEEKGEDGNYFQIPQFVGKFLDLFEDKLSKHDTVDPKTTWYQDPEEVSSLLEAVDRVSKLMELLLNTKSCLDHHESLINHAGSIQQRAMAFFEDEFRVLLEESVIKEPAVVTEDQQDHHNDSQDQDNVNNVPEGGDEKIEYPGYSEEMVVLLRKIVERMKGAGYGCECKEAYLVGRRNILMRTLKQECEFEKVSIDEVQKMSWGELEREIPIWNKTVKDCSSLFFPGELKLAEKIFPGDEGSLFNIVTHGLAIQFLGFAEAVAMTRRSTEKLFKILDIYETLRDGFPAIEELFTEELRSELRSEVTSARSRLGETAINIFSDLENSIKSDSSKTPVPGGAVHPLTRYTMNYLKYSCEYKGTLEQVFKSHSKMEEEESTERAFANQLMRIMDLLDGNMEAKSKLYKDIPLSCIFMMNNGRYIVQKIKGSAEIHEVMGDTWCRKRSSELRTYHKNYQRETWGKLLGFLGHEGLMHNNKIVKPNLKERFKSFNATFDEIHKTQTTWVVNDEQLQSELRVSITAVMIPAYRAFMARFGQYLDPGRQTEKYVKYQPEDIEDLIDQLFEGNTSSSSTATAKRRT >SC139g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:231945:236533:-1 gene:SC139g500080.1_BraROA transcript:SC139g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESCAQSITTWEVI >A07p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15387560:15388909:1 gene:A07p026940.1_BraROA transcript:A07p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVKPKALESELIATKVEDDMKKMKECMLIGQAAAEEITKDQGAMTTMEEGLRQNSDETKQDLSKSDSRSTSLEMIEKLENDRDSSQNAENTQKQEEKKKENNNMMKHKKASSHVWDCGSSLYDSFELNSFKRQLDSAISASSARTMSMSHLPDRRLPLLNSLSPESPLPPPPVTSCSSSGNKKHSNKISRSLQRFLKSVFRPKHHQTLSSSSSTPSSPVYKGAGHDGVGDRDRYYVVYDKSGSLTTIPESTEKEVGPEINSLVRKTVSERFPASRVAGISCA >A06g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7386909:7388696:1 gene:A06g502100.1_BraROA transcript:A06g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESESPLVPPLPPDLLFLLPSEATVPLASPPLVEKDTIMTEAVSVSDKIDVSPATAGVPPILVALSSGTSVLETVQVKNLANPTVSQSDYQAGPSSTPSSAGVPKGSTSQGSEFNWLSRTKAARKFPNSAIPVTRSEDGVPRVKIPNVVFERGAKAHSDYIVGIFYGNAPSYGKIWGVLNYLWGKDRRKTASKAADRSRPSTSNKQYAQKVHPQDQTIPVQSCINSVLADLEPSSGTTLVGGTSKTSCSVSQSPEVFPIPPPQDSMVEAPSRVGLVSLTEAPCQGSLQLFTASNDTLPVPDSIISHSELPFVPAVKAVSVRSVVGSSQEKLAVVSFNPFAVLEQEEDPPNIHQEVSCQVEEIPRTCLEESKLALVVTTPGSSKSSPSQFLSKTQKNKKRKLAKRSPVSGGSPPLSLGDRNPQ >A03p001300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:658467:660221:-1 gene:A03p001300.1_BraROA transcript:A03p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine-uracil permease NCS1 [Source:Projected from Arabidopsis thaliana (AT5G03555) UniProtKB/Swiss-Prot;Acc:Q9LZD0] MVSNCISLGHHLHPHPHKHNHHSLSSLRFHTKAKTHHHVSYTAPSDAHHRSLKHSGWRDHNLSSSRSVNNFGLSRSGVIRPRLSAMTGSEVGDSGYDESQFDPTLTNDDLKPTKPSQRTFSWLDMSSLWIGLVVGVPTYYLAGSLVDLGMAWWQGIATVVAANLILLVPLVLTAQPGTMYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPAHIKNSALSHTLPWLGTSPLEFSCFIVFWLAQLCIVWRGMDGIRKLEKYAAPVLITLTSCLLVWSYVKAGGFGHMLSLSSKLTSAQFWTLFFPSLTANISFWATLALNIPDFSRFAKSQTDQIIGQVGLPVFMGLFTFVGLAVTSSTSIIFGRVISNPIQLLGQIGGLATTLLAILGISLATITTNIAANVVAPANALVNLNPKVFTFGRGALLTAVLGIVCQPWRLLKSSESFVYTWLIGYSALLGPIGGIVLADYYLIKKMKLNIGDLYSSSASGEYYYSKGYNAAAVVALVAGIVPVVPGFLHKVGGLSKISNGFVVVYDNALFFSFIIAGFVYWMLMSRLRTKQSSSSQPLL >A01g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4162861:4170387:1 gene:A01g501090.1_BraROA transcript:A01g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPFKNSPRGEDKDVEAGTSTFTDYEYEDSPFDITTTKNAPVERLRRWRQAALVLNASRRFRYTLDLKREEDKKQMLRKMRAHAQAIRAAHLFKAAASRVNGITSSPPTPGGGDFGIGQEQIVSISRDQNIGSLQELGGVKGLSCLLKTNLDKGIHGDDDDISKRKSAFGSNTYPQKKGRSFWRFVWEASQDLTLIILIVAAAASLALGIKTEGIEKGWYDGISIAFAVLLVIVVTATSDYRQSLQFQNLNEEKRNIHIEVTRGGRRVEISIYDIVVPADGVLVAGHSLAVDESSMTGESKIVHKNSTKNPFLMSGCKVADGHGTMLVTGVGVNTEWGLLMASVSEDNGGETPLQVRLNGVATFIGIVGLTVAGVVLFVLVVRYFTGHTKDANGAPQFVGGKTKFDHVLDDLVKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVECYAGFQKMDPPDSSSKLPPAFTCKLVEGIAHNTTGSVFLSESGEIQVSGSPTERAILNWAIKLGMNFDALRSESSAIHFFPFNSEQKRGGVAVKSYSNKVLIQFQPDSSVHVHWKGAAEIVLGSCTHYMDENESLVGMSGEKMGELKNDINDMAARSLRCVAIAFRTLEADKIPTDKEQLSRWVLPDDDLVLLAIVGIKDPCRPGVKNSVLLCQQAGVKVRMVTGDNIQTAKAIALECGILASDSDASEPNLIEGKVFRSYSEEERDRISEEISVMGRSSPNDKLLLVQSLKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKEKSDIIILDDNFESVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMDRDPVGRKEPLITNIMWRNLLVQAMYQVTVLLVLNFRGISILHLKSNPNPERVKNTVIFNAFVICQIFNEFNARKPDEINIFQGVLRNHLFVGIICVTVVLQVVIVEFLGTFASTIKLDWEMWLVSIGIGSISWPLAVIGKCIPVPETPVSQYFIINRWRRNSSG >A07g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14513227:14516781:1 gene:A07g506120.1_BraROA transcript:A07g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIHATSKRSLMLRIQRVLPIDSWGVIEHVTVTPAGGQYRTTNYKYKMVIAEDAVLSRSDLADDRNFLSLANYEEIENGTKKQAFLIDVIGRIHELDDVQTVQVSGEDRKRVQFRLVDAEGNNLACCLWGTYAEQLEPFAKIKEFREELQITNAFDASRLFLNPMIPELAQLTERLSNDDLSVALVQKPSGKKDGKKHLYNWNDAEIKTISEAAESTQLHLVVKDDSATCNLMLLGSVGKSIVAVDAEELWDGSYEEIEDPEILPEPILSLVGKSFCFGISITSDNVTNGSDTFVVLEVCSGDKVLTIETDSQSNSDMVTTSSTMSSGSRGNVHEGFDTAKKNKTASCSDMPNLEEIQRFSNPISIQPIPLSSIYFRLFETLENQHVPNYTIPPYQQIRKLSPQTPLNKRRCILGLEKIKDQVNDTPVLSSCLTSLSKDLQKRSFESMKTKGCHQTRSSSNVLKDITNIAHLRKKNAYHRHLHLMHQLSPYKKKMD >A01p051940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29105709:29110072:-1 gene:A01p051940.1_BraROA transcript:A01p051940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAMAAASALFLLGFLVSLVSASVSYDSRAITINGKRRILISGSIHYPRSTPEMWPDLIRKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLVKQSGLYLHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAQMQRFTTKIVNMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGRSYTNWAAKMAVGLGTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKAYKPKMWTEAWTGWFTKFGGPVPYRPAEDMAFSVARFIQKGGSFINYYMVKLKLLSSVLAIQVLIHLFIAQFHGGTNFGRTAGGPFIATSYDYDAPLDEYGLERQPKWGHLKDLHRAIKLCEPALVSGQLTRIPLGNYQEAHQYKSKSGACSAFLANYNQRSYAKVTFGKNHYNLPPWSISILPDCKNTVYNTARVGAQTSRMKMVRVPVHGGLSWQAYNEDPSSYVDESFTMVGLVEQINTTRDTSDYLWYMTDVKINSNEGFLRGGNLPTLTILSAGHAMHVFINGQLTGSAYGSLDSPKLTFRRGVNLRAGFNKIAILSIAVGLPNVGPHFETWNAGVLGPVSLNGLNGGRRDLSWQKWTYKVGLRGESLSLHSLSGSSSVEWAEGAFVAQKQPLTWYKTTFSAPAGDSPLAVDMGSMGKGQIWINGQSVGRHWPAYKAVGTCRECSYIGTFNENKCLRNCGEASQRWYHVPRSWLKPTGNLLVVFEEWGGDPNGISLVRREVDTVCADIYEWQSTLVNYQLHASGKVNKPLHPKVHLQCGPGQKITTVKFASFGTPQGTCGSYRQGSCHAHHSYDAFNRLCVGQNWCSVTVAPAMFGGDPCPNVMKKLAVEAVCA >A01p048120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27111445:27113512:1 gene:A01p048120.1_BraROA transcript:A01p048120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSLSSDPHRPQQYSPFPQYPPSNSPFVSAPNLPFYGVNQTPLNTHVSPPQPQTQTQTQTPPPYSELIVEAIAHLNEPEGSSKMAISRYIERSNPVLPTDHQALLAHHLKTLKNCGVLSMVKKSYKLAASSSAPESVAVAAAAAAAGLAPPPRSESPLDPAPLSASQPQKRGRGRPPKPKPEASPQQHQQQLIIAQPNAVQLNGQPSWEQPQFPVASPTQTGTESAKRGPGRPRKDGSAPIPRPAGMSVIMKRRGRPPGRRAAGRQRKPLSVSSTASVFPYVANGARRRGRPRRVDSGGVPVAAPAGGEAAAVTPGIKRGRGRPPKIGGVKNRLITKPKRGRGRPVGRPRKNPWPVTVATGALESAYGELKAKLDLYNEKAKEILNVLNTGITSNDNQAAVEAAQKLEGLISMMTVEPQAVEAAQPEEAAPQTEAEEPQEGEGHGQEREGEEEQAPTDQTQVQTDAEAMQEALF >SC160g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:118374:129057:1 gene:SC160g500090.1_BraROA transcript:SC160g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKVEALKKLLCVLVCLRVSGYLSSSRLVVVCHLKLCGLKIEAVKTSNLEHEGGNETRTPNREETSREESSGSHDQAVESNDQEEGAEENVLAIPEGPITRSKSKQIKQAIGGLLMIAWKQEEGTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHEGSEETDNYYERNRHSSDSRHSSRTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHEGSEETDNYYERNRHSSDSRHS >A01p018760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9132554:9134926:1 gene:A01p018760.1_BraROA transcript:A01p018760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDNFNLADLTDALKDEDRIGLMHALKNKLMAGQHSDVLESLTPQVRNRVEALKEIQGKHDELEAKFREERAVLEAKYEMLYQPLYTKRYEIVNGVTEVETTPEDTKMDQEGEKPAEEKGVPSFWLTALKNNDVTSEEVTERDEEALKYLKDIKWYKTEEPKGFKLEFFFDSNPYFKNAVLTKSYHMIDEDEPLLEKAIGTEIDWYPGKCLTQKILKKKPKKGSNSKPITKMEDCESFFNFFNPPEVPEEDEDIDEDKAEELQNLMEQDYDIGRQADTRREADLRWLVTVNKARGHPNASNSSDVLLSTEGKKACLKKHISALSLSSLVKHISGL >A07p046610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25118421:25126015:-1 gene:A07p046610.1_BraROA transcript:A07p046610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase SIS8 [Source:Projected from Arabidopsis thaliana (AT1G73660) UniProtKB/Swiss-Prot;Acc:Q9C9U5] MPDSVRSMKMNMKSFLKKLHITPNQPDEAADGSSIPTNKSSDVSSSPHHQSPEVKPFSGLSNWLSSVGHRKSPSPPNSLNATNGGDDEQQQDSKEDPEVEEEYQIQLALELSAREDPEAAQIEAMKQFSLGSCAPDNSPAELVAYRYWNYNCLGYDDKILDGFYDLYGVLNASSAEKIPPLLDLQGTPVSDGVTWEAVLVNRSGDYNLLRVEQMGIDIAAKTESVSSSSFVNSELVRKLAVLVGDYMGGPVVDPDSMLRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLCDSVGVPCRIVKGQQYTGSEDVAMNYIKTDDGREYIVDLMGDPGTLIPADAAGLQIDYDEPVCSTSPGDNDSFHDASSTNGIESSFQENIEFPPGEHSSSTKSSKEDVAKVEKAPPVQNLSSRPIHSFTHMRSPSWTEGVSSPAARRMKVKDVSQYMIDAAKENPRLAQKLHDVLLESGVVAPPNLFSEVYPQQLDATVEIKNLTEAKKEKETAQQGRHQNDLGPVRFLPPLPRLHSKADTHDQQHDHGKVVSQSDSSHSEASSTEYARTVPAAVAAAAVVASSMVAAAAAKTANTESSTLELPAAAAATATAAAVVATAAAVSRHLELGSNSDGDAGSGGHEPQGSGDSPHGPNSGGDRVSDRSTGDESSKSDGTLDDVSDCEILWEEITLGERIGLGSYGEVYRGDWHGTEVAAKKFLDQDLTGEALEEFRSEVQIMKKLRHPNIVLFMGAVTRPPNLSIITEFLPRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHSCSPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTYLSSKSTAGTAEWMAPEVLRNEPADEKCDVYSYGVILWELFTLQQPWGRMNAMQVVGAVGFQHRRLDIPDFVDPAIAELISKCWQTDSKLRPSFAEIMVTLKKLQRPATGSNIPRPVPSSSSLSTEQEQKDSSVRFSHSLWVELLKSNSWKIEEGEGEGHHSRVLCVCVCVCPVNYVHAYTMILQPGSGATPSSGYWAVFDVKGQIHGTEQVMGTVLDSHFLALTAIVTVVYQFIFFVITALFKIDQVTDFAGSTNFVILAVLTLVLNATWHFRQVVLTLLVVVWGLRLGTFLLMRILQWGEDRRFDEMRQNLVKLIIFWTFQAVWVWTVSLPLTVVNASDGGGSLKPADVIGWTMWGFGFLIEAAADQQKLSFKNAPENRGKWCDAGLWKYSRHPNYFGEMLLWWGIFVAASPVLEGAEYLVVFGPVFLTLLLLFVSGIPLLEASADKKHGHLGAYRFYKKTTSPLILLPRGVYGNLPGWFKEVFLFELPFYSRNLSDDADIYDFMDIHNLFTNRNKRTVAITVNMRRCNSIRF >A10p021540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14444497:14448528:1 gene:A10p021540.1_BraROA transcript:A10p021540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDVEMRGEAEERIINEDYKIWKKNTPFLYDLVITHALEWPSLTVEWLPSRDEPPGQNYSVQKMLLGTHTSDNEPNYLMIAQVQLPLEDTESEARQYDDDRSEFGGFGCASGKVQVIQQIVHEGEVNRARYMPQNPFLIATKTVSAEVFLFDYSKHPSKPALDGACNPDMKLKGHSSEGYGLSWSSLKEGVVEDVAWHMQHAHLFGSVGDDQYLHIWDLRSPSPSRPVKSVEAHSMEVNCLAFNPVNEWIVATGSTDKTVKLFDLRMLNSALHTFESHKEEVFQVGWNPNNETILASCCLGRRLIVWDVSRINDEQTAEDAEDGPPELLFIHGGHTSKVSDFSWNPCEDWIISSVSEDNILQIWQMAENIYHDEDDGPGTVEEPTNVHQTMWSVTGALGVAVPTAAACRTKPLLVSSNLLPKQTKKLHLSPQQQPLSLASQFSSSSFRTAATSVEQQSDNKGESTKYYFLVANAKFMLDEEEHFQEQLFERLRYYGENEKELDFWLVIEPKFLDKFPKITQRLRRPAVALVSTNGPWITFMKLRLDRVLADSFEATSLDEALAFTPTTLEFDKPKNWVAPYPKYEPGWWETFLPKAKQESVA >A08p030950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18937681:18940564:-1 gene:A08p030950.1_BraROA transcript:A08p030950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEMDQTLEWLEAQKIEISVDLFAAAKQQLQFLAAVDRNRCLYDGPVLEQAIYRYNACWIPLLAKYTESSSICEGPLVPPLDCEWIWHCHRLNPVRYKTDCEELYGRVLDNSNVSSSVNGTSKSQTETLWRRLYPTEPYDLDLINKATSETERKHTTTAYDLVSAVKRQSPFYYQISRPHVDNDVFLQEAVARYKAFLYLIKRNRERSVKLFCVPTYDIDLIWHTHQLHAQAYCNDMTDMIGKVLEHDDTDSDRSKGKKLDTGFSGTTAMWEETFGTRYWKAGAMNRGNTPKAVTASPCGFLGKKLITAEEDVMVIQSPEVNVIEVVLEIVGVKNLPDAYKGKVFVVFSKTQPDSLFNAERKLSILSESCGEKQVAMFKCEPRGELRFQLMSSKSKPLGFVSLSLSEFLFPVSRLSVEKWLELTTPAKRGKDPISLRVAVSFTPPTPSPTVLHMVQTRPSLKDSCFFPLVGKARLAKVFTRVVDENETEVMSLQMRNSIGDARQVIGEKGSGESLVMAEYDESYWSLLDCKWSLKQIRSGERDGPLFEIMGDRMVKVYSGRKLEYEPKHCVKLRSEQDFFMTAVEFSQEHPYGKAVGLLDLKTGSIEANERWFVLLGVVSAFILSDLLKKEGSLDKVKANGIKEETEVLTDQVKLEEETMMNVDVTNPVLEAAEKVNGGAKCYSKELNASGGCGVGCGVKSGNITEEEGGHCGGSGGCGGGSGCSGGGGRCGGVTKVSGCGGGSCGGGSCGNCSGGCGNMIKSNANEDVPLAAPEALNDAVTA >A03g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31310261:31312177:1 gene:A03g509700.1_BraROA transcript:A03g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTDKAISATVPLLSASHRRLIRRRLLSQCSAPSPGTMKKKKPKVTPKKSPAKSPSISPSKSPPTANLFPFEKDPDLEVPSDVLDAQIGESADTVAQQLRIDADLAFERNAEPSSKKEIDASSSDPSTSSMKVIDSLMSDPSPLSKTEIDPSKSDPSYPLTAAPLEPNSAGPTAIRPGSVKDGEANTCVELGMEDSLLTVNEVDKAKPSTPQPEKESSVLSVDGSVIMNGLDARNVHSDQKEHLQKKEANIGMTPALPRLGPREENKQQKEPTGRKTRRGRSKNKQQWKVVEPNTEVNKTNPAQPTKVVEAHTEAVHTEIVLHSSLGNQKDQTPGETSSTPYYLRPVRHRSVSGASRSTNSEVQPDSSDVESSDTELEE >A02p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13521683:13523052:-1 gene:A02p025780.1_BraROA transcript:A02p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIFY11B [Source:Projected from Arabidopsis thaliana (AT1G72450) UniProtKB/TrEMBL;Acc:A0A178W2J3] MSNGKAPEKSSFSRRCSLFSRYLKEKGNFGNIDIGLSRNLDLELAGKSDLSGQQNEIKKADISETRPFDLSQKVSVGEASTSSGGKPRFVDLSEPASLVVPEPGNSQLTIFFRGKVMVYDEFPEDKAKEIMAAAIEAHHVAVDSKNTQNLDMNMSNKTNVVIPDLNEPTSSGTNNDDHQTGQQHQVVERIARRASLHRFFAKRKDRAVARAPYQVNQSGGHLPPKPQKVGPSVEPGQPSRQPETPSKPKRHNDASMEVEGEEGRCSKDLELKL >A03p038480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16058688:16061797:-1 gene:A03p038480.1_BraROA transcript:A03p038480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLRESVSGGRNAPAISQFRRGSNNGFSRDSDENLDLFSKIRRSFPLSSTDHLPDGSLLTPPGTPLGNDSHSSLAPPKVTSSARASSASKTSRLSVSQSETSYHPSRPARSSSLTRPSVSNSGRLPSSILNTSSASVSSYIRPSSPSSRSSSSARTPTPTPTRTTSLSRASTPSRIRPVSSTSSLDKTRPSLVSRPSTPTSRTQSNSPNVVSSRPNSRPSTPTRRNTSTSATNGRTAPSLSRPSSPGQPRVRTTTTTNTQQPIVLPDFSLDAPPNLRTTLPGRPISAGRSRPVAAKASPEPKGPMTRRNSSPVVTRGRLIESQGKGRLSGNGQQHNTGAPEPRRISNVSDVTSRRTVRTSSTVMDNNNGLGRSLSKSSLDMAIKHMDIRNGKSNGCALSSTTLFPQSIRQASSKIQPIRSGNSLSDSISSNSAENGNEANEGRRLMGKSSDMNMYESSRYDAFLLKEDVKNTNWLHSIDDRSSEHGLMFDNGGFELLPEPFAPL >A05p036640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:20794831:20796375:-1 gene:A05p036640.1_BraROA transcript:A05p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g21470 [Source:Projected from Arabidopsis thaliana (AT3G21470) UniProtKB/Swiss-Prot;Acc:Q9LVF9] MNLQDNVDISNLIRKHISKGSPKQALLLYEAIRRKGVFFPGWIPLLLKACASVPNFVLGKQLHSESIKFGIHSDVMVRTSLIKMYSQSGCIVSARNVFDETPERNVATWNAMIGGYMWNGDALSATRLFGEIMGSGNEVTWIEMMKGYGKRKETEKARDLFERMPLEMKSVKAWAVMLGGYVRNREMEAARMFFEDIPEKNSFVWSLMISGYFRVGDVDEASGVFNRVKVRDLVIWNSLITGYAKNGYSDCAIDAFGKMQGEGFEPDAVTVSSVLSSCAQSGRLDVGREVHSLVNRMGIELHEFVSNALIDMYAKCGDLENASSVFESLSLRSVACWNSMISCLAFHGKGREALEMFQTMDTKPDEITFLAALTACVHGGFLLEGLKIFLEMKTKDVKPNVKHFGCLVHLLGLSGKLKEAYGLVREMPVKPNDTVLGALLGACKVHMDTEMAEQVMKIIETAGSVTKGDSENHLVLISNLYAHAEIWQTAETLRVEMEKRGLEKSTGLSSLVLT >A10g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4068409:4069392:-1 gene:A10g501440.1_BraROA transcript:A10g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLWTYMFSKTEFHVLILGIDKAGKTTFLEKLKTIYSISEGLPHDRIVPTVGLNIGRIEVANAKIVFWDLGGQPGLRSIWEKYYEEAHALIYLIDAACPSRFEDSKSALGEFCTFKLTSNSNYEANVKFAFPPEKALRHEDLQGAPLLILANKQDLPNAVSAEELDRYLDLKKLDERVYMFEAASGYDGRGIKESIEWLVGVMERSKRTEALRARSGYVPVPTS >A01g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3682699:3683497:1 gene:A01g500940.1_BraROA transcript:A01g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCFTILITEISRLIWSTMPTFTTFSFPTTFIATLLPVVTLRAWYTLANVPWPRRRPSSCREMYSLRLRDCQLFGSDDDTCPYFTG >A09p027220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15381897:15382460:1 gene:A09p027220.1_BraROA transcript:A09p027220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-2 [Source:Projected from Arabidopsis thaliana (AT5G47640) UniProtKB/Swiss-Prot;Acc:Q9FGJ3] MGDSDRDSGGGQTGNNQNGQSSLSPREQDRFLPIANVSRIMKKALPANAKISKDAKETMQECVSEFISFVTGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQRFREIEGERTGLGRPQTGGEAGEHQRDGGVGDGGGGYYGGGMGMGYQQHHQFLQQQNHMYGSTGGGGGGHSGGRS >A01p049170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27636532:27637280:-1 gene:A01p049170.1_BraROA transcript:A01p049170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDLNNRSNTIPIIGSEFVRPQPSDLTIVGDTVKDANGNKVFKVKTPLFGLHNKRILLDPNDSPIVTMKMKVTSKHDRWQVYRGGDLDDKIFTVKRSSSVQLKTRVEVFLKHNQTKEASCDFTIKGRFMKRACTIYVGDSTKVIAQVHEGDERLVATVYPNVDCAFIVTLIFIFDLINMAGTGV >A09p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2377152:2378508:1 gene:A09p004040.1_BraROA transcript:A09p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEVSRTWEITEDSEQIDLPPGFRFHPTDEELISYYLRPKVLNTFFSAIAIGEVDLNKVEPWDLPWKAKIGEKEWYFFCVRDRKYPTGLRTNRATKAGYWKATGKDKEIFKEKCIVGMKKTLVFYKGRAPKGVKTNWVMHEYRLEGKYAIDNNPKTAKNEWVISRIFQKHADGKKMHISSLMKLGSGINHIEPVGLPPLMDSSPYLKSGGGDTFAGSLSHVTCFSDQTTEDKSHLSESRDECNFTMFGSSSTHLMIPNIGSILHSDPMIMQDNSPILKIMLDSEETQFKKDLQDFSTSENELTASSWHGHDISGSAAPVEMDCFWNF >A10p006890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9931620:9931862:1 gene:A10p006890.1_BraROA transcript:A10p006890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDPFSFFDELLEMEDEEDGTEHLVDELRINTGAFVDEALVEKLLENLDLEELEDDDDASFFDTFHLSSMSVVSRRK >A01g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13599570:13600721:-1 gene:A01g504490.1_BraROA transcript:A01g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPFNTITIKKESFRPSTLGVVYAAAQLSRPVVPRLQRSINRLRACVTEHIFGGVPCLQRVRIKLYLFGVLCPGPWTSGETDEYIIFGWIGATGLYFGFLFGLRVSGVVYMGYYAMRYCWWHAVLQIGGDGCHSLIFLKEEPGVVSRAGPEILEACNNALPRTGSGSKLRSMGVLIPLEQSSLEKEAKDNT >A02g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18633441:18634304:1 gene:A02g506670.1_BraROA transcript:A02g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QCNVINSEINKYKNKEDNFGTPWAVKGCEEFKDDYDPDDDDMADIRELRDEDFISDAEEEDFAGTNLESDEDQVPNMYGEEEYDN >A10p028560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:17691340:17691834:1 gene:A10p028560.1_BraROA transcript:A10p028560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLAVLVAMILFSCFVTSQVTASDLLPNPSLRSEKLEWWHYHYYPYYHPKPHWAFPRSAGKAFPPLPAGYNPYFHPIPFHLPMVVTKCLSDRKEVKTCLVDIAKAFYTRKPLIGTECCASILNMDRDCDKTIFGAYHNPFFDWAVKLHCSTKAGSTPYAPSPA >A03g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32326030:32330082:1 gene:A03g510070.1_BraROA transcript:A03g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRMIPQFVDFILSLFIALFSHLIAPFISDHVFGGYSKKPLLSLNHGFEMFHGWDPGSSGFFWNCKELYLNEQIGRLWKQNGLLVIRRKGDLGILFGFDLVLSKIGIAEIRLRREKSKAFVNIKARSFLLILGFSFCFLVLRYLVTGYRKFGIWGLMRFDIQESRNLRINGVRGSINREDLLIDFLYDLCYYIKDCVQSFSSTNLQISFFLLRISFLVVFSLVSMSQGQLVGKGGASKEGEGVRKRLKISVPHFDNSDLIKSYAMTLIGRCMNPVAQKLCSSLCHDEDHCPLNPKSVDKKTDSREELANKKEDRARSYKGVVIHGEESQQERGTDQRNYYGKGKGKMHEDQDSKWVRVPERGNKRYSSYHDNNRNDEGNNRHRNTRWEQPRSYVQESREKGHRGTRRERSPPHYAREEPKEEGELQDTGSANKGSQMEGKTSASNNLQIESNGARANLIKLPPKSVAMENGAIAAIVSGTVGAGKGTEPPLGDNGKDMEENEVMDLAENVFPSAGDNGCMGEDEAFENLTDGEMEELNGSQEVVLETVEEESRPTDVEEKELQVGEEEKKKGARKILKHTMAAGASKKKFVQALLSQNKNTQARQGKRQGDGSKLQEDKGSSYPKQTSSKNSTASHGQMALVTWLCVGMHLAHFIQWMLDLISVKQVQWKNDTRRVLDKVLGSGFIIWDRDLKWSLIMGGGGRGRVMDTSWIIAGEHTLGLVSLNQVQRTFGSMIGIKLAFSVPLQDGSGYDKYTVSNSWRLFKTCSKYARLLSFGVIIWDIICS >A02p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2913260:2915107:1 gene:A02p006840.1_BraROA transcript:A02p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPPSPRVAPFVQTEINWDKLDKKRFYVVGAGLFTGVTVALYPVSVVKTRLQVASKDVAERSAFSVVKGILKNDGVPGLYRGFGTVITGAVPARIIFLTALETTKISAFKLVAPFEFSEPTQAAIANGMAGMTASLFSQAVFVPIDVVSQKLMVQGYSGHATYTGGIDVATKIIKSYGVRGLYRGFGLSVMTYSPSSAAWWASYGSSQRVIWRLLGYGSDSEATVPPSQSKIVLVQALGGIIAGATASSITTPLDTIKTRLQVMGHQENRPSAKQVVKDLIAQDGWKGFYRGLGPRFFSMSAWGTSMILTYEYLKRLCAVED >A01p046730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26745928:26746465:-1 gene:A01p046730.1_BraROA transcript:A01p046730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMNYGEYANQKVRSLEAEYPTFMYAMPMTKTKVFFEETCLASKDVIMPIDLLKTKLMLRGKSIKGKKSVVPCQKNLTFGAAASMVHPATGYSFVRSLSETP >A10g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12420134:12421114:-1 gene:A10g505060.1_BraROA transcript:A10g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLLCLLPINVILTNPQNCDSESPSSSVDRKKLQAKPLNSAVDDWVGRLLALVSSDMPDKCWVGVDLMGVTCQECSSDRFFSLYFVWFNSLLSHIKNPESSRIVRVVSCTSISDFFTRLSRFTNTKKDAVSHASKVIFPSLNYWRKNLQRHYGKALSIS >A09p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40633084:40637437:1 gene:A09p046740.1_BraROA transcript:A09p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-9 [Source:Projected from Arabidopsis thaliana (AT1G30490) UniProtKB/Swiss-Prot;Acc:O04292] MMAHSMDDRDSPEKGFDSGKYVRYTPEQVEALERVYAECPKPSSLRRQQLIRECPILCNIEPRQIKVWFQNRRCREKQRKESARLQTVNRKLSAMNKLLMEENDRLQKQVSHLVYENGFMKHRINTATGTTTDNGCESVVVSGQQRQQQNPTHQHPQRDANNPAGLLSIAEETLAEFLCKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPMKVAEILKDRPSWFRDCRSVETLSVIPTGNGGTIELINTQIYAPTTLAAARDFWTLRYSTSLEDGSYVVCEKSLTAATGGPNGPLSSSFVRAKMLSSGILIRPCDGGGSIIHIVDHVDLDVSSVPEVLRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQFSGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSPMSSDGGEDITIMINSSSSKFAGSQYGNSFLPSFGSGVLCAKASMLLQNVPPLVLIRFLREHRAEWADYGVDAYCAAALRATPFAVPCVRTGGFPSNQVILPLAQTLEHEEFLEVVRLGGHAYSPEDMGLSRDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRVIPLDHKTSPSDHLSVNRTRDLASSLDGSTKTDAETNSRLVLTIAFQFTFDNHSRDNVATMARQYVRNVVGSIQRVALAITPRPGSMQFPTSPEALTLARWISRSYSLHTGANMFGADTQGCDGDTLLKQLWNHSDAILCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKTLDDSGRKALCSEFAKIMQQGYANLPAGICVSSMGRPVSYEQATVWKVLDDSESNHCLAFILVNWSFA >A08p018400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12694523:12694918:-1 gene:A08p018400.1_BraROA transcript:A08p018400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQTTRRKHALHRPHITTAKRATPENCMAFLRSTPGTWSYDSNLHLLGFCQWRASIEITRDLIRNTKPPHPSTTDSSSTPRTPSSPDLKIPNFNRPRSRSPPRRTNHQPQSSKSPQSCRRPSDKIDAET >A03p023590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9965002:9966924:1 gene:A03p023590.1_BraROA transcript:A03p023590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMSIIFHGLKLVDELKSSLQEKSPESLSTSLDEITKTFDDAKERLKIVLVIRNSETTMNQVKPVISSCSDRMLMQIEPDLMHEYWLRYGGSTSSHGTEAVTQRQLMAVDGDGGRNLTATERSGGSGSGSSTPRQRRRKNEGEEQTVLVPALRSGNTDLPPDDSHTWRKYGQKEILHSKFPRAYYRCTHQKLYNCPAKKQVQRLNDDPFTFRVTYRGSHTCHIYSTAPTASAAAPTALITTTTTTSHPVDYGPFFDMAEAMMLGSGGIGANMDFIFPCNDPPHHHHC >A09g514840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44248989:44255828:-1 gene:A09g514840.1_BraROA transcript:A09g514840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4290427:4290831:-1 gene:A04g501770.1_BraROA transcript:A04g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSLSLKVKNSSGHFRNLAWTWASSPLDPKCRMSNVSTSIDGTCVHRSILIFICRGISWCRSTALDVHRSIVLPLVDLYMVSSDEISFKLQNAPKS >A09p077100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57296711:57300644:1 gene:A09p077100.1_BraROA transcript:A09p077100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLGRTPESLKASGRSSEKLSLPILQGKIKRDPDGYETELQLIYKQFKASVDLFQQQAALSFSSVGSDPSVAKDLGDRAMFLAHVTPFYPKQLAEFPSQLTDLLRTSCLAMPSGLRNHVSQALILLMNRKSLVIEDLLALFLDVQCIGDRNLRKLAFSHIVQTIRKMSVTDPRHKGLQKIVISMLEQEDETKAKRALVTLCELLKKKVWLGDRHERVAIAICEACFHASPRIMVSSLRFLLDYENIEDEDDSDASDNDDDEDAKPENHVVINREAVYKANNKGTSSSKKKKQAKLQRAMKSIKKKQRASSESTTSTYSPLNHLNDPQKFAEKLLSRLQTGKSIGKTSERIETRLMMMKVIARTIGLHKLQLLSFYTYLQNYAKPHEKDVTQILAAAVQACHDGVPSDAVKPLFMQIVNQFVHDRSRPEAIAVGLNVIREMCLRIPELMTEELLQDLALYKKEHEKAISAGARSLIALFREINPSLLVKKDRGRPGGPVAKPKQFGEVNVFSDVPNVELLQESDHESGSDEDDDDAELPGKDSGSKEKAKGKKRKMVDFDANLLSADTSLRALKRFAEAKSEQPCLDENDGILSNEDFRKIKDLQAKKEAKLALARKGFKVPDSDQLSKKRVNPAKLEAHIRHKLTKEQRMELVKAGREDRVKYQSRTATKQKKVGGSSNKQKEHKKNMPLAAIRSKAGRSKRSKKMKKSNSGTQFRGRKAWK >A06p037680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20410194:20412357:1 gene:A06p037680.1_BraROA transcript:A06p037680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAFTGVSKLKQFSASGGLTVEMVLLDDNELPMALTGLDPVNFHAILDGSLKPDNLVGQIVEVSHMDVISVNGKETPKISLDNERLPVVLWGKFASDVNEAITRGEDSIICVLRFSKIKVWKDDQSVYNADNVFDLSLNPDMQETMSRVRVSIARLWKQFSAAGGLTIEMVLIDSSVSFNNLIYVKCIMV >A06g502900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9412171:9412341:1 gene:A06g502900.1_BraROA transcript:A06g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDGTSLLQGRTAEPVRRQTQRRAGIGEVHGTDDVDGFDLLLVGELGHAREQERQ >A08p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2595225:2597214:1 gene:A08p004440.1_BraROA transcript:A08p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRLVFLLIVLLIQSSFLFEISSALQEGKTCILNDNCDAGLHCETCLANNNLRPRCSRTQPINPISKVKGLPFNKYAWLTTHNSFARLGQVSKTGSVILAPTNQQDSVTSQLANGVRGFMLDMYDFENNIWLCHSFDGTCFNFTAFQPAVNVLREIQVFLENNKDEVVTIIIEDYVKSPKGLTKVFNAAGLQKFMFPVTRMPKIGGDWPTLDDMIQQNQRLLVFTSDRSKEATEGIAYQWKYMVENQYGNGGLKVGACPNRAQSAPMSDKSKSLVLVNHFPDAPDLVVACRQNSAPLLESIKACYQAAGQRWPNFIAVDFYKRSDGGGAPQAVDVSNGNLICGCDNFAACKANGECG >A07p015520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9615643:9616513:-1 gene:A07p015520.1_BraROA transcript:A07p015520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARGQKKRARQEKPHFLNPRHSKLSNHEQSMVDSEALLYIIMLKLKIEALQRKAEVFKIIKREPLHQFQDVKVEKIGEKFQVKIKSLKGDDKLINILEAFEEMGLSVAQARASCQDTFVMEAIVVPQSKDKLWSVDDMTDTLVKALYPL >A03p005290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2218245:2219254:1 gene:A03p005290.1_BraROA transcript:A03p005290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPQLMPNGMPVPFVNEMFVLVRDGVEFEVDKIPGGHGGHVKAKGVIYLSNIRMVFVATKPVENFVAFDMPLLYIHAEKFNQPIFHCNNISGQVEPVVPENEHRALYSTHSFKILFKEGGCGTFVPLFLNLISSVRQYNRQMQQAAEAPRVDPLQAAQTPVDEMMRHAYVDPNDPTKIYLQQPSGESQLRRRAYHSSAAGH >A02g504880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:15583090:15584022:-1 gene:A02g504880.1_BraROA transcript:A02g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSGPASLPRPPSDPPDPKLKVALPSNPPDPPVPPDPPPDTLSFTGLLQLYDLWVTLTVPHKFSDPKLGLMISYELGLLDPSFSLLVPTVSVTFSYATVAFVGTFVVYVWSFTAVCSCPFTAVCRLPSTFALMAFVMIWHSLLLWQLGVKVLKLCILPANLVCLGFNCPPFSFKELFFLPHLSLVTSEIVIGSIVLKMVLFEAEAKMFIVSRLDGVNYLTSLTMEGFIPPLYCFEEECQFEEVFLFDCPLSETTVVELVISPLSLSFYLSTCCLSFLISLSSVLVYALVCLAPCSLIVSSIDGVELF >A09p056730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48104107:48106072:1 gene:A09p056730.1_BraROA transcript:A09p056730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCPILRPWDRTRDSYLDNIPCLSDPVRRSSLFMKLGLVALHLVFIGFLFVCDGEFIEKTKRDPWYMASYLLLFSVTLLQYFVTSGSSPGYVIDAMREVSGTDAIYRNTPTTSIQQHGSRKNGSFAINVEGESASSGGGRRTPASSWGKLVLDLYPPGTSLRNLTCGYCHVEQPPRAKHCHDCDRCVLQFDHHCVWLGTCVGQKNHCKFWWYICEESALCIWTLIMYIDYLTNVAKPWWKNAIIILLLVVLVISMIFVLLLLLFHSYLILTNQSTYELVRRKRIPYMRNIPERVHPFSRGIKRNLHNVCCGNHTLDSLPTAFELEDRARPYTCLDMLKCRCC >A10p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9728860:9730077:-1 gene:A10p006430.1_BraROA transcript:A10p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEPEHETRDAEEAGANEDEDTGAQVAPIVRLEEVAVTTGEEDEDAVLDLKSKLYRFDKEANQWKERGAGTVKLLKHKDTGKIRLVMRQSKTLKICANHFVKPGMAVQEHVGNEKSCVWHARDFADGELKDELFCIRFASIENCKLFMQKFNEVAESEVEKEESKDASETAGLLEKLTVEETKTEEKVKTEVEAEEKKKSEPEKADEEKKTEEAAPST >A07p037490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20058028:20060159:1 gene:A07p037490.1_BraROA transcript:A07p037490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKIQLKRIENPVHRQVTFCKRRTGLLKKAKELSVLCDAEVGVMIFSPQGKLFELATKGTMEGMIDKYMKCTGGGGRGSSSATFTAQEQLQPPTLDPKDQVNELKQEIDMLQKGIRYMFGGGDGTMNLQELLLLEKHLEYWISHIRSAKMDIMLQEIQSLRNTEGVLKNANKYLLEKIEENNNSVLDANFATVETNYSYPLTMPSEIFEF >A05p007100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2859941:2862974:-1 gene:A05p007100.1_BraROA transcript:A05p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 7 [Source:Projected from Arabidopsis thaliana (AT2G45880) UniProtKB/Swiss-Prot;Acc:O80831] MVTDMQKLLGASEEDEEEEMDADVKEEDDGNRGSHAASGSSNDEFMFHQSSMQDQVETPGGSRRSRPVEEKERTKLRERHRRAITARILGGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSKSQGTKPPAVVGGSSASHQSSPPALRGGSLSGHRSPTELNTCRMKGVFAPTTPSPYDVQSSELVGSLNKADGLIGCPVDVINSKQILEIPPNLTEQDFSGTPYVPVYVMLPLGVINMKCELADRDGLLKHLRILKSIQVDGVKVDCWWGIAECHSPQEYNWNGYRQLFQIVRDLNLKIQVLMSFHECGGNVGDDVCIPLPHWVAEIGRINPDIYFTDREGRRNPECLSWGIDKERVLRGRTALEVYFDYMRSFRIELGEFVEDGVISMVEIGLGPCGELRYPSCPIKHGWRYPGVGEFQPSLSASLLPLQHNDHYVALVQCYDKYLLKSLRKAAESRGHPFWARGPENAGSYNSQPQGTGFFCDGGDYDGLYGRFFLKWYSQVLIDHADKVLSLAKLVFDTSCIAAKLPDVHWWYRTASHAAELTAGFYNPSNRDGYAEIASTLKKHGAALSFVSGEVQVLNRPDDFSGALGEPEAVAWQVLNAAWDTDTPIARENSLPCHDRVGFNKMLESVKFPNDPDRRHLSSFAYSRLVPALMEGHNLVEFDRFVKKLHGEAVMDHQHHHQQV >A04p029670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17731889:17737791:-1 gene:A04p029670.1_BraROA transcript:A04p029670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIHFESSDQWTRSVQDEETSNTASPQHEQGGGGIQEAWAEIETRGGGGHFAGESRNNKLEKRKSQVLLEGYVEASAADDYQEDLTRGKSLTDDDLEELKGCLDLGFGFSYDEIPELCSTLPALELCYSMSQKFLDDQQKSSDTCPAEDASPPPPSTTGPVANWKISSPGDNPDDVKARLKYWAQAVACTFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKEYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGITGPKTPLPDVVIIHTPKEEEVNSAPAQVDAPAAFVPEAPLTAVDYPEMIPVA >A09p015820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8213420:8215332:-1 gene:A09p015820.1_BraROA transcript:A09p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) UniProtKB/Swiss-Prot;Acc:Q9M4A1] MEEDASTKFFSDQNTSYADILPSREARARIEVSVLNLLRALNSPDPAISHLSLINRKRSNSCVNQGILTDVSYIFLSTSFTKSSLTNAKTAKAFVRVWKVMEMCFQILLQEKRVTQRELFYKLLCDSPDLFSSQIEVNRSVQDVVALLRCSRFSLGIMASTRGLVAGRLYLQEPGKEPVDCSACGSSGFPISGDLNLLDNTIMTSDARYIILVEKHAIFHRLVEDRVFNHIPCVFITAKGYPDIATRFFLHRMSITFPHLPILALVDWNPAGLAILCTFKFGSVGMGLEAYRYACNVKWIGLRGDDLNLIPEESLVPLKAKDSQIAKSLLSSKILQENYREELSLMIETGKRAEIEALYCHGYSYLGKYIGTKIVQGKYI >A01p051890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29084759:29087171:-1 gene:A01p051890.1_BraROA transcript:A01p051890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEDILHHQQVQQQEENMSNLTSASGDQASVSSGNRTEVSGSNYFPHHQQQEEQQQFSVPESQPQKKRRNQPGNPDPESEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYVCPEASCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDCKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCEALAEETAREVVIPQNQNHQPNPLMIQQSSSSSHHQARPTLNISSSSSSSHNITNSLYVETNHNGTNNSNTSSNHLHTFPMKIEQQQSNDQIINYHHHSIPPWLAPQPQDLTSSNPNPSNGGGGGLFSLAASPAMSATALLQRAAQMGSTKTPPLPPTTVSERSAHHNNLTTTMAAMMTSPSGFISSNNNNNNQVLFQDYNASEFDHNGGEEAFDDTFGGFLRTNVDTTTTSGSDKNKSGGGGGEEGLTRDFLGLRPLMSHNEILSFAGLGNCISGSASDQLHPKPWQG >SC149g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:33829:34328:1 gene:SC149g500030.1_BraROA transcript:SC149g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSLSSLHDSREIKLRNIIALEQCHYPKHTHVYSYALFLDYLIDTDKDVDLLLEKRILKSWIWQPTKVAQMVNKLMTVIVDPGSYYYDIAGEVNKYYRNPMNWSKTILWRVYFGNPWTGTATIGATFLLVMTLIQT >A03p063660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27634489:27638762:1 gene:A03p063660.1_BraROA transcript:A03p063660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLFGKPKPETNALQTLDKLNETLEMLEKKEKVLLKKAGQEVEKAKEYTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPTTVLPSVPAGRQQARYFTIRGRPVCIDKHEQAMYIWISMSKFKTLSGRTYNNNCRQGEGKKARPKYLEASSTIFISNIFPERGEKGVSRVSDFIHHHEQRIVMSKKRGLSLEEKREKMLQIFYDSQDFFLLKELEKMGPKKGVISQSVKDVIQSLVDDDLVAKDKIGISVYFWSLPSCAGNQLRSVRQKLESDLQGSNKKLAELVDQCDALKKGREESEERTEALAHLQDIEKKHKELKNEMVQFADNDPATLEAMRAAIEVAHQSANRWTDNIFTLRQWCSNNFPQAKEQLEHLYTEAGITEDFDYLELSSFPLSSSSHDPETPKQLLVEDQA >A07p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3876433:3881261:-1 gene:A07p002360.1_BraROA transcript:A07p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSQNTLGRLLSKSSNAFYARRLHTKSSRSLLPKVHLNVFEFAVFSDMRQTLKDFLENSQNTLGKSSKDFFARRLSMKSSHEVSRKSSEIFYFSDLNHTLKNFSEDSRKTS >A04g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18538697:18539433:-1 gene:A04g507460.1_BraROA transcript:A04g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKREEGMVPEKWLSPRFRRESMHCDRTGGFIAPNSIIGFAKVAMAAIKLVHELSESLRLIGMTSNITAVINVYTEGA >A02p056260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33969807:33980265:1 gene:A02p056260.1_BraROA transcript:A02p056260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIRYGGPDVIIVGAGVGGSALAYALAKDGRRVHVIERDMREPERMMGEFMQPGGRLFLSKLDLQDCLEGIDALKATGLTLYKDGKEAASPFPVEDNNFPYEPSARMFYNGRFVQRLRQKASSLPNVRLEEGTVRSLIEEKGVIKGVTYKNSLGEESTAFAPLTVVCDGCYSNLRRSLNNNNAEVISYTVGYVSKNCRLEEPEKLHLVMSKPSFTMLYQISSTDVRCGFELFSNYFPSIANGEMASFVKNDLAPQVPPKLRKIFLKGIEEGAKIKVTPAKRMSATLSRKKGVIVLGDAFNMRHPAIASGMMVLLSDILILRRLLKPLRNLGDANKVSEVIKCFYVIRKPMSATVNTIGNAFSQVLVASTDQAKEAMRQGCYDYLSSGGFRTSGMMALFGGMNPRPLSLIYHLFAITLSSIGQLLSPFPSPLRIWHSLRLCGVSLKLLIPHLKAEGVIHMMSPINAAAYCKNYMTATAV >A06p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21568216:21572963:1 gene:A06p039900.1_BraROA transcript:A06p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGNENADGDFSFSAAAARDALPRITTEKGAKSADVCHDDTAPRVNFQTIDELHSLQKKRSAPTTPLKEGGGVVMGTSGPTTPSSGETMLQSVSASLASLTRETGPKLIRGDPTSAAKVAHVPHTPTSVPAADVSDSGLKFTHILHNLSPAELYEQAIKYEKGSFVTSTGALATLSGAKTGRSPKDKRVVKDETTATELWWGKGSPNIEMDEQTFLVNRERAVDYLNSLDKVFVNDQYLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGKFPCNRYTHYMTSSTSVDINLGRREMVILGTQYAGEMKKGLFGVMHYLMPKRKILSLHSGCNMGKEGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDAGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYNDKSVTENTRAAYPIEYIPNSKIPCVGPHPKNVILLACDAFGVLPPISKLDLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQAQGATGWLVNTGWSGGSYGAGSRIKLAYTRKIIDAIHSGSLLNATYHKTEIFGLEIPNEVEGVPYEILEPMNAWEDKEAYKDTLLKLAGLFRNNFETFTSHKIGDDGKLTEEILAAVLENVVFDEHTREVDYNDKSVTENTRAAYPIEYIPNSKIPCVGPHPKNVILLACDAFGVLPPISKLDLTQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQAQGATGWLVNTGWSGGSHGAGSRIKLAYTRKIIDAIHSGSLLNATYHKTEIFGLEIPNEVEGVPYEILEPMNAWEDKEAYKDTLLKLAGLFRNNFETFTSHKIGDDGKLTEEILAAGPNF >A08p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3118931:3120318:-1 gene:A08p005400.1_BraROA transcript:A08p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRFEDEEVEESYQRLRERERSHAYLHNCARAYCSTMDHTYLIPHLRLIMVQWIVQVNIIIMWSEDIKLVELYIKLVEQSNVIDVPGLSYRNIPSVASTAIGELAISKGRQGREAQNLLRVYVANIRLANIHLKGVETGVLVTTYELILIK >A09p012310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6270797:6272876:1 gene:A09p012310.1_BraROA transcript:A09p012310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLGGLGHILTTVFLSSFAGFLVRPVMTDVTVAAVCSGLNDSCSLAVYLTGVQQVTVGLGTMVMMPVIGNLADRYGIKALLTLPMCLSIIPPAILGYRRDTLFFYTYYVIKIIFDMVCQGTVDCLAQAYVAKNIHGTQRISMFGVLAGVRSISAVCATFAARFLPTASTFQVSNNVGFEWHADHKNADQTENADQAEQIQIMQNKCRSNLYDVY >A03p062960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27322183:27324674:1 gene:A03p062960.1_BraROA transcript:A03p062960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDSSDVQTVETIIPSPTVAESPEASFQVSCHKTCPSCGHKFKFHEQAGIHDLPGLPAGVKFDPTDQEVLGHLEGKVRDDARKLHPLIDEFIRTIDGENGICYTHPEKLPGVSKDGTVRHFFHRPSKAYTTGTRKRRKVYTDSEVGGETRWHKTGKTRPVFTGGRVKGYKKILVLYTNYGKQKKPEKTNWVMHQYHLGTNEEEKEGELVVSKIFYQTQPRQCSGSLSAAATAKERPYLHGQHLGGGGSRHLYHNNGNVKGNCGGGSAGASEYYNNMPAIISFNQSGIQNHLVHGTQPFIP >A09p070460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:54606921:54609425:-1 gene:A09p070460.1_BraROA transcript:A09p070460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCFRYSVSLFMTIVAIVLLLPRHTLSATSPYSRPEKFYVNCGSDSNITYGGRTFVGDMISGGNSLSFSSKGTEAINQSGPGIYGTVRIFRRPSSYNLQLDSVGLHFVRLHVSSRTDLLTSRFTVSATSGSTHHFKSFSVQNFTETPRVEEFLLMINSRKLEIRFVPEPTYVALVNAIEVFSANNDLEITPESDKNLHTVYRLNVGGQKVTPENDTLGRTWSLDDDFLYQRDAARNNRTAQQPNYDSGSATEYTAPAFVYQTAKAINRSSSDQTDMLMNVTWSFKVKNKTKHFIRAHFCDILSGSQSPDSDFYLYVNGRVRKNVNPSEQIRLVTPFYIDVVDVSDGSGLLNVSIGTKEPRKDAGFLNGLEVMEFLNKSDSSDKSSSLVYIIIGCVAAGLVLVLSLLLMVFLKRRRSKKKKKKPEEEATVWSPVELYRGGSSDNRLFDPVYNSPLRTNRPFDPVHNSPLRNLNLGLKIAFEDIRRATNNFDPQWLIGKGGFGDVYKAILPDGSRAAIKRAKTGSGQGIQEFQTEIQVLSRIRHKHLVSLKGYCDENAEMILVYEYMEKGTLKEHLYGSDMPSLTWKQRLEICIGAARGLHYLHSGSEGIIHRDVKSTNILLDENNVAKVADFGLSKLAVREQDPVNISMNIKGTFGYLDPEYLQTHIFTEKSDVYAFGVVLLEVLCARPALAHDLPHEEVNLAEWALFCLSKGIVDEVLDTKLIGQIEASSLRKFMEIVEKCLRDCGEERPSMGDVIWDLEYVLQLHMTPVQREPHEDSTMTISGVGGGNSLVVPRLMVSDSFSENSFVQKKSSDGDSSETQVFSQLKISEAR >A10g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15323629:15326372:-1 gene:A10g505920.1_BraROA transcript:A10g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSVLSHVFLTNLSLLQVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWIFLSVLVGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTVRRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A01p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9865707:9867776:-1 gene:A01p020060.1_BraROA transcript:A01p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein PHOS34 [Source:Projected from Arabidopsis thaliana (AT4G27320) UniProtKB/Swiss-Prot;Acc:Q8L4N1] MNPDSDYPHLPNIKIHHPSSPRHSHHHSSSTPSAATPTPTAGARRKIGVAVDLSEESAFAVRWAVDHYIRPGDAVVILHVSPTSVLFGADWGPLPLQSPPPPNHPSQEDFDAFTSSKVSDLARPLKEAGFPHKIQIVKDHDMRERLCLEIERLNLSAVIMGSRGFGAEKKRGSDGKLGSVSDYCVHHCVCPVVVVRYPDDRDGPAAPGEEGGGTREAIVTVKAGRDDDGEDDEAHEAKIAAASAHHEHVKGWMETKGFKQSCAEECVLCVLCYLLQSSSPWNLL >A01p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8707410:8710110:-1 gene:A01p017890.1_BraROA transcript:A01p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGLEVKPGKPQAFHPKNEQGKLHLTQATLGSGSGKEKSVIQCSIAGNTPIYLCSLLPNKTECCPLNLEFEDDDETVEFSVAGDRSIHLSGFLEEYDDGEEYEQDEDDSDGMEIAEMGSEESSDYDSEDDEDEDEMDEDQMDKFEDFLDRNLEMYRQSSVPNSGVVIEEIEEDEEKPVEDKKTKRAKKKSQATKDENAGKQIIVKESAHASDLESEDDDGFPIPKENKSSEKMSSDADQQGSNKKRKAKASEQDGVQESENKNKKKKNQKEKKKGESASNEKVETVSVLKKKETTQTSSNQKAQNETKNNAMSQSSKTPDKSAEKKNKQKNTSEKAAVENSKASQEQTYPNGLVVEELKLGKPNGKQATPGKQVSVRYIGKLQKNGKIFDSNIGKAPFKFKIGRGEVIKGWDVGVNGMRVGEKRKLTIPPSMGYGSRGAGGQIPPNAWLSFEVELIDVK >A05p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18257044:18260398:1 gene:A05p031700.1_BraROA transcript:A05p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G34180) TAIR;Acc:AT1G34180] MCLLDGSWTSSANFSGCGWAWIDSSGNAQLMGTRNFPRRESALHSEVEALQWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQICFPDFKITHVPRARNQTADFLAKTARSFHRKLCFIGCSIPVWLPRSPQTDSLSEMANSCLKEGRFSGPGFRFHPTDEELVVYYLKRKICGRKLRINAIGVVDVYKVDPTELPGLSVLKSGDRQWFYFTPRNRKYPNAARSSRGTATGYWKATGKDRIIVYNSRSVGLKKTLVFYRGRAPNGERTDWVMHEYTMDEEELGRCKNAKEYYALYKLYKKSGAGPKNGEEYGAPFQEEEWVDDDDDREEADNVPVLDDHVVRYENNPRVDDGVFCNPVNVRSDDFNKLLNEIPYAPGLAPRQMNELSGVMQVNNEEEIQSTLLNNSSGEFLAPSEVGMFLPNYQPNSMHSSYQSHAANSFDATEVKSAFNASGMAPFLFEKEDYIEIDDLLTPEKGAASVEKPAQFLNPGEYEHFNDYDQLFHDVSMSFDLEPVFQGTSTDLTSLSNFADNGRQHFLYQQQFQNQTLESQANSFMDPNPNVNQFIDDMWFKDAQADLYDQTQSSSLAFASPSSGVMPESKNPVMSVNAQEQECQNGGETSSQFSSAMWALLDSIPSTPASACEGPLNRTFVRMSSLSRIRFSATSVTTSKVTAAKKGIGNRGFLLLSVVGALCAIFWVFIIATVGVQGRHRDLNLS >A08g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8759211:8761218:1 gene:A08g505290.1_BraROA transcript:A08g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYQNEVRKEETSKKKRRLSAKKRKCRRRLVFAIITDIEFVAIYTCRRRPCFSFSDPFLPVFFTVVATTTTCHHTGHLSLFQSLSLFLCVLNLRRTSHGNWTAVAKEEDNNDLPALVIWKKHMVV >A01g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10395679:10397673:1 gene:A01g503250.1_BraROA transcript:A01g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILFTDTLTSVRISSRFIVIAPVRALIVSHAVDGSPLRPSLKVSRDDVVKPFGSLSTGVVIFWGVPHFSSVTVSHNHRDSPMLCNCNSGLTAGKALTFEVVTSQNPRFMKHRSDLPPSPLVMVYACLLSHRGGDLLRRASPRRLRLISEDRRSAPTLTPSSVPLNRRCSLSGDPSSCQRQRNSIAQACEMGLFACRLGLVSLLNFIQSHSIVSKPICLWGRLVYLANLLKNSDGFIGLFTETIMLTMSYLSFLKRTLIIFLPVGSLGSLSSSSPYSSPSSSSPAFSRRSVSPSAHVRRCISKSITVLLSCGAVRSGPEDAADLVSTIFRGADWISTSLFNVTKFQPSGTAVILTHSSFAMNSLSLYLRGFSKSIVCVVWFYVCSSMNSCRL >A06p020960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10349546:10352067:-1 gene:A06p020960.1_BraROA transcript:A06p020960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGGTMRNSPSYGSSGGHSRHFDTILSPLVKTGAGASNRSYAFFSFFLFLLLGVFLTTRLLLDPSVLIAKETALVTETLKAGESPKYPQSTKLITEKPKEFKLNCPAFSDNATATCPRDNYPTSLLPGSREADSGRSPPATCPDYFRWIHEDLRPWEKTGITREALERANATANFRLAIIDGRIYVEKLREAFQTRDVFTIWGFVQLLRRYPGKIPDLELMFDCVDWPVVKAAAFGGFEQLTPPPLFRYCGNNETLDIVFPDWSYWGWAEVNIKPWESLLKELKEGNQRSKWVDREPYAYWKGNPTVADTRLDLMKCNVSEGHDWKARLYQQDWARESKEGYKQSDLASQCHHRYKIYIEGSAWSVSEKYILACDSVTLLVNPHYYDFFTRGMLPGQHYWPVKEDDKCRSIKFAVDWGNQHKLKAQDIGKKASEFVQQELKMDYVYDYMYHLLTQYSKLLRFKPEIPKNSTELCSETMACPRDGNERKFMMESLVTHPAETGPCTMPPPYDPASFFSVLKRRQSTARRIEQWESKYWRKHNQTRS >A09p020190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10724723:10727296:-1 gene:A09p020190.1_BraROA transcript:A09p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFQIWKTSETNYMFLRYGFDMQVFQIWKTSGTTYLRLPESLPDYFHFSRLDFLEVVWTSWTSSGLPGSLLTKSSSISSGVQALRFTIQSSVRRLTCKSSVKRLTCKSSRIYEVLWRFFCNQTKSDDLTFSHLRKHISKSIAKITSALTRRLPGKSSTASRQTTYRLLQEHIILNESDPPRIVSFFDSMNH >A04p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18801516:18805604:-1 gene:A04p032170.1_BraROA transcript:A04p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHTMHRESPDKGLDSGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEAARLQTVNRKLNAMNKLLMEENDRLQKQVSHLVYENGHMKHQIHTASGTTTDNSCESVVVASGQQHQQQNPNPQHLQRDANNPAGLLSIAKEALAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAISRNCSGIAARACGLVSLEPMKVAEILKDRPSWLRDCRSVDTLSVIPAGNGGTIELIYTQMYAPTTLAAARDFWTLRYTTCLEDGSYVVCEGSLSAATGGPTGPPSSNFVRAEMRPSGFLIRPCDGGGSILHIVDHVDLDALSVPEVMRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYGGGRQPAVLRTFSQRLSRGFNDAVNGFVDDGWSPLGSDGAEDITLMINLSPGKFGGNSFLPSFGSGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDAYAAASLRASPFAVPCARAGGLPSNQVILPLAQTVEHEEFLEVVRLEGHAYSPEDVGLARDMYLLQLCSGVDEDVVGGCAQLVFAPIDESFADDAPLLPSGFRVIPLEPKSTSNNASVNRTLDLASALEGSTRQGGEADPNGCNFRSVLTIAFQFTFDNHTRDNVASMARQYVRNIVGSIQRVALAIAPRPGSSISPISAPTSPEALTLVRWISRSYIVHTGVDLFGSDSQTSGDTLLHQVWSHTDAILCCSMKTNASPIFTFANQTGLDMLETTLVSLQDITLDKTLDEPGRKALCSEFPKIMQQGYAHLPAGVCASSMGRLVSYEQATVWKVLEDDESNHCLAFMFVNWSFV >A03p045970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19331440:19332531:1 gene:A03p045970.1_BraROA transcript:A03p045970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTMKIQPIDIDSPSVAKPEAAGKPVLKSRLKRLFDRPFRNATSEKPFPGGEAQSATEFEPSSVCLTKMVQNFIEENNEKQAKCGRNRCNCFNGSNNDSSDDDESDLFASDHLKSLIPCATVAESNLLADVAKIVDKNNKSVKRKEEMRKMVNEGLLSLRYDSSICKSKWDKSPSFPAGEYEYIDVIVGEERLLIEVDFRSEFDIARQTSGYKALLQSLPFVFVGKSDRLSQIVALISEAAKVSMKKRGMHLPPWRKAEYMRSKWMSSYTRASGNAEELPLAAAAAEMEVDCEEIELVFEEKSLSPVVVKASSSVDGDGADAAVKAVTGLALLFKEKP >A06p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4282407:4286035:-1 gene:A06p011530.1_BraROA transcript:A06p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNEVIPTIDLKGVPDEKLNQRIREASERWGCFKVINHGVSSSLLSEMKKTVTDLHERPHEVKIRNTDVILSSGYKPRSELNPLYESFGLFDVASPQAINTFCDKLEASAEQREIMVKYGKAMDGLAKDLTRMLARSYELADPDICKEWPSQFRISKYHFNPEKVGKNGLITHTDPGFLTIVHGDDNVGGLEAMDHSSGTYYPINTSPNTLTVNLGDMAKIWSNGRMCNVKHRVQCKEAKMRITTSTFLLTPMDEVVEPPSEFVDAEHPRLYKPISDGELRKIRLSNNMHDGESFQFITLK >A01g505220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:15633762:15634004:1 gene:A01g505220.1_BraROA transcript:A01g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVNDTTQLPPPLVDAHGEEREREAAWGERGEKEAWRGKREERCGKERERGERQHGERERKSMARASGLREFSAGLRF >A09p022800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12545957:12546511:-1 gene:A09p022800.1_BraROA transcript:A09p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CML37 [Source:Projected from Arabidopsis thaliana (AT5G42380) UniProtKB/Swiss-Prot;Acc:Q9FIH9] MTLANIKKSPLARLRSKLSSRKSESSAEEEEARSSTSSNRSSSLNVDELRTVFDYIDANSDGKISGTELQNCVSLLGGALSSREAEEVVKISDVDGDGLMDFKEFLKLMEGEDGSDEDRRKELREAFRMYVTEGEEFITAASLRRTLSRLGESCTVDACKVMIRGFDQNGDGVLSFDEFLLMMR >A07p003060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3442052:3442552:-1 gene:A07p003060.1_BraROA transcript:A07p003060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGQNQDGPHKTTRVQAPPQYPQNATIVRSDAAWSPLGTMAGLGWMLLSPSSQRLFHKRVAGVNSALMAEGLALLEAFKSGTSEQLKTVVFESDSAHLIRFLKTGVCIPELYGVTADILSYASIFDSVVFSWISRERNTQADSLAKFALNVSDNMVVDEAFMASN >A02p006470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2731844:2733634:1 gene:A02p006470.1_BraROA transcript:A02p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIFLIRSRLSLLRASAFTCSRSVTVLPYSSSKRIVQPCLDPPIARMLPLSLCSSFSTSKFDNDNDNDNDDDEEDEEEIESTIIDNKVVSEDVQTISNLLKACGSNRKELREKLEECTVKPTNSLVVEILSLSRNDWETAFTFFLWAGKQQGYTHSIREYHSMISILGKMRKFDTAWTLIDEMRKLTPSLVNSQTLLIMIRKYCAVHDVAKAINTFHAYKRFKLATGIDEFQSLLSALCRYKNVQDAEHLIYCNKETYPFEAKSFNIVLNGWCNVIGSPRQAERVWMEMGNVGVERDVVSYSCMMSCYSKGGSLNKVLRLFDRMKKEGIEPDRKAYNAVVHALAKGGFVAEAVSLVKTMEEEKGMEANVVTYNSLIKPLCKGKKTEEAKKVFDEMLEKGIVPTIRTYHAFMRILRTGEEVFEMLGKMREMGCEPTVDTYIMLIRKFCRWRDFDSVAVLWDEMKVRGVGPDLSSYIVMIHGMFLNGKVDEAHGYYKEMKEKGLRANEKAEEMIKSWFEGKECAEKGVMGLKGDVSGDVEDMVQSCYAEKGMMGLKGDLRGVGKESTVKKAEREGNFLQQPEVRRVVRGHGYSFWDE >A01p016030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7935775:7936256:-1 gene:A01p016030.1_BraROA transcript:A01p016030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEDTNSEVFFHSFLRTGLWFSKPGLRQFHSVVRVVLHLGVLGESSSLELTQLSTTMSLKSFLGNCPTGYSYPRPSLVHCWWRCCRVGGECIVGFFACTSICVSQPFSPLFHLAFNNANGYKLKRAHQELDTLEMSRENFGIE >A07p003640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3155839:3156695:-1 gene:A07p003640.1_BraROA transcript:A07p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEIRERLESFRARNITFLLQSFERKKENKTSGRLMKDSWKTYVRFMEDLWKTYERLMEDLCKIHERLMEDFDLGEKPKLFQNLDLIHEKTFSGKLLEDLWKTYGRLLENLWKTPRRLM >A09g507550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:21792404:21792994:1 gene:A09g507550.1_BraROA transcript:A09g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPHPVHPAENEAWWVAHYGLMTPPKEKSFPVLIHRGVEKGDASRSTDEFLAIMRSFYHIPDAVEFRIPYPEECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRNFMSVVKKFISNFNSWKKFFFFVRTLQENQ >A07p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19720414:19725082:1 gene:A07p036830.1_BraROA transcript:A07p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) UniProtKB/Swiss-Prot;Acc:Q93Z24] MQAHKGRLEGFDDVGHGFGDVDQAAIFCRNFRRGTMAKISRPSTGRHHLAFVLLLFLVAVGICVPVFALLPSLSSRQSLPPALSRDEKMMSRRFYIKDDKFWKDENTFQIIGGDLHYFRVLPEYWEDRLMRAKALGLNTIQTYVPWNLHEPKPGKFVFEGIADLVSFIKLCQKLDLLVMLRAGPYICGEWDLGGFPAWLLAVKPPLRLRTADPAYLKLVERWWNILLRKVFPLLYSNGGPVIMVQIENEYGSYGNDKAYLRNLVTMARGHLGNDIILYTTDGGTRETLEKGNVPLYNVYSAVDFSTGDDPWPIFELQKKFNAPGRSPPLSSEFYTGWLTHWGEKIAKTDAEFTAASLEKILSRNGSAVLYMVHGGTNFGFYNGANTGSDQFDYKPDLTSYDYDAPIKESGDIDNPKFKALQRVIKKYSAPPHSNVPSSNKRKAYGSIKMQRTISLFDLMSMTDPVDVITSTNPVSMESAGQMFGFLVYESSYISKKSGNILRIPKVHDRGQVFVSCLSQDVDGGVLRYIGTTERWNNQPVSIPTTECASNTSLFILVENMGRVNYGPYIFDEKGILSPVYLDGQILHGWKMIPIPFHNLNQMPNLSFEMQHTKKRSKKFELTKDVGQNEPALFAGEFSINSVDEIKDTYLSFNGWGKGVAFINKFNIGRYWPSVGPQCNLYVPAPLLKAGRNYVVIFELESPHVDLLLRSVDQEDFTCGSNYSKVNQL >A01p030120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21223487:21225762:1 gene:A01p030120.1_BraROA transcript:A01p030120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGAVAQSLIDVVNEISSVSEYRTTVKKLCSNLARRLKLLVPMFEEIRESNEPISEDTLKTLVSLKEAMSSAKNHLKFCSQGSKIYLVMEREQVTSKLLEVSVQLEQSLSKIPYEDLDISDEVREQVELVLSQFRRAKGRVDASDDELYEDLQSLCIKSSDVDDYQPTLQRVAKKLHLMEIPDLAQESVALHEMVASSGGESIEEMAMVLKLIKDFVQIDTDNSEDQSAGVNSSSNGQTSTAATQKIPVIPDDFRCPISLEMMRDPVIVSTGQTYERTCIEKWIEAGHSTCPKTQQALTSTTLTPNYVLRSLIAQWCEANDLEPPKPPSSLRPRKVSSFSSPAEANKIEDLMWRLAYGNPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLATPDSRIQEHSVTALLNLSICENNKGAIVSAGAIPGIVQVLKKGSMEARENAAATLFSLSVIDENKVTIGALGAIPPLVVLLNEGTQRGKKDAATALFNLCIYQGNKGKAIRAGVIPTLTRLLTEPGSGMVDEALAILAILSSHPEGKAIIGSSDAVPSLVEFIRTGSPRNRENAAAVLVHLCSVDPQHLVEAQKLGLMGPLIDLAGNGTDRGKRKAAQLLERISRLAEQQKETAAQAQNTEEAEPTHSASTTEAADT >A10p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17352494:17354902:-1 gene:A10p027600.1_BraROA transcript:A10p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHYNRPQRPQQQQQNNGYPNQQHNGFHQNPGMMMNPQMMMMGNPMMMGNPMNNMQNMPPPIHPQFFNNMPQQQQQPLHQFGFPNPINQLLPNLLGSLQFAAANNNLMGGGGHSMPPNYFQPSGFTSQAQLNSYNNPPPPYSPPTPQQHQNHQLGPPGFSETPRPQVQSSVGNVNNTNNFNSKGNDFRNNTKQQNFRGPGQGFHRSQSYQGDNAKKKFGFNKNHKGKGKNKKMAPRLFGSDAGNAEDAKRPYIPNYPPKEVQQWRQARRKNFPTNLNVEKKVKKNDSNGSLDDEAKLRRQQLREVLAKQRELGVEVAEVPSHYLSNPVEEVSGDNSGQFQNKDGKKGSFRHNKRKYGEKNKFNKKRKFQDQDSSQESSVTTRKPTLLEKLLSADIKRDKIQLLQVLRFMVMNSYFNESPEDPLKFPLVMVEETGCEHAEEVLSDDDVDENGDDVDENGDDDSCDEVSD >A09p032490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19702569:19710242:1 gene:A09p032490.1_BraROA transcript:A09p032490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLERYRNDRRKLMEFLMSSGLVKELRSPSGSSSTSLSPADLDALSADYVLDCVKSGGVVDVSKATKKYNFESSYPVTMHSESRDSYFLVSSPDAAGSPPRRLPPQPVNMENSSNNGHIDSSNTPSPIDNYTFKEKEETPDIKPMKPIKIIPLGLPPLRTGLSDDDLREAAYELMIASMLLSSADAYPTQRRKMEKGSKLLLSLKRKEKPQLQPQTSNAHSEISSKMDTCIRRNLVQLAQLRTGEQIDLPQLALGLLVGIFKSDFPNEKLYIKWKTRQANLLEEALCLSRGLEKNERATLRKCLATIRESKEWDVVMSSSLRIDVLSSIRHVASKLSSLPGRCGIEGETYYWTAIYHLNIRLYEKLLFGVFDVLDEGQLIEDASSMLFHMKSIWSTLGITENLHNAIYGWVLFQQFVSTGEPSLLGSAIQELQKVTEEGNPKEDLYLSRLVCSRQTIGADIHLSVSKAIFTSASTWCDDKLQDYHLHFGKKPRDFGMLVSLASTVGLPPAGCIRTELIKLDTLSDDVGDKIQSYVQSSIKGACARAAHFAYVKSHGERTHALALLANELSVIAKAEINEFVPVFSKWLPECMMISAMLLHRFYGERLTPFLEGVSSLSGDVRKVVPAAYMLEEALTQLYDCHRKSKLHKPYLHKLKNYEIEKAVKPVMLDWLISQHDHILQWTRRAFEIEEWEPVSVHQRHAPSIVEIFRIIEETVSQLFGLHLPVDITHLQALLSIIYHSLDTYLQRIYDQLVDKKLLYPAAPPLTRFTEGVMPAMKRKSLEFAEPDNKMVTKLDELTIPKLCIRLNTLCYIQKQISATEDGIRKSLTLVRSSLVKRSKIETDEAEEENSLTHSEAVDELFATTYDSLRETNANCITKTRDLIGARVIFWDLRDMFLVQLYNGTVEGARLERLLPHIDTVLDNVCSLSYEDSRDMVVLSICRSALEAYVRVLLDGGPTRAFSDSDIPLMEEDLSILKEFFIADGEGLPRSLVEQEAKQAKEILDLYSLEMLMTASELIDMGVSSEQRRLEDAQTLVRVLCHKKDRTASKFLKRQYEFPMSSEYEDPTSNLPVLSEIVRSTSTRWSQTSQSSFSSFKKKIQNATSEIRNNSGW >A03p036150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15131827:15134235:1 gene:A03p036150.1_BraROA transcript:A03p036150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLFDKADLWKSLLLLKVQLRDRFRIAVDDHRGRAAVLYTDGYFSSSIHRLAARFRNFRRESLPSAPAFYRRRVPKDLTAEEESAIFRMLQAVAVPLIGNACHVFMNGLNRVQVYGLEKLHDALLNRPKNKPLVTVSNHVASLDDPFVIASLLPPKLLLDARNLRWTLCATDRCFKNPVTSAFFRSVKVLPVSRGEGIYQQGMDIAISKLNNGGWVHIFPEGSRSRDGGKTMGSAKRGIGRLILDADTLPMVVPFVHTGMQDIMPIGASVPRIGKTVTVIIGDPIPFNDLVDTEGAKHVSRKQLYDTVSSRIGQRLHQLKQQVDKVSLGAHYSEESPALLGKQISQTDVVSNGLDCQVPKRGLPSEGSISLKVKRFLDSTEIMGFAAKGLFQSNYKSRDESANYGEIRGLKAWREYYDVNNGLQKLDYTY >A08g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6642219:6642474:1 gene:A08g504030.1_BraROA transcript:A08g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKDREIFTAKLIRTGAEKYLEWIADQKFTERTEIRRMDREARGGSLHGFRTWCQQSNKLSVSRSSRCRRA >A05p055400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32713390:32718985:1 gene:A05p055400.1_BraROA transcript:A05p055400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPKRTTRAKEEDDVQSPPSMLQWWRRWTVLVAAIWIQAFTGTNFDFSAYSSDMKSSMGVSQSRLNYMAVASDLGKALGWSSGFAVAYFPVSAVLFSAAAMGLVGYGVQWLSIAADVIDLPYSLVLVCCSLAGLSICWFNTVCFVLCVRHFESNHSLALSLVVSFNGISAALYTLGHEVISGKSSASSDIYLLLNSLIPLFVSLLALWPVLTNPNSSESHASNRTRDETRIFIVFNVLALITCFYLLLPSLDTYLASSPLWHFLGAICLLLFPLCVPFLDYIYRALPSCFHHHSSGYAVVNIEEPKIPKSGRLDHEEIKSYDEWNKVGRLGDEHSLGMLVRSLEFWLYYLAYFCGGTIGLVYSNNLGQIAQSLGQSSSNAKSLVTLFSAFSFLGRLLSSAPDFTRKKLDYLTRTGWFTISLLPTPLAFFILAYSSKTALLQVATALIGLSSGFVFAAAVSVTSDLFGRNSVGVNQNILITNIPIGSLFYGYMAGSVYDKHATPSVVSDTLVCVGRRCYFATFLFWGCLSVVGLVCSLILFIRTRPVYHRRSRWIAGNVIESLIFFLKRVMIDNTAAYSFACKPLHETYCQPIVAHIRTDLELQVWNLNKYLMGGGNSKEDWRQEPPSSSSSSSWASHQSYPQSGPGSYNYPPPPSYSPAPSFGGQPPSYSQEEQGYAYPYPPPRPQPQPSQTHAAPSDRKKFDRRYSKISDNYASLDQVSEALGRAGLESSNLILGIDFTKSNEWTGAKSFSKKSLHHISNTLNPYEQAITIIGRTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPEGRSCNGFEQVLARYRDIVPHLKLAGPTSFAPIIEMAMRVVEQSSGQYHVLVIIADGQVTRSVDTEHGQLSPQEQKTVDAIVKASALPLSIVLVGVGDGPWDMMQEFDDNIPSRAFDNFQFVNFTEIMSKNKEQSLKETEFALSALMEIPPQYKATTELGLLGRRNGNIPERIPLPPPVHGGSTFFNPSKASPTPSFEPSVPTYPMESKDVDDNQLCPICLSNPKNMAFGCGHQTCCECGPGLKVCPICRAPIQTRIKLY >A03g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31267265:31268892:-1 gene:A03g509690.1_BraROA transcript:A03g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKRSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPEVMPVDLLVQQPGREHLPVLHPNPRQGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDLVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A02p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5340607:5341141:1 gene:A02p012190.1_BraROA transcript:A02p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A.7 [Source:Projected from Arabidopsis thaliana (AT5G59870) UniProtKB/Swiss-Prot;Acc:Q9FJE8] METTGKVKKAFGGRKAGGPKTKSVSKSIKAGLQFPVGRITRFLRKGRYAQRLGGGAPVYMAAVLEYLAAEVLELAGNAARDNKKSRIIPRHLLLAIRNDEELGKLLSGVTIAHGGVLPNINSVLLPKKSAKSTEDVVSKSPAKSPKKA >A09p014620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7672060:7673308:-1 gene:A09p014620.1_BraROA transcript:A09p014620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLEIPRQEVHIKINDSLSTTKERNTDLPHAKPIVLMSVLSSLHAGYFRISLSLCSQALLWKIMIAPHSLSMSHLQSSLPSMAFHLLWYLALATQLSLCVLYALKCFFFFDLVKEEFSHYIGVNYLYAPSISWLILLQSAPVMEPQSVLYQTLFSVFALPVLALDTKLYGQWFTTEKRFLSMMANPASQVSVIANLVAARGAAEIGWMECALCLFSLGMVHYLVIFVTLYQRLPGGKNFPTKLRPVFFLFFAAPAMGSLAWNSICGTFDPLAKMLFFLSLFIFMSLVCRPNLFKKAMKRFNVAWWAYSFPITFLALDSVQYAQDVKDQVASGMMLIFSSISVLIFLGMMVLTAANSNRLLRRDPVLGSAASPKDKQKSSRLGTGTC >A01g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25878947:25881257:-1 gene:A01g509550.1_BraROA transcript:A01g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL23 [Source:Projected from Arabidopsis thaliana (AT3G20530) UniProtKB/Swiss-Prot;Acc:F4JEQ2] MSERRFTRRSSSIPSIKDCIHANNNLSRFDNISFKTDSSRRRYISEEIAKLGKGNISAHIFTFRELRVATKNFNPENQLGEGVFMLLLLVVAVKQLDRNGYQGNREFLVEVMMLSLLHHQNLVNLVGYCADGDQRILVYEYMQNGSLEDHLLELVRNKKKPLDWDTRMKASPLFKDKRKFTLMADPLLEGKYPTKGLYQALAVAAMCLQEEAETRPTMSDVVTALEYLGVTKVEENNETDNNNKEGGEDERSNL >A03g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24315920:24317270:1 gene:A03g506850.1_BraROA transcript:A03g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNTKTIMIFALFFLCLSFPSISLANDAEADDETLFTYEQNPEKGPEGWSKINPHWKVCNNGKLQSPIDLTNARVSLIHDEAWRREYKPAPAVIMNRGHDVMVSWKGDAGKITIRQMEFKLVQCHWHSPSEHTVNGTRYDLELHMVHTSARGRIAVIGVLYKLGKPNEFLTRVNTISVEQIAALRNAVDDGYETNSRPVQDTNGRPVWFFDPNV >A07p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13773579:13774242:-1 gene:A07p023820.1_BraROA transcript:A07p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSFLPLFLFTAALISPSLVVSVSLVEGKVSCYDCPSDYDYSGIMISVSCSHSKTRFTVSTDKTGEFMSELPSRVKSDCEAKLQGSFKQLYASKKNIMSKIVKLEGDKYVLSSKLIFLKSCPRSLRSFGSSKTVDLPVPPEWGLAPTSYYLPFFPIIGIP >A04p020480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12435774:12436562:-1 gene:A04p020480.1_BraROA transcript:A04p020480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSSAAVATSPAQATMVAPFTGLKSSAAFPVTRKSNTDITSIASNGGRVSCMKVWPPVGKKKFETLSYLPDLTDVELAKEVDYLLRNKWIPCVEFELEHGFVYREHGSTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKTEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTGA >A05p020090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9419044:9420213:-1 gene:A05p020090.1_BraROA transcript:A05p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAMANRMKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPTRVLEGYSEEFETTFLDLMRRSHRFSRIAATVVYNEYINDRHHVHMNSTEWATLTEFVKYLGKTGKCKVDETPKGWFITYIDRDSETLFKERLKNKRVKSDLAEEEKQEREIQRQIERASEMFNDGEKKKDEDLSLKSGVKVGFSLGGGIIKQAVTTGGQARGESSKHMFEDEEDERGEKRKRSGGDSERRSALDDLMREEEKKKERMNRKAYWLCQGITVKVMSKALAEKGYYKQKGVVRKVIDNYVGEVEMIDSKHVLRVDQEELETVLPQIGGLVKIVNGAYRGSNAKLLGVDTDKFCAKVQIEKGVYEGRVIKAIEYEDVCKIA >A01p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1196260:1200292:1 gene:A01p002630.1_BraROA transcript:A01p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT4G36360) UniProtKB/Swiss-Prot;Acc:Q9SCV9] MLRMGTCDTAPRLILWLCLGFLILGVGFVQCGVTYDRKALLINGQRRILFSGSIHYPRSTPDMWEGLIQKAKDGGVDVIETYVFWNLHEPSPGKYDFEGRNDLVRFVKTIHKAGLYAHLRIGPYVCAEWNFGCCFGAMSRGFPVWLKYVPGISFRTDNEPFKRAMQGFTERIVQLMKSENLYESQGGPIILSQIENEYGRQGQLLGAEGHNYMTWAAKMAIATETGVPWVMCKEDDAPDPVINTCNGFYCDSFAPNKPYKPLIWTEAWSGWFTEFGGPMHHRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFVTTSYDYDAPIDEYGLIREPKYGHLKELHRAIKMCEKALVSTDPVVTSLGNKQQAHVYSSESGECSAFLANYDTESAARVLFNNVHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMEMLPTSTPNFQWQSYLEDLSSLDDSSTFTTQGLLEQINVTRDTSDYLWYMTSVDIGSTESFLHGGELPTLIIQSTGHAVHIFVNGQLSGSAFGTRQNRRFTYRGKINLHSGANRIALLSVAVGLPNVGGHFESWNTGILGPVALHGLSQGKRDLSWQKWTYQVGLKGEAMNLAYPTNTPSNGWMDASLVAQKSQPLTWHKAYFDAPEGNEPLALDMEGMGKGQVWVNGESIGRYWTAFATGDCGHCSYTGTYKPNKCLSGCGQPTQRYYHVPRSWLKPSQNLLVIFEELGGNPSAVSLVKRSVSGVCAEVSEYHPNIKNWQIESYGKGQTFRRPKVHLKCSHGQAISAIKFASFGTPLGKCGSYQQGECHAATSYSILERKCVGKATCAVTISNSNFGKDPCPNVLKRLTVEAVCSPETSITSWKP >A05g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13655493:13656725:1 gene:A05g504920.1_BraROA transcript:A05g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEDTSPATIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSATLATQPKSRKRKLAKSGHGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWFETEAMLTAVEDSHSAKVAKLEVVIGELERDLGKTTSSLLKEKKDRKAKSSEVRRLQRRIESDAGLARRGIQEATDALRAEFQTRLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLNPLASFRRVQKTQRGKTRWSERTEATRLQARTRQRVKRGRKF >A07p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12384575:12384932:-1 gene:A07p021070.1_BraROA transcript:A07p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLYGLVVIDLLRDLDRFMVIPDILKHAPMFKRLDARIKGKGGSLGVGRGRAAMRGKAPATGRGTGGRGAVPPVRR >A03p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19628299:19629329:-1 gene:A03p046510.1_BraROA transcript:A03p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWGVMGGGWGLVEEGWRKGPWTAEEDRLLIDYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEETIILELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPTNNAEKTKNRILKRQQFQQQRQMELQQEQQLLQFNQIDMNKIMSLLDDNNNNNNDFSSSSSGSSGEGGAFYVPNQIKIPTPNSSHDPNGNGFCPVVPVPTVEANVNEDCAIWNGIWNLDLEGQESFGDGACVPRKHCFQNWPIPFNLTF >A02g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1609584:1610110:1 gene:A02g500570.1_BraROA transcript:A02g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGARTLHCVIVLGDEKGFTMEATLPFDVALPKGIYLKEADWFEISNFNLIRVIELIRTTRSKYNIKFTFATTYIKIQPIIDSKFLSLANFTAILKGGLKFLTNLKGCSQVLFDPNMAEIQNFKS >A05g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11478079:11479318:-1 gene:A05g504150.1_BraROA transcript:A05g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPALVPTSPAEVPACVAGHLSFREKLVRRQAEKGLAQTGSEFPSSSAQVVAPCHGTDVAALLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQTKSRKRKLAKSGDGETSQRGGSSLALGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVLKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLAHRGIQEATDALRAEFQARLAKISASLGSLECIRSRVFALATIEGGMAVVRSFQSETLPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKYPMVRENGSDAAPGSDEAAGEEGA >A04p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9964945:9966365:1 gene:A04p016220.1_BraROA transcript:A04p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNKLKRYLTTKGFQLVCHTPNRETPQLSLIPTSNMQPRRSSRLRQSLETQSSMPSPINPDSSSCQRKYSRKRLRRPTPATPPLPEPEVESLSEDNTDDNESDASLEEVASDDSQDEQPDEFLPKGPRYEESRQEFQILIQANPALLRPSRAPINSRFATVEATERYRDLKNRKFLVQYRLPLDEENLQDVKKVIVDSGLIYTVIDSDPFKPCVIRQFIANLVDAEPRGDGVAVYVKGSLVNFSPSLINSLYLIPSCEEDPDWSTYNMDRVCTFLTNKRIRRWEDMSSKFLTATNQVLYKLVCANWIPTTSYTAMNPKRLRFIYMLYHDRKFDFGKLVYNQIMAMAENTRTERTRRIIFPNLIQQVLLFQRNVPPDSDDEEFTGMPKKVVKDKKAGLGSGTESRSPNFEEDIEHAIAGLKAISMRLRRGEYPHQQQNEDSDGGSD >A04p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12941126:12942831:1 gene:A04p021330.1_BraROA transcript:A04p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT2G21270 protein [Source:Projected from Arabidopsis thaliana (AT2G21270) UniProtKB/TrEMBL;Acc:A8MQW3] MFFDGYHYPGTTFEHSYRCYPASFIDKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNAGSERVSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDIVRVRNVTLPKGTYVKLQPHTTDFLDISNPKAILETALRNYSCLTTGDSIMVPYNNKKYFIDIVETKPANGISIIETDCEVDFAPPLDYKEPERPKAPTAAAKALAKAEEVVPEPEPKFNPFTGSGRRLDGRPLSYEPPSSSSSSKDKQPAVANGNGQLSVASGSERAARQAQGKLVFGGNANRAPKEVPKVGAGKETKKEEEEKKEESKFQAFTGKKYSLRG >A02p033490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17833471:17834987:-1 gene:A02p033490.1_BraROA transcript:A02p033490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRLSFAASLVVFSSLIISSVANYGNEVNPETGKFIPVAVEGVIMCKSGDKSYPIQGATARIACVKTDAYGKEIVPISIMSSKTDAKGYFFATLFPSQLRAGRTVTKCKVFLYQSPIADCDFPTDVNKGVRGMSLNKYRVLEDKSFKLYWAGPFFYTSEPTYY >A02g511010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29195402:29195872:-1 gene:A02g511010.1_BraROA transcript:A02g511010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTAIRVLKAALNDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A09p081690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59381512:59382270:1 gene:A09p081690.1_BraROA transcript:A09p081690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRPVPRRESPWGLPEGHREPKAHRCNDRVEDVVQAFFEGNPFKTVPGPFKLFYRCMRSKPGEEPTEPFTYLDLEPPKRQVKLEEK >A08p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3214090:3216163:-1 gene:A08p005610.1_BraROA transcript:A08p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMWNVVYVIIALVIVKISQWLWQWSNPNSKGSGKLPPGSMGFPIIGETIEFFKSSGLLEIPPFFQKRMLRYGPLFRTNILGSRTVISTDADVIFEIFRQENQSFVQSYPDVFVKVLGKDNVFFKTGDVHKHIKHTTMHLIGSEGLKRKMIGFMNRTTREHLRWKASEGAFNLRHAVSTLIVSYITPQMISNLKPETEAKLIDNFMAFNIEWFQSPFALSTWKNLFKVLRARKEAAKIINVALERRKDSILEKQGDFLDTLLEEMKKEGSIFDQASIVNLLLNIGVVSRDTTSHATALTVDFISKNPRVLTELQREHEAIVQKRDDKEAGLSWEEYKDCMPFTRMVIYESLRLANLGTIIFRKAVKDVVIKGKLEVKRYTIPAGWIVAVAPSVVHYNAEIYENPLEFNPWRWEGKDLRSPGSKTLMVFGGGARQCVGSDLARLHLAIFLHHFVTTYDFSVVQECEIYRVPFPCFTKDLHINISPKSPS >A09g513310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40540211:40541500:1 gene:A09g513310.1_BraROA transcript:A09g513310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAWNVRGLNSETRHTSVKDWIRKYKPLFGAYLETKIQHNNASRISGALPVGWKHFANSDNQSPARIIVVWHPTVSVTIYQASPQVVTCGIFILADNLSLTVSFVYGFNQVEERQQLWDELAFINANTPASRYPWAVLGDFNQILRSDQHSQHLTSDVDTAGMEDFNLALQEAELFEAQANGLTYSWWNNQDANPVSKKIVHALFNQHWAQLFPDFDHPDYLDTVRSSWSFETTQGSQQFRLARSLKLLKPALRNLNARNYSGITLRVQEQVAQQQWMSCENS >A09p023620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12935287:12936191:1 gene:A09p023620.1_BraROA transcript:A09p023620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(3,5)-Delta(2,4)-dienoyl-CoA isomerase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G43280) UniProtKB/Swiss-Prot;Acc:Q9FHR8] MESYKTLEIVRKNTDSSVFHLILNRPSQLNALSLDFFDELPEALSSLDQNPDVSVIILSGAGKHFCSGIDLASLSSISAQASSGGDRGRSSEGLRRRIKSMQAAITAVEECRKPVIAAVHGACIGGGVDLVTACDVRYCSEEAFFSIKEVDLAIVADLGTLQRLPRIVGHAKAMELALTARRFSGSEAKDLGLVSHVFGSKSELDKGVTMIAERIAAKSPLAVTGTKAVLLRSREMSVEQGLDYVATWNSGMLISNDLNEAVSAQMMKRQPRFSKL >A03g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24968579:24970470:-1 gene:A03g507130.1_BraROA transcript:A03g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIQASCKKNYLLSLGADCRVGEWKNLENFVITPAGGGYRPTNHPYKLSFMKFTSIEPYEYNNIDMFLDLVEFETILSGQLDNNLLIDVVGQAIDIGEKLTLQCSNGKEKKKIEFTLRDINDERIPCCLWGNFADTSQLLINPPIDESAALKEIFKVHLLLKDDTGTTKFMLLDSIANGIIVESAEKILKGSLNEIEDPEMLPQSLRDVIGKTYKFGVIIEKNNVAYGSKSYKVAKVWSISNMLMVASQSETKSALDTTLPVDEHISLLTDGEESSETLKTPTSKRSQALKDDVPEHTSTSKRQRGKSIKMEK >A08p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15304490:15304823:1 gene:A08p023240.1_BraROA transcript:A08p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKILVAMVFSILFIVSSVHCSDRNLGYGINQEFKKCFPEQPCYTCQPFCATNVSVFARVVCEDARCCCISKI >A09p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5086046:5089467:-1 gene:A09p009900.1_BraROA transcript:A09p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGGGEELESLLKSHNPAEEDGRGLKKRVWVETKKLWQIVGPAMFSRLTSYSMLVITQAFAGHLGDLELAAISIVNNVIIGFNLGLLLGMASALETLCGQAFGAKKYHMLGVYMQRSWIVLFFCCLLLLPTYLFTTPVLKFLGQPDDIAELSGVVSLWAIPLHFSFALSFPLQRFLQCQVKNHVTAYSAAVSLVVHFLVCWLFVNGLKLGVVGTMATISISWWVNVFITLAYSVCGGCPLTWTGLSSEAFTGLWEFLKLSASSGVMLCLENWYYRILIIVTGNLQNAQIAVDSLSICMAINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSVTQSLIIGLFFGVIIMLFHNQIAWIFSSSEAVLVAVNKLSILLAFTVLLNSIQPVLSGVAVGSGWQSYVAYINLGCYYCIGLPFGFLMGWVFKFGVMGIWAGMIFGGTAVQTLILCFITLRCDWEKEAQKANARVNKWSSTIK >A02p004760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2060122:2064619:-1 gene:A02p004760.1_BraROA transcript:A02p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKHLLRKLHIGSSSGGFGDHHRLDDSTRPTTIIDPSPAPSSSPSPASTSSVSSSSGFGNASSTMPRLEPFEPPGRDLAAGDGVDFNLMEEEYQVQLAMAISVSDPDPRENADTAQLDAAKRISLGVSAPVTDADSAVDFLSLRYWGHKVINYDQKVRDGFYDVYGITSNSLSQGKMPLLVDLQAISISDNVDYEVILVNRLIDPELQELERRAYALSLECPDFARGQVSSVLTQKIANIVVEQMGGRVENADEALRKWMHRSYELRNSLSTTVIPLGRVNFGLARHRALLFKVLADRINLPCMLVKGSYYTGTDDGAVNLIKLDDKSDFLQSPNSFFTCSEYIIDLMGAPGALIPAEVPSSFLPVACTDTRVFPDDLLQHSCPVLEKETETPAFSVLEETDSRPSGMVANLFTGNHEENSDKFAVEKHQTERFEHDFGKLMQTQQISGENLPPFSGKPTSAQKVKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFMDVNPQNLKGKSLLQEFRQESSSSAVPCYPEKVGYQLAEQIRESERSPTALQQPAVCTSAETCQQPGEVDFLMGRNFDVDDTGKVSSPEKMESTADGEPSVCDRHDQGINPFLGEAAKWEIMWEDLQIGERIGIGSYGEVYRAEWNGTEVAVKKFLDQDFSGDALTQFKSEIEIMLRLRHPNVVLFMGAVTRPPNFSILTEFLPRGSLYRLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSHPTVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTYLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATSRIPWKGLNPMQVVGAVGFQNRRLEIPDDIDPTVAQIIRDCWQMEPHLRPSFTQLMRSLKRLQGLNISNRGNTSESLM >A04p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22438317:22440963:-1 gene:A04p039620.1_BraROA transcript:A04p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQSREGESITPLLGGGTGNKVAAPPQQFNSLPAPNENASYINQATSYLGSYFSHSSEYGGKDSCKSLSHPHELLRSTSGGDGDSPVSVCVSPGARCSTSSESPTSAANSPSAESTDTPSQASNAIVTSNWLGLSGTSMFQGLIERALRTVRGSADDIGWLQRDPEMPPVEDGTDRFNRILEDIGCLDGVFIFRHGVHRLPNTVVYLLVPGLFSNHGPLYFVDTKTKFSKMGLACHIAKIHSESSVEKNAREIKEYIEELCWGSNKKVLLLGHSKGGIDAAAALSLYWPDLKDKVAGLVLAQSPYGGSPIATDILREGQLGGYVNMKKIMEIMIFKVIKGDIQALEDLTYERRKQFLKNHPLPQELATVSFLTEASISPAALATFSHVAQAELPLTKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNEAPLEADAAQVCEALLTLLVQVEEEKQRQLD >A05g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27035008:27036603:1 gene:A05g509010.1_BraROA transcript:A05g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGWDPGDQRVTRDNQRVDLHHYEGSWRGLKQTGVSTRRDRVNFGGNLRINGNIWRARSLESVKALGSLRLRKSSSNIIQRRDLCSTIVVLIKTKSQDLGVLLLKGCQKDTPQSFITRRWKSQLIGSAMSVERNPKRLKISGPHFDNTGLIDEVQFDFEEEEDIDEILYLVSLNQVQLQSRCCELQCANWSQDWAVRYSNVSLAIWRLPRQSQVRNLDLEKNYVLELLNGK >A08p018900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12963262:12964557:-1 gene:A08p018900.1_BraROA transcript:A08p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTRSSKKTTQSGDKEAQNPRKFYSRFLFKALILALLCSLVPVFLSQTPELANQTRLLELLHMIFVGIAVSYGLFSRRNYEGGGESNNNTHNPHPYVPKILEVSSSVFNVDHHESGSDDSSLDHLRIQTWKNKYHTKIPETVLPLVLPVRSLNYSRGSDSGRWERVRSKRQLLKTLVDDNSSDALPSPIPWRSRSSSMEIESQPLIKTSSSSSSTPLPKPGAEDTVRKKEFHTSPSPPPPPPPPPLPAFYNSASRKDYPSPRSYRESVQKKNYTPPPPPPPPPPMDYYKSPPSKLRVSGERRKLSEQKMKLDERSATRNSPTKVWWSDPIAETKEDIREDMNRNDGRSFVGSKATEESEDKEDMITENVIHDDGEHSEKKIEEERNCGNISDVDKKADEFIAKFREQIRLQRIESIKRSAYKISTNSSR >A10g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10495944:10498413:1 gene:A10g504230.1_BraROA transcript:A10g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRRRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELRRGVEEKKRWRSLGGLGVDGTDVRTRPYMAVPSVPKVSTKTYPTSTK >A06p008340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2886456:2886822:-1 gene:A06p008340.1_BraROA transcript:A06p008340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQNLVGFFLVVILAASMFNTNILVSGGKHEDKIKYTYCTDTLCSDSYLPHMCFFDCTSKRFQTGTCIVPSPNAPLRCCCGNL >A06p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3962264:3964435:1 gene:A06p011070.1_BraROA transcript:A06p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSYAMSSQKKRMLKTQANGMVDTVENGSHVLEKEHGSDVAANAKSQLVRYQVLELGIVVHSVVCQSSIDCILLVSVPGVEAQLIIPCKTAADCKSKLFCSNGSPQCVVRQCQCASVKPVKLMRTNTHVHQDNL >A03p006080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2527593:2529476:-1 gene:A03p006080.1_BraROA transcript:A03p006080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPENHAMESETLDHHKSDDHSDESEQQLATETPKSDSSSQLSLTQEMEAVDDFIQSLSSSTDPLKEIPPSVEPFPETVDSLVSKMESSGLGRDEAEDSAFILAVNRISKSVLTLRELRLDSTPVSSWLNRASSVQNRAASLLDEEFRHLLNRSREKNKNNKNADVSSDSTHVESDRCVLQEEETFPDFPPESISTLKKIAGAMISAGYEAECCMSYEMSRRHAFKEELSEVGFEGINVEDVQRIPWESLEGEIASWISIVRRCSTVLFPGELSLCTAVFSSDDHAATRKRLFTGLVSAVTIRFLDFSGAVVLTKRSSEKLFKFLDMYETLRDLVPAVEQSDSDLIEEIKLAQTRLGEAAVTIFGELENSIKTDQGRTAVPSGAVHPLTRYTMNYLKYACEYKDTLDQVFQTEPEQETRRNQREDDEEYKVSAFGRQMIKVMEHLDANLEVKSRLYRDPALRSIFLMNNGRYILQKIKGSTEIRDLMGQAWTRKRSTELRQYHKSYQRETWGKVLQCMNQEGIQVNGKVSKPVLKERFKVFNAMFDEIHKTQSTWIVSDEQMQSELRVSIAALVIPAYRSFFGRYKQHIDSGRHSDKYVKYQPEDIETYIDDLFDGNPPSMARKRT >A10p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:729473:731188:1 gene:A10p001420.1_BraROA transcript:A10p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:Projected from Arabidopsis thaliana (AT1G02560) UniProtKB/TrEMBL;Acc:A0A178WMF0] MAHACVSTSASSLRFTAGFVSASPNASSFDSQKLSLPFEPLRSRKTKKLVSGRKTAPKAVYSGNVWTPETPSPQGVWSIRDDLQVPSSPYFPVYAQGQGPPPMVQERFQSIISQLFQYRIIRCGGAVDDDMANIIVAQLLYLDAVDPTKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQTDIDIQANEMLHHKANLNGYLAYQTGQSLEKINQDTDRDFFMSAKEAKDYGLIDGVIMNPLKALQPLAAA >A09p062230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51003415:51006707:-1 gene:A09p062230.1_BraROA transcript:A09p062230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase IRK [Source:Projected from Arabidopsis thaliana (AT3G56370) UniProtKB/Swiss-Prot;Acc:Q9LY03] MNKVSIFTLLFLSVVLPFPPPVRSLDPPLNDDVLGLVAFKSDLRDPDQKLASWNEDDYTPCAWNGVKCHPRTNRVTELTLDGFSLSGRIGRGLLQLQSLHKLSLSNNNLTGTVNPNLISSLGSLKVVDLSGNGLSGSLPDGFFRQCGSLRVFSVAENKLTGKIPVSVGSCSSLASLNLSSNRFSGSMPLGIWSLNTLRSLDLSRNELEGELGTKIGRLNNLRAIDLSRNRLSGPIPSEIGSCMLLKSVDLSENSLSGNLPDTFQQLSLCYYLNLGRNLLDGEVPKWIGEMRSLESLDLSMNKFSGEVPGSIGNLLRLKVLNFSGNGFIGSLPDSTGNCISLMALDVSGNLLTGKLPVWLFQDGNSTGGVKKIQVLDLSCNSFSGVIGAGFGGLRDLQGLNLSRNSLTGPIPSTIGDLKHLGVLDLSHNQLNGTVPKETGGAVSLEELRLENNMLEGTIPSSIKNCSSLQSLILSHNKLQGAIPPEMARLTNLQEVDLSFNDLTGTLPKQLANLGYLHTFNISHNHLSGELPAGGLFNGISPDSVSGNQGICGAVVNKSCPAVSPKPIVLNPNATFDPDSGEATPLGAVGHKRILLSISSLIAISAAAAIVVGVIAITVLNLRVRATTVSRSAVPIAFSGGDDFSRSPTTDSNSGKLVMFSGEPDFSTGTHALLNKDCELGRGGFGAVYRTVIRDEYPVAIKKLTVSSLVKSQEEFEREVKKLGKLRHTNLVKLEGYYWTTSLQLLIYEFLSGGSLYKHLHEAPGGSSTLSWNDRFNVILGTAKCLAYLHQSNIIHYNIKSSNVLLDSSGEPKVGDYGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKKPVEYMEDDVVVLCDMVREALEDGRAEECVDMRLQGKFPVEEAVAVIKLGLICTSQVPSSRPHMGEAVNILRMIRCPSGSSDELGSS >A06p056280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29310535:29316898:-1 gene:A06p056280.1_BraROA transcript:A06p056280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-DDT domain protein RLT2 [Source:Projected from Arabidopsis thaliana (AT5G44180) UniProtKB/Swiss-Prot;Acc:Q9FFH1] MEDGSEADKNITPEGAAAATESKSKRKMKTAAQLQVLENTYKAEPYPSEALRADLSVQLNLSDRQLQMWFCHRRLKDRKSSTPTKRQRKESTPSSAAESSKQAAAAAAVNAADLVAGNEHNSFDSRRTARGGGGGGVTVVRRFNEPSPSEVRAIGYVEAQLGERLREDGPILGMEFDPLPPGAFGTPIEMPTHRKAATRPAFETNLYVRSDVKPVKESVRTIREYQFLPEQPSSRTDHSERASPASHHYGVPLDASVVMRASSVSGGHRDDYKVSPPIPNLNLSAHHVKPVLGEYDSPYQKSYSEEARIAREVEAHEKRIRKELERQDMLRRKREEQIRKEVERQDRERRKEEERLLRERQREEERYLKEQMKEMQRREKFLKKETIRAEKMRQKEEMRRVKEVARLKAANERAMARKIAKESMELIEDERLELMEVAALSLGLPSMVALDFETLQNLDAYRDKQVTFPPTSVNLKKPFAVKPWNGSDENIANLLMVWRFLINFADVLGLWPFTLDEFTQAFHDHDPRLMGEIHIVLLKTIIKDIEGVARTLSVGVGANQNAAANPGGGHPHVVEGAYAWGFDIRSWRKNLNVFTWPEILRQLALSAGFGPELKKQDIKTMSVHDENEANNSENVIFNLRIGAAAENAFAKMQEKGLSNPRRSRHRLTPGTVKFAAFHVLSIEGEKGLTILEVAEKIQKSGLRDLTTSRTPEASVAVALSRDTKLFERVAPSTYCVRPSYRKDAGDAETIFAEARERIRMFKSGVTDVEDVDEAEREEDSESDVGDEPEVDLNLKKEDPDALEEIGKLTGGVEPSLENGKLKTEPEANPLTPSLPEESTKDEKIDDILPDQEDGDCFDVSKLGEQWVQGLVEGDYSSLSIEERLNALVALIGIAIEGNTIRISLEERLEVASALKKQMWSEVQLDKRWKEESLIRANYLSYPTPKTQESPSASQDPLSLPQIDVAAGPSLQLQENVSGMENLQYHHQQSYTADRERLRAELKAYVGYKAEELYVYRSLPLGLDRRRNRYWRFSASASRNDPGCGRIFVELQDGRWRLIDSEEGFDCLVKSLDVRGVRESHLHFMLLKMEASFKEAVRRNVETSTDLDTDTAEISSTFKIELGDGGRSGVLQRFQSFERWMWDNMVHPGALSAFKYGAKKSTPLFHICRSCAELHFAVDVCCPGCGQMMMSGGLDVSEMCFADQVAQLGEISRRDAGFILRGSNLSPLRIRLLKIQLALVEASLPPEGLQTHWTESLRKTWGLKLLSSSSPEELHQVLAMLEVALKKDFLSSNFETTCELLDLSEEALVRDVKVVPWIPKTTGGVALRLFELDSSIAYTPDQNKDPQKDKESEDFVGLETNFLRNVQEHDVIEATPVQVAYAQEDTEPGSGRGRPPRGRGRPRSQRGKKPSPASGKPPRSAANSNGEPMLRPRAQPRGGRKKGRRSSGTRTRPTKGTLGISNEVVGVGGGGRRGKEVNVNAKTALHDWVVETPDDDGEASSSGRSFQYDDDVMAPVDDFGESSKLVGRGEFSLHSDDEYEEEEEEEDMDTKMNVDDEDEDYINEEDSDGGREQATEMISMDDAAASQRRFPFEDPDLTSSSSSDFQ >A03p066530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29397290:29401819:-1 gene:A03p066530.1_BraROA transcript:A03p066530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLVAGSYRRNELVRIRHESDGGSKAMKNMDPHTCQICGDNAGLTETGDLFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYRRLRGSPRVEGDEDEDDVDDIENEFNYAQGANKGRRQQRHGEEFSSSSRHESQPIPLLTHGHTVSGEIRTPDTQSVRTTSGPLGPGDRNAISSPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMVQMTGKYHEGKGGEIEGTGSNGEELQMADDSRLPMSRIVPIPPSHLTPYRVVIILRLIILGFFLQYRTTHPVKDAYPLWLTSVICEIWFAFSWLLDQFPKWYPINRETYLDRLAIRYDRDGEPSQLTPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGAAMLTFESLSETAEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFLMDPAYGKKCCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGKKSKKYNYDQQRRGINRSDSNAPLFNMDDIEEGFEGYDDERSILMSQKSVEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWMSIYCNPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYTGRLRLLERLAYINTIVYPITALPLIAYCILPAFCLITDKFIIPEISNYASIWFILLFISIAVTGVLELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLIPPTTVLVVNLIGIVAGVSYAVNSGYQSWGPLFGKLFFALWVIAHLYPFLKGLMGRQNRTPTIVIVWSVLLASIFSLLWVRINPFVSVTPEANPTAVPGGVF >A07p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11913649:11915964:1 gene:A07p020070.1_BraROA transcript:A07p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNVLKRLSLTWVSLLCISCFFLGAIFTSRLRLSDSGSQFILQHSRDQEVNVITKLHAHEKKKSQEKHVIENVLRTHKAIENRSLDKSVSMLQKQLSVKQSSQPIVDVSTTNTSTEGNQRKKVFMVIGINTAFSSRKRRESLRETWMPQGEKLEKLEKDKGIVVKFMIGHSPTPHSILDKEIDSEDAQYKDFFRLDHVEGYYNLSAKTKSFFSSAVRTWDAEFYVKIDDDVHVNLGMLASTLGMHHHKPMVYIGCMISGPVLTQKTSKYREPEFWKFGEEGNEYFRHATGQIYAISKDLATYISNNQPILHKYANEDAEAGEVCVASFDRKCNGICKSVDRMWTVHVMCGEGDKAVWDANYNFLR >A10p019900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13565785:13568015:1 gene:A10p019900.1_BraROA transcript:A10p019900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAKINKNMVKRLRLNDLPDELILKIFSMLPNFKESVATHLISEQHENPYNLVSDVTLEYDNEESFVTFMSFYYGSLLSDDDQILERLHLKLNRNYSASDINFWVQVAVNTSVRKLRFNLYGGTLVLPSCLSTCTTLKSLILREVTIKHVPRGFCLPSLKSLHLFSVEFSQKASNARLLQNYYGINDEVRFCLPSLRSLHLLSAVHHTSGLLTHLLQSCPILEYLVVSQTERELSPVFSVIPPESRLSSIKSLHLLSVELSNDKAIATLLKTCAGSLEYLVLNRTCYNYSERVSLSSLKSLHLLSPSSNNIACNSLTRLLQSCPVLEYLVVSQTERDYMPMFRVIPPESCLSSIKSLHLSSVKFHGDEYVATLLKRCAALEHLVISRTRYDNVKLYSINVPTLKSLTINNSREKRTDDDEKENHGFLINAPALQTLNIKDTVSNFLMLEFTPEVTKANIQVICEQSENFIGSLTSVQHLSLCSPNSEIPYRRGSVFPYLEHLELCTCSAGWVNLLASILNESPRLQSLKLMSNHSAQYNDPMSFWEEPAVVPECLLTHLEIFEWRQYENTVQHRKVAACILANATCLKMATFSTRSRNKDHRMVMKLKKLKRISKTCQLVFE >A09p070020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54405429:54412610:-1 gene:A09p070020.1_BraROA transcript:A09p070020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 22 [Source:Projected from Arabidopsis thaliana (AT2G24000) UniProtKB/Swiss-Prot;Acc:Q1PF08] NESHGRSLFYWLTESSSSPHTKPLLLWLNGVMRENRRESRENRRELRGEPSRDREENRQESREHRRDIERTVERAPSREPSREHRRENRRESTVEISRFRDRRENREIGREEERSREKRDRERREIRRGKCFSFPNRFCPTFFSAIRDTAPQENLIFLIKWMSRFPQYQYRDFYIAGESYAGHYVPQLAKKINEYNKAFNKPTINIKGFMVGNPDMDKNNDKLGTITYWWSHAMISDTNYNLILRNCNFTADSFSKECNSSIYNAAADFGEIDQYSIYTPKCVRMKQMRKAVLARQTTEYDPCTESYADIYYNRPDVQRAMHANQTAIPYKWTACSDPVFNNWNWRLSDNSMLPIYKELMEAGLRIWVYSGDTDSVIPVTATRFSISKLNLPVKTRWYPWYSGNQVGGRTEVYEGLTFVTVRGAGHEVPLFKPQSALILLKYFLAGKELPRSY >A07g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21367874:21370793:-1 gene:A07g507720.1_BraROA transcript:A07g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEYSDEFPRKFRGNPKFGVPRNFLGIYRGNSEEIIFPRNIPRKYRGNHISSEYTDEIPRKSYFFGISINLYGSSEFPRNIPRKFRGTHSKTLNKGYISVIQNAKRCFRFKNPTSSEKPRNILRKFRGKTSGFCFFGKASEYSEEIPRNTCFSSEFPRNIPRLFRGSRVFKPKTKFCGLNNTYITPIKCLRLIMKAKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRRIFFFRRNFLGIFSFNRANKPPNISRKLKLKILRKFRRKISCIPRDIPTTSSSEYSEDFPTNLLSSEFPRKFISSEFRRKFPRDFRGKMNFRGVISEDFFRRYVVGIALFRRHTDDFFPHVSWNTGRIGDIFGSDSRYSVSEGKERRTVM >A03p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12050541:12053715:-1 gene:A03p028670.1_BraROA transcript:A03p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 46, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21340) UniProtKB/Swiss-Prot;Acc:Q8W4G3] WFQFGEPVYIPIGKLNAYKLQVNIKNESWLVGELGFYQLHACEAFKPKQKKKKESMQSQCKTLAFTFSPISSNHKLPFPSPINLRACKPPFPSFRSSARFIRNCASPNGSISRETEEVTELVQEVAEVEVEEVKKIDDLADQSIWGQMKEIVMFTGPAAGLWICGPLMSLIDTAVIGQGSSVELAALGDDKDEVQHQISILLFIGLACGVVMMALTRLYGSGVLTGAKNAEIVPAANTYVQVLFYSLLVYFATSMGTSVIAAHQVMLQTYNICTILGEPLSQTAQSFMPELLFGINRNLPKARMLLKSLVIIGATLGIVVGTIGTAIPWMFPTIFTQDKVVTFEMHKVIIPYFLALSITPSTLSLEGTLLAGRDLRYISLSTAGCLAVAGLLLMLLSNGGFGLRGCWFALVGFQWARFSLALVRLLSREGVLYSEDTSRYAEKVKAT >A10p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12438803:12444725:1 gene:A10p012340.1_BraROA transcript:A10p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein-tyrosine kinase CEPR1 [Source:Projected from Arabidopsis thaliana (AT5G49660) UniProtKB/Swiss-Prot;Acc:Q9FGL5] MCNHHSSGKYLETSPKACIDQHHPPDIVRHHPRDTDRHHRSDIDRQLLLEEPPGYIVELKPVEERMHKSEASHLAVREHLRPPVCAEEATGFHKRLKMIHDPMKLVVPCTIFEVESPSPDPKVLSFLRPKFAPAAYFRAFPEMLFRFFPVFVLLIFCFNSNQSWGLMTSNQQSQFFKLMKNSLSSDALSSWNLSDAVTSYYCNFTGVRCDGQGLVTDLDLSGLSLSGIFPDGICSFLPNLRVLRLSRNHLNRSSSFLNDIPDCSLLQELNMSSLYLTATLPDFSPMKSLRVIDMSWNHFTGSFPLSIFNLTDLQYLNFNENPEFDLWTLPYYVSKLTKLTHMLLMTCMLHGNIPRSIGDMTSLVDLELSGNFLSGEIPKEIGNLSNLRQLELYYNYHLTGSIPDEIGNLKNLTDLDISVSKLTGRIPESICSLPKLRVLQLYNNSLTGEIPKSLGNSRTLKILSLYDNYLTGELPPNLGSSSPMIALDVSENRLSGPLPSQVCKSGKLLYFLVLQNRFSGSIPATYGRCKTLIRFRVASNRLVGTIPQEVTSLPHVSIIDLAYNFLSGPIPNSIGNAWNLSELFMQGNKISGVIPSEISHATNLVKLDLSNNQLTGPIPSEIGRLRRLNLLVLQGNHLDSSIPESFSNLKSLNVLDLSSNHLTGRIPEDLSELLPTSINFSSNQLSGPIPASLIRGGLVESFSDNPNLCVPPNSGSSDLNFKMCQVAPSKKKLSSVWAVLVSVFILLLGGIMVYLRQRMSKNRPVIEQDETLASSFFSYDVKSFHRINFDQREILEALVDKNIVGHGGSGTVYRVQLKSGEVVAVKKLWSQSSKDSASEDTLHLNKELKTEVETLGSIRHKNIVKLFSYFSSLDCSLLVYEYMPNGNLWDALHKCFVHLEWSTRHKIAVGVAQGLAYLHHDLSPPIIHRDIKSTNILLDVNYQPKVADFGIAKVLQARGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVMLMELITGKKPVDSCFGENKNIVNWVSTKIDTKEGLIETLDKRLSESSKADMINALRVAIRCTSRTPTIRPSMNEVVQLLIDAAPQEGLDMASKSRTKIKDAILSDNPTQTRL >A07g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16745651:16747640:-1 gene:A07g506720.1_BraROA transcript:A07g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKAQKALFDSLHFVTDSMQGIQERCVCGKRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELQMMKTRLEKCEEHKSLVVKLEVENQELKAEMEKLIARKMASYYPGFVNLLTSQTGESSTPEFVNLSGQEAVTGARPPGVKAAKSAKKMKGGQEESFTELKTVLEMKDKLNKQKLLEKLLEKPEPLSEMEMTLKLKLMSEMLWNRRVREQRGDCYLLLFYV >A09g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10034204:10035866:-1 gene:A09g503060.1_BraROA transcript:A09g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLEACLYENSWMWKRVSLSEWGHDVGQLIGRILPCLFHSWAIHIGCHVTNSSLDVATRVLDVKLTCLGRCRGVAREVEFFCNPNNAETMNRCLYLLIVRRRHGDVCASSHHLSISNQTFRSTGKTPTETFLNDVGLELGRAELRFWLIDLLLDASDGGGREVDSILQVVRFFEDNDIYHVGGKVNPTSDLDFINLELIFCDLDQLIYFTFLQEIQICKILRTYEMQDKRIHNLKSKKTIV >A02p008960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3689646:3691005:-1 gene:A02p008960.1_BraROA transcript:A02p008960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSHNVLLLFFPIIFSVLLQSSTSAIVNPSRVKQVSSKPRAFVYEGFLTELECDHIVSLAKASLKRSAVADNDSGESKLSEVRTSSGTFIPKAKDPIVAGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDAHFDYFHDKVNIVRGGHRIATVLMYLSNVTRGGETVFPNAEVPSRRVLSENQEDLSDCAKKGIAVKPRKGDALLFFNLRPDAVPDPLSLHGGCPVIEGEKWSATKWIHVDSFDRIVAPGGNCTDMNESCERWAVLGECTKNPEYMVGSAELPGYCRRSCKAC >A01p057280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32431964:32433172:-1 gene:A01p057280.1_BraROA transcript:A01p057280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDLNQPKLDMTKEEKERLKYLEFVQAAAVEAVLRFALIYAKAKDKSGPLKPGVESVEGAVKTVVGPVYQKYHDVPVEVLKYMDQKVDMSVNELDRRVPPVVKQVSAHAISAAQIAPVVARAFASEVRRAGVVETASGMAKSVYTKYEPAAKELYASYEPKAEQCAVSAWKKLNQLPLFPRLAQVAVPTAAFCSEKYNDTVVKAAEKGYRVSSYMPLVPTERISKIFSEEKAETAKPVEFHPLD >A08p035430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20914381:20916296:1 gene:A08p035430.1_BraROA transcript:A08p035430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLASSLTCSSPGLDILSSIVRTSFSRKHRAASVAVVVVATSSRDESSQKPTKFVTFLGKGGSGKTTASVFAAQHYALAGLSTCLVVQSQDPSADFLLGTKIGTSPTLINDNLSVIRLETTKMLLEPLKQLKQADARLNMTQGVLEGVVGEELGVLPGMDSIFSMLELERLVGFFRQATRKNHKGKAFDVIVYDGISTEETLRMIGLSSKTRLYVKYLRSLAEKTDLGRLTSPSIMRFVDESMNISGNKSPFDGQTSVAMWDTLERFLETGASTLRDPDRFRSFLVMDPNNPMSVKSALRYWGCTIQAGSHASAAFAISSSPSSESNKITREEFVPLSFASASVPFTSNGLDWDKILLDQANCSVRELLSGTVSLTPPVTFDTAKKSVTLFMPGFDKSEIKLYQYRGGAELLIEAGDQRRVIRLPSQIQGKVGGAKFVDRSLIVTMR >SC169g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:199120:204807:-1 gene:SC169g500050.1_BraROA transcript:SC169g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLRGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRVKSSVNANASDVEARHKSEAHATTQPEHPENSRQGIQSHQRPVPEIQIRPSWPKRNSKSKGHIRPKTRITDPSLILQSQSPRTTKHQTHPQDDPLPRHNQPLNRLVDRRDKRFSVGTVTHPTLHQAHLLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLNDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASKIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKKLTPLMMRDPSATTLGLADSFDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVITSLLIRHEDLLFKLGLSDINSIHHA >A10p016790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2765153:2768155:-1 gene:A10p016790.1_BraROA transcript:A10p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIILLLVLFFVGSSLSQPTLPCHPSDLSALREFAGALKNRSVTESWFKDSSCCQWDGVFCQGSDVPGRVTNLVLSGKGLEGVMSGSLGELSELRSLDLSHNHLKGELPAEISKLQNLEVLDLSHNLLSGPVSQAVSGLKLVKSLNISSNSITGNLSFVGVFPGLVMLNVSNNLFQGEIHPELCSFSSEIQVLDFSMNRLVGSVDGLYSCSKSVELSVSGNYLSGELRERLSNLTALKSFLISENRFSGLIPDVFSNLTQLEHLDVSSNKFSGEFPSSLSQCSKLKVLDLRNNSLSGSIGLNFTGLSDLCVLDLASNHFSGHLPDSLGRCPKIKILSLAKNEFTGKIPDTFKNLKSLLFMSLSNNTFVDLSEAMNVLQHCKNLSTLILSKNFMHEEVPRDITGFNNLAILALGNCGLRGQIPRWLLSCKKLQVLDLSWNRFHGTIPGWIGQMESLFYIDFSNNTLTGEIPLALTELKSLVHLNCTGSLISIPLYVKRNKSSRGLPYNQVSRFPPSIYLNNNRLNGTILPEMGRLKELHMLDLSRNNFTGTIPDTISGLDNLELLDLSYNHLRGSIPPSFQSLTFLSRFSVAYNRLSGAIPPGGQFYSFPHSSFEGNLGLCRAIDSPCDVMMSNNILTPKGSSRSHHHNGGSSVVVLAISLAVGITLLLSVILLRLSRKEGDDRVNDADDEVPKAPLSSKIVLFHSCGCKDLTVADLLKSTNGFSQANIIGCGGFGLVYKANLPDGSKAAVKKLSGDCGQMEREFQAEVEALSRAEHENLVSLQGYCKHGDDRLLIYSFMENGSLDYWLHERVDGSTTLRWDVRLKIARGAARGLAYLHKDCEPNVIHRDVKSSNILLDEKFEAHLADFGLARLLRPYDTHVTTDLVGTLGYIPPEYSQALIATCRGDVYSFGVVLLELVTGRRPVEVCKGKGCRDLVSRVFRMKDEKREAELIDATIREDVEEKEVLEMLEIACKCIDHDPRRRPFIEDVVAWLQDFPNQ >A08p019550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13312335:13312710:-1 gene:A08p019550.1_BraROA transcript:A08p019550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMDRGPFLLDMRGHDNLFVNELIYLTTREVRDSDFKAGFEIQRHRCRVGIKDLFHQNRLCPCQAELYNPNSG >A06p008200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2826779:2828087:1 gene:A06p008200.1_BraROA transcript:A06p008200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKHSSSSSFFFLILFFLFLSSQVSSQACLKTCGQIPIKYPLGTGSGCGDPRFTRYIKCDPDQQTLTLTTHTGCYPITSVDYAKQTIYVTDSSMSTCACTRPSQGFGLDWDAPFSFHDDTVFTLLDCSVDESPVFKPLSNSTGRVSLCDRQSSSICNFLYSNCRAISLINLQESTCCVYVPLDLGPSFEMDLQKLRCSSYSGFYNLGPAQESHPENWNYGIALKYKFNVFDEYPAVCGGCERSNGACGYNTQTSSFVCNCPGGINTTSDCFFLYNSASIVLPWFSREWVMYLLAWVVLWTVMSLP >A09p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18862694:18865556:-1 gene:A09p031050.1_BraROA transcript:A09p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPVSLGGQGDNLKQTQPSDEQHHRPIMDEVDFFRSEKRDDQNVITEETHRLHVKRENSRVDDDNDRSMGINTGLNLLTANTGSDESLVDDGLSVDMEEKRTKIENTQLREELKKSNEENQRLKEMLSQTTNNFNSLQMQLVAVMRQEEDHHHLATIESKDKDTNRHEASEMVPRQFIDLGLPSAEVSSDERITVRSRSPPSLLEDSSSRQRAKRVLEIEESPENESNGWGNPSKVSKHNASSSNGNGNAIDQSAADATMRKARVSVRARSEAPMLSDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAMYMASTTTAAASMLLSGSTMSNQDGLMNPTNLFARTMLPCSSSMATISASAPFPTITLDLTESASNVNGPTNNNPLMQFSQRSGFAELNQSGLPQMMGQALYYNQQQSKFSGLHIPSQSLNAGESVNAAMAANPNFAAALAAAITSIINGSSNHQNGSSNSSNNNVTTSSGDRQ >A01p057120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31935100:31937436:-1 gene:A01p057120.1_BraROA transcript:A01p057120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRFIVFLRTRKKVLGNVDVLSVETLCDHQLHEMSESAGMILTREIVNELRQARLTKVLLFLFKFFSLESSTAAAPPHPQGGHNGTFLCLSQSPFSELPLLYLASNVDYEINSMCLQGIDLVAGGKSKKTKRTAPKSDDVYLKLTVKLYRFLVRRTQSKFNAVILKRLFMSKVNKAPLSLSRLVEFMTGKEDKIAVLVGTITDDLRVHEIPAIKVTALRFTERARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRSKGRKFEKARGKRKSRGFKV >A09g510260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30465842:30467589:1 gene:A09g510260.1_BraROA transcript:A09g510260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRALVPLGHYVATELEPKLGRYVATELSQARSLRSDVSAANALANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLALEQPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDLSDVSNDTDEDYVHHEGEDLQGAHNYAIISDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGAKLAAKLLAGELSEVTSVKDLILDSDRPPKMDRNPPADFLWIFI >A09p045130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39739053:39739818:1 gene:A09p045130.1_BraROA transcript:A09p045130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHKPRLDPLNIGEAKILVETELDKSFPKQITLDDKLGHKAKRCLLKEKKIPPTNEKRSEHKDMVIQVVAIDTFSDNDDSDVHPNCVTPKVPESIKAKNITSELCPHTSTCSLSIREARRVLAGHLYFTGNYKIHVSSGSYSSKDSSVTFGKSLPDMKFTLFSTLAGTSSAHTSLQAMDDVPSEIIMNEGSTLSENDPLKMPFLSTESIQEVSNMYFYITEQMDEF >A08g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13216667:13219162:1 gene:A08g507480.1_BraROA transcript:A08g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAVSPVANAVVARSTFNSLRLGRTSQFVVGRLIRFWDSRNIKKNGEFMGITILLLDELDSVIHGFIPADRASHYRPDLKSGSIVKVDRFEVARCAHTYKITEHQFVIRFTPSTHICEVLTDAPVINSEKFMVRRYDHLHVLSNTNLELPDVVGEIRSVQGSDLRNDAATTRVVVRLLIEPDVTVYLSLWDEAASTFRGLLKAGDKTKSLMLVTTVNPKLFGGNMYLNSTPGTRFFFDTSLPEIATFVSMVGGESSKVFPLVDTLQGIKKKELVSIADLNTFISNSNEQTQEADFFCKARIVGVVHENGWSFVACTGCNRKLERIGTSLSCNRCVTDAVTGVVRFRVELAVDDGNDSATFVVFDKEMTKLTQQDAAVLALDEAANGGEENLPICLEELTDKEFVFQIRVTPFNFTPNHRTFTVSTITEDIISLTHGKEEDENILGGNEGDSGLKAPPSGLSVLRENVGEECGTTDPPEIAVTRNNRKRSRE >A09g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8716265:8717200:-1 gene:A09g502610.1_BraROA transcript:A09g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPESHRRNDPDSIVYRFRDSVALQSHFRRLTNETQTNSASIRSEPDASKDNHLTTKLQPFCNTETQISDRKILHLKLNNQRTPPRFQYSGNEFKTLIFKLV >A07p017700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10693753:10695102:-1 gene:A07p017700.1_BraROA transcript:A07p017700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLASAVGAKTARACDSCVKRRARWYCAADDAFLCQSCDTLVHSANLLARRHERVLLKSASKHSNHNHNHSPSSPPHEAATWHHGFTRKPRTPRGSGKKNNLSIFHDLVPEISVEDQTESCELEEQLICQVPVLDPTVAEQILNDVVEAKIEFPIMRSGVMMDDQEDEDNAESCLNGFFPTDMELEEYAADVETLLGRGLDTESYAMEELGLSNKEMFKIEKEEETKDMNIGICDDDRDATAPFELSFDYESHNTYEEEVIKNIEGSGECVKVKEEEQKNVLMLRLNYDSVISTWGGQGPPWTSGEPPERDIDISSWPFVSMGGNVRESQQKHHVGVCLPSSGFGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASLAVSAMNSPLGVNY >A03p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1257387:1260565:1 gene:A03p002840.1_BraROA transcript:A03p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAPLMEEPMDQEEQERGGGSIRTKSWKKWIKTQLQSMIIHKKPDAKVLLSVLGCPLFPVPPLSKMSLQQVSSSAQYIIQHFAAATGCKKLERGIKNTFVTGKITMTMMNDLSGSATVNASPVSHKGCFVMWQMLPEKWLIELVGGGHKISAGSDGEIAWRYTPWLGDHAAKGAIRPLRRTLQFSVLLFGCGPVRSGLDPLTISSVFSSAQFVGEKEINGKDCFVLKLSTDQTDLTRRSDSTAEMIKHVAFGYFSQKSGLLLCLEDSSLTRIQTPGSLPTYWETSMSSWMEDYRAIEGSEVVIAHSGRTDVLISRFGETLKGGISVTRMEERWTIDDVAFDVPGLSIDCFIPPKEMKMDFHHQDGPNTFPELLDERQKL >SC298g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000144.1:16017:19155:1 gene:SC298g500020.1_BraROA transcript:SC298g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRFKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPA >A01g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18231568:18232126:-1 gene:A01g506220.1_BraROA transcript:A01g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKDPSINPPTTSLFWKVRMLRGGEIKGFKLINVGQEAFPLSTATHLTRSDGLNSFNECQPPDETQRMQLRRELGLAPRQIKFWFQNRRKHKKQAQHERADRICCENISIREALKHTPFATPVFMKT >A10p009440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4923584:4925901:1 gene:A10p009440.1_BraROA transcript:A10p009440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSPSSHTSDLLLSILQICSSDIRRAFKREKPDQSLGSGVRSAHERPCRRRSPSFSFLFVSFCFICAVPPRSLCLSSGSATNRHRWLGGWSNDAIDGRLQIRWSGLHVQVLVSEDGGYPRSVVVDFFLGGGGLLRSTVADSSFREGETHLAPPSPAFGHGEWRFAKLHTAVFGSLSLFRCWLLCLPSRLCLCLADELSEEDDALRKRGRRQVKKEEFGFEIALGAMEFSVRCDPAKLQKRFKAAAASNFGSGWTWLAYKANRLDVANAINPLPTEEDKKLVIVKTPNAVNPLVWDYSPLLAIDTWENRRAEYINIFMGNSKHEARICNGSSSSKRTRRNIYRR >A04p025920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15682664:15686156:-1 gene:A04p025920.1_BraROA transcript:A04p025920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIVLFGDSITEESFGDGGWGASLADLLRRKADMVLRGYSGYNTRWALKVMERVFPAAGDGGAYPSAVTVFFGANDACLPERCSGFQHAVILGVNPRKSLQHVPLLEYKQNLRLIVSFLKNRWPRTVIILITPPPIDEEARLRYPYIENTIGLPERTNEAAGTYAKACVAVANECQIPAIDLWSKMQQIPNWQTECLWDGLHLSRVGNKVVFEEVTNTLKGEGIGAEDLVVDLPLIEDVDPKKPLTAFDDQDQNAVVTSSGPKISPILGLKETKPTPAAHSCRLSPMAPKTEDKNRAASDDTCARSYEELGFRL >A08p025530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16430560:16432947:1 gene:A08p025530.1_BraROA transcript:A08p025530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDCDALLIEMFQNFLKTISHIILLIFSLRKLGFTLLPASSSFSLSGMMMRCYNDCLSRYVSATNSLLLTLSACPQVPQVARRLAEQVLSNCAMKLQTYLTAAVNSLGVSLDRYSKIVALKYDGTFRTLPHDQLVKNEKEPFVSSGKPAPKSKKEVGFFALIIFPWQASDIQSHTGNLVRSRVRGWWPIDKEPQRKKAKTRKQSKMELLRKNKSKAAPASKFAKKSQDDKTESKPEDSNVSREKEDSSEEENLKTVGKSAGAKSRSSKEIPKAGTSKDQGDSGKSKASSKKKEEPSKTTMMSLKSKSGPAKPSSAKGKAAKGKQNLHLPPRARRAMWSLNQKRHRRHQNQLKKGNQLFSGKSQASQTKSAKKRKR >A05p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1353951:1355737:1 gene:A05p003630.1_BraROA transcript:A05p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKSHEEPIFPTMSSFSGQASAGTFLPVSRSLPLTFNNDADSDSVSEAGDTGDRTLQRRHSTGATLPPLNTASVTKPFPLDITKSPLPTEFLLSPEAINSGKEEEPVLPKSLEYISCLTHLAVFGILGAVTRYMLQKLFGPTIAQVTSDGSILFLDLPANMVGSFLMGWFGVVFKADIKRFSEFLAIGLSTGYLGSLTTFSGWNQKMLDLSADGEWLYAVLGFLFGLFLSAYSIILGVETAKGFRWLLRRRASSEEKKQSCLKANAYKRNIMSMILMVTLLMAFLIVSATELVKEFDKGTSKAQLWLGCLVAAPGVWIRWFLARVNGRGLGKDGQYLRWVPFGTLIANVAAASVMAALATLKKSVDTPTCNTVASGVQFGLLGCLSTVSTFMAEFNAMRESDKPWRAYAYASFTIAVSFAMGTIIYSAPVG >A02g511430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30435081:30435576:-1 gene:A02g511430.1_BraROA transcript:A02g511430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDQTCKKGEVWLGNDRSMSSSPKPINHGLTRGWCWLITESCSRIELEHDLKMNRDDEGGSVVGDRRDLTADRRHRGREAPRRMKTTAVDEARRGSEDSGGQFGESRCLWCDGEISVMF >A01p045970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25910747:25913582:1 gene:A01p045970.1_BraROA transcript:A01p045970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20330) UniProtKB/Swiss-Prot;Acc:P49077] MSITSSLTSATLCGSLVSPKALACSNAFPSNHLSGPLESSKICVTPYLKKSIAQVQGIRCHAMQVETREAFTAGKKFQLSDVIEGQEFDREMLSAIFDVAREMEKIEKSSSQSEILKGYLMATLFYEPSTRTRLSFEFAMKRLGGAVLTTENAREFSSAAKGETLEDTIGTVEGYSDIIVMRHFESGTAKKAAATANIPVINAGDGPEEHSTQALLDVYTIQSEIGKLDGISVDLVGDLANRRTVRSLAYLLAKFKDVKIYFVSPEIVKMKCIKPGSRERFGDRLDLYEAACGKYIVDEALLGVMQKNDVIMHPLQRLNEITADVDADPRAAFFRQAKNGLFIRMALLKLLLVVYPNGLPHLPPKPRTRRLVVRAASPSSQPEGKSPLMVVLDVPRSIWRQTLKPLSDFGFGKRSVWKGIGVPHGVLGGTWRHLELKNECLEWSLGEQGMGATSPERHHQVALIFLLERPYQSDREKSLAVSSLGDARTSPERSLAATQRGRSRSLERPYQSDREKSLAFSSPGDARTSPERPLAATQRGRSRSLERLVGATG >A02p020840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9813515:9815576:1 gene:A02p020840.1_BraROA transcript:A02p020840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVATVSGYHGSERFKLIKLISLSGASYVGAMSRSITHLVCWKFEGKKYHLANKFNTIVVNHQWVEACIREGRRVSEAPYSFESGEEVGPLMVELPADSGEAKVPKKANKPADTFDKYFSNGEESRRSRHTSELSTWMDSVLLKELPRLAIGGGYLGKKLLNHSVIAPQKNTESTRQSVRLRTKRPSNIFEDKENTGVAESSRKGKRRLVKPRSSRNLIDLDSDGESDSHCQEYSDEQRRKSPVNDENVEDCVLEQGETSTREHPRDYATQDWAADEIEESENWNHSAVFKRPRSINAEKEPQDDESNFNKPDSREEETEATKMVSAQVSCVICWTGFSSTRGILPCGHRFCYSCIQQWVDRLVSERKKTTCPLCKFNFVTITKIEDAGSSDQKIYSQTVPDPSSTNNSLVILPEEEERQGFNPLSRASACGRCSLSEPEDLLIRCHICNFRRIHTYCLDPYLVPWTCNHCNDLQMLYHRRGY >A04p034040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19767073:19768278:-1 gene:A04p034040.1_BraROA transcript:A04p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSLALSTFTPKSLPFSISRPASVSLLPPSLSFNLHSLSASLSLSSASSRFVRNVAVTDDFSVEEDGIFADDDAPPPPRREQSFSSDLKLFVGNLPFNVDSAQLAQLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSSASEVQTAEQQFNGYELDGRPLRVNAGPPPPKREDSFSRGPRSSFGSSGGGYGGGGGGGAGSGNRVYVGNLSWGVDDMALESLFGEQGKVVEARVIYDRDSGRSKGFGFVTYNSAQEVQNAIRTLDGADLDGRQIRVSEAEARPPRRQF >A05p027960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:79276:79622:1 gene:A05p027960.1_BraROA transcript:A05p027960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSCLLLARVIGPSDMARAPPLAGSSMLPLSSLFDWSMIISRALTSQEVITKADFEAFIRALKESGKMLGNTLGYSYSAHTLLSISDKLSS >A04p020880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12729892:12732995:1 gene:A04p020880.1_BraROA transcript:A04p020880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPSMTVSQRDQFLVLNDVFRIYSDFDASDIATQIFTVEIQRDKQLDYLMNGLRHLGPSFSSLDANLPWICYWILHSIALLGESVDDELENNTIDFLGRCQGSDGGYGGGPGQLAHLATSYAAVNTLVTLGGDKALSSINREKMSSFLRRMKDPDGGFRVHDMGEMDVRACYLAISIASILNILDDELTRGLGDYILSCQTYEGGIGGEPGSEAHAGNTYCGLATMALITEVDRLNLDSLMNWIVHQQGVERGFQGRTNKLVDGCYTFWQAAPCVLLQRLYSAHDLVLQGSLHMSQPRDEDHEEHAHDEDDPESDDDDSDEDSNEDCRRVQPVFDSLNLQRYVILCSMVPEGGFRDKPGKPRDFYHTCYCLSGLSVAQHAWSKDKDTPPLNSDILGSYANHLEQVQLLHNVVMDRYNKAIAFFHRAV >A09p074050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56248167:56253166:-1 gene:A09p074050.1_BraROA transcript:A09p074050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G17500) UniProtKB/TrEMBL;Acc:A0A178W5K3] MLNLIQFDHHYDEEEEFLIKKDSIFVSKRMARGRRRSKLRLSNIYTFGCLRPSADEGQDPHPIQGPGFSRTVHCNQPHMHKKKPLRYRSNYVSTTRYNLITFFPKSLYEQFHRAANFYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMLKEALEDWSRFMQDVKINARKALVHKRDGEFRRKKWKKISVGDVVKVEKDGFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLSLDDDESFKDFTGTIRCEDPNPSLYTFVGNLEYDRQIFPLDPSQILLRDSKLRNTPYVYGVVVFTGHDTKVMQNSTSSPSKRSRIEKTMDYIIYTLLVLLILISCISSSGFAWETKFHMPKMWYLRPDEPENLTNPSNPVYAGVVHLITALLLYGYLIPISLYVSIEVVKVLQATFINKDLHMYDSESGVPAHARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRSSEVEVAAAQQMAVDLDDHSRATTPRMSVQEIEVESTSEMVMTPRVPIKGFGFEDVRLMNGNWLREPHADDILLFFRILAICHTAIPELNEESGKYTYEAESPDEASFLTAASEFGFEFFKRTQSSVYVHERLSSSGQTIEREYKILNLLDFTSKRKRMSVVVRDEEGQILLLCKGADSIIFERLAKNGKTYLGPTTKHLNEYGEAGLRTLALSYRKLDEDEYSAWNAEFHKAKTSIGSDRDELLEKISDMIEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGYSCSLLRQGMKQICITVMNSEGGSQDSKAVKENILNQLTKAVQMVKLEKDPHAAFALIIDGKTLTYALEDDMKFQFLALAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYNDYYLLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGKKNLFFDWYRILGWMGNGVYSSLAIFFLNIGVIYEQAFRATGQTADMDAVGTTMFTCIIWAVNVQIALTMSHFTWIQHVLIWGSIGLWYLFVALYGMMPPSLSGNIYRILAEILAPAPIYWISTFLVTVTTVLPYFAHISFQRFLNPMDHHIIQEIKYYKRDLEDRRMWTRERNKAREKTKIGFTARVDAKIRHLRSKLNKKQSNCSAQDTMSPRSV >A04g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1668746:1669458:1 gene:A04g500550.1_BraROA transcript:A04g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRGTHSKTLNKGYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRGSRALLLGFLFLRKSLGIFRGNSEEHLFFLGISSEYSEAFPRK >A09p015960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8311470:8312562:1 gene:A09p015960.1_BraROA transcript:A09p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITCFGKSRISGKLRKRDSSSTSSPPRNWTSKDDNTEEEGSKIIFFGGKNHSFDLDDLLSSSAQVLGKGAFGTTYKVTMEDMSTVVVKRLKEVVAGRREFEQQMEIIGMIRHENVAELKAYYYSKDDKLAVYSYYTQGSLSQMLHGNIGMYDRVPLSWDARLRIATGAARGLAKIHEGNNGRLIHGNIKSSNIFLDSQGYGCIGDIGLTTIMRSLPRRTCLTSGYHAPEITDTRRSTQSSDVYSFGVVLLELLTGKSPASPAVTDAEHGGENMDLASWIRNVVAKDWTGEVFDIEMLSESGVEDEMVEMLQIGLACVGVKQQERPRIAQVVKLIQDIRSTE >A06g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8079009:8086438:-1 gene:A06g502360.1_BraROA transcript:A06g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLMNKSRTWNKSIQAERERETAMYSTVMLWLSDPDRAKMIRTALLFAALLVGTSVQAQQLLPPSRRDGFVYPPGRKIEPDTILIEAYFDPVCPDCGDAWEPLKLAVDHYGSRVALVLHLMPLPFHDNAFVVSRALHIVNTINANATFSFLEGIFKHQALFYNSQTQYMPRPAVVDKLVKLGTVTLGSSFHYPLKSGFRNSKSDLATRVSFKYSVSRGVSATPTFYVNGFGLPDAGSPIDFEGWKNTIDPLMDMYERVAKPKDETPIAENEIRITSTGRARNCITYAMALLQEKGSDEVIFKAMGRAINKSVNIVELIKRRIPGLHQHTSIGSTDITDTWEPKEEGLLPIETTRHVSLITITLSKKELNTSSVGYQPPIPIEMVKPLAEIDYEGRDGSPRGRARRGRGRGGRGRGGRGDGYVNVEYDDGGMEPERPSGRGRGGRGRGGGRGGRGRVGHSGPPPYYEAQQDGGDYGNNAPPPQYHEYDDGGVEPERASGRGRGGRGRGGRRGGRGRGGYNGSQPYYEAQQHGGDYGYNPASQQDYGHAAPPQGRGDGRGRGGRGRGGGRGGFNRSNGPPIQAAA >A05p022480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10759580:10760308:1 gene:A05p022480.1_BraROA transcript:A05p022480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERPRNYLLLFARFFIFTCVIVSVFLVLRTTFSSSSSCDLPFLTVPLKAIAFSPINISHILFCIAESVESWIEWSQYTSLGWRKSTRGFVWLDEQAKVLRNNGFSLPIKISAGYINIIWRPEAYTFFFRGWVLDVRWFVMGDDDTVFFTDNLVKVLS >A01g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24035138:24037942:1 gene:A01g508840.1_BraROA transcript:A01g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDGGAATRRSGGLEGEEVWRSQGRGDCWRCKRRRMSFTAEDSPSGLSEFVILIALKFLRKNEQARLHLVITLSSNAMIISKLIFFPYPYSSHFANDGFDCSLSELKQKNKPVEPSSLNKSRCCRRFGCLLEIGAVQLSGLLKFFAGDKKKSMFANFMDLFKKYEQISFIFLFKLDRPMTHKPGHVMNFLHDGRQLLVVKGRYAAKNSEEILLPYQQREPVHDSIEGEQSLLCQLRILKDCFLTRGQRDGLKL >A04g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11349885:11353597:1 gene:A04g505260.1_BraROA transcript:A04g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFNKKKRGQKLDFPNPNIVGLSASLFHGDKDGDFVFSVMLPPVKSTTEPTEASLSTSTSLCYSPPLSTSLRLSPPLRFSPPLCFSPPLSASFLLSPPRRFSPPLAASPLIATSPLLSASPPLCLNLRTDNFFSVISVTGNGDVFLDAQYTREGEMEDERVDLVLERIRNKYDWSSTDWPVSDPEESKMEEPDSHDRGSEADKSVDHTDVVADEETSSVQVAGKGKRKFLDEGAETRKKKVLCKRSAEKFLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERVFTERMGKMEIEVSQLKGAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGAQAPPKSKGAEAPPKRKGDQPTPTKKDGKKIATETNDFDFGLSTQDLRDLSQATFVDGFDLSQVKAETSSKSKPFNMAPLQWNDEEMDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLIDKSEWLNSLEIDAAMYVFRERTSLKRWRPHRVAFMTVVFSNMIKKEYGHLEAQGRKSYMLHNLLLQFGKGVLPPHGRTHEIWNIDVDRLYVPVHVSGNHWIALCISFVTRSIEVFDCSGRKRYKEVDGFANLIPRIVKA >A06p020950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10338402:10340075:1 gene:A06p020950.1_BraROA transcript:A06p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTDLRDSKEIVNTLSVPESTKTFVFAIRVPEHDSTIHILSAQSLSIRSVIDAECLIRELCPDAVVAQVNGPAFGEESQEIDDGSNDSIPTSVFRVLTRCFVDKTFDKEKYESVAGNLVLKEIFGTSFNGHVLAAKKAAEEVGSSFLVLDSPFIMKGLSKSLTTKYYYGSAISSTRFQITNDARALMLKLLSSHITQLGKELIPSSCLANEMESDSVKLPEFAYSIYNLLVDLHNIFNGLPAIRKALDSATKMLSDVNRGESVDKEALLSEVYLFRIAVEGIRIALNNSGRLPIRNLGYVSNQTQVQFSKLSSMEKSYALMAANLRDQAKKFKKIVVVVDAGNLAGLRRHWRTSVPQEVKDMSTEHTVLDSDSNDSNVKPVGAVGAAALTLTKIILDLKALAPLKPFLTNTQEAMYLSKASLSAETIRGVTQYILYSAFDTSFFSVQATLYSMIMRRRRAKPIGTLPMAMFGASLATCAGLLFYEKGIMCAAETLPSAPSIAKLGHGIQNLREASKEVTRREDKRIQNTMEGLSERLRNFTLHYSLSFSFKTKFGS >A05g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6619696:6621642:-1 gene:A05g502000.1_BraROA transcript:A05g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYRQPSSSDEFDITSLLEAEAAMYADEGESSYNAAEPFKYSPPEPRVADDGIPMPCCGGGGDLVVATSEMSDFQRQLTELKDEGHVNASKLDKLERTVGELSKKEVEVIHGFALEVYVMVYGLVLIGLAAELERITRTVSDLQSVCIKVDTADEVGEPQGRPMGVKAAKAAMKKKKNGKEESLAAIHAIMEVKKTVSKQKLLERLLAKKEPLTEIETSLKLKLMCSSSCTNQVTDAYGVVD >A05p018050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8227507:8230379:-1 gene:A05p018050.1_BraROA transcript:A05p018050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRQIYSVALETLRNDLSDNVIQKQMECRFELKKGSSDSRPPPPADKKVLTKETQKSYLPAKFRDDKRGLSYSDFHREITKKVEDVCPKRLENRLKSRIGRTASGERDLVKYKSYVPSYIKKCEARDVKAGAKQIDNKHARSSLSNTSTSSSLRTDESSSSTDSGKGLVDSPFRTRINHPPLQYYLMSSKPGDCSLDNGDTSQNLCSLPDATKRDAVQVHQSPRGTAFLQNKKKDSSDVKIVPKTRTFLSPSKPESPSSTRLISRSLLADDFNKKGEKLDERIRNPRVHDMFGKEKPAAAVFVPGIVSQKQILGLSKFYDSKVLLAERVAEANRKGLFPERLAYEQRAVLDGHFRREAADGSKPFLKRLNFLSAEKNRSSSAPRSRKSESSPSRSRTLDRRSTETLPKLSDQKPVKVVAERARSISPFRRLSFSIGKSSKHSNPEDAQTLTPSRAGLENRSTSSLSETSSIDSTSAANRGRSSPLRRLLDPLIRPKSSHACRSPEPSSLKSSPSSSHQKHILSDNHQPSSSTVQALFRVTSKNDQPLFTFAVDKEQSITAATIRKQMVPEKEECGHKYTFFTVQEVQKKTGKWMNHTRKVQGQEYTSNIVAQMRVSVGSVDDLLTREYALFASESQQRANELAAMVIKIPKLAEASATTLGDYFAEVGTTVVLPSGVHSLPHKGGPSSLIQRWKSGGSCDCGGWDMGCNLRILTNESNLSASTSDAFKLFSQGGLQDNSNQPFLSFTPYREGVYAVEYNTALSLLQAFSICIAVNEGRNSLKTVEPNTWRVDNKACGEVSSMIQNERLKSCSGPVEGEAPAKYVSNPPVSPVGRV >A06p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20643817:20645253:-1 gene:A06p038300.1_BraROA transcript:A06p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQSPGKTRFRALKYETGDANRPAIVVRVIACFQPMDNCQAEYFRLLLKPCLFSLKLPNCKSMPLDTRQRDLPPQPCFEDNAARTVPLPERGRPYAAELLARCLQPPPLQSLLWSHHDKESCGKRFSMSDMRSWCAAAAATPHGALESSQKGLMIFDQSGNQTRLLRCPFPLRFPSLAAAEPVKLKLSELQHGLEKGFREDHVTLKEFDEKNCVENISVSSSLVGSKSSTKLPESNISTKEDTGSGLSNEQSRKDKIRTALKILESIVPGAKGNEALLLLDEAIDYLKLLKRDLNLHRD >A02g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20354952:20355564:1 gene:A02g507100.1_BraROA transcript:A02g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGIRPTLCLFSVFLCVSGCRNRPELSEMRERGRFKLSPTAWLLYPYFHRILVKSQLTDALNPHSGP >A06p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4169160:4170804:1 gene:A06p011330.1_BraROA transcript:A06p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLACKAIISKHPSKTQNPQTHLTKLPHVLPPYFRLNMDSLIKQTRRRHPTSQGKTGEVGSSTREKKVPARRAYIIILCVLVDKKKPSSWLQKQFMRQMSDQGYDPISEMDHAAAVAATAYAITTFEETLLKSYHTGLEHGASLSRSKSRREASPFEEPRSLSRRFSGQLSLKEPELNGDHTRRSGDSREKRERQRKRVSEPPAPMRKQPPTRTRSERHAPPPPPPPPPPLSPPPLQLPPRESKSQSSSQTSRKDDATADGWEKTELAKIKARYEKLNRKIDLWEAKKRDKARKKLDKSESEQEQRRKRGLQRFREDMEYIEQIAAGARAQAEKQRQTEELKVKEKAGIVRSSGKIPGKACFCF >A08g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19344986:19347451:-1 gene:A08g509530.1_BraROA transcript:A08g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:214404:215890:-1 gene:A03p000090.1_BraROA transcript:A03p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01920) UniProtKB/Swiss-Prot;Acc:Q9LZV4] MASSLFSPVTTGSFPWHLKCGFSPHTPLSSHKNSLRCSFSPVDFVGQSLSHLPAFNDTQKWASFASAGLVWFYLTARPGVLVGAIDAYLLAPLQLGLDTLIGARRFKRSDFLVTEKLGEGSFGVVYSGLLLPKNSSVDEARLMNKTTLQSLGEEFKERVILKKVKLGVRGAQEFGEFEEWFNYRLSRAAPDTCAEFLGSFVADKTNSMFTKGGKWLVWRFEGDRDLADYMKDRSFPSNLESIMFGRVLQGVESVKRRALIIKQIMRQIITSLRKIHDTGIVHRDVKPANLVVTKKGQIKLIDFGAAADLRIGKNYIPERTLLDPDYCPPELYVLPEETPSPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLLQMAVPSIRSSAGLKNFNLEIKSVEYDLNRWRDRTRTRPDLSILDLDSGRGWDLVTKLISERGSLRRGRLSAAAALRHPYFFLGGDQAAAVLSKLSFTSK >A07p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14803106:14805073:1 gene:A07p025790.1_BraROA transcript:A07p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative E3 ubiquitin-protein ligase XBAT34 [Source:Projected from Arabidopsis thaliana (AT4G14365) UniProtKB/Swiss-Prot;Acc:Q9FPH0] MGQQQFKDQVLFQQVSQNDVEGIKSLRHEGAGLEWSDKEGRTPLILACANAKLYDVAETLLELGSNVNAYRSGCNAGTPLHHAAKRGLENTVKLLLSHGANPLALNDDFQTPLDVARDNGHSDVVRAIESHICLFSGWMRQFYGTSFQELFSPQLLSRRVWVVVVPTSSGNPSKPLKLELVVYAILQDAQPITVMPLWKAKLEEPRSDQSDASVIIVDNSSKRKKQRRRGYISHARRWAQVDRQMRLKLAAATKGDIKQLNWFCEACKGTPQPMNLPMFLQTTEKIISNELTPSVPLLRAAVEVEDGSVHYPSFPSTNFESKEGSGGSGVCVICVDASAEAACVPCGHVAGCISCLKEIKNKKMGCPICRASIDQVIKLYHV >A03p020210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8301608:8302042:-1 gene:A03p020210.1_BraROA transcript:A03p020210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASASIVSDQLSAKAPSPSPSPPRIQSDTDSEDVQLLLPRYDPNSYPGKKDKTRLRSAENAIHFIPLILIICALILCNSNDQTVTMVEEND >A01p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27799469:27800790:-1 gene:A01p049520.1_BraROA transcript:A01p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acylpyruvase FAHD2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16700) UniProtKB/Swiss-Prot;Acc:Q9LUR3] MATSMIQRMFKQGTKIVGVGLNYASHAKELGNALPKDPIVFLKPTSSYLENGGTIEIPHPLDSLHHEVELAVVIGHKARDVPERLAMDYIGGYAIALDMTAKEHHVSAMASGLPCTLAKAHDTFTPISSVLPKAMVVDPNNLELWLKVDDEIRQKGWTKDMIYKVPYLISYISSVMTLFKGDVILTGTPEGIGPVKIGQKITAGITGLSEVQFSVGRRVRPLLSS >A05p048210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28262195:28263086:1 gene:A05p048210.1_BraROA transcript:A05p048210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNPLSHVPISDHRFVGQDMMSLQSPSSIWTKEENKMFERALAIYPEDSPDRWFKIASMIPGKTVFDVMKQYSKLEEDVFDIEEGRVPIPGYPSPLGFTQDTCRKRPNGARGSDHDRKKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTGNLLNANLNRSFSDHRDILPDLGFIENDNAEEGLIMCISQNPISPSSASFDAAFNFAGVNAFSAGA >A09p072200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55459542:55460916:-1 gene:A09p072200.1_BraROA transcript:A09p072200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEKAVETVVVGNYVEMESEGKASDMKSKLSNMFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASILHGQVEGVKHSGPSKLVLYFTGATNILYTFGGHAVTVYVLFTPHAIRI >A10g507320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:22538286:22538924:-1 gene:A10g507320.1_BraROA transcript:A10g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVISEEAMNTKGPSQSPNNSTLPLTERILEEEDWLDDGNDFGDVDGNDFGEEDIDLMEEDDLLGEELRIENEKHLQIDDSGIERADGGATLEITDGSGLGKVVESAVDAKNKTPTKGASSSSDRVSGSSASMKKKRGSRSPSAFGVSLRQRNLKAGLGSPKSLTAGPGPNGSKVRQPDPSPSANQKKAREAVLLKNTNSKVASGRPPKVP >A02p001070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:523040:525427:1 gene:A02p001070.1_BraROA transcript:A02p001070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIKLFFSILLISSHIFGEINGVESSSSNHHHHHLSGPKKLFVFGDSYAGTGNIKKAHAVSWRVPYGTTFPGKPSGRFSDGRVSTDFLARLLGIKTPFAYLWKYYVGKEQLRYGMNFAYGGTGVFNTKSTSPNMTIQINLFEQLLGDIYSQQSDLSSSLALVSVAGNDYSNYLALNRSIAALPIFIKQVVDQTELNLRRLHALGLEKIAIPSLPPLGCLPLYTSPQGCNDTFNALVNYHNSLLEQVVAKLNNETRQSTFAIIDFYNAFMAILKNKGESPGSERFETPLKPCCEGSCGNVDEKGANKYTLCDDPESAFFWDGLHPTQQGWKFIYSVLGKALSASLLKL >A09g515840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46782772:46785247:1 gene:A09g515840.1_BraROA transcript:A09g515840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETAGCGGPTRKEPPERETAAGRATAGRVVPIAIPMQESGGHINGQSSTHHLATDTLRRRRPQSNECEFGESSSSAKRMRIISPGENNESFADSLLNLREERTTNSVLSPTYITSGLTVNPHLRRSDDIRSPYGPYDERYEADRQYLDPHMRKFENSIDGGGSLRFLVLEDGVRSSLPQGFSVEIWSELASWSRVEQICEGGWWLSVRRWFSRRNWDMVA >A01g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17139050:17142580:-1 gene:A01g505750.1_BraROA transcript:A01g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSPNVLLSYPCFSEEHPQPVCEVSFIKSFFDWDSEDSFSETIHLLLVSFPLKFLVLLDLVLVNYLKGWVLVRRCLSIDGRCVVTIDVGLTLSIDGWLNRIIMFTIFNDTVSIDVRAVVSIVVERLGSIDYEGLVSVDGWLCVSIDDDVVASSDVERHFRNLAWPWVLSLLNPKYRVSDVSTSIDGTCVHQSILIFICRGIFWCRSIALM >A09g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23302083:23304754:-1 gene:A09g508230.1_BraROA transcript:A09g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTTAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASIVPLNANPVILSTVQIPLNALPDFSPVHIGLSPNTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A01g510490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28868279:28874331:-1 gene:A01g510490.1_BraROA transcript:A01g510490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPEMPTNIRISSESPRYILRKFRGTWGFKLKTTFYGLNNTYITFIKCHNQIMMFGTMNFGQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETFSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQD >A01p013580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6632012:6634878:-1 gene:A01p013580.1_BraROA transcript:A01p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STP12 [Source:Projected from Arabidopsis thaliana (AT4G21480) UniProtKB/TrEMBL;Acc:A0A178V2V7] MPAVGIVIGDGNREYPGKLTLYVTMTCIVAAMGGLIFGYDIGISGGVTTMDSFQKEFFPSVYEKQKNDQESSKYCKFDSIPLTLFTSSLYLAALCSCVVASYVTREFGRKLSMLLGGVLFCAGALLNGFATAVWMLIVGRLLLGFGIGFTNQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVASVLNFFFSKIPGGWGWRLSLGGAVVPALIITVGSLILPDTPNSMIERGRFKQAETKLRKIRGVDDVEDEMNDLIAASEASKLVEHPWRNLLQRKYRPHLTMAILIPSFQQLTGINVIMFYAPVLFQTIGFENDAALMSAVVTGLVNVGATVVSIYGVDKWGRRFLFLEGGLQMLISQIAVAAAIGAKFGVDGNPGVLPKWYAIVVVLFICIYVAAFAWSWGPLGWLVPSEIFPLEIRSAAQSVTVSMNMIFTFIIAQVFLMMLCHMKFGLFIFFAFFVAVMSVFIYVFLPETRGVPIEEMNQVWRSHWYWSRFVEGDENGRVEMGKVH >A04p017320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10609194:10610594:-1 gene:A04p017320.1_BraROA transcript:A04p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGDTFGTIGSSMASLFFIWATVQQIFPNHLKIAIKEFLLSSFQQLCFAQRVSDYFTNLFSPYVDINFPEIDEYRLNHAFSAIETYLESKATDKSKHLKGSQLKENKGLVLKRDEVKIRDEYKGANVWWEIVTASDEERSYKLTFHHRARSLITDSYVPYVVEEGKLIKAKKQQTRLFTNNPSSQWILSHNMWRSIEFEHPASFETLAMEQEKKEEIMSDLTAFTNGKDYYKKIGKAWKRGYLLYGPPGTGKSTMISAMANFLNYNIYDLELTAIKNNSELRKLLTSTSSKSIVVIEDIDCSVDLTANRTKKERNSIEQDKDESSVTLSGLLNFIDGIWSACGQERIVVFTTNHLEKLDPALIRRGRMDMHIELSYCTYEAFKILAKNYLDLDDHPLFKNIKSLLKEIEISPADVAENLMTRNHIIDVDESLNNLIKALEEKKNSQRSQHDEDKKKSNKFRLFG >A01p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6992049:6994271:-1 gene:A01p014360.1_BraROA transcript:A01p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLWDPSLYVSSIDHHHPQSDGKVHANPYNNNVFQAPPHTSFNHPPYFQMISMAIAASNERDGLSKEAISRKPTKFAASPDACLEVPRSRILTTTNNDQHTPDLAGVSASQPQKHAGRRGGASNLDDMRPPGRPTKNRAATMVPALVLAGSSSTVCQQRVKEDTVISLSSDSSESDDDESDVSFTLNHKKKGRLVEDDEAMVTNTSCSNFTVVPKIKNPEKYLENPENIYFESELKNRPYELEKEGKALMATRLPSQSFVGKVQSETMKTKDRVCIRYWNRICDRNKLKKEDRVLCELLREGDTVYAINVHVVRAKDL >A04p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22456447:22458179:-1 gene:A04p039670.1_BraROA transcript:A04p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEESSSSSAIMEDSHSPETTPQSPPPHPLLSSIPSAKIATTTVENAASWIDDAMRQALVYQNTIMETLDSKIDASKSRLAQIRDTSIAHTSQTIDSIREIVSEYNVYEHMVFAKIKDGVNVAASHPLVSGGLAFGVGIFALKKTRRFVYYNAVRMFSTEEALLSRADLRVKELRQSLDRLTAESEKLERVATVAEDELIRGRMKLRQAGKQIRGVVNSAYKIEKQAAGLKDVLKELPTREASRFRSQISNRASEVKQERKALTKEVNKISNYGISV >A06p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:361509:362988:1 gene:A06p000390.1_BraROA transcript:A06p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNETLTDSQLQMVPAYGSSSDIMSVDGESSRTASYNDLVQNPYLFLDKLRDFLEKIGKTLEFPTVCGESLDLHQLFVEVTKRGGLQMVIKKRKAKEVTEAFNLKKPLTNAAYVIRKNYLRMLFEFEHVYFFRQPFSSFWEREEDVKRLVENSAHDKGIQLGSMIDEWTIDGKFDDGYLVTVKMGGSQELKGVLYHSAPRETPRRRKKKAKLSHVDSLRPKFRRSGYNFFFAEEHKRLKAAYAGQERSLLKEIGNNWRNLSPSDREIYQGKGVEDMERYKMDMAAYKSFVDSYNAAGSVAATDDAVAEAEVEAEAEYEAEAGL >A09p034390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20830322:20831474:-1 gene:A09p034390.1_BraROA transcript:A09p034390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKGRLKAWFNKKITDPLLRILRRFVFSYRGTEPKQLAFSAALGITLGIFPICGVPVFLCGVAIAFLGSACHAPTVMLANIIATPAELALVVPFLRLGEKVTGGPHFPLTSDALKKVFTGQASQQVFLSIGINEGASDTKGDIDHLPRLEACFQHYKICRVAVPSLLLGWLVAAPFFFIALYLVLLPCFKILGRKFGGDASTPKIPISMETELNPKPRVA >A01p050290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28344212:28345705:1 gene:A01p050290.1_BraROA transcript:A01p050290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFKSMVTRCIYVSNNAKKLKTITEELRDLHNSVMKRVKMYEDQQKLKRLEKVQVWLRQSDAAIKEAEEMLMMYMPSSASNGSNVMMSSSHKIDKKISKMLKEVQEIKSRGTFDVVVENSGVGGGGSMMISTVDRDDQTVGLEAVSGLVWRCLTVDNTGIIGLYGVEGVGKTTVLTQVNNRLLQHKSNGFDFVIWVFVSKNLNLEKIQDTIREKIGFLDRSWTNKTEEEKAGKIFEILSKKRFALFLDDVWEKVDLVKAGVPPPDGQNRSKIVFTTCSDEVCREMGTQTKIKMEKLSWERAWDLFKKNAGEETVKSHPDIAKVAQEVAAKCDGLPLALVTIGRAMASKKTPQEWRDALYILSNSPPNFSGPILSQVAGQELVDVHSRNNLAVHGEQKDSCVHFD >A07p012720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7955645:7957863:-1 gene:A07p012720.1_BraROA transcript:A07p012720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGGANLGSVSFSSHLLNQHSCFLSCPLRLLPSSSSNRTSLLCVVKSFSGSVTAGTDTSSDQSLLRDTSTDAGPPRMSTDWKLAKAYCKSGDTFEGEVEGFNGGGLLIRFHSLVGFLPFPQLTPSRSCKEPQKSIHEIAKTLVGSTLPVKVVQADEENKKLILSEKLALWPKYSQNVNVGDVFTGRVGSVEDYGAFIHLRFDDGLYHLTGLVHVSEVSWDYVQDVRDVLRDGDEVRVIVTNIDKEKSRITLSIKQLEDDPLLETLDKVILKDSSSGSPSLSSNNGDTIEPLPGLETILEELLQEDGIEAVKINRQGFEKRVVSQDLQLWLSNTPPSEGKFVLLARAGRQVQEIHLTTSLDQQGIKKALQRVLERVP >A09p066850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:52887302:52887823:-1 gene:A09p066850.1_BraROA transcript:A09p066850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTEVFLPKLFVQTLSILSFIRTVVFSLFRFLGLSDFLEMDQTWPDYTSYPTRTPELRSPFSALLIREILPVIKFEDVTTSSGEDLPESCAVCLYEFEGEQEIRWLRNCRHIFHRSCLDRWMDHDQKTCPLCRTPFVPDEMQEEFNQRLWAASGVHDFHSEYCPVTEL >A05p005720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2212823:2215088:-1 gene:A05p005720.1_BraROA transcript:A05p005720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:Projected from Arabidopsis thaliana (AT2G44270) UniProtKB/TrEMBL;Acc:A0A178VUZ0] MEASEGKSKKQGASRLCCLCNQRRPVLKRPKTLQQICKECFYEVFEEEIHQVIVKNGLFKSGERVAIGASGGKDSTVLAYVLSELNRRHSYGLDLFLLSIDEGITGYRDDSLETVKRNELQYGLPLQILSYKDLYGWTMDDIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVIYPFITESFSCSFSNIYIHTYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERLRPRAILDIIKSGEDFRIATTTKMPEQGTCERCGYISSQKWCKACVLLDGLNRGLPKMGIGRARGGVNGDHQKETKKPASAARSLESKQCGSLDF >A05p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11904818:11906684:-1 gene:A05p024470.1_BraROA transcript:A05p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQFNMTNAQELGQESIVWGISNSDDSQGGCKRIDKQPPLVRPSHPSEIPTSDKKASKGKKRTQRNEKNHVEESPDHEIHIWTERERRKKMRDMFAKLHALLPQLPPKADKSTIVDEAVSSIKSLEQTLHNLQMRKLEKLQYSSASNTTTTTAFPYDPSSSPTILLTPISNQPHILPVGAASADSYSREALLANQISSSSMNLPYPCDDPTAEFDTWSTRNAVLNICGNEAFFSLCCPKDKSGVFTNMCYLFEKYNIDVIFASVSSNVFRSTYMIQAQVKPSYENQLLGDGFGAAEIFKQAAQEMALCFSSS >A03p028730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12079834:12081048:1 gene:A03p028730.1_BraROA transcript:A03p028730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEATSIDAALSWPPRSPPRSATRPLYYVQSPSNHDVEKMSFGSGCSPMGSPSHPHYYHCSPIHHSRESSTSRFSDRALLSYKSIREGSGRRRYINSAIDEETDDGNDDPFRNVRLYGCLLLSLFLLFSVFSLILWGASKSYPPKVVVKGMLVRNFNVQAGNDLSGVPTDMLSLNSTVRIFYRNPSTFFAVHVTALPLLLRYSNLLLSSGEMEKFTVGRKSGRNIATVVHGHQIPLYGSVSPHLDTLSLPLNLTLVLRSRAYILGKLVTSNFHTRIICSFTLNANRLPKPISLIHSCTHHH >A01p054150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30571089:30571694:1 gene:A01p054150.1_BraROA transcript:A01p054150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTVILSVLIVMSLIMAQTQVDAKSCCPSKASRQAFNTCRIIGTPAFACEATTGCKIVWSSCPEGYNNHDILENSTDTINEYCNLGCVFSACGALTTLQNSDPNALVNGAVEQCTKACSTLCTKGSMIAVEAT >SC166g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:40739:40991:1 gene:SC166g500020.1_BraROA transcript:SC166g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVTYSGVPNTRGNDQDFIRRSEMDALIKMLKENGWIELNAMNRLDMSTPLLEMLG >A04g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11095733:11097092:1 gene:A04g505070.1_BraROA transcript:A04g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSFNIFNKNFTRNETESSLTNDNLAYLQKDHIDCFNDNLGQSSVNGWSNSSIMTSNSVSNPQSLMSVMPNQNYNHFGRSFVCTQNLPTFNSPITEIPPRYPFIDIDLSATTKGNFNTGAWDQSEDTNLRKLVELYGTKNWKKIANMLGTRIGKQCRERWHNHLRHGIKKSAWTEEEDRILVEAHKVFGNQWAKIALKLCGRTENAIKNRWNGTKRRMHQKRMKRSDKNANPPQNVILARYIRHVTNKNESPNTKETDCTKDDKHENAFDGEMDLSLDVTTQTTEPLASMSTTSSYVPEPATTFSWDDYFTYICESMDDIHMLMQGLD >A06g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6903699:6906324:-1 gene:A06g501920.1_BraROA transcript:A06g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDDSILDLMPPRKKHNKGPNKSDKKLEKRMRPMQLPKAGVLSDEPVKENDNSDSCMDEPTTPEIHIPALHTDSHQLIHPNELDSKVMLISAEEVREEEWFMNFFKNSAMMCVFRLIGYYFWKTGCCKTTQVPQFLYEAGFGSKQINSRSGVIGITQPRRVAVLATAERVAHELGVHLSQEVGFQMVILRRLQRCWESETRDVQARAGSSQTVSFLPLRNSNLRDVIRELLKSRLRVLQGLAFNIVAWNDSVIFVDKIMRRSE >A04p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13502088:13503169:-1 gene:A04p022400.1_BraROA transcript:A04p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLRSSDSVGGLISLCHTSSTDEQSPRRYGSMLEGYDEEEEEEAIAEERGQTEKKRRLSINQVKALEKNFELENKLEPERKVKLAQELGLLPRQVAVWFQNRRARWKTKQLEKDYGVLKTQYDSLRHNFDSLRRDNESLLQEISKLKSKLNGEEEEEENNAVKMESDFSVKEEEDSLPENITEPPSSPPELLEHSDSFNYRSFTDLRDLLPLKASFAAAAGSSDSSDSSAVMNEESSSNVTVAPTAVPGGGFFQFVKMEQTEDHDDFLSGEEACGFFSDEQPPSLHWYSAVDHWT >A10p027230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17152934:17155994:-1 gene:A10p027230.1_BraROA transcript:A10p027230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPEIKDVERGEIVSRVEGLGNPLLKKVADQDDVEESEINESYFMVLFSTFVAVCGSFEFGSCVGYSAPTQSSIRQDLNLSLAEFSMFGSILTIGAMLGAVMSGHISDLTGRKGAMRTSACFCITGWLAVFFSKSALLLDVGRFFTGYGIGVFSYVVPVYIAEISPKNLRGALTTLNQLMIVIGSSVSFLIGSLISWKILALTGLAPCIVLLFGLCFIPESPRWLAKAGREKEFRAALQKLRGRDTDITNEAEEIQASIQALEILPKARIQDLVSKKYSRSVIIGVSLMVFQQFVGINGIGFYASETFVKAGLSSGKLGTIAIACVQVPITVLGTVLIDKCGRRPLIMISSGGIFLGCILTGTSFFLKGQSLLLEWVPFLAVGGVLIYIAAFSIGMGPVPWVIMSEIFPINVKGIAGSLVVLVNWSGAWAVSYTFNFLMSWSSPGTFYMYSAFAAMTIIFVAKMVPETKGKTLEEIQASIRRET >A05p032900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17701734:17704324:-1 gene:A05p032900.1_BraROA transcript:A05p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQGKVITCKGNCCGMAKEPLVIQEICVDPPQKMEVRVKILYSSICHTDLRAWTGVNEGERAFPRILGHEAVRIVESVGGVKDVKEGDYVIPTFNGECGECRVCTNGVSNLCERYKVDPMKRLMVNDGGTRFSTTTNKDGGSSQKQRVYHFLNTSTFTEYTVLDSACVVKIDPNAPLKQMSLLSCGVSTGVGAAWNTANVKEGTTTAVFGLGSVGLAAAEGARARGASRIIGVDANASKFEKGTSYPKDLTKPVHERIRELMSGGVDYSFECTGNVDVLREAFLSTQAGWGSTVLVGIYPTPKTLPLHPMELFDGRTITGSIFGGFKPKSQLPNFAQQCMKGVVKLEPFITNELPFEKINDAFQLLRDGKSLRCVLQISKFLKK >A03p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16337176:16338850:1 gene:A03p039320.1_BraROA transcript:A03p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMEETGSRQRKGSSTAQKLRLGDCNVEAKNHVSNGSFMDIHLRSMNKRLNVVCSMQIETRSRAVTPTGTTMGVKTRLKECSNALTTSKELLKIINRMWGQEDRPSSSTSLVSALHSELERARLQVNQLIHEHNKQENNITYLMKRFAEEWKSNEQEVVEAAIESVAGELEAERKLRRRSERLNKKLGKELAEAKAALMKVKEEVEKEREMLQLADALREERVQMKLSEYMNHHVEEDGEVEDEHEENDDRHSIELNIDKSYKWPYGECKSLYLQRSISDWVVQSGKLEKSGEGYLDDKQGYKTNKASSKDHHLLSGPRLSNFRGGSVPKSRLSDAAKGENQSARRSRW >A09p015060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7865255:7866007:1 gene:A09p015060.1_BraROA transcript:A09p015060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNSAFTESKAISQASSSSVTIVSARTCGDSISSSAACEKSCPLTTITSATVSFAAATSTSGSDNDTLMDTDAGLISRRKNHPVYRGIRCRSGKWVSEIREPKKTTRVWLGTYSTPEMAAAAYDVAALALKGGEAVLNFPESIGSYPLPVSSSAAHIRTAAAKAAATVGAAAAAAVNAAMKGGEKKEEKVCDGAGSSAVEFVDEEELLNMPGLLEDMAKGMMVAPPWMGCPPSDDSPEDSDGESLWSY >A08g503050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5892990:5893205:-1 gene:A08g503050.1_BraROA transcript:A08g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPWYQSQCFLNYGTNFKPRLDPTQMGEAKNLVEMELDKSFPNLISCEDKQGNIYLVDVEYTWISSTCV >A04p041260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23255260:23255686:1 gene:A04p041260.1_BraROA transcript:A04p041260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGPGGPGPGFGGPGGPGGPGPGFGGPGWGPGIFPPIGGFFAGFSDMIFYLVSAAAGCYEIALEGHHHHLHFFLLIIDRLALSPSQENNKDPSPVNDIVNSCFCKELPM >A03p057720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25024957:25027156:-1 gene:A03p057720.1_BraROA transcript:A03p057720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSDCSNEYEKLVVRMNMPRVVIDNGVCPTSTVVKIDSARSPGILLESVQLLTDMNLWIRKAYISSDGKWNMDVFHVSDLNGNKLTDENLIRYIEKSIETSHYCNSEGYTGLTALELTGTDRVGLLSEVFAVLADLHCDVVEAKAWTHNGRIASMIYVRDGNSGTPIDGDSDRVQRIEGQLHNLLKADDGYQNDTRTCVTYGGNTHMERRLHQRMFMDRDYEKKFDFETSPVVSVQNLEKRGYSVVNLQCKDRLKLLFDVVCTLTDMAYIVFHAAIRTVGETAFLEFYVRHSDGNPVNSEPERQRLIQCLQAAIERRTVKGVRLELCTTDRPGLLAEVTRVLRENGLNIARAKISTKEGIARNMFYVTDANGNLIDPEIIKSIREKIGIDDISVKESFPLSCREAVEKEEQKQEQQHHQARIGGGAVLLSLGSLVMRNLYQLVLVKSYF >A06g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15768747:15770194:1 gene:A06g505370.1_BraROA transcript:A06g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKVTRGVDKAEGELSQQEIYVNASSYALSIALIGVGDGRWEDMRKFDDKIPKREFDNFKFVNFTEIMKRDSSESAKEAAFALAALMEIPFQKVVREEEKEVLLLDSDSGGSYTMEIMLLSMKLKKHLITASYVDDETSMLHEMAKNAWITVLGETTSHNSVNLTWPLV >A10g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1671108:1672115:-1 gene:A10g500500.1_BraROA transcript:A10g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLPKRRCTIGFTWTEKMVTNLGEVPIRSKKKFVSDKLYGALYSKILLPYHEFFQGKLNFKASVFLCFHHVTLILEHDGLKGSNGGRRRCRTFLGFERRRRYLINKETTKKGIMLMLTKTVTNPQVEMVPLLQTALRLWRSGNMMKDDYGYDDKEEP >A10g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9720475:9721192:1 gene:A10g503870.1_BraROA transcript:A10g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELRDLQRDPPVSRSAGPVCDDMFHWQATIMGPSDSPFSGDVFLVSIQFPPDYPFKPPKIQTGSRNSSHLQDIPCQVREHCPILNPEVCNGMMMIVNNNRTSTLHIF >A03p005470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2286825:2289418:-1 gene:A03p005470.1_BraROA transcript:A03p005470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTTVLSEPDPTGSTHSHFARVNIILGQNPSLSATCSVSSQTLTISPAMASATHLLSQPSSSLRRNLNQYQSNQSSLSRIPVLSLKSTLKPLQRLSIKAATSSPNSVKTVTKDTSDQSAFNHCFKKSSDGFLYCEGTKVEDIMESVERRPFYLYSKPQITRNVEAYKEALEGVSSVIGYAIKANNNLKILEHLRSLGCGAVLVSGNELRLALRAGFDPTKCIFNGNGKLLEDLVIAAQAGVFVNVDSEFDLDNIVEASRISGKQVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDEVKAHPNELKLVDIFRDAAVLMVEYIDEIRRQGFEVSYLNIGGGLGIDYYHAGAILPTPMDLINTVRELVLSRGLNLIIEPGRSLIANTCCFVNHVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPAPEAEVSKFDVVGPVCESADFLGKDRELPTPPKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSITKIRHAETFEDHLRFFEGL >A06g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23416714:23416971:1 gene:A06g508320.1_BraROA transcript:A06g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSSFAKHEFKANSLITRHKFEINSPKPDRLHLSQRTWGDLLLGMDLHPLQGPLDIELGPYC >A09p053610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46492622:46493074:-1 gene:A09p053610.1_BraROA transcript:A09p053610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTLNRVLVEKNLQPSKTVSGILLPETSSQLNSGRVIAVGPGARDRTENLIPVSVKEGHNVLLPEFGGTQVKLGEKEFLLYRDEDLMATLHD >A04g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8875561:8876701:-1 gene:A04g504300.1_BraROA transcript:A04g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKNDIMSSSRNTSGSQRRGRQSSGEARTTTDGGTTREGQYLWKDKNCEVMLELVIAELKADDYRSRMPDVAARKRIEKKYFELIGEKISWDPEITSKIGYLCKLWNIHSQLVKRTGVSVDPSSGQIDMVETWWSDRIAEYGGTKGRLVHVLQKKPLPFKDLLDQIFGEHDVDQDERYSPHMLGLQLQQVQTTLDVAGITMVDQLQETQTAEPIVDLTSDQGCNRSIRSMSSRRWNGGINT >A04p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1382304:1387710:-1 gene:A04p002890.1_BraROA transcript:A04p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKKANQSSRDVISKLPDNILCRILSFLTTKEAALTLLLSKRWSNLLQLVPILDFDDSVSNHRKVGPVKLVAFKNFVESVLSRRFKNSSSPVKKVSLRLSNEYIERRIVKRWIQQVIDHGALELTLRLDYNSNFTMPFRVLTSKALVHLRIGSRIRLTQPRPNSVSPMLNSLVLDSVLFGSVTLLLQSILSVYPNLQSLRIHESKGWYYWDGSVSSQTLKRLVYRRDDDTSGPKRCVTFDTPALVYLEYSDVVADKYENLSLDSLVEVRLDLQLTADQIMRKNATDSVGFVPGDVSTLFMGIKNVKILCLSPDSLDTLYYRGGDVPVFNNLICLSLGSDHKPRGSPYIFWKLLPSLLLNSQNLQTLIIKGLVHYAKEGSCESVWTQPISWDDVSESLSLCGVKVLEINGYEGTHDELTHMKRFLRKLSRLEMVRVITPKGVDDGERYRFRTDLLHLIATPKCEVQVHGRKIMESNKKANPSSRDLISTLPDDVLCYILSFLTTKEAALTQVLSKRWSNLLHLVPILDFDDSLLLNRKMGREQTKVFMEFVERVLFARDKNSSPVRKASFKLSHLDLHERFVRVPLWVNKVMDLGASELCLTFGGESGDLKYVSFVLLYCDLESKALVNLRIGRVYLIHHDGTFPDAVSQTLTTLFLDSVEFTYFKPGFEAILSAFPNLQNLRVHESNKWYYWDGSVSSPTLKRFVYRRDDDTSGPKPCVTFDTPGLVCLEYSDVVADKYENLRLDSLVEARLDLLLTAGQIMRKNAQDNVGFVPGDVSTLFKGIRHVKILSLSPDALDTLYYRGGEIPVFNNLISLSLGSDRPHGSPYIFKKLLPSLLLKAPNLQTLIIKGLGHYVKKGWEVGWHLRLSLDDMYDALSSSGVKVLQINGYEGTGEELSHMERFLGTLPHLEMVRVTHKGVDDGERRRLVNYLLCLPRASPKCKVQVMKESA >A02p046520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29037402:29037906:1 gene:A02p046520.1_BraROA transcript:A02p046520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTKAKEDAGDWRDRKQGECAKAVTRLGSSNETEAEALKWAASMIASFQYKNVVLETDSLTLTRMVNGDEEVWPMLQPTIAVIHHYLSQVQNWKTSYNPRGRQLTG >A05p015060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6640002:6643334:-1 gene:A05p015060.1_BraROA transcript:A05p015060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVVIRAVSMGFVLLCVSGLWFLPTEGAGRESFSRNSSSSSRPRSVNVRALFTYDSFIGRAAKPALMAAIDDVNADQSILRRTKLNIVFHDSNCSGFVGTMGALQVMENKVVAAIGPQSSGIGHIISHVANELHVPLLSFAATDPTLSSLQFPYFLRTTQNDYFQMNAIADFVSYFRWREVVAIFVDDEYGRNGISVLGDALAKKRAKISYKAAFTPGADNSSITDLLASVNLMESRIFVAHVNPDSGLNIFSAAKSLGMMGSGYVWIATDWLLTALDSAETMDPETMDLLQGVVAFRHYTPESNKKRRFKERWKSLRSKESSGGADGFNSYAMYAYDTVWLVARALEVFFSKGNTVTFSNDPNLRKTNDSNIKLSALNVFNEGERFLQVIHEMNYTGLTGQIEFDSEKNRKNPAYDILNINSRGPQRVGYWSNHTGFSAEPPETLYSKPPNTSAEHQRLKEIIWLGEVTKPPRGWVFPDNGEPLKIGVPDRVSYKNYVSKDNNSLGVKGYCIDIFEAAIQLLPYPVPRTYIVYGDGKRNPSYDNLISEVAANNFDVAVGDVTIVTNRTKFVDFTQPFMESGLVVVAPVKGAKSSPWSFLKPFTVEMWAVTGAFFLFVGAIIWILEHRFNEEFRGPPRRQIITVFWFSFSTMFFSQSMYLEPTKHQRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLTSRIEGMDSLIASNEPIGVQDGAFAYKYLVNELNIPPSRIISLKDEEEYLSALQLGPRAGGVAAIVDELPYIKALLSNSNCKFRTVGPEFTRTGWGFAFQRDSPLAVDMSTAILLLSEEGKLENIRKKWLTYSHECLMQIADKENYQISVQSFWGLFLICGIVWFIVLTLFCWKVFWQCERLRTEEEGDEVRVSEEASSSRSGRSLRAASFKDLIKVVDKREAEIKEMLKQKSSKKLKTSQSLGETP >A06p033270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17864476:17865826:-1 gene:A06p033270.1_BraROA transcript:A06p033270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHRLDDLPLALPFLLTNGPRMITSELRISLQHLALHASKIPLCFHRFRAIDHGLSIARLKGRAKQDSDEVLDAKGVQLTYRHLKTIQHTDDNFGNREPQAAVHYECFVTSKVTLRGNTSLLSLTRNPKFHRIRNLVERLHDPKKLASAPTWCTFFDQMMVHNRERLLIGRCNRTITRNPPYFVLSRVY >A03p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15985334:15991400:1 gene:A03p038300.1_BraROA transcript:A03p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISDLPVNLVEEILSKVPLKYMRAVRLTCKEWDTLSKSRSFSKMHIDKISAGESMMVVVLMRYNLYLMNVVLVVNEDPLIECKGQLTCLDKQNYKISHVFHCDGLLLCVLKDDYTKVIVWNPYWGQTRSIECRYSVGPNRWFWFSYALGYEDKGGSSCRAYKFLRFIDQFVGYEDNFIWYEIYDFESSSWKTLDVITPHWRINLYERGVSLKGNTYWLASPRNTYEGLDNHIICFDFTSESFGPLLRLPFDAGDDATVTLSCVREEKLAVLFTHYELEGPLEFEIWISTKIEAEKVSWSKFLRVVDAEFEPLISYDCFFIDEEKKVAMSFEDAYPTKFNIVGEAGYFKKLELEERLGRDIKWMQHACSYVRSLADIKQPAAAGGKRKQQSELEKQRYDQNMARLAALKKLSRAWQQENKGDNILLLPPSSPKFDSFSLLRRLSFSTDLSLRIIKQVPPNHDQIYNSLLEICLDQCKLFKTRKVFDEMPQRLLHVSRIGKAVHSRSLTLGIDPKGRLSNAVVDLYAKCKSIAYAEKVFESLEKDVTAWNSMLSMYSSIGLPGKVLRSFVSLFEGLVFPNKFTFSIVLSTCARESNVTFGRQIHCCMVKTGFERNSYCGGALVDMYAKCDCIGDARRVFDGVVDPNTVCWTCLFSGYVKAGLPEEAVIVFEKMRGEGHRPDHLAFVTVINTYISLGKLKDARVLFGEMASPDVVAWNVMISGHGKRGCEEVAVEYFLRMRKSGVRSTRSTLGSVLSAIGIVANLELGLVVHAEAIKQGLACNIYVGSSLVSMYSKCERMEDAAKVFEGLEERNDVLWNAMIRGYAHNGEAHKVMELFMDMRGSGHNVDDFTFTSLLSTCAASHDLDMGSQFHSVIIKKKLADNLFVGNALVDMYAKCGGVEDARKVFERMWERDNVSWNTMIGGYVQDENESEAFNLFKRMNSCGMVSDGSCLASTLKACANVRGLYLGKQAHCLSVKFGLETDLHAGSSLIDMYAKCGVIEDSRKVFSSMPEWSVVSMNALIAGYAQNNLEEAVVLFREMVTRGVNPSEITFATIVEACDKPETLTLGTQFHGQIIKRGVSYEGEYLGISLLGLYMNSCRIVEACVLFSEFQTPKSIVLWTGMMSGHSQNGFYEEALKFYKEMRRDDALPDQATFVTVLRVCSVLSSLREGRAIHSLTFHLAHDLDELTSNTLIDMYAKCGDMKSSSQVFDEMKRRSNVVSWNSIINGYAKNGYAEDALRMFDSMRQSRITPDEITFLGVLTACSHAGKVSEGRKIFEMMISQYGVEARVDHVACMVDLLGRWGYLEEADNFIKAQNLKPDARLWSSLLGACSIHGDDIRGEMAAEKLIELEPQNSSAYVLLSNIYASQGRWEKVNALRKDMKDRGVSKVPGCSWIDVGERKHVFGAGDKSHSDICKIETFLEDIYDLVKDDAVVNHDIMEHASHDWVVASCDLIRYSS >A04g505810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:12081478:12081768:1 gene:A04g505810.1_BraROA transcript:A04g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCHPSGCVSSRMLLVAFVVTHGRPNALMHASFTCQRTAPRPDVSQHGWSACVATHRPLDVGSHSQIASIATPRATNFQAASAAPMHVYTSSLY >A08p039170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22578216:22580437:1 gene:A08p039170.1_BraROA transcript:A08p039170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEQPKKVADRYLKQEVLGQGTYGVVFKATDTKTGETVAIKKIRIGKHKEGVNITALREIKMLKELKHPHIILLIDAFPHKQNLHLVFEFMETDLEGVIRDSNVFLSPADVKSYLLMTLKGLAYCHEKRVLHRDMKPNNLLIGPDGRLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGAKQYGAAVDVWAAGCIFAELLLRRPFLQGNSDIDQLSKIFAAFGTPKADQWPEMKNLPDYVEYQFIPAPSLRSLFPTVSEDALDLLSKLFTYDPKARISVKQALEHRYFTSAPSPTDPAKLPKPVRKQESKASYGKHEVIKVISPPRKIRRVMPERGRLDGMKFQVDKDQQAPMSLDFTILAERPPNRPTITSADRSHLKRKLDLDFQ >A03g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29219105:29219718:-1 gene:A03g508620.1_BraROA transcript:A03g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKTMSSRNKRTSKGKDKAWLRRLGDDDELKQLSYVNEKLPQDTWLVLAEVQEAEHDPQVCIDFALVCRVFMFAADCATYGGVWGGQGGWLERI >A01g510180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27980177:27981942:-1 gene:A01g510180.1_BraROA transcript:A01g510180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKHESKKALQNDFVSPCETRSKPWRFLISASDRLMILIEARYLQTERCWQGDEASRLNECIVKVSRFFSSSSYSEEVIFRRHHSFLATLLNHSENFKSFTWKNVLNSSKRLLSGSNVVDIEKALFLTLFTTKRKAYGYVLRSQTTYGSFRRLVSWMKLKLYTLLQVLTSDLEGQVAEEGMEEEEEENENEEN >A01p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27254016:27254592:1 gene:A01p048370.1_BraROA transcript:A01p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFHRQRWLSSLIMFLLSSFMLKSDGSNRIVGDSSGWELYTNYTNWTQGREFHVGDVLVLNYNRDQHNVMQVNSTAYVDCGRDNYISLFNKGNDSIVISEVGEHWFICAVDGHCENGQKLLIKILVACCYSTKGTLINS >A07p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17889429:17893176:-1 gene:A07p032670.1_BraROA transcript:A07p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKESSSGLQTLSIAKSLSSPFHKPSPLINPIWTGRRDKLCRPRNLGGRCKVTASQSNSEPKGNIMKEKVKKIKVKGYIQAEEGTVLEGLRWSRGLDDISDIAGRSLLVELISAETDNRTLMEKDPVEDYAQRVWFDGESPDEKYECEFNMPEDFGTVGAIRIQNQHHRDIFIKEMELELPSGSVTFSCHSWVAPKSVDPAKRIFFSSKSYIPSATPEPLKKLRKEELETLQGNNRKKVGEFEKHERVYDYDVYNDVGDPDKNDELARPVIGGLSHPYPRRCKTGRKSSKKDPSTEKREGDFYVPRDEEFSTTKGTAFTGKAILAALPSVFTQLESILLDPKSPFPHFKSIEDLFDVGINLPKDAGLLPMLPKLIKVLSEAQDDLLQFDPPILLNKDRFSWIRDDEFARQTLAGLNPYCIQLVTEWPLQSKLDPAVYGDPNSLITWEIVEKEIRGVMSVDEALKNKRLFMLDYHDLLLPYVNKVRELDDTMLYASRTLFFLSDDSTLRPVAIELTRPPDVNRPQWKQVFTPGYDATSCWLWKLAKTHVVAHDAGYHQLISHWLRTHCCMEPYIIAANRQLSAMHPIYRLLHPHFRYTMEINARARQSLVNAGGIIETCFWPGKYSLELSSDVYGKLWRFDKEGLPADLINRGLAVEDEKAEHGVRLTIPDYPFANDGLMLWDALKEWITDYVNHYYPDAGLITSDEELQAWWSEVKNIGHGDKKDEPWWPELKTQDDLIGVATTIAWVASGHHAAVNFGQYGYGGYFPNRPTTTRRRMPVEEPTEEELKEFYEEPEKVLLKTFPSQKQATQVMVTLDLLSTHSPDEEYLGEEPEASWIDEPVIFAAYERFKGRLQYLEGVIDERNVNVSLKNRAGAGVVKYELLKPISEPGCTGMGVPYSVSI >A01p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8887197:8888369:-1 gene:A01p018310.1_BraROA transcript:A01p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITETNRREICKYLFKEGVLFAKKDFNLPKHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRNYLNLPSDVVPATLKKSAKPIGRPFGGPPGDRPRGPRFEGDRPRFSDRDGYRGGARGGDAAGEKGGAPADYQPSFQGSGGRPGFGRGAGGYNAAAPSGSGLP >A05p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10398486:10399348:-1 gene:A05p021830.1_BraROA transcript:A05p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLFLVQIWCSRRRSRRLSALWATLKEGDGSSLLSPPLVWSLSLVRWHMVHISSKCGPLPNTVWVVSGPFQMLPPPSVWRVIFVDVGSLFPSWSIVLRSLARVFFHQWSLVGCIYSKEDGFSALLTVGSCGGALWFSLALRFEALSCWGGRMTWAFSLRTRLVLAALEVVYPSGPFVLYGLLAKRVSMVSVGGLEGGNLQFSYRTTASSVGVTASCHRVVSVGLVNRSMLVILWIGLGEVDP >A01p048410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27263164:27265033:-1 gene:A01p048410.1_BraROA transcript:A01p048410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTLLLGGVSAITTSQKIQRKLLTLLSFSQLNWRRCCSSIVVRQLTLATIIETCRNLLHVTIIESPPSCTSYSLFGDFIAARCEEPVYRREIIRGSVRRVKGYA >A04p034070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19776592:19785040:1 gene:A04p034070.1_BraROA transcript:A04p034070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRHSQKLARNNCSSEKTSKHIKYIHTLKTNAYLIIDYSSDKKPREYSLRHEWCDLRMELAEIGKSMGSSFRSSSSRNEDEAEHALQWAEIQRLPSFKQLRSSLVDEEGDDVEKGKRVVDVTKLGAMERHLMIEKLIKHIENDNLKLLKNIRRRIDRVGVELPSIEVRYKHLSVEAECEVVEGKALPTLWNSLKHIILELIKLSGVRTHEANISILNDVSGIIKPGRLTLLLGPPGCGKTTLLKALSGNLDKNLKISGEVSYNGHGLNEFVPQKTSAYISQHDLHIAEMTVRETIDFSARCQGVGSRTDIMMEVSKREKDGGIIPDPDVDAYMKAISVNGLKRSLQTDYILKILGLDICAETLVGNAMKRGISGGQKKRLTTGEMIVGPTKALFMDEITNGLDSSTAFQIVKSLQQLAHITNATVFVSLLQPAPESYDLFDDIVLMAEGKIVYHGPRDEVLRFFEECGFRCPERKGVADFLQEVISRKDQGQYWLHQDIPYTFLSVDALSRRFKELEIGRKIEDALSKPYDNSKTHKDGLSFSDYSLPKWELFRACISREFLLMKRNSFVYLFKTFQLVLSAILTMTVFIRTRMGVDIIHGNSYMSCLFFATVVLLVDGLPELSMTVQRLAVFYKQKQLCFYPAWAYAIPATVLKIPLSFFESLVWTCLTYYVIGYTPEVSRFLRQFMMLFAVHFTSISMFRCIAAIFQTGVASMAAGSFAVLITFVFAGFAIPYTDMPGWLKWGFWVNPIGYAEIGLSVNEFLAPRWQQMQPMNVTLGRTILESRGLNYDDYMYWVSLCALLGQTLIFNIIFTLALTPTTSRAMISKDKLSELQGTKDSSLNKNKKAIDYTEETSEDSAGKMALPFKPLTITFQNLNYYVDVPAEMRVQGYNEKKLQLLSDITGAFRPGVLTALMGISGAGKTTLLDVLAGRKTSGYIEGEIRINGYLKVQETFARVSGYCEQTDIHSPNITVEESLIYSAWLRLVPEIDHKTKIRFVKEVLETIELEEIKDAMVGVPGQSGLSTEQRKRLTVAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVAETGRTIVCTIHQPSIDIFEAFDELVLLKRGGRMIYTGPLGQHSSHVIQYFESIPGVAKIKDNYNPATWMLEVTSQSVEIELNMDFAKIYKESALYKSNYELNQQLSKPDPGSSDLHFERTFAQSWWEQFKSCLWKMSLSYWRSPAYNLMRICHTLVSSLIFGLLFWKQGQKIDTQQNLFTVLGAIYGLVIFLGVNNCTSALQYFETERNVMYRERFAGMYSAFAFAMAQVVTEIPYILIQSAEFVIIIYPMMGLYGSAYKVFWSLYSMFCNLLSFNYLALFLISITPNFMLAAILQSLFFVAFNLFAGFLIPLPQIPKWWVWLYYLIPPSWSLNVFFSSQYGDIHREINAFGETTTVARFLEDYFGFHHDRLMTSAIVLIAFPVALASMFAFFVAKLNFQKR >A02p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6590101:6590817:1 gene:A02p014820.1_BraROA transcript:A02p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNIKNMGNMIYQDSSRTQEEEDLVEGVSRSMLEAETFSGEEEEEEDLSSCSLSSMCSSDLTEEDDDASSSSSNGPLEDLSDLMLHLPIKRGLSKFYEGKSQSFTSLANVKSLEDLMKRGFKNTSNKARRKACKSTGGIIDQSYKRVYSPKATISKKATRTPSSVLSCLARKRI >A05p003050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1078084:1080880:1 gene:A05p003050.1_BraROA transcript:A05p003050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTQQPARDSKQEAKDVNKSKNGLFASCTFSSLGLDPKLSDQLQERMGFEAPTHVQAQSIPVILSGRDVLVNAATGTGKTIAYLAPIIHHLQAYSPKVDRSHGTFALVIVPTRELCLQVYETLEKLLHRFHWIVPGYVMGGEKKAKEKARLRKGISILIATPGRLLDHLKNTASFEHKNLRWVIFDEADCILEMGYGKETEQIIKLLGSRQYEEGDDDDVVVPKGAQKQTLLLSATLNEKVNHLAKLSLDDPVMIGLDNSKLQQKQLPIESPASPDSDEDEMVIHVNKSANPSSEDYGIPSQLVQKYVKVPCGARLVALLSVLKNLFEREASQKVVVFFSTRDAVDFHHSLLSEFQWPPKSETQEEEEASKQLFLKCKTFRLHGSMEQEDRRSAFANFKAEKQALLLSTDVAARGLDFPKVRCIIQYDCPGEATEYVHRVGRTARIGEKGEALLFLQPVEIDYLKDLKKHGATLAEYPLLKVLDKFPLLGNMPRIKKVISLESHPWVISLQRALEFFTYAEPKMKNLAKNAFVSWVRGYAAHKGELKSIFVVKKLHLGHVAKSFALKEQPSLVGKSHHKETMKRKRDERQRGQHPKKRKKMSGGNSRSTTKT >A05p052990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30428462:30430566:-1 gene:A05p052990.1_BraROA transcript:A05p052990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MANEDDEGEKSRGTPQQIGNKPKTQIVIGVPSYQEVVESSQTKSTPPSLFKPSQTFSQAFAFVKSSDVYSAPPPTSSSSGASHVPSTSQTPQTDVASSSSSTPPVATGSLSTNTTQPRNAILVSNRQKGNPLLKHIRNVKWVFSEIIPDYLLGQTTCALYLSLRYHLLHPDYLYFRIRELQKNFKLRVVLCHVDVEDSVKPLLEVTKTALLHDCTLLCAWSLTECARYLETIKVYENKPADLIQGQMDTDYLSRLNHSLTSIRHVNKSDVVTLGSTFGSLAHIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRAASSYPSVVEPTVTATEVQKDVNSEEPAEADEDFVEDSRKRKKVEPEKSVKTALSTVFARYSDKLCKKKEKDTRTESDAETH >A10p041090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22563066:22566668:-1 gene:A10p041090.1_BraROA transcript:A10p041090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSPLLNAMEAHRMRFALSTRMAQSRANFSSRNLTKTQLGGVVFGCTKHTIKECLSKHLFGLPYNHISYVQKIDTALPLFLFNYSDRKLHGIFEAAGPGLLNINPYAWTSNGSDRTSFPAQVQISARLQCEPLSEEQFKPAIEDNYYTPHHFWFELDHLQTNKLICLLTSFALKPKPPLSTCTPQNRQLFHFPSSPQIGDNTDQVKPPSKNEPVEVSLVSAKESSSSPPPPLLEELRDLALSHDDNTLIKTVDQANIPTCNTLTCSAGKGDGGSLVSSPPQHTIIQLMHEVKELRAYGIENSTKICYLEEKLGEAHIEIHRLRERCNMLESKSAGSLISKARGSDDGESHSPDDSTEAILLLGGFDGYSESGLSSVQSYFPSRNAVMAHSSMSCIRSNASVAKLDGKVYVFGGDEGGHGWSNTVESYNETDGRWSLCPPLNDRKGNLGGATLDGKIFAIGGGNAEVVFSDVEMLDPDIGRWIRTRSMEHKRFAVAASEHNGSIYAVGGFDGQEYLNTAERFDPREHSWMRIASMKSRRGCHSLVVLNEKLYAIGGYDGSTMVSSVEIYEPRRGTWIEGEAMKEARGYSAAAVVKDCIYVIGGYKGEGVDILDTVECYKDGKGWEKVPCSSMGRRGFLSAVAL >A05g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6044023:6046806:1 gene:A05g501760.1_BraROA transcript:A05g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFLPLRFYIHLLIDVLFASKKFLAFQPLIAMKLSGVSDTVKTNGEAAIPSSSVNPVAQPGVSSGDVNPIPSGLVKPVAQTDVSSGDAIPMKSKDVTAEAKNSIKPNGKTGASSGLKLGVRGRASVSSVDKGKAIVSKNVGKVITFKDITFGPHEDEVRFRLIHFWEAWNVQTKVLIGIEMLLIDEEESVIQGFIPYGRIDTYLRHMKTGATYRLNKFFGSKSKTIYRVAESSVTICFSSNSVLSGLEDTGSDLRGDLYDYIGHIKLVNGKVPGDGLLLDESEIAKSRRVELHVQTHDDHVLKLYLWDKVAFEFCEKFKASGGTARVILVTTLNPKWFGGVLSISSMASSRVFLDGDVEETLLYLSWLDSNLDVASRVNAEVVTKPELATLGDLFSYMNQASAKVAWFECTATIYDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVPQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEANDSVGEDHIVPVPQAMIDTIGQTRKFIVKVSTHNLTAKTQTLTVTKVLPLEAPEPEGNVGVNVGKEGDSESEDHADKLVKRGADGIESEGVKRAKCG >A05p042510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25691993:25695168:-1 gene:A05p042510.1_BraROA transcript:A05p042510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR1 [Source:Projected from Arabidopsis thaliana (AT3G16857) UniProtKB/Swiss-Prot;Acc:Q940D0] MMMNPSQGRGLGSGGGSSSGRNKGGEAVVEMFPSGLRVLVVDDDPTCLMILERMLRTCLYEVTKCNRAEMALSLLRKNKHGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRMEALKNIWQHVVRKRRSEWSVPEHSGSIEETGQHHQQRGPAVSEDAADDNASSVNNEGNNWRSSSNNSRKRKEEEGDEQGDEDASNLKKPRVVWSVELHQQFVAAVNQLGVEKAVPKKILELMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNLNNSFMTGQDASFGPLSSLNGFDLQALAVTGQLPAQSLAQLQAAGLGRPAMVSKSGLPVSSVVDERSIFSFDNSKPRFGDGIGGHQTQQPQMNLLHGVPTGMEPRQLAGLQQQLPVGGNRMSIQQQIAAVRAGHSGMLMPQQQPFPRGPPSIRQPMLPNRITERSGFSGRSSVPESSRVLPTSYTNLATQQHSSTSVAFNSFQQELPVNSFPLPSAPGLSVPAQVRKPLSSSSSYQEEVNSSEAGFTAPSYDMFSNRHNDWDLRSIAFDSHQDAESVAFSNSEAFSSSSMSRDNNNNATVAATDLGRNQQQTLSGMVPHHQVYGNGGGGGSSVRVKSERDTAAMAFHEQYSNQEDLMSALLKQV >A03g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22827912:22828462:1 gene:A03g506380.1_BraROA transcript:A03g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAYTNKKTGEFRIPLLGMSYLVESRKQEYLASQPLSDEGSSASTNLSRTRVVSKKKGRLVGLARHASSYPSSSHTPYADPMIMEELQKKDNRIVALESQNATILAQMVQQDAQIAEHKAEVAEAKRMNQDIMEKMNRLFPAEFWD >A08p045640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24988905:24989812:-1 gene:A08p045640.1_BraROA transcript:A08p045640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVKGFLKGLRHITQIFDEGKDHDIQIGFPTDVKHVAHIGSDGPASNAPSWMNDFNPQGNENGQVVSRRDANNNPVGEGVGLQELLPPPDKPKHKKTRRKSESQNGSPPRRNSNVLPSEMVPRPSRRHHRSRHASLDSSNDPSLRRRRVVVSVNDEEGSNQLSDSSSASHRKSSSRHRKVKGSGGGEVSMKKTKAKPEKSTVQSDGICNDNNTGDKD >A02p026440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13894617:13896664:1 gene:A02p026440.1_BraROA transcript:A02p026440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINIYGYLLKTYQSSKQNLKTRLFTPETTEKMKPSEPPKLVAASSNISNDPSASEKGEATSQQQSSNGYALTVDEVIEQHIGALGFAQIVHALLVSIAWTFDAQSTLISIFSDAKPAARLLTTGAIVEGSMLCGLSTGEWEWVGGKSDTIVSEWNLICQHKFLVALPSTLFFIGSLFGSGVYGYLADSWFGRKKTLFISCLLTFVTALAISFSPNVWVYAFLRFANGFFRSGIGSCCIVLATEVVGKKWRGQVGQYGFFFFTLGFLSLPLMGYLERKSWRNLYRITSLLPLGYAVFLLPFAYESPRWLLVKGRNKEAMVVLKKLARRNGKQLPADLSLVDPIQGRDDPSFSSSENFWRTKWAVKRIVMVMMAGFGTGFVYYGIQLNAENLNFNLYLTVAVNALMEFPAVFIGSFLLGVMNRRPLFSNSSYLAGICCLLCAVFSLHRVTRALPVSKWLQLAVEAVGFMASSTAYDVLYVYGVELFPTNVRNFAVSLLRQAFMLGASAAPLLVALGRESAMMSFIVFGVASVLSGVVSIWLRETRNAPLYETLTQQGKAEEMENETEHS >A04p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7495015:7499242:-1 gene:A04p009900.1_BraROA transcript:A04p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYLTHVCLWTTLLSVVVTWAISHVSNRRKKTTKLTDTTAGQEIRYGGPDVIIVGAGVGGSALAYALAKDGRRVHVIERDMSEPVRMMGEFMQPGGRLMLAKLGLQDCLEEIDAQKSTCLSLYKDGEKAVVPFPVEDNNFPYEPTGRTFHNGRFVQRLRQKASSLPNVRLEEGTVKSLIEEKGVIKGVTYKNSSGEETTAFAPLTVVCKGCFSNLRRSLNNNDAEVMSYQVGYISKNCQLEEPEYLHLVMAKPSFTMMYQLSSTEVRCGLELFPGQIPSIANGDMTNFLRNTMAPQVPPKLRKIFLQGIDEGAQIKVMPTKRMAATLSDKKGAIVLGDAFNMRHPSIASGMMVLLSDILILRRLLQPLEYLGDTNKVSEVIKSFYVIRKPMSATVNTLGTAFSQVLIASTDKAKEAMRQGCYDYLSSGGFGASGLMALLGGMNPRPLSLIYHLFVVTLSATVQLLSPFPSPLGIWHSLRLFGLSMKLLVPHLKAEGISQMLFPAYAAVYRKTYMTATTI >A01g502010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7022233:7022646:-1 gene:A01g502010.1_BraROA transcript:A01g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTREAMADGGRSRKKRSNSGISMKKRSSGGGTKTKRSYGGGMETKRSTCGGLSNKRSSGGGSTKKRSYGGGLSPEMPPKSKLFHNFIMSFPKVESDEATQVYAGDPLITMGLPALSPVLHSSCPRGPQICGLTK >A05g510190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31764865:31766202:1 gene:A05g510190.1_BraROA transcript:A05g510190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nijmegen breakage syndrome 1 protein [Source:Projected from Arabidopsis thaliana (AT3G02680) UniProtKB/Swiss-Prot;Acc:Q0H8D7] MVWGLFPVDPLSGEDKYYIFSKGTYKIGRKGCDIIINKDKGVSRIHAELTFDATPLSTSRRNKSPDTSFVIRVKDCSKYGTFIKTDPGTKDKVHELPNKERILSDGDVITFGTGAATYRLSLIPLVFYFCPSSETFKVDRSVQDAVSSIGARIAPTLSEECTHVLVEPRMQVNEALLNAVLAQKPIILTNWVKVQTISDGGRSFGGCNGTKRP >A03p050670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21765332:21766858:1 gene:A03p050670.1_BraROA transcript:A03p050670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidemethionine sulfoxide reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G61640) TAIR;Acc:AT5G61640] MDFSTSLGPFVTIVNRKLKYKTNAKFCTYFGPDTDASASGNQFAQFAAGSFWSVELYDLKECRFESLLELFWWSMHDPTTLNRQGNNVGSQYRSGIYYYNPEQEKLARESLEYIGRHQQHVDRKIVTEILPATKFYRAEEDNQQYLSKGGRFGLKQSSAKGCNDPIRCYG >A04g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19251521:19251972:1 gene:A04g507680.1_BraROA transcript:A04g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGWVTSVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSSGKN >A02p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27466200:27467347:1 gene:A02p043590.1_BraROA transcript:A02p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILQMGSSCLPPGFRFHPTDEELIDYYLKRKVEGLEIELEVIPVIDLYKFDPWELPDKSFLPNRDMEWFFFCSRDKKYPNGFRTNRGTKAGYWKATGKDQKITSRASSTVGYRKTLVFYKGRAPLGDRTIWLMHEYRLCDDESSSQGSQTYKGAYVLCRVAKKNEIKTNSKIRRNLSEQTLGSGESSGYSSRVTSPSRNGTMLFHSFVNPVSTEIDSSNIWISPDFILDSSKDYPQIQNFASEYFQDFDFPVIGQEVNFPASILHTDVDQNMDESMQTGYWTKCGYDQTGLFGYSELS >A06g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24507402:24518052:1 gene:A06g508680.1_BraROA transcript:A06g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAALEADLRALSAEARRRYPAVKDGAEHAILKLRSSSSASDLSSNDDILRIFLMACGVRNTKLSVIGLSCLQKLISHDAVEPSSLKEILATLKDDNMVLALSICLRLLDNNRLPSVYNTAAATFRQAVALVFDQVVSAESLPMPKFSSSSQTARTGSVTGDLSQNINNSGPLEKDVISGRLTMRDTLSETGKLGLRLLEDLTASAAGGSAAWLHVTSLPRTFSLELIEFVLSNYISVFKILLPYEQVLRHQICSLLMTSLRTSSELEGEMVEPYIRRLVLRSVAHIIRLYSSSLITECEVFLSMLVKATFLDLPLWHRILVLEILRGFCVEARTLQILFQNFDMHPKNTNVVESMVKALARVVSSIQFQETSEESLAAVAGMFSSKAKGIEWILDNDASSAAVLVASEAHAITLAIEGLLGVVFTVATLTDEAVDTGELESPRYELHPSSDNCTGKTSRLCISMIESLWLTILDAFSLILSRSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTIVLPTDAERKSSIVQSPVSKRSEVQVEQKDVIVLTPKNVQALRTLFNIAHRLHNVLGASWVLVLETLAALDRAIHSPHATTQEVATAVPKLTREPSRQYADFSILSSLNSQLFESSALMHVSAVKSLLSALYMLSHQSMTETSDSVSSASRVEPLWDQVVSHFLELAVHSNPNLRTMALDALDQSICAVLGSEQFGEDPPRSRDTTLDVEPKSTELKSVECVVLSSLRGLYFSAQKADVRVGSLKILLRVLERCGEKLYYSWPGILEMLRSVADASEKDVATLGFQSLRVIMSDGLPTLPEDCLHVCIDVTGAYSAQKTDLNISLTAIGLLWTLTDFIAKGLHHGCQVEKGSGFSNVDTSPQQTNGEGVEEHVVSNSNKPDFEARIQIVNHEKLLFLVFSLIQKLVDDDRPEVRNSAVRTFFQILGSHGNKLSKSMWEDCLWNYIFPMLDSASHKAATSSKDEWQGKEIGTRGGKAVHMLIHHSRNTAQKQWDETFVLVLGGIARLFRSYFPLLESLPNFWSGWESLLAFVKNSIFNGSKEVSLAAINCLQTAVVSHCVKGNLQLRYLNSVMDVYELVFQKSSSYTGDTATKVKQEILHGLGELYVQSLKMFDDKMYMQLLGIVDLAIKQAIIGSENFETEFGHVPPVLRHVLEILPSLGPPDHLSSLWLILLREFLDYLPRIDSALPNEEGSEVSEHKADVLSDKTIPTTRITSNMFAEKLIPALVELLLQAPAVEKYILFPEIIQNLRRCMMTRRDNPDGSLWKVAAEGFNRLLVEDVKICSAGGDTDLKVNKTARMRIWKEIGDVYEIFLVGYCGRALSSNSLPAAALKANETLEMALLDGLGDVILKSTVDAPREVLERLVLTLDRCASRTCSLPIETVELMPAHCSRFSLTCLQKLFSLSSFETENWHSTRAEVSRISITTLMERCEFILSRFLIDENNLGKRPIPTARLEEIIFTLQELDRLTIHPETASVLQLRPSLKNILQEDNRDSRAHLLVLFPSLCEIVLSREMPVRELVQVLLRAVAGELGLKKVSIFS >A02g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6108998:6111379:1 gene:A02g501950.1_BraROA transcript:A02g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRRFAQVSPSNDEEDFVAETRSQAQNSRRPEETMEGRRRKRRNVILYEESDEEEKETERKRKKDDEETPPKEVKPDVVKPVGEPVKITGRGNGRRTHYRQFECNGKRYELEESVMMNPEGNILNPYIAIIKDITQKQDGRMMILGQWFYRQEEAKKKGGGHWEANGTRELFYSFHRDEVPAESVMHRCPVNFVPPHKKLPKKKGFIVRKVYDTDDMELRELTDKVYEDARQHEIDLFVEKSVLRLGDLPDLETEDEDVEKAKGSFQKVNITPVDVRKEEDNFASSSEYHSILQKFDSLTDNAHRNKCLAKLLEAVRNICSNAGDEANVGSDVLKDESFIWPDAAVHPVCALEMALNVSLASDHSKYNQRIRSLVFNLKNTALLARRLLNGQLEPEKILNMSPTELKEGLTNEETEKNEPDDAERMQMTDVRCLRCSQIKVCLSDIFQTGHGDRYQLECIACGNSWYASRDEISILDVDTEQPARGRCSEAIEKNLTSPREAEKKVTEHSLKTTNESNADNNPEATKKPE >A06g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13953284:13963277:1 gene:A06g504430.1_BraROA transcript:A06g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQALMVLTTKSCVTFQTCLKNLIPCIPSPKTSGYVRITLLRQCDPVFHLLSDLMKHCPNVLLSYLCFSEEHPQPVCEVSCIKRLFDWDSEDSFSETIHLLVVSFPLRKYGIHSTVQLRISLEFECAPDGGPGELAIFEAYLVAGFRGIVLSLVSEVSSFLGFCPSQLTPSSWKTLMSIQVLGELCGLNTRVHKILDSYYFAPLTIMPGFYHLQPRDGAPLVEEPSRVVGLLTTDFAFRCVSSGILSWGSRGEKDVGDSSTFPWSALPDEQGGPASQSSLGNIVRLLVYVLYDEYQQARARRRRPFYAPPPRLTRVTLPAARTRPLPTAIGDSPLIGVRQRLLTELFLLRNRVRVMAAQRDLLIWQEGHNAGILKKNIPGIYLVLKDRIITLEGALKTRRLHGDPKVLNNLGIFSFNFMGPYSAILGEATTGTCWGIAFYRSKPLSDLEGAGVGENPSARLFYFSRLE >A03p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21716502:21718722:-1 gene:A03p050510.1_BraROA transcript:A03p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G61520 protein [Source:Projected from Arabidopsis thaliana (AT5G61520) UniProtKB/TrEMBL;Acc:B9DGV5] MEEKESRKGAMAASKSGGKITYFVVASCVMAAMGGVIFGYDIGVSGGVMSMGPFLERFFPKVYKLQEEDRIRRSHNNKNHYCLFNSQLLTSFTSSLYVSGFIATLLASSVTRSWGRKPSIFLGGVAFLAGSALGGSAQNVAMLIIARLLLGVGVGFANQSVPLYLSEMAPARYRGAISNGFQLCIGIGFLTANFTNYETQKIKEGYGWRISLATAAVPASILMLGSLFLPETPNSIIQTTGDVHKAELMLRRIRGTNDVQDELADLVEASSESNSNAQHGFLNLLQTRYRPELAMAFAIPFFQQVTGINVVAFYAPVLFRTVGFGESGSLMSTLVSGIVGTASTFLSMLVVDRIGRKTLFLLGGLQMLASQVIIGVIIMVAEARDGVIGETYGYAVVVLVCVYVAGFGWSWGPLGWLVPSEIFPLEIRSAAQSVTVAVSFVFTFAVAQSVPPMLCKFQAGIFFFYGGWVAVMTVAVQLFLPETKNVPIEKVAGLWEKHWFWGKLTRKRDCQGTISVSS >A03g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23776770:23778049:-1 gene:A03g506590.1_BraROA transcript:A03g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKAQKALFDSLHFVTDSMQGIQERCVCGKRLVRERAPAEVFDYYPGRGSSRDDGMHYRQPWVCAVEEELQMMKTRLEKCEEHKSLVVKLEVENQELKAEMEKLIARVSELETLLKSAKKMKGGQEESFTELKTVLEMKDKLNKQKLLEKLLEKPEPLSEMEMTLKLKLMSEMLWNRRVREQRGDCYLLLFYVM >A04p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8981931:8985190:-1 gene:A04p007260.1_BraROA transcript:A04p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNITAVKTSSNGAWQGDNPLNFAFPLLIIQTALIIAVSRSLAVLFKPLRQPKVIAEIVGGILLGPSALGRNTTYMDRIFPTWSMPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAFGIAVAGITLPFLGGVGVAFVIRNTLYTAVERPGYAEFLVFMGVALSITAFPVLARILAELKLLTTQIGETAMAAAAFNDVAAWILLALAVALAGNGEGGGEKKSPLVSVWVMLSGVGFVVFMLVVIRPGMKWVAKQGSLENGVVRESFVCLTLAGVMVSGFATDLIGIHSIFGAFVFGLTIPKDGEFGQRLIERIEDFVSGLLLPLYFATSGLKTDVAKIRGAVSWGMLGLVVVTACVGKIVGTFAVAVMVKVPAREALTLGFLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITTPTVMAIYKPARGTHRKLKDLSASEDSTKEELRILACLHGPANVSSLISVIESIRTTKMLQLKLFVMHLMELTERSSSIIMVQKARKNGFPFVQRYRHGECHSSVIGGFQAYRQLGRVAVRPITAVSSLPTMHQDICHMAETKRVTMIILPFHKRWNVDHGHSHNHHHQDGGDGNVPENVGHGWRLVNQRVLKNAPCSVAVLVDRGLGSIEAQSSNIDGSNVVERVCVIFFGGPDDREALELAGRMAEHPAVKVTVIRFLVRETLRSNAVTLRPAPSKCKEKNYAFLTNNVDPEKEKELDEGALEDFKNKWKEMVEYKEKEPNNIIEETLSIGQSQDFDLIVVGRGRLPSAEVATLAERQAEHPELGPIGDVLASSINQIIPSILVVQQHNKAHVEEMTVSKIVNESSLSINGDTNV >A09g516610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48908879:48909403:1 gene:A09g516610.1_BraROA transcript:A09g516610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >SC179g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:484963:496612:-1 gene:SC179g500220.1_BraROA transcript:SC179g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQVSRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQSDFCLKPCDSFARTEERSFVTNFHVHQLILDNSFVSAYVLNEPKKAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPIKYNFGIILLLYDVFACTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKLLLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRIWRNHAVLCFGDILVYNTFFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTTMGKGKFEKEQEFSLFLPHSESNFDDSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQFDFCLKPCDSFARTEERSFVTNFHVHKLILDNSFVSAYVLNEPKKLQEPKLHQSDFRFKFVKSAKFSEFELDCLCAKNDSKRVGLFFEDILVYNTFFDKPVAQLKLEFTDSECMNLILDDIWVCNIFVDMHNKWRNHAVLCFGDILVYNTFFDMITHLTCPKQAEKGTGEERGYNDQSIHDESLAKLEMQQSNLGNCLAARFNIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDEYQGAFPQPLVSPFDPHTLRNLSLFDSFLQGLSHLFQSIILGSHQKDLLLTMDHMH >A09g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8097948:8098649:-1 gene:A09g502360.1_BraROA transcript:A09g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLTSLTYSNTKHYPKSNPYILNIIHKVAPASGCASERVPAPVALFLLISLNSSCGRLGFVILSDMLVDLGCGGFRSSNIADKDTGLVKASLALRRRLSFRNVEAPTVPTLPALSPGLSVLGAVAVDETSSEDECSSPMEGVMCARSLLFDVCADRWWMRSRSFD >A10p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1986287:1987844:1 gene:A10p004060.1_BraROA transcript:A10p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLRRRQLYKLSIKSYSSLSISRQKVGQWMGNYRSLIYMRPLAIRGMQWALSLQKAILDTPKINMMARVHMSPMSRIFSHNSKVSSEFFFSLHGNVAFNQPFVLFHGCFIQIVIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRKVRDVVEQSWTATSSSS >A08p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21116692:21118833:1 gene:A08p035840.1_BraROA transcript:A08p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLTNRHLIFVLITYLIILRTSLSLEHSVNGDVPFLPKHVMITNKLVTREGMVLHCRNKGKDLGFKAILADESFDFRFHLNIRRTAVYTCTFSWHGNVKRFDIFRADRDDNPRSTCGICRQCIWHISESGPCLLFSFLLILKTSSSFGNHSSTDGILPFAPKHVVVVNKLTSQAKLIAHCTNKEKDLGVKELLPGSSFDFRFRVNLRKTTRYTCTFEWPGNKVTFDIFRVDRDDTPKSEFGVCRECIWYIYEPAPCRLDRDAGIPYCFDWNS >A07p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11783516:11786146:1 gene:A07p019770.1_BraROA transcript:A07p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT1G22310) UniProtKB/Swiss-Prot;Acc:Q9LME6] MAFMYFLSYVDLKSTQLNLFSALDLRNKAIDVTWDGNHHYKAIIWFEIPTIFLRGCIGITGLIDNWIKQTGKRVKSEQLKLNAGVDPSFASDVFTVVTSMDDGNLGNNNHNLIGGSGDHLSAESLPLIDTRLLSQSELRALSHCSSLSPSSSSSLASAGGDDDLTPKIDRSVFNESAGSRKQTFLRLRLARHPPPPRPPSPRRQRDDSSREEVASLLRSLFSVDSAHTKEEEDEEREDEVEGQPLISFPIHSNSIVYRNPYFDSVQGISGDETRKRPGRPRKIPNPSDLGISMELNPGKRKRGRPPKKREGFKIEDKEESVNLENREGTVVDLTALACIGEDPYGEELRRITMGLVTMEELLGFLEEMSGEWVNAGKKKKVVKACDLGGYLPRGWKLMLSIKKQGSSLWLACHSYISPHGQEFATCKEVSTYLQALFESQSKKQLNSLQSDNKTLEQPVMATNESLVGNSASMDFPVTNQNLLSKRTRSEEVSDEARSAENCNTTDPVKTRVVEKDEKGSPGTNFVNGDNKEDDMKKRDDNMEKLAALLNSDSALKKILRFHAWRKHVLADNRIIKFESFRVCLTKGKVDRTGPRILAGASEPS >A02p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5803732:5805240:1 gene:A02p013240.1_BraROA transcript:A02p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G58390) UniProtKB/TrEMBL;Acc:A0A178UMG0] MEYHNKQIISMLKVLFMMILMIMGTQTQAQLSSDFYAESCPSLFPAVRRVMQRAVAKERRMAASLLRLFFHDCFVNGCDGSILLDDTSSFRGEKTAGPNNNSVRGFEVIDKIKSRVEKLCPGVVSCADIVAISARDSVLLLGGPRWSVKLGRRDSKKAGFSAANSGVIPSPFSTLKNLINRFKAQGLSVRDMVALSGAHTIGQANCLTFRNRIYNESNIDLSFALSRRKNCPATSGSGDNKKAPLDIGSPTRFDHSYYNQLLDKKGLLTSDQVLFNGGSTDSLVGTYSRSLNTFYRDFVRAMIKMGDIKPLTGSHGQIRRNCRRPN >A09g512620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37358706:37369214:1 gene:A09g512620.1_BraROA transcript:A09g512620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSASTHRTFVAVNGCPSAHIGRPSVSVSTHRTSVCVCQHTQDVRGCPSIHISAHWSLDSARWPFPWTVRVILTHMGCLVSTHRTSVAVRVFPSVSVSTHRTSVAVRVCLCVFVSTHRTSVAVHQYTYQHVGPWTQHADPSRGQFGTSVAVCVCQSVFVSTHMTSVAVHQYTYQHVGPWTQHAGPSRGLFGSFWPTWAVCSVHTGHPWVSASTHRTSVAVCQHTQDVRGCSWLSVSTHRTSVAIRVCMWVSASIHRMFVAVRGYLSAHTGRPCVSVSTHKTSVCVRVCPSAQTGCLWLSISKHISSLALPVDCLGDFGPCGLSVKYTKDVRGCLSAHTGRPRVSVSTHRMSVAVRVSPSAHTGRPWLSISTHISLLVLGLSTLTLPVDCSVDFGPPHTGRPWLSISTHISTLVLGFSTLALPVDCLGDFVPRGLSVQYTQDICGCPPAHTGRLWVSASTHRTSVAVRQHTQDVRGCPCVSVSTHMTSVCSAPCTDLWTAVYHTGHLWLSVITHRTSVTIRVCPWVSASRHRTSVAVYHHTQDIRDCPCVSVSTHRTSVGVRQHTHDVRVCPAAHTGRPWQSMCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFVPRGLSVQYTQDVRGCPPAHTGRPWLSVTVRQHTQVRQHTQDIISCPCVSVSTHKTFVAVHQYTYQHIGPWTQHADLPVDCLDDFGPRGLSVQYTQDVRGSHTGRLWLSISTHISTLVLGLSTLTLPVDYSGDFGPRGPSVQYTQDVSHTGRPWLSISTHISTLVLGLSTLALPMNCLGDFGPGGLCVQYTQDVRGCPPAHTRRLWLSVAVRQHTQDVRGCLWLSVCVLQHTQDVCGCLSAHTRRPCVSVSTHRTSVAVCVCPCVSVSTHRKSVAVHQYTYQHYGPWTQNADSSRGLFGTHRTSVGVRQHTQDICGYPPAHTGRLWLSASTHRTTSVAVRHNTQDVCDWPCVSMGVCQHTQDICGCPSSHIGRRPWLSISTHISMLVLGLSTLALPVDCLGDFVPRGLFVQYTQDVCGCPPAHTERPWLSVAVRQHTQDISSCPCVSVSTHKTFVAVHQYKYQHIGPWTQHADLPVECSGDFGPRALFVQYTQDVRGSHTRRLWLSISTHISTLVLGLSTLTLPVDYSGDFGPRGLSVQYTQDVSHTERSWLSISTHISTLVLGLSTLALPMNCLGDFGPGGLCVQYTQDVRGYPPAHTRRPWLSVAVRQHTQDVCGCSSAHTGRPWLFVAVSVCPSAHTQDVCGCLSAHTRRPCVSVSTHRTSVAVCVCPCVSVSTHRKSVAVHQYTYQNVGRPWVSASTHTMYVAVCQHTQDVRAVRVCPCVFVSTHRTSVGVHQHTQDVPVCPSAHTGRPWLYVCSCVSVSTHRTSVAVNQYTYQHVGPWTQHAGPSHGLFGSVCSVHTGPHTGRLWVSISIHKTSLCVRQHTQNVRGSLCVHVCPSAHTGRPWLSISTHISTLVFGLSTLALPVDCLGDFGQRSLSVQYVQDGRGCPPAHTGRLWLSVCVRVCMSAQTGRLWLSISKHISCGCPSVNISARWSLRLACWPFPWNVGWGAWPTLVSFQYHTGRPCVSFSTHRTSVCVRHTHMTSVDVRQHTRMLWASISSPYQYVGPCGLSTLTLPVDPQVILSRYGLSVQYTQDVCGLCVQYTQDVCGCPPAHTRRPWLSVAVRQHTQDVCGCPSAHTGRLWLSVSTHRTSVAVCGCQCVSFSTHRMSLGACQHTQDVHVCPSAHTGRQWLSVCVYVCPSAHTGSPWLSISTHISTLVLRLSTLALTMDCLGLSVQYTQDVRGCPPAHTGCLWLSVSTHRTSVLSVCVRVCLCVSVSTHRTSVGVNQHTQNVPVCPAAHTGRPWLTLALPVDCLGLSVQYTQNVRGCPPAHTGRLWLSVSTHRPSVCVRQHTQDVCVCPSAHTGRPWLSVSTHXXXXXXXRPWLSVAVRQHTQDISSCSCVSVSTHKTFVAVHQYTYQHIGPWTQHADLPVDCSGDFGPRGLSVQYTQDVRGKHRTSVAVHQYTYQHVGPWTQHADPPRGLFGWAVCSVHTGRPWVSASTHMTYVAVCAVRQHTQDVCGSQCVPFSTHRTSVGVCQHTQDVPVCPSAHTGRQWLAVCVHVCPSAHTGSPWLSISTHISTLVLRLSMLALPMDCLGLSVQCTQDVRGCPPAHTGCMWLSVSTHRTSVLSVCVRQHTQNVCGCPSAHTGRPCVSVSRHRTSVAVCVSVCVCQHTQDARGCPSVHVSARWSLDSARWPFPWTVRVCLFSTHRTSVGVRQHTQDICGCPSAHTGRPSLSMCVRVCPSARTGRPWVSISTHRTSLCVRQHIQNVRGSLCVHVCPSAHTGRPWLSISTHISTLVFGLSTLALPVDCLGDFGQRGLSVQYVHDGRGCPPAHTGRLWLSVCVRVCMSAQTGRLWLSISKHISALVLRLSMLALPVDCSGDFGPRWLSVQYTQAVRVCSSAHTGRLCVSVSTHRTTLTLPVDYSGDFGPLGLSVQYTQDVCGCPSAHTGRLRLSVCVCVCPSVSISTHRTFVAVHQYTYQHYTQDVCGCPPAHTRRPWLSVAVRQHTQDVCGCPSAHTGRLWLSVSTHRTSVAVCGCQCVSFSTHRMSLGACQHTQDVHVCPSAHTGRQWLSVCVYVCPSAHTGSPWLSISTHISTLVLRLSTLALTMDCLGLSVQYTQDVRGCPPAHTGCLWLSVSTHRTSVLSVCVRVCLCVSVSTHRTSVGVNQHTQNVPVCPAAHTGRPWLYVCPCVSVSTHMMSVAVHQYTYQHIGPWTQHAGPSRGLFGSVCSVHTERPWVSASTHRTSVAVRQYTQAVPSAHTGLSSVCVRQHTQDVRGCPSAHTGHPCVSVSTHRTSLAVCVHVCPSAHT >A05g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7202612:7203269:1 gene:A05g502270.1_BraROA transcript:A05g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYKKLEPASLFPIHGYLLEKGTLCAGTSSNANGLARVGLPLSSSRNGFEAKPLTNGHIGSQQDVKDVKRVLPPYLTRPPMPLRPDIVGNNGNFGGGYGGFHDGMGMGRVINGDRLFPPSGAHGTAASTSHFNGGSDPLHRNGMGEDRSSEDDERLIYQAALQ >A04p034740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20064594:20065858:-1 gene:A04p034740.1_BraROA transcript:A04p034740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type III polyketide synthase A [Source:Projected from Arabidopsis thaliana (AT1G02050) UniProtKB/Swiss-Prot;Acc:O23674] MSSSGMNGVKKQISTRRVANPGKATVLALGKAFPSQVVPQEHLVEGFLRDTKCDDPFIKEKLEHLCKTTTVKTRYTVLSREILDKYPELTTEGSPTIKQRLEIANEAVVEMALEASLGCIKEWGRTVEDITHIVYVSSSEIRLPGGDLYLSAKLGLKSDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGADPLPSEAPFMELHYAVQQFVPGTQTVIDGRLTEEGINFKLGRDLPQKIEENIEEFCKKLMGKAGDDSMEFNDMFWTVHPGGPAILNRLETKLKLGREKLECSRRALVDYGNVSSNTILYVMEYMRDELKKKGDGAQEWGLGLAFGPGITFEGLLLRSLTSP >A09p068990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53877759:53878098:1 gene:A09p068990.1_BraROA transcript:A09p068990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRNKLLFENKEYSVEETVLKILQDSRAWKGTIEANKKQQVPTRGVFTRMLHGTQPLATVEWDGTSKTRWLHQQAHSPQHAAQSPLPL >A04p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20315963:20316859:-1 gene:A04p035150.1_BraROA transcript:A04p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPKLPNTCEESLCDSKAAAVLTVWKKSLLFNCDGFTVYNSNGDLVFRVDNYMNSSKDNIVLMDAYGLPLLSIRRKKLSLGDCWMVYDGETQRDPIFTARKNVSIMTNRRSLAWVSTKKTLLYEIEGSFGQRSCKILDERRNKKKAAEIKRKEAMVGGVAFGKDVFKLIVESEMEPHVAMAFTIILDQMFRSY >A02g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26937069:26937458:1 gene:A02g510070.1_BraROA transcript:A02g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPATTKRMDKLDTVFSCPFCNHGSSVECQINMKEMIGIATCRICEESFSTTITALSEAIDM >A04g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5136653:5137288:1 gene:A04g502090.1_BraROA transcript:A04g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDSSIEKKTRSIYQIKDEPLKELVIFEDEAVQENTRKSGIEAAYEERSKLVKVSEDKRVICDWKQGKDELYQLVRRLKEVWVELTARPEVIEERREQDVIFNFIVNEIFELVQYTCDVCVKNKKSIQWKGGTNCKKGRLRKLSTVWFMRRKAWRKNSESGYVSDEMSLKMIKEAAQQVVR >A08g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4396673:4399505:-1 gene:A08g501820.1_BraROA transcript:A08g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVTYQSLQGRNLRLHPLTAPSKRPRLRQFYSSCDNTWRLVVPIPVFVQRNHIDFTFSNISGLILELTRNESRDVPFVEVGIGGEVNGDLSRSITVSLRAPGLLPILILFLKKLLKRGDINVRIINWFRSINYKQHSLDLTATPRAIYVHDELYPHNLPSSNSCKIFDSPWESWVLALRPASSGLNWGTGVLPWVVELASAVASEAESFLRGTTILLKSKEPLAEESVIRFFDISAIGKPIQMDPRRRVESTQNLERLPNMIVTVAWIDVKPLHINIGRGGGRRDGEEELKRQEERIGENEEIKVDEHVKSAKGKVETDF >A04g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16394106:16394645:1 gene:A04g507000.1_BraROA transcript:A04g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISDNTLVAHERMSCSKVEKAGANVLFEEPDKRSNNIGVVVSPVRINSLQDFGSPQFVADKLINAEKRKESTKEAEVVSVGERSGQGQVYEFEYKIDSTRGGIKRVFSAAFVSSKKLYLLNVVHSDNPENPLDYSTRLLLEQVLHSFDALPLT >A02p036430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20888719:20891794:-1 gene:A02p036430.1_BraROA transcript:A02p036430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTIILVAIILTVVMNAQSTFVVGDAAERKVYVVYLGEKEHDDPASVTEYHHQMLWSLLGSKESVHESIVYSYRHGFSGFAAKLTESQAQQLSELPEVVHVIPNTLYELTTTRTWDYLGLSPGTSKSLVHKADMGRKIIVGVIDTGVWPESEMYNDKGYGPIPSRWKGSCESGELFNGSIHCNKKLIGAKYFVDGLVAEIGDFDRAENPEYASPRDFNGHGTHVSSTIGGSFLPDVSYLGLGRGTVKGGAPGVRLAIYKACWLQSGSCSGADVLKAIDEAIHDGVDVLSLSLGSKVPLYSETDVRELASVGAFHAVAKGIPVVAAAGNDGPSAQTISNVAPWILTVAATTLDRSFPTAITLGNNITILGQAIFAGPELGFSDLAYPQSGDCQKLSANPNNTMEGKIVLCFTRETSVTPAVDAIVAVRNAGGLGVIIARNPTHLLLPSSNFPSVAVDFELGTDILFYIRSTRSPIVKIGASRTLVARPVATKVATFSSRGPSSISPAILKPDIAAPGVNILAATSLNDSFSVNGFSMKSGTSMATPVVSGIVVLLKSLHPHWSPSAIKSAIVTTAWKTDPSGEPIFADGSSRKLADPFDYGGGLVNPERAAKPGLVYDMATHDYVLYLCAADYSDMSISRVLGKATVCPTPKPSVLDLNLPSITIPNLRDEVTLTRTLTNVGPLNSVYKVVINPPTGVNVGVTPTTLVFNSTATKLSFTVRVTTTHRVNTGYFFGSLTWSDNMHNVAIPLSVRTQILPRYYDEN >A10p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21973273:21976712:1 gene:A10p039700.1_BraROA transcript:A10p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 4, cytosolic [Source:Projected from Arabidopsis thaliana (AT5G03690) UniProtKB/Swiss-Prot;Acc:F4KGQ0] MEGLCFRFLLLFVFLLCSHTRHQVNATEPVLELTKGFEAKPDSSIDSFQPLLTDPSGNFSFGFLRLNGSRLSLAVTHPNLTDPLWVFDPTRTASWSHKTKLFFNGSLVVSDPSSRVEWSTHTNGDRLVLRNDSNLQVVSTSSSSIEWESFDFPANTLVENQNFTSTMALVSSDGLYSMRLGRDFIGLYAKVSDDSHSQQFYWKHSALQAKAKIRDGSGPIHARINPNGYLGMYQTGTIPVDVEAFNSFQRPVNGLMILRLESDGNLRGYLWDGSRWALNYEAIRETCDLPNPCGPYSLCTPGSGCSCIDNSTVIGECGRGGSRAADFCGGETEKFKTVRRGGVEVPFKELMDHKTTSSLGECEEMCVEDCKCFGSVYNNGSGFCSLVNYPIRTMLGVADPSKMGYFKVREGVGKKRSRVGLTVGMSLLAVIALALTAAMVYVAFRIWSREKHALEGEDGGLSPGPYKNLGSDSFSSVEMSRKPFVDVMKEAEVLPGIKVDKGTVELAGTNGETTTIGLDGLGDRCKKYYEAGARFAKWRAVLKIGANEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIEKCAYVTERVLAACYKALNDHHVIVEGTLLKPNMVTPGSDSKSRAEPKVIAEHTVRALQRTVPAAVPAVVFLSGGQSEEQATVNLNAINQLKGKKPWSLTFSYGRALQQSTLKAWGGKVENVEKAQKAFLARAKANSEATTGAYKGDAQLGEGASESLHVKDYKY >A09g512910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38750432:38752979:1 gene:A09g512910.1_BraROA transcript:A09g512910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVESEKSTDTELPTSNDATQPEAVKFSLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDINLSIQDYLDPEIKNSLKSFHSFVQNKHRSDIAQIDDNALSDPNDYLDEGTNYSDPYSNRKTTAEKAKEKAIDLELEEDTEIADEIDRYISDRTDDYMRLMDASIEVANVEENDDSE >A06p035240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19063934:19064421:1 gene:A06p035240.1_BraROA transcript:A06p035240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVTFSVSSVLKGGKRRHRLVFGGGVSLVFRYHPSTGKGSLDPSLAVLMFYGDSSEFLFGREAVSSAVWCCVQVGFGGISKALVCTRNSPLFVVWSFMFRDGCKYGGVLWELATPETTREVPASEATRRTSPSCDYR >A07p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26691652:26694178:-1 gene:A07p050060.1_BraROA transcript:A07p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 1 [Source:Projected from Arabidopsis thaliana (AT1G77580) UniProtKB/Swiss-Prot;Acc:Q9CAP9] MEKGKSESSERSFGESESVVSSQSDIQPESTMESHEDETKQPEESPPEAETENEDLKDSIRTLTEKLSAALANVSAKDDLVNQHVKVAEEAVAGWEKAENEVAELKEKLDAVEDKNRELEDRVSHLDGALKECVRQLRQARDEQDQRIQDAVTEKTQELQSSKTNLEGELLEAATKSEELAQMAESIAKENIMLRHELLARCEELEIRTIERDLSTQAAESASKQQLDVIKKVAKLEAECRKLRMLPKSSFNDHRSTDSHSDASCSESGASTTLVEKRSLQGTASCAVEIDLMGDFLEMERLVALPETPDGNGNGKSEPESVHTDNSLAAEIEVLTCRNKELEEKLEKLEAEKAELESKVNCSREVESTLRSELEAILCGKMEIESKLEKLKKEKDELESYKEVESTLRSELEAMVCDKVKLESKLEKLEAEREELESKVKSDREVVSTLRLDLEANVCDKAELENRLNKLEAEKDELERTLRLDLEAIVCDKAELENKLNKLEAEKAEVERKLRLDLEAIVSSKAEVENKLEKIEVEKAELQISYDIIKDKYKESQVCLQDIETKLEEIQREMKVANELKTEVETQIESMEANIECLEEEVRKERLASDELRRKCEALEEEVVTLHQEEPPKIKQEDMATAAGKLASCQKTIASLGKQLQSLATLEDFLVDTASIQAAATNGVSSSNSNTESWRVHKNDTYMARNHFESIKATKETSSSSSSSSDAALTSNRGSSEKNRNGFAKVFTRSKDGIHLVI >A06g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9388040:9389333:1 gene:A06g502850.1_BraROA transcript:A06g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDTHASHRLTAYMHLLKASSIYSLSGFDMTRFSQNFRHVHHQSCGVMKYHFSGTFILPTFDMVEQKYVTAEASGDMYAEPSARKPGHQSANNCTVRGYRNISCFHQQLTLTCT >A10p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4710670:4711149:1 gene:A10p013530.1_BraROA transcript:A10p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKATSHFVVLIVALLLLYPLFSRQIEAISTKQTKHRKLGNGEEKEIRRNKLVIQIKAKVKRSYSRRGPQKKNPSTKPPCKPPTHPH >A03g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32310711:32312859:-1 gene:A03g510060.1_BraROA transcript:A03g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-xylose:L-fucose alpha-1,3-D-xylosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G56550) UniProtKB/Swiss-Prot;Acc:Q9FXA7] MGFYNLTSRRPQHLLDILELGYNVMYNDVDMVWLQDPFKYLQGGHDVYFMDDMTAIKPLNHSHGLPTSRNGVTYVCSCMIFLRCTSGAKLLLKKWVEEIRTQPWSNTEAKKPHDQPAFNRALHKTTHQVDVYLLPQSAFPSGGLYFKNKKWVNETNGKHVIVHNNYIVGYNQKLKRFQDFGLWLVDDFSHESPLGKLE >A01g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10125001:10126922:-1 gene:A01g503130.1_BraROA transcript:A01g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLLRYTYDPTYTIFLSVDFVRGEASPSLDETDLSFEVIENMASSEVSTKGNHRGGIENFSAAFAGGEAEITQSNRSFFVCVVDPEAALYKELWHACAGPLVTVPRQDDRGSIEKPRWRNSRCLSMIFLRRSFVESLIGAYAQITLFLEPIQDENAIEKEAPLPPPPKFQVHSFCKTLTASDTSTHGGFSVLSRHADECLPPLDMSLQPPTQELVAKKLHANEWRFRHIFRGQQRRHLLQSGWSVGENGELRVGVRRAIRQQGNARRKAHRLQREQFPLLCFFTRSVEIIYDAILHMVAQKHFHELIEIQWYLQMMCEKQLKLQDTRSSSSMP >A09p075380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56805140:56806504:1 gene:A09p075380.1_BraROA transcript:A09p075380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMHDYPLRVVDHFAGFLKVLRPQFTMPRLNTIHDDCVLMFLSQKQKLSDIITKIPGGVNLANQHVLNGQLLMGECYANVLSSMAQEALEDEKLIKKVRDSIKFIKTNETCGDKFDGLKKLFSTDTAYKDLNVDNRTRWDTSYNMLLAGYEHRQLLSCLETCYPDYKISSISSQDWRKIDGLCSCLKVLFQAGNVLTRPKNLTANELYHEMIKLQLELSHAAMYEEDLDVRNLAKSLWERFDLYWRGCFLVLAIAVVMDPRCKMEIIKDNFTEMYGEEDAEKWIKTVNDAVHDLYISYGEQNLLDANIEVAQEPETGPQVEQTTESHQHEGEHKVEKKELGDDSQAQPQADEDYHMGDVLLQDGTTLVTIGD >A06p045440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24348122:24348781:1 gene:A06p045440.1_BraROA transcript:A06p045440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHHLSSHLNWIHLIHPQSPRLSSISPLSVSLPSIPHRTQFQSLVLCARRRKKRGGHGRIARLMFKSLSLLSTNLQILPQPLDLVIADLGGGGGGGGGGDGRGLWRGWGRFDGWRRKRNRVPILILVCLVMWIYGYCKVSGKVIKSDEILKVLGVCVLGLSLVKELKREARSLVFVFLCLVASLVFGFKKESLVKLASQVRSCSSSVLLTKRRSRRRV >A07p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21051705:21056392:-1 gene:A07p039620.1_BraROA transcript:A07p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIASPVKTNLYGVGLVRSPAFPVLPRRLQHRVPLSRQVRAVISREEKAVDQEDEKSINRSVVYSSSPAFPWQRSKYTGTKTVMAVVKIRKKMREKLTERLGHQFELLMKAVGQGMLVQLVSEDIDPETGSGRRSLETPVLGLPKARNDPRNLEFTATFTVPTDFGKPGAILVTNLLSSEICLSEIIIRDGSDTILFPGNTWIHSRNDNPEGRIIFRSQPCLPSQTPAGIKELREKDLRSVRGNGKGERKPHERIYDYDVYNDLGDPRKKDRVRPILGGPERPYPRRCRSGRTLVSRDPPCESRGKDKDEFYVPRDEEFEDVKRDTFTAGRLKALFHNLVPSIAAALSNLDIPFTCFSDIDKLYKCDIVLRPTEPKDTGLGGFFGSFVDGILNVGETLLKYDTPAVIKSDRFAWLRDNEFGRQALAGVNPVNIELLKELPIRSKLDPDMYGPQESALTEEVIAKEVLHYGMTLEQAFEEKRLFLLDYHDMLLPFVDKINSLKEDPRKTYASRTIFFYSKAGALRPLAIELSLPPTPDNDNKFVYVHGHDATTHWMWKLAKAHVCSNDAGVHQLVNHWLRTHASMEPYIIATNRQLSTMHPVYKLLHPHMRYTLEINARARKSLINGGGIIESCFTPGKYSMELSSAAYKSMWRFDMEGLPADLVRRGMAEEDASEECGVKLVIEDYPYAADGLLIWKAIKNLVESYVKHFYSDPKSIASDFELQAWWDEIKNKGHYDKKDEPWWPKLNTAQDLTEILTNMIWIASGQHAAINFGQYPFGGYVPNRPTLLRKLIPQENDPEYEMFMRNPQYSFLSSLPTQLQATKVMAVQETLSTHSADEEYLIDLKENQRRWFQDEEVVKYFSKFSEELEEVEKKINKRNKDKKLKNRTGAGMPPYELLLPTSPHGVTGRGIPNSISI >A08g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11766152:11767022:1 gene:A08g506820.1_BraROA transcript:A08g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKIGSFDRISELKAFDETKTGVKGLVDAGITQLPRIFHDSPSNLANPKPPSSDLLHLTTIPTIDLEGRVFEDETKRKNMVDGIRDAAEKWGFFQVVNHGVPLDLLERMKDGVRRFNEQAPEVKKQYYSRDFRREFVYTSNFDLYTSSAACWRDTFSCYMAPNPPKPQDLPAICRDVMLEYSKQAMSLGEFLFELISEALGLNPNHLKDIDCSKGLRMLCHYYPPCPEPDLTLGTTKHSDIAFLTVLLPDQIEGEKFCNQ >A03g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17964596:17971283:-1 gene:A03g505040.1_BraROA transcript:A03g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFSEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKLKTTFYGLNNTYITFIKCHNQIMMSGTMNFGSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p011010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5351255:5351929:-1 gene:A01p011010.1_BraROA transcript:A01p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGKSFKDMEINVVDRDHQGSAQEEIEKPLLEEDKDFPDIERTTWIQKAIGQTFQTTAHLANLLPTGTVLAFQLLSPIFSNGGQCDVVSKIMTSVLVAICGFSCFILSFTDSYKDKNGTICYGFATIHGFWIIDGSATLPQELSKKYKLRFIDFAHAFMSLLVFGAVVLFDRNTVNCFFPAPSAEALEVLTALPVGVGVFCSMLFATFPTTRNGIGFPVPGNK >A09p009440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4871480:4873804:-1 gene:A09p009440.1_BraROA transcript:A09p009440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFALHSLSTTTPSFSHHPSRLSLLRRISSRSPPPTISLPSLRSHSVQPLTFPLLKPIPRLSTTRITAAPRDNIPPPPPPPQPSEPPSSQPPQGAKLLPLILSLSVGLILRFAVPLPEGLTPQGWQLLSIFLSTIAGLVLSPLPVGAWAFMGLTASIVTKTLSFSAAFSAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTISEALIAPAMPSTTARAGGIFLPIIKSLSLSAGSKPGDSSSRKLGSYLIQNQFQCAGNSSALFLTAAAQNLLCLKLAEELGVVIANPWVSWFKAASLPAIISLLCTPLILYKLYPPETKDTPEAPGIAALKLKQMGPVTKNEWIMVGTMLLAVTLWICGESLGIPSVVAAMIGLSILLLLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGVVTWMSDCVAKVLQSLSLSWPAAFGLLQAAYFFIHYLFASQTGHVGALFSAFLAMNIAAGVPGVLAALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPDVFKIGFVMATINAIIWGVVGTFWWKFLGLY >SC180g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000050.1:54304:54970:-1 gene:SC180g500020.1_BraROA transcript:SC180g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLHHRVIPNSHETAFPHTCLLKALLAIGSGIQPSLFFPDYLRVFSGPGGCFGSFITSPLISPKTPLCGLHTTLGVGCWIRPTLCLFSVFLCVSRCRRKPWRACKGTDFPAFYKRRGSYLSKGCTLSSQPAHRHTRVAHMARPLSRPGPTARDLNTQSS >A10p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13534401:13536360:1 gene:A10p019810.1_BraROA transcript:A10p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRRYEAEFDEFEKLLLEIPKVTSGNDYSPFPPLCYSSSRSSFQERNLHLPGDYAFTSSLAESNFNFGISNQTPENPNLISIPSYHSPPCVYADKFDSRKQLDSQMRRNLQHLGCFSNISPPQPQHYNMPSSLSHHPSLDQSHHQLEEQYLYRRPQQSNRNLFCNGEDGDEFVRSLRKKMYYPEKLLVRSPLGVNTAKVIKYGLGEEDSQNRRVRLQNHHQLDEEADLSTSLNSLTLHPPKYYSLAEARGKFYYMAKDQHGCRFLQRKFAEGDGNDIETIFNEIIDYISELMVDPFANYLVQKLLEVCNDDQRMVIVCSIARKPGLIIKISCDMHGTRVVQKIVETVKRQEEISIIISALRHGGIVTLMKNVNGNHVVQRCLQYLLPHCKKFLFGAAMTHCVELATDRHGCCVLQKCIGYFEGEQKDRLVSKIASNALLLSQDPFGNYALQYVFELQLEWAVNEILKQLEGNYTELSMQKCSSNVVEKCLTLADDKHQARIIRELVTDGRLDQVMLDPYGNYVIQAALKQSKGTLHGILVEAIKVYVSSLRTNPYGKKVLSALNNSKK >A02p035120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19182551:19184911:1 gene:A02p035120.1_BraROA transcript:A02p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTTVIFILATLLVPATVVSGQTPPSPVAPSPTINEAMNCAAGLTVCLPAFAQGGTPSKECCTAVKTQQSCLCGFIKAPVLVVPFNITAFSALISKSCGINTNLNLCSETPAQAPLPHMTAPPSGAPKTDKDAASKPAETGLVGIVLIMISALFY >A05p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3689398:3691358:-1 gene:A05p008930.1_BraROA transcript:A05p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38550) UniProtKB/Swiss-Prot;Acc:Q9ZVH7] MSIPMELMSIRSPNSTFLHRPHSRPPSTLRSLRFQHLPTPRHHLTAPSLVSCTGLRLRFTSDTKLNRSFVAFAASHEDSEPSGVEVEKEKSVVDGDDNDSTSQEAWKQTLASVKEQVSKIQSVSSEAYNVNSQKAMTILKDTSEQLRIQAEKAKEELGTKAKEVGEEGREYILKAAEESPSDVKEIVEAFSSSEDLRDVSRTQDFHVGIPYGLLLFVGGFLSFMISGSIPAIRFGVILGGALFALSMASLKAQRIGESSAKFLKGQMAIVAIIFLRELKLVLFQRSTFMGFLTTLTSGGVLAFYGYKLMSNKEKGLNKEQGGEEDEASEGFVRREG >A09p080770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59023986:59025703:-1 gene:A09p080770.1_BraROA transcript:A09p080770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGSAISPVPDENMSFTVFLNSFSLRLTAIRFAGKLKNYWFVMVFGLRRVVVCPYDPSKRYRSKSKRTILNTRQRNLQFRLLLCVYNVENVPSMVNLLEAAYPSRFSPISVFTLHLVELKGRAHAHQGTLMAQHFTAAAPFSSINDDICTLALDKKAALIVIPFHKQYAIDGNVDHANSAIRSINLNVLDKAPCSVGIFIDRGETEGRRSVLMSHTWRNVAVIFIEGRDDAEALAFCMRIAEHPEVSVTMIHFIHMSSLYLNQQAGEENEYSEAHLINDFKIFSLNKPKIHYREEIVRDGVQTTQVISALGDTYDMVVVGRDHDLDSSVLYGITDWSECPELDMFASSDFHFSVLVVHQQEGESLGMDDSYKLPDSPPRIVDPRVHPRFSVEEGFTSIVPLNR >A04p039340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22202813:22214793:1 gene:A04p039340.1_BraROA transcript:A04p039340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 15 [Source:Projected from Arabidopsis thaliana (AT2G44450) UniProtKB/Swiss-Prot;Acc:O64879] MRGKYFSLLIVLIVLAFNGVLALNNSSTPKLRRSDFPEDFIFGSATSAYQVEGGAHEDGRGPSIWDTFSEKYPEKIKDGSNGSVADNSYHLYKEDVALLHQIGFNAYRFSISWSRILPRGNLKGGINQAGIDYYNNLINELLSKGIKPFATMFHWDTPQGLEDAYGGFRGSEIVNDFRDYADICFKNFGDRVKHWMTLNEPLTVVQQGYVAGVMAPGRCSKFTNPNCTAGDGATEPYIVGHNLILAHGAAVEVYRKKYKASQKGQVGIALNAGWNLPYTESAEDRLAAARAMAFTFDYFMEPLVTGKYPIDMVNNVKGGRLPTFTAKQSKMLKGSYDFIGINYYSSTYAKDVPCSTEQVTMFSDPCASVTGEREGVPIGPKAASDWLLIYPKGIRDLVLYAKYKFKDPVMYITENGRDEFSTDKIFLKDGDRIDYYARHLEMVQDAISVGANVKGFFAWSLLDNFEWAMGYTVRFGHFDPVIHGDYPEILKKQLGNRLPSFTAEQSKMLKNSSDFIGVNYYTGRYIALLPYVDPARPRFKTDQQPEWRVTNHSNHHIGPEEDRGMLHSVPEGLRKVLNYIKDKYNNPTVYIKENGINDYEDGRKSRGDILNDTFRIKYHEDHLQQLYKAIIEDGCDVRGYYAWSLLDNFEWEHGYNTRFGLYYVDYDNNLERLDVKSEEIKKEEVWDVSRNNETLDNDAKGFEASVRSIIYLMTNSSRREESLHFGISQDGKIGIVLSPFWVEPYDVDSDADKEAVERALDYYLGWHLDPLIFGDYPKTLRKNAGNRLPSFTQKQSEMIKDSFDFIGINYYSARYVTRQVRSDPSRLRFTTDQHVEYKVKNRSGDYISSESDELGFIYVYPEGIRKLLNHIKNKYNNPTIYITENGYDDYDVGTKPREQLLKDIKRIEYHEQHLQELHKAITVDGCDVRGYSTWSLLDNFEWERGYTMRFGLYYVDYADDLKRYAKDSAKWFKKFLEKREQSTPLDMYKSIKKWLSALQTI >A09p048670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43242124:43246622:1 gene:A09p048670.1_BraROA transcript:A09p048670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVRLTCKEWDTLSKSPIFSKMHADKIRSEESMMMIAMIDYNLYLMRVVFFVNEDPLLERKGKLTCQDIQSKISQVFHCDGLLLCVLEEDATKVIVWNPYWGQTKSIDCRYSHRPYRWDRFTYALGYEDKGSCRSYKFLRFIDKYYYKSPIDQFLWYEIYDFESSTWKTLDVTPHWRILFCQRGASLKGNTYWPASQRNTTDVRDDHIICFDYTSESFGPLLRLPFDAGEDDYVTLSCVREEKLAVLLTHNEAGPMEFDIWITTKIEADKVSWSKFLRVETETGFFALVNCDSFFIDEEKKVAMGYHSTFNIVGEVGYLKKLELVERAGGDIGYCRSNGCSYVPSLVQIKQSAAGGGGERKRQSDLEKQRYDQNMSRLAAIENRSTSHPSKKLKNFFLITLLTTAAVTSEQQCRNFKSIIIFGDSITDTGNLLHLSDLNNLPQSAFPPYGETFFHVPTGRFSNGRLIIDFIAEFLRLPYVPPYFGSPNESFQKGVNFAVAGATALERSFLDSRGIHYAYTNVSLGVQLKSFKKSLPNICGSPSDCREMIGDALIIVGEIGGNDYNYGLLVGKSIEEIKELVPLVISTISSVITELVHMGGRTIMVPTDLPIGCWTSFLTQYQTSNQEEYNPLTGCLNWLNEFVEHHNKELQEELNRLQKLYPHVTILYADYYNALLHIFQEPARFGFVNRPLSACCGTGGPYNFNNGSQCGTKGVDCCTDPSKYVHWDGFHLTESAYRWVAMGLLEGPYTLPAFDWSCPGFDIKNRTSSGRQYSFSSR >A05p010930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4643915:4654600:1 gene:A05p010930.1_BraROA transcript:A05p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROS1 [Source:Projected from Arabidopsis thaliana (AT2G36490) UniProtKB/Swiss-Prot;Acc:Q9SJQ6] MDKQQAREETTWVPQTPIKPITPIYPDQIQTEERRFAGNKDKSGLDHLSFGDLLALANNASSVFLSRQNGIDKEHVIKTPEKPKRKKHRPKVVREAKPKRDIKPKTPKKPAAAVEGEESKTPKRKYVRKKKEAGEDQEEYTPVEESSGAAAEDGEASDHDGKKPCRRALEFDDQSLKPQNGEAQHRDETKQDQDLQESHMAAVPSTPKRKRSSQSRRMGKEMKNNEAQATKRRQGKEPTRSNIYFSGQQYEQVFADNEAQWLFSTEWLQKGMRSRSTTGQQLVTQENVSAFESSCRVLTFQGRQSFESNAHLDKIETPTKKRTTGHARFRGMSSTNKASEQSQAGWYSRQTQVASSRKKRTTKSQTKQLTLLPNHCQFPPSFAAGLAPEAIWQQRHSIEAISELMRLLDINREYSETALVPYGMNSSYSVGNQIVIFNGGAGAIVPSTRVKKPRRERAKVHIDNETDRVWKLLMESIDSEGVDGSDEKKAKWWEEERNVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLAAEYPVPFVPSSDFEVGESSMPSIRITYLDSDEPISNPPVPSETSAALDNTQPDEEKEYVNSKDTSRSSSEIASSGNESTVKTTDSKAQVDSDRTGSSVEVSKTVLIVQELFPSEDSVLTCQNSLVSETPKMTERAGSSSEINSEAENCTHFVKLLESQGSAQLQEKESDVITADTVLVEEASQKTQCSSSPGSLQISPNTSPGDCSSEVKDFKSLKGKGKYSDDEPCCFFGDVLSVQKPEIPESSSSVPSTKLVIETSIPDINESTNCLDVQEGTEKQQPGPDSSSKKISPMDKATFNADGKKILKEVDEEFDWDSLRREAEGREGKREKTARSLDSVDWEAIRTADVNEVAETIKSRGMNHKLAERIQGFLNRLLTDHGSLDLEWLRDVPPDKAKEYLLSFNGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLEMYPVLESIQKYLWPRLCKFDQKTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARFALPGPEKGMERPDVPLQSLPEPLRRQQGLEVVNHSEAANRVTSCEPIIEVPASPEPECAEVSMADIEDAFFEDPEEIPTIRLNMDAFTNNLKKIFEHSKELQDGNMSGALVALTAEAASLPMPKLKNISQLRTEHQVYELKDDHPLLAQFEKRETDDPCSYLLAIWTPGETVDSIQPTRSKCIWQEAGKMCNEKTCFSCNNIRETQSQTVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHESSLTPIDVPRDWLWDLARRTVYFGTSIPSIFKGLSTETIQQCFWRGYVCVRGFDRQTRAPRPLIARLHFPKSKMKSQFLSIRVLIIVLKMENSRRAFDRSRDPGPIKKPRLSDESIRPVNSNARQFPSQRPVATAAVGVSPASSSRFRAGGGGGREAESSSEAYEPQLVHPHYELVNQYKSALSELTFNSKPIITNLTIIAGENVHAAKAVVSTICNNIIEVKKVKVVVEIVICIRGLMRKKSIFESNPSVFSGIYVLFYKYLSSRRSFFVPSIVEILDVTLYEIKFAIFWVSDLKNIMQVPSDQKLPTLYLLDSIVKNIGRDYIKYFSARLPEVFVKAYKQVDPPMRSNMRHLFGTWKGVFHPQILQQIEKELGFNAKSDGSVAAVTTGRADLQSQRPPNSIHVNPKYLERQRLQQSGRTKVMVTDVPEIASNLTRDPDRLERVSSTASGGLWAGPTKVNTIRRPQKDSLSEPLYEKDMESISEEYEYAPDLPHNSRSVVKKVGPRITDDGSGKQWYEGMSRGPDLISDQREGLHTKSRVSNYATARLENSESSGPSRNIGVPYDSWKNSEEEEFMWDMHSRVSEIDVATINPKIEFQASDESERLESKNHLLKRPRYSAVDPRLDPVNSYSREQKDSSILGPWTSSPRSLHDSEVFPSISAASNAGRKGIQPQSRIASSGILPISGPVSDKQSKQNVSKQDSGRAHSLTQRDPRASRFPAKSQSDSVRPLSSNSQFKNTDTLELPDSSQVEKFDSKSTAENARGQANMNDLLAAVMKSGILSNNATCGGCKEEMSQNGADPRALTLSAVSKDKTLPTSMAGAVSLTGATSAQASKENSKASDPISSLLSSLVSKGLISASKPEPPASKTELPSLAQCAAPSVSQDHSPDHSTSSSISLFDDLPHLCISCGVRLKQKEELDRHVEELHDKKKFELSGTNSKCRVWFPKADDWIATKSGELEPGYEEDLSEPESAAEDGPVIATDENQCACILCGEMFEEYFSQEMDQWMFKGASYLTIPPDESEANGPIVHAGCLTKSSLLGLGVGNAIKQVKGTCAGGSGGITGETGLVDFT >A02p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31122290:31124505:-1 gene:A02p050430.1_BraROA transcript:A02p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLIGKLVRTNPSRLATAARLIPSRCTASAPQPESKPSSNGGKPSNLKTFQIYRWNPDNPSKPELQDYQIDLKDCGPMVLDALIKIKNETDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIEEGAKETTTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPASDEPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKGLNPGKQIAHIKQLQR >A07p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7048299:7050089:1 gene:A07p013930.1_BraROA transcript:A07p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFRSLRILISISRTATPFSKTHLPQSFTRRSYSAQANLDEPTSVNGDSVFDSSQYSIEDPVKKPKQQPNWDKGYRERVERELFPQEKQSSEKKDRSRILAKALLEAALESADDELGDGEVREEDQKSLNVGIIGPRNAGKSSLTNFMVGTKVAAASRKTNTTTHEVLGVLTKGDTQVCFFDTPGLMLKKSGYGYTDIKARVQNAWTSVDLFDVLIVMFDVHRHLTCPDSRVVRLITYMGEEANPKQKRILCMNKVDLVEKKKDLLKVAEEFQNLPAYERHFMISGLKGSGVKDLSQYLIDQAVKKPWEEDPFTTSEEVMKNISLEVVRERLLDHVHQEVPYGVEHRLVDWREQRDGSLRIEQHLITPKLSQRKILVGKSGSKIGRIGIEANEELRRIMNRKVHLILKVLLK >A06p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17160060:17162480:-1 gene:A06p032170.1_BraROA transcript:A06p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDVSFSLAETNYSFPSFLVLSKLRKCATNKKKKTKKKKTKNAKQNEKEMIRQKPANSESHCIPVPSCMLRFCYRPSFSSIL >A10g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6054266:6059849:1 gene:A10g502270.1_BraROA transcript:A10g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVQNRPSPSPSRPSSHSIAVRPSCPVRVLEPQSVHLSSLNPSLQHLVSELKLLTQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDVKTGPEIQKDTNSTSLLRSKEKVQDTMQSMLLKEAKPVNKVSNQESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRKVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNV >A05g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6849681:6850896:1 gene:A05g502150.1_BraROA transcript:A05g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEAAPAVVVPPAAEPAAIPEDMDLLTALELTLRKARAHGGVTRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEETTALNIVKKHIESN >A08g510530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24010046:24011653:1 gene:A08g510530.1_BraROA transcript:A08g510530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYECFGSRSPNSLPIETPPHIISLGAGEAFGRRRRAICTTARSSPSSASFFVVLSLCSVVSVVSNNAVSSSFSVTTAPVLSSTYLRVSPPPPLLTVMKSSHLSTLPETISNHGRSLLLTLSVLLFHPGIVKPRTCGSMLSMKNLQLDPTYAEPLEPDLLVSLVLGFTSPSPVRRLCQSTPLSRLSPSPDRVTNASHRLHPTPASFLTCLYATDYTPNIEAAPSHQGFYGAKLHRLDLRLLVTTGPIVQECCFARFPHEVFTVASLSHYAVSSIDGSSQNRICGLPDLLVAGTIVQECGLARFTNSITAAIPSHYAVSSIDGSSQLCDLHIGVVTRRPIHPEAFYLLSDVCSHTLWLNESDDYLLRSSVTTCWARHGNVEFRVLDPIQPSALSSNLCSPSASLEVKLELEIHLVYSVSHVGFKADRTCFSAKSSQIGLSSLNVVYGSGASHLKFLPINIPTSSYRCFNVVFDYQLFFRTIAMGTKVKLLFGFLHFAERDSPLYGSISSFSVLVSSFILPSSRAPGLSASVVNVAL >A09g515970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47276604:47279416:1 gene:A09g515970.1_BraROA transcript:A09g515970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSINLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFSERFGKFLCLIFLHLVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLNFVSSGLVYSSGIQACGLFCELHVYSFSCEFFVKSDLPGLTRISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRRKSSTARRLPNSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLISMVIL >A01p021840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10645104:10646992:1 gene:A01p021840.1_BraROA transcript:A01p021840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLNSSSSLIKRKDTKSSRNHESSSNMSFAKMKPPTYQFQAKSSVKEMKFTHEKTFKPEGEEATERWEKLHVLSYPHPKNDSSVPVFVMLPLDTVTMSGHLNKPRAMNASLMALKGAGVEGVMVDAWWGLVEKDGPMKYNWEGYAELIQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDKSGRRNPEYISLGCDSVPVLRGRTPIQVYSDFMRSFRERFDHYIGGVIAEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMRSSLQAYAESVGKTNWGTSGPHDAGEYKNLPEDTEFFRRDGTWNSEYGKFFMEWYSGKLLEHGDKLLASAKGVFQGTGAKLSGKVAGIHWHYNTRSHAAELTAGYYNTRNHDGYLPIAKMFNKHGVVLNFTCMEMKDGEQPEHANCSPEGLVKQVQSATRQAGTDLAGENALERYDSSAFGQVVATNRSDTGNGLTAFTYLRMNKRLFEGQNWQQLVEFVKKMKEGGGDGRRRQLSEEDTTGSDLYVGFVRKSRKVEEASLV >A04p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22166500:22168250:1 gene:A04p039190.1_BraROA transcript:A04p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGQSTPALTAPVPVPFPPPSTRRPRVREVSSRFMSPVASSSGHHQRSVSTQKPRRQLKLPEGDENRPPETAARGQDSPFPLDGGKNNQQRNRSKPLREENGHTLTLPPPPSRSRSNQQRLLTSSAAARLLRLSVSMDGEEEEKLNDDDTSSFRASLLVKNGVGLSLPPVAPNLKSQGDIKRQKRVLGQQADAHSLKLLHNRYLQWRFANANADVIKQAHKAEAERMFYSLGLKMSELSHCVHKKRIELQGLVRVKAIKKIVEPQETSEALLNASLRLPLDVDIKVETKELGEVLAVASKSMEGIVQSIEQFLPKTQEIESLLSELARVNSREKVSVEDCGVALLKTHSSHVEDCYLRSQLIQQHHKQCFGKVTVLKKADETDAVAN >A05p047330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27905582:27907304:-1 gene:A05p047330.1_BraROA transcript:A05p047330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 [Source:Projected from Arabidopsis thaliana (AT3G12110) UniProtKB/Swiss-Prot;Acc:P53496] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLAYIALDYEQEMATANTSSSVDKSYELPDGQVITIGGERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDETGPSIVHRKCF >A09p068730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53753359:53755578:1 gene:A09p068730.1_BraROA transcript:A09p068730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNEQDLLSTEIVNRGIEPSGPNAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAVYLATIPVLVLVFGAEVGSLSREEIWNKLWDYDIATVIGFFGVFVLTVCVYFMSRPRSVYLIDFACYKPSDELKVTREEFIDLARKSGKFDEETLGFKKRILQASGLGDETYVPRSISSSDNITTMKEGREEASMVIFGALDELFEKTRVKPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIALDLARDMLQSNPNSYAVVVSTEMVGYNWYVGRDKSMVIPNCFFRMGCSAVMLSNRRRDFRHAKYRLEHIVRTHKAADDRSFRSVYQEEDEQGFKGLKISRDLMEVGGEALKTNITTLGPLVLPFSEQLLFFAALLRRTFSPATKTITSSSTTAKTNGAKSSSSSDLSKPYIPDYKLAFEHFCFHAASKAVLDELQKNLGLSEENMEASRMTLQRFGNTSSSGIWYELAYLEAKESVRRGDRVWQIAFGSGFKCNSVVWKAMRKVNKPARNNPWVDCISRYPVAL >A02p005670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2453661:2460996:-1 gene:A02p005670.1_BraROA transcript:A02p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTATATMCPSPPLPTISPLLRTTHQCQPSPSSSTPSSIKLGTTLFSSEATVDESSVTIKPVKWGSQLEKRRKKRRRRRAGLDPEEEENVVPEVETEPKAVSVHVGASRSGFLSRLEEVQLCFYLKEGAILENLETSVEENEMVSLLMKGKKKRSANEILYRRREAREKITRCYRRLVVSIATGYQGKGLNLQDLIQEGSIGLLRGAERFDPERGYKLSTYVYWWIKQAILRAIAHKSRLVKLPGSMWELTAKVAEASNVLSRKLRRIPSSEEIADHLNIHVSAVRLAVERSRSPVSLDRVLSHNGRMTLQEIVRGPDETRPEEMVRKEHMKHEIKQLLGTLTARESRVLGLYFGLNGETPMSFEEIGKKLKLSRERVRQINGIALTKLRSVHNILDRCIMSKTGSLDLASNLGGNIDKSDVLTAVEKYEQYHVFHGGDEEERKANYTDMVNKYYDLATSFYEYGWGESFHFAHRWKGESLRESIKRHEHFLALQLGVKPGQKVLDVGCGIGGPLREIARFSNSSVTGLNNNEYQITRGKELNRLAGVDKTCNFVKYGCYKEIFRVLKPGQCFAAYEWCMTDAFDPSNAQHQKIKAEIEIGDGLPDIRLTSKCLEALKQAGFEVIWEKDLAEDSPVPWYLPLDKNHFSLSSFRLTAVGRFITKNMVKVLEYIRLAPKGSQRVSDFLEKAAEGLVDGGRREIFTPMYFFLARKPDTRFLSSFCHDPIQKWVHLDHFHEQTWTSDSSSFVLDILKDSLSQV >A03p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6308248:6309297:1 gene:A03p015850.1_BraROA transcript:A03p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVEYISELQQVLQSLEAKKQRKTYAEVLSPRLVPSPRPSPPVLSPRKPPLSPRISHHHLLLSPISPRTPQPTSPYLAHAPQLPLIPQPPLRSYSSLAGGSSLGDPPPYSPASSSSSPSVSSIRESSVINELVANSKSALADVEVKFSGANVLLKTVSHKIPGQVLKIIAALEDLALEILQVNINTADETMLNSFTIKVRSNNHPN >A08p004520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2477778:2478602:-1 gene:A08p004520.1_BraROA transcript:A08p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRGGTKRKAEIKKITDKSSKAVTFTKRRDGLFSKAAQLCLLGDAQIAILATPSSSHSNVSFFSFGHSSVDSVVSAYLSGQRPAPPPVPEDSKEMREDIAVCMARKELGLGYWWEDEKLPASKSREEIMEAMESMQILWKAAERLREDEAIDFDQRESSLEKMEDMSNDQTTLISTEDDQIISVCDSFFNYNNNNNAALSATPPDEDDDHQIEAVSENHCSNNMNALLSPPAAAAGGLNDQNLLDLDLANLDLDTIFEGLADLDAEFVASLLM >A10p000130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:65119:65844:1 gene:A10p000130.1_BraROA transcript:A10p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTKAYCQYNNIPFHPYYYRPSNLHNPISLFAITSPSISPTDPSSPSSGYKPPQKFQFGGHLIIPAVAVAASAWFFLRLHRYPPIITAAPMDLELEEEGAIKEVPLQRKPGHVKALHFYKTKPGTVFKLMEVYASGNYESLKARIRLSAEWLERARRELEEVVERDPGRVMEYSQVVDELMDLLKDMEVYVDQCEKDKVKGYLRSCNRLLARVRKMEARILNALQEFHDDDDEPRGGDN >A03p029350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12325450:12326992:-1 gene:A03p029350.1_BraROA transcript:A03p029350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDSERDKDGKWKPPPQKADLKLWGILAFTVIGATATTFAVHQLRRTFDWVYTQVGRAQSAARKGTRGGSFRTAYQEEAWRRYNKRMQEEYEEELERVERIRRMQSVFNRERNKFRGGFENWKENDPNAHQYHQQYQRHDWYWKAESTFRNQRTNHQEPPKQSTRVYPLSHHYSVLGLSRSRATPYTEAEIKKAFREKAMEFHPDQNQDNKDVAEAKFKEVLLSYEAIKEERKDK >A05p026630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14240714:14241452:-1 gene:A05p026630.1_BraROA transcript:A05p026630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVHGFGLTSGSVADLMTTSQRRVDKKLTYASLQIDGTPPEAGKFSLTIHTNDLTIHANEEVVLAESKGQLSNAINQIINEQRTAIPVKINLILNKNEETKLPLQDYLNPSKTCSNRSAIMLPKDDTKKSGLNLKYLILVRQNSFRGTISEHPHDHIKNLEEIMMDEYNRCKLFLFSLEGDTRKWLDQLPAGSLTCWKEIRSVFINHFFD >A05p017000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7600939:7601766:-1 gene:A05p017000.1_BraROA transcript:A05p017000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEETKVDVESGDAEATVTEKKPAAKGGAKAKKTPAKKKPAAAPRKRTTSSHPPYEEMIKDAIVTLKERTGSSQYAIQKFIEEKQKSLPPTFRKLLLVNLRRLVASGKVVKVKASFKIPSAAKPAATTKPVNKKPAAAVTKPKGKAPAKAKPAKKPAAKPKAKTTTTTKAAAKPKPKTKSVAAVSKTKAVAAKPKAKERPAKASRTSTRTSPGNKAAAPAKKAAAATKKAPAKSVKVKSPAKRASTRKK >A04p018670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11195286:11199108:-1 gene:A04p018670.1_BraROA transcript:A04p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKERELELESAMFTNCLLLGLDPNVIGLGSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSSKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFPADVASNPLPSSLTDVSFSHAATLLPVTKARIVLERRRFLKDAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLNDLRNKVKQEGEVWDDLVSSSSQNSHLVSKSTRLWDSIMARKGQHEVLASGPIEDLIAHREHRYRISGSALLAAMDQSSQVPRAELLSAHSDDSALPLADDKELSDGSYANMHDQHSLGDSFETNSQASDETLSRVDDRGGRINQTVDVAEIIRRWTHALQRIHKQSLQLAKANDGEGPDILRTANDGGTSGHVESLAATLTEHQQHLASFQVLINQLKEVSPAIQKSILECTEKVNNLPPTLPPATRSNEHAASLLQSQGSGRITEGVSNDVAELTSKMSNVQLEKVSSSPTLKLPQLFSSTPTSSGKGGNRQKRHPMASEVNKMESLSEKNTTEQQPLSQTRADNLPTDTNSSFVQNLKKSVREAALLIPSSAGSSRDSQSDEGSEHYFVPLSGTGFSRFTSETKGLPHRGSRLLTSLSEPSFLESNVPHRLAPSKYSDIPDTFDDLDSFKDYDNGNGFLSVAGSNSVASDAQQSFYDVEDQVFSPPLLMDSSLLSDTYEDLLAPLSETEAALMEL >A07p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14494730:14495338:-1 gene:A07p025110.1_BraROA transcript:A07p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLQTMYLALPNKVWLRKLGGATPAEEEIREGVLASSTSKPVLEVGNALYITSRTAVVDQSKLIVFLM >SC288g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000135.1:19826:23140:1 gene:SC288g500010.1_BraROA transcript:SC288g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVIALIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSPTAVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSFVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A01p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19238786:19243240:1 gene:A01p026920.1_BraROA transcript:A01p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGQVSNIIYLDSAIGVGFSYSKNKSDYDQTSDTKTASDSHNFLIEWFKKFSGVPIKSGYLVGNGVTDPVFDGNGMVPSVHGMGLISDELFEKWQETEAACKGNHKVGKVIYALGLINPSNILEPCNVQRSSMSHIAIGSLPVRRKMFRREWPLGILPSWFEFISTSDIPCEMQDNIVATTWLNYPAVRKAVHAKEKSKIGRWELCANASKYQTDAGSISNSIEISLSAAIALSFLAWTNSMGYKVVDEWRQWRQWMSNDQVAGYTHGYANNLTFLKIKGAGHSVPEAKPREALDFYRRFLAGEKIKTSLMFEEFNSGYMLSQKAGVDQLLERLQHEESDIAGCGATIGKGQSEAYGAGRGCPK >A05p051940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30106077:30110013:1 gene:A05p051940.1_BraROA transcript:A05p051940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G06030) UniProtKB/Swiss-Prot;Acc:O22042] MQDILGSVRRSLVFRSSLAGDDGGGGGGGLSGFVGKINSSIRSSRIGLFSKTPPGLPAPPRKEEAPAIRWRKGELIGCGAFGRVYMGMNLDSGELLAIKQVLIAPNNAKEKTQRYLGTVRESDSLNILMEFVPGGSISSLLEKFGSFPEPVIIMYTKQLLVGLEYLHKNGIMHRDIKGANILVDNKGCIRLADFGASKKVVELATVNGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSEQYQQFAAVLHIGRTKAHPPIPEDLSPEAKDFLLKCLHKEPSLRLSASELLQHPFVTGEHMESHPAFHNSFTECENPIAIQGNSVRSSINSLMRRSTCSGLKDVCELGSLRSSLIHPEKSNNSGFGWRDGDSDDLCQIDMDDLCNISSVSNNVLSQSTDLNKSFNPMCDSTDNWSCKFDESPEVMRSKSNMLSYQPAELKSGVPCGEETSLTLAGSSYVAEDDYKATELKIKSFLDEKAQDLKRLQTPLLEEFHNAMNPGIPQGALGDTTNNYKLPNLPTISKSPKRLPSRRLSAISDAMPSPFKSSKRTLNTSRVMQPETEPTQVNESTKKAVTNSRCFSEIRREWEEELYEELERHRGK >A06p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3683607:3685554:1 gene:A06p010290.1_BraROA transcript:A06p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysophospholipid acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G12640) UniProtKB/Swiss-Prot;Acc:F4IDU4] MISMDMDSMAASIGVSVAVLRFLLCFVATIPVSFFWRIVPSRLGKHIYAAASGVFLSYLSFGFSSNLHFLVPMTIGYASMAMYRPKCGIITFFLGFAYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCAVNYNDGMLKEEGLREAQKKNRLIEMPSLIEYFGYCLCCGSHFAGPVYEMKDYLQWTEGTGIWDSSEKRKQPSPYLATLRAIFQAGICMALYLYLVPQFPLTRFTEPVYQEWGFWKKFGYQYMAGQTARWKYYFIWSISEASIIISGLGFSGWTDDDASPKPKWDRAKNVDILGVELAKSAVQIPLVWNIQVSTWLRHYVYERLVKSGKKAGFFQLLATQTVSAVWHGLYPGYMMFFVQSALMIAGSRVIYRWQQAISPKLGVLRSMMVFINFLYTVLVLNYSAVGFMVLSLHETLTAYGSVYYIGTIIPVGLILLSYVVPAKPYRAKPRKEE >A09p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12662748:12663863:1 gene:A09p022990.1_BraROA transcript:A09p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQINLPFEVGHTIEARSFELGFRGAWFRCKITKIFNKGRSLFYDLEYLDFTEEGIHTTKVFQKRQGENDNILMVRPIRPRQSHENEEGCGREEEEEEEVVVVHRLWKVGDLVDWWKDDCYWSGTVLEVREEDETVKIELVPEPYGEGKGYDAMFKDLVPSLEWSLEDGWIVPFSKDGEKRQCAKLMKLPNEDQVKGADEETREEEKLRPAKKLVGDEDLALNIMESESIEAAVMDLEEQIVRIEWIKGMLLLNSDNSTWIYEDYPPPSS >A03p033860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14324545:14325366:1 gene:A03p033860.1_BraROA transcript:A03p033860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFYMLINQSSLPCLLCLTCVKDTFTFEEKKLKDARRLYAPGRLYHIVVRKPLRLGRYPPVVRTAVPVDGRFDQLVLSCNATADHAIIWIQRESQRALDLMLEEDQVMQIPVEQKIVRQKSVVEEHDEEYRAAIMKAASLNIPMSPSPSYGTFHDTAEEGESSTGGSVNEGSPSMWSFKGMKRKWNRFVDRHFPMDDDDSGDMILKKEESSTLLCR >A09p075700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56933891:56934529:1 gene:A09p075700.1_BraROA transcript:A09p075700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKGENSFLENMKQDIDQITKEEYEILKKRISSHPLYGLLLQSHLSCLKVCSGDFDLPEIMNTADDLDLTKLSLDPDSSLEATSSDLDQFMEAYCSTLRELKEAVEKPLIERTVL >A09p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39916338:39920587:1 gene:A09p045420.1_BraROA transcript:A09p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31230) UniProtKB/Swiss-Prot;Acc:Q9SA18] MPVVSLAKIAASTAVTAGDFTVPCIYGKRLVSNRVSFGNSRRRSFVSHRVRSELQSPRVLGSVTDLSLDNSVENGHLPKGDSWAVHKFGGTCVGNSERIKDVADVVVRDGSSRKLVVVSAMAKVTDMMYDLIHRAQSRDDSYLSALDAVLEKHRATALELLDGDELASFSARLHNDINNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLAAVVRKSGLDCTWMDARDVLVVVPNSSNQVDPDFAESEKRLEKWFSQNSSAKVIIATGFIASTPENIPTTLKRDGSDFSAAIMGALFRSHQLTIWTDVDGVYSADPRKVSDAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMKYDIPIVIRNIFNLSAPGTMICRQIEDEDGYKLDAPVKGFATIDNLALVNVEGTGMAGVPGTASAIFSAVKEVGANVIMISQASSEHSVCFAVPEKEVKAVSEALNSRFRQALAGGRLSQIEIIPNCSILAAVGQKMASTPGVSATFFNALAKANINIRAIAQGCSEFNITVVVKREDCIRALRAVHSRFYLSRTTLAVGIIGPGLIGGTLLDQIRDQAAVLKEEFKIDLRVIGITGSSKMLLSESGIDLSRWRELMKEEGESANIEKFTQYVKGNHFIPNSVIVDCTADADIASSYYDWLLRGIHVVTPNKKANSGPLDQYLKIRDLQRKSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYLFNNFVGNRSFSDVVAEAKQAGFTEPDPRDDLSGTDVARKVTILARESGLKLDLDSLPVQSLVPKPLQACASAEEFMQKLPQFDEELSKQRQEAEAAGEVLRYVGVVDAVAKKGTVELKRYKKDHPFAQLSGADNIIAFTTKRYKEQPLIVRGPGAGAQVTAGGIFSDILRLAFYLGAPS >A06p048190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:25577140:25577436:1 gene:A06p048190.1_BraROA transcript:A06p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNGEDICCIGAGYVSGPTMAVVALKCPDIEVAVVDISVPRINAWNSDQLPIYEPGLDEVVKQCRGKNLFFSTDVDKLVRAFEACGRRFLGSEDFIC >A01p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2312083:2314397:1 gene:A01p005390.1_BraROA transcript:A01p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVKVAYDASVKTVLTLLEKNLLPDVVIRRLTRLLLAGRLRSGYKPTAELQLSDLLRFVNSIKEMINTEKPKTQHYELPTAFFELVLGRNMKYSSCYFSNDSSSLEDAEEAMLALYCQRAKVEDGQSVLDVGCGWGSLSLYIARKYSNCKITGLCNSKTQKAFIDEKCRKRGIQNIEIIVGDISTFEHEGTYDRVLSIEMFEHMKNYGELLKKIGRWMKEDALLFVHHFCHKTFAYHFEDVNDDDWITRHFFSGGTMPSANLLLYFQEDVTIVDHWLLNGNHYAKTSEEWLKRMDKEIVAIKEIMEMTYGKEEAVKWMVYWRTFFIAVAELFGYSNGEEWMVSHFLFKKK >A10p024670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15955481:15959927:-1 gene:A10p024670.1_BraROA transcript:A10p024670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITAWRRKNQTCKEPVYHLIECSSRVGSSNMEEYESEGFYVGVSSSPVTNDFNKVKTFDFQAELFVCLREDLQKLSKAVQDFVTQVNSNHNVFDNPSDDEYDLDLCDYKEDEEFFSEQSTDPSYGVFEDEADVSSDRYSFDNQLGDAIFDVYDEDDVKDNDASFDVHSFVPNYEKFDEDAMKQVTLAKVEDESVSFSESIYARETPTLSHEDFATSDGVKNNFDLKDTTHLLQTMSETSGWSHNYFEIISLSTKEDCFEEQKPEHVLIFDVEEKQTYVDAMNQQVRYDVWRKDCHRQIIRQPPDRDLRMHMSHRVKKFQCDVIYSDQVLHNTVDKDPSYEEENKRLNEDAEDVKSLLFTRWHVSNEPPDPGRSESLFNHVWSYSFSAYEGNSFNKFIALPLEDIITHSSNMCSKITRASDFVSSIDIEKHTKKIEQNVLYLTTSGNKFGNIALSFFWASEHELWNVRIVNSTKLFSNSNKARDENFCEAKKRKGSVFCEELYGAPRQLNLWNKSYSHGLMCGLSTEWTINLDFRSRNWGLELSEHFVSLSPQFTWSSLSARIRETNHDFPANHSAFCNPHAILSLHVSILEIFDFGFTSQRVNTVHYPAVFYGFDGLVLFGFCSVWVPLVTDLKVIQFMWMLDPAAQISMIWCAYTVFISNSLVCNDYQCTWLEHKKCKGVYTSATNLMWGLKYLSFKVLHDQTSLCFEMGCGCISLLLLHRFSGDCCSTSVVLMFLKAFISRPILFPWRYKTTGKFVELFSERHYSLRHRQQKFHALLLWLGLDIVIGLFRDVLVIEVMPEALISRCYHVLITILQREAAFPHGVDCILHIDWMVCLNWVISLVRRSMTEQRFLCVVFGGRKMLHCGVLMDLLLGENGKTKHLWAPWVLEFFMGLLQFVPVELECGFSQWRFGDVGCEFLCCTNVSCASRKMFCSILVLVRLPQPYGKHVSLSTVSMKVVLDYFHKHVFCDFSFATPFTSRCHTMGLFCCSTLLGLERECELATGWDFLWGFMVSYSTGTTACNWSTSHAISRESKLFLLPMDPLSGDFMSEYGVVLRPKSGHKHKIKADARQYKDTKNPR >A03p032660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13826567:13828369:1 gene:A03p032660.1_BraROA transcript:A03p032660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLCAPPLHFPATAPRRRRILKTVARSARAELREDNDPLIQSAIHSASLRLGETNRTGNLSHISFYTKKVSNLCKAEPLFIDPYAACFLPPSTNKKPNIHKHQQQQHYCLATKFIDDKLLHTAKRIDGLKQVVLFTDGMDTRPYRLNWPSSTMIFDVSPQKVFDIASGKLQGVGARLPKGCMFFHLPVESGDIEQCLRSKGFSGNRPSIWAMQGLPLGSQSSFEAILSAVSSLAMNESYLIGELPIDIIDQSDLEKWMEKLFMSNGFKVQIVNYEEIAASLGVVLHSQVNNEKIMFIAQQLRLSDDQMEKWRQEFERVEEDGDEQGFEEL >A03p019740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8084349:8084690:1 gene:A03p019740.1_BraROA transcript:A03p019740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQGNCDLELRLHHPPCYDSSSSEIPQPNQEPQICVSSDLIQLQAKAILSLASRDVEERSDGSDPSIISSNMNRVHHQKTSTKRSLRSFLEKRNVRIQASCPYRRFPISLFI >A05g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21103759:21105282:1 gene:A05g507430.1_BraROA transcript:A05g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRNARQVQPTTTAQRAARRAARAASQATSDNGSHAGDGVDENQVNGIAQEQDQVNGPAQGQDQGNGPAQGQGQAAMDAAAVEELRRYREAYGDRLPQEGVAGGGVAPPLAVPACRDRTEAGTGAALPAPPPKKPATLPRVFVAGNNQGTETIAGMVKVGGVVAYTLFDTGATHSFVSHDLTKRWIFQGKYECRTTRVETAGPDEISAMG >A04g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5241579:5242034:1 gene:A04g502140.1_BraROA transcript:A04g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLETLTPTRHRRRADTRCGIDDALASDQHREPTDGSPGSPELTYSTPSPPPTVFDRGEPKYGEYHSTDRDLIRHQSSQSPRGRLLREPSPLTLDELRSSSDVVTTKPHQATSTTLKEKKLTKP >A03p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16588383:16591014:1 gene:A03p039860.1_BraROA transcript:A03p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLALVEECLFLYIDMKLTMNGMCSIQNEDTAVLQMESESEKGRSGARRGRGWRSQLTNAPDVPPLLSSEVHKIHSYEKREHRDRHGKRSPSPQSQSRRKRSKTDSSRSEQMEAKPNVSSRLLQFAMRDALAISKPAEPSLKRLRSVVSASTQDSSDPNPAQKIRSAARVVNPMATVIKAVAEAAEDAKNPKSGRSVFDRISHSAGFSEALDQHMVLGDVSPKNEERRNLREDQEPVQHQYAQRLDNNGVYLENMATFDTGLQPNFSSDRSRLGSNVNVSHPSSFAENRINNPRSLQDRLVDDPRRVKGLDYQNHLTEVATKQKAARFSGNAGTGKTVKLEEQMKVPDVGLQRYMDRGGVVSSEATTGSGNVRSAANAKEDTATKKSVPGTLSTTRPLEDASSRTIFVANVHFGATKDSLSRHFNKCGEVLKAVIVTDPATGQPSGSAYIEFTRKEAADNALSLDGTSFMSRILKIVKGSNGQQQQEAASWARAGRYARASPYRRGIPGGAFRGRPVVRAGGARSMQWKRDSAETGNNIAAPNPRSMTYVRTESQSDGHARV >A10p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:861990:863127:1 gene:A10p001660.1_BraROA transcript:A10p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRATTSSRVGYPITIYDWLKDSGGCMLALIDDDGCFGVWSFSVGVQIKPSVESFCWREKVSS >A09p075290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56784426:56785353:-1 gene:A09p075290.1_BraROA transcript:A09p075290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFRFSLRLLPVSAAVTCRSTRFPVSRPDTSFSLNHNLYHFSSSSSSSLKTKAGWLLGLGDKKKKVDLPDIVAAGDPVLHEKAREVDPEEIGSERIQKIIDDMVKVMRLAPGVGLAAPQIGVPLRIIVLEDTKEYISYAPKEETFAQNRRPFDLMVMVNPELKASSDKKALFFEGCLSVDGFRAVVERHLEVVVTGYDRQGKRIQVNASGWQARILQHECDHLDGNLYVDKMIPRTFRTVENLDLPLSEGCPKLGPQ >A05p007680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3094374:3094964:-1 gene:A05p007680.1_BraROA transcript:A05p007680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLILRCFFLFFFFAFFNGEFVAAEKFWSRIEMAEMNGYGEHKLSSVVITGSLLCNSPVSGATVAIKCHTGLKKRSNWIKAFTDDFGEFVIHLPSHLHAIPHLEKACFVKPVHVPKHYRRCYKTFSKSNIHKGIKLVSSSNGFRVYTSGTIRLHGHSSRLSQVRKADM >A04p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22528267:22535511:1 gene:A04p039860.1_BraROA transcript:A04p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNTITPMGGGGCGGRSSGSGGTSGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGSAYFAAVHKVFGASNVSKLLLHIPVHRRSDAVVTICYEAQARIRDPIYGCVAHIFALQQQVVNLQAEVSYVQAHLASLVLPDPQTRPPPPPQSQPQSLFFTPPPLSITDLAASVSPLPSTYDLASTFDHAASPSSWATQQRRFVDPRHQYGRSLSSSSATVGLAGGNSDLEALAHELLHRQGSPPPTTTDDSPSRTMSR >A07g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9667030:9668618:1 gene:A07g504710.1_BraROA transcript:A07g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKPVEDIYPKWDNDKIYTDLDNMIKDILNGQLNEKFWDAVPTTKCQKRKNGVAASVVPNQRPSTMRRKDKEPADGSEASDMAAAHNVTISGLAELVKILTAKMEGIDDSVADKVTKALDATIDSKVEARVRAYESDLRNQIAKLEAQINDSKNNADVNISPDVATSKAYEDEEDGACSNDLSWIVQKKINSQDGLPVDCVVKKEKKDKKTMDSTQNLTTEVVIKTEKKAGIPPRRVKQEKAFEIPQLNDESISSKDLENHLLWEKSVKCRAVLEALASNLKEPTRRRKPQLTKTQVWPFVGNSTVKRIISGEKVSKEPYDPLAKVEAEKLQKVLDFINSDLEAKEPGVGDESAGFFLKLLIPRDDWPTKDYGWLNDSHIAAAMLLFHRRSRQEQSPYSSSRIAFLSHWFVNSWVNDYKSWDQNTE >A09p068900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53844567:53845949:1 gene:A09p068900.1_BraROA transcript:A09p068900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQTQTTPPGTPKRSPFTGIFHKLKTNLAFRSKLAEVNGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAVTGAVYGVPMPVQPMKSIAAVAISSTAEEFGIPEIMAAGICTGGILFVLGISGLMQFVFNVIPLSVVRGIQLSQGLAFAMSAVKYVRKEQNFSKSKSVGDRPWFGLDGLVLALACVLFIVLVNGDGEQEEEEEEEEERNGSRRRRRVWIRKVVSNVPSALLIFLLGVVLAFIRKPSIVYGIKFGPSKIKLVRMDKEAWKNGFLKGAIPQLPLSVLNSVVAVCKLSHDLFPEKKFSATSVSMTVGLMNMVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGSSLVGIMEKFLVGVLGALLLFAGIELAMAARDMNTKGDAFVMLVCTAVSLGSNAAIGFVAGIVLYVVLWMRNYGRVKPTGLPLRVDQHP >A10p014070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4378026:4387380:-1 gene:A10p014070.1_BraROA transcript:A10p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGKTLMDLISADPTPLPAKSSSSASASASSTPSPAAASAGSHVNQPMSTKTTLGEKKSKRATLMQIQNDTLSVAKAALNPVKANIMMPQRQRQKKKPVSYTQLARSIHELAATLDQKSSQKQLVNHVFPKLAVYNSVDPSLAPSLLMLNQQCEDRNVLRYVYYYLARILSDTGMSPGGGIPTPNWDALADIDAGGGVTRADVVPRIVNQLTSEASNSETEFHARRLQALKALTYSPSGNSELLSKLYENVFGLLDKSKAYVPVRCRSTDYVPCRFQVADVPNKRKKGVFGTKGGDKESIIRSNLQYAAMSALRRLPLDPGNPLFLHRAAQGVSFADPVAVRHSLEILSELAARDPYSVAMTLEKLASPAGALQDILHLNDVLARVALARLCHSISRARALDERPDIRSHFNSILYQLLLDPSERVCYEAILCILGKYDNTERHGTDERASGWYRLTREILKLPEAPSKDKSNKTKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGLQDIDESVHVNSFSDVLDDAETNDSSHPEGIRRTSSISAGPGRNETIASLLASLMEVVRTTVACECVYVRGMVVKALIWMQSPHESLDELKSIIASELSDPAWPAALVNDVLLTLHARFKATPDMAVILLEIARIFATKVPGKIDADVLQLLWKTCLIGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSLDRVSASDPKSALALQKLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAVQALTTIAIRSGEPFRLQIYEFLYTLAEGGVQSQLSEMHLSNGEDQGVSGTGLGVLITPMLKVLDEMYVGQDELIKDIRNHDNANKEWKDEELKKLYETHERLLDFVSLFCYIPRAKYLPLGPISAKLIDTYRTKHNITASSGSTDPTVLATGISDLIYESTQPAPAASNSSGLDDDLVNAWAANLGDDGLLGNNAPAMSRVNEFIAGVGTDAPDVDEENVFSRPSVGYDDMWAKTLLENNELEEDDARSGSSSPDSTGSVESSISSHFGGMNYPSLFSSKPSSQATAKSSGSKYQSTYEGYGSPIREEPPPPYSYSEPQSRESFENPMAGSSSRSYGSDDDEPRKSTVTRFGTALYDFTAGGDDELNLTAEEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >A06p020870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10245685:10251410:1 gene:A06p020870.1_BraROA transcript:A06p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDELGLDVDETIFVAVAEDVERSKTTLLWAARNFSGKKICLLYVHRPARPASWNTGLMVTVVVWLWCNKISYIVQDSVAHKKLAGGTFRKHAVKVIERVDKQKVDELMDSYLRLLSKTEVQTDKLCIAGQNIEEGIVDLIARHNIKWFVMGAASDKHYSWRMTDLKSKKAIFVCKNAPDSCHIWFLCKGYLIFTRTTNDDSSNTQTMPPLVQLDSDTETRRSEKLESSYMRRRLRYWRSLLEQDGEKDTGQLEREKVEPSPTAHSSSGSGSSFGEPVGPEPASPDLVGSDTLTPSNLQERKREGNVAREVHRYDKAMHDISQSERTVYGEARNGWKEDDSTMEALCKAKALDGLCSKELSRRKKLEELLEKEKDEVKTVIEQNNGFMRKLQIVQGDNLRLESQITKLQDLEKEHGEKFDTAMELLKSFRQKRDEIRIDHENAIKEVNALKRLIKGKSVESSGSEMLDYSFMEINEATNEFDPSWKFGEGKYGSVYKGNLQNLQVAVKMLPSYGSQNHFEFERKVEILSRLRHPHLVTIMGTCPESRSVIYQYVPNGSLEDCFSSANNVPALPWESRIRIASEICSALLFLHSNVPCIIHGNLKPSKILLDSNLVTKISDYGISQLIPVNGIDKSDPHVDPHYFVSGEMTLESDVYSFGMILLQLLTRRPLSGVLRDVKCAVENDNISAVLDNSGGDWPIARGKKLANIAIRCCKRNPLNRPDLAVVLRNIDRMKAPLSETSSYNSNQKPPRKPPSHYLCPIFQEVMKDPLIAADGFTYEAEAIREWLANGHDTSPMTNLKMEDCNLIPNHALHLAIQDWHNQLMESMEPSTSVEFLQDENRDLKVLLRAALSEKQAAEKQLKETNEQKRSALMQIAGRGLQSIGFGFGFGFKETVQEGAETGTLIKDEQEEEEDENSMVVAIEKTMKNLRKEISQLKLSLQESRFEEARLKKITEDQAQTIEENKMNIDKLKNRERLLSQNVEELVNVIREAESEASRWREACELEVEAGQREVEQRNELIELLKAEVEKMRSALSISEGKLKLKEELAKAAMTAEEAAERSLRLSERRIAELLSRIEHQYRQLEEAESSERKRRKVRYLWCWPLWRFPAAAAASAVTGTESSSCISNRALLRYGA >A07p023300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13495205:13497901:1 gene:A07p023300.1_BraROA transcript:A07p023300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSAIRVTGASSSRQTNNNKAHKGCKKPPENKPSTNTKRRATGSVPCGKRTDFGYAKDFHEQYTIGKLLGHGQFGYTYVAIDKSNGDRVAVKRLDKSKMVLPIAVEDVKREVEILKALSGHENVVQFYNAFDDDDYVYIVMELCEGGELLDRILSKKDSRYSEKDAAVVVRQMLKVAGECHLHGLVHRDMKPENFLFKSTQLDSPLKATDFGLSDFIKPGKRFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDRTEDGIFKEVLRNKPDFRRKPWSTISDSAKDFVKKLLVKDPRARLTAAQALCMCCAHAWVREGGNATDIPVDISVLNNLRQFVRYSRLKQFALRALASTLDEAEISDLRDQFDAIDVDKNGVISLEEMRQALAKDLPWKLKDSRVAEILQAIDSNTDGLVDFTEFVAAALHVHQLEEHDSEKWQLRSRAAFEKFDIDKDGYITPEELRMHTGLRGSIDPLLDEADIDRDGKISLHEFRRLLRTASISSQRVISPAGHRNPR >A05p008440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3436173:3438407:1 gene:A05p008440.1_BraROA transcript:A05p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 1 [Source:Projected from Arabidopsis thaliana (AT2G39350) UniProtKB/Swiss-Prot;Acc:O80946] MARIVAADDDDGTPQFYSMELNSISSVSDSTLGQLLKNVSDVRKLAIGDETPVHESFNQDNDDDNLMQTVPFVLSFDNLTYNVSIRQKLTFRDLIPRRKTEDPELAQTVTPPNTKTLLNNISGETRDGEIMAVLGASGSGKSTLIDALANRIAKGSLKGTVKLNGETLQSRTLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLPKSKKKLRVQALIEQLGIKNAAKTIIGDEGHRGISGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTSAYMVVKVLKRIAQSGSIVIMSIHQPSHRVLGLLNRLIFLSRGNTVFSGSPGSLPRFFAEFGSPIPENENRTEFALDLIRELEGSAGGTRGLIEFNKKWQEMKKENNRQPPLTPPASPYPNLTLKEAIAASISRGKLVSGGESATATAATTLTVPAFANSIWIEIVTLSKRSMLNSRRQPELFGIRLASVVITGFILATVFWRIDNSPKGVQERLGFFAFAMSTMFYTCAEALPVFLQERYIFMRETAYNAYRRSSYVLSHAIVAFPSLIFLSVAFAATTFWAVGLDGGPMGFLFYCLIILASFWSGSSFVTFLSGIVPHVMLGYTIVVAILAYFLLFSGFFINRNRIPDYWIWFHYMSLVKYPYEAVLQNEFSDATKCFVRGVQIFDNTPLGVLPEGMKLRLLDSVSRSLGTTISSSTCLTTGADILTQQGVTQLSKWNCLLITVGFGFFFRALFYFCLLLGSKNKRR >A08g500560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1367115:1367333:1 gene:A08g500560.1_BraROA transcript:A08g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKTLVQFMRSCDGGDGRSAAQGEKRFNLETKQNNHRKPNSKHCVSYNLVLHGLNSKAQQNNASYKVRTK >A05p033450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17433179:17433718:1 gene:A05p033450.1_BraROA transcript:A05p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMFYFNIKLYSLLFPYGEIGFYDGIPYVQKSQSNQKYYTYRIQKRNTEAYTITRSGRLFHQYVVDAFTSIEASRLTFIKLNQKSIRADVYNNVKNALSRRDHDSISPRKRIVLSSSITGNPRYMAKKYQDAMALFRCYGNPVLFITMIANPK >A07p010510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6595025:6595403:-1 gene:A07p010510.1_BraROA transcript:A07p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGPLGTGQQWFSWIHVDDLVNVIYEALTKPSYQDLKRNAIVINGTAPNPVRLGEMCQQLGSVFGRPSWLPSS >A04p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22469081:22471358:1 gene:A04p039720.1_BraROA transcript:A04p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALLDIDPIDLQFPFELKKQISCSLYLANKTDDYVAFKVKTTNPKKYCVRPNTGVVLPRSSSEVLVTMQAQKEAPADMQCKDKFLLQCVVASPGVTTKDVTPEMFSKEAGHRVEETKLRVVYVDPPRPPSPVREGSEEGSSPRASVSDNGASDFTAAPRFSVDRLEPQENSTEARALITRLTEEKNSAIQLNNRLQQELEKLKRESKRSQSGGGIPFMYVLLVGLIGLILGYILKRT >A01p022930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11303168:11304429:1 gene:A01p022930.1_BraROA transcript:A01p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASRVEAVTKGGLPVVPSQYIQPPEARPNLHHAGDAAPIPTIDLSISDSAKESIGEACRNWGAFHVVNHGVPIHLLDRMKALGLSFFQDCPLEEKLQYACDSTSAASEGYGSRMLLGAEGDVVLDWRDYFDHHTFPLSRRNPSNWPLHPPDYRQVVEEYGNEMKKLAQKLLGIISESLSLPCSSIEEAVGEIYQNITMSYYPPCPQPELTLGLQSHSDMGAITLLIQDDVGGLQLYKDDQWLTVPPISRAILVLIADQTEIITNGTYKSAQHRAVTNANRARLSVATFHDPSKTAKIAPASHLIGQHTKPAYKEVVYGQYVSSWYSKGPEGKRNLDALLC >A03p061200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26590060:26591764:1 gene:A03p061200.1_BraROA transcript:A03p061200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLARRWRRTRGDDEDDEDKLALPTFDDIDSRPIDTQEQEEYVRSLEEAHAQQSRQWKSVFAVLLVCYGAFLFYSSFQQFMSPWELRYHAYFMEDLKSWMVISAEWIAIMACCLSIVGLLDKKNDHGRWFWYSCVPGSALAIFWIYYLLRLPKFRWDAIWLPFGPLCGAGICLYVDHLLEESSEEVKKLRNYMYAYKAR >A07g508830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24655550:24655822:1 gene:A07g508830.1_BraROA transcript:A07g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVACLVNVCVDVVYVVGRVVDGDLMVVCVYVVDVFGRIVDMDLMVSGCVDVVDVSGRVADRGLGDGYESGKRDGRDSGISDSNGGGN >A05p024610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11974892:11976097:-1 gene:A05p024610.1_BraROA transcript:A05p024610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g49510 [Source:Projected from Arabidopsis thaliana (AT1G49510) UniProtKB/TrEMBL;Acc:Q9XIB8] MAMSHLFISSPRPSLPPRLHSPTQLYSNMSKDLKGANEAKASKRFLCRSIHMESDNSGDSKRLTFDTLLRKAKDVWNDSPQPVKDFPWNRAFENFVQLVLDLAISVVKFLFVPVLAVSSVSEMSYCAHERKLALVPFPLVIGIVVGGILQGTALNISPRLKEAEVPWHLIAMMMFFTLIKLPGPYYPYWGRLFVPHFANGVLFRALWSMFFWYKKTRNTSATPHIVGGNWQVGHLDWLLWGLCETSRLSVLGHRKELSSSISEMLRCMII >A04p037220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21273209:21275756:1 gene:A04p037220.1_BraROA transcript:A04p037220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVHREPPPLISITSSSSSSRLQISFPGICSSKRNRDRSCTTKVIDVASWPRINLSCCGAETVPTSPSVKAKRSTKTEAFSMEICQGIAAVFVCDQPAGEAILVDQMVADRSKPGGYGQGTYRTTFLPEGLKVYPNPHIRLFSESSGVVILEPEKSIRCFSGETDEYMIFGWIGATGLYFGFLFGLRVSGVMYMGYYAMRYCWWHAVLQIGVTTVDSGSKALEFLGLRESNESNDPNAPSSSPVTHQEIEINLIITDYCMPGMTGYDLLKRVKESAAFRSIPVVIMSSENVPARISRCLEEGAEEFFLKPVKLADLTKLKPHMMKTKLKKESENSAKEDNAVLKHEIRKEEEPSVIEVLPLHQEVEQEPMLSNNKRKAMEEVISTNRSRPKYNDITTLECFF >A10p015490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3606088:3610612:1 gene:A10p015490.1_BraROA transcript:A10p015490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKSVLLSALGVGVGLGIGLASGQSLGRWANGSGSVEDGLTGEQMEQELVRQIVDGRESTVTFDEFPYFLSERTRVLLTSAAYVHLKELEISKHTRNLAPASKAILLSGPAEFYQQMLAKALSHYCESKLLLLDITDFSIKIQSKYGCTKRKPLHKRSISELTLDKMSSLMGSFSMLSQFEVEPRGALRQDTSGNDLKSRSLDSSSFPPRHKRNAISTSSSVTASSKRSTNLCFDEKLFLQSLYKVVVFVSKKNPLIIYLRDVEKLLESERFYKLFQILLNKISGPVLILGSRVIEPEDDCQEVSEGVTALFPYNIEIRPPEDESQLVSWKNRLEDDMKMIQFRDNKNHIAEVLAANDIQCDDLASICHADTMCLSNHIEQIVVSAISYHLIHTKELEYINGKLVISSKSLSHGLSIFQEGGSRSSEDSLKLDTNTDSKRKGGEVCSKSESKPESSSPENKNELEKSLPSNKNDNPLPPKAAEVVPDNEFEKRIRPEVIPADEIGVTFADIGSLDETKDSLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSTESREKILRTLLSKEKTDDLDFNELGQMTEGYSGSDLKNLCITAAYRPVRELIEQEILKDQENKKREDAGKSAEESKEKEDEACEEKEIILRPLNMEDMRKAKTQVAASFASEGSGMNELKQWNDLYGEGGSRKKEPLTYFL >A07p013790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7286251:7287095:1 gene:A07p013790.1_BraROA transcript:A07p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQPSSGGILEHHLAVSILTLDRMVRLGLESHCLQQWQKLEMLVSGSVHKSFVSKAVWNEIRPVKQVVSWASLVWHKAIVPRHTTNAWLFVLNRNPTLDRIHNWDAESLTTCLLCGLENESHDHLFFECQYSLQVWLRIINRLSLPTPPSAWTAILLWLPHAHGDGFRRLALLQGWQAAIYILWQERNARMHSSLTLSLLAVARRALDILLDNCHALCSLGSSRGPPQLQIWQPPQQNVPSIFSI >A06p014920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6664393:6664947:1 gene:A06p014920.1_BraROA transcript:A06p014920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit TIM23-1 [Source:Projected from Arabidopsis thaliana (AT1G17530) UniProtKB/Swiss-Prot;Acc:Q9LNQ1] MATNHSSDDESTRLYHPYQSYELPIKAQHLYKLPTSPEYLFTEESLKKRRSWGENLTFYAGTAYLGGSVAGAAAGVISGVKSFEYGDTTKLKINRILNSSGHKGRSLGCRIGAVGLIYAGIESGVVAYTDRDDVWTSVVAGLGTGAVFRAARGVRSAAVAGALGGMVAGGVMAGKQVLKRYAHI >A01g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24788134:24795045:-1 gene:A01g509160.1_BraROA transcript:A01g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRSNDDQIRSRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPIEKQHLWFRQFAVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISVLETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A03p022260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9356674:9359892:1 gene:A03p022260.1_BraROA transcript:A03p022260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHLATTIQVKIHNSKEKWLTISSLCQESMASFNHSWLSSPLSEPPTFFLSPSPQPKPFKLSVLRNKSSSILNSSSPDSSPEVEVVLDPVKLALKKAEAYKKSKAEQKTSERNAGDEEVPLTVKLAKQKADEYKKQKELGADGVQEANKPSSTEKSSVRSSNKVEEENGGKKRELKVSSIDFVGLGFADKKSTRGLPPGLVPVEDYFAGGDLPEVEFIVGDKTRFDKTEKKFEQEGNENSDVYKPKVSTWGVFPRPSNISKTFGGGRTLQPGDSIETAEERTAREERTKQLLTAYKESIGLNIDPKLKLECEEALEKGNSLMDSGRLKEALPYYEKVMEKIVFKSELHGSAALQWSICQDSLRKTDKAKGMYEKLLSHPNPRVSKKARQFMFSFQAMEMLKVQGSNFMQINPGYEDYFEAFVKKDKVDYKAKEEEGEAMGINETLLYVILLASPILLVFTVAAQRGNMH >A02p022280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10557807:10558627:1 gene:A02p022280.1_BraROA transcript:A02p022280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESLVDPTIKDATKASPFNESYWSDPDYCKHFNARERLGADEKRLYMEPFFCGWSKSSLPLSKVLLEIEYPDFIVGFVHIDDVVSYPTKRKKKDNVVATHELAMEEPKATGRILRNKYPNYPLENKSQISLSCNNKEGDNSPHSMDTRKIHELGFASFKS >A03p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7731754:7733963:1 gene:A03p018950.1_BraROA transcript:A03p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSDSTWVGKKPIRRIGGFSDALSIASDLGYAVAPPPSQEELQSLASSNGEKGDDLIRVLRELSAVQRKIADFQVELQGRKDDKNVAHLTHVSEMQKKIETLSRITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMTAMRESFATLQDLRVGNSAASLPTTPGSSETPHRDSDCVTPPQGRTDSSFDDFAVQATRRQIHDQNEAEGEEDGNGNENAQLDRRLSWPPSVKKSGV >A09p066910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52929915:52932035:-1 gene:A09p066910.1_BraROA transcript:A09p066910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G61510) UniProtKB/Swiss-Prot;Acc:Q06429] MSPDKNLLSKLAVGDKHGEDSPYFDGWKAYDTNPFHPEHNPQGVIQMGLAENQLCSDLIKEWIKANPQASICTAEGIDGFSDIAVFQDYHGLKQFRQAIAAFMEKARGGRVRFEEERVVMSGGATGANETLMFCLADRGDAFLVPTPYYAAFDRDLSWRTGVRIIPVECNSSNNFQVTKQALESAYLNAQETGIKIKGLILANPSNPLGTSLDRETLHTLMNFINDKQIHLVCDEIYAATVFAEPGFISVAEMIQEMDHVNRDLIHIVYSLSKDMGLPGFRVGVVYSYNDAVVTCARRMSSFGLVSSQTQSLLAAMLSDQSFVDNFLKEVSKRVAKRHLIFTEGLKEMGISCLTSNAGLFVLMDLRPMLKDQTFESEMALWRIIINKVKINISPGSSFHCSEPGWFRVCFANMDEDTLQIALQRMKHFVLRHRENKKRKSFQENLKLSFSSMTYDEHVRTPRLMSPHSPLVRT >A03p022000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8867074:8868728:1 gene:A03p022000.1_BraROA transcript:A03p022000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRTRSQLKTSSLSPPPPPPSPIPRARGSRSAANDILTEIIERSIQVPDLTLPESHSGGESSCSRHLIPAEIDFRMLTSRREGSVDRLVQSAREFGAFRLSCHGVSSEEMRSLVRESGRVFGVLEGRETGFRRSVVGNRDEIAWVRSWKERMEWAREYIGPERYRCFSKEMENVADKLDGVARKLGQIMVENSRRQTDKRIQRGESVLRIYRYNHENVTEQSPPLPKETTEEMLQYTLSLHLPAKNCEFRVNSRKGPLSFHADPDTILVTFGRQLEEWSLGEFKCRKGEIIYHPDAYGSHTSFSVELKCMSLFLSQASIATTPKTFSLTHQIFTALLLLFLFQSLWIYGSHMAA >A09p068860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53818415:53821486:1 gene:A09p068860.1_BraROA transcript:A09p068860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFNSGGQPPLPDDDGSAPPLPEKVQVGGSPMYKLDRKLGKGGFGQVYVGRKMGPATVNARFGPGAMEVALKFEHRSSKGCNHGPPHEWQVYKYGVFVFWLALGGSHGVPRVHFKGRQGDFYIMVMDILGPSLWDVWNSTSQAMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLAIRWRDNATGQHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPQPFREFVEYVVNLKFDEEPDYAKYISLFDGIVGPNPDIRPINTDGAQKLVHQVGQKRGRLTMDDEDEQPTKKVRLGMPATQWISIYSAHRPMKQRYHYNVADIRLPQHIEKGNDDGLFISSVASCSNLWAVIMDAGTGCTAQVYQLSPSFLHKEWIMEQWEKNYYITAVAGANNGSSFVVMSKGTPYLQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSKWGIVMSRGAAFSDQVVELDFLYPSEGIHRRWENGYRITSIAGTCDQSAFVLSVPRRKPSDETQETLRTSAFPSNHVKEKWGKNLYISSICYGRTVS >A07p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13738222:13740997:1 gene:A07p023710.1_BraROA transcript:A07p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLKEALRSICVNNQWSYAVFWKIGCQNSSLLIWEECYNETSLSGNENVQLLTNRMMLNNRIILVGEGLVGRAAFTGHHQWILADSFNRGGVHPPEVINEMLLQFSAGIQTVAVVPVVPHGVVQLGSSFPIMENLGFVNEVKGLILQLGCVPGALLSESYTTYEPPAADFIGVPVSGLIMPSQGHKILPSSAFFNSTSSSDHQTYEEVSCNLVDEHLKQGGWQNPDAWLNQNLSCMSNVVQCEDSSSKRSDDLFDMLGLGDNRNRGWGMRTEQLTRELSDFGIIQETDHLLDAVVSGARSSSTTKQISSDETSESCKTTMTKVSNSSVTTTPSHSSPQGGLYNEKKPVGPPPSSLALYGSQISSWVEQAHSLKREGTPKVNETAKPAASNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKCSIDALLERTIKHMLFLQNVSKHTDKVKQTEGESKIMKEEGGGATWAFEVGSKSLMCPIVVEDINPPRIFQVEMLCEQRGFFLEIADWIRSLGLTILKGVIETRVDKIWARFTVEASRDVTRMEIFMQLMNILEQTMKCGGGNSKAILDGIKAAMPITNNTLPVTTGGCSM >A03p061360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26677272:26679652:1 gene:A03p061360.1_BraROA transcript:A03p061360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRQRNVGNGYRSGFGMSGSRVSPDRPMRGHGFFGPDHHQQHRGFNRGYGRGRGRSKSFQNQLPPPPLPPSVVQRRSGGGGSGDVFMDAGRLAAEYLVSQGVLPQTVFSSKWQGGEFQGSRLQEAARLDVLAPAADKRRYVDGYNSAGSRNNLGGKRSNRYDSDFGRSGSWSERSKCYEAETGDDSVSGHREEQPLVEDIASSVQRAASGEFMRKREGAGDSESVLDKDEAQSKTGSSSAGKEIVQDCEISKVSEGSCSLSAGSGEMKGMTGGSNGENESQTAIEDASIHHQHEEDAPIHRHCAADESFTESGIDLATLCKFEKVPTRTRSSLTAKNTKLHLCQNIKETSRNPGLLLEEDQACETQGQSSGKADGTGDENLNDQVEDLPLVQFVEDSKCHRSNSFPNSILRENNEKDSELELADIHRSYSMGKGGEKRANEGSDLEEEAKRQKNWVPLPVSEASDRFNAFKASEIQSDEEEDDKPISFYMRRIEGAAGKTDNHESLANTSNNSIHRGNSAPVYAEEHQLFPASFKMCDLNLGGAADTNDGKRESGQAVGFDLSISSSSKSLDFSTNTRMSNGKEIEVIDLDNDDSPEVVKSSNNPGRKQEVSPYMGIDDVPDYNEGLMMVEYLDSFGNGPPMNNPGISTTLPQNNDVGLQDREGALGNDQAANNTDDDSIFMSLGEIPLTFLQTWDQPPARGYEKPF >A06p047960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25428045:25431181:1 gene:A06p047960.1_BraROA transcript:A06p047960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 27 [Source:Projected from Arabidopsis thaliana (AT3G30300) UniProtKB/Swiss-Prot;Acc:Q8GZ81] MKGEGKVFFLKSRMKWIGLLGLVLSAFSLLVHFLLAGFTDDSISDYSIPVTLFSWRPVFDNPRFNRHTPLYRRLWGPTRHVESLLPYAIPRGYHSDPPARTNGFVFVRIQGGFHEIRNSIPDVVAVSRLLNATLVIPEIQSTTSSKGISSQFKSFAYLYNEEHFMASLANDVRVVKTLPKNLKWARRKKQIPSFKVSYGSSPYYYLHHVLPVLIKHSVVELVVPHGGCLQAILPTNLEEYQRLRCRVAFHALQFRKEVQELSTKVLQRLRPLGRPFIAYDPGMTREALAYHGCAELFQDVHTELIQHKRAWMIKRGIVKGKLSVDSAEQRLQGLCPLMPEEVGILLRAYGYSWDTIIYVAGGEVFGGQRTLIPLHGMFENVVDRTSLSTGWELAKMYGRESKHKDIRPKTPPSVEEETKHDSSKSIRQRPQPLPPPPARPKYYNIEGWWGWVAESDNEPENTVIELRTNAHKLLWEAIDYVVSVEADVFVPGFDRDGKGHPSFASLVMGHRLYQSASAKTFRPDRKQTAMLLEEIRDHMYEANHTWIASVRKLLKKSILEGLVESSKRSKAFSFLSHPVPECSCIKRDHRVSNVSLIEADLGVTHRCPQGMDGAVRSKDNKNAEKEEDLDEEDLSSSGFFFGHKESGGSSNSNNETVNSEANNKEEGQLEDQEELEGGER >A10p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13085203:13088692:1 gene:A10p018930.1_BraROA transcript:A10p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFSSLFSHRNEAISLLRLGSSKLGCFSRVGFSSGVVKQSKRDDYASHEPFGVTGLDMEKSIYNILTIDRWGSLNHMDYRQARLRPVHGKLALKFLKWVVKQPGLEPDHLLQLFCITTHILVRARMYDPARHILKELSWMGDKPSFVFTALMTTYRLCNSNPAVFDILIRVYMREGRIQDSLEVFRLMGLYGFNPSVYTCNALLGSIVKSDGDVSVWSFLKEMLKRKICPDVATFNILINALCAEGNFKKSCYLMEKMEKSGYPPTIVTYNTVLHWYCKKRRFKAAVELIDHMKSKGVDADVCTYNMIINDLCRNSRSAKGYLLLRKMRKRMIYPNEVTYNTLISGFSNEGKVLIARQLLDEMLTFGLSPNHVTFNALIDGYISVGNFKEALKMFYMMEAQGLVPTEVSYGVILDGLCKHAEFDLARGFYMRMKRNGISVGRITYTGMIDGLCKNGLLDEAVEMLNEMSRDGVDPDIVTYSALINGFCKVGRFETVKEIVCRIYRAGLSPNGIIYSTLIYNYCRMGFLEEALRIYEAMILEGHTPDHFTFNVLVSSLCKAGKTDEAEEFIRCMTSDGVLPNAVSFDCLINEYGSSGEALKAFSIFDEMTKAGHHPTFFTYGGLLKGLCKGGHLKEAEKFLRSLHDVPAAVDTVMYNTLLTAMCKSGNLDKAVSLFGEMVKRSVLPDSYTYTSLISGLCKKGKTVIATLFAKEAEARGNLLANEVMYTCFVDGMFKAGQWEAAFHFREQMEKLGLAPDAFTTNVMIDGYSRMGKIEKASDLLSEMEPSLTTYNILLHGYSKRKDIPTTFKLYRSMILNGVLPDKLTCHSLILGMCESNALEIGLKILKAFICRGFEVDRSTFNMLISKCCANGEISKAFDLVNVMNLLGISLDKTTYDAVVSVFNRNHRFQESRMVLHAMSKQGLSPDCTKYIGLLNGLCRVGDIKTAFMLNDEMITLKTCPANVAESAMVRALAKCGKTEEATLLLRSMLKKKLVPTIASFTTLMHMFCKNGDVTEALELRAVVRNCGLKLDLVSYNVLVTGLCAKGDMVAAFKLYEEMKQDVFLANATTYKALISGILSLGTAFSGTDIIMEDLLARGFITSLSSSQDSHTTLTMVMEKLKALQSHKKG >A08p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:581933:586600:-1 gene:A08p001010.1_BraROA transcript:A08p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) UniProtKB/Swiss-Prot;Acc:Q9C895] MENQDSDEPMQKKPHLLDSVSPTATAPNSSPSHPVAKSVDATVLQLQNQKLVQQLDLQKKRMYDVETKIHELHLNQSSYDDQLISVNRLWNQLVDDLVLLGVRAGANQEALKYLDIADKKRGSVPPCAADEMFLCRLLQVDSIGASNSDEVVRKVEEALSLRHSSTVELMGVFENTIATQRAKAESISQNLQAVKSAEDATVQLSNINDLMKEEARNLREMIDALNARHKEQTEQIQAYVSSHSTDQSELKHLKGELEEIKAELEENRRKLINLKMQKDAACEGHVTSSPAVANGSVSPEKPVDKTKLRELKDSIDEIKIVAEGRLSELQAAQEYNLSLSRQCEDIENELKDDQYIYSSRLYNLIKEQLHHWNDDVDRYKLLSEAAQAERSFVMRREKDLNQREESLEAAKQKITSVGSRIEVLEQKLQSCIVEKNGLELETEEAIQDSERQDIKREFITMASTLSKEMEMMEAQLKRWKNTAHDALDLRQQAQSLRVSLSNKADEQKGLENKCAEQMAEIKSLKALIEKLLKEKLELQNLASIYTRECNDERGLAEIKESQQKAQAQAEELKNVLDEHFLELRVKAANETETACQERLATAKAEIAELRTQLDVSEREVLELKEGIKVKEQEAEALIAEMETVGQAYEDMQTQNQHLLQQVAERDDYNIKLVSESVKTKHAYNTHLSEKQVMEKQLQNVNASVETLKARIAHSEEQMKGCFSEAYKLIQEDRHLVLSLETAKGELADAEKEFRWIKSAVSSSEKEYEQISRRTDEIKLELDDERSEKKKLEEELMELNKELEELGSESVEAATLRLQEEVKNCKNILKCGVCFDRPKEVVIMKCFHLFCKQCIQRSLEIRHRKCPGCGTAFGQSDVRVVKM >A05p046090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27429103:27430648:-1 gene:A05p046090.1_BraROA transcript:A05p046090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGSLRNRIRNWLRDYVRLQSVVVILIYAQIGCAMIGSLGALYNGVLLINLAIALFALVAIESNSQSLGRTYAVLLFCAILLDISWLILFSSEIWNISSEMYKALYIFSVKLTMAMEIAGFVVRLSSSLLWFQIYRLGASIVDTSLPRRSDSDSQNSFVDPPCLGSQRSRDPDLRTSLLEPSTTAEHRSRSDDFLEDSINGPRSGEILEDSIDRPAFPPFNDGPAQQFPPFNDGPPYHFPSCDGGQNNLSSTKVTHVSLMLVYPANIVRGSESFCKEISDPVVDVIKHHSAENIFGGSQLSAAEASRHKSPLSRSLESLDSSLHRFKH >A09p057490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:48476718:48477749:-1 gene:A09p057490.1_BraROA transcript:A09p057490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSTLVYSLSLFLSLSLLSFLFFLSSSRRDILSPADELDDLKLFHRAAVSSNNRRLISVSHTPPPKIAFLFLTNSDLTFLPLWERFFQGHEHLYNAYIHADPSSRISTLLSSTINAKFIPAKKTARASPSLISAERRLIARAILDDPNNLYFALVSQHCVPLHSFAYIHNHLFSARSSPQSHHQSFVEILSDEPFLPKRYAARGVDAMMPEISFQDFRVGSQFFVMAKRHALMVIKERKLWRKFRLPCVDDGSCYPEEHYFPTLLSLEDPEGCSRFTLTRVNWTGSVGGHPHTYGANEVSPRLIRSLRRSNSSLDYFFARKFSPESLRGLMEIADDVIFRD >A08p032000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19437589:19438299:-1 gene:A08p032000.1_BraROA transcript:A08p032000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A09g512560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37210251:37210776:1 gene:A09g512560.1_BraROA transcript:A09g512560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQDAPGVLSFNFGQPRGRPYLRFWPTSYAYDPTKTEVLGMDERITTESAGT >A09p054000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46684353:46686428:1 gene:A09p054000.1_BraROA transcript:A09p054000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSNGSAALEMQTSELDQPAALRKIISVSSIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIVAGAGLVTVAVFLIGYAADIGHSMGDQLDKPPRTRAIAIFALGFWILDVANNTLQGPCRAFLADLSAGNAKKTRTANAFFSFFMAVGNVLGYAAGSYKNLYKVVPFTMTKSCDLYCANLKTCFFLSITLLLLVTFMSLCYVTEKPWTPEPTADGKSSSVPFFGEIFGAFKELKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGNSDATASDASKKLYNDGVRAGALGLMLNAIVLGFMSLGVEWVGRKMGGAKRLWGAVNFILAICLAMTVVVTKQAENHRRDHGGAKTGPPGNVTAGALTLFAVLGIPQAITFSIPFALASIFSTNSGAGQGLSLGVLNLAIVVPQMVVSVGGGPFDELFHGGNIPAFVLGAIAAAVSGILALTVLPSPPPDAPAFKTGAMGFH >A06p053080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28041836:28049163:-1 gene:A06p053080.1_BraROA transcript:A06p053080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRVFCFGLVMVLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWARRKEFQVGDSLMFEYDGNVNDVTQVSTRLEYQFCNSLSPKAVYSTGHDVVTLTEQGYHFFITSNRSQCVAGQKLVVFVVHDHPMIPPPPRKILPFGKDYKVGDSNEWRVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKSCDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGLKLRVVVRPLSKNVPKKTQLSPFDRLINSLIILLIMATTTRMFCFVLVMVLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWARRKEFQVGDSLMFEYDGNVNDVTQVSTRFEYQFCNSLSPKAVYSTGHDVVTLTEQGYHFFISSNRSQCVAGQKLVVFVVHDHPMIPPPPRKILPFGKDYKVGDSNEWRVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKSCDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGLKLRVVVRPLSKNVPKKTQLSPFDRLINSLIILLIMATTTRMFCFVLVMVLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWARRKEFQVGDSLMFEYDGNVNDVTQVSTRFEYQFCNSLSPKAVYSTGHDVVTLTEQGYHFFISSNRSQCVAGQKLVVFVVHDHPMIPPPPRKILPFGKDYKVGDSNEWRVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKSCDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGLKLRVVVRPLSKNVPKKTQLSPFDRLIKWLHDSFTPHPHH >A09g506070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18417408:18418370:-1 gene:A09g506070.1_BraROA transcript:A09g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNTFSWIREEITRSISVSLMIYIITWASISSAYPIFAQQNYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVVKIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEMKEKIGNLSFQNYRPNKKNILVIGPVPGQKYSEITFPILAPDPATNKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAGGIISKILRKEKGGYEITIVDASNERQVIDIIPRGLELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLGSVVLAQIFLVLKKKQFEKVQLSEMNF >A02p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9480627:9481901:-1 gene:A02p020270.1_BraROA transcript:A02p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPKWIMVGGEGPESYNQNSSYQRALLEAAKEKMSEAIKAKLSLDLISDRFSVADFGCASGPNTFVAVQNIIDAVEDKYRKETGQNPAENIEFQVLFNDFTTNDFNTLFQSLPAGRRYYSAGVPGSFFERVLPKESFHIGVINYAFHFTSKIPKGITDRDSPSWNRDMHCTGFNEAVKKAYLDQYSADTKILLDARADELVPGGLMLLFGSCLRDGVKMSETSKGIVLDAVGASLNDLAQQGVIEQDKVDSFSTPLYFAEENELKQIIEETGRFTIEAFEDIIHAKGEFTLDPKVLAVSCRASFGTFLSQHFGEQVFTKVFDLIEAKLRQELPRLLNAKPGMQYLIVLRKKN >A06p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20436627:20439815:-1 gene:A06p037750.1_BraROA transcript:A06p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEMVAGDVTAPPPKVLIISAGASHSVALLSGDIVCSWGRGEDGQLGHGDAEDRPSPTQLSALDDHQIVSVTCGADHTVAYSESRKEVYSWGWGDFGRLGHGNSSDLFTPLPIKALHGIRIQQIACGDSHCLAVTMDGEVQSWGRNQNGQLGLGDTEDSLVPKKIQAFEGIRIKMVAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPERVTSASGEKMSMVACGWRHTIAVSYSGALYTYGWSKYGQLGHGDLEDHLVPHKLEALSNSVISQISGGWRHTMALTSDGKLYGWGWNKKVVQVSCGWRHTLAVTERNNVFAWGRGTNGQLGIGECLDRNYPQIIEALSVDGESGQHIESSNFDPSSGKSWVSPAERYAVVPDEKTGQTDGSSKGNGSDMSVPTN >A09p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12657817:12658409:1 gene:A09p022970.1_BraROA transcript:A09p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRLLLRRNSKPSDLFRPSSSSSSSPSCTLSRTRILKCSLGGQNQKPPIKDKDRKSSVEVKAYVPTSEIVIKSKTEVRRGLMDSVFLVSQVTDIFITELRQAIKRRAWKLQLQRNIERVILDCRFYTLFAVVGTLLGSVLCFFEVVFFSSFN >A08g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4842458:4843400:1 gene:A08g502150.1_BraROA transcript:A08g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWRRSWKERADGEECEEGRRPPTPPCTPSPLPTISFVYDLNAAEFSWHKVKVRDSTGNLPLTSVNLKLNLIPFHCLHFFMVGFVPLFSRESQQYGSLTVLELTQQMTQNMMCAADPRHGLYVAALFQDMC >A04p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9025179:9027781:1 gene:A04p007140.1_BraROA transcript:A04p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNNGGSVNASVVYMSGYLPGASPEKSPILSHVPVRLPAAVHGGGSWKDVCGGGCGFAMAISENGKLITWGSSDDEGQSYVASGKHGETPEPFPLPTEAQVIQASAGWAHCAVVTEAGEAFTWGWKECIPSKDVGGKQQSGLSEQGTNASSGQNENRKVGEEPVKRRRVSTAKDETEGLTSGEDYFATTPSLVSVGLGVRITSVATGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIKMVSAPHLIPFLESPGSGKERSFVLHQGTATTPRVPGQYIKAISCGGRHSAAITDSGGLITFGWGLYGQCGHGNTNDQLRPMAVSEVQNVKMESVAAGLWHTICISSDGKVFAFGGNQFGQLGTGTDHAETIPRLLDGQNMENKLAIAVSCGARHSAVLTDDGQLLCWGWNKYGQLGLGDTIDRNIPTQVELDGCRLRKVACGWWHTLLLADSPT >SC117g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:376913:394064:-1 gene:SC117g500140.1_BraROA transcript:SC117g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKEWNHEPDHGELVAVEEPTLEEWSLVFIFFVFSRPCACTRLLLYILVVAPCISIHLFIKKPLFSLFFLLVELIECWCVISNFWCEYQGAFPQPLVSPFDPHTLRNLSTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKKLRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSTSSTSAGSFLNSPSLSPSAGWSMIISVQNKSSKHKWYKEEEAGRGQQSYKQTAHTSSRPHQAFRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVSKWLLQEEDDHRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKHKKLPKNQEPVATVSKLNDAEPDSAAPIQEAQTKTSMGKGMFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVSTEDIKRFGLDKVKDFCVSKSVFDNMFKSFKELKPEIIFDQKRFQNQNNNISGHILSFDHFLKHGKSFDHFEKVLELELKQIDFCLKPCDSFARTEERSFVTNFHVHKLILDNSFVSAYVLNEPKKLQEPKLHQSDFRFKFRWMNHAVLCFGDILVYNTFFDMITHLTCPKQAEKGTGEEMGYNDQSIKNGSLAKLETQQANLGSCLAASLDIGAVRGSYLHHHKELISKIDCHGNLTHQGLTSNWNHVQSFSDERVMGSTSRLILCVLCLNFSEFKTSQSYLWRPESCVDYMEALKHEKTKNKREEDKRFKPPDLIQERHQDGALSLFSLYFRDLVHVQGVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHHFG >A09p052250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45839442:45841813:1 gene:A09p052250.1_BraROA transcript:A09p052250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKLDNSASLNRIGLVQFLLALLLFYLLCMSFEIPFIFRTGSGSDDGSFSSLPRQMVAGKEANRAIIAEQEVNRLRPGRKPERRMREFKTVSEIFANESLFDGGGSTDEFSVFHVTAKHAIATGKKMWDGVNSGLISKPDEKPVKNRTEKCPDSVSITGSEFLNRSRILVRFLFGFFFVEVDGEVKCERWKRDDDDDELKNTWWLKRLMGRRKKITHDWPYPFEEGKLFVLTLRAGMEGYHISVNGRHITSFPYRTGFVLEDATGLAVKGNIDVHSVYASSLPSTNPSFAPQKHLEMQSRWKAPSLPQKPVELFIGILSAGNHFAERMAVRKSWMQQKLVKSSKVVARFFVALHARKEVNVDLKKEAEYFGDIVIVPYMDHYDLVVLKTVAICEYGVSTVAAKYIMKCDDDTFVRVDAVIQEAEKVKGRDSLYIGNINFYHKPLRTGKWAVTYEEWPEESYPPYANGPGYILSYDIAKFIVDDFEQQRLRLFKMEDVSMGMWVEKFNETRPVAVVHSLKFCQFGCIEDYFTAHYQSPRQMICMWDKLQRLGKPHCCNLR >A03p014380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5720787:5721392:1 gene:A03p014380.1_BraROA transcript:A03p014380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEMRQVITSYILPILLLLLAVETHAHNVTLLLANHPSLSSFNHYLAQTHLADEINQRNTITVCAVDDGAMYDLTSKGYTISTLKNILSLHVLLDYFDARKLHQLPDGSALAATLFQATGAAPENTGFVKITNKRGGNIRFGLNGAGDVSSSFIKSIEQVPYDISIIQISGILLSDTVSAPTPVPAEMNLAEIMSARGRG >A06p033450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17966178:17970934:1 gene:A06p033450.1_BraROA transcript:A06p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 8 [Source:Projected from Arabidopsis thaliana (AT3G47790) UniProtKB/Swiss-Prot;Acc:Q8LPK0] MTDSRPASILTQADALLRKNLVFQKRNIWSNVRLVTIPFFLCVLLVVIQLLFDSQFNEDHGQCGCLNEKTCGIRYSTSDQAAFCAIPNPSQWTPLLQIPSPQYRAVTTLYLSHSSPVTFLFTGNNQSLGETLMRNMYSNSPEFGGDLANYVLGSSSLPAYTNYMDSSFISELPIYNIKHQCSPNSSFSILIHQPPLSFPKEINCVRGLNLWRNSSSDVNKELFKGYRKGNQQEKINEYTAAFDFQNTNGNRLNVNVWYNSTYKNNTVIRPMALIRVPRLVNLASNAYLEFLKGSKTKILFEYIKEMPKPETKLTLDITSLIGPLFFTWVILLLFPVILTTLVYEKQQRLRIMMKMHGLGDAPYWIVSYSYFVLVSTLYMLCFAIFGSAIGLNFFRLNDYSIQLVFFLISINLQISVAFLASAMFSDVKTATVVAYIYVFGTGLLGIFLFQFFLEDPHFPRGWIIAMELYPGFSLYRGLYELSQSAFAADYRGVNGMRWRDFGDGMKEVTCIMLIEWLLLLVSAFYIDQITYSSKHPLFFFLQSPSKNKQHHYSNSNKQISKFFVEMEKPDVCQEREKVEQYLLESTGNCAVLCNNLKKVYSGKDGNPQKLAVRGLSLALLQGECFGMLGPNGAGKTSFINMMTGIIKPSSGSAFVQGLDILTDMDRIYTTIGVCPQHDLLWDKLSGREHLLFYGRLKNLKGSVLTQAVEESLRSVNLFHGGNGDKQVRRYSGGMKRRLSVAISLIGNPKVVYMDEPSTGLDPASRKSLWDVVKRAKRKGAIILTTHSMEEAEVLCDRLGIFVNGSLQCIGNPKELKGRYGGSYVLTMTTSKEHEQEVEELVHRISKNAKKIYRTSGTQTFELPKKETKIAEVFQAVEKAKKMFPVVAWGLADTTLEDVFVKVAQTS >A05p003520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1293859:1295595:-1 gene:A05p003520.1_BraROA transcript:A05p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHPPLARPRAFRPPQNGGAKADDERYVISEESRQVRERQEWAMQELLRKRRAAAMAVPTNDKSVRGRLRRLGDAITLFGEREMERRARLAQLMARLDIGGELDRLLRAYEEEVEDEEEEEVQYLFFTEGPKELREARIDIAKYSIKRAALRVQRAKRRRDDPDEDVDAETEWALKQAKGMVLDCSSFGDDRPLTGCSFSRDGTILATCSLSGVTNLWEMPQVTNKIAVLKDHKERVTDVVFSPVDDCLATASADRTAKLWKTDGTLLQTFEGHLDRLARVAFHPSGKYLGTTSFDKTWRLWDVNTGAELLLQEGHSRSVYGIAFQQEGALAASSGLDSLARVWDLRTGRSILAFQGHIKPYEPQEGYFLATASYDMKVNIWSGRDFSLVKSLAGHESKVASLDITADSSCIATVSHDRTIKLWTNNSNEEEGGETMDIDL >A07p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19413200:19415115:-1 gene:A07p036030.1_BraROA transcript:A07p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIPSHSEAAASTQRRLIMLEAPSYLVSRDLPSSCEEESKWIYNAHCVLQLSLKKRLLDDTEGSSFRKMLRVDETYQRSNQSQQGGGDQQIPPVTTLDQNALLNCLAHCSLSDFRSIASTNRTFRSLIKNSERYRLRRAKGIVEHWIYFSCVLLEWEAYDPNGDRWLRVPKMTVNECFKSSDKESLAVGTELLVFGKEILSHVIYKYSILTNTWTSGMQMNAPRCLFGSASLGEIAVVAGGCGPRGQILNSAEVYNSETGEWTVIPSMNKARKMCSSVFMDGNFYVLGGIGEGNTKMLMCGEVYDLKKRTWTVIPNMLQGSNGGGGGGGGGDSSAAAVSGAPPLLAVVKDELYAANYAQQEVRKYDKRCNVWNRVGSLPERASSMNGWGMAFRACGDQLVVVGGPRALGGGFIEINACVPREGEALHWRVLASKPSESFVYNCAVMGC >A09g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18272800:18274742:1 gene:A09g505840.1_BraROA transcript:A09g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLYSKNFATKLNIFFIMRINPTTSDPAVSIREKNNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRAVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTLTTSPIHKSAPAFIDLDTTLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINELNLADSKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFNLILSGEFDSLPEQAFYLVGNIDEATAKATNLEMEKVKEIILSTNSGQIGVLPNHAPIATAVDIEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALRRARTRVEALNTI >A01p004770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2051808:2054151:-1 gene:A01p004770.1_BraROA transcript:A01p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAISQEAFDDLVRENVEDLGMEPSEALEDALYTLKLQGVDLSGIITCVPGESSVKDNPVIACLDRLKELDDEFDEISSLLVKLNELCSGQESGNVAIATKHGAVELTCSICSKIKIDSRSNAIVVPCLKALAVLIHDIQSTEAFRNASGPRIVVDLIRDSGFDSDSLDAGFAVVAAAATGNEVVKEIFMELKVDELILKVLNRESKVTIRALYDAIRVLLTPDDNRVVASQVYGYARTFAKLGIAKALTEALQEGIGSDSLVSASIALKSIAVNDEICKSIAESGGIDTLLRCIDDSGSDSNKSTIVEKRGLDKLIKLAQRFSDDPLVIQEVMSIISIICLRSPDHAASAIEAGAGDLAIQAMKRFPAAAQMQRNACNMIRNIAVRNAENRKILLANGIEKLIRNAKANNEICRASATDALRDLGLDNYNS >A06g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16328351:16328701:1 gene:A06g505680.1_BraROA transcript:A06g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVPTSFGRAHSFDKLHKQGSQKKNKPNSWVDRTPFSAHQLPLELFREKIPAQAPGTLLLCILQRSRRKTRTLHQDMLAF >A02g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8490748:8492196:1 gene:A02g502590.1_BraROA transcript:A02g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSATKLQCQRIGCNAMFTDDDNPDGSCRFHASKYSYVQPFFHDGMKEWSCCKQRSHDFTLFLEIPGCKTGKHTTEKPVLAKPAPRQPVTVPTSSPHGNAATKDSCSRCRQGFFCSDHGLYLRSLSVFHILFNRISKLFVPNHTGSQPKEQTSQQALNTPVQVQGEKTESLAPAPVKKVVIDINQPQVCKNKGCGKTFKERDNHETACSYHPGPAVFHDRLRGWKCCDVHVKEFDEFMEIPPCTKGWHSSSSADQAV >A03g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9043077:9044350:-1 gene:A03g502890.1_BraROA transcript:A03g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFSFLSLFQLARLKPPTKWEEFALKKGIQKRKKDKIVYDENIDQFKRRHGYDRVNDDNDIPLIEAKASDEPGEDPFAKRLDDKKKRVDKQQKNRLQNLKSAAKAGALPSHVQLAASALPITGTKAQPKKLGKEELGDVAGLAATSTASGGKFDKKLPGEKPPKKQGKHHKYLPVVPRYGWVDEEKEQTNKVLGKLLSKHSHEILNVGKAINMYNDKKEKKKSGRSDKLKPKKDITKKKPYANKANK >A05p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7056094:7057462:1 gene:A05p015890.1_BraROA transcript:A05p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQSMEIEGKEVRAQIWDTAGQERFRAVTSAYYRGAVGAFVVYDISRRTTFESVGRWLDELKTHSDTTVARMLVGKSAT >A03g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26324773:26327257:1 gene:A03g507440.1_BraROA transcript:A03g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKFSWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQETTSRRLYRKSRRLYRKSRRLLGSPDDFQMTSGRLTIWCFQVKEIRVGLESFSLGKKHKNLPKRSEKSRRLPRSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFQMTSRRLPDD >A07g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18248776:18249254:-1 gene:A07g507060.1_BraROA transcript:A07g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDVLISGTIIQILNHVHPQKHRREVRLLRESKEHRVWTSPDSGKELCRKNSAFQHPLVTFVIKILSFLLHSKILSM >A10p000870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:428100:429344:-1 gene:A10p000870.1_BraROA transcript:A10p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIREEETGDGERRIQSKEDGKFSELTLDLTLEILLRLPAKSAVRFRCVSKLWSSITTRPEFIRSFAIQSSKQPCLLACVDASLSGKRLFISLPQHVHPDESDYSYVDRLEHCEVNALVDDEPMSESVHGLVCFGNFNRIVVWNPSMRQHVTLPELEPRVRYIRSCLGYDPVSDKYKVLCISGKRCQDPLVFTLGPQESWRVTQNSPRHFPTNTMGRIGICINGHVYYQATIPYKVDNSYEEEKLLMSFDVRYEKFSTIEKPADPTLRNFLLNYEGKIAWGCTSFSSIRFWVWGEGEKQEWSLRNFILPFPGFRQRDPVFECLLELKGITHDTGEFIFATMHDAFYVLYYDPKRERAKWIEYEGIGDQEFWIRNGILRGTYYSVDWFPNHSESLMSLDNVPGLHGVLGRSGHPG >A02p053800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32714932:32717232:1 gene:A02p053800.1_BraROA transcript:A02p053800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGGVPEQIDQFIASPQPPPLLPPHQPATERSLPFPVSFASFNSNHQAQHMLSLDSGKIIHHQHHHGIKDGGVSSEWIGHTDHDGHNHRHFHHPWCSDEVLALLRKLAEVGFKRSPQECKEKFEEEERRYFNSNNNTNDHHISNYNNKGNYRMFSEVEEFYHHGHNDDQHVSSEVGDNQNKRNNSLEGKENVEEMGHNLLEEGKIDHQGQSQVEELSMGNKINPVDNVRNEDGAKSSSSSSLMMIMRDKKKRKRKKKKERFGVLKGFCEGLVRNMIVQQEEMHRKLLEDMVKKEEEKMAREEAWKTQEMERLNKEVEIRANEQAMASDRNTSIIKFICKFTGHDNHDDGNGTVQSPSPSQDSSSLVLPKTQGRRKCQTSSSLLPQALTPHNPISLQTNDIPLEPISTETLKTKTQNRKPPISYEKSDTGKRWPRDEVLALIDIRRSISSINDVDHHKDGISLSSSSSKAVPLWERISKKMLESGYKRSAKRCKEKWENINKYFKKTKDVNKKRPLDSRTCPYFHQLTALYSQPSTGTTTTATDTSTGELETRVGSGDPDDIPAVMHVDANGAGEKSNVQFSGFDLALP >A01p016860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8339917:8343675:1 gene:A01p016860.1_BraROA transcript:A01p016860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFDASSALAKQLKSVLGMSLDTPVSDDAVLVIATTSLALAVGFAVLLWKKTTSDQSREVKPLMVPKSLMTKEEEEDLGSGKSRVSIFFGTQTGNAEGFAKALAEEIKVRYEKAAVKVIDLDDYAADDDQYEEKLKKETLSFFCVATYGDGEPTDNAARFYKWFTEGTEEDIRLQQLTYGVFALGNRQYEHFNKIGIVLDEDLSKKGAKRLIEVGLGDDDQSIEDDFNAWFVSDSLKESLWPELDKLLRGEEDTSVVTPYKAAIPEYRLVIHDFISEKSMDSNHANGNAAIDIHHPCRADVAVQRELHTPESDRSCIHLEFDISGTSIRYETGDHVGVYAENHAEIVEEAAKLLGHSLDLVFSIHADKEDGSPLESSLPPPFPGPCTLGAALAKYTDLLTPPRKSALVALATYATEPNEAKKLKHLTSPDGKNEYSQWIAASQRSLLEVMSAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRLAPNRVHVTCALVYGPTPTGRIHRGLCSTWMKNAVPAEKSRVRSGAPIFIRASNFKLPPNPLTPIVMVGPGTGLAPFRGFLQERMALKEDGVELGPSLLFFGCRNRRMDFIYEDELNNFVDQGVISELIVAFSREGAQKEYVQHKIIETAAQFWNLIKEEGYLYVCGDAKGMARDVHRTLHTIVQEQEGVSSSEAEAIVKKLQTEGRYLRDVW >A09g510430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31655832:31657848:1 gene:A09g510430.1_BraROA transcript:A09g510430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLIETMFGYMKDKLAALTAPMANAYANAVVFNKIENLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPVNKSRCIRVLPKHVFRKHFGRIKLVLPKKPLKNPYVNRGKRKHNKTITQLGHYVATEHAHCSVATTHAARSLRSDRARTLLGRYVATEHSHAARSLRSDRALTRCSRPSTHAARSLRSDQAPTARSLRSDRAHTLLGRYVATKHAHAARSLRSDRARTLLGRYVATEHAHAARALRSDRARTLLGRYVATKHAHAARSLRSDRAQLSTRYIATCKASERSSFGFSFESSSKHFSFRLNRSPPLGSLLNPHRNAFRFVSIGVSVEILRRKQVGRFSASFHSLRSDLVPPLRSPLNPLRNAFRFVSIGVSVEILRRKQRPVNAQRVPPLLSPLNPLRNAFRFVSIGVSVEILRRKQVGLLSACFHSLRSGLSECQSLRSDLGTRLGSLPLAIATEMTSSLAIATCQASKGSTFAFSFEFSSKRFSFRLNRSFR >A06p018130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8812128:8813775:-1 gene:A06p018130.1_BraROA transcript:A06p018130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEPKLEESPQDEAPDQEPEHKKLKISTEGDEPASSAAVSGKSGGAERRPPKYKRRKVAIVFAFCGVGYQGMQKNPGAKTIEGELEEALFHAGAVPEADRNKPRQYEWARSARTDKGVSAVGQVVSGRFYVDPPGFVERLNSNLPDQIRVFGFKRVAPSFSSKKFCDRRRYVYLVPVFALDPCSHSEAEMARSDSGYEYVKCVECCEKGYKIPLGVLGKESVDDDGKSSEVQSDISSNNCGALKVETLSSNGEKTLNPESEEEMTKSESKFCYGEKEMERFNRILSYYVGSHNFHNFTTRTKAADPAANRYILSFNANTVITLGGKDFVKCEVVGQSFMLHQIRKMIGLAVAVMRNYAPESVIQTAFKKDVRIVVPMAPEVGLYLDECFFTSYNKRFKVSHEEVSMEEYKEVAEEFKWKHVYSHIGSAEEKDGIVAIWLHSLNERNYPDLRVAEHKPDEVIVYKKIDAASEEKINEEEAAVKENANGAGELLVVSKVNDETSEEKNMEEGDRVEEKATE >A08g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8555778:8558954:-1 gene:A08g505160.1_BraROA transcript:A08g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSTSDKEANRKKIPREGKTTSGYAVMSTESEVEEPASTDQEEAASTEQDEAASTEPEFIVTTPTFPERLFARNCYPGKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGNEDVTAPDVLRMLEQPSLPEWKRLPLALIALVDGLLVCGHKLLRVTPAYVQMLEDTGSFLQYPWGREAFVSTLSRLTPPQPSDPFKMDKSLSVMRLRLKQQSTACYGFPLALQLFAFKVIPSLLEKIPEPNKTTSFLQEPEGCDSTNALLNFEDILLVETQREVIVTYSIPDEGGDPKWKKEVIDPRIDNLVRRMREGHEFKATDFKGGDSSLPPLKPAEKAEGVAVKKKCQKLSRRFGKACDEPGSSTQAPERPIRPRREICKQAEPGNLSDKEQELKEGGSFTVPQNTAAGKTNRDNSHADPTGMEVPKKRRPFSGDGNDEAGIFGSDSKKHKKNNGDGFSDDETMRMHDNHCDGRTPNARSWEKVDSMEGEGPSFSKSANIPEVFSVDYQLFVLVSEVYMNTDLFGQVFRLIYEVYRNTDLFGLGEGGDESPISWLNLLAEEVEKGTWSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSLKKAELEGKCIPISSTKKDDLQTKRIPRRSTKIGGVYTPYKRLKKLFQSCKSE >A09g519020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58253865:58254322:1 gene:A09g519020.1_BraROA transcript:A09g519020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEIATTYYLSKIYSLRDAIGAVIEDLGLNGKLKDQRLGYSAKLTISYKRSLGQRKCFSSNGNVEEEPNSIYCLLSIRSILFKIFNSRYDHAYKL >A09g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13895833:13896746:1 gene:A09g504540.1_BraROA transcript:A09g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEALPHLCHRFSGAYEKRDSEKNQKRTQQGLTLSTRQFQWRVSWEREEKAGGEVGKTMVSRSDLQCGEDDNIKLSAKWSDKRWSDEIWITVKIPHVSSMLLLFTRFICLGRGRKKLDESSCMDVVIVDMRLGIKCEVREEEAGREVGTTMVSRSELRCGEDDATSSSRNVDKGCVGVIGVDGSCVDVVVVVMRLGVKCEMVR >A09p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1135354:1137465:-1 gene:A09p001560.1_BraROA transcript:A09p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFGPTGVAKERAEQYQGKVTSYVIIACLVAAIGGAIFGYDIGVSGGVTSMDEFLEEFFKTVYDKKKQAHESNYCKYDDQGLAAFTSSLYLAGLVSTLAASPITRNYGRRASIVCGGISFLVGAALNAGAVNLPMLLAGRILLGVGIGFGNQAVPLYLSEVAPTHLRGGLNMMFQLATTLGIFTANMVNYGTQQLKPWGWRLSLGLAAFPALLMTLGGYLLPETPNSLVERGLTERGRRVLEKLRGTQNVDAELQDMVDASELANSIKHPFRNILQKQHRPQLVMAVCMPMFQILTGINSILFYAPVLFQTMGFGGNASLYSSALTGAVLVLSTLISIALVDKLGRRALLISGGVQMIICQAIVSVILGLKFGDDQELSKGYSIVVVIFICLFVVAFGWSWGPLGWTIPSEIFPLEIRSAGQSITVAVNLLFTFVIAQAFLSMLCSFKFGIFLFFAGWVLVMTVFVYFLLPKTKGVPIEEMTLLWKRHWFWKKVLPEAESNNSSV >A03p037610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15729180:15730044:1 gene:A03p037610.1_BraROA transcript:A03p037610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) UniProtKB/TrEMBL;Acc:A0A178V8D0] MGLSWLNNNGLNNLIPDLYKRNPKRKRKDTTMDSGDIVGTGDYDVETKREKLKSLLSQLLADPILADVPKNPTLSDVVTLVSLEKGSAMRLSIVKLDGSSLDVAVMNSATLKDLKLLIKKKVNEMEQANMGHRHISWKHVWSNFCLSWNNEKLLDDDAVLQDVGIRNNSQIAFVPYVTKKGPGRHSKRKKHRLFRSLHKTC >A08g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13881459:13883870:1 gene:A08g507910.1_BraROA transcript:A08g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIFAGLRIHGNFKLPKHCDNNEVLKALCNESGWTGEDDGTTYRKGCQPMDRMELRNGSTSASPCSSYQPSPRGSYNPSPSSSSFPSPTNIFGDANSLIPWLKNLSSNSPSKLPFFHGNSISAPVTPPLARRVTK >A08g510800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25309701:25312119:-1 gene:A08g510800.1_BraROA transcript:A08g510800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHLICLKSIFSTCSVSFLRKQTYFVVMSNILQSDVFMNKVYDLKGSSQGRTNKKIKVRDKTILKDTDLDFHFYVDSLARHRLLKQTKLDCELLEDQGIMDYSLMLGLQVKGSCQGSVDGLSPVYDSFTSRDSVDSNSSKLMKTASNSPDRSSTIENSVIESVASISPSPAPTKASDSSQGSIASIPKITDIFRNSSSTNFGMKIPGRARRIERGESGSVMGKNIREEEEWYDVILYLGIIDIFQDWCVRKRIEHCYKSIQHRSKTISAVHPKTHSSRFQGFVSQIFLPEKDHPYHSY >A10p020530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13936419:13942644:-1 gene:A10p020530.1_BraROA transcript:A10p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 4 [Source:Projected from Arabidopsis thaliana (AT5G57160) UniProtKB/Swiss-Prot;Acc:Q9LL84] MTEEIKLSVLVSLFNWIQKSKTSSQKRSKFRKFLDTYCKPSDYFSAVRLIIPSLDRERGSYGLKESVLATCLIDALGISRDAPDAVRLLNWRKGGTAKAGANAGNFSLIAAEVLQRRQGMASGGLSVKELNDLLDRLASSENRGGKTSVLSTLIQKTNAQEMKWVIRIILKDLKLGMSERCIFQEFHPDAEDLFNVTCDLKLVCEKLRDRHQRHKRQDIEVGKAVRPQLAMRISDVNAAWKKLHGKDVVAECKFDGDRIQIHKNGTEIHYFSRNFLDHSEYAHAMSDLIVQNIIADKCILDGEMLVWDTSLNRFAEFGSNQEIAKAAREGLDSHRQNHFRDIIFLDHVLDVAFDVLYVGDTSVIHQSLKERHELLRKVVKPLKGRLEVLVPEGGLNVHRPSGEPSWSIVVHSAADVERFFKETVENRDEGVVLKDLGSKWEPGDRSGKWLKLKPEYVRAGSDLDVLIIGGYYGSGRHGGEVAQFLVGLADRAEANVYPRRFMSFCRVGTGLSDEELNTVVSKLKPYFRKNEHPKKAPPSFYQVTNHSKERPDVWIESPEKSIILSITSDIRTIRSEVFVAPYSLRFPRIDKVRYDKPWHECLDVQAFVELVNSSNGTTQKQKESESTQDNPKVIKSSKRGGEKKNVPHVPSQFIQTDVSDIKGKTSIFSNMIFYFVNLPRSHSLDTFHKMVVENGGKFSMNLNNSVTHCIAAESSGIKYQAAKRQRDVIHFSWVLDCCSRNNLLPLQPKYFLHLTDASRTKLQDEIDEFSDSYFFDLDLEGLKQVLSNAKQSEDSKSIEYYKKKLCPEKRWSCFIGCCIYFHLYSETLSNEEEALLGIMAKRLALEVLMGGGKVSNNLAHASHLVVLSIAEDSLDFTSVSKSFSEKEKRLLLKRRLHVVSSNWLEDSLQREERVCEEVYTLRPKSMEESDTEESDKAEHDTTEVASGVSAQTEELASSKMAVASSRGRSSTRAGNKRGRSSTNSVKRVQRRRGKQPSKIGGSETEESDAATEEKAATRLSDDIAEDSDDAQRNSRRGRSAKRGKSRVAQPQRVQRPRRGKKPLKIGGDDSEENDDFDDKKNDSADETKHRESLERDNTVSVEEASSQDSRNAKTEMDREEKIQIHEDPLQAMLMNMIPSLTLRNTSRTGEASTANVCGESESSEKRKLGAETDNACVKNAEADEVPPVKKKKVSYRDVAGELLKDW >A08g510300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22703711:22704727:-1 gene:A08g510300.1_BraROA transcript:A08g510300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLQGGVTECIKRETGTVTGYIQPILLDFFLDRYGRRYALLDAKTPLRRRGIEFFWFDGKLGQKSEEKVLKDSMKPSQNSSASVSETWSRTESNRIFTRLLNGTISLQPYVKILLDYGEMMAALFFEMLMIHQRKSNRSYENKIIIGSDIV >A09p077760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57662555:57665493:-1 gene:A09p077760.1_BraROA transcript:A09p077760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIWTWLISFFFLIALVGIIVYQLVCLADLEFDYINPYDSASRINSVVLPEFIVQGVLCVFYLITGHWFMALLCLPYLYYNFQLYSKRQHLVDVTEIFNLLNWEKKKRLFKLAYIILNLFLTIFCCSIKLYSGLKPQSASFLTNGYQNLNKEFYGRIHKSLESGTGKANRSRVKMMPIGTPRVPYRNREEGTWQWVDIWNALYRERVIFIGQNIDEEFSNQILATMLYLDTLDDSRRIYMYLNGPGGDLTPSLAIYDTMKSLKSPVGTHCVGLAYNLAGFLLAAGEKGQRFAMPLSRIALTSPAGAARGQADDIQNEAKELSRIRDYLFNELANNTGQPAERIFKDLSRVKRFNAEEAMEYGLIDKIVRPPRIKADAPRQDETSGLG >A08p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17949069:17967557:-1 gene:A08p028860.1_BraROA transcript:A08p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGASKHHSSIIVPSVQEMVKEKLIKKVPQRYVRSDLNKAEMACDSGLKPEIPVIDMSRLCSLTTMDSEIEKLDLACKEWGFFQLVNHGVDSGFLDKFKSQIQDFFNLPMEEKKKLWQKPGDIEGFGQAFVVSEEQKLDWADMFHLTVLPARFRKPHVFPKIPLPLRDTLETYSAELKSIAKILFAKMAVALKINPEEMEKLFDDDLGQIMRMNYYPPCPEPDQVNEVEGLQIKKKGKWVSVKPLPNAFVVNVGDMIEIVTNGTYRSIEHRGVVNSEKERISIGAFHNIGTGKEVGPLRSLVERHKASFFRSMTTDEYFKGLFSRELASGPSSLFFNVKSSHVGLWFLAMRSPMVLTLEFQKLKVFSAISTLVRAISGKLQSKEIIGISEIQDFFNLPMEEKKKLWQQPSEIEGFGHAFVVSEEQKLDWSDLFLLVMQPVHLRKAHLFPKLPLPFRDILDTYSAEVKGIAKILLAKMASALMIKPQEIEKLFDDELRQTMRMNYYPPCPDPDQVNEVEGLQIKKNGKWVPVKPLPNAFVVNIGDVLEIITNGSYRSIEHRGVVSSEKERLSVAAFHNLGMGKEVGPVRSLVEKQKAAFFKSAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDPKEASQYSSIIVPSVQEMVKEKLTTTVPLRYVRSDLDKGDIDGDLRTEIPIIDMNLLCSLTSMDAEIHKLDLACKEWGFFQASFLDKLKTEIQDFSNLPMEEKKKLWQQPNDVEGFGHAFVVSEEQKLDWSDMFLLVMQPVQLRKPHLFPKLPLPFRDTLDTYSAEVKSIAKILLAKMASALMIKPEEMEKLFDDELRQSMRINYYPTCPDPDQVNEVDGLQIKKNGKWVPVKPLPNSFIVNVGDVLEIITNGAYRSVEHRGVVNSEKDRLSVAAFHNLGMGKEVGPVRSLVERQKAAFFKSVTIEEYFKGLFSRKLDGKAYLDVMRI >A03p058930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25590134:25591096:1 gene:A03p058930.1_BraROA transcript:A03p058930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECRQHDLKRSSILKISYHIVFSFSPSPSRKTQDLKMESSGNHGGIQQLLAAEQEAQQIVNAARTAKMARLKQAKDEAETEIADHKTTTEHSFQRKLEETSGDSGANVKRLEQETDEKIEQLKNEASRISRDVVDMLLKHVTTVNN >A08p041440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23372593:23374014:1 gene:A08p041440.1_BraROA transcript:A08p041440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLRSVATTTAAVAAASAFPIAIALSSSSSSSSTKSQSTNLSFLPRSSPRRLGLSRSFASSPMTTVPASDRNLRQEDQVMPQLLTEFMVDMKCEGCVNAVKNKLETVEGIEKVEVDLANQVVRLLGSSPVKAMTQALEQTGRKARLIGQGVPQDFLVSAAVAEFKGPDIFGVVRFAQVSMELARIEANFTGLSPGKHSWCINEYGDLTNGAASTGNLYNPLQDHTHTEPLGDLGTLEADQSGEAFYTGKKEKLKVVDLIGRAVVVYKTEDKSSGPGLTAAVIARSAGVGENYKKLCTCDGTVIWEATDSDFVTSN >A08p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15639315:15641804:-1 gene:A08p023810.1_BraROA transcript:A08p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQTSKKSQETSFKTTTTNMHYTTELRSYEAACKADTELQSFDTCLQTRTSHVISTLATGVEVRALSFDSLKEVTECLLEMNQEVVKVILDCKKDIWKNQEMFELVEDYFENSLKTLDFCAALEKGLRKARDSQLLILVALQHFEDESLVEGCNGYEKTLDKLKNFKDAESPFSKDFFKMFQSVYKHQMLMLEKLQLRKNKLDKKLKCIHTWRKLCNIIFVATFATVLICSVVAAAMAAPPVAAALAAATAVPVGSMGKWIDSLWKNYENALKGQREVISSMQAGTYVAVKDLDNIRVLIEQLEIEIRGMVTCAAFAVEHEAVKLGIDEIKKKLEVFKKNVEELGAQADLCSRDIRRARTVILQRIIKHPNNASSST >A09p046490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40513043:40518743:-1 gene:A09p046490.1_BraROA transcript:A09p046490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSLEMATESTRSWFQIRQQRPSPAKKGQEGNVKNSGRPPMNDAPSNATKQKVAAAKQYIENHYKNQKKSLQERKERLSILEQNLAEADVPVEDKMDILKNFEKKEIEYRRLQRHKIGVDDFDLLSIIGRGAFGEVRICREKSTGNVYAMKKLKKSEMLRRGQVEHVKAERNVLAEVDSPFIVKLCYSFQDDEHLYLIMEYLPGGDMMTLLMRKDILREDETRFYVAQTILAIESIHKHNYVHRDIKPDNLLITRNGHIKLSDFGLSKSLESKNFPDFKAELVERNTRPAAENDRLSKPPSAPKRTQQEQLLHWQQNRRTLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMFEMLVGFPPFYSEEPLATCRKIVNWKTCLKFPDEAKLSVEVKDLIRRLLCNVEQRLGTKGVHEIKAHPWFKGIEWERLYESNAPYIPKVKHELDTQNFEKFDEVPSTCQTSAKSGPWRKMISSKDVNFVGYTFKNLEIVDEHHIPGMAELKRKSKTPRKPSLKTLFETPYPPPEKQALQDLLDSPIYSDGGSTGSSGSPFSHPNQFYVSAREWLNGFLSCSRFSLVRHFSSLSCSLCRRSKGRFCRQRRISFVTVIPSTNLLPSSFRRRISSLVVSSRRISSQIVSSLRISSRGISLRFEGERDCISKEIKEKQPFPPQFKIVYCGSEPKIATSSIEPGRRYYTCRNANDGECHVWKWWDEAVMEEMRARDRHTLQLAEKVDSMTLFNDQATEQKLVRLENMVCELAKNKSKCSLDYFVPVMVMVFIFIGLIIVFV >A05p005500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2122318:2122914:1 gene:A05p005500.1_BraROA transcript:A05p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYAKSKDTPEARAKESDITGNIIIYTLLGLCILLSLFIIIGSFVIAKPLEASLTSVAVTSLRYNGKSSSSSPYFNATLAMEIRIENPNFGFFEFSSSTGDILYNGRVVGEMKINGHRVDAYSAIRTEVGTQVSYREDHAPSVWFKNDIKRGLIILRVGVKLRGEVHLEVLNKRSVNLKCLMYLNLIDEAVPRLWCK >A03p053790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23095698:23097910:-1 gene:A03p053790.1_BraROA transcript:A03p053790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIKGLADVAFGRGDERRGGDDERDERPRSTWANVVSGEDDDQNRAGGSVPSQHGRRQNPEENQWEKKGERMSTRHSNKEENENAAPVTDQQDSSENQKEDNDGWETVGKKKPARQSHKVKKEQWQGYKCPASEQHYSDDVETHGGDLEPSQLELSGLSEACNKLWELDSNRLIPGNDYQIDCGDGKRVHERSDMAEGLLFSWVSDEVFRKPTFARFCSLLDNYNPHEGYKEVVTQEERQEQAAFIEEISRTAIIKYLHKYLVLKDVAPGSYQEFKKMLTSLWFDLYGRGGTSGSSSAFEHVFVGEIKQCGGEQVSGFHNWLQFYLEEAKGTVDYQGYIFPRRRGEIPDSETQLLTIQFEWNGVLKSVSSTLVGVSPEFELALYTMCFFMGREDNHIQLGPYSVNVKCYRLGNNRIGSAFPIAES >A02p000570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:338323:338547:-1 gene:A02p000570.1_BraROA transcript:A02p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPNRHQRRLSQSVLPISLDDLSDISLTANPPSSIPSQPPRHQIPPPSPAAPPTNRGNNDDNASKEGNASSS >A03p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11997987:11998467:1 gene:A03p028570.1_BraROA transcript:A03p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMAREKNLEKARQAGKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKADVVACFPHLSK >A09p002050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1504722:1506173:1 gene:A09p002050.1_BraROA transcript:A09p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHSLNTQLSTRTSIFGLRLWIVLGVCVGAAIVILLFLISLWFIHRRSNNKALESHTVVPVVSKEIRVDPIQPDPTPTPETTTNHREDDNKIHIDIGKDHRISFPERGGWSGSGSGSGSGPDQAACSGPEVSHLGWGHWYTLRELEVSTDGFSDKHVIGQGGYGIVYRGVLEDKSIVAIKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGEHRMLVYEYVDNGNLEQWLHGDALGAKSPLTWEIRMNVVLGTAKGLMYLHEGLEPKVVHRDIKSSNILLDKQWNAKVSDFGLAKLLGSEMSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLVMEMISGRNPVDYSRPVGEVNLVEWLKRMVSNREAEGVLDPRLVDKPSLRSLKRTLLVALRCVDPNAQKRPKMGHVIHMLEAEDLVSRDDRRNSGGGGGGDPRRSPRKMNVTESEDESVNSILIKNDQLASDKEESQ >A05p051350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29929165:29931794:1 gene:A05p051350.1_BraROA transcript:A05p051350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEEVTVPIFTSLDPVYGEGSQLQEANSRFDALKSKFNQAFGASPQLFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKREGQNELRIANVNEKYSMCTYPADPLQEIDLKNHKWGHYFICAYKGFHEYAKSKGVNVDSPVGLDVVVDGIVPTGSGLSSSAAFVCSSTIAIMAVFGESFEKKELAQLTCECEQHIGTQSGGMDQAISIMAKTGFAELIDFNPVRATDVKLPDGGSFVIAHSLAESQKAVTAATNYNNRVVECRLASIILGIKLGMEPKEAISKVKTLSDVEGLCVSFAGDRGSSDPVLAVKEYLKEEPYTAEEIEKIVEEKLPSILNNDPTSLAVLNAATHFKLHQRAAHVYSEARRVHGFKDTVYSNLSDEEKLKKLGDLMNDSHYSCSVLYECSCPELEELVKVSRENGALGARLTGAGWGGCTVALVKESGVSQFISAVKEKYYKKRIEKGVVKEEDMDLYLFASKPSSGAAIFNF >A09p076950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57353138:57354560:-1 gene:A09p076950.1_BraROA transcript:A09p076950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLFSLSSLSLSISLSPPSPRLWIGRLMNKNSWPTIPINIKLSLNHEIDQNLLLTNPNDVTVSLHIGPPVSDKETNTHENNQEGLTARQQGQYWVPSLSQILVGPTQFSCSVCNKTFNRFNNMQMHMWGHGSQYRKGPESLRETKSSSSNASNALQKKAWSQTFPLPQEVRENVCGERRLENALEELWEAVFCVWGSDFKHKRSLKDHVRAFGDGHAAHTVGDRVVAIGHGEEDDDGDDDDDDMEEEEEEDEQNIEEVDVDGEKNYEYGHFRRNTQIF >A03p020570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8447983:8455044:-1 gene:A03p020570.1_BraROA transcript:A03p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSSSMSEMAFDYLYTGQERECSDLTSRLDSTEKNLSQTSKMLASTNKRGIGEISICHEGERFHHFRAEKNLLSADNRKVVDNYQAELSEQISSLFNMVASCLSQQNSHLQGINKLSQSRLEAHNKAVLEMKKKVQASRDLYSFHLEAVQNIVRLHKANSNACLEEVSALTTSSACSIDEGEMALFARELRQVNALRYNIESVSPQGQLRCRSEPDVPTKATIVQSVIMILPHIEPTGDWVITHAGLYTQKMQAVLFHNFSNGIMTSSLKVVQSQSVESVNHLHRSAFHFQPPKHWINGPLYYKGFYHLFYQYNTKGAVWGNIVWAHSVSKDLVNWEALEHAIYPSKWFDIKGTWSGSITLVPGKGPVILYTGINQNETQIQNYTIPKDPSDPYLRKWIKPDDNPIAVPDYTMNGSDFRDPTTAWFSKDGHWRTVVGSRRKHRGIGYIYRSKDFKHWVKAKHPIHSKESTGMWECPDFFPVSTTDFENGLDLDYAGPNAKHVLKVSLDMTRYEYYTLGKYYPNKDRYVPNGESPDGWDGLRFDYGNFYASKTFFDYKKNRRILWGWANESDTVEDDISKGWAGLQVIPRTVLLDSTNKKQLVFWPIEEIESLRSSYVRMNNKDIKMGQRLEVKGITPAQADVEVTFNVGSLEKAEPFDRSYTYKPLDLCRVRGSNVTGGVGPFGLITFATPDLEEYTPVFFRVFKDTSTNKPKVLMCSDARPSSLKQDRGPLKQDRMYKPPFAGFVDVDLADGRISLRSLIDHSVVESFGALGKTVITSRVYPIKAVKENAHLYVFNNGTQTVNVESLDAWSMERPLEMNNGAT >A02p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1299305:1301524:1 gene:A02p003140.1_BraROA transcript:A02p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAEEKLQQENQNLDKKVRYRGNHDKPKPWDDDPNIDRWTIEKFDPAWNPTGMLEVSTFSTLFPKYREKYLQDSWPRIESALKQYGVACKLNLVEGSMTVSTTRKTRDPYIVVKARDLIKLLSRSVPAPQAIKILEDEVQCDIIKIGNLVRNKARFVKRRQRLLGPNSSTLKAMEILLDCYILIQGSTVAAMGSFKGLKQVRRVVEECLRNEIHPVYQIKNLMMRKELAKDPALATESWASNSSIYFKFDSSGRMFSKSSPKARRRSHIQHYLLNRRLARQENLLLVDKQLESGEYFMNDQKKADKKWQEKQEKQSEKSAEKKRKRDASFLPPEEPTQNNNLNKSEESKKDITELTQSLKSKTNELKKQKKTQEKVNAEEYIAVA >A02p016710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7515898:7517654:1 gene:A02p016710.1_BraROA transcript:A02p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPYYHYDHGGDSQYLPPGFRFHPTDEELITHYLLRKVIEGCFSSRAIAEVDLNKSEPWQLPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKSSWVMHEYRLEGKFSYHFISRSSKDEWVISRVFKKPGLANTGGSAEASISVSNGTGTSKKTKIPSNISTNYREQPSSPSSVSLPPLLDPTTTLGYTDSSWSYDSRSTNTPVITTAITEHVSCFSTATTTTALGLDVDVDSFNHLLPPVPPGFDPFPRFVSRNVSSLSNFRSFQENFNHFPYYGSSSASTMTTPVNLPSSHGGTGMNYWLQTTAEENETKAGLLNGGLDCVWNY >A08p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000111.1:53814:55992:-1 gene:A08p011140.1_BraROA transcript:A08p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLWNLQLPLQTAHTGSRLDRQSLNNPQVFNHITTHNQILSQAHYHLRFKESLTIKEPGWSLYHSRMPSTRHFLDSFLFTPDEEQPLDKAPWTRFARPLHTPLLPTRVFIRIRSCGLCKVLEPPDWLRESLGWKCSSLAHVSALFFGQIHSQALLFLSSYSQPPSSLSSSLKSLFCLLLLRTDLCPIFAPTLNRFRWSRYKLLGRLGPYGSVRSVHFRTNSVRPRPKFAHSTIHLQTPSMPS >A03p040470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16878434:16880566:1 gene:A03p040470.1_BraROA transcript:A03p040470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSCPFTEQDEVEAALDSVTVKSITLGDDNECKTPKRSVNFNDKTLESTILKGSGGKMVVEKSVSFKGMQLERMISLNRSVSKEDHTKENIGLHELDNVISVLDPSNPKHEAAIKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFEIEKHETAVSRWSRARTKAAKVGKGLSKNEKAQKLALQHWLEAVSYYRETHLNFQFPWIELSLILVYCHRLTRGIGMDTTCTFTTTSGFTVRVENLSSTGSYFSPTPFPFRLDIGEGKEVNLVEKCTRLKLQQQCIKYLGPMERKSYEVVVEDGKFFYKNSGEMLQTSAMEDSDSKWIFVLSTSKVLYIGKKKKGVFQHSSFLAGGATVAAGRLVVDNGVLKAVWPHSGHYQPTEENFLDFLSFLGENNVDITDVKMSPTDEDEFSIYHQRSTHMRNHSLEEDLEAEKTVMADPREEESTTVMADVETPKKMESLSTFDLEQESKPSEESTPRGGGAEEAKESEVVKIPEESILKRINSKKETRSFQLGKQLSCKWTTGAGPRIGCVRDYPSELQFQALEQVNLSPRSASVSRLYFSFSSQRQMPRMSPLWRGMSLPADITQL >A09p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:529219:529678:-1 gene:A09p000850.1_BraROA transcript:A09p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLRTKQAKTNPTVASSSTEEVSSLEWEAVNMNQEEEDLICRMHKLVGNRWELIAGRIPGRTAEEVERFWVMKKK >A02p047000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29400455:29402372:-1 gene:A02p047000.1_BraROA transcript:A02p047000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERIGKTQVTSGGGGFSPGKLRTMLLGVDRKNKQEPGSNQIHDLTASGSDDCKDVDVVTEITDSSTASLFEFQKAEKEKTTPRMSVRSFSKPAPSKWDDAQKWIASPTANRPKTGQVQAHASKKGPSFGRQCSIKIVDEEPDTKRVDVSQVNKETGGHKFVSWEIDSYLKPVVTSATEVNLSRHDSSMATAFAQPPSTARSVSMRDMGTEMTPIASQEPSRNGTPIRATTPIRSPVTSAPSSPGRGALSNEELSEKEIQMKTRREIMVLGTQLGKLNIAAWASKEEDASTSQTSKSVSEARASAWEEAEKAKHMARFRREEMKIQAWENHQKAKSEAEMRKTEVEVERIKGRAQDRLMNKLAEIERKAEEKRAAAEAKKNREAAKTEKQAEQIRRTGKVPSLLFSCSSFCS >A02g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1459196:1460145:1 gene:A02g500450.1_BraROA transcript:A02g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAYNHTDSELLKSENSHNRDAGSTASTAILIGDRLIVANVGDSRAVISRGGNAIAVSRDHKPDQSDERERIENAGGFVMWAGTWRVGGILAVSRAFGDRLLKQYVVADPEIQEEKIDDSLEFLILASDGLWDVFSNEEAVAMVKEVEDPEESAKKLVGEAIKRGSADNITCVIVRFLETASSSHPSSSSSNEANLIPPVGDLKISSSESKQDHQIDSEDIIRVNKPDNAHF >A09p043880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35694016:35695525:-1 gene:A09p043880.1_BraROA transcript:A09p043880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRKLIKGNKEGGTQAVASGIFEINTAVSTFQRLVNTLGTPKDTLDLRHKLHKTRLHIGQLVKDTSAKLKEASQTDHHRDVSQSKKIADAKLAKDFEAVLKEFQKAQRVAAESETSYTPFDPKTDLSSRQEVVLLENEISFNEAVIEEREQGIQEVQQQIVEVNEIFKDLAVLVHDQGAMIDDIGSHVENAHSSTAQGRSHLVKASRTQRSNSSLMCLLMVIFGIVLLIVVIVLAA >A08p006180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3520443:3521585:-1 gene:A08p006180.1_BraROA transcript:A08p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 43 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47450) UniProtKB/Swiss-Prot;Acc:O22265] METSSLVINYHQSLSRIIKLPHVPKSYAFSSFQSFQTRQLVNKQLSYRATSPAAVQRDNYETASFVEDDDESYGEVNRIIGSRMAAGGGGTAMEYLIEWKDGHSPSWVPSSYIAADVVSEYETPWWTAARKADDQALAQLLESSEGRRDANTVDENGRTALLFVAGLGSDKCVRLLSEAGADLDHRDIRGGLTALHMAAGYVQPDAVAALVELGADAEVEDERGLTPLELAREILKTTPKGNPMQFGRRIGLEKVISILEDQVFEYAEVEEILEKRGRGKDVEYLVKWKDGGDCDWVKGVHVAEDVVKDYEAGLEYAVAEKVMGKRMGDDGNTEYLVKWTDMADATWEPEENVDISLVQLYEQEEEAQPVNELELGIIKE >A10p029460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18047547:18050274:-1 gene:A10p029460.1_BraROA transcript:A10p029460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stearoyl-[acyl-carrier-protein] 9-desaturase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16230) UniProtKB/Swiss-Prot;Acc:Q9LF05] MSIALLLPSPVMTQKPSSITSPPRGFGSSSSRLLQVSCVTKNPARNNNVTCNNFRPIKEVNNQITHTIPQEKLEIFKSMENWAETTLLPYLKPVEDSWQPQDFLPAPETDDAFYDQVREIRERTKEIPDDYFVVLVGDMITEEALPTYQTTLNTLDGVKDETGGSLSPWAVWIRAWTAEENRHGDLLNKYLYLCGRVDMRHVERTIQYLIGSGMDSKFENNPYNGFIYTSFQERATFISHGNTARLATTYGDTTLAKICGTIAADEKRHETAYTKIVEKLFELDPDGTVQALASMMKKRITMPAHLMHDGRDDQLFDHYAAVAQRIGVYTAADYAGILEFLLRRWKVESLGSGLSGEGRRAQEYLCTLPQRIKRLEERANDRVKRQSKGSVSFSWVFGRDVEL >A03p053120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22805836:22807003:1 gene:A03p053120.1_BraROA transcript:A03p053120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERSKRTMETSGGKKITVEIKRFENEKASLFDLGHPLLNSIADSFVKAAGVGALQAVSREAYFTVADGAGSDSSENNGSKQHRFPNLRGESSKSLEALVKNTGKESLQWGLAAGLYSGITYGMKEARGGAHDWRNSVVAGALTGAAMAVTTSERTSHDQVVQSALTGAAISAAANLLSSIF >A01p055200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31293651:31295536:1 gene:A01p055200.1_BraROA transcript:A01p055200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMWRRSGIGGIEEEAAGGRRDEHDGGVAMFVGEGTSGRRRKKRIKGTWSREEDVMLSELVEKFGPRNWSLMARSIPGRSGKSCRLRWCNQLDPSLKRNPFTEVEDEAIITAHAIHGNKWSIIAKLLPGRTDNAIKNHWNATLRRRCTDFETPTTNTATGNLVLQDSRFDRTMNTFASSEETLSSGGGGGGGGHVTTPLVSSDGKEATSMEISEEQCADKANEEDISRQECNNDPPTLFRPVAKLSSFNPYNHMEGSSTPHTLNQNKFQSCKQDTAMLRLLEGAYSERFVPQKCGSGCCSVSQKDSLLGPEFVDYLDPPTFPSYELAAIATDISSLAWLRSGLESSSVRTMEEAAGRLRPQGTRGHRDHCLVSEQGKSRTNVLST >A02p020790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9773638:9796437:-1 gene:A02p020790.1_BraROA transcript:A02p020790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MAIDGSFNLKLALETFSERCPKVAAFPFFNSILSKGGEVVDNEEVINALSDVFLHPELTIPLVHYFLPIVRRVVDRVVGLLHLVGDLSSSSDYSDDVSVLENALKEGVSVIDFYVRRGQRLELHECACLAFSRALHLNTSLLGSILSYFKKARPPYERILVKEIISESQMEATTAAYLLCLQVAYRFLVIRPEVFSKLWDWSCYLESMKKLSDCPSQRRDFVEKHREAVWCGIQILSVVLRCTDRMAGCYGFEGEEAFSYLLRWEEFCQDIEIEKAGSYIQLPTYKGLRSFQDFSTLAPGIHKRQSVGVEEKEPLMKIRRLDTWDVKSFAEPFEIHSRVKKSFEMVSLAVSQKRPVLLYGPSGSGKSALIRRLADESGNQVVFIHMDDQLDGKTLVGTYVCTDQPGEFKWQPGSLTQAIMNGFWVVLEDIDKAPSDVPLVLSPLLGGSCSFVTSHGEVIQIAESFQLFSTISTPECSVSHIREAGNSLSPLWRRIVVYPPDRESLQNIVGARYQNLMPIADKLIETYEKVNSALRPQFSSSTTENSATFSSPSRFSLRDLLKLCERVQGLPSYDGHAISQEAADIFSASYMSTQNRVTVSEIVASVWNVPVPGSHHKPPIQELSKTLKIGRVSLPLGETASHDRSRFVETRTSTRLLEKIARSVEYNEPVLLVGETGTGKTTLVQNLAQWIGQKLTVLNLSQQSDIVDLLGGFKPIDAKLMCKMLYNEFIELGRNSQMKDNSDVMTWLRKNFRAKKWETFLRGLKKTIDHHVKNGEERSRSGRKRKKPEVIENWTRLSKKVEKIHQQICSGGMVFKFVEGAFVTALREGYWVLLDEVNLAPPEILGRLTGVLEGVRGSLCLAERGDVMGIPRHSNFRLFACMNPATDAGKRDLPFSFRSRFTEYAVDDDLCDDDLEIFVRRFLGGRESDSKLVGNIVCFYKEARRLSEECLQDGANQKPQYSLRSLYRALEYAIKAEGIGGFQKALYDGFSIFFLSLLDSSSAKIMKPLIELISKESSRAQPLQRYLGELKGSSDESVKKYVKTKSVIEHLHHLAHAIFVKRYPVLLQGPTSSGKTSLVKYLAALSGNVFVRINNHEQTDIQEYLGSYMTDSSGKLVFHEGALVKAVRDGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELGETISAHPNFMLFATQNPPASYGGRKILSRAFRNRFVEIHVDEIPEDELSEILFEKCEIAKSHATKIVEVMKDLQRNRQSSKAFAGKHGYITPRDLFRWADRFRTYGGKSNEELAREGYYLLAERLRDDSEKLVVQEVLERHFRVSLAKDDVYKEELLKLEQPFSMKNETVSWTRSMWRLFFLIERSYKLREPVLLVGETGGGKTTICQLLSEYKKLRLHILNCHQYTETSDFLGGFFPVRDRSKLVKEYENQVEHLKLSEALVPFSQDIDFSADIGRAEVLIKSIDAILKKYKNDSVLGMEVTPLNIEVLQNMRDTMVMLYQKWRAIFVWQDGPLVEAMRAGNIFLVDEISLADDSVLERLNSVLEPGRKLSLAEKGGPVLEEVVADEKFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPISETEELRSIASSVLSNSKESNIVDPIIHFWEWFNQLQTGRTLTVRDLLSWVAFVNVIHESLGPAYAILHGAFLVLLDGLSLGTGLSGRDGKDLREKCFAFLLQQLKVLTSDTLPLDISRMEQYGWGDAKAICQESKSVLRGDMFGIDPFYISKGDETPETGGFEFLAPTTCRNVLRVLRAMQLSKPILLEGSPGVGKTSLIMALGKYSGHKVVRINLSEQTDMMDLLGSDLPVESDEDMKFAWSDGILLQALKEGSWVLLDELNLAPQSVLEGLNAILDHRAQVFIPELGCTFECPPTFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELVEDDYLFICRSLYPSIPSPLLSKLITLNRQLHDGTMVDRKFGHDGSPWEFNLRDVIRSCQFVQGGKYDLEVESFLNVLYVQRMRTATDRKVVLSIYKAIFDKTPSINPYPRVQLNPGYLVVGTAAVKRNFTQSNISSEQLKILPEIRQNLEAVAHCVQNKWLCILVGPSSSGKTSVIRILAQLTGFPLNELNLSSATDSSDLLGCFEQYNAFRNFRLVVARVQHLVDEYSSLLSQSSQVTLLSKSKSLVSSWLSFLYKIDSCLLENPSSFLNDADSLSKLTEIVEYMSKVLGEGFLPVSWSRKCLDQITKTIVKLQSEEKKQSTKFEWVTGMLIKAIENGEWVVLENANLCNPTVLDRINSLVEPCGSITINECGVIDGEPVTVTPHPNFRLFLSVNPKFGEVSRAMRNRGVEVFMMAPHWQLNEEASNSDELVLRGVKRFLALSGIPGDKLVASMAKAHVHAWRNGQTIGVRITYLELEQWVHLFQLLLMNGNQLLWSLQLSWEHIYLSSLGVTDGKDVVDLGRDTYLSDTESFMGGNMCLSGGWPKPFNLRDLMWYSRETTVRQNCMYLEFLGAQYASHQTEITYNVKLRGRDLAAGERRIIYTADSWTLNKILFPKALIGSTPAAYTGKIDHDSASKKLLYAANWTMEQATEEDIQLYLVWISWFGSRLQQYCPFFLCFLNMLKDELQHPIWSHISRCRKNLKSLCIVDPDAVPIPMMSSKLIDIAAADEQSKPLSKTLFESLSSVGVLRLSSQQWVAENNYNHTDVSSFIRFLDSLRVLEKKILCEIVGSPSFSVLIQLYTEVIEKHSFFWSDLLSSSDECKLFSFWSLIKAVKKLESSFPEEVHVVLEESKNINNIALHGEPEKSLLWAYGGHPSLPVSAELYLKQQKFLQLCSTIWPLKSESDGQGNDHLTKAIAFSGPELCLLALEGLCISSYIADKDDAAAVQLDEINQMFLERMELEKKRLQDRMVFSENDNIENRSAACCIFRPEIVATGFGFSSWMEISSIARSESSSLDVELLAVLQHLLVSRSTEHKDLLDVRNLLKPALEYSLSSTRPPQTLVAHQKLLWAIDARASVLRVDTKIAGFVLEMWYWWHSVLWKNCQIGLMGLQSISEISNCRVMSPSMLIHPVKAATIDHILDTAFAVKDYPVQSMKFLSASRVLWKSAQSYQEMPGSLLSLARSLFQQMVYTHQKSYEPEVFADIKSAFHAVEKKQTEIDGIKSLISLISLSSHQKMKSVTRSFVEPLAKHLYLECSSNDFYSNLGLAWIYLGGLRFNLLNSLNVIDPAMKITCKLAELQEKISSLKLNIEVREECEYLSGLLYTGDSDERTECRLSKLKTERKKLRRKVIFRSDPNKYQDLRRALDEFAGFLTRPISLVKDIDKLDWNQVVEQVFNWQETATSFIDRLSSDYSEYVDITQPIQVSAYEMKLGLSLFVSGTLLGKILNRFDGDTVDSVMESIYALMRFPRGSSLASATYIESLPPLHLSHDADSRVKSLGLDVGLLHKLISVSSAHDTRKVSELQFKTTLYKNMHARVSHFVANTGLMDKASFEILDSIYFELARNWMEMKFQVRTKADALSGLYKFRSRDFKIESVMKVDISALGKYFPEDSFWQEYLADDDMNLMTQTDQGDENLEDDWDLIQEHVDNIYSTHNELFGFSDLSEKTGRCITDSQRLDSFTDSYELGVNMIKGLKGLFTSNLDAKLVPEHLLRLCMEYKKTFTSNDLSSSKYNFYKDFDGPELGKMVKFLTPLQLRIYSLLQEQEEHPGLQKLSDILRMLMDIPSRTPLAKALPGLQFLICKVQKLQEEGCKLPISDLLEPIISLASSWQKLEFECWPTLLDEVQDQFELSARKLWLPLFSVLFQKDCVEFSEYGNESISQSLVEFIETSNIGEFRRRLELLLCFLLHLSMGSSLGIYSSDSHKRKVEICYNIFGFYIQFLPVVMEQLDLNRKNVEAQLKDHLKLCRWERPDNHLLNHTIKNTRQKVKKLIQDFTNTLQRPVMLDRPNLAKERVQFLPLLGPDLINGASNMRIEVLVSNLDAEQLNDRFSWYAVWRNKLKESVGRFHQEMHFKELLTGEDHQYPSPVYQGDWKILWSTVSKIGEKIASCSDLWRNSDRDVAKKRALPDLLKSLEQCGLQKHKFENVEMSNHFKGLLYQPAYDPNHLLLPTHTKGSIHTSRVVEDQNKESSLAEWRAANEFYFKSLASVQLMLNIERKHSDITAEQVKRSISFLNHLVEIQRQQRKTAYGFAELLNCFRHRVLPLAKLLGDSVEEDRKDDSLLSFPQNQHAIFNCMWLQKQLFDKISAMLLEELALLRTIGSTHLDSCQSVKTSSHSLLSLIEKLIPIAQNSKASLDRLLLDSNGFISTPSSCLNQFVTQHMVKLLCHNFDQLTDLENQISSFCEDNEKTYATDVLLSHFSPFFKEGKLLAENLNCLLETGDQSNGMEPKEQAAFEANLANAFANVKDVIGKLCSYKDGSVSQEEEGNILMWDGLFKKAENDLSLDSLCKLLSETFGSIEKLLNSSGVLSACVGDQLKQLQAFLDLLLNFGDSYLQEFLTISKRVSLITHVLATVLADLFTKGFGISKNDEDDDSKAEKSEAAEGTGMGDGVGAKDVSDQIEDEDQLRGTDKKEEEEKEQDTPDDVLDKNKGIEMTDEFEGKEYSLSEDSEEEKEDEESGDEQLDSKMGDAGSDAEKDDEKPWDKDEDEEAGNKNEKNESGPSVADKDTSSRELRAKDDDDVDTADEPEESNTSDKPEEGNEENVDQDNCGDPENLEDEIKNQEALTDTSGPTPEMGNEQTDDAMEIDETDEVEKEDEQEEPCPEDQKHPEEVENDQEAQEPAEETMEAGTEDVSESPQKEEPGNDLEQKSETEPIEGKEVMSEDMKPNVCDDNISGVDAGSHNPHGSNGLGAGSTAPQENLAATNVSEELTNSMDPPSGSNTEMNLTMTSMASGETLTDNIPKMEVPQSQSSTSQQTKVNPYRNVGDALKEWKERIKVSSDLGEKQEAENEMEDPDAGEYGFASQFDEGTSQALGPALPEQVNTDMREGESEDEKLAGNQDEASPMDIDDANPENKPAVQSKPSIMNSTAEPVQEPDTDRTLQENSPIQNVGDGNSMMDSMVSVDNTFMGEDACNPDWMQVADKDTESNQDNEDPDARSNAVILWRRCELLTTKPSQELAEQLRLILEPTLASKLSGDYRTGKRINMKKVIPYIASHYRKDKIWLRRTKPNKRDYQVVIAVDDSRSMSESGCGDFAIRALATVCRAMSQLEMGSLAVASFGKKGSIKMLHDFGESFTTESGIKMISGLTFKQENLIEDEPIFNLLRNMNEMLESLASTRRQSSGSNPLQQLVLIIGDGKFHEREKLKRSVRKFLQQKRMVVYLLLDNAEQSVLDLKEFVQTEKTLQQMTYMDSFPFPYYIVLRDIEALPRTLGDVLRQWFELMQSSRD >A09p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11105307:11106632:1 gene:A09p035830.1_BraROA transcript:A09p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDMLLLDGQSSLIQASVSVHRLNTFRELLREGAMYELGGFDVTRSNNRFKHGDSAVAIRLNKFTNMVEVPAVANLIPTEMFRFRSVDQLMSLANTNVELPRAKVVLAINISPMLVGGELLRHVGSLSLNATSGTHFYFDKEWHKQCLTAESNEGPSEVALLLPWLMEKELLFMIQLRPLLMPVTLERKK >A09g503620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:11324231:11325013:-1 gene:A09g503620.1_BraROA transcript:A09g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNDLNTAVQNRLTGLLNRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRVNMFKTAHQLDPSATLFVNDYHIEDGCDPKSCPEKYIEHILDLQEKGAPVGGIGIQGHIDSPVGPIVCSALDRLGILGLPIWFTELDVSSINEHIRGDDLEVMMWEAFGHPAVEGIMLWGFWELFMSRDNSHLVNAEGDVNEAGKRLLAGKKDWLSHANGHIDQNGAFAFRGYHGNYAVEVITSSSQKVLKTFVVEKGDSAQVITVDLQGL >A06p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14655248:14659095:-1 gene:A06p025650.1_BraROA transcript:A06p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKNSKQRHHRSMLLDSMYPVVALMLVLVACVELCDAATVVDVYRLIQYDISGVPFGSRFSSLNHHAASLSFQHGADLSRSVLILPLRELDIAFVQDYISQKQSLGGLLILLPQTFRPGYIGGGETQEGLRVLLAQLEKLLLHTNIPFPVYFAFENEETDAMLADVKKNDALGQQATATTGGYKLVISVSEPRKIASPTITNIQGWLPGSRADGDSNQLPTIAVVASYDTFGAAPALSVGSDSNGSGVVALLEVARLFSTLYSNPKTRGRYNLLFALTSGGPYNYEGTQKWLKSLDQRMRESIDYAICLNSVGSWDNELLVHVSKPPENAYIKQIFEGFSNVAGDLGFQVSLKHKKINISNSRVAWEHEQFSRLRVTAATVSELLTPPELLESAGSLSDTRQHVNEDSIIKGVKLVAESLARHIYGHQGKDIQIFADNSSLAVNRFYVKSWLDSLSQTPRVAPFLSKNEPLIMALKKELEDYTAEVSIQQESLDGIFTFYDSTKASLNIYQVASVTFDLLLLLVLGSYLIMLFSFLVITTRGLDDLISIFRRPPSRKMKIV >A04g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7680793:7681408:-1 gene:A04g503540.1_BraROA transcript:A04g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYLSLKDGEYGRCVINYNLKTGGSTLFKPLVQQSWMKGYGMKQCSRSLLIYRSSNKRHSYALWKLCNRPTTTPLIAEAMALLLAVQQLHAFDYKNVVFLGDCMELFNNLDSSSQQGTCNMKKICEANSIIQDILHSANKRGFKFHYISRSLSNVADVLAKNARIRNQGYVISRPNF >A03g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29783923:29786192:1 gene:A03g509030.1_BraROA transcript:A03g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFSSFGFSDLEDFWDDLLVSRLEVVWKSSGSRVDFLKVVWTSCKVVWKSSDFLNSSGLLRSRLVLSTQVESKLVFMTYNSVVHETTEIRLKLQISKSIAKITYALTRRLPGKSSTARRLPAYIRLLQAHRITNESHPPIIVSFYNSMNHKNFRIKILGFFSSLWRESERYVVFSSQGWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3308502:3310048:1 gene:A03g501050.1_BraROA transcript:A03g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTQDEDEKLIDHIQKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTEEEEETIINLHSLLGNKWSSIASNLPGRTDNEIKNYWNTHLRKKLLQMGIDPVTHRPRTDHLNVLAALPQLIAAANFNNLLNLNQNVQLDAATLAKAQLLHNMIQVLSTNNNKIPSSSPLTMQTNNNLFGQSSYLENQSLFGQPQNFSHIPGANHDEKMMVENQMIDQPLDSFSSLMQMDVQDDHNSLPLLVAASPEESNQSQMMIKNKDIVHRHDTSNPSSSNSSFTQDHHQPWCDTIDDEAGDSYWKEIMEQTCSEPWPFPE >A06p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10863050:10867657:1 gene:A06p021830.1_BraROA transcript:A06p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKSAWILLLFLVVFSSFCFRFHYCYSELSVKFLKTPPTVSRFYSAKFSFQAFENGNTTCSSCKFQCKLDDQFSVDCHRRRVSYSKLLDGNHTLEVCANRMPRFGCNVYNWTVDTVSPTASVTASMPFTSAQNVSVNITFTEPCVGGGGFRCSSVNACDLLVYGAGQVIPSSFTVLENDLRYSLLVGLSPDAQYGRIVLVMDKSVCSDTAGNSFKRALGSRFFVHFDRRNVFVNLRTHVPEKLLKLNNQTRTVQATNDNDKLNVYLYFSEPVLNSSAEILKLLSTNHGDLLPIDGKTNGNRRFAFMVTNTSRRAIVTVTLDSNSIRSRHGTPASPTAPLTFLYDTERPHVVLNTTSGMRTRKHTIPVWIKFMKPVFGFNSSLVSISGGYLDSFEELSGSIYIVYVKANTSTISVKIPENVTQDVAGNKNLESNILEVTHYSVSVMSSVISWISTYIFLVTCCVAGLLTLSTTSLYSLGAFPRPSPYLISDPTRNLFRTACHIQFFALTRWLPVTLPVDYYEFVRRIQWIIPYFPLPWETKHSEQIMVASSPFIGPHSFIAKTYHNNMNLETATKAEPVFGLPLTAMEYRLFFETPNLKPEAEHVLGLPHPKVWRDFYRIMFWIAIIGGSLVLLHILLSLILKFKKAHTEKKRSFGAFVFPRFELFLLILALPSICKAARSLIQGYFKQHGAAEANVIVGILVLCIVAILLLALFLFLSVGITLGKLLQYKEIHQEGQNFHWYQELIRVTLGPGKRGQWTWKKEDKSIYLTRLGPVFEDLRGPPKYMLTQISGSNPLKQRDDRIIASDDETEDAEAPCIQKLFGILRIYYTFLETVKRVCLGIIAGAFLDNETSKTPVVVLLCITSFQLFFLVLKKPFIKKKVQLVEIISIACQVGVFASCLVLLAEDFPEASGQKLGIFMVVLFLIGFITQMCNEWYSLYKQTKRLDQINRSFLSGLKMFIIGLAALILPQKLMKSKIPAAQLEGRSSSSNGGIAFSTPENRYINSSGSRSSGSLDKPWLRQIREMAKASFTRDRSSSKVPSDPSGSKSGWSSSIWGTKTSGSSSKDSSSDYKSRPKGLYKDLEAIFASK >A10p023590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15386782:15391856:1 gene:A10p023590.1_BraROA transcript:A10p023590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLLDISGEDESNWLMRDTPVKGVASFSGGREKSYLDCSPLQIPRSSRAVPPRPPFSSIGRVTGNTSNVEQPSAFTDTGSVGKENSSVSKVELSVERQQMKKKKKNAGFNLRKSLAWDRAFSTEEGVLDSTELSKITGNACLVGGDMLSAIQEEATSASKFTNVSPGLQALEENLFNDLPVNSKRREKKIVIGTVPKPANVHTTTPRSREPSVSKVSKTKSDPVSNNMTKITRSPSKPKLSQPTQSSNSQRSLGSVSFSKGTSSTKSKTSSSVASRSSIPKPSLRQSRRNVITKTSGAPSASKSQHSVAGKSNDGPMTTSEMDMLGHASNIANSDLTNMGTALAQYSRVGNTQSAVSRLAKPSGLRLPSPSIGYFDQSGSQPSHSAEEKHNQLPKSDIGSASRFSLIPTSKKPQFSEKVPRVNSKLATGNIGSSGPAAGFSAQERVKIGLKSTWKIESEVSSCSKVSQINESLQHPCIFPGDICTSENISTAKCEDFQSSSKLPLSRMSDDNTDEQRKTLCSVEGPFIKGSMDSPVQGRSGDELPRLQVRNQEEDVCTMNAFNEDLDTLDVHLKPQKECVHPGDEDGMSHFLSGENDVLIINHSTENVAKQSEVLDSLTSDPGPADAPDCVQSSCSRFEENTVLDSVPCGHNIECDGQAVVETEKGIDVSDSTETNCEADFVGPFPDCKDWSREEGSREIDVVTRKERADTDDVADMQIDYLTGSTADEQNIDQVNLLMPSSAEVKMEDKSRESSHETCSEKLTSEKHIQYNCSRAADTSDVKDVYVMKQTDQLETSDRCCPAKDVSATVFSYSNEELEDNSELEDMDLVTESDSSDEEPDGNLNLKQVNLVTELESISDLDEFPIKGVPDQEYLELEAIHTAMDQRGKPNTLLSESIGSPASLSGDPESLNQDTVFSRSSEGRSSQDSNSGCIVCNYVGEAEGQTDTEKDFSTRVTGQEFASHEEGEVQVTKISRDPVEYLTREEESGAPIIMKEAFSARDDMQPDEFTVLSDDTLPSESGKDSSAQVTDQELGSYGDVEVQVIKISPDPVVYVTREEEPRTPMTTNEAFSVRDDIQPDDDNLTSESNEVHASGSSSDRTCLSEGKDKTVPMDAKSEKKPDPIIVKPPNAVPFSDEWLAAIEAAGEEILTLKSGRVQHSPTDKTVPEPGPWSPVKKKNNQGVGPFDCTKYTNKGLPPTLD >A06g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6386326:6387931:1 gene:A06g501700.1_BraROA transcript:A06g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSWFSRRGGGPSEVDDGGIASIQNVKIYKYKEIRQATDDFNPHNKIGEGGFGSVYKGHLKDGKIAAIKVLSAESRQGVKEFLTEINVISEIQHENLVKLYGCCVEGNHRILIYNYLENNSLDKTLLGNSPTLFNLGATLGVGYSLIGELEPRSASGGYLAPEYAVRGQLTRKADIYSFGVLLMEIVSARSNKNTRLPTEYQYLLERAWELYERNELVDLVDAGLNGVFDAEEACRYLKIGLLCTQDSPKLRPTMSTVVKLLTGEKDIDTRKITRPGLISDFMDLKVRGPVVETKPDDEVNRNNYTNPSSYNASSSSGTRDNSNVYSSGASSAAAVSSFSSTI >A05p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:599626:601005:-1 gene:A05p002040.1_BraROA transcript:A05p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 10, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G46910) UniProtKB/Swiss-Prot;Acc:Q8W4F1] MDRIASAAIFCPAFSTPRVCKIKPFGLNFNTDHRKRFSCRVAVASGNTTAKVTVEGFNGGEAIDLMKLDGTWRLQYTSAPDVVVLFEAASRFPFFQVGQIFQKFECRDRSDGGIIRNVVQWSVPSLLEEQEGATLVVTAKFDKVSSRNIYLQFEEISVRNININEQVQSLLAPAILPRSFLNLQILQFIRTFNAQIPVTATSPGRRSVGGLYYLSYLDNNMLLGRSVGGGGVFVFTKSQPLEL >A09p000440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:262986:271056:1 gene:A09p000440.1_BraROA transcript:A09p000440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHPANCTSAVNNSATGGGSSGRSDSSSIGNYSLNSRRPPPLTPYKLKCEKDGLNSRLGPPDFHPPTATSPEENLTKEYAQSGYKETVDGLKEADEIILTHFHTFSKPVVIKCKEAVRKCFRAINESRALKRKAGQVYGVPLSGSLLCKPGFPELRSCGEETKKKWIESLSQQHKRLRSLADNIPGYKRKTLFEVLIRNNVPLPRATWFIKVTYLNQVRPSSGSILSGTPDKTQITRCEQWTKDVVDYLQYLLDELLSRNNSYPSQQTRDRSPQMLYTGSIQKISPASASLYGEETSLHFKWWYMVRLLQWHLAEGLLLPNLIVDWVLRLLQEKEVVETLQLLLPIVYGVLESIVLSQTYVQNLVAIAVRFIQEPAPGGSDLVDNSRRAYTLSALTEMIRYLVLAAPDTFVASDCFPLPPSIAACRPNDVSYASKAYENLEKLRSNSAEISTQFQGRGVDSRFEFLSFDYTISTVQRSADDLAKIASAGYPQHNGAKAVQALDKALSDGDIRAAYGYLFKDLCNGAVEETWIAEVSPCLRTSLRWIGAISASFVCSVFFLIEWATCDFRDFRDRVPKDIKFSGRRDCSPVHLVIQLLKQKSLGGEFAARRGQNHRSNFLGVSKPSGSMDAFESPGPLHDIIVCWIDQHEVHRGGAKRLQLLVFELIRSGIFNPVAYVRQLILSGMIDVIQPAVDLERRMRHHRILKQLPGCFVHATLEEAQFLGGYKLSEAVRIYSNERRLLLRELLVGKGKHVNTLALSDQKSKKKSTSFPLVDLPRTFDAMGDSEGLRKHTKSSVDIRELKERIAALLQFPHMSCGVTNPVPDEFQSSVKGSIGSVYSKVDQLEATPGCEDCRKAKRPKMDDEKSSWSSSIISDEEDNWWIKKGLKTVEPSLKVDPPIELTKQVPRGRQKMARKTQSLAQLQATRIEGSQGTSTSHVCDSKVSCPHHGHGVEGENHWVVDVFRTSTPVDIVSVGNSLKQLQFVDKRSIAVWLTTVVRQLIEESEKSSVKVGQFNKAAPVEESRITRWKLGADELSAILFLMDLSLDLVLVAKFLLWLLPKGNSSPNFAIQGGRNLVIMPRDVENNTCEVGEAILVSSLRRYENILLSADLVPETMTALMARAASLMSSNGKISGSAALVYARYILKRYGSLPSVVEWHSNFKATCEKRLLSELDHTRPGNGEYGIPLGVPAGVDNPDEYLRKKITRPSRVGLTMREGVQRKVEEATQYLRKLMGTGTMKASSPAEKNDYGYQVAQQIVVGLMDCIRQTGGAAQEGDPSLVSSAVSAIINSIGISMAKNSDLSVHPSGVGSSNIARYVLQIHITCLCLLKEALGERQSRVFEIALATESSTALSGAFAPGKGSRSSQHQLSPESFDSNANITTNDMPNGSGKIPLSRATKVTAAVSALVIGAITHGVITLERIVGLLRLREYLDFVQFVRRTKSSSNGSARSVGASKMENPVEVYVHWFRLLVGNCKTVSEGLVLELVGESSVVAVSRMQRMLPLKLVFPPAYSILAFVLWRPFVSNGNSNSGVHDDTHRLYQSLTMAFHDVIKHLPFRDVCLRDTQGLYELIVADSTDAEFASVLELNGLDMHLKAVAFAPLRARLFLNSLIDCKVPSCGYSHEGGGVSEAAKNRHQGNGTSLVDKLVSVLDCLQPAKFHWQWIELRLLLNEQALTEKLENHDMPLTDAIRSSCPTSNEKSEASENEKNFIQILLTRLLVRPDAVPLFTEVVHLFGRSVEDSMLKQAEWFLAGQDVLFGRKTIRQKLIIVGESKGLPTKPQFWKPWGWCNNSSSDPITGNKAAAGKKRKLETVTSMEEGEVIEEGLGSKKLLLDEKNRLCFGVTTERAFVKLVLPCIDQSSDESRSTFVNELVKQFSNIEQQVSSVTNRITKHMGTASSGSTEVSSSKGSTRKGLRGGSPSLARRSATTNTTDTVPPPPPSPAALRSSMSLRLHFLLRLLPVICREPSFRNTRHTLASTIVRLLGSRVVYEDSSPRNDLSKSETESTTDPSSMADLSNEVLFDRLLFVLHGLLSNHQPNWLKPRSSSSNESSKDFTLFDRDAAESLQNELSRMQLPDTIRWRIQAAMPTLLPSLRCSLSCQPHSVPPTALTLVQPSGSAAAGLNQRNSPAIPKTVTAAGQGKLKQTMLSQSQQQQEAENTDVVVDPWTLLEDGTSSGPSSSNPLNNSDMGNVRATCWLKGAVRVRRTDLTYIGSVDEDS >A05p048630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28434757:28435117:-1 gene:A05p048630.1_BraROA transcript:A05p048630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAYGKELYKKTNETHGQFAFTTSESGTYLACFLIHHDQTHYTASNSTAIVNIDWKMGIQTKDWDAVAKKEKIEASP >A05p024110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11685893:11689881:-1 gene:A05p024110.1_BraROA transcript:A05p024110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNDEGIWYEVCLEEFGNVQAVLAVQVWVPNLDQTHQKSLGENVTLKELHRVLTLELMTIEGIKSACDRLDQAVKFGFMRCGHSTVMYATRTGADLRLKECPTPNTIMRPPLHEKDDMYHHASESHKYIAPERNTTRFVFSLDVSKPQEGVRVLTTRVHLYIDTSNQTHKQLQSNHHAVPAVGNSQPDKLKGLGIMMQQLLQSQQVQAKAMNQVTTDINNRMDNMYTDLTERKKPEQLSAETALGAEERTEHPASSELTAPDKLDEIPPVRVYVPKVPYTIPPRHLMDPISAEQLAGETRGEMKALFIEALTPSLKALPKVYDPGKIYFPCSIAGVEFKEALCDSGYSVNLVSKAIVDELGIVDVEPSLVTLAFGKSSTRVPYGTIRNLHVQVGDSIIDLPNKRVSFFNINKKVFYKTVPKRSQIRYASCISVVNGEQQIIIRKEFGEKAEIKEVLDGDPHDTKTLSGNAKVNEKVQKKRVKGDPMITLIPRLCDDKSIEYEVKCKGTSKPFSKVRLILTHELKEKGEAVVKGLLSRVLKLNISDCGACFGTSPHAQTD >A06p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26020217:26022440:-1 gene:A06p049220.1_BraROA transcript:A06p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRSSMESSETLRNKCAACYRQFNKMEHLVEHMKISYHSGHEPTCGVCKKHCRSFESLREHLIGPLPKQECKNIFSLRGCRFCMMILESPNARRIHQERCQFSSVNAGLTTRMAALGLRDKAMIDYTSSRSPKMVALSCKMVGGGSDGSLDLCARVCITDENDNVVFHTYVKPSMVVTNYRYETTGIRPENLRDAMPLKHAQRKIQEFLCNGEPMWKIRPRGGKGRILVGHGLDHDLDRLQLEYPSSMMRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHVGIQDPYEDCVATMRLYTRMRYQKHKIEAYPLPADVQNRSNQVAWRQSEVERMSPNEMLSISRSDYYCWCLDSLA >A10p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14168225:14174134:1 gene:A10p021050.1_BraROA transcript:A10p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:Projected from Arabidopsis thaliana (AT5G57655) UniProtKB/TrEMBL;Acc:A0A178UDJ9] MGSATSVNLARSEEENPSLTQRESSPIAQDGEESKTLVEDSNETKEKEVEDGEECSFCTYIKAGECKESWIELEKCLDEAKKKDGESDVTKCKEARKMFKTCIYDNPVHYEPVIAAETYMFAKMLSDLQAKKEAVLVDKAQVVAKMLSELKAEKEAILSGDAASIVKALSKLQKEEDETINIMPAEAAAIAKKFSELEAKKKEKEEKVLFVWSRTMKKVCLFMILVCLNAASFLVSAESQTCPADLGGKCSDSDDWQGEFFPGIPQIRYEGPSSKNPLAYRWYNAEEEVLGKKMKDWFRFSVAFWHTFRGTGGDPFGAATKYWPWEDGTNSESNKNLDEVIELAKELQKGSKIRPLWGTAQLFLHPRYMHGGATSSEVGVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQTLLNTDMERELDHMARFFEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATAANFLRKYGLINEFKLNIECNHATLSGHTCHHELETARINGLLGNIDANTGDAQTGWDTDQFLTDVGEATMVMMSVIKNGGIAPGGFNFDAKLRRESTDVEDLFIAHISGMDTVARGLRNAAKILEEGRLSELVGKRYSSWDSELGKQIEEGKADFEYLEKKAKEFGEPKVPSAKQELAEMIFQSAM >A05g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27694710:27701469:-1 gene:A05g509210.1_BraROA transcript:A05g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKKKKKSRNLLKGSSKMARLQGASKPAGNPRKLAKASPLASASCADAVIAASAVMMSDTPPSVSAVNSEVSVDLQSSPSPTVEKSITLTADEEKSTSAVVGELSPQITEKDTPSAQAKDSQEVPLLVNTVKEQPAEARSLGESSEVKSYASLLKQYAVLEELGTPSEHISGAPFVFIPDDNIAAAKEEFRDFIYARFHGEWPSMGRIIGVVNAIWAKAGPRIFVHNIGPGEFLLKVTNVKTRELLLARTCWNVAGFPMFVAPWSPDFNPEEAPITDVVVPVELRDVPYLLFNQQSLSRLATAVGKPVSLAPETERKENFQVAKLFVKVDLTRMLPTKIITGFSNGREVEVSVSYPWLPMKCEACGKYGHLRVRCRTHPQSCVGERKRSVSPSTEKAKDRPRNQSRPSRGKESRRTAQSVGAVHHETETERKSPSVQPLEEGEIPTDVHKEVTHDLADGVVVVNFIGPVQSSAMTVPANSMGPGNGSAAQVSTSWSEDPGKPESEDPFLLVNHQRGLNSDRRHSMVKDWINIQRPLFGAFLETHIQENNLRRIRNAIPNGWEFFGNYEHHDSGRIIVVWDPSVRVFIYKSSAQAVTCGIFLMAENVNYTVTFVYGFNTVAERLTLWEELVGIHDSTPVLNSPWVVLGDFNQIFRLSHHSCYPNALIDSAGIDDMTSALQDAELFECQAKGSPFTWWNNCDSNPISKRIDHALINHPWESHFPDSYADFLEPKQSDHAPCLFRIPSVSRHQRKPFKFYHHITDHPEYSSVVSEAWANVVVEGSHQFKLVRRMKLLKTDLRRLNKTHYSGITGRVKHQSAIVERLQTSLLTQPDPATASEEHRERAILNVLLNAEHKFFRQRSRVRWADVGDRNTTFYHKTVTERNSRNHIHYLLDDSGRKNRNKEAGIFFNGGSVVANLTGGGCSEWSQPRGRDGGSGASSSCCGV >A10p017570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2388229:2388986:-1 gene:A10p017570.1_BraROA transcript:A10p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKTNAGSLSPTFDHCQKIHLKFRSLSLIVKKMAGRGKTLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKSGPSKAQED >A03p024980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10505589:10507712:-1 gene:A03p024980.1_BraROA transcript:A03p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLARFAFRSQLRASVSFRQSASCCSSYSSSSAASAEAERTIREGPRNDWSRDEIKAVYDSPVLDLLFHGAQVHRHVHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGVKAQKLMSKDAVIEAAKKAKEAGSTRFCMGAAWRDTIGRKTNFNQILDYIKEISELVLTVRRMNVMLNIYWLSLHNRGMGMEVCCTLGMIEKQQALELKKAGLTAYNHNLDTSREYYPNVITTRSYDDRLETLEHVREAGINVCSGNFDMKQRTSLVFTDSIDTLIEKNKHVLKCFSFNRKTGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALLAVKGTPLEDQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFTMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKTLGLIPKPPSFSEDDSESENCEKVASASH >A02p008530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3517825:3518156:-1 gene:A02p008530.1_BraROA transcript:A02p008530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFLLVFFSAVLAGYFAWKTVSSSPELISDDSPVELNDKQGLSFNKKMENGFWVFVDMASGRYLWRNLKEMREKTQ >A09p050920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44581377:44581844:-1 gene:A09p050920.1_BraROA transcript:A09p050920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRDNVVESSDLFASLLRLTHLLSRISSFCKSPSSLSLNILLHTDTWRSQDNNRDASSLFCDEVTPPPLLCDRSAPLPRLVSLENQSSGVGSGTEAGLTA >A06p005920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1938278:1949165:1 gene:A06p005920.1_BraROA transcript:A06p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAKASFRLLQNSLLVIGFLIGGGGATSLSQAFCFFLLLDYTTKAAKRAITKNRCRLSLLSSSMAMVEEPILSRLDRIDVMVRKLEEMKGSSPRSSSPSTPSSGTQPSSLDLSSPRSTGKQVQCRPMEQVMEETERKGTLLERLNNVEEQVLKLCIKFEEEVEEESKRDDNSKKTKKKKGLRKLVDKLHSSSLAAAMFWKLTSLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFLRDKAQVEQLLRYIVEEPPNDADDSKRAFKFPFISCEVFTCEIDVILKTLVEDEELMDLLFSFLEPSRPHSALLAGYFSKVVICLMVRKTAALMNYVKGHQSVLCRLVDLIGITSIMEVLVRLVGADDQVYPNFLDVMQWLADNNLLEMIVDKLDPSVSGDVSIFINRPEALYCNLSNLNQSPPEVQANAAETLCAISRNAPSALATQLSSPGFVARIFGHAFEDSHSKSGLVHSLSVCTSLLDPRKSAPSSSMFNSYRGQQMFESPVPVSQETIGAMLPKLSDLLMLLNVASDSTVLPTTYGELRPPLGKHRLKIVEFIAVLLRTGSEAAQKEYPFNNALHHQVETIILSCLENKSDLMVNHILRECNMIGKFLASDRDSDLSGDSQPTAAATGKKPPRVGYIGHITRISNKIGQLSNSNDQLKAYLQENSEWNEWQGSVLQERNTVENVYRWGCGRPTTIQDRTRDSDEEDKDYDVAALANNLSQAFTYKMYGNDDNEEDHNALDRDDDDVYFDDESAEVVISSLRLGDDQGSLFTNSDWFTFQDNRFGSTPSDAAGSNTLQDEELNRAFNANTSSSDDDEVVVGEEDDDLTRNPKDSAAETNYQMESPMGFFDFNTLEKTEEALAEQPPEWVGWSEPSSDMQVSGTGLNPFLDDEDDDDSKNMMNLDIPTPEAKTEPVIPNGSSSPTEKSLFEKDVEFVGVEAEGTEKAMEQAMKEGTVGEAGAMKRKMETTVENQKTEEESSGVKEFNDNNYWKVDQEVGVME >A02p049450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30662202:30663919:-1 gene:A02p049450.1_BraROA transcript:A02p049450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLCVFYHRLLDFRKPEVEALAELFGEDESLQWRLPEHHHNDTPFHFVHLSSEEIAQNIAKRSILVKGMYELWGEGTCYEELRDSIESFPDSRKLPFLASDSTFRISVETFGKALTFDEQRERINSLTYIPFEGKVNLKNPDHKFFIMEMDESEENNGLQPILQRRIFFGREVGFADRKLLPTFQLKSRTYLGPTAMDAEMAFLLANQAKATSGRLVYDPFVGTGSILVSAARFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPTPVALLRMDNNLPPWRSGLKELFDAIICDPPYGVRAGGRKSGGRKILRGTVDPYTVPEDKRTDHIPSTGAYSLVECVHDLLHLAARMLVMKGRLVFFFPVLRDENGSEVKFPEHPCFKLVAVSEQILSSRYSRVLLTMVKVEPYSEEVEEAARLMHVEFRENHLKWLEEGNIHSSVFKPSDSSQVHADSKSFKDPKPKYRGKYV >A01p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1968169:1969682:1 gene:A01p004610.1_BraROA transcript:A01p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTKPNLLSNLPDCLLVLIISFLPFKQSVQTSVLAKRWKNLCRETTNLVFKESEFGLNQFVVDTEAKHSERRALFVSVMHQWISRFTGNTIETFELCLPEPVGFEEDIMSLIEFAATKQTKNLVINLSSSNSRQPPGALHIKLIYNQKNGLDITRLFSNLIYVRNLTICPFLLEMMIQDCDDPMKLHDPMKTRHLVIKTHMYPHEFGGITIFLNSCPELESLTFETYTTGPIVMSQRYWPLIHPKTFWLNNKTYECLERTLKAVKVINFCGIPNELHVLQYLIRTGRVMERLDLHAAKTLNNEQRRLVLTAAEEFQKNVERGSRHLRVTLHNA >A02p003850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1588061:1588966:1 gene:A02p003850.1_BraROA transcript:A02p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOWNSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10130) UniProtKB/Swiss-Prot;Acc:Q9LX15] ITKPLRQINSIVLNLFMEMARSSVPLIAVLCVSLLPLAAMAIGTPFHIEGSVYCDTCRFGFETVATKYITGARVRILCKDRVTLKQDVVGEAVTGHRGIYKVAVKGDRQDQQCFAELVHSPLRKCQVPDPGRSTATVILTRSNGAASTRHFANAMGFFRDEPLRGCAALRRYYLADGDSRAI >A09p074180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56339524:56339924:-1 gene:A09p074180.1_BraROA transcript:A09p074180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYWSVALVLLLLVAFFSSNHSVHGRSLLRMTHSSQAVRDLHTSKEMKEEKLLRGENDSFRRIPRTGSNPSQNKYNLPVGVQGSRKQQITAAARKP >A06p043770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23554243:23557912:-1 gene:A06p043770.1_BraROA transcript:A06p043770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTGNDEVMASQCASAMVEINIKTLDSQTHTLRVDKCVPVPALKEQIASVTGVVTEQQRLICRGQVMKDDQLLSAYHVEDGHTLHMVVRQPIPPLAEGLAGNAAADPAASAGDQPSSQRSRVLVGSFNIDQADGVYSDLGQIVSAVLGSLGISNTEGGNGMEGVDAMGPLLESLTRSSGGRSVTPNEADQTSTPLASSQPAVIPDSLSTLSEYLNHLRQEFAANGSNANNLQVPVNSMGNVQESASTTGEGRIPRPSHLAEVLQSTRQLLTGEVADCLSNLSRQLVDHVNVTDPSTRRSCQSNMIQSGTLLENLGISLMELGRTTMMLRLGQTPDDAVVNAGPAVFISPTGGNPLPSHSSRTGTSIGGLQAGTTHSSPFGGQSVTSTPRNIEIRIRTGSWMPSSGTNQREESNTQQTPGQSVPPPPSGTTDSAPSVRGPSETPRNPVALVIPVVARYQQVSTGERNSTGLNGGHQPVAESSRQPQSASTPGDSSSAPGARGFAEFRNRIHQILRPVTSREEPQVAVYSSATASTETNEAVSNAQVEPAATGMDEGNFISSVLQQIMPFISHNVPSSSSAETAGRGSNSNRQASSRQEEEGAERGESSRRPEPPSSPESKRQKRE >A06p053830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28302626:28303040:1 gene:A06p053830.1_BraROA transcript:A06p053830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLYNNKQKELRIVSISFLVSTEPILIVVKEDETVAKGDDYVLKCYACEGRLPLVGSDSSFSLLYCPYTLQLKHSVNGENWFNLKLEMEGNKSLGLMVVHFSFWFSC >A03p033610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14230194:14231151:1 gene:A03p033610.1_BraROA transcript:A03p033610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVAASMAMAVMVPRVPSVSARCSAVPYLPPRSFGRSSFTVPVKLLSGNGLHKVELMKTRASSSDETSTAIDTNELFTDLKEKWDGLENKTTVIIYGGGAIVAVWLSSIVVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELAEDIDSLKKKIAGTE >A06p001870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:830059:830589:-1 gene:A06p001870.1_BraROA transcript:A06p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL19 [Source:Projected from Arabidopsis thaliana (AT1G53010) UniProtKB/Swiss-Prot;Acc:Q9C919] MMSSDEDVVSFITVLGIAVFIGLCFLLIVLIATSAIILVIYVIIDCILRPFLGTCLDLDLEIGVQRGQHRARIVTYHAIIPTDLHLPYSEREEKRKRGLKQSEIETLLPKLRVGQGNSHEDEERSRECAICLSGYVVNEECRVFPVCRHMYHAVCIDAWLKNHLTCPTCRNDLPDS >A08p002740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1515708:1516862:1 gene:A08p002740.1_BraROA transcript:A08p002740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVCKRTRKTVTGDLCLPLDIIVEILKKLPTKSLVRFRSVSKQWSTIISSDRDLIESIVTRSLRHPPLKLPVFIFHHCVPETFFTVSPVFSPTTTDHVVTIPRPSRPCTFHYQYSRGLICCSSFESHLVTIYNPTTRQVFPLPEIQAPTRSGLSSCFFGYDPITNQYKVLSIIFDCDERKQTYHVFTLGCQQSWRKVKGIDEDSYPNDYSVCIDGTIYYSAYRKPRETILLSFDLRSERFDRVLVPEALSKALLARINHQRLVNHLGKLGCICCNDGDTSIWIMDDAKKQEWSRTILCLPNYPVKLLGADVDTFSGATPAGEIYATQCNYFFDKSLYVYYYDMNQNSFRRVHIEGGVRDKTKKRRYCVEVFAIHDHVENTMSL >A03p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6679897:6681809:1 gene:A03p016750.1_BraROA transcript:A03p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKISYLLRLLLPFLLRLHLLTTVSGIDQWCYKTPYPDPCKRYFINRNDFRPPTQLSDFRVMLVEAAMHRAISARDELASSSLNCTDGQKQAVFADCIDLYGDTIMQLNRTLECMSPKASCTDFDAQTWLSTALTNTETCRHGTTDFNVSDFITPIVSKTEISNLMSNCLAVNGALLTAANNGNRKDFPTWVSGKERRLLQSQSERAVRANLVVAKDGSGHVTTVQAAIDVAGRRKVTSGRFIIYVKRGVYEENINVRRNNDNIMLVGDGMRSTIITGYRSVKDGYTTYNSATAGIEGLHFIAKGLTFRNTAGPAKGQAVALRSSSDLSIFYQCSIEGYQDTLLVHSQRQFYRDCYIYGTVDFIFGNAAAVFQNCLILPRRPLEGQANVITAQARAYPFQNTGISIHNSTILPAPDLIPVIGNVKTYMGRPWMKYSRTVVLQTYLDSVVCPLGWSPWLKGSDFGLDTLFYAEYNNIGPASSTSGRVRWKGFHVLNRASDASAFTVGSFIAGTAWLPGTGIPFNSGL >A02p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7667987:7669939:-1 gene:A02p017080.1_BraROA transcript:A02p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTRVFLDIAVSSIAVGQIVIEHFAYTNPLTVENFRALCTGEKGIGESDIPLHYKGSVEDSIGFLQASMKKLQETEPRYTENLLLLLALSLSALRSQSRALSLRLKLGSIIHGIDPDHVWFGGYMTQGNGFGGEWIYGDEFPDEECMRKHDRIQFLPHTKEAPDYDEEPPHFAFGQVVNGFDVIKLVERMVANEFVPFFASVNRCLRSDYFPEYVCVDNGRLVRVHFRLYPVASCATEDGGDGDSIGKAKDCI >A09g517920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53905376:53905636:-1 gene:A09g517920.1_BraROA transcript:A09g517920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLLPVTNQQAVQSQPPINTPAFHTFFSRLSTSIRDGLSQRRPLAELVDRSSMARPESLTDALSRIRKNLAYFKVNYVAVIQL >A02p023250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11166474:11167409:-1 gene:A02p023250.1_BraROA transcript:A02p023250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYNYYDVSNEAETSHNSICFSQPATFSYWTEVEKIDSIDDLQQLENSVRQSLYEIRAHKEDMPATSAAYIHSEIDVDFGMDNEQQLENFSWVHTDENMNVSLKQEDPNMQFYHTYRDITCSASSSLESYSSGLFGSPVDYNVSQIWEASYRPQFGGNLASSEMLTYHVTVFDDPLLPR >A01p018660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9067551:9074992:1 gene:A01p018660.1_BraROA transcript:A01p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASFSHESTSKFAIYITHTHTHTLSLSIAPQPHSPMQSPRRRSVDLEAGYAGDDGVTQEMAAFHGGCVVTESPNPFRRLRSAVLAITATRRFKISKKESEREEEVCGSHGHQATTRAAARLLSQSEEPVQVVGIEIPDEFGGIKLEEFVRGLSRLLLTDLDKGICGSHEDIQRRRDIFGSNTYPLSKEGNFCMFLGEASRGFTLIVLVFAAMASLLLQIKTKTINHGWYDEAGIIIAVILVIFVTAISNYNQSLQFEKLNDEKRNICIEVTRNGRRVKVSIYDVPADGVLVSGYSLQIGEYESMGTNRTVHKDTDVDPILISGSIVEDGIGTMLVTRVGMNTQWRMPMASIQLYTGKETPLQVYLNRVVTVFGLVSISVALIVFLIVSCLYFMGRTKKKDGSPMFDAGKTTLDEAIDMVIKFIILGVTIVVAAVPEGLPLAVTLNIAYMSRKLGGEKALVQNLCACEAMGSATTIVCHKTGILTLNQAICYLTSFFTAYLVTLLSACVIVSSQLNIYSWFKKLSLLALPDQFSLVYTAFLVSVLIKLVVFLIIDNSFSFEQMEVVDVCAGRVRTQNLAELSPLLISLITEGISLNTNGSVYHPEEGSEDSEPEVFGTATECAILNFGVKLGMNFDEGKSKSTIFRVSPFNSRRKRRGVAVQLHDSQVRVHWKGAAKTILASCQGYMDMDNIASMDQEKISHFENTIDDMCNEGLRCAALAYRTYPEGDINRNEAFTNIPDSNLVLLAIIGIKDSCRPGIGDAIQQCYNAGIRVCMVTGDDLLTAKAIAMECGILTATSDINTTILASEFSSMSDAEREEIAENILVMGRSSPDENLLLLKELRKKGHVVAATGKGIRDAPSLRQADISLAMGIGGTSIVKECSDIIVLDDSFASILTVIQWGRSLYTNIQRFVQFRLTVSASALIICVVVAVHSHEIPLNVAQLLWVNLLIDTFGALALASEPPIDNLMRRPPVRKGAPFITKLMWAKFVLQVAYQVTALLLLNFHGESILKLENKSLDHAYKVKNTLVFNSFVFCQVFNEFECRTHDQTNIFSGILKNHLFLGTIIITVILQVIVIECLGIFISTVRLDWKQWLISIGIGFFRFGYFSPTADYSFELAK >A09p001060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:863649:865775:-1 gene:A09p001060.1_BraROA transcript:A09p001060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARDVDDRVDFEEGSYSDMEDEVEEEQVEEFVEEEEEEDEDDDDDEEEGGGNQEREVEDYGGERKGGDREELAEDDDDNHIDIETAEDDDDNHIDIETAEDEEKSSASPIDEEEREKYSHLLSLPPHGSEVFIGGLPRDVGEEDLRDLCEAIGEIFEVRVMKDRDSGESKGYAFGKTIRCSLSETKNRLFIGNIPKNWTEDEFRKVTEEVGPGVENIELIKVKALYVKNIPENTSTEQLKELFQRHGEVTKIVTPPGKGGKRDFGFVHYAERSSALKAVKDSERYEINGQPLEVVLAKPQAERKHDSSYTYGAAAPAPAPFALPTFGGFAAPAYGAMGSGLGIAGSFSQQPMIYGRGSMPTGMQMVPMLLPDGRVGYVLQQPGMQMAPPPPQRPRRGDRNNGSSRGSGRESSDDHDGSRGGGRRYRPY >A04p032250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18836456:18837414:1 gene:A04p032250.1_BraROA transcript:A04p032250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDCLSHFFNWDQPIQLQDCFIPDMDMIIPETDSFYFQSQPQMQFDRPLFQEEAPSQSLLELTHFDAFCDQLLPPQEIFLPYPKAETFDESHNMDSFLPTSKRQKLFNSSYHCNTHNHFPSPNLEYVPEASIFPEFMVPDFPLAFEAGRGDQEGTKRPTLSSQSIAARERRRRIADKTQELTKLIPGGQKLNTAEMFQAAAKYVKFLQSQVGILQMMQTTKKRQGISDAEIETKVLLGSQAIQEKLSTEEVCLVPCEMVRELTSEESSWRNPKISGEITKLLSTDLAN >A01p018610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9018414:9019224:1 gene:A01p018610.1_BraROA transcript:A01p018610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 43 [Source:Projected from Arabidopsis thaliana (AT4G25980) UniProtKB/Swiss-Prot;Acc:Q9SZH2] MVWTKAKVNLALSLVIIFLGISVADLKVGFYSNTCPQAESIVKRVVSGASLSDPNLPAILLRLHFHDCFVEGCDGSILVDNGAISEKFAFGHEGVRGFEIIEAVKAEVEAACPGVVSCADIVALAARDAISLANGPAYEVPTGRRDGRVSNLSLAKDMPDVSDSIEILKDKFMQKGLHAKELVLLSGMCLFFCSFLSHSCLFGGLELLKVFGTKSKFHFRNP >A10p034090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19841006:19842562:1 gene:A10p034090.1_BraROA transcript:A10p034090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D4-2 [Source:Projected from Arabidopsis thaliana (AT5G10440) UniProtKB/Swiss-Prot;Acc:Q0WQN9] MSEIERSSFDDENSITSYRTSILQMGFPSEEDEETIREMIHKERQHSPKDDYLERLRSGDLDLNVRNQALDWIRKACEGLQIGPVCICLAVNYLDRFLSVHDLPSGKAWAVQLLAVSCLSLAVKIEDIKVPELIIHLQVGGDPWFVFEAKYVQRMELLVLNVLKWRLRAVTPCSYIRYFLSKIYGDDQEPSSRVISRSLQVIASTTKGIDFLEFRASEIGESEEDW >A09p005720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3104240:3105833:1 gene:A09p005720.1_BraROA transcript:A09p005720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSTKKVFLIQSPILFLYLLISFSSGAVKPDPRGVCVSKGGRFPPYESAGKPPNSVGRGSKDLTMCRVFRKRTCCSPAQTTPAFVAVRNLATHGEASQDCLHLFELLECSICNPDVGIQPGPPRICASFCDRVFDACKDAYFASNALTQTIGPCGVNDDIICVKASNWESNGTSFCEAAGFAVQTNEDSREEPCYGSKASLESVVESWSRDSKKKTSFKTETLSCFKDLLQWVRVMTTIQKVSLGVSFLVAGMFLIRQWNNHKQKQRLAAIQRAARRLGGDANGDSYSAALTRRLVQS >A06g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6675695:6678543:1 gene:A06g501770.1_BraROA transcript:A06g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNIDNWAKILTDQSSSRRKGDLSPSSTVFFRQQTMGQDYSYTQPSSSDEFDMTCLLQAEADLYADEGESSYTPEPEADEGIPRTCYCGNEPAVATSYTPKNPGRRYFSCDNVDDGDCHIWKWWDVAIQEELGEMQRQVMMLKDQFFESDQKVAKLEKIVGALTKKKSMVKYGFAKGVCLLVLVILVIVMGWKSFGGFKQQCLNSRWASQSNTDHHLNMNNRTGFVNLMYSQSSVDLESPEPAWFGSQGPDEYGFHPVQPSVESSVHPTRPVGVKAAKAAGKRKKSGKEEEMSQLEAIMEMKRKLSKQKILERSAVGHVTRVTGGAVGHVNGVTGVFGVAKFLLFSSSDQSHGWCIEGV >A04p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11605394:11606920:-1 gene:A04p019380.1_BraROA transcript:A04p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDMMKLMMSDYKVETINDGMQEFFVEFNGPKDSLYEGGVWKIRVELPDAYPYKSPSVGFITKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFETFLPQLLLYPNPSDPLNGEAAALMMRDRPTYELRVKEYCEKYAKPGEGSEDKSSDEELSEEEYGSDDNEDDDDVAIAGNPDP >A02g511380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30271773:30272221:-1 gene:A02g511380.1_BraROA transcript:A02g511380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRADEQIRELRYGQDNATATRSDFRCGEDDRTANRFEIRYGEDATTSRSHLRCGKDDDKLSCLLCFVIMFSDVSIRDFTDDAQLEAVIKSYKLLSIC >A03p022650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9544186:9546366:-1 gene:A03p022650.1_BraROA transcript:A03p022650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASPGGGSMKTLTIQILTGRWFMFFASLLIMSAAGATYMFSLYSGDIKRTLGYDQTTLNLLSFFKDLGANVGVLAGLINEVTPPWFILIIGAILNFFGYFMIWLAVTKRIPKPQVWHMCLYICIGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFYGEDTKSLILMIGWLPAAISFAFLRTIRNMKVVRQKDELKVFYNFLYISLGLATFLMAAIIADKLSGFTRSEFGGSAAVVIILLLLPVLIVVLEEKKLWTDKQVALNDPAPINIVTEKPSLDSSEVKDSDERSTEVESEKTASCWTTMFSPPERGDDYTILQALFSVDMLILFLATICGVGGTLTAIDNLGQIGGSLGYPQRSVSTFVSLVSIWNYFGRVVSGVVSEIFLIKYKFPRPLVLTLILLFSCAGHLLIAFNVPGGLYVASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVRVAGYLYDKEAEKQNNALGIQRSGGQDLSCTGTACFKLSFIIITAVTLFGVLVSMILVIRTRKFYKSDIYKKFREKALATEMEMAATAPSRSMAEDKENDNANGKVLGKGG >A09g518940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57939076:57939583:-1 gene:A09g518940.1_BraROA transcript:A09g518940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDKHLSIQFPYIYLKEFVVLFEEECILDQHERVNGSVDSVSDRRLRYHLEELIVFNLENLLYNLLDSYGLDFADKGYSFIYADLVTEYVEMIVGEDTAYY >A05p020440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9678569:9680586:-1 gene:A05p020440.1_BraROA transcript:A05p020440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCRLVSIILFVHTIILSISSINCTENNGKLVTNQAALFVFGDSLFDPGNNNYINTTNRANFFPYGQTFFKFPTGRVSDGRLIPDFIAEKAWLPLIPPNLQPRNSNSQFTYGVSFASAGAGALVESFSGQVIDLGTQAVYLFNIGGNDLFYALFQTSSLVNLNAKQKLVDLIIGNTTSVVEEVYKMGGRKFGFLNVGAYDCAPAASILDTANIGSCNKPVTELIDLHNKKFPDGLRRLQRELSGFKYALHDYRTSLLDRINNPSKYGFKEGNKACCGSGPLRGMPTCGINQTGLSYELCEDVTNYLFFDSAHLTEKAHRQFAELIWSGPPNVTGPYNLKALFELN >A02p004620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2018779:2019939:-1 gene:A02p004620.1_BraROA transcript:A02p004620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTRPSSVKNEPRDGSSSLASRAKIDPSFKDKKKIATTSKPIMSDTKPRSSVSTVTVKSEAKPKNAVSSVKSTATTSAAASLAKGKVKREKKVYSLAGQKYDPPEEREPLRIFYESLSKQIPGSEMAEFWLMEHGMLSPEKAKRAHEKKLRKMKQIRMGTPVKPTPSYSKSKPESSQRPSASKNSGSDARKKKKADDNDDDDDFILSPKRRKV >A08g510370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23103327:23103718:1 gene:A08g510370.1_BraROA transcript:A08g510370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGFAISDEFLGTFVPIIVYWVYSGMYICLGSMERYRLHSKVDEDKKNLVTKSAVVKGVLLQQTLQAIISVILFKEAPLFYSDPAESRIVVDFRMQHKEF >A09p076880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57099676:57100801:1 gene:A09p076880.1_BraROA transcript:A09p076880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDDALREHFASCGKIIHVSIPRNYEETILCRYAFVYVNEEDEEKALRLDGSDMGGQILQIKSYPFHETHLENDLAPMKEVKVYWPQQTLKVTGYDTSLPVEDIEIELEKYFSSHGSFVYQDETASGAIKTKAYIYVQGPDAVDKALELSGRSVEGLNFVVTKVYPLPENPHMSGFIHPINLTRFTREQIEDPNLCFITEGQQKKSDTSEGNQKKKKKKKKKNKKSKTREGIQKKKKSETREGKQKKGVEISL >A10p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20378647:20380537:-1 gene:A10p035550.1_BraROA transcript:A10p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDRERRLSNRNGTPQYSNGKFRDDDCYGGFLDRLENSREKSPSRSKILRIPSPTSSPPPSSSSPPFPGSNSPDRGYIEHRVSKFDTLAGIAIKYGVEVADVKKMNGLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSLHHGNGGNNQYLRPFPSTNTPLNHHRKSRSLANALFDEVNQSPDNNTAQETSADKFRRRRQKSEADFSTRTPELLLKEENSSSNGGFLSIAGKGLALRSKASSRTNLSSAESETSNFNPVPINLMDAPVSDSFSSVRKSSSASSLQDPEGNNSNGSSSLSLWPTSKWSLKPDLLTPAAITSSIFDGLPKPLTGRKNKTAMD >A09p079730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58595225:58596566:-1 gene:A09p079730.1_BraROA transcript:A09p079730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQVVGIGKAIYGSNRAKLRPFLCQTSCGFHNGRTVLAPRSFFGVEDYVDDDTSRPYTYQKEKKSKNPDKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTCKQVAVAGTNSKDVKAVLRSRCDIPACMSIGRILSERAKEADVYTASYTPRDQDKFEGKIRAVVQSLIDNGIDVKIYLD >A09p026630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14463126:14466354:-1 gene:A09p026630.1_BraROA transcript:A09p026630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILINVDTMFCQAERRNYLSKEAIDGLQYLKRKRLQKMRSGSVNGTVGLSTIARSGGDATRPSSASCGMRLRVTGKGGFLKEERFETDDLKWTERLPECPVYRPTKDEFEDPLTYLQKIFPEASKYGICKIISPLTATEPAGAVLMKEKSNFKFTTRVQPLRLAEWDSDDKVTFFMSGRTYSFRDYEKMANKVFARRYCSGGSLPDSFLEKDFWKEIACGKTESVEYACDVDGSAFSSVPDDPLGSSKWNLNKVSRLPKSTLRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGVPGSAALNFEKVVRECVYSDEILSTNGEDGAFDVLLGKTTIFPPKILLDHDVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFAMGDWFPFGAIASCRYAHLNRLPLLPHEELICKEAMPLNSCPKPENVDFSPSELSGQKNIKTAFVHLIRFHHLARWSLMKSRLCTGLVSNTYGTIVCSLCKRDCYLAFINCQCYSHPVCLRHDVKKLDLPCGTTRTLFLRDNIEVLEAAAKKFEEKGGVSDMITSDEDLYAYPSPLKLAAAKEEGYSRYSTIYFDFNTELEMASGNPVMSYEANASCISSVADDYECSTTAVHKQVNRGANCSSSSDSKLSEDVASSSNRKTRFFSAVQDEVLGTDQESEGSDSESFRVKRRSSLKCGNRTVVQETRDSEHHQGHKRLKRSQKYHEGRYSSSSSSSSSSKEEEVFVISKRKETHERQQSDVKKIENHFGGGFKRLKVTALIRP >A06p003290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4757471:4758304:-1 gene:A06p003290.1_BraROA transcript:A06p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQNRRSPRGDKISEFPDELLLKILFFLPSKDVVATSAISKRWKSLWKEVNTFRYDATPPYPRTCQMFDLFIRSRSNVESLQLKLNPNNSIQDIRDLVNDAASRSLRELRIEMVYKSFEFPQNLYLYPQLETLILEKLSLVDIPPNVSLIGLKKLNLLSVRFSNDESVQRLLSICPHLEDLVVRRSTYTNVMVFTIDVPTLKCLSIDNTSGESRPEGVHGFVINAPSLRCFSIKDTFSNYVRFGDMPELVKASVNIICDQPIPTAREKVQSTSSKM >A03p033580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14221929:14222301:-1 gene:A03p033580.1_BraROA transcript:A03p033580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKNLVIFSLAVILAASMFNSNILASGEEIGPIEKCLDFCTNGYTLDMCYSDCVKQGFQTAECKNVPLPNTPLRCCCFT >A08p039290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22618579:22623266:-1 gene:A08p039290.1_BraROA transcript:A08p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLICFNPYVDSPHKLYAGNLGWNLTSQGLKDAFANQPGVLGAKVVYERDSGRSRGFGFVSFGTAQDLQSAMSAIERRYKDTVGIYDQLQSRHIAGKVDQAWRTDGFNTYQWLKPSTGLLLKDVCLVEADVCVHGITSANKRTTIATQAYVTQDDNLIGTLTVRETIWYSARVRLPDKMLRSDKRALVERTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEATGFPCPALRNPSDHFLRCVNSDFDKVRATLKGSMKLRFEASDDPLEKITTTEAIRVLVDYYHTSDYYYTTKAKVEEISQYKGTILDSGGSQASFLLQTYTLTKRSFINMSRDFGYYWLRLLIYILVTFCIGTIYWKVGTSYSAILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVIANTLAATPFLIIITFISGTICYFMVGLHPGFTHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGFFRLPNDIPKLFWRYPMSYISFHFWALQGQYQNDLRGLMFDSQGSAFKIPGEYVLENVFQINLHRSKWINLSVILSMIIIYRIIFFIMIKTNEDVTPWVRGYVARRRMKQKNGTQNTTVAPDGLTQSPSLRNYVATRTNGGARRW >A01p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18712829:18713303:-1 gene:A01p027740.1_BraROA transcript:A01p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPERTKKHRRKVYFLVIACLACSIHHTFCFYNELILGVYTQVTGYRLIQRRIIVTDEDMLSCQEKTIEEFWAFTYSYDAPKEYYATTPVAKKGQPSTRH >A04p025740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15575522:15580168:-1 gene:A04p025740.1_BraROA transcript:A04p025740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL12 [Source:Projected from Arabidopsis thaliana (AT2G26290) UniProtKB/Swiss-Prot;Acc:O64842] MAVTKNKKTSLTSLFLGCYRTKNASRYEVEERGNAMKIRTCPVIKRLSLSDISDPSSPMSVMDDLSNSFTSQKLRMFTLSELRVITHNFSRSNMLGEGGFGPVYKGFIDDKVKPGLEAQPVAVKALDLHGHQGHREWLAEIIFLGQLSNKHLVKLIGFCCEEEQRVLVYEYMPRGSLENQLFRRNSVAMAWGIRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDCNYNAKLSDFGLAKDGPEGEHTHVTTRVMGTQGYAAPEYIMTGHLTTMNDVYSFGVVLLELITGKRSVDTTRARREQSLVEWARPMLRDQRKLDRIIDPRLECQYKTEAAQAAAALAFKCLSQNPKYRPTMSDVVKVLESIQEVETKERNSNNKETKKFVDKRIIRHHRKGQKRVNIAYSDSLLYKESRAKQNNGSLRRKGKSVAKFSLTVPVVSAHLCRDSVTGKSLRYAYVNFDSAITASNAMACLNHTDLKGKTMRIMWSQKDVAYRRRSGLGNLFVKNLDSSITSSCLERMFSPFGVILSCKVAEENGQSKGFGFVQFATEQSAVAARLASHGSMVDGKKLFVAKFINRDERAAMSGNQEFTNVYVKNLLESVTEDFLHTMFSQCGTVSSVVVMRDGMGRSRSFGFVNFCHLENAKKAVESLNGKPHGSKKLFVGRALRKAERMEMLKQKHKDNFVVKFNVGWFNLYVKNLSEAINETRLLEIFGSYGKIVSAKDSIFKNTIGPPVLI >A03p043850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18346874:18353978:-1 gene:A03p043850.1_BraROA transcript:A03p043850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19480) UniProtKB/Swiss-Prot;Acc:Q9LT69] MHASGISTWAKSFKLSQPFSDVNDTEKSAFAKFTSGLGIRLCSHQPDEDTEGTSSQPGFIGTITKDNIIAMGFPAGLGFSLQRKSILGPRIYRLETFGSVPCAPKKGVMVFALPGEPGLTELAGDFQIQNPNRAQMSPLVWLVVPPRKCLFLETRDHHSRCKERLATLKRQRTLLGLGSTRQAQRVVSSRSWRLMHLHLSIPFGWFRFGSWLENKRKEQLILLKSKAPIGVFADLLLWRNRTGAVILLVSSTGFWFLFERAGYNLLSFVSNVLLLLVAILFLWAKSASLLNRPLPPVPNMEIPREFAIKAADEIRVLINHVLSIASDITIARNPIRLLQVSFVLWAISYVGTLINSLTLVYIVVLLSLSVPVVYENYQEHIDDRLSSTSEVIRNISRKIPMPCFKINHAVRIWEKNLAQSNHNILKPHKTQKSISYSVADDTYTSPYTLPRFPPPFMSTPLGLSSLFSSRTYTTTTPSVFPIHRKEFNRRRIILVTAGGGGKPTILVAEKLGQAGIDLLKKHANVDCSYDLSAEELCTKISLCDALIVRSGTKVGRDVFESSRGRLKVVGRAGVGIDNVDLAAATEYGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASIKAGKWMRSKYVGVSLVGKTLAVLGFGKVGSEVARRARGLGMHVITHDPYAPADRARAIGVELVSFEVAISTADFISLHLPLTAATSKMLNDETFARMKRGVRIVNVGRGGVIDEDALLRALDSGIVAQAALDVFTVEPPVKDNKLVLHESVTATPHLGASTMEAQEGVAVEIAEAVVGALRGELAATAVNAPMVPPEVLRELKPYVVLAEKLGRLAVQLVTGGSGVDAVKVTYASSRSPDDLDTRLLRAMVIKGLIEPISSVFINLVNSDYVAKQRGVKISEERMVLDGSPEDPIEYITVRIANVESRFASALSESGEIKVEGRVKQGVPSLTKVGLFGVDVSLEGSVILCRQVDQPGMIGKVGSILGDENVNVSFMSVGRIAPGKQAVMAIGVDEQPSKETLKKIGDISAIEEFVFLKL >A01p058960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33692270:33696450:1 gene:A01p058960.1_BraROA transcript:A01p058960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSLRMRADIRIMKHLVTCPTSKVVLYVGSLEHIFKQEGMRGLYPYRHGSSLEIGRFISQYEDHKLSGVGANVMAASGPLPLIILFGLSRVVFRSPFFFFLALIYAIKEGTLSIYPALLNNKNLLSLNPQGMRAGVVAYKSHAHTEINSRLIPSLKTLHSTLDSKSLEFKDIVKIGRTHTQDATPLILGQEFGGYATHFSKMFGQLAQGGTAVGTGLNTKKERIAAAVAEETNLPFVTAENKFEALAAHDACVETSGSLANDIRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPVIASALLHIGIADASASFEKNCVRGIEANRERISKLLHEKTGYDNAAAVAKKAHKEGSTLKVVLSTETQVLVSVTEENKLGEAAELASQYIVNKRREDSLDGDCRQHRTQ >A06p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3171300:3174035:1 gene:A06p009050.1_BraROA transcript:A06p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFVVGDGQKAYPGKLTPFVLFTCVVAAMGGLIFGYDIGISGGVTSMPSFLRRFFPSVYRKQQADATTNQYCQYDSPTLTLFTSSLYLAALISSLVASTVTRKFGRRLSMLFGGILFCAGALINGFAKHVWMLIVGRILLGFGIGFANQAVPLYLSEMAPYKYRGALNIGFQLSITIGILVAEVLNYFFAKIKGGWGWRLSLGGAVVPALIITLGSLVLPDTPNSMIERGQHEEAKTKLRRIRGVDDVSQEFDDLVAASKESQSIEHPWTNLLRRKYRPHLTMAIMIPFFQQLTGINVIMFYAPVLFNTIGFTTDASLMSAVVTGSVNVAATLVSIYGVDKWGRRFLFLEGGTQMLICQAVVAACIGAKFGVDGTPGELPKWYAIVVVTFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSITVSVNMIFTFIIAQIFLTMLCHLKFGLFLVFAFFVIVMSIFVYIFLPETKGIPIEEMGQVWRSHWYWSRFVEDGEYGNGVEMGKSSSNQGTKHV >A02p020930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9857041:9858144:-1 gene:A02p020930.1_BraROA transcript:A02p020930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKKIAHEIGGVKRDALRFGLNGVKSDIVGSHPLESSYESGKRSHEATKRTIIGHTYGSALPLKMDMDRQILSRFQRPPGPIPSSMLGLEVYTGAIDNFGFEDYLNDPRDSEIFKPVDFHHGMEVRLGISKGPVAPSFM >A06g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26642246:26642858:-1 gene:A06g509270.1_BraROA transcript:A06g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFISELSLGSHCFIFSVGTKKRLNKHGFPLLGLEAFYMEFGGEHKPDSCIIRCWRKLVEILIVGDDYKSIRSSGASYFGSDTGSFGIRENEDFGFELVERVAELVERN >A09p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10211577:10213670:-1 gene:A09p019250.1_BraROA transcript:A09p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRYDPNPFAEEEEVNPFANPGSIPPASNSRLSPLPPEPVGFGYGRTVDIPLDNDRSATQNLKKKEKELQAKEAELKRREQDVKRREDAAARAGVNIEVKNWPPFFPLIHHDIANEIPVHLQRLQYVTFATYLGLVLCLFWNIIAVTTAWIKGEGVTIWLLAVIYFIAGVPGGYVLWYRPLYRAFRTDSALSFGWFFLFYMLHILFTVFAAVAPPVVFKGKSLAGILPAIDVISGNTLVGIFYFIGFGFFCLESVVSIWVIQQVYMYFRGSGKQDELRREAARGALRAAV >A09g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18526879:18529763:1 gene:A09g506160.1_BraROA transcript:A09g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICRYSEGDDKENYYGFGGDDDATRIRPGELKETTTTVKINVVTVRNLELIGQAAVRSILCEASDIPPFPYEVDDMRVAHMVALIREGFPFEINTWRGGVKASNAKQWKGGNGPDRLGDSEGKHGQASTNDGHGLGGGHGLTLDVPNLVQCLANELQARAGPLLGIIKTHITQEMVALKKEVLVPLRMPAAGGTADLGKGSVLYINLLIFEDTSV >A03p004190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1798957:1801170:-1 gene:A03p004190.1_BraROA transcript:A03p004190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVIALSSSLLASLSRHSLSRSPPPCSDRSLHRRVLPFSGYFNYFVFLILFRHVLAIDSDFLSSVAHQMSVDLCKKNPSFLWCDCVFSFAASATVNLNFFLRKTLSLLEELTAESEIFPFGSVPMGKTQLAPGFRFHPTDVELVRYYLKRKVLGKKLLVDAIVEIDIYKFEPSDLPDKSYIKSGDLKWHFFCPREKKYATGVRANRATEGGYWKTTGKERAVMCNDEVVGKIKTLVYHVGKSPRGERTGWVMHEYRFEDKVLTQKNIPQDTYVLCVLFKKDGPGPRNGAQYGAPFNEEDWSDQEQNTDVPSSSNLAILLPPNAETSLVVAPSQAPNKDCFVGMVSESCVSDFVPSTATTARELPNLNDAAHTPLSAAPLLDSKSTASLMPTLQAPTNDNDDIYSMLDLFVDDDEFLRVCEPNNNEAGVIHDTSVPVPVFLEEGENMFSELLDLRIIHDNSMPRTPSYDLIENSELYLELQDLTAPLAPPPAENGSGSYLSNQGHFDLSTANEDDPFGFSAFM >A03p015700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6189969:6196798:-1 gene:A03p015700.1_BraROA transcript:A03p015700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate synthase 1 [NADH], chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G53460) UniProtKB/Swiss-Prot;Acc:Q9LV03] MTNYARFYVFINFQVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMRAREGLLKCKELGLSKQELKKLLPIVDVSSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNIDPSRKSFYEYLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYITHSGRVIMASEVGVVDVPPEDVMRKGRLNPGMMLLVDFEKHIVVDDDALKQQYSQARPYGEWLERQKIELKDIIKSVPETERIAPPISGAVTASSDDDSMESMGIHGLLSPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDAPLAVMSNREKLCFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLTIEEMESVKKMNYRGWRTKVLDITYPKEEGTKGLEMTLDRICAEANEAIKEGYTLLVLSDRAFSSTRVAVSSLLAVGAVHHHLVKSLARTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAVETVHRLQVDGKIPPKSNGEFHSKEELVKKYYKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALLLHEMAFPARAYAPGSAEASALSNPGNYHWRKNGEIHLNDPLAIAKLQEAARTNSVAAYKEYSKRINELNKQSNLRGLMKFKEADVKIPLDEVEPASEIVKRFCTGAMSYGSISLEAHQTLAMAMNKLGGKSNTGEGGELPSRMEPLPDGSRNPKRSSIKQIASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGVIASGVVKGHADHVLIAGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAVAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSGLGFRTLTEMIGRADMLELDREVVKNNDKLANIDLSLLLRPAAEIRPGAAQYCVQKQDHGLDMALDQELIALSKSALEKSLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPKDTIHIKFSGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKVVVYPPKGSSFDPKENIVIGNVALYGATSGEAYFNGMAAERFSVRNSGAQAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGEFHTRCNLELVDLDKVEDDEDKMTLKMMIQQHQRHTNSQLAQEVLGDFENLLPKFIKVFPRDYKRVLAAMKDEEVSKQAIDRAAEEAEEMEEKELEEKDAFAELKNMAAAASSKEVVSGNGAATEAPKKPSLVDNAVKHRGFIAYEREGVKYRDPNVRMNDWNEVMEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWQEALNRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECSIIDKAFEEGWMVPRPPLTRTGKKVAIIGSGPAGLAAADQLNKMGHSVTVYERADRIGGLMMYGVPNMKTDKIDIVQRRVDLMTKEGINFVVNANIGKDPSYSLDGLKEENDAIVLAVGSTKPRDLPVPGRDLTGVHFAMEFLHANTKSLLDSNLEDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTNIVNLELLPQPPSTRAPGNPWPQWPRVFRIDYGHQEAATKFGKDPRTYEVLTKRFIGDDNGNVKGLEIVRVSWEKDESGRFNFKEIEGSEEIIEADLVFLAMGFLGPEPTLAEKLGLECDNRSNFKAEYGRFSTTVEGVFAAGDCRRGQSLVVWAISEGRQAASQVDNFLSKNEDDLVDATVGQNLNEVKHQH >A02p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1181270:1182953:1 gene:A02p002790.1_BraROA transcript:A02p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKIHGAFKGAVERMTGPRTVSAFKEKGVLSVSEFVLAGDNLVSKCPTWSWEAGDPSKRKPYLPLEKQFLITRNVPCLRRAASVAEDYEAAGGEVLVDDEDNDGWLATHGRPKDKGSEDEDLPSMDALEINERDTTQPRCGGGEEEDEEEDIPDMEDFDEIDNDPATLQSNLLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVMEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFMASVIPTIEYDYTMDFDLGSSST >A02g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17454323:17463270:1 gene:A02g506070.1_BraROA transcript:A02g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFPKRFLQEGAETQMDKINNTCRRTLLKAGELFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDPGAESRKKQLLCQRAAEHNSGVSSEMKTFIEGLFTASFNSFKEVLQKDIHERFDNVANEVSQLKEQVSQLKGISETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGKGKGKASANVDPPPVRRSPRPVRENLTKSARCVDKGTQDSLQEAMGNLSQASRVKGFDPSQHLDGDEPADFATPLSSFKPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLN >A10g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9299720:9301353:1 gene:A10g503610.1_BraROA transcript:A10g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLSLIFYRICNPKSRYDYEEMAEARAEAERRGFEAELKRLRTISEAEELRLKTQLKRVEAERKRFGAEVNRLRRIILENNNSGGPPEFCLPSDLLAVILSRLALKDNIRSSAVCKTWGEIAASVRVRDPPCWLMYLDPCRNSYGFFDPIEKKKTKAMMVDLPESCYILYSNDGWLLMEDRASHARLFFFNPFTRERVDLPVFDTVLLMQMRFAFSCAPTKKGCVVFGITGASVSGREVEIITWRPGGASSTTWVKEHFPNPFPCDLVDTINVLYNTRDGLFYMSLGIALGVFHPSARTWNLVPVLQPIPCFQRHTMRWITEYKGEIFLVDASSVKPVVYRLNNSFKRSVWEKKETLEDGCSIFVSDGSCVMTCGLISNILYFWNNDINDRRPSPTKYQDFTFKKNRPYKYSLYSSSLCDDPEGFYFEYRPTNRNNGVWIQPPHNISIFDFPILPAEDAINTRLFI >A03p061010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26469999:26471540:-1 gene:A03p061010.1_BraROA transcript:A03p061010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSDEQMRLLLSSFDQIYEDFKSGMSEMNVFRSRSNVETSRREALEISNKTLKEGSGLIVLFFVFTSGNLILSDEPAENERLKKLHTESLNNFVDQLEHRTKCHGLKEELKRVNDENRSKEHEHRNALESLMQKHVAKVEELENKIRSVLVEKATNEMVIDRLRQDLAANKSHIQAMSRKLDRVYSEVESKYEVEVQDLKDCLLMEQEEKIDITNKLQSLQKELVISRTTVAEKQRDTTSYRQVETLKQKLMKLRKENEILKRKLSSS >A05g510420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32101610:32103156:-1 gene:A05g510420.1_BraROA transcript:A05g510420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLLLLLLAALSPPATSHYSSSTSSPSSSSVSEWRPARATYYAATNPRDLVGGACGYGDLVKSGYGMATVGLSETLFERGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFDPDGGGHCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRINCRKEGSIRFTVDGGGIFISVLITNVAGSGDISAVKIKGSRTGWLPMGRNWGQNWHINADLKNQALSFEVTSSDKSTVTAYNVAPRNWDYGQTFEGKQFETP >A10g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3666476:3667952:-1 gene:A10g501270.1_BraROA transcript:A10g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSSNQLLWSTFKALRTFCAYQTLIFRSLYFCEAKTTYPAAPAASAAIAAVPYSTFNSLRLGRPPLSLKREEKWSAAFWKMVTWSLQDEDETRDEEDEEKCYLEYPNFEDVDNV >A03p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6586527:6587045:-1 gene:A03p016520.1_BraROA transcript:A03p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWLAGPHDARIKMKAVGTCGSDAHYPKEQCVSHKCWCTVYTLVAAEVGPETHILVMGAGPIGLVTMLAARSFGVPRIVIVDVDDNRS >A05g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9709643:9710822:1 gene:A05g503310.1_BraROA transcript:A05g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELLQLGSTAIGVKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDLSLSEAETIAVSILKQVMEEKVTPNNVDIAKVAPAYHLYTPQEVEAVISRL >A04g507580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:19017639:19017863:-1 gene:A04g507580.1_BraROA transcript:A04g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYVLEEAPFRILIGSVKDRTKTRLNRTGSVVWLDHIDSILFEHLLWLLRNDASTFSDMDVVEIIEFYSQDC >A05p040390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24709931:24710906:1 gene:A05p040390.1_BraROA transcript:A05p040390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSPGRTIVRINVPVGLRTLRQAFYGQDRSSFQVKSAQPAGLLAHSAGSVGLSCTECSGLGQFDRVRPVAGRLVGHVVGYVQKAWASHWAQDRSKKGQLWALGCKWPRLQPFGQVMPIRLASCICSCVKAWQPILYKYRPSLTIIDISFYLWHGEEFKREEAVWLWIPKAKRKELRIVVKPRSREDSISERLYGVWVDRVRNELIIAYRADKTHCNLCIESHLI >A01p015400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7491377:7502616:1 gene:A01p015400.1_BraROA transcript:A01p015400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACASFCSVFFIALLASLRSYAQQPDPTYIYHLCPNTTTYSRNSTYSSNIRTLLSSLSSNSRSSSTGFYSTAAGQSPDLVFGLFLCRGDLPPEVCGNCVVFAASDTLKKCPEEKIGLIWYDQCMLRYSDRNIFLESSLQNGTNGILMWNTRDVPKNQSERFRDEVFSLMNKCANEAVNSSKRFAVSKSNFTSVETLYGLAQCTPDLTSGDCFNCLQRTISSSPTAQIGGRLLMPSCNSRYELYKFFEETATSIPQPPKPQLDSAPPPPSQEAGKGRNSSVIAIAVVFPITVIFVLLVAVFIFWAKKKRRTYETEPLAEDRDDITTAGSLQFDFKAIEAATDNFSETNKLGQGGFGQVYKGTFPSGIQVAVKRLSKTSGQGEREFENEVVVVAKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDSTMQNQLDWKIRYKIIKGIARGIIYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFGMDQTEANTKRIVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKKNNSLYQMDGTAGNLVTYTWSLWSNGSPLELMDASFRDNYQTDEVTRCIHIALLCVQGEAEDRPTMPEIVQLLTSSSIALTLPRPPGLFFRSKHEQVRVDPSIDTFAMGSVDGASITQEGDPDEARDENHRSGSRPLGVSSLSFVLFTSNESGGLSHRCCALFMGGKAESKIFSGFASLQFQRQIRSILRPDPSLFGKLIPSLTTLQPCLHLFVNLMSDVVRPSSSLPATEIEAPFLLSFIDAGSDLARRRRLRPSPLMPRFKYVSLSIAAAVPFISAATAAPFVSDATAALFVSTITATVSNCLGSSVLRKSTVSYAVRLSGDSRKAMSSGSSAPRIPCRCSSVLRVPWCCGSYFWCARSVTQGSVFVDPRRKPPPLPSKPFPFHFSTGAAKTCAIDETRHCGEVLNLSPTCPARCADCSGSPPDAPPFSIVGRLDTAGDFPQPHTTLKSVPHNLRWVMIVMCENVRKRNEEYASRSYAQQQDPNYIYHVCPNTTTYSKNSTYSYNLRTLLSSLSSNSRSSSTTGFYSTAAGQSPDLVFGLFLCQGDLRPEVCGNCVVFAASDTLKQCPEEKVGLIWYDECMLRYADRNIFLESSFQNGTNGILMWNTRDVPKNQSERFRDEVFSLMNKCVNEAVNSSRKFAVSQSNFTSSRTLYGMVQCIPDLTSEDCFNCLQQTIRSLPTDKLGGRLLMPSCNSRYEVYQFYGETPTGEPQPPQPQLDSAPPRSSQRHGKGRGSHVTIIAVLVPITVIFLLLVAVFIFCAKKKKRTYETEPLAEAGEDITTAGSLQFDFKAIEAATDKFSETNKLGQGGFGQVYKGTFPSGIQVAVKRLSKTSGQGEREFENEVVVVAKLQHRNLEKRRYLSMSLCPTKALITSFLNIGSGYMSPKYAMYGQFSMKSDVYSFGVLVLEIISGKKNSSLYQIHGSTGNLLTYAWRLWSNGSQLELMDASFRDSYQKEEVTRCIHIALLCVQEEAEDRPTMSDIVQMLTTSSIALTLPRPPGFFFRSKHEQVGVDLSMDAVVTCSVDEASITQVAPR >A09g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3685855:3686677:-1 gene:A09g501060.1_BraROA transcript:A09g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPIECPSRFCNGSSCLLYAFNLINSDANYEIFNCVVSVGSIDDCNWRRRCDLKVLECLTIPEISGGICAERGLLLHVQLCLKKELDPMQTTKTRIHFN >A04g505380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11521512:11521772:1 gene:A04g505380.1_BraROA transcript:A04g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLAEVKRLMENVISTLKSKEDSGDAVKIEDSKAEEMNIAEDDENMFPRTRGRLVRYLRRHKSKPQDSSFQIELHHLQLPSDDE >SC127g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:134317:137505:1 gene:SC127g500060.1_BraROA transcript:SC127g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLQRKSNKEKHPRLSVSQTSFKYALNTFDEFVDVQEKPNRWSKEHVNTSKGESDPKRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGKSENHFEELKDFSNSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPLVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHYNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFCDLEFCGSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKICVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQRRQVQPLNENIGRAQQPQIWRSFVVQTSYLGASDRGSVQDGYLNIPKVFCLESNFKRNKTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKLFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHEPKLLRPKNQFDFIHDKNFSDLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDLDVLRIEKPFHYFFGRFAVTDRVVYWTVPHTSGKELWLEPWPDDRSDRTGACLSCPTSQAKANGQARINLGRANSDSDHSFSHLARLARTACTSDCADDLAALFIPIMDFSFGYFSKARILKLSEDLGHVGTRLV >A05p001810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:416690:419321:1 gene:A05p001810.1_BraROA transcript:A05p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCCAVCAENLEWVAYGFCGHREVCSTCVVRLRFILGDRRCCICKTDCPIVFVTKEFGDDTKTSTDFSTLPTEPKEGRVGSFWYHEETKVFFDDFNQYTRIKSMCRLSCTSCVSNHRLRFKSVEHLKNHLNHQHKLHMCSLCLVGRKVFICEQKLFTKEQLNQHISSGDSEVDGSESERGGFTGHPMCEFCKRPFYGGNELYTHMSREHYTCHICQRLRPGQYEYYGNYDDLEAHFRSDHFLCEDESCLAKKFIVFQTEPELKRHNTVDHGGRMSRSQQSASLQASGFQVQSRRGRRRSSQAPYAIDDGYPLLQTMRSPGGSRLGESSFPPLSVQANRGVQTRFGQNSESNNLRHEANRSAAARSSQTWPALIRGPTQASVPSSIQSSSASAQSQSRTLASAQASVGGCSSGSSLNPCNAKRNHHTSSTAKTSDTRSLEQPSHPGSPPDSAVKSDNKSLVDKIRSSLGHDEELFKAFKDTSGKYRHGSIDARTYLEYVKGYGLSHLVLDMARLCPDPKRQKELIDTYNACLKSSSQTKESCGLKKNKGKAVKVESSSDSMGFKLQFSDKSQDEDKVKVQKDKGKAVVDTSSGGGVGLGGNTGKQFKKTPKFLRARLGEKSMAANLRSSSNSTEPEGESKNDDSKSSQSSPGGLQLRGAWRRGSAKLFS >A06p013390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6077454:6079954:-1 gene:A06p013390.1_BraROA transcript:A06p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADWTQEAMSDDSLVAEALICLHHAEPPPPPVKNGGSDLKLKWNVRQRRSKAATPSIKKGDHTRSSPTTPLSWSGATSLSGGGGGGSGAPAVDGVEESSGGVKLSEAVRSKISQTSVTATTPFKRSRKKKTLAELKEEESLLLKEKKSLKNELASMRDMLKQQRARNESLKKIQQAAESQKNDDSSSFLLPDLNIPLDNSPVEPANI >A08p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19139532:19140811:-1 gene:A08p031350.1_BraROA transcript:A08p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTEETTSRGWFDLKKAKSSDVEQLQKKKKNPSPRSLLSLPEEIVVNCLARIWKSYYPKLSLVCKTFCSLILSMELYVARLELETHENVLHVCLQLPDKIRTSWFSLWLKPDQTLTNNIGKTKKQNSTGNTLLVPIPSSYSPRVPMFTCAVGSELYAISKYNITPSSVMWVRCTSTYSWRQAPSMTVARANAVVCVFDDKIYVMGGCHADESTNWAEVFDPKTQTWEPLPDPGAELRVSLIKKVEVKLGKICVRGIEEMDYVYDPKEGKWDVPEAFVIESECEIVNVRYQCGRQSCLWYDIKHNEWKAVMGLATLNGNRRCYFVEIANYGGKLLILWGKFAPPRRQNKNIWCAVIALERRNNDEEVWGKVEWASVVLTVPKSYVFLLCEVKPV >A01p019540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9590116:9592115:1 gene:A01p019540.1_BraROA transcript:A01p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26770) UniProtKB/Swiss-Prot;Acc:Q1PE48] DLGIVRKIIFKRAMEKDLNQNSPRIRKLRDNGYPPNTPTSRMNNNNQRDNHPNSPRDYNHTPSSPTARLRWRNRSNEIPAEVKRGNASNVRNLLLGDKNKYRSMWIRTCSSLWMLGGVFFIIYMGHLYIWAMVVGIQIFMAKELFFLLRRAHEERRLPGFRLLNWHFFFTAMLFVYGRILQQQLVNTVSSDRFIYKLVSGLIKYQMVICYFLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPAALIAMNDVAAYFFGFYFGKTPLIKLSPKKTWEGFIGASVATTISAFLFANVLGQFQWLTCPRKDLSTGWLHCDPGPLFRPEYYPLPTWIASFSPWKEISILPVQWHAFSLGLFASVIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAIFAYIYIQSFIVHQDYSVEMILDHISRSLGHEEQKMLYAKLGDILRHKLQGGF >A05g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10291376:10291736:1 gene:A05g503540.1_BraROA transcript:A05g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVNGELTETKEEDRGEARDSQLLGGKVKLLLFELLQKRINARRHRHYFSMEEKVTDRLLRRRIRQRRQIRRRPRQRRPKNSSVSSDLITVEFEINLGTLKFRIGGERE >A05p045620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27262806:27264403:-1 gene:A05p045620.1_BraROA transcript:A05p045620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSASSTVRAALDTQPRLPYNPNAPRKVKKTPNGNSFLPPPLPPPPSPRISISVDDLLKRPESKELSVEVDDSYMGYETWSPSPPKLEKPRSVFNAASLAFIGDSIYELYARRHFLFPPLSIEDYNDRVRAVVRCEAQYALLKKLIDDDFLTKEERDVLRWGKNLGSARTRTRRRAGVAVYNKASSLETLIGYLYLTNGKRLEKIMEKLGFSSDSSTEIMIEMAKPKPSEPNLPSFILNEQVSTTVV >A07p009590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5924084:5925981:-1 gene:A07p009590.1_BraROA transcript:A07p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRAFHFLVLILSAISLSEAGSIGVNYGRISDELPSAFKVVQLLKSQGIDRVKIFDADPAVLKALSGSGIRVTVDLPNEMLFSAAKRPSFAATWVKRNVAAYHPTTQIESIAVGNEVFVDPHNTTKYLIPAMRNIHKALVSYNLHSSIKISSPLALSALQNSYPSSSGSFRPELVDLVIKPMLDFLRETGSRLMINVYPFFAYEGNSDVIPLDYALLRENPGMVDSGNGLRYFNLFDAQIDAVFAAMSALKYDDIEIIVTETGWPSKGDENEVGASVANAASYNGNLIRRVLTGGGTPLRPKADLTVYLFALFNENKKFGATSERNYGLFFPDEKKVYDIPFTQEGLKHYRDGGHNTPATGDQQVTPPNNGGGVSKSLTGSTWCVANGDAGKDRLQGGLDYACGDGGADCRPIQRGAPCYSPDTLEAHASFAFNSYYQKKGRAGGSCYFGGAAYVVSQPPKYGRCEFPTGY >A06p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:934300:937250:1 gene:A06p003900.1_BraROA transcript:A06p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSTKGAAPTNGSVYVSNLPLGTDENMLAEYFGTIGLLKRDKRTGTPKVWLYRDKETDEPKGDATVTYEDPHAALAAVEWFNNKEFHGSVIGVFMAESKSKSGGDHLSEGFGFDGGAEPASKETNGGGGRGRGQGDSSAKPWQQDGDWMCPNTSCTNVNFAFRGVCNRCGTARPAGASGGGMGGGGRGRGRGGGADGGPPGKGASTGLFGPNDWSCPMCGNVNWAKRLKCNVCNTNKPGQNEGGVRGGRGGGYKELDEQELEETKRRRREAEEDDGEMYDEFGNLKKKYRVKTNQADTRPVVAAGRAGWQVEELGVDRDGRERSRDRQRDRGDKHRDHHNYDKDRRRSRSRERERGGKERDYDYDHDRNRDRDRDYSRGSRYRS >A04p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1055931:1056923:1 gene:A04p002220.1_BraROA transcript:A04p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRDNGRHKAPQGQWMMQHQQPSMKQVMSIIAERDTAIQERNLAVSERKSAVAERDMAFLQRDTAIAERNNAIMERDSALTALQYRDNSMATSRQHQPHMPHHMLQLTENNAYETREIGTSPPPTTGSALASAKPKRGRKVKEPKAAANKRGPKTQRKVKKENEDDLSKIMSLDYSEEEEATGSKSDWKSEEMMVRLNQVVYDETTMPPPVCSCTGVLRQCYKWGNGGWQSSCCTTTLSMHPLPALPNKKHARVGGRKMSGSAFNKLLSRLAAEGHHDLSSPVDLKDRWAKHGTNRYITIK >A06p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10418316:10419502:-1 gene:A06p021080.1_BraROA transcript:A06p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSGIIIKKAKKMEEEYESRRLRRWEELDTDILVKIFHKFSVFELARVCSVWRTVCCDPILWKTLDLSHMRSSFIKIPLEPYVYVERRSDESLTRILKLSVNLSGGNTRTLAFHFNLFLSDDQLTYTAEREALIKILDGLKDLEVLNISHSYLVELSGWQPQKKVIVRELDEVILEKASRLKRFLTCMEHETCVMCQRTENDEGIVRWYKYEEDDWKVDEVSSLHL >A09p057320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48395009:48397864:1 gene:A09p057320.1_BraROA transcript:A09p057320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSNYSGHDHPRKDSTNGKKSAPIRVLTDVPKENIEDRYLLDRELGRGEFGVTYLCIERATRDLLACKSISKRKLRTAVDIEDVRREVAIMKHLPKSSSIVTLKEACEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKREPWPNISETAKSLVRQMLEPDPKRRLTAKQVLEHPWIQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIAEFLSSQEVEDIKEMFNKMDTDKDGIVTIEELKAGLRDFGTQLAESEVQMLIEAVDTKGKGTLDYGEFVAVSLHLQKVANDEHLRKAFSYFDKDGNGYILPEELCEALKEDGGDDCVDVANDIFQEVDTDKDGRISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGNE >A10p025820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16505013:16507799:1 gene:A10p025820.1_BraROA transcript:A10p025820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSVGGEVTGVPPLAKSALPPPRPKSPPEYPDLYGKRREAARVQMLEREIGYLEAKVVDLLNLGSYYIQGEIKFIEGVQPASRCCKEVSDFVVANSDPLIPAQRKSRRHCRFWKWLCPSAATAQVAAALGPNAVTGHAAQISVVARNRAARAVHASEVAVVLVRTCHAAYPPVSAVALDRRVSTRRRAHVAAATARSDGHLVLDVPRYAFVVVFAIVKIYVLILVV >A05p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25539456:25545587:1 gene:A05p042170.1_BraROA transcript:A05p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDTTTEYTIFPSHVNIQNNLVRPLRTVLHRPIHKPPEKKNYPITKSHKLKLDDHEEEEVDCSRPSPADPEKEAFNALARSFIRSGVNPNTIKTPSFTKLIRLLNPNFRPTVSILKNEVLEIHQECKEKAKSFLKSFQGKLTLSYEWIVLGHGWTRDSLKGPVLQEDFVCITAHFVDDDWKVRRWILGYAGEVDVVAMDDLYVHGFRKAVQGFEIEGKVSTLLLPNDEGFDEETLGGFRRGLMVHDVFRELSGKLLEDLRMIVGWGKCSSENWHLWVSNLQRAVDMKNEDEFSKDEIYDDYDKPSDEEWVKIETFSRLVGCMYKVAVELFEGGYSTSNKPICSVVTVDSKEEGENDDGGGEEEEDHDELQDGEEDYDEEQEGENEEDSDEGLGEGEEDCEDDEEEEYDEEEDGEEKYDSDSSEEAEREARKNREKKPDACKDFAFFQEFLKFEGSSPREFGESELDAYLKEPVMEWNKDFKAVDWWREEGHKYPVLSRVARDILSIPISRATSYHAYGMDRREPPAFVVSLEAEIHEDRKLKAKRFLNDFQGKLTLSYEWLLLNNQGYYRGPIKHEDFTCLTAHFIDDNWKVRKWILGYTTDASVPLNAVYIHHFRTAVQSFDIQNKVSTILLPNDEDFDEKTVDAIKKCVGEGGVNPPVFVVYCCADVFRLMVDGLCSGFRWTLYEELRMLVGWGRCSSPNWDLKLYHYQRAVDMHKEDAFSKDEIYDDYDKPSDEEWVKIETFCKLGGCVYKVAKELFEEGLFARYAASDIFKKPICSVDTVDHEVDEEDEDEDCVDDEEEADKEEKEKPDAYKDFVLFQEFLKFEGSSSREL >A06p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:307632:309120:-1 gene:A06p000520.1_BraROA transcript:A06p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSPRAKNGWQKEGRKEGSSSTYKTLKLPPGLLPPPYSSLYFSFVVEEDKERNDGDWCHTCSQTVTPPVTTEEAGIKCPFCQSGFLEEMQQREDTNNVHRPMDSILTPIFMEMMNNSARIHPGADMDSQLQEILRRRGRRPVSIDQLLQGIYAGLTLPPAAAAAADDDNNRERGRVIVTNPYNQIVAVPSSAVSDTLPPVAGSLSEYFIGPEFEAMLESLTETDPRRYGTPPARRDAFEALASVEIQEAEAGLECCVCLDDFEMGTVGKQMPCKHIFHSDCLLPWLQLHSSCPVCRYQLPTSEDETNGGGGGGGGSSSSSSSSQGSESTRDDDGDGSMLDDPMEA >A09p066660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52813641:52814714:-1 gene:A09p066660.1_BraROA transcript:A09p066660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKITLDTAPPAPAETLALAPAPAPAPAETPAPAPAPEKTSDDSKALAIVEKPVEPDAPKKSSSSGSQDRDVKLADLSKEKTLAYVKAWEDSEKSKAENKAEKKISDILAWENSKKAAVEAQLKKIEEQLENKKAEYAEKMKNKVAAIHKEAEERRAMIEAKRGEDVLKAEEMAAKFRATGIVPKATCGCF >A05g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24593910:24596663:-1 gene:A05g508440.1_BraROA transcript:A05g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPWSGLDPNDRIVMRPLEIFPLVMDVLVVTRLLLLVPIGDFLFLCHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLERCGVGLRVGCGYVRYWSVEIGAAASIKRSLHVIRVRQTVGTEIHTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLILNLRMSVTTRYNPGLESCRRDSYPLLCSSPRTPYILAPRSVYAFTLLPLSRHSIKWRFSIFPVLHNYLQNFHIYPRKLDIYPSSWAKRWASNRVLGPFRPFSDPTRLLRNSGKHGLSLLRSSGDSIRRFDENAWTGVVSMLGKVQSLHSDRTLAQARSLCSDRALLSSVATQRPSGTSAWLLRSDRAGRALGRYVATERDDRLVADDRTACVYVVTGRRVYVATELGLFGLNPKGYFFVKTSYRLFLRKLHLFFY >A03p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5351447:5352401:1 gene:A03p013620.1_BraROA transcript:A03p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDMKVNGGEIDESKVKAPNMFERAKEEFDAVVGAIHQRKSSKDESDQMEFKSEKPEDENKKPNMMRKAKEEIKSLFHSKEKPHHHKETHGRSDDIDENTHVDEVKAPNVFERAKEEIAAVIDTIHSKKKETDGSNSPKRSRSVSPEKERAGFGCSLGKGLEKICAPWGNNKND >A04g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22784916:22786329:1 gene:A04g508540.1_BraROA transcript:A04g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRSQLLARPLLERRLRGYCTSSSSEKIIASVLFERLRVVIPKPDPTVYAFQEFKFNWQQQFRRRYPDEFLDIAKNRAKGEYQMDYVPAPRVTEADKNNDKKSLYRALDKKLYLLVFGKPFGATSDKPVWHFPEKVYDSEPTLRKCAESALKSVLGDLTHTYFVGNAPMAHMAIQPTEETPDLPSYKRFFFKCSVVAASKYNISNCEDFVWVTKDELLEFFPEQAEFFNKMIIS >A03p025490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10728343:10730097:1 gene:A03p025490.1_BraROA transcript:A03p025490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARTGRSVQEHPSTPAPVPPPSTRRPRVREVSSRFMSPVSSSSSSGGDIHSNSPRHLNHNHQQHQKSRRQLKLSDGGENRSSETARSLHSPFPLQQKRSQPLKENRLDTPTTVLPPPSRSRLNQQRLLTSSAAARLLRLSVSTDGEEDYDREKSNGSDHAKLFDTPASSPLRRSLSSSCHDVRASLSLKGSSLPPVAPNSKSQADTKRQKKALGQQVDAHCLQLLHNRYLQWRFANASAQVKTQSQKAQAEMRFYSLGLKMSELSDSVHKKRLELQKLLRAKVVKEIVESQIPYLEQWETLLEEEYLTSVSETSEALLNASLRLPLDADIKVETKELAETLDVASKSMEGIVQNIGNFLPKTQEMEPLMCELARVSSNAKASAEDCGVGLLKSHSSHVGESIEECYLRSQLIQQKYELQGSKHFV >A10g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5368200:5371832:1 gene:A10g502040.1_BraROA transcript:A10g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFNTLDYAWYCKLPRITLLRQCDPMFHLLSDLMKHSPNVLLGYLCFSEEHPQPVCEVSFIKRFFDWDSEDSFSETIHLLIVSFSLKILVLLELILVNCLKGWVLVQVPVDRWELCCDDRRRTHFVDRWLAQPIDRFFIERRGWTTSIDDWSNPSIDLHHGLSIDASSSTSYRSLSNDWDLSTMMVWCRSTVGCAYRSTTKWLRRAMWNVDLYGSCVPNEQDRLRTTKTRSSNHKGSSLPNETCNKTRSRKALPAVKTLRHLLNPKCRVWCLDIHRWYLCTSIDINLHLSRHFLISIVSTDVHRSIVLPLVDL >A09p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7167079:7170057:1 gene:A09p013830.1_BraROA transcript:A09p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEASAAAAAASPQASGGTVTPAPKKRGRKPKSKDESQEQISGGKMKESGKKTKQQEEQSVDDKYSQWKGLVPILYDWLANHNLVWPSLSCRWGPQLDQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVETQPNRHAVLGAASSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKMVVLWSIQDHITTVGTDSKSSGSIIKQTGEGGDKTESPSVGPRGVYQGHDDTVEDVAFSPTSAQEFCSVGDDSCLILWDARTGTSPVTKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRLYDRRNLTSNGVGTPIYKFEGHKAAVLCVQWSPDKASVFGSSAEDGLLNIWDYDRVSKKSDRAAKNPAGLFFQHAGHRDKVVDFHWNAADPWTIVSVSDDCETTGGGGTLQIWRMSDLIYRPEEEVLTELEKFKSHVMTCASKP >A08p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2996509:2997475:-1 gene:A08p005190.1_BraROA transcript:A08p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRRIVVVVEDKEAARTALQWALHNLFRQGDIIVLLHVISPPPRKKKSTAARLLRRHGYHLALSFREICDAFFNTNTEIIVREGDEDGRTIAEVVKELGASMILVGLHQNSFLYRWAMSGIDVTRNLGCKVMAIKQPSSEESPPGKVKGRRMTSHTTATSDSLTNFDFSQIEISGLQVPEIRTPKVPYRLCPSPRAILWRTRPRRSKARYAVVS >A01p016710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8249666:8250817:-1 gene:A01p016710.1_BraROA transcript:A01p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVTKLGRSLQQRRTFINARVKWVGDPYLDEAVQREKNLKQLLSLKDRIVSSPSKSLPLSSLSLLKPLLNLHVTAAAFLQKYPSVFATFQPSRSLPLHVRLTPQALDLHREEETIHLSPPHRNATVQRLAKLLMLTGAGSLPLYVVDRFRFDLGLPHDYVTSLICDYPDYFEVIEVHDRLNGGKTLALSLTSPRKSLAVSEMERRESIINGSRVQKGLRIRYSMNFPKGYELEKRIKNWVEQWQDLPYISPYENAFHLGSYSDQAEKWAVAVLHELLYLLVSKKTETENVLCLGEYLGFGTRLKKALVHHPGIFYMSHKIRTQTVVLREGYHKEFLIQKHPLMGMRHRYLYLMSRSGRVKKRDYVPGVKRSNQSVDAQNS >A04p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7125179:7125624:1 gene:A04p010600.1_BraROA transcript:A04p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEESSNFSYSGGSSRFLADYDQDETRASSIQSRRRELRGRDEDRIVDPTRRAGELDCSLDPTHRAGELDCSFGPTRRAGELDCLFGLPRHWGDGRILIFGFCSISFLIRETFSTFVVRFSKVVKSF >A09p047110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40918519:40921350:-1 gene:A09p047110.1_BraROA transcript:A09p047110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRATPSRSTPSGSSSGTRVGKYELGKTLGEGTFAKVKFARNVENGESVAIKVIDKEKVLKTKMIAQIKREISTMKLIKHPNVIRMYEVMASKTKIYFVLELVTGGELFDKISSSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLTSLYKKIFKAEFTCPPWFSASAKKLIKRILDPNPATRITFAEVIENEWFKKGYKAPKFENANVSLDDVDAIFDDLGESKNLVVERRGEGPKTPVTMNAFELISTSQGLNLGSLFEKQTGLVKRKTQFTSKCPANDIVTKIEAAAAPMGFDVKKNNYKMKLLGEKSGRKGQLAVTTEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTMGLKDIVWKTIDEEKVEGTEGDTNGAVAAS >A09p052230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45802707:45807518:-1 gene:A09p052230.1_BraROA transcript:A09p052230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTATATATASSDASEGPVMGLINKRLRALRKKLNRITQMEESISQGKILNKEQQEVLRSKPSVLILIEELDKLRAPLSAAVSEEITLATTHHHHNQAPVADAPEEEEAKTLEDLVNLLYFGSLFDVKSQNELASIMLTRTHERGCCLVYDTVTDESTDLLCDKDLDLISELWTMMVSRPADSFLSHKNALERCVEHAKLWLASSDQPIASNCNVSYAGLREKLKKIMGSNYFTITPEMVAPVEAAAAAAGNYGSYQVPADTEQKEEDASNFKEQESAVNDQSEQPKDESVTEGEVVQGQQEQGYTQVEGGRSKRDYQQQYVPRGTHQNQRGHRGARRGHSNAPRGGRGGGGGGYSNGRYESYDNSGGNGYQRSHYNNRGRGRGGGGGNGHSYNNNQDSNDRLIEKRTETVRRNAPKMVSLNSGPVSSFAYMNSLIDSDTLLPLSSFSTFNPICSGKLSLLADGKSCGPVQWFLEVKLRKKGCCFRRSGVLRMCNNQDLGWDSDKDLETEILEFMKNSDKPGMFPSKKDLIRSERFDLVERIVNQGGWLSMGWDLDEQQEEEVRVKENVVLGDLPIEKQLHNLSSNASSSREEVDGKNESGIEGILTRLEKERNLSLGINLSGKGESNGAMYDDITLNGSLPRSSIIVRASEFQEVDGSRSSGEYGQSRYQEAKPVSGNNSSTSETWRTWSMRRAGFTDEDFEAAEISSSSLVGLKKDDTNKDSNGKDKTASYSEDINTTHIKSRLQNLQSELSSVLQSLRSPPDEVVTSKDSEIKSGNLENLNDDWEFKENEIIYAQNKLRSTRAKLAVLEGKMSMAIIDAQRIVREKQRKIDHARRALRLLRTASIVWPNSASEVLLTGSFDGWSTQRKMKKAQNGVFSLSLKLYPGKYQIKFVVDGQWKVDPLRPIVTCDGYENNLLIIS >A07p050880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27086878:27089254:1 gene:A07p050880.1_BraROA transcript:A07p050880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTETLNILDELESLVSDQLQVVSYKWLSRNFSISSKTAKSLLMEFVEKNGKGVEVVYIVSGWLKNTPSDHRTRLVSSSKLAEVEKEFNGTHSVSIYSVQASIPMEPAAIWNSEFVQAEELFREPSAADNCLRDNRFCGISNSFVKRNIEGATANVTAPGTESVRTTVPSIPKVKNETVTTAPAQKQPAKSSDDKEKPLPVPASKKNGQAQKSVTGTGGSLKNMWGRVPVKAEDESATIEVKKQDNSEAQKPSHDVKSKGGSDDEAPDVSIRNRKRKVIFDFSDEECEDVISLASPSSPKINPGPDSEETKDSGPENPDKRVSGETKTDEPEVREEEDCQKTASADTSGVRKEEKPMASAAGVNPSKGRVTNAPSSPKRKKVLKTRIDERGREVTEVVWEDTETNAKKKEEDNDTSKKLNDGKSANAAVNRAVVQKKSPAMGAANAGGKTGSKKGGNVKDPKQGNIMSFFKKKV >A03p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:859307:867542:1 gene:A03p001720.1_BraROA transcript:A03p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04370) UniProtKB/TrEMBL;Acc:Q0WL44] MNNLFIFLILFSLCIEHTIGGEIKLVLVNELHNKVLAIRCKSKNDNLGDHDLRVGQSKRFEFSDNVWKTTLFWCNMWQAPSYRVHQRFDAYRSKWKRHLDPTYFWIAREDGVYFREDELYESKAIISNQFEHNKPVKVSCDNGDDEKIVKTGEVYEFTFGDNKIGTARYSCKMDRGSNIRGGKAREASFKWIAKEDVIYFSPMRNISDYKTKNESSSGDEERSSKYSFVSALCMSGGEGDNSYSTNSLLQRRALAKAKPVLVKNIKELMMDLNFPKYIKVADLGCSSGQNTFLAMSEIINTINVFCQKWNQNLPEIDCCLNDLPNNDFNTTFKLINSFKEKNFTSKVPYFVSGVPGSFYSRLFPRKSLHLVHSSYGLHWLSKVPEGLEKNKMSVYITASSPLSAYKAYLKQFQRDFTTFLKLRSEEMVSNGRMVLTFIGRNNMDNPLHRDCCHFWTLLSKSLHDLVIEGLVSASMVDSFYMPFYDPSKEEVKEIVGKEGSFEIKDLEAHEYDLGHCNQDQSKRSKSGQNEANYIRAVSEPLLVAHFGNAIINRLFGKFAHHVSQHAGCRNKTTTYLCASTWFKSLIFYHQGYLRGIMKENQRTSLELKKK >A09g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28809758:28810356:-1 gene:A09g509860.1_BraROA transcript:A09g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEKNLRPLVINDQGAKASVAYQDPRTEPVLMTAKAQSAAESSNTMDAKLKSTTKQTGIKDDDFTFRPSSERAHASHALHVDEQGNGDHSADEDMQDVVHGNELMLVHEDNLWGDELKYEDDLTHRDGSGPSHEIVHGSSILMIKAKERSDREVMNFGDEQKALTRSVTC >A01g509870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26935642:26936809:1 gene:A01g509870.1_BraROA transcript:A01g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFLVLQIIEKVCVGQIILKLALENGCGILRMIRTNWNVKVVDFGLSKWKNATFLSTRSGKGTPQWMAPEVLRSEPPNDKCDVLSFGIILWELMTTLIPWDRLSSIQVVGFMDRRLDLPERLNPQIASIVEDCWQTDPAKLPSFEVIISRMMSLFCKAGSSAQEEED >A09p075990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57046906:57047926:-1 gene:A09p075990.1_BraROA transcript:A09p075990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNETEQAVSGCGETIWKEPELGCRSARRRACLIWFESIGCFLHSSLRRKNSPVVPKGFAIFSGYSIQYFWVGINMVFSLLVGGLGGGGFCVGDDGTGGGGILPVLSVDGSAIV >A09p004510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2564070:2565998:1 gene:A09p004510.1_BraROA transcript:A09p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDATHTAVAERGTRKRRVGLKNGGKSKNKKQKTRPPSSDRFKLTQKKQKLFQKRARDYNSDDEEDESKKAPEVTIREKIFSDANMGPNYDEVEEEEDGSDKDENSDGEDHGEIQSGITRFAADDGCNAFKMAFKSIMKSKGDDALGPVLSAQKNLIAQKLAEEEAEKKAKGQARKAKYLVAEKGHVKPANHLESHEKVLIGVATKGVVKLFNAVNKAQHAQKGLNASRSKDAKVLKKRRKEAFFSELGKTPRSDSKAQKSSNANEEEAPAWAPLRDNYMLANPKLKDWDKKQETNEGDDFAAMSGDESYED >A02p028110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14220388:14221749:-1 gene:A02p028110.1_BraROA transcript:A02p028110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFYLGGRDNINNKQDHHHVDKDHHQQDKSNYLYLYKDEIYNTNKGFEIWPPQYFQQQQEQQQHHVTASTNLYSFGMVPSSSNNNNNNRSRSLYFNVVSDHEPGGFSVTRQGGMNCQDCGNQAKKDCPHLRCRTCCKSRGFHCQTHVKSTWVPAAKRRERLAQHASLQHHSATSRETQNAKAKRLREANGGDNDDKDRSGGSGSALATRLVNANSNSGFGESQNLPPEVSSPAIFRCVRVSSMENDEDEQEYAYQTAVNIGGHVFKGILYDQGLDQDHHHQLDLLASTATTTNAEEIAAKTAVTVAANTNNGLMIDPSSLYPSQLNSFIAGTPFFTPPRS >A02p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13975339:13986924:1 gene:A02p026680.1_BraROA transcript:A02p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAFYKSVAERGPWNSLSRWALEAYMKGDVGKAFILYSRMSELGYEVAQSNAAWILDKYGEEHMKKKKPKKSPAKSPAKPETKPLSRQFLSCVNCPQRIPWLYLMHKSAPPADEIALQSSESSDLGSIQPALPTSETVIVVPSADPSPVSDESKSTNSELSFASVPPSSSASVLDVLAISLEELNSDASLHLATADAKFHTSSSQVAVPVSPYTVQTSEADAGTQALRRQPAGRKTRRGKSKDKQIWREVDQTVANNTGSPPSQADQHVKVQSAEAVQTAQPVLSLQIAQSVHTAQPVQNKQSAQSVLLQNTKLGMAKDSVIGESSSTPYYLRSAKAKCGSGTSCSSHSDVQPDSSDVETSDSDLEEGELSKHDLDYYNQALENEPVSRLPIMLALARLWVRRNYADIPIMLTLLLASLVTVRHLRTKRPQRREVTVDSISADATQPLVEYADFPN >A08p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7434947:7435763:1 gene:A08p009410.1_BraROA transcript:A08p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSIALSLRNKALITPRVLSSVPKRLIHGSTMKEASVCDKATEAQQKVAKKADEGAQTISEAAGNLKDRAKNTAEEAWDKVKDTTEKIKDTVTGKTEETKESIKAKAKTVEKSMNTKNLK >A10g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14033290:14034068:-1 gene:A10g505540.1_BraROA transcript:A10g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRVSANNIALKRIIRIGLLGASGYTGAEEEEFVKVVDEGVVPRTHNVRGSNYCFVNVFPDRIPGRAIIISVIDNLVKGASKDNSKHNVAV >A03p029310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12310965:12312249:-1 gene:A03p029310.1_BraROA transcript:A03p029310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALCDIPEDLQLRILSFLSPTEICSFACTSKRFASLCREDRKIWHVVCDRRWGKKTQIQKWGNGRIAYKLLYRTLKRLENLIGFWRLCGRANPADSSPPLVFFEWGSSFVLGSRVLAINDDTYRVRKTPFLVLGISSEGRTESFLDLDGNHRSGVSVDLSDLERSSLVPVDVNFMGNGHIMVEENPCFFREEQKSKGSSSGDDDESEDVVSEMYTQLANRTSPGGDRRRKRKKEKERQARIKWEPEHFIKVSDFSPTLAKPLQGLWKGFCEGRNMELYLVKYDEVGGVVCKKVEDLSLSRQTSPVFWTPNHAFIRSPFSVEEEMILDSRIRIVPLHGEVHEQVLSGMLYMNSSYDPGEGRVWLYENGTFGFGFLRDQFITDLKRVALEDGCLADVLDA >A05p001920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:488315:493896:1 gene:A05p001920.1_BraROA transcript:A05p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGLNEDANIVEEVSETKRSRDEEEKEDKEVKKNNNEDHEKTKTVPFYKLFAFADSFDFLLMTLGTLGSIGNGLGFPIMTILFGDLVDAFGENQNDSNVADKVSKVSLKFVWLGIGTFAAAFLQLSGWMISGERQAARIRSMYLKTILRQDIAFFDVDTNTGEVVGRMSGDTVLIQDAMGEKVGKAIQLLATFVGGFVIAFIRGWLLTLVMLSSIPLLVMAGAGLAIVIARTASRGQTAYAKAAVVVEQTIGSIRTVASFTGEKQAISNYNKHLVTAYKAGVMEGGSTGLGLGTLFLVVFCSYALAVWYGGKLILDKGYTGGQVLNIIISVLTGSMSLGQASPCLTAFAAGQAAAYKMFETIERRPDIDSYSTDGKVLDDIKGDIELKDVYFTYPARPDEQIFRGFSLFISSGTTVALVGQSGSGKSTVVSLIERFYDPQAGEVIIDGVNLKEFQLKWIRSKIGLVSQEPVLFTSSIKDNIAYGKEDATLEEIKAAAELANASKFVDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADTIAVIHQGKIVEKGSHAELLKDPEGAYSQLIRLQEEKKHEEKKPEELSSIESFKQSSLRKSSLGRSLSKGGSSRGNSSRHSFNMFGFPSGIEGNDVVQDQEEPKTKPKKVSIRRIAALNKPEIPVLILGTISAAANGVILPIFGILIASVIKAFFKPPKELKEDTSFWAIIFMVLGFASVIAYPAQTFFFSIAGCKLVQRIRSMCFEKVVHMEVGWFDESEHSSGTIGARLSADAAAIRGLVGDALAQMVQNLSSILAGLIIAFLACWQLAFVVLAMLPLIALNGFLYMKFMKGFSADAKKMYGEASQVANDAVGSIRTVASFCAEDKVMNMYTKKCEGPMKTGIRQGIVSGIGFGVSFFVLFASYATSFYVGAQLVDDGKTTFDSVFRVFFALTMAAIAISQSSSLSPDSSKADIAAASIFGIIDRESKIDPSVESGRVLDTVKGDIELRHVSFKYPSRPDVQIFQDLCLSIRAGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGVEIKTLRLKWLRQQTGLVSQEPILFNETIRANIAYGKGGDASESEIVSAAELSNAHGFISGLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKNADVIAVVKNGVIVEKGKHESLINIKDGVYASLVQLHLSAAS >A02p009690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4087602:4092333:-1 gene:A02p009690.1_BraROA transcript:A02p009690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHVLLTVFTLCMLCSGAKAQLNPNIYAKSCPYLVPIVRRQVMNALKADTRMAASLIRLHFHDCFVNGCDASVLLDGDDSEKLAIPNLNSARGFEVIDTIKAAVEYACPGVVSCADILTLAARDSVVLSGGPQWRVALGRTDGLVANQSSANNLPSPFEPLDAITAKFVAVGLNVADVVALSGAHTFEQAKCDVFSNRLFNFDGAGSPDATLETTLLSDLRTVCPAGGSGNQTAPLDRNSTYAFDNNYFKNLLEGKGLLSSDQILFSSDLAVNTTKRLVEAYSRSQYLFFRDFIRSMIKMGGITNLVNGSSGEVRKNCRRPKMGLSNTIALLLISNLMFGVLGNAQLTSDFYSTTCPNVTVIARGLLEQASRSDVRLTAQVMRLHFHDCFVNGCDGSVLLDAALADGVEGEKEAFQNAGSLGGFEVIDEIKTALENVCPGVVSCADILAITAEISVSLAGGPSWDVLLGRRDGRTANRDDAVAALPLGPDSLDILTTKFSEHNLDTTDLVALSGAHTFGRVQCAAITNRVNNFDGINGQSDPSVEPAFLQTLRRQCPRGGSPTALVNLDPTSPDSFDNDYFKNLQNNRGVLESDQILFSSRGAPTVPLVNRFAENQSEFFRIFARSMIKMGNVKTLTGTEGEIRRDCRRVN >A04p018920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11277774:11281953:-1 gene:A04p018920.1_BraROA transcript:A04p018920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 2 [Source:Projected from Arabidopsis thaliana (AT5G40840) UniProtKB/Swiss-Prot;Acc:Q9FQ20] MFYSQQCLVSRKGPLGAIWVAAYFFKKLKKAQVKDTHIPSSVDQILQKELDLLTYRVLAYLLLGVVRIYSKKVDFLFDDCNRALVGVKEFVAKEKNRENTNVPLTAASTSFLSIALPECFELDAFDLGVLDDFHGGNVKSQEDITLKEDGGAGRDTDSMDQYYMERFDMEEDLLSTFHETFVADHTDTRHESSAHDMDIDAENERNASGEKSVRVVEAEPLNSNKSSRDHQTASRHGEDPESDDMLVEPQVSEGIIRAQEEATDRETICTIVQRLEDPESDDMLGELQASEDIRRAQAEETICTVVQRLEDPHESPGDNLHRDGHMENSESEKTWVETSLEKTPHDGSLPSECKSPEEIHGSEDQPSGASRIDGEKESTREEPEPGSVCKLPEGIEKHRGHSDGEMTDTDMYHGSHKEPAETPEVIQVRHNVTEEGFLPDMTFSEEGSKGSNAKDTPIVATPKQASWLKISEGETSHQFSIIPTPAGKESSRVSRKRKCLIDDEVMIPSKVMKKMIEDPSTLVAKRKRVPHTDYPEKRIKRFTDPSRSSWDPLIPYGSLELQSLFCQPIKLKEQNTTESPKAAKTAGRMKRSSLRTVGDVSSSEQTENGREIMETPQAAALAELKITVPETGTSSVAGGSSHPTNVPPETPVKPAEPAHLAPETPARTSEQTGIAPETPVVSEQVEIAPDTPVRDSMSKRYFNDHEMCEQETRPANSFTSFEERPSEICEDRRDLDAILMNEEVNAHDETEDLQQETWSARTRNVAKFLEKTFLEQREKGEEEKASLLELCRGRTQKESARLFYETLVLKTKGYLEVKQDHPYSDILLSRFTRQQEAR >A08g510650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24691376:24693274:1 gene:A08g510650.1_BraROA transcript:A08g510650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDIPKRWKVLSGQNKWKGLLDPLDSDLRRYLIHYGEMAQVGYDAFNWDRKSEYCGDCYYSKSQIHARTGYLKANPIRYNVTKYIYATASIKMPLCFIVKSLSKKASRVQTNWMGYIAVATDEGKAMLGRRDIVVAWRGTLQPYEWANDFDFPLESGVKVFPVTDRKHVPRIGSGWLDVYTASDAKSPYDTTSAREQNEEVSITFTGHSLGGVMSTLAAADLVHSSQNKIHTGLQSKQVPITVFAFGCPRIGDQDFKKVVSSHKQLNILRIVNVPDVAPNYPLFMYAEVGEVLQINTLNSTYLKRSLNWRNYHNLEIYLHGIAGMQDKTGVFRLEIGRDVSLVNKGLDALKDKYLVPSVWRCLANRGMVQMDDGTWKLDVHRYEDDDGGDDSTNK >A09p004190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2416785:2417953:-1 gene:A09p004190.1_BraROA transcript:A09p004190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEKRDNGEEIPRDLLQRFMSKKQKSSVEAGEVEIELDLGLSLNGRFGVDPLAKTRLLTRSSSIPDLVVNGGRTELSRTCSLPVETEEWRKRKELQSLRRLEAKRKRLEKQRNVRVLREKHKAGGGGGEEGSIGSSGSGSSGLSELDTSPSPPVQATTKASIERSPSSTQPLPENQAARNMIDDMPCVSTTGDGPNGRKIDGFLYRYRKGDEVRIVCVCHGSFLTPAEFVKHAGGRDMAHPLKHIVVNPSPFL >A04p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2515621:2518889:1 gene:A04p004850.1_BraROA transcript:A04p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHHHQVRAEEFEKKAEKKLSGWGIFGSKYEDAADLLEKAANSFKLAKSWDQAGKAYLKLADCHLKSDSKHDAANVYAEAAKCYKKVDTNEAASCLERAVNIFCEIGRLNMAARYYKEIAEYYEADQKIDQAISYFEKAAEFFQNEEVTTSANQCNLKVAQYAAQLEQYEKAIKIYEEIACQSLNNNLLKYGVKGHLLNAGMCHLCKADVVSITNALEKYQDLDPTFTGTRECKFLSDLAAAMDEEDIAKFTDVVKEFDSMTPLDSWKTTMLLRVKEKLKAKELEEDDLT >A08p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10212895:10219906:-1 gene:A08p015610.1_BraROA transcript:A08p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKVIAPVGSGEKGCCKSGPGYATPLAAMSGPREKLIYVIAVYTGTGREKPDYLATVDVDPSSATYCSVIHRLPMPFLGDELHHSGWNSCSSCHGDASADRRYLVLPSFISGRIYAIDTKADPRAPSLYKYVDPKEIAEKTGLAFPHTTHCLASGEILVSCLGDKDGNAQGSGFLLLDSDFNIKNRWEKPGHSPLFGYDFWYQPRHKTMISTSLGAPKAFSKGFDLQDVADGFYGSHLHVYSWPGGEMKQLIDLGDTGLIPLEIRFLHDPSKDIGYVGSALSSNMIRFFKNSDETWSHEAIKPIKVENWVLPEMPGLITDFLISLDDRFFYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGGPVKAVREDGGTYQFDVPQIKGKSLRGGPQMIQLSLDGKRLYATNSLYSVWDRQFYPELMDKGSHIIQIDVDTEKGGLSINPDFFVDFGEEPDVDHYALISGRIYAIDTMTDPKAPSLYKVVEPKEISEKTGLAFPHTSHCLASGDMLVSCLGDKEGNAKGNGFLLLDSDFNVKSRWDKPGHAPKFGYDFWYQPRFKTMISTSWGAPKAFSKGFNLQHVADGLYGSHLHIYKWPEGEMKQIIDLGNTGLLPLEIRFLHDPSKDTGYVGSALSSNMIRFFRNSDDTWSHEASRRTGFIQKCRGLSPTS >A03p030130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12639445:12640893:1 gene:A03p030130.1_BraROA transcript:A03p030130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSLQYKATIGADFVTKELQIGDKLVTLQIWDTAGQERFQSLGAAFYRGADCCALVYDVNVAKSFDSLETWHEEFLKQASPADPKTFPFIVLGNKVDIGGGSTRVVSETKAADWCASNGSIPYFETSAKEDYNVDEAFFTIAKTALANENDQDIYFQGIPAVVPENEPKGGGCAC >A04p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5566904:5569459:-1 gene:A04p014500.1_BraROA transcript:A04p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTSTRSIRQVCSNYNSNNCEFLHPLCRSECRMLFQHCGGSFPSSQFDHYARVLTLIPPCEGKRVLELGAIISRFTDELAQKAGEVIVLDFIEIAIKKKIEDGSVDLIFSNWLLMYLSDKEVKLLAERMLGWIFQECQTCDASGKSFGLTMVCCKCIVAYVKNKKNQNQIYWIWQKVSMENDKDFRRFLNNVQYKSNGILRYECVVGQGYVSPCGFETTKEVVAKMELKLGQKVLDIDCGIGGGDFYMAETFDVHVVGIDLLVNMMSFAFERAIGLNCSVEFEVADCTTNTYPDNSFDVIYSSATIFYIQDKPALFKTFFKWLKPGGRVLITDYCKSDETPSPLFAEVIKQRGYDIHDVQAYGQMLKDAGFEDVIAEDRIDQYFYILSLSRPELEKVEKEKEEFIHQRLLR >A02g512860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35287898:35288793:1 gene:A02g512860.1_BraROA transcript:A02g512860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLFNFAEIRFCVLPHRTPFEHHDFSNSFLRAQNVKKGGERMAVDMLLIDGELSRFIIPVITLRNSPSFKFGDASVAIGFTDQTIFLKIQKQLNLFRLRVSVKQSSASVLDGLAIAKLLDEMLIASGVEPKVLLSTNVNPKLVGALEVKA >A01p002780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1282756:1286168:1 gene:A01p002780.1_BraROA transcript:A01p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSWPWKKKSTTEKPVVGNESTNVSSLSYLASLENQEKCKNTNYVQIPMDSYTHLSKMEDQVKLFESQVKDLNEKLTTAQSELNSKECLILQHAKVAEEAVSGWEKADAETLALKRQLESVTLLKLTAEDRASHLDDALKECTRQIRTVKDESEQKLQAVVLAKTIHWDKIKAQLEGKIEELNQGLHRAASDNASLTRTLQERSEMIVRIREEKSKAEAEVEKLKTNIHLAEKEISSLKYDVHVASKEVEIRNEEKNMSLKSAENANRQYLEGVKKIAKLEGECQRLRGLLRKKLPGPGAMAQMKLEVESLGHELTDHKWEEFKRENVNLTKRTVEMEEEIQTLKELLASKNNELNVSRNVCAKTLGKLKALEAQMHDQYHPPSVTSVSEDGFDEEGSSATSGDSHKVRKANTDGSSKPRVMSNRLELMDDFLEIEKLAANDSASKSCNSACSSKYSEKDDTAIDQLLTVLRSRISSVFESQEGISIEKVVEAMRLSIQEMLGSSSLKQLPSPLFEVADETHEKHVLSSQDKKVEDSESNPLEDTFAKTEDDSSCKSLLREVELEKEKIELELSRCLENLESTKAVLEEKEQIISEMKSQLTSSRDLQSLAETQLKCVTESYKTLELHAKELEANVKRLEEETERLETAFSTEKRGHEETLAKCKDLQEELQRNETCRKGSASKSQPDQEKDIASATEKLAACQETIHLLSQQLQSLQPQSNHIPNTQSPEKKIQHHKASEATPNSGQDDLPHDHNNIQPSHSFRHTVNPTVHAIIKSSSVSSSSREDGEKHTRGLGRFFSSKSKNNGR >A06g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4298671:4311388:-1 gene:A06g501190.1_BraROA transcript:A06g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHEHVRLKAQLGCLMRFSNETAPLGIMHFSLFLHCISLYQVLEFPLEILEVLGSIWDQKGSGKCCLGEQSIRAGYSPAPEESKAGATSCTEVIHPLQAQLLVDYFHDSERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRQEELCFINNNGSWYRKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNNNNQSTQAQGSSSQAPASDTSQGTMAKTNKTDMEAKKAAAAKREFELRGKPLEPAEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKISKGKGIAVERDRSKTPTVEELHYHLAKCVSWVPTRFADPKMMEELGIEDDVRIMLQHMKMESFYSMAYPTYEELSSQFLATLEASFYEANHVRHGWGKIRFKVNGKNYVMGFKEIGAMMGLEDNEDQTLPRFKKLPTGVWRVISGNLHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRLLYRAVKDNVTPEQLEEFEETDKMKFPTTNIFERFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVHLGDDPKGPAFIDAPDLRIATYISGRYREKVVYTYFRKGKMAKLLLPNRELTNIKRPGIIHFDIDESELFRLRGPIDPVTVPKRRRGGARGHVTAETSDAPQEGSATPLYGPPRYHFTQSSSVLPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDYFHDSERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPITHEFSLLIRKKA >A08g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14114835:14115247:-1 gene:A08g508000.1_BraROA transcript:A08g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDDRPIYLPERTRVEASSLTDITRVTIYTHSIARDQTYTLTEEDEFLIMRCEGIWDVLTSQEEVRELALEASRLNTFDNVSVVVVCFLSADEMVTRLLRRRSNGVVDCRSLLELEGLIG >A06p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7148156:7156243:-1 gene:A06p016060.1_BraROA transcript:A06p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRFIGRQTGKKPIVGCIRIVSGLCSYQYMRTDFTIQRRDGDVNGVINMREIWKIQSLALRRNITNRFACSVCFLDRILKVAAIEDLYHAFCELITPSYPHSIREHQSCIEKERKGLLELKTSLLLSAGDKILASFEDSFDTWSNNTVSDCCKWERVKCNRTTRRVLGLSLHELQFIDSPLLNLSLLYPFEELKSLNLSVRWSSGFSGLVDDLEGYKSLRRLRKLEVLVLSSNVFNNSILPYLTSATSLTTLFLRGNQMDGSFPMKELKDLTNLEFLDLSRNMFNGSIPAKELADLKKLKVLDLSGICELKNLEELDLSRNKLMGEFPICLTSLTRLRVLDLSSNQMTGEVPSAISSLTSLEYLSLFDNEFHGFFSLGWLSNLTKLRVLKLYSKSKSFQVESEGSWKLEFQLYVIALRSCNLGKLPHFLLHQIELRLVDLSNNRLHGLFPSWLMENNTNLEVLILQNNSFTNFQLPISAHKLLLLDASANELSQPFPENIGWILPNVRHLNLSKNSLQGNLPSSLGNMKNMYFLDLSRNGFYGKLPRSLTLGCYSLMFLKLSYNKLSGHIFPEPANLTSLIVLTLDNNQFEGKIGDGLQNSSSISVLDLSNNYLTGVIPSWIGNFSRLYMLLISNNLLEGRIPVSLFNITDISLLDLSANRLDGDIPPHLNSYSGFLFLQDNNLSGSIPDALLDNVSILDLRNNRLSGNIPEFVNTQNINILLLRGNKLTGHIPVQLCGFSTIQLLDLSNNRLNGAIPSCFSNISFGLWKEDEAYVFGNKISFGSIFSFGGLGLYWDFFSEEDLGMYYRSNKLMLDPFIVDYTADSEIKVQFAAKRRYDFYMGGTLGYMFGLDLSQNELSGEIPGELGDLDEIRALNLSHNHLSGVIPESFSKMKDLESLDLSFNILHGHPSQLTKLSSLAVFNVSYNNLSGIIPVKGKFSTFDETSYIGNPLLCGQPSNRSCNNDTLKETPADVGEDKEDTIDIVSFCWGLFAAYVTILLGILASLSFDSSWSRTWFSIVDAFIHKVKRLSVRRVFLVQILMWVMIVMGQIHRYKSCVEKERKGLLELKTSLLLSGDNEDYIFETWSNDTVSDCCSWERVKCNRTTRRVIGLALHELSLIGLPLVINLSLLYPFEELQTLNLSKSWVEGFNASFLEGYESLRRLKNLEILVLSYNQFDSTVLPFLSSAKSLTTLFLRGNNMDGPFPAEVSILLVTYNIVVTWICELKNLQELDLSHNKLIGEFPLCLTRLTALRVLDLSSNQMSGKVPSAIGSIESLEYLSLFDNDFHGLFSLGSLANLSKLKVFKLSSISNSLQVVSEGSWKLEFQLHVIALRSCNLGKLPHFLLHQKELRLVDLSDNRLHGLFPSWLMENNTNLEVLLLQNNSFTNFQLPRSAHSLLLLDASANEFSQLFPDNIGWILPNLRHLNLSKNSLQGNLPSSLGNMRKMYFLDLSHNDLYGKLPRSLTMGCYSLMFLKLSDNKLSGNVFPEPTNLTSLQVLSLDNNQFSGRIGDGLLNSSSMSILDLSNNYLTGVIPSWIGNLYGLNTLLISNNHLEGQIPVSLIDISYLVLLDLSANRLSGDLPHRFSSDTRMLFLQDNNFSGTIPDTVLGNASILDLRNNRLSGNIPEFVSNQKIYILLLRGNNLTGSIPSQMCGLTNIQLLDLSDNRLNGSIPSCFSNISFSFPTLQHDGQEGYDNAGLIFVSSDIFGGMGLDEDFFSTEDLGKYYRSMLMLDPFTIDYMADSVIEVQFAAKLRYDSYIGLTLGFMFGLDLSLNELSGEIPAELGDLVDIRALNLSHNHLSGVLPQSFSNMEDLESLDLSFNILHGQIPSQLTKLSSLAVFNVSYNNLSGIIPQEGQLSTFDETSYFGNPLLCGQPSNRSCNNDTLKETHADVGEEEEDVIDIVSFYWSIIAAYVTILLGILASLSFDSSWSRTWFSVVDAFIHKVKSLIS >A05p018350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8425762:8430300:1 gene:A05p018350.1_BraROA transcript:A05p018350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTTKKTRNTFLSHFVLFLSCFVLMEVGLGQSPTSEIKVGVVLDLKTTFSKICLTSINMSLSDFYGDHPNYRTRLSLHARDSMEDVVQASAAALDLIKNEQVSAIIGPRSSMQAEFMIRMANKSQVPIITFSATSPLLTSIKSPYFVRATLDDSCQVEAIAAVVNSFGWRSVVAIYVDNELGKGIMHSLSDALQDVEVHRSVISTEASDDQILKELYKLKTRQTRVFVIHMASRLGFRVLQKARKIGMLEKEYVWLLSNGMTNMMTHDGRSLETMQGVLGVRNHVRKSKELEDFRLRWKRKYQKDNPSTRDDVELNVFALWAYDSITALATAVEKTNTNNMRYDNFSALSNNTTDLGTLGVSLYGPSLLEALSRVEFKGLAGEFSLVNRQLVASTFDIINVVGNEERIIGTWTPRDGLMNTNSKKTTLFSNERLGPVVWPGSSYGVPKGWEVPTDGKKIKVGVPVKQGFFNFVEVTTNPITNVTTAKGYAIDIFEAALKKLPYSVIPRYYRIESPEDNYNYLVHQVYDGTLDAVVGDITITANRSMYVDFTLPYTESGVSMLVPLRDNENKNTWVFLKPWSLDLWITTGCFFVLIGFIVWLFEHRVNSDFRGPPHHQIGTSFWFSFSTMVFAHREKVVSNLARFVVIVWCFVVLVLTQSYTANLTSFLTVQRLEPRATSVEDLIRNGESVGFQHGAFVKDTLKGLGFKESQLKSFGSAEKCDELLSNGTSKGGIAAAFDEVAYLKAILSKYCSKYAIVEPSFKTAGFGFAFPKNSPMTGDVSRAILNLTQGEEMAGIENKWFNRLSLASECPDPKTALSSNRLSLSSFWGLFLIAGIASFLAFLIFVGHFLYEHRHTLCDNTEGSLWRKLTSLLRTFDAKDTKSHTFKNSAVHNVSSPVTQCTPSPSTLQMRPWPRSMSLNREFELRRASFFMSEERLTTQAEHNKHGESDIESGSER >A09p003540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2038699:2039016:-1 gene:A09p003540.1_BraROA transcript:A09p003540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR7 [Source:Projected from Arabidopsis thaliana (AT3G27630) UniProtKB/Swiss-Prot;Acc:Q9LVX6] MGSSKKSQVGKGLDTAGEQWVIAEISIRASLKPVKTKLRKPERETEAEDEGYCITPTAKGDKASEKLKCPPAPRKRRPALKCRSNVGIEYFVPPEDLETVFIRRG >A01p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15958745:15960219:-1 gene:A01p040200.1_BraROA transcript:A01p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLYQNLQWPPVGAPTNIRQEEPWRSQFNDPVNAVSFGFIATAILISMFIVMAIFEMLIRATTTNSHSSPGQVLSDLESRVGLNGLAFSKFGCESPKASVYSKGVSVLMPGDNVPTFIAYHAPVPVPALADKKSNTPSSSSNSIQEC >A10p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2322975:2325736:1 gene:A10p017730.1_BraROA transcript:A10p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKQQPPTTSDAGVVSRSARTSFRAFSNCLRLISSGASTVARSAASSVNRDFVSPNDQVLWAGFDKLEKEGGYTRRRVLLLAFHSGFQIWDVEDTDNVHLLVSAHDGKASFMQMLPNPEAFDDMFSDSRPLLAVCGDSSWEENSSIRQSVSDNPGSETLVPTNVHVYSLKSQSYVHTLKFRSIIYTVRCSSRIVAVLQASQISCFNAKTLEKEYMIVTNSISYGSLGVGYGPLAVGPRWIAYSGSRVADSSSTLFTPELITLSSSPNVAQFAMESSRQIASGILNIGMKSVGVTNDSVSEEDSIGMVIVKDMISKSVIAQFKAHKSPISALSFDPSGMLLVTASIQGHNINVFRIMPRSTASFVHLFRLQRGFTNAVIQDISFSNDSGLIVISSSRGTSHMFEIDPHRVGNAPVPLSAVNRIRSGNISGWMGTMSGAAAAAAGMVGGSLTGAAASSFCYCVEQNKNNRYGSAASGNSSKRSLLVFAPSGCMTQYPVGGSSRVSGFDFESGSEIEPIRRWSMLQNQCRREMQDQQHSDIYGGGTVSDSKSRVFPEIVRKQSAEESWKVTKKEDKHQMYMSEAELLMYQPSRLPLWGRRHFRFQELVLNRDDEESSNGGGGEMEIEGIQTRTIEARTRDLVPAWGYLHSPKSQQVMKESLQSPRNNTTLGDHVAPTEDHGGESDPGVVHSKEGSSRSEEESSRSDEDSSISEEETQSKSVHNEEGIAEVKKLTEDEDDEEMH >A03p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14215597:14216480:-1 gene:A03p033570.1_BraROA transcript:A03p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRDHTTSPTGNEEMEAEKFLKPVLQKPPGYREPHAPLETPNTSSPDDGLSQLTAKTTAKLDFRNPNGKLRYYYGDADVAVRVGDGDFETSLGSTKVKGFVEKPGNRTAVIVPINVKGQQVDDLTVKRLRAEMKSKKLVVKVTVKTKVGLAVGRRRIVTVGISISCGGVTLQTLDKQMAKCTITMLKWITLHS >A09g512120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35471708:35472284:-1 gene:A09g512120.1_BraROA transcript:A09g512120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGKCSSVVEARVLRFWEARNVKRDGELSLSVLSLHILERERKATNDGSDIWADDSLRL >A01p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4791784:4794101:-1 gene:A01p009880.1_BraROA transcript:A01p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNDRSDVQTVERIIPSPTAAESPAASFQVSTHKTCPSCGHKFKFHEQAGIHDLPGLPAGVKFDPTDQEVLEHLEGKVRDDARKLHPLIDEFIRTIDGENGICYTHPERLPGVNKDGTVRHFFHRPSKAYTTGTRKRRKVHTDSEVGGETRWHKTGKTRPVLTGGRVRGYKKILVLYTNYGKQKKPEKTNWVMHQYHLGTNEEEKEGELVVSKVFYQTQPRQCGGSVAAAATAKERPYIHGHAHHLAGGRHLHYDLHNVKGNGGSAGASEYYNNIPAIISFNQTGIQNHLVHDSQAFIP >A03p007980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3236703:3238358:-1 gene:A03p007980.1_BraROA transcript:A03p007980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKPCTANSPPLTPLGFLERAATVYGDCTSIVYGTNTVYTWRETNLRCLRVASSLSSLGIGRSDVVSVLSPNTPAMYELQFAVPMTGAILNNINTRLDARTVSVLLRHCGSKLLFVDVFSSEIAVEAAAMMSDPPVLVFVADKEEKGGDDVADRDKSFRYTYDELVERGDPSFKWIRPESEWDPVVLNYTSGTTSAPKGVVHCHRGIFVMSIDSLIDWTVPKNPVYLWTLPIFHANGWSYPWGIAAVGGTNVCLRKFDAPLIYRLISDHGVTHMCGAPVVLNMLSASQDSQPLKHPVEAVLYTNPAVNEVAVVARPDEFWGETPCAFVSLKPGLTRKPTEGELIEYCRKKMPRYMVPKTVSFRDELPKTSTGKVMKFVLRDIAKKMGSTRLSRM >A03p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:517205:518701:1 gene:A03p000910.1_BraROA transcript:A03p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSPFSAAVISLLLATLTVAEIKSITISDDSRPLILLQTFGFTQTGHVTISVLYSSSQDSSRTGFYLVEQESVLQVDIELQKNSSFCVLDSHYVHYFDQSYQVTSSGMYSLFFANCVPGTKVSMKFKTEMYNLNPNGSKNYLPPGSTRLPGLLFVFSLCYLTLFGLWVYLCYNTKQRIHFLIAALLLIKAISLVCAAEVKHYRAVRLVAAASAAASAAAKRTTTVLIDAAAAAETCGNQTNRAYVKTTGTPRGWNIPFYVFQFVGNVLLFMVIVLVGTGWSILKPKLQEKDKKLMMMVIPFEVISTIASVVIGESGPYVQNWLLWTNIFLFSEVCCWVAMRCVIVCWMCCFKKSTSRKAVMTSPFFGNFYSLVFVYLLLTRVGVLGLKEIVDYKYHWVSNAAGEIASLAFYALMCYCMFRPMERNGYFDVEDEDEELLPILALEEDRV >A07g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22655065:22657035:-1 gene:A07g508190.1_BraROA transcript:A07g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPVVVQSSFYALRLDVVGKIPFVQGSDLKDRFVIEPGLISSGDRTQSVMVVTTVNPKIFGGNLLLNSTPATQFYFDTNFPAIAEFTASLGDPVGEAFPCINTKEGIRKKKHVSIGDLNKFFTNSDEHGEMLQLTLDETFNTGEALIVGGEAGEAFAYAINKVESEKDDTNPDGVKDKEVLHKRTRE >A09p045650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40019937:40020878:-1 gene:A09p045650.1_BraROA transcript:A09p045650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTFCSVKARVLASEVVKLVPLDMDQHVKLMRDVREPRAGILRVGFPRAFRGLKVDPAEIDRVEELKVGEYVRVKTSVSSMSYGWEDITLKSNVVMHNVDEEGDVGVAFCFWSKPFSCPLTDIEKVKPFHEKQEIHTKPSITQARLGWSNKTPATFGKIMKLNMDGTPSAHVTSRQTLWNVSPGYAEMLSVCEDGDWVRSNKSLGSRPSYDWLSVGRDTIVVVHSIQEADYLELAGCFRKGRWSTHYTDLEKIPVMNNGQFVHFANGSTKPRSDWRGAKPDSRGMINTVKADGE >A02g510820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28624083:28625845:1 gene:A02g510820.1_BraROA transcript:A02g510820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNAARDVEVKCAMEDDGGLRSLRGEATVEKICGQISVGRNIGTTRVLRSGCKINFLRRRRQRLASLEKNRKKLAPAPNKPDTFLIAPGVSVLAGTLSAAEIASVINKYGSPRPRMESRWRRTEVFAVAKWSSAPQKYFRDAVIEMIIENGINHQEELKEVLICYLRFNTDEYHVDMNINMFQQMFKTWEKIMEERLRGKQNDTYSKLGSEETGVSVEKVKAKWWGKLRSTRY >A05p043030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26005797:26010306:-1 gene:A05p043030.1_BraROA transcript:A05p043030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16320) TAIR;Acc:AT3G16320] METSKANLFWFLLMLIFGFVQNPERQRFIRLGDLARSPREKARLLKFPLAARDLLLRDNLTHIHPSFFFFCSPKATAPSLLVQFRRQNMETLLANCVEKNLTQFMFSNAIFLCERLLAQFPSEVNLQLLARCYLSNSQPYSAYYILKGSKTPESRYLFAFSCFKLDLLGEAESALLSTEQVPGGAAGHYLLGLIYRYAGRKNSSIQQFRMALSCDPLCWEAYAELCSLGAAGDASVVFGNVAAQRLQKTNVSQRINFSEGESRDQLIDSDKASKDTSVWQTEHVSGENQQDLKVKQLGAEILPDSDKQCNGSSHTNGWDLKTPSPVLTQVLDAPPPMLYKNMRRPEVEGLMYVHGESRRKFVSEEESSEAPEESGRRRSARIAARRKIPLFHSYGKDSHVLHHSPSESNGVPSLSSMIGKCRIQSSKEATTSGQSIGDTGSSVDDEQNSNPRGSSPNPFSLMSGISEVLNLLKILGDGHRHLLMYNCQEALLVYQKLSEKQYNTHWVLMQVGKAYVELQDYFNADSVFTLAHQKSPYALEGMDTYSTHLKEEMRLGYLAQELIAVDRLSPESWCASGNYYSLRKDHEAALKMFRRAIQLNDRFTYAHTLCGHELAALEDFEEAEKCYRKALSIDTRHYNAWYGLGMTYLHQEKFEFAQHQFQVALQINPRSSVIMCYFGIALHESKRNDEALKMMEKAIVADARNPLSKYFKANILADLGDYHRALEVLEELKDSAPHESSVHALLGKIYKQVKLYDKAVLNFGSALDLNPSPSDTVKIKACMERLTVPNELETEEDL >A04p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1047418:1048357:1 gene:A04p002190.1_BraROA transcript:A04p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uclacyanin-3 [Source:Projected from Arabidopsis thaliana (AT3G60280) UniProtKB/Swiss-Prot;Acc:Q96316] MGSTSVLLLLLLTVVPAVFAVTYRVGDVSGWTSGIDYTTWVTGKTFRVGDTLEFKYGPSHSVSVVNKAGFDACDSSGATQSFSGGDTKIDLTRVGTIHFICPTPGHCLGGMKLAVPVLAAAPSPATPSPTSSPKSPPLAPRSPRKPKTPSPPASPPANGSPSESPAPSPSSLSSTTPSPSNAAFKGVMVSYGMMAFTMLLMFVAMS >A07p049100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26113508:26115739:-1 gene:A07p049100.1_BraROA transcript:A07p049100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNSSVVVDLLLLLLAITVLATPAFSDLVLSKVERRIDVTSQIARVTKTLKVVNSGSESVSEFTLTFPKFLSNNLAYLSVSHSEGKGKAKRSLANLSVKEAHPKGMPDLISFYSVSLPKPLSKGDTLTLEVVAAFTNVLQPFPEKITQRDIHLVMLQESAQYLSPYVVESQSLSIKLPNARIESYTKLENSKVQGSEIKYGPYKNLAQYSYAPIVVHFESKAAFAVAERLVREIEVSHWGNVQVTEHYNLVHRGAQLKGEFSRLEYQDRPNPQGVSAFRHLLARLPPRAHSIYYRDDIGNISTSQMQSDSKKTELLIEPRFPLFGGWKTFFTIGYGLPLSDFLFASEGKRFLDISFGSPMIDLVTEKLIVQVVLPEGSKDISVTTPFAVKQSHEIKYSHLDIAGRPVVVLEKNNVVPDHNQKIQVYYKFSNINLLSEPLMLITGFFVLFIPCIIYTRADFSISKSSAAYLAKLQWDEVHWNLVSSTNTCNLLIV >A05p004410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1730106:1730456:1 gene:A05p004410.1_BraROA transcript:A05p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PAR1 [Source:Projected from Arabidopsis thaliana (AT2G42870) UniProtKB/Swiss-Prot;Acc:Q9SJH0] MEKTLATPDTARSLSPSCSVAAESPAAGFERRTKRRLSQTKASVCVSGEEDEDEEEIKEKIEALQRIIPGGTALGVDALFEETAGYIMSLQCQIKTIKVLTSFLQRLDKQDMKFGG >A10p033280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19512316:19514239:-1 gene:A10p033280.1_BraROA transcript:A10p033280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPSFSSPSYRLFRCRRSNNISSKYHHGGEPTKELKISPSRVSTRSVSIKEGISRRDLVLIGLSSSLSTLLPLSSSPVTLAAEEAGKMGSMVDEINAYSYAYPLELPSEKLVFKWVESRKPERYSSAAPLSPDARLRIVSERLDLIDNLVISVSIGPPNSTFLTSKDKKTWTAKDVADSVLSDKSALRVTSSQRLEESSVLDAHSTDIDGEPYWYYEYLVRKSPTKIAEASKMYRHYISSTAERDGYLYTINASTLGKQWDMMGPVLERAVESFRLLPPTDSYVPPYKDPWRFW >A02p037260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24540613:24542109:-1 gene:A02p037260.1_BraROA transcript:A02p037260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSLILSILILLSSSFAQIHARNKSHPANPPSPVATPAPGPSNSDCSSVIFDMMDCLSYLTPGSNDTKPTKVCCGGILSVLQYNPKCVCIGLASSKDMGIALNNTRALAMPTICKLPIAAPHCAILDASRPSASTPGMSSVSPSAVTPMTPQSSAQSPTFSPSLPESPGITAPSPSSSGTNHLSVSKFTFVAVVVSYITYISAFSN >A02p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2947241:2948433:1 gene:A02p006950.1_BraROA transcript:A02p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGCPINVKEEDDGGSSIAAEFYRSGNQPDYEAIRETNPYLRFLESISDPGSVSMESEPDLHHSFIDFFGRESHGGGGGGRIRAPNRVRIRIRVGSFRRVRASSCQEFRIDTRMIEINSGRVEIGSSRVEIGSGRVEIDTGRVEVDTGRVEVDTGLVEIGTGLVEIGTGLVEISTALVEIGTGLLVDHVEPQNEITWGVPEPVEPQEEVGGVSLSLEPMEEYNQEWHGGLFDGFFEESVDLVMEDFFDTLFPNIDVYAANNRDYEDVIARTFDESGTKGSPPASKRMVDELPDVGITSEELSIGCAICKDEIVVEEKVKRLPCRHYYHKECIVPWLGIRNTCPVCRYELPTDDLEYERNKRSRRGLGRDLMSE >A08g510640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24683755:24684579:-1 gene:A08g510640.1_BraROA transcript:A08g510640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSSISANPYISKAFTETLQRHGITNMLLSDLKTGCCKETVVTRLLRFWEARTVKKGGGAYGCGHGGLYISSLFSDVRYCLLQRLSVSSQKGRWCVIAGLNVMCIINEPTAAAIAYGLDKKVTVLERRTS >A04p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20188728:20190724:-1 gene:A04p034910.1_BraROA transcript:A04p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASWPEPIVSVQALSQAGISTVPSRYVKPAHQRPVVYNSDQSGLDMEVPVLDMSDIWRKPEGLRRVRNACEEWGFFQVVNHGVDHMLMESVRGAWREFFDLPVEVKRKYANSPDTYEGYGSRIGVVKDAKLDWSDYFFLNYLPSSIRNPSKWPSQPPKISWSTINQYTYYINIKNILFHRCRELIEEYGEEVRKLCERLVETLSESLGLEPNHLMKAFGGEDKVGANLRANFYPKCPQPHLTLGLSSHSDPGGITIVLPDEKVAGLQVRRGDCWVTIKSVPNALIVNIGDQVQILSNGIYKSVEHQVVVNSGMDRVSLALFYNPRSDIPIGPVKELLTEDQPALYKPIRFDEYRLLIRQKGPSGKNQVDSLLAST >A02p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7552259:7554020:1 gene:A02p016780.1_BraROA transcript:A02p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGGDRSKDAVGMMALHEALRSVCLNSDWIYSVFWTIRPRPRVRGGNGCKIGDESGSLMLMWEDGFCGGGRNEDLCLEPDIESEDLVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPSESEPNLANYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRQMFESIGYRSGFYLSQLFSSNRTGASPSSSLVPNQQPQSQPLAWGSHAPLLPSPGYQNQTLPASTRYGFLQETNAPHPQMLPPMEEHEEDIKWPNGLSLFNALTGRADEASRLLFNQEQNPMNIENQNEFLSLESHPNKFRRSYTLPARMDSSSSSTSLDQQPLEFRNNSGSNAGMYSDVMETFLR >A02p012580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5503168:5504947:-1 gene:A02p012580.1_BraROA transcript:A02p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVPTPASSGSSGVGDGLVLTDGSDIRYMLLSATYCTPLRAPLSLLFLVTVCVVYYNKKAKLEEGLMPVVADAASSRFTSEVVSLVFASPSENKGFFSNGNLDDAASAYTWLLVR >A08p007450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4390256:4391353:1 gene:A08p007450.1_BraROA transcript:A08p007450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DCL homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G45230) UniProtKB/Swiss-Prot;Acc:Q9C642] MNLASVSSTPPVASTCFRCRRAFIFSFSPSPLSLYFPRDSAWPRVRSLRTESDGARIGDTESYGSELLRRPLNSSEEEGSSEEGDDEFVDWEDKILEVTVPLVGFVRMLLHSGKYANQDRLSPEHERTIVEMLLPYHPEVDKKIGCGIDFIMVGHHPEFERSRCLFIVRKDGEVVDFSYWKCIKGLIKKKYPLYADSFILRHFRKRRQNR >A01p020210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9923280:9927321:1 gene:A01p020210.1_BraROA transcript:A01p020210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP1-interacting protein 7 [Source:Projected from Arabidopsis thaliana (AT4G27430) UniProtKB/Swiss-Prot;Acc:O80386] MDPRTRLDYALFQLTPTRTRCELVIFSGSENEKLASGIFQPFVTHLKSVRDQISKGGYSVTLRPSSVNGGVNWFTKVTLQRFVRFVTTPEVLERSVTLEKEIEQIEDSIQSNAAAISGEAEGNELGTTQKSTALLKAQGESDGQENSKVGLQRVLETRKAALCKEQAMAYARALVVGFELDYMDDLLSFADAFGASRLREACVNFVDLCKRKNEDRMWVDQITAMQAFPRPELSFMGDSGIILAGEENDNVKQGNNNMDAMSQGSFETSQGPPQMPMPWPNHYPPQYMQGHGYPPYMYPGQPPYFPQWPVNMGGDVETSEKTSKKKKKKKKKNKKKYESSEEQSDESGAETVSEDGDEGKKSSRKVVIRNINYITSKRNGAKDSDSDESEDEEEEGFVDGDSIKQQVEEAIGSLEKRHKSTSRRSRRKHKSHSEDEEDSGSKETKGNDNWDAFQNLLLKDKDSDQETASCPVNMESEVMSKRELPSDDSFLITNGNEDWGRESSVKKLDAGENVRLMRRENNYDDEMMNPGRGDEPRSYSQAEMAVYNGKLRARNETEEDWFIRNQADTERDVKTFVGDDLHVTKRSVERDVLTDDSFMIHSRVESQVEESRLRTEIMDSDVYGTTKQENSSAPEINNRQEPDDLFMVLGREQDVTPAMVSWTPEIDFETNTLAQEKRKADLETAAKASEQTSDGKEKKLRVVRKDAKTRGPSRPDPALKAKRAPWGSRAAATKTKSELEEERKKRMEELLIQRQKRIAEKSSGSSVSSPLATKKTPTGNKTVKTLIKNEKTPEAVKAKPVLRSSTIERLAVARTAPKEPQQKPVTKKTSKPVGNKTEKPQDKKPSKKSPGLSRDPSLEIKETVEESQSYLPVKQADELLLPAASSVDGFKDIKEMHSLPNETITNHQKVQEQTKVDDEEIVKKTSVCEDKQVTNNLCSEDVEEVKASPPKPLSPKKSVTFSDTNMEEKYFFSPTVSETDVSTPPATEADHSRKKWNSEETSPKSTAKGFRKLLMFGRKK >A09p028950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17312562:17313909:1 gene:A09p028950.1_BraROA transcript:A09p028950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAGYWVNKIRTSFKGGSSSSKSSEDGSASGSRKSDSKHRKNQRTEEGNKNAEAGVAQAESGRKVMFVVDTTSQSKNALQWALTHCVQDEDNIILLHVTKTPIGQGVDETQRERNSRAHEQVHPLKNLCQLKKPNVKTEIVVVETAEEKGKAIVEESKKQGAGVLVLGQRKRTSKWRVIWKWRTKAGMGGGVVEYCIHNSDCMAIAVRKKSNNGGYLITTKRHKDFWLLA >A01g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11190602:11192248:-1 gene:A01g503620.1_BraROA transcript:A01g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHVHGFELELHGTPCRPPLHSCSPSSLSPSSLMVFSLQLSVFIFVSVSLLAVMHFAAVNTVHLNATISTTHLLSAASPGPLIVVASPFSLSVHPTPLLGTAPLCISETTGSPQPELELARSVRFCVSEAPTPLHAASDLFTDAVYPHRSDSGSITTIDGSFRLLVSLGTKPPLDAPQGTFMTSLTESYDKWFWVDSVIPLWIQYGNVGFQSHCLNSTIVSISNSVKYIQRVVARHRGTGSSAASIPLWLIVKSISSPPPHRLIIPIPSESCWYSTDTCFGLNQNQLWSLNLPIVINLSHHFSSKASCLSTVCRRASVQRVHLAQSLDVVLKLPLFVHPSQVSRVFISSDFVTGAIRFQGPSYLFVSVKSRIFILFGSVEIHIVSSWSLDVGARAVHARSTSFQTLPFGLINVGSDYFMLVVVTYSGIHLMLPTVLQWTSKTLSFSFVVTCFMFCFMMFIKPLRMPSGSYLVIIEHSFSCLGPPLPSSVVLADELADSAANRFS >A09g515960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47247618:47248857:1 gene:A09g515960.1_BraROA transcript:A09g515960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEAPACVAGHLFFREKLVRRQAEKGLAQTGSEFPSSFAQVVAPRHGTDVAALLPQALPAESSTTPILVEDREKAADSMPPPPARKKIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQQGGSSLASGLRGKFILLIDGMISECSSKTSRLSGELVELQGRWSETEALLTAVEDSHSAKVSKLEVAIGELERDLGKMASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLARISASLGSLDCIRSRDLALATIEGGMAVVRSFHSETPSTLEAEEARLSSCKGDMAAEDGDFSLILADLKSACFLPTCSEDPKGKDPMVGENGSDVAPGSDEAAGEEGA >A03p005910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2463832:2470347:-1 gene:A03p005910.1_BraROA transcript:A03p005910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEVGSAATHQVKKMKKGLWSPEEDSRLMQYMISSGQGCWSDVAKNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIRFHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKKMSDTSNLVNNSSSSPDTSDLSNNTTSSLELKDIIGSFMSLQEQGFINPSFTNTPITNNNPFPAPHMISHPCIDDFTPYVDGLYGVNTGLQGELYFPPLECQEGDWYKADINSHVAEMKTNGAGNVPAESMRVEEYWDLDQLMSTEEHEDDCKKLPREYPLEMQSKGSGNEVEVDHHSGEQPMMYAEEPWWKKNNSFGVVPQEIPSVVPSKSSSLESNDVHSASGDDGAWKDTQAATSSPSVEGNDPAASVGNMHDQQQLVQPPELVGHYIACVPNPYQDPYYGGMVGAYGHQQLGFRPYLGMPGERTALPLDMTQEPVYVNAKQYQGILRRRKARAKAELERKLINRKPYLHESRHKHAMKRARASGGRFAKKTEAEAAAEREREKGSATNSPGPEPVETDSNDSLPNL >A09g502710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9051444:9052478:-1 gene:A09g502710.1_BraROA transcript:A09g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFYISSIIDAVIKSVPEPEAKQLHQSANQRTNHDMCSVKQTYLTNHDEVCHETNFHAFYTQQGVQNSWNHLQNYSDQEDMNFTNRRFSSPSICEHPSLEVVSSPTKKRSDPKQSMEFKMDLLSFQQAKNEEKSPRKYGVMVQFSKPVNPVLQLPNLESHRFNLSQTEKWRPGDVSKHLRSISNDPEGVEEFLPCTRAHMIRRILLFRNFPKLDVSPLWRISDQRPNHQNRSVLEAFLTFLRVLRCTSTHQSTRIQARSDLPDLELFLELLPINSQQLFSSQDYKDLGQVFSIQDVPKKLTCTLKPSRYKTRIKSLEENFWIQDIFQRLVLSVFLICLLLSLF >SC151g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:183628:186174:-1 gene:SC151g500030.1_BraROA transcript:SC151g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGNMDDLTAALALIQQQMQNQQQQMQQMHQTIQNQQQAAQEQPAENAAREEREATPEKSAGDKAFEAEQARDGQQEVSYVNGQGWQLKNYHPNPNVRNNAQLFWPRQDKPVDPAQSNQGQYAGYQKNYQPRAYVLSQPHSNQPQMQNHQNTQVATSTPVAVPPDETKTTLQQLLQGQQLQGKALNQIAQTAESVKRQQGTLPGKTYKNPKECNAVQLRSGKQLSETERRRFTAAEKGKQKESEQLPAEQADERNSEPAVETGSPRSEQPAEAVRPIPEAVPPREYIPKVPYPVPAKVTPEAEHSVVNIDADGYAKMLDSARSMGRM >A03p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9946740:9948787:-1 gene:A03p023550.1_BraROA transcript:A03p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 [Source:Projected from Arabidopsis thaliana (AT2G40650) UniProtKB/Swiss-Prot;Acc:Q8LB54] MANRTDPLAKNIRGTNPQNLVEKIVRTKIYNHTFWKEQCFGLTAETLVDKAMELDHVGGTFGGNRKPTPFLCLILKMLQIQPEKEIVVEFIKNDDYKYVRILGAFYLRLTGSDVDVYRYLEPLYNDYRKVRQKLSDGRFSLTHVDEVIEELLTKDYSCDIAMPRLKKRWTLEQNGLLEPRKSVLEDDFEEEEEKEENEGMADGSEDEKDHHRRSPERERDRDRDRDRRRDSHRHRDRDYDRDYDMDRDYDRDYERERGRGRDRDRERDRDRDHYRDRDRERERGRDRERERARRRSRSRSRDRKRHESDEREEPKKKKEKKEKMKEDGTDHPDPEIAEANRLRASLGLKPLK >A10p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19917688:19920373:1 gene:A10p034250.1_BraROA transcript:A10p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKC [Source:Projected from Arabidopsis thaliana (AT5G10270) UniProtKB/TrEMBL;Acc:A0A178UJP9] MAVADDGKLNIEEHPPLWGSRSVDCFEKLEQIGEGTYGQVYMAKDIKTGEIVALKKIRMDNEKEGFPITAIREIKILKKLHHENVVELKEIVTSPGRDTDDQGKPDNNKYKGGIYMVFEYMDHDLTGLADRPGLRFTIPQIKCYMRQLLTGLHYCHAHQILHRDIKDLGAGSNLLIDNEGQLKLADFGLARMYSQDHSGNLTNRVITLWYRPPELLLGATKYGPAIDMWSVGCIFAELLYAKPILPGKNENEQLSKIFELCGSPDENNWPGVSKMPWFNNFKPSRPLKRRVREFFGQYQVFDRHALELLDKMLVLDPSQRITAKDALDAEYFWTDPLPCDPKSLPTYEASHEFQTKKKRQEQRQREEAAKRQKLQHPHQQHSRLPPLQHGGQSHAAAPHWPAHPTNNAPPQVPAGPSHHYYGKPRGPPGPNRYPPSGNQSRGYNQSRGGYSSSGSYHQQGRGAHYVGVGPRGPSGGAYGVGPPNYTQSGQHGGSGRGQNPMGGSRNQQYGWQQ >A02p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6979523:6983365:1 gene:A02p015810.1_BraROA transcript:A02p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEIVGRHALFFDDDSMATFVNSPTALVDWNSLFIDRYDVRHLLSSLPPPRPKRRRSVSDDPNLDADLDHERYLDLPADSPSPSHDESGKDCELRRNLSVLSIVDLVQTLDANDGSASTNAAGFRAVPYSYGSSSDLGDQKIADTESGFNPPFPVPDYLLQHLPPTEKLHQIMARTSSFVSNHGGQSEIVLRVKQGGNPTFGFLMPDHHLHPYFRFLVEHQELLTGKSAVEDKNIEGGKDGGALSLLGSVYGTVEDEETNEESARDCKTKGSAEGDEADSSRPEVSKEAAKIATVRDVASKHSLPSNDQAPFIKGNPSVCAVNVVERKQINTEDKVSEKPLASEKLQSSTMLGQPKLELQIVEPPVEMKRAIDKIVDFIQKNGKELEATLAAQDVKYGMFPFLRPASLYHGYYRKVLQEAEELRSCNRGDFTKKEDMKQEKMDSTVKDGKPALGSGSVLQDDSAKREKQKMVSDKPKIELKKEPFKPVEPQMRVNVDVNTAAAILEAARRGIRNPQLGILSGKPLDGTSQSLGNDGSNTSSKHPDLAKSMGQLNSGSAAASEADSSEAGLSKEQKLKAERLKRAKMFVAMLKPGAQAAQQAEPSRSLSVEPFVSGSGSNAAKEVEGGSHPSEVSEKKQADDGNTERRSKRNYRSRSQRDEDDEIGEEEDNEESSMEEVTEDTKTEKKHSSRKRHDSHKNKKRHSSKDTHSRDKHNHESSSDDEYHSRSRHRHKHSKSLDRHELYDSSDTDREHRYRSSKHKKDADYSKDKRSYRHKSRRHHDKHIDSSDDEHRRHHRHRSSRRKHEDSSDGEHGHRQKSSKRIKKDEKRVEEESVSKSDQSDRKASPEDNIMHPQSEPTQVSDELRAKIRAMLADTLSIKVIQAMPLSKGQSLEMLGRRDEIKSQFLTFVDVRKKLCKKVF >A04p016770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10259724:10260215:1 gene:A04p016770.1_BraROA transcript:A04p016770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARSLPPSIKSSLLVKLREFSNRIKRLKSLASQSSKTCTVRDSLPLLRTGDTLSGVDDNVGKNKKTLAGMTRRMNRNKWTIGAIITALVTAIILIFYFKLTR >A08g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10134909:10136120:1 gene:A08g505880.1_BraROA transcript:A08g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISLFDSIFITIHDGDEDEQETRDLVSESKSSDLTLNRLEILGALPLLVYVRRNRARENQIVRFTRLIMVVIVFCDGGGSGKGEETFTEARSVDAGGAAEINGGAAGINGSIKTQIVHIHRYIILLPVKIEMFTRCNKLCCRMKMESCKLFMATLHDRCVSKD >A06p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21513583:21514413:-1 gene:A06p039780.1_BraROA transcript:A06p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQDFSPPHMDASRPSLGFPLGTALLLIIIFSLSGIFSCCYHWDKHRSLRRSLANASGHPSATSADIESSPFKPRPPFPDLKKNQNLSVPVLMPGDNTPKFIALPCPCAPHRPEKLTVDVQSPPHSPPVKPPRFPVPLY >A02p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7759619:7762642:1 gene:A02p017260.1_BraROA transcript:A02p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGTSMRLTSSRVSSLLLSMFATFASFYVAGRLWQESQTRVHFISELHRVTGQGKSAISVDDTLKIIACREQKKTLAALEMELSAARQQGFVPKHADVAETKKRPLVVIGIMTSLGNRKKRDAVRQAWMGTGASFKKIETEKGVIARFVIGRSANKGDSMDKSIDAENSLTDDFIVLDDVVEAPEEASKKVKLFFAYAADRWDAQFYAKANDNIYVNIDALGSTLAAHLGKPQAYIGCMKSGEVFSEPNQKWYEPEWWKFGDKKAYFRHAYGEMYVITNALARFVSINRDILHAYAHDDVSTGSWFVGLDLKHVDEGKFCCSAWSSGRDTAGWYGLHGQITRTETKHDTGVVRMVEEIRREKLASGYRSQLQSEEYQDRRIHSEKDYSSFRFCIRPFRKKF >A03p001430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:700698:704942:-1 gene:A03p001430.1_BraROA transcript:A03p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDSAAVIPDSFMGYRDDITMQMTMILDQIRAPLIVPVLRLAVYICLTMSVMLFVERVYMGIVISLVKLFGRKPEKRFKWEPMKDDIEHGNSVYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPTIKDLVEKECSRWASKGINIKYEIRDNRNGYKAGALKEGMKKSYVKSCDYVAIFDADFQPEPDYLWRTVPFLLHNPKLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGIWRISALSEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMAFEIMTNKNVTLWKKVHVIYSFFVVRKIVAHIVTFIFYCVILPATVLVPEVTVPKWGAVYIPSIITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEGGRVNEWIVTEKLGDLKAKSATKTLKKLRFRFGDRIHVLELGVGMYLFFVGCYDVFFGKNHYYLYLFAQAIAFFIAGLGQIGTIVPNS >A09p041380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23392218:23394368:-1 gene:A09p041380.1_BraROA transcript:A09p041380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSWLKGGKLSAPGFRFHPTDEELVMYYLKRKICKRRLRVSAIGVVDVYKLDPEELPGTLSILVPFSIFVRFKLVSSGQSVLKSGDRQWFFFTPRNRKYPNAARSGRCTATGYWKATGKDRVIVYDSRSVGLKKTLVFYRGRAPNGERTDWVMHEYTMDEDELGRCNNAKEYYALYKLFKKSGAGPKNGEDYGAPFQEEEWVDDVGDSNIPVNEEPVTRRVDNATLFNPVNVQLDDVEEILNGIPYAPGVPQTCISGLASSIPQVNSQEEELPSTLVNNSSGDFLPNVQPYNMPSTFESTEVTSVPNDSGMGPFVFEQEDYIEMDDLLTSELGASSTEKPEQFLDPGEFREFNEFDQLFHDVSMFLDMDPILQGTSADPSSLSNFANTSDQEDQSLYQQFQDQTLENKLNNIMDPNPNLSQFTDNLWFQDDYQAVLFDQPQSIISGAFASPSSGVDVMPGSTNLTTSVTAPDQEGENGGGGTSPFSSALWAFMDSIPSTPASACEGPINRTFVRMSSFSRVRFGGKANGTPATTTVVAKKRSRNRGFLVLSIVGALCAIFWVFIATVRASGRPVFS >A01p029390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20851815:20852281:1 gene:A01p029390.1_BraROA transcript:A01p029390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFGPFYDLSVFVIYRTSAYKTTSIPKKVRTSRIAEAARVPTMTPIEMKQEQVRSNPEVGSYENGTRAGYPFGLSRRTGELDSAFGPTRPFCELDGVFGLDDRAL >A02p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12286915:12288406:-1 gene:A02p025350.1_BraROA transcript:A02p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLALCLVFSTLFAAEVWSPSPAVTTQQTVVSEDDVIVKDGHRVVVVEYDRDGKTNTRVSISPPSADEGEQKQEVEKETTLFRHAKEKAKETASYFPNVGQGISQPVVTEEARDHHSTAGEVICDAFGKCRQKIASVVGRAKDRASDRVDDVGEKISDAGDAAAGKAYDVKETVARGARDIEETVTDKAGYAKEKVGETAHDVKEGMAHKVHDVRDKVTRKAQSVKETVAHKAHESKERVKDEVRDKAHELKEKAAHKSHNAWERVKLAARGLGSATAKALSPTKVASVVGLTAIAAAFGTSVWVTFVSSYVLASVLGRQQFGVVQSKLYPVYFKATSVGILVGLLGHVLSRRRKLLTDATEMWQGVNLLSAFFMIEANKSFVEPRATKAMFERMKAEKEEGRGGERTSEQEVRRKLEKLSERLSKLNTYSSWLNIMMLMSLTWHFVYLGQRLGAAC >A01g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22392128:22394205:-1 gene:A01g507920.1_BraROA transcript:A01g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLSLSSLQDSREIKLRNIIALEQCHYPKHTHVCSYALFLDYLIDTDKDVDLLLEKGILKSWIWQPAKVAQMVNKLVTVIVDPGSYYYDIAGEVNKYYRNMMNRSNAILKRVYFGNPWTWTATIGSTFLLVMTLIQT >A03p002420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1118317:1119333:-1 gene:A03p002420.1_BraROA transcript:A03p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVVPSSSSSAQNGDMLRRVTTSSPLIRDDYIPRTVEHIFINYRLRRVGMLRAFGTDVGTLYNLCDPGYKENLSLYGYPDGTWDVQEARMLLPPNLPEPTVGINLARDRMRAIDWVTVVAEHCDSWLLSLAFLFGVGLSHDDRERLFERINGLPTLAEKVKEYYPGQLIQSRIQQANLEN >A02p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10923849:10927580:-1 gene:A02p022810.1_BraROA transcript:A02p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKNQSDKQGGKKMGPDAVAMKAKAKKADNPFESIWARRKFDVLGKKRKGEERRVGLSRSRAVDKRKNTLLKEYEQSLKSSVFMDKRIGEHDNELGEFDKGVIRSQRARQLKAKKSMYNLSDGEEDVYGDGALGESSSARDDFDSVHLSDEDLQDDGVEDAGSMRVRHRHKDSPEEEERRKSKKEVMDEIIMKSKLGKMEKAKHKEEKEKLLDDLNKELMSLENSDAMKSLTQDFTVKDEPHDKYAMYMDDLASDRRGRPTDRTKTPEEIAQEEREKLEELEEKRKKRMQETDDLSDEDEETGGDESSKRLRVISGDDLGDSFSVDAEQPKKGWIDEVLEREGDDEDSESEGEEEEDDDGDDEESDGGNEKQRKGHPLKDWEQSDDDLEAELESDEDEDEDEDDEEEAEPIVHKKSKKDSSAPTKGKGSSGTVKQSTNMKKLSSTQHDIPYVIHAPKSFEELIALVEDRSNADVVTIVNRIRTTDSIKLAAENRKKMQVFYGILLQYFAVLANKKPLNIELLNMLVKPLIEMSGEIPYFAAICARQRLLKTRALFCEAIKNPEDGCWPSLKTLFLLRLWSMIFPCSDYRHAVMTPSILLMCEYLMRCPISSGRDIAIGSFLCSIVLLVAKQSKKFCPEAILFIRTLLMAASDKNLPPSEESEFYHFMELKTLTPFLCIQDDVKEVVPLNFLKIMDQPADSPYFSSDEFRASILSSVVETLRGFVEINGGLSSFPEMFMPISTLLHQVKNQEKIPQTLREKLEDAAELIEKKTEEHHKQRKPLAMRKQKPVAIRMVNPKFEENFAKGRDYDPDKYRSDMKKLKKKLNEERKGVVRELRKDSYFMSDVKAKEKAVHEQERAEKHGKNLAFLQEQEHAFKSGQLGKGKGNKKRKR >A07p047540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25513541:25518751:-1 gene:A07p047540.1_BraROA transcript:A07p047540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGHLHHLPPENASEVATVENTKLADAPVLFFVYFHKAFRAQLVELRRLATDAAEAGSFSGDLAGELCRKFEFLKLVYKYHSAAEDEVIFLALDSRVKNIVSNYSLEHDGTDDLFTSIFHWLNVLEHELGNISDVLREVILCIGTIQSSVCQHMLKEERQVFPLLIEKFTFQEQASLVWQFICSVPVMVLEDFLPWMMSYLSHKEITEVVNCMKDVVPTEDSSQQVISSWLMDCGVSTKILKQVEYEDVCKDTKNLSRSGCFQRLWQWSKKPVFIPNVGHSPIHGLQIFQNAVEKDLRDIQEGLCQASFPSLLLDLDVLMARLNFLADVLVSYSNAFKKFFHPVLEEIADGCSSTANQFTIDGCLESFQRLLYKSADPKMRTDGFILKLQEELESLIVQVTKQFSIQRREVFPIISKNCNHEMQRQLLYTSMHVLPLGLLKCVILWFSAHLSEEESQSILQFLTLEDSSPQKSFPRLLLQWLRFGYSGKTSVESFWKQLSVMFKIRCFCKKEPTEEASGSFSHQAQLQLCKETEADLFICPGKINKSSTCFLMPDAGDLYQTPYSSRMNQQMLFSGKLKPPPPLHLPEFFGEQNVDEPFMMDVKPIDLLFFFHKAMKADLDYLVSGSARLATDFRFLGEFQQRFHLIKFLYQIHFDAEDEIAFPALEAKGKLQNISHSFSIDHELEIKHFDKVSFILNEMLELNMFVSSAADHHRKMKYGRLCLSLQEICKSMHKILSEHFQHEETELWGLFRDCFSIEEQEKIIGCMLGRISGEILQDMIPWLMDSLKSDEQHVVMSLWRQATRKTMFVEWLTEWYNGHIVQEEAGEANNDPFGDSDPLETVWKYLFEGASDGDRGTIGGKISETDMEVDKPLGKTAPNNKEGEHQQLPDTKKICRGADKKEDKEQTDCNCHINPAQTFTMSQKAQSKKYEHLLTMSQEEMAAMIRKISCDSSLDPQKKSYLKQNLLMSRWIISQRIYNQEPSILSSSLGTIQGQHPSYRDPHSLVFGCKHYKRNCKLLAPCCDQLFTCIRCHDEETDHSVDRKQIKKMMCMKCLLIQPIGANCSNTLCKSSMGKYFCKICKLYDDERKIYHCPYCNLCRVGKGLGIDYFHCMKCNACMARSLKEHVCREKCLEDNCPICHEYIFTSSSPVKALPCGHLMHSKCFKDYTCSHYTCPVCSKSLGDMQVYFSMLDALLAEEKMPDEYTNKTQVILCNDCGRKGAAPYHWLYHKCSSCGSYNSRLL >A02p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1047010:1052275:-1 gene:A02p002490.1_BraROA transcript:A02p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 712, subfamily A, polypeptide 2 [Source:Projected from Arabidopsis thaliana (AT5G06905) UniProtKB/TrEMBL;Acc:F4K599] MVTNNMDINYTTCSYVFFTFITIFLLQRLLTSSTRRGTPPGPRALPILGHMHLLRSSLPRSLQALSQTYGPLMNIRIGSLQVLVVSDSDTAKQILKTHDPDFASKFVFGPRHFNVYKGAEFFNAPYGPYWRFMKKLCMTKLFAGHQLDRFVGIREEETLALLSSLVEKSRNGEACDLGLEFTALTTKILSKMVMGKRCRQNSNLPIEIRKIVSDIMACATRLGLMELFGPLRDLDVFGNGEKLRSSIWRYDELVEKILKDYEDGKSGNDGEKDKDIVDILLDTYNDPKAELKLTLNQIKFFILETLRLHPVGPLLRRECNRDMKINGYEVKSGTKIFINAYGIMRDPRTYKDPDKFVPERFLVAEENTERKMGYYYQQYMLELKGQDVNYLAFGSGRRACLGASHASLVLSLTVGSLVQCFDWTVKGDEEKFKIKLPTGFSASGTAGGSSLIMMDTQYFIVTILLCLGIILLIQSINTYRFRNKLPLPPSPTALPIIGHIHLLGLIAHQALHKLSTHYGPLMYLFIGSIPNVIVSSTEMANEILKSNELNFLNRPTMQNVDYLTYGSADFFSAPYGLHWKFMKRICMMELFSSRAIDRFANVRTEELRKLLVRVMKKAETEESVDLGEQLKKLTSSIITRMMFRERRSDSERREQVIKMVVELNELAGFFNVSETFWFLRRLDLQGIKKRLKNARERYDVIIERIMKEDESRNHKDAGGVRSMLDILLDIYEDKNSEIKLTRENIKGFIMIHLPSQ >A08p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24792081:24797219:-1 gene:A08p045110.1_BraROA transcript:A08p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPVIEEEDEEALASLVPFPPRRKLHSYSVQFDQFDQKPHHQIRKHSLDEVPRSTTLGNDAVYFDSSDEEFSTGGAIAIASGETVSDGGEDYAVVAPPPNAGVGEDAVEPLPEFIGAGGGAGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGKFLRNIACTETQLWAGQENGVRVWNMEEVYDAGCGIGGQVQRGDEDTAPFHESVPTSPTMCLVADQSNKLLWSGHKDGKIRAWKMDQEQPCEASDDSEPFKERISWLAHRGPVNSIVISSYGDMWSCSEGGVIKIWPWDSLEKSLLIKPAEKHMAALLVERSAIDLRTQVTVNGTCSISSSEVKFLLTDSVRAKVWAVQSLSFSIWDARSKDLLKVLNVDGQVECRVDTPPTQDQHGDDETKLKFFSASKKEKPQGFLQRSRNAIMGAAGAVRRVATRSAGGFAEDTRKTEAIVLAVDGTIWTGNMSGQIVQWDGNGSRLKDVNHHHKPVLCFCTFGDRIYVGYASGYIQVLDSDGKLMASWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDNIIRTELSQKEALYARQDNVRILIGTWNVGQGRATHGALMSWLGSVASDVGIVVIGLQEVDMGAGFLAMSAAKETVGLEGSVVGQWWIDAIGKALDEKNTFERMGSRQLAGLLISLWARKEIRTHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFNHIFRLMVFSKGHNLSNTAAGMVLFLFLSCSLGFSTYLFWLLYSSGLPWALSLAAGVSTTAYTLKTTTNPTTGTEEVKSDLAAADLIAFFGDFNYRLYGITYDEARDFISQRSFDWLRERDQLRQEMKAGKVFQGMREALITFPPTYKFEKNRPGLGGYDSGEKKRIPAWCDRVIYRDTQASPFSESNLQCPVVSSVIMYEACMDVTESDHKPVRCKFHATIAHVDKSVRRQELGNIIRTNEKIKSIFEDLKFVPETSVSTNSIVLQSQDTVVTPAAGIISPEGTVDVKVHHEDFQTMEECVDGIPQSWWCEDTRDKEAILMVNIRGSCSTTMTSHSVKVRHCYSARVCLLESRPKNLTKHLGGSRRHPTDKSRHGSTRPRTEDSVSRGKSH >A02p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14028238:14031102:-1 gene:A02p027840.1_BraROA transcript:A02p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDETEDARNDLRRPFIHTGSWYRMGSRQSSMMGSSQIIKDNSISVLACVLIVALGPIQFGFTCGYSSPTQAEITKDLGLTVSESLMIAAIPNIIGWLCISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGTLPCIVLIPGLFFIPESPRWLAKMGMTDDFETSLQVLRGFETDITVEVNEIKRSVASSTKRSSTVRFVDLKRRRYYFPLMVGIGLLVLQQLGGINGVLFYSSTIFESAGVTSSNAATFGVGAIQVVATAISTWLVDKAGRRLLLTISSVGMTISLVIVAAAFYLKGFVSPDSDMYSMLSILSVVGVVAMVVSFSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFFSWLITMTANLLLAWSSGATFTIYGVVCAFTVVFVTLWVPETKGKTLEELQALFR >A01p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6830091:6836849:1 gene:A01p014000.1_BraROA transcript:A01p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFNKLHQELITMPSSTCYEKLITYSWDSNEVIFATLEEMGLRKAKHKLSAIFRVAMRNAGRKPPDAANTAEAVAGEYPEMDFNGQGTESWGSFPDILSPLKSFIDPSNTVQQGDSGGTSSLAGLVSSGFGVKPRKRKDDNDFSSKKKTLELSAFLSGKLPPSTKKQHMARGRLQFETFKKNQSQLMKKLSKGCARKLGQETTFEVLFKMGKEAGEREYNARIQVCVENARRSNDAEYVLDQVGKAIEYLKEMRQGGFSIKEGTYGPFFRYLVDMEMVEEFQIFKEFIREASPESVERLVYYEMLLWIQVNDEEKIRELCNTVDDGGRISLSTLQEYHLVALCEKDRKEDLQKLLEIVDITKFSSPEVLKSVFEYLGNSLLEAVAMKLLLELRDSGEVETVSNLIFSYASCIPNSSVDDAILKFNNLHEELDIVPSSSSYEKLVNHLCCSSEVAIALDVVENMCEEGLEISENILHSLLDAIAQILEFDLVQRIHSIMSNKCVKPNSETFRRSISLCIRIKDFEGAYNMLGNLKNFNLAPNSSMYNSIMAGYFREKNVNKAFMVLKEMKEADVKPDSVTFSYLINYCDQEEAIAEYYKEMKEAGVQASKHIYMSLIKAYASCKQFEKAKQVLMDQDVPTKDHNELKSVLIHALASNGNITDALSIYEEMKEAGCHVDPKTMITLIDHADSNEELATLAQLAHELNDSKYWIDGLFKIVLFAVRNNKSCSILDLLKETKNDLSKDDIALEYWLEEVFRSIAETEPSDVKLGLDLLSFMKEELGLCPSRKCLDFLLHACVNAKDKQTALVVWKEYQFAELPYNVLNYLRQVLVAAGDSKSAKEIASKIPKDDRDVKCTIKESSVVFTPKPKKKTSKKKRLSCQAK >A02p021210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10040270:10041040:-1 gene:A02p021210.1_BraROA transcript:A02p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGVEDNKGCLGPGTPEMNGHGNIGFEFQKGANRTPNHHRSTMGKPAPSKWDDAQKWLSGVGLSRGGGGGGEKNHHSRSCKPRNSNADDLRLIASASQREREGEDQYVEYEDGDAAAGRPEVETKNVDCGEPGGSVWRKESINPTAAIRSVCVRDMGTEMTPIGSQEPSRTATPVRATTPVGRSPVTSPVRASRCGETVRMATEVATVTETRSVASNNNENIGLGDNSNTKAMNAMEARAMAWDEAERAKFMAR >A10p038800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21608916:21613998:1 gene:A10p038800.1_BraROA transcript:A10p038800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPLNSPRRLRSLRYSSEKMEGTGSWDVIEWSKLDHQPASWSSSFSNLDCLLESERITFECCGVILVNTHEAGTLLLTNFRILFLTEGTRKLVPLGTIPLVAIEKFSKMVLKGQSNRHHSDKNPPKRLLQVTGKDMRITVYGFRPGTKQRRAVVDAILKCSNPERVWDLYAFSCGPSNFGNKNPKERLLNEYFRLLGKSSLKASKNMIEDGSFTLSNDYWRITNLNSNYDLCQTYPFALMVPKSISDEELIQASTFRAKCRLPVISWCHPGSGAVIARSSQPLVGLMMNMRSNFDEKLVASFCTQLAGHEGAQRKLYIADARPRKNALANGAMGGGSESSSNYLQSEIVFFGIDNIHAMRESFSRLRDYLDMHGTTSSDGTSSFLRHGGWTWSGGNLSSMSASVSLLGESGWLSHIQSILAGVAWIAARVAMESASVLVHCSDGWDRTTQLVSLACLLLDPYYRTFAGFQALVEKDWLAFGHPFSDRIGMTNVCGSGNFESPIQTSSARSYPSSPMPQTSGSAAAQPSSSSHGLNNYSPIFLQWVDCVSQLMRMYPCAFEFSPTFLVDFMDCLLSCRFGNFLCNSEKERQECGISEACGCMWSYLTDLRSCSGTSHSHCNPIYDPSRYDGPLLPPAAALAPTLWPQFHLRWACPVEPIAAETEVQCRAMTVKYSEMKKEKEEAERKVDALSSTVESLREELLNERNKSLAARESAKRAIEERAVISRVVQSLGCKVNVAKNGECTVEVGDGPQKCSHSIPTKQSEDSKTDVSESVSSVSEQKVCQALCPLLARGEGTCRWPDAGCCAQIGSQLVGLKANFEAFDKLSIYDSYFTAE >A02p024460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12760620:12761203:-1 gene:A02p024460.1_BraROA transcript:A02p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKTRVINSNCNPVWNEQLTLSIKDLDDPIRLKLPNGCAIKRIRPGRTNCLAEESSINWNNGKVKQDMILRLKNGERGEMEIMLEWTDGPGYKDLMIQEDTMDATKRLD >A03p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1070307:1072276:1 gene:A03p002320.1_BraROA transcript:A03p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYFHSGDINKTQLDTSRKRKSRSRRDGAPVAERLQRWKEYNENVQEASTKKRKVPVKGSKKGCMKGNGGPENGQCSFRGVRQRIWGKWVAEIREPNRGSRLWLGTFPTAEEAACAYDEAARVMYGPMARLNFPRSPVSDVTSSSSHSEMCTAPGLVHVKTEDADYESNSFVEADAKNGRGEVYKWDRVLRIVEKGLHKWSSTVLLRYCFQAVAYALWHERNVRRVGEASQPATCLIARLDKLIRNRISSLRRMVGDAGSDWLSEFEQKYWSEVLEEKEKQKKQVETCQKQPASLSVSDYGWPEDLDQSHWEMFDVDELLGDLNGDMFTGLDQSQCLAGSVGGGLSESEKKQIGLYPLQSLDSSYGLPPLQLDAQDDNEFVDLRFLDLER >A04g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5481348:5484181:1 gene:A04g502270.1_BraROA transcript:A04g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQNGKAHVSSDSEGRVMLFKDVSLGPHAAQLRFRLIHFWEARNPIKKTLIGLEMLMIDEQGTVIQGFIPPGRIKKYLPDMKQGSVYQLDNFFGSKNKPVYRVADHIATVSFTWNSEMSVLHEVPISFDEDRFRFHSYEDFEANCDLKGDLYDVVGHMKLVNGQTLIGRPILDEVEIATSRHIMVHLQSHDGPVMKVYLWDQAAADFCKKFNSCANTPTVFLVTTVNTKHLGGTLALASMSSTRVFMDYDVQPTKDYFTWLGSNPEIAKQVSAEVVTKRETLTIADIFSYMTQESAKDAFFECTATIDDVVHGSAWYYMGCSACHSKATKGATSLICTNTRCEKVNTTGVAQYRAKISVYDNSEQAFFVLLGDAGRELTGRHASELVSSYFEANKNEGPDHEVPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKILSLDTPPPTEASVENTIAATSEETMQTGNEVCEPSKSRGGSTSEESKRTSASADPEKSKRQRCEK >A02g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22877757:22878210:-1 gene:A02g508480.1_BraROA transcript:A02g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAWSRRRLSERRGRGGETHREGFSPDAMEEDASAAVGDSGELRQEEIQRWWVWIGGMTIIAVEILPSVYGLSVAYINTHDLAMLIQGSINVYRFNTFSEGSVYE >A09p037000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7604154:7605373:-1 gene:A09p037000.1_BraROA transcript:A09p037000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLVERYDTTLKQTMAQLGAADKLAATRLKVIERVRAELKQGNEKAAKEKEVLRIKFEELETKLKADRAAKKELVREKAHLEGIAAGLEKEKVELLAERDAAVDKLVRERQRLKDSRDHFARLDALGKAKNLYGQASGTKKCLEMIKESRTEIPQDMIDMFAEQERLYEAEVTKLRVSPLADRDFSLSPLVLPSRFVEERFRRTFDPYGSNVDLIRPETASQLITSREVTEEPPEEPLGDVTSAPTEQAVVPEESAHKESPEKEDLEEIPEKNSLITDEGIEKMGVEDPVVVSDSSSGDQGEEDAASSPPGVEPLASTRPEETVTPIAENPAESSVARSLNEDDEDQAI >A09g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23858595:23863157:1 gene:A09g508490.1_BraROA transcript:A09g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAAELTKLIKLAGLGPVIRWALWRNGGDASGSGSEVPSQVVRPPRRARRRVCFDQTDRRPIRIRHPNTIAYPEKFFEKAQRIATHSHLRWPDHSREWIRRQQARIARDARADPVEGDVNSEPPAQNPPRKTTGKAKKQAERGQSTSLEGDVPLEETPSSVDASKAAKKKKKKKDNKKRSRVAWERPKKKAKKKAAEEGERRLVDSDRLNEPPVPEGPSRSGGRTSETGDGLRDESPLSKRAPLSSAMGKGVGSEGSLPKKARIEFPDRVELLYDEKTPLVLNPLRCTELTRQICGGTRELPLIEDLYFKDEYIDAASASKRSDGIMNYLVEKYESTLKHTMIQLGASEKLARTWLSVIERLRAENKKATDKADEEKEVLRIKFEELESKLKSDRLTKKEVLREKTRLERMVATLEKEETELEEERDAVVGTLVKEMQRLRDSRIQEVTRERVKVQTAMADKSTHCFGRECLDKNNPGIDNILVREEEAGDVAVEDPVLVSSSEEREDEEEGGDQEEDVSSPKPNEEIALSPPASDPSAQMGGPTAQVAEESVESSAPVVLNKNGQDPITKQPAKGLCSVLVVFRLKLRLSASFNFENKKLNLRVEDSFVRFLNDNRVTG >A02p029310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14971928:14979249:-1 gene:A02p029310.1_BraROA transcript:A02p029310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative UDP-glucuronate:xylan alpha-glucuronosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G77130) UniProtKB/Swiss-Prot;Acc:Q8W4A7] MESRHWLPIPTDKRSKRRFVSETSVHSKFSTLKPVLVVTMLVALFTLYHSPPLQIVESTSSFVILEPNIATDLRYISTAEINWNHMSHIVKSYLPSRSEYQGTGFLNVKNMEIDQWKEVMKFGYEHIDLHLDHAADNITWDSLYPEWIDEAEKFQVPICPSLPWVQVPGKPRIDLVVTKLPCNVSGTWSRDVVRLHLQLAVARVASSSKGLHDVHVILVTGFFPIPNLFTGQELVTRQGNTWLYKPNLSQLRQKLQLPIGSCELFVPLQAKGYVCGATAAAQSIRMSGSTHDLVILVDDSITEHHRNGLESAGWKIQMFQRIRNPKVKPNTYNEWNYSKFCLWQLSEYDKIIFVDADMLILRNIYFLFEFPEISAMGNDGTLFNSGLMVVEPSNVTFKLLMDHMDEVVSYNVYLQQDQGYLKEIFTWWHHIPKHMNFLKHFWAGDKPKINRLKTKLFGFDPPVLYVVHYLGYNKPWLCFRDYDCNWNVEGYHQFASDEAHKTWWRVHDAMPERLQRFCLLRSEQKAKLDWDRRQTDKGKYIDGHWKIKIEDNRLETCFETFCNWEIMLLNWGETNTTGNSSIAASSPSLKTAAKTSL >A05p011500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9525779:9526090:1 gene:A05p011500.1_BraROA transcript:A05p011500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLYIRLFSKDTSFASFRIQRVSKIHLYIRQFITIVVHGQTFQKKLIVWYCVGGDGVNHVVFLAKEQSMFPSFFYCS >A10g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22484629:22485119:-1 gene:A10g507310.1_BraROA transcript:A10g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSSVSSGGCSGFSISVLAAFDVCYASLVHLWRFLPLRYVGGAHRLSHVGVSSTLLLELSPVLGFSVRRRLSISCGSWEGWFGRTTSECGSHCTWFLHWFALLLKSNHIIVTLRDLGGTAQWAQLELSG >A03g501920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6228312:6229574:1 gene:A03g501920.1_BraROA transcript:A03g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFHGSLQLHHGCAAFPHMKQLTLHMAPLVISSSLSWFRPPPPPSQFRLPPDPPLCTSPSVLPEPPFETLSPSEPPLEALSPSEPPLEALSPPEPPPETLSPPKPPDPPDAPFTLVFLLLLDTSCAFSQPVSKAPDLESFFLNMVFVFCDEVASLVYVGDTSFVSKYWYPADCSVVLCWCYLIHSTRPLSMIVIVSIESTMGWSIPIIISVSLPRPFIQVLSERFSKLMLDDELISLVWYFGLSRGPFTAVCSFFTAVCSSIFVILKSFQLWQFNGLMHHISINCLASSVMEFVPLPISLSTLCGFVAGSVMLKIRDTSNTEVLIKGFIAMLKIVDCALVAASILGIISLIVVSNFQGVVSLYSLMVVENRGLLDFISCLSVLYALILLCYICFFVLVVCLAYVALFSCFMNTFSIRGE >A09p016610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8710356:8710865:-1 gene:A09p016610.1_BraROA transcript:A09p016610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNDQSHDPNSNLYQQADSTKWSVHHDAQEKFMKNPKLCLVAKGHNPDHQDPAEIKIALLKIGRSLEMLSTTTTLSQFLSTEHHLVMSNRGYLTYLKHILFKVRIYKLPNIYQRHGIVRSIGSKLDKSRKFLS >A06g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:870339:874595:-1 gene:A06g500170.1_BraROA transcript:A06g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRGGLVAAALGSHFLAPHHRRLLHFGAPLSCLAPLLPRRRFNPQLCSSSLRSGDMAETRSPPAAKKVEHVMQMFGDVRVDNYYWLRDDSRCNPDMLSYLREENDYTGSVMSGTKEFENKLFAEIRGRIKEDDISAPLRKGPYYYYKKNLQGKEYVQHCRRLIADNKAEPSVYDTMPTGPDAPPEHIILDENIKAQEFDYYSIGAFKTSPDHKLVAYAEDTKGDEIYTVNVIDSESLKPLGQPLKGLTCYLEWAGNDALVYITMDEILRPDKVCVKIQEIQLFRDHLAVFEREQGLQKITVHRLPAEGEPLNKLQAGRNVSFVDPVYSIDSTESEFASSVIRFRYSSMKTPPSVYDYDMDSGTSVIKKIDTVLGGFDASNYVTERKWVTAADGTQVPMSIVYNKNLAKLDGSDPCLLYGYGSYEISVDPYFKASRLSLLDRGFIYVIAHVRGGGEMGRQWYENGKLLKKKNTFTDFIACAESLIELKYCSKEKLCVEGRSAGGLLMGAVLNMRPDLFKVVIAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVTAQNYPNVLVTAGLNDPRVMYSEPAKFVAKLREMKTDNNLLLFKCELGAGHFSKSGRFEKLQEDAFTFAFMMKVLDMIPASV >A07p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3033658:3036904:-1 gene:A07p004100.1_BraROA transcript:A07p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MANLQSFLRDRCLTVLNLPDKGRSLFTSRDFRPGEVILRQEPYVSVPNNNSSESRCDGCFKTDGLKKCSGCQVVWYCGSSCQKSEWKLHRHECKALCRLEKEKRMLVTPTIRLMVKLCLKRNLQNEKVIPITTTDNYNLVEALVSHMSELDEKQLMLYAQMANLVNLILQFPGIDLKEIAENFSKFSCNAHSICDSELRPEGIGLFPLVSIINHSCSPNAVLVFEEKMAVVRAMDNISEDSEVTISYIETAGSTLTRQKSLKEQYLFHCQCARCSNIGKPHDIEESAILEGYRCASEKCNGFLLRDPDDKGFVCQNCMLLRSKEEVKKLAGDVKTVSPKALASPSAENKQDAIALYKTFEKLQEKLYHSFSITLMRTREKLLKMLMEVESWREALDYCKLIVPVYQRVYPATHPLIGLQFYTQGKLEWLLGQTEEAVSSLIKAYDILRISHGTSTPFMKELSAKLDEARAEASYKLLALKDGN >A08p030730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18814089:18818767:-1 gene:A08p030730.1_BraROA transcript:A08p030730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFDVKAKHSSEEALEKWRNLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVAPSDYTVPEEVKVAGFNICADELGSIVESHDVKKLKFHGSVDGLACKLNASPTEGLSTTDPSHLSQRQDLFGINKFAESEFKSFWPFVWEALQDMTLMILGVCAFVSLIVGIATEGWPKGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRNGFRQKMSIYELLPGDVVHLGIGDQVPADGLFLSGFSVVIDESSLTGESEPVMVNAENPFLLSGTKVQDGSCKMMVTTVGMRTQWGKLMATLTEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGMFMRKLSMKTHWIWSGDEALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMSVQDVANSNGGSLRSEIPESAVKLLVQSIFNNTGGEVVVNKLGKTEILGSPTETAILELGLSLGGKFQEERKSCKVVKVEPFNSTRKRMGVVIELPEGGGRLRAHTKGASEIVLAACDKVVNSSGEVVPLDEESVNYLNVKINEFANEALRTLCLAYMDLENGFSPDEAIPASGFTCVGIVGIKDPVRPGVKESVELCRRAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSQEELLELIPKIQVMARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRLPVGRRGNFITNAMWRNILGQSVYQFIVIWFLQAKGKSVFGLDGPDSTLMLNTLIFNCFVFCQVFNEISSREMEEIDVFKGILDNYVFVVVISATVFFQIIIIEFLGTFASTTPLTLVQWIFSIFIGFLGMPIAAGLKTIPV >A03p048080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22092202:22097878:1 gene:A03p048080.1_BraROA transcript:A03p048080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G13540) UniProtKB/Swiss-Prot;Acc:Q9SIU2] MSNWKTLLLRIGEKGPEYGTSSDFKDHIETCFGVIRREIERSGDQVSPYLLQCAEQLPHKIPLYGTLIGLLNLENEDFVRKIVESVQANFQVALDSGNCNSIRILLRFMTSLLCSKVVQPASLIVVFETLLSSAATTVDEEKGNPSWQAQADFYVICILSSLPWGGAELAEQVPDEIERVLVGIQAYLSIRKHSSSSGLNFFLKGESENSPSEKDFLEDLWDRTQSLASNGWKLDSVPRPHLSFEAQLVAGKFHELRPIKCMEPPSPPSDLSRENIGKQKHDALMRYPQRIRRLNIFPANKTEDVQPIDRFVVEEYLLDVLLYLNGCRKECASYMANLPVPFRYEYLMAETLFSQILLLPQPPFKTLYYTLVIMDLCKALPGAFPAVVAGAVRALFEKISDLDMESRTRLILWFSHHLSNFQFIWPWEEWSYVLDLPKWAPKRVFVQEVLQREVRLSYWDKIKQSIENASALEELLPAKAAPAYRYSLEEGKEKTEEHQLSAELNRKVKEKQSARDMMSWIEETIYPVHGFEVTLTVVVQTLLEIGSKSFTHMVTVLERYGQVFGKLCPDNDKQVMLLSQVSAYWKNNAQMTAVAMDRMMGYRLVSNQAIVRWVFSPENVDQFHVSDQPWEILGNALNKTYNRISDLRKDISNITKNVLVAEKASANARAELEAAESKLSLVEGEPVLGENPGKMKRLKSTVEKTGEAEVSLRESLEAKEALLNRALSETEALLLLLFQSFSAVLKERLPEPAKARSMEDLKSEDGNSSAMEVDSENGNPKKKTEIGEREQWCLSTLGYLTAFTRQYANEIWPHMEKLKLEVFSGEDVHPLFLQAIFSALQIPLQ >A09g511230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33816953:33818210:-1 gene:A09g511230.1_BraROA transcript:A09g511230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIKVIISDALNEAKSILAYSYEFWCTLIYYDTLHIDGQNRKCPSLYDQSKASAIFLSLLSMWIYEFIELSCPEIETSDSSILKETAAARLDAESLSNGSPTSGDNGKVWELGGLWNWIINKKSRGSKLNFPNEWVEYVVNGEGDNGGIGLDNMDSVENEGDKGGIGLDNLDSVENDGDKGGIGLDKMDSVENEGDVDNSMGVRPISDYIELSRVAKEISVVKEWEDGLGFQLLQEFPSK >A01p011810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5718031:5720044:1 gene:A01p011810.1_BraROA transcript:A01p011810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASSSSFGHASMTMASSPLSRFVGTTLRFDHPGRNLITTASASAYPLNKDSPRPKTYKEVTKPFRKIFAREISTQSKDDDISIAKALLYIAAEDEAFLAFNREMDAMSFVKENQSDSEEELETVQDQSYPDSEEHLLQLDGKSISEWLSELDAISKEVEAELVSRDIGCHSVQILEAVNTVLFDTRGFERTSKRLALDPKYSYLHSVLNSRCGTAFLFSVIYIEVCQRLGLPIVGARVGEEFLIWPKTENPEELFSENSGQSLFAIINGRCVDDPGSMASDLTGKSLLGLDVATNRDIIGIALANLIRVHWRRASKPSPGLMLTSPLSQLNNTSVSNFPLLRPQDLRLAIAAAERLLILEPLNWRLRRDLGMMHYYVRQSREAIQELSICIAFAFDEEEAKVLTLFVEKLHLLSSLKSPFGSDRLAVR >A01p004430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1897491:1898528:1 gene:A01p004430.1_BraROA transcript:A01p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G34340) UniProtKB/Swiss-Prot;Acc:Q9SYZ9] MSLSERTHDGETKDPSSSSSYEFTHAAAKSAVAQICETVGYNHFKDPPLESLTGFAIQYILQLGKIATSFANLNGRSHCNVFDIVLALEDLSGNDNNAEERGCSALRSVKLREIIDFVKVSDDGEEESLLLQTLPRFPVSDKRKKMMVVPSFVEVGEIPPGKHIPLWLPAFPDPHTYKETPMWIERGLDPRGDKIEQARQRRKAERALLSLQRKLVCRGDGDRVWGDMDVGGVKGEIREAESEVPEDEKRDGVSVVEAFAPALEAARDVVFSEDQIEWKQKKKPVVLSKLRTEKKFLGEPLDLTLQMKGEDRSMSLREEDRDDKRRRAEFILRQCMENPVDLNQL >A02p016540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7432021:7432212:-1 gene:A02p016540.1_BraROA transcript:A02p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITELLVEYTTALAKLTAGILPRRTGDRNALRIGGFLIPAPSSTSSTIPDFSSHLVDF >A03g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21689453:21690675:1 gene:A03g506070.1_BraROA transcript:A03g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IINDRKTGRSRGFGFVTFTDEKSMRDAIDEMNGKELDGRTITVNESQSRGSGGGGGRGGGGYSGRGGGDCGSLDQHGFARDKIWIIDDNLPPRVSLAIDGQSTLISLERSMANPSDSYLHMAWHSDAATVSGTDALANIRSKVYLSPKLWYLRFNVIEAQDLIPSDKGRYPEVYVKAIVGNQALRTRVSQSRTINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQHLDRRFDHRPVNSRWFNLEKHIMVDGEKKEIKFASRIQMRICLEEGDQVREHTQHLHQFFTKLVKL >A01g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25618178:25619067:-1 gene:A01g509470.1_BraROA transcript:A01g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKAKIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A03p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1009423:1011851:-1 gene:A03p002130.1_BraROA transcript:A03p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNENLPPNVIRQLAKELKSLDESPPEGIKVVVNDEDFSQICADIEGPVGTPYENGLFRMKLALSHDFPHSPPKGYFMTKIFHPNIASNGEICVNTLKKDWNPSLGLRHVLSVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAKPKPKFKTGAISESTTALNVGQTNNNETPGAASAIPSSVADINRVTTTTSGQDQQEVANNSLAAAGSASVVTTTQKREAGLAKVQADKKKSWVVTGSNLPIAGLDRSVKGQEHHMGWRDPDVIISLFTDMVICEDVTEAPIKVFACTHDQLDKQHLEKLKMELT >A03g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29127460:29127850:1 gene:A03g508580.1_BraROA transcript:A03g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRNFKEISIAAASSPMNNGYKSRKLTEEACAQQKEVEAEENAENISMSAFFKLSLHELEDVTHNVDEIEIQSQLDYHVRFFLLNRLCFDAAHLLFHRHYMALLLLGF >A03p000720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:433874:435954:1 gene:A03p000720.1_BraROA transcript:A03p000720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLMTAMAIMVVAALTLTTVNAKIPGVYTGSPWVNAHATFYGEADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCVNDPGWCLPGNPSILITATNFCPPNFAQASDNGGWCNPPREHFDLAMPMFLSIAKYKAGIVPVSYRRIPCRKKGGMRFTINGSKYFNLVLVTNVAGAGNVQMVSVKGSNTGWLGLSRNWGQNWQSNAILVGQSLSFRVKTSDGRSSTSKNIVPSNWQFGQTFSGKNFRV >A06p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7317739:7325931:1 gene:A06p016380.1_BraROA transcript:A06p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDFLKSGHGGEERHAEMRKLDASHDDPHQDIIRSKLDSTKVEIEEAREENRILKSSLSRIKKEFEVLQTQYNQLTVQHEDLNKFSTKGHHQDKNEDEEKERISERDELVLLSLGTRLKSPVPSVSVTNKEEKTKDFMDETGDEKNIDEHKRSSYQGLSLGFEYKDLSNPTEKFEIGHNQANTSLVVSNKTKIPSENSFGFKNDGNDHEDEEELLPQNLVKKARVSVRSRCETTTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVQRCSEDMSILISTYEGTHNHPLPLSATAMASATSAAASMLLSGASSSSSAAAADLHGLNFSLSGNSNIPKPKSPFLQTSSSGHPTVTLDLTTSSSSQQPFLSMLNRFSAPPSNVSRSNSYPSTNLNFSNSTNTLMNWGGVGNRNEQYRAAYGNISTLQQSPYHHVIQTRIAGSSLDPFGRSSSYSPHPTQTNLDYVGIKSITHQVQYLPAETIKAITTNSNFQSALATALSSIMGTDVKMDNVTRNEAEKSP >A03g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31865658:31867040:1 gene:A03g509930.1_BraROA transcript:A03g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKRCLQSNLIIVVFSTVTPTENVSRSAPEEETTGSLTSLVMEFDVIAQATNNFSDEIGSGGFAKVYKGRLLDGKDIAVKRLYKLTTHAIQGFWNEVNLIAVLQHTNLVRLIGFFDDPDTKILVYEYLPRSSLNTYIYNTTRSDVLDWNKRMDIAKGIARGLLYLHQDSRVRIIHLDLKLSNVLLCDQMIPRISDFGTAKRLDGEDTERASGYMAPEYAIDGVCSVKADVFSFGVLLLEMVSGINAREFYWKNDYKSFVGFRDLLSSQILQMWNLWLQGKVLDIVDPYFTSSSSSSSYQPEEALRCIQIGLLCVQAHREDRPPMASIILMLYIDAWESKRVNQSSKTAC >A02p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29814873:29815644:-1 gene:A02p047910.1_BraROA transcript:A02p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLTNKSYGRKYLLLTSSAISNIKIQQINNNHKQNEKANERENHLGKKGESLRITFSMYFLSPLSTLKKKAISGEGVRLFVSP >A07p050020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26668310:26671848:1 gene:A07p050020.1_BraROA transcript:A07p050020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKIDDQQLVILCRERKDLIKTASHHRTALAVAHLVYLQSLRDVGEAIQRFVDEESVVSSTASSSAVLTLPSDEGKPKKRRGSISSTSISHSVIEEEEEDGEDGSHLNLSSGSESGLEDDDHIQIDTTPEPDLNRSKQTFSPGYPTGYAPPGYPYPYPVGGWGFNPNPNQGMYYMKKSSTPSQPFVFKPENHRVEPSDPGYFINPGITGSGYLPNPNFSGYPPPSPAKPPPALPSPPRASTWDFLNVFDTYDYGNARSRAAPSYLASISSSPDSKEVREREGIPELEEVPEHEVIKQVYRQRPKRTTPLKKVKETVPLPEEVATDSETVSTFSGSDVESDFHYVKSSNSSSGQETIVETESYGNKKGVSLELEEEASTSSSSFDVESSSKMSSFTSLSIRGTREIKEVVEEIKSEFEIASSCGKEVAMLLEVSKLPYQHKDKGGLKVILSRIMYLVAPSKRSKPELSIRLTSRTLQMAKAYNEQDVNVGFTGNLSSTLEKLYAWEKKLYKEVKGEEKLRSIYEEKCKSLKKMDSHGAEATKIEATRAYIRKLLMKIDISIRSVDSISRRIHKLRDEELQPQLTQLIHGLVRMWRSMLKCHQKQFQAIMETKVQSVKANTVSSSKAFLDLETELRDWCISFNEWVKTQKLYVHSLNKWLSKCLHYEPEVTEDGYAPFSPSQIGAPPIFTICKDWQEAMGRVSGEKVSNAMQGFASNLHELWEKQEEEEQRVRALEMEVRDHAESDKRSVVSKGRSESGVSVLDDLRVDLDSMRKKLAEERGKRKENTVKVASSSSSTLKGGLAPVFDALRKFNVEVVKAHGIVRLQHPQTSS >A01g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18100071:18101847:1 gene:A01g506160.1_BraROA transcript:A01g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRVLLGQQQITMDFNGKIDSAYNNLNTKIETLGTQVRKLETQVIQTGETIKRQEAFAREAGADKGKHHVNAIIDDEFWQVVRNENLEEGYFEIESSMKFAAKHPHLPSPFYEKIDRSVNSTIDRQSESDFDRHNTPPIDRQAPLTYRVRLPLIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKGEANIKEMDGFTKRVLRIPIKKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVIGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGSSVSILPRIMADQLGLTIKPSTESFTFVDLSEKQSGGIIRDLEVLIGNALVPVDFHVLDIELNWNSSLLLGRSFLATVGAACDMNKNKLCLTLIDPNIHYDPIQPKRKVINSVDYGKELAFIGACHCGAEYESEYEITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPDSNGRAMDGRILQVSSKDIADILQVTNGPDNLFSQQRGTPDVILTNPNNHA >A01p047950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27040689:27042059:-1 gene:A01p047950.1_BraROA transcript:A01p047950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQEWLDVILVPAGLAVMVAYHVWLGYAIIHRPKLTVISLNAESRRQWVFSMMTEPLKNGTLAVQTIRNNIMASTLLATTAITLCSIIGVFVSNTSASKSTAAPIIFGNKSPVLATIKNFAILVCFLMAFLCNVQSIRYYAHVSFLITVPVSRGKREHCEYVSRNLNRASYFWSLGLRAFYFSFPLFLWNFGPIPMFVCCCMMSSILYFLDTTTSFTRHLHSESFREIAESMDGEIESAVHSL >A09p002000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1481128:1487734:1 gene:A09p002000.1_BraROA transcript:A09p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTIDLDQGWDYMQTGITKLKRILEGLPEPQFDSEQYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYIHSTVLPALREKHDEYMLRELVKRWSNHKVMVRWLSRFFYYLDRYFIARRSLPPLNEVGLTCFRDLVYNELHSKVKDAVIALVDKEREGEQIDRALLKNVLDIYVEIGMGQMERYEEDFESFMLLDSASYYSRKASSWIQEDSCPDYMLKSEECLKKERERVAHYLHSSSEPKLVEKVQHELLVVYANQLLEKEHSGCRALLRDDKVDDLSRMYRLYHKIVKGLEPVANIFKQHVTAEGNALVQQAEDTATNHAANTASVQEQVLIRKVIELHDKYMVYVVECFQNHTLFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQNSFEEYLGNNPAANPGIDLTVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHLNGKFDTKPIELVVSTYQAAVLLLFNTTDKLSYTDILTQLNLSHEDLVRLLHSLSCARYKILLKEPSTKTVSQSDSFEFNSKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENPNMFRLAAKRLLEIRQVFRQSPSQASRSFSTALNYHLDSPDNKPDLPWEFSEANKSKVKEILSYYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRDIESALLDHLGVKRGEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYFEDVTPEKVVEIVEKLRKGEKPPHGTQNPKRIKCGPEGGNKTLLGEPKPPQFRDLDAC >A03p037330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15626496:15629474:-1 gene:A03p037330.1_BraROA transcript:A03p037330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan glycosyltransferase 6 [Source:Projected from Arabidopsis thaliana (AT3G07330) UniProtKB/Swiss-Prot;Acc:Q9SRT3] MSRSQNEEFQQWWNKQRNRDHDGGGGGGDDEAFLTVEIRTPAVDPTDKDRIRTRTLRQLSRLYLLRLKQLASSSVWIASSFLHLLRTANRRIANDNPPSSTSSARLYRLIKAFLVVVVLLLCFELAAYFKGWHFTPPSVASAEAAVEVAYAWWLEIRASYLAPPLQSLTNVCIVLFLIQSVDRLVLVLGCFWIKLRRIKPVAAMEYPAKPVGEGIRLEDYPMVLVQIPMCNEKEVYQQSIGAVCMLDWPKERMLIQILDDSSELDVQVLIKAEVQKWQQRGVRIVYRHRLIRTGYKAGNLKAAMNCEYVKDYEFVAIFDADFQPPAEFLKKTVPHFKGRDDLALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQYRWHSGPMQLFRLCFMDILRSKVSVGKKANMIFLFFLLRKLILPFYSFTLFCVILPLTMFFPEANLPSWVVCYIPGIMSILNIIPAPRSFPFIVPYLLFENTMSVTKFGAMISGLFKFGSSYEWVVTKKLGRSSEADLIAYAESGSLVESTNIQRSSSDSGLTELSKLGAAKKAGKTKRNRLYRTEIALAFILLAASVRSLLSAQGIHFYFLLFQGLTFVIVGLDLIGEQVS >A08g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6422743:6426619:-1 gene:A08g503680.1_BraROA transcript:A08g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGGMDDIHHALLFISLLSKKKTLTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIQIFSGMTMTELLTSVRERYQLSSIVATLKLSYQYPEWVSFGDGELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKQLTKGREIEQRVPMAKDVGDGIDEEDWHTFALSETPLTIPLTQPKAKAIPHEVPDYSVSKAVRSKERRSTIPPSPGGIVIIERGDPTRATSRQTGTNDRGKNKRPVEEVTDSESDSDDDMVVPVVPPVVGETGEGYRPVRRRLLFGNAGIPDTDGGVGDSNSGSDDSEELPVDDGLHWGKFDEALHEMLNNPYTPTFFGRDAPPVYNHAQHVICVVQLWRNVIAKYKSSRLANLMSAAARAFIVTEFNKKFIEIQKISPNYAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYNYGEGSRRQFVEIFWELGDYAVASVV >A06p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1481714:1483396:-1 gene:A06p005060.1_BraROA transcript:A06p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxin 3 [Source:Projected from Arabidopsis thaliana (AT1G48635) UniProtKB/TrEMBL;Acc:F4HYJ3] MDFVRGFWSKHRRKILVTAGCLGSGYLLYKLYNSHTRRLADLQRQLANDREHDENIKAQMKAHFENIQMIVDSTTLPHAMRCLSISIREEIDVSSVMERLNRGKGVLSQSEKLHLWDQLKILSFTRMVLSLWSVTMLSLYIRVQVNILGRHLYVDTARALDTSSSHLLEELDVIDRDDEQKFLSSADFLVTNAMPGLILNMQSAVSEVLKGMQLKDVITTRVLQETLLRIVDVFMSSGSPHHWVDYLMIPQGTKLSRNTSDGSSDETVSKFNQLMVETRQVLTSTEFTDIVEISLKCFTDALVEEMETQSISGGLATGMPLAKMLPQIEKTIPVIIAEPGKNQFLQLIRDMSEVQVFFTLLYASMPQ >A09g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28480039:28480768:-1 gene:A09g509820.1_BraROA transcript:A09g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEQRVRLEVIYDVSLTEPVADLAHEKLEESDSEEELDETNTTIGYKELDGSSIGFNSARDTLSFSNGPITRSKTRQLKETILRLVYTKPISTSEENQVKETLKIFNCSIFNITYGAASHGQRSSQP >A08p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20737491:20739444:1 gene:A08p035050.1_BraROA transcript:A08p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAAVKAKNSTLISLMRLSSFSSATSSTVSLKPSGRLQQTLAASVEVNGKALHSGKLSTVKLLPAIAGAGRYFEFRSRSIPASIEFAQESPLCTTLLKDGLRIRTVEHLLSALEAKGVDNCRIQIESESPDDREVEVPICDGSAKEWVDAIEEAGINVAQNHGGENVEKMVAHVNKPVYVCKNDSFVAVFPDLETRITCGIDFPQVPAIGCQWFSWKPEHDSSFARDIAPSRTFCVYEEVERMREAGLVKGGSLDNAIVCSAEHGWMNPPLRFDNEACRHKILDLIGDLSLVARGGNGGFPVAHIVAFKASGSCTAH >A08p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20752645:20754740:1 gene:A08p035070.1_BraROA transcript:A08p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYEKNNNHQNFPSSSSPDLLLAINGAAVINKRKRRPAGTPDPDADVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREKKDEEVRKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSVIKQWVCERCSKGYAVQSDYKAHLKTCGSRGHSCDCGRVFSRVESFIEHQDTCTIRGPQPTNHRPLHQNTEGHATPSRTFLTPSINPLLHGVPFLRSPQASHQQSLAFASSAPFENLQLQLSIGMTKTQVKRNEKGESSLTMERAIEEARRAEKMRQEARRQIEMAQVDFEKAKNIRKEAKAELEKAHVVREEAIKRINATMLEITCHSCKDLFQLPVMADESTSSLVTCYVSSATTEGEAE >A03p051420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20508555:20512299:1 gene:A03p051420.1_BraROA transcript:A03p051420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVKKFARRDGSEQSGSVEQEASIKGSPELRYEMVTGRGIEAIVNSLSKWVVSILFASIILLRHDGTALWGIIGSVSNSALSLVLKRILNQARPATTSRTDPGMPSTHAQSISFISVFAVLSVMEWLGTSKVSMFLCCLILASCSYFIRLRVSQKLHTSSQVVVGAIVGSVFCIFWYTTWNSLLLEAFESSLLLQTSVFLVAAALTLAFATHVVLNWLHSFERDATEYISSIINLTSIANLVNDDIIDNNIKIHLTLVHLINVFSPPQFKLFACVRFMAVLVKRFARRDGREQQFVLVEQKASIKGSPELRYEMVAGRGIEAIVNRLSKWVVFILFASIILLRHDGTALWGIIGSVSNATLCVVLKRILNQARPATTSRTDPGMPSSHAQSISYISLFAVLSVMEWLGTSKVSLFLSCLIIASGSYFIWLRVSQKLHTSNQVVVGAIVGCGFCIFWYTTWNSLLLEAFELSILLQTSVFLVAAALTLAFAIFVVLNWFRNDR >A05p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19391651:19394020:1 gene:A05p034500.1_BraROA transcript:A05p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNCIAPRPEESGSGEAMVQGLTDMSETQSKSVQGLQLSMYPITLKFEEVVYKVKIEQTGQCLGSWSCKEKTILNGITGMVCPGEILAMLGPSGSGKTTLLSALGGRLSKTFSGKVMYNGQPFSGCIKRRTGFVAQDDILYPHLTVWETLFFTALLRLPSSLTRDEKAEHVDRVISELGLTRCTNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAHRIVTTIKRLASGGRTVVTTIHQPSSRIYHMFDKVVLLSEGSPIYYGPASSAMEYFSSVGFSTTMTVNPADLLLDLANGIPPDSQKETSEQEQKTVKETLVSAYEKNISTKLKAELCNADSHSYEYTKAAAKSIKSEQWCTTWWYQFTVLLQRGVRERKFESFNKLRIFQVISVAFLGGLLWWHTPKSHLQDRTALLFFFSVFWGFYPLYNAVFTFPQEKRMLIKERSSGMYRLSSYFMARNIGDLPLELALPTAFVFIIYWMGGLKPDPTTFILSLLVVLYSVLVAQGLGLAFGALLMNIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGIQYSDEDYYECSEGVWCRVGDFPGIKAMGLNNLWIDVLVMGVMLVGYRLMAYMALHRVKLR >A05p004140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1586512:1588917:1 gene:A05p004140.1_BraROA transcript:A05p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNINNGHGIHPDFWQNAASYHGSSKILIVIILVFSIFILVVCAYHLYPRFVLRGRRRSTFQGHSPTLVTQPPKHGLEIVVIDSLPTFVVGVNGNHDVSATECAVCLALLEEKDAARMLPNCKHVFHVACVDTWLATHSTCPICRSEVEPNKRLEPEPREGPVGDGASSSDYKSGGSSVVRLDLFPRILTRERSSDRNDHSRVDQNHHYWFNGHGHHSFWPNPSTYDRNSKIMLAAVVSLSAVILFVFILHLYARFVLRRRRETFRGLPVVVRHPFQTPKRGLDPTLIASLPSFTVRDVASAIECAVCLSMLEEQDTARELPSCKHVFHVDCVDKWLTACSTCPVCRTEVQPRPRLEPEPREGPVGGDGVSSPPTALPFLDDVLPVEAAASSSSGDKTAVPPVSRLDSFRKILTRERSSNRINHSCVDQERVPDHV >A07p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20043930:20046348:1 gene:A07p037450.1_BraROA transcript:A07p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVESRMSNYGSEESRPQKKRRNEKASPRWWWSLPDAVALSIVARLTRLDHAALSLVSKRHRSLVASPELCRARSLIGCTEASLYVCLNIMPDPNPSWFVLTRNRQLRQIPSNPYQPLRSSSSSFVVVDWGIYVIGGIVNGNPTPDVWFLDCYSHTWHPVPSMKMARASASANVIDGKIYVFGGCRDEASSAEVFDPKTQTWRNFISPIGIQQSVVVQGKKIYAVDEEDQSFCSLPSECPSWTSGKRDCKPGNRNDWCAIGDLLFCRGTRGRILWCEPDELDWKEVKGLEELQESFCGLRHVMDYGKKVYEPCKPTERKVKYDISKLSCNSSGNIVIFWNTQLEYPEGLELKSAEISFERHQESEIWGKIEWSASTYNFWLHSDINSNSSLAISGSKDGSVHIENIVTGKFSPSSATILMAATRGMDKKLVIWDLQHSTPRFICDHAVPSVWSESVPVFNNLKSLAVKSDKDRGWPAIPALLRNCPHLQTLVVKGLVHHVTDKCGDVCDCISREDKGFSLTRCPVKVVKIHGFQGTMKEVATIQHFFGVFSISGGDGGGLLCEE >A02p038550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21965271:21969475:-1 gene:A02p038550.1_BraROA transcript:A02p038550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSVFVFKNNKKMTTSGGKGEKILVSVRVRPRNDKEKTRNDICDWECVNNTTIICNNNLPERSLFPSTYTFDKVFGFDSPTKQVYEDGAKEVALCVLEGINSSIFAYGQTSSGKTYTMSGITEFAMNDIFCYIQKHKEREFTLKFSAIEIYNEAVRDLLSGDNNQLRLLDDPERGTVVEKLIEETLRDRTHLEELLSICETQRKIGETSLNETSSRSHQILRLTIESSGREFSLESSRTLAASVCFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGGHIPYRDSKLTRILQNSLGGNARTAIICTMSPARSHVEQSRNTLLFATCAKEVTTNAQVNLVVSEKALVKQLQQELARMENELKNIGSSSSAGSEFYSLLKQKEEVISQMEEQMKELKWQRDVAQSRVETLLKATAEERSSRMDEHSMLSSMDFDADLRRRSYDSIDIGEPSTVNNFTERNFELFENPEEDDFLVEDNIPQFSRYNLYNGWEEIVQTTNSQKVEGEQSCVQAEPVESHDDIVDKKEETISSPEYQPSDSSLMGNNEQEEVEISTPAEKENVDLSSPNAKPETSDLEMRSSVEVQETQKLVKEDEEEKKEERMTSSSTKQAEECSNKEEEEEDAQPEQQTKEHWELNSLPTKKQSEETVEVELVPDGAKLDEDDKYYSESSVYMSDDVDNSTYEALKEKVKEMQKKIEYLMSMHTAEQQQSPCFRRDYKSPDLFTTKRSRSCRENLLCVRSPLWFDNLEASNNASPSWRVRELNASPGRPMNKTTSISFDSGSSMSSTPIDPQSLKDCDPQTGNSFQEFVAGLQEMARQHSLDSTPGLDYGIEIKPESPANALPNPHNRNEETTNDQLEREQGDDLVEDAVPKETDSTDFERQQRQIIELWAVCNVPLVHRTYFFLLFKGDPSDYVYMEVELRRLSFLKQTINNDTETSRTQTVKALTREKEWLSKQIPKKFPWNQRIELYRKWGVEVNSKQRSLQVACKVWTNTQDTEHIKESASLVAKLLGFVEASRMPKEMFGLSLLPGTENVRSSGWRFTKSFSSMRLTGS >A09g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7235147:7235953:1 gene:A09g502030.1_BraROA transcript:A09g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFILMVNKQGQTRLAQYYEWLTLEQRRALEGEIVRKCLARNDQQCELDIMFHLEKAHFMLEEMVMNGCIVETSKANILSPIQLMDKAH >A07p035910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19347010:19350150:-1 gene:A07p035910.1_BraROA transcript:A07p035910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein QUIRKY [Source:Projected from Arabidopsis thaliana (AT1G74720) UniProtKB/Swiss-Prot;Acc:B8XCH5] MNTTPFQSDPPPSRMQRKLVVEVVEARNLLPKDGQGSSSAYVVVDFDAQKKRTSTKFRDLNPIWNEMLDFAVNDPQNMDYDELDIEVYNDKRFGNGGGRKNHFLGRVKIYGSQFSRRGDEGLVYFPLEKKSVFSWIRGEIGLKIYYYDEVADENIASHQQQQQPPQPQPQEADEQYIPPPPQQLQNLPPEKPSFESAQSHSYQEPQQPPVVIVEESPSQEVMQGPSDNHPPRPPSPPHEVHRYPPEVRKMQVGRPPGGDRIRVAAAKRPNGDFSPRVINSKIGGASEATMEKKTTHNPYNLVEPMQYLFVRMVKARGLPPNESAYVKVRTSNHFVRSKPAVNRPGEPTDSPEWNQVFALGHNRTDSAASGATLEISAWDASSESFLGGVCFDLSEVPVRDPPDSPLAPQWYRLEGSAADQNSGRVSGDIQLSVWIGTQVDEAFPEAWSSDAPHVAHTRSKVYQSPKLWYLRVTVLEAQDLHIAPNLPPLTAPEVRVKAQLGFQSARTRRGSMNNHSGSFHWHEDMIFVAGEPLEDCLMLMVEDRTSKEPMVLGHAMIPVSSIEQRIDERFVPSKWHALEGEGGGGGGGGGGGPYCGRISLRLCLEGGYHVLEEAAHVCSDFRPTAKQLWKPPIGVLELGILGARGLLPMKAKNGGKGSTDAYCVAKYGKKWVRTRTITDSFDPRWHEQYTWQVYDPCTVLTVGVFDNWRMFSDVSDDRPDTRIGKIRIRVSTLESNKVYTNSYPLLVLLPSGLKKMGEVEVAVRFACPSLLPDVCAAYGQPLLPRMHYIRPLGVAQQDALRGAATKMVAAWLARAEPPLGPEVVRYMLDADSHSWSMRKSKANWYRIVGVLAWAVGLAKWLDNIRRWRNPVTTVLVHILYLVLVWYPDLVVPTAFLYVVMIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSSRRPEVIRARYDRLRILAVRVQTILGDFAAQGERIQALVSWRDPRATKLFITICLVITIVLYVVPAKMVAVALGFYYLRHPMFRDTMPTASLSFFRRLPSLSDRLI >A03p065570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28961709:28963025:-1 gene:A03p065570.1_BraROA transcript:A03p065570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFDMLAVGNHHNYDAFTQDFYQKLGEEGTNMSTDSMQTSNAGGSVSMSVDNSSVGSSDALIGHPGLKPMRHPYSLSVGQSVFRPGRVTHALNDDALAQALMDSSYPTQGLANYEEWTIDLRKLHMGPAFAQGAFGKLYRGTYNGEDVAIKLLERPENSPEKAQALEQQFQQEVSMLSFLKHPNIVRFIGACIKPMVWCIVTEYAKGGSVRQFLTKRQNRAVPLKLAVKQALDVARGMAYVHERNFIHRDLKSDNLLISADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGQLPFQNMTAVQAAFAVVNRGVRPTVPADCLPVLGEIMTRCWDANPEVRPCFAEVVNLLEAAETEIMTTARKARFRCCMTQPMTID >A01p044980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24027161:24031883:-1 gene:A01p044980.1_BraROA transcript:A01p044980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVPQRYWREERWSRDSFFLSFLFSVSLLLDDNGDFKSRLVGSRRRLCWLLSSTVDLVDVSIGFSLPLGGSLRRKRRILSLSVDLVEVTGDLSPSWWMSLKETAIALPIGGSRRGWKTVTCQSVAVFEEAKWLCLARGGSLRRRQTAIDSEGNPKRREVGTKPVSLSDKTITIAKLLVAFFMGKKSSAASAAWCAEACLHLSNLSFALCGSKPSSEKIRSPAMSSVSRGGLSEFIWPSVAVIGPVTPCSRSVTWFEFKYQGEWSDQSDNCYCFESQVYQKWSVISLSSSLVLISQSQIIKGHEDTMIGLHPGGRVTACSSKVIGSIMRTSDRPSRNIDRVISGYCSSDAEWSAPVMQSGEFLTCRRVSCTLLSREWKSVTSLSCFVCHEFVLVL >A10p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17414672:17416272:1 gene:A10p027770.1_BraROA transcript:A10p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHRKQSTTTVIFLCITISSLSFFSSHVSALGVNWGTMATHQLPPKTVVQMLKDNNVQKVKLFDADTNTMVALAGSGIEVMVAIPNDQLKAMGSYNRAKDWVRRNITPLFNIQKALNEAGVGDFIKATVPSNADVYSSPPENPVPSAGRFRQDIYEEMRLIVNFLAHNKAPFTVNIYPFLSLYLSSDFPFDYAFFNGQNTVNDNGNGVVYTNVFDANFDTLLASLKELGHGDMTVIVGEVGWPTDGDKNANIPNAERFYAGLLPKLAANRGTPMRPGYIEVYLFGFIDEDAKSIAPGNFERHWGIFKYDGQPKFPAELSGEGEKKALTGAQNVQYLQSQWCMLNPNAISFSNSTNQLGDNVNYACTFSDCTALGYGSSCGNLDEVGNASYAFNMYFQVQNQKAEACDFEGLAMITTKNISRDQCNFPIQIGDPTSGHCMYGGSSVRFGLVSMLLLFMAL >A09g505970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18360589:18361092:1 gene:A09g505970.1_BraROA transcript:A09g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit I, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01090) UniProtKB/Swiss-Prot;Acc:P56755] MLPMITGFMNYGQQTLRAARYIGQGFMITLSHTNRLPVTIQYPYEKLITSERFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETNIRKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYEFSTYDRHELNYNQIALGRLPMSVIDDYTIRTILNSPQTKNG >A03p042790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17877897:17880969:1 gene:A03p042790.1_BraROA transcript:A03p042790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMENDDVPMLPASDTSSSSRTMPFTSRSRSTSLANNSSTIDVFNSSTVVLGYTDPLGTQRRPPLVQMSYPLSSTRSPEPRFALPPPSTGASYDSVGASSSQPNERNHAYSRKAAQSRTPRVFATSDFTLHNALDDDAKGWAKYFSGIIYPESNYVQLWTTFFVLSCLCSIFVDPLFFYPIEIYKEERCIKIDWWTTNVFVIVRTITDGLYALNIVLQFRLAYVDLESTVAGAGQLVDDPKKIASHYLRGKFLTDFFIVLPIPQILLLWIIPQLLGTSGANNTKNYLRAAILVQYIPKLRRLFPLLAGQTPRGFRFDSALAKFFINLLTFMLAGHVIGSCWYLLGLQRVNQCLRDACGNSSFECKQLIDCGRENRTEVLHAWKINVSANACFQEDGFDYGIYLKAVNLTSNCTRWYRRYSYSLFWGFQQISTLAGNQVPSYFFGEVLFTMCIIGLGLFLFALLIGNMQNFLQSLGRRDTEMTVRRRDVEQWMSHRRFPKDIRKRVREVERLNWNATRGVNEELLFENMPDDLQRDIRRHLFAFLKKVRIFSEIDESILDAMRARLKQRTYLKNNKVLHRGGVVKKMVFILRGKMESIGEDGYRTLLSEGDVCGEELLTWCQERSSVNPDGTMIRMPSKGLLSSRDVWCVTNVEAFSLSVADLEDITSLFPNLEIPKEP >A01p000060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11466:12314:1 gene:A01p000060.1_BraROA transcript:A01p000060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) UniProtKB/Swiss-Prot;Acc:Q9SZM1] MDSGLQHLALRFFILLCCLFQATAEDGSWKIATATLSRDKDGSSSVTTGGACGYGDLRQSSYGGYSAGLSGKLFNRGSSCGACLEVRCVNHIRWCLQGSPSVVVTATDFCPPNSGLSSDYGGWCNFPKEHLELSHAAFTGIAETRAEIIPVQYRRVKCGRRGGVRFSLNGSSHFFQVLISNVGLDGEVVGVKVKGHTTAWIPMARNWGQNWHSSLDLIGQSLSFEVTLIGGKTIASYDVAPPYWRFGMTYQGKQFLS >A07p048080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25702986:25705062:-1 gene:A07p048080.1_BraROA transcript:A07p048080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYPTSVGMQPLYQESIYLNEQQQQQQASSSSAASFSGGGGGLDIPNSDGGVRHEMVFIPPTNDVVVTGLNESSSNDLSFQGGGLSLSLGNQIQYHYQNLSNQLNYSNDENGKSLSHHHHQHQAEQVPSTGYSNGVGYYNNYRYETSGFVSSVLRSRYLKPTQQLLDEVVSVRKDMKLGESNKKTKNNNNDKGQDFTNGPNNNNTENEKFQEELSPQERQELQSKKNKLLTMADEVDKRYNQYYHQMEAVASSFEMVAGHGAAKPYTSVALNRISRHFRCLRDAIKEQIQVIRGKLGEKETSEEQGERIPRLRYLDQRLRQQRALHQQLGMVRPSWRPQRGLPENSVSILRAWLFEHFLHPYPKESEKIMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDASELLSNSNQDKNKMQETSQLKHEDSFSSQQHHQGNNNNNIAYTSAVEENLVFTDPKRDRVTTTGDYDSLMNYQGFGVDDYNRYIGLGNQQDGRFSNPHQLHDFVV >A03p047740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22234871:22246523:-1 gene:A03p047740.1_BraROA transcript:A03p047740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTTSSSLLRAASSRSTLLSSRSSLTQSSSSSAATASPSPSSSLLGRRSFATSSPAFRSLPRWSHCLHSRPSPFRLSSQIRAVSPGLDRLERNFSSMASEHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTQGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKMRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQDRAYSSYLELNLDNVEPCISGPKRPHDRVPLKEMKADWNSCLDSKVGFKGFAIPKEAQEKVANFSFNGKPAEITHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACDLGLQVKPWIKTSLAPGSGVVTKYLLKSGLQEYLNQQGFNIVGYGCTTCIGNSGEINESVGAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGTGKNGKDVFLRDIWPTTEEIAEVVQSSVLPDMFRATYESITKGNPMWNELSVPENTLYSWDPKSTYIHEPPYFKDMTMDPPGPHSVKDAYCLLNFGDSITTDHISPAGNIQKDSPAAKYLMERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLMNGEVGPKTVHIPSGEKLSVFDAAMRYKSSGEDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIHLPTDISEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLSKQQISLSRFQLKEFRRGAFFFFSFVIFPLDAVSVAMAEIRIADEDDHLELTVRDLDSPDLEKSASAPSLASGEIVPLLSQNQRPRFNIFSPSYARRKPREQVIRVSETEISPVIHFSSWVWSGSRYSGLLCMALSSTLYLFMELLSVSFSVQPIPLFETAFLRCTIILILSYICLKRIGQPVFGPAHARKLLISRALVGYLSLFSFIFSIQMLPLSQAIVLSFVNPVMASISARVILHEKLKITDIGGLACSFFGVLFIFGPTLTVQVGSEVKSENLKGNHHIYALLLGLFSSITGGVSYCLIKAAAKASEQPVNTVLSFGLVACPAAAICMFSLESFVLPAFETLICMIVLGLLAFCAEVLLARGLQLEKISKAANVLYIEVVLSQLWILGTGKAGSSGLFSRLVGCLLILMSVSYTIYTGPAKDTE >A03p062000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26932962:26934458:-1 gene:A03p062000.1_BraROA transcript:A03p062000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNSERRWIFPLAMASLMFIFLTAASFNMGLLSSVRSINSLIFSSNLSPSNETTVEFVESKTNNHSSPPPHVQSSPRFGYLVSGSKGDLESLWRVLRALYHPRNQYVVHLDLESPAEERIELAKRVREDPVFNDVGNVHMITKANLVTYRGPTMVANTLHACAILLKKSKDWDWFINLSASDYPLVTQDDLIHTFTGLDRNLNFIDYTSKLGWKAEKRAKPLIIDPGLYSTKKSDVFWVTPRRTMPTAFKLFTGSAWMVLSRSFVEYCIWGWDNLPRTLLMYYTNFLSTPEGYFQTVICNTPEYSSTVVNHDLHYISWDRPPKQHPRTLNISDTERMIASGAVFARKFKHDDLALDKIDIELLGRGGNGGNFTPGGWCADKHKCSKVGDPSKINPGPGANRLQELVSRLVSASKVDQRKCR >A02p002730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1150804:1151121:1 gene:A02p002730.1_BraROA transcript:A02p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPRLVPAASPEARRVVDIVAGTRIGLNMMKSGVDTTNATAEPANVRPEKAKKNKKVAEAITSLIMSNNEIRKDNILKNTFIWMTENEIRFEGVLHSYFEIGW >A09p048330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43096145:43096872:-1 gene:A09p048330.1_BraROA transcript:A09p048330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSCFVLFRWSPVEACGVSCGGVVSPLRWSLVAARWSGYRLWVALALVVVSFSSNLVGSVVWRSSRVGSNFASGFLTCLVVRLWRRCSLPAPLLYMGLVVKWVYTVPGWWTEGSNLQFPSPNDDIVGLWDPFRAASYGVWGYWKLQEPCVSRFEGAFLSGSSWRLIARSVQAWLSLVLRCYVEVSTRVASLLLSSIVV >A05p045330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27123505:27125960:1 gene:A05p045330.1_BraROA transcript:A05p045330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP diphosphokinase RSH2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G14050) UniProtKB/Swiss-Prot;Acc:Q9LVJ3] MVMATTISIYASPPSSACSTPHQITASDLDPASRNLSTSPSKPIVVGGLSSLFSAKADDFSPFRHHDRSEDCKDLSFTSSFCYSPARLHHHRREHHQSPISVLHGPVSCSCSPPMRIGSSHRAGGGANGLFSRFVRKAVGSCVDYELGPPSDELTLFPMEDGSETKQPYAMDLLRRAQLRHKIFEDECVIKAFYEAERAHRGQMRASRDPYLQHCVETAMLLANIGANSTVVVAGLLHDTMDDSFMTYDYILRNFGAGVADLVQGVSKLSQLSKLARENNTACKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLYALSPVKQQRFAKETLEIFAPLANRLGISKWKVQLENLCFKHLYRHQHDEMSTMLEDSFDEAMITSAIEKLEQALEKEGISYHVLCGRHKSLYSIYRKMLKKKLTVDEIHDIHGLRLIVNNEGDCYKALGVVHSLWSEVPGKLKDYITHPKFNGYQSLHTVVMDNGTVPLEVQIRTQEMHLQAEFGFAAHWRYKEGDCEYSSFVLQMVEWARWVVTWHCETMSKDRSSICSSDSIKPPCKFPSHSEDCPASYKPNSSQDGPVYVIVIENDKMSVQEFPASSTVSDLLSRAGPGSSRWSMYGIPAKEELRPRLNQRPVSDLKWKLKMGDVVELTPPIPDESLPEYREEIQRMYDRGLAFSRPGTMVGWGS >A07p030750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17091507:17092403:-1 gene:A07p030750.1_BraROA transcript:A07p030750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFFLQASRIIAQFIVMGSGILGRAFFQAYRQAIANASKTGVAQEAMQNAVRKAGKAINAQEARQILGVTEQTSWEEILLKYDKLFENNAKAGSFYLQSKVVRAKECLEVVYRTKAN >A02g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13044922:13046143:-1 gene:A02g503940.1_BraROA transcript:A02g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDVACVLRKMCLDAKAPHLSSTLPPSLPWKFNKHVQPNKGLTRQGNGNSEELRCIIAKVKLNVTEEKLLNLMLKYNGGKPRAEVREALAFLLVTRPIRCNKNVSSPPGRESDSDPEDLKHAEKLSQVKAVIEEVL >A08g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4010608:4012700:-1 gene:A08g501550.1_BraROA transcript:A08g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPIISRSPLLDDNVNDRGSISFSWVQKLIDVEESKAQIVYSLPMIFTNLFFYCIPLTSVMFASHLGQLELAAATLANSWTTVTGFAFMVPFRSSPQAPYLTFGWFKWSTGDIMWTRLWCKKLQNVRDSSTIILHSLISLHHLHLHLVVLHRITFLSLGTYVICSDKFKDTWTGFSLESIRYVVTNLTLSLPSAAMVCLEYWAFEILVVLAGLMPNPEIATSLVAICVNTEAISYMLTYGLSAAASTRVSNELGAGNVKGAKKATSVTTKLSLVLALGVVVTLFVGHDGLFSNSPLIKDEFASLRFFLAASITLDSIQGVLSGVARGCGWQRVVTVVNLGTFYFIGMPIAAFCGFKLKLYAKGLWIGLICG >A03p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11748449:11749747:-1 gene:A03p028120.1_BraROA transcript:A03p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRLLLLNFLFFFFSPILTAKGAFVGTYGINYGRIADNIPSPDKVVLLLKQAKIRNVRIYDADHTVLQAFSGTGLDLVVGLPNGFLKEMSSNADHALTWVKDNVHSFLPTTRIRGIAIGNEVLGGGDSELSGALLGAAKNVYNALKKMNLEETVQITTAHSQAVFADSYPPSSCVFKDNVVQFMKPLLEFFDQIGSPFCLNAYPFLAYTYNPTQIDINYALFKPTEGIYDPKTNLRYDNMLDAQIDAAYMALEDAGFKEMEVMITETGWASKGDSDEPAATPENARTYNYNLRKRLAKKKGTPLRPKKVLKAYIFALFNENSKPGKSSETHFGLYKPDGTISYDIGFNSLKSFSSSKVASCYVALVISISVLLVMI >A10p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6668328:6669023:1 gene:A10p010940.1_BraROA transcript:A10p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKSNLREEIFTKSLAVKSCSNLNRTTKYRLSEGNRHVSKPATDELEYGDRIMDKPSTIATQRPSMHTVRSLRSDRAHVPLGRYPSSVASQARSLRSDRARAKLGRYTRTARSLRSDRAHSDQARAKPARYVATEDAYCSVRSDQSRAKLGRYVVTEHVHVLIVTKRSSFPETSIRHESMHSWLLFNAISRRP >A02p002620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1093389:1094917:-1 gene:A02p002620.1_BraROA transcript:A02p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYNYMFGEADEITRRIEGNRRRHDRNDDTPPLVSPEHWSDNIYPTMNHYQPQGQATMSVTFNSIPGEHHQFQHQPTIRRQFCWRCFMSLIISWLMSFFVPTNRPRPIRRPLPSNTPPPSTSGLSSSAERSRDRPSQHSRDEIIQRSVVPERISSGIGLTERQISQLPTIKFQPSIEDKKFAAFAKGKWFYQASFDQQKNQQI >A01g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21568733:21569762:-1 gene:A01g507590.1_BraROA transcript:A01g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPKTARRAPQRNAHTNNSSSATHPQHPNRAIIRPGNGLYTTPNRAKWAATYAIPNQSPNLHTTAPHTTTRGSSKPMKCWDVSEDRAFDAAIKDTRTTTRPKTYASRPLSSSTNPPACSTPQPAAMVIGPTSTHPGEKKRTQTRRTIENLEHPLEPNGFLDQKQQATAEPEKPHRPSHLRTERSQTRSKVRKSISNHNPKIRLQQLPIAHPSRRNHRERGEASGEETPLQQSTPRHQTLAHDAAHIEREQTGKAAAKRENLD >A03p071630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31565887:31567428:1 gene:A03p071630.1_BraROA transcript:A03p071630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPIVVSLISSLVLSDIFYNFPKDLERSQNVDSHGDHQSPEDYDPANRTKFESIFGFYERKDLKIEKIINVARWNKVAEKYTFVLDLDDRFGYVYMPSLGHKNSSHEVNIHDRDTIAYTGIIGLMDQWKLDWDDRDHKHTMVD >A08g505150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8538921:8539196:1 gene:A08g505150.1_BraROA transcript:A08g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSCRILRASYIRLDVITWEVSNRSVYISSQYETFSELEPKLDHGGVSAVPVMMGITVETWLCLEIMVKNKFLKVSTKGLCVKKFHVHN >A06p020560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10084675:10086632:1 gene:A06p020560.1_BraROA transcript:A06p020560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTGHQMSFQTAPFIVQSPTSMMQLDPKETSSGGGTQNGGGMSQAEFALFNSDRLQSDLEAMGNKIKEHEDNLKFLKSQKNKLDESILKLQVHMGKLHTSGTCTIENTNLQGEDINEQILRQVNSAAGVLSYVQSHHYSEALQLDMTNGVVGVVAKLGKVNDQNLSQALSDYLGTRSMLALVYKDYKSVKPLEKYDNQGNVDRSGAIHGLASSIGRTIEGQFDVICLENLRPYVGKRIAGDPQRRLDLPNPKLPNGEYPPGFLGYAVNLIHIDPAYLLCVTAYGYGLRETLFYSLFSQLQVYKTRVDMISALPCITDGAVSLDGGIIRKTGIFTLGARDVAAANVRFAKPSASQTTGNYSEAERQMNELRWTKEKTLEDIKRAQVLRDHALYNFGKKKEEFVRCLSQTNDFSQMKREQAKKHEAVSNGGLCF >A06p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4958446:4959270:1 gene:A06p002820.1_BraROA transcript:A06p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQLRQAFIVLLLLCVIPCLAESAIPSHQQPLSVIGRRLMSTGIDTGPSTSRPGGGGRTPSP >A09g511640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34746951:34747340:-1 gene:A09g511640.1_BraROA transcript:A09g511640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVASTSRSGLRERPQWVALRGRSGLCFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A07p041910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22597054:22598809:1 gene:A07p041910.1_BraROA transcript:A07p041910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY9 [Source:Projected from Arabidopsis thaliana (AT1G68150) UniProtKB/TrEMBL;Acc:A0A178WJS2] MDLSLRLEKEKDEERSKHSCEKEEEDDDEASEDKDEQMVKEDETEDSLLSSKSRKEENEREEMQIEMEHVKEENMRLRKLVEQTLQDYRQLEMKFPVIDQTDKMDLETFLGAQAKARKRGVERSASLPSVEGELGLSLSLQKKQKREEGKAAESHNTQRYNSSSTIQGQDINTQRVITSSPGNRKARVSVRARCETATMNDGCQWRKYGQKTAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASTSSFLLLDSSDNLSHPSYFQQNGNDNRSIRSLINFDDPSSRGGDHVSSSQNRLNWMM >A06p038690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20799305:20799964:-1 gene:A06p038690.1_BraROA transcript:A06p038690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSRIGLTVDAARRAAGFVRGDDVVIHKIFTEFIDRENELRQSKPPTTQPPPRVPLDPWARSRLMRQDAPPKEEKTSDSDP >A04p010410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7248318:7249331:-1 gene:A04p010410.1_BraROA transcript:A04p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSREKAAIEAKLFKFKQHKATAKHNFDEMAEHVEDMEKRQEKLMSFLETAMRNPTFIKSFGRKVEELDLDVSAYNKKRRLPQGEQSKPPSEDSHLDNSSGSSRPESGNIFHQNFSSKLRLVLSPAVSDLNMVSHSIQSSNEGGASPKGILSGSDPKTTQTRREGLPFAPEALELADTGSCPGILLLNDKTIPEPLQQMITSSEETDGSFSCHLNLTLASAPLPDKTASQIAKATHKSQEIGRVTELNFNSIETSSSEKNRGQQEVAAGGKQANAAPPARVNDVFWEQFLTERPGSSDNEEASSTYRENPCEEQEEKRNGHVMSRNTKNIEQLTL >A02p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2148199:2151448:1 gene:A02p004960.1_BraROA transcript:A02p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSQGRDSADNADGYTQDKGITASTAEPSVPQSKHAPPSPPPATKQGPIGPVLGRPMEDVKSSYSLGKELGRGQFGVTHLCTQKATGQQFACKTIAKRKLVNKEDIEDVRREVQIMHHMTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFYKPGDVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILKGHVDFSSDPWPSLSPQAKDLVKKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDSSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMNDGRDIKEIISEVDGDNDGRINYDEFVTMMRKGNPDPIPKKRPKKNQGLDFIHLVKEVVEGKGRMVKTVETVSIAENTNANQSLGDGKPMYNYFIKVE >A08p043550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24223241:24227136:1 gene:A08p043550.1_BraROA transcript:A08p043550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANEQTRSSSGNNANGEGTIGIPDELRCKRSDGKQWRCTAMSMPDKTVCEKHYVQAKKRAANSASRASQKKVQRRSSPPLGEADTYSVDDQLVIPPPSSSNGHASGSNKYDGGGREKRHDKIMSRYLPETPMMRGFSPRVAVDLNDEVDGDDGGMFEESYRSYRRTPPSAGVMDRSRERSHQSMSPMEYSGESTDVSEESLGQTCHHCLRKDRERIISCLKCNQRAFCDACISTQYSDIPLEEVEKVCPACRGLCDCKHCLRSDNTIKVRIQKVPVLDKLQYLYRLLSAVLPVIKQIHLDQCTELELEKRLRGAEIDLVPVVDYYRCCPNCSFDLCLRCCQDLRAESSVEIGGTSQTIGDRRTGVPKLKLNFSNKFPEWEVNSDGSIPCPPKEHGGCGSKSLNLARIFKMNWVAKLVKNAEEMVNGCKVSDLCSPELCDNPVYSPSVETVRSDGVATFEKQWSEGRIVIVKRVLEESSFSRWDPETIWRDIEDVSEHDPFLKAINCLDGSEVEVRLGEFRKAYRDGRNKETALPFSWKLKDWPSPSASEEFIFYQRPEFIRRFPFLEYIHPRLGLLNVAAKMPHYSLQNDSGPKVIVSCGTYKETDGGDSSNSIHYSMRDMVYLLVHTPEGTKLESVRETTNHGPGKADEKMGENESLVSPKEKLIDGELHDLSLGTSNTEKNESEMMLSVEPSCTSSCAGGVQWDVFRRQDVPKLAEYLQRTFQKPDDSLKCDFVSRPLFEGLFLNEHHKRQLKDEFGVEPWTFEQHRGEAIFIPAGCPFQFRNLQVALDFLCPESVGESARLAEEIRCLPYDHKAKPQILEIGKISLYAASSAIKEVQKLILNPEFGAELGFEDPNLTKAVSNNLDKVIKRPQQISCT >A09p057170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48316995:48318053:-1 gene:A09p057170.1_BraROA transcript:A09p057170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVIPDQTFQSIHDTIMEETNSTLFHKRLEGKVAIITGGAHGIGKATVQLFTRHGATVVIADVDATAGSYLAKSLSSSQVAFISCDVSVEADVENLVNVTVARFGRLDILINNAGVLGDQKKHKSILDFNAEEFDQVMRVNVRGVGLGMKHAASAMIKRGFKGCIISMASVAGVMGPHAYTASKHAIVGLTKNAACELGNYGIRVNCISPFGVATSMLVNAWRKGDVGDGHEVEEMEEFVRSLANLKGETLRATDIAEAALYLASDESKYVNGHNLVVDGGVTTARNCVGL >A10p023960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15572989:15573819:1 gene:A10p023960.1_BraROA transcript:A10p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIAKMNTREQVGEFSKLFNAFMTVMRRFIFKVLCVGPIPNHISFIMDGNRRFAKKHNLQGLDAGHRAGFVSVTYVLQYCQEIGVPYVTLYAFGIDNFKREPEEVKCMMDLMLEKIELTIDQAISGNLKDLKVIFAGDLNLLNERLKVAAQRLMELTEENRGLVAVVIVAYSTSHEIVQAIRESCVRKCVDGDSPLVLEMSDVEECMYTSIVPDPDLVIRSGGIDRLSNFMTWQSSRSLLHTTAALWPELGLWHLVWAILKFQRMHDYLQKKQKLD >A05g500230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1047792:1048205:1 gene:A05g500230.1_BraROA transcript:A05g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNGNNFMTMLKEDHGEATQQQQPQEQDAPPVLVPPPLHESHELGFETMITMRQDDHGDATQKQKQEQDAPQVRARPSWFWTPNNDNNFMPVLDENQQYQFDDLDLCFESIKNDANEAHLQPVSHAQDAVGGSSD >A03g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10953686:10959570:-1 gene:A03g503330.1_BraROA transcript:A03g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSKITRSDLQVSLREVAPGSIFGVSGREVASDFGVSLQRVALDRERPWMVALRSGTQRLRDVAPGGRSHARSLRNHGFRQQNNAERLAGVAPGSRSRANFWCLRARGRERLWCVAPTGRSGSGATLVGRSERSLQGHLRLFGVMRTRATSWCRFRMVALRSGTQRLRDVAPGGRSHARFVQWSPFHLF >A01p038210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14483757:14485905:-1 gene:A01p038210.1_BraROA transcript:A01p038210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1220 [Source:Projected from Arabidopsis thaliana (AT1G60170) UniProtKB/TrEMBL;Acc:A0A178WCJ2] MATLEDSFLADLDDLSDNEAELDESDGDDAKKDEEDIDMDMADLETLNYDDLDSVSKLQKSQRYLDIMQKVEEALGKESDGTEKGTVLEDDPEYKLIVDCNQLSVDIENEIAIVHNFIRDKYRLKFQELESLVNHPIDYARVVKKIGNETDLTLVDLEGLLPSAIIMVVSVTALTTKGSPLPEDVLQKTLEACDRALDLDSARKKVLDFVESKMGSIAPNLSAIVGSAVAAKLMGTAGGLSALAKMPACNVQVLGHKRKNLAGFSSATSQLRVGYLEQTEIFQSTPPALKSRAGRILAAKSTLAARVDATRGDPSGTNGKALREEIRKKIEKWQEPPPARQPKPLPVPDSEPKKRRGGRRLRKMKERYAVTDMRKLANRMAFGTPEESSLGDGLGEGYGMLGQAGSNRLRISSVPSKLKINPTIAKKLKERNYAGGATTSGLTSSLAFTPVQGIELCNPQQALGLGSGTQSTYFSESGTFSKLKKI >A02p018560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8560207:8561914:-1 gene:A02p018560.1_BraROA transcript:A02p018560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAPPPMKQGKTGFEETQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >A08g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18336056:18336927:-1 gene:A08g509320.1_BraROA transcript:A08g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALFGCKARVLRGAACTINDLFDRDIDRKVERTRLRPLASGLLTPFQGIQFLGLQLLLLLGILLQLNNYSRVLGALSLLLNFSYPLMTRFTHWPRAFLGFTINWGALLGWAEGKPLSD >A01g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4223040:4228341:1 gene:A01g501140.1_BraROA transcript:A01g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLWSSSKKNQIKRSSYVIVMQFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKMIVHVLNVQKSIGLDGFQKDSKTSLFSPNGETDKILAKRKDGFRPGLKGTCLGPYQEFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAVRRKTTRDVGDPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDIKDFFVSKSVFDNLINSLKIFEPDKCLDQSRFQNVNGITYGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVFKGNSFDLSSSRHVLINDELSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLEKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVKEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNQKHSWPNFKIDKPIFCDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASDGECLRTYASTHEITWRMFSTQLWSSSKKNQIKRSSYVIVMLFTNLVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKMIIHVLNVQKSIGLDGFQKDSKTRLFSPNGETDKILAKRKDRFRPGLKGTCLGPYQEYILHLSKSWSWLYEEVVQMKNSDCNRRETQRTRSVKRQTRASAHNSKLSPKRYTGVSREVDKGGKPDRRTHERGGDEE >A08g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5998937:5999221:1 gene:A08g503200.1_BraROA transcript:A08g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTRGHDQNIKEKPCTCTRSRKYKGNKDKGSLGNTTKTRTKINHLGDRRSRLVASLKTFLWKTQRDKTKG >A08p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2648407:2651131:-1 gene:A08p004530.1_BraROA transcript:A08p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 8 [Source:Projected from Arabidopsis thaliana (AT1G49580) UniProtKB/Swiss-Prot;Acc:Q9FX86] MGGCTSKPSTSGRPDNPFFPGNDYPLIDNSTPTNPTPSAAKASPFFPFYTPSPARHRRNKSRDVTGGESKSVTSTPLRQLRRAFNPPSPAKHIRAALRRRKGKKEAALSAEATEGPPQEEGEELGLDKRFGFAKDLHSRVELGDEIGRGHFGYTCSAKFRKGELKGQVVAVKIIPKSKMTSAIAIEDVRREVKILQALSGHKNLVQFYDAFEDNANVYIAMELCEGGELLDRILARGGKYSEDDAKPVIIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPFLSSEAKDFVKRLLFKDPRRRMSASQALMHPWIRGYDRDMNVPFDILIFRQMKAYLRSSSLRKAALRALSKTLITDEIIYLKTQFSFLSPNKDGFITLGSIRSALSSNATDEMKETRIPEFLALLNGLHHRGMDFEEFCAAAINVHQHESLDCWEESIRHAYELFERNGNRAIVIEELASELGVGPAITVHSVLHDWIRHTDGKLSFFGFAKLLHGVSVRPSVKTTR >A05p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19843293:19846538:1 gene:A05p035070.1_BraROA transcript:A05p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFKHLRRRLPQKSSASRLPGSLPFSEKTSTKSSENLQGSLPAQDFRSVGSALGTYDSADIEGGRVKVFINGDLPLKFECKVGFDNGDVVKVTIKYEDLHRHCYTCKRITHEEGTCPDLSEVQREKNRLLRIEQKDQEERATKEAFSTPYRRNAEVYQSTTNHRDSRDRERRSDSSYQQYQRRERRYEERHGQETSHDLRNRLTDRREAQSKDVWNRLDNSTRSELPRNRERYHPYHNSSRVESRGKYKDTGSSSEWRPKERQEMRTESYLNYANQKEKQVERFENHSYRRRISPDSQRTISENVQSKWQRGHLGGRRSRSPPTHMEWRPVRKDKATTPTSTPRDQGLEERRNNGQVGFEGLTETGIADDETNVEKEAATLIPSLEKESDTNINVRTEVAKKVLGANSSHLSNCEHGYTTKDKEEDDIDKIIDEYAELAMNEEPVDDDDLLDEISEGEIPEKENLAAEQDTEDGRIEAILQLSPSRATSLSRPENMKQSAALGLKVTSQEITTKRSWKRIIRCSLLLVGREEDHGAQNSKEHLRPRSWLTEDDCLRK >A09p080900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59063752:59066644:-1 gene:A09p080900.1_BraROA transcript:A09p080900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREYTQVLVVSSISLMLAAAAHYRLRRLRDTKIIPRLKTSHKHKDHEKLERFSHYVARQMGFKDRRDCPNLCKLAAEYISKSECCEEDIYSFFSQEPDADSLFIKLVEEFERCILSYFAFHWSHADLMISQILHADTEPKRKLKQIVMAATREQRINRVTKNLKVARVFNTLVEEMRAMGIASVDDSQCTEVMEPVAHKDRSPVLLLMGGGMGAGKSTVLKDILKEAFWAGADAVVIEADAFKESDVIYRALSSRGHVDMIQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRHHYRMGAGYKVDDDGVITEDYWERIGERQQLQEDGRRRKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVRSQLRSHKRFADAFPTYCSLVDGARLYCTNALEGSPKLIGWKEKEKTLLVDPEEIDCLKRVGGLNENADSIYQLYNQPNPACEAGSIWKDIVLSPSRFNIQQELKYSIQKVERSKQQQQHQKLKDKRV >A05p041480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25200157:25202801:-1 gene:A05p041480.1_BraROA transcript:A05p041480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFISRLRARENGFYHTFFSKPLSFSTTSVFHLKKTHDHVLDPRVEEDALEEEDRRRSVTDRAYWRRRIHSLCTLRKSPDEALRIIDGLCLRGYRPDSLNLSSVIHALCDAGRFEEAHRRCLLFAASGFIPDERTCNVIIARLLDSGDPVSTLRVVHRLIGVKREFVPSLTNYNRLINQMCLMTRVRDAHKLVFEMRSRGHRPNVVTYTTLIGGYCEIREIEVAHKLFDEMRACGVRPNCLTLSVLIGGFLKRRDLEAGRKMMEELWGEMKDETDTSVKSAAFANLIDSLCREGYFNDVFEIAEDMPQCESVNVEFAYGHMMDSLCRYKRNHGAARIVYIMKCKGLKPISTSYNAIIHGLCKDDGCMRAYQLLEEGSEFGFFPSEYTYRLLVESLCKEHEAGKARNVLELMLRKEGTDRTRIYNIYLRALCVVDNPTEILNVLVSMLQGDCRPDEYTLNTVIDGFCKMGRVDDAMKVLGDMMTGKFCAPDAVTLTTVMCGLLTQGRAEEALDVLNRVMPENGFKPSVVTYNAVMRGLFKLRKGDEAMRVFDQMSKAGVIADNTTYAIIIDGLCVTGQVDTAKRFWDDVIWPSGRHDAYVYSAFLKGLCRFGSLSDACHFLYELADSGAVPNVVCYNIVIDECSRSGLRREAYQIIEEMRKNGRAPDAVTWRILDKLHDSRALAVEGEDINPDSYFKDVQRQALHTDLLLMVGKTEPTNAKWKPPMVLTCSTTLLLSLRLPEESDFKLIKRKERGYYVTAAFPSHYTAVVLHKFGSAILSAELLSFTEVLGFLAHSASLLIESPLTF >A06p041220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22443227:22445093:-1 gene:A06p041220.1_BraROA transcript:A06p041220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TATSLGRDPLFLSSSFSSNSDRAAAIKIRFADIIVKSSSNKSEAMMTIRREKRLLQERQLEEKAMMEERMKVRQEERLAIIKKEEEEEARSNYLRDDEHFFIDHPVAVHIRLLPVLRPWRSQASFSSQQTHRNKAMFPTTLIDLSQVEDPTLGFPHTPTRSEAKRFQDLIESFYT >A06p045750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24519839:24520394:1 gene:A06p045750.1_BraROA transcript:A06p045750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPSGPVVLTAEEESVLKEGIYLELSQWTAMKAAVDNGWGGRDSHEKANRTLSTVVDYFIHLKDPTTGFDGLADILENGLYELNTVADDGSLEEVTETLLDWYYECLEGDYRRLEKLRATSSQRRASVVK >A10p022520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14853215:14857796:1 gene:A10p022520.1_BraROA transcript:A10p022520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHASLGRRTLEEIRQKRAAQRLSKTSSGPDLSEIPIPTAGIRKSESENRLSETDVGALYSQLKELQKKNADMEERNKMLYSKLQTKEAENESLETRLNVLEQNTVPSLRKALKEIAMEKDAAVVSREDLSAQVRTLKKRVKEAEEEQYRAEEDAASLRAELNSIQQQTMGTSFVGVSPDQIFEKEMAKLKLELQKESMLRQQEQQRVAEEQTRVALLLSEKQELEQKISALSSGASEASESSQKVFSVEDKEMLEKQLHDMAVALERLESSRQKLLMEIDNQSSEIEKLFEENSNLSASYQESINISKQWENQVKECLKQNVELREALDKLRTEQAGTLSRVSPEVQANGSPGTETLSLKSELAKEQSRAESLSAQVLQLSAQLQQTTQAYNGLMRVYKPVLRNIESSLIKLKQDGSVAVAQFSIIAREMISTTTASGGPSAFRRDLDPNALRLSRRKTFISLLRNCKNVAQVPPIHAKIIRTFHGQDAFVVFELIRICSTLDSIDYAYDVFRYVSDPNVYLYTAMIDGFVSSNRFSDGVALYRRMIDDSIMPDNYVTTSVLKACDLEECREVHGHVLKLGFGSSRSVRLKLMEVYGRYGELADAKKVFDEMPERDEVAATVMINCYSESGYMKEALELFKDVKVKDTVCWTAMIDGLVRNKEMNKALELFREMQMENVSVNEFTAVCVLSACSDLGALELGRWVHSFVESQRIKLSNFVGNALINMYSRCGDINEAKRVFKEMRDKDAVSYNTMISGLAMHGESFEAIKEFRDMVNRGFRPNQIHGNVELGEEVAKRLIESEDSDSGTYVLLSNIYASSGKWKESNEIRGSMRDSGIGKEPGCSTIEVDNQIHEFLVGDTTHPEKEAIYQRLQELNRVLRFDDVMLYINDM >A09p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6317318:6320082:-1 gene:A09p012360.1_BraROA transcript:A09p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGDSSKPLTADDVGEGEGGALVAVNVRCSNGSKFNVSTSLDSTVEAFKELVAQNSDVPANQQRLIYKGRILKDDQTLLSYGLQADHTVHMVRGFAPSSAPPPPSPPVNQTTDNTSNLGESLFGFNPLGGGSLFGAPPDLEQAQQQLAQNPNMVRDMMNSPAIQNLMNNPEFMRSIIMSNPQMRDLVDRNPELGHVLNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESMPEGFNHLRRMYENVQEPLMNATTMSGNAGSNAASNPFAALLGNQGVTTTTQGSDGSTNAETGTGNGIPNANPLPNPWGATAGQTNAPGRTNPGGDARSPGLGGLGGLGGLGGLGGLGGLGMLGADSPLGATPDASQLNQMLQNPAMSQMMQSMLSNPQYVNQLINLNPQLRSMLDSHPQLREMMQNPDLLRQFSSPEMMQQMMSLQQLLSSQNRNTTSQEPGQTGAAAAGAGNNGGMDLLMNLFGSLGAGGLSGTNQSSVPPEERFATQLQQLQEMGFYDSAENIRALLATNGNVNAAVERLLGSIGQ >A09p057080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48280535:48283238:1 gene:A09p057080.1_BraROA transcript:A09p057080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK5 [Source:Projected from Arabidopsis thaliana (AT3G51630) UniProtKB/Swiss-Prot;Acc:Q9SCU5] MYTTDISSTAGTSNDSNPYVETDPSGRYGRFREVLGKGAMKTVYKAFDQVLGMEVAWNQVKLNEIFRSHEALQRLYSEVHLLKNLNHESIIRYCTSWIDINRRTFNFITELFTSGTLREYRRKYQKVDIRAIKSWSRQILNGLAYMHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRESQQAHSVIGTPEFMAPELYDEEYNQLVDIYSFGMCVLEMLTGEYPYIECDNPAQIYKKVTSGTLPRSFRLIQNMEAKRFVGKCLETVSKRLSAKELLEDPFLAMTDEGDLAPLSRLPSQLAIQNLAANGTVVEPLPLVTDPNRTTDMSITGRMNSEDHTIFLQVQISDGNGYMRNIHFPFSIVSDTPLEVALEMVKELEITDWDPLEIAAMIENEISLLVPNWSAHEDPSLLHHSFGHEDDDDSGQRTRPFYSAACSPDPLVAVNDNNNNSLEDLSLRDHSFGYEDDEDNGEGGGRRTLPFYSASCSPEPPVAVKENNNNALEDDPSLQHQSFGQEDDDDNVQGGGRRTLPFSSASSSYDSPVAVTDNDNAHEDPSLLHHNLGHEDDDDTRKTTLFYSAACSLDPHVAVTDNEGSSNDDGSGRGSNTLLSSSTYQHSPPVEDDDQSPQQRRRRLRLHKMKSLVDTRTQVLHRSLMELINRHTRRGRGSNTNLHELQHQPVADFLRRS >A02p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11030436:11034650:1 gene:A02p023000.1_BraROA transcript:A02p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB1-1 [Source:Projected from Arabidopsis thaliana (AT1G55750) UniProtKB/Swiss-Prot;Acc:Q3ECP0] MSDWQVKYKSSLKDRGTEGILKLREGILLFVPNDPTSDSKLKVLTPNIKSQKYTKEGSKKPAWLNLSNKQGDSHIFEFQNYQDMHACRALITKALAKCEEEQPKKPAAVLTSAEQLSIADLELRFKRLHKEFVETKVLTEDEFWATRKKLLGKDSIRKSKQQVGLKSMMVSGIKPSTDGRTNRVTFNLTSEIIFQIFAEKPAVRQAFINYVPTIFLRQMTEKDFWTKYFRAEYLYSTKNTAVAAAEAAEDEELAVFLKPDEILAQEARQKIRRVDPTLDMEADQGDDYTHLMDHGIQRDGTMDVVEPQNGQFRRSLLQDLNRHAAVVLEGRNIDVDSENTRSVAEALARVKQVSKADGETTKDANQERLARMSRLAEMEDLQAPQNFPLAPLSIKDPRDYFESQQGNVVNEPRGAGTLKRNVHEAYGSLKESILEIRTKGLRDPLIKPEVAFEVLFYPVVFRSLTQTISTAKNIIGKNPRESFLDRLPKSTRDEVLHVGKLKDAMSNSYSQLEAMKESVQSELRHQLSLLVRPMQQALDAAFQHYDADLQRRTAKSARGSAGEANGSV >A08p015400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13793342:13793632:-1 gene:A08p015400.1_BraROA transcript:A08p015400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAFYRYQLQIRRTYISYEWLLDLLLLRVIGSLAWAHLHIHNYGIREQYCRLVQHHQNIKAY >A10p039260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21771536:21773240:-1 gene:A10p039260.1_BraROA transcript:A10p039260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPTSQGYGYGYGGGNQPPPPQPPYSSSTGNNPPTNQGYGYGGGNNPPYGSSSPYAVPYGASKPQSSSAPSAPPYAPSPPPGDFNPPKEKPFGGGYGASPPSAPYGSAAAPRPGGYGAAPPHSEYGGYGAAPRPYGGYGAAAPPSGVPEYGGYGGAPPRPGGGGYGGYPSPQGSSYGSPFASLVPSGFAPGTDPNIVACFQAADQDGSGFIDDKELQGALSSYQQRFSMRTVHLLMYLFTNTNAMKIGPKEFTALFYSLQNWRSIFERSDKDRSGRIDVSELRDALLSLGFSVSPVILDLLVSKFDKSGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTGYSGSATFTYESFMLTVLPFLIA >A02p059970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35768819:35769269:1 gene:A02p059970.1_BraROA transcript:A02p059970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQQQYPVGAPPPQGYPPKDAYPPTGYPPAGYPPPGYAQGYPAQGYPPPQYSQAPPQQKQQVGMLEGCLAALCCCCLLDACF >SC186g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:25948:30957:1 gene:SC186g500010.1_BraROA transcript:SC186g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEIGLAVKVKHLYLSSFAHTAHPDPFGIFPFPLTLQRNSTRLCALNNSASPLHGTGLRTLPFCLDEFPLGHCTISMASPASWKGRMDSSASHQSLHHRSRFDQFTFTLRLPRITPADDPFLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPSDDMQWHKTFVSTFLAVGELHVQSMRHIGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQIFFSQFCLCVISSLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A04g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3598882:3600205:-1 gene:A04g501430.1_BraROA transcript:A04g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKMFLMRCYSSSTNLKVFQIWNTSGTTYIRRLPGKSSGLLGSLLTKSPFHNRPERFGKFLCLIFLHLVSNQMVLIFHSFKCRSINFIYVFCVFYKGFSDLEDFWDDLPVSCLQYNALDDFQEVFQTTSRKAYFFILIQHFKKHFNPSSNS >A05p043770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26414940:26415884:1 gene:A05p043770.1_BraROA transcript:A05p043770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVVIIVFILDLIAVGLAIAAEQRRSVGKVVTDTEKTYEYCVYGTDIATSYGAGAFLLLFISQVLIMSASRCFCCGKSLNPGGSRACALLLFLICWVFFLVAEVCLLAASIRNAYHTQYRTMWNIDNPPSCEVIRKGVFAAGAAFTLFTAIVSQFYYVCYSRARDAYQNPSY >A09g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:528511:528802:-1 gene:A09g500060.1_BraROA transcript:A09g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLELSKPIKIVSPFLLASDVSSDSKSVRLPNFTGVTPTATTMALQCSIITHQVKTLCTSSHPSSQSLAQFLKFDLSQKVSASDSF >A06g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27283769:27284765:1 gene:A06g509460.1_BraROA transcript:A06g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEQTVTPVTAAVNGGLAGAIYGGIAGMVIPPLFRRLYRVLTPLSQAQYVRNCARECSALAAAGCGIESMMRGIRGKDDLTNHLVSGSGAGLAYSFVRQGLKLKPAHALSCAALFAVLSGTECKNGIRVDLETLSDTDIKTLRSSPHSDAGGARARRRRLFLWSLVLSFDSYHLSAAFFIFFKSSLPDLTPSISVATRRSQLSGTVVLFSGGSWRACTCASLTFQLSLSLQGCYMLWASDL >A09g514080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42500366:42505491:-1 gene:A09g514080.1_BraROA transcript:A09g514080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKDWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIRECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGLREEAQENMEKLREFGCEWYGRPYKAVHGRTVRTKGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLSIRELVE >A06p047280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25127011:25131854:-1 gene:A06p047280.1_BraROA transcript:A06p047280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARLCSLVAELGYEGAGKLDPDSFEWPFQYDDARPILDWICSSLRPSNVLSLPELSLYEQFQREGKLLEGEDLDQAYDSISAFSSRRNNQEAVFGAEESIKEVRDATLAHKAEALELQRQLRRLQTQYDLLTGQSSSLIQGRRARVAATSAVTGQITTIEDSLSARNLQMNGVLGRLASTSQELAHYHSGEEDGIYLAYSDFHAYLAGDSACTKELNQWFTKQLDTGPYRLVAEEGKSKCSWVSLDDTSNMLRADLEKSQHERVSELQRLRSIFGTSERQWIEAQVENAKQQAILLTLKSQVTSVEAHIHFDLHSLRRKHADLVEEISTLYQKEEKLLSETIPELCWELAQLQDTYILQGDYDLKVMRQELYISKQKVFINHLVNQLARHQFLKLACQLEKKNMLGAFSLLKVIESELQGYLSATRSRVGRCLSLIQAASDVQEQGAVDDRDSFLHGVRDLLSIHSNVQAGLSTYVSAPAIIQQIVSLQSDLSSLQSDLENSLPDDRNRCINELCTLIQNLQQLLFASSTTAQPILTPWPLMKELDEMGKINSKLSAAVEEVTLEHREKREIVKHHSKDVELQRRVFMDFFCNPERLRSQVRELNALVRARQASS >A07p042280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22925787:22926108:-1 gene:A07p042280.1_BraROA transcript:A07p042280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRISLFLVKADVFSLSEAEPEFKDKSMGKRPVDDPQLEAGGGSSERTEHSGEEDDRTFSMIK >A05p032120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17992672:17994307:-1 gene:A05p032120.1_BraROA transcript:A05p032120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEMMEDDWEFASSSNPNRTLVLVGRTGNGKSATGNSILGKKTFKSKASSRGVTSTSELRRVVQEDGQIINVIDTPGLFDLSTAAEFIGKEIVRCITLAEGGIHAVLLVFTVRGRPTDEEQSVLYHLQTLFGSKISDYMIIVFTGGDDLEDNDETLEDYLGQECPEFLKEILELCDNRMVLFDNKTTNKRKKAEQVQKLLSLVDAVARKNNGKPFTDELFHELQEEAIKLRDQKKEVESLKGYSKSEISEFKKQIEISYDRQLSRITEMVETKLKETAKRLEKQLGEEQAARLEAEERANEVQKRSSDEIKKLRENLERAEKETKELQKKLGKCINL >A10p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4041754:4042608:-1 gene:A10p014700.1_BraROA transcript:A10p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane steroid-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G52240) UniProtKB/Swiss-Prot;Acc:Q9XFM6] MALLLWQTLKEAIHSYTGLSPVVFFTALALAFSIYQVVSGWFASPFDDVRRHQRARSSAAEEAPPIPQPVQVGEITEEELKQYDGSDPQKPLLMAIKHQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTWDISGLGPFELEALQDWEYKFKSKYATVGTVKVTVPEAETASMSEPAETDDGNAHVTKQEPTVLDKNLETPAESDVKNE >A08p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15752572:15753112:1 gene:A08p024160.1_BraROA transcript:A08p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNAPPSQPPPRPLIKQHSWSPDADREEAWLRRKGKRQSDRIGRSKSVTDEDLEELRGCIELGFGFEPDSQDLDPRLNETLPALGLYCAVNKQYSSRLSRTSSLSSIASEGDVSNSSTTIVDQGDDPETMKLRLKQWAQVVACSVRQFSGEPN >A02p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1218356:1220096:-1 gene:A02p002870.1_BraROA transcript:A02p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASNTAGGGGSKASRMARWRSTGIIGLRDSKLKTFPDEVIVMERAVRTLDLTHNKISDVPAEVSKLINMQRLLIADNLIERLPGNLGKLQSLKVLMLDGNRISCLPDELGQLIRLEQLSISRNMLIYLPDTIGSLRNLVLLNVSNNRLKSLPESVGSCASLEEIQANDNVIEELPASLCNLIQLKSLCLDNNQVKQIPDGLLKDCKSLQNLSLHNNPISMDEFQLMEGYQEFEERRKKKFDKQIDSNVMISSKGLDVGVDK >A07p022090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12961027:12961629:-1 gene:A07p022090.1_BraROA transcript:A07p022090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIKGFAYNAHVWQLLFFVRIESASVAKECILMFRSSWSLKGTFFVAACSIGATFLRSESVLQSHITSSRTQPHLPVEEFLDLNIDEFVPYKIPMKRERERSPRTNKSPSTKTMLPLMGWSYLQRSGSVTSSLARLVEVAPIRSLHQRPTLNGNVILR >A09p049930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44036916:44038278:-1 gene:A09p049930.1_BraROA transcript:A09p049930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEPDNSTKSCKARGADLRVHFKNTRETAHAIRRLPLNKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEEPVKKEPETQLAAKSKKGASS >A09p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6742945:6755636:-1 gene:A09p013200.1_BraROA transcript:A09p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPESAPPPSNSSSSPSPPSPPSPSPPPPTQEGSSPPPPDSTSPPAPQAPSPPDSSNNSPPPPASQGGGGNGGGNESPPSRGSPPSPPSRGGDNGGSRTSPSGDNGGSRSNNSPSSGGGSSTSGGGSGTSGGGGGGGINTNTAIIIGVLAGAGLLMIVLIIVCLRRRRKSKDDSFDAESMKGNQYQYYGNNNNNSNNASQNYPNWFLNSQGQNQQPSGGWGGGGPSPPPPPRMPTSGDNSSLYSGPPRPVLPPPPPTLALGFNKSTFTYQELADATGGFVDSNLLGQGGFGYVHKGVLPNGKEVAVKSLKSGSGQGEREFQAEVDIISRVHHRYLVSLVGYCIADGQRMLVYEFVPNNTLEYHLHGKNLPVMDFSTRMRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDFNFDAMVADFGLAKLTSDNYTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGKRPVDSSSTMDDTLVDWARPIMVRALEDGNFNELADVRLEGNYNPQEMARMVTCAAASIRHSGRKRPKMSQIVRALEGEVSLDVLYEGVKPGHSNIYGASGTSSDYSQTSYNADMKKFRQIALSSQDFQSSEGEGSSNSDSKEIKTTEKAKWASEPAAPRRRTPVQIPDTSVPRRRAPIQIPDTDNSALIEQNKFTLIGRVSNPAAQNTRALDATNGRFRVSINGLQPLERTLELSLPSGGVKEVELEYEKLEKHCFSCLSLSHESDDCPSAQAPNTQRSAPMGINQVRTLEKLTERRQASGRTDRMHAPPRPSRLAQQGRERGSDSAYPRQAPLGDDRHERSQHDYDPRHHHHRASTQREVWVPRKDAHSASPRSGGRCSDTRISERRTGGTPVTSHVSHTPPPRPAREPTHTPSAAISSSTLNSKERRSALERISPAGSLNVPNERQSALHRLSLPSNGIVVPQREDRNSGSANLQDVELHYFEDTMTDAPFVDKTGASSSKAQQAPASPIRTLSEDRRHVSLRLGPVPAESSPSEALPIASTVKRSSRIAAARLSGKRKMPSTPHSKITRTVTEPEYISGPGYTGPVKNPFPFHFILSPSLSVPLLPQIGDFSISLLFPNLTMLRLRTLLSLKSSFSSPSAATKTILAPFHSTSVLSEKSRKHFGSDRASKGGGGGTTSESSKNSSSIRFTCTVKEKGRRTCAKKTVDKLLFHSGLNDPLQNEWNIGPNPLIRDRHMKKKSPPGRGKKPRDKKTKRWHREGNTDDDFEADATNKFENKWREAWTNQSQKSSYSYSRDSGFQWREGWSWTTQSQRSKAWNNNESCDEPLMVGSQSDRKALGLPLAGPLKLEDVKNAYRSSAKKWHPDTNQGPSKAEAQEKFKLCVEAYNSLCSALS >A04p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12771391:12772974:1 gene:A04p020950.1_BraROA transcript:A04p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSIPLEILYEIVGWITFASWSISFYPQLLLNFKRKSVVGLNFDFALLNFTKHSSYMIYNVCLYFSPFIQKQYFDLYGDKEMIPVAANDVAFSIHAVCMTAFTLFQICIYERGTQKVSRCATGLVILVWGFGATCFFIALPTHSWLWLITLFNSIQVCMTCVKYIPQAKMNFTRKSTVGWSIENILLDFTGGVSNYLQMVVQSIDQGSWVNFYGNIGKTLLSLVTIFFDLIFMFQHYVLYPPKKPSKCLEESNEPLIDPSHESI >A01g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24398324:24398781:-1 gene:A01g509040.1_BraROA transcript:A01g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGLPLGLFLRLGLHSVQQRGPIKRLVKQDLFHLSCPTIKVHISRRLTPSPFGRASKNQRRGDQRSKAIPSSQVC >A04p000160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:74740:76326:1 gene:A04p000160.1_BraROA transcript:A04p000160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGEAVEIGSDAKLGGVGSRSAGAVGGGQYFRADTVDFSKWDLHMGQGSSSATRKTPMQEWEIDLSKLDMNHVLARGTYGTVYRGVYAGQQVAVKVLDWGEDGLSTADDLRISFEQEVAVWQKLDHPNVTKFIGASMGTSDLKIPSGSDSGGAHPAKACCVVVEYVAGGTLKKFLIKKYRSKLPIKDVIQLALDLARGLSYLHSKAIVHRDVKSENMLLETNKTLKIADFGVARVEAQNPQDMTGETGTLGYMGPEVLEGKAYNRKCDVYSFGVCLWEIYCCDMPYADTSFAEISHAVVHKNLRPEIPKCCPQSVANIMKRCWDPNPDKRPEMEEVVKLLEAVDTSKGGGMIPPDKFQGCLCFFKPRGP >A05p043520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26251077:26252271:1 gene:A05p043520.1_BraROA transcript:A05p043520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGETVDSIKSIKIRQLLTQAVTLGMIVTSALIIWKAMMCVTGTESPVVVVLSGSMEPGFQRGDILLLRMTKDPIRTGEIVVFKIDGRDIPIVHRVIEVHERKTTGKVDVLTKGDNNDVDDIDLYADGQMWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGAMGLLVITSKD >A07p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15980812:15982957:-1 gene:A07p028240.1_BraROA transcript:A07p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTTPKWSIWIRHLDEPSGCIFQIYKRTGPHSPVCSQSLASPLCLTMLSAMESLILELHEIGAVKFGNFKLKSGIYSPVYIDLRLIVSYPSLLSQISHTLISSLPPSTSFDLVCGVPYTALPIATVVSVSNNIPMLMRRKEIKDYGTSKAIEGVFQKDQTCLIIEDLVTSGGSVLETAAPLRGVGLRVSDAVVLIDRQQGGRENLEENGIRLHSMITLTDMVRVLREKGKIEEGVEASLIRFLEENRRVSVEKVKGEDRVLGFKERSELCRNPMGKKLFEVMVKKETNLCLAADVGTAAELLDIADKVGPEICMLKTHVDILPDFTSDFGSKLRAIADKHKFLIFEDRKFADIGNTVTMQYEGGVFKILEWADIINAHIVSGPGIVDGLKLKMVKGGDALGQQYNTPHSVITERGSDIIIVGRGIIKAENPAEAAHEYRLEGWKAYLEKCSQ >A05p014300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6227892:6229181:1 gene:A05p014300.1_BraROA transcript:A05p014300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQSLIYSFVARGTVILVEFTDFKGNFTSIAAQCIQKLPSSNNKFTYNCDGHTFNYLVENGFTYCVVAVDSAGRQIPMAFLERVKEDFNKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTQMRRKMWFQNMKIKLIVLAIIIALILIIVLSVCGGFNCGK >A09p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12861650:12861895:1 gene:A09p023510.1_BraROA transcript:A09p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKINVRVDCRALRSKQWRDVNGQDQSTEAAMKVKKSWSSKRPLTRSYGFRLASGPSGKGVGH >A04p014170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5376345:5378616:1 gene:A04p014170.1_BraROA transcript:A04p014170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVELKDTAHDKTKAAASKNVAKAPAKENKKPLAFKLHSGERAVKRAMFNYSVATNYYITRLQKKQEEKLQKMIEEEEIRMLRKEMVPKAQLMPFFDRPFLPQRSSRPLTMPKEPSFGNVNSTCWTCVYNNQYYLYHIHHVHA >A08p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12580757:12583509:1 gene:A08p018140.1_BraROA transcript:A08p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRAVLLIGEPDNYDGVTVTMEEPMDAEVFTARLRASLSQWRQEGKRGIWIKLPLGLANLVEPAVSEGFRYHHAEPEYLMLVSWISNTPDTIPANASHIVGVGALVLNKNTREVLVVQEKSGYFKDKNVWKLPTGVVNEGEDICTGVAREVEEETGIVADFVEVLSFRQSHKAFLKQKTDLFFLCVLSPRAYEITEQKSEILQAKWMPIKEYVDQPWNQKKEMFKIMANICQKKCDEDYVGFSTVETKTGTGKKSFVYCNADHAKSLNATRGQASSSL >A10p005970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9526450:9527732:1 gene:A10p005970.1_BraROA transcript:A10p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKIAALDRISELKAFDKRKAGVKGLVDAGITQLPRIFHDSPSNLANPKPPSSDLLHLTTIPTIDLEGRVFKDESKLKNTVDGIRDAAEKWGFFQVVNHGVSLDLLERMKDGVRRFNEQAPEVKKQFYSRDFRREFVYTSNFDLYTSSAASWRDTFSCYMAPNPPKPHDLPAFCRDVMLEYSKQALCLGEFLFELLSEALGLNPNHLKEIDCSKGLRMLCHYYPPCPEPDLTLGASKHSDSSFLTVLLPDQIEGLQVLREGYWFDVPPVPGALIINLITNDKFISSEHRVLANRATKARVSVACFFTTGIRSNPRIYGPIRELVSEDNPPKYREITVKEFALHRSAKGLDGTSDLLHFKI >A06g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21505097:21508244:-1 gene:A06g507720.1_BraROA transcript:A06g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMFSLDHYEWRMSRMHYGRRNTREYAQRRHYDMEGNLVLPMFPDPEEQYREFPFRYPHEQTVRHKVLMPHFQRMAMEERLLQGNARFQLATEEGPPRKRGRPCKPPSAAGGPLRVFTGKCQCGVLIKNAQEDRSVAGYTEDFINQAKLCKPKNAETWCVWYKNGLRKELQAQLRGVLEPLEFALVRRMAGFAMEAEEKIAADVAALSSMEGGNSGRDVDGHEVPVGELAKGKRGRPRKPPTVTCDCDVLVQMVQKPRKVRDYLEEFLDTAKRCQPKPAEEWCHLFKAGLRGDIRWPTKHCTRRSGWRKVRRRPSMIASQRAMKTLDPRPAAPRHVNVARRDPRDDSASLSLSYKKRCSLPFCLITNLKRSSAENSRRPKTLAVELSPLLSCAASLSSLSLPRVALSLSLSSPSPSLSLLAVARSLSLSLSPAP >A08p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19885706:19888097:1 gene:A08p032910.1_BraROA transcript:A08p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLPYSVKDVHYDNAKFRHRSPLKVLSQSLLTLNTKRDYASCSTGKFLILILIFGIACLMLMGTNDSPLNEKGKVTFVGGLRLGRLLRKPPRLPPRLSPDEGQLKGNTTNSPKWAARQQSVKEAFDYAWSGYRKYAMGYDELMPISQRGVDGLGGLGATVVDALDTAMIMGHGNIVSEAGSWVEAHLLERISQKGQVNLFETTIRVLGGLLSAYHLSGGDHGPKPDVYLNVAKDLADRLLSAFNSSPTAVPYSDVILRESTAHPAPGGMSSTAEVASVQLEFNYLSAVSGDPKYSAEAMKVLAHIKTLPKTEGLVPIYISPHSGEFVGENIRLGSRGDSYYEYLIKVWLQQGGKLNGNFTYLHDMYTEAMKGVRHLLVRKSIPKGLVFVGELPYGSKGEFSPKMDHLVCFLPGTLALGATKGLTKEKALAENLLSFEDLENLKLAEDLAKACFEMYEVTATGLAPEIAYFHTEEYSEDGLEGGNKSSAYAKDIIIKHADRHNLLRPETVESLFVLYRITKDTKYREQGWQIFEAFEKHTKVKSGGYTSLDDVTEVPPHRRDKMETFFLGETLKYLYLLFGDDSVIPLDKFVFNTEAHPLPIRNI >A04p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7017983:7018548:-1 gene:A04p010800.1_BraROA transcript:A04p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKDSKTKAGNSFVLVDMESSYLTFELFRKLHLETKKEKPNPRNAPSQNADIYSNNHFRIQLREAMISLNFSTLMSIGKRCRRRSRGQCWKKTHSSWNKEEPNPNGSIFTN >A09p069110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53946668:53948748:1 gene:A09p069110.1_BraROA transcript:A09p069110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT3 [Source:Projected from Arabidopsis thaliana (AT2G25300) UniProtKB/Swiss-Prot;Acc:Q5XEZ1] METLPTTTVTSSKSERRVRSSKYQSTSKPSVIMAFFSCVAWLYVAGRLWQDSENRVILNNMLKKNYDQKPKVLTVDDKLMVLGCKDLERKIVETEMELTLAKSQGYLKSGSSSGGKRLLAVIGVYTGFGSHLRRNSFRGSWMPQGDALKKLEERGVVIRFVIGRSPNRGDSLDRKIDEEDRARKDFLILENHEEAQEELPKKVKFFFSAAVQNWDAEFYIKVDDNIDLDLEGLIGLLESRRGQDASYIGCMKSGEVVTEEGGQWYEPEWWKFGDEKSYFRHAAGSLIILSKNLAQYININSGSLKTYAFDDTSIGSWMIGVQATYIDDNRLCCSSIRQDKVCSVA >A06p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23266076:23267883:-1 gene:A06p043300.1_BraROA transcript:A06p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIPHLLLILLLFTVLTHNSSFEDDFSNEQQPNGFFLPFESNLYVEITIGTPTRNFNLKLDSSTHLTFLECDPCDDGDEHQCSISDTTRRYDGKSSTTFSPISCTNSSLCPLLSPNATTHDNTTTTTTSSLSLLCTPSNSCRYDVSSFSAGYLVSDTLQLTSSITDQENSLSIVRGFVFGCGTSNRVTPEDDGGGVDGRVSLTTHHFSLLSQLRVTRFSHCLWPSSAGSRNYIRLGSAAEFGGDMMLVPMLDTTETSSYSYHIPLLGISLEEQRMRSNETSTIAVDIGTYYTRLEASLYEKVKEELMTQIGPTVAYEVNELMCFTTEVGLEIESLPIVTLHLQGFNFTISNKGLYLRDSPSSFCTALVRSTTEDEEKNVLGASGLVDYLVGYDTSDRVLAFQQRDCLADFVDGI >A02p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4730610:4732125:-1 gene:A02p010880.1_BraROA transcript:A02p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVSTSKQGERVVVIGGGVAGSLAAKLLQADADVTLIDPKEYFEITWASLRSMVEPSFAERTVINHKNYFKKGRVVTSPAVNITETDVTTKDGAVIGYDYLVIATGHNDLFPKTRQEKLSQYQTEYEKIISSQSVLIVGGGPSGVELAAEIAVDFPEKKVTLVHRGPRLLEFVGEKAADKAFNWLESKKVEVILNQSVDLSSASEGNKTYRTSGGETIHADCHFLCVGKPLASQWLSGTVLKDSLDGKGRVMVDEHLRVKDRKNVFAIGDITNIPEMKQGYIAESHANVAVKNIKVMMSGGKKKKLSTYKPGSEIAIVSLGRKDSVAQFPFVTVVGCLPGLIKSKDLFVGKTRKARGLSPKLV >A05p017400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7840612:7846957:-1 gene:A05p017400.1_BraROA transcript:A05p017400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIIPQPFGVCHVVAMPWPGRGHINPMMNFCKRLLLRDPTLIVTFVVTEEWLGFIGSDPKPYRIHFATLPNLIPSELVRDNNFTGFIHAIYTNLEEPFEHLLDSLSSPPPTVIISDTFVLWAVSVGTRRNIPVASFWTESAAILSLFVHSDLLAAHGHFPTEPSETKEDEVVDYIPGLSPTRLRDLPEIYHGFSHQVFNKFKPCFDELSKAKYLLFPSPYELEPKAVDFFTSKFDFPVYTTGPLIPFEELSAGNDVIKPEYIQWLDGQPESSVLYISQGSFLSVSEAEMEETVGGVRECGISFLWVARGGESKLKEALEGSPGVVVSWCDQLRVLSHKAVGGFWTHCGFNSTLEGIYSGVPMLTFPLFWDQFLNAKMIVEDWRVGMGIKSDKKTELVRRDDIKELVKRFMDGESEEVREMRRRACDLSEICRGAVAERGSSDVNIDAFLKDITKIV >A02p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5607231:5607920:-1 gene:A02p012800.1_BraROA transcript:A02p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGRVGSSSSSSFTAEFFGFKDPSPPSSSSGIFSSIFLHPSKDVARDGPSFKHGPQAQRRESSTTQGDRVEPCHLSSSLYYGGQDVYSRSTTNQTYPSVKNERLRSGEGDANGQNSQDVSRGNWWQGSLYY >A06p018040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8754905:8755672:1 gene:A06p018040.1_BraROA transcript:A06p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASDNNNNSKKLSKMLQKALSIGHSPAPFSPVRDFRHHRTISTASRGYFFSSPITPMLPTSARARRRTKSNGVVVAEPTSPKVSCIGQIKLAKSKCPEMKNRAPKTKNLETSSSSRVKEEGKGNWSILKRLFSTGRNPLKKSSATASAAVIEHPVVAVEAVTAPSLGKMKRFATSRESLGGFDWRVEMKRQEEESRPDHYTPDDEETTIPFSVSMPLTQREGLSLYPRQKSEVNSWERRTVDRPKPLQVKATY >A09p008650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4456704:4461341:-1 gene:A09p008650.1_BraROA transcript:A09p008650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGLSEDRKKGHRRLMFRPPSRLISSLEASGSSSLSLSSPSSFSKDGRRISVGDCALFKPPQDCPPFIGLIRLLVPEREGGGSFKVRVNWLYRPGELKLGKGVLLEAQPNEIFYSFHEDEIPAASLLHPCKVTFLPKGVELASGISSFVCWRVYDVMNESIWWLTDQDYIDVSEIERQQEVDNLLRKTRSKMTLQQGGRSPKSTNSPTTSQAKTGTEGMQNSSSSSSQGKGRKRERGDQGSESVKRERPSRIDDGGSGFVRTESSLKSEIAKITERGRLVDSEGVEKLVQLMLPEKNEKKTDLIGRSILASVVAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDNGRSVDDFLLILLRALDKLPVNLNALQTCNIGKSVNHLRSHKNSEIGKKARSLVDTWKKRVEAEMDAKSGSNQGASWPGRYRQSEVSHGSRHSGVSADAARTSASHQHPSKSVSVIIPSDNNMKSATTSPSSTRSAPSLGTGVAVVNDGQQRDTGAVHREVGLSRSFSSQRTATEKTPDIPMAEGCSNKLIVKLPKRGRSPAQSFSGGSFEDHAAGSSRAPSPVPSENNDTNRPNFSLDGSSKIEDIIKPTSPTLGDVVKTGKGDSGSHSSMNALIESCVRDSEANACEAGVDDVGMNLLASAAADEISKSPVASPAVSLVSDSLMNDISENHTTGLPNEQAEALAKDLTIVEHVGSSGEQLASVVNVNDSKPSDSEMEELQRLVDQCLESNENSDDIAPTAGPTSGIRGNISDDGDSRVVADVKTEEISETDGVADTVLRTGNSALRKCKTVGKSQNEDTLTAEDSHLEAAGGAQVEDKPKVILSSELVNKMGEVVSVLSEFAKDRSTENVDRSMPEKLSDDNDCGGTANDRKAACTSVETSAPAECKAIVLCPKVESLVVANSHSDNKEEHKPPVVLSSELDKETAEDVTVSSELPKGIAAEKMDVGINHVNQTDKQSKPVTAHLYSSLTNGEVEHVEASLKSAEVGKRCATSTCDDGDEAEECTSVAKDVPSVSASASAGSELEARVEFDLNEGFSGDDTRDGNSNNFSGSLSLTPTPLQPSLLPASVTVAAAAKGAFVPPDDLLRNKATVGWRGSAATSAFRPPEPRKVQEVVPLNMNNVASCDASTTAGKQTKTFFDFDLNVPDERVLEELSSQRFANPAGVLDLDLNRLDDPADMNNHTVSSGHRVNSTFQQTNLSSGGSRDFDLNDGPAVDDVNVVESSLGFSQNSRSAQPMISGIRPGFSSWFPAVNNYSAMSIPQVLPERGNGPQRMVGPTSEVSSYTPDMYRGPVLLSSPAVSFPPSAFQYPGFPFGSSFPLSSANFSGASTPYMDSSSSGRLCFPPVNSQILGPGVAIPSNYPRPYVVNLPNSNGGVSDSNNAKWLRSGLDLNSGPGGHETDGRDEAALVQRQLSSSGSLPLKDEARMYQMFGGTLKRKEPDSGGWDGYKQPSWQ >A06p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000217.1:690:1547:-1 gene:A06p031300.1_BraROA transcript:A06p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRQHTQDVRLSISTHISTLRGLFGVIWRPRALSVPEHIRTPSAHAGRPVPDVRQHTQDVCGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSTRRTSVSTRRTSVAVRVCHCVHQPTQDVRQYTQDVRQHTKDVRGRPSVHRGRPWPSVSTHRKSGSTQRTSVAVLQHTQDVRQHTQDVRGCPCVSVCPSVHTGRPAVHTGRPSAHTGRPWPSVSTHRTSVIVRQYTYQHAGPSCGLFG >A01p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3616078:3618065:-1 gene:A01p007260.1_BraROA transcript:A01p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKTVVAVSFLIILLYGNLFIEVEGIGVNWGSQASHPLPPATVVRLLRENGIQKVKLFEADSQILRALSRSGIQVMVGIPNDLLAPIAGSVAVAERWVSQNVSAHVSSNGVDIRYVAVGNEPFLKSFNGTFESITLPALQNIQSALIKAGLASQVKVTVPLNADVYESATNLPSAGDFRAEIRDLMISIVKFLSDNQAPFTINIYPFISLYNDPHFPVEFAFFDGTGSPINDNGRIYDNVLDANYDTLVWSLQKNGFGNMSIIVGEVGWPTDGDKNANMQYARRYNQGFMNRQKAGRGTPMRPGPMDAYLFSLIDEDAKSIQPGNFERHWGIFYIDGQPKYQLSLGNGNGLVPAKGVRHMAKKWCVLAASANLQDPQLGPSVSYACDHADCTSLGYGSSCGSLNLAQNVSYAFNSYYQVSDQLDSACKFPGLSVITTNDPSVGSCKFKIMIKSDSSGGEASAPFKRSVAVLLLLWMCVYIVL >A10p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14677464:14685762:1 gene:A10p022180.1_BraROA transcript:A10p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSAPFCLLSCVLVWFMISSVSAVTDEDKQVYVVYMGSLPSRLEYTPMSHHMSIFKNSIESRLVRSYKRSFNGFAARLTESEMERVAKLDGVVSVFPSKMYKIQTTGSWNFMGLKDGNDTKRNLGVESNTIIGVIDTGVWPESESFSDKGFGPPPKKWKGVCSGGANFTCNNKLIGARDYTGEGVRDIIGHGTHTASIAAGNAVADISFFGIGNGTVRGAVPASRIAVYKVCLRNGCTSEAILSAFDDAIADGVDLITLSLDGYIKSFEQEPNSIGAFHAMAKGVLTVNSADNSGPTPASISSVAPWIFTVAASNTNRGFVNKVVLGNGKKIESKEMRESSSTTLFLLFCFLVASALVKTTAWVLQPVIPPRWICHIYCENYGLRLQPVKTTACVIALVVRLPSSLALDLGGHKKCLARCLDESLVKGKILVCASSDGLSIAHSMGAVASIIINPSDYASIHAIPFSALSPEDFDLLVSYINSTRSPEAAVLKTKTIFNQTAPKVVSFSGRGSNRIASDILKPDISAPGVEILAAYSHVASPVPPYKQENDTRRVNYAVLAGTSMACPHAAGVAAYVKTFYPEWTPSMIKSAIMTTAWPMNAAGTGVASAEFSYGAGHVDPIAALNPGLVYELDKADYIAFLCGMNFNMTTLKIIAGEAVTCTENILQRNLNYPSMSAKLSSSDSSFTVTFNRTVTNVGAPNSTYKCKVLAGRGSTLSVKVSPSVLSMKSVNEKQSFTVTVSGSNVDPELPSSASLIWFDGTHNVRSPIV >A09p049230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43601396:43602716:1 gene:A09p049230.1_BraROA transcript:A09p049230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLSTTLITPPANVNKSSSGLTGRVRLRKGRLSIRAVSGSSQGGSVDGTVYKGVYGPWTVEQSDVKEVILYRSGLVTAAASFVAASSAAFLPENSWFSEIIKQNQDLFYLVGAGGLGLSLFLIHIYVTEIKRTLQALWALGVVGSLAAYAALARPDSDSLVHYVVDHPTAVWFVGPLFASLTGLVFKEGSSRIMVDVSGLCYGKLEAGLLTFIIPSVLLGHLSGLMNDEAKLVLLGTWMALFVVFAGRKFTQPIKDDIGDKSVFMFMALPEDEKKAVVAKLEQDNSG >A03p012740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5050950:5060168:-1 gene:A03p012740.1_BraROA transcript:A03p012740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGDAARSKSRPSSSSLAASLLPSGSAAAAVGFGGYVGSSRFDASSMSNEDSAPFLDLDSEMAQHLQRLSRKDPTTKIKALTSLSELVKQKKGKELVPLIPQWTFEYKKLILDYSRDVRRATHDVMTNVVTGVGRDLAPHLKSIMGPWWFSQFDLVSEVSQAAKSSLQAAFPAQEKRLDVLNLCSAEIFAYLEENLRLTPQNLSDKALASDELEEMYQQMMSSSLRALATLLDILLHEPNKAGSESVNAESKLASKARRVATSSAGKLFSFHKCFLNFLKSESPSIRSAIYSLLSSFIKNVPEVFSEGDVRCLAPALLGVFRETSPICHSSMWEALLLFSRKFPQSWTYLNVHKSVLNHLWQFLRNGCFGSPQVSYPALILFLEVMPTQSVQADKFFVNFFNNLLAGRSMCDSSSADQLSLLRATTECFLWGLRNASRYCDGPSIHDLQVDLIDKVLVKNLWANFFELSKDSTPHIQRKPSETLSMSGSVNFLQELGRCILDILSGINLLEQNLLSYFLKSVQESFLNMLQQGDTETVTGSMRKMIDFLLLLERYSGLEGESWPLDQFMGPLLSKAFPWIKSSELIDGLKLLSVSVSIFGPRKIVPVLVGDIETYTLLSVEEGRDMSPEKFIKVFQEIFIPWCVDGHDSSTAVRASKQDLLLSLLDDECFSQQWSDVISYVFDQQHHGFDKLASMELLLEKARDQITKRSSGLELSQRIGSKPDHWHHELIGSTAISLVRSSPVTTTSATQFLCSVLGGATEDSSISFVSRSSLAVDIEFDLSSSVDVIAITKFAAEVIDGSLFSLKALDQDATLLSTIISSVFIIDLESRISSLVDSTLNEFKEKQKDRNIVCGFVHAVCSKMSNQFWKSINYDVRKSSAKILAQSVRSVVQLEDDLQPCQLTLLCASWMPEVLEYLSLDQTDEEDICALLLRESDVWPMWISPSSLTSINTHGVPAHVCDLRTSKSQRYVSFIDSLITKMGIHRFVVGHKDNGLSPQAWLSAEILCTWEWPGGSVQTSFLPALVSFCKSEPAYGSLLNSIFDILLNGALVHGEDEIDSSGNMWVELNNQIEDVKEPFLRALVSLIFTLFKEDLWREEEAMAVFKMVTDKLFIGEEPSKNCLRIIPFIMSIIISPLRKKTKSSFYGEVLCCHWKLSLEVGWRDMQDWFQLVLSCYPVSEKAEEDKALKRHVSNEERTLLLDLFRKQRQIPGASGVVTQLPAVQILLARLIMVAVSYCGNDFNEEDWDFVFSNLRRLIQSAVVVMEEASENVNDFISGVSSTEKEIDTLEGLGHIVSISDPSLDNAKNALSAFYFLKLVKDTVPDEDYLYSLTNEIWYPVKDRILEEAASLVASFRVDHLQFWELVAQLVVDSSPRARDRAVRAVEFWGLSKGAISSLYAIMFSSKPIPSLQRAAYIVLSTEPISRLAIVADGNVSPSDESLSDQDSSNVGLPSEEKLQLRDEISCMVEKLNYELLDTDLTAPDRVQTFLAWSLLLSHVNSLPSLTQGRERLVQYIERTANPLILDSLFQHIPLEQYMAQSLKKKDGDIPSELSVVASAATDAITTGSSLSTVKSLWPIETGNMASLAGAIYGLMLRVLPAYVREWFSEMRDRSASSLIEAFTRTWCSPSLIKNELSQIKKADFNDESFSVSISKSANEVVATYTKDETGMDLVIRLPVSYPLKPVDVNCTKSIGISDAKQRKWLMSMLMFVRNQNGALSEAIRIWKRNSDKEFEGVEDCPICYSVIHTANHSLPRRACVTCKYKFHKACLDKWFLTSHKKARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNRLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVISIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRTIQVGQKGIPYLNTYDGRTIRYPDPFIKPNDTIKLDLEENKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEAKKRLAGQQAA >A02g506210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17661129:17661638:1 gene:A02g506210.1_BraROA transcript:A02g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTDQNISDVPVEVQPTDQIRQTDWAVYRLDPRTSGLELRPDLRPDARTDRTEARLSRPTRQAKTDGQARTNLARANSDSDHGFSLLARLARTACTDDRADDLSTLFDPIIDFSFGNFSKARILKLSEDLGFVGTQLVRSERPAALADPYRFGLSRFRCIWMEADQSH >A01p048160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27126753:27128469:1 gene:A01p048160.1_BraROA transcript:A01p048160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSKNNTPEIFELNNGSMHVKISNYGATITSLFVPDKNGKSADVVLGFDSVDPYVKGLAPYFGCIVGRVANRIKEGKFSLNGVDYTLPINKPPNSLHGGSKGFDKKIWDVAGHKKDGDKPFIIFKYHSADGEEGYPGAVAVTATYTLTSATTMRLDMEAVPENKDTPISLAQHTYWNLAGHDSGDILDHRIQIWGSHVTPVDQFTVPTGEILPVKGTPFDFTEEKRIGESIGEVGLGYDHNYVLDCPDQEKEGLKHAAKLRDGASSRKLDLWTNAPGMQFYTGNYVNGVVGKGNAVYGKHAGVCLETQGFPNAINQLNFPSVVVKAGEKYKHTMLFEFSA >A03p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14244310:14248675:-1 gene:A03p033630.1_BraROA transcript:A03p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLESGIPAKREPAAARWGRQHPFLQRNRSRLSRFFLFKKLNYIQLICTMCVFFFFVVLFQLFLPGLVVVVDKSDKPPWRSNKKELLPPDLVVFEESGVFDFGEGVRLEPTKLLMKFRRDATQTSTSDGFNLTTNTQRFGFRKPKLALVFADLLADAEQMKMVTVSNALLEIGYAIEVYSLEDGPVHGVWQQMGVSVTILETNHASSCVIDWLSYDGVIVNSLEARSVFACFMQEPFKSLPLVWVINEKTLAVRSRQYNSTGHTELLTDWKKIFSRGSVVVFHDYLLPILYSEFDAGNFYVIPGSPEEAWKAKNLDFPRKDDIVISIVGSQFLYKGQWLEHALLLQALRPLFSGYNTESYNSHLKIIVLGGESASNYSVAIETISQNLTYPKDAVKHVSVAGNVDTILESSDLVIYGSFLEEQSFPEILMKAMALGKPIVAPDLLNIKKHVDDRVTGYLFPKKNLEILLQIVLEVITEGRISPLAGNIGLMGKATVKNMMALETIEGYAVLLQNILKLSSEVASPKDIQTVPPKLREGWSWHLFEALMNASPDNRTARSYEFIANVEGHWNHTSGEATTKFGVVNDDSFVYEIWEDERYLQVINSKKRQEDEELKGRALQYHGTWEDVYKNAKRADRSKNDLHERDEGELLRTGQPLCIYEPYFGEGTWSFLHQYPLYRGVGMSGKGRRPRMDDIDASSRLPLFNNPYYRDAIGDFGAFFAISNKIDRLHKNSWIGFQSWRATAWKESLSRIAENALLNAIKTRKHGDALYFWVRMDKDPRNPRQKSFWSFCDSINAGNCRFAYNETLKKMYSVKNIDTLPPMPEDGDTWSVMQSWALPTRSFLEFVMFSRMFVDSLDAQVYEEHHRTNRCYLSLTKDKHCYSRLLELLVNVWAYHSARRIVYIDPGTGVMQEQHIQKDRRGQMWVQWFEYTTLKTMDEDLAEEADSDRRVGHWIWPWTGEVVWRGSLEKERQRKNVEKEEKKKRSKDKLNRMRNRNSRQKVIGKYVKPPPENETVSGNSTL >A03p028500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11980363:11982118:-1 gene:A03p028500.1_BraROA transcript:A03p028500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRMQKLQDLATTMLELWNLMDAPIEEQQKYQHITCNIAASEHEITQANSLSEDFIKYVEAEHSLWLMIKVAEEKTMGGILLESKTQSKPQVLERNSISLYEAHLIIRLGSYLPSSPTETSLISLTRYSICFLPELYTLCLVHQWWKAFWQNCEFISAVHYCHSRRLYHKDLKVQQRFSNSWAQVHSVSRKNLFLDAQGNLKVWPEQKLVKDGFIIRKPTKIHSPEEILKVKED >A09g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2699797:2707004:-1 gene:A09g500680.1_BraROA transcript:A09g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKPKKSPGKSPPKGGSSAASPPPPLSDVVDLIIHSDLIVSDAQYGLPAGAVAQQSEDFVDLAANSVDVSSKQIVIVTPLSDPSSVNEISEIALESNSASPQSTSAADHEDPASTACKVASINHSESVTVESSLTSPKSSSTVIPVEQLIGDDKAVPPSPLPLSTAEVIEGPNSLLALAVTEFPRLPAKENSEEGAKAAVRQEGQGKKTRRSRSKGIRTDAVLPKDQEEPRSQKPLTAGGELRWIPKDSPASHAITSGSLQVEVAVNSKLGTDTDRVFGETSGSASLARKELQRSNSRSDQSDVQPDSSDVESSDSELEEADALQDSTWVLPHPRSQQEVDLHSYLTTISLPLSPDIDDSYEWIAGDSPLRER >A02p039710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:25022688:25023035:-1 gene:A02p039710.1_BraROA transcript:A02p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDATRQAAHEAVKQIAQEAAMQDSEEAPRVAAQEVARQMGPAQQQIPCGPQIQVQHGPQIHVQQAAPVRVQGNHQIPGQQPLVQQIPWVLKSPPPPPAFPVCRFSSMLRHLSG >A07p011840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8451720:8453885:1 gene:A07p011840.1_BraROA transcript:A07p011840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFQIWIWICMFLDLEDFWARRLAYDFQKIWIFGRLMGSFLRIFLKYNALEVFHTTSRKSSGGLLGNLPDDFKEVFQTTKKSFDGVLFHSKWSLSLHTRKSSRKSQNFDAIRSNAKLTHALTRRLPCKSSTTKRLTQKKCGRTDLKKKTNFIVSTSEITCLAHKSLLHAPRISNKSDPPRIVSFNGSMNHKKFRIKILCFLG >A02p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5029026:5031271:-1 gene:A02p011580.1_BraROA transcript:A02p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLNRVSRSVSLHRVGPALSFSSLDAQIHGGRLSGTLFPERSLATLAEGGASRFDEMVSANQRKYYLLGGKGGVGKTSCAASLAVKFASHGHPTIVVSTDPAHSLSDSFSQDLSGGVLKPVQGVDSTLLALEITPERMKEEIKSQAGGDKSVKNMMDSMGLGMFAGELGDLNLEDMLNAASPGIDEIAAISKAQYSRFTRIVFDTAPTGHTLRLLSLPDFYDSSISKITKLKKKVTAAASAFTSVFGKKKEIQEQGPSNELDQLKERMEKVRNVFRDVDTTEFVIVTIPTVMAINESSRLHASLRKENVPVQRLIVNQLLPDSQSDCKFCSVRRKEQTRVLGLIQKDTELSGLKLIQSPLLDAEIRGVPALKFMGDLIWK >A05p052380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32393616:32396539:-1 gene:A05p052380.1_BraROA transcript:A05p052380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLLLHGKKCIELHKSSTFRVISVKLLQKEYPFRDPFSSSASTLQSDSVLNLLTSYGFTSTQISKIITTYPRLLTLDAKTSLLPKLQALQSRGASTSDLTEIISKVPKILSKRGVKSTGLYFDFIKDIIHNDGKSSHSSTTTGKQGNKMRNVSVLRDMGVPQRFLFTLLVSESQPVCGKEKFEMSVKKVVEMGFDPATSKFVQALHVFYEMSERTIEEKVNVYNKLGFRVEEVWEMFNKWPYYLKFSERKITQTFETLKRCGLGDEEVVAVMKKRPECMRASEEKIRSCVETFLGLGFSGKEFVSMVKCFPTCVGLSAENVRRKVEFLVGEMKWELKDVVGIPPVLGYSLEKRMVPRCGVVRALVEKGVMSGRGGGGYGGIPPMSSVLACSDKVFLNRFVMKHGRLVPELMAIFDGDKKKGSQGRTAIKNVKGLCVSRSVEKDGKILNEEDVSSASYSYHVASSLDLFFTCCSASRMLSASKAVETLATYGEAPKDCLDLFELLECSICQSGTLPICASFCDRVFEACSDAYFSSDATNQVIVPCGASESIICGKATKWETNGTAFCYALGFTVQSAVEEPCYGSKSSLEPPLVGEEEPLIKTAWFQPLQIYWLVIVIVLRTVCWLEIRGRYQRQMRGLIQRERVVRNMNGIVA >A09p072650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55615590:55616737:-1 gene:A09p072650.1_BraROA transcript:A09p072650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSESAYRIRGATASYLRGKGVVLEEDIRSREYGFYTAMNDVMGCLKKKKEGKEEGVYAIASSFGYLFELVLHLTSAEVNIPVTGLGVGPVTPGDIRKATQRRKHTVLAFQVEVTPKASHLAQTLGVKIICGDTIEHLCQQFQECNKELGEDKKESESDVGTPLCIPHRAFVNIGRVAWIQKDQRPVEFAREGEKVIIKIVAADPKTQQGMLLGIDLDEGDVLVSRTSAVAVYQVEINRQMEKIIELTNKQKNVLIT >A06p016410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7338924:7341401:-1 gene:A06p016410.1_BraROA transcript:A06p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNVCVKPPNSEESTQKPKRPNQNLKPNPYAAGAIVRSPGTTLKDAVIPTSHRTKISDKYILGRELGRGEFGITHLCTDRETREALACKSISKRKLRTAVDVEDVRREVAIMSTLPDHPNVVKLRATYEDGENVHLVMELCEGGELFDRIVARGHYTERAAAGVARTIAEVVMMCHSNGVVHRDLKPENFLFANKKENSALKAIDFGLSVFFKPGDKFTEIVGSPYYMAPEVLKRDYGPEVDVWSAGVIIYILLCGVPPFWAETEQGVALAILRGVIDFKRDPWPQVSESAKSLVRQMLDPDPAKRLTAQQVLAHPWIQHAKKAPNVPLGDIVRSRLKQFSMMNRFKKKVLRVIAEHLSVQEVEVIKDMFSLMDEDNDGRITYPELKAGLQKVGSQLGEPEIKMLMEVVLFRLTPFSYEQLSSLIKNIAQIGLAVTQADVDGNGFLDYGEFVAVIIHLQKIENDELFKLAFMFFDKDGSTYIELDELREALTDELGEPDVSVLNDIMREVDADKDGRINYDEFVTMMKAGTDWRKASRQYSRERFKSLSLNLMKDGPEHNLNSSSSSSPMESFTSASYTLIQ >A10p011760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11668926:11672526:-1 gene:A10p011760.1_BraROA transcript:A10p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UGT80B1 [Source:Projected from Arabidopsis thaliana (AT1G43620) UniProtKB/TrEMBL;Acc:A0A384L9N2] MASNVATHPLQELKDGIISSWKDDEEDNGVYSEKASLLETSGSSVEKNAPGGSSDWPRGLDHCTTAPVGLYGDVLIDDNEIKYSRSLTEKASPVNHNSKLDRLSEREKQKLIVELVRIQNDGTVEVDINRGTPVSELLEFQPIKGGQSTITYERSLAESFRSIPRLKIVILVVGTRGDVQPFLAIAKRLQEFGHRVRLATHANFSSFVRSAGVEFYPLGGDPRELAGYMARNKGLIPSGPGEIAKQRKQLKAIIESLLPACTEPDMQTAASFRAQAIIANPPAYGHVHVAEALGVPIHIFFTMPWTPTHEFPHPLARVPQSAAYWLSYIVVDLMVWWSIRTYINDFRKRKLDLAPIAYFSTYHGSISHLPTAYMWSPHVVPKPSDWGPLVDVVGYCFMNLGSKYQPGEEFIHWIERGSPPIYIGFGSMPLDDPKKTMDIILETLRDTEQRGIVDRGWGGLGNLVDVPENVFLIEDCPHDWLFPQCSAVIHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGDRIYEKGLGPAPIPIAQLNVENLCNSIRFMLQPEVKSRVMELAKVLENEDGVAAAVDAFHRHLPPELPLLDSSPNKKDEDDQPDLLQRFFIQIGKKCCLPCGGV >A10p012920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5501853:5504649:-1 gene:A10p012920.1_BraROA transcript:A10p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUFE3 [Source:Projected from Arabidopsis thaliana (AT5G50210) UniProtKB/TrEMBL;Acc:A0A178UIT6] MALALSVTPTSSSSSSCVLSRNPSPNFKTTLLNFASPRRIHAINPLHRSFQCLQSPSQHLNTSPFTTSAVTSSSSSSQTTELVLQRLVQEFKSLTEPIDRLRWVLRYASLLPPMPDSSRTESNRVMGCTARVWLDAELGQDGKMRFWADSDSDVSKGMCSCLIQLLDSATPEEVMELKTEDLVELNVGLLGGERSRVNTWHNVLVSMQKKTRRLVAEKEGKAPTFEPFPSLLLTSDGIEPKGSFAEAQAKYLFPEEARVQQLVKVLKEKKIGVSAHFYMDPEVQGVLTAAQKHWPHIYISDSLIMADAAVKMAKAGCQYITVLGVDFMSENVRAILDQAGFGEVGVYRMSDETIGCSLADAASAPAYLNYLEAASLSPPSLHVVYINTSLETKAFAHELVPTITCTSSNVVQTILQAFAEMPKLNVWYGPDSYMGANIVKLFEQMTLMTDEEIANIHPKHNLDSIKSLLPRLHYFQEGTCIVHHLFGHEVVERIKYMYCDAFLTAHLEVPGEMFSLAMEAKKRDMGVVGSTQNILDFIKKKVQEAVDRDVDDHLQFILGTESGMVTSIVAVIRSLLGSSANSKVKVEVVFPVSSDSMSKTSSPKGPSSINVGDAVLPIVPGVAGGEGCSIHGGCASCPYMKMNSLNSLLKVCQNLPDVENVIGGFKAERFKRQTPQGKLIADVGCEPILHMRHFQANKELPKELLDHVLSREGKR >A05p002050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:604540:607933:1 gene:A05p002050.1_BraROA transcript:A05p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 14 [Source:Projected from Arabidopsis thaliana (AT2G46880) UniProtKB/Swiss-Prot;Acc:Q84LR6] MNRNLRNAIIAFLAPLPSIVFYLSFLRSYSPTSDPELSHIHSWCSNHPLLLANLLFFLNVNFLFWVIGLLQSSHWMIDVYWTVIPVMLVHYFASHPLGEYNKWRSMVVVILTWVWSVRLTHNYFRRENWEWGAREDWRFNDLRKQYGKHWWWLSFFSVYVSQQIFLIGICLPLYIIHSVDAPLNIWDFVSSAICLTGIVMAYFADTQLHEFVTQNQKLKEQGKPKIPNLDTGLWYYSRHPNYLGEQLWWWGLVVFAWNLGQGWTLIGALVNTLCLVYVTILVERRMVKQEYRAEAYRAYQKTTSVWIPWFKSQVAAAVITYSPNKNKPTMGKILALFYVSLICLGLSVSPVDAYGRRQLRFNSNGRFKILQVSDMHYGFGKETQCSNVTPEELPYCSDLNTTSFIQRTIASEKPDLIVFSGDNVNGMCESGDAAKTMNMAFAPAIEAKIPWVAILGNHDQESDMTRETMMKHIVKMPYTLSEVNPFGSGIFPIDGFGNYNLQIEGPFGSPLFFKSLLNVYMLDGGDYVKLDGFAFNYDWVKSSQVNWYEHASKWLEMEYKRWPFPQKSTAPGLVYVHIPVPEFKRFTEPRQMTGVRQEQTCSAPINSGLFTKLVERGEVKGIFSGHDHVNDFCATLNGVNLCYAGGSGYHGYGKTGWARRVRVVEAQLEKTKYGRWGAVDKITTWKRLDDKNHSLIDTQLLWSKNTTLDTNYRFKCNKIKQH >A09g518890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57742329:57749169:-1 gene:A09g518890.1_BraROA transcript:A09g518890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04p008360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8361607:8363120:-1 gene:A04p008360.1_BraROA transcript:A04p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKHNTTHDCCVRREIKNLLSLAFGDLYNRQCLPLFSLSLYLLYTVAKQSMERLNSKLYVENCFIMKENERLRKKAELLNQENQQLLVQLKQKLSKANKNPNGSNNDNNVSSSSSASGQS >A03p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8207423:8209041:-1 gene:A03p020010.1_BraROA transcript:A03p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSSLLKRAAIAVVSVIAIYVILNASVSPALPSSSDLIREEDEQPRAPIHPKVKVYMYDLPNRFTHGIIEQHAIVRGGIKDDVTALKYPGHQHMHEWYLFSDLNRPETDRPGSPITRVSDPADADLFYVPVFSSLSLIVNAGRPVDPGSGYSDEKMQEELVDWLESQEWWRRNKGRDHVIPAGDPNALYRILDRVKNAVLLVADFGRLRPDQGSFVKDVVIPYSHRVNLFTGEIGVEARNTLLFFMGNRYRKDGGKVRDLLFQVLEKEEDVTIKHGTQSRENRRAATKGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDVIDYRKFSIFVEAGVALEPGFLVGMLRRIGTEKVLEYQREMKQVKRYFDYDNPNGAVKEIWRQVSQKLPLIKLMSNREKRLVLRNSTEPDCSCLCTNQTGLVTSV >A08g507110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12330931:12331293:1 gene:A08g507110.1_BraROA transcript:A08g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLQLVCCAEWQMSLESTYFSCHFTSLHHSVYPSAWLLIVNWLHNAPGDRFSLLALLQIWQAAIYVIWHEGNARMHSGLTISHDAVADKAIALAYDRCRAMISLGSPLGPLLLNVWMS >A05p027990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:286382:287762:1 gene:A05p027990.1_BraROA transcript:A05p027990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWKVTLLHFGELPTTKELLDKEGAVWIRFPQAREAGDRLDLNMDMKHMSGRSEKLEEENKWVLSRVVKTALKSCGIWSNHIKVEPLKGRAAEESQTASLEKIHVKVEPLKEVAAEEGQKVRQQACGKAEMGMVGARCVEAKHIRSESDSRKAGTRCCINLLVDGICKLVQHVCEKNKRSTQWKGGTSCKRRRLRKLSKVWFMMRGPWREESESDDLRHMMGLKGIKDVVHQMVRGECLYSAYMGESVEDSGVLKEQEKGSGADDHITRKEWRVFMELLR >A10p005300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9244151:9244456:-1 gene:A10p005300.1_BraROA transcript:A10p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKLIVLEPRNNGYYSLLISMYAGENGWRDVAEVRGRMIELGIEKICPGASWIQLDKRVHLFAAADTSHSTSDEVYLLLDEIYEHMRLAQELSMHIKSY >A06p007630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2639074:2640240:-1 gene:A06p007630.1_BraROA transcript:A06p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purine permease 16 [Source:Projected from Arabidopsis thaliana (AT1G09860) UniProtKB/Swiss-Prot;Acc:O04508] MEEFQGPPEPGRHITSEIRSLALKQRKWWISVFFFVFLILAGDSLVMLLLNFYYVQNNRSENDQDQQYRGTWTQALLQNVAFPILIPLFFILPKPETVSDQTNTRHPPFLSVLLLYLSLGVLVSVYSKLYALARLYVGWGILVPAQLILTSLFSAFINRLKFNRWIIISIIFTLAADFFCAPAFSGTPTEDESYTYGIKAWLILIFPTLAFSLSLSLTQLGFEKVLAKTKRYGGNNKKVFRMVLEMQIFVSFVATLICLVGLFASGEFNELKGDSERFKKGRTYYSLSLVGLALSWQVWSVGLLGLVLLVSGLFADVVHMCASPVVALLVVLAFDFKDDDGFGWQRRGALLGSVLALACYFYYLHKTKKKEMAELNKRENNNNNSEEA >A02g511990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32474409:32474844:-1 gene:A02g511990.1_BraROA transcript:A02g511990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGKVYEKVCEMCHITLNKTAIFGDNGTISPGGTPAATTRGRIESDFETIADFLMKATHITSASQRKHA >A06p013080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5925034:5927625:1 gene:A06p013080.1_BraROA transcript:A06p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g15510, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G15510) UniProtKB/Swiss-Prot;Acc:Q9M9E2] MASSSQTPHFHLHPSKSKPFHRKSRNHRNVNFHRNFGIRKLILRKSQGLSVLSSSSSSTHFSNSQLHGLCANGKLDEAIKVVASMRELRVSVDEDAFVALVRLCEWKRAHEEGSKVYDIAMGSMSSLGVELGNAFLAMFVRFGNLVDAWYVFGKMSERDLFSWNVLVGGYAKQGYFDEAMCLYHRMLWVGGVKPDVYTFPCVLRTCGGIPDLARGREVHVHVVRYGYELEIDVVNALITMYVKCGDVGSARLVFDRMPRRDLISWNAMISGYFENGMCCEGLELFFAMRGLSVDPDLMTMTSVISACELIGDGRLGRDIHAYVITSGFAVDMSVCNSLTQMYLYAGSWREAEKVFSRMERKDIVSWTTMISGYEYNFLPGKAIDAYRMMDQDCVKPDEIAVAAVLSACATLGDLDTGVELHKLAIKARLVSYVIVANNLINMYSKCKCIDKALDVFHNIPRKNVISWTSIIAGLRLNNRCFEALIFFRQMKMTLQPNAITLTAALAACARIGALMCGKEIHAHVLRTGVGLDDFLPNALLDMYVRCGRMNIAWNLFNSQRNDVSSWNILLTGYSERGQGSVVVELFDRMIKSRVRPDEITFISLLCGCSKSQMVKEGLTYFSRMEDYGVTPNLKHYACVVDLLGRAGELEEAHSFIQRMPVVPDPAVWGALLNACRIHRDIKLGELSAKRIFEVDKESVGYYILLCNLYADCNKWREVAKVRRMMKENGLTVDAGCSWVEVKGKVHAFLSDDKYHPQTKEINTVLERFYQKMREVGLTKTSSMGEAEISRDEIFCGHSERKAIAFGLINTVPGMPIWVTKNLNMCESCHDTVKFISKTVRREISVRDAEHFHHFRDGECSCGE >A09p063160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51379872:51382526:-1 gene:A09p063160.1_BraROA transcript:A09p063160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLISSTSNICRVKPKLKDGSFSVNRFIPRPDFQFFSGLSETNRKKKTCGVSVKCLAVKREQVVESVERVKGTIFPKKAMMSEGRDEDEEYGKLVCPGCGIFMQDSDSDSPGYYQKRKVVVKSLEEVTEDELDGFEMVDDDDEEEEEDDDITNVLENSDSESEDEFDWESDEWEEKEEGNDVELDGFAPAGVGYGNVTEEMVEKKRVSKSERKKLAREEAKKDKDDDDVTVCARCHSLRNYGQVKNQAAENLLPDFDFDRTISTRLIKPMSRNSSTTVVVMVVDCVDFDGSFPKRAANSLFKALQKAENDPNVGKNLPKLVLVATKVDLLPSQISPARLDRWVRHRAKAGGAPRLSGVYMVSARKDLGIKNLLAYIKELAGPRGNVWVIGAQNAGKSTLINAFSKKDGAKVTRLTEAPVPGTTLGILRIGGVLSAKAKMYDTPGLLHPYIMSLRLNSEERKMVEIRKELQPRSYRVKASPLLLIYKAGQSVHIGGLVRLDLVSASVETIYITVWASHSVSLHLGKTENSDEIRKSHSGLRLQPPIGEKRASELGNWEEKEIKVTGSSWEVKSIDVAVAGLGWFSLGLKGEATLALWTYQGIDVTLREPLVIDRAPFLERPGFWLPKAITEALGTYSSKLDDARRRKKQQDSTDFLSDV >A07p049430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26246804:26248774:-1 gene:A07p049430.1_BraROA transcript:A07p049430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 10 [Source:Projected from Arabidopsis thaliana (AT1G76700) UniProtKB/Swiss-Prot;Acc:Q8GYX8] MVKETEYYDVLGVSPTATESEIKKAYYIKARQVHPDKNPNDPQAAHNFQAIPKFRFCLIVLGEAYQVLSDSAQRQAYDAFGKSGISTDAIIDPAEIFAMLFGSELFERYIGQLAMASMASLDIFTEGENDMFDTKKIQEKMRTVQKEREDKLAQILKDRLNEYVVNRDEFITNAEAEMVRLSKAAYGVEMLNTIGYIYVRQASKELGKKALLLGVPFVAEWVRNKGHYIKSQLTAATGAFALFQLQEEMKKQLNGEVNYTEEELEEYLQSHKRVMVDSLWKLNVADIEATLSRVCQLVLQDPDAKREELRARARGLKTLGKIFQRAKTASESEPIARTEPEKLNEDERDYDDDASTSPKSNEASHSTYGTQEQKSPYVEEPKLGDEQFKHYFPRPAPPPGVEKHTSSTGYD >A08g506240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10711630:10711824:1 gene:A08g506240.1_BraROA transcript:A08g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANRKRPCKTTASTAVNTKMRIANALASPRKRVAAKISTRHGDNNKQPESKVSSNQHLGHQKT >A09p081140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59149390:59151943:-1 gene:A09p081140.1_BraROA transcript:A09p081140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVNGDGAREKKQSIRVYTRKGKGQRKHSPYFAFDGGDKPENNHVSPPETLARESLDPLHEARATAPDARAILDDVNTVVVDENSIKELPKSLSLEDATTVVVDKKAIEAPSEDVVDKNLIEVSSEDEEYVHEDHLIKETHPENAAAESVPMEEDVDGRIRIHVASKSKQQKEEIRKRLEDQLNVVRGLVKKIEDKEGEINDSPLTNGGGRILSGFASAGLPREVIRVPRALNQLSISVLENTQGVNDYVEKEKRTPKANQFYRTSEFLLGDKLPPAESNKKSKSSSKKYGGEAGHGFGAGSKVFKNCSALLERLMKHKHGWVFNTPVDVKGLGLHDYFTIIEHPMDLGTVKSTLTNNMYKSPREFAEDVRLTFHNAMTYNPPGQDVHIMAQVLLQMFEERWAVIESDYNREMRFVTAYEMNLPASTMRSRLGPTMPPPPINVRNSTMDWSSRPADVQHPKPNTTTPGRTPTSARTPALKKPKANEPNKRDMTYEEKQKLSGHLQNLPPDKLDAIVQIVNRRNTAVNLKDEEIEVDIDSVDPETLWELDRFVINYKKGLSKKKRKAELAMQARAEAERNGQQQMAPAPVAREFSREGGNTAKKTLPTSLPSQVEKQNNETSRSSSSSSSSSGSSSSSSGTFGQRQLFFVIWVRSDLETTVQEDLVLTNMAFEKMED >A06p038600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20764089:20769299:-1 gene:A06p038600.1_BraROA transcript:A06p038600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQESKLFNTMGSSSPLKRRNRAPSSVFSLFLIFLCLLDSSNAQSTPVFACDVAGNPSLAAYGFCNTAIKIEYRVADLVARLTLQEKIGVLTSKLHGVTRLGIPTYEWWSEALHGVSYVGPGTHFSGQVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLSSKYATRYVKGLQETDSSDANRLKVAACCKHYTAYDVDNWKGVERYSFNAVVNQQDLDDTYQPPFKSCVVDGNVASVMCSYNKVNGKPTCADPDLLSGVIRGEWKLNGYIVSDCDSVDVLYKNQHYTKTPEEAAAISINAGLDLNCGYFLGDHTEAAVKAGLVNEAAIDKAISNNFLTLMRLGFFDGDPKKQIYGGLGPKDVCTPANQELAAEAARQGIVLLKNTGSLPLSPNAIKTLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAGTVHTTYLPGCSNVACSVVDVAGATKLAAEADAAVLVIGADQSIEAESRDRVDLNLPGQQQELVTQVAKAAKGPVFLVIMSGGGFDITFAKNDAKIAGILWVGYPGEAGGIAIADVIFGRYNPSGRLPMTWYPQSYVEKVPMTNMNMRPDKSNGYPGRTYRFYTGETVYAFGDGLSYTKFRHSLVKAPRLVSLSLEENHVCRSSECQSLDAIGPHCDNVVSGKGGTAFEVQIKVQNGGDREGIHTVFLFTTPPAVHGSPRKHLLGFEKIRLGKMEEAVVKFKVDVCKDLSVVDEVGKRKIGLGRHLLHVGDVKHSLSIRI >A08p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23709070:23712054:-1 gene:A08p042190.1_BraROA transcript:A08p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKSMNPFILALAFFLSSVCLAQERSFFSGNLSDSETIVSSLGTFRFGFFTPVNSTSRYAGIWYNNIPIQTVIWVANKDKPINDSSGVISLSEDGNLVLTDGQRRVLWSTNVTTQARANSTVAELLDTGNLVLKDDNSETTLWESFRHPTDSWLPNMVVGTNARASGENITITSWKSPSDPSPGSYTAALVLAAYPELFIMNKDDNNATVWRSGPWNGQMFNGLPDVEPGVIFLFRFTVNDDTNGSVTMSYANDSTLRYLYMDYRGSVIRRDWSEARRNWTVGLQVPASECDIYRRCGPFTTCNPRKNPPCSCIKGFRPRSLVEWNNGNWSGGCTRRTPLQCERLNNNGSADGFTRLRRMKLPDFAIRSEASEPECLRTCLRTCSCIACAHGLGYGCMIWNGSLVDSQELPAGGMDLYIRLAHSEIILLILLLLAYSLLETPDRRPVIIASSLAGGILVVAACGLMARRLVMKRRARKKGRDAEQIFNRVEALAGGDKAKLKELPLFEFQVLAAATNNFSLRNKLGQGGFGPVYKTLYVSCSGYMSPEYAMGGLFSEKSDVFSLGVILLQIVSGRRNSNSTLLAYAWSIWNEGEITELVDPVIFEQVFEKEIRKCIRIGLLCVQEAANDRPSVATMCSMLSSEIVDIPEPKQPAFISRNVVAPEAESSENSEPKASINNVTITDVSGR >A01p004350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1863093:1864165:1 gene:A01p004350.1_BraROA transcript:A01p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFHLDRENTLSVSLFSDVTNSKELLNSMLDGSLKLEVSFLNALLIPDVFPLLAAGQKALISKSRDSLSTRTLHSELVYNYSGSKHITESLKRCGISETSTYILAARFNASPLEMEEVAKLINGKEIDLEELKTHANQAHILKHYKITSQELGISSLEDAIVCRIAARDAL >A06p056870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29590781:29595651:1 gene:A06p056870.1_BraROA transcript:A06p056870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSVVFQLTPTRTRCDLLVTANGKTEKIATGLLDPFLAHLKTAQDQVSKGGYSIILKPEDSDIAVWFTKATIERFVRFVSNPDVLERVYTLETEIKQIKEAIGIQNNSEMALPVVEDDLRAKRAENTEGSRPLLQLSEEKAIVLYEPGSHPRQANGSTASDENSKVQVLKVMETRRTMLQKEQGMAFARAVAAGFEVDDMLPLISFAKSFGASRLMDACLKFMDLWKKKHETGQWVEIEAADVMASQANISAANDSGIVFANDVKSPSDSKPNVNQEHAQGQHPQQPMYAPWPVHSPPGTFPVFQGYAMQGMPYYPNYPYPSPYPSTDDSRRSSGGRKAKKHHSSCSEDSGSEDEEDREKGKSGRRRKSGKVVIRNINYINSKKQDHSGTESDADEGAIVECDNGKERRTDADTGDWQAFQTFLLQDADREDRTADHMMEKEVAGKKRQGAGRYDPLAYGEREAEKYQERDEADIQNGTAARKFRGSSDSFMVRQRENGFEDSSDPLNLNGFDHPRNGLDKRSSFNMDDDSYIATRESGSNTRNAIDIGSEISSYHQTDGNERKQINYEPHDLSLMPERETEKLSAGYDPALDFGSRALKKNNNQAAGVAKKSVRDPKSRLSNDAADKRKASGPIRKGRPTKMSPLDEARARADKLRNFKADLLIMKKEKEEEERKRIEALKIARQKRIASKSNSAGGQSQLPARKKMVNKFSPGAPRASKFSDSEAGSLSPLQRLPIRSASLGSNESQRLPKSGNKLSTGSKSTVNRLTRSISPLPPSKRETIATVNRVTRSASPLPLSKREARVSLDSQNKSVSRIRRLSEPKIGNSSAPSSSARSLRTTASKKATDTPEIKKISAIVNYDIAKIASLPELKIKPPKGPTNVVVKGVEKVKSSASEAEPSGSKNKPLGQNDVNETPVVEKTVVMVLPNSARSISADQTKRDKSEVVPETTPESGNDLVLVSSTLVLARSVRLETLSDLVTETPKFLTSQSVAVKPYEAPHARVSSLEDSCTVYSEYSQAPAPSVHSNEAALETGKVLVPEKKISEALEKSQTKESASKGLRKLLKFGKKSQSSSSTSEYHTESDNAAGNSNEDHGSAITAATTSEAFTLKNLISQDETPTAAAASQKSSRHFSLLSPFKNKKTVS >A08p000460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:248852:250159:1 gene:A08p000460.1_BraROA transcript:A08p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSAYRVDTISRLAQWRILNLSSSTYRKSDPFKMGLWNWHLSVEKSKMLLNVKLYPEVSSLSRENPPVASFVLRVVSSTGERKAFTHPEVIDKRIKTNEDFLWTIEVPLTGKIIIDVEFLDLKVLSQDSGEFYSIWADGSTQNQSEVTAVTSLGRMLTESIYTDITINASDGSIGAHRAVLAARSPVFRSMFLHDLKEKELSAINIPDMPLEACRAFLSYIYGNIQNEDFLTHRLALLQAADKYDIADLKDACHMSLVEDIDTKNVLERLQNAYLYQLPELKASCMRYLVKFGKIFEIREEFNVFMQCADRDLISEVFHEVLTTWKGF >A07p028940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16296038:16296908:-1 gene:A07p028940.1_BraROA transcript:A07p028940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQRVTVKGNVEPEAVFQTVSKTGKKTSYWPLEAEAEPKGEAEPKAEAEPKAEAVTETKTEAETKIEAKVDAKADVEPKLAEAETKPSEV >A09p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24750364:24751597:-1 gene:A09p036890.1_BraROA transcript:A09p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVVISTVASCNDSNIIGSSEDPMWLLDWDRVIVGIRIDECQAHGLQPPAHLFSNLLHYANDPPGHAGLHCCPKQVSKVQPLLAVQYRSMSELECRSMSGEGYRSTEGLCCRSIGVSENLSTGLVLGSTVVDQNRVTRKCCCWSIGSALPYGSCVPNLRDLVRI >A05p002580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:879080:883104:1 gene:A05p002580.1_BraROA transcript:A05p002580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMERVGEETVGSNNVQLKGGRVDDVPCTALHSESDAGRSDCPGSSAPPGDKISVEELTYRAAVQGTSHNHMESSRPAGKFEHLYRLGSSAFRGGDVDSQPRDVDQMLSRIRQQLAGAPSERQNLVKPFVNKRSDQNLEAFSERLRAAGGENSVGPAWISDGVQLKMTPLSSSSFSQLILKRAMKGKGVVGKNQDAPPPEFDNSSKSPVAQEPIPKGNGIVSHGQGNHTNNSSSGGISLREFIRSSYGKREKRHSLSLFRQLVELIGSAHSQGLFLLELRPSLFALVPSKKLRYNGTFGKSNVESDVDEDLNRKRHVVHESSVVGRDLKKRRMDLNAPGNQLLQATLTGRPCKRKSPLIDLNVVDVRNPDSCELQQQSYMKNLGVPSVTRKQSMSTWLEEQWYTCPEEINGEDIGEKSNIYALGVLLFELLCHCESSEMHAAMMADLRHRILPPAFLSRYPKEAGFCLWLLHPEPSSRPTAREILKSELICVEDPVKSTAADEEISELLLHFLSSLEKQKKKNASKLLQDIQTLEDDIKEAERRYSSKASLVRSHEIIESKVQSSPIGERCTTTSGALFVPTANTDRLMSNIRQLEEAYFFMRSQMKLSDSAASARSDKSLLKDKDKWSENQNKDQDARTKGKSSDQLEVFFEGLCKFARYSKFETCGTIRSGDLLNSASVVCSLSFDPDEEHIAAAGISKKIKIFDFNAFMNESVGVHYPLVEMVNKSKLSCVCWNSYIKNYLASTDYDGVVQIWDAGTGQGFSQYTEHQKRAWSVDFSPSDPTKFVSGSDDCSVKLWSVNEKRSLGTIWSPANVCCVQFSAYSNHLLAFGSADYKVYCYDLRYVKTPWCTLAGHEKAVSYVKFMDSETIVTASTDNSLKLWNLNKTNSTGLSTGACSMTYKGHTNQKNFVGLSVLDGYIACGSETNEVYSYYRSLPMPMTSYKFGSVDPISGNEYFDDNGQFVSSVCWRKKSNMLVAANSTGNMKLLKLV >A06p047670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25300824:25303244:-1 gene:A06p047670.1_BraROA transcript:A06p047670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDRFGFSLMRTELAMYEPIKSTDDGDQWREKKDIESASSLQISSHHRPYLPSDKERLVSLDVFRGLTVALMILVDDVGGIFPAINHSPWDGVTLADFVMPFFLFIVGVSLAFAYKVNLSCKYAATRKALLRSFKLLSLGLFLQGGFIHGLNNLTYGIDIEKIRVMGILQRIAIAYLVAALCEIWFKGNHNVTSELSMIKKYKFHWVVAFVITTTYLFMLYGLYVADWEYQISTQDQGSTTFLVKCGVRGNTGPGCNAVGMLDRMLLGIHHLYRKPVYARTKQCSIYSPHNGPLPPGAPSWCQAPFDPEGLLSSLMATVTCLVGLHYGHIITHFKDHKRRLNQWILRSFCLLMLGLALDLFGMHLNKPLYTLSYVCVTAGASGFLFSTIYLMVDVYGYKRASLVLQWMGIHALPIYVLIACNLVFLIIHGFYWNKPTNNLLHLIGIGK >A06p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:215367:216693:-1 gene:A06p000620.1_BraROA transcript:A06p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVSERIDRLLSEEASTSDEIPLDLCFSEDGRSGTFMIGNEEFPASLLDLPAVVESFKTYDDSALVKTADIGQMIMVREPGDPTPNTVEYRHGLTPPMKDARKRRFRREPDLNPELVQRVERDLLNILSGRTIENGNEQQEETVANENANKKVSSSSPATPVEKPEEAAETGTNNNNNTPAEAEQERSDSEDSDDSM >A02p011380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4917778:4919589:1 gene:A02p011380.1_BraROA transcript:A02p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVIVVVKAQLVPSMFIFAENLGFTSYPQAYLSKKAKGRNLLIGANFASAASAYYDGTAKLYSAISLPQQLEHYKDYINRIQEIATSNNANATSIISDGIYVVSAGSSDFIQNYYINPLLYKNLYSLGARRIGVTTLPPLGCLPAAITVAGPHEGGCSESLNNDAISFNSKLNATSQDLKRNLVGLNLVVFDIYQPLYDLATRPSEFGFAEARRACCGTGLLETSILCNPKSVGTCTNATEYVFWDGFHPTEAANKILSDNLLLSGISLIS >A09g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11685597:11691253:-1 gene:A09g503740.1_BraROA transcript:A09g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKIVAEYSVIKENFSSITVDLLPANFLLRCVWLSFHGEIQIRMADSLQKAISAMTLEEEEPLNLGDDPRFRRKSNAEQWDTPPGFPPLFPELSAQDRRMAMMYISHSDETERLARIERVKQGIAENQAESSVRLTKITNNLDKGKRHVFCFPEPTTKRLQLTLGSHVQPLATGEIATSETEAESSTAHGTTLSAPATGPTGFRIGLSPEGRVTGTQSTSKSQRKRPPSWKRKTTTKSTQNLAPAEPRVSVSAPAVPLVTKRNWEGEGDNQGCTMDRISRCRRKIMEWRKRNDMNSKEKIVRLRADLEQETCDLMTEDLSSWDIRKVREVIAEEDVRHVLSIKCQRFREDRWKWGFTRNVWLRLNSFIPNVATEIVCDETISNRWTKPDVGVVKCNVGSAWSSSNGHGGMAWIVRDSHGEALFHSRRSFVGIRSQLEADLVALVWAVEAMRDLHLNRVTLEFSSSMSSGTLSTSNLPQSLQSHWRSFNRSIGQLEVCKLVLTSPNGNLIASAIAESALHIQHHQSYMSANGPGWLESRIRSEAMAVV >A04p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14206792:14209504:-1 gene:A04p023400.1_BraROA transcript:A04p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 14 [Source:Projected from Arabidopsis thaliana (AT2G23450) UniProtKB/Swiss-Prot;Acc:Q8RY67] MMTVTALNLILIVIVIGGSVSSSPERCDGACGGLILPYPFGFSHGCPIRFDCSAAGEARIGAFSVQNVTEESIFVRVPHDCYRSVEAMKPLFGDNYAPTSENSFLMEQCTNATDGCSIKQRFLETQLKLQSCEVQGNVSCFSADTNSSSTKFFSMKDLRKSSCKMLFSSIAFESVGASLGIALEFERVRLGWWLKGGCGAGHSTCEAIANCKEVDTPDGNAGHRCSCPEGYLGDGFIESPCKKALPNCRGSKLVWGHCRSSLAIVVGGTVGGAFLLAGLALLFACKQKRSASLRSHLNAKRFLSEATGNSNVAFFPYKEVEKATNGFSEKQRLGTGAYGTVYKGKLQNDEWVAIKRLRHRDSESVDQVMNEIKLLSSVSHPNLVRLLGCCIDQGDPVLVYEFMPNGTLSEHLQREIGNGLPWTYHQCFHLSDKSDVYSFGVVLAEIITGLKAVDFTRPHTQINLAALAVDKIGSGCLDEIIDPILDVSLDAWTLSSMHTVAELAFRCLSFHSDMRPTMTEVADELEQIRLSGWIPNMSLDSPTGSERSASVKKASAGSRRLVVPPKQPDILAFVEEKDDCSPVSVQDPWLSAQSSPSTNTLLGNIPR >A05p020810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9850981:9851220:1 gene:A05p020810.1_BraROA transcript:A05p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRLFCCFVSCTKIRPSSPPPHVVETSKKVPPKVARTEKKKPRPSPRPPVKASGRRKRYGKDAGGVAGCGGGCGGGC >A02g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18761057:18761935:-1 gene:A02g506720.1_BraROA transcript:A02g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELVQGRKLIGASATVSGQARSKIGCAVGSVGSSVKSDLEVDQEASSMEPGNEVACGTKGKEIEVRQEVILVCNQAGGEWRCSDPEYAYLMGEKSMVWCTSHGEEKHIWCGSFQVRNMVATWLMNQKIVVLDRHTKLKGGD >A04g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9455431:9456402:1 gene:A04g504540.1_BraROA transcript:A04g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTKIQNATDTLRPPSTDRRLPQWINSRQSPSLDSHHHASIDNRLAASIDTNQSRSHIIKFQPNFHNREEIDQLVEGIYRVLETTEERLDGRCDDIYFPMDLTISALTSKVEAIQGELVDIQSYIARRPKATHQRTEEEEVVDNEGEEDVNYIGGTGFQRSGNQGGNINYFGNDQRSNQSSQFQKPFSNNSRGYGNSYYQNPPPQTQKNKIEAMLDRVLEGQQQFIVDFNGKIDSAYNSLNTRIETLRTQIRKLEMQVTQTRNTVKRQETLARKAGVEKAKHHVNAIIP >A04p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10683441:10687614:-1 gene:A04p017610.1_BraROA transcript:A04p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNEVDDLGYEDEPAEPEIEEGIEEDADMKDNDDINGEPLETEDKVETEPVQRPRKTSKFMTEYERARILGTRCMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSFEEWGVDELIVEDSWKRQVGAYPSFPYTPTPTPSKTRTRSIHGTTRSSISFLLLILFSLRSLYSLLPFLRSSPSFSLFPFSFLVSLLSFLFSLAFTLFSPSKKDSFLRHNRSVFSITLSQTKLILAKSVFLAVVFLLRFQALRYCSAAAMILAELSGTVSARVLSGDHGSSKVRGFCILFAGLLLLSISWDRVDCFPFSSSSLQNCLRIWPMLLPFLSGFLGCYEKVSMNWVEIKQLDQKRVRLITLFLTTVLLFPLALWSFVESDEAGVSFGNLGWPLANTVVFGVLLSESYNDDKLKKDSEREFLVTFLCTIVLELFYFPELSLWGLLLCGFLLYVAVRELDSSDYQEIGMESPESFSAVFMKPIRHILSEKKSRKIALFLLINTAYMVVEFVAGFMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANHQFNYGRGRFEVLSGYVNAVFLVLVGALIVLESIERILDPQEISTSSLLVVSIGGLLVNVVGHTHEEHHEDNHSHGNDHGHHHHSDHKPAKGEIKEHHHIDHNMEGIFLHVLADTMGSVGVVVSTLLIKYKGWLVADPASSIFISILIIASVIPLLRNSAGILLQRVPKAHEHDLREAMRNILKTKGVCSIQRLHVWSFTNSDVVATLHLLVSGDSDKTDTKLQVSHLLEDAGVKDLTLQVEFVNS >A02p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3917163:3919230:-1 gene:A02p009280.1_BraROA transcript:A02p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGRDTGNNNGEPTYENGVSAEASVKASRHPPASPPPATKQGPIGPVLGRPMEDVKSSYSLGKELGRGQFGVTHLCTQKATGLQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKPGEEFKDIVGSAYYIAPEVLRRKYGPEADIWSIGVMLYILLSGVPPFWAESENGIFNAILSGQIDFSTDPWPAISHQAKDLVRKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDDSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMNDGRDIKEIISEVDGDNDGRINYEEFVAMMRKGNPDPNPKKRREMSFK >A09p077250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57247381:57257339:-1 gene:A09p077250.1_BraROA transcript:A09p077250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Factor of DNA methylation 4 [Source:Projected from Arabidopsis thaliana (AT1G13790) UniProtKB/Swiss-Prot;Acc:Q9LMH6] MSGRDRISGLPESLLTQILSHLPTKQSVQTSVLSKRWENVYLSVPGLDLDCSVLPNYDADEVILSFLSFIDKLLEFSPELSLLKVKIKCRNTMIDGFTDRIGTMIDRGTQHLDVVSSTDYYEDTLNDLLPVVDFMPMNLLTSKTLVYLKLSSSGLRDPGFVSMPCLRFLHLEEVKWLVHLEKLVSGCPVLEELTLVRDLDDEYSRRHEEFTAMRVRSRSLKKFRVPLKHRWDCSSEVRCTVEIDAPGLEHMSLGEDQFDSVVVKKLSCLLVVELDIKFVVNFGEFFDPSDASKRTEIRAFLNGISSVRHMIISAKTVKALDLYSKEGMIPKFNNLSRLEAVFHGKLLQFLPAFLECCPNLKHLILKVVHSEEMDEGLELADVPRCVSSTLECVEIQEKLELEEGKMKATSYFLGNSAVLKKLILSPTAYDPRYVVESEIVDKVNKLTKRSTGCEIIIRAMEEFRFQTKSCHFSLSLSRFRSLPPIQSSGGSKPIHGFRFVMSGMDRISELPESLLTQILSYLPTNQSVQTSVLSKRWENLYLSVPGLDLNCSVIPNYDADEVILSFLSFIDKLLEFSPESVLFKVKVKCRNTMIDGFRDRIGMMIDRGTQHLDVVSSTHCLEDDNFHYPIVDMMPMNLYTSKTLVYLKLSSSGLMDPGFVSMPCLKFMHLEEVKWRVHLEKLLSGCPVLEEMTLSRDMDDDYAIGNEEFMVMRVRSRSLKRFSVLPLRQARDYHSRVECTLEIDAPGLEHMSLGDDQFDSIVVKNLASLLVVELDIKFFVKVGVLFNTWDVSKSNEIREFLDGISSVGHMIISGMTVHAFEHYSKAGIIPKFNNLSRLEAVFHGKLLQFLPAFLECCPNLKHLILKVVHSEEMDEGLELADVPRCVSSTLECVEIQEKLELEEGKMKATSYFFGNSAVLKKLILSPTAYDPRNVLESKIWEKVNKLAKRSAGCEIIIRAMEEEVEMYSRRELDDLEYRYYSEMKDGTRKVKISDSLFRCPFCYIDRKRDYDFDDLLRHAYNISGSSRTKDGARHLALERYMKKYLRPLERPSSDVSSLPTEVLTGSWITAGSSSSATGEVNSSVVKSSSPCIAEAEPMSVSGGDVPVPSGEERQMFSPKHNSSLSNQDNTHPSKRACLTAGGKEGEEPVQQSGLSHGAPRYPQRRDPLAARNDGLMFVHPWKGILANISRTFNEKTRKFAGESGSKIREEFVSKGFNPHKVEPLWNGRVGFTGFAIVYFGKDWEAYRNATMFEKHFEVNQCGKRDYDSARDRGEELYGWIAKREDYYSRTVVGDHLRKQGDLVTVSGKEAEQQRRAFTLVSNLENTLETKSTNLEEIESKYKETSTELQRSMKEKDEMINAHNEKMSSMQQKARDYLASVKNEHEKAAQHLEAQRKEFEERERYLDKCQTLNKTERRKLQWQKQKNLMATEEQNKADEEMTRLAKQQQREKDELRERVKKLEKKLDDELALELEIERMRGGLQVMGHMEDPDMKEEIEKTKEKLREKEEESEFQESLYQALVVKHGYTNDELQDARKALIRSMQELTLRGQISVKRMGALDEKPFQKLTKERYPAEEADVEAAKLCSLWDNHLRDSAWHPIKVVLIDGNPKEVLNEEDEKLQELKKGLGDEVFEAVTQALMERNEYNGSGRYIVPELWNFKEGRKATLKEGVVYLMKLWTHLKPKPKRK >A09p081250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59191302:59196533:-1 gene:A09p081250.1_BraROA transcript:A09p081250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-9 acyl-lipid desaturase 1 [Source:Projected from Arabidopsis thaliana (AT1G06080) UniProtKB/Swiss-Prot;Acc:O65797] MSMSSEEENHRKNVEDKAEMGRRKRAIWERKWRRLDIVKAFASFFVHFLCLLAPFNFTWPALRVALVVYTVGGLGITVSYHRNLAHRSFKVPKWLEYLFAYCGLLAIQGDPIDWVSTHRYHHQFTDSDRDPHSPKEGFWFSHLLWLFDTGYLVEKCGRRTNVEDLKRQWYYKFLQRTVMYHILAFGFLLYYCGGLSFLTWGMGIGVAMEHHVTCCINSLCHIWGSRTWKTNDTSQSWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLATDVKLPSESQRRRMALSAMDDTTKEDGSGSSRKPVRKEKRSYIFRKWTWIDVMKASSVGTVHLLCVLAPFNFKWEALLFGVILAIMSALSITFSYHRNLAHRSFKLPKWLEYSFAYSALFALQGHPIDWVSTHRFHHQFTDSDRDPHSPIEGFWFSHVFWIFDSSYIREK >A03p023070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9727615:9728754:-1 gene:A03p023070.1_BraROA transcript:A03p023070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFDYGKYVVLNGVLQLILYTKEKNAILFTYPPEGSFTSTGLVVSSKLPRFSDEYTLTIDSADPKSISAGKSVQFTKSVTQWFTKDGVLVEGLFWKDVEALIKDYAKEEPKKKR >A01p008040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3881206:3884066:-1 gene:A01p008040.1_BraROA transcript:A01p008040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLITIQQTLTPEAATVLNQSIAEATRRNHGHTTPLHVAATLLSSSSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTTTTSSPSQTQEPPLLSNALTAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKSAIEQSLSGNSVRQGGMVNPSSIGFGYRPVPVPVNRNPYVNPRLHHNGSSGVIQRTDEVKRVIDVMTRTRRRNPVLVGDSEPQILVKEILAKIESGEFSDGPLRNFQVIRLDNESAAQMATRFGEVSGLVETRIGNSGLTGGVVLDLGDLKWLAAGGGGGGGGALAEMRKLLERYEGRLCFIGTATCETYLRCQVYYPSMENDCDLQAIPIAAKSILPTMFQRLGSNYSNNFLLSSNIISLTRSSQIPTSKMGCCSLCLQSYENDVAKLEKSLTGDNRSVLPQWLQNAKADNVGDKKPTKDQEIVELQNKWTDLCLRLHPKPSVSEITAPSSLSMLKPNPISDITPPGSPIATDLVLGRSNNRIVSSPEKKKTSEALSGKLGDSFDIELFKKLLKGLAKTVWWQHDAASSVASAITECKHGNGRSKGDIWLMFTGPDRTGKTKMASALSDLVSGSQPITISLGSGSRTDDGLSLRGKTALDRLAETVRRNPFAVIVMEDIDEADLLLRNNVKLAMERGRICDSYGREVSLGNVIIILTTDSSHGLAEQVVPIDETRLESLVRRGWKLKLSVCNRSNTRKRKPNWRCNDQTKQRKEICFDLNEAAEFDSSSDVTVEHNDQEDNSNFLHKLVALADDAIVFRPVDFGSIKNKTKESLNKRFSDGLTVEIEDDALERIAGAIWLSKISLEEWLEEAMSSSLNSVKSRVSSSLEDSVIRIELEDDVSDRSSGGYLPSSIRTVVV >A09p011970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6045018:6045926:-1 gene:A09p011970.1_BraROA transcript:A09p011970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEAAAETSTELNFDSTTSSPYITAPSSPTRFGNNSAFFFSAPTSPSPSTSTKKRSVSDFDDNFEFNFSGQLDKSYFSAADELFDGGKIRPLGPSLPPTAVSSPRSSFLEREVSDRGRDQSPGSTTRYERKGSRSMSPLRVSDIMVDEEEAHESAKMVASNASNQKSSVFLSAILFPGRAYKKWKLKDLLLFRSASDGRPVPTKESLKRYDILTKKEAEERKNSSVRSRESCDSPVSRSRRRHGAAISAHEMHYTENRAVSEELKRKTFLPYKQGWLGCLGFNPAAHEIARVGSLSRASS >A06p036640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19850826:19854250:1 gene:A06p036640.1_BraROA transcript:A06p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX14 [Source:Projected from Arabidopsis thaliana (AT5G62810) UniProtKB/Swiss-Prot;Acc:Q9FXT6] MATPSDFPPPDDKSPIPEVVTTPSPPPTTEAQQASIAQETPKQQDSPPSVFKNSEPLREDQIQNAIKFLSHPRVRGSPVIHRRSFLERKGLTKEEIDEAFRRVPDPSPSSQTTVSTQEGQKALSNVQPQGQVQAMQPALAPAPVVMVPPPSLLSRFRWYHAALAVGVLAASGAGTAIFVKKSLIPRIKSWVRRIMLEEETDPLKKADAKPSLAEEAVAAAKAASAAASDVARVSQEMIITKSEERKYFEDLTRLLGVQVQEMKSLSNNIRNLEGNYNNLPKVYSADQEVYGGGLVKTSAERRSYANGSNIDYDTRSARSASPPPAPPADSSMPPHPKSYMDIMSMIQRGEKPSNIREINDMPPNPNQQLSNPRIAPKSKPWDYGQAPQDENANGPWWQQKNPRSTDFGYETTASRSIGIQNETNTMEPAALPRQRSWVPPQPPPVVMAEAAEAIRRPKPQAKIDQEAAPSDDQSGVSDELQKITKFSETGGDGSGNLQITEIQEESEQQQFSQEGN >A09p062440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51089790:51092074:1 gene:A09p062440.1_BraROA transcript:A09p062440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFIIFIFVPIFIFFIIPRQSSSDTGFKSYPIVGSIPGLVKNRHRFLDWTVETLSRCPTQTAVFRRPGKQQFVMTANPANVEYMLKTKFDNFPKGERFIEILEDFLGRGIFNSEGEMWWKQRKTARHEFSTKSLRDFVMTNVTLEINTRLVPVLAAAAATGKLLNLDDVLERFTFDNICKLAFNVDSACLGDDKAAGVEFMRAFDTASKIISQRFQSAFSYTWKIKKKLNIGSERLLRESIVTVHKFADDIVRHKIDQSNNNNNKNDDLLSRFISTEELNSPEKLRDNVIGFILAGRDTTSSALSWFFWLLSKHPHVENKIRQELNSIRARTGEAYGFEDLKLMNYLHAAITESLRLYPPIPLDTMSCLEDSVLPDGTFVGKAWGISYNAYAMGRMESIWGKDCDRFDPERWIDETNGGFRGESPYKFPVFHAGPRMCLGKEMAYIQMKSIVSAVLDRFVVEVPGKNERPEILLSVTIRIKGGLFVRVHERS >A10p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4415493:4417709:1 gene:A10p014020.1_BraROA transcript:A10p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTSNNIISSFGLNQHVAPPPPTGGGVYHMDPPRSENPNPFPVELPNTTAASASASVAAAKASENAAPPFSLTMPVENTSSELKKKRGRPRKYNPDGSLAVTLSPMPISSSVPLTTEFASRKRGRGRGRGRGRGRGRGLVEPPINNNNWVKNPQMFEFDNSSPVVRTPEVVTSASFTPHVLTVNAGEDVTMKIMTFSQQSSRAICILSANGPVSNVTLRQSMTSGGTLTYEGHFEILSLTGSFIPSESGGTRSRAGGMSVSLAGPDGRVFGGGLAGLFIAAGPVQVVVGRFVAGQEESQQQQQQQQMKTQRRERFGIPTSTQASNISFGGGSAEDPKARYGLNKPVVIQAPPMSAPPVPFSQHEPQPSTNAVQGYYTNNTAEQIRDLFSSLPGEDDDEDDDLEGEDGDDGEFGDHSESDTEVPS >A08p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:184717:190363:1 gene:A08p000270.1_BraROA transcript:A08p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSLTRFPSSFLSSNARHLFLSPSFSIKIRFNLFSFKPSRSLASMSSSSSSSAPLQEKITAPYGSWKSPITADIVSGASKRLGGTAVDSRGRLVWLESRPNESGRGVLVVEGEKEAIDITPKELAVRTLTQEYGGGAFRISDDQLVFSNYKDQRLYKQHILHKDSSPKPITPDYGSPAVTYADGVFDARFTRYITVREDGRQDTSNPITTIVEVNLSGDTLDEPKVLVSGNDFYAFPRLDPNCERLAWIEWTHPNMPWDKAQLWVGYISEAGTIDKRVCVAGCDPEYVESPTEPKWSPRGMSIGRTGWIWACPDGLIFFWAFLIESTNEVVSVYPLDAEFAKPLWVFGTNSYEIIECSEEKNIIACSYRQKGKSYLGILDDSKGSCSLLDIPLTDYDNITLGNQCLYVEGASAVLPPSVAKVTLDQHKTKALSSEIVWSSSPDVLKYKAFFSVPELIEFPTEVPGQNAYAYYYPPTNPLYNASMEEKPPLLVKSHGGPTAESRGSLNLNIQYWTSRGWAFVDVNYGGSTGYGREYRERLLRRWGIVDVDDCCGCAKYLVSSGKADVKRLCISGGSAGGYTTLAALAFRDVFKAGASLYGVADLKMLKEEGHKFESRYIDNLVGEEKDFYERSPINFVDRFSCPIILFQGLEDKVVTPDQSRKIYQALKEKGLPVALVEYEGEQHGFRKAENIKYTLEQQMVFFARVVGGFQVADDISPLKIDNFDTSSDA >A08p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20588904:20589872:1 gene:A08p034720.1_BraROA transcript:A08p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYTTFDANRAGLVSLYQEGSMLTFEGQKIQGSQNIVAKLTSLPFQQCKHNITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLVSNQGNYYVFNDIFRLNYA >A01p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:157841:158559:1 gene:A01p000430.1_BraROA transcript:A01p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCRSALMSGSRNLVSRSRTVTQKCLNLKPTTTTTSSHFASVSQSIPRASRVLSALGSVETMIPLHSAVASARLRSSIAADSSCWSWLSQGLATPL >A06p051700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27354787:27356247:1 gene:A06p051700.1_BraROA transcript:A06p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLTKVTSFKVGGSWVSKKAKEELSNITNDLTTFSSTVEEKAKWVFNKLKGKPLKSLPDLLKEYNLPPGLFPQNIICYEFDETKNKLTVFFSTPCEVSFSDGSAIRYATRVKGILLRGKLMGVEGMKTKVLVWVKVTTVSVESSKSDKLWFTAGVKKSRSKNVYDTPHDAIKVVGEL >A01p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4638781:4641120:-1 gene:A01p009580.1_BraROA transcript:A01p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLLLTSLCVTLFLMPQQTNAARAFFVFGDSLVDSGNNNYLVTTARADSPPYGIDYPTGRPTGRFSNGLNLPDIISEQIGSEPTLPILSPELTGEKLLIGANFASAGIGILNDTGVQFLNILRIGRQFELFQEYQERVSEIIGSDKTQQLVNGALVLMTLGGNDFVNNYFLPFSARSRQSSLSEFSQLLISEYKKILMRLYELGARRVMVTGTGPLGCVPAELASSGSANGECAPEAQQAAAIFNPLLDQMLQGLNRQVGSDVFIGANAFNMNADFINNPQRFGFVTSKVACCGQGAYNGQGVCTPLSSLCPDRNAYAFWDPFHPTEKATRLIVQQIMTGSVEYMNPMNLSTIMALDSRI >A02g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12494662:12495003:1 gene:A02g503780.1_BraROA transcript:A02g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNISNLANPHLTHEAHSYRGRNFHHSWTTLHSTDLKINVDIWNSLWTWRVMRTYDRRYLRFAQSRSSPRELKFGQRKLRVATSAR >A07p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9896353:9896777:-1 gene:A07p016050.1_BraROA transcript:A07p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYKQKILGRVLRVWIAKNPKRNNEIISLNFLILDEKNETIVGSINNKYIQQFEEYFVEGNILLITDFEVWKTTENYKISNHRFQLRINDQSTIEIINDAE >A05p041690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25282865:25284999:-1 gene:A05p041690.1_BraROA transcript:A05p041690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSLLLSILLLSLPPPSLQDLSADKSALLSLRSSLGGRTFLWNPKQTSPCNWTGVSCDSDRVTALRLPGVALSGQIPEGIFGNLTNLRTLSLRLNALTGTLPLDLGSCSDLRRLYLQGNRFSGEIPEVLFSLSNLVRLDLGDNGFSGEISSGFKNLTRLKTLYLENNKLSGPLVDMGLGLGLDQFNVSNNLLNGSIPKNLQRFDSDSFLGTSLCGKPLGVCNNEGTVPSQPISVGNIPGSDGKREKGKLSGGAIAGIVIGCVVAFFVVVMVLMALFGKKRTREVDVGRTIKQLDIESPGEKAAVEAATESGYEAAAVTGNAEVNGSGTRRKLVFFGNATKVFELEDLLRASAEVLGKGTFGTAYKAVLDAATMVAVKRLKDVTMADREFKEKIEVVGAMDHENLVPLRAYYYSGDEKLLVYDFMPMGSLSALLHGNKGAGRSPLDWEVRSRIALGAARGLDYLHSQDPLSSHGNVKSSNVLLTNSHDARVSDFGLAQLVGSSTATTNRVTGYRAPEVTDARRVSQKADVYSFGVVLLELLTGKAPSNSVMNEEGMDLARWVHSVGREAWRSEVFESELMSLETVVEGEMEEMLQLGIECTEPHPDKRPVMVEVVRRIQELRQSGLDQVL >A09p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28521781:28527532:-1 gene:A09p036830.1_BraROA transcript:A09p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGVRETSEDALVALQDDTHNQVVAQSMMLRIETYAVEDDSDYESTPVVPPNDEYVSEDELDEACTNSDSETDSSMRQGRPQITLSDPSAMAPSFSPPGVVPPGAVPPRAVPHASVGSSSAVPVAPAPYVRRREDALLRAPSRRNQPHLHPDKTNGALWFGIDPEGNYWGSWASWNFVPPEKKDQWWHAFIQHYYWDDQFHDEIYLKWKKQTQVTVCGRISQNRRDNRQPSYMSDAHWATMVEKYSTEQAKRKTAKPAKSRKSAPVGKKMHKHGASPRCFLNIAYQMMVDEGLDEPPSYTALARKTHTGKDGSFLDERTEELVLEVEEAVEEMLQDGSPLGDSQTDSTAASNAKRYLLNQEYIKGGKTKKSTIYGLGSVQYKNISPSVPIPVSLQRNLDVDMEASPLRKGNPTEEEGPRVKFAKTGSGENVEKTTTEEGKTRAVEIVESTAKTTDESTAKTTDESTAKTTDVSMEMTQTMDGSTEKTRNDSSENTAEMTEPFNVVAEAAPTTLNKGNRSGDEENEKTASGDEVNESSEEEQENPDGENESSNQDHEDSEEEPPDGENEVNARSEEEQANGEGEEEANENGNPPEPHLVKRTLKLMRTVDKKVDQLDGRLTPLEEFVKEAQAKAVEEEAPAQEKAKKQKRMK >A01g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16294972:16298452:1 gene:A01g505470.1_BraROA transcript:A01g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVVWTTSKFLIKWYSSSTNLKSFEHFWICRFFRSGFHGSLLMKSPFHNRSERFGFSDLEVFWDDLPVSRLDFLESSGKVVWTSCKVVWTSCKVVWTFWKPSGLPGSLLTKFSSISSGVQSCLCRGMIYNSFGRRGRLQSKYSRLLKYKSSGQRRDDLQFSRPSDDLLVSRLLPDDFPCKSSDAQIWKKIRFNTLNW >A05p051180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29825264:29829441:-1 gene:A05p051180.1_BraROA transcript:A05p051180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-CoA dehydrogenase IBR3 [Source:Projected from Arabidopsis thaliana (AT3G06810) UniProtKB/Swiss-Prot;Acc:Q8RWZ3] MGSNTGDLVTRVQSAHLFDHDALFRYAADNVSGFPTNPSQFNISQFGHGQSNPTFLIAVGSGSSIKRYVLRKKPPGKLLQSAHAVEREFQVLKALGEHTQVPVPKVFCLCTDPSVIGTAFYIMEFMEGRIFVDPKLPNVAPGRRTAIYRAAAKALASLHSADVDAIGLDKYGRRANYCQRQIDRWFKQYLASTNEGKLERNPKMFELVDWLRQSIPAEDSTGATSGLVHGDFRIDNLVFHPYEDRVIGILDWELSTLGNQMCDVAYSCMHYIVNVQLDKEHVSEGLETTGLPDGILSMPEFLLEYCSALGKPWPAANWKFYGNASAGERARNTGVQANELVECALGYIARENVLPAHPPSGKRDVSRPSYESLVDGTGRFVPNRKVLELRGKLVKFMETHIYPMETEFSKLAQSDLRWTVHPEEERLKEEAKRQGLWNLFIPVDSAARARRELAAIENKQSFDQLFGEGLTNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVILRYGNKEQIAEWLIPLLEGKIRSGFAMTEPQVASSDATNIECSIRRQGDSYVINGTKWWTSGAMDPRCRVLILMGKTDFNAPKHKQQSMILVDMRTPGIHVKRPLTVFGFDDAPHGHAEISFENVIVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMELMAQRALSRKTFGVFIAQHGSFVSDLAKLRVELEGTRLLVLEAADHLDKFGNKKARGILAMAKVAAPNMALKVLDTAIQVHGAAGVCSDTVLAHLWATARTLRIADGPDEVHLGTIGKLELQRASKL >A09p005580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3042202:3057864:1 gene:A09p005580.1_BraROA transcript:A09p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQMARYSTGTVVDFSKSVRIVVIGDKGTGKSSLIAAAATNSFPANVPPVLPDTKLPLQFFPDGIPVTIVDTSSRQEDRGMVAEELKHADAVVLTHASDDRPETLQRLSTYWLPELRRLEVKVPIVVAGCKLDLDKNQASFEQVMSPIMNQFREIETCIECSALKQIQAQEVFYYAQKNVIHPTAPLFDQETQALKPRCVRALKRIFILCDHDKDGALNEAELDQFQVKCFNAPLQPNEIEGIKRVVQERLPEGVNEIGLTVAGFLFLHALFIEKGRIETTWTVLRKFVYNNDVRLANEWLPPSLFKRAPDQSVELTDVAIEFLKEKYMLFDADGDNNLRPQEIEDLFSTAPESPWKEAPYEDAAEKTALGGLSSDSFLSLWSLMTVLEPARSVEHLICIGFQGDPSSAIRITRRRLLDRKKKRCERKVVQCFVFGPNSARKSALLNCFLGRSYADNPGSTTDERYAVNMVDETGSDESSWKRASELLVEVANHGEATGYEVPCLMVSAKDDIVSSQIPIQDSTRVTQDMGIEPPVSISSKLEDFNNLFRKIITAAQHPHLSIPETEAGKSRKHYNRKINRFLVVVLIVAAVVVVGLAAYFVYVSQERVLLPEKIENEGPNRNSKQRNGPPPGRKKKHKVNQLKPGTSGHTLTVKVVDRYSVLSFIRPGRIAECLVGDETASIIFTARNDQVDLMKPGTTVNLRNTKINMFHGFMRKHSTDPFIHIPAPTIPPVDQLSKCNQLPPRSKLVFLTLWDKETSNFRELNHIYTRKNQIENYHSQPHLDRASTLTTILVSYNASKRRINCYPKPHSKRHQPTFKKFTLPLPTEEKGNDPEIIRESQRRRFADVGLVDEVINLDKEWRQRQFEVDGLRTEFNKLNKQVAKLKISGADASEVIQQAEKNKQDAADKEKEVGDAYAKLKEKLVKVGNLVPDSVPVSNDEADNPVIKVWGEKPVFAPGQKVMNHVDLVEKTFIADNRRGAEIAGGRGYFLRGHGVLLNQALINFGLQFLRKRKFTPFQPPFLMRKDVMAKSAQLSEFDEQLYKVTGKGKDKDVTGEGDGKYLIATAEQPLCAYHMDEWIPLKELPIRYAGYSTCFRTEAGSHGRDQLGLFRVHQFEKIEQFCITSPDENDSWEMLEEMMKNSEEFYRELKLPYRVVEIVSGALNDAAAKKYDLEGWFPASGTYRELVSCSNCTDYQARRLEIRYGHKKSNEETKYVHMLNSTLTATERTICCILENYQREDRVEVPEVLLRFMDGVTYLPFRT >A06p052420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27735867:27738278:-1 gene:A06p052420.1_BraROA transcript:A06p052420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDQGAVVMLDDAASKKNTANTRCVVFSSSDPLLSSSENGVTTTKTSIQKRKRRPAGTPDPDAEVVSLSPRTLLESDRYICEICNQGFQRDQNLQMHRRRHKVPWKLLKRDNNIEVKKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCSVRNVHHEPPPPPQTAVIVTACSSRTASTASTPSSETNYGGAVIVATPLPLEGRPIHIRSSSLTPLLLTNSSNLNLELQLLPSTPNLNPNQENQQHKVKEPSLHHHHHHHNHDTTNLNLSIAPSSSSYHHYNNFDRIKELMASEEIMKEKAYAEEAKREAKRQREIAENEFANAKKIRQQARTELKRAKLLKEQSMKKISSTLMQVTCQTCKGQFQATEETSLVVSYMSSANTDGEGS >A03g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23817591:23819323:-1 gene:A03g506610.1_BraROA transcript:A03g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSFFLHRRCSRRRSPPASMAKKNPPKNKASHRVPTGSTPSRSIASNSSTKASTEPASAVANQTSFVAALPEIPAPLSSTAPGGATEVDLSISVPDSSTAAVASLPKDVTVERENPSMVSHQAVAANLLSPETCANKMESPKAVILATVSNPSSVPTVSVDNNRVPILAISAVTGGSPPSSSDQLGGLQEQLQQRKSSADIWKVYREVLKSVPPVSSNHPPSLPAAAPLEHHAGQSEFPLGALKPPRADIASTSKTPSNELSKITVHDLSTGSLCVDLS >A02g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4124603:4128160:1 gene:A02g501240.1_BraROA transcript:A02g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPKFEKGKLVEDRVGMLVQKLKQSSFRLTGFPLALQLLAFRAIPMLQSKIPAPSNELTIMELTEPNLPSHPSIELDSVLQVEVNPSLLVTPIIPIARGPQPGWGVWPNVEADEKVGYMEQLIANNHQFTKSMWPGGDCSEPVFIVTPPPLQPEHKKHTVPRKRKDSKLKPRKCSKKRASTTSQRRITRLFAASSSTPVPTNDLLEARVISLEAKVPVLEHQVTSLEATVATLGATNERLKTRVNHLLNRKRKRSTTGSLLSQRLVKHRRKSTPQTPQDIQEKKTDDCLVGSQSPILSQYQLHQHEDSLRSPQHPSPTHQQTDHPSQDHQPPADHDTNNHKSPTRFASPTDDHQTPNTQTPTQSDSPHNSPDHLLPVHDSPAHHSTDHHSPEYRSSNHQSPNHQAQHQQSPIHHSPANHPIVDHHSIDHSYSEHHSPNHPIVDHHSHNHQSLDHCSPNHHSQTPTAHASHQAKHPSPADHPIVDHLIPVQSESPLVSSTRHAPDQHTPAPQTPDHITTPHITTNQQPLEHKSSDLISPTHPSPVHVSTPSSPPTHKPPVDAFNVTHGSHSQNFAQIATLPLFDATPLGKPTSPKVMSGSDPGTCYAPYKPAGTSTPNSTPTKPAVSPQAFSPHCSSPNAFAALKGSTKSFMSSPNRETAKDGKKEEVDKEDTYSGSPDTKVRKIVAEVNVQTAEDEVCELSDSSPAKKNRAHSLSAEEIALHKALNRPDFPQNLLITSPPVDLWSLFSKTLKAARNVFHVTPSKLDFNNYFLLQLATPQQWTNTLHMIVLMHVLGERHKSVLLMHNSVFTTPELTSLMLSKDRQFQAAFRKVYAMDAYKTIVLPAYQPTTTG >A04p020610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12549891:12550671:-1 gene:A04p020610.1_BraROA transcript:A04p020610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFQALILIPIAILALVISFAEAYDPSPLQDFCVAIDDLNGVFVNGRFCKDPMRVNAEDFFFSGLNVPGNTSNQVGSNVTTVNVDQIPGLNTMGISLVRIDYAPHGQNPPHTHPRGSEILVLIKGTLYVGFVSSNQDNNRLFAKVLHPGDVFVFPIGMIHFQVNIGKVPAVAFAGLSSQNAGVITIANAVFGSNPPIYPEVLARAFQLDANIVKELQAKFGP >A10p018290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12796538:12798761:1 gene:A10p018290.1_BraROA transcript:A10p018290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTDAESPLLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQIEQECLGVYKKKVEQAAKSRAELLQTLSDANAELSSLTTSLGDKTFVNNGIPDKSSGTIKEQLAAIAPALEQLWQQKEERVREFSDVQSQIQKICGEIAGGLSSEVPIVDESDLSLKKLDDFHSQLQELQKEKSDRLQKVLEFVSTVHDLCAVLGLDFLTTVREVHPSLDEETGVQSKSISNETLSTLAKTVLTLKDDKKQRLQKLQELATQLIDLWNLMDTPDEERDLFNHVTRNISASVDEVTTPGALARDLIKQAEVEVDRLDQLKASRMKEIAFKKQSELEEIYARAHVETNPESARERIMSLIDSGNVEPTELLADMDSQIAKAKEEAFSRKDILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKIPAMVDTLVAKTRAWEEEHSMSFAYDGVPLLAMLDEYGMLRQEREDEKRRLREQKKVQEQPHVEQDTAFSTRPSPARPVSAKKPVGARANNNGAPNRRLSLNASQNGSRSTAKGGRRETIDRPAAPTNYVAISKEEAAASSPVSGAAGHVSASP >A03p017850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7287049:7292076:1 gene:A03p017850.1_BraROA transcript:A03p017850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRDESLSSELAERVKLLSVESQGEGLIRESPRSVEQDVSPGQRASQLLWDTGMLCEPIPNGFYSVVPDKRVKELYNRLPTPNELHALGEEGVRIEVILVDFQKDKKLAMLKQLITTLVSGSNPASMIKKIAGTVSDFYKRPTLESPSKLALEENAFLFENHGAQLLGQIKRGCCRARAILFKVLADTVGLESRLVVGLPNDGTVDCMDSNKHMSVIVVLNSVELLVDLIRFPGQLVPRSAKAIFMSHITPSGESDSAENDSCDSPLEPNSPLYERRDPESTEKDEKGYPNASLHNLMLRPATAIERKLSNTSHSEPNIATVFWRRSRRKVIAEQRTASSSPEHPSMRSRGRSMLSTGRHSFRDYSGDASPSSSSTLEIRKTRRRSFRMTPEIGDDIASAVREMYEKSKQNRLLHGREDGNSSGINKNVSGLHLDDVLNSKKTMSLPSSPHAYRSQGFGRRGPSDFAVKDTWNKVVESSTLQNQPLLPYQEWDIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAIKLFLEQDLTAENMEDFCNEISILSRVRHPNVVLFLGACTKPPRLSMITEYMELGSLYYLIHMSGQKKKLSWHRRLRMLRDICRGLMCIHRMKVVHRDLKSANCLVDKHWTVKICDFGLSRIMTDENMKDTSSAGTPEWMAPELIRQEPFTEKCDIFSLGVIMWELSTLRKPWEGVPPEKVIFAVAHERSRLEIPDGPLSKLIADCWAEPQERPNCEEILRGLLDCEYTLC >A08g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10616299:10621808:1 gene:A08g506210.1_BraROA transcript:A08g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKPKISPPKPPSKSSSKSPPKPPSKVNSIPLDKDYPVEDPNVVFDAQIGSQADAVAQQSSGASDLAPDLTITPAKKTVIGELSPDPSSANLLTQALQLESNFAITLQSSSTPDKDVVAALSEQLLSIMTPQLANLDAKIDSSSSHLAKNKPVVAGGVKSIAVDIQDSQVEVQQAEGFAMAAANKPITKAVENHQKEAAGRKTRRGRSKDKQKWKVVEPSLEVSTNTLSSPPPPSQTATVRSAPPALNEKEQAGMVHSEITLHSKLGTDRDKVPGESSKTPHYLRSERTRSASANTRSSQSDVQPDSSDVESSDSELEEGEASSSARLTLLRKLAVQTSIFQIWKQRNNLIHNQILLPPASVFRAIDRELRNIISARRHRKLFDSLMVMWLR >A07p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13649690:13660261:-1 gene:A07p023510.1_BraROA transcript:A07p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ homolog subfamily C GRV2 [Source:Projected from Arabidopsis thaliana (AT2G26890) UniProtKB/Swiss-Prot;Acc:F4IVL6] MDSSVSRGNVAPAPAALEEPEYLARYFVVKHSWRGRYKRILCISNAGIVTLDPNTLAITNSYETGSNFDGALPLIGRDENADSVGGEFTISVRTDGKGKFKAMKFSSRYRASVLTELYRLRWSQIRPVAEFQVLHLRRRNAEWVPYKLKVTYVGLELIDSKSGDSRWILDFRDMGSPAIILLSDAYRTKSTDSAGFVLCPMYGRKSKAFRAAPGTTNSSIVASLAKTAKSMVGVFLSVDGSLSLTASDYMTRRAKEAVGAEETPNGWWSVTRLRSAAHGTLNMPGLSLAIGPKGGLGEHGDAVPLQLILTKASLVERRIDNYEVVVFRPLSSVSSLVRFAEEPQMFAIEFGDGCPVHVYSSISRDNLLAAILDVLQTEGQAPIPVLPRLTMPGHRIDPPCGRVSLISGPQNLVADMETSSLHLKHLAAAAKDAVAEGGSVPGCRARLWRRIREFNACIPYTGVPANMEVPEVTLMALITMLPSTPNLPLDAPPLPAPSPKAAATVIGFVACLRRLLSSRTAASHIMSFPAAVNRIMGLLRNGSEGVAAEAAGLVTSLIGGGSADLSTAPDSRGEKHATIMHTKSVLFAQQGYVTILVNRLRPTSVSPLFSMAIVEVFEAMVCDPHGETTQYTVFVEMLRQIAALRRRLFSLFAHPAESVREIIAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLNAFSLPANERRDVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRPDDVLDDANQEGSSTNRRHKRLLQQRRGRIARGTGAQDFPLPLGNSVETKDAAKADNFQRPAVETSSETSNPEASSFPGADSTTAGVSQNGYPAFTSNSTNANGNEQHDTSSSNLVGSEPNLHGIQNPVLPAPAQVIVESTPVGSGKLLLNWRELWRAFGLDHNRADLIWNERTRQELREALKAEVRNLDVEKERTEDISPGYVAVESTTGQETIPRISWNYSEFSVSYPSLSKEVCVGQYYLRLLLESGSAGKAHDFPLRDPVAFFRALYHRFQCDADMGLTVGGAVPDELGPSGDWCDLSRLDGFGGGGGASVRELCARAMSIVYEQHYSLIGPFEGTAHITGLIDRTDDRALRHRLILLLKALVKVLLNVEGCVVVGGCVLAVDLLTVVHENSERTPIPLQSNLIAATAFMEPPKEWMYIDKGGAEVGPVEKDVIRSLWSKKEIDWTTKCRAFGMSDWKKLRDIRELRWAVAVRVPVLTPTQVGEAALSILHSMVSAHSDLDDAGEIVTPTPRVKRILSSTRCLPHIAQAILSGEPAIVEASAALLKDVVTRNPKAMIRLYNTGAFYFALAYPGSNLYSIAQLFSVTHVHQAFHGGEEATVSSSLPLAKRSVLGGLLPESLLYVLERSGPAAFAAAMVSDSDTPEIIWTHKMRAEHLICQVLQHLGDYPQKLSQHCHFLYDYAPMPPVTYPELRDEMWCHRYYLRNLCNDIRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLNDVSSDDLNGRGQVELNETSNISKQIQNLDEDKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYECLQATMQGLQGPQPWRLLLLLKAQCILYRRYGHVLQPFKYAGYPMLLDAVTVDKEDNNFLSDDRAPLLVAASELVSLTCAASSLNGEELVRDGGVQLLSSLLTRCMCVVQPTTSQHEPAAIIVTNIMRTFAVISRFEGARARILELTSLIEDIVHCTELELVPAAVNAALQSIANVSVFPELQQGLLRAGALWYILPLLLQYDSTAEESNSVESHGVGVSIQIAKNEHAVQASQALSRLSGLCADEVLTPYNATAADVLRALLTPKLASLLKDESAKDLLTKLNTNLETPEIIWNSATRSELLDFVDQQRACQGPDGSYDLKTAQSFAYEALAKEVYIGNVYLKVYNDQPDSEISEPEVFCNALIDFISSLVHTELPSVSEDQNLIEDSSSSIETPELESTVAEPSLVEGHSDHQTSAEGMKMEGKSLIDNLQLALTALQNLLTKYPDLASVFSSKERLLPLFECFSVPIASETDIPKLCLNVLSRLTAYAPCLETMVSDGSSLLLLLQMLHSAPSFREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQKEIPIQQRAAAASLLGKLVAQPMHGPRVAIALVRFLPDGLVSIIRDGPGEAVVHSLERTTETPELVWTPAMAASLSAQIATMASDLHLEQQKGSVIEWDVPEQSPGQPGMRDEPQVSGIYVRLFLKDPKFPLRNPKGFLEGLLEQYLSAMAATHYEQHPVDPELPLLLSAALVSLLRVHPGLADHVGTLGYVPKLVAAVAHEGRRETMSRGEVKDEEIGSDGAQETGEPSALPGQTPQERVRLSCLRVLHQLAASTTCAEAMAATSAGNAQVVPLLMKAIGWLGGSILALETLKRVVVAGNRARDALVAQGLKVGLIEVLLGLLDWRTGGRYGLSSHMKWNESEASIGRVLAVEVLHGFATEGAHCSKVREILDASEVWSAYKDQKHDLFLPSNTQSAAGVAGFIESSSNNSLTYALTAPPSSSSSP >A06p018770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9098140:9101502:-1 gene:A06p018770.1_BraROA transcript:A06p018770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEMQLQDVPCEADDILKGEPSEPGKDQNPSELIPDNEDGDGQANGHGKADDDDVLKGEPSEPEKVQNLPLEDNEDVDGREKTADVLYSRKKTQVRFLSPDPRDDENPKKRKTWLLTDSEAQGEDDDDDEAGTPEEQQAFLRELDTFHRENFLDYKPLKFYQKPLNTLKLWRAVIKLGGHEVVTTSKLWRQVGESFNPPKTCTTISYTFRNFYEKALLEYEKHLRKNGDLNLPGPTLNMSSSLDKEVMSHQGSGSGRARRDSAARAMQGWQAHRLDDSGEDMGLNSTPKHKKLKSIGLPKPRTPNCTDLAVSQEAEKQSVGDVIDDGPLADWVKITVKETRESFEVFALVPGLLRNEIRIQSDPAGRLIITGEPEQLDNPWGITPFKKIVVLPARIDPLHTNAIMSMHGRLFVRAQYEK >A09p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11522899:11524956:1 gene:A09p021390.1_BraROA transcript:A09p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAFVACPKLETVLNHHKLETPFGLIGVRALPSNNNRSRRGLIQRARCELSASESAPNAANISALEQLKNSAADRYTKERSSIVVIGLSIHTAPVEMREKLAIPEAEWPRAIAELCGLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSEICQHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVNGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHASARMLIIGAGKMGKLVIKHLVAKGCTRMVVVNRSEERVIAIREEIPGVEVVYRPLDEMLASAAEADVVFTSTASETPLFLKEDVETLPPACPEIGGVRLFVDISVPRNVGSCVNEVETARVYNVDDLKEVVAANKEDRLRKAMEAQTIIAEESNQFEAWRDSLETVPTIKKLRAYAERIRMAELEKCMSKMGDDINKKTTRAVDDLSRGIVNRFLHGPMQHLRCDGSDSRTLSETLENMHALNRMYGLEKDILEEKLKAMTSGQQK >A01g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24366977:24368375:1 gene:A01g509030.1_BraROA transcript:A01g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSDPIQDGSDEQQKRSEIYTYEAPWQIYAMNWSVRCDKNFGHQDEILAIDALRKERALTLGRDRTMLLHKMSETSRTIYRAPASSLERCCFISETEYLSGSDRNALLKKKPVFLLKNAHSVLVGVITTNENGDHDCVEYSNSSTTSSWKTGFVNSMAFAKSGKFLIAGVGQNCYGFCDINMLQETRFGRWGCIKSAQNGVAIHPLRLS >A09p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2801444:2803215:1 gene:A09p005070.1_BraROA transcript:A09p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLDTSSDHHNHQLELANNINLSSIFDAPVSSPFPYSYLVEEPNHFLDLLSQDHHQFASSSNSSSFSFDAFPLQNNNTNLFYTDLPLSQPSTTKATSSEVVNTATTSPNSTSVSSSSKEAVNDNTDKEVTLKDPEEGDQQEQKDTKPHSMYNNSLSMINQSSSDGIFANPGSSSFPVFSYDVSLASTSTTSVIRDHGLLQDILPSQIMSDTIDTRTNEKDRK >A04g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17789629:17791017:1 gene:A04g507300.1_BraROA transcript:A04g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTTVEENHRKDPSSPEKEAEKRKQRWAFWGRKWRRLDYFKLTASLFVHSMAILAPFYFSWSALWVTFLFYTIGGLGITVSYHRNLAHRSFKVPKWLEYLLAYCALLAIQGDPIDWVSTHRYHHQFTDSERDPHSPKEGFWFSHLLWIYDSAYLVTKCGRRTNVDDLKRQWFYRFLQKTVLFHILGLGIILFYLGGMSFVTWGMGVGAALEVHVTCLINSLCHVWGTRTWKTNDTSRNVWYVNVCCESRIIEYHICRIPKIFIYTWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLAYDVKLPTESQRRRMAIVR >A10g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4835653:4837475:-1 gene:A10g501810.1_BraROA transcript:A10g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHHSSTLPPTLPWKFNKPVQPNKGLTRQGNGHSEELRCVIAVIRHGDRIPKQKVKLIVTEDKLLNLMLKYNSGKPRAETKLKCAVQLQDLLDATRMLVLCTRPGRESDSDPEDLEHAEKLRQVKAVLEEVQLKPLKWDGEGEEERPVEALMILKYGGVLTHAGNMYPGEGTGLLRLHSTYRHDLKIYSSDEGLVQMSAAAFAKSLLDLEGQLTPILVSLVSKDSSMWDGLDTASIEMEAAKARLNEIVTSGKKMINELLLFIIIKS >A06p025880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14420185:14421038:-1 gene:A06p025880.1_BraROA transcript:A06p025880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHAQPLLLLLFSLFLLPSLRATSFHNCDKRLDPVKVTGVEISPDPVVSGKAATFKITGSTDEDISGGKVVISVSYFGAHVHTETHDLCDESSCPIAPGSFVLSHSQTLPSITPPGTYTLKMTINDKNGGRLTCISFKFKIKLFSSVYAS >A09g519340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59950265:59951540:-1 gene:A09g519340.1_BraROA transcript:A09g519340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALSVVSGEKKEEPDTKAVGSSAAGDDVSSPVDDVQKKIRHSERFGVSVKLTEEEKRNSRAEMEGENVLINHMTGKEYMLKATGDVGPVVDAGGIIALVKQWFLMGLYHHHYEGHTRQRSSERTWVARLRILIVPSSLRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTSDEVMAEFNKAKCGVLIGSAMGGMKVFNDAIVSAEDLLQEDESFLCTFRHNKHGFCYAFYKFVLGSFYYLSYKFVS >A09p041460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23474265:23476101:-1 gene:A09p041460.1_BraROA transcript:A09p041460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g34160 [Source:Projected from Arabidopsis thaliana (AT1G34160) UniProtKB/Swiss-Prot;Acc:Q9FX24] MARVYMETLIQRCVTFSHIKELHSHFLTAGHLQSSFLRSRLLDRCAVSPFGDLSFAVRIFRRTPKPLTNDWNAIIRGYAASSQPSLAFSWYRSMLSSSLCRVDALTCSFTLKACARALCSSATAQLHAQINRRGLFADALLCTTLLDAYSKNGDLISAHKLFDEMPVRDVASWNALIAGLASGNRAHEALELYKRMELEGVQRNEVTVVAALGACSHLGAIQEGENIHGYVKVLNLDQNVFVSNATIDMYTKCGFVDKAFQVFDQFTSKKSIVTWNTMIMGFAVHGEARKALEIFQKLEHNSIKPDDVSYLAALTACRHAGLVEYGTSIFNSMACNGVEPNMKHYGCVVDLLGRAGKLREAHDIICSMSTVPDPILWQSLLGASEIHKDVEMAEIASKKLIELGVNNDGDFVLLSNIYAAQGRWKDVGRVRDDMETKQVKKIPGLSYIEAEGTIHKFYNSDKSHQQWRKIYEKIDEIRFKIREDGYVAQTGLVLHDIGEEEKENALCYHSEKLAVAYGLMWVEGAGEETPVRVIKNLRICGDCHVVFKHISKIYKREIIVRDRVRFHRFKDGYCSCKDYW >A06p046370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24825770:24829850:1 gene:A06p046370.1_BraROA transcript:A06p046370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNKKSLLFGNKVVVVLVFLFCLVHSSESLRPLFACNPSNGLTRTLRFCRVNVPIHVRVQDLIGRLTLQEKIRLLVNNAAAVPRLGIGGYEWWSEALHGVSDVGPGAKFGGAFPGATSFPQVITTAASFNQSLWEEIGRVVSDEARAMYNGGVAGLTYWSPNVNILRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVSKQDLEDTYNVPFKSCVYEGKVASVMCSYNQVNGKPTCADENLLKNTIRGQWRLNGYIVSDCDSVDVFFNQQHYTKTPEEAAAASIKAGLDLDCGPFLAIFTEGAVKKGLLTEYDVNLALANTITVQMRLGMFDGNLGPYANLGPRDVCTLAHRHLALEAAHQGIVLLKNSGRSLPLSPRRHRTVAVIGPNSDVTETMIGNYAGKACTYTTPLQGISRYARTLHQAGCAGVACRGNQGFGAAEAAAREADATVLVMGLDQSIEAETRDRTGLLLPGYQQELVTRVAQASKGPVILVLMSGGPIDVSFAKNNPRVAAIIWAGYPGQAGGAAIANIIFGAVNPGGKLPMTWYPQDYVAKVPMTIMAMRAYGNYPGRTYRFYKGPVVFPFGFGLSYTTFTHSLAQNPLAQLSVSSYKLNSAIFNSSSNSIKVSHANCGTFPKVPLHVEVSNTGEFDGTHTVFVFAEPPKNGIKGLGVNKQLIAFEKVHVTAGAKRTVQVDVDACKHLGVVDEYGRRRIPMGEHKLHIGDLKHTILVQPQL >A04p031340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18499628:18500856:1 gene:A04p031340.1_BraROA transcript:A04p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNMETITKSLENISFNDRRIRAGDGFGRSSSSNEPIPPISDRTLELNSHLSLPSHWEQCLDLKTGEIYYINWKNGMRVKEDPRKVMINADSDSGESYGTLCSEEDSSYYDSEESSSVSSPSSSENQKEDEDEDDEDEEEEDEGEEEDVLVVAGCKACFMYFMVPKLVEDCPKCAAQLIHFDRAHPASS >A06p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4933897:4937810:-1 gene:A06p002870.1_BraROA transcript:A06p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRSISGSGVCKYLNPAYYLRRPRRLALLFFLFVSVSMVVWDRMNLAREHEVEVIKLTEEVLRLEQMLEELKGVGDGKTLMTHKDVPEDPVDVERRQKVKEAMIHAWSSYEKYAWGKDELQPRTKDGTDSFGGLGATMIDSLDTLYIMGLDEQFQKAREWVATSLDFDKDYDASMFETTIRVVGGLLSTYDLSGDKIFLEKAKDIADRLLPAWNTPTGIPYNIINLRSGSAHNPSWAAGGDSILADSGTEQLEFIALSQRTGDPKYQQKVEKVITELNKNFPADGLLPIYISPDNANPSYSTITFGAMGDSFYEYLLKVWVQGNKTSEVKLYREMWEKSMKGLLSLIKKSTPSSFTYIQEKNGNSYNDKMDELACFAPGMLALGASGYGPDEEKKFLTLAEELAWTCYNFYQSTPTKLAGENYYFSAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGAKDNKMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLKIVPRNNDQRKPTITLRQRRFGGEIKG >A02g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4343748:4344715:1 gene:A02g501450.1_BraROA transcript:A02g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQVGSSETQGRCWIELDIRVSWLGYCESGETKKKEGAMTMMRSFSMAMLLVALVSSISIVSSASSSPEAEFVQKTISSHKIVIFSKSYCPYCRRAKSVFSELDQVPHVVELDERGAF >A03p063570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27574522:27578405:1 gene:A03p063570.1_BraROA transcript:A03p063570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGALESSPVQQLMVAGNPNWWNVSGSTRPPPPLMGHQQGPLPPQMTPNNNYLRPRMMMTSSSPPLLDNPSLSSWLESNDLPPESWSLSQLLLGGLMMGEEERLEIMNHHGHHDEQHHHSFQGKMRLENWEEQVLRHQQASMGVVDIKQESNINNNNGYLISSPNSPPNKSCVTTTTTTSLNSNDNTNNNNNMLGFSSNHNGLNLSEVRHTPPDRSSECNSLDIGGSTNKKPRLQPSPSSQSTLKVRKEKLGGRIAVLHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSHPYFGTTASGNMRNQHLQGDRSCLFPEDPGQLVNDQCVKRRGASSSSSENQNAKEEPKKDLRSRGLCLVPISYTLQVGSDNGADYWAPTLGSASFH >A06g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14805575:14806213:-1 gene:A06g505000.1_BraROA transcript:A06g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNLDHSYLVGESVSYTVRESVTFVILVPDLTLVLTPYLAGIIQLIFCSTQHLNLLRSSKQGTSPASYVPDPLRSSIHLDLF >A07p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19263563:19265151:1 gene:A07p035640.1_BraROA transcript:A07p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPYETRNNNNGEAYQMVRYQSYNHHNPTIPSLPLLDLRVFYVRISNFKVDDSTPEVLTITHVPLDPDSLLEINGVRMSIHSEGVSSQLRRDRVDKKSEEATFISTDNIRLSGSVKFEVCDKEELVLSGTLEMSGSNGFTGESAKHSVKRWGMNCEAEITAGSGFLKEKHIGCSELSSSPLPSIEVYVTGCFSGTPIILTKTLQLGFRKKHSRTSALDSIPEYESGESQKGSSSELDFQVTEYGSYKQDYEGEYGDMYMGREYADGEDGEMSWFNAGVRVGVGIGLGVCVGLGIGVGLLVRTYQSTTRNFRRRLI >A02p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3372812:3379763:-1 gene:A02p008130.1_BraROA transcript:A02p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIFPSLRLRPTFSSATSPSSSGDFKPRPAVILPGLGNNSGDYKKLEVTLGEYGVPSVVAAVSRLDWFRNAAGLVDPAYWRGTLRPRPVLDWYLKRIDDAVREANELSQGKGLSLIGHSAGGWLARVYMEEYGNADISLLLTLGTPHLPPPRGLSGVIDQTRGLLYYVEENCAKAVYTPELRYVCIAGRYIRGAPLVDKADANVDSDVTVGIEGGEAISELALASNKTGDSSGPSFRARFVGQGYKQVCGRANVWGDGVVPEVSAHLEGALNVSFEGVYHSPVGSDDATRPWYGSPVIVKEWIHHLLDLNGCKHLENLPDSLLSLTCLETLEVSGCLNINEFPRLAKNIEVLRISETSINEVPARICDLSQLRSLDISGNEKLKSLPVSISELRSLEKLKLSGCCVLESLPPEICQTMSCLRWLDLERTSIKELPENIGNLIALEVLQAGRTAIRRAPLSIARLERLQVLAIGNSFYTSQGLHSLCPHLSIFNDLRALCLSNMNMIEIPNSIGNLWSLSELDLSGNNFEHIPASIRRLTRLSRLDVNNCQRLQALPDDLPRRLLYIYAHGCTSLVSISGCFKPCCLRKLVASNCYKLDQEAQILIHRNMKLDAAKPEHSYFPGRDVPSCFNHQAMGSSLRIRQPSSDILGFSACIMIGVDGEYSMNDLKIRCSCILKRVDDAQELVVMDELWYPDPKAFANMSFGSDHLLLFSRSCMSMGAYNEVFFEFSIENTNGDSSTNLLVEVKKCAVHLITFKDMLQEEHESSSSSQQFSNDDNENIHDPDLEELDEAGVPKRRPDDLHKEQPNPKKIKFLPVPSKLHQLIDTPQ >A03g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29512580:29514266:-1 gene:A03g508810.1_BraROA transcript:A03g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALPKHEYASLREEDRALIKLQRPLLMSTLGFICCVIFTCTVVSSRIVFPSNVLRRRAFYLTDQETVVDFYWMVVAGIFVAYSAPHRHGFLKVVENSYCASRKGGVRCLSILNVVFAIILRSPSYISRLKPPDTRKQLRRAALTNDEGEFGNRNHQGLEMLEANPLEFTPDVERKHGWIHLCYHLMKKTNLKTELTKLLPWTDHEMIVDPEDKSEQVGSRSVEVVDIHTVYHQNWVWFCLLVSPPSVLIVYIGFGILKLERRPKYTF >A08p044000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24400772:24403100:1 gene:A08p044000.1_BraROA transcript:A08p044000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGAVRLDLDGKPIKPLTICMIGAGGFIGSHLCEKLLNETPHKVLALDVYNDKIKHLLEPDTSEWAERIQFHRINIKHDSRLEGLVKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRQDPDFYVLKEDTSPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPERANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGETAIESPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKKATSKPVAS >A10p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22170072:22171607:-1 gene:A10p040180.1_BraROA transcript:A10p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4-2 [Source:Projected from Arabidopsis thaliana (AT5G02870) UniProtKB/Swiss-Prot;Acc:P49691] MAAAAARPLVSVQGLDGDMSTDQSTTVCLPDVMTAPVRPDIVNFVHAQISNNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRIFAPTKIWRRWHRRVNVNMKRHAIVSAIAATAVPSLVMARGHKIENVPEMPLVVSDSAEAVEKTSAAIKVLKQIGAYDDAEKAKDSIGIRSGVGKMRNRRYICRKGPLVVYGTEGAKIVKAFRNITGVELCHVERLNLLKLAPGGHLGRFVIWTKSAFEKLESIYGSFEKPSEKKKGYVLPRAKMVNADLARIINSDEVQSVVKPIKKDAKRAVMKKNPLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKSKKEKLEKKRKPVTKEEAQAIKAAGKAWYQTMISDSDYTEFDNFTKWLGASQ >A04p014590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5613192:5614278:-1 gene:A04p014590.1_BraROA transcript:A04p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMKITERNMLLSTKVLLCKKELSITSPEQRKQNRSTAIAHHRSTLIPHRHPRRPRRAMDGRVLQISREDIADICEMANGPDNLFLQQRRDSEYQHMVIDELFGTACVPPLIDDCLEFGRRAYNQNRQRRFHCKKRDECVVYRDDHGYARVVDGRGIHVSKEDIRAILERATMHERSYICLPEHAEGYTQSMPEPYTYNKEEVDERRLDDVYYPFDNSISWWTTHTDKMKQDIAPIQQQQTTRTRASKLIDGDTQKSIDSRLALFEERLQSFVDSLDKVNFSQDLMKEKINQELKDISE >A07p040000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21245553:21248527:-1 gene:A07p040000.1_BraROA transcript:A07p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSX6 [Source:Projected from Arabidopsis thaliana (AT1G65320) UniProtKB/Swiss-Prot;Acc:Q8GZA4] MASVFLYHVVGDLTVGKPEMVEFYETETVESAIRAIGESTECGIPVWRKRSSAALPPGLVENSEMRQQRFVGILSSLDIVAFLAKSECLQEDKAMKIPVSQVISPNNTLLKQVDPGTRLIDALEMMKQGVRRLLVPKSVVWRGMSKRFSILYNGKWLKNSENSSSSSGLSADSNRPATSKASSRDKFCCLSREDVIRFLIGVLGALAPLPLTSISSLGIINVNYNFIEASLPAIEATKRPPCDPSAIAVLEQTEHDEKQFKIIGEISASKLWKCDYLAAAWALANLYAGQFVMGVEDNMSSRSFSDFLQTSFVGGGGGGDQNGTAATKAKKFSSRSIGFNPTSPTRLSIGRSMYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTEADSDDDDILVGVVGYGEILAAVTKQPSAFVPSNRSYEGGFGNEHQS >A05p033130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17624827:17625754:1 gene:A05p033130.1_BraROA transcript:A05p033130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPD1 protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G32583) UniProtKB/Swiss-Prot;Acc:Q1G3T1] MKHFYQFQQQLVLFIGLGLLLSLLASFVMKCNALEDFTDRSDVRDFISNYENRTGLSRKLLLSPDIGDGTKRIGEECSKDDVVVFQGSTVPLPNGVPAFTVEILNACASDCSVAEIHVSCGWFSSVRLVNPRVFRRLSYDDCLVNDGQPLAPGQTLSFQYANSFAYPLSVSSVSCF >A05p044380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26646334:26648183:1 gene:A05p044380.1_BraROA transcript:A05p044380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRLKLFHARTSPSTRRSTSLIVLTSLAIGLFGFIFGLSAIVFPSRTCLTNSPPKTVKVVWDVAGNSNGNGLSGGVKRHKVMGFVGIQTGFGSAGRRRALRSTWMPSDPEGLRRLEESTGLAIRFIIGKTKDEKKMAELRREIAEYDDFILLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCLKKGPVFTDPKLKWYEPLADLLGKEYFLHAYGPIYALSADVVTSLVALKNNRQDYVSSILFSFELFSNFSFRMFSNEDVTIGAWMLAMNVNHENHKTLCERECSPYSIAVWDIPKCSGLCNPEKRMLELHKIESCSKSPTLPSEDE >A06g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25086793:25088583:-1 gene:A06g508850.1_BraROA transcript:A06g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLATHSISFFVPNFMRSDLGEADEAQVVVGVQHARRRVRTNLEKGFHRSPVDFASDVRLTFTNALAYNSKGQDVYKMAEKLLSQFDVWFGPILKKFEAQQGSRYLHCLCHVSHLLLHRHLPSRWLAKWKHLLRTSQPVNQVEASPLEVGEVPKGRKGKLPKPKAKDPNKREMTMEEKAKLGVNLQELPPEKLGQLIQILKKRTTNLSQDGDEIELDIEELDNETLWELDRFVTNYKKMASKIKRQGFIQNLSTPTRNLVREINDLPPPSLSFSSYIILRSKLSLNKILQPPFNKPIDDHEIGSNLKQVSSGPISHLNYPSYVEECANACHARKSAPGVQLGLSELQLGVNQWFKSLMFSNP >A08p021090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14191036:14192863:-1 gene:A08p021090.1_BraROA transcript:A08p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKPTSLIVSITFFFFFCLLVQPSSAQTVVKGSYWFPESEFPVTDINSSHFTHLFCAFADLNSQNNQVTISSTNQPKFSTFTQTVQRRNPSVKTLMSIGGGIANKSAFASMASNPTSRKSFIDSSIRLARSNGFHGLDLDWEYPSSATEMNNFGTLLREWRSAVAAEASSTSRPRLLLAAAVFYSSDYYSVLYPVQAVASSLDWVNLMAYDFYGPGWSTVTGPPAALNSPSNAGPSGDAGVRAWIQAGLPATQLVLGFPYYGYAWRLSNANSPSYYAATTGSAISPDGSIGYGQIRKFIVDNGATTVYNSTVVGDYCYAGTTWIGYDDNQSIVTKVRYAKQKGLRGYFSWHVGADDNSGLSRSASRAWDATTVIRRKF >A01p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11184231:11185861:1 gene:A01p022720.1_BraROA transcript:A01p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g16470 [Source:Projected from Arabidopsis thaliana (AT4G16470) UniProtKB/Swiss-Prot;Acc:O23491] MLVSKQRTSISSSLYQKSHSSSSSSISRLLSDFGFTMTSVSMVSTLELNRNESDSDSKFSGKATAILRRMLAQRKIPSFQVEHHRKKEQPDKTLQGLCITGRLKEAVGLLWRSNGLQLESDTYSMLLQECKERREYTKGKRVHAHMVVVGFAPSEYLKVKLLILYALSGDLQTGGILFRGLQSRCLISRNAMISGCVKKGLEQEGLFMYYDMRYCGFVPDQYTFSSVFRACSALASLEHGMRAHAVMVKTCLKSNVIVNGALVDMYFKCSSVSDGYKAFDQFLDRNVVTWTSLMSGYGYHGQVSEVLKCFEKMKEEGCRPNSVTFLVVLTACSHGGLVDQGREHFDSMKRDYGIESEGQHYAAMVDILGRAGRLQEAYEFIMKSPCKKHAPVWGSLLGSCRNHGNVELLELAATRYFELDPTNGGNYVVFANGYASCGLLEAASKVRRRMENAGVEKDPGYSQIELQGQVHRFMRNDTSHRLSRKIHNKVQEMASLFMDVDYYPDDLDTN >A09p083520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60134078:60135106:1 gene:A09p083520.1_BraROA transcript:A09p083520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKDNNHHHHHQGTTNSHHMKSSSSVAPSSAASITLSQQSSWLEVRLFYVRIAPCVVESVPDSLTLRHPRRETGASLEVNGVRIPSSQTASLKLRRDRVDRESSEATYVSTETVRVAGYVDLEVYDGEDMVLCGNLDRIEGAWSNNGTVSDPKTGWGLDCYMAMGGNGSSSSSSSALGVSVEVYIAGCCGGVPVILTKTIQASPRRKVARHVTLDSIPEDEEVDKDHHVVATGDGHIMEELEVDDESEMKMGQSYYYYPEGMYVDEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTSNLRRRFF >A05p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6728698:6731809:1 gene:A05p015210.1_BraROA transcript:A05p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPDSLYEDYSTSKLTLPSASSFAPTTTVVPFPDMDTIIQWINDLHNEIPFVSNSALQNLVTHRNTYEILPLLLWKSPCTMAMMLQEIVKIYPHISTPVHSREGKPPRAYNILLLFQCIAHYPETRGYFLKAEMPHYLFPLMDINLTDKPIECLRLGALGVLAHMLKAPIDGAAVRFLMDNGALRYCTKAIEIGSTESKTVSVFILNKILSTNEGLQYCCVLADRFFLIDGLLKNLLVYLSSMTNPSPSLFNLIAGCYAKLSQKPRAREGLWRFPPVMLLNGTFASLFAEDPDAVNYRKQLIRNMQSKVMK >A06g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17982282:17983195:-1 gene:A06g506400.1_BraROA transcript:A06g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSFHKSGVDQRRGDITDYGVGKGEYYSLNAPLKNGLDDASFATLFVPIIQKAMEVYQPEAIVLLCGPDSLSGDALGKFNLSVKGHGACLGYIRSFNVPLLLLGGQGHTLGNVARCWCYETGVAVGKELNDDCPMIADDDCFAPSYKLHIEPNPMENLNTDGDIAKIKKTLLKQLSQLIHAPSVQFQDTPPISQVTEAEEEDMETR >A04p008790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8020359:8022343:-1 gene:A04p008790.1_BraROA transcript:A04p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLLCCTCAIFSASCLNNGVVEDDRQVKFGNLFHIAVNFTDGMFKGVYHGKNCHLPDIATVLNRAWSAGVDRIIVTGGSLEESREALAIAETDARLFCTVGVHPTRCSEFEESGDPEKHYQDLFSLANEGMQKGKVVAIGECGLDYDRLQFCPADIQKKYFEKQFELAYATKLPMFLHMRAAAADFCEIVERNKNRFTGGVAHSFTGSALDRDKLLSFEKMYIGVNGCSLKTAENLDVMKGIPVERMMIETDSPYCEIKNTHAGMKFVKSTWPSKKKEKYDQECIVKGRNEPCLVRQVLEVVAGYKGLGDINQLSSTLYHNTCRHVLSILSEVFFPQDLDSAADALLSGHHNTN >A01g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14458132:14461201:1 gene:A01g504880.1_BraROA transcript:A01g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDDPRLSVISGIAKMFVGELVETARLIMVKRNEAGHIRPCHIRESYRRLKLQGKRREEGDRPLNLVYESYPCDLSVINGYDCLQTLCAVEDRYPVRSDPLRSARLIMVKRNETGHIRPCHIRESYRKSKLQGKVIRRSVPRLSAKSESDSEKDKIITSFFFIDSCLLNTIYCNDPDPNEVIKNKPGSRGRRIITYFLLGVFR >A01p048930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27521355:27521906:1 gene:A01p048930.1_BraROA transcript:A01p048930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSAVTSPPSLSLFLLFLLPFLAQSSDDLIDTICKATPFFDLCEASLRPLSPSPPDTKSIASAMANVVLGNMTDTLGYIQSLIRHSQDPAAERALAQCAEVYRPVVRFNIPQAIEAMGRGEFGFAMYVLGDAQKQSDSCQKWINSAGADDESSVPLTARNKLVKNLCDVAISVIKSLMNGR >A04p025050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15160561:15160883:1 gene:A04p025050.1_BraROA transcript:A04p025050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKSFMIKKKLAKKMRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >A09g519050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58264019:58265227:1 gene:A09g519050.1_BraROA transcript:A09g519050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGGVSCLRSSEMMRVGIGGMESQPLDLDEVHVLAVDDSLVDRIVIERLLRITSCKVTAVDSGWRALEFLGLDNEKASAELDESSSFRQVPVVIMSSENVLTRIDRCLEEGAEDFLLKPVKLADVKRLRSYLTRDVKLSNANKRKLPEDSVPVNTSLPPPPSPFTISPDSSDSSPPMTISPESSDSSPPLSPLEISSSPLSTPIDDEDDDVLTSSPAPEESPARRQKMRSPLD >A01g507520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:21489592:21490170:1 gene:A01g507520.1_BraROA transcript:A01g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTLMDYFPILELPEEIQVLVVERVAGNSFTNLYGLRASCKTMKALAERSRVNHFYDVLSIPMRLNIPPGLFKTCYAERNPSTLYMKGVQFFFTFNLQEEGLPFMKLAADEGYERAVYTYAMTRKIYWDDEEYFARFTRESVDRISILVRSLKWAWGLSHNDEFMAKRDEFISTVVPLFYSCQCVPVMERD >A10p020920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14131670:14134478:-1 gene:A10p020920.1_BraROA transcript:A10p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGNDNMNRNKRSLDGNNGDDGDQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARLTGSSGSVSGSSPKRIEGPDGRNLRLQFRSRLSLPLFTGGRVEGEQGAAIHVVLIDANTGRAVVYGPEASAKLEVVVLEGDFNNEDDEGWTQEEFESHVVKERQGKRPLLTGDLYVTLKEGVGTLGELVFTDNSSWIRSRKFRLGLRVSSGCCDGMRIREAKTEAFIVKDHRGELYKKHYPPALNDDVWRLEKIGKDGAFHKKLNAARIFTVEDFLRTMVRDSAKLRTILGSGMSNKMWDALVEHAKTCVLSGKLYIYYAEDSRNVGVVFNNIYELSGLISGDQYYSADSLTESQKVYVDGLVKKAYDNWNLVIEYDGKSLIDMKQPQRLGITQADEANYSTAAINHPMQMAGQSSSVPANQPPVLSDFAIGGYDQTMATRYPPYPQLLVSNPRAQFEVASGGTAQDQLMGNLHQGQSSMNNQNMNGMLALGPPQASTSGYQNINSSSVQQANLNPFEDWSNHRERGAEEFFSEEEIRLRSHEMLENEDMQQFLRLFSMGGGGGGGNGSASHLQEDGYTFPSFLHTPMQGYDEDRGRSGRAVVGWLKIKAAMRWGFFIRRKAAERRAQIVELDDDDEE >A01p024670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12303407:12304742:1 gene:A01p024670.1_BraROA transcript:A01p024670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGRRSGGVLIKKRSSSGCLILKKKSDGLGDFSSFPETSDSESSDKPLMAHYGNVEETRFGVKRDYPEDCFLGNSREWKERHRFEDDDDDEEEVVELLRRSYDGSKKSYMGSAQFGTNRGCGTASSSKYLDIERKRRRPSLDKKNYKLDMDSDRPIRVQGKNGVLKVMPNKHNRMLRGLPQSSTHLQPQKPGETGKIRVAIQSQPTVKTEIMLPPARIHSNGLKIPPMKSKGHDQDSEDSDSSGRLQKRITQPHNVLKIERDKTLPEAAALPKTREGKVKRGSGTEKQRLRERIREMLLEAGWTIDYRPRRNRDYLDAVYISPRGTAYWSIIKAYEALLKQVNSGEEVAKPREVGSAATLISDEILSQLTRKTKRKIEKDMKRVEECANDSDGQGSLGDFTAPLDDGLTHGSLDLSG >A06g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13965452:13966576:1 gene:A06g504460.1_BraROA transcript:A06g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVNLQYQSLNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVQSQPQPHSPMPRGRGGRAFRGGRSGDPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGDRGHYATSCPHTHLAQHVVSSARPARPVNPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISGLFSYPIECCIIFLMICELVI >A03p063230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27460220:27461419:1 gene:A03p063230.1_BraROA transcript:A03p063230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGDLQQFSNPKVELEQYPTGPHIASRMLFTAENSYGDITDKVVADFGCGCGTLSAAAALLDASCVIGFDVDPQSLETATLNAEELEVEIDFVQCDVTKLELKGQVVDTVVMNPPFGTRKKGADMEFLSAAMKVASQAVYSLHKTSTREHIKRAALRDFNAKSAEVICELRYDLPKLYKFHKRKEVDIAVDLWRFEPRQN >A10p031960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18996437:18997537:-1 gene:A10p031960.1_BraROA transcript:A10p031960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAKQLFFFNKKINKEKELFISSINIPSMFPSSYTALCLLPPSPSVIYLSTLFGTMDKVKLVKNGVMRLPPGFRFHPTDEELVVQYLKRKVLSSPLPASIIPDFDVCRADPWDLPGNLEKERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKRVVTSRGNQIVGLKKTLVFYKGKPPHGSRTDWIMHEYRLSSSPPSSMGPTQNWVLCRIFLKKRAGSKSDGDEGDNRNIRYDNDHIEIITTNQTEDKTKPIFFDFMRKERTTDLNLLPSSSSSDHASSGLTTEIFSSDEETSSCNSFRRNL >A05g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26126278:26131032:-1 gene:A05g508800.1_BraROA transcript:A05g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVIFVCDSVCLEKPYVCYGTYLTSLRYEIEFFSRSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVETRTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A02p059290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35412838:35415832:-1 gene:A02p059290.1_BraROA transcript:A02p059290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCN5 [Source:Projected from Arabidopsis thaliana (AT5G64840) UniProtKB/TrEMBL;Acc:A0A178UAA3] MGLSTNLHTINLRSTLFPGGLRPSPNFIKVSSFPTPRRETSATIRSSQLSTIAPVKEQQNDVESLFSTPTSQQDSDRKRNNGKNSSSGVSSGVKLENISKTYKGLTVLKDVSWEVKRGEKVGLVGVNGAGKTTQLRIITGQEEPDSGHVIKARPNMKVAFLSQEFEVSMSRTVKEEFMSAFKEEMEVADKLERVQKAIEGSVDDLELMGRLLDEFDLLQRRAQAVSLDSVDAKISKLMPELGFAAEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQEVPMVIISHDRAFLDQLCTKIVETEMGVSRTFEGNYSQYVISKAEWIETQNAAWEKQQKEIESTKDLIARLGAGANSGRASTAEKKLEKLQEQELIEKPFQRKQMKIRFPERGTSGRSVVTVKNVDFGFEDKMLFKKANLAIERGEKIAIIGPNGCGKSTLLKLIMGLEKPTKGEVILGEHNVLPNYFEQNQAEVLDLDKTVLETVCEAAEDWRSDDVKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVTPSNVLVLDEPTNHLDIPSKEMLEEAINEYQGTVIAVSHDRYFIKQIVNRVIEVEDGCLEDYAGDYNYYLEKNLEARAKAVEREAELEEKAPKVKAKSKMSKAEKEARKKQKMQAFQQAKQKSKASKNSKRWN >A06p011600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4327488:4328090:-1 gene:A06p011600.1_BraROA transcript:A06p011600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAEDFAEFSALFERMIRRGEGLSRFLPLILALAGEADEDTDQTATHREIVIDPVNRRVVMIRSALGEFLNGDFSEKQGRSPASKSSVESLPRVVIGKDEEERRGSCPICLDEWSEGDVAAEMPCKHRFHSKCVEEWLGRQATCPLCRYEMPVEEVEEEKKVGVWIGFSVGAGERRNGEEDGGGRSEGGSNTRDETEA >A08p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:285498:295302:1 gene:A08p000490.1_BraROA transcript:A08p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) UniProtKB/Swiss-Prot;Acc:Q84VX0] MTVGAGISVSNSDLVVFGHCVLRGVPENVVVTRALGNSLIDGAFIGVSSDQTGSHRVFPLGKIEELRFMCVFRFKLWWMTQRMGTHGKEIPFETQFLIVEANGGSDLEGDQPASYVVFLPILEGDFRAVLQGNQSNELEICLESGDPTVDQFKGDHLVFVAAGSDPFDVITKAIKAVEQHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTASDVKKGLQSFANRLTHIKENYKFQKDGREGHRVEDPALSLRHVITDIKSNNSLKYVYVWHALTGYWGGVKPGVSGMEHYESKVSYPVSSPGVMSNQNCESLESITKNGLGLVNPEKVFTFYNDLHSYLASVGIDGVKVDVQNILETLGAGHGGRVKLAKKYHHALEASISRNFPDNGIISCMSHNTDGLYSAKKTAVIRASDDFWPRDPASHTIHIASVAYNTLFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCAIYVSDKPGQHDFNLLRKLVLPDGSILRAKLPGRPTSDCFFSDPVRDNKSLMKIWNLNDFTGVIGVFNCQGAGWCKKEKRYMIHDQQPGTISGYVRTNDVHYLHKVAASEWTGDSVVYSHLRGELVYLPKGTSLPITLKSREYEVFTVVPVKEYSNGTKFAPVGLIEMFNSGGAIVSLRCDDDGTNCVVKMKLRGSGLVGVYSSVGRPRNVKVDSEDVEYRCDGESGMVTFTLGVPEKELYLWDVVIQL >A02p037860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20234795:20235148:-1 gene:A02p037860.1_BraROA transcript:A02p037860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPWCWTISLKVQALETGLKPRGSGAVGGSWGSVRQLMAKGCKRENDTFSATTPTPLPQRRFRLPRSINTRTWGQFWINNSLGKPLPKFAREYPKERKRERPAVERENRVKAWLFR >A02g503160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10464683:10466209:-1 gene:A02g503160.1_BraROA transcript:A02g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPPALSPEGIPRVMIPDEVFERGALQHKDFVVGRFFGRVPAFKIIQNVLNFLWGKGNKLEIHMIQSTRSMIVRIPSDYIREKVLKKRIWYVDTAMFHVAQWSDGEVADTSSLEVIPIWAHLIGVPFDLMTNEGLGWIADALGEPKEMDDWTKNLSSLSVAHVKVEADATKPFPTVLELVRQSGAMFRVEVEYPWLPPSCSHCKELGHIIKDCLKIKRQWVPVNKAKGTQDSGNTPDPVVITVHEPMSEDPQASNPNGIVEDSSRAQTPPPPSSIGDPKPVSTAMEIDPLRSPLASTLPPTTPNPTPPCPLLHPPQSPTLPSSPNPLDSPISPCLPPLNYVLALAATVMPKSSILPHTVNSCAALSVPADPPFPLPEDVFVSQAPYLITNDVVAFPPLSSSKWESPKRKKKYFTKLTTPPPPVKNSSSFNSFSPLTDSSLSHPSLPCPASSSTSRPPDQNPSNHSSDLTSSTHPTSSSVLPPVPSFGESYPVAGALLPQGVPPSYL >A04p040610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22899508:22900110:1 gene:A04p040610.1_BraROA transcript:A04p040610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLISFHDVAKHKCKNDCWILIHGKVYDVSSFIDEHPGGDNVLLAVTGKDASTDFDDVNHSNEAKETMKKYYIGDVDKSTVPVTAKYIPPWEKESTAETTKDESGNKMLVYFVPLLILGVAFFLRFYNNKQTT >A03p028290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11858037:11859134:-1 gene:A03p028290.1_BraROA transcript:A03p028290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTRTRFHQQDETMSQSVDPQTDLVGHNNTKAITNPLTLSLLIGLNNNKCISDSDILRSPKSPLEFRVLSKMAEPFFLRSPRSSLTAHLNCCCVPAKVGLSIVDSLGDDGVLSPDVVFGPALRLKFSEIKDKHPRLFPETKSLDVEKKRSGVIFEIGDETEPIGLANRSFSEDDCPRKARVLPQSKAIAEEYFPGIGSDSTASSSCLSEDDMEMSEDYTCIIAHGPNPKTTHIYGDRVLECNKNEAIHKEKQFETELESMFPMDHFLSVCNFCNKKLEVGYDIYMYRGEKAFCSEECRSEEMMMIDEEEDLEDSCLTMHESLKKLF >A06p007410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2566232:2569393:-1 gene:A06p007410.1_BraROA transcript:A06p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIKILRNTVSRSHPQAFSRTSSLLSTWYSPESISPPPQADDDDDDPVLVKLSIAIRDSNSSFTSSAAIRNLLPSLTARHVLDLINRNPLSLPHNSIFTFFKFISSQPGFRFTVDSYFAMARFLAVHKMFDEAQSLIALVVSRKGKNSASSVFVSALETRGSDFLVDALMMAYTNAGFVPDAIQCFRLSRKHKFTVPIRGCGSLLHRMMKVNPTETVWGFYMEILDAGYPSNVYVFNILMNKFCKEGKMCDAQKVFDEITKRSLRPTVVSFNTLINGYCKAGNLDEGFRFKERMERGRTRADVFTYSALINALCKENKMDGAHGLFDEMCERGLIPNDVVFTTLIHGHSRNGRIDLMKESYQKMLSRGLQPDIVLYNTLVNGFCKNGDLVAARNVVDGMIHRGLRPDKVTYTTLIDGFCRGGDVDAALEIRKKMDQNGIELDRVGFSALICGMCKEGRVVDAERALREMLRAGMKPDDVIYTMMMDAFCKKGDVQTSFRLLKEMQRDGHVPSVVTYNVLLNGLCKLGQMKNADMLLDAMLNIGVVPDDITYNILLEGHHRHVNSSKHYIPKPEIGTVADLASYKSLVTGSEGHEVKRRRNLIIAVAF >A02g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12958451:12968355:1 gene:A02g503880.1_BraROA transcript:A02g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMKEPNFQYNNYQQKSYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSVDAIPVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A10p025810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:16503292:16503726:1 gene:A10p025810.1_BraROA transcript:A10p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGIKATILFDFWKTDSWLSYILTLLACFAFAAFYQYLEKRRIQFKSLSSTRHPNPPPRAGVSAPLIPKSGTRSAAKAASVLLFGVNAAIGYLLMLAAMSFNGGVFIAIVVGLTVGYLVFRSDDDGADVAAENPCACA >A03p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9283779:9284526:-1 gene:A03p022060.1_BraROA transcript:A03p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDETMDRLFPKPERKEMLRSTYVMFDAEDFSIPNPHLLKENILTAIKKEGYRGRINIKGYFGDKKTIPQELLDKYLEAEIYSKIFEGDRVARMNMMLVELLFWAMSHYPHGTNVLIITKNQNILERHKVWNVIERLVERDFYFAIEHPDTFFPPTSPTCA >A08p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2381171:2383820:1 gene:A08p004100.1_BraROA transcript:A08p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMVSPLTSQLNHESVCSKFVLPKSPFMSGSKLFSSNMPCSSVPRRTRRSNCFASAKDMSFDHIPKQFRGDNLKDGVTQNFKNVPQYFYGLNPAQMDMFMTEDSPVRRQAEKVTEESISSRSNYLDNGGTWSMSGMNAADPKRYSMSVQMYRGGGGGGGSARPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPSKPIYLYINSPGTQNEKMETVGSETEAYAIADTISYCKSDVYTINCGMAFGQAAMLLSLGKKGYRAVQPHSSTKLYLPKVNRSSGAAIDMWIKDIKRPKYLQAQAAIDYGIADKIANSQDSSFEKRDYDGSLAQRAMGRPGGGNPTSPAGLR >A08p015710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10270815:10272179:-1 gene:A08p015710.1_BraROA transcript:A08p015710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 75C1 [Source:Projected from Arabidopsis thaliana (AT4G14090) UniProtKB/Swiss-Prot;Acc:Q0WW21] MANSVNGCSHRPHYLIVTFPAQGHINPALKLANRLIHHGATITYATTISALRRMGEPPSTEGLSYAWFSDGFDEGPKSFEDQKNYMSEFKRRGSDALSDLIRANLDGNAAKHPITGVIYSVLIPWASTVAREFHLPATLLWIEPATVLDIYYYYFNASHSHLFYKEPIKLPKLPPFSTRDLPSFLQPSNVLPSALVTLREHIEALDWESNPKVLVNTFSELEPDALTSVEKLRMIPVGPLVSSSDDGKADLFRSSDEDYIKWLDSKAEKSVIYVSLGSHGDGLWEKHMEALTSGVLATGRPFLWVVKETKAEEKKSCFVDLIRGDDKGLVVGWCSQTAVLAHPSVGCFVTHCGWNSTLESLENGVPVVAFPQFADQCTTAKLVEDVWGIGVRVKEGEEGHVDGDELRRCLEKVMGDGEDAEEMRRNAARWKTLAVDAAAEGGPSDLNLTGFMEE >A05p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21553752:21554939:-1 gene:A05p037900.1_BraROA transcript:A05p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSALSNVVRRTVISNQQRRIQEGLEESSWDMYVETEDGISHYEDSSMISDAASPIIYEKGLNKKGIMNEEYCAELKKRGLCLVPLSMFSILGDLWTIYKHVVLPRSARKQPSFVVHIDAELPPWFTEST >A01p044310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24436215:24441697:-1 gene:A01p044310.1_BraROA transcript:A01p044310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSTDNEKNRSESLSFVLILKKLINLGKVDVKDVVAEIGVNPDALNAKLMFLCYSIYDVSCCQRRGTPTVARKRQEPQACTSIKKFKAPLTVLKCRAANCTEGIVMLDSDIVDPAEICTDRTGPQPNAWLHPTATQSAAAFLHLPVEDEIWGRNGGGQRSDGRCDLVPWANKFWQPKPTWRCCERWLLKAEAKLVMAKGIKVVKLEIVITIAEGFYSCGSSESRVCTECTIAEDGPVFFICKEDGNVSISISSLTLFTFKMAKVATKSRFRKKHSVDLDAEKLQDSYAHSVIDTGVSTSTSLQSYCGAPYEEQSKEHYAATRIQTAFRAFLARRALRALKGLVRLQALVRGHSVRKQAAVTLRCMQALVRVQARVRARRVRLALESETRQQLQQQLADEARVREIEEGWCDSIGSVEQIQEKLIKRQEAAAKRERAMAYALTHQWQAETRQLSAHNGFQPEEKNWGRNRFLDSYLVEDAKLGENNIEQAENVHKTQMKSASKMQNTSNLVSGVSSQKATWPPSLSDGDSSSPVISSSMPVVSKARSKPTKDDLAVEVNSRPVAGLRSRKPDRSSRGRLSLPNSGKSLGSQSAKAKRAGTLTPTSQKVAVEDNSAQNQRRRNSEPTK >A10g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8684538:8684904:1 gene:A10g503340.1_BraROA transcript:A10g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIYALHKAYGAYPTHQPKVDFRPKGSRTFLPDPTQRLDPYHRVRPNPKPDCLATDQTLLRNTPVKLSVRPFDYAAMDCPLGSA >A04p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21338324:21339420:-1 gene:A04p037390.1_BraROA transcript:A04p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAISLFLFVLFSVTSARSFVTTRPRPVDSFLPKPKLEGAKVCSYTVIIKTSCSSVSYTRDKISVAFGDVYGNEVFVKRLDDPKSRAFERCSSDTYKITGPCMRDVCYLHLLRQGSDGWKPENVKIYGSSIRSVTFYFNLFLPNGVWYGFDVCNGLANGKSSQSSASDKSSQPIIAAVAAM >A05p021190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10047928:10048302:1 gene:A05p021190.1_BraROA transcript:A05p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGIILIVCSGIFGLTIILIGCLKKKREKRTVTKEPINQKSRDAGGFTFYPAAASLPPVSSSAHHGCKTKQTRSNGIGGGLMFLSAASVTASVSSNHGYGGGGGHHGGGGGGGGGGGGCGGG >A09g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8625148:8625703:-1 gene:A09g502570.1_BraROA transcript:A09g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDGDFSNDDTLEDDEKRSSLSLGTTSLWKEHLVTTNNNHTIQFKISSQKMSSTPHDSPIHDFPIHDSHLHDSLQLDNNEFCTTLKLPRR >A09p047630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41365622:41372189:-1 gene:A09p047630.1_BraROA transcript:A09p047630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHGIIEKPNQRPHGCHKHGRRNLHLRRRTRLNREERVRLTKRIIENSFPLNPFVYGNNPFIELLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDLESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLRRTRLNREERVRLTKRIIENSFPLNPFVYGNNPFIELLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLSYISHSYALHSKLGLSCTDRLVLQAALNMSIFTFHNRLLRCVSRLFRLSTKGSATPSRRATMKQGYKKIKKHEHHHQHLLRKRHDKKRTIFLDLDETLVHSSMEPPLRVNVDFMLRIKIEGFVTPMYVVKRPGVTEFLDRISKNYRVAVFTAGLPEYASQVLDKLDKNRVISQRLYRDSCTEVNGRYAKDLSLVARRDLGSVLLVDDNPFSYSLQPDNGVHIKPFVDDMEDQELMKLADFFDGCYQYEDLRDAASELLYKYNKMI >A06g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7940669:7942588:1 gene:A06g502260.1_BraROA transcript:A06g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLLRYIYDPTYTIFLSVDFVRGEASLSLDETDLSFEVIENMASSEVSTKGNHRGGIENFSAAFAGGEAEITQSNRSVGAERVVDPETALYKELWHACAGPLVTVPRQDDRGSIEKPRWRNSRCLSMIFLRRSFVESLIGAYAQITLFLEPIQDENAIEKEAPLPPPPRFQVHSFCKTLTASDTSTHGGFSVLSRHADECLPPLDMSLQPPTQEGENGELRVGVRRAMRQQGNARRKAHRLQREQFPLLCFFTRSVEIIYDAILHMVAQKHFHELIEIQWYLQMMCEKQLKLQDTRSSSSMP >A08g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6396777:6398989:-1 gene:A08g503630.1_BraROA transcript:A08g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLKLRELAYQFIRFEINDGHTAFFWHDDWLQMGSDTIQITLRNVTLVKRGSDVVKNSSEHFRNLAWLWVLSPLDPKCRVSNVSTSIDGTCVHRSILIFICRGISLCRSTALDAHRSIVLSLVDLYMVSSGRLMDITGDVGTYYLGIARTAQVSEAVLGQQWNIRGHRSGHNHAHHAHIQNERVPLDEHGRDVVLWKHAENTYKPHFSSSKTWDQVRVKNDKVVWSKSLWFPQGVPRYSFIVWLAIKDRLSTGVRMRAWGIQQGCLMCGERDESRDQIIFACPFTFTIWNRLAGRLCGRRINPDWSLTLQFVTRNTLSSLDKILVQMVFQTCIYYMWKERNDRRHQKGYHSTEQAIRIIDKAIRNRISSLRYKPDHKLAGLMQRWFEVFDYT >A09p061380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50624693:50626223:1 gene:A09p061380.1_BraROA transcript:A09p061380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPIVKTSLGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDAVVVQRIEERLSALGNCIACNDHVALAHTDLDKETEEIIADVLGVEVFRQTIAGNILVGSYCALSNRGGIVHPHTSVEDLDELSTLLQVPLVAGTVNRGSEVIGAGMTVNDWTAFCGSDTTATELSVIDSIFKLREAQPSSIVDEMRKSLIDTYV >A03g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2570195:2571057:1 gene:A03g500880.1_BraROA transcript:A03g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTRRWRINMEDNSFTVDLPSNGTMRHRGDFRWRVEGDLLPDSWVNRRRLPGRRYMVGLIPTLVRNSYPSGWYELDIVGNNIWPIGLCFVVKTRLLSSDILVHRLWLKSVPVPDSSCRVMKSWFLVTSGDGIVRQAIGDSDKSELGRRRVLGSTSGVYRMVGRGQHRYFQIIALCYSGGFLLWIVLCFV >A01p014650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7087898:7088449:-1 gene:A01p014650.1_BraROA transcript:A01p014650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAVNPKAYPLADSQLAITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASQLKSQIQQLKDAIEKLLI >A08g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14650966:14659319:-1 gene:A08g508130.1_BraROA transcript:A08g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQAFEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMKQNVQNIDADGYAKMLDSARTMERLRALQLEKRDFRGTGEQPATPAAAIQTESGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGTDQQRDHHHDSGVFQLSDPSSRKHCTALE >A09p048110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41673625:41676140:1 gene:A09p048110.1_BraROA transcript:A09p048110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGLPRSPRPETRDKEEKSGRRSLDSLTGNDLFIGRRIFGSEVSKAQGSKHDQSCGSSNKFSYSWKQQHSWLRRNFKSILVMISVTSFIFFMDSIMVSIFHSDRSSVMQDISRLSNDTLHKNGSSQDASPVQMYSRLLNLASNSLAKNVFQPDTPTFQEERSSKSSQWKPCADNNKQAEALEKSREMNNGYIMVSANGGLNQQRVAICNAVAVASLLNATLVLPRFLYSNVWKDPSQFGDIYHDERFIEYLKDEVHIVKDLPQHLKHIDNKNLSLVTDAELVKEAKPDDYIKHVLPLLKKYGMVHLFGYGNRLGFDPLPFDVQRLRCKCNFHALKFAPKIQEAGSLLVKRIRRYETSRSKLDEALLGESMVKYSAKEDQEPLKYLALHLRFEEDMVAYSLCDFGGGETERKELQAYREDHFPLLLERLKESKAVSPEELRKTGRCPLTPEEATLVLAGLGFKRGTYIYLAGSQIYGASSRMLPLTTLYPNLVTKETLLTPQDLAPFKNFSSQLAALDFIACAASDVFAMTDSGSQLSSLVSGFRTYYGNGHAPTLRPNKKRLAAILSESETIKWKTFENRVKKMIEEGHKVRLRPYGRSIYRQPKCSECMCKF >A09p051090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44662463:44667450:-1 gene:A09p051090.1_BraROA transcript:A09p051090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKKNTKSKLNKEGEPQSSHNNIYISFLSRRRRKETFKGRGAKRSNQTFPRNLRPRKFVNASTIHGIERERETESSRILFRFTSQLSKGLAIMSSELKQLIVVVEGTAALGPYWQTIVSDYLLKIIRSFCGTELNGERNPVSNVELSLVIFNSHGSYCACLVQRSGWTKDFNTFLHWLSSIQFAGGGFNEAATAEGLAEALMMFSPPSGQAQPSNDLKRHCILITASNPYSLPTPVYRPKLQNPERYENGHAQSESRLSDAETVASYFSRCSVSLSVMCPKQLPKIRALYNAGKLNPQSADLSIDTVKNAFYLVLISENFVEARAALSHSATNFPQTTQSPVKVDRATVAPSLPVTGQPLPPVPSANGPIMNRQPVSVGPVPTATVKVEPGTISSMAAVPTFPHIPSVARPATQAIPSVQTSSASPAENAPDIKPVVGGMTPQLRTGPPGGANVNLLNNLSQVRQVMSSAALAGASSSGQSAVAMHMSNMISTGMATSLPPSQTAFSSGQQGNTSMPGSGALAGTEQAGQIPAPNNAFSPQTTSNVASNLGVSQPMQGMNQGSHSGAQMMQSGISMNQNMMSSIGQGNVSSGTGGMMPTPGVGQQAQSGIQQPGGSNSSAPNVQLSQPSSGAMQPSQSKYVKVWEGNLSGQRQGQPVLITRLEGYRSASASDSLAANWPPNMQIVRLISQDHMNNKQYVGKADFLVFRAMSQHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQIQNQQQQQLQQQQQQIQQQQQQQQQQIQQQQQQQQIQQQHHHQQQQLPQLQQQQQHQMSQL >A01p007960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3847419:3848734:1 gene:A01p007960.1_BraROA transcript:A01p007960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-9 [Source:Projected from Arabidopsis thaliana (AT4G30430) UniProtKB/Swiss-Prot;Acc:Q9M0B7] MVRCRNSLVAIFNFFVFLLSIPILFSGIWLSLHGSTQCERFLDMPMIALGAVLMVVAIAGVVGSCCRVTWLLWFYLCAMFLLFVIVVCFTIFAFLVTNKGSGETIPGKAYKEYRLGDYSEWLQKRMNDNHHWKSIRSCLYDDKFCNRLELFSDIYRNASAFSKKDLNSLESGCCKPSNDCNFTYVSPTTWNKTSGPYKNPDCNTWDNDKEKLCYDCQACKAGFIDNLKTSWKVVAIVNIIFIVALMIVYAMACCAARNN >A09g512310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36044867:36045507:1 gene:A09g512310.1_BraROA transcript:A09g512310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLRFILFEELGGFEVCFLLCSGGRVFGAEIESKASWVGLSFCPLTGLSSFRSFRLLSPLRLRSPSPVLLMSVSPDGLMSLSFLGGFCSVKQYKGVEVLSFLMKCFWLCIVNWRFRYVSLVSASLTISCACVVLAKSSGCSMIR >A07g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19116086:19116573:1 gene:A07g507260.1_BraROA transcript:A07g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKALIASLLISLLVLQLVEADVHGASSQVDQTFVTERAGLVAPGATVCHLAPTGTTTSASATLTSPPTVVAASALKY >A06g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23447212:23447567:-1 gene:A06g508350.1_BraROA transcript:A06g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNPAVRAWRFRVKLYRIYPFYSCVTNSGSYYNYIFADEDGYKMEMNTYGNYKNFRGLEKEEGRWWKSLW >A05p047050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27817838:27818903:-1 gene:A05p047050.1_BraROA transcript:A05p047050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEKEILAAKLEEQKIDLDKPEVEDADDNDEDDSDDDDKDDDAEGQDGEGGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNIMFVISKPDVFKSPASDTYVIFGEAKIEDLSSQIQSQAAEQFKAPDLSNVVSQGESSSSASAVVQDDDEDIDEEGVDAKDVELVMTQAGVTRPKAVKALKAADGDIVTAIMELTT >A05g501710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5979555:5979728:-1 gene:A05g501710.1_BraROA transcript:A05g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKRSPSMCASVGIQGIAWAFGGMIFALVYCTAGISGKSPPHSRFSDLTVSSFFII >A02p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7715849:7717651:-1 gene:A02p017190.1_BraROA transcript:A02p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSSISSFRTSKLFSHKEDEQKMYADSGLMLRYMQTCSPDIHQFEDLFKSYKLSDDEMRNAFAGPSNIPEYDTGEEGDLFKAPDSILEESILTVDPLSAALTMISCGEDSSQGLCDLPDLDLGLFQSGQQLLDKAFYECEQGLTMKSAMMESPLADVLDVKNISLVTTGIDESHDTQKTVSSGNLSSMDRSHAHQEAVVVQNFPDFSHLDFSSDYGMRRAFSEGDIQKLGTGHFQAPLDRIIVSCTSEDRREKLSRYRNKKSRRNFRRKIKYACRKALADNQPRIRGRFAKTEERK >A02p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4483960:4485359:1 gene:A02p010400.1_BraROA transcript:A02p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVEDDEPRAPVEGGCGNIVGRGVVDGDGGEENHVVEIAGDATVNGIIAGSVAGEGEFSAEKVAQEARSTDAPSSDVPDPSTILPNQLTIFFGGKVCVFDGIPAEKIQEIIRIAAAATAKSIETKNSTSVKPVLSPALNRAPSFSSTSTGASPAAPSLPVNPIPFCRSAADLPIARRHSLQRFLEKRRDRLVNKNPYPASDMKKTDVPTDIASIKEESPIA >A09g513070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39698480:39699026:1 gene:A09g513070.1_BraROA transcript:A09g513070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLLSFSFFINSFFFSFFKVLIRSIIQCRICYPFSGLSLKTKRFYSPWKKLSSKKRFDNYGKYGLLCGADGPAHLIVNRDRRPEALGRV >A02p014070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6164056:6164463:1 gene:A02p014070.1_BraROA transcript:A02p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVVFGLQLFLGTPFGDAHISVYGASSGVVAAAYSSPLFCIWCVVVVVSMSLSIPPSLGDRDGSVEDGDSFQRCDLF >A01p029380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20850628:20851569:-1 gene:A01p029380.1_BraROA transcript:A01p029380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDLNNQQTRHGNTADDNVENTPATNVTAVNVNTAAFEEVQKTFSTFEKKSVENLTARTRAVLPRRTTRVRGRRLDFAPLDRSGNAQGKTSRQNPDEITHGPTRKNPGDLPPFSEDNEEGEIGHVAVDSSSQSKSTDEDADVHPRRTRRRVSQDHSQFDNPITEEEEAIFWDKQQELAEEQTRNTCGKHRQGRKSASKKCEIRDLRDHLLKTVAEVRAVKSQIHHATSTAPEIDLLHEESRKKPYTTCITRTRVSDLGKVKVTPYDGTTDPKAHLQAFQIVIGRAKFRESENLQDRYG >A07p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:252932:261348:-1 gene:A07p001170.1_BraROA transcript:A07p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-dependent malic enzyme 1 [Source:Projected from Arabidopsis thaliana (AT2G19900) UniProtKB/Swiss-Prot;Acc:O82191] MITSQRSVIALPARLETVLEKIYSKHKCPPINDDSRQRLSSIPEDLAFDLLRKAFNSPGTSSLDRFIASKLTSSYPPPGSSRSRVSQEEIPVDYEAPSLRRRQVNGGSSLHIPPPQLLLALGELEFNKAFLLLTYIPRKDLGQVVITAEEIRGWKDLSMVAYEAAVWDSLGNKFCSPTDRRLSLECDNEKTSYYQCHVASDGSYTFKGPLIEPTGTHLHKVLGDANVLTVKFEDVPRNSSTDRYTTYRRIAKNGIMLGLRRYQFFVFKDGGKEEKKKDFSTKGVKCYFIRTDSTSANDTGSPYIFSGKSVYEARMHFMHVHTLPSLANYMARFSLILSKTKKLEVDMTGITFEKIDDIHCHDQSNNDVLDKNGKPCIHSDGTGYISEDLARMCPVNILKGKCLRNDNVQTPVQDPPLLIQFRMFFDGYAVKGTFLLNKKLPPRTVQVRPSMIKVSKDPALSDFSTFDSLEVVTTSNPPKRTKLSKNLVALLSYGGIPDEFFLDILLNTLEEYKTIFNNKRAALKAALNYGDMDDQNAAQMILVGIPLDEPHLKDHLSILSNTEKNDLRAGKLPVSDSYYLMGTVDPTGELKEDEVCVILESGQISGNVLVYRNPGLHFGDIHVLKATYVKALEEYVGNSKYGVFFPQKGPRSLGDEIAGGDFDGDLYFISRNPELLEHFKPCEPWVSLTPPTKGNSARKPSHLSPAELEEELFDMFLKARFNASNVVGMAADSWLTIMDRFLVLGDENAEEKAEMKKKMLKLIDIYYDALDAPKKGAKVFLPDELRPDIFPHYMERDQKFKSTSILGIIYDFVKSQTAEEHKPSAEISKLACFEDEPVSDYHKKKWGQLYEKYRKEMIQAMGNKDESANEVIQRYKQEFYGAAGFEDNKKSIEELYPQALALYNVVYDHAIIMDNVRNCGFAWKLVSSAAPAYSDETVRKYWSSNSTGKHWHLTTSFTIMPPERTKFDFVVGLSKRLQGRAFGLNKLTCHVLFCSVPILLFTFVFWIVFIAPFKMEKNVKNSDMKSSVNGGVVDVYGEDSATVEHSITPWSLSVSSGYSLLRDPRYNKGLAFSEKERDTHYLRGLLPPAVVDQNLQEKRLINNIRQYQFPLQKYMALTELQERNERLFYKLLIDHVEELLPIVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILDVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNEKLLNDEFYIGLKQKRATGQEYRDLLHEFMSAVKQNYGENVLIQFEDFANHNAFELLAKYRDSHLVFNDDIQGTAAVVLAGLVSAQKLTNSPLAEHTFLFLGAGEAGTGIAELIALYISKQMNASVEESRKKIWLVDSKGLIVNSRKESLQAFKKPWAHEHEPVNDLLGAIKAIKPNVLIGSAGIGRSFTKEVIEAMSSINERPLIMALSNPTTQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGSVFVSTQANNAYIFPGFGLGLVISGAVRVHDDMLLAAAEALAGQVSKENYEKGMIYPSFSSIRKISAHIAANVATKAYELGLAGRLPRPKEIVKCAESSMYSPTYRIYR >A09p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4786576:4797080:-1 gene:A09p009260.1_BraROA transcript:A09p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLARLSFSPHTAVSKKGDVVRCAMKSYRLSELSFSQVENLKSRPRIDFSSIFTTVNPIIDAVRCKGDVAVKEYTERFDKVQLNNVVEDVSELSLPELDSKVKEAFDVAYDNIYAFHLAQKSTEKSVENMKGVRCKRVSRSIGSVGLYVPGGTAVLPSTALMLAIPAQIAGCKTVVLATPPTKEGSICKEVLYCAKRAGVTHILKAGGAQAIAAMAWGTDSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADEHASPVYIAADLLSQAEHGPDSQVVLVIVGDGVNLKAIEEEIAKQCKSLPRGEFASKALSHSFTVFARDMIEAITFSNVYAPEHLIINVKDAEKWEGLIENAGSVFIGPWTPESVGDYASGTNHVLPTYGYARMYSGVSLDSFLKFMTVQSLTEEGLRNLGPYVATMAEIEGLDAHKRAVTLRLKDIEAKQASQTNYSLQLPPMEPVTWPNGGTLTNEWVHGLMSSFEWSSWNLPPSQLPSVMPVNVFDSLILTASKILHKERNIVHVDDLDSDSKVVVVGDVHGQLHDLLFLLKDAGFPSQNQFYVFNGDYVDRGAWGLETFLVLLSWKVLMPDKVFLLRGNHESKYCTSMYGFEKEVLTKYGDKGKHVYRKCLGCFEALPLASIIAGRVYTAHGGLFRSPVLPKRTRGKKNRKVRLLEPETSSLKLGTLEELMQARRSVLDPPWEGSNLIPGDVLWSDPSMTPGLSPNEQRGIGLLWGPDCTEEFLKKCELKLIIRSHEGPDAREKRDGLGGMDIGYTIDHSVESGKLITIFSAPDYPQFQATEERYRNKGAYIILQAPDFTDPQFRSFEAVTPRPKANPFYDFENVIDSDDEMDKSALDTNEEQASH >A04p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20755740:20757769:-1 gene:A04p035850.1_BraROA transcript:A04p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HESO1 [Source:Projected from Arabidopsis thaliana (AT2G39740) UniProtKB/Swiss-Prot;Acc:Q5XET5] MNRNPVLDPTLQEILQVVKPTRADWDTRISVLDQLRNVLQSVESLRGATVQPFGSFVSNLFTRWGDLDISVDLFSGSSILFTGKKQKQTLLAQLLRAMRASGLWYRLQFVIHARVPILKVVSGHQRISCDISIDNLEGLLKSRFLFWISEIDGRFRDLVLLVKEWAKAHDINNPKNGTFNSYSLSLLVIFHLQTCVPAILPPLGEIYPRSAVDDLKGVRKTAEESIAQLSAANIARFKSGSSRSVNRSSLSELLVSFFAKFSDINLKATELGVCPFTGRWENISNNTRWLPKTYSLFVEDPFEQPENAARSVSRKNLDRIAQVFEMTSRRLATDSNRNSIIGVLTAPHISQSLVRTTNVQNHHHHANGARHPHSPQGQARPWNHQMQQNWSPSNNIQNPPYWPGPARPRPQQNWTSQNNPRNMQQGQAPFQGQTRPVMTQTQTQQQQQKSQYKNGKQPMTNAPSGSSQSQGQIGKPPGQVNGVSFARPAGPISQSQRGQVWRPRG >A04g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2594624:2595853:1 gene:A04g500970.1_BraROA transcript:A04g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRENLTQEITAVWHSRLIPISYYDELPRHLPKTAILQGTGWPKFVKDYALVDGDFMTFVYNGDNIFKVSIYGLDGCKQARAVAEVKDDDEEEEDKDTSAESEKANTVQISNDKEDSVYSLSKGKDTDTGSSSELANTILRSKNKGKSKGEVIKEESDGKEDSDHSLNNEDKERDTGSRGSQGKSKVMKDVVKIGDTSCAVERVKKDNKL >A03p071280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31359103:31359914:1 gene:A03p071280.1_BraROA transcript:A03p071280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDLLQKLVEEHGPRNWSLISKSIPARSGKSCRLRWCNQLSPEVEHRGFSAEEDETIVRARARYGNKWATISRLLSGRTDNAVKNHWNSTLKRKCTPEGYDGNLGEGQPSKRAASGGVCTGLYISPGSPAGSDVSASDGGDPPTYLSLSLPWNNGCDTETVGINGDSVIDGGYTAELFPVRKEEERGIVGGEFMTAVQEMIRTEVRSYMAELQRGDVGGGLCMPQSVNSCRVGLREFIVNQIGKME >A09g516640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48975425:48977396:1 gene:A09g516640.1_BraROA transcript:A09g516640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDLEFSMDDSMLPGWDPNLTYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21731054:21732145:-1 gene:A01g507640.1_BraROA transcript:A01g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFRYSVFVFMAITVIVLLPRHTPSATSSPYSRPEKFYLNCGSDSNINYGGWTFVGDMISGCNLVSLNSKGSEASNQSVPEIYGTGVHTKKLMVPRETNKIVRYKRMGNQHKTP >A09g512850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38619931:38621129:-1 gene:A09g512850.1_BraROA transcript:A09g512850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRISFGRYYKLEAGRELSRTGSKHDGIEARWENPKFGENPNFGIIDFSKKPEAREIFSIKVRIHLEFIKNIHLIRTGVEKYSGLIAGRKFTGRVEISRMDREARAGLIYEIRTSTRCRETCDRSMLSDMCALSVNLDEVRPWIRHLEGMVSLCMMSWSCHQTCGARGAATHASGAMRGDTRAATRLRKLEKESSSFDHFRVFSAVFENSYSTQFESTSKRGSARLKISSEVGLLVKVKKGFGMQKTDSGSRPRSTKNSSHYLEVGSWLEARSKLSNGCG >A08g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9554525:9555081:1 gene:A08g505690.1_BraROA transcript:A08g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCSKGYGTSRIYLGLRAMMILSVLSFSDSRFGVFETFAAKGDDCARYCREKMQIMVAGGDGTGARVRQSLIQK >A01p031400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17930571:17937315:-1 gene:A01p031400.1_BraROA transcript:A01p031400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44730) UniProtKB/TrEMBL;Acc:A0A1I9LSV1] MPQELLRGLKGLVSTSNGDYELAQRKAEETAKRRYQAVEWLRQMDQGAAATLGEKPSEEEFCLSLRNGLILCNVLNKVNPGSVLKVVENPVTLAIQYAEGAAQSAIQYFENMRNFLKAVEDMQLLTFGASDLEKGGSSNKVVDCILCLKGFYEWKQAGGVGVWRYGGTVRIVSLHPKVSSASLSLGSESNTDESVSLDESESSQYDHLLDFLHLSNEFATEDSETAISLAFLFDHFALQLLNAYLKESDGINDLPLNEMVIDTLLNRVIKDFSAILVSQGTQLGSFLRKILKCDNGYLSRTEFLEAVFRYLRHRKDLVSKEFSKFCTCGGKPEIIGSIVQEFSPSHAEAIGLQQKELEDVKSNYMETRCQVEQMQSEWHEELQRIVHHVKAIEVTSSSYHKVLEENRLLYNEVQDLKGTIRVYCRVRPFLQGTKDMQSTVDYIGENGNIMIVNPFKQEKDARKIFAFNKVFGQNVSQEQIYIDTQPVIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLMTETTWGVNYRALRDIFQLSNARTHVVAYEIGVQMIEIYNEQVRDLLFLTLDIRNNSQLNGLNVPDASLVPVSSTRDVLDLMRIGQKNRAVGATALNERSSRSHSVLTVHVQGKELATGSILRGCLHLVDLAGSERVEKSEAVGERLKEAQHINKSLSALGDVISALAQKSSHVPYRNSKLTHVLQDSLGGQAKTLMFVHINPEVNAVGETISTLKFAQRVSSIELGAARSNKETGKIRDLKDEITSLKSALEKKEAELEQVRAGSIRATTECQKARTVSPFHIPRTKADASPQPSESMRNYETRSCSTGKQRKAGFTSAIRNREASQKMPNLAEERLDPRSPSPTSRRSSSTDRGSSIKSRNKPEVTQNLPVSRTPFPARVPVAKSFATVPLNPPGQNRLRIDNTSETLHSHQKLSARKLFPEVDEQHMRHALNIRQGGVKKTKAESIKAKGKQPSPARFQKLDVGISLRSEADSQVKTGSYLGNNPNVMHSRFQNFDVGISLFSDLCAGDKSDSTTLKSDSSETDNEHVLVEPPLRLKNVQRNFTRNSQNHKLRTIYAHEDTSLVNKLDDKPSKGNINISMPEFRRSRSTNHGRFP >A09g513350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40680838:40682361:-1 gene:A09g513350.1_BraROA transcript:A09g513350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHRFSSAPMADTKGKGILYEEEDEPIQLVEDDDPHTIREFRMSLIAAGRLLVDVDTRKPLIFTKKVQSPGGDEVSIQFTYDRLFKHCSYCGFLTHEAANCTKKMEDQRQQAKEAGVFSRVQLPFEPQNRQSLLEDRTRRDLYHSHRDRKNDTWKDHEAVKPATAAYSRSSRENRDRVEHDYSERRHERLVERPLRRPGDNRWSHSGSRHSQRYVPYHTKKPQIWKEKISRVGCSSSNISTDIEVMELGHHEDTYQDRLLKMAEESSGNANAPRGFGKKLASTIVSPYHASNENDVNVTFRSKSSTRAIDFSPMENVTRDIDFSHMENEMHNNDLNKGQIIEALHDMDIGGSSVGAQLHDASMDVDETDDDDMDLLGEELKELEEPHHIA >A06g500390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1727072:1727233:1 gene:A06g500390.1_BraROA transcript:A06g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGEEASRGGGSEVEEAGRTDGGRGGMKRRRRRQDAQVCQRWRVQQEDDGF >A10p002720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1396135:1396599:-1 gene:A10p002720.1_BraROA transcript:A10p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTMRLPPRRVLTTEKRKERDGVISSVAKPPESTVTKFPPPPNLAPPTVNSISKKALIAAEPVGSNQLMLAGYLSHEFLTNGTLFGEQWNPARAQAGPPEPKKVKPNHAVEPVEESEPKRKRYMEVANLLRSDGAHMPGIVNPAQLARFLKL >A09p030600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18669528:18670472:1 gene:A09p030600.1_BraROA transcript:A09p030600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cardiolipin synthase (CMP-forming), mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G04870) UniProtKB/Swiss-Prot;Acc:Q93YW7] MAFYRSLRKLAETNPLKKSRPFFTAAAASGGTVSPIAPSFSPLIPQFTNRLSPLSKWFVPVHGPLFLSSPPWKLLQSATPLHWRGNGAVLREVEALSVRLDRIRRRTRLGLQHVDDNLGKEEGGGIMESFVNVPNMISMARLASGPVLWWMILNEMYTPAFVGLAVSGASDWLDGYMARRMKIDSVVGSYLDPLADKVLIGCVALAMVQKDLLHRAYFL >A04p039180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22164333:22165477:-1 gene:A04p039180.1_BraROA transcript:A04p039180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNIAFGRIQEDMNKTSFFKILADEDLSSESMRLIPNEFWRSSECKILPPKVTLKVAWGSSWPVNLSPFMGCYLMERKGWEEFLNDNHLGDNEFLTFTNEGNNCITVDIFQKNCVEILKPLNTSSSNVKNEEMSCVDPVIAESNKRKVDSNVYGASSSSSSAATFSLTIKKSHLTLLSIPVTFAKEHMPKVRTKFVIHDPKGKPWEVVYVPSNGSKLFSSGWRFLANGYGLAVGDLCTFRLVRPKEMVLEVLHDSP >A09p023750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13012266:13013348:1 gene:A09p023750.1_BraROA transcript:A09p023750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDAVSIKVNAKVIEVEGPRGKLVRDFKHLNLDFQLIKEAETGKRKLKIDSWFGSRKSSASIRTALSHVDNLITGVTRGFRYKMRFVYAHFPINASIGGDSKSIEIRNFLGEKKRCWMVHVTIVRSEKVKDEIVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKSKIVEEE >A09p000750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:482501:483571:1 gene:A09p000750.1_BraROA transcript:A09p000750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B7 [Source:Projected from Arabidopsis thaliana (AT4G01230) UniProtKB/Swiss-Prot;Acc:Q9M145] MEKEEEKLERVGPLEEPLMKNIVPEEDSLSMSDTDSEIPDSPVPINAPIYRMFGRERPIHMVLGGGKPADVLLWRDKKVTAGLVGAVTVIWLLFGFGHCRLLTFVCRGSILFLLLSFVWSNALNRSPEKIMEIYIPEKPLLQAASALTFEVNCALATLRSIALERDIKNFALVVIGLWLVSIIGSWFSFLSLIYICFVLIHTVPKLYEKYEDEIDPIAEKAVIEMKKHFQVLEAKFLNKSHHH >A09p047390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41144723:41146023:1 gene:A09p047390.1_BraROA transcript:A09p047390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSIVLLLFFLTFLEHGLFFQRVSSLGINYGQVGDNLPPPNKVLQLLSSLNINKTRIYDTNPQVLTSFANSNIELFVTVENEILPSLVDPQKALQWVTSRIKPYFPATKIGGIAVGNELYTDDDSSLIGYLVPAMTSIHAALVQTGLDKYIQVSTPSSLSLLQESYPPSAGCFRPQVAGVMTQLLSFLRSTKSPFWINAYPYFAYKDSPTKIPLDYVLFNPNPGMVDPYTKYHYDNMLYAQVDAVIFAMARLGFKDIEVGVSETGWPSKGDGDEIGATVANAAVYNKNLLKRQLHGEGTPLRPNMSLDVYLFALFNEDLKPGPTSERNYGLYQPDETMTYNVGLLSSSSTSSQSSTSTNSFMSITSSASTVCTNTGF >A03p054720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23551229:23553210:-1 gene:A03p054720.1_BraROA transcript:A03p054720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTVARLPNLINVFLLLSLVLSGNILQKATSLGINYGQVGNNLPSPDKVINLLRSLRITKTRIYDTNPQILTSFANSNIEIIVTIENQVLTLLQDPQQALQWVDSHIKPYIPATRITGIMVGNELFTDEDSSLIGYMMPAIINIHKALVQLGLDRYIEVSSPSSLAVLAESYPPSAGSFKPEVSSVMQQLLQFLEATRSPFWINAYPYFAYKDNPNKIPIDYVLFNRNIGMTDPNTRLHYDNMMYAQVDAVAFAAAKLGYRNIEVRVAETGWPSKGDAGEVGASPTNAATYNRNLMMRQFAGEGTPARRNSRLDVYIFALFNEDMKPGPTSEKNYGIFRPDGSLAYNLGFSTMSTTTANSESVTYSSYATKAKMTLEYWTILMLAMIQVVVSRLS >A03g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12417893:12442848:1 gene:A03g503640.1_BraROA transcript:A03g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREPSLVPEWLRSAGNGSSVGSKNHILSSSARSDSSLLLNNSKTRNPRTKATDVDSPPFLDRSCSTNARRGSTKNAYSNFNVQRSNRDKDRSSRESYIDYPWDHDTCFPFGTILNEVQLRRSNSMTTRKQDDHPRFSMGFKDGRSIYNRNGMLPPAKSSERNEDAVRISSPCLRPAVAGNSGLTPGEHWTSALAEVPIVIDKSASDPVAANVATLTGQTRNMAEALLQPPRTGTPPQESSKIQRLEDRALKLIPVLPSTPKGSVLSSSDKSKNKPMPRSGEIGPASFRNTHQHSSIRLGNLPSNAGGQIKPDTTKKMVVLKPAVKESASPRSTNNSLAAAAASQMIAAPSAPSTSSAQSTNNPRELKGASVNMPAEKKLSLAQTQSRNAFFSTLKKKETSTNISTSSCTISKELVASDPSSVERDDMVMERVEKVSERVSVFESTDLPDEEEAEFLKSLGWDENNTEVEALTDEEIRAFYEQHKEVKPSLMQTLPIIKEATEDATPNSFITWWRGQEGGGGFSCTFECCSTSDKLQNESLYIYWSEDRESFCFAIASLDSSLLLNNNSKTRNPRTKATDVDSPPFLDRSCSTNSRRGSTKNAYSNFNVQRSNRDKDRSSRESYIDHPWDHDTSFPFGSFLNEVQLRRSNSMTSRKQDDHPGFSLGFKDGRSIFNRNGILPPAQSSERNQDVVRISSPCLSPAVVGGNSSLTPGEHWTSALAEVPIVIDKSASDPVAANVATLTGQTRNMAEALLQPPRTGTPPQESSKIQRLEDRALKLIPVLPSTPKGSVLSSSDKSKNKPMPRSGEIGPASFRNTHQHSSIRLGNLPSNAGGQIKPDTTKKMVVLKPAVKESASPRSTNNSLAAAAASQMIAAPSAPSTSSAQSTNNPRELKGASVNMPAEKKLSLAQTQSRNAFFSTLKKKETSTNISTSSCTISKELVASDPSSVERDDMVMERVEKVSERVSVFESTDLPDEEEAEFLKSLGWDENNTEVEALTDEEIRAFYEQHKEVKPSLMQTLPIIKEATEDATLNSFITWWSGQEGGGGFSCTFECCSTSDKLQNEFLYICCSEDRKSFCFAIASLGF >A03p032790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13868233:13872152:1 gene:A03p032790.1_BraROA transcript:A03p032790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVINRVKKSLQMNTLRGNWRKTILFVCVVALGIDPLYLFVPVVDSPKFCFTFDKKLATGVSVLRTIIDVFYVVHIIWNFMRYSNGELNLHGKPKREKYYFISYTIVDILSVLPMPQVLVLTLMRRSDSLVSREILKWIVLSQYIPRIVRIYPLYKEVTKASGTVAETKWIGAAFNLFLYMLHSHVFGAFWYVSSVEKINKCWRLACPHLPGCSLKFQYCGREGGNNIPYGLNTSCPLIEPDQITNSSVFNFGMYIDALKSGIVEVNPDDFPRKFFYCFWWGLRNISALGQNLRTSNSVGDIVFALIICVSGLLLFAVLIGNIQKYLQSNTTRIDENEEKKRDTEIWMSSKSLPENLKNMIRSHEEEKWQKTRGIEEKKFLDDLPDHIRLHIERNSLNN >A05p031390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18501824:18505061:1 gene:A05p031390.1_BraROA transcript:A05p031390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFGKRLKESQIQEWKGYYMDYKFMKKKVNQFKQQIDTGTQDHTYVLKGFSRILDDQIEKVVLFLLQQQGLLGQRLSSLGEQRNAVSQEEESFKICDLEQGYRQVGQDLLRLLCFLEMNAIGIRKILKKIDKHFGYKFTDYYVKTRSNHPYSQLQQVLKQVGIGAVAGSVSYNLAELQDHQGDSMVDSINAAVKRLSNSTSFLDFLGKHAFIILEDSPSLEDPVSEEKFHFVSLLLNLVNAFLYMVNTYIVVPTADSYSISLGAAATVCGVVIGSMAVAQLFSSVYFSAWSNRSYLKPLLFSSIVLLAGNILYALAYDMKSIVVLLLGRLLCGLGSARVINRRYISDCVPLKLRMKASAGFVSASALGMACGPALACLFQTHFKICNLTFNEATLPGWFMALTWLVFLLLLLIYFKEPEREIKENVLSPEGPSSFCTLEENLMAPFLVKCKTKTAYEEESCDEDGPKEDQKPVTSIISAYQLLSPSVKVQLFVYFMLKYAMEILLAESSVITTYYFVWQTSAVAIFLACLGLTVLPVNVIVGHYLSNKFEERKILLASEIMLCIGILLSFHIIVPYSVPQYVASALITFVSAEVLEGVNLSLLSRVMSSRLSRGTFNGGLLSTEAGTLARVIADGTITVSGFLPERWLLNVTLLPSLLVCLSSIIATTFTYNYLY >A04p018850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11244786:11245289:1 gene:A04p018850.1_BraROA transcript:A04p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKGGWEFDGCKFVWLSLEAIPVLSNHKSLEKLLLERYYTKLAGLLVDVSGQKHLEKLVLSSCSNLSVLP >A09p074700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56585385:56587951:-1 gene:A09p074700.1_BraROA transcript:A09p074700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEHITRKADEYSVELLPSDDDAPPPLSSSWRLSLETFRLPSSLSSTGRHDGRTRFSRYFRTPRKERKVYEYYKKQERLLEGFNEMETIHEPGFTSGAPTEEEMKKLAKSERLAVHISNATNLVLFVAKVYASMESRSMAVIASTLDSLLDLLSGFILWFTANAMSKPNHFHYPIGKRRMQPVGIIVFASVMATLGLQVLLESGRQLASKNGIHMNSTEEKWMIGIMVSVTIIKFLLMLYCRGFQNEIVRAYAQDHFFDVVTNSIGLATAVLAVKFYWWIDPSGAILIALYTIGTWARTVLENVHSLIGRSAPPEFLTKLTFLVWNHHEQIKHIDTVRAYTFGSHYFVEVDIVLPEDMRLQEAHNIGETLQEKLEQLAEVERAFVHIDFEFTHRPEHKCN >A10g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3308389:3314837:1 gene:A10g501160.1_BraROA transcript:A10g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNWFSSLYRVFLVIFESLQVWSCIGWEMNQLKQKRQKTRSNPSDSSEGVFQWQRFLRKQQPISGFALISLFSLPAACGLDISSFSIFPYHTTLVYREESDPKTPLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELATFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNKRQSQSNQQAVPANGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESFKRQQETLPGKTDKNPRTEHCNAIEQPFAETTPGAEERAEQSVSSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPKKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDSSEGVFQWQRFLRKQQPISGFALISLFSLPAACGLDISSFSIFPYHTTLVYREEPDPKTPLLDLLISLGIKIDQHRGQFHDSGLFYLSDPSSKLVFNRT >A02p056570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34099747:34107413:1 gene:A02p056570.1_BraROA transcript:A02p056570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSTVHQQVLALPAAKTAPSGYFPDPASINKLQIPTFSKKSEQIKKKSLMRKKKTESFTNGARDQNKLGPKLTETVKRKISLGAKSLQMGGLEKIYKRLFRVYDEEKLFKAYQCDLSTTAGPMAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLSKINGVNQSVDTKKPSQKYLEVVTVDGFEFWFMDQDQDQDQDHHSILQMTMSTLNQQVLALPEAKTAPSGYFSDLASINNLQIPTSSKNSEQIKKKTILRKKKTESFTNDNRDQNKLGPNLTETVKRKLSLGAKIIQMGSLEKIYKRLFRVHDEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDNVRVHYKVSIPLCKINGLNQSVNTKKPSQKYLEVVTADGFEFWFMGFLSNKKAYNCLEQALCLSMEQ >A05p006750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2728043:2728411:-1 gene:A05p006750.1_BraROA transcript:A05p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSSQPFSDTPTKLVLYLKTQTHVRIPRLSRRRRMWRKEKEMEMKNIRLYMENQYIIQENEKLKKKALLLHQENKALFSQLQTKKVSHVP >A06p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9598623:9601544:-1 gene:A06p019590.1_BraROA transcript:A06p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 9 [Source:Projected from Arabidopsis thaliana (AT1G21540) UniProtKB/Swiss-Prot;Acc:Q9LPK6] MELLLPHPSNSTPLTVLGFLDRAASVYGDCPSILHTTNTVHTWSETHNRCLRIASALTSSSLGINQGQVVSVVGPNVPSVYELQFAIPMSGAILNNINPRLDAHALSVLLRHSESKLVFVDHSSISLVLEAVSFLGQHDKPHLVLLNDDDDSSSTSAASDFLDTYEGIMERGDSRFKWIRPQTEWKPMVLNYTSGTTSSPKGVVLSHRAIFMLTVSSLLDWSFPNRPVYLWTLPMFHANGWGYTWGTAAVGATNVCTRRVDAPTIYDLIDKHKVTHMCAAPMVLNMLTNYPSRQPLKNPVQVLYISSFDCRAEVETVLYTNPVVKEAAVVAKPDKMWGETPCAFVSLKYGNNGDGSVTEREIRDFCKTKLPKYMVPRKVIFQEELPKTSTGKIQKFLLRQMAKSLP >A09p062180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50979998:50982375:-1 gene:A09p062180.1_BraROA transcript:A09p062180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIQERLSVSSSSSSSTCSSSLTTAQPKADSLPIDGEFWMFAEERAHEILSTIQPAIVSDRSRNEIIDYVHTLIKSHDGIEVFSFGSVPLKTYLPDGDIDLTVLTKRNMEDEFFEKLYDTLKSEEGKSEFHITDVQFIPAQVKVIKCNIRSIAVDISFNQTAGLRALCFLEQVDQLFGRDHLFKRSIILTKAWCYYESRILGANTGLISTYALAVLVLYIINLFHSSLSGPLAVLYKFLDYYSSFDWSNYCISVGGPVPISCLPELIAASPENGHELLLDEKFLRDCVELYSAPTKTVETNGLDFPIRHLNIVDPLKHSNNLGKSVTKGNFKRIMHAFTLGARKLRDVLSLPGETMGWRLEKFFGNSLERNGKGQRQDVNDPVTAFGTGRSELSELSGDFEGYFGRLVYGQMYHSHREASGWDVVRHLVSYRKNEFYLRSLNGSTSVQSLPLHSLTNGSQNMGKTRGTGTYIPDMSLYNRKSPTHHLESSAEAADDNGASSCCNFSNQVSTSCASIKGEESVKQETCTDQNVLKSLRSENLEVDDNCQSSPPENGKELVDSISSSSKLDMGNGKEEESKSSQTLNGS >A08p045310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24868990:24871370:1 gene:A08p045310.1_BraROA transcript:A08p045310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor IIH subunit [Source:Projected from Arabidopsis thaliana (AT1G05055) UniProtKB/TrEMBL;Acc:A0A178W5Z7] MSNQRKRPNGEREEDDEEDDAEGIGQWERAYVDDRSWEALQEDESGLLRPIDTSAIYHAQYRRRLRMLSAAAAGTRIQKGLIRYLYIVIDFSRAAAETDFRPSRMAIMAKHVEAFIREFFDQNPLSQIGLVSIKNGIAHTLTDLGGSPESHIQALMGKLEAAGDSSLQNALELVHEHLNQIPSYGHREVLILYSALSTSDPGDIMETIQKCKKSRLRCSVIGLSAEMFICKHLCQETGGLYSVAVDEVHLKDLLLEHAPPPPAIAEFAISNLIKMGFPQRAAEGSMAICSCHKEVKIGAGYTCPRCKARVCELPTECTICGLTLVSSPHLARSYHHLFPIAPFDEVPTLTSANDPRRKLGKSCFGCQQSLLGAGNKPGPCVTCRKCKHYFCLDCDIYIHESLHNCPGCESIHRPKSVSLMEE >A09p074620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56541043:56542316:1 gene:A09p074620.1_BraROA transcript:A09p074620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKGHRHGGAVSPCAGCKLLRRKCVKDCVFAPYFPAKEPYKFAIVHKIFGASNVNKMLQVLSGNHRSDAINSLVYEASARVQDPVYGCVGTISSLHRQLETLQTQLAFAQAELVHLKTLHHVDTRSPPYMASSITFPTIKDFSSDVNMTFMYDNGAGESLWSC >A03p071040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31227644:31229606:-1 gene:A03p071040.1_BraROA transcript:A03p071040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLVVLGIPWEIDSDGLRDYMSKFGDLEDCIVMKDRSTGRSRGFGYVTFASSQDAKEALKGEHFLGNRILEVKVATPKEEMRQPAKKVTRIFVARIPPSVSESDFRRHFEKYGEITDLYMPKDHNSKQHRGIGFITFATSDSVEDLMEDTHDLGGTTVAVDRATPKEDDPPPRPPPAARMQRPPVAVAGGFGAPGGYGAYDAYISAATRYAALGAPTLYDNAASFYGRAEPTRGIGNKIFVGRLPQEASVDDLRDYFGRFGRIQDAYIPKDPKRSGHRGFGFVTFAENGVADRVARRSHEICGQEVAIDSATPLDEAGPSAGGSSTLTSSSSRPEYFGGYGGGPVRAFGRMYGGGMSLDDVMGIWSAERKTIKIRLEVQAILMPTRQEDIIIISLLCHLY >A09p069760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54282166:54285828:1 gene:A09p069760.1_BraROA transcript:A09p069760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGIFTDILDGDVYKYYSDGEWKTSSSGKSVAIINPATRKTQYKVQACTQEEVNKVMEMAKSAQKSWAKTPLWKRAELLHKAAAILKDNKAPIAESLVKEIAKPAKDSVTEVVRSGDLISYCAEEGVRILGEGKFLLSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCITGKGSEIGDFLTMHPAVNCISFTGGDTGISISKKAGMIPLQMELGGKDACIVLEDADLDLVASNIIKGGFSYSGQRCTAVKVVLVIKSVADELVEKVKAKVAKLTVGPPEENCDITAVVSESSANFIEGLVMDAKDKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVLRINSVEEGINHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINLMTKLKTTVINLPTPSYSMGDGFISRL >A07p038100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20322197:20322554:1 gene:A07p038100.1_BraROA transcript:A07p038100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELFCGSLNATSRKMTSFSTVIREYRHSFSALTLPRATYDVAVLPQQGSVSRTKPKQWRVKNLSGLLTLQNSTLTLALSLVICWRKEAWELYM >A03p071600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31553783:31555656:-1 gene:A03p071600.1_BraROA transcript:A03p071600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENINTYSPECSPVNVSLPPPPPPVKSNCGTKLKKSTDMLLQKCNSFASKATRDSWDRMFDEARGADVLIHTDDNGLIYAHSNVIGMASDVIRGMMKQDKKKPHRKSISILGVPHDAVRVFIRFLYSSCYEKQDMEDFAIHLLVLSHVYVVPHLKRVCESHFENTLLNKENVIDVFQVALLCDAPRLALLCHRMILNSFEEVSTSEGWQAMKQSHPSLQKELLRSVSYELNNLKQKSRKQKEIQTYTQLYDAMEAFVHICRDGCREIGPTKIENPHASCGFQACDGLEQLLKHMAGCKLRSIPGGCSRCKRMWQLLELHSRICVDSEQCRVPLCSDFKERMKKQSRKDEKRWKLLVKNVLSTKRIGGSPYFLQAIDVTL >A01g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20969015:20970643:-1 gene:A01g507170.1_BraROA transcript:A01g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKSGGELMDVDMLLLDAKVGHNDTGTTSTASLLRGYAKVRIVVCDSLDGSSNIDAAISSDSIFGIYNPNDKCNTDDCDYNSALGSEERSCIVNVCQLHSYARKKCLCLHPGPNDLGPIGKPYSAINKLEEKDHIATRVLDILLAKEHQKVTLYVGSKKEMKKLDDVFEDSRCKIRI >A10p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4682485:4683605:-1 gene:A10p013680.1_BraROA transcript:A10p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNADDDLKSKSLQAEFGEKRSFGRGFCITDINEENKSHPCPTEWLFRVDIVNKWKESNGGLEVFWFNGKLAQQSSEKVLKDAMKPSQNSSAYVYESWRRTESNRFFTRLLNDQVTFYTPGRDKSDNRKTKACYMSL >A03g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24966938:24967340:1 gene:A03g507120.1_BraROA transcript:A03g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVYGLIKHLTHTLSQIDTTITKEINLYYYKESNLREFLATVHRHCYSLSNVRSWQGVLKDEDTCIFNTILYIQ >SC244g500040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:42103:42279:-1 gene:SC244g500040.1_BraROA transcript:SC244g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLALAFQLVPACLKLSSLDQTLSKLLLAYPDSSWRVSLSKWMQGNFPSLLPQLPQ >A03p049330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21102688:21109776:1 gene:A03p049330.1_BraROA transcript:A03p049330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNPPYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSALTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIQRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIRDDKIGEKLPAADKKKIEDSVEEAIQWLDGNQTAEADEFEDKMKELESVCNPIIAKMYQGAGGEAGGPSGMDDDEAPPSAGGAGPKIEENALENYAYNMRNTIRDEKIGEKLPAADKKKIEDSVEEAIQWLDGNQTAEADEFEDKMKELESVCNPIIAKMYQGAGGEAGGPAGMDDDEAPPSAGGAGPKIEEVD >A06g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17012872:17015425:-1 gene:A06g505950.1_BraROA transcript:A06g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDNADNVQTPLNGGSGTDLHTPAADVSAANASANASANAAALEEFKKMFATYEKRSEEQDRLVSTLTKQVEQSVPAEPLKSAGKDSTSLPHSIDLESRRNDLRVKTLAKTLPSKRGTPKVLRLPQRIQRITKSNALTWILAMSPTILMRTSTDIQEGPKADLLGKLYNSRNDKYVHHEGEDLQGAHNYTINSDQGRTTGNTWTRNQGYDENTFCKFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSERRFNIVCRCKCLRRRNSTRVQSRRYNSTGTSGKEHRPGHD >A09p010660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5418028:5419193:1 gene:A09p010660.1_BraROA transcript:A09p010660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWWRGLRSVAEEADLSASSFVRSVRCLHQDETIQAIPREATGRRVSARDQTTGRIPAVLQIRAGQGSSSLVESGQILPLKIHRDEESGKILKFSIRNDGEQLKVDVPLVFKWLDNCPGIKKGGSLRSMRSSLKLIGPVEHMPSKIEVEVSKLDIGDRVLMQEVVFHPSLKLLSKNETLPVCKIAATSPVKEPEAVLSI >A06p008710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3041104:3044080:-1 gene:A06p008710.1_BraROA transcript:A06p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSVLPTTATTGLVFPANYGIKPEAALVMDWSPEEQYVLENGLAKLKDDPKVSKYVKIAAALPKKTVRDVALRCRWMTRKRRKRAETSAGKNISNIKVVDTSPELNMLANVPQQNAVYVMNNMRHSTHIPFEGPSDQVMDLLQQNAQAFSQISYNLSAYKLQDNISLFYQARNNISAILTDMKQMPGIMSRMLPLPVSVNDDLASRLFSSTTQPRSYTIKPSIHLKQEPRI >A10g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:38672:43590:-1 gene:A10g500010.1_BraROA transcript:A10g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPSYPYFLSQPPELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQAVPANENSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEMNTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEHPFAETAPGAEERAEQSASSGVTVSSELAETPPSRVYVSKVPYPIPPRHLMDPISEEQLIGFNKVVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKIDDPEKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVSKKEHGDKGESRLTTSGTQNDHVLPPSSSHHHISLQKKKK >A04g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8301751:8302457:-1 gene:A04g503910.1_BraROA transcript:A04g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEELGEGTGLLRLHSIYRHDLKIYSSDEGRVQMSAAAFAKGLLDLERQLTSILVSLVSKDSSMLDGLDTASIEMEAAKARLNEIVTSGKAMINELLLFIIIKS >A02g511130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29527364:29530321:-1 gene:A02g511130.1_BraROA transcript:A02g511130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDPHRRHLAHGQGRPAPKSPRPWARTDLLSQNRASSSKFREHNPRALGHNTHVSSHLGLSKKDFGKSYKNLVVETDFCLLYKTAMFPQFSARRRGYRSISHATGHAQSGMTIDADKDKQTHDRTSVNANADRTPAGNVSMVTTNAVILDQKKEMFAFAQKKSDEQGKLVASLANQVETLTAKAKRKNPRGATRARSGRRLDFETPSDRATQADKDSSGQNPDETVPPGAQLTAENLPPPAGSNEGGDIERIDLDISDQSDHSDGGADVHPRRTRSQSARQDPSFEKPVTEEEENLYWVEQEELAENQARIHCSQRQQARKAARNPDEIHDLREGHSTMNCKVLGARLAVKLLAGEISKVTSIKDVFLDSDRPPKTDKKSPENDTRENQSGEKRGRRQDDRRSDSNRRSVSMIIGGSQFYRDSISSIKAYGRKAETSSSWLVRSPTDDAPNDMIVFAERETIGIGKPPLVIDLVI >A01g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1516203:1517063:-1 gene:A01g500340.1_BraROA transcript:A01g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSFSFVLGVPVVLVLLGVCSRVSGSACDTGWVFSTAFLSLYGRDWELCGRLLVSSHSWVIGCPSGNGVWELVRSHSRSGGVALEFLSPHLIKMGAWRWRSSALHPLGFSVSVWLRLSSVLGGASPEEVFRPVPLFYMNLGFNGCIRSRVGELEAAIFSTLLRTTASSAARSSSCCRIGVSWLVAFEFSGSCRSRVFQGLRAPFSPVARGN >A08g501380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3694411:3694707:-1 gene:A08g501380.1_BraROA transcript:A08g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPGIPRTCQCGALTTVLTSGTSRNPGRKFYRYGAISGPNHVFKWLDEAHLEEFDVLASKQTMIIYDLAEIKKDIVELKNDMREIIEVIELIRTKP >A06p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5798184:5798835:-1 gene:A06p012690.1_BraROA transcript:A06p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDEDLKKAQMLDARARNISHNVRCTECGSQSIEDSQADVAILLRQLIRDEIGAGKTDKEIYSKLEDEFGETVLYAPKFDMQTAALWLTPVLIAGGTAAGLVYSKHRQRTNVHIMALDLIRGVPLTPKERVTILDLLIPPPPSPQGVASRLRRWLNLR >A09p022410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12323922:12327550:-1 gene:A09p022410.1_BraROA transcript:A09p022410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASNPPDRMNGLDFFFSPSPPVAGEDDDWGEFVDSSPNPNGFSADRTPTRIESEKNSQTQWVTPRGPVPLSVFGEAEEEEEEEDESRAPLPPFKFSFDSFSPKHNGSANTNPRVEISGLIANLYRESEHSNEISGNEKEPGVSLESFSWNPLNLASERSEKTSNVDPTSSDLSFVNRNDDDDDFDEGWEFKAAESVLDPTSKEEQEKAGNGSVVVKSATDFDSSMWSSPTTGKRENGDDGDPWGNGGWEFNVAETKKDLTNKESNGWGFGFGFEHGSEMETTTSYHSNNGKDLHKEANGSISSPSNANVNPEGSSWAFNQASLDTGNEKEENEVLPDKPKGVLPLSFFEDEALETSDNSVHEDNLVSTFDFPVKEKTKAPSQTVSISDLISSLYSQVEEKNAANLSEKSATVNGEDESWEFQGPTKTITDSSLAEGGDDDFDSTWEFQGPSLPLKNSDAADEVDDDSWEFQGPAQPVKDNTLREGDNGLWESKQSSVENEVGNRFSVPNGFGKSQEETVITIDLNDYRDVFHKLKTELYYLALSHLETLKEARDLAANSDEVAEAQKYDDEIQDLQNMLKNDVLIGEVNVESLQVRSSGTTELYKVLQEPKFRTVDSDDLLSERLLLAEKDWKSTIELLKHATLTLKVLNLGSHEQQSKYASTWLVIARTCAQELRHAACIWKQVIQNDVQEEILSKPQGKRYLLSVGEIYRVVKILRASTRLYKPWIVLFPTSSTVLAVLDECVELWLSSGLEEALRNNIIRLQGVESNYSADQLLESIRCIDEVDAFTLHACITSDTSPTCCISALNTEIVPGIKMVEWNGEHYLVSLANLWANLISPASPDLAGHGLFTGS >A01p023900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11820728:11822009:-1 gene:A01p023900.1_BraROA transcript:A01p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSASHVRFRHFHSLIEVRNYLKEEKECDICGVEIADGAAQVNDHPFKRNTAFLLGNEGSGLSAKEYEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWAGFSERVRDGSKFIVADKPVRQGRRNFCAGTEESIVEERKLRKESAENGFFDENGNETSSTDLLNGLFLNE >A04g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15868678:15869751:1 gene:A04g506890.1_BraROA transcript:A04g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEISIKTKEVSVASFEFENKSQLLSQAKEIVERQEDEIEALQRALKEKEEDLEIATAKKRLEQEKLRETEANLKEQTEEWLVAQEEVSKLQEETGKRLGEANETMEDFKRVRKLLTDVRFELVSSREALLSSREQMGEKEVLLEKKLEELEEQRRSVLSYMKSLRDARGEVESERVKLRVAEAKNFALERETSLQKELLEDLREELKKEKSLLEEAMRDVSTIQDELDKKTNEFQVSCPSSTSCLTGENHQLRDCKNMIWKRSF >A05p006660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2679616:2680399:1 gene:A05p006660.1_BraROA transcript:A05p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPESASDAYIDTVRSCKNYKESGVTEFLSATAAGWNARLIVETWSRGDPVATSVGLAVAAAHTSGRHVCIVQDEQSKHEYVLAMRRAVSTEATEIVVVRESIENTMEEFPGVDFLVVDSKRREFLRTLRSAKLSNKGAVLVCKNAAQRAISRFKWQDVLKGETRVARSVFLPVGNGLDIAHVGAIGGLQRGESRKHPSRWIRHVDHLSGEEHLFRR >A01p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7639478:7639829:-1 gene:A01p015630.1_BraROA transcript:A01p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFPALINNLKPIFPASGSNCKVVRTIVPKKPGNENNGERETMKKIEESVEPLVAFSRPPPFPPFIGPLVMYSLLQSWSSRDEDG >A07p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27383296:27387029:1 gene:A07p051640.1_BraROA transcript:A07p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFLHLTIFVFLAFLSVPLRRFREFLKKPETPQLRARKQCLYKKSFEIFLTDCSHSHPATQRDCMVHRICDRNKHAFKQPRPPKDSAELSIDIVKQLDGLPLAIRVAGAALYRRDIADWGYYLDLLRTNVNSSVSKALRESFEALNNQEKLIFLYVACCFNGKHMHGVSRVLDLFIVSGHMPFRSTLCIRTLKEKCLISISTTQRLWVHDVLQDMARSIICDGKEENPWKRKILWNFMDINNVLCENMGSEAVEVESLLLDMPKGKELCISPAIFERMYNLKLLKFYNNSTGGESSKICMPGGLVYLPMLRYLHWQAYSLKSLPSRFCTTYLVELNLPNSSVETLWNGTQDLGNLRRMNLRGCRRLLEVPNLSKATSLEKLNLDNCESLVDLTDSVRHLNNLGVLELSGCKKLKNLPNNINLRLLRTLHLEGCSSLEDFPFLSENVRKITLDETAIEEIPASIERLSELKTLHLSGCKKLKNLPRTIRNIDSLTTLWLSNCPNITLFPEVGDNIESLALKGTAIEEVPATIGDKSRLCYLNMSGCQRLKNLPPTLKNLTNLKFLLLRGCTNITERPETACRLKALDLNGTSIMEETSGSVQSDDEPLDMPRLAQYILQSVKERIRHQRSMRL >A09g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12694649:12696586:1 gene:A09g504080.1_BraROA transcript:A09g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSATRRRINAIHSHLVTSSRFSPLLLSSNPTAGDSVSMVLQEDCVAELNNYIDPLYRVHTAFCFRSNATSGSNQPALPLVITNPECIDFVLIRGLLPKSRFRFKFGTMQAVTVIYYITQYSGVRKLETVTVAEVNAYVLNSPLRRFTDLKGQPVRKTNKKGLKSLVFFMKHCHETTLS >A08p015310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10091561:10092154:-1 gene:A08p015310.1_BraROA transcript:A08p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVANVALLVVCILQMMSLLVLQANARAFLVFGDSLVDNGNNDFLVTTARADNYPYGIDFPTHLPTGRFSNGLNIPDLISEHLGQASPLPYLSPMLKKDKLLIGANFASAGIGILNDTGIQFLNIIRITKQLEYLEQYK >A10p027020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17061469:17063453:-1 gene:A10p027020.1_BraROA transcript:A10p027020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTWTSALRISVLLILVAAIVLACYFLPVEQLLKDFLLWVEQDLGPWGPLALAVAYIPLTVLAVPASVLTIGGGYLFGLPIGFVADSVGATLGSGAAFLLGRTIGKPFVVAKLKDYPQFQSVALAIEKSGFKICLLLRLAPLLPFSMLNYLLSVTPITLGPYLLSSWLGMMPITLALVYAGTTLKDLSDVTHKWSEFSIGRWAFLISSLVISVILMVCVTKVAQNALRKALAEHGGDMNGAVAASPELYVTTETPGDLNEPLLIKIEPQSPQNQENNSH >A10p040050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22136611:22139385:-1 gene:A10p040050.1_BraROA transcript:A10p040050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMIPGDPFSISSSMGGFVHQEQHLHHLQQHIQDINPNSNPNPNAKPNSSSAKKKRNQPGTPDPDADVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIKKKVYICPIKTCVHHDSSRALGDLTGIKKHYSRKHGEKKFKCEKCSKKYAVQSDWKAHAKTCGTREYKCDCGTLFSRKDSFITHRAFCDALTEEGARMSSLSNNSAISTTTMTTNLNFGNDSHVMNNSNLPHGFVHRGVHHPDINAAISQFGLGFGHDLTGMHPQGLSEMVQMTSSGNHHLFPLSSSSVLPDFSGHHHNQQFQIPTTSTTSQQTSASLPSLQQQHQTLKDSSFSPLFSSSSETKQNKPLSPMSATALLQKAAQMGSTRSNSTTAPSIFAGPTMTSSSSAASPPPRSSSPMMIQQQLNNNFNTNVPREIHNLAPPSSGVTTNNVDNNHRFQSNRSGITPVQQMGLTRDFLGVSNEHHPHQTGHRPFLPQELARFAPSG >A09p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3463205:3465791:1 gene:A09p006540.1_BraROA transcript:A09p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCWRKEMEGKLAHDYLSHHGKMTSPHHRISVVTGPVIVGAGPSGLATAACLKENGITSVLLERSNCIASLWQLKTYDRLHLHLPKQFCELPLIPFPDHFPTYPTKQQFIEYLEDYAKRFDIRPEFGQTVESAEFDENLGMWRVKSVGEEGMTEYVCRWLVAATGENAEPVVPRFEGMEKFEATGVVKHTSHYKSGRDFAGKRVLVVGCGNSGMEVCLDLCNFDAQPSLVVRDAVHVLPREMLGTSTFGLSMLLLKWLPIRLVDRFLLAISRFILGDTTLLGLNRPRLGPLELKNRTGKTPVLDVGTLAKIKTGDIKVCSGIRRLKQHEVEFDNGITERFDAIILATGYKSNVPSWLKENKMFSKKDGFPIQEFPEGWRGESGLYAVGFTKRGIFGASMDANKIAQDIFEWSRKSYQAHRHIQVLCMSRKSGQPYSRLLE >A06p002310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5246042:5247392:-1 gene:A06p002310.1_BraROA transcript:A06p002310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFSCFCCGKEFDRKRNVKTEQPSWREFTLKELHAATNSFNYDNKLGEGRFGSVYWGHLADGSQIAVKRLKASREEISFAVEVNILGGTRHKNLLTARGYCAEGQERLIVYEYMPNSSLFSHLHSSEPLLDWTKRMKIAVTSAQAVAYLHHHATPRIVHGDVRASNVLLDSEFEARVTDFGYGKLMPEEDGASKANNTGYLSPECVESGRGSSMGDVYSFGVLLLELVTGKRPIERLNQTIKRGITEWVLPLVYEKKYGEIVDQRLNGKYVDKELKKVVLVGLMCAQSEPEKRPTMSEVVEMLMNESKEKMAYLEGIPLFNKNNGGEAVDKSSEILS >A10p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8903228:8903974:-1 gene:A10p004570.1_BraROA transcript:A10p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Psb27-H1 [Source:Projected from Arabidopsis thaliana (AT1G05385) UniProtKB/TrEMBL;Acc:A0A178WJM6] MGFLVAAINVPPPTTLIHQQVKSKHVCSKEEKLQERSLFARRGFLHCVVGASLMAKLEFSGLQAAQAEEKDEGVVGAFKSLFDSNERTKSGKELPKAYLKSAREVVKTMRESLKEDPKDNAKFRRSADSAKESIRDYLSNWRGQKSVAGEESYAELEKVIRALATFYSKAGPSAPLPDEVKAEILDDLNRAEEFL >A09g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:535162:535775:-1 gene:A09g500070.1_BraROA transcript:A09g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRTKKQNHLSPQTMSFSVTVCFLMSACRREKKRRLDGEEARETLVGDGGFGTAEEEAKGKSQDRKSLLCSILTPKT >A06p041730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22448640:22450945:1 gene:A06p041730.1_BraROA transcript:A06p041730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVPFPLIPKPIEVNYRACTIPYRFPSDNPKIATPTEISWINVFANSIPSFKKRAESDTTVSDAPARAQQFAERYGGILEDWKKDPESHGGPPDGVVSQKNGPLNCSDELCFTWSFMVCLQSLGRAREHLLRELGFMDIFKKVKDEENAKAISLFPEVVSLSDAIEDEGERLQNLVRGMFAGNIFDLGSAQLAEVFSRDGLSFLATSQNLVPKPWVIDDLDSFQSNWFKNHWKKAVIFVDNSGADIILGILPFAREMLRRGMQVVLAANDLPAINDVTYTELTEILSQLKDEDGQLIGVDTSNLLVANSGNDLAVIDLSRVSQELADISTDADLVIIEGMGRGIETNLYAQFKCDSLKIGMVKHIEVAEFLGGRLYDCVFKYNEVQS >A01p024530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12185769:12188247:1 gene:A01p024530.1_BraROA transcript:A01p024530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHNILQEVVKSSSVEDSLVRSYGRSFNGFAAKLTESERDNLAGMEGVVSVFPSTFYKLLTTRSYEFMGLGDKSKQVPEVETNTIVGVIDGGIWPESKSFSDEGIGPIPKKWKGTCAGGTNFTCNKLTTFSNLFSKKLIGARHYVQDSARDDDGHGSHTASTAAGNKVKGVSVNGVTKGTARGGVPLGRVAVYKVCEPAGCNAEGILAAFDDAIADGVDVITISMGGGVTKVDVDPIAIGSFHAMTKGIVTTVAVGNDGSKLGLASNLAPWLISVAAGTTDRRFVTDVVNGDGKTLHGRSINDFDLKGKKYPLAYGKTASNNCTEELARGCASGCLNTVQGKIVVCDVPDNVMEPKSMGAVGVILHVTDVDSPGLGPIPVATLDDTNYDAFRSYVLSSPNPQGTILKTETVKDNNAPIVASYSSRGPNTLFSDILKPDITAPGVNILAAYSPLGQTALPGQSVDYYFMSGTSMACPHAAGVAAYVKTFHPDWSASAVKSAIMTTAWAMNASKNAEAEFAYGSGHVNPTAAVDPAALVLSPVETLLVPRNQS >A08p038720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22373029:22375643:-1 gene:A08p038720.1_BraROA transcript:A08p038720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEFLGSGHGREERNEEMRKLDSDQEHDHIIRSKLDSIKVEMEEAKEENRRLKSSLSRTKKEFEILQTQYNQLMVIIHEDPNKFSPKGYNQHKEEDEEDKERTSDREDLVLLSLGRRLKSPVPSGSMTNKEEKMKDFMKETDDDKRINEQGLSMGFEYKDLSNPSEKLEVDHNQEKTSLEVSNSNKIQSENSFGFKNDGDEHEDKEEPLPQNIAKKTRVSVRARCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVRKQVQRCSEDLSILISTYEGTHSHPLPMSATAMASATSAAASMLLSGASSSSSAADLHGINFSISSNSITPKPLLQTSSGHPTVTLDLTTTSSSQQPFLSMLNRFSVPPSNVPRSNSYPSTNLSFSNNTNTLMNWGGGSNRNEQYRAPYGNISTHQQSPFQHMIQTRAAGLSFDTFGRSSSSSPHPTQNSLDNVNFKNITHDQVQSLPAETIKAITTDPNFQSALATALSSIIGGDLKIDNVTRSEAEKSP >A05p040320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24683813:24686789:1 gene:A05p040320.1_BraROA transcript:A05p040320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGQSSQNSPPSPPSSDNNNNQQQSPPSPNSSSPPSPPSSDSQSPPSQQGNNNNNNNNNNGGNNDNNNNNGNNNNDNNNNNNNGNNNNGGNNDNNNNSNGNNNNDGNNGNKNNGNNNNKDGNNGNNNKGNNNDNNNNNNGNNNNNKNNNGGSKNRSPPPPSKNSGRNSPPKSLSPPKSSRGGSKSSRSDTPNMGAIIGIAASAGLLLLVMILFCVCCCRKKKKKKNQMPYYASNGFNGASGQYQHQQQQYNNQSDHVMNVGNNNWMNSPPPPPPGTWQPSQPMPVSGGLNSSDMSSNYSGPHGPALPPPHPSVALGFNQSTFTYDELAAATQGFSQARLLGQGGFGYVHKGILPNGKEIAVKSLKAGSGQGEREFQAEVDIISRVHHRFLVSLVGYCIAGGQRMLVYEFLPNDTLEFHLHGKSGVILDWTTRLRIALGSAKGLSYLHEDCHPKIIHRDIKASNILLDESFEAKVADFGLAKLSQDNVTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPVDLTGEMEDSLVDWARPLCINAAQDGDYSELADPRLENQYEPNEMARMVACAAAAVRHSARRRPKMSQIVRALEGDASLDDLNEGGKPGQNLARGSSSDYDSSTYSADMKKFRKTALDSQEYGASSEYGNTNEYEQRRN >A06g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23648483:23650401:1 gene:A06g508420.1_BraROA transcript:A06g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDVSPVPGDLRDSLKRRLAPENGSDTTRRDLRTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVKLNKSKSTDLRRQLERAKGQPQLPPPDTS >A09p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3853254:3854171:1 gene:A09p007290.1_BraROA transcript:A09p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIFGVDSIAADMKEQKLTVIGLMDAVAVVKKLKKVGKVDLLSVGPAKEEKKEEKKEENKAEKKEEKKEEKKEEEPKK >A05p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1526393:1527468:-1 gene:A05p003920.1_BraROA transcript:A05p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGVDVRQVPPGETHSPLIELSQLIIPSALPAGEEESKKCENGNKVIEEDSVREEKRVVEKSVGSEGSFFDCYVCLDLSKEPVVTNCGHLFCWSCLYRWLQVSEAKECPVCKGEVSVKTLTPIYGRGEKNKRVSGEGGSDKNKKIPSRPQARRVESLRTTLERSGYVGTEGIRQREPRTGETPARQFLSRVMTSRGVRAEQNQSSAPLVAAPLDDFRQMGAAIIQRQMMQAARLTVPFSPVLTAAERLEDAYLMRHTFDEQLNNVPSVGGEDRDSFSSIIGVMNSESQVDTAAEIDSMVTVSTSSSVRRPHENNGSRVSDVDSADSRPLRRRRLA >A08g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1300314:1301352:1 gene:A08g500530.1_BraROA transcript:A08g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESAFRAVYRGGSRFFLLPDLLLCVGESARLGYGSSFSQIWRFGVKPWIRVGAVRWSGGGSLARRRVMCALLASPVELDMELFPVCECGSEGFCVVAVAAALFVCDTRLCFLCQRGIVELASVLGVSTRRRVLSPLGHWSEGSPWEARVKIGLHSTSVVPLAHFGGLIFGPFVGKEERQQDVALTAPTLMLSRNSGSLLPVDVRGSQMQRLGLAKLRVFEINHLEQRCAHADFYQGVVSYFIKGFISCGNASLA >A01p010420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5089215:5089775:1 gene:A01p010420.1_BraROA transcript:A01p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELTQEERSELTQSISEFHTYHLGPGSCSSLHAQRIHAPPEIVWLVVRQFDKPQTYKHFIKSCSVENAFEMRVGCTRDVIVISGLPANTSTERLDVLDDERRVTGFSIIGGEHRLRNYKSVTTVHRFKKEKRIWTVVLESYVVDMPEGNSEEDTRMFADTVVKLNLQKLATVTEAMARNAGGGK >A04p003460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1696267:1697358:-1 gene:A04p003460.1_BraROA transcript:A04p003460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKDQRMIDPLEDMTDQSVEEDSKKQKLNEQQRRAFYAVLLAFRAETLSSGNKRTQIIEKLMSEWSIAQETLVSFEDNIQKNLVAHQERVDSEVKEPKKPLTISQPVKQNIDLGLFVTKLQAKKPTLCSSWGRVNPEALVGRRVCVRMPGEDEFEVLVIKEFNAKDGTHRLATVDPNVMWLNETLSWIDVRKVPDEDIRWKNGEKPDFETPPGSGAGH >A08p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1202914:1205215:1 gene:A08p002080.1_BraROA transcript:A08p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHCLNPITATPFSSTRRTSRSFSVSATMASPAKRVLIPVANGTEPFEAVAMIDVLRRGGADVTVASVENQIGVEACHGIKIVADALLSDVNDSVFDLIMLPGGLPGGETLKNCKPLENMVKKQDSEGRLNAAICCAPALALGTWGLLEGKRATCYPVFMEKLGATCGTAVESRVEVDGRIVTSRGPGTTMEFSVTLVEQLFGKEKAAEVSAPLVMRPNPGDEYTITELNQMNWSFESTPQILVPIADGSEEMEAVAIIDFLRRAKANVVLAALGNSLEVVASRKVKLVADVFLDEAEKNSYDLIVLPGGLGGAEAFASSEKLVNMLKKQAESNKPYGAICASPALVFEPHGLLKGKKATAFPAMCNKLSDQSHIEHRVLVDGNLITSRGPGTSLEFALAIVEKFYGREKGLQLAKATLV >A03g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:384813:385691:-1 gene:A03g500140.1_BraROA transcript:A03g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSCRISSVYHPQGYLAVAAKSTLERSILSAREWIAAQVTAPRPTKSPAQHVHQAPVRKDTVRCNTDAAWSATTLRTGIGWHFDDPVSGSHTEGTRGLQNGAQGGSSTQNHCDGVTC >A08g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9495232:9496368:1 gene:A08g505660.1_BraROA transcript:A08g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAKNTAYLTFISLFFSVIALQSIHGNLGFSENPYSSLVFRRTVRLYSRFSHPPLRSHTLNNKIKVFFLYEFRRTLRNRSVFPSILSKSHVFHTRLGEEGKEAFYTTYMKSVIALMQGS >A02p002940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1245676:1246246:-1 gene:A02p002940.1_BraROA transcript:A02p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVISMDQLKALWHSEVHNEQKWAANMKLVRALGVFAGGIFLMRGFGDLMAV >A04p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5277127:5278861:-1 gene:A04p014080.1_BraROA transcript:A04p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLVQPLHKLSSTIFFSRQQSHRLIPFSSSLKPPLPSKRLNLSKPFTLTFALAESDSPQPLETSSKSLLLQLSKCFDLPSDYFQQLPSDLRLDVSFPSSSLLSDLQRLLLILSCQLNDAAFDLSNGPIIDECGQELGETLLNLSRAWEQADTSASRTLVKKLPELESSLTDGAKSAFGRRLISAGRRFRGMGQYGQGELQKIAKAMITTGEVLSANTSPASVGNESKSNTRMFKFGELQVAVSPEKAYAGAAIAFVFGILSWQISQGIQSIPESSLQYANDNALLLAKSLRGTLLATFYASAVLSGFTTAGLLLLAKQLSSEKDSS >A05p038940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:23865006:23865956:-1 gene:A05p038940.1_BraROA transcript:A05p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNSAASTAPAVEKMFFCYQCNHTVNISISSAADPFCPLCSGGFLEEYDEPVPTLPANLNPAASDFFPMADPFSSLLPLLFGSSAPPPSSANPSIFGPRSAQNQPQGGAFDPVSFLQNHLQHLQSSGTHVQFLIEDHPLDPSNQAPGHLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAIEGLPTVKVSKDMLKSEMNQCAVCMDEFEDGGDVKQMPCKHVFHQDCLMPWLELHNSCPVCRYELPTDDPDYESRGQGQGGQTSGDGGQTPRSFSVQLPWTFGGRRDGSGSGAPGGGGGGSNLGTRQEDLD >A09g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28101535:28102778:1 gene:A09g509570.1_BraROA transcript:A09g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPCAYINKPRALSQHPNSNQICSSPPKASFIYFSVSFSDIIMSGKRRSGHRYRSRNYGNRTKPSSVAFTDSERLICDAAKNQVALNPNTVFGICHCLWDKKATSVGEKNILIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHPGGEDFDNRMVNHFIEEFKRKSKKDISGNPIALRRLRTACERAKRTLSSTVQTTIEIDYLFEGIDFYSALTRAIYYFLIINCI >A06p026660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13737704:13738077:1 gene:A06p026660.1_BraROA transcript:A06p026660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGLLHCNIVRVDGFVKRDIWSDLAYGIFCYSTCQHFGSECKDMILMIEERVQNGIADSLAKTVKFLS >A05g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3214488:3215993:-1 gene:A05g500870.1_BraROA transcript:A05g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAIESAVLDDIIRRLTEVRLARPGKQVQLSEAEIKQLCTTARDIFLQQPNLLELAPIKICGDIHGKYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPGNFFLLRGNHECASINPIYGFYDECKRRFNVRVWKVFTDCFNCLPVAALIDDKILCMHGGLSPELNHLDEVRSLPRPTMIPDTGVSYTFGPDKVSEFLEKHDLDLVCRAHQVVEDGYEFFAGRQLVTVFSAPNYCGEFDNAGAMMSVDANLMCSFQVLKHAEKKSNKFMISTKI >A06g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15413911:15416451:1 gene:A06g505230.1_BraROA transcript:A06g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIRWYSSSTHLKSFERFWICMFFRSRFNMHVFQIWKTSGTTYLRLPGSLPDYFHFSRLDFLKVVWTSWKSSGLPGSRLDFLEVVWTSWKSSGLPKSRLDFLEVLWRFFCNQTKSDDLTFSRLRKQISKSIAKITSALTRRLPGRSSTTRRLPMLLQAHRISNESDPPIIVSFYDLMNHKNFIRMEKEEG >A08p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20544652:20547061:-1 gene:A08p034550.1_BraROA transcript:A08p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NPGR1 [Source:Projected from Arabidopsis thaliana (AT1G27460) UniProtKB/Swiss-Prot;Acc:Q9CB03] MLCACSGEQFRFDDQPGSPESLATRDFSASGLSSSTRTRGGADWDSKLEESQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFKGIDIKVLTPSIIKAIGDRTRPCNKPPRSSKALTLPPPSSMSMHSVSLLLEAILLKARSLEELGSSKEAAEECKLILDMVESALPSGMPRVGISGFDKLQEVFHKALELLPLLWTKAGDFHETVASYRRALSRPWNLDPQRLAVTQKSLALVLLYGSVEASPKDSMEEAIVLLMLLVKKMVVGGIQWDAELVDHLAYALSMVGQFEVLATYLEQILPGVYTRGERWYLLSLCYSAAGMGKTAINLLKLALGPSESRQIPQASWLLFGAKLCSEDPKHSRDGIYFSQKLLDLANNQSEHLLSQAHRFLGVCYGNAARSSKLDSERVLLQKKSLYSLNKAATMAKGDPEPDAVYNLSVENAFQRNLQAALDGAVEYSSMVGGVSTRGWKHLAAVLSAEKRLKDAESILDFTMEEAGDMEKLDLLKLKAVLQMAQEQPKQALKTCSNLLALIRAQEKSEQSETLLKKYETEAWQDLASVYGKLGSLSDAETCLDKARSISFYSPRGWNETGLCLEAKSLHEEALIPFFMSLSIDPDHVPSIVSIAEVMMKSGGDTLATAKSFLMNALRLDPRSHDAWMKLGHVAKMQGLSQQAAEFYQAAYELELSAPVQSFI >A09p020050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10638759:10640655:1 gene:A09p020050.1_BraROA transcript:A09p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRFDKVEHFDKDSGSDSSCFYSDSDHEEAEESEEEVSEEGDESPAVVDDDEPLEEEEEHYILGCMIKCQPGYECRYCPFITCPNERTMRANVSSREKQIIIRNKGKFGTDDNKVMMIQKRHLRHTFFKVSMATAYERKRLKNIRRNDAMMASLNVHAKASLLSASTKRSRDHSKSLKKQQQKKKESEAPIIPMDYPTVFQCPKGLPFPQYVSLRVLAPLPLDDGDVSHSQFVDTLSGITRKPNEETATVTENDDYDSFDLGSLCLDPHNVKRVVPERVLVVKFLPCEGVKLVAAGDKEGNV >A07g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1460408:1460992:-1 gene:A07g500800.1_BraROA transcript:A07g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFPKPAKPALHLPYLEDSEALQNIIGNIMPHWIMRIPIKPKDQAGLHQLAKPTSFKESLQPIQLGDTLDHSEDIQDVLSCTSTQEIRRISLSNNIPYMATPTLNALEEFLHIFTQDQRPYSLLLRPRSISGRLEDHGHVQNLSRYKDHPSYPFEGTNRANS >A09p083160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59935894:59936728:-1 gene:A09p083160.1_BraROA transcript:A09p083160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVSGEQDFEVDIEAGLAHVTQESTSDNASEKIDDDVNYPLMGDEENPTETNSQKLDVSERKRDVVKFKKPRKASKPPRPPKRPSLTASDHKVMREIAELAMRKRERIERMKRSLRRIKAAKSSSSSSSFSCISIFSMIVTVLFLGILVSQGFFAGNSNLSSDISPAPIVSPDNQLVSAQLYNDPSPTTSYSFRYMRKRISGAEEGEDSRDVTR >A01g504430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:13545121:13545816:-1 gene:A01g504430.1_BraROA transcript:A01g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDFFGLKESSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLIACYAEGNPSTLYIKGVQFFYTFDLKEEGLSLMKRAADAGYERAVYTHAMTRAIFWGEGKYLSRIPIESLDKIGKLVRSVKWGWGLWHTPEFKERMAMFISHILPKFYSCQCGNPVERDCPCLWHIDVTKDDNMCPHCLWLKEIGLFLREFEPVSLYRDTRKW >A09p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6012228:6013429:1 gene:A09p011870.1_BraROA transcript:A09p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKIRELKDDYAKFELRDTDVSMANALRRVMISEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAVSMRFSRDCDACDGDGQCEFCSVEFHLSAKCVTDQTLDVTSRDLYSADATVTPVDFGVDSSGVADSGEQRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPDIIINEDMMDTLTDEEKIDLIESSPTKVFDFDSVTRQVVVVDPEAYTYDEEVIKKAEAMGKVGLIEIRPKDDSFIFTVESTGAVKASQLVLNAIDLLKQKLDAVRLSDDTVEADDQFGELGAHMRGG >A06p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9516178:9517401:1 gene:A06p019420.1_BraROA transcript:A06p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA11 [Source:Projected from Arabidopsis thaliana (AT1G21430) UniProtKB/Swiss-Prot;Acc:Q9LPL3] MENEMIKTQALIIGAGPAGLATSACLNRLNIPNIVVEREECSASLWKRRSYDCLKLHLAKHYCQLPHMPFPPNTPTFVSKSGFITYLDEYATRFNVSPRYNRNVESAYLKDGRWIVEVGKGAEREVYSAEYLVVATGENSEGVIPEIPGLVESFQGEYLHSSEYKNGEKFAGKRVLVVGSGNSGMEIAYDVSKWDADVSLVVHSPVHVLTREIVRIGMWLLRFFPVKLVDRWCLLLAKLRFGDTSRYGLIRPTKGPFMNKLVTGRSPTIDVGCVDEIKAGKIEVVPSIKSIEGKRVVFVDGNTKRVDSIVFATGYKSSVSKWLQVDDGDLFKENGMPKRELPDHWKGENGLYSVGFGRQGLAGITRDAQNVARDIASL >A09g515030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44713890:44714289:-1 gene:A09g515030.1_BraROA transcript:A09g515030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRAIQPNKRLGERGRDQD >A04p031430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18522682:18528582:1 gene:A04p031430.1_BraROA transcript:A04p031430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein S-acyltransferase 21 [Source:Projected from Arabidopsis thaliana (AT2G33640) UniProtKB/Swiss-Prot;Acc:Q6DR03] MARRHGWQLPAHTFQVVAITVFFLLTIAYYAFFAPFLGKILYQYIAFGVYSFLAFSVFVLYIRCTGIDPADPGIFVEAVNTPAHKSQTSNYAPENGVPYTRHGSGCCNAVGRFICGCLVIQDCRRDTHQEEPGEQEEALFCSLCNAEVRKFSKHCRSCGKCVDGFDHHCRWLNNCVGQKNYISFVCLMAASFFWLLVEFGVGVTVFVRCFVEQKAMEHLITEKLGLGFSRPPFAAIVIVCTALSFLAIVPLGELFFFHIILIRKGITTYEYVVAVRAQSEPPGPSIDAGDEYSQPPSPASSAVTAASGRSSLGLSIQYRGASLCTPPNIFMDQNDDVIQHLEQPGTVRSTIDPDKKPPQRQQVRINPWKLAKLDSNEASKAAAKARASSSVLLPLASRQHPHKTSSNASGRSSPASSYQTKNTSLTRDHINPMYMSSSANESPLNEEERRNVVIAAAAARRNMSTSDESSVVWDPEAGRFVSSSLQTPGTELATFGGGGSLAGNERLNSVSSSGSDGSRRVRGTPLTGYFQQVRSQRGGQLPVFMPSDSQLQRHVSTRFQKMGGGGGGGGSIEGPRELDQTPTWAVSTVCGVIILISIILELMIHKVGSVFEKKKKKALYEALQKIKNELMVLGFISLLLTFGQNYIASLCVASKYGNAMSFCGPYDGPSGDTKKVKDTDHMQRHLLSLHRRVLAGGAPAECKKGYVPLISLNALHQVHIFIFFLAVFHVIYSAITMMLGRAKIRGWKVWEEEVVNDHEMMDDPSRFRLTHETSFVREHVNTWARNRFSFYVMCFLRQMLRSVRKSDYLTMRHGPALWAFVMIFLLVDVHGWYVTAVITMVPPVLTLAIGTKLQAIISDMALEIQERHAVIQGMPLVNVSDRHFWFARPALVLHIIHFILFQNAFEITYFFWIWYEFGLRSCFHHHFYLIIIRVALGVGVQFLCSYITLPLYALMGSTMKRSVFDEQTSKALKMWHKNAKKKSETPGPVPTTRPRTAGDIESAPANITASVDNKEGDQGRKPGDLLSGP >A04p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:875009:878380:-1 gene:A04p001780.1_BraROA transcript:A04p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSLALSQALLARAISLHGSDQRISLPSSFSRASASSRRRNAASMTKLRSIRPLVRAAAVETLETSTDSSIIDKSVNSIRFLAIDAVEKAKSGHPGLPMGCAPMAHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLLYALLHLAGYDSVLEEDLKSFRQWGSKTPGHPENFETPGIEVTTGPLGQGIANAVGLALAEKHLAARFNKPDAEVVDHYTYVILGDGCQMEGISNEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTENVDQRFEALGWHVIWVKNGNTGYDEIRAAIKEAKTVTDKPTLIKVTTTIGYGSPNKANSYSVHGAALGEKEVEATRNNLGWPYEPFQVPEEVKSHWSRHTPEGKALESDWNATFAAYEKKYPEEAAELKSIITGELPAGWEKALPTYTPESPGDATRNLSQQCLNAIAKVVPGFLGGSADLASSNMTLLKASGDFQKATPEERNLRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHIASFRAMPNTLMFRPADGNETAGAYKIAVTKRKTPSILALSRQKLPQLPGTSIEGVAKGGYTISDDSTGNKPDVILIGTGSELEIAAQAAEVIRKEGKTVRVVSFVCWELFDEQTDEYKESVLPSGVSARVSIEAASTFGWGKIVGGKGKSIGINSFGASAPAPLLYKEFGITVEAVVDAAKSFF >A03p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5713669:5716647:-1 gene:A03p014370.1_BraROA transcript:A03p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRT1 [Source:Projected from Arabidopsis thaliana (AT5G55760) UniProtKB/TrEMBL;Acc:A0A178UR49] MSLGYAEKLSFIEDVGQVGMAEFFDPSHVLQCKIEELAKMIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKDLPKASLPFHRAMPSMTHMALVELERAGILKFVISQNVDGLHLRSGIPREKLSELHGDSFMEMCPSCGAEYLRDFEVETIGLKETSRKCSNAKCGAKLKDTVLDWEDALPPKEIDPAEKHCKMADLVLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKKADVVIHGFVDKVVAGVMETLNMQIPPYVRIDLFQIILNQSLSRDERYINWTLRVHGLTSQLPFIESVEVSFSDNHNFKDAVLDEQPFIIKRRTTETETFDIFLKINYSEGCDCLSTKITIPFKYEVSTEEHEEETIDKEAVLQSLREKAVEESSCGQSGVVERRFVSEPRSETIVYATVTNLRTFHCQQSVLANGDLKWKMEGSGTTSRKRSRTGKRKPRA >A04p036770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21096942:21098761:1 gene:A04p036770.1_BraROA transcript:A04p036770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKRKMNQTFVLAKDDDRLVTNALPETRTVKDVTNCETRGGLHVDEWMEMKRNGYLSGPLGGHVASRHVDSSNKTNQVPNKKKDQHVVATAPPSRLLAGLKPGIIKHVRSKEEVYSSLEALIRDSAREDRALDSKVSDHAINFLDKHGTSQVTATVASQWLEFLHKDLSERLSAVKESKNRADDTLKAELPLLVSSSKESSSANQECTSGDETVTEAHKMKWSAKFDEFKKRLNDEEKDLESTLNHVKDMQSRCSEGLRQMNEASCGKDGETNLAVQAAAASIFSTCRYLLSKMKPPP >A08p025460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16415293:16415554:-1 gene:A08p025460.1_BraROA transcript:A08p025460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKLAKKMRQNRPIPHWIRLRTDNTIRFKQIYSLCFSRSVLRSTMPSADTGVEPSLDSK >A06p014640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6547390:6551977:1 gene:A06p014640.1_BraROA transcript:A06p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMLVLVGTVPSLVSLVSPGGAGASVSGTSSSYGSYALVKRVSLSRRSVIKGGKRWLCRYSVSSSATTSTTDFIAEANSNSNSVSSIDSNSFKATKEGDDSSEIVLKQAPKPVLKPPVARVEKGGSGASSSSPWSKELSNGAKFDGEDERNKVIESLGEVLDKAESLEIPKPVKKEGEPSQPRANTSDSRNGTFASGGETRKTKTMKSVWRKGDAVAAVEKVVKQEPKIESRGPPQPPLRPQPPLRPQPQLQGRPMVAPPPVKKPILKDLGMSSKPSGPILKDVGMATKPPVSEEVVDSSNKSKERKPILVDKFASKKKSADPAASQAVLAPTKPGKGPPSSKFRVEHRNKRNASANPRRRMAAQDDADEDASELNVSIPGSGRKGRKWSKASRKAARLQAARDAAPVKAEILEVEEEGMSIEDLAYNLAIGEGDILGYLYSKGIRPDGVQTLDREMVRMICRDYDVEVLDADSVQVEEMAKKKEIFDEEDLDKLEDRPPVITIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVSVPVDGKVQSCVFLDTPGHEAFGAMRARGARVTDIAIVVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGASPERVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPHRNAKGIVIEAGLDKAKGPFATFIVQKGTLKRGDVVVCGEAFGKVRALFDHSGERVDEAGPSIPVQVIGLNNVPIAGDEFEIVSSLDVAREMAEARAVSLRDERISAKAGDGKVTLSSLASAVSAKKMSGLDLHQLNIILKVDVQGSIEAVRQALQVLPQENVTLKFLLQATGDVSNSDVDLASASEAIIFGFNVKASGSVKKAAENKGVEIRLYRVIYELIDDVRNAMEGLLESVEEQIPIGSAEVRATFSSGSGRVAGCMVNEGKFVKDCGIKVIRKGKTVHVGVLDSLKRVKENVKEVGAGLECGIGMDDYDDWIEGDTIEAFNAVQKRRTLEEASASMSAAIEEAGV >A04g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11309752:11310377:-1 gene:A04g505200.1_BraROA transcript:A04g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFRGNSDGHLNIRRNFLGIFLLIRGKRISCACISINLYCSSEFPRNIPRKFRGTHVWGFKTSNFFALVIQTAKRCFRFKNPTSSEKPRNIPKKFRGKTSVPRNFLGIFRGFSKETETLKAKP >A02p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1289146:1291420:-1 gene:A02p003100.1_BraROA transcript:A02p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRERSEMVNMSILMMMMMMSSTLMTMVDSSRLVQRASDDSEILRRHLLANGLGVTPPMGWNSWNHFSCNINETVIKETADALVTTGLSKLGYNYVNIDDCWAELSRDKKGKLVPKKSTFPSGIKALADYVHSKGLKLGIYSDAGYLTCSKTMPGSLGYEEKDAKTFAEWGIDYLKYDNCNTDGTRPTVRYPVMTRALMKSGRPIFHSLCEWGDMHPALWGAPLGNSWRTTNDINDSWLSMISIADMNEIYAAHARPGGWNDPDMLEVGNGGMTKDEYIVHFSIWAISKAPLLLGCDIRNMTKETMEIVANKEVIALNQDPHGVQAKKARMEGDIQVWAGPLSGYRVALLLLNRGPKRSDITAFWDDIEIPPNSIVEARDLWKHKTLKQKFVGNLTATVDSHACKLYVLKPVA >A01p015900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7804971:7806196:1 gene:A01p015900.1_BraROA transcript:A01p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHKHDESVTAPEPAVEIVERESLMDKISEKIHHGGDSSSSSDEEEDKKKKKTKKPESPSSMKSKVYRLFGREKPVHKVLGGGKPADIFMWKDKKMSGGVLGGATAAWVLFELMEYHLLTLLCHVMIVVLAVLFLWSNATMFINKSPPKIPEVHIPEEPILQLASGLRIEINRGLSSLREIASGRDLKKFLCAIFGLWVLSIMGGWFNFLTLAYIALVLLFTVPLVYDKYEDKVDPLGEKAMIEIKKQYAVLDEKVLSKIPMGPLKNKKKD >A07p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19604235:19614293:-1 gene:A07p036540.1_BraROA transcript:A07p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIHTNGNISPSFPNSYSGEEREIQKNYWKEHSVGLSVEAMMLDSKAADLDKEERPEILSLLPPIEGETVLEFGAGIGRFTSELAQKAGQVIAVDFIESVIKKNENINGHYKNVKFMCADVTSPDMKFSNESMDLIFSNWLLMYLSDKEVEDLAKKMLQWTKVGGYIFFRESCFHQSGDNKRKYNPTHYREPKFYTKLFKECHMNDDVGNSYEFSLVSCKCVGAYVRNKKNQNQICWLWQKKVSSDNDRGFQRFLDNVQYKSSGILRYERVFGQGFVSTGGLETTKEFVAKLDLKPGQKVLDVGCGIGGGDFYMAENFDVDVVGIDLSVNMISFALEHAIGLKCSVEFEVADCTKKEYPDNTFDVIYSRDTILHIQDKPALFRTFYKWLKPGGKVLITDYCRSPKTPSPDFANYIKQRGYDLHDVQAYGQMLKDAGFDEVIAEDRTDQFMKVLKRELDAVEKEKDDFISDFSKEDYEDIVGGWNSKLLRSSSGEQKWGLTFYKWMKPGGKVLITDYCRSPKTPSLDFANYIKQRGYDLHDVQAYGQFMKVLKRELDAVEKEKDDFISDFSKEDYEDIVGGWNSKLLRSSSGEQKWGLFMAKRN >A09g517890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53838486:53839868:1 gene:A09g517890.1_BraROA transcript:A09g517890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQTQTTPPGTPKRSPFTGIFHKLKTNLAFRSKLAEVNGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAVTGAVYGVPMPVQPMKSIAAVAISSTAEEFGIPEIMAAGICTGGILFVLGISGLMQFVFNVIPLSVVRGIQLSQGLAFAMSAVKYVRKEQNFSKSKSVGDRPWFGLDGLVLALACVLFIVLVNGDGEQEEEEEEEEERNGSRRRRRVWIRKVVSNVPSALLIFLLGVVLAFIRKPSIVYGIKFGPSKIKLVRMDKEAWKNGFLKGAIPQLPLSVLNSVVAVCKLSHDLFPEKKFSATSVSMTVGLMNMVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGSSLVGIMEKFLVGVLGALLLFAGIELAMAARDMNTKGDAFVMLVCTAVSLGSNAAIGFVAGIVLYVVLWMRNYGRVKPTGLPLRVDQHP >A02g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22730100:22731535:1 gene:A02g508350.1_BraROA transcript:A02g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDQTAVTTGTKKACVIGGTGNLASILIKHLLQSGYKVNTTVRDPESEKKMAHLKVLQQLGDLKIFKADLTDEGSFTSPISGCEYVFHIATPISFTSQDPEKDMIKPAVQGVINVLKSCLKSNSIKRVIYTSSAAAVSINNLSEPGLVMTEENWSDVDFLTKEKPFNWGYPVSKTLAEKEAYKFAEENKIDLVTVVPALIAGNSLLSDPPSSLSLSMSLITGKEMHLSGLKEMQKLSGSISFIHVDDLARAHMFLAEKETASGRYICCYYNTNVPEIADFLRRRYTKYNVLSEFEECLSSAKLTLSSEKLIKEGFRFEYGISEMYDEMTEYFESKGLIKPKVS >A02p051140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31460169:31468614:1 gene:A02p051140.1_BraROA transcript:A02p051140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSLLCLCCITILATSLSFNVVSAHEIAVNAPNTIKDIESYISNRAIGFVLKLEDECPIREKLRSFFEKLKDLLKLESSVTPMIEENEPKTFMSHMKSKADNLLQTMLMIGRGLLSSSVRKEMFKVMKSLTELHAVIGKVITEKHIKGDESMSLSLEQKNAVENAVSQWEVTITRIVEIVVEVKSKSSSAASGEESSNTGQNSTSVNETTLESNKGNSESMQENSDNVSESNEDSKNDVAMKAKEGNRVEDVKGDVNNEKQLEVQGGSIDSNTNTSLDAKEDINSEVKANTDGSSITKNLEEAKGNSGVLTNDNNLENKGSEVTINDGDHTKENGEETQENNGEVVMNENLENNKDNKEVKDDGLVETKKNHETSMEENREHTQRGTEVSMNEENMHTKSGNADSNKEKEVEVQGENIGDSTKDVNLENKEDFKGDANSEKKLEVQGGNNGDSQINKNLDTKEDVKSEVDARNDGSSMTEESETQGNDEVSTKNTNLENKGSEATLNDEYHTKENGKNTQENNAELVNDENLEKNKDNKEVKDNGLMHTNSGNADSNKEKEVEVQGESIGNTTKELNLENEEDLKGDANSEKPLEVQEGSIGDSHINKNLDTKNDVKSEVDARNDGSSMTESEDTKGNGQFSTKDTTLENKESEARTNDEDHTKENGEETKENNGELVKDENLENNKDNKEVKDDGFVETKTNHENSTEEKREHTQGGTEVSMNGEVMPTKGGNVDSNKESEVEFQGESIGNSTKDINLKDKEDVKSKVETNKNDESSMKEKQRYSQGNDRVSTKDQNLESIGAHKETMNHKTVEAMKNNGDQMKDKREENQQRESMKDENSEDKEDKNELKDNELVETKTSNESSVKENKEEAQGSNEVSMNGQMDSLGGNVDSNNKEAVEFKKRHVEDSNKDSKHKVEAIKNEGNSMEEKGEVAEGNNGVSTEDKNLENIESEQELKNNIPAETKTNKETTMEENKEKDHVNNDESTYGGNKNESKDDKLDETKKNKKDHMDKKWREAKGNEKSFMREHQKEVSVDNKGDKKEIKDGKPLEVKENKENKEETQRNSSGQYTNVDNKEGKDDKSKEAKENKESSNNKIQEKVQGSGRDTTKVDRKKDRSSIDNMDIEIQKGSEESMKEAERKEHEKNKSTKIEKEEKKQKGHEEHKSKKKEEEKRKEHEKNKLKENDDDKKKQKEHSGYKSKKKEKEKRKEHKENKSKRNEEERKDHERNKLKNEEEKKKQKEHEEHISKKKEEEKRKEYERNKSKKNEEEKKKQKEHEEHISKKKEEEKRKEHERNKLKNEEDKKKQKEHEERISKKKEDEKRKEHEKNKSKKNEEDRKKHKEHKEHISKKKAEAKRKEQEKNKSMKNEEEKKRQKEYEEHISKKKEENKRIEHERNKLMNGEEKKKQKEHEEHISKKKEEEKENEHEKNKSKKNEEEKNKQKEHQEHISKKKEEEKRIEHERNHLKNEEDKKKQKEHEEHISKKKKEEKMKEQENNKSKKNEEEKKKQKEHEEHTSKKNEEKKKKKKEHEKNKSKKNEEEKKKQKEHNEHLSKKKEEEHRIEHERNKLKNEEEKKKQKEHEEHISKNMEEKKRKEHEQNKSKKNEEEKNKQKENDEHMSRKKEEEKRIEHERNKLKNEEEKKKHKKHEEHKSKKKEEEKKKEHERNKLRKNEEEKKKQKEHEKHISQKKEEDKRKEHEEHTSKKKEEEKRKEHEKNKAKKIEEEKMKEHEKNKSKKNEDKKSEHEQYKSKKTHKENKSNNNEEEETKKGEYEESKSKKNEEQKKREREEEKKKEHEENKFKKEEKEKKMKRDYDNNKSKQNKEDEKEKTKEDVKMSNDDKSKDHEKEMLANAKEKSEESEKEMHAEALSRSEESDKEMQADAPTKSEESVKEMQRKTHARSEESEKKIQAEAQARSEEHEKNMQAEAQAKSEESEKETQTKDQAKNEEPEKEMQANAHTENEESEKEMQAEAHARSEESEKEMQEEAQVKSVESEKRKHTKAQAENEDSSMKKQEEIQETNVGEKKDQGDLKEEGSADGKTTEIKEGSIEEDSKDGETVENNGVNEEAMEEHSKDGKVVETNGGKEDSMEEGPKDEKLIKDNENTTEISGGKNSTVGDSSSDDGKIVENSGGKEDSLEEGEDGKTVEMHGGKNSTEIDGRKEVPIEEGSKDGKTLENNNSTENSVEEGSKDSKTVEVNEGQDKSMEEGSKDGNTTELNGSKDNSMEEGSTDGNTVETDGVKEDSMKDKATEVQGNNNSSTSDTSMATKVQGHNNSSTSDTSTDVKGDSAYINEDSTKNTTVEAQGGSAGGSTNGTIGETTESNDTMNNQNVQHGENNANSTNNQTSESSSTEKKVTTDIESTTSKEVTSFISNLEHKSPGTQEFQSFFQKLKDYMKYAWPVSSTFEATDSRSYMSEMTNMATKVSDAMAVLQAKKLGSGLMKTTLQGYQQEVMKTLTILQSVLSKAVSGQQSQNSGSLTLTLSQQQAIKEITLKWEQVMSQFVRVATESEKQFSMETSTGNGFHMKKSFSSSSSSSSSSSSSPGLDFNLNSESPEMVDVNS >A01p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2166999:2169867:-1 gene:A01p005050.1_BraROA transcript:A01p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:Projected from Arabidopsis thaliana (AT4G33510) UniProtKB/TrEMBL;Acc:A0A178US70] MMTTLNVYSPLPTKSFLPHRPPPRRPISFSPVFAVHSTDPEKSPQPPSRPAKWSLDSWKSMKALQLPEYPDQKDLDSVLKTLSSYPPIVFAGEARKLEEKLGQAAMGQAFMLQGGDCAESFKEFNADNIRDTFRVLLQMGVVLMFGGQMPVIKVGRMAGQFAKPRSDPFEEKNGVKLPSYRGDNINGDAFDEKSRIPDPHRMVRAYTQSVGTLNLLRAFATGGYAAMERVSEWNLDFSQHSEQGDRYRELANRVDEALGFMDAAGLTNAHPIMTTTEFWTSHECLLLPYEQVLTREDSTSGLYYDCSAHMVWVGERTRQLDGAHVEFLRGIANPLGIKASDKMVPSELVELIEILNPQNKPGRITVIVRMGAENMRVKLPHLIRAVRGAGQIVTWVSDPMHGNTIMAPSGLKTRSFDAIRAELRAFFDVHDQEGSFPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQSLELAFVIAERLRKRRLSSGSLLSSIGL >A02g511350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30188850:30192542:-1 gene:A02g511350.1_BraROA transcript:A02g511350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFYKSSFEFDFDLGALWRSLISWSKFLIVEVATRRYLLLLWYLVVGIGSVLPFLGPVVLGRRDWIMKAINCLFVLLQKHTLEAANQNFSGTLLAPSIGNLTYLETVSVKLNNNSLTGTIPTSVANMTQLILFVMGNPQICSTGNEEECNETHPKTKSLIFSSSDPSDGGTINQKFTVQFGLSLACICLLIIH >A03p050680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:21771183:21771524:1 gene:A03p050680.1_BraROA transcript:A03p050680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U4-3 [Source:Projected from Arabidopsis thaliana (AT5G61650) UniProtKB/Swiss-Prot;Acc:Q9FKF6] MNQDLQEPMAEIMPNIITAMSYLLQRVSETNDHLSQKQSISGFHGITKPSISIRSYLERIFKYANCSHSCYIVAYIYLDRFIKKQPFLLINSFSVHRFIITSVLVSAKFMDDL >A06p006460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2154585:2160485:1 gene:A06p006460.1_BraROA transcript:A06p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigB [Source:Projected from Arabidopsis thaliana (AT1G08540) UniProtKB/Swiss-Prot;Acc:O22056] MKSIGLISSIAPSSTIRSLSRTSSNRVIFKIPAKRCGGGFVTMSLDSNSEKSSSSSEGVSISVLEDQSVNDFKMKLGSSVSPPRLINPLGKTMSRSDQAFLLLAFIACTTSVAFTSFVLTAVPTLLAMGRAASSFAKLADTARQELPSTLAAIRLSGMEISDLTLELSELSHEVTEGVNKSAKAVQAAEAGIRQIGTLAHQQTLSMIDERASLPEISLQPVVAGAAKKTSHAIGKASKTLMNIITGAESMSSCLLPQFKCQPDSFSIQNRTSYSLSKHNKSSVYFQPQCAVSTSPPLLTSTLDVSKLRLPSFDTDSDRPWTYTGAVGPSTEAKYLEALASETLLTSDEAVVAAAAAEALALARAALKVAKDATLFSNTHNAKPSVTPSAPDKRSKWDQFTEKERAGILGHLAVSDTGVVSDRVTAPAPPECNKESAEKQEAEPLEEEEEEIVAVSSAVRSTRQTERKARRAKGLEKTASGMQSAVKTVSSSRKKRVASQEIDNNDPLRYLRMTTSSSKLLTAREEQQLSEGIQDLLKLERLQAELTERCGHQPTLAQWASAAGIDKKTLRKRITHGTQCKDRMIKSNIRLVISIAKNYQGAGMDFQDLVQEGCRGLVRGAEKFDATKGFKFSTYAHWWIKQAVRKSLSDQSRMIRLPFHMVEATYRVKEARKQLYSETGKQPKNEEVAEATGLSMKRLMAVLLSPKPPRSLDQKIGINLNLKPSEVISDPEAETSEDILMKQFMREDLDKVLDSLGTREKQVIRWRFGMEDGRMKTLQEIGETMGVSRERVRQIESSAFRKLKNKKRNNHLQQYLVAQTKES >A06p031950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17036834:17037277:-1 gene:A06p031950.1_BraROA transcript:A06p031950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGQENQSPIPHPLTASRCRFSFHSPYEMLRVKSSCLIHSIRPLPHYVYSKHSSSSEVRREKISEMLTLLQDLVPGSSRITGKAVSLDEIIKMCSP >SC215g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000071.1:69189:75493:-1 gene:SC215g500020.1_BraROA transcript:SC215g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKEVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNYYERSSHSSGSRPEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLDLVHVQGSLYLSVSQTLILIQVIFCLNLVFSSPLLSRVKRVS >A02p053320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32445957:32448958:1 gene:A02p053320.1_BraROA transcript:A02p053320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WWSGIIQNPFGMLTGKFPEGLRVLVYDEDFQNLISLEKHLQYFQYKVTICNEGADAMHMLRNHMNTFDIAIIEAQNSAVDIFRLISEIASEIDLPIIITSKDDSVQSVINWMNIGVCDYLIKPIRPEDLRFIFKHVVRKMQVGKRVESEEKATAEKSSSVGDSTIRNPNKRKRSMFIDGQVGEKDQDHVRDSTTKKRRVVWDNELKQKFLDAMEDLGPEAVPKKILERMNVVGMTRENVASHLQKHRMLLNRQKCHNEKDEKKQSLLSPQGGLHSGEGGSNIQFSTQHISNIPHQPLRHHPDGVPVAVSTRNLLMTNQHHLQTSDFTSIENVEESLIFTEEDAEVSNLAFLFTQKSEEMSLSHLHEPVMATTMLSNDNQLFPNQQQMMNFHEPSILHTHSFPLSLTPSSFLDQKETIMMMNVDEGLQQWLLNEQEQPNLTDENRFSSINPR >A05g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11096021:11099493:1 gene:A05g503950.1_BraROA transcript:A05g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYLGNLSAIKPSHYGAFKDLRKKINYLDSAILLYFYILLYLGNLSAIKPSHYGAFKDLRKEINYLDSAILLYFYILVPTLTNTPFLGQTVGVRRGTKRTIFKAYRTWDQDDEMRRSFSVDQKKILVRL >A03g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23894505:23895856:-1 gene:A03g506650.1_BraROA transcript:A03g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVPKKATFGALDLGGSSLQVTFENEEQTHNETNLNLRIGSVNHHLSVYSLAGYGLNDVFEWSVVNLIKRQTYVNKSDLIGGKLEMKHPCLNSGYKGQYICSQCAPSVRRRKNGKTGVPIKLVGAPNWGECSALAKIVVNSSEWSNTEHGADCDLQPCALPDGYPRPHGQFYAVSGFFVVYRFFNLSVEASLDDVLESGRDFCEKAWQVTRTSVSPQPFIEQYCFRAPYIVSLLREGLYITDKQIVIGSGSITWTLGVALLEAGKALSSSTLGLKSYETLSMKINPIALIYGLLVSLLLLLNCMPRFFRKSYLPLFRHSSASLNIPYPFKFQRWGPMSPGVKTPLSPTVRSSPHRPFSFGSSIQLMESSSLYSSSSSVMHSYSSDSLGEMQVDGSSSFWSSPRRSQMRLQSWRSQSREDLSLSLA >A03p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1133100:1135183:-1 gene:A03p002470.1_BraROA transcript:A03p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-31 [Source:Projected from Arabidopsis thaliana (AT5G05760) UniProtKB/Swiss-Prot;Acc:Q9FFK1] MGSAFRDRTAEFRSLSQTLKKIGAAIPSVHQAESDPTSSKRSSPGSSRSEFNKKASQIGLRIHETSQKTARLAKLAKESSIFNDRTLEIQELTVLIRNDITGLNMALSDLQTLQNMEIADGSYSHDKVGHYTAVCDDLKTRLMGATKQFQDVLTARSENMKAHENRKQLFSAKNAAVDNQNNAKAVPEPPPWSSSSVPSGNLQQQPLLPPVNSGASSGSQLRRRSAIENAPSQQMEMSMLQQTVPRQESYSQNRAVALHSVESTITELSGIFTHLATMVTQQGELAIRIDDNMDESLVNVEGARSALLQHLTRISSNRWLMIKIFAVIILFLIVFLFFVA >A08p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7709292:7709841:-1 gene:A08p010030.1_BraROA transcript:A08p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEILVGIRVHVSFDRYSQDLAYTFICSNEINRRLFEVQKTAQTYQMFIHGWRHSHNFIEPIF >A01p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8565205:8565556:-1 gene:A01p017370.1_BraROA transcript:A01p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAANSPELLRFLNEEEQRVMMNEAVAKLTSVCWDKCLTSAPGSKFSPSEYSCLTHCAKRYADMSMVIIRSTQSKK >A05p045980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27398160:27403415:-1 gene:A05p045980.1_BraROA transcript:A05p045980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQWRGKEALSSSTILQVIDSRPQIEAWAESIESSGLVFTTGSRLDLLVVDRAIVGVYDVKENFFIPTSNAPRAGPRNSVFGAPTLVNATSSRSQPPPSSMGNTAGGGSVSRVLVSDGGSILSSTGSMGGGGSISRALISDGGSILSSTGSMGGGGLLSRGLISDGGSIMSSTGSMGGGGSMSRGLISDGGSIMSSTGSMGGGGLLSRALIYDGGSIMSSMGSMDGNSSYVPSAAGGHFQTHVQAMNSLSSMSLMNSNYMTRTLKGVYDVKENFFIPTSNAPRARPRNSSLNSVPYARFYNSSRAPPPPSSMGNTAGGGSMSRDLVSDGGSMLSSTGSMGGGGSMSRALISVGESILSSTGSMGGGGSMSRGLISDGGSIMSSTGSMGGGGLLSRALISDGGSIMSSMGSMDGSTEHNRSMMIGLQGYSMPGSSYPSAAGGHFQIHVQAMNSLSSMSLMNSNYMTRTLKGVYDVKENFFIPTSNASRVGPRNSVFGAPTLVNATSSRAPPPPSSMGNTAGGGSMSRALVSDGGSMLSSKGSMGGGGSMSRGLISDGESILSSTGGVWVVEAQ >A09g516270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48059717:48060743:1 gene:A09g516270.1_BraROA transcript:A09g516270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFVKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGSKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIDAPTYVECSSKSQENVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >A01g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23830525:23832422:1 gene:A01g508710.1_BraROA transcript:A01g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENDEDSHLHASKEEMESLFLRDDNGSHHLSPPPIIVTPADSDPLSLPPNGGTSYIEPPSYADVFFSPFDDSDDSSDTLSRSPSSSSSSDYIKITVSSPQKEQEAASSMLSGGSTYVTYLITTRTNLPEYKGSDFTVRRRFRDVVALADRLAESYKGFCIPPRPDKSVVESQVMQRQEFVEQRRVALEKYLRRLAAHPVIRCSDELKVFLQVEGKLPLTLQMGTGVASRVLDGGVSVVEVAQPARSGRDLFRLFKELRQSVSNDWGGAKPAVVEEDKEFLEKKEKMHDLEKEIINASQQAETLVKAQQDMGETMGELGLAFIKLTKFENEEAVFNSQRARANDMKNLATVAVKASRFYRELNSQTVKHLDTLHDYLGVMMAVQGAFANRSSALLTVQTLLSEVSSLEGRAEKLEAASSKVFGGDKSRIKKIEELKETIKATEDAKNVAIRDYERIKENNRSEVERLDRERRADFLSMMKGFVVNQVGYAEKMANVWAKVAEETSQYNRESS >A04p035280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20371749:20372722:1 gene:A04p035280.1_BraROA transcript:A04p035280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHTKIQPIDLSEDLPTMKQMPKSRLKRLFERQFSIKNATVGEFDAPPLSRGNSGDFEPSSVCLAKMVVNFMEDNKEEEEKQRCGRSRCSCFTGSGTESSDDESEWSDDVKCSSGEACVMLKSLVLCTSISERNVMADVSKIVETSNRKDQSCLKNLVSVLVRLGYDAAICKSRWEKTPSYPTGEYEYVDVIMEGKRLLIDINFKSNFEIARATKTYKSILQTIPCIFVGKADRLQRIIMLLSKAAKQSLKKKGLHVPPWRRAEYVKSKWLSTYVRGEEKQETVDMLSASGGGSIVFGV >A05p001220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:123599:124259:1 gene:A05p001220.1_BraROA transcript:A05p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETGLDAFRLSTSWPRLIPSSVNPKGLEFYKNFIHELELNHIYVTLYHYDHPQSLEDKYGEVGSTTELFSATLLCFFYRKQKKKEALIAFFCQYCSKDFTAYADVCFREFGSHVKFWTTINEANIFSTGGYNNRVTPLGRLFGLLLRELFH >SC194g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000058.1:17836:19426:-1 gene:SC194g500030.1_BraROA transcript:SC194g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDHVTYVFVVGSVQLGELGLCVVRGAGMCCVWRHPLSNLRGLGVCGVRRTRPGVDFRCCLHGARFLTGTTLVLSTLVTLPGWSGLGRSGGVTNGGIKAMVEIPLLSFAGSRQVGSGSRMRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVAVNIIDISSRESSPWISMPAWSPAFSLGGSLD >A06p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2945990:2946572:-1 gene:A06p008520.1_BraROA transcript:A06p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATPALRKPVFTKVNELRPGTNGHSLNVKVVSSKMVMQRGGGGGGRPNGPQARQMRIAECLVGDETGIIIFTARNDQVDLMKEGKIVTLRNAKIDMYKGSMRLAVDRWGRVEVAEEPTDITVKEDNNLSLIEYELVNVEA >A01g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14069344:14070931:1 gene:A01g504710.1_BraROA transcript:A01g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTRGAEQRRLQCLQDIQNLQEEIKLLQISNEKLNAVGLDDMSFTELASLGSMLDEGFRIVDEQLDNVGAHEEITTKQIFEYDLMGGPDWTQRIEKEDLAYQSLLAGRRVALRNKAREFRLSPPETQPWRSDDPERFKMDIDSLEMEKERLRLFNQRMLGKELDGMSYAELFVLSFEISGASRKVVSMKKIKRDEEMRKTKRPRPSVNKEPISPGQI >A06p013670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6178461:6179943:1 gene:A06p013670.1_BraROA transcript:A06p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGVNNYFTEVNKFEQGDRSESVDILKSQARIMGTIEQSIIPGLPDDLALRCISKLSHGYHGKLECVSKDWRDLVRCEAYSCYKARNGWSESWLFAHSNNQLVAYDPDADRWHPLPRNEAIQDGWDHSGFACVCVSSCLLVIGGCYVSSFPREEPFVVTNVVMRFDPFKKEWKMVAGMRTPRTRFACAAVSGKVYVAGAMPGPEMNCSWFGLSYKGSFHVLRAGEQISTQAFSPLEMTWSTVVDIWPLPRKMNYAVQVMKDDRLYSIVTQVLGGSLIQTRDTDDEGEWYTLGSVPCGVVLPDDPREPQVLGHGFAALRDELYVIGGRFLKLEKSGAGGFERLSVVRVCDPLVRPLIWREAKPMYLPAGGPITGCASLEESSPP >A01p004230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1817186:1817818:-1 gene:A01p004230.1_BraROA transcript:A01p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIQFQHFKMEKPRRIFNLPAFTNLFRLMELILLTILISKLSFPSVKLSGDIFREAAEFLVSPRFVFFVGNAIVITLFAKYRRHSSVHETTEAESNDLYQELLYKNEKKTSEVHETKTEQSKKLSGVKRVSFERSQSQKALEAVHPHVGNIMRRYDSEKHLKVCDSDKKVVVRAKKPEDAMSNEQFRTKIEAFIARQKRIQKDEQHLTF >A03g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30749080:30750811:1 gene:A03g509510.1_BraROA transcript:A03g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNVPSNSSSYVGLLHSQQGSVFHENFPYEIKMGHYSYTQPSEEDDLFGNNEDSEYSKTDDLIRRDQAELSLERTQQVDYPPQPEVEFGFPQVCYCGATPLLATSNNRQDQGRRFFTCANKDDGECHVYKWWDEALMEEMRARDIHVLQLGEKVESLTLLSDYDTEQKIRNLEKIVGDMAKEKSSFSYGFECFVIGIVVLVVVIGLVVMFG >A02p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24459560:24460314:-1 gene:A02p037510.1_BraROA transcript:A02p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSASVGIKDGRFGGNHLYSPSFSSSSSMRHVNYSCGSCGYELNLSSTNRITSSIGSKYGKSMKTGIISFFNIDEGRFSQVDEFQCMPHFSRYSWGLFRRKTKLLCRQCNNYIGNASYDKAPPEYALVTQNSSPRKGVTDTVTKYDIRIRALQPSSAVASL >SC122g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:167902:168201:1 gene:SC122g500140.1_BraROA transcript:SC122g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A07g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5931014:5933817:1 gene:A07g502910.1_BraROA transcript:A07g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIHLNVFGYAGFSDLEDFWDDLSVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSFLIRWYSSSTNLKVYQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09p032460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:19694149:19695876:-1 gene:A09p032460.1_BraROA transcript:A09p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAQKTLILLANLIKVPPLKAFSLLNSSNLHGFQHTHESFSVLLHLLLSGNLLPHAQSLLLQAITRRIHSQSFTPSSLLRYLTQSETPKPNSRLYEVIISTCIQSQSLDSALSYFNEMIDKGLVPGSNCFNNLLTFVSGSSSFDEFWAFFNENKGRVVLDVYSFGIAIKACCESGEIDKSFHLLVELRANGFSPNVVIYTTLIDGCCKKGEIEKAKALFFEMETIGLVANERTFTVLIHGLFKNGAKKQGFETYEKMKEDGVLPNLYTYNCVMNQLCKDGRTKDAFKVFDEMRERGVSCNVVTYNTLVGGLCREMYASEACRLMEQMMSDGINPNLITYNTLIDGFCNVGKLGKALSLCRDLKSRGMSMSVVTYNILVSGFCKKGDTSGAAKIVKEMEERGIKPSKVTYTILIDTFARSDNMERAIQLRTSMESLGLVPDVHTYSVLIHGFCIKGQMNEASRLFRSMVEKKVEPNEVIYNTMILGYCREGSSYRALRLLREMGDKELAPNVASYSYLVDVLCKEKKMKEAEDLVEEMIGSGMDRSASVCNMISSAKNGSLVAQTIDKSCLNVT >A06p003090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4858641:4860026:1 gene:A06p003090.1_BraROA transcript:A06p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVMPLPPPETEGKSLKPHIMVFPYPAQGHLLPLLDLTHQLCLHGDITVSIIVTPKNLPYLSPLLTAHPSTVAAVTFPFPQSPSLPPGVENVKDIGPSGNPIIMASMRQLREPIVNWLSSHPNPPVAIISDFFLGWTNDLGIPRFAFFSSGAFLASILHFFSDKLHLFESTEPVCLSDLPRSPVFKIEHLPSLVPQSPSLQDLESQRDSMMNFLSYGCVFNSCQCLEGEYMDYVKLKTGHNRVFGVGPLSSVGLGKGNFDSSVDVKALMSWLDGCLDGSVLYICFGSQKVLTKDQCDALALALEKSMTRFVWVAKTDPIPDGFEDRVVGRGMVFRGWAPQVAVLSHVAVGGFLSHCGWNSVMEAVASGTMILAWPMGADQFVDARLLVEDKGVAVIVCEGGDTVPNPNELGRVVAETMGECGRNVRGRAKEMGQTVLAATKAGGSSDADLERLVIQLSCL >A07g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5281413:5297042:-1 gene:A07g502540.1_BraROA transcript:A07g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSFLERIGQPEVDLANHREESAPFNVHDAIYILEFSSSEMFLMLFRDLLGTTETERNALPKKASILWLIVEFQFDIGTSFLKRLNRYFEGLRTFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDRFSTFGEFRSVCKIWMNNYGTIYRDRKNRLKLSSLDYPPRLYVQRNRIVKAAKVLISMVTSIEAKQETFRPRFESESKDGGRVAIASEDVISVAIASGREPRRVPLVFVVKSQRKLRLRRNEKRRYVATDGVTSRYVANGSKPRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQRPSSVCVLGRYVATEQRVQARSDRAARAGSRPSSVCARSLRSDRAVCVLGRYVATELCNRFVVFPFSAINVGVFQQFIVSLRVYMEKSRACFSALPMAEEKTVDLISSPRKSVSIITRDHKSFGRKGCQRRKNGDIPFFPIFTIIFKTSVFIRGNLTFILPCGPSVNRAVVYGLLVKKSQDVPRVVFDENAWTGVIPMFGRARLLRSDRNLARARSLRSDRASVSLGRYVAWLRLSRYVATERPSRSVADARSLRSDRSSSRPSLAQARSLRSDQARHARSLRSDRAWLGLGRYVATERDGRSRTSETDARSLRSDLAWLGLVATERDGRSRPSCVHAWSPRIDRAWFVRGLISILELVRGRFGYMSVAFGQSALRKDIFTKITFRKNVNADFYGLSDIDSVKQDVLDAPARSLRSDQARAKAQSLRSDRASVPLGRYVATGLKPKIGRCVATELFRNVETTPVHAFSSNFQYYLPKTVASSVYVFCYSKSSIKLCGLIPRKVRSLSKEIVVNASSRKMAQRDLKHDSRPILRFLNQRPVNHSTVYAWSTRKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCHIRILWKIRVFLVSLFKRKSTVQISVPTCFSTPSSTKRYKQLKQTACDQHVTSNEQA >A01p008060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3896831:3897337:-1 gene:A01p008060.1_BraROA transcript:A01p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHINGFSSAITALILIIVACFHCVDAANYQQQFVGPQNAARARLRLKPLRWDPKLARYAQWWANQRRRDCALIHSNGPYGENLFWGSGKRWSPVQAANGWLSEARSYNYYSNSCRAEMCGHYTQIVWKNTQRIGCAHVICNGGRGVLFACNYFPPGNFLGVRPY >A03p051870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20341172:20342904:-1 gene:A03p051870.1_BraROA transcript:A03p051870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIITFSFLICLFSKLQGHCKSDINLGFTLPLSAPIEYTPGFIGKAYIMETETSSRREPSFKAALTMESSEYKGRYLCSIQVFFGDVRVWSSGHYTKMYVSSKCIFELTEDGDMRLKRSNKRVGWRSGTSGQGIERLEIQSTGNLVLLDAMNMIKWQSFNFPTDVMLSGQRLDVATQLTSFPKDSTMFYSFEVLRDKIALFLNLDKLKYSYWEYKPIENKTVNFLRLGPNGLDIFDDNSHRIGRLEQPLTRFLAIGNITGNLGLYSYKPDKGKFEATFQALSNTCDLPVACKPYGICTSSKSCSCIKVMSNGDCNSNEEEWLSMKRLCDHEMVELEGVTTVLRNGTEARNVSKERCEELCKKDCECRGATYSVSEESCVMYGVVMGVKEIERESGLSYMVKIPKGVRLNDEKSNVRKWVVGLVGGIDALVILLLLSGFGFYYIRKRRKSLSQPQPQLQEEPIAE >A02g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14989330:14991985:-1 gene:A02g504610.1_BraROA transcript:A02g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMKLSEDFTKKLGFSEEGEEIRKLERSWKGSRDLLFDQNDGVSETDDEEINRPRLRKVFVESHIPTEKSPDDMKSMAVEAILRLQEKINDDDEETVKMQLLVPSKQCERSLIQVVLRLREDVLGDRGSVSARNPPPARSDHAGFTLPPFVSSVPEYASVDFDQRRETGESSLGMVSSDRFYGYESSFSARDHGLVSVGSILIWRDHPIPAGDFHEASALLHSRAFYHLLSSKALDPSELL >SC258g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:80527:80895:1 gene:SC258g500040.1_BraROA transcript:SC258g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A08p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3298933:3299762:-1 gene:A08p005730.1_BraROA transcript:A08p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILSYVVAAEVVIAVVLTLPYPMLVKKRVVSLVSLVLQPAASIVAFAAFQLCDIYWKNEHRLSCSSEVCTATERDRYEKSIYKAQRNVVLCAAGILLY >A02p008240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3444574:3446217:1 gene:A02p008240.1_BraROA transcript:A02p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/calcium exchanger 2 [Source:Projected from Arabidopsis thaliana (AT5G17850) UniProtKB/Swiss-Prot;Acc:Q9FKP2] MGFSFSSIRYGYLRITFLLLLSCVLFSVIATPVDSSVLKPKTEHDGCSALKHFHDYQSKCAYLQTIDPCASQGFVDYLSLLYCNFEKFPLLGQSLLFLWLLALFYVLGHTASEYFCSSLESLSKLLNLSPTVAGVTLLSLGNGAPDLFASLVSFMGEDSKGTYDVGLNTVVGGSSFVTCVVVGIISIALRSRGVRIERSAFVRDVCFFCAAVGSLGLILVYGKINLWGALGFCSLYAVYVAFVYLSWRFGGEGGEFDLESVHKRGSLSEPILQREDVDEEHRSNVADRHYYWKLVVWVMTLPIYLPRRLTIPVVSEAKWSKPLAVTSVTLAPVLLSFLWNWKCSPTSFEAVIVYLTGCLVGIVLGLTALATTKKSNPPKKWLLPWLAGGFIMSMTWSYISAQELVALLTSLGYIFGVSPSILGLTVLAWGNSIGDLITNVTMALHDGDEGAQVAVSGCYAGPIFNTLFALGISLVGCAWEVYPLSIVIKTDPRLLESLGFLVVGLVWSFLVLFSNRMRLGGVMGIGLLVIYLASLSIRIVQTVGDSY >A07g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13589837:13590625:1 gene:A07g505890.1_BraROA transcript:A07g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNIPRKFRGKTSVPRNIPRLFRGSRALLLGFLFLRKSLGIFRGNSEEHLFFLGISSEYSEAFPRKAKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRRIFFFRRNFLGIFSFNRANKPPNISRKLKLKILRKFRRKISVGP >A09p050680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44486679:44491671:-1 gene:A09p050680.1_BraROA transcript:A09p050680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNADESVKLFLSIGLDEKPPPLPSTTPRSPLISPPFIHEAGVTDGCDRTTGNLLYTVATKHHSNLLLHRPTLFEYIVSSKIKTPAQLDAAVSFFDNHAAEDFKLNEFEEACGVGVEVSAKDIEKAAEKVFEENKSAIVEQRYRTNVGQLLGHVRKSLPWADPKIVKDVIDRQMYELLGERTAADNEKPTKKKEKKEKPAAKAEEKKAAVEATPEPSEEELNPYSIFPQPEQNLMVHTEVFYSDGSVLKCNNKKEVLDKHLKVTGGKVYTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVNWMGWEPFKITYTSDYFQELYDLAVELIRRGHAYVDHQFTPHPHAGDKWCIYPSYDFAHCTVDSLENITHSLCTLEFETRRASYYWLLHSLDLYMPYVWEYSRLNITNTVMSKRKLNYIVTNNHVDGWDDPRLLTLAGLRRRGVTPTAINAFVRGMGITRSDGSMIHVSRLEHHIREELNKTAPRTMVVLNPLKVVITNLESDKVMELDAKRWPDAQNDDPSAFYKVPFSRVVYIDQSDFRMKDSKDYYGLAPGKSVLLRYGFPIKCTNVFADDNETIREIHAEYDPEKKTKPKGVLHWVAESSPGKEPIKVEVRLFEKLFNSENPAELNDDWLTDINPNSKVVVSDAYAVSILKDAAVGDKFQFERLGYFAVDKDSEPGKLVFNRTVTLRDSYGKGGK >A01p026140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19733779:19737104:1 gene:A01p026140.1_BraROA transcript:A01p026140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTPLLLLPIFAVISLPSNSRSTADFSVQLLTAMPTFSAIALDRMLEPGAASTSAEAIPSTRSYYAKPPISKQEKGKETRPQMSPALYATPDAIPLPNSPSIHLNFIKNIHSIRMGIEKYLRLIAERKFTGKTEIGRMDRQSRVSSIYEIRTWWQLPACRVTHISTRWIDQAAKCRDACDQAMRPDMWRTWCLLACSQSMHIDSQPCGARGVAAHASGAMRTDTRTATRLVPDWLMFPINFPRLPLILTHTKHFKVSSKRERESKERKIDTWRRFCSSEERSVLVEISSRPVWTWVYLRWSRKGSDRRSRVWGQGHGQPKVTSSELAEVTGC >A03p002720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1216638:1217078:-1 gene:A03p002720.1_BraROA transcript:A03p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPSTSPATPLRRRNSISTTTPAITTLETPSPSFHFELISLKPPSYTSLRDIISSPSNSSVNLPSINGSSSPVLSTVGDISIRNPLVKQAAWSYLQPTALTSSDDSPGCSQFLRRVWLHFSAGIQFLKRMFDWIVRSICIPQIVK >A06p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1272593:1275712:1 gene:A06p004680.1_BraROA transcript:A06p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK7 [Source:Projected from Arabidopsis thaliana (AT1G49270) UniProtKB/Swiss-Prot;Acc:Q9XI96] MAEGQSPENSPPAPPPPSPSSPSSNDQTTSPPPTSDSQTTSSPPPSPTLAPPPELQEQSPPPLENSSSPPPPPYESSTPSSQSHSPPLPSQSPPQQSDNKGNNQGNDGSGNGGGNNASPPPPPPSKTSEHSSHSPPKSLAPPTSNGGSNSSSNDRPNMGVVIGLVAAAGLLFLVMILLCVCCLRKKKKKSKIEQMPYYGSNAFAPDGSDQYFNNAATQQQQHYNQNDNTANLPPPPGTMGTNWVNSPPPPPPGNWQPMPSPPAPVSGGANAIHSNEMSSNYSSGPYAPSLPPPHPSVALGFNKSTFTYEELAAATQGFSKDHLLGQGGFGYVHKGVLPNGKEIAVKSLKAESGQGDREFQAEVDIISRVHHRHLVSLVGYCSNSGGQRLLVYEFLPNDTLEFHLHGKTGTVMDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLNFEAKVADFGLAKLSQDNNTHVSTRVMGTFGYLAPEYAASGKLTDKSDVFSFGVMLLELITGRRPVDLSGDMEDSLVDWARPFCMNAAQDGEYGELVDPFLENQYERYEMARIVACAAAAVRHSGRRRPKMSQIVRILEGDASLDDLNDGVKPGQGSYMGSSGGDGSSDYETGTYGAEMKKFRKVTLDSRDYGASSEYGGTSEYGLDPSSSSS >A03p003590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1576099:1576615:-1 gene:A03p003590.1_BraROA transcript:A03p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVISMDQLKALWHSEVHDERKWAANMVKSLAFLPLWKLVRALGVFAGGVFLMRNFGDLMAV >A07p004300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2907853:2908209:-1 gene:A07p004300.1_BraROA transcript:A07p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAASLISLLVACTRVAILILEGTRVTRSAQYHRVSSTPEIQPSDHTAKPELHRSVPLYLQKTLGHSNRRASIAVARAHPSSLIAETRKRNHMHLHSFRRSSSISSDEHTININNQN >A06p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22261620:22266340:1 gene:A06p041300.1_BraROA transcript:A06p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTYESESCGSRAVVASPSQENPRHYRMKLDVYGEILQRLQESNYEEATLPDFEDQLWQHFNRLPARYALDVKVERAEDVLTHQRLLRLAEDPATRPVFEVRSVQVSPRISVDTDPALEEDAQSSSHPNRQGVLATPTFGSSPNFEAITLGNKIVEDVDSAVNATLATRPMHEITFSTIDKPKLLSQLTSLLGELGLNIQEAHAFSTADGFSLDVFVVDGWSQEETDGLKDALSKEILKLKDQPGSRQKSIAFFEHDKSSDELIPACIEIPTDGTDEWEIDVKQLKIEKKVASGSYGDLHKGTYCSQEVAIKFLRPERVNTEMLREFSQEVYIMRKVRHKNVVQFLGACTRSPTLCIVTGKILLVDIPYAFLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLERCWQQDPKERPDFEEIIEMLQQIMIEVGDEDSGKDKQRLGFLQATLKRPLEKMVFLSWGRPSTEQQQQVINKSGTFNYDNKYRGASSRSVSKLKEDSEVSKDGFLINHSRVLVGSGKESYEKGKKALQNWKHFGLDWAFVDPNTPVETGKKFCVCVKEVLPWVMLPLQVAYVDESKKSRRGPAHFGYGSGTLEGHLLAGEERFSIELDGDGKVWYEIMSLSKPAHFLSFLGYPYVKLRQKHFAHHSSDAVLKHLSSSSSSS >A05p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5799687:5803738:1 gene:A05p013320.1_BraROA transcript:A05p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLLFFIAFPPPSSYYIYYRDEISCLYLIYSFPSLFSLSAPSSTTRNVRAPVRRTPANREALRVKRAPQCLQGQVSVFSVDLQNVEDYEGIIKMSKTNMKAKQLASQLIPRYFKFFPTLSTDAFDAHIDCIDDGELGVRVQAIRGLPLFCKDSPDIISKIVDVLVQLLNIEEPVERDAVHKALMSLIRQDPKASLTALFTHAGVTPTTDDQIREKVLNFIRDKVFPIKAELLKPQEEMERHITNLIKQSLEDVTGGEFKMFMDFLTSLSIFGGKAAQERMQELVEIIEGQADLNAQFDISDTDHIDRFISCLLLALPFFARGAPTSRFPNYLNNHIIPVFDKLPEERKLDLLKALADISPYTTAQEARQMKYMPAKKTTEEMNFTYVECLLYAFHHLAHKVPNATNSLCGYKIVTGQPSDRLGEDFSELNKDFSERLTTVEDLTKTTMKKLTQGMSEHNKAMSAAKTDEEKANVKTKKQNTTTGLRTCNNILAMTKPLHAKVPSFIGDTNMNLSWKEATKPLASAATTTTIGGKRPANSNNGSDNSGAAKKRRGSNGMPNQLVNKAFEGISSYGGGRGGNPSQGRRGGGGRRGRGRGRGHW >A09g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26787287:26788667:-1 gene:A09g509320.1_BraROA transcript:A09g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINENKEFQSQVIFSPKLSLSQSKTVKNSSGHFRNLAWTWASSLLDPKCRMSNVSTSIDSISWCRSTALDAHRSIVLPLVDLYMVSSDEMSFKLQNAPNECFTLGLSIIGWKDFRQVSGTAGPVTKIGQASMNQNLMRALKIAASKSRFELFYWSLYESNLNGFSHQVLFRLILSVTFQTCLKNPIPCIPRPKTSGYVRFSVGNQLWLLHTVKASV >A09p057470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48470938:48471383:-1 gene:A09p057470.1_BraROA transcript:A09p057470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSNQFKGQQKKKTVAPNRHGKAIQNRKGRRYMKPSKTTKELDTDRELTKFINHCNEVKAANAACKEGGQLNILKAESHAEPSKKSAK >A07g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11684715:11689375:-1 gene:A07g505310.1_BraROA transcript:A07g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFEFFLSRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNFLRRLAVIIFTIFGPDEAADERKLKRKPVRIEDEILDASYFRKFSSEQLRGQSCSQDFAIGRGVSSGLVELAECVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDLGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDITRIHVNVFGFVILRVLCRERKTFRVPLLDGRLPAGVLTGRSFPRGSCSIEWGGEIEPLPVDFGGSAGTDSLGPCPNIFLNSSRVAALACALAADTSATGVWRSVPLPPLRGVCTLSASLVDMSDSVWLLRRQTVGTEIRTVDFLLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVKTRYKPGLESCRRDSYSPLCSSPRTPYILAPRSHKNGDIPFFPIFTIIFKTSVFIRGNLTFILPRGPSANHAVVHGLLVRKNRRMGLESCFRSLWAVFRLDTFTTSFPRFLIRKDWCRIDVRKGSIATQRPNFDASRSLVATSETSARSLPRYVATELELARPVDRSVATTERARSLRSDRGTIARSLRSDRAWLSSVAT >A01p012850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6306776:6307180:1 gene:A01p012850.1_BraROA transcript:A01p012850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSLSLCLIFLTFLTTPLVLSSRTPKMAVAASPSIEKNLQKTHVHPPSLPVSDSSSSMAKIDAEPAPNTAISGFFRHMFPFPGWPFHKYGPFPMDKPANPSVTTTPATGAEEDESEKVPSSPSNGNRDGGNA >A03g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30536166:30537110:1 gene:A03g509460.1_BraROA transcript:A03g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRMVNQQFHLFELKAGRSCQYMEPFCRQSVVRRLFRFWKTRNVKKGGELMGFIWLCDLSRFDVTKTNQSFRLSDSHVSIRFTDQTKFHELPENKGLILMELFRFGDYDHSMLLANTNTDLPDIVGDVEVNVCLSIFVNLAMQLLDKWVIHGVEPQVIAATNINPKLAGGMHVPD >A09p015100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7898063:7898407:-1 gene:A09p015100.1_BraROA transcript:A09p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPNRGKTVEKENVTSHHVSDRHTIRKHASRPEPSSSPERLRRRSAIGIDNSVKNHPCRKASQKRNATESPIKKRYHRLQSIHLIPMKRWIESRWEREELESTINLSRRKQC >A05p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11782712:11799838:1 gene:A05p024290.1_BraROA transcript:A05p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQSFSAGRPSPLASPSQSHRFCGPSATSSGGGSFDTLNRVITDLCSHGNPKEGASLAFRKHVEEAVRDLSGEASSRFMEQLYDRIATLIESSNEAENMGGLRAIDELTEIGFGENATNVSRFAGFMRTVLSKRDPEILVLASRVLGHLARAGGVMTSDEVEFQMKTAFDWLHGDRVEYRRFAAVLILKEMAENASTVFNVHVPQFVDAIWVALRDPQLQVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLKIPAERASGFIALGEMAGALDGELIHYLPTIMSHLREAIAPRKGRPLLEAVACVGNIAKAMGSTVENYVRDLLDAMFSSGLSSTLVDALDQITISIPSLLPTVQDRLLDCISLVLSRSHYSQTKPPVTLVRSSTVSMAPQSTDLSSSAQVQLALQTLARFNFKGHDLLEFARESVVIYLDDGDATTRKDAALCCCRLIADSLSGITQFGSSRSTRAGGRRMRLVEEIVEKLLRTAVADADVNVRKSIFVALYGNQCFDDYLAQADSLTAIFASLNDEDLDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLEQSSADNKCREESAKLLGCLVRNCERLILPYVAPVQKALVARLSEGTGVNANNNIVTGVLVTVGDLARVGGLAMRQYIPELMPLIVEALMDGAAVAKREVAVSTLGQVVQSTGYVVTPYKEYPLLLGLLLKLLKGDLVWSTRREVLKVLGIMGALDPHVHKRNQQSLSGSHGEVPRGTGDSGQPIPSIDELPVELRPSFATSEDYYSTVAINSLMRILRDPSLLSYHKRVVRSLMIIFKVLPELFHTVRTSDENLKDFITWGLGTLVSIVRQHIRKYLPELLSLVSELWSSFTLPGPVRPSRGLPVLHLLEHLCLALNDEFRTYLPVILPCFIQVLGDAERCNDYIYVPDILHTLEVFGGTLDEHMHLLLPALIRLFKVDAPVAIRRDAIKTLTRVIPCVQVTGHISALVHHLKLVLDGKNDELRKEAVDALCCLAHALGEDFTIFIESIHKLLLKHRLRHKEFEEIYARSRRREPLIVATTATQQLSRRLPVEVIRDPVIENEIDPFEEGNDKNHQVNDGRLRTAGEASQRSTKEDWEEWMRHFSIELLKESPSPALRTCAKLAQLQPFVGRELFAAGFVSCWAQLNEASQTQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRVFAKALHYKEMEFEGPRSRRMDANPVAIVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTLKASQTSNPHLVLEATLGKMRCLAALARWEELNNLCKEYWSPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLASPASSGDGSSNGTFFRAVLLVRRAKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYYTLPVGNNIAEERRALIRSMWTQRIQGSKRNVEVWQSLLAVRALVLPPTEDVETWLKFASLCRKSGRISQAKSTLLKLLPFDPEVSPEDMQYHGPPQVMLGYLKYQWSLGEERKRKEAFAKLQILTRELSSVPHSQSDMMASMVSSKGANVPLLARVNLKLGTWQWALSPGLNDGSIQEILDAFSKSTIYAPKWAKAWHTWALFNTAVMSHYISKGQIASQFVAAAVTGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGATADVQTALKRGFSHVSIDTWLVVLPQIIARIHSNNRAVRELIQSLLIRIGENHPQALMYPLLVACKSISNLRRAAAQEVVDQVRQHSGALVDQASSRLIVLSFAQLVSHELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGARKDNVTIQERAFIEAYRHELLEAYECCINYKRTGKDAELTQAWDLYYHVFKRIDKQLASLTTLDLESVSPELLLCRDLELAVPGTYRADAPVVTIASFSRQLLVITSKQRPRKLTIHGNDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYSVIPLSPNSGLIGWVPNCDTLHHLIREYRDARKIILNQEHKHMLSFAPNYDNLPLIAKIEVFEYALENTEGNDLSRVLWLKSRSSEVWLERRTNYTRSLAVMSMVGYILGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQLALLGNNNPNGPANVEPEEVDEDPADVDLPQPQRSTREKEILQAVNMLGDANEVLNERAVVVMARMSHKLTGRDFSTSAVPSNPIADHNNLLGGDSHEVEHGLSVKVQVQKLIDQATSHENLCQNYVGWCPFW >A09p024870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13802147:13807051:-1 gene:A09p024870.1_BraROA transcript:A09p024870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRDIQLTRITGHSLGSSDAENEEMEGVRLLDSYDDDEENAHFLSKIEGGSGDSGLKKIQVGITGMTCAACSNAVEGALMNVSGVFKASVALLQNRADVVFDPNLIKEEDIKEAIEDAGFEAEILADPVTAGTKTTLVGQFTIGGMTCAACVNSVEGILKDLPGVKRAVVALATSLGEVEYDPNLINKDDIITAIEDAGFEGSLVQSNQQDKLLLRVDGVLNELDAQVLEGILTRLNGVRQFRVDSLSGELDVVFDPEVVNSRTLVDDIEGEGYGKFKLRVMSPYERLTSKDTGEASKMFRRFICSLSLAIPLFCIQVICPYIAALNNVLVWRCGPFMMSDWFKWGLVSIIQFVIGKRFYVAAWRALRNGSTNMDVLVALGTSASYFYSVGALLYGAVTGFWSPTYFDASAMLITFVLLGKYLESLAKGKTSDAMKKLVQLTPATAVLLIEGKGEKFVGEREIDALLIQPGDSLKVVPGGKIPADGVVVWGSSYVNESMVTGESVPVSKEVGSPVIGGTINTHGALHIKATKVGSDAVLSQIISLVETAQMSKAPIQQFADYVASIFVPVVVTLSLITLVGWSIAGAVGGYPEEWIPENGTHFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGATNGVLIKGGDALEKAHKLKYIIFDKTGTLTQGKATVTTAKVFSEMDRGEFLTLVASAEASSEHPLAKAIVDYASQFHSTDESAEDGKESQNSGWLLDTSDFSALPGKGIQCLVNNKMILVGNRKLMSENSITIPDHVDKFVGELEESARTGVIVAYNSELVGVMGIADPLKREAPMVVELLRRMGVRSIMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKAEVVRSLQKDGSTVGMVGDGINDSPALAAADVGMAIGAGTDVAIEAADYVLMRNNLEDVIIAIDLSRKTLTRIRMNYVFAMAYNVVSIPIAAGVFFPLLRVQLPPWAAGACMALSSVSVVCSSLLLRRYKKPSLNTIMANE >A04g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3699907:3700648:-1 gene:A04g501470.1_BraROA transcript:A04g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKSSNVCTSLSSSRREAPQIDLARALSQVHSAVKPIKKDAKRSVMKKNLLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLTFVVYSSVKKSEKSSVISHRLVLHLISTIIVVVRTTNTTTMLLPLGPSTPVLKPVVDVLLSDLAVLSKPF >A10p006290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9653084:9655330:-1 gene:A10p006290.1_BraROA transcript:A10p006290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G07000) UniProtKB/TrEMBL;Acc:A0A178WCB5] MAEAGDENLYAAARDIARALGKDPSAAGDILQILSGYGATGNTSSNSRASTASRGDANLNRSLNSLERQISSYIVEDRQIWSDPVDSRSFLDAVDDLLAMAGDLRSMAGDKSVATCLSRADELIQQVMFRLQEEFGYVMDRASESFDSDDEFSGEEDNDIGYDQVVVARPVTDYKIVIEPLQSSVIGDLNAIAVRMVSGGFGKECSRVYSSRRREFLEESLSRLHLRGLSMEEVQDSPWQDLEDEIDRWIKAVTMVFRVFFPSERLLCDRVFSDLPVSSVTDLSFMEVCRGTTTQLLNFADAIALGSRLPERLFKVMDLYEAVEDLIPKMETLFSNKYCSPLKHEAVAIQKRLGEAVRGIFMELENLIRRDPPKTAFPGGGIHPITRYVMNYLRAACKSRQSLEQILDHSGNDAGSETRPLSVQLIWVLELLESNLEGKKRTYRDPPLCFLFMMNNGKYILDKATDNELGSVLGEDWIVKHAAKLRQYHSNFLRSSWNQVVGLLRTESPYPKLIENLKLFKARFDEVCLMQSQWVVTDEQLREELRSSVAGIVSPAYSSFVGRLKASPEINGRRGEPFIPYTVEDLELRIKGLFKER >A02g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26547498:26547851:1 gene:A02g509940.1_BraROA transcript:A02g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALDLLLDADCARIWFRSEIRQKLRRVATVHASVPPSRPSRSILAVVVRRTTEDLCSLAQKAASMVFLSEGLSPLPRSHCLQKGLEDYPRRRRLLRIG >A01p020340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9992894:9993966:-1 gene:A01p020340.1_BraROA transcript:A01p020340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRTKSLCFFFFFSTALLSFSTISDARRFGVGGSGAWVPNPPEDYGSWAGKSRFLVHDTLYFNYAKGADSVLEVSKADYDGCNTKNPIKKADDGNSEISLDRSGPFYFISGNEDNCKKGQKLAVVVLSVRISTPPPQASTPGNSPPGSMPPKSSSSPVSPATSPPAPMPPKSSSPVSPSSAPVTSPPGSMSPKSSSPVSPSSAPVTSPPGPMSPESSSPVSPMTSPPSPPSSSPGSSPSGSMAPTSSSAGSPSLAPEGSPSSIASSPSGMGPSGSDMGPSGDGPSSSGDINAPAEAPGSKKSSANGMAVMSVTTVLSLVLTIFVSA >A03g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8213459:8214356:-1 gene:A03g502640.1_BraROA transcript:A03g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35120) UniProtKB/Swiss-Prot;Acc:O82179] MAARFLWASRVASHLRISIAQRGFASVVLKDLKYAESHEWVKIDGNKATFGITDHAQDHLGDVVYVELPDLGRSVSQGESFGAVESVKATSDINSPVSGKVVEVNEVLSESPGLVSSFDSWKVLAHVKDLNFEKLDSLVADVNTSPYEDGWIIKVELSDAEEAKKLMDSDKYSKFCEEEDAKH >A09p021240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11341893:11344557:1 gene:A09p021240.1_BraROA transcript:A09p021240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCLLDPQRLVLLSLLVTAIRILTRWMTFTTTHNRKRHVMLDAKCEHREKTKKTLRKDSAGCSQQADTMDDAKKKVADTNDMYTNTVATADANINIARSHEVETAAIIFDFNDQDPSELDMV >A09p065880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52513965:52515360:-1 gene:A09p065880.1_BraROA transcript:A09p065880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAVPRPAHKERSQPQARKRFGLLEKHKDYVIRAKAYHQKEDIKKKLKQKAAFKNPDEFYFKMINSKTVDGVHRPKEEINKYSAEELMIMKTQDIGYVFQKWQSEKNKIDKLTASLQCTEEQPSRRHVYFAEDREEARELELQAKSKTEISALHIPKDIKKKMDRSYRDLEARKSRAKDLEKLYMDMSMQKELQKKGRKRKLREDEILNPNGKPVYKLKADRKR >A01g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19182928:19187584:1 gene:A01g506630.1_BraROA transcript:A01g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIGEVLEFGGLQNVLCARKEVTKVEFTLRDINVSINNLSNHRIHCCIFGNLAEILTESVKQPNNGDICLIRYAKIGNYKGELQVSNAFDTSFVLINPDIKEAQSLKQMFHGDANAVDLYQHKNDKILIQKQRKKWSQYPFKTIQEMKRSDKGGNCRVICTVYAIDTSSGWYYLDCMVCKNKVFKPTVSFDEIFVPTWWCDICQCIVTKVSPRYKLDLLVQDQTGESKFTLLDSEAKLIVKTTAAKIVKLSLAKIEDQDVLPPEIVEIVGKTYGFGFSDDDNNMIGGADVSTAMKVWNLNDIMWKRIKSLHQMSTYSRKKQCTNDEGDKNKTKKTNPSHKMSSKQKIVYLDEIRPWKTAWLIEAKILHTWKPSNASFGESLEIVMSDKKGTKIHATCKKNYLQSLGEQCKVGEWKTLYNFQVSATGKHYRPTQHMYKITFINQTVIKPSEFQNDDMFLSLATFDSIMSGKLDNDILIGNLQISNGYDSSQLVFNPNIKEAEEIREAYKFHDDSLSIVETSEEGKDIVSQANNGTRQKLTGWETVEVKTISEILNFTQNVMNVKPRYKLHLVAKDDTAKAKFVLLDWVAWPVIGVKAEKILNGSLDEVEDPEMLPDCINEIVGKTYNFGVTIEKGSETFKVLKVWSVYNTLMVDSQSESISGKGITANSASEVSLLTYSDESSSKMATPSKRSVDDIVDIPDNTSTSKMRHVKSIKIEKMSSDELALRKN >A02p017820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8092447:8093020:1 gene:A02p017820.1_BraROA transcript:A02p017820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQKSVLQLSVHEEGIRKKAWVAVSRFSGVTSIEMDDKTGRMTVVGEVDVPRIVKKLRKICTAELVTAEVVKPPEKKPEPKKSEDFVAYPFSINYPYPYHSYHQPYCYCNCGGGTK >A07p045850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24848681:24849073:1 gene:A07p045850.1_BraROA transcript:A07p045850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLQSLTLFLVLFVFLVSASILVNSQSQDSSISPPPPPLQPLTQPPPPPPVKVGSSKPIHEKHHHQRKKWRQRRNRNHPRPRKQKLKTGKTVGLFFAGAATALQVVVAAFLLIKRRQLLLKISNDNRH >A06p008540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2947163:2951229:1 gene:A06p008540.1_BraROA transcript:A06p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMSH2 [Source:Projected from Arabidopsis thaliana (AT1G10600) UniProtKB/TrEMBL;Acc:A0A178W3T5] MVTLSFPSPSLSFVESGTCSKSTHVSRVLFSGPDEVHGESSEPSKILRDVHISERLMEDFTELARENTEKDLETCGTLAAFLERGVFYVTTLIIPKQESTANSCQAMNEVDVFSIQNERELYPVGWIHTHPSQGCFMSSVDLHTHYSYQVVMCCFFMGLRQFSSQFLSRPFQVMVPEAFAIVVAPTDSSRSYGIFKLTDPGGMEILRGCSETGFHPHKEPEDGNPVYEQCSNVYKNSNLREMMMRGGERVKEFLRPFVDSREWDFCVIWKLGDDPSRYLTLALWVFIEWVGCCCSGSYIDKNIKHEHVEEEETQKMSSICRDEDNKHHIRTLACEALSRFPLFMPLYPGIHGEVVMSKSPKWLVNSGPGSKQDIFSTRVLVPVRDGLVELFSFIMKPVDESLVDLIISHCNTFFEPYPEQTLPFRIISKEEESMLMHKEEDVVMQNTMDDKKVAKENFKSKNLHSERKRRERINQRIYALRAVVPNVTKVLPENSFQMNKNGTLSDAVDYINQLLVEKQKLEDELRGFNEIESRRIAAEEESAIANPHTEKVASRLNKIVNNEVSLEVYETGERGFLIRVAQEHNKQDGFKRLIEAVDSCGLEIIDVNFTRLDLRVMTVLNVKASKDGTTPENLRDLLLKMMMRTSENPNVESLRQCT >A09g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24169757:24178700:-1 gene:A09g508610.1_BraROA transcript:A09g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFEDNYEDLKNGISPFLCYDGLRAEEEKRKTDLGATATQLGLAVLGLLELGIEPRLIPCCNTQTQIQNKIYLALFLRFLYFVVDISCSDCLASGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDGYLKTKAKPNYQNALTSLARTRRSMSSTDNRSTLAKVYRSTCMPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSKSLDATTSSSIDTHNQPSTITRPSSSIDPNRSIMIDTTPHTSINTMSLKMVNIIILTQDENGNLYDQAGHLRNATVVRNENLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTNHDEDRWTDYSSHQSTSSANSTECNAVRILTHEEFAAKHPHPHSLFYEKIDRSVNSTIDRQSESDVDRHNTPPIDRLAPLTYRVRLPTIDNDYINALRPPSKPLANPPEPKPNPLNSSTEPVQEEQESKGRKLRKRKEKIPKNLKREANDKELDGFTKRVLRILIEKLFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASVSILPRIMADQLEKRSGGIIRDLEVLIELGFIGTCHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVLHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTDERLRTHKFTNTFPTSFDGVHSTSVDTHPRPAKQPLTSIDTPKETSINIRAAAKIQEQENISSLTRFTYTYINRFAPPKPPTHIRANTQANKMNTLRSTSTEKSMKSNNLKNTSSAEITLPSIDVNVSTSIDTTLNPNLSKKNNYANIDYGFLTHDDFGIFRDPDGNARAMDERILQVSREDIADILQVANGPDNLFSQQRGTPDVILTNPNNHAGVTTTEINTDLSRQPKGQASIDGIIETSIDRVTPTSIDMDDPTSIDRRYECGSRAFDMYGARKFTWEQRDEYEVYKDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMRTEIDSLRQQLEKEATTSASIDAPRATSIDEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCAFTSLDAKVSAMNERLRTYEDMHDPFISPIMIDLNKLSSQLLDTQKDIENITNQSFLQEKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKSKSIDGNSPRSTNEHIVASIDAEPIPITEQLIHKTVESMQKELTDLSA >A09p023150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12740200:12744435:1 gene:A09p023150.1_BraROA transcript:A09p023150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLMKLFASLLLFVFFSLLFGDIDVVESSNQNLRFSGRNKLFVFGDSYADTGNTKTTDKGAWAFPYGITYPGKPSGRFSDGHISTDFLAKLLRIKLPVTYSKKDDVDKTRLQYGMSFAYGGTGVFDTQVNYPNMTGQINLFEQLLGNVYSPSDLSSSVALVSVAGNDYLYFLSTRPLIAILPFIKQVVNQIEVNLRRIHSLGIKKIAVPSLQPLGYIPHFAKGSPFIKDAVNFLVGHHNDLLHEVVAKLNNETNHSAFTIIEYNNAFLTVINNKGEIPDILVFETPFIECFQIDEHEFKNSTLCDDPRSAFFWDGLHPTQEGWKSVYTVLRKNITAALTKA >A02p013390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5854579:5857258:-1 gene:A02p013390.1_BraROA transcript:A02p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSRTQPNQIVSPGERPVMADNVGGDHHHQVLSFFDFDFRKAKSHLRLNPFHQQVSPGDERIVSSSSCSSVAPPDPYSYYNLYHPYDWDVAHSGYAQGFNSWDGYPQYAAATTTPEGMHVPQVVYDGNSSFMYHQPGFAFNPYQSMMMEGQVPFSPAYYPQYGAPSPMHFAQPEIGGENSRYDPTSAYMVPFAGYGGGNLSGNQGGNALASPIPYPQTMGILGPYDHNASQLPVHGSGVASSSSVGGYYHVGSYQTPNAVGSYYGADNSVRSAPDIGKRREYSSVPTTNDLYGNRGPRASSRVESKNSSRLSSSAGNSANGSSAAGPNPSLYNSPEFVTDYKNAKFFIVKSFSEDNVHRSIKYNVWASTPHGNKKLDTAYRDAEKMGGKCPIFLFFSVNASGQFCGVSEMVGPVDFEKDAGYWQQDRWSGQFPVKWHILKDVPNNRFSHILLQNNDNKPVTHSRDSQEVKLRQGIEMLRIFKEYEAHTSILDDYSYYDERERQKVGEDGGRKEEGEEETSSSVEQLSERLQAVTVEDGKEGEKEDLKEKT >A03p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2512380:2513624:1 gene:A03p006030.1_BraROA transcript:A03p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFLFGWRKASKCKRALKQLQRRLNLLKNKKHVISSHLRHDIVQLLRIGERDRALRRAHDLFLDESLLSLYHLLLQFSDVILLNLSYIRRHREVSDGVSEAVSTLIFASARCGDLPELRTLRALFGERYGKLFVSTALYLLPGNHVNPQIIEKLSRISVSDDAKSKLLAEIAAEFSLQVLALEYTTESHKEAESEAEVCKFTLTDADLEVKQEESLSKPSREQIKVCDDEDCIEEQVVGKDQRIFRFIESKEETRARKRSRRRSARSSPMPKDVECWRYYNMGNRRRQKKESGQCFHIVYNVFTMRPDQKVKGEGERGLEEAKHVHPKLPDYDQLAAHFTALRNQHQQQVP >A06g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9810223:9811475:1 gene:A06g503090.1_BraROA transcript:A06g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMVFKICSICGEWKLINGIHWDFIVDDQRGSSLSMIHEDISYNDLIVAVLEDFGIDGNRNSVNLSYASPSKLNFGTKELPPAFIRNDRQVTSYLSKLKENGDLHLCVTIKRRTQLSPMIMSNMIQPRGGNSNHDLPIAGTSNPRERGTQKSPLIMSNMIQTQDEISSTHDSPIAGSSNVFERGTKTHAETEWNSIQRVDEVSGIQHTRISDSLISPSSGLVSNKRGLDSIGLAVYGSG >A02p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13056620:13057537:1 gene:A02p026880.1_BraROA transcript:A02p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVSTGGGGASFRSIDLTDSSRNPFSTSRLHYPSRARLHIVSAAKKPSTQTGRFDSKKRRTLVPTTTKEQPEENNGIYYENPPSQIDISDDDEDRFAVNTRFRGDPKDAPKISVKDLPGLEPDPFEGPQWDGLGFFVQYLWAFGILFALISGAIAAGTYNEGATDFKETPVYKEAMESRDLFDEAEGSSSEDVFDSNPTEVAPSLE >A09p012190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6229266:6229781:1 gene:A09p012190.1_BraROA transcript:A09p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:Projected from Arabidopsis thaliana (AT2G16600) UniProtKB/TrEMBL;Acc:A0A178VVJ7] MVNPKVYFDMTVGDKAAGRIVMELYADTVPETAENFRALCTGEKGIGKSGKPLHYKGSAFHRVIPKFMCQGGDFTAGNGTGGESIYGMKFKDENFVKKHTGPGILSMANAGSNTNGSQFFICTEKTSWLDGKHVVFGQVVEGMDVVRDIEKVGSDSGRTSKKVVIADCGQL >A06p049230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26036798:26038875:1 gene:A06p049230.1_BraROA transcript:A06p049230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINESIN LIGHT CHAIN-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT3G27960) UniProtKB/Swiss-Prot;Acc:Q9LII8] MEIGESHDRGKDDSAAVQASPRSPLSSIDLAMDGAMNASIEQLYHNVCEMESSDDQSPSRASFISYGAESRIDLELRHLVGGDVGERKKDVVLEKKEESNKESKNREGSLSHKKPEKLAKTSPNSKLPGSRISSRKSPDLRKVSVDEESPEIGPLLLEQAREMVSSGENLNKALDLALRAVKAFEKRAEGETQQGLNLVMSLHILAATYAGLGKYNEAVPVLERSIEIPMIEDGEDHSLAKFAGCMQLGDMYGLMGQVENSLLLYTAGLEIQRQVLGETDPRVGETCRYLAEAHVQAMQFEEASRLCQMALDIHKENGSTATASIEEAADRKLMGLICDAKGDYEVALEHYVLASMAMSSQNHREDVASIDCSIGDAYMSLARFDESIFSYQKALAVFKQSKGENHSSVASVYVRLADLYNKIGKLRDSKSYCENALRIYLKPSPGTPMEEVATGFVEISAIYQSMNELDQALKLLRRALKIYVNAPGQQNTVAGIEAQMGVISYMMGNYPESYNIFKSAVSKFRNSGEKKTAMFGIALNQMGLACVQRYAINEAADLFEEAKGILENEYGPYHPDTLAVYSNLAGTYDAMGRLEDAIEILEYVVGTREEKLGTANPEVEDEKQRLAALLKEAGRGRIKRNRALLTLLDKNPEIEPNCGQRPVY >A10p027050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17079129:17080540:1 gene:A10p027050.1_BraROA transcript:A10p027050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMSTSRRYKFQAPDLSSTTYAQIDREETVLRDTSHFKGEVFIRMKPCMTNLRQMIQPMLNFQGISAGLNMVDVPFFRPKDKVVFVMGATGTGKSRLAIDLATRFPAEIVNSDKIQVYKGLDIVTNKVTPEESLGVPHHLLGTVENTHEDFTAEDYQREALRAVKSIVERDRVPIIAGGSNSYIEALVNNCVDFRLRYKCCFLWVDVDRPVLNSFVSDRVDKMVEMGLVDEVRRIFDPSSSDYSSGIRRAIAVPELDEFLRAEKRDYPAEVTGKLLETAIKKIKENNCLLACRQYQKIKRLYKQWKWNMHRIDATEVFLRRGEEADEAWENMVARPSALAVNRFLNYSDDHHLEGADILLPEISAVPPLPAAVAAISR >A05p037680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21420372:21421729:1 gene:A05p037680.1_BraROA transcript:A05p037680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSSSRCSGKFVAMAIVAEDGQPLEESSAENQESLVKTAVTDKRVLQWMLVLSQIGMDDICSPMIILLEDEADAFWCFERAMARVRENTSMGVQTQLGMLSQDLDGGEFMFAIRMLMVLFRREFSFLDAFYLWEDPRLLKQYGKFERKYIKSGQNEQHDSTLAVFVVASVLETKNKRLLKEAKGLDDVVQILGGIAGNLDARKACKEALKIHEKFLRKVTILLLIIRLLLL >A09p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1996650:1998166:1 gene:A09p003410.1_BraROA transcript:A09p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAAVSFLTNIAKAAFGLGTAATVLSSSLYTVDGGERAVLFDRFRGVLDQTVGEGTHFLIPILQRPHVFDIRTKPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPTIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRDSLIKRARDFNIELDDVAITHLSYGMEFSRAVEAKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFNLNAGR >A09g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23464478:23469956:-1 gene:A09g508310.1_BraROA transcript:A09g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFRSDVMRSLQSTPQSDLPRATPRGRSHLTPLLERPPKATPRGRSRLYGETTRSEAWSDLSEQPTEVAPEGRSDLSERHAEVAPRLLSARFLFYLRAFCHQGRLSFDLLRNTQKLSGEVHLLDFDCYEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESRTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVLEYHMEFLGTFGCIWSLKDVK >A05p048350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28336988:28337996:1 gene:A05p048350.1_BraROA transcript:A05p048350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPETQSKSQSQSGGSNRLPPGREDWWSEDATATLIEAWGDRYVHLSRGNLRQNDWKEVADAVNSRHGSNGRPKTDVQCKNRIDTLKKKYKTEKAKPSPSSWCFFDKLDFLIGPVALKKSTAAGPAGVFKSPSTKAGLKLNQAGSISSGSSLDDDDDDDDDVEGDWGFVARKHRRVEDEDLCPDPGEGSSCRELARAILKLGEVYERIESAKQGMMIELEKQRMEAAKEIELQRMNMLMDMQMELEKSKLGKRRAAASGKKL >SC300g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000145.1:6208:15144:-1 gene:SC300g500020.1_BraROA transcript:SC300g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGKMNIRRMSGDWIASVCRLCARAPNYRPTILLSFFTSIVLCLTSKTVARTKWTSSSASSRKLGSVHSSSVPTNSAPLAGLLSHSAEAAESQLISARRTVRALGRWSGSGRLGAWVGLMTDPKPNQKGRRDASGRKGATLGRWCPFASKSCLVKYSDKNVERKKERKREFRPRERPIVVVLCSGDSDRLRTNSGQEWEIKTRRRAWRTQTWFTRDQKVRVTKGCEHQKVRGPRGMIGQRVHVPNGVFRDRLGPILMDQPMDCLVKTRPKAGSGKGVRWAIEPDSIGRWHLDSIRIDGLVFGDDPDLLDTVGRFIRFMIMLTEELRMVLVKPRSREGSVSERLCNVGLDDARDELVIVYETVKKLCIESHAGKSKLGKSRRSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQQDGQEHRESDEEVKSLNANRDDDQHERVADGTANVPATLSKEDLLEAMKVMGTQVAAMAQLFTPLVNSSTARRSKTVARTKWTSSSASSRKLGSVHSSSVPTKSAPLAGLLSHSADAAESQLISARRTVRALGRWSGSGRLGAWVGLMTDPKPNQKGRRDASGRKGATLGRWCPFASKSCLVKYSDKNVERKKERKREFRPRERPIVVVLCSGDSDLLRTNPGQEWEIKTRRRAWRTQTWFTRYVMGRGSIRLNGRSMRPHRGSARFLNPIRLSLSISIRFSSLLSG >A09p008020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4163154:4174624:1 gene:A09p008020.1_BraROA transcript:A09p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKTSSDLSLRPPPPPVIAVAINGKKKSKYVVFWALEKFIPEGFSDFKLLYVRPPITHIPTPMGNAIMITELRHNVVSAYLKEVDRKTNEMLLPYKKMFESRKARVEILVMESNEPADAIAEEIAGTGVTKLVIGTSLRGLFSRKIEMSSMIATAVPSFCTVFVVSKGRLASMRPSNSDASGSIRYERCSTTSGSTDSPRAPSESQSEVVQMDTSSSEMEQSEVSTGRRMEIVQRGDEGKKRINRNESFGASFPMGVEAYNAMSWTSRWRDHEERREVVSSSSSNSHEIANMDWRAVPPESENSSLGSQQASNTSEGLLSVNSVTDNQANLSFEIERLRAELKHVEEMHAMTQTETIDASEKLTDSNQGKFEESEKLVELKEEEEVAKDTASKEKERYEEAMKEAEKVKESMMHEALHRREAEIKGERDAKEKDKLQASLVSPGIQYQHYSWEELAAATSDFSEDLKIGIGAYGTVYKCNLHHTTGAVKVLHAGEIQLSKQFDQELEILSKIRHPHLVLLLGACPERGCLVYEYMDNGSLDDRLMLVNNTSPIPWFERFRIALEVASALVFLHKSKPRPIIHRDLKPGNILLNHNFVSKLGDVGLSTMVNQDDAASKMTVLKKTRPVGTLCYIDPEYQRTGLISPKSDVYSLGVVILQLITAKPAIAITHIVEEAIGDDAEFMGLLDVKAGAWPVSETRELAALGLRCTEMRRRDRPDLKDEIIPALERLRKVVDKYQNSLSRTPSGPPSHLICPLLKGVMDEPCLAADGYTYEREAIDDWLREKDTSPVTNLPLPNKNLLANYTLYSAIMEPLSKHLDLPPPPPPSPVVALAINGKKKSKYVVFWALDKFIPEGFSDFKLLYVRPPVTYIPTPMGNAISITELRDDVVSAYKQEVDWNTNEMLCPCKKMFERRKVQVEILVLESHEPAAAIAKEISETGVTKLVIGMSLLGFFSRKIDMSSMIATAVPRFCTVYVVSKGKLASVRPSDSDASIRYERSSTTSGSTDSPRAPSEHPVSEAQSRFSSLPEMSRSTVVQSETEQSGNEGKKSINSNESFSASFPMGAEAYHAMSWTSKWRDHEDRREIMSSCSSNNHEVANMDWGSVVPENYSFVSHQASNMSDGLLSIHSTTDNHEMYDMAQTETVDASKKLTELNQQRFEELENLVELEEMEEEAKDTASNEKLRYKDKMKEAEKVKELMMKEALHRREAEIKAELDAKEKDKLQASLVTPGIQYQHYSWEEIAAATSDFSEDLKIGVGAYGTVYKCNLHHTTGAVKLEILSKIRHPHLVLLLGACAERGCLVYEYMENGSLDDRLMLVNDTSPIPWFERFRIALEVASALVFLHKCKPRPIIHRDLKPGNILLDHNFVSKLGDVGLSTMVNQDDAASKLTVFKKTSPVGTLCYIDPEYQRTGIISPKSDVYSLGVVILQLITAKPAIAITHMVEEAIGDDAEFMGMLDVKAGSWPISETRELAALGLWCTEMRRRDRPDLKDQIIPALERLRKVVDKAQNSITRTPSGPPSHFICPLLKGLMNQPCVAADGYTYDREAIEDWLREKYTSPVTNLPLPNKNLLANYTLYSAIMEWKSNKQ >A08p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:327217:329395:1 gene:A08p000530.1_BraROA transcript:A08p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYQAEEDAAYMDHVDDDMEDVDDDLDDDFHGDDMAASDSDVDEFDYSSNKIADTSAEQARKGKDIQGIPWDRLSITREKYRQTRLDQYKNYENVPNSGNSSGKDCMATQKGAIFYDFWRNSRSIKSSILHFQLRNLVWATSKHDVYLMSQFLVSHYSTLTSGKHEVLNVQGHVSPSEKHPGSLLEGFTKTQVSTLAVKDKFLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMETYQLVNYFRFLWPVNHTSLSPDGKLLTIVGDNPEAWHPDGLTFSTGNQDKTCRVWDIRNLSKSVAVLRGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVSKGYETEQEIDFFGEISGISCSPDTEALFIGVWDRTYGSLLEYGRHHNYSYLDSFM >A03g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27494540:27495689:-1 gene:A03g507800.1_BraROA transcript:A03g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIISTFYELLKSYREASEEPKVVPESKIIKPPKAEAKTSKEVIEMWTEPLPTCMQNLQQGKLAINLQITALWLREYQLLPSTTHPHMQMSYFGSYVLSGIKIFTT >A04g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1482233:1485899:-1 gene:A04g500430.1_BraROA transcript:A04g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGHHHHSRLLTARRERRGRERERRRRGEEREREKRHGEERERGERRRGRERRSRRLGIPVSGDSLQGFASRFLMREKEVEACFYRGRVQVPHRPKRHGRACGRKPLSPLVHSACVFLRARDALTSHHPRTLGPTQLKRTIPPLFLLLPVKSPALVGPPPSSSGSDRPCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYGRRVSFLSTLLREVRETGSEIYDTTRPPPPLAAAHSEERETRPGEREKEARRGERERRDAAKREKEERGGAGREKEGGDG >A09p067260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53118302:53119399:-1 gene:A09p067260.1_BraROA transcript:A09p067260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDVFNCCFSEINSGMSMNKNKKKMKKSNNQKRFSEEQIKSLEVIFESETRLEPRKKVQLEKEYNILRANYNHLASQFDIMKKEKQALVSELQRLNEEMHKSKEERREECCGEQRVALSSTTRSENGKCEPEVRINQGIALCNDDIKTEYFGFEESNHELMNIVEQAGDSCLTSSDNWGGFNSDSILDQSSSNYPWWDFWS >SC184g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:140283:146974:-1 gene:SC184g500050.1_BraROA transcript:SC184g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGESSEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQPGPIPNQRAGLQPDDYGEEEEEEGNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASKNPRALKLELASFSLPCETSTSTA >A06p005120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1499503:1500300:1 gene:A06p005120.1_BraROA transcript:A06p005120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSSTSTKMKNNNKLSVRNQTRFKKSFLLLREKTILKKALELSILCDNDICVIHYDHEGNLVNTYPEDQSQVKDILQRYNNLSDTEKIKKNINLSQFYNKKLVDEKRRSLTDAEERKRFTKKVGEFKGSLEHKLQIVKDRVRYLLYSQDHQSTCLDVMSEQNHNFPASSSGFFFPNELSDPRMNFTPHDLDQQQSFTSLLMSGDHVSTSSDQYLLSPASCATDSSNHESKFSVFMFNHETATFTQLPNSASSSFDQGLIGTY >A09p080160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58792487:58804757:-1 gene:A09p080160.1_BraROA transcript:A09p080160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRDNVGFNVKNVAVKDLKRGYVASNSKEDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGANFVTSPSELCSRFNYSTATTKAVKCATEQRCVINIMAIVEEPILSRLDRIDFMVRKLEEMKGSSSPSTPSSGRTQTSSLDLSSPRRSTGNKHQCRSMEQTAIKEYTQVSPVSLLLFPLCCLCKLRSFIALGYFHHLRISIQAKFSPPLFSYGEALHLHSFAAAMFWKLTSLSASSPVETILDKENFTLEELLDEEEIIQECKALNSRLINFLRDKAQVEQLLRYIVEESPDDADSKRAFKFPFISCEIFTCEIDVILKTLVEDDELMDLLFSFLEPNRSHSALLAGYFSKVVICLMVRKTAALMNYVKGNHNVFRQLVDLIGITSIMEVLVRLVGADDQVYPNFLDVMQWLADSNLLEMIVDQLKPSSPAEVQANSAETLCAISRNAPSALATQLSSSSFVARIFGHALEDSHSKSGLLHSLSVCTSLLDPRKPAASSSSMFSSYRGQHMFESHVPVSQETIDAMLPKLILLRTGSEAAEKELISSGTIKRTLDLYPYNNALHHQVESIILSCLENKSDLMVDYILRECNLIGKFLASDRDTNLSSDSQHTVAATGKKTPRVGYIGHITRISNKIGQLSNSNGKIKAYLQENSEWSEWQGSVLEERNTVENVNRWGCGRPTTVQDRTRDSDDDDRDYDVAALANNLSQAFRYKMYGNDDNEEEDHNALDRDDDDVYFDDESAEVVISSLRLGDDQGSLFTNSDWFTFQDNTFGSTPPDTTETA >A02p031110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16060580:16063107:-1 gene:A02p031110.1_BraROA transcript:A02p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AGC1-7 [Source:Projected from Arabidopsis thaliana (AT1G79250) UniProtKB/Swiss-Prot;Acc:Q1PFB9] MEAMLSKRGKKLDSSESSHHVSSLGPKESANLTDYPPLNIIHPTPQPRREMHKPLFDHKKMENLIQTEPAGSNSHYCPLPSKKVPSSTTTQRDAEAIVHQSPQPRKEMQKPLFDPKKMENLIKPEPAGFSNHHRPVPSPKIPSSTTQREAATIVHQSPQPHKEMHMTSFDPKKLDNLTKPEPAGFSNHHRPMPSPKIPSSPGSNMTESQLVNTSNSNTKPNNNSNSSSNMSSRNNSIESTSSNPSKPHTGGDIRWDAVNMLTSKGVQLGISDFRLLKRLGYGDIGSVYLVELRGTNTFFAMKVMDKASLVSRNKLLRAQTEREILSQLDHPFLPTLYSHFETDKVYCLVMELFASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVNPTLVNSSSGGGSGRPVGFIEEESTAQASTFFPRILQSTRKNRKAKSDFGLFVNGSMPELMAEPTNVKSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFIYELLYGSTPFKGQENRATLHNVIKQALRFPDLPHVSSAARDLIKGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALIRSATPPHIPEPVDFTAFASKGDGGKKSNTNRNDPDYNDFEYF >A06g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8010458:8010716:-1 gene:A06g502300.1_BraROA transcript:A06g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVYSSEKSDRYINRHIVSDDRPKKKLINFPSRHNKTKNYEKDKEKKREREERNKFQSGDIVNED >A05p044520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26744843:26750142:-1 gene:A05p044520.1_BraROA transcript:A05p044520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLCRLLSSNYLSGDIPSTFSKLTTLTDFRISDNKFTGTIPDLIQNWTKLGKLVIQASGLAGPIPSTIGSLTKLTDLRISDLSGPESPFPPLQNMKLLETLIFRNCNLPGELPAYLGRITSLKLLDLSFNKLSGRVPVTYRALSNVDNIYFTSNMLSGEVPTWMVDNGDKIDLTYNDFTNDLRTAECQKNAVNMFSSTSPIVANNHSNVSCLSSYKCPKTFYGLHINCGGSELTINGTKYDADTSDRPIFYDSRNGWVSSNTGKFLDDERSPNEVTLWANTSELSIADPSLYTHARLSAISLTYYAFCLGQGNYTVNLHFAEIVFTGNQTFSSLGRRFFDIYVQGKFVLKDFNIVDEAKGVGRAVVMRFPVMITDGKLEIRLFWAGKGTQGLPTRGVYGALISAISVDPNFIPPKEAGTGSGGGSSIGTLVGAVVASTLFLVLLIGGILWWRGCLRPKSQMEKDFKNLDFQISSFSLRQIKVATNNFDPANKIGEGGFGPVHKGTLTDGTVMAVKQLSSKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPQETQIRLDWPTRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNAKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMKGHLTDKADVYSFGVVALEIVHGRSNTITRSRVETFNLLDWVHVLREQNKLMEVVDPRLGTDYNREEAMTMIQIGILCTSQLSSERPSMSTVVSILEGSSTVNVEKLLEASFNKGSEKDEESVRAMKKHYAMINEEEMTMLDQTMSTDGPFTSSSTSTANASDLYPLKPDSAYWNSRAV >A06g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16062941:16069787:-1 gene:A06g505560.1_BraROA transcript:A06g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKTHECSKVVSFQRVSGTKRYELPKVANIKRYENQEARLAKGCMFQTVSFGTGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRIGGLVFGDDPDLFDTVGKFIRFMIMLTEELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIESHAGKSKSEKSRKSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQQDGQEHRESDEEVESSNANRDGDQHERVADGTANVPATLSKEDLLEAMKVMGTQVAAMAQLFTPLVNSSVGQATPVATTTPNTNVTSRTSKTVARTKWTSSSASSRKLGSVHSSSVPTKSAPLAGLPAHSAEAAESQLISARRTVRALGRWSGSGSVAGCWVLGQGCGLCPGGLGRGLGLWPTPNPIRKGEGMQVAERGQLLADGAHSLASRACSWGKTCPLVFYKYGGFLVDFIIQFQSKILREKRREKEREKERVPAKRKADCGGVVFRRL >A05p014590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6386049:6387052:-1 gene:A05p014590.1_BraROA transcript:A05p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAINVPPSTVTLKTDVKEIDKRVRFSYDIRDDNSTGGYRDSAMETSSPEERVVQLLHPYGVPDYVRNPSKYIRYKFDEGEVDEESNRKAYMELLNMIRSRDEHLVELPTSVAFVPKRKPTGERKVGNTDKSYEGRRGEIAVEDNSWLINKQWKKKS >A05p049810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28897626:28902946:-1 gene:A05p049810.1_BraROA transcript:A05p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNFNPSSSSSRDEEVKKMEEEDECVENKQSTAASCSSVSEGSGGGGSSFLKSSPAVASPPTVSPTHRRTSGPIRRAKGGWTTEEDETLRQAVCTYNAKSWKKIAEFFPDRTEVQCLHRWQKVLNPDLIKGPWTQEEDEKIVELVKKYGPAKWSTIAKSLEGRIGKQCRERWHNHLNPGINKEAWTPEEEVALMNAHRVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKSEFYQANGALPPSAAKNGVLDSATKRPLFYQRRDSAIQINKPDEDGKDQLKSSVPLEEVVAASPLTSDQLPRPEPSPENGYHLYYKNPQTEYNMASEADKQRMYGYECGCSPSASPVIFFTPPPPSRKEYYNGLRPTSPESYLREAARTFPNTPSIFRKRRRRTVVVSDSDNKAAKTDEEGTKEAVVVVDQKVNEISESPDIEEKESNDGSNAYNLSPPYRIRSKRTAVFKSRQLEFISPEEAKADDDDETKSSEKDKVLDGGDSQLPKKKTNDSEREMEGQGVYVPAFRRKENAIDVERESWDELKRRINGLVNKQYATVHRLETNKLKNVAMFFAHVLATDALPWCVLANVSLTEEDTTSSSRIFLKILFQELSEQMGMRALNEKLQDPTMEETFESIFPKDHPKNMRFSIDFFTSIGLGDITEKLRQLLIKRQRINR >A10p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18398914:18399792:1 gene:A10p030440.1_BraROA transcript:A10p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVCYYRNYGKTFKGPRRPFEKERLDSELKLVGEYGLRNKRELWRVQYSLSRIRNAARDLLTLDEKNPKRIFEGEALLRKMNRYGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHSRVLIRQRHIRVGKQLVNIPSFMVRLDSQKHIDFALTSPFGGGRPGRVKRRNEKSASKKASGGDADGDDEE >A09p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11526271:11531563:-1 gene:A09p021400.1_BraROA transcript:A09p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G58300) UniProtKB/Swiss-Prot;Acc:Q9LQC0] MTTVRFTVTFRFPASPRLDCESYAGLKARTARVSYPLTIATRRHHLVQIANEDRTLVVRAAAGEIPNKRYPGEPKGFVEEMRSVAMKMHPRTQSKEGKRESTAPQDSPVATWEFTVEGYLKFLVENKLVFDTLEGIIHDSTVPTYAAFKNTGLERANNLAKDLEWFKEQGYELPEPKAHCKTYSLYLKDIAENDPPAFICHFYNIYFGHSAGGGRMIGSKVSERILDNKKLEFYKWDGDISELLTNLSEELNKVSELWTREEKNHCLEETEKAFKCYGHLLRSLVSPDL >A05p003620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1349144:1352040:-1 gene:A05p003620.1_BraROA transcript:A05p003620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPKIGIGISSMSTHRVATASSALSPPPHLSFLTITTTSRRDFSLRQPTRTRSDSLRLRISASANSPSSSSGEIIENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMERMRKQAERWGAELYPEDVESLSVKTAPFTVQSSERKVKCHSIIYATGATAKRLRLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYARHVHLLVRRDQLRASKAMQDRVINNPNITVHYNTETVDVLSNTKGQMSGILLRRVDTGEETELEAKGLFYGIGHSPNSQLLEGQVELDSSGYVLVREGTSNTSVEGVFAAGDVQDHEWRQAVTAAGSGCMAALSAERYLTSNNLLVEFHQPQTEEIKKEFTQRDVQEKFDITLTKHRGQYALRKLYHESPRVICVLYTSPTCGPCRTLKPILNKVVDEYHNDVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIEANK >A04p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16781918:16783047:1 gene:A04p027810.1_BraROA transcript:A04p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMTALVTGGAAGIGYAIVEELASFGGKVHVCDISETLLNQSLSEWEKKGFQVSGSVCDVSSRPERETLMQTVSSQFDGKLNIFVNNVGVLRGKPTTEYGADDFAFHISTNLEPAYHFSQLSHPLLKASGYGSIVFMSSIAGVVSMSGGSIYGLTKGAVNQLAKNLTCEWAKDGIRANAVAPNIIKTPQAQPFLDHVSFKEGLLGRSPLGRAGEPNEVASLVVFLCLPAASYITGQTICVDGGLTVNGFSYQPQA >A08p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11855637:11857096:1 gene:A08p016870.1_BraROA transcript:A08p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRISNLSDDLLLKIFSYLPTKYVVTTTLLSKRWKSVWTMVPRFDFDDGFELDPSRYETFTNHVGRTMSLRTSPVLESLRFDVGPCCSTQDMVTWIRTGMVQGVHELEIFHTEEHLKEHRPIKLPKSLYTYQKLEVLKLTYSIGLDVPVDVWLPSLKTLHLISVKYETKDCHSRLLSGCPVLEELVLDKSLNSHSLRTFYVEMPSLQRLSVVDVCEEPNYGLDGLHMTVINAPSLKYLNFVDYHDDLCLCENMPEVVVANVKVVYNSPEKLLGSIPLVKRLCLCLPASLLLSCFGFYHLVHLELCAASRGWWDLLTWMLESSPKLKVLKICVCNELPCTTKSIRGHWKGPSSVPECLMSHLHTFKWKNYNTKDEEKKIVAYILNHARQLKIVGISGSRYYSKEERLKKLNELVSLPRASSSCQLLMD >A04p009190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7857321:7857890:1 gene:A04p009190.1_BraROA transcript:A04p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEKGYPASSEVPHLRGSFPWARLGSGPLHPGGLYLGWRQGPTPSTAFDDRMGSSPPPGVEPVSMTIGAQGLYQVSYLIPSIQNSCSRPRTR >A04p028780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17254239:17257967:-1 gene:A04p028780.1_BraROA transcript:A04p028780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT2G30575) UniProtKB/Swiss-Prot;Acc:Q8RXE1] MLWAIDSVNVSTHKWLLGRTSRRTNQKKDDRSKFAPPSTKEEEEQKSPPNMHEMKANLGEICVGCAVLRLSGNEEIIYEEKFRTLMNQIRRWRRILILSLLLLSVVTPIVFLSNRIKSITSVDRGEFIEEVSDIRYKTNDDLRLTAFEQVMLDGIPIVFLDLVGAFILLNCFRFVTQDGEGFNKEPKRILKDDELNPVVRSSVSNKSHDGSQSSERDKTLVLSEMSEGNSNKTKEEQALVSQQTNSSDTAEKISAKDIQPNPKTKFQRPLAKSEKNSRAQLGRATDERIKEIRDKIIQAKAYLNLALPGNNSQIVKELRVRTKELERAVGDATKDKHLSKSSPQRLKAMELALYKVSRVFHNCPAIATKLHAMTYKSEEQARAQKKQAAHLMHLAARTTPKGLHCLSMRLTTEYFTLDHERAKPFQQSYINPDLYHYVVFSDNVLACAVVVNSTISSSKDPGKIVFHVVTDSLNYPSISMWFILNPVSRATIQILNIDDMNVLPLDHAQLLMKQNSSDPRVISVLNQARFYLPNIFPGLDKIVLFDHDVVVQRDLSRLWSLDMNGKVVGAVETCHEGEPSYIAMDTLINFSDAWVAEKFDSKACTWAFGMNLFDLKEWRRQNLTSVYLNYFNQGVKRHLWKAGSLPLGWLTFFGQAIPLEKRWNVVGLGHESGVKAGDIEEAAVIHYDGIMKPWLDIRIDKYKRYWNIHVPYHHPYLQRCNIHD >A04g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12586696:12588197:1 gene:A04g506070.1_BraROA transcript:A04g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTFSLNFNYVSGYALSDSKCFSNSAVSRRTPAALDHKLNGSLKCTPNSSSLVCRVSSGGYRRNPDFSRLNKHGINRQSEDRDGFDGDNSDMLSSRNGSLLSLSNSPKFQATSSPGPREKEIVELFRKVQAQLRARAAAKREDKKAQEEEEASKGRGKEKSTRESRAKKLTVLTAMETVRYEEIMWTGGKIAAEETLSSHGPKIIMHHHHHHPLPGQRQASEESRQYQDLNLLLLLILMRQLLMRQASSYSVTWTHKKDTAESHDEPEDELQAEIAPEYDEHAPEYESEPDPVAAILEPESDLHLDASSFYQEEEHNVTLDALSEEEESLDDADEEVEEEAVKDEDLSTLKLMELRGIAKSRGLKGFSKMKKAQLVELLSSDSS >A03g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28792032:28793070:1 gene:A03g508400.1_BraROA transcript:A03g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTVLSCPTMLGRIRSTAAEMRTLRSGAKCQLSTVKPSKYSSKFSTDVQLHESPQALFDEYLEDKCRVFKAMFPDKPRSYRLNEEEWRIHMSPINFLFLTARPVVDMRIRCKSNGQDYPPDVPLDITRVLELNMMKWELQGLGQVMEQSDFTLGVQGALYPDRGGRHTRLKGQLEMNVSFVLPSVLAFVPEDVKRSVANAILTGLVDSMKHKVIESLLADYNRFKNERKTHN >A10p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22286953:22288726:1 gene:A10p040460.1_BraROA transcript:A10p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLFVTPEKQRNHPPSVSLGKTPVRRKLIVDDDNEVVSEKKGQSRTSGGGLRQFSVMVCQKLEAKKITTYKEVADEIISDFATIKQNAEKPLNDNEYNEKNIRRRVYDALNVFMALDIIARDKKEIRWKGLPITCKKDVEEVKMDRNKIMNSVQKKAAFLKELREKVSSLESLMSRNKEMVVKTEGPAEGFTLPFILLETNPHAVVEIEISEDMQLVHLDFNNTPFSVHDDAYILKLMQEHKQQQQQQQHRVSSPSSTHQHSSAHSSSSSCIASGTSGPVCWNSGTNDTR >A01p041610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22370947:22373931:1 gene:A01p041610.1_BraROA transcript:A01p041610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPSPSATPSPPLPPTVIPPVPPLTPPPPTTPVIPPPPPTAIPPTAPVTPPPPLPSTDLTPPPPSSPLPSSPPPPSPATPTPLTPSPPPPFTDPTSPPPPSSPSPPSPPSPSTPPPPWPVTRSPPPPPRPSGGGSPRTPSITPGFSPPPPASSPGGLSTGVVVGIAIGGVALLVALLTLICFFFLCKKKRRRDEEAPPGPNGPYGAQKQQNASKHSDHGLTSPQQPNPPSAPPRPRGMSSSSSGGYDSNYSDNAVHPPPSPGLALGTYQGTFTYEELARATNGFSEANWLGQGGFGYVYRGILRNGKEIAVKQLKAGSAQGEREFQAEVGIISRVHHRHLVALVGYCIADAQRLLVYEFAPNNTLEFHLHGKGRPPLAWSSRLKIAVGSAKGLSYLHENCNPKIIHRDIKAANILLDFKFEAKVADFGLAKIALDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVVLLELITGSRPVDVNNAYADNSLVDWARPLLTRALEESNFEGLVDPKLNNEYDREEMARMVACAATCVRHSARRRPRMDQASQSYFSFSGSSVFNYVTRVLEGNISPSDLNQGIKPGDSNVYSSYGGSTDYDMSEDNEGINKLRRKALGTQEYSESSEYSNPTSEYDLYSTGWSTEGRTTREMETSTIKRTN >A08g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12561741:12562095:1 gene:A08g507210.1_BraROA transcript:A08g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVLTSSSSSAGAPLRFFVYDKYLKGLERNSRVETTLQKYFQSIWTIGIITLHSRGAFKGPSVEYSVKK >A04g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10358223:10358909:-1 gene:A04g504870.1_BraROA transcript:A04g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKLSPTRPSSIQTKTKGQDEAQLTLRRKPNTRAQHRKENRRGSKLRHVIFHVDDRPAINETRVKTKNHFLPPEPEEATELHRRRRRTTEEPIFTEAQTESQNWWIYNSEKKQRRLQSILINRGSEREAVGQRRTESHRLPETETGEVDAVRTVASRRQSRTMIGGTDPPPETRAGR >A04g501690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4128253:4128498:-1 gene:A04g501690.1_BraROA transcript:A04g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSLVHEDHKYEMCEVMLLKNSNNACLKISQGREQSRVIFNHYNGIALQIRQANNMRFEKDVTDGFCSELFKKYQVDE >A06p038000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20528540:20529239:-1 gene:A06p038000.1_BraROA transcript:A06p038000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLTSSYTISGAGSVELNQKVGLVSSSVGFGQKKQTIPVIKAQRAGGDDVDGSSGRRSAMVFLAATLFSSAAVSASANASVFDEYLEKSKANKELNDKKRLATSGANFARAFTVQFGSCKFPENFTGCQDLAKQKKVPFISEDLALECEGKDKFKCGSNVFWKW >A08p010720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8732227:8733914:-1 gene:A08p010720.1_BraROA transcript:A08p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 83B1 [Source:Projected from Arabidopsis thaliana (AT4G31500) UniProtKB/Swiss-Prot;Acc:O65782] MVENIQKQAVVQMDLFLIIAAMVAVAAFFLLRSSTKKSLRLPPGPKGLPIIGNLHQMEKFNPQHFLFRLSKLYGPIFTMKIGGRRLAVISSAELAKELLKTQDLNFTARPLLKGQQTMSYQGRELGFGQYTAYYREMRKMCMVNLFSPNRVASFRPVREEECQRMMDKIYKAADQSGTVDLSELLLSFTNCVVCRQAFGKRYNEYGTEMKRFINILYETQALLGTLFFSDLFPYFGFLDNLTGLNARLKRAFKELDTYLQELLDETLDPSRPKPETESFIDLLMQIYKDQPFSIKFTHENVKAMILDIVVPGTDTAAAVVVWAMTYLIKYPEAMKKAQDEVRNVVGDKGYVSEEDIPNLPYLKAVIKESLRLEPVIPILLHRETIADAKIGGYDIPAKTIIQVNAWAVSRDTAAWGDNPNEFIPERFMNEQKGVDFKGQDFELLPFGSGRRMCPAMHLGVAMVEIPFANLLYRFDWSLPKGIKPEDIKMDVMTGLAMHKKDHLVLAPRTHI >A03p019650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8039632:8045707:1 gene:A03p019650.1_BraROA transcript:A03p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLCLDVEANMSLTHSEPDLPVSQDFGEFMLSRLSQSKRPDHKHLSAVIEELSKTLAEGNHNQTPVAYFAATCSSLDSLLSADSEPSLDVVQPHVVILSLVFPKVSAGVLKRNGLALRLVLSVLRLRSASPECLVSALKCLVHLLTTVESMTGNEVSESYSILLNFVTHSDGKVRKLASSCLRDVLVKSSGTKAWQSLSGTIAELFQKYLDLAHKSEARSAEGAQQVLYILSALKECLALMSKKHIATVIDGFKILLITRDAFIARPVIDSLNALCLNPASEVPVEALVEVLYHAAVLFSAPETSADAMTSTARLLKVGMMRAFNLNRDICVAKLPGVFNGLKDIIASEHEEAIFAATDALKSLINSCIDESLIRESINGIRNSNLEARKSSPTVTEKLCVTVESLLDYKYHAVWDMAFQVVSTMFDKLGEDASYFMRKTLEDLSDMKDLPDEDFPYTKQLHECVGSALGAMGPETFLNIVRLNLEASDLSEVNVWLFPILKQYTVGGRLSFFTEYISRMIETMSQKAQQLKLQGLTSASRSVDSLVYSLWAMLPSFCNYPVDTAESFADLGRILCGALQSQAETRGIICASLNILIQQNKEVVEGKEIPVSDASPAMVRASARYNSDIAAANLKVLRSCAPKLLDVLSRIFHESGKDDGGSLQSAIGNLASIAEKKTVSKLLFKTLRELLEATKTAIAQDESSASGMDVDNTADKNSSSNLRARLFDLLVSLLPGLDGQEVDTVFSSLKPAMQDPKGQIQKKAYKVLSVILKSSDGFVSKHLEELLELMHNICHVSAKRHKLDCLYFLLAHASKTDDLKARKDIVSSFLPEVILALKEVNKKTRSRAYDVLVQIGHAYADEENGGDNEKLHGYFNLVVGCLAGEKPQMISAAVKGVARLTYEFSDLIASAYNLLPSTFLLLQRRNKEITKANLGLLKVLVAKSPVEGLHANLKSMVEGLLKWPEGTKNLFKAKVRLLLEMLIKKCGTEAVKSVMPEEHMKLLTNIRKVKERKEKKYAAASEMSRSQHSKETSSKVSRWNDTKIFSDFDDEDEDSDGDYMDGETHGRSKASSLLKSKASALRSKKSQRQSHLEVNESDDEPLDLMDRYKTRSALRSSELRNKRKADSDEEAEFDEEGRLIIQEGGRGKRKEISDPDSDAKSSKGSRFSANTSKKNQKRMKTSESGYAYTGKEYASKKASGDLKRKDKLEPYAYWPLDRKMMSRRPDQRAVAVRGMSSVVKLTKRLEGKSSAEALAATKFQKFKRSGQKKSAGKKKSK >A04p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8706921:8707461:1 gene:A04p007710.1_BraROA transcript:A04p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEPEEANRNVNMETATSDQSLITILDDIKSSKLPAVINYGASWCGVCNQTFPAFQELSNSFKRIKFVYADIDECPENTLHIRYTPTFQFYRDGEKVDEMFGAGEERLQDRLWLLS >A10p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3317767:3318071:-1 gene:A10p016040.1_BraROA transcript:A10p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKFPLEILAVFVIISVILLPIAHAQSPSPAPAPTSDGTSIDQGVAYVLMMVALALTYFIH >A07p031880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17563783:17565967:1 gene:A07p031880.1_BraROA transcript:A07p031880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGENTDLFDPKTQMDSDFSRHGSSSEGDFGFAFNDSNFSDRLLRIEIMGNPSDSRSDVEGCTSIADWARHRKRRREDIKKESVTISDIVACPEEQILTDEQPDMDGCPGGDNLDDEGEAMIEESLSGSDEEDTTSEPSWGMDCSKVVRVIELHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNVVSVATAPALLDVLMAADKFEVASCMRYCSRLLRNMPMTPESALLYLELPSSVLMAKAVQPLTDAAKQFLAARYKDITKFQEEVMSLPLAGIEATLSSDDLQIASEDAVYDFILKWARAQYPSLEERREILGSRLALSIRFPFMTCRKLKKVLTCSDFDHEIASKLVLEALFFKAEAPHRQRSLAAEESASVNRRLIERAYKYRPVKVVEFELPRPQCVVYLDLKREECAGLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFGVDYEFSARSKPSEEFISKYKGNYTFTGGKAVGYRNLFGIPWTSFIAEDSLYFINGILHLRAELTIKRSTDPPPQ >A07p043610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23836558:23838627:-1 gene:A07p043610.1_BraROA transcript:A07p043610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-type lectin-domain containing receptor kinase V.1 [Source:Projected from Arabidopsis thaliana (AT1G70110) UniProtKB/Swiss-Prot;Acc:O04534] MFQLIMMLLLFFPKNVVSDPTGGEFNFNGFLYAAGSAHLKSNGLFRLTNTTAQTAGQVFYNYPLRFKDSPNGTVFSFSTTFIFAIASHYGADNGHGLAFVLSPIRGLSNVDPTQYLGLFNSTNMGDPSNHIVAVELDTVQTAEFNDIDSNHVGIDINSVISEVASSAGYFNDDGTFVNLFLSSGDPMQVWIEYDSKQKQLNVTLHPLDVSKPKLPLISLEKDLSPYLLECMYVGFSSSTGIRTASHYILGWTFKMNGTAPDIDTTHLPEVPRFDQPRDQSVKGVMAITLSLSGITMLIVLVLGLWLFLKRKKLLEVIEDWEVQFGPHRFAYKDLHTATKGFKDTELLGKGGFGKVFKGTLPVSNIEIAVKMVSPDSRQGMRDYLEGTLGYISPELSRTGKASTSSDVFAFGVVMLEIACGRKPVLPRASESEMVLTDWVLECWENGDIMQVVDQRIGQEYIEDQVVLVLKLGLLCSHPVAAIRPNMSSVIQFLDSVAQLPHNLLELMSAQEINRGAQVSGEAADSPESSSVASLTFTESFVSHGR >A08p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11978626:11979278:1 gene:A08p017120.1_BraROA transcript:A08p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFASRFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTNAVIVVIDSTDRARISLMKDELFRLLGHEDLQNSVILVFANKQDLKDAMSPAEITDALNLHSIKNHDWHIQASCAVTGEGLHDGLGWIAQKVTDKAPK >A08p034210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20392286:20395544:-1 gene:A08p034210.1_BraROA transcript:A08p034210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERYSRSNRDDRDRDSSVDRSPEREGGHRNQVRYRDGDSKRRDSDHYRPSRRDDREEERDSGKDRGRDREGSRDRDRHHERSKDKEARSKRKEREEENVNREGKKKPRFADGSSERRSRVEDVAEGSGAMNGVEIEGAASYSSTALETTSLAPSQTLPTKVSSISTKDENKGVSNVRSHEVHGKSSTDGRTSSTAGESSASLSSLDALAKAKKAIELGKGIADRFKKLSSMNQGTKPTSEGSPHTRVQSSTTTPAVSAGTSSASALPHAVFPGPESTSNIEAVRKAQELAAKMTFRHDPSALNYFSGQAPTETMAVTQKPAKPPVLRVDAHGREIDEHGNVISVTKPSNLTTLKVNINKQKKDAFQILKPQLEVNPEENPHFDPRMGIDKNKILRPKRMSFEFVEEGKWTRDAESLKLKSQFGEAKARELKVKQAHLAKASDGINPNLIVVSERAPRKEKPKEGIPDVEWWDASVLTSGIYGDIADGVITDNDLKIEKLTHYIEHPRPIEPPAEAAPPPPQPLKLTKREQKKLRTQRRLAKEKEKQEMIRQGLLEPPKAKVKMSNLMKVLGPEATQDPTKLEKEIRTAAAEREQAHVDRNTARKLTPAEKREKKERKLFDDPTTTLETIVSVYKVNKLSHPKARFKVEMNARQNRLTGCSVMTDEMSVIVVEGKSKAIKRYGKVMLKRINWEEAVKKDDKEGEEEDEDEEENSENNKCWLAWQGSVAKQSFHRFHVQECLTESAAKKVFSDAGVAHYWDLAVNYTDD >A07g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4605463:4610995:-1 gene:A07g502090.1_BraROA transcript:A07g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSRATRVTVPRNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSYEANSRQKKTIGDFCAFGLVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELAWLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTTKVDQLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQAGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIKKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETAPGAEERAEQSASSGVTAPSELAETPPSRVYVPKVPYPIPPKHLMDPISEEQLIGFNKMLKVVPEKEHGDKGESRLFSDEDSSTDPTEIRGNSRVKQKVQKKRVKGDPTMTLIPLKCDGNSIEYEVKCKGTSKPFSKVRAIITHELKEKGKVAVKGLLSRGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMDQLEQKRQKTMQFGSFHAEQS >A08p035670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21048183:21049774:-1 gene:A08p035670.1_BraROA transcript:A08p035670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSDKDRETFVYLAKLSEQAERYDEMVETMKKVAKVDSELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNVKHIKGYRQKVEDELADICKDILTIIDQHLIPHATSGEATVFYYKMKGDYYRYLAEFKTEQERKEASEQSLKGYEAATQAASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNIKTDEAKQEPAKPAEATEVTNLTDQRLWKLNEFRFDAQKGEDNSFEFHSLVLSRRCDQKQLNSILYSFSFVTFLLFTFLSVS >A08g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10476702:10478612:1 gene:A08g506140.1_BraROA transcript:A08g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTLPFPPVPLYPLSPFSPAFDSQFPILQTAIASLPLSKKQIVLLKETFLRFYCSTSKKFPFACKLSLFRKAATYPSYLIKKSKSAPPDHLNKSLKPPPLNPLPTLPEKTIPPEKENRIPTITENASKPFSQKTFLPPPSLPEKPLESLQIAFEPDHSVIQTDLPDPTSEFETDLQEILSYSHTKPSTDSIMTDLVIYSPPTLPLTSPVPTSFLATSFSTSSPEFQFVVALAANQSYKRRKTLFKHKPSSKIPLLPYTLSITTINHFSALDPDHPDTQLYNSSSAVEGSLESSAPLSSATPFEGPLFTQ >A01p051390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28796981:28798636:-1 gene:A01p051390.1_BraROA transcript:A01p051390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSKTQCHLTLPVIDFSIPNLKPATPEWESVRAQVRTALEEYGCFEALFNGASVELRKALFEASEEFFDLPVETKLRTKSDKIYKGYAGQHPTLPLYEAIGCDGANNPQSVDELTYKLWPQGNITFSKNVQSFAENLIALDVKVRTMIMESFGLEKYVEEHLNSARKHFRLIKYRGLNENAEEQPGLNPHTDSHFLTILCQNDVVDGVEIKAKDGEEWIKAKPSQDSSFLVIAGASLHVLLNGRVFPPFHRVVITGKKDRHVAGLFVLPKEGLFINALEEMVDDDYPRLYKPFNFDAYFKFNIINRSDTHTRDLSALKAYCSL >A06p049560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26168735:26172877:-1 gene:A06p049560.1_BraROA transcript:A06p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVWLIQILQTKSESYKFLGLVREEMNDVVSLGVGSVAGAVVSELLKVVIEEAKMVLTFKSVYMELASTMEDVLPIIEEIEMLPGAGELKKLKDIIDEALVLVRKCSQVKRWNLPSKAKYTRKIDEINKKMLKYCQIQLQLIMLRNQRLMMRQQGLLACQIVPDEVSTLPPDMLSDMPPNVPSDCLPVYTREAIQSHLKSIKKKLDYFTVSPPVYVDLCSVPKLDKVLVGLDLPLMEVKKKFLGDDDLVVSAPPGCGKTTLVTQLCHDDEIKEKFEYIFFCDVSRVPTFRTIVQTLLQHSGYEAPTFENDSQAVGGLRKLIEELKEDGPILLVLDDVWLGADSFLQKFQINIQDFKILVTSRFEFPSFGPIYHLKPLGDEDAKSLLIERASSCFFFAGYHTLKCCYGLPLLIEVIGVQLVRKSIDGLGEVISWSEEETVLDLQLIRKFVNWATDKVKSWSEEKTILDNPQPNVLECLQPSLNILDPHLKDFFLDIGSFLTQGKIRVSSIIDIWMELYGKSSKSSAVYVKYLNELAFRNLLELDPPRISENKDGFYDGFLDSQHSILRDLAICQSKSEEHHERERLNLEIREDAFPDWCLDLMQPISARLLSISTDDLFSSTWAEMECPNVEALVLNLSSSSYALPNFIATMKKLKVVAIINHGLGPAKLTNLSCLSSLPNLKRIIFENVSITMLDVLLSRLGSLEKLSLFMCRVGLEVSYNIKEIAIPEALPSLQEIDINYCYDLDELPDWVCEVVSLKKLSITNCGKFSVLPKSIGNLSNLEVLRLCSCINLYELPESTERLSNLRFLDISDCLALNKLPLEIGKLQKLKKISMRMCLGCELPDSVKNLSNLKVDCDEDTRLLWERLKPEMGSWRILMGM >A01g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24543679:24549373:1 gene:A01g509110.1_BraROA transcript:A01g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNREARRVPMAAAATGNALSRRRHRAGSFRDSPEEEGPVELPEAARLRDRGGSGKKERDRERERERDRLNSRSKRRRGERLMMVHDGGDESSEESVNDDEEYDDVKMLPPPASNNISPASFSSSLSNHHQRKNFPPPPSKTFRSPPSPAPVSEMIGVSVPRKARSACTKRPHESWASSATGGALLASGEHSHRQVSTSPSSSTVSVHRKKMPSGTKQKPLPPKSSSPLVAVQDEIEIEIAEVLYGMMRMPLATSKQESATNEGGAKTKTTVDVKSKVSSPISNSQTVLQSSTITLAANSSSYNAIAPKRKKQRHVKYDDENSPSLPSRAIKSEAEAPSKSQPASVDQLKRSGSAEESTSVLDSTNPQARDSTAALDSGSAEKKESNLSNEERVMPKVESSSGVIRSDGDGATTISGAKPSLLEKEKFEIDLMAPPPVRSSSERGGEMEGVAAEAKPKATEVETEDGGNATVLESEEKKRPKSAVGETEPHKPERSYELKLDLDKSDHVGAINKHHVQKQPQQQQQPSVPDKTAQAGTLPMHMSMPGWPGGLPTMGYMPPTQIVPTDTSSLSSAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQHFTKMNPFWPAAAGSAPLYGTKACNLSLMPPTELQGTVLGRSSNPVPDKNSQSTSKSSDTAQRNQILLQQALPQGATNNIMHGPTFIFPVGQQPHTAAASVRPPSGNTASPGATATANSMNGSASATLAGAPTMTFSYPGMPGSETQYLAILQNNGYPFPVPAHVGASPAYRGAPGQPIPFFNGSFYPSQMIQPSFSQPQKQQQQAGQMLQSHPPSNQNGSVSTGSSAAQKHLQNQQLRPPINPGNSQGFPTHKVQSQPLSFQQRQPRENATQHSETVGGDSPSTADSRGSRSSVAYGQNYGMQMQPPNLGLMSSAAPGGGVVVSSSNHGEKKSQQVSKAGVESFPSQGYAMTFATFNGVNSTPSLNMSSVGQNHAIFHSMPEAARQGYQMMAANVAAQAAQQKMNYSPPSDDGKSGSNATANTREEQRKTGGATGKTSGLNGAQSIAFSNKHDLADASVSASSSTQVQSPQWKNNSPRTSNTSQAQSPSILSPSSSAAAASSVRNVSHKQQSRPQQSQISFASNSKPMASGSPMQQVQGGTNNQAPSPPMLVGSPSTSSVSKSAGGSPRTAASASSAVNKAGQASSTTHSSSQPSKNLQSASAASSAGGRNNGPSVLGNPTTSSGSKSQQQQQQLPKHGLQQQAQLFFSNPYMQSQHQQQQITISPSGGYYIQRHQQQPGSSAAAWFPYVHAVPSAVQVKPVDQKQQAEKNSSGWEVPVIACAGETKEGRRSREKEADGTDPPTQFFGSLVGGGGGF >A09p070590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54722641:54724065:-1 gene:A09p070590.1_BraROA transcript:A09p070590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSDGSGHKPGWYAAYVDVKTTKTGTPSKYQHFTVEQWLAKSEPPYLLYAERDNCPSVIKKSPYSIQTCTYTINVQTGTRADSGTDAIIGIVLADQSEEYIEIKDLVSWGGKMPEGHDYFENGNLDIFSGTERCLPGPVCFMRLNSDNSGYKPGWYVVYVDVTTSKPGSVSKHQRFTVEQWLAVDEPPNQLYAERSNCPV >A02p003070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1281207:1282348:1 gene:A02p003070.1_BraROA transcript:A02p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTNSQKTVSDDDEIDYSIKAEFYDSDLDDKDELWMAKKRDGRASDAVLSCPACFTTVCLECQRHEQYVTQYRAVFVVNCKVDKDKALQHSTAPSKAGKRRRNSEEKETHSADSGRVNSVVCSTCSTEIGVLDSEEIYHFFNVIPSEP >A05p005000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1940750:1942586:-1 gene:A05p005000.1_BraROA transcript:A05p005000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLARFVFRSQLRPSLSARQSASYSSASAASAQAERTIREGPRNDWSKDEIKAVYDSPVLDLLFHGAQVHRHVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGVKAQRLMSKDAVIVAAKKAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYIKEIRGMGMEVCCTLGMIEKQQALELKKAGLTAYNHNLDTSREYYPNVITTRSYDERLETLEHVRDAGINVCSGGIIGLGEAEEDRVGLLHTLATLPSHPESVPINALLAVKGTPLEDQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQLMFKTLGLTPKPPSFSEDDSESENCEKVASSH >A01p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3256423:3258675:1 gene:A01p006440.1_BraROA transcript:A01p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase HPGT2 [Source:Projected from Arabidopsis thaliana (AT4G32120) UniProtKB/Swiss-Prot;Acc:Q94A05] MESLPTTVSSKSDRRGRSSKSQNTSKPSLIMAFFSCLAWLYVAGRLWQDAQHRVALNIVLQKNLELRPKALTVDDKLMVLGCKDLERRIVETEMELAQAKSQGYLKNQKSLSSASSGNKKMLAVIGVYTGFGSHLKRNKFRGSWMPRDDALKKLEERGVVIRFVIGRSANRGDSLDRKIDQENLATKDFLILENHEEAQEELPKKVKFFYSAAVQNWDAEFYVKVDDNVDLDLEGLIGLLESRRGQDGAYIGCMKSGDVVTQEGSQWYEPEWWKFGDDKSYFRHATGSLVILSKNLAQYININSGLLKTYAFDDTTIGSWMIGVQATYIDDNRLCCSSTRQEKVCSMA >A03p000860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:499350:501625:1 gene:A03p000860.1_BraROA transcript:A03p000860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSLITGRRGPSGFGSATSAEEVTQGIDATHLTAIITGGAGGIGMETARVMAKRGVHVVIGARNIGAAENAKAEILRQNTNARVTLLHLDLSSVKSIRAFVRDFHALHLPLNLLINNAGVMFCPYQLSEDEIELQFATNHIGHFLLTNLLLDTMKTTAKTSGVEGRILNLSSIAHIYTYKEGIKFDSINDICCYSDKRAYGQSKLANILHANELSRQLQEEGVNITVNSVHPGLILTNLFQHTALLMRFLKFFSFYLWKNIPQGAATTCYVALHPTLKGVTGKYFADCNEVTPSKLARDETLARKLWDFSVKLINSVSKKNYLGFEDTI >A04p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2024075:2024363:1 gene:A04p004080.1_BraROA transcript:A04p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNGGGNLQEGLTNDDIVAWWFSLVPSERSEAVGTIALRFEGAFFSVASGARLSISTGLGLQGSSLTLNES >A05p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4121664:4125816:1 gene:A05p009870.1_BraROA transcript:A05p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNSSRPVDHIAKLVDKMVRNRISSTGYILKPNLQELPDTSIETRLQPPPLDAGEPSGRWRRVLRFSSSHLSRFRFVSTVYVSPPTWFSVSVNISAHAKFPPRRKLVLVSRRSWLSHYRSGSTGSDFSWGIPQPVEILAGFSSRFPSPSSCCYAHLPLDEYPPLVGVEAIHLLQVEPHKPDPPPSPHRNRKNRKSFSYLPTLCLISPSVGLRPEPMTHHSPNVSHPVTCRCTSTAVLSSFRRGQVSYLLGVFTKTDVQIWSYLSCAKSLLFTHLPVDSSSSTSSSLAAFSLEKQTTTSLLRSVSLPNIKWKCPSISISVLLSCVAVRLGPEDATGFVSAILRGEDWMLTSLVTISQLSGREGFIDAFELGLEFAVIFYEELSYLSAFVIVVYHFNQRGWFIPSVYYLDDTLYPLSSGIARECGQNIKDYMVEKLGIDKSKILELSNSLYKNYGTTMAGLRAIGYDFDYDEYHSYVHGRLPYDNIKPDPILRTILLSLPLRKIIFTNADKLHAVRALERLGLEDCFEGIVCFESLNSTNRDNIHDNNEIFDIITYLSSDHEHSFSGLPKTPIICKPSETAIVKAIEIANIDPKRTLFFEDSVRNIQAGKRVGLSTVLVGTSQRVKCADYAVENIHNLKEALPELWESEAKPETVRYSGKLAVETPVIA >A02p046820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29282211:29283792:-1 gene:A02p046820.1_BraROA transcript:A02p046820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLYSLPTWDLHNLGSLFKPDFNPDACGYINGSPQHIYKSPEMDYSDVSTGYLEDALIESCERSKRRRLLFEDPSKSLNDSYSSQNDWGLHESYSCLESQFVTPPVNTDERMKGKLSLEEPIMTVYESPDFSVSPDKIYVREKSTTEPSSSNCINKNKGLVYPFGLVKPGGREEDITLNDVNKRILMPPARPVRHPVGDFASRPCISTHGPGLSGKTVVALTRIQTQGRGTITIIRTTG >A08p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19066325:19067989:1 gene:A08p031180.1_BraROA transcript:A08p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCSHSSILLPPSTSSVGFNRFPRLQTLRFKSRNVYQKARISTVSASSSRSLEALIFDCDGVILESENLHRQAYNDAFAHFDVRCPPSSSESLNWSLEFYDKFQNLVGGGKPKMRWYFRENGWPTSTLFESPPESDDDRAKLIDSLQDWKTERYKEIIKSGSVEARPGVIRLMDEARAAGKKLAVCSAATKSSVILCLENLIQIERFQGLDCFLAGDDVKEKKPDPSIYITAAEKLGVSVNDCLVIEDSVIGLQAATKAGMSCVITYTSSTSDQDFKEAISVYPDLTNVSLKDLETLLQTIVTAA >A02p019930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9269956:9270587:-1 gene:A02p019930.1_BraROA transcript:A02p019930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPIDISTFTQIDTFHWVLDMNHFVGEAFDQIGEMCIFLLNNFTLPPDKALAVYVQSPGSAFAFCGAVTLSRPSAVLSLQWPEAGSAAQMQLTAGDSAPLSAKIGISVEDAAALPSLDVAAERKIERLAMKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPGFLKSFAL >A09p070110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54439102:54440369:1 gene:A09p070110.1_BraROA transcript:A09p070110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNNLVGVLNFIVFLLSIPILAGGIWLSQKGSTECERFLDKPVIALGVFLMVVAIAGLIGSCCRVTWLLWTYLLVMFLLILLVFCFTIFAFVVTNKGAGEKVSERGYKEYRLGDYSNWLQKRVNSDKNWRRIRSCLVESKVCSKLEAKLINEPVNKFYQEHLTALQSGCCKPAEKCQFAYVSATNWTKTAGTHPDPDCQTWDNAPNKLCFDCQSCKAGLLDNVKSAWKKVAVVNIIFLVFLIIVYSVGCCALRNNKRDDSYGRTYGYKP >A08p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5179115:5180896:-1 gene:A08p007820.1_BraROA transcript:A08p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRYTREEKGKGKEEKSRAERRRPIQIPQSDNSALIEENKLTLIGRVTNPTIQKTQWVVEWLLQYWNVDGELTGREVLIDGLKNLEMLLPLQLPSGEVISVNLEYEKLEKHCFLCYSLCHEKENCPQYKDDTGERSPQGISQKNTLRKLEEHRRIHDNKRSISLSSRDRVSDPREQRSSQRSVYSRLQEPVRGRTYQTELSRPHIARERDRRRYGENMEERTRSFDRERSSHQSYPTQRNQSPSKRVWRERSPGNRSKEHRRPISSGHNTQSSRTPPSRPAREPMNLPAAPDQEEVISGSRYRVPTLERREEELIQGAERISALERLEEPPLHQERISALERIEEVPNQTPDRISALERIEDPMEVEPRPSGLSSSLLARLQDVEITYEAEENQSPQVGVGGERRIGSTSSPQTHQESPRIPASLRLGSSSGSRKRSNTQATAPAKKATRAKQPPKKKPPGRITGATNTRAIRSPIQGIRLSKQLTAKAKPAARKKLCVDKNGQ >A08p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4069621:4070378:-1 gene:A08p007050.1_BraROA transcript:A08p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEEKMELKVYNKMTIAEGRKFLIRSLPERSDCTSVVTISVTSAMLASPSPWTFSTETLLDECTLPVSSEFSQLDSSSEALYYVYQVQCLLEIYLDDALFPYPEAMAEEDGGKAQQTAEAKDIINKLKKRTIYILTGAYQDALKFINTSIGKLNVFCFTPAPLLFFATSPFIPNLSVFLQKLRKRRRNSECPSLVRLRK >A04p024910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15072997:15076475:1 gene:A04p024910.1_BraROA transcript:A04p024910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRLSKSISAAIKVHNALSRPSLLLRSRALSAAAHFNSSSFLLRPSSFIGAPRNGITSATQATSRGQMLPLSLQLPSPRRFMSTDGDQSALEKYGTDLTEMARQGRLPPLIGRDDEIKRCIQILCRMTKSNPVIIGEPGVGKTAIAEGLAQRIVKGEVPEPLLNRKVISLDMGSLVAGTSYRGEFEEKLKAVVKEITASNGKTLLFIDEIHTLVGAGACEGDSMDASNLLKPMLARGELRCIGATTLSEYRKYIEKDPALERRFQQVFCGQPSVEDTVSILRGLRQRYEKHHGVKISDGSLVSAAVLSDRYITERFLPDKAIDLVDEAAAKLKMETTSKPTELEEVDKAVIKLEMEKLSLRKDTFKSSEAFFKKEKEMENDLTKLKDKQKELIKQWEEDKSLITKLRSLREEIDVSSKREYSQNRTAELKYGTLMSLQSQLEEAEKNLTKSGGSELPLLKEGVTDRHIAEIISKWTGIPLSNLQQSEKEKLLLLEDVLHKKVIGQGKAVESIADAIRCSKAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTDNAIVRIDMSEYMEKSNVSRIIGASPGFVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRVTDSQGKTVSFRNCFVIMTSNIGAQSILDTFRGNEDRKEAVYETMKQQVVELARKTFKPEFMNRIDEYIVFQPLDTKEISKIVEIQMKRVKNRLEENKIKLEYTQEAVDHLAQLGFDPNNGARPVKRVIEKIVKKEIANKVLKGEFAADDTILLDVDQTSNELVVIKKLESDAPVEEMAA >A02p006710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2853471:2856823:-1 gene:A02p006710.1_BraROA transcript:A02p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQNYWLSHENRSAQDVILRQTHLNSRLQFRFKAISRGGGGGNLKFGSGGRKTPMRRSTRRPQRIKDKEPNRDGMQLHVSPSMRSITISSSSNEFTTSDLMKIKLAARHISYRTLFHTILILAFLLPFVFILTALVTLEGANKCSSIDCLGRRLGPRLLGRVDDSERLARDFYTILNEVSTQEIPHGLKLPDSFRHLVSDIKNNHYDAKTFALVLRAMMEKFEQDIRESKFAELTNKHFAASSIPKGIHCLSLKLTDEYSSNAHARRQLPSPELLPLLLNNSYHHFILSTDNILAASVVVSSAVQSSSNPEKIVFHIITDKKTYAGMHSWFALNSVAPAIVEVKGVHQFDWLTKENVPVLEAAESHNGVRDYYHGNHVAGANLTETTPRRFASKLQSRSPKYISLLNHLRIYIPELFPNLDKVVFLDDDIVVQRDLAPLWDLDLGGKVNGAVETCRGDDEWVMSKRLRNYFNFSHPLIAKHLDPEECAWAYGMNVFDLQAWRKTNIRETYHSWLRENLRLNLAMWKLGTLPPALIAFKGHVHVIDSSWHMLGLGYQNKTNIENVRKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILE >A08g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13161176:13161648:-1 gene:A08g507450.1_BraROA transcript:A08g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASLEVPSPEESENVWSLIPQLKRKLHMHQKKAFEFLWRNLAGSRQTFLIIPFLASYLKIFRGKRPLVLAPKTTLYTWYKKFIKWEIPVPVHLIHDRRTKRTRFSSRGFQNRAKTLVNCLDKIQKWHAQPV >A10g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7995521:7998647:1 gene:A10g503040.1_BraROA transcript:A10g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYGQKEKSVNRPRREYIRSPRREAWEGNFFTANLALRAISGISGKLGFSYFPYLNGNRQCKFRFPHRRSEEAWLALRWLEDGSGCSCYLYLSSWRIVLSKVVVMSPILDRIVRTGHGARRHTSQLRLNQETMETSLKELDDWIECESSSFSLGVPVWASVARSERLPDHAGGTSGTMGMGAFRFGPGLGQGPRGWFSMEETAKGAVDRLWSVLERFWAKRCKGTSGTISANPLGSGVDFGIRIPEGNFVQIVRKRETKRERTVGQGVTVWRLDLFRRRLGRERQDWRKRRRRRRHKETARLRLVVQTWTVVKERHREGSSHGKMCGYWIIVDKCEVSCGLVGLRNPGSGLIVVFDAACGGGMVALKSSVWGGGLWAVEEARRMSPLGRRSVLGTVDLPRRSSPSVNPSSIWSSLP >A01g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18890425:18893480:-1 gene:A01g506510.1_BraROA transcript:A01g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNKPDHLLPPRLFATDRAPSGQPHLPVYPKISYLDILRVEAVENLAVTLLIPIQSQPQPGWGVWHDVVADERLTYMENLIANHHPFKKHLWPGGDTSTPILIHKPPLEEPETRRQVSKNALRPRKPLNKPPPCRKQRRISNYFLRTGSTSNSNDQMMEMLSKVSSEVSKLRKEFRLMRQLNKRKKSRTHIKRSAFHSLIGSPHKPQLSHRGCQTDPTEHSTDDVPNETSPAPIEEDHPECSSRVVSQYAAQLYGQPSSESTPVHTTHLPTEPEQTTPVHTTTFYTLPEHTPIEPNPIIHNFPIHNSPVHNSPVHNSPVHTSPIHTSPIHTSAVHTSSVHTSPIHTSSTPPHPHHTHPRPHHPLTIITTNFDSKVLKSSSPTVLPPPSLNNPRYDTSTHRATPKPPLIPITPDTCPTKSSGFAEHASSVNAFVATATSKRTSLPTLNVEKSQENLSDEEVVELSDSSPVKPTPRHQPSDEECNLAEELFKCPSIPALALIAPLPQQQWDLFHATLTANTQAFHITPSQFDFSNRFILEIAQPQKWVTTFHMEIYMLAGRHRELLDREKLAFTTPYLASGIQEVFK >A09g512180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35742697:35743080:-1 gene:A09g512180.1_BraROA transcript:A09g512180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANQICYSSYQRIDPDICTYTKKSYFNNQEEISNQTIWFRKLWNLQTKDSPTHVTVNCKYQPIKVAVVCPKTNLYNSRCVSYISNKLKTRVNVHRILKLLPIPQNQSN >A10p032540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19228533:19230691:-1 gene:A10p032540.1_BraROA transcript:A10p032540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAFTQPKKNLLPLLLFLFCISVIILLILLSETTHSVSQRDATLQLNHNNALPPFTFLIKVLTFNRLHSLSRCLLSLSAADYGLAGNSQRIHLHVYIDHFSLSSPDSKSVGDRLSNAKEILDFVDKFEWRFGEKLVHYRTGNAGLQGQWLEAWWPSSDHEFAFVVEDDVEVSPLYYGFLESVIRNYYYDRSNYDPSVYGASLQRPRFVPGKHGNKLHVDPKTNVFLYQLVGTWGQLLFPKPWKEFRLWYDEHKSKDKKPFLDGMVTNGWYKRLGERIWTPWFIKFIHSRGYFNIYTKFPNERALSVSHRDAGVNYGKTAGPDSQLLNKSTISSDFLKLQPLSNLKWYDYCFSEVVPGRVVKSLNELGTILPSVQREKTVVLVSLFDADKMFIRNLLCHFEKIGTRNHVFIGPSSELFYDLSRRGHPVIDADMFLDKLVKSKSSYSNSAKEAMGNAYVVKKCLELGYSTWVFSSNALLVDKSPLLDRVRSEYDFYIGESSGVLIVQSSPVSQKLWSNELLNSIISSATKNLDFIHLVKELVERKGKMIKTVETMSIAENNNANSVNQSLGDGKPVVYWSPEVDSNVIRTKLEELKLWLIDDDLSCKAVICHSSLR >A05p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28156627:28158253:1 gene:A05p047930.1_BraROA transcript:A05p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQESNSPKQLFPLSSSPFFSTFKTKKHIFVGTSLLISFLIISVIFVNLARFEPHLYFGFLSSSSKTLTKEQSNNNVCDYSYGKWVRRQQRDMEETSYREDCRFLDPGFRCLNNGRKDSGFRQWRWQPHGCDLPRFNARDFLEKSRNGRIVFVGDSIGRNQWESLLCMLSQAVSNKSEIYEVNGNPISKHKGFLSMRFPEQNLTVEYHRTPFLVVVARPPENSPEDVKMTVRVDEFNWQSKRWVDSDVLVFNTGHWWNEDKTFNSGCYFQEGGKLNKTMGVMEGFEKSLKTWKSWILGKLDSESSYVFFRSFSPVHYRNGTWNLGGLCDADTEPETDMMKMEPDPIHNNYMSEVIQGMRYEHSNVKFLNITYLTEFRKDAHPSRYREPGTPEDAPQDCSHWCLPGVPDTWNEILYAQLLAMNYRTK >A08p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13013571:13014357:1 gene:A08p019020.1_BraROA transcript:A08p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRTVRLKILKRTKTNRDRPIEHISVTVFSPNVFDDDDDSYNRFLSRLHMKPTTVVEARLCLQQIFAGYLMVPLHDPQLRTESLKLYYRLKSGRDSCALPILTFGFSLIKKNPQKG >A01p051930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29103163:29104906:-1 gene:A01p051930.1_BraROA transcript:A01p051930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDRERQRCLLSHPAYPRHNLSLRVSHAIPLGCFTCGQNYTMFAEEYHCATCGVEFHDGCHQRPRRFTHPYHLQHPLTLFYRGPEHGTNFNPCGPNTFDQGRIAQPFADTVVSKSDIVFDKCTWCGKDFQGDWFYRCLICSFCLDLPCATTLPPLTIANPKGHHHSLLFLPRPLLVPCDACGLVNALEPSYACFQCNYMVHQKCIDLPRVIKITRHPQRLSFIPYRSPVISGFAIKMLTSNMGTHPKCTTDKNVWDGRELEWEADESDENEEDIAPFKKLGDGIIDHFCHEHHLKHNGVGDTEKQCEACVFPIVSPQFYHCRECNYSLHEECAGLPRRLAHALHNHTLILDPSPGGSFSCSACFRASTGFHSTSIRADEDMYWCEIGGNVKVMRNSIRTRLFCYECNNRSIYYEGYWWNSKWSYDDCRSEAVVFCSLICKEVGMRTPFI >A10p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16489462:16491795:-1 gene:A10p025780.1_BraROA transcript:A10p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLIHGFFLLLLLMCFSIPPSLQHVSEAEPLIRFKNSVKITKGDLNSWRLGTDPCGGKWFGIYCQKGLTVSGIHVTRLGLSGTISVDDLKALANLKTVRLDNNLLAGPLPHFFKLRGLKSIMLSNNTFSGEIPDDFFKDMSKLKRLFLDHNQFVGKIPSSIMQLPHLEELHLQDNKFSGEIPPLIETNKNLKSLDLSNNQLEGEVPAGLSDRKNLVMKLEGNEGLCGKAVNVGCEAIDPKETGDGLPPPTDGTDNSNRTTINVVLLVISFLMMCCIVVGFIKKRNKKQNAGFRKLDKERPSDVVEVKVPESTTVKRSTESSKKRSGNSEGGSTKKGSSHGKGGGGRGGGGGMGDIIMVNTDKGSFGLPDLMKAAAEVLGNGSLGSAYKAVMANGLAVVVKRIRDMNKLASEAFDVEMRRLGTIRHPNVLTPLAYHYRQEEKLVVSEYMPKSSLLYVLHGDRGIQHSELTWATRLKIIQGVAHGMQFLHEEFASYELPHGNLKSSNILLSETYEPLISDYAFLPFLQPSNAQAMFAYKTPEFAQNQQVSHKSDVYCLGIIVLEILTGKFPSQYLNTGKGGIDIVEWVQSSIAEHKEEELIDPEIGNNADSAQQMLELLRIGAACIASNPDERPDMRETVRRIEQVKK >A07p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26922726:26923498:1 gene:A07p050490.1_BraROA transcript:A07p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQEGTLFSRKRDFTTYGEEFHNSFKKIKQDDQSLGKLQSTMFSERSNSESMRSVTFDFELQLHTPLTTETKGYSKTSEDQRTYPKDSLLVERPKMSLDLELNLSPSYSPTNTTTKIEESSNHNETVSSSKGKNLTSPSKKTTTGTGQNRSLSWLAFEGGDDDVDHKEQEMVTKVCMKCHMLVMLCTSTPVCPNCKFMHPHDHSSTKLFKPSNLLRLLC >A08p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12982776:12986763:-1 gene:A08p018930.1_BraROA transcript:A08p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVHSAAEPIGYSDSPFTISQPPDITNWFSSYVYESLALSSSGKDNGEREPNGFSVREEDKYILGADKDHKPMNKNVSQIQETNNLYESPLLSEPPDIGNWFSSYAYESPPVLDTNDALCFSVGGEDSECVKETQAEEETNDIEGKDDVCPSLFEQQLVSSSAKVADFSQSQHLLSEPPDVGNWFSSYVYESPQLSDTHEIEFCSSEKYDQLIIEESDTEGENSSGIFRKTKSKQETIIAPGWLKSNDCTEAKEVSAYSNQEREKKSTVILFNASTKKEDKDSSFKQEPLFSETKEEANFIPKGYNPKPQSLQELRPKHIQETISNRQMSPRKAAQKACPEENMESVNQESDDKENVDAETGFVTMKKARLRESRDQSSMKKPIRGVLGECSRSKKLKKMATEEDEERKKKKKKKRRVLGEMWNQQLSGGEEIAGKWSCPQRKKGKSGPPLKQLRLDAWVHKPVIFSLTGLKIKTLESETVKMSFVKYLRRDSLLQLAGKQPLSRSFMLQTCRTLIIETALPESVKLNRLCGSDSGIVEVNLDRPVAKNSINKEMLKGLQTTFETIHQDSSARVVMITSLVPGVFCAGADLKERRTMSPSEVHTYVNSLRYMFSFIEALSIPTIAAIEGVALGGGLEMALSCDLRICGENAVFGLPETGLAVIPGAGGTQRLSRLVGRSVSKELIFTGRKIDAREAAKKGLVNFCVAAGEAHKKAMEVAQQINEKGPMAIKMAKKAIDEGIETNMASGLELEEMCYQKLLNTEDRLEGLAAFAEKRKPRYTGK >A03p071370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31423639:31424471:-1 gene:A03p071370.1_BraROA transcript:A03p071370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNSLLTVALLCIVVASVGGQSPASSPTRSPAAPSAPTTSPAKPPAATPPSSPMAKTPASSPVEAPKSPAPVVSSPPPTPVPESSPPSPSPKASAPVSSPPAPTPEAVTPPAPAADVPAPAPKKHKKSKKHQAPAPAPELDSPPAPPTEAPGPSSEDASSPGPATSADDQSGAESTRVLRNVAVGAAATAWAVLVMAF >A02p053090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32339570:32341791:-1 gene:A02p053090.1_BraROA transcript:A02p053090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKT2 [Source:Projected from Arabidopsis thaliana (AT5G48880) UniProtKB/TrEMBL;Acc:A0A178UEC0] MEKATERQKILLRHLNPLSSSPPPYKPTLLSAVNCAAELSPMASFGDDVVIVAAYRTAICKAKRGGFKDTLPDDLLASVLKAQEFPGARDCLLPMGITSENVAERYGVTREEQDMAAVESHKRAAAANASGKLKDEIVPVATKIVDPVTKAEKPIVVSVDDGVRPNSNMADLAKLKTVFKPNGSTTAGNASQISDGAGAVLLMKRSLAMKKGLPILGVFRSFAVTGVDPAVMGIGPAYAIPAAANLAGLKVSDIDLFEINEAFASQYVYCCKKLELDVEKVNVNGGAIAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDSVDDLSNARVEANGSGH >A03p021610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9206627:9207370:-1 gene:A03p021610.1_BraROA transcript:A03p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper ion transmembrane transporter [Source:Projected from Arabidopsis thaliana (AT2G37920) UniProtKB/TrEMBL;Acc:Q8LG21] MAAMIGFHLSGYKPISFSNSLHSKLSLLSLNPSPQPRKSTVIRMGGGPRTFPGGVSKWQWKRMQAKKQKQLLKARLSRERQIYEMRKRAELKAAVAELERPWEPVQKPPNLFSVCADEQVKVLADRFQKPGGFDLWTERDGPQLFDAVDDLPSARFFPKGAVHSVKPYRSLPSSGESDGEEGSRKHEGVSGRRVRKNVLINGVARKEEEGKRMENRVVNGGKSRNGRRSSQVYDMTLQNDGRYEVGS >A01g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22829035:22830687:1 gene:A01g508060.1_BraROA transcript:A01g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDGDSEGENPNGGGGACDKQRGNQARDRDRESSQSGLSQAESGNVILEGGEGEPVGSGEDEARASRDLSDSGKQVVISNEKQVVISSGKLGASGDLPNSESVGGTGSPWLKKSQTGTLEPVIEVVGGVASMQIPEDIFDESELLWKSFVVGYFIGDAPHIGPVHATQARGKVMRTKDLLYLGKQGSTKKASVRKI >A02p057880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34856907:34866114:1 gene:A02p057880.1_BraROA transcript:A02p057880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 11, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT5G62670) UniProtKB/Swiss-Prot;Acc:Q9LV11] MEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWGEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSSLTGESLPVTKGPGDGVYSGSTCKQGELEAVVIATGVHTFFGKAAHLVDTTNQVGHFQQVLTAIGNFCICSIAVGMLIEIVVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFTKGVDADAVVLMAAQASRLENQDAIDAAIVGMLADPKEARAGVREVHFLPFNPTDKRTALTYIGSDGKMHRVSKGAPEQILSLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESAGGPWQFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIGALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVFGSYMAMMTVIFFWVAYKTDFFPRTFGVSTLEKTAHDDFRKLASAIYLQVSIISQALIFVTRSRSWSYVERPGMLLVIAFILAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDIIKFLIRYALSGRAWDLVIEQRIAFTRKKDFGKEQRELQWAHAQRTLHGLQAPDAKMFPERTHFNELSQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIETIQQSYTV >A03p063210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27451118:27454062:-1 gene:A03p063210.1_BraROA transcript:A03p063210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe-S cluster assembly factor HCF101, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24430) UniProtKB/Swiss-Prot;Acc:Q6STH5] MRSQTVHHLHSYLRPGGACLVSSPRPPPPRLVCIATVSDRSNQSNVLLWKGRVSHNKLLSVAAKASSSAPQAETERVSETSLEKEVLKALSQIIDPDFGTDIVSCGFVKDLLIDEALGEVSFRLELTTPACPVKDMFEKQANEVVAVLPWVKKVNVTMSAQPAKPIFAGQLPPGLSRISSIVAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVNPQSRVLEMDPEKKTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGKGSGSQVVQQFGIPHLFDLPIRPTLSASGDSGVPEVVSDPLSEVARTFQDLGVCVVQQCAKIRQQVSTAVTYDKYLKAIRVKVPNSDEEFLLHPATVRRNDRSAQSVDEWTGEQKIQFGDVAEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLETIERLVDVPPLSPVEV >A01g511160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31382191:31384047:-1 gene:A01g511160.1_BraROA transcript:A01g511160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLIYRSNDDQIRPRQRRSRDGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVKKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKNWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGVQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEGSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSFAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A06p005040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1471467:1473096:1 gene:A06p005040.1_BraROA transcript:A06p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVVSEKMKLLVALITLQFCFAGFHIVSRVALNIGVSKVVYPVYRNILALLLIGPFAYFLEKKERPPLTFSLLVQFFLLALIGMKPDSCFLPSDPMNIRITANQGFYLLGLTYASPTFASAMQNSVPAITFIMACTLRIEHINLVRRHGVAKVLGTIVSIGGATTITLYRGFPLLHRSLTAQETINKSQNWTLGCLYLMGHCLSWAAWMVLQAPVLKKYPAKLTLTSFTCFFGLIQFLVIALFVETDPNNWIIGSWEELFTILYAGIVASGLVVYLQTWCIYKGGPVFVAVFQPLQTLLVAAMAFVVLGDQLYSGSIVGSVFIMLGLYLVLWGKTEEKRQVNEASSQEEDHASSLTKNLLGDETKEAQDSESPV >A02p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1807147:1809889:-1 gene:A02p004100.1_BraROA transcript:A02p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRISALVTPLLPSSCSVPTRRRYPGRANRYTKRLNLKPLTSRIVLLTRRRQLDQIVEEVEAAKKRYGRLNTIVMNSVLEACVHCGDVDLALRLFDEMAEPGGCGVDSITYATILKGLGKARRIDEAFQMMESIEKGTALGNPKLSSALVYGLLDALINAGDLRRANGLLARYGTLLLEQGGPSVLIYNLLMKGYINSGSPQAAVVLLDEMLRLGLEPDRPTYNTLIHACIKCSDLDAAMKFLKEMKEKAEEYYDDSLRPDVITYTTLVKGFGDAKDLTSLQEVYLEMKLCDSLFIDRTAFTAVVDALLKCGSTSGALCVFGEILKRSGDDVELRPKPHLYMSMMRAFAVQGDYGMVRNLYLRLWPDSSGRISKAVQQEADNLLMEAALNAGQLDEALGILTSIVRRWKAIPWTTSGGMAAVRLEALLGFSKSILRPHILSKVIPGEPIESIMIPFEATRPLLGTLQLKNVVMRFFNEQVVPIVDDWGSCIGLLHREDCNNLDASLVSMMRSPPPCVSTTTSIGRVVDLVLEKKHKMVIVIYCCGNGYSSKAVGAFTRAQLYRLFEPEQKLLWWM >A09p015460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8054800:8056585:1 gene:A09p015460.1_BraROA transcript:A09p015460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCSENVIPTLRDFFNSQKPGEEDEFMFHQVLNSSCKTIPPRPTKLGSKTKESHGFFNQGRSRRRNISDAEKFSVEQYSSSGFFGVRFNTNGRQQQQQQQRSAKPLGPDRNMEPKLQKSFSARMQLPFMQSSKPSNNQSSSSSWFSRIKKMSNPFSNRNPLIPKSGEIKVSGVETLSRNKSSSPVHLHAHLSIQYELGMPVFTFSLDHPGDVYMARTWMDDNDDSRFVYSFRYIGGRSSRNHSEQKLNVSGIESSLIGQMQVSTQISLEAEEEPYEDPVESTVSEFVLFDIARARRSGSKHDSVSDGSKHIQRQNSFSRGLTRSFSKHSENSATSSDPWPATDLHPGLEVAAIVIRDSSSNSKLSRREMKVIVPTGNHGLPDAENSCPTPILHRWRSGGGCDCSGWDMGCHLFVLEELELINKHHGLELFIELSALQAFSVCVAELHRTEVSRGERSNSLSRCSSLRELIDMATPVNRDINEEVISSFMPNVTFSPISRV >A06p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22042151:22043581:1 gene:A06p040760.1_BraROA transcript:A06p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLVSPENLSLSSMDMSVLERLKWLRQQQQKQVVSQSSDNSPELLQILQFHESNNDELLQSTFSHFQMLGSGFGPNPNMGFGPSREAMDGCISRTSSLQMDPVDTMGVMLKNSEENRTISSKNKRKSEVKRREEEKTEKKIKVAAETESNMKGKSSMSNNTEASSDTSKETSKGASEIQKLDYIHVRSRRGQATDRHSLAERARREKISKKMKYLQDIVPGCKKVTGQAGMLDEIINYVQSLQTQVEFLSMKLAVLNSELELAVEDLYLHAYFTNLPVVNASKPSIMVDVPLFPLDQQGSLDLSVINLSQTTSIEAPSASWETQSRSLFNTSSLGFHY >A07g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6634459:6635416:-1 gene:A07g503360.1_BraROA transcript:A07g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWYQDNEERRKTLCLYYPLGAGVWGIWLSANATLQFDVELLSWSSVKDICKDGGVFKKIREKRETPKDLDEVLVKYEDGTVVRKSDGAEFHSEGQLGRRASQPLVVKGNEGGAETIEGENEGVQQKEAKFYGNMFAKLNVKVIASWREKNGLLALDVFIFLNFDF >A06p004250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1073147:1073539:-1 gene:A06p004250.1_BraROA transcript:A06p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGALYPIDTVEPIHPAMIITKQLTNTDYVQNMVLPREQIESVLAVMDGVTDEGLRNGKEVDVYDATEEDEYKVTIKRVNDDTKYVFGKGWSTMKYSLDLEEGQELKLYWHRGYKRFIVLNFQYTLLMI >A09g501170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3818068:3818289:1 gene:A09g501170.1_BraROA transcript:A09g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRHCPSTLRNLTVQAILYRLWRERNQRLHNASPTPPQVSFKEIDRQIRNAILARKHRRNFKNLMQIWLTHE >A07p024110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13894485:13895146:1 gene:A07p024110.1_BraROA transcript:A07p024110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNSKNANRKGTTGETEKNRRGKLQEKAMSFHGRGTVPHSNPGELRRPKTLPELFSSGRTVVEPVSSKPPRLTKLLLNVTVQGSLGALQIIISPESTVDDLIDAAIRLYVKEARRPFLPESEPARFDLHYSQFSLKSIGREQKLISLGSRNFFLCGRKENGGLVGSGTSESCSKEAEKVAAKTGFHWLKFMGF >A01p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14979459:14980605:-1 gene:A01p039160.1_BraROA transcript:A01p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHSAPLLARENLDPMDQDLNQNSLTSSRKRRLRRCRSAPRGDCMYNDDEDVKTDEPPPHRSIIPMFRDLNPNLREVILFFVLYLTTGTLCFYLVRNQISGNKTNGVLDAVYFCVVTMTTVGYGDLVPSSSTSRLLACAFVFSGMVLVGHLLSRAADYLVERQETLLAKAFDLRKTVGPTEILKELHTKLRHKCYVTFLVLVVLVLVGTIFLVMFEKMPVIEAFYCVCSTVTTLGYGDRSFNSGTGRLFAVFWILTSTICLAQFFLYVAELNAETKQRELVKWVLTRRITNNDLEAADLDGDGVIVAAEFILYKLKEMGKIDEEDIDGILQEFEQLDYDDSGTLTNSDLILAQTTS >A01p000350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:140043:142739:-1 gene:A01p000350.1_BraROA transcript:A01p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSLFSSTTSYPTNSFIPSYLLSSSAISPSHLGGMEIGKKPNICTFPIFDISPKPSMALLLAILLFLSGPAASAVGPATGFKPADDILIDCGSKSSSKTPDGRVFKSDQDTVQYIEAKDDIQVSAPPSDKVASPIYLTARIFREEAIYKFHLTRPGWHWVRLHFLAFPNDKFDLQQATFSVVTEKYVLLHNFKITNNNNDSQAVLQKEYLVNITDAQFSLRFRPMKSSAAFINAIEIVSAPDELISDSGTALFPVNGFSGLSDYAYQTVYRVNVGGPLIVPQNDTLGRSWIPDKEFLKDENMAKDVKTTPSAIKYPPGVTPLIAPQTVYATAAEMADSHTIAPNFNVSWNFPSNPAFNYLIRLHFCDIVSKSLNDLYFNVYINGKTAISGLDLSTVAGGLAAPYYKDIVVNETLMTPELLVQISPMGEDTGTPNAILNGVEVLKMSNSVNSLDGEFGVDGRTTGMGKHGMVATAGFVMMFGAFIGLGAMVYKWKKRPQDWEKRNSFSSWLLPIHAGDSTFMTSKGGSSLYNSTMGLGRNFSLSELQDATQNFEASQIIGVGGFGNVYIATLDDGTKVAVKRGNPQSEQGITEFETEIQMLSKLRHRHLVSLIGYCDENSEMILVYEFMSNGPFRDHLYGKNLAPLTWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKSTNILLDDALVAKVADFGLSKDVEFGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMQWKRKGMLEKIIDPHLAGTINPESMKKFAEAAEKCLEDYGVDRPSMGDVLWNLEYALQLQEAFTQGKAEETENGEPVTSLPPADTTPIAPAPTTNKVEENNGAAEVQAVDEHSGTAMFTQFANNLNGR >A06p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19011123:19012818:-1 gene:A06p035140.1_BraROA transcript:A06p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUT1 [Source:Projected from Arabidopsis thaliana (AT5G61840) UniProtKB/TrEMBL;Acc:A0A178UAL2] MKLRSWVLIFLACNLLTFSTISAFRLSRSQPTERISGSAGDVLDDNPVGRLKVFVYELPSKYNKKILQKDPRCLTHMFAAEIYMQRFLLSSPVRTLNPEEADWFYVPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMQSHLIPGKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVDEKDVPYLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVYLRPGEKLLNWTAGPVADLKPW >A03p058690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25446957:25448843:1 gene:A03p058690.1_BraROA transcript:A03p058690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELAAQAKEAFVDDDFDVAVDLYSKAIDLDPNCADFFADRAQAYIKLQNFTEAVADAKKAIELDPSLTKAYLRKGTACMKLEEYRTAKTALEKGASIAPSESKFKKLIDECDLRITEEEKDLVQSPVKVDQTPVPAEPAKPKFRHEYYQKPEEVVVTVFAKGIQKQNVNIDFGEQILSVVIDVPGEEAYHLQPRLFGKIVPEKCKYEVMSTKIEIRLAKAEIITWASLEHGKGPKVLPKPNVSTEVSVRPAYPSSKKVKDWDKLEAEVKKQEKDEKLEGDAALNKFFREIYSNADEDMRRAMSKSFVESNGTVLSTDWKEVGAKKIESTPPDGMELKKWEI >A10p030420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18373910:18378065:1 gene:A10p030420.1_BraROA transcript:A10p030420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G15250) UniProtKB/Swiss-Prot;Acc:Q1PDW5] MASSTSALSLPLSNIPTCINKSQEFPKSTHLSKSSHSHNPLLKTKTPDSKLTKRAFLNLTALGLTSPLVLTHPAKAEPEAPIEAASNRMSYSRFLHHLEENEVKKVDLFENGTVAIAEISNPALRKIQRVRVNLPGLPVDLIRKMKEKNVDFAAHPLDVNWGAFLLNFLGNFGVPLVLLGSLLLASSRSPSGPNLPFGLGRSKAKFQMEPNTGITFEDVAGVDEAKQDFEEIVEFLKTPEKFSALGAKIPKGVLLTGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRIRGTGLGGGNDEREQTLNQILTEMDGFAGNTGVLVIAATNRPEILDAALVRPGRFDRQVSVGLPDIRGREEILKVHSKSKKLDDEVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGQEKITPKEIDDSIDRIVAGMEGTKMVDGKSKALVAYHEVGHAVCATLTEGHDPVQKVTLIPRGQARGLTWFLPGEDPTLVSKQQLFARIVGGLGGRAAEEVIFGEPEITTGAAGDLQQITQIARQMVTMFGMSEIGPWALTDPATTQSDIVLRMLARSSMSEKLAEEIDQCVKKIIDNAYQIAKNHVRNNREAIDKLVDVLLDKETLTGDEFRAILSEYTHQTLKIEDRMKMCDLPKDMAEEVLCRIPVTSLRPIRSTCKKWNKLSKCGLFAKKHLAHQAKVAEEEAKEGRLVVMMMDYRVFLMRFNLSNKSCVVEREARLIGPDGSDQLDVCGIFHCDGLLLCIPKDHSRLVVWNPYWGQTRWIEHTHNCHLVDKHRCSYTYALGYDRNSKSHKVLRFIDFLSHFVEFKIYDFSSDSWRILDLFPRTWMIRYRERGLSLKGNTYWFAAYRQSTNGFLVCFDFTRETFGPPLSLPRVACFQDTVSLSSVREDQLVVLFQTLNILTFEIWISTKIGDDPNAVSWNNKFFLSANIKQLIQWQFPASACFFIDEEKNVAVVFDKDTDIQNPTREVAYIIGVDGSLKEAADVRECADRHCGAFLCSYVPSLVQLN >A06p049050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25931342:25933898:-1 gene:A06p049050.1_BraROA transcript:A06p049050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDTQKQLLSLIRDFTSERSRGEQRVVGLKKRIESLQSEVEAANAEVEHAKRIKEVAEEELNGYEVESSLNDATIQSLEARIALLQDEVSTVGNEVDALKNKEGLLRDQFISQMVELNKEIRVFQRTVASSVGNDDSTSITANVEVFEDGHGADSQAITDMLSDVNSQLAKEEEGYLAEQNIKEQLQKELDEYEKKMSLMEAITDKTNSVQALAIYPYFRRIVSLTYNTQSSELEQTLASLGEELQKRCRCQHCHAENLEVLSLLIQGDQGILTQGYGGVLVKENLTCGLLEGRLIYSGSKIVRRLLSPLRI >A07g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17171738:17172883:1 gene:A07g506790.1_BraROA transcript:A07g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RALKASQEEAIGGTNSKSNQEAGSVWKKLSPSAQNDQSPKERKYKSHSGFQFSAVNNGHEHQRRISRESRDYHPSRTHKPQPKAWQEKSHQRRSYYERDQRRQVAERSYRSSHDHSYHRNLPGPPSRSFYREIQKPILETKDTGSKAQTESPERLPASQRLGLIRPTQNESRAIEATDPNLNSQERTPVSLRLGPIPIPAPATQLELEREQRNPSSERRPASERLGPLLLPVDPETEDAARKIKRKPGRPPGKRKAQESLTQVPASGSRRRKVSQSKPSPVRRKGPSQRDADNK >A09p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35349220:35350859:1 gene:A09p043300.1_BraROA transcript:A09p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFHPSSALFFLLSVVILSPTTVTSIGVNYGQIGDNLPSPEEVVPLVKSIGATKVKLYDANPEILKAFSGTGVEFIVGLGNEYLSKMKDPSKALTWIKQNVTPFLPATNITCITIGNEILALNDSSLTDSLLPAMQGVHSALVTAGLSDQIAVTTAHSLAILQTSFPPSAGEFSSSVIDYLKPILEFHRKTDSPFLINAYPFFAYKGSPKEIPLDFVLFQQNQGVVDPTTGFHYDNMLFAQIDAVYSALAAAGYKTLKVQISETGWPSKGDDDEFGATPENAKRYNGNLIKLMKNEKTKTPLRPNNDLIIYVFALFNENMKPGPTSERNYGLFKPDGTQAYSLGFTLNDVVKGGNSSSSGGGKTPVLPMSPVAPDSASTGYLAISSAPGKRKGKEAVLSMVVIMLLARHLL >A04p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:908937:910406:1 gene:A04p001870.1_BraROA transcript:A04p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPKDFPAWLEVLLKEKFFNACLDHEDVKKNEKNILCIDCCLSICPHCLPSHNSHRLLQIRRYVYNDVLRVEDGSKLMDCSLIQPYITNSSKVVFINERPHSRQFRGSGNFCYTCDRSLQSPYVFCSLSCKISDVIMRQRGLSGFLHVCNFLQLTDEGATSTTPSSTLEATESDGDVGVDMFWCQALACTASTEVVRKKRSSLTATCRRVTTAVASANTEAPVNFFNRRKNTPPQRAPLY >A09g513120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39832941:39834167:-1 gene:A09g513120.1_BraROA transcript:A09g513120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVAYFTEYFAAVIGKGAEVKFAASVYSAFEILHFVKYPGLGNDFILVDNRDSSEPNITQEQAVKLCDRNFGVGAESSLRCLMCGNEVRCFARFIAKIENLQGKHSFTIHTGDGLIISEIQDDGQVKVDMGKPILRAEDVPTRLEGNKGESVVAAELAEMKCTD >A10p024900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16064680:16066758:1 gene:A10p024900.1_BraROA transcript:A10p024900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKSLTVEEVLKEKKTHDLDSVKELNLGHRALTDVSCLSKFKNLEKLDLRFNNLTDLQGLKSCLNLKWLSVVDNKLQSLSGIEGLTKLTVLNAGKNKLKSMNEISSLVNLCALILNDNEISSICKLDQLKDLNSLVLSRNPISEIGDSLSKLKKLTKVSLSDCRIKAIGSSLKSCSDLKELRLAHNEIKALPAELALNKRLLNLDVGNNMIMSLSGLEVLGTLSCLRNLNIRGNPISDNEKSAKKVRKLLPSSVNVFNAKPLEKSSKNAKHVRFDTEDVTFDSRLSKSAEEEEEESKVERKTKKSSKRNKSEEEEEEADNEGGKSKKKKKSKSNPDIDQVQVEKKEEDAAEKKQKRASPKKLIDAIDDAETSMAEVFSRGDVSKDSGDVVEKNKKRSSVQETGVVRVVDTHKKKKTGNKQQSKSAVAELPGEVEIGLGGESKWE >A05p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6304138:6306408:1 gene:A05p014450.1_BraROA transcript:A05p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGSDSTWVGKKPIRRIGGLSDALSIASGLGYAVAPPPSQEELQTLASLNGERGDDLIRVLRELSSVQRKIADFQVELQGRKDDKNVAHLTHVCEMQKKIDTLSRITQILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMRAASDYGALTASVSDFQWSQNFKEPPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGNSAASLPTTPSQRDSDCVTPPQGVTGSSFDDLAVQTTRREAEEKNGNDYENVQADRRLSWPPSVKKNNV >A08p038600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22320977:22324173:-1 gene:A08p038600.1_BraROA transcript:A08p038600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARAVEDGLKLAKRIYLGNDRAVAAPRLAAPMERTSTAQAYLPSSPMVYAVIPDPGIVDNPDLPSYQPHVHGRCDPPALIPLQMNAIELDVDCYLDTALVTVTGTWRVHCVMGSKRCDCRIAVPMGEQGSILGVEVEITRKSYATQLIAAQDGNELEKTTQTQSGGFLKPNIFTLTVPQVDGGTNLAIKMSWSQKLTYKEGEFFLDIPFNFPEYVTPAVKKISKREKIYLSVDAGTETEVVCKGSSHQLKEKMRNSGTLRFSYEADVLKWSNTDFSFSYTASSSNIVGGLFLQSAPVHDVDQRDVFSFYLFPGKQQKIKAFKREVVFVVDVSKSMTGKPLEDVNNAISKALSKLRPGDSFNIITFSDDTSLFSTSMELVTPDSVERGIEWMNKNFVVADGTNMLPPLEKAVEMLSNTRGSVPMIFFVTDGSVEDERHICNVMKKRLASGGSVSPRIHTFGLGVFCNHYFLQKLANLSRGQHESVYNTDHIEERLDKLFTRALSTVLLNITIEPLQDLDEVEVYPSNIPDLTSSSPLMIYGRYRGKFPENVKANGLLGDFSSFSVELTVQSAKDMPLDKVFAKNVIDLLTAEAWLSEDKQLIEKVTKLSIQTGVPSEYTRMIQLENTEEASKQSDTGGNKKTASNSEKQKLISRTIPLQNFSIGFGDITATRENVPPGYGEQRAPDAAEKFVKAASSCCVSLCNKCCCMCCVQCCTKLNDQCVLVFTQLFTALACIACFECCTNVCCACGGED >A03p008180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29561533:29562513:-1 gene:A03p008180.1_BraROA transcript:A03p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTQEKRYRVGYALAAKKEHSFIQPSLIEHSRQRGIDLIKLDPTKPLLEQGKLDCVIHKLYDLDWKQNLHEFREKCPNVPVVDSPEDIEKLHNRVSMLEVITQLNFPVSESERFGVPKQVVVMDPTVLSCGGGGGEGLGELEFPVIAKPLDADGSATSHKMFLIYDQEGMKILKAPIVLQEFVNHGGVIFKVYVVGDYVKCVKRRSLPDISEEKIGTSKGSLPFSQISNLTATQEEKNKEYGEDRSLEKVEMPPSSFLEELAKAMRRSMGLNLFNFDVIRDARDADRYLIIDINYFPGYAKMPCYEPVLTDFFWDMVTKKSHHV >A03p031870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13482668:13484247:-1 gene:A03p031870.1_BraROA transcript:A03p031870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEREDDRTALIFLGTGCSAAVPHFRCLLQPSDPPCHVCSQSLTLLPHLNPNYRCNTSLLIDYCCGEEDGRHYYMIIDVGKSFREQVLRWFTFYKIPRIDSIILTHEHADAIHGLDDIRSLQPRGSAIDTNPLPVFLSQFTMESISTRFPYLVEKKVKEVRRRVSQLDWRIIEENCDKPFTASGLSFTALPVMHGEDYVALGFLFGNKSKVAYISDVSRIPPSTEYAISKAGAGQLDLLILDTNVPSMVMRGRQPTHLCLPETLEIIKRLCPKRALLTGMTHYFDHHEYNEILAEWSLR >A09p073060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55820237:55821735:-1 gene:A09p073060.1_BraROA transcript:A09p073060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSGLHLLFLSFIIATGAVSVVSGTVFTIQNSCSFPVWPGILTGNGGAQLNDGGFTLNPEASVAVTAPAGWSGRIWGRTGCNFDGSGAGRCDCQNIGCVADLNGRCPNELRVMNGANVVACKSACEAFGKPEYCCTGAFSTSATCPPTNYSRIFKGACPKAYSYAYDDASSTFTCANANYSIVFCPR >A01g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6774750:6776301:1 gene:A01g501930.1_BraROA transcript:A01g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIDVVARIIFGSTPEAANLLLSSTLIGGVMREELGERKIIDEGIETAIRHPTHSSTLRRLAAQATIYILWYERNSRLHNNMSSSPAALFKQLDRLIKDSILARRKMKAFGGLLRRWLAFA >A03p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16891814:16893636:-1 gene:A03p040490.1_BraROA transcript:A03p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTETSRSSHELPVTTTDSSGPAAKKLTLVPLIFLIYFEVAGGPFGEEPAVQAAGPLLAILGFLIFPFIWSVPEALITAELSTAFPGNGGFVIWAHRAFGAFVGSMMGSLKYLSGVINVASFPVLCVTYLEKLFPVLESGWPRNVCIFASTVVLSFLNYTGLAIVGYAAVVLGLVSLSPFLVMSAIAIPKIQPHRWGSLGDKQKDWNLYFNTLFWNLNFWDNVSTLAGEVDNPQKTFPLALLVAVIFTCVAYLIPLLAVTGAVSVDQSRWETGFHAEAAEMIAGTWLKIWIEIGAVLSSIGLFEAQLSSSAYQLEGMAELGFLPKFFGVRSKWFNTPWVGILLSALMSLGLSYMDFTDIISSANFLYTLGMYLEFASFLWLRKKLPELKRPYRVPLNIPGLVIMCLVPSAFLMLIIVFATKIVYLICGLMTVGSVGWYFLINYFREKKIFEFNQDVDHLDYVNEEQRPKHEEDHDS >A06p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11747099:11748831:-1 gene:A06p023400.1_BraROA transcript:A06p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT3G46820) UniProtKB/TrEMBL;Acc:A0A178VFV2] MAQGSMDPEALDDIIRRLLDYRNTKPGTKQAMMLNDSEIRQLCVVSKQIFLQQPSLLELDAPVKICGDIHGQYSDLLRLFEYGGFPPAANYLFLGDYVDRGKQSLESICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFSVKLWKVFTDCFNCLPLAAVIDEKILCMHGGLSPDLTSVEQIKNIERPTDIPDSGLLCDLLWSDPSKGVKGWGMNDRGVSYTFGADKVAEFLIKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRKPRFF >A09g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21384062:21386981:-1 gene:A09g507310.1_BraROA transcript:A09g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSISLNRAVGESPHETSSSHVEKSYWLVRRALSTGNGEETRESPHFLLYQKNLGFATGEAILVATPTILLATPIQSTIVHQIDDVVRFHRAEMDPAEERRHSKKQKDHCDMLGFVADSQYGVPRKCACGGRIIDEVRGKEDYDSLPGKRFFTCVNYEDDGLHYRHPWVVAVQEEIKTLSTRLDEAEEVMKGVWKLNKRIEDLEEQVSTLSEQVDYLTVEVGTLEKVTGLSSCLDHGLLCLMLLGCCCFFSTDAVYYFVSLYTATMF >SC150g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:88755:110908:-1 gene:SC150g500030.1_BraROA transcript:SC150g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIKANEGEVRDVGDGKSLVAYTGGPSNNDYIRRSDMDALIKMLKENGNKSSINYDYSFGASLIENITDSIESASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSILINGVLSLSDMIMESTKDWKHEPQPEELVAEDATLKNIWKQEEYIIFVVFPREPCLYFLCIFETLCMYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWCEYQGAFPQPLVSPFDPHTLRNLSTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTLSRPHQASRTPKSNIHSSYNQIVTKSQLYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVSTEVIKRFGLDKVKDFCVSNSNLNQKSSLIKNVFKIKIITFLVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIFGLQVTKPNLECLGSQGKWGTRPAELSEAYLKFKLSMRPLERDLAKPDLRREEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFFGLQVTKPNLECLGSQGKWGTRPAELSEAYLKFKLSMRPQLFNLFKRKKTTNMACPETSQGLSPFLLFSLKRSLGERRFWSCLASNAPNQVCTSYFQVLPKMESLTVFQKVAPGNQEERRGLKHEWSKGKAHTRKWRGVEIPNSKSVKFKKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPMIKKKRLHGDLIRRGLSDQSEEAGTPHHSDQLYSRTQGVFNQGNSWLSNHMMVANYGVEKC >A04p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5580308:5581264:-1 gene:A04p014530.1_BraROA transcript:A04p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFFSENGFLLSKPHHLQPLKLTILGGSLLFEILGAWRRLLCAKQVISLVETIKSVFFPYMFAKTTFGADFVHISLFVKKKFEMTSIRLTRKSFVRQLTRKSSMILFRDSGQTLFILNDFHVSRRMGLQYLKRLSWTSSRRLTISQKTQKTFWGYI >A02g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:249312:256504:-1 gene:A02g500100.1_BraROA transcript:A02g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQKTYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYVVTGFDFPGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A07p048020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25675009:25676861:-1 gene:A07p048020.1_BraROA transcript:A07p048020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 16 [Source:Projected from Arabidopsis thaliana (AT1G75340) UniProtKB/Swiss-Prot;Acc:Q9FWS3] MRKELCRNFQQGSCRYGERCRYLHPQQTKPNNPFGFGTQQQQNKTSNNPFGFGVQGGSNSNRPNQSQQPFQNTWQRNPSSGSGASTQQSSKQTQQADHKCTDPAACKRVIQEDLKNERPMWKLTCYAHCKHLPCDVSGDISYEELRAMAYEESKRGIPLQSIVERERNLQNAKVAEFENFLRSPYRGAVTANQTPSIFPPATQVNSSPPGGFSAFNQQPAFPNTNAGGGPPNPFGRFNQQPNAFSLNTPQPAPSGPSGFQSQPSSFGPGPAAPSAFQPSVSFKPASFGPGPVAPSGFQSQPASFGPGPGLATPPQNSSIFPSVTPTPAFNSNQNNQTGFSFNSPVASFTSPAVDTTNTPSGTELQAGGAPVDTSIWLKEKWNPGEIPEQAPPDAFVHR >A09p029070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17387150:17387797:1 gene:A09p029070.1_BraROA transcript:A09p029070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALTLAKKQLESLSLSALIYKKQSTHNFLYIIIYIVLTSIIKNISYPNFVVKIQKTISKDKKAATTAPPAHSSCLIKIAYMSNVNMPMLLSSYEKHINSFKTH >A02g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20895835:20896465:-1 gene:A02g507430.1_BraROA transcript:A02g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWKTYVRLMEDFDLGGNPKTYERLLEDLWKTPGRLMEDFDLGGKPKLFQNLGVSHKFY >A07g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12658666:12659260:-1 gene:A07g505620.1_BraROA transcript:A07g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIKQQDLKMKERVSKMRLLERLLAKEEPLADYEEALKKQLSIEMDRLKIMVHCKVWTSHRRVYCGRVTDLKSHGCLILSFTDLCCVVLSTLLLSCVV >A03p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8012544:8017658:1 gene:A03p019600.1_BraROA transcript:A03p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHSDSDDEDYSYDDESEFDEEEEEEPRSSRKKRGRSNPDSHGEADLGAEDVVGRRHRHFHEPAAFRSRVGAEEYLDFMQEKYSNPRAEYDDDDDDYEEEALTEVDQQSLLPSVRDPKLWMVKCAIGREREVAVCLMQKAVDRGSDFKIKSVIALDHLQNFIYIEADMESHVKEAIKGMRNIFANHKILLVPIREMADVLSVRSKTVELARDTWVRMKLGIYKGDLAQVVDVDDVRRRVTVKLIPRIDLQLLADKQEGSVIVKKKAFVPPPRFMNVDEARELHIRVERRRDRMTGDYFENIGNMLFKDGFLYKKVSTKSISTLNVTPTFDELERFKKANENGEIDFVDMSTLFANRKKGHFMKGDEVIVIKGDLKNLKGWVEKVDEENHVLFLLTDTTKQQIRVFADHVVKSAEVTNGVTKMGEYELHDLVLLSNLSFGVIIKLDNEAIQVLKGVPGRPELAIVKHGEIKYKIEKKTTVKDRYKNVMTVKDVVRVTEGPSKGKQGPVMHIYKGVLFLHDRHNVEHAGFVCVKCSSCVLSGGSYSGADRIVDSFSRLANSKPPAPVPPSPRRFQRADLGYNSGSGGRHWSGRGGRGNDLLVGAYVRVRLGPYKGYRGRIVEVKEKTVRLEHEAKIVTGKALLYTHIVNRDAIADGNDNVATPSQYNMGSQTPMHPSRTPLHPCMTPMRDSGGLLPSATPIHSGMRTPMRDRAWNPYTPMSPPRDSWEDGNPGSWGTNLLYPPESPYSRPHEAATPGSGWDSSTPGRSYSDAGTPRDANVPSPYLPMTPSSASYLPSTPGGQPMTPGTDLDVNSPDIGGDAETWLMPGILVSVHKAGGDSHAGVIRDVLPDGSCLIARGDRGEGETVMAIQSEVRLVCPRKNERVKVVGGKHRGSLAKLIGLDGSDGIVKLDDTLDVKILDLGLLAKLAHA >A01p055600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31448504:31450135:-1 gene:A01p055600.1_BraROA transcript:A01p055600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKAIVLPLLLVLCGAALGASAYEGYLRNGNFEESPKKTDMKKTVLIGKTALPEWETTGFVEYISGGPQPGGMFFPVAHGVHAVRLGNEATISQKLKVKPGSLYSLTFGASRTCAQEEVLRVSVPPQTGDLPLQTLYNSFGGDVYAWAFVPKTTEVTVTFHNPGVQEDPACGPLLDAVAIKELVHPEYTEGNLVKNGGFEEGPHRLVNSTQGVLLPPKQEDVTSPLPGWIIESLKAVKFIDSKNFNVPFGQAAIELVAGKESAIAQVIRTSPGQTYSLSFVVGDAKNDCHGSMMVEAFAARDTLKVTHTSVGGGHVKTASLKFKALEARTRITFFSGFYHTKKNDIGSLCGPVIDQIVVSHVA >A06p002420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5177557:5178222:1 gene:A06p002420.1_BraROA transcript:A06p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLLLLKVFLIGHALQIHSCFSLAVDEDFLKNDIRVKPNLDGLGALGDLGWYAIRAALFANNFELPKIVAASPGTVLNEAGVILSCGASLSWEDGRTASIYCSFLANVGMEITAVGTNGTLCVQDFVIPFEETHATFTTCLKSGFNDPWVSRPTEHSVKTEIPQEACMVREFARLVGEIKNKGAEPDGFWPNISRKTQLVVDAIKESVDKNCQQISLSGR >A02p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2603648:2604278:-1 gene:A02p006160.1_BraROA transcript:A02p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMKSICEGEIGLQGVLEKASGTDLVSLLSKTEEEYSSLRQADEDKTQTLVVKGRLMMNKEIFAFKWTHKPAFTYQSSTNVNLEGDAICYVELLVHYLNLKMIHGEMNLAGFNVHLFGEDETAQSWVMLKTALQSQDAHLVVKGVVEIRSNSECLCDLVREKVIMIENKPELIKLG >A01p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9583692:9586233:-1 gene:A01p019530.1_BraROA transcript:A01p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXT-like protein [Source:Projected from Arabidopsis thaliana (AT4G26750) UniProtKB/TrEMBL;Acc:A0A178UUB7] MSNTNEPAKTLLPYLQRADELQKHEPLVAYYCRLYAMEKGLKIPQSERTKTTNSILMSLINQLEKDKKSLTLSPDDNMHVEGFALNVFAKADKQDRAGRADLGTAKTFYAANIFFEILSQFGPVPPDIEQKQKYAAWKAADIRKAIKEGRKPTPGDPVDDETDLPIPSSGPSGSYDLGASDTNVPSQHRTEPGPSHDSNDDSSHHHFPEVPQHHLPPPRFHDNPNNNYQTDVPPPPPSSYPSNDLLPPPTGPSDSPYPHPYNHQSYHQDPPQHMPPPPQNYPSHEPSPNSLPNFQSYPSFSESSLPATPSHFPSHYQNPEPYYSSPHSAPAPSSSSFASAPPPSPYSSSNGRVNVAPVLDPPAQKYHYDSSYQPGPEKIAEAHKAARFAVGALAFDEISTAVEHLKKSLELLTNPSAGH >A02p025920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13626422:13630654:1 gene:A02p025920.1_BraROA transcript:A02p025920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRSKLRLSHLYTFGCLKPSTLEGDDPPHPLHGPGFSRTVFCNQPHMHKKKPLRYRSNYVSTTRYNLITFFPKSLYEQFHRAANLYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMMKEALEDWRRFMQDVKINARKTCVHKTDGVFRSRKWKKVSVGDVVRVEKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTMTLDDEESFKNFMGTIRCEDPNPSLYTFVGNLEYKRQTFPLDPSQILLRDSKLRNTAYIYGVVVFTGHDTKVMQNSTKSPSKRSRIERTMDYIIYTLLVLLILISCISSSGFAWETEFHMPKMWYLRPDAPEDLTNPISPVYAGVVHLITALLLYGYLIPISLYVSIEVVKVWQASFINQDLRMYDDESGVPAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGTSYGVRSSEVELAAAKQMAVDLEEHGEISSANTPQSQTKVYGTWDSSCTHEIEIESGNNNNPRVPIKGFGFEDDRLMNGNWLRESQPNDILQFFRVLAICHTAIPELDEETGKYTYEAESPDEASFLAAAREFGSEFCKRTQSSVFIRERFSSSGQIVEREYKVLNLLDFTSKRKRMSVVVRDEEGQLLLLCKGADSIIFERLAKNGKTYLGPTTKHLTEYGEAGLRTLALAYRKLDEEEYSAWNSEFQKAKTSIGSDRDELLETGADMIEKDLILIGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMRQICITSINQDGGSQDSKRAVKENILNQLTKAVQMVKLEKDPHAAFALIIDGKTLTYTLEDDMKYQFLALAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYNDYYLLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGTKNLFFDWSRILGWMCNGVYSSLVIFFLNIGIIYSQSFRAGGQTADMDAVGTTMFTCIIWAVNVQIALTMSHFTWIQHVLIWGSIAFWYLFVALYGMMPSSLSGNVYRILDEILAPAPIYWMATLLVTVTAVIPYVTHIAYQRFLNPMDHHIIQEIKYYKRDVEDARLWTRERTKAREKTKIGFTARVDAKIRHLRSKLSKKQSNLSHCSAQDAMSPRSL >A02g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4409827:4410634:-1 gene:A02g501480.1_BraROA transcript:A02g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKSINRDVVVKMVLGRTEVHIQNRQRISLKMTDMELLNDTEIKKQSSYMDFTRFCVGLLARSRSSLVEIFLSGMVAVEADYRLQRMAYINTIVYPFTSLPLVAYCTIPAICLLTGKFIIPTESLTGSVTEEYLMEIANCWKN >A03p009990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3979033:3981341:1 gene:A03p009990.1_BraROA transcript:A03p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEDEGEDY >A09p009480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4883951:4884994:-1 gene:A09p009480.1_BraROA transcript:A09p009480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP12 [Source:Projected from Arabidopsis thaliana (AT5G64350) UniProtKB/Swiss-Prot;Acc:Q8LGG0] MGVEKQVIRPGTGPKPTPGQTVTVHCTGFRKGGDLSQQFWSTKDAGQEPFSFQIGKGDVIKGWDEGVMGMQIGEVARLRCSPDYAYGAGGFPAWGIQPNSVLDFEIEVLSVK >A07p014550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9074416:9077174:-1 gene:A07p014550.1_BraROA transcript:A07p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTPSRSTPSRSTPSSSSSISRTRVGKYELGRTLGEGTFAKVKFARNVEKGESVAIKVIDKEKVLKNKMIAQIKREISTMKLIKHPNVIRMIEVMASKTKIYFVLELVTGGELFDKISSTGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLTSLYKKIFKAEFTCPPWFSASAKKLIKRILDPKPATRITFAEVIENEWFKKGYKAPKYENADVSLDDVDAIFDESGESKNLVVERKEEGPKNPVTMNAFELISTSQGLNLGSLFEKQMGLVKRKTRFTSKCSANDIVTKIEAAAGPLGFDVKKNNYKMKLLGEKSGRKGQLAVATEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTTGLKDIVWKTIDEEKEEGTEGKASGGTTNGTVAAS >A02g513060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35874562:35878029:1 gene:A02g513060.1_BraROA transcript:A02g513060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAQSTCHTLSLLLVSILLFHHPTVSVDVNTLSSTESLTISSNRTLVSPGGVFELGFFKPSALQRWYLGIWYREVFDQKTYAWVANRDNPLSNSIGTLKISGNNLVLLGHSVLWSTNLTRRNVSSPVVAELLPNGYHRKTGRSRFLTSWRSSDDPSSGYFTYELDTRRGLPEFFVMIKDIELRRSGPWNGIEFSGIPKQRKPYYMMYNYTDNGEEVTYKFFISEQSIYSRLTIDFHGILYQLAWIPPTSRWTALSTLPTDFCDNHINYCESNRLPTSCSCLQGFDRIPERSEGCVRMTPLSCSGDRFLLLKKMKLPDTKMASFDRRINLKKCEERCLRDCTCTSFAAADVRNGGTGCVMWTRQLNDTRTYSIGGQDLYVKLAAADIVFSSDEERDRNGKKIGWSVGVSLMLILSVIVFCFWKRRQKQAKAAATPIVQNQVLMNGVVLPRRRNLSEENAVEDLELPLMEFETVLTATEHFSDCNKVGEGGFGAVYKGRLLDGQEIAVKRLSQMSAQGTNEFMNEVRLIARLQHINLVRLLGCCVDEGEKILIYEYLENLSLDSHLFGLTRSSMLNWQMRFDIINGIARGILYLHRDSSIRIIHRDLKASNVLLDKDMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYAMEGIFSMKSDVFSFGVLLLEIISGKRNKGFNNSGRDNNLLDCVWRNWKEGQGLEIVDTVIIDSSSPTFRPRDIQRCLQIGLLCVQARPDDRPIMSAVVFMLESEAADIPQPKPPGYCVIGNYSTWSKQRD >A03g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16600796:16601755:-1 gene:A03g504640.1_BraROA transcript:A03g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFYRFLIKWYSSSTNLEVDLLILDMYFCFSDLEDFWDDLPISRLEVIWTSWKSSDKVVWTSRKSSGFSERFGKFLCLIFLHLVTSCCIKFLIIWYSSSTNLKVDLLFLDMYFCVFCKGFSDLEDFWDDLLVSRLKVVWKSSGSRMDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLKVVWSCLLKWNPSLSL >A07g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19026259:19028911:-1 gene:A07g507250.1_BraROA transcript:A07g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFLPLRFYIHLLIDVLFASKKFLAFQPLIAMKLSGVSDTVKTNGEAAIPSSSVNPVAQPGVSSGDVNPIPSGLVKPVAQTDVSSGDAIPMKSKDVTAEAKNSIKPNGKTGASSGLKLGVRGRASVSSVDKGKAIVSKNVGKVITFKDIIFGPHEDEVRFRLIHFWEAWNVQTKVLIGIEMLLIDEEESIIQGFIPYGRIDTYLRHMKTGATYRLNKFFGSKSKTIYRVAESSVTICFSSNSVLSGLEDSSISFPVDRFRIHGHKEFEAGSDLRGDLYDYIGHIKLVNGKVPGDGLLLDESEIAKSRRVELHLYLWDKVAFEFCEKFKASGGTARVILVTTLNPKWFGGVLSISSMASSRVFLDGDVEETLLYLSWLDSNLDVASRVNAEVVTKPELATLGDLFSYMNQASAKVAWFECTATIYDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVPQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEANDSVGEDHIVPVPQAMIDTIGQTRKFIVKVSTHNLTAKTQTLTVTKGLPLEAP >A03p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8166916:8167866:1 gene:A03p019890.1_BraROA transcript:A03p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDCLSNFFTWDPPIQLQDCFMPDMDMIIPETDSFFFQSQPQMQFHQPFVQEEAPSQTFLELTDFDSFSDQFLPPQETYLPYPKAETFDEIHYLDSFLPTPNRQKLVNSSYHCNTHFPNPNLDIVQQASVFPEFRVHDFPLVFKAGLGDQDGAKKPKLSSQSMAARERRRRIAEKTHELTKLVPGGQKLNTAEMFQAAAKYVKFLQSQVGILQMMQTTKKTQGITDVEIETQFLLESQAVQEKLSMKELCLVPCEIVRDLTSEESIWRNPKISREIDKLLSTDLAN >A01g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18687996:18689555:-1 gene:A01g506450.1_BraROA transcript:A01g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQSCAPSSRKYLSLPLQFLKPAFPASVTQPSSLFVLFMKNSEFMGITVLFLDENINFVIHGFIPAKHANHYIPSLKASSIVKVVRFEVARCSHTVRQFPSDCEHKPRPPRCGCTNPLCPGPSPKKQLESLSVSSLTHKKQSTHNSLHIFVYIVLTSMIKNISYPKSVVVYLSLLLIKLKNKHMHTKRYKRIPTYTNAVTTSPPAHSFCFMKIAYMPCNYLLMRNT >A09g510270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30477324:30479072:1 gene:A09g510270.1_BraROA transcript:A09g510270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRHLFNTNPPTPAEARTTNPVDPTLQLAETLAADRQPRSPRSIRQRDLPGPHQGSLNRANSTTTSTNDKTPGSSDLRVELNAKRTKHSLSPGSSLATTDGNPIVDLRDQLNARGSDLRAKLDHKKA >A09p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8158173:8160386:-1 gene:A09p015660.1_BraROA transcript:A09p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVNKLVSRSLSVSGKWQHQQLRRLNIHEYQGAELMGKYGVNVPKGVAVSSLDQVKNAIQQVFPNETELVVKSQILAGGRGLGTFKSGLKGGVHIVNRDQVPDIAGKMLGQVLVTKQTGPQGKVVSKVYLCEKLSLVNEMYFSIILDRKSAGPLIIACKKGGTSIEDLAEKFPDMIIKVPIDVFAGITDEDAAKVVDGLAPKAADRKDSIEQVKKLYELFRKTDCTMLEINPLAETSTNQLVAADAKLNFDDNAAFRQKDIFALRDPTQEDPREVAAAKVDLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEHQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKEVSLKVPVVVRLEGTNVEQGKRILKESGMKLITADDLDDAAEKAVKALAN >A07g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20161183:20161554:1 gene:A07g507450.1_BraROA transcript:A07g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFSTYTRILESEHKIDRLKVDLYESRGLRITQKLKLPGSFSSALMVETLAISKALIHTSSLNFTNIYLRTDSQVLVREITMDGRPSELFGVLTNV >A05g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12726979:12729990:1 gene:A05g504640.1_BraROA transcript:A05g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPVIFKDSFSAGGWTIWVTLVVRVLGHIGRTTGTIGLCCRVESLVRIWTLSLRLGSRLNREEEHDVTRAQVLTISPKSGLDTRLGLVALGKDDRIAWCWTLGPPVKVCDSDRIVPSPSRSASEPWCWVGRSVMFLFDCWLAGWPLISNPGCWTVDRS >A02p016250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7260822:7263024:1 gene:A02p016250.1_BraROA transcript:A02p016250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKIEAFEVSDQTLAEKNKNRLQFIEEVTSNADDVQRRVLEEILSRNADVEYLKRHGLQGRTDRETFKHVMPVVTYEDIQPEINRIANGDTSQILCSSPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSLLMPVMSQFVPGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKNRPYDPYTNYTSPNQTILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIKFLEKHWPELTRDIRTGTLSSEITDLSVREAVGEILKPDPKLADFIESECKKNSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPLCKPSEVSYTLIPTMAYFEFLPVHRNNGVTSSISLPKALTEKEQKELVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVAGFKNNAPQFSFICRKNVVLSIDSDKTDEVELQNAVKNAVTHLVPFDASLSEYTSYADTSSIPGHYVLFWELCLNGNTPVPPSVYEDCCLTIEESFNSVYRQGRVSDKSIGPLEIKVVESGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVKSYFSPKCPKWTPGHKQWGSN >A09g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5353464:5354471:-1 gene:A09g501470.1_BraROA transcript:A09g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIARPIPAAGLRFDPTSSSQSMKVYMFGVVTGGFSLRKRNQLRGFGKGIKCSLKVQQQQPPPPSKSSLFGKFLLLTIASTLDFISIILCLQIFGMSLWDHKTHNMDALVGLIVSLYLIVPEISIPGQEEEGEGLTLVFLGDQGVGKTSIITSCTVTSTTVIRFLISPSTLID >A04p026080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15774470:15776851:-1 gene:A04p026080.1_BraROA transcript:A04p026080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain 5 [Source:Projected from Arabidopsis thaliana (AT2G27220) TAIR;Acc:AT2G27220] MAVFFQGETEMREPSSDLFMVNLNPSPDQTMTINAHNNHFYNLCFAPQQHPQRMNKYEVLDHIEQANCSAISTVSNGRVTQSFRALAPTYLRVAQELLNEIVHVGHGSRGAKQEQQMNNESATCGLYNGVGNINGGPKSGVCRQELQLKRAKLISMVEKVEQIYKQYHDQMQTVISSFEQEAGLGSANSYTHMALQTISKKFRAVKDMICLQIKHINNLLGEKECEGVSLAKQLGKMPDNHSNAWRPQRGLPETAVSVLRAWLFNHFLHPYPRDLDKEMLAKQTGLTKSQVSNWFINARVRVWKPMVEEMYLEEMNIEESRKGGNLSEHGNKGSSSKQLCNNTTSDESSNLILPAFHQGFIENETSMQNSFSSCSVMTFGKQHVNQAKLIQFNGGFENYHAMVGNCVSLSLGMPHSCDQSFNNIQFGSTSNETKISGIYPSLTYQNMD >A05p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6493357:6497298:-1 gene:A05p014780.1_BraROA transcript:A05p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQGKAREQQLQVQQMQMMRQAQMQQRRDPSLGGPMNAIGSEGMIGQSNASAIAAKMYEERMKQPNQMNTDTSQPHMDARMALLKSGTNHHGQMVQGNHPGGVSAALQQLQSRSQQTPEIKTEVNIGASPRQLPVDPSTVYGQGILQSKPGMGNAGLNPGVGALPLKGWPLTGIETIRPGLGPQVQKAFLQNQSQFQLSPQQQQQQQILAQVQGQGNLNNSSMYGGDMDPRRFTVLPRGTKDGQQNANDGSIGSPMQSSSSKHINMPPVQQSSSQQQDPLLSQQSQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPIDGSGITGNMQHMNNMPKGPMMYGSDGIGGLASSANQLLQDDMEPFGDVGALEDNVESFLSQDDGDGGSLFNPTTLKRNPSEHAEPPKAFSFSEVSSIRRSSNKVICCNFSSDGKLLASAGHDKKLYIWNMETLITESAPEEHGHIITDVRFRPNSTQLATSSFDKTIKIWDASEPGYFVRTITGHTAPVMSLDFHPKKTDLFCSCDGNNEIRFWNINAANCFRVIKARPPELSYCFSPSLNSYFLIFELSNGQGASTQVRFQPRFGQMLAAASENTVSIYDYDNDRRVHLLKGHSANVNSVCWNPSGELIASVSEDSVKLWSLNSGDCIHELSSSGNKFHSCVFHPTFPNLLVIGGYQSLELWNTKENKCMTIPAHECVISALAHSPMTGMMASASHDKSVKIWK >A07p044690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24336079:24337515:-1 gene:A07p044690.1_BraROA transcript:A07p044690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein WHY2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G71260) UniProtKB/Swiss-Prot;Acc:Q8VYF7] MMKQARTLLSRSLCNQSSSLFEGSSKSSAFRGFATWVDSSTTKRADAAKPSSGRVFAPYAIFKGRAALSVEPVLPTFTKIEPGNLRIDRRGSVMMTFMPAVSERKYDWEKKQLFALSPTEVGSVISMGPQDSSEFFHDPSMKSSNAGQVRKSLSIKPLADSSGYFFQLSVTDNIHNSNDRIVVPVSKAEFAVLKSALSFALPHILGWDRITGGDIGMAAQGIASLPKADPMQLELEWGKE >A04g501030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2787372:2787569:-1 gene:A04g501030.1_BraROA transcript:A04g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVALLVNVCVDIVDVVGRIVDEDLVVSGCVDVVDVTGRVVDGALGGGYESGNRGRGDTGGGD >A06p021500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10653149:10653649:-1 gene:A06p021500.1_BraROA transcript:A06p021500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLRPPPSSPDSLERYPAKIIKDDPLSVYESTLLKLKQGSRLDTVGPSPETEFENLSSSSATQREPHDVTMCSGSDDAIMGIDSGSCVKKKNPSVLFMFCRYKNQAQARNLSHTTTETESAL >A02g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2216984:2217365:-1 gene:A02g500740.1_BraROA transcript:A02g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVESALELFEKFLSFLLAYNTTFLEDSLERNLCCNGLSDGHHYEEVSLGHLRRFGFRELQVVTNNFTSKNQLGKRRLWKCIQRNTWRQYGGCGEKS >A03p041150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17180887:17183944:-1 gene:A03p041150.1_BraROA transcript:A03p041150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein 5 [Source:Projected from Arabidopsis thaliana (AT3G14810) UniProtKB/Swiss-Prot;Acc:Q9LH74] MALDSTDRRDFVVKINGEESGAVGATGSSSNADGGNFWRESSYDFWDGEKGKNDDQELDVGGESFHFRQQREHPDALSDPPAKQTASGGEISLDIDPPRGLPAISESSSPFTAKGKADAVRRRQNRISLGGSSDEESRHYKANRDEAEVVKKPLLRRNQTKSRLMDPPTPTHPAIDKTEMRSGRKTGFLGKSPKPGTPSRTGTLEEDEEEDPFLDEDLPDVFKRDKLSIWVLLEWISLVLIVTSLVCSLTVHSLRRKTWWKLDLWKWEVTVLVLICGRLVSSWIVRILVFSAEKNFVLRKRVLYFVYGVRKSVQNTLWLGLVLLAWHFLFDKKVERETRTTAIRYVTRVLVCLLVAVIIWLIKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPLMEIQRMEEEEQKVTDDVKSLEKLAGAKLPPALKATVKSFMKAGKGARLSRVGSDKEGDSEGIRIDQLQRMNTDNVSAWNMTKLMNIIRKGALSTLDEHMQDTVEESEHATQIRSECEAKIAAKKIFHNVTEPGSRYIHMEDFLRFLSEEESERAMALFEGASESLRISKSCLKNWVVKAFRERRALALTLNDTKTAVNRLHRIINVLISIIIIIIWLLILGIATTKFLLVLSSQLLLVAFIFGNSCKTIFEAIIFLFVMHPFDVGDRCEIDGVQLVVEEMNILTTVFLRYDNQKIIYPNSVLGTKPIANYFRSPDMGDAVEFSVHIATPPEKIAAIKQRIISYIDFKKDHWYPAPMIVFLNMDDLKSVKIAVWLTHRMNFQDMGERFIRRSQLLEEVGKACRELDIEYRLYPQGINIKSFPPVTPGTSDRLPPACLHQRGA >A08p006970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4007845:4008213:-1 gene:A08p006970.1_BraROA transcript:A08p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTVLHERMFVAGEEPSGDRVNTYHKPKRIESILDALEPEEVHFVQQTMFGKIISLAENPSLSGSFGQFVIVRILHVKKVQDLVSLLWKAAQDVNARVRTSHQPQLQQDSEDKLQTEEAPH >A01g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2218315:2220312:1 gene:A01g500500.1_BraROA transcript:A01g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-IV [Source:Projected from Arabidopsis thaliana (AT4G33350) UniProtKB/TrEMBL;Acc:A0A178UWE7] MESSSSVKPNPFLSFLHHHCNRFASDLSSRFEDTKRLAESLTTRRFSPSPFASVSQSSKPSSGASTTTLNPSHVAKALAGTSVFTVSNTNNEFVLISDPTGDKSIGLLCFRQEDAEAFLAQARLRRRELKTNAKVVPINLDQLKSSSSKNGFDGVPVFQSELLVVRKKNKRYCPIYFSKEDIERELSKYTRASRGEQQIMVGSLEDVLRKMERSEKNSGWEDMIFIPPGRSYAQHMQEVVKEIK >A09p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15419780:15421452:-1 gene:A09p027340.1_BraROA transcript:A09p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSFFSSLKFWGNSQEQEPQRGRMQEIDLGIHTIRSHGAGVASKHKHDWIILVILVAIEIGLQLISPFYRYVGRDMMTDLKYPFNDNTVPVWSVPIYAVLLPIIVFVCFYMKRTCVYDLHHSILGLLFTVLITGIITDSIKLATGRPRPNFYWRCFPDGKEVYNALGGVICHGKPGEVKEGHKSFPSGHTSWSFAGLGYLSLYLSGKVKAFNREGHVAKLCLVFAPLLAACLVGISRVDDYWHHWQDVFAGALIGLFVAAFCYRQFYPNPYHEEGWGPYAYFRAAQERGQAQNGDVLRTMSLQVEPTSLENMESGTSSVRR >A03g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13353173:13356024:-1 gene:A03g503810.1_BraROA transcript:A03g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17894445:17895450:-1 gene:A06g506300.1_BraROA transcript:A06g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIIDHPFLIRFISTTIIYEVITAKKQLESLFVSSLICKKQSTHNSLYIIIYIVLTSIIKNIFYPNYVVVYLSPLLIKLILHKPLFYTTTGDTRDNPDRHKCGNNNSTCSLLLSYKNSLDAQCQEANAIVFL >A09g518580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56747038:56747293:1 gene:A09g518580.1_BraROA transcript:A09g518580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCRHGKLLEMTQEDYDLDNKIAKIANTPLMPVTNNRQVRNLIELSKTHFVRLCVSSMRQIH >A06p010740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4075961:4081595:1 gene:A06p010740.1_BraROA transcript:A06p010740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarylacetoacetase [Source:Projected from Arabidopsis thaliana (AT1G12050) UniProtKB/Swiss-Prot;Acc:Q8RW90] MLLPPLRLFFLCLCLSSVCLLQIKADGVLGIRIKVDPKLKFENPKLRQAYIALQSWKLAIFSDPFNFTANWNGSDVCSYNGIYCAPFPVSYNKTRVVAGIDLNHADMAGYLPSELGLLRDLALFHLNSNRFCGEVPLTFNRMKLLYELDLSNNRFVGKFPNVVLTLPSLKFLDLRFNEFEGKIPSKLFDRELDAIFLNHNRFRFGIPKNMGNSPIGNLKKVTVFDVSSNRLRGPLPSSVGNMKSLEELHVANNGFTGVIPPSICQLPSLENFTFSSNFFRGRAPICGAVSVVNGSMNCLTLMARQRSAKECLSLLARPVDCSKFGCYNIFSPPPPTFKMAPIFRKLPPPPSSKMSPTVRAYSPPPPPSSKMSSTVRAYSPPSPPSSKMSPTVRANPPPPPPPYVYSSPPPPPYVYSSPSPPPPPPYVHSSPPPPPYVYSSPPPPALSPPPPCPESSPPPPYVYSSPPPPPPSPPPPCPDSSPPPPVVYYASATQSPPPPSPVYYPLETQSPPPPPSPVYYPSETQSPPPPTPVYYPSETQSPPPPTDYNYSPSQSPPPAKGCNDVHPPQTPYEPTPEYSYTSSSPPPPPSYHDTSLPPIPSVSYDSSPPPSNYHHLKNGSSNKKKLYTYHCPFFISSVLFESVVKLWKLRHTYIIRFSSMALLKSFVDVAPHSHFPIQNLPYGVFKPDSNSTPRPAVAIGDSVLDLSAISEAGLFDGPILNGSDCFLQPSQNLDLVFSQSLLYAQPNLNKFLAMGRPAWKEARSTLQRILSSSEPSLRDNDDLRRKSFYEMNKVEMVVPMVIGDYTDFFASMHHAKNCGLMFRGPQNAINPNWFRLPIAYHGRASSIVISGTDIIRPRGQGHPQGDSEPYFGPSKKLDFELEMAAVVGPGNELGKPIDVNNAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTVSPWIVTLDALEPFSCQAPKQDPPPLPYLTEKESVNYDISLEVQLKPSGKDESSVITKSNFQNLYWTITQQLAHHTVNGCNLRPGDLLGTGTISGPEPDSYGCLLELTWNGQKPLSMNGTTQTFLQDGDQVTFSGVCKVMEMVTMSDLEHAQGKFYLHCLDELSKEVGGKKDLIHIHITIKHLENES >A09g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15050305:15055863:1 gene:A09g504940.1_BraROA transcript:A09g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVGDDYTKDGTVDLRGNPVRRSIRGRWKACSFVVVYKVFERMAYYGISSNLVIYMTTKLHQGTVNSSNNVTNWVGTSWLTPILGAYVADAHLGRYITFVISCAIYFSICRVEDILVSGIVKGSIIQIHRARTVVVTDEGLISASEFGCSGGLGKGLYSNGAGSGAGHGGRGGSGIFNGRVCNGGHTYGDPDFPCELGSGAESPDKSYGNVIGGGMIVIGSIHFPLLTLNLRGSLSSDGQSLGKPITNGNRSLVGGVGGGSGGTILLFLQMLELSKNSSLSVRGGRGGHLGGGGGGGGRLHFHWDMLHTGDEYIPVATVKGSISNRGGAGDNVGRFGEEGTMTGKMCPKGLYGTFCLECPIGTFKNVEGSDKRLCTPCPPEHLPSRAKFVYVRGGVSEPFCPYKCVSDKYRLPNCYTPLEDLVYTFGGPFPCALLLSCVVVVLGLLLSTLSIRLLRSSFYGTSSIEHQSTHCLPHLLSLSEVRGAKSDVTQTHAYRMYFMGPNTFREPWHLPCSRPHAIIEMVYEDAFNRFIDEVNSTAAYDWWEGSVHSILSVLANPCAWSWKQWRRRRKIHRLQEYVKTQYDHSCLRSCRSRALYKGMKVGATPDLMVAYVDFFLGGDEKRVDMVSIIQKRFPMCILFGGDGSYMSPYSLHSDALLANLLGQHIPPSVWHRFVAGLNAQLRTVRHGSIRSALLPVIRWVNSHGNPQLEFHGVRIELGWFQATASGYYQLGILVFVGDFPPNTVNQTISFSRCDEESPRNSSACPSNSLLELQQNLIQPGHGLSRKRINGGINGGLINEISIQSLEYRRDLLYPFSLLLNNTRPVGRQNTLLRLISILLLADLSVTILALLQFYWLALAAFLAILLILPLALLCPFPAGLNALLSKEIRRASLTRVYALWNAASLTNVIVAFVCGVIHSVFFSDNPSDKTNIWNAIRDDDKWWVLPAMLLLLKWIQARFLDWHVANLEVSDFSLLCPDPDTFWAYESGA >A10p040650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22363200:22364025:-1 gene:A10p040650.1_BraROA transcript:A10p040650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDCGTKGRMDTNDSLRVASLWHSMHAISQQLSPVNGCSGIELLQADTFDLHCFQSLPGTKFFVVCEPGTPHMESLLRYIYELYTDYVLKNPFYEIEMPIRCELFDINLSQAVQSDRVALLGR >A01p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4339703:4340317:1 gene:A01p009050.1_BraROA transcript:A01p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGEGFVAREICIRNTVGPKKEQAVALRVSSDRAVFYRCSIDAYQDTLYAHKYQQFYRECQITGTVNFICGHATAVFQNCQIEARRPLIGQSNVIAPSYCLVFPSRLLLSFTDSEGKIVVPSSTPKLKMVVDKDVPA >A07g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15508823:15520197:1 gene:A07g506460.1_BraROA transcript:A07g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPSKVAGHTRYLLEHFNDSDVDSIAQEICQLVDYGVEASVPVLKTCVDCFTVRRSHANTLQLEKLLALVFKRVLKLPNLGTLLSHALQDADVTPEFVRDFATALGFSVSDKITFALALVDFETSHAKTSGRNLLLEEIEQLCANNGQVESTEQIQNVVLFLQTSEDLSGHLESFLRLLSSSQPRDDLSFALTPLLSPFRSIDSAEDDFDAVLAEIDKEVGVGDLIGELGCGFTADAQQCKHFLSSFAPLREATISRILGHVARTSADLEDNHTTFSTFSLAVGCSIPTDLPTPTPTSWNVDILIETIKQLAPGTSWRKVIENLDHSGFDIPNMESFSFFMRLYKTACKDPFPLDAVCASVWNNMEGQLSFLKHAIAAPPEVFTFTHSPGKLAYIDNIMHSHEQQLGLSNQAWLSLDLLDVLCQLAERGHTVLVSSMLQYPLTHCPKTLLLGMTHIKTAYNLIQREVVSVILPVLITNSQDSGFILKLWHQNAELVLWGILNAQNLKSDGILRIIEIFHELKIFSVVLESVPVSFSIRLAVLASLRGFLDIENWLPNCLYVYKDLFAEECLKFVKNVHFSESEDFTSKHFHPSDPLSDRHLDATTLLLKVLKAHDNVITSSQLVEEIEKVNSAILDCNSKLQNGEAKDSSVPSPYGEDVEEEANAYFHQMFSGQLSVDAMVQMLSRYKDSLVQREKSIFECMIANLFEEYRFFPKYPERQLKIASVLFGSVIKHQLISSITLGMALRLVLDSLRKPADSKMFLFGSKALEQFVNRLVELPQYCNHILQISHLRSTHPELVTVIEQALSRISSGNLESDASFSHPGPSQSLPGNGEFSVSGIGQSPLQLPSPVQPQQKNEVHIDDHSKVPSVPSIETKPLLPSSSSTSADVSVIPKNPGISTSSLTSAGFVRPARGATSTRFGSALNIETLVAAAERRENAIEAPPSDVQDKISFIINNISIANIESKGKEFAEILSQQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVDSKLLFKEILQNTYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNYVLRAREIDPKSLIVEAYEKGLMIAVIPFTSKVLEPCQNSIAYQPPNPWTMAILGLLAEIYSMPNLKMNLKFDIEYVAPQRAYTNTSVEDEKVVTLGLSDQLSSPQGPFQSTPPFSVSQQLSAALPNIGNLVVINQKLSGFSKHFPFQRVVPLAMDRAIKEIVSGIVQRSVCIACQTTKELVLKDYASEPDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISGHLRNSLQGPNINNEALEQIVQLVTNDNLDLGCAAIEQAATEKAIQNIDADIAHQLSLRRKHRDGVGSSFFDPNMLAQNSVSFIPESLSPKPGQLSLSQQRVYEDFVQHPWQKQSAQTSHGLSVASSSSGDVGFGNGYGPVSGKYASDFESSAGNDRTDMAYRASDTSMESFQSCPMSLLSSQVDPAAGLQFSKSLSTSELSLVESSEAAMKETGTSLQTLTPAATMERLIGNNTPQPSLSTRDALDKYHIVTQKMENLVANNAGDDEIQAVVSEVPEIILRCISRDEAALAVAQKAFKALYENASSNLHISANLAILVAIRDVCKRVVKELTSWVIYSDEERKLNKDITIGLIQRELLNLAEYNVHMAKHLDGGRNKSATDFSISLLQCLVTEKSSVISELHSLVDALAKLSAKSGSPVSLQQLIDIIRNPVTNTSGLSDSATENEINDRQSKDEKVVCNTIANTEDNTSFEYVESGSMDFRKRVSTLFENWYQLCEVSGANETACSEYVLHLYQTGLLKGDDTTESFFKILLELSVAHCISSEEISSGAAQSPQQAQSPSFLIIDIFAKLVFSILKYFPEQESSSKFFLLSEIVAVTVRSIQKDAEDKKASLSPRPYFRLFINWLLDLCSLDPGTDGANFQVLTAFANAFHALQPLKIPAFSFAWLEKTHNPTHQRQLKKLLAHADVQ >A09p078760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58150034:58158233:1 gene:A09p078760.1_BraROA transcript:A09p078760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSVVHHLLNRGFTRPVSFEHRNKINSSCLLRKIGKSSLGTQLYGKGLQKTGRRLITETGRPVSFVTRAVLAMDPASQTVEKFNLDGNIDLLVEVTSATVREVNIQIAHTSDSLLLHWGAIRDNNEKWVLPSRCPDRTVNYKNRALRTPFVKSGGNSYLKLEIDDNAIHAIEFLIIDESRNKWYKSNGQNFRINLPTERNVDHNVSVPEDLVQIQAYLRWERNGKQNYSPEKEKEEYEVARTELREEMMRGASVEDLRAKLLKKDNNTSDSPKSKGTSSSEQESQKKVSKQPKQKQYFSTEKIQRKGRDLNTLICKHVADFVDPKSISSTEPRALTTLELYAIAKEEQETTPVFSKKTFKLEGSAILVLVSKLSGKTKIHLATDFKEPITLHWALSQNGGEWLDPPSDILPPNSLPVRGAVDTQLTIASADLPSPVQTFELEIEGDSYKGMPFVLNVGERWIKNNDSDFYVEFAKEEKHVQKDHGDGKGTAKNLLDRIADLESEAQKSFMHRFNIAADLVDEAKNAGQLGFAGILVWMRFMATRQLVWNKSYNVKPREISQAQDRLTGGLQDVYASYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQSKNNCKGGMMEQWHQKLHNNTSPDDVIICQALMDYIKSDFDMSVYWKTLNDNGITKERLLSYDRAIRSEPGFSSEQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDARGFMVGVQINPVSGLPSGYPDLLRFVLEHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTVRTAIERGYEQLNDAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWQFALSMFKGKKNHWALYAKSVLDRSRLALQSKAERYLEILQPSAEYLGSCLGVEEWAISIFTEEIIRAGSAAALSSLVNRLDPVLRQTANLGSWQVISPIEVVGYVIVVDELLTVQNKTYDRPTIIVANRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILADLQAMDGKLLSLKPTSADVVYREVNDSELSKLSSDNLEEAPPIISLVKKQFVGRYAISSEEFTNDLVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVISEKANQAVSEKLQVLKKSLDEGDQDALGEIRKAVLGLVAPPELVEELKSTMKSSDMPWPGDEGEQRWEQAWAAIKKVWASKWNERAYFSTKKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRSLSFITKKNNLDSPLVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVLDYTTDPLITDESFQKKILSDIARAGDAIEKLYGTAQDIEGVIRDGKLYVVQTRPQV >A05p014480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6320994:6323896:-1 gene:A05p014480.1_BraROA transcript:A05p014480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIEKTTNKFTKAEEEEDDGIFQSIEVSKVDQSNVSPPPPSADDFATPLVEVSAAGDELNLIPPLNFAMVDNGIFRSGFPDVSNFSFLKTLGLRSIISLCPEAYPENNMQFLKSNGIKLFQFGIKGYKCPPGLENEVWLHLWNSKCQKEGSYTNANSKTSEPFVNIPDNKIREALKVLLDEKNHPLLIHCNRGKHRTGCLVGCMRKLQKWCLTSIFDEYQRFAAAKARVSDQRFMELFDVSNFKQIPMSFSCSSS >A08p014610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9888404:9889604:1 gene:A08p014610.1_BraROA transcript:A08p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD2 [Source:Projected from Arabidopsis thaliana (AT5G35330) UniProtKB/TrEMBL;Acc:A0A178U6X6] MSSQSCHGNESNSVNKEGNVAPSSVSENQLVLYDPRGNETEEEGAEPNQSQTSSQKTQCPSIGAFTVQCATCLKWRLMPSMEKYEEIREQILEKPFYCETACEWKANVTCDVAEDISQDGTRVWAIDRPSISRPPAGWQRQLRIRGEGGTKFADVYYVTPSGKKLRSNVEVQKYLNENPEYITQGVKLSQFSFQIPKPLRENYVRKRPARPMEPSDAPVPIEANPLALVSPDAQTPLQPTEPGLCTHLKKARRSEPSS >A08p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21490194:21492171:1 gene:A08p036740.1_BraROA transcript:A08p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDMNSNLNRLRSMALGSSSNQSDQQQPRASQETLNASLKLRRTPNEEHEPDHYEDLQLDFNPSLFRSLERFLPENLLSSTRIEKARAMSDLLLRYSPESERIRVQKHREYKQNILSSYQRLHEELYTLDPVSFFVPSFLDAVSNTSEENFKSIIVRAAPGIYTFDMFKPQFCQMLIAEVENMEKWFHYSKSSMMRPTTINKFGVVLDDFGLDGMLQKLLGDFISPISQVLFPEACGTGLDSHHGYAIEYGKYRDTDLGFHVDDSEVTLNVCLGNQFSGGELYFRGVRCDDHVNSEIKENYDYSQVPGQAVLHHGHHRHGARAITAGRLVNLVMWCRSSTFREAKSYQKDFSSWCGGCKLEKHNRQQVAIKATVEMLKKRGADKTHVEH >A03g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28172739:28175638:1 gene:A03g508030.1_BraROA transcript:A03g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLESSGLLESRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLNFLKVVWTSCKVVCKSSELPKSLLAKSSELLGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFIVVVVLMTMAIL >A05p052320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32387284:32388702:1 gene:A05p052320.1_BraROA transcript:A05p052320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLPHRFQVISRHAIHRVNTNLYRLFNLSQLIYKHISMALQSKKSSSLFISQQKLLSYTFMDPTKNRGEMEGIDHRMVSVNGITMHIAEKGPKEGTVVLLLHGFPDLWYTWRHQITALSSLGHRAVAPDLRGYGDSDSPECFSEYTCLHLVGDLVALIDSVSGDQEKVFLVGHDWGAIVGWYLCLFRPEKIKGFVCLSVPYKPRNPKVKPVEGFKAVFGDDYYICRFQEPGKAEREIACADPRRVLKNIFIGRELGPPILPKDNPFGAYPNPNCEQVKLPEWFSKEDLDYYVSKFKKTGFTGGLNYYRAMDLTWELTAPWTGAKIQVPVKFMTGDLDMVYTTPGVKEYIHGGGFAADVPNLQEVVVIEDAGHFANQEKPQEVTAHINDFFTKLQDNYKSF >A09g516710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49204004:49205833:-1 gene:A09g516710.1_BraROA transcript:A09g516710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFDESYYMRGNQLLLEGSKRDSEDDSDHDDSPGLGICNCFGVILFERKAAANEELNAKEEELPKGNPLLDNTPQLCLVSKEGSHLLKSCGMMMWYRESGTWGNEIKHLSASSEVLEIKGKRGKTIVHELDRPRLSWRQKLEICLGAARGLHYIHTDSARGIIHRDMKSDNILFDENFMDKVSEFGLFKTSRS >A01p043140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25011049:25012697:1 gene:A01p043140.1_BraROA transcript:A01p043140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCIEDKFTVENLKACPVCNVDLGVDPLDKLKLDNTWDDLKKIFFKPNSGTSLKYSRKKKKYLTSHIATSSGGSTPPEPLEPKKLGKQNNVLAGASTSKGCIQMETNSRKDKKEIPVSSDDLGKSLSTTDKNNHIALIAETAITPNGKEKQNTVLTERIPGEKPKNKGKEKQESFSTPRRVSGQKGKGKASSSPPVLKPRMHVDMSSSQAAMSVEAEKNKVWLSLIAARNQNTYNRPLLPQICSQYIRTDGNLPVSYLKKYVAKKLGLQSENEVEIWLREETVRSTQKLHELVDWWVQTTPVAERKSGMVGRSAAGFLMNLHYSGSYFTSLNNSAGHLSSSNH >A01p056800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32277441:32290006:1 gene:A01p056800.1_BraROA transcript:A01p056800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP-dependent DNA helicase CHR12 [Source:Projected from Arabidopsis thaliana (AT3G06010) UniProtKB/Swiss-Prot;Acc:F4J9M5] MVAQQLGESSSVVVVESREEPVETTKSLICALNYISRDLPLPPHLFAAVSSIYHGSSSSSSFPPSVVPPPPGNDFTPFGGDLMGEFEDALLKQRTNIESGSRLTELQDNRNKTLIQRRLSELEGYIPYPILLIYFKPFTSCNLSYCKTNLNYSLFKRNALADVVVLCFISELPSTRGEDLQGKCLLELYGLKLRELQSKVRTEVSSEFWLRMNCADVSSQLFDWGMMRLPRLWYGIGDPFATEADDQFRKKRDAERVSRLEEEEKNLIETAKRKFFAEVLNAVREFQLQIQATQKRRRQRNDGVQAWHGRQRQRATRAEKLRLMALKSDDQEAYMKLVKESKNERLTTLLEETNKLLANLGAAVQRQKDAKLPDGIDPLKDSESDLSELDAPKGEPLQDLLPDQDIDVTESDNNDDSNDLLEGQRQYNSAIHSIQEKVTEQPSLLKGGELRSYQIEGLQWMVSLFNNNLNGILADEMGLGKTIQTISLIAYLLENKGVPGPYLIVAPKAVLPNWVNEFALWVPSIAAYLYDGRLEERKAIREKIAGEGKFNVLITHYDLIMRDKAFLKKIDWHYMIVDEGHRLKNHESALAKTLLTGYRIKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSVQNFEEWFNAPFADRANVSLTDEEELLVIHRLHHVIRPFILRRKKDEVEKFLPGKTQVILKCDMSAWQKVYYKQVTDMGRVGLQTVKLIQCKRAGSGKSKSLQNLTMQLRKCCNHPYLFVGGEYNMWKKPEIVRASGKFELLDRLLPKLRKAGHRILLFSQMTRLIDILEIYLTLNDFKYLRLDGTTKTDQRGLLLKQFNEPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRREMLEELMRKGTSSLGNDVPSEREINRLAARSEDEFWMFERMDEERRMKESYRTRLMQEQEVPEWAYTTQSQDDKSNNAKYHFGSVTGKRKRKEIVYSDSLSEVQWMKAVESGEDVSAYSLKQRRAEKASKAKTSTSKRVVEPIQVVSDETSEEEEEEGRGQEMSGKQRVEKSEEEEEEDGEEENDEKPIFKWSSHKKKRSRYSFTCSSSDSRAQSSNGTILIDCGASASSVINGRKWQSDTDLISTGTSKNVSGQVLDQILSTLRSFPLNPSATRRKFCYVISVSRGWKYMIRTTYFYGGVNGKGTPPPVFDQIVDGTFWGVVNTTADYADGLASYYEGVFLAQGKSISVCIASNTYTSSDPFISALEVVRLDGTVYNSTKFKEFGMSLVARHAFGYSGPIIRFPDDEFDRFWEPYSLNSTVPNNRKLEVSGFWNLPPSRIFNTDLRANQVQPLEFTWPPMPLKNSFYYIALYFAHDSDSLGDGSRVFDVSVNGIAYYKELSVSPAGSVIFASRWPLEGLTTLTLTPTSGSTLAPLVNGGEMFELISLGGRTLVRDATALNAIKRSFKNVPVDWNGDPCMPKNYSWTGVTCSDGPRIRIVALNLTSMGLSGALAPQVAKLTALSSIWLGNNSLSGSIPDFSSLKFLESVHLEDNSFTGTFPSFFNGVPRLRELFLQNNNLTGKVPSKLLQKPGLELRVSGNPFLTQPPR >A04g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8352617:8353103:-1 gene:A04g503950.1_BraROA transcript:A04g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDVKGEPINRFNICCNSFRHILQEGPVYELNGLIPRSNPTFKFADAAVSIKFMDHTAFLEVTDTTKLILNPEGELQVPQYE >A09p005270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2867180:2868935:-1 gene:A09p005270.1_BraROA transcript:A09p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLANDFGYSTAMSSSFSPLHATVEDRYRKFPNSYWGSSGQELMNNPVPYQVVSSSGGYMSGYCNVSAVSAHGRTSQTQPPVSTMPSDSLAMQSSLINNHHPQEFSDPLDEFFDFSHHVSAPNPQTDGSGVKLVPSVELHEKSEWQTWADQLMSVDNGSEPNWSELLGEPSPHNPNSQVQSCHDLQQTPTPPRQEIIANQQHQAVSSEEQLGGRNSSASGATSKQRMRWTPELHEAFVEAVNQLGGSERATPKAVLKLLKNPGLTIYHVKSHLQKYRTARYKPETSEATGEPEEKKITSIEDIKSLDMKTSVEITQALRLQMEVQKRLHEQLEIQRSLQLQIEKQGRQLQMMFEKQQKLQENKSSSSELSPKQCNGTSAEVEFGVETQTRNQTEPALASRKRTRED >A02p003250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1366682:1368106:-1 gene:A02p003250.1_BraROA transcript:A02p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNGRRLYSVHTREEIREKMKKEVVQISEVFSLSLSDATVILVHLCWNLGKASDRLVDDKEKFLSELGLVGSSDEDLEVDGEGDDNLVSTSFCSHKFSRDCWRDYLSKTLKKKKKEDERVLLISCLTQDCHASVGPDTVEKLGEPVKKMYERYLLASFMESNKETIKRCPDCDDYAIKRHDEVPSEDFDVVCLCGHIFCWNCQLESHRPVTCNNASLWLNELLYKSRNLARTTKRIKHCPECYSLVENHDGVWKCTDCSVSQPSNDLALIRHMTLWETSDAALQRSKWDLEAVEEKIMDANCLRELDMKAIREAWMLTVQCRLVLKWSCVFGYFISDYHIAKKEYLDYLREKATANLSKHKETLHKVTDGIISGGGGIIAFREKLGDTTETTGNYFRFFVKTLEDGLCDVKVDAYEDVTTDYWFCDRCTFKNDSFDQECRMCVFSFESPPHVALCNVNSSASSHQQQQDKRAQ >A10p020450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13871832:13875770:-1 gene:A10p020450.1_BraROA transcript:A10p020450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPYKDLVSDGLASLFSLLTRVHFLLALRNRGFRHVKTKKKRGSYRGGEIDVRSHSVKFEYSDDE >A03p058920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25582279:25585564:-1 gene:A03p058920.1_BraROA transcript:A03p058920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDTTCPGPMKATSNGVFQGENPMHYALPLLILQICIVLFLTRALAFLLRPLRQPRVIAEIVGGILLGPSALGKSSKFLSTVFPAKSLTVLDTLANLGLLFFLFLVGLELDPKSLKRTGKKALSIALAGITLPFIFGIGTSFALRSSIADGVSKAPFLVFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGDGSSPLTSLWVFLAGCGFVLFCIFAVQPGMQWLAKRCPEGEPVKEHYVCLTLGVVLAASFVTDLIGIHALFGAFVIGVIFPKEGHFASSLVEKVEDLVSGLFLPLYFVSSGLKTDVATIQGAQSWGLLVLVIFNACFGKIVGTVVVSLYCKVPLDESLALGFLMNTKGLVELIVLNIVTTFMTTPLVLAVYKPGKSLTKGEYNNRTVEDTNQSNKPLCLMFCFQSIMNIPTIVNLIEASRGTNRKESLSVYAMHLMELSERSSAILMAHKVRRNGLPFWNKDKTGNSSDMVVVAFEAFQRLSRVSVRPMTAISAMATIHEDICRSAESKRTAMVILPFHKHVRLDRTWETTRNDYRLINKKVMEEAPCSVAILVDRGLGGTTRVASSDFTLVITVLFFGGNDDREALAFAVRMAEHPGISLTVIRFIPSEEFKPENVKLEITEDQAGSCSGETKLTDIEAIAELKAKVKEQESSRSDSDIESKIIYEDKIVRCHDEICEVIKEYSRSNLFLVGKSPEGSVASGLNVGRSDTPELGPVGNLLTSSESVSTSASVLVVQQYVASCDSPAVGVLKSTTKGVLPVEDSKSP >A04g502520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6038910:6039089:-1 gene:A04g502520.1_BraROA transcript:A04g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAETARDQQTRDGTSADANVEKKPYGDASTVTADANTAMLEQMKELFASAQKTVGQTR >A08p004230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2440895:2443919:-1 gene:A08p004230.1_BraROA transcript:A08p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAAIDLGCLDLGCLSVSDKRSGADPLQFSSSPSKSGQKKSPRETSTLRKSHSKRSSQRKSSPLGWFPRRRGGDSYLNRKIKKLQEEVGGMNQTLDETLGDSNPHYCRIVREQMAVREAAGKAMELRKAALVEASWSRILRAARIPSLEAETLMENAEKAAVEAFEAASALGVIMHDKPNSSRKQYKIKSSGAHGGGSPTHTVTASFETAFDVDKEVAAAVKAAFAKLANCPSLSKAEIRDLLRKISENPDLRDNQHEITEVSSECDTESDSEHKVDEEVAECEETSSFKMRQLKVKRRQSFGKLNREKLVDMMLERLQGLQEDQLSSLASIVATCGLSEALAEVDHQKLQTTNIEPTVSDTSAETRSRRDSKFGSFTEGKTTSDGKETEIPSLDKYLVKHMTKLEREVNEAKRASKDVFEKGRNVPQGVASETVPDLGSILVKHSSKLEKEIEEAKKNPGVNPRTYQKNSRRSKAPLVPVPDLKSLLVKKHVSRLEKDVEETIRNCGNMYENVKKPGKQDVPEDSSLESCMVKHVSKLEKEVQEAKKRNKEDLEARNLEKVEKSSSLLTEEMEKENMDLNKKTKGQEESLDKILVKPVHRLEREKAGSEAVYGNLRIKQRKQESEYESLDKVLVKHVPKLEKEKLRFKAEREATTTVVEEKENSKSNNEESMKTVKPVLTRRQMRDKEIQETWGGLGLGESKRPESKKTEVNEHLGEETRPVLTRRQERDKEMLEAWGGLGLGDSSLLTVNNKHKRKPESEKMETAAPVLTRRQARDREMQEAWGGLDLGNAIRPSLSKLEREKAAWIKAEEEERTRGN >A08g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6833400:6834996:1 gene:A08g504240.1_BraROA transcript:A08g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEEEEEDDVREPSMLVRESAAEQLEERQSDWAYLKPVVVLDFVWNLAFVAEPNTPLRVWIIGYGLQCVMHMEGASKEDIDQLTKFKFRKVGESEKHNVAQIHPSNILLWALECCICLSAYEDETELRELPCGHHFHCSCVDKWLYINDTSPLCKYNILKDSNYDEYGEEV >A08p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1549289:1550303:1 gene:A08p002800.1_BraROA transcript:A08p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L37-2 [Source:Projected from Arabidopsis thaliana (AT1G52300) UniProtKB/Swiss-Prot;Acc:Q43292] MCVFVIRTKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGNVSSLDTPLSIFID >A03p065800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29099013:29108969:1 gene:A03p065800.1_BraROA transcript:A03p065800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFLCFSCVLLLVSFVFFLRKIKENKRCSNLPPNPPRLPIIGNLHQLAGLPHRCIHHLSIKYGPVVLLHLGSVPTVVISSSEAAEQVLKLHDLVCCSRPKTYGEYWREMRKLVVIELFSLKKVRSFRKIREEEVGLMVKKVSESALQQSPVDLNNTFFSLTASIICRVALGQNFNESGFFIGKGRLEELLNELVLVLGGFTCSDLFPCGGLGRFLDWLFGGHNRINKVFEELDAFYQHVIDDHLKHEAHAGKKAMDSQADIAALLLGMMDKQGDKDYLKLSIDNIKGVITDIFIAGVDTGAVTMIWAMTELAKNPRVMRKAQEEIRNTLGLKKESISEEDINKVDYLKLIIKETFRLHPALPLLLPRETMSHVKINGYDIPPKTQILLNVWTIGRDPGRWTDPEAFIPERFANTCVDFKGQSFELLPFGSGRRMCPGMPMAVASVELGLLSLLYFFDWILPEGMISEGEIDMKEAGNHTIVKKQPLQLVPVLHH >A02p052050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31781084:31783733:1 gene:A02p052050.1_BraROA transcript:A02p052050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLSKFSVLFLFLLSWTSFTTTEAYDALDPTGNITIKWDILSWTPDGYVARVTIFNYQQYRHIESPGWQLGWTWLKKEVIWNMVGAQATEQGDCSKFKGDPPHCCKKTPTVVDLLPGTPYNHQISNCCRGGVVSAWAQDSATAVSAFQISVGQSGTTNTTVRAPRNITLKAPGPGYTCGPAKTVAPTKFFSDDKRRKTQAMLTWNMTCTYSQFLAKKSPTCCVSLSSFYNETIVPCPTCSCGCQNNSQTGTCVNQKIASVIQASGKNNHQPLLQCTQHMCPIRVHWHVKTNYKEYWRVKVAITNFNYNMNYSLWNMVAQHPNFDNVTKLFSFNYKPLSPYAGINDTAILWGIKFYNDMLSQAGPLGNVQSEILFRKSPSKFTLDKGWAFPRRIYFNGDNCVMPPPDSYPWLPNATPKLATSPFIVLLITFFSVLILM >A09p051610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45463482:45464916:1 gene:A09p051610.1_BraROA transcript:A09p051610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRPFDDEKFHELPFKHSRQQDFRDKSMQFEEFIPRHAVLEKPLATENDEGDLSNPQGGETFDEESNLGYYGLDVDGCFDRFMKDCDGEAAAHAPYSASYFEVGLVPPRPCSPVETLYSFLLDQPARKQVPVGPDHQALIPEWEGSHENLEASGTSKLSGTCVIPTLALAMPANTDGVVGKGREYCICQDRGSIRCVRQHVKEAREEMVKVLGFETFKDLGFCEMGEVVAQRWSDEDAILFHEVVYSNPVALGCNFWNHLEAAFFSRTKHEIVSYYFNVFILRRRATQNRSLILDIDSDDDEWHGGSLVTLYVEEDEEEEDPAIESHLHQVTDKYNEKVHPFNQEEGEEDVSVSDNETREGGAEHVDRFSGCNEERLNVEDDSYTTFELGHDALNSVWTNCTKKDETGVGEYQKKSNDPKASANGKDLQPTVSIMDEIFGHGESK >A08p043830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24353178:24356816:-1 gene:A08p043830.1_BraROA transcript:A08p043830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLSSDRVCYVHCNFCTTILAVSVPYASMFTLVTVRCGHCTNLLSLNIGVSLHQSPPTPIHQDLQQHKQQITTSITRKEYGSSSRSSNHFSTTLSENVDREAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKAGNPEISHREAFSTAAKNWAHFPHIHFGLKLDGNKKGKQLDQTVAGQKSNGYY >A08p031460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19180144:19183808:-1 gene:A08p031460.1_BraROA transcript:A08p031460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRQLLKRVVDRASTSSRIHSPAITFLRSDYSTSSPPQLPPFDYQPRPYNGPSADEVFQKRKKFLGPSLFHFYQKPLNIVEGKMQYLFDETGRRYLDAFAGIVTVSCGHCHPDILNAINEQSKLLQHATTIYLHHAIGDFAEALAAKMPGNLKVVYFVNSGSEANELAMMMARPYTGSLEMISLRNAYHGGSSNTIGLTALNTWKYPLPQGEIHHVVNPDPYRGVFGSDGSMYAKDVQDHIDYGTSGKVAGFIAETIQGVGGAVELAPGYLKSVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQDVVPDIVTMAKGIGNGLPLGAVVTTPEIASVLATKIQFNTFGGNPVCSAGGLAVLNVIDKERRQTHCAEVGSHLIQRLKDLQKRHDIIGDVRGRGLMVGIELVTDRKDKTPAKAETAVLFEQLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSISSSAIVAKLYKKEEAGRKKVMEEEEDEDDTFEDAVCSKTPARVNTPLSVITEAFENLADLLKPDISTDEDGLSLDAFCSACTHVSILFSCLGFAFKFAEMEYISKVKDLVEASKTLDTLQNILDLDVENATVKTPGSRSRNLRRVRQGLDLIRAIFEQFLMTDEYSLKDAATTAYTEVCAPFHTWAVRTAVYAGMYTLPTRDQLLLRLNETDQSVEKNMRRYMEASQPIIEYIDKLYIERNIKLDW >A02g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3190421:3191131:-1 gene:A02g501030.1_BraROA transcript:A02g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCLDNEITFNETIIEEREQGIREIQEQIGEVNEIFKDLAVLVNGQGVMIDDISSNIDNSQAATAQATSQLRKASKTQRANSSLTCLLILIFGIVLLITIIVVLV >A05g506070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:17370630:17370974:-1 gene:A05g506070.1_BraROA transcript:A05g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRCFVSVLHFSISLFLSSFSPLQTTEKAISLLRRGCSSSRWLSRRVLLMKENGIPSIGWSHRRRITARSLFFAVALLLLGGSKGKDEFVCARLSLCMSRNLIKLGLDYVISS >A02p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10149524:10152392:-1 gene:A02p021490.1_BraROA transcript:A02p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIIYSLFALSLLSLSSAHAQCHFPAIFNFGDSNSDTGGLSAAFGQVGPPHGISFSGSPAGRYCDGRLVIDFIAESFGLPYLSPFLDSVGSNFSYGANFATAGSPIRAVNTTFRRTGYSPFSLDVQAVQFSNFHNRSQTVRSRGGIYAKMLPEANSFSKALYTIDIGQNDLTAGYFAKKTVQEIGTTDVPELISQFKTAVTNIYKEGGRYFWIHNTGPIGCLAYVIEWFPLPESDFDAHGCASPLNHLAQQFNDALSQAVTELRASLSEAAITYVDVYSIKYELFAHAQEHGFSKSLVSCCGYGGKYNYNRKTGCGKKIIVDGKEVLIGKSCDEPDKAIVWDGIHFTQAANKIIFDKIATGLSMACHKQLL >A09p078810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58181458:58183014:-1 gene:A09p078810.1_BraROA transcript:A09p078810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNFLESLYDGIGDDEADDDDDENNPKTPTTERHVPERNPDPLPPMSPEEEAQARGVKDDLSELSHTLTRKFRGVANFLAPLPERSSSDLSNPRLSQSRFSDPELNQSSSSDPRLNRSSSSDPRLNQSSSFDPGLNQPPFSSDRPESRVGDDTRETEIRARSSDTMEDKRLEDLRDDLEEEEEETDEEEEEEEEEEMDAVALTDEVLAFARNIAMHPETWLDFPLDPDEDLDDLEMSDAQRGHALAIERLAPRLAALRIELCPCHMTVGYFWKVYFVLLLSRLNKHDAQLLSSPQVMEARALWMKELQSQNSSTKTGYGDILKEEEVTPSTSSYYNHAPPEFLSPRIYAFEPPSIMYRDFETTDRGSGSENAEFIDKAVIEEKPIQKSASLSATPNDDDEDDDDWPEEEDDAHDWAPMFTGNEDDVSFSDLEGDDDISSLALKSKTTSQKGT >A06g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20195316:20196084:1 gene:A06g507280.1_BraROA transcript:A06g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGGGKACVHGDERHIHIGCREQEETSRARIRLDPSSPVSSLPTSPPVSSLPLSPPVSNSSPSSSVSGTGDGDRTEEDIEKERQRRKEEAAAKRLGLAEQGDFRASAVDCVEESSDFERNED >A10p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6856628:6859182:-1 gene:A10p010620.1_BraROA transcript:A10p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSNCGSLEKIKPILAIISLQFGYAGMYIITMVSFKHGMDHWVLATYRHIVATLVMAPFALVFERKIRPKMTLPIFYRLLALGILEPLMDQNFYYIGLKSTSASYTSAFTNALPAVTFILALIFRLETVNFRKIHSVAKVVGTVITLAGAMVMTLYKGPAIEIVKAAHSSFHGGSNTATGQHWVTGTLAIMGSISTWAAFFILQSFTLKIYPAELSLVALICGIGSILNFGVSMIFVRDLSAWKIGMDSGTLAAVYSGVVCSGIAYYIQSIVIKQRGPVFTTSFSPMCMVITSFLGALVLAEKIHLGSIIGAIFIVIGLYSVVWGKSKDVVNPLDEKIVVGQELPITNSVKQTNGDVLGAPMNGREASGAQVNGVATSI >A06p057970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30091720:30092567:1 gene:A06p057970.1_BraROA transcript:A06p057970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINPAQLSSDPIELNSSGTDRVGILQNNRRKMIVCVAVVGHQNNPLYIQSFTEAEDALKLHHIVHCSLDVIDERVNNPSKSGTTLNEAFLGLLYPPLNYKVYGYLTNTKVKFIMVTTDLDVRDTDVRSFFRKFHAAYVDAVSNPFHVPGKKITSRTFSESVTNIVTSYSFN >A10g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4606602:4607722:-1 gene:A10g501640.1_BraROA transcript:A10g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNADDDLKSKSLQAEFGEKRSFGRGFCITDINEENKSHPCPTEWLFRVDIVNKWKESNGGLEVFWFNGKLAQQSSEKVLKDAMKPSQNSSAYVYESWRRTESNRFFTRLLNDQVTFYTPGRDKSDNRKTKACYMSL >A09p001130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:906347:907105:-1 gene:A09p001130.1_BraROA transcript:A09p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MAINRIAIGTPGEASGRDAIRAAFAEFFSMVIFVFAGQGSGMAYGKLTGDGPATPSGLVAASLSHAFALFVAVSVGANVSGGHVNPAVTFGAFIGGNITLLRAILYWIAQLLGAVVACLLLKVSTGGMETAAFSLSHGVTPWNAVVFEIVMTFGLVYTVYATAVDPKKGDIGIIAPLAIGLIVGANILVGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWVGPFIGAAIAAIVYDTIFIDSNGHEPLPSSDF >A09p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3106741:3107726:1 gene:A09p005730.1_BraROA transcript:A09p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLIAGGKSKKTKRTAPKSDDVYLKLLVKLYRFLVRRTGSKFDAVILKRLFMSKVNKAPLSLSKLVEFMKGKDGKIAVLVGTITDDLRVHEIPAMKVTALRFTERARARIEKAGGECLTFDQLALVAPLGQNTVLLRGPKNSREAVKHFGKAPGVPHSHTKPYVRAKGRKFEKARGKRKSRGFKV >A10p020930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14135315:14139653:-1 gene:A10p020930.1_BraROA transcript:A10p020930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKKSCIPNQTTELYVHPSFDSARGAVKTTKEFSSFLSSPRFPPMMSPVTATLLRHRLRHLRHHHIRLNSTAVPSHFNLPLNHPTYLIWSANTSLGKTLVSTGIASSFLLHQPSSSPPAAHSSKLLYLKPIQTGFPSDSDSRFVFSKLDSLSLRCRIPLSVSNSVLRSSLPVAESMRRNIKVSESGMCDLNFREEKTVTGAPELLCKTLYAWEAAISPHLAAEREHATVEDSVVLKMVEQEMECGSKANVLCLVETAGGVASPGPSGTLQCDLYRPFRLPGILVGDGRLGGISGTIAAYESLKLRGYDVAAVVFEDHGLVNEVPLNSYLRNKVPVLVLPPVPKDPSDDLIEWFVESDGVFKALKEVMVSVYLERVDRLNGMAKQAGEVFWWPFTQHKLVPEDNVTVIDSRCGENFSAELAREMGYTAARFGHVMFPENVYEPALKCAELLLDGVGKGWASRVYFSDNGSTAIEIALKMAFRKFCVDHETLLGLSEDAEEKKHVDVKVLALRGSYHGDTLGAMEAQAPSPYTGFLQQPWYTGRGMFLDPPTVFISNGAWNLSLPESFPQTASEESGTFTTRDEIFDKSRDTSVLATIYSAYVSEQLQEYSKSSQSAHVGALIIEPVIHGAGGMHMVDPLFQRVLVNECRNRKIPVIFDEVFTGFWRLGVETTADLLGCKPDIACFAKLLTGGMIPLAVTLATDAVFDSFSGDSKLKALLHGHSYSAHAMGCATAAKAIEWFKDPETNHNIDSQRGTLRELWDEELVLQISSHCAVERVVVLGTVFALELKVDASNSGYASLYAKSLLEMLREDGIFMRPLGNVVYLMCGPCTSPEICHRLLTKLHKRLGEFNRA >A09p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3671890:3675329:1 gene:A09p007010.1_BraROA transcript:A09p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCYSRNISSVKDDEIPVEQPSQTPRLASIPQSPIPSEVNSYASSPFQSPLPAGVAPSPARTPGRKFKWPFPPPSPAKPIMAALRRRRGAPPRPRDEPIPEDSEDVDGVGGERLDKNFGFGKNMEGKYELGKEVGRGHFGHTCWAKAKKGKMKGQTVAVKIISKAKMTSALSIEDVRREVKLLKALSGHKHMVKFYDVYEDNDNVYVVMELCEGGELLDRILARGGKYPEVDAKRILVQILSATAFFHLQGVVHRDLKPENFLFTSRNEDAVLKVIDFGLSDFIRYDQRLNDVVGSAYYVAPEVLHRSYSTEADMWSIGVISYILLCGSRPFYGRTESAIFRCVLRANPNFEDMPWPSISPTGKDFVKRLLNKDHRKRMTAAQALAHPWLRDENPGLLLDFSVYKLVRSYIRASPFRRSALKALAKAIPDEELVFLKAQFMLLDPKDGGLSLNNFTTALTRYATDAMMESKLPDILNTMQPLVQKKLDFEEFCAAGVSVYQLEALEEWEQIATSAFEHFEQEGNRVISVQELAGEMSVGPNAYPLLKDWIRSSDGKLSFLGYAKFLHGVTVRSSSSRPR >A09p046310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40431022:40434364:-1 gene:A09p046310.1_BraROA transcript:A09p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLIPISVWWDINSCPVPTDPVKIRLNRSFAPAPHFRFRPSVQMATTTVLSDMDSCPLPDSFSPSLAGRSIRSALKNSGYLGPVTITAMSNLHLNPRSASLLEALFSSGIHISNIFGYCLSQLFGWRMNAQPPATLMLICGDTHWKCYLNLFSAYAMRLNRSFAPAPHFRFRPSVHMATTTVLWDMDSCPLPDSFSPSLAGRSIRSALKNSGYLGPVTITAMSNLHLNPRSASLLEVLFSSGIHISNIFGYCLSQLFGWRMSVKPPATLMLICGDTTLEMLSEPLFRICDEGFTILVAHPGRKPDSFSPSLAGRSIRSALKNSGYLGPVTVTAMSNLHLNPRSASLLEALFSSGIHISNIFGCMSFYPFDCLSQLFGWRMSVQPPATLMLICGDTTLEMLSEPLFRICDEGFTILVAHPGRKPVSADLWKSFLSVVSRDWIWESFLDDKDEALEYKCREMGYVSCEMCEFSGFSLEDLTTHFSSDEHLEEVSYHNPLDKHSSSTSAGNERETKTEHVGEQETSCAPRGTKRAVAHIPPPLSFPSETLKRSFAEKSRRSEALAVDDLSLSLRCLSFFSPSSPHLSPLSLLTISLSRLTLSLFAVSSREWWWWPRGVVDFRSRFSYPYFQIQIRI >A09p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8767778:8769147:1 gene:A09p016750.1_BraROA transcript:A09p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar H+-ATPase subunit E isoform 3 [Source:Projected from Arabidopsis thaliana (AT1G64200) TAIR;Acc:AT1G64200] MNDADVSKQIEQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVDVRRKIDYSMQLNASRIKVLQAQDDIVNAMKEEAAKQLLKVSEHGFFNHHHHQYKHLLKHLIVQCLLRLKEPSVLLRCRKEDLHIVESMLDDATEEYCEKAKVHAPEIIVDKDIFLPPAPSEDDPHAPFCAGGVVLASRDGKIVCENTLDARLEVAFRKKLPEIRKSLFGQVGAA >A09g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20166304:20166886:-1 gene:A09g506860.1_BraROA transcript:A09g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFVEIHRFLPLNHCNPHSPRNNYGNLLLSARGLESRTFWDPRDVKKSVGLKGFDMILLDEKGWQNIKKSGTHGV >A03p042290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17714010:17715032:1 gene:A03p042290.1_BraROA transcript:A03p042290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDIHGKTCGSSSRSRSGSGIGVVNKDPSLFGDLVGSAIGQSSGNVPLKKPLLLLLERPVWVLWLVSDQNHQEAQPERLTKKKKGNAQSDAFTKGGSFAGSTDALPPPSPSVSATSAKTKGIDSQKQVLEHDKKNVSILVQRALLYESMEKYKMGAEDL >A10p033230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19494367:19495728:-1 gene:A10p033230.1_BraROA transcript:A10p033230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIFMGLDKFENEELIKYGFPEDVWFHVDKMSSAHVYLRLHKGQGFDDINEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHNSKMVRTIRVEKRVNDIINRLNKTKVERTPDLRAEREAVNAAERAERKEHLREKKKREDIERLEKERQADMRSYKGLMVTDKMTSNKDIASSNKSIQELEDDFM >A04p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20340028:20341544:-1 gene:A04p035220.1_BraROA transcript:A04p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGGIVAAPPAPPSAPSSGGGGNVEWHVRPPNPKNPVVFFDVSIGGIPAGRIKMELFADIAPKTAENFRQFCTGELRKAGKPLGYKECQFHRVIKDFMIQSGDFLKNDGNGCMSIYGHKFDDENFTAKHTGPGLLSMANSGPDTNGCQFFISCAKCEWLDNKHVVFGRVLGDGLLVMRKIENVAVGPNNRPKLAVVITECGEM >A01p017250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8527065:8528018:-1 gene:A01p017250.1_BraROA transcript:A01p017250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVANLQLNILPQRPRSSKLVCSLKDPTAQHVPYSSSSTTISLLPKLISFALALSLNSSSAPALAIPSLSSSQPLTTPFTQSKFVQTGLLNGKIRPCPSSNPGCVSTNPTSSSFTFPLTIPETDKQDPIQRLKEAIAKTQNNPKFVVIEDKPEGRYLEAEVEGGGFNQSRDVMEFLVKGDVVAYRCMATKVTFVYPFTTAFGDSKGQEERMKKLVDELGWYAPTFDMEESTLNAFPYNN >A10g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19612481:19612937:1 gene:A10g506700.1_BraROA transcript:A10g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEVDDDKRYDARQVCKREHTLVVYPEFGLIRGTYISGGRQATNPLDQEVFIHSKAPGAFAPFGALSSTLVLFFSGTLNSDLFSLLLYCWSLNLKQQLTIVRANPCYHNLS >A10g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10124321:10132428:1 gene:A10g504080.1_BraROA transcript:A10g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLTGAGRLAHSSGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGRFDQMGLSLGREWPSFQSEEKGRNRQWAVMGRLWDGFGKKGWDFGNCSPRRLGTLTGAGRLAHSAGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGRFDQMGLSLGTGSGQASREEKGRNRQWAVMGRLWDGFGKKGWDFGNCSPRRLGTVQSIDRAEHTARAVYRLDPHSSGLELQHNPRPDGQINRTEVRLSRPVRHAKSFGQARSEVVRVESKSDHGLSLLSRLGRTSDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERTGRVLLLTAGRAISYIESGQE >A03p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13649169:13651817:1 gene:A03p032270.1_BraROA transcript:A03p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALRNPTSKRLLPFSTQIYSRCGGSISSSPSISHSIGGGDDLSPSSFGASLWRSMATFTRNKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSGPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALCALQGTNDEIGRQAILKLMDAVDEYIPDPVRVLDKAFLMPIEDVFSIQGRGTVATGRIEQGVIKVGEEVEILGLKDGPPMKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDIQRGMVIAKPGSCKTYKKFEAEIYVLTKDEGGRHTAFLSNYRPQFYLRTADITGRVELPDDVKMVMPGDNVTAVFELIMPVPLEIGQRFALREGGRTVGAGVVSKVMT >A04p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6660654:6665018:1 gene:A04p011550.1_BraROA transcript:A04p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIARTLSSVSATLIIILTLFVHVNGVQDTKELKPDQSSRNTSMTDYEWHEHAVKDPEEIAAMVDMKIRNSTERRRLGYFSCSTGNPIDDCWRCDKKWHRQRKRLASCAIGFGRNAVGGRDGRFYVVTDPSDHDPVKPKPGTLRYAVIQDRPLWIVFKRDMVITLSQELIMNSFKTIDGRGVNVHIAGGACITIQYVTNIIIHGINIHDCKRTGNAMVRSSETHYGWRTMADGDGISIFGSSHIWIDHNSMSNCADGLIDAIMGSTAITISNNYLTHHNEAILLGHTDSYTRDKMMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPGNRFAKEVTKRVGAGKGEWNQWNWRSQGDLLLNGAYFTSSGAGASSSYARASSLAAKSSSLVGMLTYSSGALKCRIGTPC >A02g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:926728:927224:1 gene:A02g500250.1_BraROA transcript:A02g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVKSGEWMCSRGDDWSFVVDKERRGRMVTLATTTTLKQLKIMVCEDYGVDHNVINAEFSYSLLNQKGNPPIIITNDRQASNFVGYAKRESSTTLCVMFSVSGVNQKERVNIDLNKEPCDSSNVEDEEVPEINRAEFVKPSKESFE >A09p065980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52534603:52536709:1 gene:A09p065980.1_BraROA transcript:A09p065980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANLTMDRYQHVYVMRHGHRLDNFDPDWAAKAARPWDPPLFKDGMVRAFQTGQRIGSQTGFPIHRIFVSPFLRCIQTASQVVAALSSLPNAMSSIDKTKLKVAIEYGLCEMMNSVAIWPEVSPIDGKFDFNISDLEAMFPEGMVDHNVDPIYKEIPQWGESKEKCRERYVKVVKTLADKYPTENLLLITHGEGLVTTFSNFYKDTTVLEVDYCAYVQLRREVSSKDGSVVETGEYEVSQSGIRFSHDPVTIQTPI >A05p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11281558:11283463:1 gene:A05p023340.1_BraROA transcript:A05p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRKVKRESEATGEGNGRRHVDESAKNKGVDDSVPIDEPPTQEDDQGISDRRILRSQYLALIHKISHSKDDLTRVDSDKFSRIFSEFENLHQKVQKPREQVADAEAFLDIANTIMSSVKSHSANGVSPADFVNALVNGFGQASLGVDETSPVSIKWKDLGLAVCSTLFVSSFGCSTMLGPMSTELKERKRAVYRKRTKPGEGVRPEEVDDTQSEEKTDTDKNMAIMFNILRQKKRVRLESLMLNRRSFAQTVENLFALSFLAKDGRVEIIVDKTGSHFALPRNAPAANLVMSGEVIYNHFVFRFDFKDWKLMSEMVPMGEELMPHREIAIASSSCPSDFPQDSQTTPIRKFSRNRGLVVQEDTVVEDSPDIEGDGTRKRCKRRLA >A01p056580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:32112385:32113200:-1 gene:A01p056580.1_BraROA transcript:A01p056580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVHSTLTYWLVNHPKISNFTWTEGETFGSTVFFVSLVVSVYLSATFLLRHAMVSLPSLSPRILKPITAVHNLILCLLSLIMAVGCTLSITSDPTTRFLHAICFPVHVEPKGPVFFWAQVFYLSKILEFGDTLLIILGKSFRRLSFLHVYHHATVLFMCFIWLRTRQSMFPLGVLTNSTVHVIMYGYYFLCAVGCRPKWKRLVTDFQLVQFIFCFGILALMLSQHFFGSGCSGIWGIYFTAAFNGSLLALFFNFRSKNYVKKTTEMIKLS >A10p026670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16892054:16894390:1 gene:A10p026670.1_BraROA transcript:A10p026670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCATPGSDTKSKDAKPKTRNNPFYSEAYTTTNRSGAGFKLSVLKDPTGHDITLMYDLGREVGRGEFGITYLCTDINTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHMPKHPNIVSLKDAFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTILGVVQVCHKNGVMHRDLKPENFLFANKKETSPLKAIDFGLSVFFRPGEGFNEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDTAKDLVRKMLEPDPKKRLSAVEVLEHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVQEVAGIKEAFEMMDSNKTGKINLEQLKHGLHKLGQQQMADADLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFSFFDQNQSNYIEIEELRQALNDEEDTSSEEVIAAIMQDVDTDKDGRISYEEFVAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLEGET >A07p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21103230:21104011:1 gene:A07p039720.1_BraROA transcript:A07p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKKKNSQEQGLKHLGFVRVAAIHVLVSLSSLYDYAKQNSGPLKPAVGKVEGAVTTVVTPVYNKLKDVPDTLLLFLDHKVGEASDKFDKHAPPVAKKVVSRANVLIQTTTEKAQRFVKEARTGGPRGAFNYAANEYKCFLVTNSVRVWAKLNRFKPIHAVGDKAFPVAAHLSGIYNDLVTDMTQMGYPVVGYLPLVPVDDIVKAYEKEEGAAQKKGDVTDGNKSSSDSDAD >A01p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8873230:8874462:1 gene:A01p018240.1_BraROA transcript:A01p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVLHIYDVTNSGSEKTNNTILQINRFFKDGIRLGGIFHSAIQVYGDEEWSYGFCEQGTGVFSCPSSKNPMYTYREKIVLGKTECTIFMVNQILRELSREWPGHSYDLLSKNCNHFCDVLCDVLGVPKLPGWVNRFAHAGDTALEVAGNTAMRIKQAKTEIVSASKVAYRFLSNVTSNVTNGSSNGSQQRPGTLTNSENGNSRLQGSWFKGLLNTSKPSTSTEIDNKDEDANQQRKQSRDSAPLHHSAFG >A09g518320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55715916:55722345:1 gene:A09g518320.1_BraROA transcript:A09g518320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNDDVSVDIEEIYNGGKEHHVKTCHGLVSVVVYGDQEKPALITYPDVALNYLSCFQGLFLCPEAVSLLLHNFCIYHISPPGHEFGAAPVCSTDPSPSVEDLADQILEVLNFFRLESVMCMGITAGAYILSLFAIKHKDRVLGLILISPLCKAPSWSEWFYYKVVSNLLYYYGMSGLLKDRFLQRYFSKEARGSSEVPERDVVHECRRLLGERHGVSLRRFLEAINRRHDITDGMSEEVKKKYNIVRSIGEECIQEDELMNLLAKKPTPICYDGFEPSGRMHIAQGVMKVTNVNKLTSAGCQVKIWIADWFAQLNNKLGGDLERIKVVGEYFKEIWQAGGMNTDKVAFLWASDEINGRGGKYWPLVMDIARRNNLRRILRCGQIMGRTETEVLSAAQILYPCMQCADIFLLEANICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSNPSSAIFMEDEEADVNEKISKAYCPPRTAEGNPCLEYVKYLVLPRFNEFKVEIDGGNKTFKRFEDITAAYKSGELAPEDLKKALVKALNIMLQHLSSEEENKAENTSDDTYMTDGEATGINVLAQPENFSTNLDETEEATQIGEVGNASNISEDNATLGVLGEKEESKEQQDHLSSALSSEEENAESLQTEDPNIWWFRRTGAQVLCLRK >A03p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2033745:2036058:1 gene:A03p004860.1_BraROA transcript:A03p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMIVSLDDDIPLDSTRARFTNLLKRHQQLTDRLTRDSDKMIFDRLSKEFEAARASQSQEVCLDGEEWNDGLLATLRERVHMEADKKDSGSSGFAPVSHLEERIAYRVGNKVIYCLEGARIGIQYETSFAGETYEIYHCVLESKSFLEKMNVLEHTIPFFLPLRDLENDLLSSNAKKFIDNVGDLLHAYVERREQVRLIKELYGNQIRELYHSLPYHMIEFAIDDCDCKVVVSLRYGNLMCELPTKVRVLAWPMHQLKRQCTSPRLSKLASQAIPVRLSFAEDALRIQSLPEAYAEIVVNMPQEIEQIFL >A04p031900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18701362:18701787:-1 gene:A04p031900.1_BraROA transcript:A04p031900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFDEAEVVFSEDLSSVFQREDEDENHLFGLKERNKSRRIVKRKKKVTALSSSLPVNIPENMFRRHVEKEEGEHSEEEEYSNGRGEIVPPHVIVGRRIQGEGGGQMAFSVCSGSGRTLKGRDLSRVRNSVLRLTGFLEA >A09p004210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2423080:2424471:-1 gene:A09p004210.1_BraROA transcript:A09p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKVIKITQVSPATDSSRDSADPLILPLTFFDLRWVRSHPTQQVIFYKLPVSSREFFHSVILPKLEVSLSLVLRYYFPLAGHLMWNQQDPKPSAVVFNHDTVSLTVAESNADFLFVSGKGLRPQTELRVLVPELSVSCDSSSLYSLQITLFPNQGFCIGLAEHHVLKDGVGSIMFIKAWAHICKSLGEGTMTLPSDFIPIIDRTLINVRPSLESKILEYMSYFSDEEDGKRTMDPPPVGEICTDLVRITLELTQENVEKLKERAKRESTRAQHELHLSTFVIVNAYLWSCLVKARGGNEERPLLFMYAADFRNRLDRPVPERYLGNCVVLVNCIGYKAKNLLGRGGFVSAVEILSDSVKGLGSRGIEALWESYIDAMKQVKPDTHVESVSGSTRMGLYGADFGWGKPVNHEIVSIDRYPAYSMWERRDETGGAEIGLCLRKSEMDTFISLFEYGLGKISSRI >A03p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5919987:5922978:1 gene:A03p014940.1_BraROA transcript:A03p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAPAEPADSYYQIRPECTDVPNTKFKIKPGKTLSVRKWQAAFTQEGFLDMGKTLNRIQRGGIHPTIRGEVWEFLLGCYDPKSTFEEREQIRQRRRTQYASWKQECKQMFPVIGSGGFITAPVITEKGQPILDPLVLQETNLGEDSDFFKELESRGPLDKKVIQWMLTLHQIGLDVNRTDRTLVFYEKKENLSKLWDILALYAWIDNDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRSTGRSVGVEAQLTHLASITQIIDPKLHHHLENLGGGDYLFAIRMIMVQFRREFSFCDSLYLWEMMWALEYDPEMYSLYEEPEFEGEKTEGSSKGKPKSIKQCGKYERENMKNGGNSAEGPLPISVFLVASVLKDKSSKLMTEARGLDDVVKILNDITGNLDAKKACTGAMKLHKKYLKKAKK >A01p026230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19694707:19696035:1 gene:A01p026230.1_BraROA transcript:A01p026230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITSSNNGEFLHLLAVLKRVSVRPQPRRFRDSTIVSQDVDALALQSYIGMDKSLGILSPSIYIYLSFSFSIFSHQIEFLCNFNCRLSLPRGAYLCWHYHSSMTIPHTRNKQQQAFERVSILTIGLTSTDITWCCTAP >A09p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39023945:39029024:1 gene:A09p038390.1_BraROA transcript:A09p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAIYIGSRGHEHRTSVGVRQHTQDVVAVRGCRQHTQDVVAFVCPSAHTGRLWCPSAQHEVVCVRQHTHDVRGCSVCVRVCPSAHKDVSGFPSYTQDVRGCPSAHTGRPSAHTGRLWLSVCVRVCPSAHTGRPWGVHQYTYQHVGPWTQHADPSRGLFGTQDIPGSPCVYVLSVNNTGRPWIVHQYTYHARWSLDSAHLALPVDCLGDFWPTWAVCSVHTWTSVGVRQHTQALWLSVSTHRTSMAVYVCPSAHTGRPWVSVSTHRMSVCVCQHTQDVRGCPSVHISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVRGCPSAHTGRPWLSVCVCVCPSAHTGRPWLSISTHISTLVLGLSTLTRPVDCSGDFGPRGLSVQYTHDVCGCPPAHTGRLWPFVCVRVCLSVSISRQRTSVAVHHYTDQHYTQDIRGCLPAHTGRPWLSVAVRQQTQDVRGCLTNTTSVAVRLCPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSRGLFVQYTQDVRGCPSAYTGRLSAHTGRLWLSVCVRVRPSAHTGRPWVSINTHISTLVLGLSTLTLPVDCSGDFGPRELSVQYTQDVCGCPPAHRTSLALRVCPCLSVSKHRKSVAVHQYTYHHVGPWTQHTSPSRGLFGTHSSTLVLGHSTLALPVDCSGDFGPRVSRFSTHRTSVAVRQHTQDFRGCPSAHTGRPWLSVCVCVCPSAHTGRPWLSISTHISTLALGLSKLTLPVDCSGDFGPRVLSVQYTQDVCGCPPAHRGRLWLSVCVRLCPSADTGRPWLSISTQISTTLPDVCGCRQHTHDVLVTSVCCPSVLHRTSVMSVCGTVKYTRRRDFVVYVSNTGGRLGLFQSLHNSTMVLGLRTMYGVVRKNTKEVFCLYEANTGRMWLTLALPVDCSSDFGPRGLSVQYTQDVRGCPPAHTGRPWLFVAVRQHTQDVHGCPCVSVSTHRTSVVVRQHTQDVHGCPSVHISARWPFPWTVRVIFAHVSVGSVHTGRPWLSVSTHRTSVGVRQHTQDVRGCPCVSVCVRQHTQDVHGCPSVHISARWTSVGVRQHTHDVRDCPCVSVSTHRTSLTVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCSGGFGPRGLSVQYTQDVCGCPPAHTGRPWLFDSTHVTSVAVRVCPCVSVSTHRKSVAVHQYTYQHAGPWTQHPGPSRGLTHRTSVAVRVCPSAHTGRPWLSVCPSAHTGRLWLSIGTHISTLVLGLSSLTLPVDCSGDFGPRGLSVQYTQDVHGCPPAHTGCPWLSVCVRMCPSAHTGRPWLSTSTHISMFVGTEIHTVDFRLNKETRKTLISQRSRISANTTRPAIRTRE >A05p012580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5487056:5491423:1 gene:A05p012580.1_BraROA transcript:A05p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-14 [Source:Projected from Arabidopsis thaliana (AT2G34710) UniProtKB/Swiss-Prot;Acc:O04291] MMVHTMHRESPDKGLDSGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEAARLQTVNRKLNAMNKLLMEENDRLQKQVSHLVYENGHMKHQLHTASGTTTDNSCESVVVSGQQHQQQNSNHPQHLQRDANNPAGLRSIAEEALAEFLSKATGTAVDWVQMIGMKPGPDSIGIVSISRNCSGIAARACGLLSLEPMKVAEILKDRQSWLRDCRCVDTLSVIPAGNGGTIELIYTQMYAPTILAAARDFWTLRYSTCLEDGSYVVCERSLTSTTGGPNGPPSSSFVRAEMRPSGFLIRPCDGGGSIVHIVDHVDLDAWSVPEVMRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYGGGRQPAVLRTFSQKLCRGFNDAVNGFVDDGWSPLGSNDGAEDISVMINLSPGKFGGPSHYGSSFLPSFGSGVLCAKASMLLESVPSAVLVRFLREHRSEWADYGVDAYAAASLRASPFAVPCARAGGFPSNQVILPLAQTVEHEEFLEVVRLEGHAYSPEDMGLARDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRVIPLEHKSAPNGASANRTLDLASVLEGSTRQGSEADPNGCNFRSVVTIAFQFTFDNHTRDNVASMARQYVRSIVGSIQRVALAIAPRPGSCISPVSAPTSPEALTLVRWISRSYRVHTGADLFGSDSQTSGDTLLRQLWNHTDAILCCSLKTNASPVFTFANQTGLDMLETTLVALQDIMLDKTLDESGRKALCSEFPKIMQQGYAHLPAGVCASSMGRLVSYEQATVWKVLEDDESNHCLAFMFVNWSFV >A04p008890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7977847:7981117:-1 gene:A04p008890.1_BraROA transcript:A04p008890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLEEEVLNSFFWNLRGLNDPAKHRTFTDWLYSHRPIFGALLETHIKELALPCLMSTLCRDWHYLSNHLCDDDGRIVLIWKDSAKVKLISQTKQVMTCELELPNCAPIIYSAIYASNTSDERTDLWVELLQLQSTHGLDSRPWMIGGDFNQILYPYEHSSYCHNRHSTQIWVFSDLRFYGPAHTWSNKCDVSPIAKKLDRCLTNSECITSFPNAVATFLPPAPSDHSPCLIDLVVQLPKAGTQPFRFLNYLTKHPSFLEVVTDAWLLAGSVSTDLASLCWKLKKIKRSLKILNKENFSNIQKRVNETYCLLQLAQDQGGLGVKDLHSWNLDCILKLIWMLFFRPSSVWVCWFKEVILKGDLSNYWSINTSTKNSWLVNKMIKARGHVYPLLKRRIGNGEKTRFWVDNWSSFGSLFSYLNASTSRLGIPKTANVASLFSAGHWHLPAPRTENQLALQIHLTTVELSEEEDTYEW >A05p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5946108:5947649:1 gene:A05p013660.1_BraROA transcript:A05p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OS9 [Source:Projected from Arabidopsis thaliana (AT5G35080) UniProtKB/TrEMBL;Acc:A0A178UMY2] MRITQILSSLLIISLSSVSDVSSDQIFPAHLVGTFSRNNREPKYTIEYLPEDAPFHPGDNLESMVMLDKQGRRFLCFLPKEEESTTGWASTQQNISTVLMETDKQLKLKTPDELLQPLNDQCLLRQEGWWSYEFCHLGSVRQLHVEDGNKIVQEFSLGKYDAEATAAFNQNVSHASTMKERYHSHIFTNGTTCDLTGTPREVEVRFVCAETRAMVTSITELSTCKYALTVQCPTLCKLPLFQLEKPVSHTIHCNLIPQEEDTTRNEEERVVVESPKVADS >A06g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22180488:22181337:1 gene:A06g507960.1_BraROA transcript:A06g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMVWKELCEHWDKEETKETFSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p019070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13037199:13038657:-1 gene:A08p019070.1_BraROA transcript:A08p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKWLVLAWWKLIEKDGPMKYNWEGYAELIQMVQMHGLKLHVVMSFHQCGRNVGDFCSIPLQPWVLEEISKNPDLVYTDKSGRRNPEYISLGCDYVHVLRGRTPIQVYSDFIRSFRERLRNYIEVEIQVGMGPCGELRYPSYPESNGTRRFSGIGEISAMKRYVKLYLRSLLQAYAESSVETTNWGTSGPHDAGEYKNLPEDTEFFQERWNRKLLEQGHKLLASAKAIFQGTGAKLSGKVAGIYWHYNTRSNAAELTDGFTCMENNLNYANTANCLPEGLVKQVQKVTRQAGTELAGEKASDSGKGSTQFTYLKMNKRLFAGQNWQQLVEFVKNIKE >A08g507030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12123900:12124073:-1 gene:A08g507030.1_BraROA transcript:A08g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGNFPEIYRKVQMKPLKWDGECEEERLVEALMILKYGGVLTHAGRKQVFTYSTI >A01p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1097892:1099113:1 gene:A01p002400.1_BraROA transcript:A01p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRKNAQQLDEDSEQDNKVSKLRSALGPLSGHSLVFCSDASLRRYLDARNWNVEKAKKMIEETLKWRSTYKPHEIRWHQVAHEGETGKVSRASFHDRQGRVVLIMRPTMQNSTSAEGNIRHLVYLLENAILNLPRGQEQMSWLIDFTGWSMAANVPMKTTRDIVYILQNHYPERLGMAFLYNPPRLFQAGYKAIKYFLDPRTAQKVKFVYPKDKTSDELMKSHFDVENLPKEFGGKATLAYNHEEFSRQMYEDDIKTAKYWGLEDTEPNGFHAADVVSEPATSLASAAS >A05g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14021869:14024191:-1 gene:A05g505040.1_BraROA transcript:A05g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p052750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19944282:19944911:1 gene:A03p052750.1_BraROA transcript:A03p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLTLELNINSASDLEYVNHITKMNVYAVVTLLGDKKIQKVKTAVDRHGGSNPNWNHAFKFSVNERLAREGRLTLVVGLFSGRVLGDKDIGKVEIPLVYLLPSTNDYSNGHGMKFVTYQVRTPSERMKGSLTFSYRFNGTAVIYGPVHQQGPTSWAPPPSQHGYGPYPYMSQPPPPTGYVYEGPPQYRKNEERLAKADLLVSAVSLLR >A01g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21150770:21151342:1 gene:A01g507270.1_BraROA transcript:A01g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEMTDPWYSEMKQHKREYDWLFTAADANHGIPNKCACGQSIVVESGEQGRRYYVCNVFEVRMMCALEDEVNNLKQDVRDEVETRLKLELKIQQMSKEIEELKKLVKVVMFYQYYTFN >A02p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28524366:28525651:1 gene:A02p045420.1_BraROA transcript:A02p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGKGFKSSLKSSYGPGKDDNSTKSKKTRKVQFDPQGPRESKYTFLQDSDEQIQGSSAKGGKGSKARKSTLSKESQPLELKTDKELPENAKCLMDCEAFEILQGIKEQMAVLSEDPSLKLPVSFDRGLEYVKYGRCYMNPQSVRQILEPLKKHGVSESEMCVIANVCPESIDEVFAFVPSMKGRKDKISEPLEEALMKLSKLKRSA >A09p059930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49886789:49888849:1 gene:A09p059930.1_BraROA transcript:A09p059930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCARECROW [Source:Projected from Arabidopsis thaliana (AT3G54220) UniProtKB/Swiss-Prot;Acc:Q9M384] MAESSGKTTSSDNNSSNRSTVPPPHPSAMVRKRLASEMSSSSSLNNNQRPPPPHRASHLPDSNYIVTAAAADSTTHPTPPVSVCGFSGLPVFPSDNINNRTAMSVQPMEQQDSSSSSPTVWVDAIIRDLINSSASVSIPQLIQNVRDIIFPCNPNLGALLEYRLRSLMLLDPPPSSSDAPPPQPPFEPLYHQQQQQPKPPTPPPPPQVQQQERENTPPPPPETVTTTTTTTTTAEALRERKEEIKRQKQDEEGLHLLTLLLQCAEAVSADNLEEANKLLLEISQLSTPYGTSAQRVAAYFSEAMSARLLNSCLGIYAALPSRWMPQTHSLKMVSAFQVFNGISPLVKFSHFTANQAIQEAFEKEDCVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSMEALQATGKRLSDFADKLGLPFEFCPLAEKVGNLDAERLNVRKREAVAVHWLQHSLYDVTGSDTHTLWLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLAVGGPSRSGEVKFESWREKMQQCGFKGISLAGNAATQATLLLGMFPSDGYTLVDDNGTLKLGWKDLSLLTASAWTPCS >A05p018290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8375858:8377729:1 gene:A05p018290.1_BraROA transcript:A05p018290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSKSIALTIIFLLLSVSCASSQQETKFLNHGFLGANLLKFGSSIVHPSGLLELTNTSMRQIGQAFHGFPIPFSKPNTSNSISFSTSFVFAITPGPGAPGHGLAFVISPSMDFSGALPSNYLGLFNTSNNGNSLNRILAVEFDTVQAVELSDIDDNHVGIDLNGVVSIESATAAYFDDRDAKNISLRLASGEPIRVWIEYNATEMLLNVTLAPLERPKSNVPLLSRKMNLSETLSEQNYVGFSAATGTVTSTHLVLGWSFSIEGKATEIDLTKLPSIPKPPSPPSPSSTPQVSVKKDSNNTKLIIIFAASATGVIMILALLGFWLFRRRQVFFTAGARKFSHQMISSATGGFDNSRLLGERNSGSFYKGNLTPTEITAVKKITCTTRQQKTTLIAEIASISRLRQRNLVNLLGYCSKGNEVYLVYEYVPNGSLDRFLFSNDRPVLTWSDRFCIIKGIAAGLQYLHGEGQRPLIHGNVKASNVLLDEELHARLGDYGQGIRHSSTTGHVAPELVETGKATRDTDVFGFGVLVMEIVCGRKAIEPTKPPEEISLVNWVLQGFKKGDLLQRCDTRMNRDELVAREVLLVLKTGLLCANRSQEARPMMKQVVRYLDGTERLPHDD >A05p023260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10085609:10085860:1 gene:A05p023260.1_BraROA transcript:A05p023260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNPPHPPDGKGTLTPQGKEPRNYTLSAEVLATLVATGIAVQGRLQQPRLTITRTSDRSENQSLTLHRKKKLQQPVTSERQL >A04p005670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2997396:2998238:-1 gene:A04p005670.1_BraROA transcript:A04p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQMLKQLEPWCELKDKVVLLTGASSGIGREVCLDLGKAGCKIIAAARRVDRLESLCSEINSLSSTGIQLAAPIELDVSSDAATIQKAVKQAWDIFGKIDVLINNAGIRGNVKTSLDLTEDEWNTVFRTNLTGPWLVSKYVCSLMRDSKRGGSVINVSSISGLHRGLFFGGVAYACSKGGVDTMTRMMAIELGVYNIRVNSIAPGLLKSEITQGLMQKEWLKNVTDRIIPLKVQQTVDPGITSLVRYLIHDSSRYVSGNIYILDSGTTLPGLPIFSSL >A08p042820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23951685:23953123:-1 gene:A08p042820.1_BraROA transcript:A08p042820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNQPGEKNPEEVMSNSAPGGSTKRKGGGRKRNPDERTVADLEYRAPGRSYRRLEKKRMARRKAQREETARKEEEPLRKAEEAFWRKVDETDGFDIEIEGAPCYFGGMSVYKGGVDCPLVVKLYATVGLHRYNMLEGTNLHLHKIEKYVVVCTIMPVSYYITLIAEDPATSSFVTFQTHVDQRSLGQIDFTCYISRPKGIKSEIYPTQFFDAKDFPDKWPSKEAFADQSRFLYKMQKSDWEEHDWIRLYMEISFFNRHRCLNHNMSDLKILDVVVETEENVPRETVLKSLRNVLVYIRYDQDLGADGVCKHIAIVRRTVEPTTHCVCLLGESQLVPDSE >A03p060270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26126907:26130703:-1 gene:A03p060270.1_BraROA transcript:A03p060270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMEYLALPPPLHSPPSFHTVFVALSGSRKSKYVVTWALEKFGPEGNVGFKLLHIHPRITCVPTPMGNTIPISEVREDVVIAYRQEILCQSELVLTPFKKMFEKRKVAVEVLVIESNNVAAAIAEEVIRNSTERLVIGSSPRRFFSRRVDLCSAISALMPNFCTVYVVSKGKLSSVRPGYLDANASIRDDTSSSSSRPISESTDGTSSARDSSSSSISLPVRRLQHLTTAARQASAQMETNSVGSEVTMCMSMDVSRTNKSSMDTKSPSTPGLRDNEERKKPMSSSSSNLEYGNATHSRSSQQASSMCDNISEQSYTSNQVNLSFEVDKLKAELRHIQEMYTVAQTEIFDASRTLGELNQRRLEEARKLEEIKLKEYEAQELAEKEKQNFEKAKRDVESMREKVEKEIAQRREAERKATRDAQEKEKLEGTLGTPQLQYQHFTWEEIVAATSSFSDEMKIGMGACGAVYKCNMHHTTAAVKVLHSPESRLSKEFQQELEILSKIRHPHLVLLLGACPEQGALVYEYMENGNLEDRLFQVNNTPPLPWFERVRIAWEVASALVFLHKSKPKPIIHRDLKPANILLDHNFVSKVGDVGLSTMVQVDHLSTKYTIYKQTSPVGTISYMDPEYQRTGMISSKSDVYSFGIILLQLLTAKPPMALTHFVESAMDTNDGFLKILDRKAGNWPVEETRELTALALCCTELRAKDRPDLRDQVLPALESMKRVAEKARHSVSGVPTQPPTHFLCPLLKDVMNEPCVAADGYTYDRHAIEKWLEEHDTSPMTDSPLNNKDLLPNYTLYTAIMEWRCRLK >A08g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10543440:10543978:1 gene:A08g506160.1_BraROA transcript:A08g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCGSGWAWMDGSGNAQLMGTRNFPRRESALHLEVEALRWAMENMLRHSTCQSFGTDCKELIAMVKDPQAWPSFSTELERIETLQICFPAFNITHVPRAHNQIADFLAKTARSFHRELHFVGCSIPVWLPRPPQVGTFNNFNNL >A03p020160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8271402:8272733:1 gene:A03p020160.1_BraROA transcript:A03p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLLVTLFFLSTTISSSTSPNHFTVKLIHRDSPHSPLYNPQTTATDRLNAAFLRSISRSRRFNHKSQTDLQSGLIGAGGEFFMSITIGTPPTNVLAIADTGSDLTWVQCKPCQQCYKENGPIFDKNKSSTYKNVPCDSRHCNALSTNERGCDESQNVCKYRYSYGDQSFTKGDVATETISVGTASGSPVSFPGTVFGCGYNNGGTFDETGSGIIGLGGGEISLVSQLGSSISKRFSYCLSHKSSTMNGTSVINLGTNSIPSGTSKDSSVLSTPLVDKEPQTYYYLTLEAISVGKTKIPYTGSYGTSSATTKGNIIIDSGTTLTLLDSGFYNGFGAAVEESVTGAKRVSDPQGLLSHCFKSGNAEIGLPEITMHFTGADVKLSPLNAFVKVSEDMVCMSMIPTTEVAIYGNFAQMDFLVGYDLETRRVSFQRMDCSAGLGL >A03g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30495054:30496761:1 gene:A03g509450.1_BraROA transcript:A03g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSVTDLKPFKTMWKIRVRIIRLWKQYSAAGGLTIERVVVDCNGVKIHASVKKDLVNQFDSQLSEGSSKIFINFSVGQSCGSYRTTNHQYKISFLETTRVRDCDFPAELEEPAAIPLALKNLVGKIYLFKVGIERENFLYNHDTYKVTKIITNDEIISEFDTKVYPKLPNLTYTGDNTVLSDAPEGSLILSAESSEEVERTDLTPAKRRGTTIVNLEEAVDQNSVTRTPCTTRIKKEKTEKIIWWYIKVCTVLQDVFHKCMSSEHHVRGLS >A06g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17233127:17233631:1 gene:A06g506070.1_BraROA transcript:A06g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYSYTQPSASEDYGLGDSADSGYSQTDAEFEAAILMDQAEIEASRVQYPPQPEVEFGFPRECYCGGEPLLATSVTRNDPGRRYYTCRNVEDGDCHVWKWWDVAVMEEVRAMGTQVCQLSDKVDHLASLSDYESEVNQY >A05g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3795030:3795884:-1 gene:A05g501060.1_BraROA transcript:A05g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIEFPVVTNTEHMLLQPVRGSLSDASGFSVSVFLDVHGRLFEMETCMFFFFGVLDVLSYIKATFYPKFENEKTDQEIPTITYTGDNSILFDAPEGSLMLSVDSSQECEPTDLTPAKRRGTVIDNLDETFDENSVSRTPCSTRIKKEKTDKSG >A07p038410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20448478:20450849:1 gene:A07p038410.1_BraROA transcript:A07p038410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSYRISVLLHLALFFLLSSLVASDTETLKGVGPGHSALSVVNLTSCERIQVSGYPRSELGKYAHSFKVKLAPLVTLPERLHSKIQICAHRNVSLGMCRCEKADWKNLQKGQWSFVMSPYDTQYIDVKFSGQSSGSVTISVTEDFQQWRILCLVVGLVVILAAPVVSSWLPFYYTSSMAVGVFLVVLIIIFQVMRLLPTGRKNVMYLAFYGSVVGAGSFILHQFSMMVDMILVNFGLSEDMYSPVAILVLVGIAITGAAFGFWTVRKFVVSKDGGVDASVAQFVKWAMRSVAATFIFQSTMDTPLAMGAFISASLLGYLISRTIHSRKSVVTQRQWLVPAGKGRPMHGRAEFLSRPGGGGLWNSARTVPSSTGSPSNGLRNVMARSSFNRRIPIERKDYYSTYHRTPSRKKLTKEEYEEVTRETTREAMAGLAASPGFSDWLIEHADRIKLLPDESYDDELGSESDSTGEQSWAGFIW >A07p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4278577:4281093:-1 gene:A07p001740.1_BraROA transcript:A07p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDNLNMSGLTAALNEEDRAGLVNALKDKLQNLAGQHSDVLENLTPAVRKRVEVLRKIQNQHDEIEAKFFEERAALEAKYQKLYQPFYTKRCEIVTGVVEVEGLPEEVKTEQGADKAAQEKGVPDFWLIALKNNEITAEEITERDEGALKYLKDIKWNRVEEPKGFKLEFFFDENPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNTKPITKTEDCESFFNFFSPPQVPEDEDDLDDDMADELQGQMEHDYDIGHQLGKRSLEEVNLLKVNKVRGLRSVSSSE >A02p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25398523:25398840:1 gene:A02p040130.1_BraROA transcript:A02p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSIHISEYRSIQLEGCRSMILTESFTERVTDHVPLAPNYPNIKVLVSYSRTHAMGAIQVMDITNNSAVYIWGNPP >A02p051210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31500289:31500823:-1 gene:A02p051210.1_BraROA transcript:A02p051210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRQSSSALRISDDQMIDLVSKLCQLLPEIRERRRSDKVSASKVLQETCNYIRKLHREVDNLSDRLSQLLDAVDEDSHEASVIRSLLM >A01p042410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25546650:25548159:1 gene:A01p042410.1_BraROA transcript:A01p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPQCCENPPVLNPLSGSGHVEKLAGLDVYVSGSPDSNKCVLLVSDIYGYEAPNLRYYKIASVFDIVLVGFAFVHKLRALADKVAASGFYVVVPDYFYGDPYDPSHQDRSVPVWIKDHGPDKGFEDTKPVLEAIKNKGITAIGAAGMCWGGKVVVELSKEELIQAAVLLHPTYVTVDDIKDVKVPIAILGAEDDFLTPPALVKQFEEILASKPEVSSYVKIFPNVSHGWTTRYDINDPEAVKAAEEAHKEMLDWFVTYVK >A04p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3702982:3704463:1 gene:A04p006930.1_BraROA transcript:A04p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLKLPIFLILSSLLHAALGEDIICENLPTNMCAFSISASGKRCLLETANVAGEYTCRTSAVEVEGIVNHVESDECVAACGVDRKTVGISSDAMMEAGFAAKLCSPACLDYCPNILDLYFNLAAGEGAFLPDLCDAQRTNPHRSMLEMLSSGGAAPGPVSETAPGPVSDSSSPALAPAAM >A08p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5171357:5173890:1 gene:A08p007800.1_BraROA transcript:A08p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVSDYGGTRCVPGFAKGWKPRVQCGTWFERRLKKNLQEDQNTLQVRSSASFDGKGGYVAEAKQKDPGSFGCGRNILKMKSPVSDYGGTRCVPGFAKGWKPRVQCGTWFERRAVIFKTPESIFPSADRSSKIVNVVGAISTLLFFPSLQGGPHNIHIAALAIALKQVAIQENKAYIEQIKKNSQVLTSALLRRKCRLVTGGTDNHLLLWDLTPLGTAHASMQYDMHASSFQRDITTSQQGAKTNGAPEYCTRVSSPVSQTEPLLGHSQMKHTLRLKKTWQEATKSSVPRMVGNLVYRVRPVSAALSVGRREFYVLFVEEGLDFSSIHRKKEARKSFEKVIKLSEKLK >A01p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4033514:4037682:1 gene:A01p008290.1_BraROA transcript:A01p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cadmium/zinc-transporting ATPase HMA2 [Source:Projected from Arabidopsis thaliana (AT4G30110) UniProtKB/Swiss-Prot;Acc:Q9SZW4] MATKNEKKMTKSYFDVLGICCTSEVPLIENILNSLDGVKEYSVIVPSRTVIVVHDSLIISQFNIVKALNQARLEANVRVTGETNFKNKWPSPFAVVSGVLLLLSFFKYVYSPFRWLAVAAVVAGIYPILAKSVASIARARIDINILVVITVGATLGMRDYTEAAAVVFLFTIAEWLQSRASYKASAVMQSLMSLAPQKAVIAETGEEVEVDELKINTVIAVKAGETISIDGVVVDGNCEVDEKTLTGEAFPVPKLRDSTVWAGTINLNGYITVKTTALAEDCVVAKMAKLVEEAQNSKTETQRFIDECSKYYTPAIILISLCFVVIPFALKVHNMKHWLHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKGADYLETLAKIKTVAFDKTGTITRGEFIVMDFKSLSRDISLHSLLYWVSSVESKSSHPMAAALVDYAKSISVEPKPEAVEDYQNFPGEGIHGKIDGKEVYIGNKRIASRAGCSSVPDIDVDTKGGKTVGYVYLGETLAGVFNLSDACRSGVAQAMKELKSMGIKTAMLTGDNQASAMHAQEQLGNVMDVVHAELLPEGKSQIIKDFKREGPTAMVGDGLNDAPALATADIGISMGVSGSALATETGHIILMSNDIRRIPQAIRLARRGKRKVVENVVLSITMKGAILALAFAGHPLIWAAVLADVGTCLLVILNSMLLLRDVHVPGGKCHRVEKGEGDVVGDMEAGLLLKRGDEKHCKSGCCGKKNQEKVMKLDKTSEGHGHSGCCDKKQKDDVMIVGERCESRCCGAKIQQPDQDEVKQSCHNEGGNLEEIRLDISVKGCCSRAGADPVVASLKVKSDGHCESSCCESSRKGNNEEACCEVETNESKCSSRERSHSHSHCHSHHHE >A02p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9887590:9889711:-1 gene:A02p020970.1_BraROA transcript:A02p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRSLLWKLSIIVLLAVFSQPSFSGDTGSSDETETGNGHEAAEMQPQRAGNRKGRGGRGGIAQVAEMNWPGKWELFLKSSGVSAMHAILLPLINKVQFYDATIWRISQIKLPPGVPCHVFDHVHNKVDCWAHSVLIDIESGHIRPLSLTTDTWCSSGGLTINGTLVSTGGFQGGANTARYLASCDNCNWVEYPKALAAQRWYSTQATLPDGTFIVVGGRQALNYEYILPEGMDNKKLYDSHLLKETTDREENNLYPFVWLNTDGNLFMFANNRSILLSPKTNKVIKEFPQLPGGARNYPGSASSALLPIRLYVNNPPVIPADVLICGGARQDGYFRADRLKLFDPALNDCARISLNSRRPAWKTEKMPAPRVMSDTVVLPNGEVLIINGAKHGTSGWGLAKDPNFTPLLYTPNKPIGKRFKELAASTIPRMYHSIAIALPDGKVLIGGSNTNDGYRYDVEYPTELRIEKFSPPYLDPALANMRPKIVHTDTPKQIKFGQTFNVKIELKQANVAKENVMVTMLAPPFTTHAVSMNMRLLLLGISDVKKEHGDVHQIQAVAPPSGNVAPPGYYLLYAVYNGVPSVGEWIQIV >A06g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23544928:23546129:1 gene:A06g508400.1_BraROA transcript:A06g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNPIFYYNMFHVHRRIIKVRIVTVGIVKSRVQFYFILLVRYCPLWALGGWPAWIYFWFPSQKASTTHLVPFSFSKSSRCLVASSTFDTFSRKDLLTNLYRTSLSTLKGPIPTRRVFWSSCRYSSTALDTNCWDCEISCPILFYLISTILSTLGLRRLARMDLLLVSIPKGLVLLELDISLYIRHSLSNYPIESSRCLVASSTFDTLSRKGPVPTRRVFWSSCRFIVNRSDTNCWDCEIPCPILFYLISTILSTLGLRRLARMDLFLVSIPKDLVLLELDISLYIRYSLSNYPMWDLD >A04p031380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18506852:18511133:-1 gene:A04p031380.1_BraROA transcript:A04p031380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LYK5 [Source:Projected from Arabidopsis thaliana (AT2G33580) UniProtKB/Swiss-Prot;Acc:O22808] MAARTLHALSTSPLFLLLLFFAASSPTKAQQPYVNNHQLDCENRDFDNITNGFTCNGPRSCRSYLTFWSLPPYNTPNSIATLLNASAAEIQTLNNLTSLTTVIPTRRLVVIPTTCSCSGGGGFYQHNATYRLSGERQETYFSIANDTYQALSTCQAMMSQNPYGERNLTAGLNLLVPLRCACPTANQTAAGFRYLLTYLVAQGDSVSAIAEMFRSSTPAVSSGNELTSDNIYFFTPLLVPLRTEPTRIVITPPSPTPPVATPPQSPPVDPPGGSSSSHKWIYIGVGIGAGLLLLISILSLYFCYYKRRSKTSSLIEQNKLTDSSTKQSLPTTSTNQWSIALSNSSDTTSGLKSAIESLTLYRFSDLQSATSNFSEENKIKGSVYKATINGDDAAVKVIKGDVSSSEISLLKKLNHSNIIRLSGFCIREGASYLVYEYSENGSVSDWLHSSNKKKSLTWRQRVEICRDIAEALDYLHNYVTPPHIHKNLESNNVLLDSNFKAKISNFGVARILDEGDLDLQLTRHVEGTQGYLAPEYVENGVITPKLDVFAFGVVVLELLSGKEAVTTVDKEEKEEMLLCREINNVLGGENVREKLKEFMDSSLGDEYPLELAFTMAQLAKSCVAPDINSRPSIAQVLTTLLMIVSKLIEEIDEYDGEDPLFPWIKCVKWVQEAFPPGGECSGLLVIYEQCVRKFWHSERYKDDLRYLKVWNAKPVEKLNDVYMKFMEPKENELPSRSFGTVLSRGDNNNTGRQALGPQAKRTKPNHPSRAPLAVYKNTTSGDQTETDKSKPEFGSWLMLGGRAERNKENNALPGKWAAFKAPQKPIVRAAASSFEVFVDEKECTDERVQKKKKSETISSSSNVLPLNEGREIKKETELLRQNPLRHFPSSSFLR >A09p080210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58821608:58823552:1 gene:A09p080210.1_BraROA transcript:A09p080210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT1G07880) UniProtKB/Swiss-Prot;Acc:Q9LQQ9] MENVEDGGILTYNGRYVMYNVLGNLFELSSKYIPPIQPVGRGAYGIVCCATNSETNEEVAIKKIANAFDNRVDAKRTLREIKLLCHMDHDNVIKMKDIIEPPEKDRFEDVYIVYELMDTDLHQIIRSTQTLTDDHCQFFLYQILRGLKYIHSANVLHRDLKPSNLVLNTSCDLKICDFGLARTSTETDMMTEYVVTRWYRAPELLLNCSEYTGSIDIWSVGCIFMEILRRETLFPGKDYVQQLKLITELIGSPEESDLDFLRSDNARKYLKQLPRVQKQSFRENFPDISPMALDLAEKMLVFDPSKRITVEEALKHPYLASLHEINEEPTCPSPFSFDFEESTLDEQDIKELIWRESLHFKNKQSPTIQDT >A09p079280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58398813:58404212:1 gene:A09p079280.1_BraROA transcript:A09p079280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G09930) UniProtKB/Swiss-Prot;Acc:O04514] MDARFLYPLESCKIIHLLRHGQALHNVEAEKDRNALLSPHLFDAPLTEHGHQQVENLHERLVSSGVLKRVELVVTSPLLRTMQTAVGVFGNEDRQQNMTSTPSILALEVARDRNGVRPPDMRRNIESEEDNLWRSDVRESEEEIFARGLEFMKWLWKRPEKEVAVVSHGIVLQHMLYVFANDCDKSIRHDLCKRFANCEIRTVFWPHTTQQTTMAALELHKPEIDEEEDESSVEEVRLTVSNEDDPSLPVWTFRMWFLGLLSCVLLSFLNTFFGYRTQPLMITMISVQVVTLPLGKIMARILPETKYRIGSWEFSFNPGPFNVKEHVLISMFANAGAGFGSGTAYAVGIVDIIMAFYKRKISFLASWILVITTQILGYGWAGIMRKLVVDPAQMWWPTSVLQVSLFRALHEKDKARMSRGKFFVIAFVCSFAWYIFPAYLFLTLSSISWVCWAFPKSITAQQIGSGMSGLGLGSFALDWSVIASYLGSPLVTPFFAIVNVLVGYVLIMYMVIPVSYWGMNVYEAHKFPIFSSDLFDSQGQLYNISTIVNDKFELDEVMYQQEGRVYLSTFFAITYGIGFAAIVSTLTHVALFNGKGIWQQVRASATAKVDIHTRLMKKYKDIPSWWFYSMLAVSLALSLVLCTVMKDEIQMPWWGLLLASFMALIFTVPVSIITATTNQTPGLNIITEYLMGVLLPGRPIANVVFKTYGYISMSQAISFLNDFKLGHYMKIPPRSMFLVQFIGTIIAGTVNISVAWYLLTSVENICQKELLPPNSPWTCPSDRVFFDASVIWGLVGPKRIFGSLGNYPALNWFFLGGLVGPVLVWLLQKAFPTRTWISQINLPVLLGATAAMPPATSVNFNCWIIVGVVFNYFVFKNYKKWWQRYNYVLSAALDAGLAFMGVLVYFSLTMNGISIGHWWGAEGENCPLASCPTAPGVHVEGCPVF >A03p060460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26184288:26186694:-1 gene:A03p060460.1_BraROA transcript:A03p060460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWGIEVKPGKPQAYNPENEQGTLHLTQATLGAGLGKEKSVIQCSIGGNAPVYLCSLLPNKSECCPLNLEFDDNDEAVEFSVAGDRSIHLSGFLQAYDEEEEECGEDDYEDDSDGIDIGDTESGESEFDSEDDEDHMDEFEDFIDHNLDMYRQSSVPNSGVVIEEIEDEEKPATDDKTKRSKKKSKASKDESANKQIVVKESAHAPVLESEDEDGLPIPKEKTPEPEKKSDAEMDVDGDEQGSNKKRKAKAPEQESVNKNKKKKNQKEKKNEESASKGEADEKAETEDVLKKEETSQSSSNPKAQNGAANNAMSENSKTPVESAEKKKKKKKKSNEEAKEESKAISSRTYPNGLVVEEIRMGKPNGKKATPGKQVSVCYTGKLQKNGEVFDSNKNGFKFRLGVGQVIKGWDVGVNGMRIGDKRKLTIPPSMGYGAKGAGGDIPPNAWLTFDVELLDVK >A09p041910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23682696:23683917:1 gene:A09p041910.1_BraROA transcript:A09p041910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWTLDTAIRNPILSSAKPLSRKLGGVEIIRFQKRKIGYRSLVVRATSNKSNDDSSASGGDASQENKSGDSAATPKPFGLNTDWREFRANLFMKEQEEKAAGEGHKPDTTTSPEAEPRGLKWAHPIPFPETGCVLVATEKLDGYRTFARTVVLLLRAGTSHPQEGPFGVVINRPLHKNIKHMKSTKTELATTFSECSLYFGGPLEASMFLLKTADKTKIPGLEEVMPGLNFGARNTLDEAAVLVKKGLLEPQDFRFFVGYAGWQLDQLREEIESDYWHVAACSSELICGASPENLWEEILQLMGGQYSELSRKPKLDM >A09p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:244264:246271:-1 gene:A09p000390.1_BraROA transcript:A09p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glycerol-3-phosphate acyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT4G00400) UniProtKB/Swiss-Prot;Acc:Q5XF03] MVEAFVKDYLGADKVLGTEIAVSPRTKRATGFVKKPGVLVGDLKRLAILKEFGDESPDLGLGDRTSDHDFMSICKKSYMVHATKSTTTIPKERLKSRIIFHDGRLVQRPTPLNAVITFVWLPFGFILSIIRVYFNLPLPRNFVRYSYEMLGIHLTIRGHRPPPPSPGTPGNLYVLNHRTALDPIIVAIALRRKITCVTYSVSRLSLMLSPIPAVALTRDRAADAARMRKLLEKGDLVICPEGTTCREEYLLRFSALFAELSDRIVPVAMNCKQGMFYGTTVRGVKFWDPYFFFMNPRPSYEVTFLDRLPEEMTVKGGGKTSFEVANYVQKVIGDVLGFECTELTRKDKYLLLGGNDGKVESIHKK >A05p034150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19200363:19202034:-1 gene:A05p034150.1_BraROA transcript:A05p034150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIYSTQALNSTHFFTSSSSSKQVFFYRRQNNRRFNTIITCAAQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKSGIAVEKPIYNHVTGLLDAPELIQPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFNYAPDSYFDHEVSVVEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIANKATAPAEAAKA >A08p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19387654:19392500:-1 gene:A08p031840.1_BraROA transcript:A08p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGGGCCPPMDLMRSEPMQLVQLIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVLPKEMLGKENDIDLDDVEVKLGELEAELVEINANNDKLQRSYNELMEYKLVLEKAGEFFSSAHRSATAQQRETESPRASEDLLESPLLQEEKSIDASKQVKLGFLTGLVPREKSMVFERILFRATRGNVYIRQTVVEETVIDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLRKQVQMITEVSGRLTELKTTIDAGLGHRNILLQTIGDKFELWSLKVRKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFASKEIQEALERAAVDSNSQVGSIFQILRTKELPPTYFRTNKFTSAIQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLATMYLIVREKKLASQKLGDIMEMAFGGRYVIMMMSLFSIYTGLIYNEFFSIPYPLFAPSAYDCRDASCSEATTIGLIKVRDTYPFGLDPVWHGTRSELPFLNSLKMKMSILLGVSQMNLGIIMSYFNARFFKSSVNIWFQFIPQMIFLNSLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPTDELGENQLFPHQKTVQLVLLFLALVSVPCMLLPKPFILKKQHEARHQGQSYAPLEETDESLHVETSGGGHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNWLILIVGIIVFVFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFILTASEDE >A05p021380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10164295:10164912:1 gene:A05p021380.1_BraROA transcript:A05p021380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSGSKPNPNPVENNAEEIHYRGVRKRPWGKYAAEIRDPFKRIRVWLGTFDTAQQAARAYDAAARELRGANAKTNFPTLLELQLKGSGYTRGPRKSRTVHSVSPLAARLAPLHLRLSLGGSSGGGACYPQVPVARPLVARPLAARPLAARPPVARPVYCCNMTACATCGAESESDSSSVLEFEDETEEKPQLLNLDLNFPPPAE >A09p069140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53959644:53962258:-1 gene:A09p069140.1_BraROA transcript:A09p069140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLFYCFLTSLSLAHGASTIHISPTQPPTDSEKTIWENSTRKFIVAETPLYGPTYNNPQVIGDVSVALAAQRTFRKDPLNGFQIYTGGWNISSRHYWASVGYTAVPLFAVAAVWFLGFGICLLVICMCHICHRSKSIGYSRVAYILSLIFLLFFTLMAIIGCSGPLLPLLCNPFNHDLTDRPCSPGELDLNNATQAWSSFMCQVNTNGTCVTTGRLTPALYGQMASCVNISTGLINDAPFLVQLQDCSYARQTFRDITNNNCPGLRRYGYWVYVGLAMLSTAVMLSLVFWIIYSRERQHRKEALPEYSDSKEIVRVNF >A06p039000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20945135:20947382:-1 gene:A06p039000.1_BraROA transcript:A06p039000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLLPPSPDPEESITDPANQRANHRPENSSDELKDETMRKKMKPSPLTKRKLKAKKKESDEASSSSCSSSSASNLNSTRRVSRVAHRLRSPPVRLGFTRRSVGERQAEALALPLGMSFAAFANLVLQRKSADGQNVYVDDLAGISASAVKESLANVYGDKLGSFATNFEKSFNSTLKILKLINESTSPHQLDNTNNVASCDLDRSTIDGCSDSELSAKETSSTTSAYEAIQGGTRTTTSSMNEIVLHEETRQLSTVSRTSSAMPLTTFEKALEEQARANDLKSMEIGLTMRKLRLKETELALSYESNNLGRSKLEMNVSKAAFRAEKFKTEQEDSKKADMVHKIMDWLVLSVFTMLASMLYGAYVFSHQRITEAASICEPSEEKTSSWWVPKQVSSINAEFNILLCRLRVWVQSFFGVLMILVITYFIIQRSSGTAKQTMPVTFIVIFLGIVCGLPGKFCVDTLGGDGKLWLLLWETLCVLQFVANVFTLAFYRLMYGPVTTQGGRCDTMVPYWARRSVFFALVVLALPVMNGLLPFATYGEWREHWATWWAGPGSDD >A03p035400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14874189:14876938:-1 gene:A03p035400.1_BraROA transcript:A03p035400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPCLLSCFLFLFFFLVPQLSFSCPPDQRQSLLEFKNLLTQTIKNHSTTAITLEGLKTWRPNSDCCKWQLVRCNTRSLQVIELNLNSLVPSGSVSSSLLRPVLRISSLATLDVSSNFIQGEIPGDGFVNLTRLVSLDMSRNSFNGSIPPQLFSLKTLERLDLSMNAIGGTLSGEIKELKNLHELILAENIIEGEIPPEIGSLTELRKLSLKNNKFSGPIPLSLSKLTKLEAIDLQNNSISFEIPDGIGSLVNLSTLSLSRNNLSGGIPSSVQKLTNLKTLELENNHGLSGKIPTWLFSLEKLKILRLGGNKLQWNKNGSVSPLSKLTHLSLRSCGLEGTIPDWLKNQTSLLFLELSMNRLEGSFPKWLADLKIGTIIMSDNRLSGSLPPSLFQTFNLSVLSLSRNNFSGQIPDTIGGLSAVMVLMLSENNFSGPVPKTITNAYRLLLLDLSKNKLSGEFPRFSPSSLLAVLDISSNEFSGDVPASFGLFTIMLSMSQNNFTGEFPQDFKSFPFLIHLDLHDNRISGSIPSGLSPSLEVLSLRNNSIKGSIPEDISNLTSLKVLDLSDNELDGSLPSSLGNLTGMMVSPTSNSSAFSFMLNFGIEIHDLAVNWKNSKQGLANRNLYLYTLLDLSKNKLRGDLPASLGNLRSLKLLNLSYNDISGLIPQSFGGLEKIEVLDLSHNNLAGEIPKTLSNLSELNTLGLSNNKLTGPIPESSQLDRLNDPNIYANNSKLCGMQIQVPCYNATQREPPEEEEEEEALFSWKAAVIGCPCGFLMAVVIMYVVGYFSRPPPPRRSLKNREGFKKMKASSP >A05p044290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26597969:26600193:1 gene:A05p044290.1_BraROA transcript:A05p044290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFLNNRNSGDDTSERRGYGEAKLGIELERTVDIAARPWEIRAQCLVTKPNKSARKPEILSPNVITKETSELSLTKMNKSPRIDIPSSSSPASASSADGEFNEDDIFSIDVTHTPPSSSPSQHPPARQLQRSKSGLKNVEASGILAALPEPSGNSYLNHVFHHKPAASSSPSSSSARTIPSAPKPPQERLPYTASFIGGGKFPQSAPVQVPLASSAMMNRHKKEFKLTDVVDEDEEEEEGEMLPPHEIVARSLAQSSLLSSSVLEGAGRTLKGRDLRQVRNAVFRRTGFID >A03p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14306767:14309457:-1 gene:A03p033810.1_BraROA transcript:A03p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNNNNNNRGGGGGGRYPPGIGAGRGAINPNPNFQSRPGYQQPQQPQYVQRGGYSHQQQFQQATSQPPRQYQQQQHQQQWLPRPQISSGNSNGGGEGDAVVEVEKTVLSDTNSEDWKARLKLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGRDILARAKNGTGKTAAFCIPVLEKIDQDNNVIQVFSLLPVLYVAVIIVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCVLKDCSVLAMDEADKLLSQEFQPSVEHLISFLPQNRQILMFSATFPVTVKYFKDRFLTNPYIINLMDELTLKGITQFYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >A08p045840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25064320:25068650:-1 gene:A08p045840.1_BraROA transcript:A08p045840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESQAMVAEGYNASAATVESNIDSSQSVANVSLVNGTGPEGSLTAPAENGTATDNVPVTAPGAGHVDNAGSALSPEEERLWSIVRANSSEFNAWTALIEETERISQDNIAKIRKVYDAFLAEFPLCYGYWKKYADHEARVGAMDKVVEVYERAVQGVTYSVDIWLHYCVFAINTYGDPDTIRSLFERAVVYVGTDFLSSPLWDKYIEYEHMQQDWSRLAMIYTRILENPIQNLDRYFNNFKELAETRPLSELRSAEESATVAVASDASETALSESDGKADEGKSQADGTSEPSKLESVGSTDPEELKKYIGIREALYIKAKEFESKIIGFEMAIRRPYFHVRPLNVAELENWHNYLDFIESDGDFNKVVKLYERCLVACANYPEYWIRYVLSMGSSGSMDLADNALTRATQVFVKRQPEIHLFAARLKEQNGDIAGARAAFQLLHSEISPGLLEAVIKHANMEQRLGNVDDAFSVYEQVIAVEKGKDNSILLPLLYAQYSRFSYLVLRDAEKARKIIVEALDHVQPSKHFMEALIFFETILPPPRNIEYLDPLVENLIKPNVDTQNTASSTEREELSLIYIEFLGLFGDVESIKKAEDRHCKLFLPHRSRSELKKRSADEFLSSDRTKLAKTYNETAPAQPVSNAYPNAPAQWSGGYAAQPQAWPQPQAAPAQPQQWNPAYGQQQAAYGAYGGYPAGYAAPQAPTPVPQAAAYGAYPPQAYPAAATAPLAAPVQQPAAAPPQAYYNTYY >A04g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11783903:11790784:1 gene:A04g505560.1_BraROA transcript:A04g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGATSQSDPLRSLPKAGATCRGRSASIVGSISIFLKGLLPPVADYLLSFDLLRNTQKLFGEVHLLDFDCYVLVLLLISYLFLYMINLKSNMGLRGIMKIKTFVVKDAKIANRLVSNDCFHIIQPKTFDVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGATSQSDPLRSLPKAGATCRGRSASIVGSISIFLKGLLPPVADYLLSFDLLRNTQKLFGEVHLLDFDCYVLVLLLISYLFLYMINLKSNMGLRGIMKISELSEYLHSRCFDIPQNWFDNLLYYNICLRSLENS >A06p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22865368:22867456:-1 gene:A06p042500.1_BraROA transcript:A06p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCILFRPKTSLRCPLLVYSSGYGDDRYILSRSVYLLKSSDLNGPRYWLFKLQEKENGDIVLHSLFLRRNTDEYRCSYPTFSLDLLRCQVFELAQEHLACSEPCEGKVEGRIGFMSLDAENNEFMVLGRLPFKGPAVYRSIDGSWTELQITQPVSFEGIVSYKSKFYAIDLTGRTIVVEPTLQLHTFQRSRPSYKTRKRWLLNSGDKVLLVEMCTERRADFFIPNIREKKIWFKVSELDVERNDWNQVEDVDDRVLFLEQYCSFSCLATEIQGGVNPVRCTAE >A10g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6525072:6529752:1 gene:A10g502390.1_BraROA transcript:A10g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQSGIYRCMPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDNRPSSSIDPNRSTTIDTIPCTSIDTVSSKMVNIIILTQDENGNLYDHAGHLRNATGQKIDAQGTSESDVDRHNTPPIDRQAPLTYRVRLPSIYEDYINALRPPPKPLANPPEPKPNPLNSSPESVPEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMFFRETKVTEEDIRKMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEQTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTHKGTSIDIRAATKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSIEKSMKSNHLKNKSSAEIILPSIDVTVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDKFGIFRDPDGNARAMDGRILQVSREDIADIFQVANGPDNLFSQQRGTPGVIQTDPNNHAGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDEHGHARGVAGEIISVTKDNIRKILERASLFEESHICLPEHATSITLTRLAPELYTKEEIDEMVFGICGEELKTGR >A01p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1026396:1030688:1 gene:A01p002220.1_BraROA transcript:A01p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIYLTNVLHKGWRSEIQQGIKYRYFDDCLCNLNDEVSFALGIVSLICWGVAEIPQIITNFRTKSSHGVSLSFLLAWVAGDIFNLIGCLLEPATLPTQFYTALLYTVSTVVLVIQTIYYDYIYRLCTHGRTKICQKDEEDEEKKPLNPPKTMGSAISIPGESYKASPRKEFYYTSARSLAGSGTPPLRTSYFRVAKSGPSAMTIDSGSSSDEDETMSTLPVVTAKTITQPRPIPRQAGFGTFLAASVSLPLQAKSLAEKYAHASSRRLLNERIVEHSALGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFIFALVANATYVGSILVRTIEWDSIKANLPWLLDAIVCVLLDLFYIYYKYCRTNSSKGEEEAEHGYGDYVEASKTFVS >A05p003070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1083916:1085912:-1 gene:A05p003070.1_BraROA transcript:A05p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVISPHLRDGSRALTRIVSGDLDPVDELIAKILSSFHKTISVLDSCDSVPMAVKGSPNASRGDDLAAPVSCNGGDSGDSRKRLGVGKGKRGCYTRKKRWHTWTVEASRIDEDKYAWRKYGQKEILNSKFPRSYFRCTHKPTRGCKATKLVQKQEQDPSLFQITYIGHHTCNVSDQTQANTEPNDHGVVMDSDNALVATIAQDNVNANIQEQENDISSLIVVGAGMVKEEDNNNGDQNKNYCEGSSVDGDLSLAWQDVMMMFDDHQHHQNHYYQGETSTASHQFSFIDNDQLFSLFDPYCPYEGTNAI >A03p053030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22758912:22759637:1 gene:A03p053030.1_BraROA transcript:A03p053030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGCRVSLEEKPYFGSSSDESEDSPGDLVFEYLDAAMPFGREPLTDKAKMSLFHMCMRRRAYLERVLIRSGMLRSKRRGFVKIDLTVFGISGLISAILKIIIRQNENGQSSSKSVAPSKKLTLPTFGLVSYKFKMSVWSQESDVEENQRVVALLREAEEWLRRLKVMLPDFRHFVTHSGGSAWR >A04g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5495483:5496800:-1 gene:A04g502280.1_BraROA transcript:A04g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSLSKIKNLQPLSTSSSSICSGGCQPGAWSPEASPSPFPLFILLRLCPLSPTRRDAWSSGRSSAAGSLICSGEVRSVVDPVVAFGLEACGGVVDSLPVVVVFVVSKRVLRCGEEYRASLSFRLVVLSIGQSDSFLLREAAVCSPESVVYGCAPLILVAALPLLNHPHFTYQKTGTGSLYRRLVSLVRFWLGQSGLAGVGGSNLKFPSSQCVVVRARCFKLSGSVAAVASACSFRVAFHALCGVNYKPGFGSFARSRGKYLDLPSIVRLALHDSEGYKLRGVHRELATPETTQEFPASEATRRTSHFCDSSSMVRTTTGF >A09p064920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52123912:52126158:-1 gene:A09p064920.1_BraROA transcript:A09p064920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVNISSEIPKEFSNMSSLRWLILEKCNLLGIFPSNVFLIPSLHLINLDENPKLRGQLPDFHVNKSLQRISIAQTSFSGTIPSSLGNLSHLSFLRLSYNNFIGEIPSSIGNLKQLISFHVFNNKLSGNFPSALLNLTQLRTLDLSYNQFSGSLPPNISQISRLHFFSVRGNSFVGTIPASLFKISSLAHIDLDINHFSDLLGIENISSLLPNLEYLFLGGNNYSVNVIPVDLNIFPPLKHLSGLSLSGIPLSTTNITSDFSSNLEFLYLSRCKVTEFPEFIRNNPHLHDLALSNNKMKGQVPDWLWRLPELDYLDLSRNSFSEPDYIGAFLPHQYYASIVLMAKGVSMEMERILKVYTAIDFSGNQLHGQIPESIGLLKELRILNMSSNAFTGHIPSSLAKLTVLESLDLSQNKLSGEIPPELGKLSSLEWINVSHNQLVGSIPQGTQFQRQNCSSYEGNPGLLVSSLKDVCGSHVEPTSQQSEQPESLQEEEEEEGESLSWMAAGIGFAPGVVFGITIGYIVALYKIEWFMKIFGRTRTC >A09g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19862686:19864319:1 gene:A09g506730.1_BraROA transcript:A09g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRRLLAKANDSKVGSLILMAVVFGSCLANGEYLGGGRGLSGSSGAVFDITKFGAVGDGATNTFKAFLKAWIQVCDSPVPATLLVPAGQYLAGPVIFAGPCKSRVTVEVQGTIIATTSGYATPEWFLFERVNDVLLTGTGTFNGKGEDIWKEGCGKKTNCNLPPTSLKFRNLKNLEVSGITSVNSKAFHMFLVKTEFVNIHNIKLLAPAESPNTDGIHLSNADHVSITNSKMATGDDCVSIGRGSNNVTIQGIICGPGHGISIGSLGKYKKEEDVSGIHVSNCTMIETDNGLRIKTWGGSDPSKAADIKFENIQMQSVKNPIIIDQNYGSRGGDSQVAVSDVLFSNIRGTTITHNVVQLNCSKSVPCAGVNVVDVNLNYVGKKGKKQSASGGLVGAICDNAKVVFGGQLSFPTCAK >SC244g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:149724:151445:-1 gene:SC244g500090.1_BraROA transcript:SC244g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKENGNIFGYSFGARVVENYENLTRTDRMHESLIEMTSNLEHEGGNETRTPNHEETSREESSGSHDQAVESNDHEEGAEE >A04p014830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5736782:5739961:1 gene:A04p014830.1_BraROA transcript:A04p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGHPQHSLTGVDEQLRNASTYGDTKLPTVRVCLTFRSGNTTMGDGEYTSAFDICQTFGTNYKNLIAMIKEASWLAKLCNKIGEDINSADMLSRIQDHSYSTSWLDHGFSFLNSVDVDEFGRTSLGKFNEWRHITYKTTKVTFAFRIRNIDMGNGEYATSLNLLEIWNVLHKHDHHR >A09g512600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37339343:37342156:-1 gene:A09g512600.1_BraROA transcript:A09g512600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTANSDNGRGNENGDAGEGQDLILHFLDKVRLSRGDAMEESEGEESPTELNTINSAGGFLIVSPDKLSVKYTNTNLHGHDVGVVQANKPAPFKCLSYYFEIFVKDAGVKGQIAIGFTKESFKMRRQPGWEVNSCGYHGDDGYLYRGKGIGEAFGPTYTTGDTVGGGINYGSQEFFFTKNGALVGKIPKDIKGHLFPTVAVHSQNEEVSVNFGKTKFAFDVKGYEASERNKQQMAIDKISIPPNIGYALVKTYLLHYGYEETLNAFNVATETTVPPIHIAQENAIDEDDSSYALHQRKTIRQLVRDGEINAALARLREWYPQIVQDEKSVVCFLLHCQKFIELVRVGKLEEGVKYGRLELAKFVGLTGFQDIVEDCFALLVYRKPEESSLGYFLEDSQRELVADAVNAAILSTNPNKKDEQRSCHLHSHLEVLLRQLTVCCLERRSLNGNQGETFRLHHVLNNNSTRR >A05p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7528461:7531415:-1 gene:A05p016910.1_BraROA transcript:A05p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDVHRFAESITCHAWSPDLSMVALCPNNNEVHIYKSSQDQDQWERLHVLEKHDQIVSGIDWSSKSNRIVTVSHDRNSYVWSLEGGEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHESSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTKDPKAGSPAESKFGEQILQLDLSYSWAFGVKWSPSGNTLAYVGHSSMIYFVDDVGPSPLAQSVAFRDLPLRDVLFISEKMVIGVGYDSNPMVFAADDTGIWSFIRYIGEKKPESSGASYSSQFSEAFTKFYGQSKATTANEASESSKSREGVHDNCINAIVSLSKAGSPKVMRFSTSGLDGKIAIWDLENMEEELGHQF >A01p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9930283:9931871:1 gene:A01p020230.1_BraROA transcript:A01p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAASLVSSAFSVRKDGKLNASSASFKDSSLFGASITEHGSSSLRFKREHSVRNVAIRAQTAATSSPSITKSSVDGKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMPKDSYTVMHLDLASLDSVRQFVDNFRRSEMPLDVLVCNAAVYFPTAKEPTYSAEGFELSVATNHLGHFLLSRLLLDDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIASTGLFREHIPLFRTLFPPFQKYITKGYVSETESGKRLAQVVSDPSLTKSGVYWSWNKASASFENQLSEEASDVEKARKVWEISEKLVGLA >A02p010150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4364126:4367424:1 gene:A02p010150.1_BraROA transcript:A02p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVNSEESSSNQKLLKEVETIGEALYVNKNNPRRSSASGPNNNTSTKPLGRTTHLAEPQKEKKSFWNWPLRALSHVRNRRFNCCFFAQVHSIEGLPPIFQDLYLTVHWKRRDESLTTRPAKVLNGRAEFKDKLTHTCSVYGSRSGQHHSAKYEAKHFLLYASLVGSPEVDLGKHRMDLTRLLPLTLEELQDEKSTGKWSTTFQLSGKGSGATLSMSFGYTVVGDTRSASNAKQTSNNITGLTRTTSTKSSLGNGNKSVSRRYDLGNKDSHPLSQNVEEIKDLHEVLPVAQSDLASSVDILYKKFDEEKVDRAAESQFGFEVVTKHIEPVESFSQEKEDANETEVPLEDVKKVDEVPTAGSEEVFTENLPPGEPLVNRNETDVSFEESKIVGEVPITRSEEVVEFGTESLSPEEGSNVSIKEENSGRDVREMIMKDLESALKSVEMLEATASDDDEEDQEDRGASETSYRTPNKEAAAVSSSRDVASEFLDMLGIEHSPFSLSFEREPESPRERLLREFEMETLAAGTLFDFTIESDENFSEENESEGFDLASLVQDIEEEYQLETQARVSNPRAKTLEDLETASLMREWGMNENTFQNSPPHNGRNTFPPAQEPFDLPPLGDGLGPVVQTKNGGFLRSMNPSLFRNSKSGGSLIMQVSSPVVVPAEMGSGIMEILEKLATAGIEKLSMQANKVMPLDDITGKTMEELLWEASPAIDGGNRDHISQHESGFGSGASSAANSKKFGSSSSNNNSGSEYVSLEDLAPLAMDQIEALSLEGLRIQSGMSEEDAPSEITAQSIGEISAFQGKSGCVGLEGAAGLQLLDIKDDRDEDDDGLMSLSLTLDEWMKLDSGDIGDEDEINEQTSKILAAHHANPLNFIRKGEKRKGKRGRRCGLLGNNFTVALMVQLRDPLRNYEPVGAPMLSLIQVERLFVPPKPIIYSTVSEVRKTDEEEKETEVVKEDKTVLEEQGIPQYKISEVHVTGMKSETDKKPCGVKSQHQQVQSGSRWLMANGMGKGNNKLPLMKPKPGSIKSGDKLWSVSGSGSKWKELGKVGKWNTHVRNPNVIMPK >A03p055680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24041595:24042791:1 gene:A03p055680.1_BraROA transcript:A03p055680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPTVLIKTVFLVLIFVSFTITPATSTAPEDCASESANPCVNKAKALPLKVIAIAAILIASMIGVGLPLFSRNVTFLQPDGNIFTIVKCFASGIILGTGFMHVLPDSFEMLSSLCLEENPWHKFPFSGFLAMLSGLITLVIDSMATSLYTSKHAAGIVPHGHGHGPGNDVTLPTKDGDSGSAQLLRYRVIAMVLELGIIVHSVVIGLSLGATSDICTIKGLIAALCFHQMFEGMGLGGCILQAEYTNMKKFLMAFFFAITTPFGIALGIALSTVYRDNSPSALITVGLLDACSAGLLIYMALVDLLAAEFMGPKLQGSVKMQIKCFIAALLGCGGMSVIAKWA >A02g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22754558:22756374:1 gene:A02g508380.1_BraROA transcript:A02g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSGLASVVAGLGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAVPAPAAIPAPAPTDPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAVPKSMNDTVWKELCEHWDKEETKETFSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDDLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8032403:8032799:1 gene:A04g503740.1_BraROA transcript:A04g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYSGLDDLAAAWKAMEGVEVINGLGCKMSCSSYKVNSESILKPNWYEILYVLDTEDFFHRKKKHKKKSENLAHDKSSSQQCNLYRIERFYNLYT >A06g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19525078:19526636:1 gene:A06g507040.1_BraROA transcript:A06g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNASTSEENKRNPCDIGTASNIVKGAKHNEKRKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDDDAEKINSEKENREKLAKSQQLGGTKHSSSLTLLLGLHAFSCFFPKHQLCHQHSLQHDYFHLSQYQLFDPLLSLRQIPQIQSS >A03p025810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10873092:10874433:1 gene:A03p025810.1_BraROA transcript:A03p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRIKEQIQESLPEWRDKFLRYKELKNLISSPDPAEFIFIGLLNSEIEKFNAFFVEQEEDFIIHHKRLVEKYGDNDDETFREEIGEIRKDIVNFHGEMVLLVSYSNINYTGLAKILKKYDRRSGGALRSPFVQKVLHQPFFKTDLVSRLVREWETTIDAVFPASNAEAERGYERSAAVSSAAAGEGIFRNTVAALVTMREMRRGSSTYSALSLPPVNLYDLDLVLQPIHIPSPILS >A01p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1830087:1832746:1 gene:A01p004270.1_BraROA transcript:A01p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSDSGSHKFSTKSTIFGLNLYLVVAICSVFLLLISLLIFLFVCLNRVSRARRMRVKHSSGSIPLVTKETTEIKTVGKYLNCDESMRKVENEFVVVAEATSKEAGGGFDDMSVASSGGDVGSEVMGWGRWYGLKDLEIATRGFSDENVIGEGGYGVVYRADFPDGSVAAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVGLMGYCADTAQRMLVYEYIDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNPKVSDFGLAKLLGSETSYVTTRVMGTFGYVSPEYASTGMLNECSDVYSFGVLLMEIITGRSPVDYSRPPGEMNLVDWFKGMVASRRGEEIIDPKIKNPQPHPRALKRALLVCLRCIDLDASKRPKMGQIIHMLEADDFPFRPEHRSVQTNKNAHHHGVSGRPLES >A07p052120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27550673:27552618:1 gene:A07p052120.1_BraROA transcript:A07p052120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLVEKTGSRPPWVGLAAAAWVQIAAGTSSTFPLYSAALKSVLGFNQQQITILGVACDLGENLGLLPGYVSNKLPPWAMLLIGSTSCFLGYSVLWSSVNQIIHGLPFWLLFIALFFGTNSSSWFGTASLVTNMRNFPMSRGPVAGLIKGYIGLSGAAFTVIFSVLLHHSATNLLFFLMVGVPLLCLSLMYFVRPCVPATDNDPSEPVYFAFLLGSSILLAAYLVMTTVLNEVFTLPSILKYVLLAGTVLFLMAPLAIPIKMTLFRSKRSLPDNLAKEEGEKEPLLIPSTSASNMGTLFEGEGDGDGDGASEMEILLAEGEGAVKKKRKPRRGEDFKVRQVFAKADFWLLWFAYFLGMGSGITVSNNLAQIGFAFGIKGTTILLCLFSFFNFCGRLASGAISEHFVRSKALPRTLWMGAAQLVMMFTFILFSMAINGTIYVATALVGIGMGFQYLSISTISELFGLKNFGINFNFILLGNPTGAAIFSALMAGHIYDREATKQGSSTCIGPDCYRVTFLVLAGLCGLGTLLSVILTVRIRPVYQALYASGSFRLQPQSNGH >A03p029640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12468239:12468783:1 gene:A03p029640.1_BraROA transcript:A03p029640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAH7 [Source:Projected from Arabidopsis thaliana (AT4G08685) UniProtKB/TrEMBL;Acc:A0A178UVW6] MSKAILLVALCFLPALAMSARPNKNPFVVRGRVYCDTCLAGFETSASTFIPGAVVRLECKDRRTMELTYRHEARTDSTGSYRILVSEDHEDQFCDTMLVSSSQLRCSNVSPGHDRARVTLTRFNGIASDERFANNLGFLRDAASPGCAEIMKQYQETED >A03p064020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27846174:27847993:-1 gene:A03p064020.1_BraROA transcript:A03p064020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNELFLLFALSLIPLCFLILRPKKSKGTAPMVPGAWPVVGHLPMYRSVKPQAHVAFGAMADVYGPAFMTKIGSRNALVISSEEVAREVYTVHDKFLRRQDMIAGEILGYDGLLPLVSPQGAYWREIRKIVISQLMSASVVDTLKGRRAGEVDVAFRDLYVRWEQHNGRPHQKGVLVDMKPEFINVATNMTVMMVAGKRYYGNSPNCEAGEARRIGKLILEAVQNFGRYSISDFIPYLGWLEWKEKKIMKRMAQELDCVFESWIEEHKNKRGESEKDYLDMVLESIEQHKILGSSDAHKTAKAVCLNMAISGSDAVVAILVWAVSLLVNNQHVLRKAQEELDRTIGNQRVVEESDLKDLVYLQAIVKETFRLYPPAPFVAYRETTEDFVIANGNFHIPAGTHVMVNEWKVQRDPTIWPNPEEFEPERFLTSHKEVDVGGKMNHKLFPFGLGRRACPASLLGTKMVQYILARFLHSFDVANPSNQNVDMTEDNNLVNLKATPLEVFITPRLHESLYLVDRNSENFSNKA >A09g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4007608:4010156:-1 gene:A09g501230.1_BraROA transcript:A09g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWVGNEELPHLYAKRLGDPKRLGDTGYHSHSGSSGESWNRSSGDSTKDCNFGEAKSTGQEQTNSILYAAQDDGFRYYGGKLDDIVWSQDTHVTTKKTVACDINEQQSDSISVPGLLTALPKDYFRVVNSRDYEIVIILAYHWEQHVSGISPSHWLMKSAKHSNVGDILPLHSSRFRRYYTVYSIKDYIRTLMWTFASSVFLDVQVMLGLPVVEGTNHASCFPRAVIGSEATCYSRLWSEVFAADIFASQFGDGHPNLYMGLQFRDKVLAQGGGNEAMELLTSFLGREPSTQAYIES >A09g511460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34369682:34370293:1 gene:A09g511460.1_BraROA transcript:A09g511460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEVTRVHNFKNPSTTARASQTLTTRIHHPRHTKARSKRCLIEYCWDNNKSPWISITGETIKRQEAFAREAGADKGKYHVNAIIDDDFWQVVRNEKLEEGDFEIESSMSLGGSQWCRPMSTNSHRSTDHDEDQWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDNGIHIFTFSQKVLNSQD >A02p044660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28158492:28160200:-1 gene:A02p044660.1_BraROA transcript:A02p044660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERTRPDSRLRVLRFFSIGGQGDPVSVSISVVKPQPGDRILNACAAPGGKTLFMAYCLKHQGRLRILGETAKSHQVAGLYHSHSFRSTCLRCELILFEADLRLNCKLEDMEELKILQDELLDSASNTCSIDPEENQGRVEAFLLRHHEFSVDLVDRLVPSSFVTSQGVFLSNPVKHSLDGAFAASLVRAL >A01p012720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6248898:6254253:1 gene:A01p012720.1_BraROA transcript:A01p012720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKDPFEAAIEEEQEESPPESPAGGGDDEGRLELEQTPEESERDVGVRRQPKKFKSSVAVAEGKNKDEDEEEEEENMEAELTKYPTTADPAKMAKMQVILSQFTEDQMSRYESFRRSAFQKGNMRKLLQGVTGSQKISMPVIIVNCGIAKMFVGELVETARVVMGERNDSGPIRPCHIRESYRRLKLEGKVPKRSVPPSLDSESRGLSWSDLPISPIRKVGYIVLRCCESLYQRVSPDVLPLTNGAKKPYLRPSPSRSPHEDTTTTITPNSLSGKGFNGGSCTSLDGVRIRSSQQTDPTPTKRGGDVLLQWGQRKRSRVSRAEIRSSTTTATAAADDSSSSSGHGKIQSNKLLRRSVNPSMPPPPPPPLHPVSSNRNSNHRNGFVGSKEIFLSRNQEDRSASGSPSRTINNGRTVSRSGGSKRSPPSPDQIEKRSSLRDHHHQNQRQNGFDHNHNQQHQRVNRSESTAQAHPELETNNNGEREKATQVEVTEWPRIYIALSRKEKEEDFLVMKGTKLPHRPRKRAKNIDKSLQFCFPGLWLSDLPKNRYDVREKKNVKKQQQKRRGLKGMEDLDTDSE >A04p023090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13992302:13994020:-1 gene:A04p023090.1_BraROA transcript:A04p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVEVREYDPSKDLATVEDVERRCEVGPTGKLSLFTDLLGDPICRVRHSPSYLMLVAEIATEDKKELVGMIRGCIKTVTCGSKTLDVTTKPLYTKLAYVLGLRVSPTHRRLGIGFQLVKEIEKWFNQNGAEYSYIATENDNHASVNLFTEKCGYTKFRTPSILVNPVYAHRVNVSNQVTVLRLGPSDAESLYRLHFSTTEFFPRDIDSVLNNKLSLGTFIAVPRGSCYGSGFGSWPGSAKFLEYPPDSWAVLSVWNCKDSFKLEVRGASRLRRVLAKTTRVVDKTLPFLKIPSIPAIFQPFGLHFMYGIGGEGPCVEKMVKALCGHAHNLAKEGGCGVIAAEVAVGEPVRRGIPHWKVLSCDEDLWCIKRLGEDYSDGSVGDWTKSPPGNSIFVDPREF >A09g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10143412:10147594:-1 gene:A09g503130.1_BraROA transcript:A09g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSSKSETEAVSSLSASMEYPMSKKNQLFINKRIDEIVWFCIIGIWKEEDSVADLGLILYGIHKKGIFSEESCWRKPRRRLVFWFSGFLEDGISKVVAYSLKCYEFCVKYRKWKYNRHWRNQDSGINLIIDSGTERYQSCDVHKRERSWRHVSFMEDSNIAGLSMDEKQFFAVIIDVNLRRYSRLERYLKLVGISTPTSDRCEAVLGDTEAAQVFGVSSSDYTDAVKASGFTACDDQGLFKIIRKEAARRKYKRKKLDKVSCIGVKLPEIFRDQDICVCYNQLCAGTDGLRWRLMKKSVLRLSTIRMCERLQAKCLMKDKVARDVSSSTSNNLMWSYQYYVMSDASSEYGFLVPGGVSHSCLENVWVASDKSVGLCLEKTKGNQDRVSVRYSSMDWSHSMTKRSGWKELSQDMRQSCCKGGSQVSAVVSAVGEIGYRVCGIDRNYRGYCVIGGRVHEIGVLATQKISAELTFTEKVSHVLFIDGASKGLPVSKLSDIPEKSTGDHEDVLTQEMKLLRAKTRWRMLKCVSALVNKKKKEVTYHKPLD >A04g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7900648:7901301:-1 gene:A04g503690.1_BraROA transcript:A04g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEVKVINSWKQHSNYPEGDTYEFILEDKMGVTIHRTCKRLFLARVKNLQVGQWRFLENFNIYQATGIYGRTSHRFKISITGNSIVTDPFLTTCKVVFIDHNKEYSSKDVSKSFSKRKEGDVDLNDINSNSKKLCAKNIEMDKT >A05p003640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1355950:1358285:-1 gene:A05p003640.1_BraROA transcript:A05p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQGPKTEAGGGGGESSETVAASDEMLLYRGFKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKTTWNQNQNKKGKQGSNFSFSILHSALSFLLFGVEKLISFFVCSFNVFIVDANSTTPVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYSRDLEEMQNLSREEYLASLRRYPFGRKSSGFSRGIAKYRGLQSRWDASASRMPGPEYFSNMHYGAGDERGTEGDFLGNFCLERKIDLTGYIKWWGVNKPRQPESSSSKASEDSKVEDAGTELKTLEHTSQATEPFKAPNLGGVLQRKEKQISSSALSILSASPAYKSLEEKVLKIQESSSSARENDENANRNIINMEKSHGKEIEKPVVSLGSGGVAPAAALSLQKSMYPLTSLLTSPLLTNYNTLDPLGDPILWTQFLPPGSSHTSEVRDKDRDKLLHIQLPPSREVSRSLYSLFVCLILPCREMNLST >A03p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7362049:7364200:1 gene:A03p018020.1_BraROA transcript:A03p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGSSRSIPFKSKKRLFDSPRSKSQTGNPDSSSVALPTPEKPPESTLTRSSNRSDDLSEKEVPQAAGSCRRSEDPIGKVSSARSQLVFSSSSSSKRVSNVNKIAENEKLPEKYETLGKFFDALDSSIVLSKLRGSKPSFSNISGKIEHLTERRFCYSHLAQIKHLLPEAIEIKRVLVHDETTRCMKPDLHVSLNADAVEDNDNSKRISLRKVFRTRLADFVKAHPQGDEVPEEPLPELFSRRKLNENSKDEVKSFSSVMEEMASIPAAKLISSFMKVPSTPVKPASSPARPALSKINLAPTPVKAVSTPASVPSTPAKIDSASAFVASTPPEFASTPARLFSRSLEARLVKRSSDDTNLDDVTTDQPFKLARRSSLSSSRSLNFDSYTEDVTDVDEDIDQVLVQDASSDDEILSILPDNLREEIKEQERKAIEDSNPAISEAKRRRKMIACLPKFFNVIHYLIQSIRRWVITKEELVHKIIAGHSDITDRREVEEQLVLMQELVPEWISEKRSSSGDLLVCINKVASPQTIRSSLEEENKKAMATPLS >A05p051670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31522338:31524159:1 gene:A05p051670.1_BraROA transcript:A05p051670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLACCCASATCGLCSSVASGISRKSARIAYCGLFGASLVVSWILRETAAPLLEKFSWINTSDSYTKEWYQQQAVLRVSFGNFLFFAIYALVMIGVKDQNDRRDSWHHGGWPVKMIVWFLLVVLMFFVPNEIISVYGILSKFGAGAFLLVQVVLLLDATHNWNDSWVEKDERKWYVALLVISIVCYIATYAFSGVLFMWFNPSGHDCGLNVFFIVMTMILAFVFAIVALHPAVNGSLLPASVISVYCAYVCYTGLSSEPHDYVCNGLNRSKAVTASTLTLGMLTTVLSVLYSALRAGSSTTFLSPPSSPRAGGKEALLEDPEDGKKNGEAEARPVSYSYSFFHIIFALASMYAAMLLSGWTDSSESASLIDVGWTSVWVKICTGWATAALYIWTLIAPLILPDREFY >A01g510660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29430397:29432237:1 gene:A01g510660.1_BraROA transcript:A01g510660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMQFGMLAFLVALSAIESGIASPNTVPAFLWSPHLHNGGMDEAVNYQVMSAKDLVDSVFTQGGWSNILCSVKKVEQPVVDVALVFIGRELLSSDVSSKRNSEPSLVNTLNGLFTSSNFSLAFPYIAAPEEERMESLLLSGLKQACPHNVGVSNIVFSDSCFVEDGMVQKLSDLQSFKVVFLPLYVFIFTSFNGFNPDHLLSRKETRKEGETDLVVLCSEGSESNSQSGQSHSERESISELVSSVEQSGSKYTALYVSDPYWYTSYKTLQRFLAESGTGNSTVGVATTCDELCKFKSSLLEGILVGIVFLLILISGLCCMAGIDTPTRFETPQDS >A09p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3425160:3425963:-1 gene:A09p006520.1_BraROA transcript:A09p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRARLFKEYKEVQREKVADPDIQLVCDETNIFKWTALIKGPSETPYEGGVFQLAFAVPENYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDVRGFNSMARMYTRLAAMPKKG >A03p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21695240:21698496:-1 gene:A03p050480.1_BraROA transcript:A03p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase TDR [Source:Projected from Arabidopsis thaliana (AT5G61480) UniProtKB/Swiss-Prot;Acc:Q9FII5] MNKKNTSLSLVHLFILLLPFSSLSLKLSPQLSSLISLKTSLSSSPSAFQDWKVTDNQNGAVWCSWSSVVCDNATAQVISLDLSNRNLTGHLPQQIRYLSSSLLYLNLSKNSLVGPFPTSLFDLTKLTTLDISHNSFESSFPPGISKLKFLRVFNAFSNNFEGLLPLEVARLRFLEELNLGGSYFEGEIPAAYGGLQRLKFIHLAGNLLGGALPPRLGFLPNLQHIEIGYNQFTGNIPSEFSSLSNLKYLDVSNCTLSGSLPQELGNLTKLETLLLFFNGFTGAIPESYSNLKSLKSLDLSSNQLSGTIPPGFSSLKNLTWFSVISNNLSGEVPEGLGELPELTTLSLWNNNFTGALPQKLGTNGKLETLDVSNNSFTGTIPPSLCNGNKLYKLILFSNNLEGELPKSLTTCTSLWRFRTQNNRLNGTIPLGFGSLDNLTFVDLSSNRFTDQIPSDFAEAPVLQYLNLSCNSFHSRLPENIWKAPNLQIFSASFSDLIGEVPNYVGCKSFYRVELQGNSLNGTIPWDIGHCEKLLSLNLSRNYLTGIIPWEISTLPSIADVDLSRNLLTGTIPSDFGSSKTITTFNVSFNQLTGPVPTGSFTHLNPLFFSSNEGLCGDVLRKPCGSDTEPEIYGRKKTAGAIVWIMAAAIGAAFFLLVAAARCFKKSKVDGGEVGPWKLTAFQRLNFTADDVVECLSKTDNILGMGSTGTVYKAEMPNGEVIAVKKLWGKNKENGKIRRRKSGVLAEVDVLGHVRHRNIVRLLGCCSNRECTMLLYEYMPNGSLDDLLHGGDKTTNAAAEWTALYNIAIGVAQGICYLHHDCDPVIVHRDLKPSNILLDGDFEARVADFGVAKLIQTDESMSVVAGSYGYIAPEYAYTLQVDKKSDIYSFGVILLEIITGKKSVEPEFGEGNSIVDWVRSKLKTKEDVEEVLDKSRGRSCSLIREEMKQMLRIALLCTSRNPTDRPPMRDVLLILQEAKPKRKTMGDNVVVLGNVSDVNLEGVCGGNAGVKCQKIGV >A09g514200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42775899:42779164:-1 gene:A09g514200.1_BraROA transcript:A09g514200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWNPLWANCKLSKRTQSQPVEVDSSRPHTACALKISHGIRARFVRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWVLWWRLKHGERRCFWHGGFKEKGQAKKEMLVLKLTAQICPFMMRVALEVQGTSTGSLFVHMMCSLFIINLSKRGRLYPLGMVAGVYVDTQGEWSSPKSRGDWYTSSDTSNSPTTKNVKTKVFCHCISSLGHVSPHSTGSVVKCSMGHYAIRRVTCEALYGDSNTLVPGIRKRAAHKTETITTVC >A01p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6289247:6291442:1 gene:A01p012800.1_BraROA transcript:A01p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLPIVLTFFVLILNLIPCLFVSRRLSVPLSFTNIRRLVKIAASQCDEEDERCEKSYLKITNDSLQPSYRSQLIFIFLLICSGTTGKEEKQGRMPKHVAIILDGNRRWAKKLGLTTAEGHEAGVKRVLDLAKDFFTSGVNTVSLFAFSTENWGRPEEEIRNLMSIFEKFIKMKIPDFQSINTRGRRSYKERHLIMAIDYSGKFDIIQACKSLAEKAKNGVIQVEEIDENVMDKALLTNCSEFPNPDLMIRTSGEQRISNFFLWQSAYTELYFPTVLWPDFTMADYLKALTWYQKRDRRFGRRV >A03p047630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22289985:22292063:1 gene:A03p047630.1_BraROA transcript:A03p047630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYREEALRVKQVAERRFAEKDFASARSYALKARSLSPDLEGLAQMIATFEVYLASQCRSGGGQIDYYAVLGLKPSAGKRDVKKQYKKMAVLLHPDKNKCIGADGAFHLVSEAWKFLSNEFNKSTFYYKRKKHIESSTVIQKHRAEYAPGTAAFDRFPPSSSSERLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGAFIAVETGPAPVSAPFHYTHPSHAPPPLHSNGYSSHSYDARMPTNSTYLLGHHYPSQGHGYEYGSYDWSSSYPETASPGNLDLKRVSSVSNGYPYKHSKSVASTGIINKVKDGSNGTCSMKTKPDGLIYANPPGLFTHTAAVKVGRPEKKSRVFIEASVNGFVENPLMRSAPVSKTANADFKLHGQSYGSTRRWSTASVLDTRKPLLQKARADIKQRLDSIRLASEAAAAAAAAAVEEDTTPVDSCKLGDVTAGRKTSGPITVPDSDFHDFDKNRSEECFEPRQIWAIYDEDDGMPRLYCVVREVISLEPFKIDIAYLSSKTDIEFGSMKWVQYGFTKSCGHFRIQNYDVVEQVNIFSHLLRGRKTGRGGCVRIFPKTGDIWAVYKNWSLNWNESTPDEVRHEYEMVEILDEYTEQYGVCIAPLVKLEGYKTVYHRSGKEDGKKWIPRSEMLRFSHQVPSWFLKGATSGFPGNCWDLDPAAIPEELLHVGAEA >A03p020830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8568078:8569935:-1 gene:A03p020830.1_BraROA transcript:A03p020830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNESSNSVSMSDSSSDSSSDTSSDSSCDSPSPVRVRATVGEETKGDDTLVQSLYDPKGKSIVLSGTHERSSTMRSLSMGGADPTRRLGGGDFKAHMLMINAREEIIEKIMSFTQNGSRGICVLSATGAVFNLRIQSLGSNRVLTFKDCYEIISLTNTVEITESGGVRNETGVWRITIGGVDGCVFGGNLVGRLTAASQVQVVIGSFWPLTPNPSLRKKDTSTSVLVTPTVPNAVGSSSGGQVHQPEMRGSSHFSSSEWYRKYSGYNP >A08p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4247999:4249044:1 gene:A08p007240.1_BraROA transcript:A08p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHEFSNGSSSWEQHDSPSSLSLSCKRLRPLAPKLSGSPCSPSSSSGVTSATFDLKSFIKPDQTGPRKFEYSIEHQRDLPQVETHQGGTRWNPTQEQIGILEMLYKGGMRTPNAQQIEHITSKLGKYGKIEGKNVFYWFQNHKARERQKQKRNNFISLSCQSSFKTTNINNASVTTKTTTTTSSFDVIRRDSMVEKGELVEETEYKRTCRSWGFENLEIDSRRNINSSKNATMATTFDKIIDNVTLELFPLYPEGR >A02g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21730328:21734789:-1 gene:A02g507840.1_BraROA transcript:A02g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNDICSLFDSYLSKTESSAHEITWIMFSTKLRSSSKKNQNKRSSYVGVMQFANQKTFSSREFGSYREGITWWFVLDCGYIKSHSTSLDDPFNPYQFQKFLDSYLPNDESSTHEITWRMFSTQLRSSSKKNQIKWSSDVGDIKFSRIWAVPRRNHLVQMNLDVSRRVSLTRSEIQEWLILDRGYIKSHSASLDDSFNPYQFQKLFLYFLRNPGGVGGRETLVAEEKPRLRTFKADPYQNSLHLSFSFLFPQKMFGLLKKSKLQQDVYFPFKTVLEKEQMIFGNKKQFASTRFDFVQKQRNQRKRQNRFDDDEKWIRSGDRPFTKAKRSNRDVFDQNELQNYVSLEKKLHMAIHAIRQLKKKENTNTSPAPKQQKCSQLNYGAPQRRISSTEFRCGSYAICKSGNIQFSRIWAVPRRNHLVQMNLDVSRRVSLTRSEIQEWLVLDQGYIQSHSASLDDPFNPYQFQKRCLPSRIISNTQTRISANYHTSSNQNTRITTIKYKKSKREQRRSYSEFAYERLQQGISLGSRAVGEIPSSSNPKTAKPNRVAARNNKNGKTPYILAPRSVYAFPLLPLSRHSIKMEYLHFSDLPNYLQNSVFIRGNLTFIFPCEPSVNRPTVYGLLVKKS >A08p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19370337:19371873:1 gene:A08p031780.1_BraROA transcript:A08p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACCVAPRDKMVLLPNSSSATAERRHSPTWSFRWDNNHNRGRVAGEEASLSWLSDGISRNDVLSDFKSDFLSSQGSPLDSFRTQTLQKSPASDLSFPRDSSMDTVFEQKEKDSIESAEPSYPSPAQLSLSLASQPSSFPTSPLPSQIYYHPASSSTLNPTQQVSDGKICGMNSLSRSSITERQGTPLRCDSSESGPSEAWSLQAFSEMMSSSRSNEPLSYDNGRFGLERDKIGHHGNRMSNHQQQSCGACSRPLSEKSMWSSQKMFMTNELAVSAILACGHVYHGECVEKMTPEIDKFDPSCPICTLGEKKTAKLSEKALRVEMDLKARHNKRLRNRVLDSGFDCEDFVMFDDSHRVEAAAGKSPKLVSSSSAKSYSAKPFLARHFSFGSKSTKENLPVKKKGFFWTNSSKI >A02p022500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10705524:10709238:-1 gene:A02p022500.1_BraROA transcript:A02p022500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CROWDED NUCLEI 3 [Source:Projected from Arabidopsis thaliana (AT1G68790) UniProtKB/Swiss-Prot;Acc:Q9CA42] MFTPQRNQFPATDRKGKAVAFADEITTPSPPMSRFGSLSAVDDWRRFKEVGLLDEASLERKDIDALIEKNLKLEKELFDYQHNMGLLLIEKKKWTSRNEELQQAFDEVNEILKRERTSSLIALSESEKREENLRRALISEKQFVAELERDLKYLQQEHTEVKSTSEAKLAEANALVMGIKEKALEVDKERAVAEEKLSVISRKSSELERKLKDVETREKVLQRERLSLATEREAHEAVFYKQREDLQEWEKKLTVEEDRLSEVKRSINHTEERSIESERAIKKKEKSLEEMQRKIDTAKSELKEREESVNKMLNDLSMKEKDFEAMKTKVDMKEKELHEIEEKLVVREQMEIGKLLEDQKGVLDSRMQEFETELEQRRISLDEELEKKRGEIEKLQVEIGLKEEQLGKREAALEKMEERMKEKEKDLEARLEAVKEKEEALKTEEKKLHVENERLLEDKESLRKLKDEIEEIGAETTKQESRIREECESLRITKEERLEFIRQQSELKQQIDRVKQEGELLLKEREELKQDKGRFEKEWEALDEKKADIAREQKEVAEEKEKLRSLQISRVLDDVKMKKESLEGKVTINENISCAKKLALKEMEELEYEKLALKKERDEISVEKKQLKKLHDELYKDVADVDALRNSLKEQRDDLYRSKDRFAVLLKKVDLCSTCRIPFHKFINSERVPDAEDGNDRKSTSFIGKLASAMAPESSLPDDSVDTAAGNDHEPSASFSESKGAEVSLQSEIKSDKPRRGRGRGKSVRGRSQATSDSKPSDVKVPRKRQREQGSRITESEQADGDSDEGVDSVTTGGRKKKRQTAVPVSLAPAQSRYHLRRHRNVGTEEDKAQESAGVVEKQENVNGDIRTVPSPKDNLTPPQGENRENGKAETLVEAVTQKEIVKGNMWVEAEAEFKDKSTGKRPVQEDPQLEAGGSGEGKDHGGEEDDGTFSIIQEENEEEDAETEHPGEASIGKKIWVFFTT >A08p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16604558:16609951:-1 gene:A08p025870.1_BraROA transcript:A08p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSILRSCIKSRLTIGVSRQLIVLESKVTCPERHAPDLVYRVFSFILIKTLVLSYNGFNFLCIGAEFLMRDVASKRRDFSSLTQPTRTRFNTLNLPVTEAFKLGSSIINLEMVDDEKNHGSMLCHMDGTTVRSNVIVSHDKYNDDNFLQVYYDETRYGERWRSHDPTDREIMCSHLIDRSIRPLFTAGFPANVMVNVCVLKTNWKHEADAELMAIIATSAALMKLNITQARPIGVIRIGRINENIIINPTIDEQRRSDFNLLYVCTRQNTIMADLVASEISESDLATNIKLAQLEAVKCIDSQVKLRERYESDKKVKLLTSNSKNLQDTRTQSSNHSGREVALGQAHCVEIKSDVNRSDGRGSHQIRPVHCEAGYLHALHGSSLISCGETQVLCTATIGKPGETQSVDVLPRKRFRVDYDFPPFCTNHIMDIFSRRWREIGDGMFIEKALLAVIPTQRNFPYAIHLNSKVLASDGSSSTTSVCGGSIALMDAGVPIKSHVAGVSIGLVTDDETSNGQLENYRIITDTSGLENDLGEMDFKIAGTRNGITAIQLDAKSTLLSLDVIGEAIKYGRQAHLQILDHMEQAINSPKETSYYKERRIEDDTDLSRRNTRKRNANGEEKAKPLTNGGFVESDLEHGLPNSKCDEVETETESEKKTKKEEEEAEAEDSTKRKIVIEHCKQCNAFKTRAIQVKEGLEGAVPGVTVTLNPEKPRRGCFEIREEGGQTFISLLEMKRPFAPMKALDMEEVIEDIINKIK >A07p004200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2969497:2975131:-1 gene:A07p004200.1_BraROA transcript:A07p004200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G17820) UniProtKB/Swiss-Prot;Acc:Q9SXL4] MQRDSFSVSIESLPDSPMGPRKKKITKLFDNMTEWVTPWRSNPESPRETRIIRGDVEQEQFQYASSHCLSSYYSVFVVRLAIMVMLAILIGLLTILTWHFTRIYTKQSLQNLATGLRYELLQRPILRMWSVLNTTSELTTAQVKLSEYVIKKYDKPTTQEELVEMYQAMKDVTWALFASAKALNAITINYRNGFVQAFHRDPASSSTFYIYSDLKNYSISETTGLEDVKMSMGHGWNNQTIHGNMTAIWYQQQLDPITGAKLGKPLQIPPDDLINIAGISQVPDGEASWHVTVSKYMDSPLLSAALPVFDASNKSIVAVVGVTTALYSVGQLMRELVEVHGGHIYLTSQEGYLLATSTDGPLLTNTSRGPKLMKAVDSKEWAIKTGAHWLEKTYGSHLPDVVHADNARLGDQQYYLDSFYLNLKRLPIIGVVIIPRKFIMGKVDERAFKTLVILISASVCIFFIGCVCILILTNGVSKEMKLRAELIRQLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDDCLSNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEEAEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDMPTLVRGDSARLVQIFANLISNSIKFTTTGHIILRGWCDSVSSLHDEMSLTVDRKKPWAPVKTKLVHHRNHLHKSCKNENKIVLWFEVDDTGCGIDPSKWDSVFESFEQADPSTTRTHGGTGLGLCIVRNLVNKMGGDIKVVQKNGRGTIMRLHLILSIPDNAEQIYQPEFSQYGLVVLLSMSGSTARSIQSKWLRKHGIATVEASDWNVLTQIIRDLFEKGSRENSFDSQHTIAESLRAELSNIQEIRNPVFVIVVDIGVLDLTTDIWKEQLNYLDRFSSKAKFAWLLKHDTSNTVKTELRRKGHVMMVNKPLYKAKMIQILEAVIKTGKRGLRGNGSDESHDCLEIDPTQFDTCSSDDSSENTSVKPTALPSPVIKNYLLDITKSNDESTSMTQKKKEEEEEDWKDRSNRLYSGVALDGKNQKSLEGVRILLAEDTPVLQRVATIMLEKMGATVTAVWDGQQAVDALNYKSINAQEHNNLSEEEETNPQSDLPNSSPYDLILMDCQMPKMDGYEATKAIRRAEIGTSLHVPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDRKLMVSTILSLTKPSTVLTSFSD >A01p023710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11715457:11715891:1 gene:A01p023710.1_BraROA transcript:A01p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPELQRGKENNPSFELKIISANDVSLVNAAYKMDVYAAVSITGITTQQKLSAKTPIDFYGCSNPTWNHTVKFSIAEEAVQFTLTVKLFSYWLEDENDLYLGQVTISVQEFLYSNPVQPLTNGKNDKLKLVTYPVKCRSQKPN >A08g509670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20167105:20168356:-1 gene:A08g509670.1_BraROA transcript:A08g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLMKLGTLLVKTISKPLASQLKHQAKVHPRFRQSIINFAQRNHRVTTQIQRRIYGHATDVEIRPLNEEKAVQAAVDLIGELFIFAVGGGVVIFEVQRSSRSEARKEEARKQELEELRIKDEELEKKMADLQSKLAEVEELAKARGLTGIFKVKQQPGTATKVVSSEKPDAKSSESSSSS >A03p000730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:439059:440562:-1 gene:A03p000730.1_BraROA transcript:A03p000730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVREEEKPNSTVEISGLRFTYPGIDGKTTILKIMGGKHMVRVLGRSAFHDTGLTSSEWRRDVAFAGFEVSIQMDISAEKMIFGVAGIDPQRRAELIKVLDIDISWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLIFLRKECQERGATIIYATHIFDGVSSKRKLQLAMPMEKVKETSKTSLMRTVESWLRKERDERKTRKERKANGLPEFESRTEESHVTGDPSRMLNNGWAAGRLHSTIAGSEDHFVFSSNRVLR >A09p032500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19710393:19711777:-1 gene:A09p032500.1_BraROA transcript:A09p032500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIRHGLCLDCINLLGFRKVVPDRWEFSNDCFRRGEKILLRDIQRRKISQPAMAAAAAAAAAAAITVPAAVPAVPHAVSPSNSGEEQVISSNSSPAAAAAASGGGGGQVGVVLQRTTSCTTAPELVEENERLRKENVQLSQELTKLKGLYSNIYTLMSNFTSGQTDCAPEGRALDLMPERMGEDMATASGVETGIGLKLDEDLTPRLFGVSIGSKRARRDEVVTAEEEDDERREGSNQEGGEQGSDVKSEPMEEDNSDEHNGPWLELGN >A03g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:229481:229890:-1 gene:A03g500100.1_BraROA transcript:A03g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLFIRWMRLNLTGKEANEINNILRRSPRSEEAVVWRWQKKLQVVVEVEPRKKKKKIGGEGEKTET >A02p058190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:34972375:34973241:-1 gene:A02p058190.1_BraROA transcript:A02p058190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRGGCCIARYGGYGGHYSLSKAERIMLRFRPIAPKPSNDGGGGSPGAGKYCSSTTSGGSSDVSSNTKRGKRKYHKESSGVNSRRCNKRKRPNTRTAVTLSLLPDLNVSPVEKQRQNGPLWLSFSGGDHEMLTPYKTAEISQRTVVVSSCVTVERVTDAWTNGYGLGKTDDEKKLNLAREACPGFMSDGVGRVTWTNKAYRKMAKEDINIPVEDGAPGMSYDNFHVIVRLVMKERPMLTYPAFTCSVRLQYTCQDRERGPVTVPCDVWRMNDGGFAWKLDVKTALCL >A03p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5723418:5726072:-1 gene:A03p014400.1_BraROA transcript:A03p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGIGCGCRGVPGGNFFHPGGFSLKSCFLEQQRTRRNRNFLRNVSIVPSFKRGRLITKWSSVAGNSPIFSMDARENSRSFVLVSSRHRKVPVYVMMPIDTFGIDSSGCPIIKRLKALTVSLKALKLAGVHGVAVEVWWGIVERFCPLEFKWSLYDELFRLISEAGLKLHVALCFHSNMHLFRGKGGVSLPLWIREIGDVNKDIYYRDKNGFSNNDYLTLGVDQLPLFGGRTAVQCYEDFMLSFSKNFEPYFGNLIEEISIGLGPSGELRYPAHPLGDGRWTFPGIGEFQCHDKYMMEDLMAVASQEGKPQWGSRDLPNAGCYNSFPSGVPFFEEGRDSFLSDYGRFFLEWYSGKLICHADAILAKAADVLRRRQEEEKSSVMLVAKIGGIYWWYKTSSHPAELTAGYYNTALRDGYDPLASVLSRHGAALHIPCLDMADSETPEKYLCSPEGLLRQIHDVSKKRTIQVTGRNTSERYDVMGLRQIRENCVQPNGETVRSFTFFRMNEKIFRVENWNNFVPFVRQMSADV >A09p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35625178:35625989:-1 gene:A09p043760.1_BraROA transcript:A09p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPRHLRACLDMGKIAFLAILVSGGIVLQILACALYNNWWPMLSVIMYVLLPMPLLFFAGSDSTSLFNESDNSWINAAKFMTGASAVGSIAIPSILKHAGLIGWGALAFDLSSYFVFIVAILSYICIGDDSDNYYSYI >A09p048890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43393105:43393581:1 gene:A09p048890.1_BraROA transcript:A09p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTTGKTAAVKTNNSDGGKKENRYRGVRKRPRGRYAAEIRDPVQKARVWLGTFDTPEAAARAYDKAAVAFRGAKAQTNFPRPSLVDNESPNQSSTVGSSSTITNLNLDTRFPFPKIQVKSGMMVIEESSVVMDRSPQNPSRVPLDFDLNFPPTPDN >A01p023780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11754283:11760668:1 gene:A01p023780.1_BraROA transcript:A01p023780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQKSGGSSNEEEDMLLAMQLCGIELIAYGVKTARELHLLEIMAKARPLGIHLSTLYLASKAAPNNPDAPVMIDRLLRLLVAYSVCTCKLVKDENGRESRTYGLGNVGKKSYLTESILEGGASAWEKAKGALVFEYMKENESLKEDFNESMMSHTTIVMNKILENYDGFESLRDSTLVDVGGGIGTNLGQALSKFPRLKGINFDLPHIVSKAPQIHGVEHIGGDMFDEIPRGQAILMKWILHDWSDEKCVEILRNCKKAIPETGRLIVIETIVPREVNNTDIATKNALHSDVGMITLCTRICGVNLNEIFRLLLSLSGESLHASPQVLSATLGEASTEWPALSSKYIGCKKYHKEVVRKSLIASKYATPPVVTLFPTDKDEFGNNEPASSYYSRFHRCLVPPGGLVYAVLNRLWAPWTSSESLSLLEISTIPLWVTLKNILSQLYSILGIEWIASGSSNEEEDMLLAMHLGGIDLIAYAVKTATELDLFEIMAKARPLGTHLSTLDLASKTAPNNPDASVMIDRLLRLLVAYSVCTCKLVKDEKGRESRTYGLGKVGKKFTKDENGVSIASYLTESILEGGASAWERANGAFLFEYMKKTESVKEDFNESMMSHTTIVMKKIFENYDGFE >A05p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2480704:2481570:1 gene:A05p006260.1_BraROA transcript:A05p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRKSMAKNKQAMDDDAVDPNMSFKNIMKDVQHFAIKHMTWKDKKALENQKVTELGGKPQKKQRLPLSVARVQMKKQKEREEKMVEQNMIFGRFGGPLGGGVSTKKPAEKKRKPEDRVLKSTFGNFRGGVLDVKDLLRSGSSSSNGDFNFGKSKSKSRGMGGELGGGGGVGGEKKGKKKKGKKGKKGGGKRRSK >A06p051770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:27418191:27419576:1 gene:A06p051770.1_BraROA transcript:A06p051770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSSSSLLLPFFLIIFSCFIALSSSRRSLINHPSTNLPRSGFRLTLKHVDSGKNLTKIQKIQRGISRGSHRLNRLGAAAVLAVASGPDDTNNIKAPTHGGSGEFLMDLAIGNPPVKYSAIVDTGSDLIWTQCKPCTECFDQPTPIFDPKTSSSYSKVGCSSGLCDALSRSSCNKDKGACEYVYTYGDYSSTKGILAMETFTFDDENSVSGIGFGCGDENKGDGFSQGSGLVGLGRGPLSLISQLKETKFSYCLTSIEDSEASSSLFIGSLASNIVKKAGASLAGEVTKTMSLLRNPNQPSFYYLDLQGITVGSKRLPIEKSTFELAEDGTGGMIIDSGTTITYLEEDAFKALSKEFTSRMSLPVDDSGSTGLDLCFTLPSNAKKIAVPKLVFHFKDADLELPGENYMVADSSTGVLCLAMGSSNGMSIFGNVQQQNFNVVHDLEKDTVSFVPTECGKL >A07g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21716644:21718339:-1 gene:A07g507800.1_BraROA transcript:A07g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITKLTVVVFIAALCDNVGASRPRGMHNDTKLKDQKLQLEDIIGNIIGNITDPIIGIIGNNTRPIYTPIPTLPNNTSPAPSPDGGSVEIVPSLAPQGEATNGTKAPLSGGDIGVPSLAPEREASNSTEAPLNGGIIGVAPSPLSGGTVGVVPSPIQEGGAINGTEPPLSGAIIAPLRSPIVTEPPLSVDAIGVSPSLPPIGGGTEPTLSGDAIGVAPMPHVSTEGGIVFTTTNNFGNEDDSNGGSSREP >SC141g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:29269:31923:-1 gene:SC141g500030.1_BraROA transcript:SC141g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVFTLESSNEPPTDHQDTRKTP >A01g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2253528:2258342:1 gene:A01g500520.1_BraROA transcript:A01g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWCFHCFTDDEEQEENRNRGGVSNRLKKQSAMDDNNNNKGGDFVGFDLNEREDNGAELERVRSSEIRLHQLVQGESSNEDCTMEEADHDSYHKRAKVYSGLACVSSDAGNSGSSVERSVSFGVASSSRTDTDMFCQNFILNYAGRKDGKRDDGDDNGSSDAEDFEVHIDLTDDLLHMVFSFLSHIDLCRSAMVCRQWRVASAHEDFWKVLNFENMRISIEQFEDMCHRYPNATEVNVYGAPAVNALAMKAATTLRNLEVLIIGKGHISENFFQALEECNMLRSVTVNEAILGNGAQEINLSHDRLRELKITKCRVMRLSLRCPQLRSLSLKRSNMSQAMLNCPLLQLLDIASCHKLLDAAIRSAAISCPQLESLDVSNCSCVSDETLREIAQACAGLHVLNASYCPNISLESVHLPMLTVLKLHSCEGITSASMTWIANSHALEVLELDNCNLLTSVALHLSRLQSISLVHCRKFTELNLQSTMLSSITISNCPALRRITIASNSLRRLALQKQENLTTLVLHCQSLQEVDLSDCESLSNTVCEIFSDDGGCPMLKSLILDNCESLTEVRFCNSSLATLSLVGCRAVTSLELKCPRIEEICLDGCDHLETALFQPVALRSLNLGICPKLSVLNIEAPYMVSLELKGCGVLQLTDDCLSATTASCPLIESLVLMSCPSIGSDGLSSLNGLPNLTVLDLSYTFLMNLEPIFKSCIQLKVLKLQACKYLTDSSLEPLYKEGALPALEELDLSYGTLCQTAIDDLLAYCTHLTHLSLNGCVNMHDLDWGSTNVQLFDYFGGYSSSENTQEAAETANRLLQNLNCVGCPNIRKVLIPPAARFYHLSSLNLSLSVNLKEVNLACSNLVLLNLSNCCSLEVLKLKCPRLASLFLQSCNMDEAGVEAAISGCCSLETLDLRFCPKISSVSMGRFRTVCPSLKCVFSSPNLLQD >A08p023670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15572981:15575382:1 gene:A08p023670.1_BraROA transcript:A08p023670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLIKRLEAAVTRLEGGGGGVTLSRGGDFSSGANVAAASDPSILAYDDLISQCVGRILTAAEKIGGPVLDVTKIVAEAFAAQKELLVRIKQTQKPDMAGLAGFLKPLNDVTMKADAMTQGKRNDYFNHLKAASDSLSALAWIAFTGKDCGMSMPIAHVEESWQMAEFYSNKVLVEYRNKDADHVEWAKALKELYLPGLRDYVKSHYALGPVWNAAGKPVSAPAKGPPGAPAPPPAPSAPLFSSESSKPSSSSNQKQGMSAVFQQLSSGSVTSGLKKVTDDMKTKNRSDRSGAVSAVEKETRTTTKPAVSKTGPPKMELQMGRKWAVENQIGKKDLVISECDSKQSVYVFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAAFEIVNCTNVEVQCQGSAPTVSVDNTTGCQLYLNKDSLETAITTAKSSEINVMVPGASPDGDWVEHALPQQYNHMFTEGKFETTPVSHSGA >A02p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1269055:1271271:-1 gene:A02p003020.1_BraROA transcript:A02p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKGIITRNSVMGYRRDLYQPPFEALISFFFPSKSLLTDSNSHSALSESMDIASSSLCQAHKISLTRCQPSSPVNSCSLTFTGFSLPQISAPCLAKCRRKGTSSGFVRACVAVEQKTRNAIIRIGTRGSPLALAQAYETRAKLQAIHPELTEDGAIHIEIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGHIDIAVHSMKDVPTYLPEKTVLPCNLVREDVRDAFICLTAASLADLPAGSVVGTASLRRKSQILHKYPSLAVEENFRGNVQTRLSKLQGGKVHATLLALAGLKRLSMTENVASVLSLDEMLPAVAQGAIGIACRTDDDKMASYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAAKDEEGNCFFRGLVASPDGTRVLETSRKGPYVFEDMVKMGKDAGQELLSRAGPGFFGN >A09p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23348538:23350870:-1 gene:A09p041350.1_BraROA transcript:A09p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEENFMGFDGDLSRGRASDRRLPPPRDFGGCPDTNPFLKSYDTKSDDVMGLCKKLNGMGISCDMSIWSRPGPIRVDPADFGSRRTLPEFPDGASRFRGDYSPPQAFLRSTTSSHGADLSFLGLQDSFYPNGLREMMALKTHRDALLDHINRPIKRSSPCLGNDAFRSSLMFERNRVSDLDYPHDSLRGVSLGGGRNRASLSYGKMRSDLPLDLASMVNIYGSVNLMGKDQIGCRFLQKLVDEGLFVDALFLEIINHVVELSMDPFGNYLVQKLLEACDEDQRTMMVSVLTSRPTELLKICLNNYGTRVVQKMIETVKTKQQIGMVKSGLKPGFLSLVKDLNGNHVIQTCLTTLGPSDTKFVLEAATKYCAEIATHRHGCCVLQCCVSNSVGEQRERLVNEISRNSLHLSQDPFGNYVVQYLIEQKVSASKLLIQFRMHYAELATQKFSSHVMEKCLRIYPEARAEIVRELLSVPNFEHLLHDPFGNYVIQTALSVTKGPVRASLVEKVHRYGKLKFSPYCKKIFSKTILKS >A09p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1047200:1050935:-1 gene:A09p001360.1_BraROA transcript:A09p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARQRAGFSVLDLRLITRSAFRGEVDIHFARSSVIRIGTDSLQIRDLDTVQGNELILCSFLIWIRSEYTSRIVILLCSFLIWIRSQHSPRTYFVSSETWNQMANVPHRSVNHPGTLTPLEPDRPSPQPLSHSPSVVPSPTPPRYPQAPPSFRPDHMHSPNLLSPSNGIRTGSPIPRMSTPPGPPVFNTPVKPAAVPFRTSPATPQPMGYSSATASSLPVSTPSYYSNGSSTGSQRDLPDVVRMEEPIAADSPYVLFSANKVLRQKKLANVASLGFGAIVSAGREISPGPQIIQRDPHRCLHCGAYSNPYTSILIGSGQWQCVICEKMNGSKGEYVAMSKDELRNYPELSLPLVDYVQTGNRRPGFVPASDSRTSAPVVLVIDECLDEPHLQHLQSSLHAFVDSLPQTTRLGIVVYGRTVSIYDFSEESVASADVISGAKSPSAESMKSLIYGTGVYLSPMHASLKVAHEIFSSLRPYTLNVPEASRDRCLGTAVEAALAIIQGPSAEMSRGVVRRAGGNSRIIVCAGGPITYGPGSVPHSMSHPNYPYMEKTAIKWMETLGREAHRHNTVVDILCAGTCPLRVPVLQPLAKTSGGVLVLHDDFGEAFGVDLQRAATRAAGSHGLLEVRCSDDIRITQVIGPGEEAHSETHETFKSDPAVCIQMLSVEETQSFSISMENKRDIQGDHVFFQFAFHYSDVYQADVSRVITFKLPTVDSVSAYLQSVVDEAAAVLISKRTLLIAKTQKDAVDMRATVDERIKDIALKFGSQVPKSKLYSFPKELSSLPELLFHLRRGPLLGNIIGSEDERSVLRNLFLNASFDLSLRMVAPRCLMHQEGGTFEELPAYDLSMQSDKAVILDHGTDVFIWLGAELSADEVKSAAVLAACRTLAEELTEYRFPAPRILAFKEGSSQARYFVCRLIPAHKDPPYEQEARFPQVRRLTADQRRKLKSSFIEFDEESFCEWMRSLKVVPPEPR >A06p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2623918:2624471:1 gene:A06p007580.1_BraROA transcript:A06p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POLD4 [Source:Projected from Arabidopsis thaliana (AT1G09815) UniProtKB/TrEMBL;Acc:A0A178WHS7] MATTAKNMKGFYKQTKSNITGGISKSKPSSRKVSPKHAATQGSDATQPAALISHGSLDVKDGYDEKEEMLRQFDMNMAYGPCVGMTRVDRWERALRLGMNPPYEIQRLLKTEKVQQDCLWQGRV >A03p065030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28362092:28363767:-1 gene:A03p065030.1_BraROA transcript:A03p065030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKSVWTHPDCTETEIEALKAAGVTEVVLAINHQQPEVMLNFVKEYEKKLDMKITFSQETEPLGTAGPLALARDKLIDESGKPFFVLNSDVICEYPLLEMIQFHKNHGAEASIMVTKVDDPSKYGVVVMEEEARVESFVEKPIHFVGDKINAGIYLLNPSVLDRIELRRTSIEKEIFPKIASEKKLYAMVLPGFWMDIGQPKDYLTGQRMYLNYLRKNAPGKLLVSSGDDVVIGNVMADETAVIGERCLIGPDVVIGAGCVIESGVRLFGCTVMRGVRIKEHACVSDSIVGWDSTVGSWARVADITVLGKDVHVADAEVIQMSAKPEIIQKV >A06g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14598931:14600096:1 gene:A06g504890.1_BraROA transcript:A06g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALVARGAVQTQRWNQGIQSEWSEPQVEGPTIPAIGRGSNNAESGQAHADSVEATGVEALKVMECRLMNAISDGMKEVNKKVNSLSNQLTLLENEVKNLRVSVPRMSELPSEGESDNPSDQDGSDHPSEEDGGDTPSEEDKDDGSKDDSVIAIANQVHSEHGNGDDDMDDTAEMSAAAEEAAQKRTRGAQQKACEKKQKKKATAKKEAAKKKNTGGKKKKTKKEGKKTV >A09p055340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47387093:47389234:1 gene:A09p055340.1_BraROA transcript:A09p055340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA degrading nuclease 1 [Source:Projected from Arabidopsis thaliana (AT3G50100) UniProtKB/Swiss-Prot;Acc:A3KPE8] MELKLATAEKQVLEELVKLVQSRGLCGENGGWKEFSDAKDKKKIGSPNDPSKRSHDELVAFLTTFKKKQDLQVLKCHANFLLIEKLEQDSPGNDTPEQSLVRLTVEHPAYSLDYSFEPHSEDWFVSDVGVKTSKVMESTEMVAVDCEMVLCDDGTEGLVRVGIVDRDLKVILDEFVKPDKPVVDYRTDITGITAEDIEKATLSLVDIQETLQPFLSNGAILVGHSLNKDLEVLKIDHPKVIDTALVFKYSNARKPRRASLNNLCKSILGYEVRKAGVSHDCVNDATAAMKLALAVIEKRANTTIPPSKEMLEVEKAKLFIHKIPHNVTSEELEQVLSGEFTLDVKPAKTSRGCYCAFVVFRSSKEADQAFENVDGDQGQDSFGLPQKLVVFKLTSGSRVSIYVRKMVEDGSA >A05g505310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:14667307:14667525:1 gene:A05g505310.1_BraROA transcript:A05g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLLVAGCKQLMDKEFWPEVHDASPSSFASKVALHQDRYEKGLRNQNDTPSFSLHGEAEPDGAVFFMPWI >A02p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14250068:14250791:1 gene:A02p028150.1_BraROA transcript:A02p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRCDVCDKEEALVFCTADEASLCGGCDHRVHHANKLASKHLRFSLLNPSPSNNSSPICDICQEKKALLFCQEDRAILCKDCDSSIHSANEHVKKHDRFLLTGVKLSAISSVYKPTSESFSSSQDCYVPGPRSSKKPLSASQSNSSKIQLTSKIVGDATVNQLGSTSTISEYLMDTLPGWHVEDFLDSSLPPFGFSKVRFLFFSLIKFC >A01p055370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31191960:31192354:1 gene:A01p055370.1_BraROA transcript:A01p055370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLSESFDIEDLMSYGDDLISLLNAKNGFEVVSQSFEDLKALRFVCDEDFNQTQRSIQDCKKKLVACKKKTEEASCGDDDVERLQRELDEEMEIECKLKDELR >A04p006140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3183332:3183744:-1 gene:A04p006140.1_BraROA transcript:A04p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDKEIVAVNGGFSGGLELDVIGKFGEDKDTSNCGGVHSVDNLNVTGVPPSGMKDTCSSICSTTFHK >A09g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3221856:3225716:1 gene:A09g500880.1_BraROA transcript:A09g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAIPPLHGARDSTTGLDKLPEEMNDMKLRDDKEMEPIVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQKVLQDRRYKNRELQTMRLFDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSAALDTLVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVAVEIVAKLVPEHARKQCPWLGL >A04g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20367267:20368537:1 gene:A04g507950.1_BraROA transcript:A04g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQTPYIYPNTTIYAQILKSIPSTMVNQTSVTIAQAPSPLFFTQVSAGPGDSKLQFRLIHFWEARKHAKGGILIGIEMLMINEQRQQYELSHHTFTYSAELIFFYLISRALSPSGSLLKTVLQLKRGSIYTLTNFFASNSKVMYGAADQKLVICITHTSILSKLEENIEGIPSQCFKIHSFPDFEANCYLRGDLYDVVGYLKLVGGQALHQRPVLRTKDGSTSQKIMVHLQIKDGPVMNVYLWDQAAYYPYEHRTYYP >A05p009210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3785287:3790572:-1 gene:A05p009210.1_BraROA transcript:A05p009210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHRPSSAVSNGDSVQIPMMIASFQKRFPSLSRDTTSARFHTHEVGPNQCCSAVVQEISAPISTVWSVVRRFDNPQAYKHFLKSCSVIGGDGGNVGSLRQVHVVSGLPAGSSTERLDILDDERHVISFSVVGGDHRLSNYRSDSLNLKLLSSLFQHLHPSPISGTVVVESYVVDVPQGNTKEETCDFVDVIVRCNLQSLAKIAENSAAEVMKKTSMR >A04p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:441555:442872:-1 gene:A04p000910.1_BraROA transcript:A04p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSQMVHTSRTISQIGVRSQLVSANRTPQSLRFVARSSLSSRLHYAASFPLKTISGAYKLQRTACVKSMAAEEKEEEEVLAPPQAKVTHKVYFDVEIGGEVAGRIVMGLFGDVVPRTVDNFRALCTGEKKYGYKGSSFHRIIKDFMIQGGDFTEGNGTGGISIYGAKFEDENFTLKHTGPGILSMANAGPNTNGSQFFICTIQTSWLDNKHVVFGQVIEGMKLVRRLESQETRAMDVPKKACRIYACGELSMDA >A01g510280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28113570:28117985:1 gene:A01g510280.1_BraROA transcript:A01g510280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRFRLRPIASNRIDRTIRLCDRKRLIGVQVEDLASSRILICRSKISPPLGFLFADEQSSISLYANLVMILDAISAVLFTSLLLRFLIVEDLCKPKMSINLQTNSSDYVEDFPELAEILQRSRTEWLRSADIDEILRSRPSISLMPPALHATGLFLCDQNAAASDEHLREWETTRLMTTKETVPLYYKSCTLPLNENSVYEKRIYRPYQDLHGAVFVHLRIIDLPQEATSGEDTDHSTASVDYRDVAGHESGGEGDPFSSLNANDGGVKSENDTERKGVDMVLPDASLLSKEIGDSRLAKILSRGGSCEDVVEAIHDELTKIKEEMRNGQVALEKYVITKALTKSIEAYPDSKSQPHVQVALRMRQRGYEEGFNAEDTVPYIICFEQGNTGSASSAGIAERARHPDEVKEDDSRWLVDIDYYLAQQIHPVVSRLCAEIEGTSPERLAECLGLDPSKYGSRSNDARDSDPYTLLGTSDEERYEGCEPLALTCPSCSAVFNCPSITSSVCALISKNTQTEESDSTFWLNPRCPKCERGRLTAAMIANQVKRQLDGFVGKFYKNIMMCDDCQHRTRIPSFHVVDGRERGTVCPTYPRCNGTLVRKYTAADWYNQVSYFCYILDTRSRTLEKKKQMDAGVRVQVDGALAKIEPVAEKAEAIARGFRDRSEFGYLNLQDIAAEGIAVRRTFASLKNYQVNGNKEMMAIRFMNMAGSCTSCYVTTVLATLLFLMPLFYYTPDLILAAIIFSTSL >A09g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20885788:20886625:1 gene:A09g507100.1_BraROA transcript:A09g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDEFFPCAWSSPPSVEACAALSSSSLVSCSSSGVASGGIRGCLLSWSSEFRHLRSACGFHGWRRRRFASLWSLVAACWCLASSGSSQFVCGSGMGCHPSNQNGGSGCGPLRPSLFSNNLFNIVFVSRERVSAQCGFGFVSSGGLSGSRSVYSIWVAVRWVYTVSVECKGGGNIWRKLLNDGIVVSRLLLVPSVVSIFWPFYGFVFRFSARVRYGFSLEAVGTIAFRV >A03g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14707775:14709407:1 gene:A03g504150.1_BraROA transcript:A03g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQEAMWQMNLSSDETMELGSYPERPGEPDCSYYIRTGLCRFGSTCRFNHPRDRELVIATARMRGEYPERIGQPECEYYLKTGTCKFGVTCKFHHPRNKAGIAGRVSLNMLGYHLRSNEVDCAYFLRTGHCKFGATCKFNHPQPQPTTNLMVPTSGQQQSYPWSRASFIPSPRWQDPSGFTPLMMPQGVVWNPYTGQLGSVSPSGTGNDHNNYRELQQNESGSSVPQRGIYALPSESVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQTPPPDCLLSPIGLPLRPGEPLCVFYSRYRICKFGPSCKFHHPMGVFTYDNTASETDEVLETTTGHSRRLSVSETRQAATTSGQDTTIDTTHQ >A07g501970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4151695:4152198:1 gene:A07g501970.1_BraROA transcript:A07g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTAKEEPKTTQQKSDDPENSYASFQGLLALARITGSNNDEARGSWRRLGGAWGEVRLRRRRVVRRRRRVRVRILMLILRLRRLLLRGMGRRRRGVAVVVVLRRRRRRRGVEIGRGGGGRRSGGRIREGV >A02g503130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10322166:10322420:-1 gene:A02g503130.1_BraROA transcript:A02g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAIRTGESSPPLLFRQVSPGPGDSTMQFRLLHFWDARKNVKGGPGIILGIELLLIDAEVCFHVSFWMSFPLLNRDYLSVF >A02p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3166887:3169808:-1 gene:A02p007480.1_BraROA transcript:A02p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1H [Source:Projected from Arabidopsis thaliana (AT5G16740) UniProtKB/Swiss-Prot;Acc:Q9LFE3] MSGTKVCIACVEENKLCECHHENPVKELGLSHEASENSSFLHSVINMVGMLIGLGQLSMPYAVESGGWISIFLLISLGVLTTYTSHILGNCLRRNPKSKSYSDIGYSAFGRHGRLLASLFIYLEIFMALVSYTISLHDNIAAAFPATFTNLHNGSFPAAKLTAVAVAIALPSLWIRNLSSISFLSSGGILMSAVIFMSVVFTAVFGGVLDDGRIPVLRLGNIPTVSGVYLFGFGGHIVFPNLYTSMKDPSKFTKVSIVSFATVTALYTALAITGARMFGPNINPQVTLSLPKHLLVTKIALWATVLTPMTKYALEFAPLAIQLERSLPLTMSDRTKLVTRGLVGSTLLLVILALALTVPYFGYVLSLTGSLVSVTISVILPCAFYVKICWDGMSKFTRAANVVFVVSGCVLGLLGSFDSSKLLVNKLVRVHGN >A02g510640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28253549:28254354:1 gene:A02g510640.1_BraROA transcript:A02g510640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDHAFYAISGSDDEYDEYGVYQTDIDTSCSPQGNQYYEETSIASINEIGVAEAINIVTSIAFLIAFAILRIQPVNDIAYFPKWYLKGLRTSSIQTGGFGSKFINLDFMSYV >A01p039820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14117040:14118187:1 gene:A01p039820.1_BraROA transcript:A01p039820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGCLCSLSSTQSSPASKCIKEMRFTSVVQFHYVYSLSRGSERTQHKNFTFFFQLNKLTMSSNSTFLSFFACSSPPGFKDHAKSFRSELVKVGSIVFK >A09g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7629231:7630015:-1 gene:A09g502250.1_BraROA transcript:A09g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGEVVSFGGSRRLDELSSVWLLRFMVDFYQGVKWTLESAFKPYPKGGQDCEAIPLAIGGSDRSGLRRRGVLGSISGWYSLACRGQHRYIQFTAFCYLGVGVF >A03p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5140245:5141069:-1 gene:A03p013070.1_BraROA transcript:A03p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYIRVKRMKATYFIQCDPTETVLDVKQRLFTLIEQPVSNQRLILMSTEEVLEDSKSLAEQKVENDAVVALTLRKDDGDFEDVDIAQPTDFSVA >A02g501710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5504987:5506117:1 gene:A02g501710.1_BraROA transcript:A02g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLCTVSHSMFVFIGWFCFASTAGLTDLCRCRLLWVLLLICSPLPAFSVVPSSAFRKAPNLRFRSFTGSPAAVTITNRLGELHRLSHLLSAQSILHGSQSPLHRNLCSRNLYSLSRSESMGSTTAKRSFGVDTTKRFASDTSCRLATAVQLPRHINGSVLSLDDEPHRISSFSDRKTDYGACGLTAHHKTLISFWALSKWAFSTSDWATNVLTSSGPFLKIKRPRQRHRGSLRQIPPAKFVSVPNSQSEMKRVLITSPSSLPIFLLPGSIEIHLVSRDNIDGYRVVLFRLMGVLSLVGFPLIFKPLSLGYFNVFLDYLKLSRAVVSRIQVKIICGSLYFELASLFNTSIFCFIVFMLSLFILPSNIPPVKIVVAS >A05g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5238467:5241647:-1 gene:A05g501490.1_BraROA transcript:A05g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSAFSRDSRSEKPKDGEAGDFSGPIKPIGTHDVSSGLSIGNPHSKKAKGDALVSSPSLTKPSGNRGVSSGVSIGSPNSKNPSGPIIQTTKTSVSSGVRSKAAVSSGVRGKAIVSANVGRVMSFKDVKFGAHEGELRFRLIHFWEARNVRTKLLIGLEMLLIDQEETIIQGFIPSGRMDTYLPHMRAGGIYRLHNFFGSNNKTLYRVSEPSVTITFSSTSVLSDLEDSSVCFPEDRFRFYGYEEFNAACDLKGDLYDYVGHIKLVNGQVLNDSLVVDEAEIASTRRVLLHVQTHDGPVMKMYLWDKAASDFGERFKASGGTASVILVTTLNPKRYGGALCLSSMASSRIFMDSDVQATQDYLNWLNSNLDVAKRVDADVVTKTETVTIGELFSYMKQADAKVAWFECIATIGDVVHGSGWYYIGCGGCHTKATKGPTTLMCKKYLAKISVYDNNDQAVFVLLGDSGHELSGKKASELVESYFEANEDEGSDHLVPVPQALIDTIGQTRKFIVKVSTHNLTGKTQTLTVTKVLTPEDPDIGVNLEESDGERVKRAAEKIEGEEPKRAKCG >A01g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17263785:17269394:1 gene:A01g505790.1_BraROA transcript:A01g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNYESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTSGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRGRRPDDKGNDNNRRRVNMIIGGSQYCGDTVKQITASANGKRAKIDRSSAKSAPHEDEVKSSVNANASDVEARHKSEAHATTQPEHPKNSVDPATIRLKVPGQRSTKRIRRTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKKTNLIDDARSFRNDSRPRRFFPSKRTKKENFFHKLKFEMNFLTTDINFRGTNLCLSDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVISTFPAAGNPELHNIRDVVERPHRREKLVITSLLIRHEDLLFKLGLSHINSIHHA >A03p034960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:10227:11859:-1 gene:A03p034960.1_BraROA transcript:A03p034960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQEAMWQMNLSSDETMELGSYPERPGEPDCSYYIRTGLCRFGSTCRFNHPRDRELVIATARMRGEYPERIGQPECEYYLKTGTCKFGVTCKFHHPRNKAGIAGRVSLNMLGYHLRSNEVDCAYFLRTGHCKFGATCKFNHPQPQPTTNLMVPTSGQQQSYPWSRASFIPSPRWQDPSGFTPLMMPQGVVWNPYTGQLGSVSPSGTGNDHNNYRELQQNESGSSVPQRGIYALPSESVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQTPPPDCLLSPIGLPLRPGEPLCVFYSRYRICKFGPSCKFHHPMGVFTYDNTASETDEVLETTTGHSRRLSVSETRQAATTSGQDTTIDTTHQ >A02p012670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5557071:5558158:1 gene:A02p012670.1_BraROA transcript:A02p012670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSNPKILNYLTMEKEGKVGTASSSRWNPTKEQITLLENLYKEGIRTPSADQIQQITSRLRVHGHIEGKNVFYWFQNHKARQRQKQKQERIAYFNRLLHKTSRFFRPPLCSNGTPYYLQQVGDHHNQHGHGSVYRHSNNVMNPNGGYDKRTITDHKKQLSDITTTAARLSMSSSSLRFDRFALCDHGYNGEDINVNSNGPKTLSLFPLQPLDAASEDGVGNSKISPGRDSPVTCFGDGGGREQPFIDFFSGGSSRFANGANGL >A10p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21811898:21818652:-1 gene:A10p039380.1_BraROA transcript:A10p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEANVDPFSIGPTSILGRTIAFRVLFCKSMLQLRRDLFRFLLHWFLTLKLAVSPFVSWFHPRNPQGILAVVTIIAFVLKRYTNVKAKAEMAYRRKFWRNMMRAALTYEEWAHAAKMLDKETPKMNESDLYDEELVKNKLMELRHRRQEGSLRDIMFCMRADLVRNLGNMCNPELHKGRLQVPRHIKEYIDEVSTQLRMVCNNSESLEDLSLDEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVRTLVEHKLLPRIIAGSSVGSIICSVVASRSWPELQSFFENSLHSLQFFDQLGSVFTIVKRVMTQGALHDIRQLQCMLRNLTCNLTFQEAYDLTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLEPEEGGDKASTRRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGRFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPTHVELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERASASSSHHGLASTTRFNASRRIPSWNVIARENSTGSLDDLVTDSNNNNLHAGRNLSDSETESVEMSSWTRTGGPLMRTASANRFTDFVHGLDVDIALTRGFTSSPNSPAVPGPVSPSFSPRSRSLAAHSESESDKRESSNSSSITVSEGDLLQPERTSNGFVLNVVRRENLGMPVGNQSAIQNNMKILISLLMFTLLFSTTKSRVTDSNDGVFEEVKVGLVVDLGSVEGKILKTSFTLALSDFYGVNSVYRTRVSILVRDTRGDPLLALAAARYLVKKARVEVIVGGQLSQEAKLLAALSDKTKLVVISPFLPYTLCLNKYSHLIQWTHDTASEAKGIASLVHDIACILANVVERRSLRAKAATRSGAADEASWNVSDLKRFNGDSQINKETFEIANIVGRKKRRIGLWRPGGSNKVSPRHRFLAESGEKKKLLRVLVPSVNRVPNLVRVSPDPETGVVTVTGLCMEIFKTCMDPLKYELEFIPYNGSYDNLAYLLSTQRDKYDAAAGDLTITSNRSSYVEFTLPFTDIGIGALTLKKKKHGIWAFFDPFEKLLWLASGAFFILTGIVVWLVERPVNPEFQGSWKQQLGTMLWFGFSTIVFAHREKLQKMSSRFLVIVWMFVVLILTASYSANLTSTKTISRIQLDNPLSFGPSMMKISNSVNAIEVYAQVLRDGTLSHVVDEIPYLNILLGQYPAVFAMTDREAITNGFGFMFQKGSGLAPKVSREIAKLRTSATLKDMEKRWFQKMDSFYVNSNDNDDDDDGSNRFTFGELGGLFIIAGAAHALVLVMHLFQTRREIYRVLYESRLLTKLKSSASLWRC >A03p035260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12647151:12647920:-1 gene:A03p035260.1_BraROA transcript:A03p035260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKVSSMLVFLLLLVLVFPHMDKALGEQMQHRKLKETEHPDQLVTVQRRALRFGVFKGWCIRNCKKGSDFLGSLPKPPSPPPRFPKGRLPPPPRFSKGKNV >A05p042120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25490297:25497337:-1 gene:A05p042120.1_BraROA transcript:A05p042120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLLFFFVFLYTVTTLTSTTSGATSCRTSCGSIQINYPFGIDKGCGASQFQGMLNCTSTDLDFFTPSGAYRVRSIDYDENTMVVFDPLMSTCSILQPHHDFKLSDIQNAIIRPSYDTVFALFNCSNDSPVKNRFKSLCFEAAGHSCDDLYSACPSLTIFNTLPGNNTASLANSTVRATPYCCFTSYDTVRVMNMNILDCSHYTTVIDDGKMRGVTPVDWSYGIELSYSVPEIGCDRCQKSGGTCGFDAETNIFLCQCPVSNDVSPRDCGGGASDKGGCNSSKANYATLLLAMLVLPFFIFVFLYTVTTLTSTTLGATSCRTSCGNIQINYPFGIDKGCGASQFQGMLNCTSTDLDFFTPSGAYRVRSIDYDENTMVVFDPFMSTCSILQPHHDFKLSDIQNAIIRPSYDTVFALFNCSSDSPVQNRYKSLCFEAAGHSCDELYSACTSFGIFNTTSGNSTVRATPYCCFTSYDTVRVMSMSILDCSHYTTVIDDGTMRGVAPVDWSYGIELSYSVPEIGCDLCRKSGGTCGFDADTEIFLCQCSDNTSPRDCGGVSDKGGCNSRSTNYAMLLLGMLVSFTCAIS >A07p034840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18894287:18896613:-1 gene:A07p034840.1_BraROA transcript:A07p034840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGGTTTTAVTSATPPPSNDSAATEAAAAAATVGAFEVSEEMNDRGFGGNRWPRQETLALLKIRSDMGIAFRDSSVKGPLWEEVSRKMAELGYIRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLEALETRPTSSSLHHQQQPQPPPQTQPQPLQPQPPLRPHNNNSSMFSTPPPVTTITPQITNTTLPPYTQPVNVPSFPNISGDFLSDDSTSSSHSTSSDVEVGDGTTTTRKKKRKRKWKEFFERLTRQVVDKQEELQRKFLEAVEKREHERLVREESWRVQEIARINRERDILAQERSMSAAKDAAVMAFLQKLSEKPNPQGQSIPQQQPQPQQPPSQMQVNNNNNQQTPQPPPPPPTQPTQPVTPTVDTSKTDNGDQNMTPVSASAAGALSSSRWPKVEIEALIKLRTNLDSKYQENGPKGPLWEEISAGMKRLGFNRNSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRERNKFHTSNNVIASSSSTCGLVKPDNSVPLMVQPEQQWPPAMATTTMTSTVAATAQPDQHPPPQPLDKNFDDEEGTDGEEYDEEEDYEENEEEEGGEFELVPSNNNNNNNKPTNNM >A03p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1150027:1152082:1 gene:A03p002510.1_BraROA transcript:A03p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIILNSNPFLLTLFALLPVLFFGIVKNKIKLKKLNLPPSPSKLPLIGNLHQIGNLPHNSLHDLSLKHGPLMFVNLGTTRYLIVSSADALEEITKNHDITISNRPTNTSLNPLKGNGQDLVYHPYGDHWKELRKISAIHLMSKNVVNRFQTLRDEEISSMLETIHFSSLKGEEIDMSDMFNTVVSNVVHRSYTGSYKKEEKKGLENATRVFLNWDGIFVAAIESVALELEWLLADLIKHPQVMRKAQEEVQRIVGTKPKITNNEIDKMQYLKCVIKETMRLHPAGTVPRETSSKWIKVGGYDIPPKTKLLVNLFSVQRDPKIWENAEDFIPERFLDKSIEYMGSKGYAPFGFGRRNCPGMAYGNALLEEIMANLLYRFDWKIPDGSKPEELNMEEVCQFVVAKKYPLKLVPVPRFKSNA >A09p069490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54145585:54146773:-1 gene:A09p069490.1_BraROA transcript:A09p069490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPSGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG >A07g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3143487:3145646:-1 gene:A07g501530.1_BraROA transcript:A07g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCFFQIVRPSSLPGTDIEAPFRSSFPDTGGVEARRRRLYSSSSSPLFILCSSSVSYFVVIKLSSLFRTGMCLFSKEIRSPCSSFTSSRLHRNLDDSVTSRSIVVCQEVLKMIFISSNIELQIYGLKLVSLRTYKCTGSTQSVGELTGSVRLSPVATIPRLTVATAPPLTVDAELTRSGRLFSTASPSPPTETTSPPWVYRLPYLERVTISRVLVPPPHCVFASPNRKEAPRIHLARELDCPDGIKPPPPDAQRPSPNADSRSIKFFKFVDSSALSSSSIIFRVTVKVKAIPVSDLSTGLRFSLGFRESYGYRYGNIGVLPLSLTSAPIPPLSISFNYLNRSLFLLWNEDVVLSLMLFLPQFEDVAGSVGFFMKLYLPQYEDITLWCTSFLPKYEVIWTFAFVVLVSIISGLLSWQWWSSSQLSDFIKHGFVVFVFVAVRSPAVHVKILSTDLVNGMWFKAFKFGGFGWSIYGKGEARDSQGSSISLSAGSSLANEAGKMIKALQSAKTCRLSSLQLILDSIVLSSAMRSWLDMIKITGLLFRNLVTLFTPLSCTFNQCAATCFAVTFTMSVVSKLCSLITQF >A06p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1845404:1856868:1 gene:A06p005760.1_BraROA transcript:A06p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT1G07725) UniProtKB/TrEMBL;Acc:Q9LQP9] MLKASPNPREYIRRCRSSLLRVREFQIVFSGESRTECCVAVVRSSADVELSSPSAEDRYPETTRFEISVDRIFEHSCKILFVLVLALAMAFVSAARLLNEEEDLGLVPMPTTSPSPLPTTGSGSFASASSGPATGITSGTGLASDGSLTTLTGSGPLPTTGSGSLPVSSSGPLPTTGTGSLPTTGSGPFPVASSGPLPGAGAGPSPTVGSARAVTGVVANPALSGQLPFAKPNGANLPVNNVYISRLPQFWFVYVFPMPIKSNCIPSNNNNGILTNNNVPLLIGLGGTTSSILQNDGNNLLNGLPVANGGQLPSGSSLQMLMFGTMTVMDNELTEGHELGSGLLGKAQGFYVASAVDGTSQTMAFTAMFESGGYEDSISFFSVHRTAASESHLGVMGGTGKYVNARGYAIVKTFTGGTGNTQQPHQFTDGLETVLECTKQMVLLKPSAFSKSPKPLPQQGGFSDSLIGDTVEAADAFVSQWVSPHLYDSSSTSCSLSSLFSAENRGEGRRFLDVLAKLHYAIQSAGLVNPDSAKLSQARDLMQTAMKYLEKEFYRVLKSNRRFFDSESISSLSSNENVEGDADAIEDLKMITNCMISSGYEKDCVKIYKKLRRKMIVEALSNLGFEKLTSAQMQKLEWEILEKKIKGWVRLAKVAFVTLFNGERILCDRIFSTSSSSSSVSIAESTFVEITLQSALKLFIFPITVAKCKKTAEKIFPTLDVYQTILHVIPQIEQIFSYDSTACVRTQAAESLEKLGESVNAMMIEFQSSITKESSKSPIPCGGVHQLTRYVMNFIVFLADYSDSLTAIIKDKESSLPLPEDYYNNNNNEENPENAGSPMAARLAWLILVLLCKIDAKSRLYSDTALSYLFLANNLHYVLIKVRTSNLKVVLGDDWVANHEVKVTQYLEKYEKMAWGDVIASLPGVSTAAAKAEEALRRFNKAFEETYKKHKNWVVPDPKLRDEIKASIASKLMGGYTGFYKKYPVGSSDIVRFTPEDLNGYISDLYIGLRGSVPVSTN >A08p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:536950:538840:1 gene:A08p000910.1_BraROA transcript:A08p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHLSREKVARGFLVCLWLWGFISLSYAARSGVSKQKFEVKKHLNRLNKPAVKSIQSPDGDIIDCVPITKQPAFDHPFLKDHKIQMKPNYHPEGLFDDNKVSSTTKSKDKEPHIPQLWHRYGKCAEGTIPMRRTKEDDVLRASSVKRYGKKKHRTVPLPKSAEPDLINQSGHQHAIAYVEGDKYYGAKATINVWEPKIQQQNEFSLSQIWLLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIAMGASISPVSGYRNSQYDISILIWKDPKEGHWWMQFGNGYVLGYWPSFLFSYLTESASMIEWGGEVVNSQADGHHTSTQMGSGRFPEEGFSKASYFRNIQVVDSSNNLKAPKGLGTFTEQSNCYDVQPGNNDDWGHFFYYGGPGKNENCP >A09g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11385378:11385612:1 gene:A09g503660.1_BraROA transcript:A09g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQENIKHKPPETYPNQLRIGSSMTIGTRTNQVRSSHNYRTCTLSGHYVATKLEPSTVAT >A03g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13535077:13537089:1 gene:A03g503870.1_BraROA transcript:A03g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVMISELGKRPMLRDSSFGDDYEKEIGALLGEQRRRQEEADELKKELNLYRSGSVLWTVPLAPLPEGFSAAEGPVKMLADDLDAEEATHVPGNDNVVSLSLFHAMDPRHMQQRQHLDFPTDMIHRQASPNAAASAP >A09p029600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17661908:17664857:1 gene:A09p029600.1_BraROA transcript:A09p029600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCAKRGGCPSDYVAVSIAVICFLVLLSRSVLPCLIHKAPRNNSSSLWIPVIQVFSSFNLLFSTMMSINLLQFKTKHWSQYCYLWSVWVEGPLGFGLLMSCRITQAFQLYFIFVKKRLPPVKSYVFLPLVLLPWIFGAAIVQAKRPLDSQCHMGLEWTLPVAGLNALYVLALLAFTRAIRHVEFRFDELRDLWKGILVSATSVALWVTSFVLNEIHTDTSWLQVTSRFVLLVTAGILVIVFFSISSHQPLLAQISFKNRQEFPRMGLALGIHDSGLLFRKEEVRPVDPNEPLDKLLLNKRFRKSLMEFADSCYAGETLHFYEEVYEHGKIPEGDSIRRIYMARHIMEKFIVAGAEMEVNVSHKTRQDILTTQDLTHLDLFKNALNEVMQLIKMNLVRDYWSSTFFIKFKEEVAMDKEGWSLSPPRMSLVQGSDDPFYQEHMSKSSTSRYSSPS >A03p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:828181:830429:1 gene:A03p001650.1_BraROA transcript:A03p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFWFSDWRPQEVTQEMSGYPPTSQGYGYGYGGGNQPPPPYSSGGGNNPPYGSSTSSSPYAVPYGAPKPPSSSAPPSAPSYGAPPPSAPYAPPSAPPYAPASSGDYKPPKEKPYGGYGAPPPHDPSGYGAAPRPGYGPPPKHGPSEYGSYGATPPQGYGATPPQGYGAAPPQGYGGAPPPRPASSGHGGYGGYTPPQGSYGSPFASLIPSGFAPGTDPNIVACFQAADQDGSGFIDDKELQGALSSYQQRFSMRTVHLLMYLFTNTNAMKIGPKEFTALFYSLQNWSSIFERSDKDRSGRIDVNELRDALMSLGFSVSPVILDLLVSKFDKSGGKNRAIEYDNFIECCLTVKGLTEKFKEKDTGYSGSATFTYESFMLTVLPFLIA >A03p032310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13664267:13666474:-1 gene:A03p032310.1_BraROA transcript:A03p032310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIVFRSLTELFPQIDARILKAVAIEHPKDADLAAAVVISEIVPKFFPDDEHNKTPALKVEVGRDIPNGGSETGASSSGTIPLALDGTRSPVTELLCTENRLTNGDLDIQSKSMIGSDESRVVSSPLAGIKLTSDCWEGIDFHFTGNQAESSTSAVSKRAVHKPAADNSESTLNSSERSDDVREAASGSLTGENSDAELSGSVLVEETSKGSLEVENGDSELAGAFRSSVSRSTQGCKIDHLEQIIEDAKSNKKTLFIVMESIMNLMREVELQEKEAEKVKEDATRGGFDTLKKVEDLKKILAHAKEGNDMDAGEVYGERSVLATEVNELENRLLSLSEERDKSLSVLDEMRGVLEMRLAAALEIKNAAEKEIQEKEGCARKALAEQEAIMDKVVQESKLLQKEAEENSKLREFLMDRGRIVDSLQGEIYVICKDIRLLKEKFDNGVPLSQSITSSQTSCKLASSVSSMKSLLLEKPLDLSYEAPEASGNNNKSAEASVNEGKEDERKELLEDGWDIFDKEIEL >A07p045050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24478805:24479782:1 gene:A07p045050.1_BraROA transcript:A07p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILFLALQCFECSTMQVKQKKKSSNNWVCVVCNQKQSVRKVFAQGYKAKDLRFFVQSFNMSRKVADEEKQAVADTFPEVEEDEKASDVRGKKRSDWSEYLDSDPPNERLRLIGEEEDLKIVTEMPKDMFKRPKLNNYSKAGGGSLSGKRDDGGLSKPSFSRRSIKCPDLRSDDVMTRKKDTEQRNFKPERVAKPASKWDAYLIDDEGGYQGAPRCGGGRGALKDDGVGEWDRGVTDASSEYQVVDDEVHPDFM >A02p030700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15676063:15677719:-1 gene:A02p030700.1_BraROA transcript:A02p030700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYASHQLSNGLFVSGGRPEQPKEKPPTMSSVATPYTGGDIKKSGELGKMFDIPTDGTKSRKSGPITGASSRSGGQSGPLPNATGRMSGSLASAAGSSSMKKTNSGPLSKHGEPLKKTSGPQSGGVTRQNSGSIPMLPTTGLITSGPITSGPLNSSGGPRKISGPLDYSGSMKTHHKPSLVHNQAVTTLGPEDDFSCMKSFPKPVLWLVILIFVMGFLSGGFILGAVHNAVLLIVVAALFALVAALFFWNLSCERRGVTDFVGGYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFHRVPRCVYTSTCLYEYRGWGSKPANASHRRFTWGLRSAERHVVDFYISDFQSGLRALVKSGNGAKVTPIVDDSVVIDFKPGNEQASPDFVRWLGQKNLSNDERVMRLKEGYIKEGSTVSVIGVVQRNESVLMIVPTTEPLAAGWQWSKCTFPASLEGIVLRCEDSSNVDAIPV >A02p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16792028:16792816:1 gene:A02p032010.1_BraROA transcript:A02p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELHLLGLGQTLVVFYVVFLVLSLPLIVPARLGFQDLDFGDLTFILIFSLCSLILLHFSSLVASPVTLHFAMFFTLGLDSVFLRMCGVSLLELSSLLFRALWFFIGIWYVVVLFVRCLIAFICVPQFADFVLSLSLSLFSQLVTLFIVGCVSGGFPFRHVRHSRFG >A08p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5335079:5336057:-1 gene:A08p008170.1_BraROA transcript:A08p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGFYSSGIFGSDGFGEWDPGRKRVGIAQEITNLHQDYTISKQGKVHDDLIRGDQSGLIGLQGDMKKTGIDRGWKILRSTIDTDTCDLGSSSGDGLDNKEVVPGKFGLGY >A05p049590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28850580:28852513:-1 gene:A05p049590.1_BraROA transcript:A05p049590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTRNPTSAEVPPPLSSTSTDAEGSSKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPARPKRKAAHPYPQKASKNAQMPLQVSTSFTSRNSDMAGGYTSWDDVSMMLNRVISPRQELATRRGAEGMLFRLHIRTFHVLIMAYNAIDSDNIGSTGLLNVSSPSTSGMGSSSRTLSGSDIIVQQAQQPPVLQAVPDFAEVYNFIGSVFDPEKRGHVEKLKEMDPVNFETVLLLMRNLTVNLSNPDLESARNVLSSDEVNTEIPSVTTGSFVPNSTSDKSDS >A09p000460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:276578:281027:-1 gene:A09p000460.1_BraROA transcript:A09p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKEGDKASVSNLFLTYICLGSGVVNSVLIDIYNTSLTMAGCVEAASGRSKSKNNLLRKQLAVALRSVQWSYAIFWSSSLTQHGVLEWEEGCYNGDIKKRKKSYEAAQYKYALQRSNQLRKLYLCMLEGDSNTTISTTHDVDDYGDDEEHNCNSTTSMMLSPDDLSDEECLPGRALVTGETIWLCNAQYADNKLFSRSLLARSASIQTVVCFPYLGGVIELGVTELISEDHSLLQHIKFCLLETSKPDCASYTFSARRDSDDEKYQTKIKTSDGNSNSVLQGNHQIQSDEDVHYKRTVSTLLKYAADKDIPHLQPDLVSTNIESSFLRWKQREQPNSILFQEHSNLQTLSQNVLRKILQDVPLMHSLDTKRTLPSKTFGLNQDDPWDTRKENEKFSVLKAMVPTVNQVDKEEILNNTIKYLQELEGRVEELESCMGSVNFAERQRKSVNDSVLIEETSGNYDESTKIDGNSGETEQVTIVRDGTHLRVKLKETEVVMEVRCSYRDYIVADIMETLSKLHMDAFSVRSYTLNGFLTLNLKAKFRGAAVASVGMIKRELRRVIGSPVYYVLLQCGTKEYRSKMSKGDNDNALWNQKFVFDFPMYQWKKLTHIKVRIMDKELFKDGGFVGETIIHLGGIITEGRDRGYIEVKPAPYNVVLDDDTFKGELKLGFRFTAADKLHINKAWEVKIEGKNREEPMISPVLNLMKLPLLRFLIYCFRKTTKYQLKDN >A03p037930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15849891:15851365:1 gene:A03p037930.1_BraROA transcript:A03p037930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLGTEKFDQVFNKYSNDEAKRVQCTYSSGSQASSDDNSTMSGFHGTHDSCPLVKNILLLDSEGKRVAVKYYSDDWPTHAAKLTFEKYVFSKTSKTNARTEAEITLLDSNIIVYKFAQDLHFFVTGGENENELVLSSVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGVVLETDPNVIAGKVAMQSTEASGSLSEQTLTQALATAREHLARSLLT >A10p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22248822:22251299:-1 gene:A10p040380.1_BraROA transcript:A10p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYSLITGRRGPSGFGSASTAEEVTQGIDATHLTAIITGGTGGIGMETARVMAKRGAHVVIGARNMGAAENAKTEILRQNANARVTLLHLDLSSFKSIKAFVRDFHALHRPLNILINNAGVMFCPYQLSEDGIELQFATNHIGHFLLTNLLLDTMKNTAKTSGVEGRILNLSSIAHIYTYQEGIQFNSINDICSYSDKKAYGQSKLANILHANELSRQLQEEGVNITVNSVHPGLILTNLFQHTAILMRFLKFFSFYLWKNIPQGAATTCYVALHPSLKEVTGKYFADCNEVTPSKLARDETLAQKLWDFSVKLINSVSKKNYLGFEDTS >A02p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16794163:16796770:1 gene:A02p032030.1_BraROA transcript:A02p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDREMTSFHGRRRLLLIGVAILITSSLVSLCHGVSSSCHHHPSSTSFQGLRRQVLEGANGTLVLAAERTRRPDPLNHFNIYTDGWNVTNSHYIASVGFSAVPFIVISIVWFVLLGLFLVCSCLCCCCCGCGRRSYSYSRFCYTLSLVFLLLFTIAAVIGSAMLYTGQKEFYGSVEKTFMYIVNQATGVLTKLTSLWDSIQSAKDIQLDGHNLFPPQFRGNIDHFNNMIKMSNITYPDRVANQTIRYLTGALNPVRLVLNVIAGIMLAVTFLGLLFSFCGLRVLVYLLVIVGWILVTATILLSAVFLVFHNVVADTCTAMDQWVHDPAAESALSQLLPCLDAKTIGDTLDITKTMTSTAVDMTNAYTVNISNFDHFPHNNPFYHNQSGPLVPLLCNPLDEHHNPRPCAPNEILLANASQIYKGFVCQVNAEGICITQGRLTQASYDQMMGAINVGFTLDHYGPFLASIADCTFVRDTFRDITTKNCPGLSITSQWIYAGLASLSGAVMFSLIFWMIFVRERRHRSHSKKTVQMNRF >A06p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6217016:6219071:1 gene:A06p013760.1_BraROA transcript:A06p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFEDGFSAEKLFAQGYSYTYDDVIFLPYYIDFSTDAVSLSTRLSKRVPLSIPCVASPMDTVSESHMAAAMAALGGIGIVHYNCDIATQASVIRHAKSLRVPIASDAVFKCPENLIGSVDDFGPSSFVFVSQTGTLTPSLLGYVSKSEWSCMKDEQKEMKIYDYMRSCESRDYYVPWDVDLDKIDAVLEDKQKGFVVLEKDGETVNVVTKDDVERVKGYPKLGSGTVGPDNKWMVGAAIGTRESDKERLEHLVKAGANVVVLDSSQGNSIYQIEMIKYVKNLYPELDVVGGNVVTMYQAENLIKAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSTLAAQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYRNGRRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFIPYTMHAVKQGFQDLGASSLQSAHELLREKVLRLEARTGAAQIEGGVHGLVSYEKKSF >A10p014560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4127434:4128103:-1 gene:A10p014560.1_BraROA transcript:A10p014560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTKRREENLCVESLASNRLPFIPSKTLRDLLINAGLYFSTILVRLLRFWWCGLEPRNSRRGGELMGADMFLLDAKVTVMPATLNVHRHFRQRLTAD >A09p055990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47753606:47755190:-1 gene:A09p055990.1_BraROA transcript:A09p055990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRLMRQSVTRVLRVLKVAELLGFGSCVQSCLDYLEAVPWVGEEEEEKVISSILRLKTEDGSVVTTPVLKRVASSSVDPPKETLSRIIELVLRSKEEKSRREMKSIVLKLLREQNGNNVAENFNETIYSSCQRCLDTVLSLFRQDESDAKQIGVEADNLTWLLDVLAERQAAKEFSVTWANQTELALLHEKLPLVSRYHISRVTSRLFVGLGRGELLPSKDTRLLLLTTWLQPLFKDYNWLQHGCRSFDGKLVEEGIGRTILTPALLEDQQRILMSWLGSFLNGGDGCPNLQRAFEVWWRRSFVRPYSDRQGSGVSQTDSTSK >A09g515260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45390647:45393788:1 gene:A09g515260.1_BraROA transcript:A09g515260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGKSVAATSTPARNPDGGRLGDLQSTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAIRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSGMLAAQLGLASGGGPSTAVPRAGEIPPSDAANTGGGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVPEAEVSRERDEAEEADGSEASLNAAVLDGSDEDSGDSPLLMRRHNDEIDDEVRSPTLASPREGVPAITGAVQIGSSPRGSTVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVVRESSVRASELSALNDRESDRED >A10g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12896093:12898867:1 gene:A10g505180.1_BraROA transcript:A10g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKSKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQE >A02g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15930081:15931679:-1 gene:A02g505090.1_BraROA transcript:A02g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGSHHLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A02p052260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31959195:31961520:-1 gene:A02p052260.1_BraROA transcript:A02p052260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKIKSPPLYNFVNSPKVVYFFIWVTPISIKFNWVCINFRVLDPYSSNQTSSLLNFKDSFLYNQYPQMTMDDATPNGSSSNDVAAPLLPKSQGEEVAAYDEFNGASFSGAVFNLSTTIIGAGIMALPATMKILGLVLGIAMIVVMAFLTDASIEFLLRFSKIKRSRSYGGLMGDSFGKPGKVLLQVAVLVNNIGVLIVYMIIIGDVLAGKTEDGTHHHGVLEGWFGHHWWNGRAFVLLITTLGVFAPLACFKRIDSLRFTSALSVALAVVFLVITAGISIMKLISGGVAMPRLLPDVSDLTSFWNLFTVVPVLVTAFICHYNVHSIQNELDDPTQIRPVVRSALMLCSSVYIMTSIFGFLLFGEDTLDDVLANFDTDLGIPFGSVLNDAVRVSYALHLMLVFPIVFYPLRINIDGLFFPSSPSLTSSNVRFGCLSAGLITVIFLGANFIPSIWDAFQFTGATAAVCLGFIFPASVILKDRHSRATGRDTTLAVFMIVLAVMSNAVAIYSDAYALFKKHTHRG >A05p017790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8119382:8120804:1 gene:A05p017790.1_BraROA transcript:A05p017790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVAADTSAVHSILVHKNNEDKIMLLDSHKLIAASGEPGDRVQFTEYVQKNVSLYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHFRSDMSVEEAIELVDKCITEIRSRLVIAPPNFVIKIVDKDGARTHAWRQSVQDVTTASV >A09p053220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46309132:46311393:1 gene:A09p053220.1_BraROA transcript:A09p053220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLIFTFIFTLCLLSSCFSSSASLHNATDENVTLRPQHEIQKLKLIREHLQKINKPAVKTIQSPDGDIIDCVPSHHQPAFDHPMLQGQRPMDPPEMPKGYSQENESHEDFQLWSLTDESCPEGTIPIRRTTEQDMLRASSVRRFGRKIRRLRRDSSSNGHEVSPELYGDTNPRFFTYWTSDAYQATGCYNLLCSGFIQTNNRIAIGAAISPVSSYKGGQFDISLLIWKDPKHGHWWLQFGSGTLVGYWPVSLFTHLMEHGNMVQFGGEIVNTKPGGSHTSTQMGSGHFAGEGFGKASYFRNLEMVDWDNTLIPTANLRVLADHPNCYDIRGGVNRVWGNYFYYGGPGKNSKCP >A05p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4828191:4829069:1 gene:A05p011370.1_BraROA transcript:A05p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESTTIEVGEPSTVTKSTSHVVVDEKKKKGFVAATAGGGYKRGLAIFDFLLRLAAIVTTITASSVMYTAEETLPFFTQFLQFQAGYDDFATFQFFVIAIAMVASYLVLSLPFSIVTIIRPLAAAPRLILLISDTVVVTLATSAAAAAAAIVYLAHNGNPNTNWLPICQQFGDFCQAVSSAVVASSIAVVFFIILIVISAIALKKH >A07p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10423709:10432584:1 gene:A07p017170.1_BraROA transcript:A07p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTWHLHVVSFIGFLSLVRLFLPLLKWFITRFLLTNPKRLKRYGSWAMVTGATDGIGLAFAHELAKHGLNLILVSRNPLKLASVSDDFRQEFPQIKITIIPFDFSSEGGYGAIEEGIKGVEVGILINNVGITYPRAMFFHEVDQLTWTKILRVNLEATTWVTRSLIGPMLHRRRGAIVNISSGAAVVVPSHPLYAIYAATKAYVDKISRSLHVEYKQFGIHVQCQVPLYVATRMVSVVAAVDKPSFFVPSPEVYAKAAVEQIGIGSRCSPFWAHSLQWFLAELMPENLLDTWRLSIGLHRRSMS >A02p053660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32619667:32622320:1 gene:A02p053660.1_BraROA transcript:A02p053660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWPYDSPLGPWHQGFNPFLPPVVRDAVIEDRTRKINKTSGVALLCSSSSSLAQFLSVLPTKYSPLSPPLCIIPSSPSRSFLRMASTTNGSTDYGAYTYKDLSRELYWPSHKLKISITGAGGFIASHIARRLKHEGHYVIGSDWKKNEHMTEDMFCDEFHLVDLRVMENCLKVTDGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDELTKSDFREPVNIGSDEMVSMNEMAEMVLSFEEKKLPIHHIPGPEGVRGRNSDNNLIKEKLGWAPTMRLKEGLRITYFWIKEQIEKEKAKGSDVSLYGSSKVVGTQAPVQLGSLRAADGKE >A03p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16710581:16712364:-1 gene:A03p040080.1_BraROA transcript:A03p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIREHRCWVNKEKKWVKCKHCGEEMSGLQLLKCHLGGVSSDVTPCEQAASTVREIFLNVVTKEKHNRTAAKSRSVGEVQLVNARKRGRSQDSSRESDFVESSGFREMMIAASDGTVPDSNDLKGRMFQEALEEVEEYVKKVKESWAITGCSILLEAWVDGKGRDLVTFLADSPAGPVYLTSLDVSDIKQDSKALISLVDGLVDEVGVQNVVQIVACSASGWVGELGESYAGNKKGVFWSVSVSHCFELMLLKIRELDSLGYIVDAVNVITDYINNNPLVLKLVRDQDHSLTVSSEFEFFLPYLTLESIFRAKNELTAMLASSDCNNEEDVRISKLVNDLTFWKTVGKLDMYRVGEECFEEASQADQIIGITPAEWWAQKASQHPELQSFAVKVLSQTCEGASRYKLHSRLAEKLVPIEGMTSCEQERLEDLAFVHYNLHLKSCKAKLSEEQ >A06p047110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25076346:25078190:1 gene:A06p047110.1_BraROA transcript:A06p047110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRQSVPKFGEWTEDVPFTVVFDKASRSSRKNTNKSNPNPNEYPEMNPTAAQTRNQRHDQPPNHNVRPRQERFDRREETEFRPSPAHSERNNRVRAPPPEETYDHQSYGGGGTNPSETNRRQPYDHTPVKPRPISNLRGRGSERVATIPPFPGSGSEDQSYTLIFEKVKENKRQSGTVSSYNETDHSTPTPVINDDQHHQPLPSSPKGCCFPRWCRK >A07p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12849126:12854548:1 gene:A07p021860.1_BraROA transcript:A07p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPLISFASIFAVLVLLVRAQDQSGFVSIDCGIPDDSSYNDETTDIKYISDAAYVESGTIHSIDTQFQTSSLEKQFQNLRSFPDGKRNCYDVQPARGKGFKYLIRTRFMYGNYDTLGKAPEFDLYLGVNLWDSVKIDNATMIITKEIIHTLRSDHVHVCLVDKNKGTPFLSVLELRLLKSGTYDTPYDSLMLFKRWDLGGLGNAPVRYKDDVFDRIWIPLRFPKYTIFNASLTIDSNNDNGFKPARSVMNTATSPEDSNQDIILYWEPEDPTWKYYVYMHFAEVVELPSNETREFSVLLNEKSINMTVFSPRYLYTDTLFVQNPVSGPRLEFLLRRTAKSTLPPMINAIETYRVNEFLQSPTDQQDVQAIMMIKSKYGVKKNWLGDPCAPVNYPWKDINCSNVTNEPPRIISVNLSFSGLTGQIDPAFSNLTSLQKLDLSNNSLTGKVPNFLGNLHNLTELNLEGNKLVGALPAKLLERSNNKLLVLRVGGNPDLCVSASCQNTSEKTKKNVYIIPLVASVAGVLGLVIAIALFLMYKKRNRSGGSNGVRTGPLDTTKRYYKFSEVVKITNNFERVLGQGGFGKVYHGVLNEDQVAVKILSESSTQGYREFRAEVELLLRVHHKNLTALIGYCNEAEKMALIYEFMANGTLGDYLSGKKSYILSWEERLQISLDAAQGLEYLHSGCKPPIVQRDVKPANILINEKLQAKIADFGLSRSVALDGTNQSTTAVAGTIGYLDPEYQSMQQLSEKSDVYSFGVVLLEVVTGQPVILRSRATAENVHITDRVELLMSTGNINGIVDPKLGERFDAGSAWKIIEVAMACASRSSKNRPTMSQVVAELKESVSRARDGGGSGATSVTGPAMTAGESGMFPQAR >A06p051900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27468406:27473210:-1 gene:A06p051900.1_BraROA transcript:A06p051900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRRPWWTKNHRDEHPGETPGSSNKTGKEDKQQVKIELGLSNEDNRRAIFSHLSLSTLVASSSHLALSQLMDDSLYDEFGNYIGPEIESDRESDDEIEDSEFQDKHPEDDGSDGEHPPNGWITTINDVEMDNQIVLPEDKKYYPTAEEVYGEDVETLVMDEDEQPLELPIIKPVRDLRFEVGVKDSTTYVSTQFLVGLMSNPALVRNVALVGHLQHGKTVFMDMLVEQTHHMSTFNAKNEKHMKYTDTRVDEQERNISIKAVPMSLVLEDSRSKSYLFNVVDTPGHVNFSDEMTASLRLSDGAVLIVDAAEGVMVNTERAIRHAIRDHLPIVVVINKVDRLITELKLPPRDAYYKLRHTIEVINNHISAASTTAGNLPLIDPAAGNVCFASGTAGWSFTLQSFAKLYSKLHGVDMDVDKFASKLWGDVYYHPDTRAFKRNPPVGGGERAFVQFILEPLYKIYSQVIGEHKKSVETTLAELGVTLSNSAYKLNVRPLLRLACSSVFGSSSGFTDMLVKHIPSPKEAAARKVDHAYTGPKDSAIYEAMVECDPSGPLMVNVTKLYPKSDTSVFDVFGRVYSGTLQTGQSVRVLGEGYSPEDEEDMTVKEVTKLWIYQARYRIPVSSAPPGSWVLIEGVDASIMKTATLCNENYDEDVFIFRALQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLDRGLAEDIENGVVSIDWNRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKNLMMAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAWIAPEPLHRGSGQMIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVTAIYTVLSRRRGHVTSDVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSILLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSGNKFFDEAMMVELAQQTGDLHLQMM >A06g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17137821:17139556:1 gene:A06g506010.1_BraROA transcript:A06g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWAYDGVLETAEPGPLMFSEEGPRHPFTNPFSSPIPSWGDVPEADSEAVPMAPLRRLCSCFFDDGLRSEIREGDLVNMRRKYVIHPLVGMRSPTEFERAPDGGSGRSIVFLQFFFPSQLTPLTWRTLMAIQVLGELHGFSVGVHEILYSYYFAPLMNKAWLYHLRFRDGTPRVEEPSRGVRGNYPFRDGWNSRYVFVKIQEPVGYPTSWRTVDVSRPVSYAGEAVAKLIMGVPRRFRWVTFLVSREALRHSRVWGNVARLPVSVVYDEYQKAKGRKRRPSYTPPPRLARVALSANGLSSTSSPSAEFMPNRDPSVDAHRRLIGVVFLLRNQVQDMMARRDLLVQQLKASARWELMKEWLEKRVEHWNPEEEYRCNNPL >A08p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2258618:2261935:1 gene:A08p003920.1_BraROA transcript:A08p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFYDLQININGEYTFFLNQDVISKYSGSLRKMIKQSKKKRNKKNKDPEVITIEIDEFPGGPDGFELVSRFCYNNGGISIDVSNVSTLYCCSVFLGMTEKLCFSNLLTQTETFLEEVFYGSWNDIVLSLKNCEQEQVFLHADSYGLVDKLIFSALTKISRSSEAFSSSSPSSSSPSSAKNTPESDKRLTSWTVSCGRSNEWWFDDMSSLSPMIILKLIRITGAYKTNVKSLVLTKFLLHYLKTRLQTKSNKTELMRNKLEYSELADTAVRGVVSAGKTAFSCRKLFWVLRVLSSFTLSRESKTGLETLIGEMLDQATLDDLLIPAAGGSIESSGFYNVDLVIRLLKVFVRNIEEEESKMKEVGRLIDKYLREISPDQNLKVSKFLGVAESLPDSARDCFDGVYRAIDIYLQSHSNLTPQDRTKICRCLNYKKLTRETCKLLARNPKIPPDVAVQALKSSCENQEHRTQEVKLVNKSTCRSRRHSQDKKHVMLHLKGFEISEKLAEELKTKGGYNWKVMDSFREGL >A09g508400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:23577270:23577482:-1 gene:A09g508400.1_BraROA transcript:A09g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPQIQGARHQKLQAFSRHPLLILRERDVERRATQAKVEQRQKLKQYQRKESPEASGQGRCSPQTHRG >A09p077660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57628267:57634913:-1 gene:A09p077660.1_BraROA transcript:A09p077660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKIQLIAPKDPLKAKTVPQPNRLKHHPMDFASSSADFAYENELDLSSLMTPSTFIPFQEPNPSIPTIHCAGAENDGRQRIRETTTTDEIITNEDVEPKNKKAKRREIERHRRQEVTSLFGNLRYILPSQYIKGKRSSSDHVQEAVNYIKDLEKKIKEISLKRDRIKRSLSHSSSTGECSIRSLESSYCSCDGDTHINVKVRTCLVGIETVASCCFRQESCLSSILQLLVQEQCLDVVSCISSRLHPRFIHTIVCEVEKGMEVNFLELQEKLIKTGKLYHIINIERRASSTTHTLQSPQINGIISGRPRSPATDFYLHGRRASEFQDSEEIVPSKSMAAESPLVLVHRPPTMKYMDEPLTRYYRILTTHTSSDPLPLFLSPHASSVRAVVSIGRFKIDAGFLSRLPSLQLIVCTSVGTDHVDLPECNRRGIAVTNAGGAYSEDVADYAVGLLISFLRRIPAADRYVRSGKWARCGEFQLGIKLSGKRVGILGLGSIGSLIAKRLEPFGCIISYNSTSQKQSIPYQYYPDRSDGGSWEERGYNQCGTRRLIDEKEMVKCLVDGVIGGAGLDVFEKEPGGPEELFGLDNVVLSPHAAMATPGSLNNIAQLTLANLKAFFSNQPLISPQLSSSLLRIGSTSCSYKKSKLLSMFVTIYKNKISEDRPLYQTVSMSESPLVLVHRPPNLNFMDELLSRNYRILNTHTSSDPLPVFLSRQASSVRAFVNIALLKIDADLLSHLPSLQLIVCTSVGTNHVDLAECKRRGIAVTNAGEAFSEDVADFAVGLLISVLRRIPAADRYVRSGNWAKSGDFQLGIKLSGKRVGILGLGSIGSLIAKRLEPFGCIISYNSTSQKQRIPYLYYPDVLSLAANNDVVVLSCALNDQTHHIVNREVMEALGKKGVIINVGRGGLIDEKEMVKCLVEGVIGGAGLDVFEKEPGVPEELFGLDNVVLSPHAAMETPGSLDNIALLVLANLKAFFSDQPLISPVRLD >A02p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31447866:31451140:1 gene:A02p051100.1_BraROA transcript:A02p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVHSFNNISLSGRGGSNPGLLKINSGGIQWKKQGGGKAVEVDKSDIVGVSWMKVPRTNQLGVKTKDGLYYKFIGFRDQDVASLTSFFQSAFGKTPEEKQLSVSGRNWGEVDLNGNNLTFLVGGKQAFEVSLADVSQTQLQGKNDVLLEFHVDDTAGANEKDSLMEISFHIPNTNTQFVGDETRPPAQVFHDNILKVADVGAGVEEAVVTFEGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTLYPHIVMQFETDSVVESELSISDDLMNTRFKDKLERSYKGLIHEVFTTVLRWLSGAKITKPGKFRSSQDGFAVKSSLKAEDGVLYPLEKGFFFLPKPPTLILHDEIDYVEFERHAAGGANMHYFDLSIRLKTDHEHLFRNIQRNEYHNLYSFISSKGLKIMNLGGAGTAEGVAAVLQDNDDDDAVDPHLERIRNQAADESDEEDEDFVGAEDDDGGSPTDDSGEDDDSDASDADGGEKEKSVKKEPKKEASSSKGLPLKKKALATEEGSSKKKTQKKKKDPNAPKKAMSGFMYFSQMERDNIKKSNPGIGFGDIGKVLGDKWRQMSAEEKEPYEAKAQVDKKRYKDEISDYKNPQPMLVDSGNDSDSN >A10p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14619324:14621815:1 gene:A10p022020.1_BraROA transcript:A10p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEMKKIQTQTKEMRTRVTVNGDGGSGDELKPLPPTANLFVDFCDHLFFMVRHILVLDLERLDKQILICKESGIFRLENHGVPLKLTSQLQEISESLLSLPFEEKQELFAAVNSPLLYFWGTPALNRSGDAFKRGTQASYVNMVEVFNVPLSSLPKLPVSTCDDGAQHSKLEPFR >A10g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11208606:11213609:1 gene:A10g504590.1_BraROA transcript:A10g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGHEFKATDFRGGDSSLPPLKAAEKAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAGKTNRDNSHADPTGMEVPKKRRPFSGDGNDEAEIFGSDSKKHKKNNGDGLSDEETMRMHDNHCDGRTPNARFWKKVDSMAGEGPSFSKSAKIPEADVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFLLACEVYRNTDLFGQGEGGDGSPISGLNLLAEEVEKGTQSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPNVGNSKLSRYLTRSLKKAELGGKCIPISSTKKDDIPTKRIPRRSTKIGRVYTPDRRLKKLFQSCRKPKYTPLADLEIAQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPTNWVSTEHISVLIGMLVRRHGRKYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYSFTGKTRRRNDKKGLLVDVDRVYAPMMWGKDHWVGLVINLTCRQVEILDCNIPHNESDNEVNKHMAYLLRALPHVLAAFSPPSDSSHLEEDQAFSWVRPDNIYFNERSGDCGPCAVKFQEMHEAGYSYENMGQIDDKMVDIFRQKYAMDTYEEFIGNAKVSVQLDNFKFWALVYRKYSYTLSICILSVFVYRQYSNTVSIRIPSFFEYRQFLYTKSYVFMITETINCWISEGRSRKVISAIIARLCFQRCANDVQNSVFIVSGRRQAKVLGFKCRWDNKFSPQKTPTSDPNSMEAHVLPNLPQEIVCKIIELVGEESFYNLGPFLRAGKRGYALAHEPSVLKKCDVSEMEEGFVTCQIRQGCQFREFHLKCVSAGNRKAIYYEGLLTAPSIGLEESIKILEPNVPMHGFSTLAVAIFNVCLGNDKEASKDDTCETGESIENQLKAFGAEDLNCNKYGESFKFPDDGVIKTPRCVYGHDYADNLEGDCKNCRLFWLYVNIANIL >A09p078060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57892466:57895491:1 gene:A09p078060.1_BraROA transcript:A09p078060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFKISYFVVVLITFLAIAITLSEPLRVEASHRDRYGLVITATQGNKGGNRTSAMTCDKSPKVCRLKGSSGRDCCRKRCVDLRTNKLNCGRCGKSCQYSEICCKGYCVNPMFDKRHCGEDNMDRISGLSDELLVKILSFLPTEDAVSTSVLSKQWRFLWMWLPKLEYHDYYATNPPDTSDLRYRDFIDKNLPLHKAPVLESLVLKSCNAALFQPENIKLWVGIAVSRCVRELTLSIRYNSPRNKPFVPLPSSFYTCCSSLTALKLKGESIFVDVPQSVNLPSLKTLKLRDVTYLNDDALRLLLSNCTALEELFIDRYGEADDNVRALVVENSTLQRLTLKMYSEHLGVQHVIVTPSLKYFKLYDEGHSSSYSIEHMPKLEEADIDVSSSLDELLGSMTPVKRLSLRQFFNRDDESVLTVGAVFNHLEHVKLSFYSDDWSKLLVWLLRNSPKLRELNIYVDQSDSQFQDYTPVEWKNRSSVPECLQNTLETFKFEGFMGTQEEGDFLSFFFKNASCLKSTSITDYVTQRGT >A09g518530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56461102:56463672:1 gene:A09g518530.1_BraROA transcript:A09g518530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKLLRFSQILIPMELTRNSSSSTKRTSGKKTVVSSASAKPNGKSIVVSSASAKPNGKSIASSATAMTPSAHASVGTANPMNPEATTGLSSAHRDQVMLFRDVLLGPQEAELRFRLIHLWEARNPNTKILIGQEMLLIDEEGTVIQGFVPAGRVGTFDLSAGSVYKLTNFFGSRSKIQYRVADHSATVSFSWNSSLSVFENPPVLFPVDRFRFHSYDEFRANCNSKGDLYDYVGHMKLVNGQTISEHMVLDEADIAEKRHLCVHVQTLDGPVMKLYLWDQAASDFCQKFKSYGGTPSVLLVTTVNPKHLGGTLAITSMSSSRVFMDSDVQPSKDYLEWLNSNAEIANSVAAEVVTKPEAVTLEELFTYIKQETSKVAWFECTATIDDVVRGSPWYYISCGGCNSKAFKGHTSMICNNKKCWKTEITGVPQYLTKISVYDKSEQAVFVILGDAGKELSGKHAAELVATYFESNEGVGADHCVPIPRALLDTIGQTRKFIVKVSDHNFSGKTQTITVTKILPLAVALPATSEEPGASSGFGNSAGDRARKAAEILESDEAKRCKSG >A04p032330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18882594:18885667:1 gene:A04p032330.1_BraROA transcript:A04p032330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VSR5 [Source:Projected from Arabidopsis thaliana (AT2G34940) UniProtKB/TrEMBL;Acc:A0A384KPH5] MSPSNKETVLTLFLALTMVVNVVSTRFFVEKSSVTVLNSWEMKAKHDAAIANFGIPSHGGFMIGSAVYAGQGAYGCDPLNETFKPKSPYPTILIIDRGVCNYALKIWNGQQAGAAAVLLADDIVEPLIAMDSPEESQHEDPDLIEKIKIPSALILHSFGGSLKKALNKGEEIVLKIDWSETIPNPDERVEYELWANTNDECGVHCNEQMSFIKNFKGTAQILEKGGHALFTPHYISWFCPQELRRSRQCKSQCINQGRYCAPDPELDYEDGYNGKDVVYENLRQLCVHKVAKEKNSSWVWWDYVTDFNIRCSMKEKKYSKECAQTVVESLGLSLEKIKKCIGDTDADVENEVLKAEQAFQLGQEKRGVVTIFPTLIINNSQYRGKLERTSVLKAICSGYKEGTEPLICLSTDIETDECLVANGGCWQDKISNITACKDTFRGRVCECPVVNGVQYKGDGYTSCKPYGPARCSMNEGGCWSETRNGLTFSACLNSETSGCRCPLGFHGDGLKCEDIDECKEKSACQCDDCKCKNNWGGYECKCSNNSLYMKEQDTCIERISRSRSRWFFIIVVMTAIAGISLSSYIFYKYHIQAYMDSEIVSVMSQYIPLDSQNLHQDSNQIGNCNLL >A07p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27442879:27443776:-1 gene:A07p033400.1_BraROA transcript:A07p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGAGFEGDNMKKKKTVGDGFVGGFFPVSTTKIAWKSRKRSTSVNSDKALETAMEVTPEKDESTTTAMETDKAGEPMTTTTPPVLSEKRKALFEPLEPIKNLNGKRPTTDADSLLPPPDFESANYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDSRCLEHLQLQLLQERSKRTEIERENTMLKEQVDMLVNMIHQEDEEEVAEEP >A07p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18648458:18650203:-1 gene:A07p034260.1_BraROA transcript:A07p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTSPTQLASLRDMGIYEPFQQMVSWGNVFKSDINDHSPNTASSSVIQVDHNIIKASYPSSSHNQIEAEPSSNDHQEEDDDGRNHDKMKRRLAQNREAARKSRLRKKAYVQQLEESRLKLSQLEQELEKAKQQGVYSSGSSYVGSSGSINSSIAAFELEYSHWLEEQSRRVSEIRTALQAHISDIELKMLVESCLNHYANLFRMKADAAKADVFYLISGMWRTSTERFFQWIGGFRPSGLLNVVMPYLQPLTDQQILEVRNLQQSSQQAEDALSQGIDKLQQSLADNIVIDVVMDSNDYPSHMGAAVENLQALEGFVNQADHLRQQTLQQMAKILTTRQAARGLLCLGEYLHRLRALSSLWAARPREHA >A02p018470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8521529:8523129:1 gene:A02p018470.1_BraROA transcript:A02p018470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YLS7 [Source:Projected from Arabidopsis thaliana (AT5G51640) UniProtKB/Swiss-Prot;Acc:Q9FHM0] MTLSPPRLSRSVYPRKVPSIAFAIGGLTSFIIFASLLLLSHPLGSSLTGYLYGTETTQHLEFHHSSSRDTSDPNPSPDSTINSPPLLTQHREESTEALPTNHVFVEKEDSVETECDLFHGNWFYDPKGPLYTNNSCPLLTQMQNCQGNGRPDKSYENWRWKPSQCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMMCLLWQVETPVNRGNRKMQRWYFRSSSVMIARMWSSWLVHQFNEPFSFAPQGVTKLKLDQPDERIMEALPKFDVVVLSSGHWFAKQSVYILNDEIVGGQLWWPDKTKHAKVSNVEAFGISVETILKAVAKHPSYKGLTILRTWSPDHYEGGAWNTGGSCTGKEEPLSPGKLVKNGFTEVMYEQQAKGFQRAVEDDKVGNRSKKMKLMDITEAFGYRHDGHPGPYRSPDPKKITKRGPDGQPPPQDCLHWCMPGPVDTWNEMVLEIIRRDMEGGGSRP >A03p022110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9305930:9307156:1 gene:A03p022110.1_BraROA transcript:A03p022110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEKLEKVGEGTYGKVYKAVEKDTGKLVALKKTRLEMDEEGIPPTALREISLLQMLSQSIYIVRLLCVEHVLQSKDSSSSSSSQKSNLYLVFEYLDTDLKKFVDSYRKGANPRPLEADLVMRFMFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMIRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVMTLRDWHVYPKWEPQDLSRAVPSLSPQGVDLLTNMLRYNPAERISAKAALDHPYFDSLDKSQF >A09g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17481640:17482858:1 gene:A09g505510.1_BraROA transcript:A09g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRYHGSTLISASETEVEFSTRLVKNAKDLIIKEGPEIIGAFIVEPVMVAVRVLPPPATHSLQHICLWSHSYESKSSRCHVFSKQQASYVFSDFSHGFTYSAHPFSCAIAIEALKTYKKHFIWIN >A03p063030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27350252:27356071:-1 gene:A03p063030.1_BraROA transcript:A03p063030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDIGSKLPPGFRFHPSDEELVCHYLYNKIRAKSDHGDVEDGGVDEALKGATDLVEIDLHICEPWQLPDVAKLNAKEWYFFSFRDRKYATGYRTNRATVSGYWKATGKDRTVMDPRTSQLVGMRKTLVFYRNRAPNGIKTTWIMHEFRLECPNMPPKEDWVLCRVFNKGRDLSLQDSNYKNDDHKTQRFEVNDAPDLNYAPNYNNQLQPLLSSPRSTTIDPPHHHDQWEQLMKQPSRIIDNTYHHHCQHQSIACGWEQMMIGSMSSSSSHGPDHESLLNLLYADKNNSVNIAADHHDKNYEKILLSSDIASLDHDKTCMGSSLDVGMVSDLPMECAGLSFETENLLAFH >A01p028960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20673400:20675456:1 gene:A01p028960.1_BraROA transcript:A01p028960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEALAGIINALIATSWRASTTAYSTDHFHIEVEGDTVFFAFKPSFLVKDWFDPENASPFGETKMNREQFPCMRSIGNDVNATVNEAFLKNLKLLVSTSFPHSVKTVVDSMRSQRIVFTGHSSGGATAILATVWYLETYFTKQSGFFPEPLCLTFGAPLVGDYVFKHALGRENWSRFFVNFVTRFDIVPRIMLARKASTKQALPYALSQLGRRAGNQGNDQSITGFFAMVMKDTATVAHQAVCKLIGNGEPFLKTLSSFLELSPYRPAGTFVFSTGTRLVSVSNSDAILQILLYSSQSSNEQELSLRPHQSIRDHHSYEEMVHSMEMKVVNQLDLQHLPLDGGETALSDLGLSTRARQCVRAAFEAEKKRVNNQSKIDAKQPTIVEKLVWIEDEYKPKCLTHKIGYYDSFKESNEEKDFRANVKRAELAGIYDEVLGLVKEGQLPDGFEGRIEWIELANRYRRLIEPLDISNYHRHLKNEDTGPYMIHGRPNRYKHAQRGYEHELLKGGRSAEEIKRSECGSCFWAGVEELRRKEYDEARVKKLEELLEGWIRDKEVDDEHIFLEGSSFRKWWHSLPEVHRRGSSLQVRMG >A01p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:685606:686476:1 gene:A01p001570.1_BraROA transcript:A01p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 39 [Source:Projected from Arabidopsis thaliana (AT4G37540) UniProtKB/Swiss-Prot;Acc:Q9SZE8] MSCNGCRVLRKGCSETCILRPCLQWIESAESQGHATVFVAKFFGRAGLMSFISAVPEQNRPALFQSLLFEACGRTVNPVNGAVGMLWTGNWHVCQAAVETVLRGGTLRPISDLLESPSLNSSDESTEIWRLQRRDGFSTSRSKLITTGVEESPVNRKRSKTEWSEPDMELQLNHELALTGPVVPVPFLPPPQFSKALNGDHPGSPSEESVTTSCYENGIIGDGYRNKRERKLLNLFV >A07p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4050589:4051510:1 gene:A07p002090.1_BraROA transcript:A07p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKINLFILPRMEKSLIFSFNVLTLLVIFVLVAGTKGQGNKKDLCRISLDNQKPGSCQNKPACLSACKKRIEGSGLHEINARCSSGNKCACYAPCPK >A07g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26452958:26466111:1 gene:A07g509060.1_BraROA transcript:A07g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQVASCLLSAKLCYELNPQVKQPQLVFNPPPAASHVQNPAEKPREFHLVLEKDQKPQAYLGEEDQLRPSSPLVCLGKLWSPSLNSSPPSTPAPLPTPSFDATPSGSSFETDPSEGSYDQTPVHMPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAAHFPPAPAAPIPAAQPGPAPTDPAIIALLELMAEMVNLQHQALNAQREAQLEFHRLADLVERAVNVEEAIAAERDSSSHSAQPRRPSVQINPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISAKLCYELNPQVKQPQLVFNPPPAASHVQNPAEKPREFHLVLEKDQKPQAYLGEEDQLRPSSPLVCLGKLWSPSLSQYLIRTVHGYLRSGPILSIQSLVHSALFKRNLSLVQASFKTVNLRRETLIFWTEEGAATSGDRIYFENLGSTIREHRPCHFRLSTIGGVTISSLELELASIRRTWTVQSIKTRAHVQSVHEQFTGRASMLICVLTWCIIVQKSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADICTDLVHQLSEFSTRTVHGKDQHADMCTDMVHQLSKISARTVHGKGQHADMCGQHAYICTDG >A09p002020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1491875:1494444:1 gene:A09p002020.1_BraROA transcript:A09p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGEGVSLEFTPTWVVAGVCTIIVAISLAVERLLHHFGTVLKKKKQKPLYEALQKVKEELMLLGFISLLLTVTQGFISKFCVKEDVLMHMLPCSKHEAESSKQHKNATVTEHFQSFLPIVGTTRRLLAEHAAAEAGYCGQKDKIPLLSLEALHHLHIFIFVLAISHVTFCALTVVFGSTRIHQWKKWEDALADENFDTEASLKKKRKVTHVHQHAFIKEHFLGIGKDSFFLGWTQSFFKQFYGSVTKADYVTLRLGFIMTHCKGNPKLNFHKYMMRALEDDFKQVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHVISQLAHEVAEKHIAIEGDLVVKPSDEHFWFSKPQVVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYALVSQMGSNFKKAIFEENVQVGLVGWAQKVKNKRELKAAAAASNGNEGSSQAAPPHNPDSGSSAPAPGAGAGFAGIQLSRLRNNAGETQDEITPAAHTNN >A05p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1066262:1067567:-1 gene:A05p003010.1_BraROA transcript:A05p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITSSSKYSIISILSIFSVLFSLQGTNGDDGDWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACYEMKCNDDPRWCLGSTITVTATNFCPPNFGLSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCMKKGGIRFTINGHSYFNLVLISNVGGAGDVHAVSIRGSKTGSWQAMSRNWGQNWQSNSYLNDQSLSFQVTTSDGRTVVSNDIAPSNWQFGQTFQGGQF >A09g513990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42249851:42251937:-1 gene:A09g513990.1_BraROA transcript:A09g513990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGCSQGESRPEGVESDRRERWAQSGWVKVTAGSSPYAHKAQLDEFPTCLDFQDTLAWSLHQLQLLTSSFQQMASYMYHFPCVPNTTTTQCKIRSSHWDQTKIIHPVMVTFYCNDKEELQDQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLPVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSRDKTQEY >A01p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16655767:16660444:-1 gene:A01p036050.1_BraROA transcript:A01p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSDENMDPSEGVYQHIDSTKEIWKALQDMTLGSDRDRWSIHEHAREVFQKDHRTSATQTMEEEATVGFITPAGTGVMSSAPTSSTALPAPADLTDDQGTKRKATEEADEERLGGDLPIPHLKDIRKSHNPDVILLVETKHVDSYVKQLAKDLGYQNVFVVSANGSSGGVAVFWSERVRITMLDNPTLYCTNMCIQDGTNTFWMSYIYGNLVAKYRKEQWNGLIQSEIAGYLKDKPRLLVGDFNDIKNGEKKGGIIRSIMSCSLFNRLISILGVHDIKTLGGKYTWMGKRSKYTIIYDSRWRLYPKLKEVMEQAWMMHNALPVASNLNKRGCRLNTDCQVCGEGVEDIKHMLLECKVSKEIWSLSLLDKFPEIEQNSTVQHFLQRIVEQNTVNLDHTLPFFLGWRIWKMRNKVIYENKRDHIVQVIRAAWMDKQ >A06g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14509147:14510567:-1 gene:A06g504820.1_BraROA transcript:A06g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPGISALVESSWEWISSFSVLTSQGAGGPEEEDNGWPPCLKPLLKEQFFVHCKFHVDSHKGDCNMYYLDCTNGELCSLCLAHPKAHLTIQSKEKERGRERVPAGTKSNGLEKRAAADVASALESYEAENGEGYSDHYLMKSTIMSGCTIAQQTKTIVNRVY >A09p024520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13560404:13562756:-1 gene:A09p024520.1_BraROA transcript:A09p024520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSCSQEDCFDLLNQFEHVLQSDPLIDEVGFIHPSQFIMLDKEAGSSSDGTSTSFWNQDHKLGISTDILIQLCKVAKHAFLAAFKEYKRHENACAESLTNNISSGVSCPTLESKVMKHSQAALLLSSDFGTAWNARKLILSEQKLPLEAFTEELRLSRLILSNSPKSEPTWSHRRWIIKMISRSSSMQQEIINKESELVESIGERSKMNYRAWYHRCWLVSYMTIEQVIQELTKSKRWAGLHVADSSCFHYRRRLMLRVLEALKVKGSNANDKTEAHKILMEELDWNKELLKLYLGREALWLHRRFLSLNWIKYFCRNQLSETGESIFTNEEAGIFIGNEIHLLESSMTVPDNKFEDFQAQALHASVYMLWLTKHIPELWRMLEEKLGTEKLKCVLNTLDQERPSLLLHQIDIFCS >A08p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16265888:16270780:-1 gene:A08p025160.1_BraROA transcript:A08p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIYDYLVKRDLKATAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQMIKAREQQLQQSQHPQISQQQQQQQQQQIQMQQLLLQRAQQQQQQQHNQQQQQQHQNQPPSQQQQQPQPAPQHQQQSAPQQLPQRRAAPHLANGSANGLVGSNSDPVMRQNSVSGSALANNNKAYEERVKIPTQRDSLDETAMKRFGDNVGQLLDPNHASMLKSAGASGQPAGQVLHGASAGMSPQVQARNQQLSGSAMDIKSEINPVLTPRTPVPEGSLIGIPGSNQGSNLTLKGWPLTGFDQLRSGLLQQLKPFMQSPQSFHQLNMLTPQHQQQLMMAQQNLNSQTVNEENRRLKMLLSNRSMSLGKDGLGGSVGDVLPNVGSSLQPGGTLLPRGDTDMLLKLKMALLQQQHQQQGGGNLPQPQSLNQHALSNQQSQSSNHNINQQDKLGGGGSITMDGSMSNSFRGNEQVLKNQTGRKRKQPVSSSGPANSTGTANTTGPSPGSAPSTPSTHTPGDAISMPNLPRSGSSKAITMFGTDGTATLTSPSNQLVCFFKTVNGNADMDRFVEDGSLDDNVESFLSNEDGDQRDAVGRCMDVSKVRASTSKVTCCHFSSDGKMLASAGHDKKAVLWHTDTMKPKTTLEEHTAMITDVRFSPSLPRLATSSFDKTVRVWDADNKGYSLRTFMGHSSMVTSLDFHPNKDDLICSCDTDGEIRYWSINNGSCTRVYKGGSTQLRFQPRVGKYLAASSANVVSVLDVETQACRHSLQGHANQINSVCWDPSGDFLASVSEDMVKVWTLGTGSEGECVHELSCNGNKFQSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLPAHEGLIASLAVSTSTGLVASASHDKLVKLWK >A10p035100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20242484:20244902:1 gene:A10p035100.1_BraROA transcript:A10p035100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLSTLLRSSSARSAARFGSRNSRLSSPSPARCASPFGDLLGRVAEYSTSSPAAPSSPPPAKDEAKKTYDYSGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A03p027980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11699744:11702292:1 gene:A03p027980.1_BraROA transcript:A03p027980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRTIGALLMMMMIIEVESSLHRVGGGKYNWKPDVNFSDWANHQRFYSGDWLYFGFDRTRHNILQVNKSSYEQCIDNDFIFNVTRGGRDVFQLLQPKPYYFICGRGYCLKGMKLSVNVLPQPPPSTSTVPIIPASTANTLIIDSDAFTAIATTILTTTINPYVFFICTPKFKGLPVSSISDIGVVHIHTQTTTVEFGVPGLLKWTCMISLVFNGVFLSSVVIKRNVHSYRFISFIALLRSSTHSNTICHSVQLCLLQGVSLLHLIIILSICKTTKQTYFLQRLTPLIDLKSGRCSSTVEIKLLRFWEEVKRGGELIPIQQAKYAKWRPILKLKTGGRCRLSLNDTSGTHFYFDNEWRKQCLAYLQMTIRGWAAAAMSNGERATVYDSVEAHVDASNTGEEEIDKEEWREFYKEDTQILHSLNQEGLHRWALS >A01p051570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28927883:28929202:1 gene:A01p051570.1_BraROA transcript:A01p051570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSNDDLYAVLGLNKECTSTELRTAYKKLALRWHPDRCSSMGNLEFVDEAKKKFQAIQEAYSVLSDSNKRFLYDVGAYNSDDDVEDQNGMGDFLNEMAAMMNQSKPNDNNSGDSFEQLQDLFNEMFQGDAAAFPPSSSSFSASTFASSCNFVFDTNYQQSPFGMGSMGTSDPFGFDSRSHTFSLGVEHQQDFKKEKNNGGRRNRRKNNAQSSNNYGVPTS >A01g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1973621:1975320:-1 gene:A01g500430.1_BraROA transcript:A01g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFTLFADVKAWRCPNTFEVRLLHFLGGEEHVRKVSELMSLDMFNREHNHRQYIRVQCVMLTLRLKRKELKIVLATNINPKIVGGRLVLKATSGTHIYFDSETAAGKEEFDNSAHCRLTGDGTDQTASSLKLVDTELPRSLAEIVGNTYTFQLKLKDFNFTSKHQTFTISGVFPSRELAPAPAFVVNEGAQVPEASQPEVVATGSDVKVDNTCSVRGAIYIGWFTCRTYSIS >A09p070170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54475412:54480272:1 gene:A09p070170.1_BraROA transcript:A09p070170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHPQGIFSFSNGFHRPSSSHQEEVEESAVSGAPIPVYETAGMLSEMFSYPGGGSGEILDHSTKQLLEQQNRHNNNNNSTLHMLLPNHHQGYGYANEQQQHHFTWPSSSDHQSQGDMIGTVHVEGGKGLSLSLSSSLEAAAAAKAEEYRSIYCAAVDGTSSSNTSAHHHHQFNQFKTLLLDNSTSHHQAVGHFGSSSSSPMGASSSIGGIYTLRNSKYTKPAQELLEEFCSVGRGHFKKNKLSRNNSNPNTSGGGGSSSSPGVANDNPPLSPADRIEHQRRKVKLLSMLEEVDRRYNHYCEQMQMVVNSFDQVMGYGAAIPYTTLAQKAMSRHFRCLKDAVAVQLKRSCELLGEKETSGAASSGLTKGETPRLRLLEQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLNPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEREEKELEENQKEDYQQTNNSSNNSDTKPNESNFTLVQTITAQTPTTTMMTPTPHENDSSFLPSSVVTAAPHSVSDAFTAATCQQDVNDHFQVNDGVIRFGAKQAGDVSLTLGLRHTGNMPDNKNPSFSVRDFGDF >A08p042080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23670433:23671377:-1 gene:A08p042080.1_BraROA transcript:A08p042080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFYEHYEFPYDTNQVNHLYDHNYYDNNQQHQFGFNPMTYNSYDNWNGSEYEYETTTSASVAYSVSTMSEPKHLFYDPSYYTTTTYESPPQFSIYCNVQGFNEPDFEEYDPTPYGGGYDIVATYGKPLPPSEKTCYPSSSAGQASPPSPPEIIAPVPLGIYDGGEKKAVKKRVTFSEPLEEAKPLETIKEEENDHQEEAEEEEDHSLSNGTVQPETVDKVEVKALYVPSGYGLEATDLCEVIFGGYFPCFLRNKRRLEDENRAAEVTCWDSADSDPWKTTSDYLFGDSYPYGYEDGVGRRQFEISSYGYYQRY >A04p010870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6987545:6990680:1 gene:A04p010870.1_BraROA transcript:A04p010870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQKVTSVCLTVLVLLALSATILRKIEIPSSRKLKTEELQSSRNTSTMAANRLEGVELNEQHAVDDPDKVADEVSTLVQMSEHNITARRKLGFFSCGTGNPIDDCWRCDRNWHKNRKRLADCGIGFGRNAVGGRDGRFYVVTDPTDEDVINPKPGTLRHAVIQDEPLWIVFKRDMVIELKQELIMNSFKTIDGRGANVHIANGACITLQFITNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAVSIFQSSHIWIDHNSLSHCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTIDKLMQVTIAYNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYAAPKNPFAKEVTKRVETDASEWQKWNWRSEGDLLLNGAFFKASGAEASDSYGRASSLAAKPSSMVHTITSTAGALGCRRGRPC >SC205g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000064.1:92912:93570:-1 gene:SC205g500020.1_BraROA transcript:SC205g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNFKSIDLSNPDEPDDEPHIKLKLLTRRIPHRKPFFDPISDAPTLAETIHGADLSSWNPNPSQQDFLSKFKSSRVPARQHSISSSHKAFLTTSASCSEQSQLTFTSQADRVPNQPARKGSNSRPDRRQRPSSSRPRFISPSWRSGFYNLQDKGNPNYENMNRTWLFCKD >A10p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19627753:19629270:1 gene:A10p033570.1_BraROA transcript:A10p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMYRRRRGIDNFSKMRAYLKLGDSKGDEVPEDTIKAVADTLRTSSALKVSEDGKKVGRSTELLKLEDLIEQLNARTVAASPFSYDVKREDVEAFFSQYGKVNSVRMPRHVAETRVFCGVALVEFPTEEDAQNVMKQSLVFAGLELEMKPKKEFDDDREKDEEKFDNYRPQKASANQKNGSEHRNGSESEANHLHASPISGNLEVSCFGDDSNSDTGDHWKFTIEGSGKTWKQDQRVRLQHIDTSGYLHSHNTRVRLQHI >A10p023860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15527188:15529184:1 gene:A10p023860.1_BraROA transcript:A10p023860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVRTILPPAVLLILIAVSTAESIEFDESNPIRMVSDRLREVEQSVVQILGQSRHVLSFARFTHRYGKRYESAEEMKLRFSIFKENLDLIRSTNKKGLSYKLGLNQFADMTWQEFQRTKLGAAQNCSATLKGSHKLTGEALPETKDWREDGIVSPVKDQGGCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNYGCNGGLPSQAFEYIKSNGGLDTEEAYPYTGEDGTCKYSAENVGVQVLDSVNITLGAEDELKHAVGLVRPVSIAFEVIHSFRLYKSGVYSDSHCGQTPMDVNHAVLAVGYGIEDGVPYWLIKNSWGADWGDKGYFKMEMGKNMCGIATCASYPVVA >A01p011430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5546751:5548046:-1 gene:A01p011430.1_BraROA transcript:A01p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLPLLLLLLLFSATTSAAPSLSPTPSPTISPVPRTSPTPPRTSSSSPLDPKQLKALESLNIPTLKNPCDHHHPSSSKKPPTTVVTCDTGSPFRLVTSLSFTNCSSDLSISSTALKALSPSLTSLSFHNCPSLSPPPHLPDSLHSFSAVSSFPRLSGLSLARLVNLTDLTVSSVPVSTSGLFVVLGNMHDIVSLTISHANLSGNIPKSFHSNLTFIDLSDNLIKGPIPTSITLLSNLKALNLSSNSISGEIPDSIGDLISLKNLSLSSNKLSGPIPDSISSIPDLTHLDLSGNQLNGTVPRFISKMKSLKYLNLANNAFHGVLPFNASFLKKLEVFKVGGNSDLCYNHTVLSSKMKLGIAPCDKHGLPLSPPPRKEDSSSDDDYSEDDSSEKKKEEHHGPNKAVLGVAIGLSSLVFLIIFMILLAKWCG >A01g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9745190:9746008:1 gene:A01g502990.1_BraROA transcript:A01g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKSKKKGNLNKLQCGEGNVTASMSKLRCGEDDMTASRSELRCGETRRRTGHASIVEKKTTSSRGVKQEFMDNAARYFNYMTTSRVYDVVHNNNVLNINLVSTH >A01g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20886199:20887527:1 gene:A01g507140.1_BraROA transcript:A01g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMKINIDRCNGVSVNVPIGPLIDTLSLSTSLDEVHSTSVDTHPRPAKQPFTSIDTHTGISIDIRATAKIQEQENIPSPTRDPDGNACAIDGRILQVSREDIADILQVSNGPDNLFSQQRGTPDVIQTDPTKHVGVATTEINR >A03p018060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7371664:7373079:-1 gene:A03p018060.1_BraROA transcript:A03p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSTMEENHRKKPSSSEKEAEKRRQRWAFWGRKWRRLDYFKLTASLFVHSMALLAPFYFSWSALWVTFLFYTIGGLGITVSYHRNLAHRSFKVPKWLEYLLAYCALLAIQGDPIDWVSTHRYHHQFTDSERDPHSPKEGFWFSHLLWIYDSAYLVSKCGRRTNVDDLKKQWFYRFLQKTVMFHILGLGLILFYLGGMSFVTWGMGVGAALEVHVTCLINSLCHVWGTRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDLSWYIVRSLEIVGLAYDVKLPTESQRRRMAIVR >A10g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10181062:10184453:1 gene:A10g504120.1_BraROA transcript:A10g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A08p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16662016:16663217:1 gene:A08p026060.1_BraROA transcript:A08p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEKRRCVNVPQEILQEILVKLPVKSLARFKAVSREWGGTIESKYFIEKHNRYQKSLQVGQVRIVSFSKEKRYNGLALKNMLVSASGIIHVSPCLPIRAFNRFDGYKISEPCDGLICLYTYSRIFNLVNPATTSRRRISDPTPPYSFSGRHEVYTLLGIGRSNSVSPRYKIVWFFECDIKRVNKSTRCMVFALDSNTWRYVDPPHCRVYYRHSLIHLDGVMYCFADYMEEPRLFEQDVKLLAFDLHTETFRSISITPDIGCKCCHELSMCVLNYRICIFKSFVDDQDCFFKIWGLDIDKRSWETMYSIDLSCFPPEFKVGKRIIPMATINDYVIISSFDRTIWVLYSSKSCILYHMPFACHLVMSYFETLVSTYQ >A01p056410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30722824:30724559:-1 gene:A01p056410.1_BraROA transcript:A01p056410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQSTTLARLNVPLSPILSTLHAPSSLFLRGETRPPFSSSTAGNLSFSPSTHQRKLLCPPPRGKFVREDYLVRKVSAEELQEVVKGERKVPVIVDFYATWCGPCILMAQELEMLAVEYESNAMIVKVDTDDEYEFARDMQVRGLPTLLFISPDPSKDAIRTEGLIPIQMMRDIIDNDM >A10p035880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20513022:20519776:-1 gene:A10p035880.1_BraROA transcript:A10p035880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein unc-13 homolog [Source:Projected from Arabidopsis thaliana (AT5G06970) UniProtKB/Swiss-Prot;Acc:Q8RX56] MEEESAVEILQRYRRDRRKLLDFMLAGSLIKKVIMPPGAVTLDDVDLDQVSVDYVINCAKKGGMLELSEAIRDYHDHSGLPYMNSVGTADDFFLATTPESSGSPPKRAPPPIPILTSASSSSIPTNPEWCESPSAPPLMRSESIDSPHAQELTVDDIEDFEDDDDNEEVGNFRISRRTANDAADLVPKLPSFATGITDDDLRETAFEILLACAGASGGLIVPSKEKKNEKRRSRLIKKLGRKSESVSQSQSSSGLVSILEMMRGQMEISEAMDIRTRQGLLNALAGKAGKRMDSLLVPLELLCCVSRTELSDKKAYIRWQKRQLNMLAEGLINHPVVGFGESGRKANDLKSLLQRIEESESLPSSAGEVQRAECLKSLTEVAISLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLCVFDMLNEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWYVITSERGLLRHAIQQLKKIPLKEQRGPQERIHLKTLQCRVENEDISFLESFLSPIRSWADKQLGDYHLHFAEGSLVMEDTVTVAMITWRLLLEESDRAMHSSSSDREQIESYISSSIKNTFTRMSLTIDRSDRINEHPLALLAEETKKLMKKDSTIFMPILSQRHPQAIAFSASLIHKFYGNKLKPFLDGTEHLTEDVVSVFPPADSLEQYLLELMTSVCGEDTNGPYFRKLIPYELESLSGTLVLRWINAQLGRILSWVERAFKQEHWDPISAQQRHGSSIVEVFRIVEEVGTVDQFFELKVPMRSIELSALLRGIDNAFQVYTNHVMEKLGSKDDLVPPVPVLTRYKKEAAIKVFVKKELFESKLPDERWSTNIDVPATAVLCVQLNTLHYAVSQLSKLEDNIWERWIAKRPREKIVIRKSLVEKTKSVNQKESFEGSRKDINAALDRICEFTGTKIIFCDLREPFIENLYKPSVSQSRLEALIEALDTELGQLCSVIMEPLRDRIVTSLLQASLDGLLRVLLDGGPSRVFHPSESKLLEEDVEVLKEFFISGGDGLPRGVVENQIARVRLVVKLHGYETRELIDDLRSRSSLDMQQGGRGKLGADTQTLVRVLCHRNDSEASLFLKKQYKIPKSHA >SC389g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000231.1:698:1394:1 gene:SC389g500010.1_BraROA transcript:SC389g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPSSLLVLVNGNPHMFYNILKGNIKNMSTKSLGCQVLIKSCCRHPFRPRNADLCSMQKTWLEAKEIYENLPENSFNHPYEGMQKIRIQIRNSPEHNQMLLLWLDLFGVRNTARSLRLRVDGRLSPVNFPGETFAGEFSVDRLSPNFNFRACMAWPCVQSIFPVAFFDYIQEWLERLMFSGS >A04p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22252607:22254904:-1 gene:A04p039410.1_BraROA transcript:A04p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERDLFLSLSISGLYWEVNAFHRDVSQIRLRLVSISRFSMAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTAKWIDEVRTERGSDVIVVLVGNKTDLVDKRQVSIEEAEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSSNANASSAQQQSGGCSC >A05p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3742371:3747720:-1 gene:A05p009080.1_BraROA transcript:A05p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCAR2 [Source:Projected from Arabidopsis thaliana (AT2G38440) UniProtKB/Swiss-Prot;Acc:Q5XPJ9] MPLTRYQSRNEYGLADPDLYQSADKDDPEALLEGVAMAGLVGLLRQLGDLAEFAAEMFHDLHEEVMATASRSHGLMARVQQLESELPFVEKALLCQTDHSPFFSNKGVEWHSNLQMEQSVVTRGDLPRCVMDSYEESRGPPRLFLLDKFDISGAGACLKRYTDPSFVRHETSSYEASWDDIQREKKSLKAKFSDCHSFSSNLMQRRASHWRNGGTPENALTSHAKLHELFREEHLEAIHSDPARVVKLKTRKLDGYSLISKSGESYMGRFVQTSVNNEAGYENISLNQPELLTWNIDSAKDVVTDIPEISMVDAPEKSHGGTRIDVSLPNQQENAGFIEKDIETVPESTHNGFPGTTLTKDSQTNLNGKQGFLQHRSYSEDLTSEADNYVDAPATMESETETDDEYSRLKHRSDALNDGNHHTYSDVDEKRENPPQFSFLHSVGNTPVSENGQGSFGKRSTSFSYSDTASVSIDDQSDGEKFSGRLPSTSSVKSELVGPSSTATPEANKVSHDSNVQESVSSSNADGQASFISNDSCSSPRSVSQNAESCSLTVQSLAPEVVETSVELARINPVKGGNDGSEVDPVDSRSGASFDVKNSNFPSETSSVSSTSEGSKYDTAVQKNCMVDYSSNVANSVPGPPVFVDSQTGEQLPVADNDVETNSPVAANSGNDTESCDGSSRTGEVLPFSAGMEMEVSPDFASKVCLPGTIDESLFEDTLDDETPSVTVANAVSGVDSRSADVDNEQSCAFGTTAEVSVSESHEDTLENGMSAPTELDSMLTSAFNSGGEKSMGYASPSCSRFDEQISDIPAEFHSSHIHDEGVHDLPGLDNISTDIVPKEDLAVSDNHADISSDDEDHAVSLSSTSMKGSLPWRSTNTSKSSSEATDSCHDTAVESNGILPAKNNSALEKTVQESPLEASSEGLGTSLDNNDLAGSESVSPMISLDQSNRDRETKSPCESIPDENGIDSLPANNMNLTESLTTEPSVKVQTPCVSHALEDEELKLSSVSRGLEFVPQSAGIEWNNPKQELNLDPIFPSFGLIPETTTPNQEDMPPLPPLPPMQWRIGKAPQSFLPNFMGELGETSSSAPSAAPPSGSSLNVQMWSTPELSESLGREKSEQLPGESMTSESEKPLHSSIQFPSVATDLNSQYDSFGSQRTQSADPSIELLAIPNHGSIEDVGSEENNLLADHTSQNQELVYSQESSLQLPQEPSAKNEDFEDDTDVQVSETSRGQKDCPETEALTPPQSAKVEDNGHSDPDASSAEIAEPSNSSVQKITPASVGDAMWPVNAFTVAPTLDTDKLEEVPMVKLPRPRSPLVDAVAAHDRRTLKKVSERVQPPIKSKQDDKDSLLAQIRNKSVSLKPAVATRPSIQTGPKTNLRVAAILEKANTIRQAMAGSDEDEDSDSWSDS >A04p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19666933:19672582:1 gene:A04p033850.1_BraROA transcript:A04p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESVQTQEEEEIKSVEEQWRLSEMQVLEPLPEASSDNNNSRNPETELWEHPPEMENNGAPPPPPPEPKKPEIHGVAFKELFRFADGLDYALMAIGSVGAFVHGCSLPLFLRFFADLVNSFGSNANNVDKMMQEVLKYALYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQFFDTEVRTSDVVSAINTDAVMVQDAISEKLGNFIHYMATFASGFIVGFTAVWQLALVTLAVVPLIAVIGGIHTTTLSKLSNKSQESLSQAGNIVEQTVVQIRVVMAFVGETRASQAYSSALKTAQRLGYKTGLAKGMGLGATYFVVFCCYALLLWYGGYLVRHRLTNGGLAISTMFAVMIGGLALGQSAPSMAAFAKAKVAAAKIFRIIDHRPTIERNSESGVELDSVTGLVELRNVDFSYPSRPDVKILNDFNLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPASGQVLLDGHDLKTLKLKWLRQQIGLVSQEPALFATSIRENILLGRPDADQVEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLIIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFAKGENGVYSKLIKMQEAAHETAMNNARKSSARQSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSTTDFTLSIDASSYPNYRHDKLPFKDQANSFLRLAKMNSPEWKYALLGSIGSVICGSLSAFFAYVLSAVLSIYYNPNHEYMIKQIGKYCYLLIGLSSTALIFNTLQHSFWDIVGENLTKRVREKMFTAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKGTQLAGEAIANVRTVAAFNSEAKIVRLYTANLEPPLKRCFWKGQIAGIGYGIAQFCLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMWSVFELLDRKTEIEPDDPDTTPVPDRLRGEVELKHIDFSYPSRPDIQVFRDLTLRARAGKTLALVGPSGCGKSSVISLVQRFYEPSSGRVMIDGKDIRKYNLKALRKHIAIVPQEPCLFGTTVQENIAYGHECATEAEIIQAATLASAHKFISALPDGYKTYVGERGVQLSGGQKQRIAIARALVRKAEIMLLDEATSALDVESERSVQEALDQACSGRTSIVVAHRLSTIRNAHTIAVIDDGKVAEQGSHSQLLKNYSDGIYARMIQLQRFTHGQVIGMTSGGSSRVKEGDDA >A01p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3699734:3700480:-1 gene:A01p007480.1_BraROA transcript:A01p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAWFPKIIFLAFLVLLLVVHSQARFFLEPTIYEIDGEIVEFYKHWHANKEVKSTYIGDLHEKLMVRYGAKLMWRIDVFLCLCFSLLFTDLLFKLSFRIVSRCSARLVGFTGQEYGLVLARDYRVSGVDME >A09p082290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59626010:59628418:1 gene:A09p082290.1_BraROA transcript:A09p082290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box-binding protein-associated factor RNA polymerase I subunit B [Source:Projected from Arabidopsis thaliana (AT2G02955) UniProtKB/Swiss-Prot;Acc:Q5XVF0] METNKMICNGCDNDEFEEEDGFFYCLQCGVRADDVIATAVEDEDFAGDGGGTRVGGTYSQLNIRRVTTQPTATPSQHLEETNRYSQFRSQLKSASKITQLNGGGGDVGEPTDPEDFGGEEGVKALSYEDCYQQTRERYANGLIMMITYQCDALVEKFNVTPLIVGLVGPICLRFLALSGAFDQDWADNAIRDSELQSQETNGEVKEVKKRRSNRDKDSSEHRSFDGKRAVTIWLSQLRNSLPLSSSLAISFLACHKAGAPVLPTDIVRWAREGKLPYQSCFLKIQELMGERTATCPVGASVMFRPDEIVSAHNLEVQAASIADVIGLVLPPVNFHGIALNYLKRLSVPVDKVMDLVRLWAMPSEIYLSKSQHRLPTRVFVMSILVVAIRMLYNINGFGMWEKSLVDDAASEESGDDDDGELIQVKKKVATEFDTKELMKTLETKYHELDAETTADFENDLCSYLSHGKNEIFAGLEAASADDTYRTVGKLWSSYQKEDEEESETPSKRGRDTPCEPSLEFSPSTPDDNNQEGSGSKERAISRLIADMGENYFVYIPPRVKVKRQGYIQYVRKKDDGALVYAVHADYYILLRVCARVAEIDTRNMHRGVLSFERRLAWIEKRIDHVLHLTPTSMKCKHCDYGNVDSEDHDDDMVLS >A06p047230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25110097:25112432:-1 gene:A06p047230.1_BraROA transcript:A06p047230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELFMNTELPPPSEMAMHFEQPSSSSAMLNWGLMDPNLARNSPPQDCFMWEKSTEQQQSIFDSALSSLVSSPTPSNSNFSGGGGDGFIIRELIGKLGNIGNTSGDIYGAPMNGNVSRSASCYATPMSSPPPMMNRTAPLTEFSGDPGFAERAAKFSCFGSRSFNGRTNSGIPVNNGKIVNSGKLTRVSSTPALKALASPMIPAGDSPPKLPGEFSRKRKSVSKGKSKDNPLPAASPSPSFSKVTAERKEVSEEKGGKRRREDEGEEEEGNKGGNDTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNDTRLEFNVDALVSKDAMISSSGSRVHESGLQLESLSHHNYNNNSQLNTNVSSNNMMLHSPMKSLEPSALARGFTHLPTLTQFTDTISQYQMFSEEDLQSIVGMGVAHNPNHESQSQNMKIEL >A09g516570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48862249:48866901:1 gene:A09g516570.1_BraROA transcript:A09g516570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRL >A05p018880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8824609:8826364:-1 gene:A05p018880.1_BraROA transcript:A05p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIQRFSLTRRLSSVESYLRHLCGGAFRVGVKDEGDWFYSQEWWDPHERGHTVSQSSSSRGNGLVSVVAHPSSLPSRESWAETEGWLDNRYMEIMGRDGEKFKILGYQWRSLRFNDDTRQSTVKVMAACRALQPSSIFYMQHPHCLAVPYLKSMVSVGLTSLAASKYDMTNAAIGKKQMRILCVGHGGGSLPLFLANHILGALVDIVEIDPVVISESVRAMGFPAFSVMTATGKRALPTPDIIDQVMWRGIHERLFLYESEAKEFVLNNQNNSYDIIFMDAYDGADIFPHSLWDSNSLFMKALSERLHHEHGTLVVNLHSDADISDLDRSNEGVTTGKYVRKVGKAYKKGLMENERNGLVFACEVPWLCNVSLVVSRGMSSDGRRRDQIKTSLMKTSLQVDKILRLPFSCLDYLKTGLAII >A09g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17582172:17582769:-1 gene:A09g505580.1_BraROA transcript:A09g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLKVGSIVKVDRFEVAKCSSIYKIIDHPFLICFISPTIIDEVITVTANINLELPDVVEQIVLSKALTLAKKQLESLSVSSLIRKKQSTHNFLYIIIYIVLTSIIKNISYPNSVVVYLSPLLINLILHKPLFCSLKETTTTQTIKSPKARILNKTNH >A07p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2654463:2657513:-1 gene:A07p004730.1_BraROA transcript:A07p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELNGSLLRQMSCLCLWWFFASTLVVVIAEETKVAERQLNQTPTWAVAGVCTFFIVVSVLLEKLIHKVGTVLWDRNKKALLDALEKIKSELMVLGFISLLLTFGSSFILEICIPSHVAHTMLPCPTTIEKEVDEKGEEGHRKLLWFDHRVLSEISVPKCKKEGYEHLFSSKALHQLHILIFFLAIFHVFYSFLTMMLGRLKIRGWKHWEKETSSHNYEFSVDTSRFRLTHETSFVREHTSFWTRIPFFFYIGCFFRQFFRSVRRTDYMTLRNGFIAVHLAPGSQFNFQKYIKRSLEDDFKLVVGVSPVLWASFVLFLLLNVEGFKILYVGTALPVIIILAVGTKLQAIMTKMALGITDKHAVVQGMPLVKGNDEYFWFGRPQLILHLIHFALFQNAFQITYFFWIWYSFGNDSCYHPDFKIALVKVSIALGVLCLCSYITLPLYSLVTQMGSQMKKSVFDEQTSKALKKWRMAVKKKKGGKGSTTKRLGGDGSVSRTASTVRSSLSLRSLQRYKTTGHSMKYEGLDPETSDPDTENEAFAPPMPPSMPTSPGIELATELETKTGETSCDGENSSKEFSFVKPAPNKDKSQDRSD >A02p012990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5682913:5706805:-1 gene:A02p012990.1_BraROA transcript:A02p012990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRASSFCLVLYVVVLLLGLVSAVTDDRQDKQVYVVYMGSLPSRPDYTPMSNHLSILQEVTGESSTTGRLVRSYKRSFNGFAARLTESEREQVAEMEGVVSVFPNKKLQLQTTASWDFMGLKEGKNTKRNLAIESDTIIGVIDTGVWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTGEGTRDNQGHGSHTASTAAGNAVAGASFFGIGNGTARGGVPAARVAAYKVCIPTGCTTESILSAFDDAIADGVDVISISIGDDNAIPYEKDPIAIGAFHAMAKGIITVNSAGNSGPTPNSVASVAPWILTVAASTTNREFLTKVVLQNGKTLSGRSVNAFDLKGKNYPLVEGTLGSQAKGQILVSRYPLISGTAVATINVDYKNYSSISPRPLSSLSQDDFDSLLSYVNSTKSPRGTVLKTEAVFNQKGPKVASFSSRGPNTLAVDLLKPDITAPGVEILAAYSPLGSTSLGDQRQVKYSVDSGTSMSCPHVAGVAAYIKTFHPDWSPSVIHSAIMTTASDAGVASTEFAYGAGHVDPIAALNPGLVYELNKADHITFLCGLNYTSKTLKLITGEAVTCTGNTLPRNLNYPSMSAKLSETNSSFTVTFNRTVTNVGTPNSTYISQIVLNHGTKLNVKVSPNVLSMKSVNEKQAFTVTVTGSGLDPKLPSSANLVWSDGTHNVRSPIVYVVYMGSLPSRPDYTPMSNHISILQEVTGESSMEGRLVRSYKRSFNGFAARLTESEREQLAEMEGVVSVFPNKKLQLQTTASWDFMGLKEGKNTKRNLAIESDTIVGVIDCGVWPESESFSGKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTGEGTRDLDGHGTHTASTAAGNAVTGASFFGIGNGTARGGVPAARIAAYNVCTDTGCKTDAILSAFDDAIADGVDVISVSLGDDNAIPYEKDPIAIGAFHAMAKGIITVNAAGNSGPTPNSVASVAPWILTVAASTTNREFLTKVVLQNGKTLVGRSVNAFDLQGKNYPLVFQESQAKGKILVSEYSFSSKTAVATIIEGYNNYATISPRPLSALSSDDYDFLLSYNKSTKSLQGTVLKTEAFFHQRAPKVASFSSRGPNTLAVDLLKPDITAPGVEILAAYSPLSPPSLEDQRRVKYSVLSGTSMSCPHVAGVAAYIKTFHPDWSPSMIQSSIMTTAWSMNASETGVASTEFAYGAGHVDPIAALNPGLVYELDKADHITFLCGLKYTSKTLRLITGEAITCTGKTLPRNLNYPSMSAKRARSNSPFTVTFNRTVTNVGTPNSQYISQIVLNQGSKLNVKVTPNVLSMKSVKEKQFFTVTVSGSNIDPKLPSSASLIWSDGTHKVRSPIVVYIVYMGSLPSGKEYTPMSHHMSILQEITGESSIEDRLVRSYKRSFNGFAARLSQPERERMAEMEGVVSVFRSKKLQLQTTASWDFMGLKEGNKTKRNPAVESDTIIGVLDIGIWPESESFSDKGFGPPPKKWKGVCSGGNNFTCNNKLIGARDYTGEGTRDLDGHGSHTASTAAGNAVAGASFFGIGNGTARGGVPAARIAAYKVCNSTDCTDDAVLSAFDDAIADGVDLISISLGGEALKYEEDTTAIGAFHAMAKGILTVNSAGNSGPSPTTVSSVAPWILTVAASTTNREFLTKVVIQNGKTLSGRSVNAFDLQEKNYPLVFALPQVKGNDIFVSRYSYGSDVAVATIINDYTNFATISPRPLSALSPDDYNFLLSYVKSTKSPQGTVLKTEAVFNQKAPKVASFSSRGPNPIAVDLLKPDITAPGVEILAAFSPLGSPSEDRQRDQRHVKYSVISGTSMACPHVTGVAAYIKTFHPDWSPAVIQSAIMTTARDYTGEGTRDNQGHGTHTSSTAAGNAVAGASFFGIGNGTARGGVPAARVAAYKVCTSTECGSEAVLSAFDDAIADGVDLISVSLGGDDGEVLRYEEDTIAIGAFHAMVKGILTVNSAGNSGPDPNTVGSVAPWILTVAASTTNREFLTKVVLQNGKTLSPDITAPGVEILAAYSPLSPPSLEDQRRVKYSVLSGTSMSCPHVAGVAAYIKTFHPDWSPSMIQSAIMTTANSFCLLACVLVLFLSSVLAVTDDPQDKQVYIVYMGSLPSVLEYSPMSHHMSILQEITGESSIDGRLVRSYKRSFNGFAARLSEPEREKIAEMEGVVSVFRSEKLHIQTTASWDFMGLKEGNNTKRNPAVESDTIIGLIDTGIWPESESFSDKGFGPPPKKWKGVCSGGKNFTCNNKLIGARDYTREGTRDLDGHGSHTSSIAAGNAVAGTSFFGIGNGTARGGVPAARIAAYKVCTSTECGSEAVLSAFDDAIADGVDFISISIGGGAARRYEEDTTAIGAFHAMAKGILTVNSAGNSGPKPTSVGSVAPWILTVGASTTNREFLTKVVIQNGKTLSGRSVNAFDLQGKNYPLVFQGSEAKGKILVSVYSFSSDVAVATITKDYKNYATISSRPLSALSPDDFDFLVSYVNSTKSSQGTVLKTEADFNQKAPKVASFSSRGPNPIAVDLLKPDITAPGVEILAAYSPLGSPTEDIDKRHVNYSVLSGTSMSCPHVTGVAAYIKTFHPDWSPSMIQSAIMTTAWSMNASDTGVASTEFAYGAGHVDPIAALNPGLVYELDKADHITFLYGLNYTSKTLKLITSEAVTCTGNTLPRNLNYPSMSAKVSEPNSSFTVTFNRTVTNLGTPNSTYKSQIVLNHGTKLSVKVSPDVLFMKSVNEKQSFTVTVSGDNIDPKLPSSASLIWSDGTHNVRSPIVLYTDVPNDSI >A05p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28071580:28072693:1 gene:A05p047770.1_BraROA transcript:A05p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLETTAPATLEPQETSEKDLPITGGDKLLLKGLKFYGFHGVIAEERTLGQMFLVDIDAWVSLKKAGVSDNLADTISYVDIFSIAKEIVEGPQLNLLEAVAERIASKTLEKFPRVTAVQVKLVKPNVALIKSGIDYLGVEIFRQQKH >A09p059170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49570949:49574325:-1 gene:A09p059170.1_BraROA transcript:A09p059170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAELSSRDRLPSKVPTSRQGEKQSQKKLKSPRSSSSSDFHSCHCEALSQNKLHPHQSTLLPMRSLLAQEMSNQKETKRRSPSIIARLMGLDVLPSPQSSSHRQLKSVETQQGKSGGCESLRRSSMGEQKFKDVFEVLDAKKAESSRNLYHQGRVDAANLTQAEMAFIRQKFMEAKRLSTDEKLRHSKEFNDALESLDSNKDLLLKFLQQPDSLFTKHVHDLQSTPHKPHYSQAPSLKCPSHSQKADRDSLRKSHRSPHRHGGGGDGFPSHSHSRHVSYETLELQPTKIVVLKPNLGCRGFASPSSSSDEFRADRSLLPCTINHGRQKSKEDIRLSRQNSGEFSKTMSRQRKASFEASGFRGYAGDESSSGSDSASESELVPVTSRTRTSFNRKSHHHRSLPSKSTTSSVSREAKRRLSERWKLTHKYEQEIEISRSGTLAEMLATSDKEARPASFNGLIFEAGISKRVESNAQLPELPEPVGISSRDGWKGSRSRSYSKSKTIMNQESTGGYTIVLPKELITRDGLVMGSTSHHSFLSSKYSRHGSNKSCSSYNSCSLSLDANSDTEDSSASDDIKTVRSSEAPDLSTVTSLTDPDISRMPTETVNHSPVPEPQPRESSKEGDQPSPVSVLEASFDDDDGSSSSECFESVSADLKGLRMQLQLLKLESAAYNEASMLVSTDEDTDQESSTITNETLTSQEVREEDWKSLYLVDLLANSRLSDSDHSTVMETPVDPSLFQDLEKKYSSLKTSARIDRRFLFDQISGELVQILKQFSDPHPWVKPKRVCSKWDANKIQETLRDLVTRKEEKPSKDDVEEKELEWLRLEDDIEIIGRDIEEMLTDELIAELVVDAIF >A10p000190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:107570:109405:1 gene:A10p000190.1_BraROA transcript:A10p000190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVFVDDIQRASWLSEVFVFSLHSGQIKTQRDNKALICIQMGTMAELGSMGKFEEQQQANQIVLYRESAEEWLSHVHSLIPSVLHKAKTVPNFTGRWKTIISKIQQIPGCLSDLSSHPCFSKNKLCNEQLQSVAKTLNEAMELAELCSADKYEGKLRMQSDLDALSGKLDLNLRDCMVLIKTGVLGEATLPLYISSSSETPKISSLKELLARLQIGHLESKHNALENLIRVMEEDEKMVMPLMGRGNVAALVQLLTGCTRIREKAVTLISVLAESGHCDEWLVSEGVLPPLVRLVESGSLEAKEKAAIAIQRLSITEENAREIAGHGGITPLIELCKTGDSVSQAASAAALKNVSGVAELRQLLGEEGMVRVSIHLLNDGILLGCREHMAQCLQNLSAASESLREEIVSEGGVPSLLAYIDGPLPQEPAVTALRNLIPSVNTETWVALNLLPRLAHVLMSGSLGAQQAAASAICRFGSSPEAKRLVGESGCVPAMVKLLESKSNGCREAAAQAIAGLVTEGRIRRELRNDGKSVTNLVMLLDSNPGNTAKKYAVAGLMGLAGSEKSKKVMVSYGAIGYLKKLSEMEVMGADKLLEKLERGKLRSFFHRR >A03g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7882589:7883916:1 gene:A03g502450.1_BraROA transcript:A03g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPPASGFVRESWARYHRPFRLKEMNKWVSTREPGLPSEPGFSVSEAISCRSPEPEIFSINSSSESLVNALRVVNREGGFDFLVKIDIRFPFRMDPEAEIRDTKRRNEHIDMLSYVCDSEHGIPTRCPCGGSIIHEVRGKEEYDTLPGKRFFTCINYEADGFHYRQPWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEAEVKALTVEVDRLTGKVYNLTVQVDHLEKCCFD >A05p021820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10372618:10372977:-1 gene:A05p021820.1_BraROA transcript:A05p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVCSSAPFTIRASSGSGSMKNPDSNRKKTVSWWAPLFGIPTEPDYLNIETSSSMAPESNGSGQDPSQKLRRRGCLTEEKAKELRKKIAEASTFHEVMYHSAIASRLASDISGRIKE >A02p051260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31517415:31518804:1 gene:A02p051260.1_BraROA transcript:A02p051260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKGGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKANQVSHQEHDQSRDRSSLSSSPSSSSANSNSNIARGQWERRLQTDIHLAKKALSEALSPAVAPINTATTSSSTESRRSTSSASGFLRTQETSTTYASNTENIAKLLKGWVKKSPTQNSADQMVSPDSETKEVIKSNVEKDCAWAFQSFSGFDHLKDRDSAGVSPDHETKPDITGYSNQSQWSLFEKWLFEDSGGQVGDILLDENPNFF >A03g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5487462:5489337:1 gene:A03g501770.1_BraROA transcript:A03g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) UniProtKB/Swiss-Prot;Acc:Q9FJV0] MAPMKLKSNLKQLKLSVPAQETPISSFLTASGTFHDGDFLLNQKGRRLISDEKQSTPSDSKELDFEITAEDLETVKVIGKGSGGVVQLVRHKWAGKLFAMKVIQMNIQEEIRKQIVQELKINQASSQCPHVVVCYHSFYHNGAFSLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVQLKRPILHQMVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKISDFGVSASLASSMGQRDTFVGTYNYMSPERISGSAYDYSSDIWSLGMSVLECAIGRFPYLESEDQQNPPSFYELLAAIVENPPPTAPSDQFSPEFCSFVSACIQKDPPARASSLDLLSHPFIKKFEDKDIDLGILVGTLDPPVNYLR >A07g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12814702:12818905:1 gene:A07g505650.1_BraROA transcript:A07g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPLDLVCNSFITQLNLTYKLWTESNHGPHLQAIIGSNRKIKTNLLDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTVKWKMMLLAKSSLTIYIYSDPTAAEPCDIELEDKAREVAQLKTERQTYPQLKVNDAKRRLIGRLLRAWTIFKRWMQNCFQLQSLNAYSVLKLIPKNEYYDKTLQLPKEFDATTAWMLYIDNVDDETKDSEDDLDENFHGEDMPASHCNVDEGRERFGSTDAAANIFFYPSFRFGLIHLLSIWKLNLLKKLQGISKVASSSGNKKLREMEIAYILSALSVWRIQRMRTILLRWLGIDAHCRFQLNHFGLLQKRGSSQWNSIMSERSSIVPSSGFPSLIFLQVFTVSSFNSGHSTLGQLAFSYMPVKFKFLRARACTRTSPLNLAIEILKWSEERTNICVMYTLCPVLIKFFTNQCIHIKNKSNVNSHHYLE >A02p046240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28911467:28913034:-1 gene:A02p046240.1_BraROA transcript:A02p046240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGFPTIDGPTPSGKPSSDKHSGKIDVGHHMYSLYFKGLVSEDSLAGFGVAILGQKDELVFQMKGPIHGTDITVLEAELSALKRGLTEAADLGINHITIYSDNHPTHDLISGRLVPKENNMALLVNDVQRIRGRFSSSFTIFVSRCSIKHAYKLARETIVSEQISIPVDTPPRRAKPARKMTCAICLDDDVNADQMFTVDKCGHRFCSECVKRHIEVRLLEGSVMTCPQFRCKSELNFYRCADLLTPKLREIWRQRIRENSIPFEERVYCPNPKCSALMRVTELSKLNKESPVRRCCEKCGEPFCTNCKFPWHDNLSCDDFKIMHPNLRESELKLHALANQKLWRQCGKCQHMIELSKGCVLVVCRCGHKFCYRCGANARSCTHGLGHMFPPQPQELESPPPAPPCWAQCLCWLFLLLCVYFISVGIKS >A07g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8770099:8772899:-1 gene:A07g504350.1_BraROA transcript:A07g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGFWSGLMGFARFVLWPVLQLKPRSTEARSGVSPSLHHFSSSSPLCFHHPIPHDRFLSINFAFNSSLWFLFIFPLSSSFIYCFFTRLQIKTLETLSMAMKPHGKSIMSSDSDEKSVFFKDLSLGPNEAQLKFRLIHFWEAWNPVKKTLIGMEMLLIDEQGTVIQGFISPGRIEKYLPDTKRGSVYKLNNFYGSRNKSVFRVADHTVTVSFSWNSELKVLLNCPTHFDADSFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIVEAPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAARDFCKKFNSYEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYEVQPTIDYFSWLGSNPAIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGCSWYYIACSGCHSKVINGPTSLICTSKKCGKVNASGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEAPVPEALISTIGQKHKFCVKVTEHNFSGKTRSLTVTKILPLDPQPTTVSSEDNHTAATSEETSENRVDSADGSKRTCDSSELERVKRPKCGN >A06p019410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9511110:9513799:1 gene:A06p019410.1_BraROA transcript:A06p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWFARSRNLVSILRQNLGLSATLIKRNHSSPRPVFTNYQLSSRVFLDPSASFRHESTAVETQPDLVQQSDHEDDAQELDFPGGKVGYTSEMKFIPESSSRRIPCYRVLNEHGKIISDSDFIPVSEKLAVRMYEQMATLQVMDHIFYEAQRQGRISFYLTSVGEEAINIASAAALSPEDVVLPQYREPGVLLWRGFTLEEFANQCFGNKADYGKGRQMPIHYGSNQHNYFTVSSPIATQLPQAAGIGYALKMEKKNACAVTFIGDGGTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTHISEQFRSDGIVVKGQAYGIRSIRVDGNDALAVYSAVRSAREMAVTEQRPVLIEVKTAMTYRVGHHSTSDDSTKYREADEIQYWKMSRNPVNRFKKWVEDNGWWSEEDESKLRSSTRKQLLQAIQAAEKWEKQPLTELFNDVYDVKTKNLEEQEVGLKALVEKQPQDYPTGFHI >A08p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3743813:3744443:-1 gene:A08p006510.1_BraROA transcript:A08p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCNDSSRNLAVAVALFFAGVLYFSFSTHSISDHISDLLHNTNTPQQVKTIEFPQDELEAVLESAAAGNNNTVIIALVNRAYVEEVGEGRTMLDLFLESFWEGEGTLPLLDHLVLVATDHTAYDRCRFKRLHCYKMDIKGVDLEGEKVYMSADFIEMMWRRTHFLLDVLSRGYHILFT >A09g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8258347:8272865:1 gene:A09g502470.1_BraROA transcript:A09g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMTDNISTLCDVVQGIPVVCDLFTRHANYIFKFDDNLDDLKIALEDLIAKRNDLIRQVDASEIKGQRQGDQLERWRLRVQAVVTNTNLLVGEASVVQQRLSTYGNCSTNIFSAYSSGKKICEKLSEIREILSEFCYKPVTRQATLCRVIKEPVQKTVGLDTTLRETWEIFMRDNVTLLGIHGRGGVGKTTLLALINNKFKDEEGFDVVVWVKVSEDVDIAQIQDEIGKRLGLYDEDWSKKSQREKRCDIIRVIKTKNRFVLLLDDLRKVVSLVNIGISVKPGKCKIVFTTGSKSLCKSMGANEVIEVKPLTEEDALVLLKQKAGRETLDGEMLEHANIIVKKCLGLPLVLNVIGRHLLSETTADQWNHVLHTWFMGIDEDIFPVLRISYDTLEDDSKSCLKYCALFPEECRIKGNELVEYWIGEGIINEENGREVATNRGYTIINTLVGAGLLVLDGEPNQEIVYMHGLIHECKQKVYMQGLIRQMALQLVVESGEKFFVKTSAGLRNLPRIKDLKIVTKMSLMNNEFKSIPDSSQFSNPDRLVTLFFQNNKLEDIVGIQVLSTLVVLDLSRNLGITELPGDISKLVSLRYLNLLGTRINNLQGILELIQLIHLDLESTSDLRDIHLISGLLKLQVLRLYRSAHLRHELLENLERLEDLKLLTITVEEDFEVLKAFLGSNLAWRTQGLYLDRLKVSGVSGKSFAATFGELGSLSKLGMTDCDIIESETEWEDNRRNKYPPSTPSSITPRNIWFKNLSAVEIYSCVCLTDLTWLIYAANLESLSVKNSPNVEEVISKEKASDEVEPFQKLQVLDLDHLDKLKRIYWKPLSFPMLQKVHITNCLDLPLDSIKDHSHDLEKNLEALENKVETLNGIINELLRRVSKEKDRGLQTLHDVEKWISMAEETESKASSLLDKSISDCSQISEDYRETVCKMLKEVEDLSSKGVFKDVVDRSLISPVKKMLPLKSIVSREMLLEKAWKCLAGNECGTLGLYGIAGVGKTTLLTRIKNKFIKDGDASSLVIFVLVEPEEEVESIQHEIGKRLGLYMGGKSKELKYEIYRFLERKRYVLLLDGVQRKLDLAEIGVPLPSSENGCKVIFTSHYREACGSKWVDAVEEVKCLSPEESWDMFQEIVGKPTLKSHPDIPQLARLVARKCGGLPIVLSLIGKGMSRKRTAREWHHAIHLLVSSTTEFSGMEAPVLKFTYDNLPGEDIKACFLYCALFPRNCDISKQDLVDCWIAEGMIEGEDREIAEIKSYEMITDLVLMGLLIDDECGYGIKMHRMVREMAFLIASQKENFVASKGIHQMPEVNDWSIVRRMSVTCTQVNKISYSPDYCPQLTTLFLHNLKWVSGDFFRWMTSLVVLNLSRNRELSELPEEVSSLVSLRLLNLSWTWIKRLPLGLRELKSLIHLDLDYTPLLVDVDVISYLLNLQVLRLFQSVPLDLSLLEDIQLLKSLKDLNLTVGEVDVLKQLLSIHQLASCIRYLHFTRITINDEGTLLLNSMLSLRELNILMCDIPAITINWRSTIQRERVHFGNTPLLHNLRTVTLSCCKGLRDLTWLILAPNLADLRLLDCQHIEHIINQEKATADISEQPFQNLTRLSLDSLPRLESIYWTPLPFPVLKYLYIKGCPKLRTLPFNSNTAKGNQVLSDIEQELIKEVEWKYEATKQHFSELYDRDFPKMAEDEKTHGFASPALQASSSKEASSLLAHDPKKIIIADAAHVLDLSSSSATTTTPISSGTCTSVLSQQLKPQHKSSSSSSKLCQVEGCQKRARGASGRCISHGGGRRCQKHGCHKGAEGQTLFCKAHGGGRRCEFLGCTKSAEGRTYFCIAHGGGRRCSHEDCTRAARGRSGLCIRHGGGKRCQTESCTKSAEGLSGLCISHGGGRRCQSSGCTKGAQGSTMFCKAHGGGERCTHPGCTKGAEGSTPFCKGHAGGKRCAVPECTKSARGTDFCVRHGGGKRCKSEGCVKSAQGSTDFCKAHGGGKRCAWGHPETEYAGQSSSGPCTSFARGKTGLCALHTSLVQDNRVHGGITVTSQSKEPRQSSSETENEEEFSGSESSSETENEEDFSGSESSIVTENEEEFSFSKT >A05p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17644937:17646321:1 gene:A05p033000.1_BraROA transcript:A05p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSPSLTNNGLLAAVTPTTNLRGRNKPKCIQCGNVARSRCPFQSCKGCCSRAENPCPIHVLKGVATSAEKTAPTTPSSDHKASEGTPGSTTRFSSIRHLSSNFAQFNNLNATARQRKPLTIKDAQALNEWRFTKLKEYRDRKIEVEDEAFDRYMSNVSLLEEAFSLAFVPGDAADSERNKEERTVSELKLRLRSNSARTETFKKRIKETVKAGLVMVQKRGGKSDDQDEVERRIKRRKLEEKISALNEIIDKLNKARTEEDLKSCRELKSKHYGQVSSSSTSEHNKIFPAYVRKVEVCEDAFLQETGEQLQSFDQVITL >A08p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20949331:20949916:1 gene:A08p035550.1_BraROA transcript:A08p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSQRETETQSLYQGNKAMESPRDHGGSEEEEEYNSCESGWTMYIEDAFGGNGHSSIVVVDDDDDPQVKEADDGGDEESDDSMASDASSGPSNQLLKNINKHAARKNVSKKVYIQKRQHTEKTLSKEEEKSEVKARTRTSAASHVQSKGKVSKTK >A06p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26708097:26715036:1 gene:A06p050760.1_BraROA transcript:A06p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKVLKRMFRFFIFLLFSFVTKNMAYLLSTNSRWIIDEKGERTKLACVNWPAHLQPAVAEGLSKQPVDAVAQKIVAMGFNCVRLTWPLDLATNVTLATSVTVRDSFQSLGLNTDISGIETKNPSMIDLPLIEAYQSVVTTLGNYNVMVILDNHLTKPGWCCGNDDGNGFFGDIFFDPATWISGLTYMATSFKDTSNVVGMSLRNELRGPKQNVDDWFKYMLQGAEAVHEANPYALVILSGFSYDTDLSFVRSRPVNLTFSGKLVFELHRYSFTNVDIWSSKNPNDACGEILKIIDDGGGFLLRDFPVFFSEFGIDLRGGNINDDRYIGCILGWAAENDIDWSIWALQGSYYLREGVVGMTEYYGVLDSDWISVRNNSFMQRLSLIQSTLQGPDPQPEVSNLIFHPLTGLCMLQSSLDPTKVNLGRCNQSQPWDYTTDNTLKLKNIPLCLANTGPNAPVKLSEASCSIPSLSQWQPISASNMLLAAKSTNNSLCLDVDGDNNVVATNCKCVNGEDTSCDPMSQWFKIVKVNKANEDGPMERFFFLSVFLLLLPLFFIINITFAFPLSTDSRWIVDDGNKGRRVKLTCVNWPSHLETAVAEGLSKQPLDSIGAKIVSMGFNCVRLTWPLYLATDESFSGIMTVRQSLRKLGLLEAISGFQANNPYILDLPLIKAFQEVVSSLGKHRLMVILDNHISQPGWCCNDNDGNGFFGDKHLNPQLWIRGLKKMATMFANVSSNVVGMSLRNELRGPKQNIKDWYKYMREGAEAVHSVNPNILVIVSGLNYETDLSFLRDKPFEVTFRRKLVFEIHWYGFWSSWEGDNLNKICGRETENIMKMSGFLLEKGFPLFVSEFGIDQRGNNVNDNRFLSCFMAVAADLDLDWALWTLAGSYYVREKTIGYDETYGVLDWSWSSIRNSTILQMISSIQSPFRGPGLMETHPKKIIFHPSTGLCIVRKSLFQLKLGSCDRSESFRISSQRVLSLAEEKILCLKAYERGKSVKLRLYFSESYCSRWKLLSESKMQLSSITKNGESVCLDVDSDSNNIVTKNCKCLEGDASCDPKSQWFKVVTSTRSRCRANPFLQVSPYSKTFLQEPLSVL >A01p016770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8264664:8267146:-1 gene:A01p016770.1_BraROA transcript:A01p016770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein FBX14 [Source:Projected from Arabidopsis thaliana (AT4G24390) UniProtKB/Swiss-Prot;Acc:Q8RWQ8] MAEEEDLSEMANDVERHLKLDPPKPSPPPPCPSHVLENVLENVLKFLTSRRDRNAVSLVSRSWHHVEAQTRFEVFIGNCYSLSPARLTRRFTRVRSLFLKGKPRFADFNLMPPDWGAQFAPWVAATARAYPWLEKVSLKRMFVSDDDLALLAVSFPGFKELVLLCCEGFGTSGVAVVANKCRQLKVLDLIESEVTDDEVDWISCFPEGETHLESLSFDCVESPINFKALEGLVVRSPCLKKLRTNRFVSLEELHTLMVRAPQLTSLGTGSFSSSTHDGAQGEQEPDYASAFRACRSLVCLSGFRELTAEYLPAISPVCANLTSLNFSYANISPDVFKPIIRNCHNIRALDSICDEGLQAVAETCKELRELRIFPFDPSEDSEGPVSGLGLQAISEGCKKLESILYFCQRMTNVAVTAMSENCPQLTVFRLCIMGRHRPDHVTGKPMDDGFGAIVKNCKKLTRLAVSGLLTDQAFSLIGEYGKLIRTLSVAFAGDSDMGLRYILEGCPKLEKLEIRDSPFGDVGLRSGMHRYNDMRFVWMSSCRLSRGACRDIAHTLPSVVVEAFGSDDDDDDDDEDDNADYVETLYMYRSLDGPRKDAPKFVTIL >A04p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13058769:13063329:-1 gene:A04p021630.1_BraROA transcript:A04p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase, chloroplastic/glyoxysomal [Source:Projected from Arabidopsis thaliana (AT5G36880) UniProtKB/Swiss-Prot;Acc:B9DGD6] MKIGCSSSSSSPLLAVVSCSGSSDLKKSGSLGSRIFPASQRSSPLDKLLRCKTMSSNHLRHVESMSLLPSGAGKISQLNAVVLGESIASEENDLVFPSKEFSSQALVSSPQQYMEMHTRSMEDPAGFWSDIASEFYWKQKWGDRVVSENLDVRKGPIHIEWFKGGITNICYNCLDRNVDAGLGDKTAMFWEGNERDVDASLTYSQLLQQVCQLANYLKDVGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADSLAQRIIDCKPKLILTCNAVKRGPKTINLKAIVDAALDISSKDGVSVDVCLTYDNSSATTREDTKWQNGRDVWWQDVVPKYPTSCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPTDVYWCTADCGWITGHSYVTYGPMLNGATVVVFEGTPNYPDSGRCWEIVDKFKVSIFYTAPTLVRSLMRDDDKFVTNHSRKSLRVLGSVGEPINPSAWRWFFNLVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVVVDEKGNEIEGECSGYLCVKGSWPGAFRTLFGDHERYETTYFKPFAGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALASHPQCAEAAVVGVEHEVKGQGIYAFVTLVEGVPYSEELRKSLVLMVRNQIGAFAAPDRIHWAPGLPKTRSGKIMRRILRKIASRQLEELGDISTLADPSVVDQLIALADV >A07p001900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4107593:4110261:1 gene:A07p001900.1_BraROA transcript:A07p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASDDSREPLVEKHVAESKQEQPWMVYLSTFVAVCGSFAFGSCAGYSSPAQAAIRSDLSLTIAEAMRVSSAFCVAGWLAIFFAKGVVALDLGRLATGYGMGAFSYVVPIFIAEIAPKAFRGALTTLNQILICTGVSVSFIIGTMVTWRVLALIGLIPCAVSFLGLFCIPESPRWLAKMGRDTEFEAALRKLRGKKADVSEEAAEIQDYIETLERLPKAKALDLFQRRYIRSVLIAFGLMVFQQFGGINGICFYTSSIFEQAGFPTRLGMIIYAVLQVVITALNAPIVDRAGRKPLLLVSATGLVIGCLITAVSFYLKAHDMAHEAVPVLAVVGIMMYIASFSAGMGAMPWVVMSEIFPINIKGVAGGMATLVNWFGAWAVSYTFNFLMSWSSYGTFIIYAVINALAIVFVIAVVPETKGKTLEEIQAVVNQ >A04p030590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18171936:18174164:-1 gene:A04p030590.1_BraROA transcript:A04p030590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRFCLLSLVSILSLLIITTTTNLVAAQRCRPDQTETLKRFKNEFAFSSSCTDDTHFLSGVTCDNVTGAVTVLKLPGGCLRGTLRDNSSLFELSHLRYLNLSFNNFASSPLPSSFGQLHNLEVLLLSSNGFLGQVPSSIRNLTKLTQLQLSHNKLTGDLALLLQNLTNLVALDVSSNEFYGTIPSFLFTMPSLSFLVLSENHLSGSLEIPFSLPNLRVLKLSYLNITHQLDLRVFSSLKSLTYLDLSGISLTPTSVDSDINFAKSLRILLLSECNISKFPRFVKSLKNLDYLYLSDNRIKGNIPDWLWSLPHLTSLNLYNNSLTGFEGPLDHVLANSSVQVLDMAYNCFNGSFPDPPLFIINLSAWNNSFTGEIPRSTCNRTFLDVLDLSYNNFTGLIPPCLGNINIVNLRKNKLQGNIPDEFHINAPTQTLDVGYNQLTGKLPRSLLNCTLLKFVSMDHNRIDDTFPFWLKALPSLKVLTLRSNRFHGPISPPYGPLAFPKLQILEISHNRFTGSLPRNYFENWTNTSLKPNDEEKMYMGDYSSDRFNYEDTLDLQYKGLYMEQGKVLTFYSAIDFSGNKLEGEIPESIGLLKALIALNLSNNSFTGRIPMSFANVSELESLDLSENKLSGEIPQELRRLTYLAYIDVSDNQLTGEIPQGTQITGQPKSSFEGNLGLCGLPLEERCFTEKTTSIEEVEEEEEGVLKWRAVAIGYGPGVLFGLAIGHVVALYMPEWFFKS >A07p043720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23882103:23883420:1 gene:A07p043720.1_BraROA transcript:A07p043720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL27 [Source:Projected from Arabidopsis thaliana (AT1G70230) UniProtKB/TrEMBL;Acc:A0A178WG99] MGLKEQQNCPSQRKIAVFIVLAFIPFALFHLCFNNPFSTIDDTTLQDSAANVVLTSYSFSSSQEEESQEETLCDYTKGKWVRDEMGPLYNGSSCGTIKDGQNCLRHGRTDSGYLYWKWKPNQCDIPRFDANRFLDLMRDKHLAFVGDSMARNQLESLMCLLSTVSSPDLVYRNGEDNKFRKWRFESHNVTVSVYWSPFLVAGLEKSGTMDHNVLHLDRVDDRWGNDLERFDTVVVSVGHWFLHPAVYYESGSVLGCHSCEASNCTEIGFFDIFRKAIRTTLKAVAGSRRKVILTTFSPSHFEGRPWDSLGACNMTEPYEEGKVLEGLDLEMRRIEMEEVTAAKAVAEGRLEALDVTAISVLRPDGHPGPYMYEFPFKNGVPERVHNDCLHWCLPGPVDTWNEIMIEMLRRWRV >A09p000810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:511784:513693:-1 gene:A09p000810.1_BraROA transcript:A09p000810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKRSESAAVSTIVNLAEEAREGVKAPGYAVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHNIKYSGTVQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYRQQPGNENAQLTPVLRLGAGATAGIIAMSATYPMDMVRGRLTVQTANSPYQYRGIAHALSTVLREEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLVKDNPFGLVENNELTIITRLSCGAIAGTVGQTIAYPLDVIRRRMQMVGWKDASSVVTGEGRSKLEYNGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEFKISD >A07p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21040660:21042867:1 gene:A07p039600.1_BraROA transcript:A07p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGKGPLKAHEYRPLQIRSIHHPYVEDNKTCIKPFSSSISVFFFLLPAKPSMAPKSKEKPKTSQPPPAIEDLFTTLDRHINRSEYEQAVKLADQVLSIAPADEDAIRCKLVALVKDDKIDDALSVIHSFHKLPIDLGFQKAYCLYRQNKLEEAIACLRGRERDSETSLLEAQILYRLGKTDACVDVYKTLKDSEIETVDVNFVAGLVSAGKASQVKGALESWRIKPTSSFELAFNTACSLIENGNYADAEQLLLTARRIGQETLTDDGFADEDIENELGPISVQLAYVQQVLGQTQESTSSYVDIIKRNVVDESSLAVAVNNLIALKGSKDVSDGLRKLDRLKDQDSQIFKLSQALDAKLSKKHKEAIYANRVLLLLHANMIDQARELCVELNCLFPESVVPTLLQAAVLVRENKAAKAEELLGQCAEKFPEKSKLALLARAQIAASASHPHVAAESLSKITDIQHFPATVATIVALKERAGDNDGAAAVLDSAINCGQIR >A05g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1898099:1899070:1 gene:A05g500450.1_BraROA transcript:A05g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASAAAAVAALIKTVVVRFADAAANTAAYYIATADFIGVSRRTRCSDAASDAASCVNETNKNDAEC >A04p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10087104:10088186:-1 gene:A04p016400.1_BraROA transcript:A04p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSARQTVRTASRGSSSSNRGSAALFELVGVEFFFTLRARRRRFLLQPHHEDLAQTAGDPRHSLRSSASSSSSDSPDSSASSSSPAASGLVGVEFFSSCITIWTPRAEIVEYLLLGLMIYLTIDICSPKRM >A10p027070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17084723:17088943:1 gene:A10p027070.1_BraROA transcript:A10p027070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g19020, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G19020) UniProtKB/Swiss-Prot;Acc:P0C8Q8] MPPSSSSSSSLHPCKHSPSATLDLLILILVLFSGAFLISSYFSYLIHSLSLLSSHFPSIALSLSSLPFPLILLFSDHDHDPDDEDHDGISLASFLFVFAVFFAASVAFLDLCCGSRSRRCRNPKCKGLKKAMEFDLQLQTEESVRAGSGKEIDRLPWKGGSESNPDYECLRAELRKMAPVNGRAVLLFRSRCGCPVAKLEGRGPKRSRRHKKLPAKLAARCFSAAITQSRQQPYTTSPTPTHFDFSGSESSDIERALISALGSCATNKDVTYGRQIHCRVLKSGYDSNGFICNSVLNMYAKCRVLSEAESVFRSHAKIDSASFNIMIDGYVRSRRLGDALKLFDVMPERSCVSFTTLIKGYAQNDRWSEAMELFREMRSSGVVLNEVTLATVVSACSHLGGVWDCRMLHSLAIRLKFEGRVFVSTNLLHMYCVCSCLEDARKLFDGMPVRNLVTWNVMLNGYSKAGLVEQAKELFDQISEKDIVSWGTMIDGCLRKNQLEEALVFYKEMLRRGMKPSEVMMVDLLSASARSSGGFNGLQLHCTIVKTGFDCYDFIQATIIHFYAVSSNIKLALRQFEASVRDHIASRNALIAGFVKNGMVEEAREVFDQTQEKDIFSWNAMISGYAQSLSPNLALHLFREMISSSQVKPDAITMVSVFSAISSLGSLEEGIRAHEYLNRSSIPPNDNLTAAVIDMYAKCGSIETALNVFRQTKNIYSSTISPWNAIICGSATHGHAKLALDLYSELQSLPIKPNSITFVGVLSACCHAGLVELGKTYFDSMKEVHGIEPDIKHYGCIVDLLGKAGRLEEAIDMIKKMPVKADVIIWGILLSASRIHRNVEVAEMAAAELAAIEPSHEGCKVLLSNVYADAGRWEDVALVREEMRTSDVEWSRAFSGVA >A10g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7699746:7700090:-1 gene:A10g502680.1_BraROA transcript:A10g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESPPSWRTCLRQSCSASLDTTASEGIFDFGINHAYCGLAALPYFDEIDPSTIDVLLITQYVYSLR >A01p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27893431:27893824:-1 gene:A01p049700.1_BraROA transcript:A01p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPFSVMFSPIPQQRLDGLTLSPPVVSHQHRKPSDEFLRAVDQDIADFTINIIAKPLTMAFGHLQHHSIFYTKNSATLFITLYLDI >A06p053090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28049818:28051837:-1 gene:A06p053090.1_BraROA transcript:A06p053090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSTIFQSSVKLLHSLPRPRPRPRPRSPTNRFFRKPKLVKTQTPEPRVVYTRDTVSNIYNILKYSTWDSARAHLPHLPIKWDSHIINRVLKSHPPMQKAWLFFNWASEIKGFKHDHFTYTTMLDIFGEAGRIQSMYSVYRLMKEKGVAIDTVTYTSLIHWVSSSGDVDEAVRLWKEMRGSGCEPTVVSYTAYMKMLFDGGRVEEATEVYREMLRSRVSPNCHTYTVLMEFLVGTGKCEEALDIFFKMQEIGVQPDKAACNILIGKACKLGETSFMARVLVYMKEHGIVLRYPVFLEALETFKAAGQSDHLLRQVNSHITAESLCEVDDMESSDDSRIISSVLLMKQSLVAVDHLLNQMKDRNVKLDSFVVSAIVETNCDRCRTQGASLALDYSSEMGIHLEKSTYLALVGYFLRENELGKVIDAVKEMVKAHHSLGVYQGATLIHRLGFGRRPRLAAEVFDLFPDDQKGVAAYTALMDVYISAGSPEKAMKILEEMREREIVPSLGTYDVLLSGLEKTSDFQREAASLKKEKKSLVANGRYRESVDVEEKVCDLLFGRYL >A07p042010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22633210:22633962:-1 gene:A07p042010.1_BraROA transcript:A07p042010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSGKKQVMVAIDESDCSKHALRWTLSYLKDSLADSDIILFTAQPQLDLSSVYASSYGAARKYSPSSVSRLFNLLFMMNVSDTHARAVHKLSVLFCFLAAIELINSMQQNYKNAALNRIEEGTKICAESGVTPKKVMEFGNPKEAICDAVEKLGVDLLIVGSHGKGALERTFLGSVSNYCVNKAKCPVLVVRTKG >A05g510210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31775440:31776314:-1 gene:A05g510210.1_BraROA transcript:A05g510210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLRLAPSPTALASTTGGYGPVKKQCRIPYSGVATTRIGFCSLDYGKRVESSVVRCSLETVNVNVGQVTEVDKDTFWPIAKAAGEKIVVLDMYTQWCGPCKVIAPKYKALSEKYEDVVFLKLDCNPENRPLVKELGLRVVPTFKIFKDNKVVKEVTGAKYDNLVEAIETARSAAGSSG >A03p062230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27025724:27027776:-1 gene:A03p062230.1_BraROA transcript:A03p062230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 57 [Source:Projected from Arabidopsis thaliana (AT4G27800) UniProtKB/Swiss-Prot;Acc:P49599] MALLRPHLHRFHSNALHQSAPHTKSTADAGGGLVVYPTYGRRRRHRCSAIAIDAPSSLAGVTPIRWGYTSVQGFREEMEDDIVIRSEALDSFSFAAVFDGHAGSSSVKFLREELYKGCVEALQVGSLLKGGDFGAIKGALVKAFESVDQNLLKWLETKGEEDESGSTATVMLIRNDVSYFAHIGDSCAVLSRSGQIEELTDSHRPYGSSKAAVQEMKRIKDAGGWIVNGRICGDIAVSRAFGDIRFKTKKHEMLKKGVDEGRWSEKFVSRIEFKGDMVVATPDIYQVPLTSDVEFIILASDGLWDYMKSKDVVSFVREQLREHGNLACEALAQVALDRRSEDNISIIIADLGRTEWKKLPVQRQNMVVELVQAAATVGIVTVGIWMTSLLS >A09p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20661661:20663007:-1 gene:A09p034220.1_BraROA transcript:A09p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVSEMLGTPLNFRVVSRPSAPLASIPATFKTVALFSKKKPAPPPKAKTVSAANDELAKWYGPDRRIFLPDGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPENFAKYQAFELIHARWAMLGAAGCIIPEALNKYGANCGPEAVWFKTGALLLDGNTLSYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDFEDKLHPGGPFDPLGLAKDPEQGALLKVKEIKNGRLAMFSMFAFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVIAGTAERAPTL >A10p028500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17679823:17680844:-1 gene:A10p028500.1_BraROA transcript:A10p028500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLKKPAKFSLRRVRINSPSIRFKPDSSSIERDQRIEFLGQNADDAGSTGEESKVQNRESQEAEDKQEEEIVKVVIKEEKKEQKEQDDVKSFQDGGAEGKKEAGVAVETAKEEEAEGGNRVMVVVDKALASTGALEWAITHTLQPQDTLFLLYFAQPFRKGERKNRKREVKTDELVHTLKKLSQTKRPGIEVEIRRLEGKDKEKGAKIVEEAKKQQVTLLVVGQEKKPPVWRLLKRWAWKRRRGHAGVLKYCLENASCMTIAVKPKKRKHGGYLITTKRHKNFWLLA >A08p046030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25135723:25137384:1 gene:A08p046030.1_BraROA transcript:A08p046030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSKSNEEPVYRPRLGMGCCGSKMGRRTLSGRIVSLHDLVSIPNRITSSGKSKSSCVFTQQGRKGVNQDSMIVWEDFMSKDMTFCGVFDGHGPNGHLVSRKVRESLPVRLLSFMHSIQSKQNGSASKSDSQEADEKKEASEEDKLKLLWEEAFLKSFSAMDKELRSHPNVECFCSGSTAVTIIKQGSHLFLGNIGDSRAILGSKDSNDSMVAVQLTVDLKPDLPREAERIKQCKGRVFALEDEPEVPRVWLPFDNAPGLAMARAFGDFCVKDYGVISIPDFSHRLLTDRDQFIVLASDGVWDVLSNEEVVEVVVSASSRASAARLVVDSASREWKLKYPTSKMDDCAVVCLFLDGKMDSESSYYEEQRSSSVESDESQRTEPCLQRNATVRSSSVESNSCGNENAETDDAEKEKATEGEQHWSGLEGVTRVNSLVQLPRFSGD >A06p033350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12097650:12099123:1 gene:A06p033350.1_BraROA transcript:A06p033350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLSLCTDRLITAESLESEKDSGESSRPQGKDVASSSSGDEAEDARKYYAVVAEEEPLLQAVECRICQEEDITNNLETPCACNGSLKYAHRKCVQRWCNEKGDIICEICHQLSLLFEQPYQSGYTAPPPPPPDETIIHIGDDWENGVHLDLSDPRILAMAAAERHFLEADYDEYSESNSSGAAFCRSAALILMALLLLRDALNLTTNPDDEDDPTAFFSLFLLRAAGFLLPCYIMAWAIGILQRRRQRQEAAALAAAEVAFMIHGGVPQRRGLHFAVAPEQPPPISNPPPV >A05p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30154616:30156640:1 gene:A05p052030.1_BraROA transcript:A05p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSSNGNAPAFEAKLTVYVFICVVIAAFGGLIFGYDIGISGGVTAMDDFLKEFFPAVWERKKHAHENNYCKYDNQFLQLFTSSLYLAALVASFFASAVCSKLGRKPTMQFASIFFLIGVGLTAGAVNLIMLIFGRILLGFGVGFGNQAVPLFLSEIAPAQLRGGLNIVFQLMVTIGILIANLVNYFTAKVHPYGWRIALGGAAIPAVFLLFGSLIICETPTSLIERNKNEEGKEALRKIRGVEDINEEYESIVHACDIASQVKDPFRKLLKPASRPPFIIGMLLQLFQQFTGINAIMFYAPVLFQTVGFGSEAALLSAVITGTINVLSTFVGIYLVDKTGRRFLLLQSSVHMLICQLIIGIILEKDLGTTGTLGKPQALVVVIFVCVYVMGFAWSWGPLGWLIPSETFPLETRSAGFAVAVSCNMFFTFVIAQAFLSMLCGMRSGIFFFFSAWIVVMGLFAMFFIPETKGVAIDDMRESVWKPHWFWKRYMLDEDDVEKRN >A01p058360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33132443:33134886:-1 gene:A01p058360.1_BraROA transcript:A01p058360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEQTQHSPTGHSHSPSSPASGSTSTETVRSRWSPKPEQILILESIFNSGMVNPPKEETVRIRKMLEKFGAVGDANVFYWFQNRRSRSRRRQRQLQAAAAAVTTNTCDQTMMVNTSLPQYSGADLGFGGCSTSSNYLYGGSSSQTPSFFLGLSSSPPCSSSSSTSSSASSSTSSYGGGYDNHSNNGMENLLAMSGQLGYHEASQHHYQHHSSNLSPMMCPSDQSSSYDHYQQGLTVFINGVPTEVTSGGIDMKAMFGEDLVLVHSSGVPLPTDEFGFLMHSLQHGEAYFLVPRQT >A06g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14531071:14531789:-1 gene:A06g504850.1_BraROA transcript:A06g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRLGEESSLRARSCLRNLTDRSLILKGSTNHSRDEVSQFADQVSLYSECTKHCYQIKMAMSLIAEEHVHWDNVDSELIRRIQVPNSKRTLRKCGCCSIWCFKKPTSD >A06p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17043653:17053525:-1 gene:A06p032030.1_BraROA transcript:A06p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAAGGFVSRAFETMLKESGGKKFPDLQKAIQAYQDGSKVVTQAASSSIDERSQAEGEGAKTGGEADEPQKVPSGEVAEQASQSKSGTINISLANAGHTLGGAEVELVLKPLRLAFETKNLKIFDAALDCLHKLIAYDHLEGDPGLDGGKNSAPFTEILNMVCSCIDNSSPDSTVLQVLKVLLTAVASGKFKVHGEPLLGVIRVCYNIALNSKSPINQATSKAMLTQMISIVFRRMETDIVSSSSSVSQEEHVSGDSSSLITEEIIAADQSEKEMTLGDALTQAKDTTLASVEELHTLVGGADIKGLEAALDKAVHLEDGKKIKRGIELESMSIGQRDALLVFRTLCKMGMKEDSDEVTTKTRILSLELLQGMLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQFSVIFQYASGIFSVLLLRFRDSLKGEIGIFFPIIVLRSLDNSECPNDQKMGVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKIAQGTQSADPNPAMASQTASVKGSSLQCLVNVLKSLVDWEKIRREAENSTRHVNEDSDSAREPIETKSREDVPSNFEKAKAHKSTMEAAISEFNRNSVKGIEYLIANKLVERNPASVAQFLRSTSSLKKVMIGDYLGQHEEFPLAVMHAYVDSMKFSEMKFHTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFIRMNATTDPEDCAPTELLEEIYDSIVKEEIKLKDDDSSVRKINSQRPGGEGGLVSILNLGLPKRISAADAKSETEDIVRKTQEIFRKDGVKRGVFHTVEQVDIIRPMVEAVGWPLLAAFSVTMEVGDNKPRILLCMEGFKAGIHIAFVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRILLALCDSEPDTLQDTWNAVLECVSRLEFIVSTPGITATVMHGSNQISRDGVVQSLKELAGRPAEQVFVNSVKLPSESVVEFFTALCGVSAEELKQSPARVFSLQKLVEISYYNIARIRMVWARIWSVLAEHFVSAGSHHDEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVIIMRNTQSQTIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDVESIVEKSFENVEQVILEHFDQVIGDCFMDCVNCLIRFANNKASDRISLKAIALLRICEDRLAEGLIPGGVLKPVNTNEDETFDVTEHYWYPMLAGLSDLTSDFRPEVRNCALEVLFDLLNERGKKFSTPFWESIFHRILFPIFDHVSHAGKDGLVSSGDVQFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSEGDWDMLLKSIRDASYTTQPLELLNDLGFDNPNKNLVVTGDIEADATDSPRVDHNPDENGKGSAQASPRVGIHGASQESGIQPKDDGSEGRPSSSGRSQKEGEDPIIQRSQTFGQRFMDNLFLRNLTSQPKSSAAEVSVPSSPHKQVDPAEPDNREEESPALGTIRGKCITQLLLLGAINSIQKKYWSNLKTAQKIAIMDILFSFIEFAASYNSYSNLRTRMIHISAERPPLNLLRQELEGTNIYMDVLHKTTTGLGDAASDTEDKLEGAAEGKLVSFCEQVLKETSDLQSSLGESTNMDVHRVLELRSPVIVKVLEGMCFMNNKIFRKHMREFYPLLTRLVCCEQANGHTRCISQPFHSTIEASFATVNDRSL >A08p014150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9462318:9464662:1 gene:A08p014150.1_BraROA transcript:A08p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAFSSSAATVAVASATVTSSARVPTLRGFVSFRLTAKKLPLRSHGGGGVRATSELVQDKEPVVAASIPFSDAEDTTPSELNHSRTFLDARTEQDLIAGIRKEKEAGTLPPNVASGMEELYWNYKTAVLSSGASGADETVLSNMSLAFDRMLLGVEHPYTFNPYHKAIREPFDYFHFVHTYIRPLIDFKNSYVGNASIFSELEDKIRQGHNIVLISNHQSEADPAVISLLLEEQCPYIGENIKCVAGDRVITDPLCKPFSMGRNLICVYSKNHMNDDPELVDMKRKANTRSLKEMATLLRSGSQLIWIAPSGGRDRPNPSTGEWFPAPFDPSSVDNMRRLVEHSGTPGHIYPMSLLCYDIMPPPPKVEKEIGERRLVGFHGTGLSVAPEISFSDVTADCNNPNEAKEAYSQALYKSVNEQYKTLNFAIKHRRGIEASTSTVSLSQPWNESLSV >A09p003480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2018677:2019012:1 gene:A09p003480.1_BraROA transcript:A09p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRISLALFLSLNLLFFTYTSAQGSCPKDSLQISLCANVLNVVELTLGNPSVPPCCSLIHGLVDLEAAACLCSALNVDIPGITSINLPIFLNVLLNVCGRPTPTSYHCVY >A05p039470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24143016:24143961:-1 gene:A05p039470.1_BraROA transcript:A05p039470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWEIVKFSVKCGGYWVKNHRGDVRTINCKPEELFNALAEEFGEGLTVQRVWYKLPNEEHKDRKIMSDGDPMFLNMCEAGKWRGMINIFLEESFDGKSRGT >A07p010860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6781566:6782366:-1 gene:A07p010860.1_BraROA transcript:A07p010860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMDGGDRLRVTLLDCMETGRSSLPGLTLEAILMADRNGTPPQPLPSRNQSNRTLLDVMQREHRHDYSSHRDKTAWKSLREKLRLKRNATVWILSNPIPSLNTPVLNRDNDSHQLGSLLSNSRNEGGQSQPESASSGGVTADGRLQLGAVLAEERALSAREEETPPVTADMQPARMSLMELLDENEGQMSLVGVGGDGEEEERGVVEETAAAAEISCCVCMVRSKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNTSISEILDLF >A03g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15912134:15915718:-1 gene:A03g504470.1_BraROA transcript:A03g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKWLLPVIASAAQPPSDTAGEPPPSLPPDPPDPSSPLTPEAATSILQSLYSGEVSKQVDLSKPTFTVPSGSEITTSHILSPQTFQTTHTPNLHTTITIPPKYSSPIHTNRASSGTNPTLTHHHPDPPSLEPITNQTQTQPPKQPKQTQPTLIEKLRTAGDKTLKRLAPVSVSPSGRPRILIPDSVFQKGADLHKDFVVCYFNGKPPPFNQIQSVFNYMWGKGKRLEIHNNPLNRESMFHTAQWSSEHSMSTPPLKAIKIWAHLTGVPLDLRYDEGLSLVAGPVGEPKETDDFTKNLVSLTVSHVKVEVDLTKPLPDVVEFERQNVYTPPPKAAPEPPSGAKKQDVKRQRKYQPKAKPSEQGSAVTPSPTPTVLPPDPVSHFEAALPVSKLAPSVAIVTDTMSPFPLSSSLVRSSSITSPVFSSLDPPPRPSLKRSRSSPTLSPSHQQLKINSLLSLTAPFEPSLPPK >A05g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7152838:7156570:1 gene:A05g502240.1_BraROA transcript:A05g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLAIFCVILIALFSLHEFVDGQGANAGFCVPVNCDTNDKNRSCATCHIASPRKTLIVDGQGANAGFCVPVNCDTNDKNRSCATCHIASPRKTLSFKSLAECKAGCKA >A07p051060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18499514:18503397:-1 gene:A07p051060.1_BraROA transcript:A07p051060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFYGGKLTTFEDDEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDCPWEFQPNDFVEGDTITGGDLYATVFENTLMTHRVALPPDAMGKITYIAPAGQYSLKDTVLELEFQGVKKSYTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEAALVAKFKKLYEDLSTGFRALEDETR >A06p045680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24483001:24485609:-1 gene:A06p045680.1_BraROA transcript:A06p045680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGRPVSSSDSVSGSIHQVSTRYERKEVKETVTEAETISSIVVPVARVDEIKEDNEKLTGESKRSNKADPRKSNPPKHLIGEQISAGWPSWLSEICGEALNGWLPRKADSFEKIEKIGSGTYSNVYKAKDLLTGNIVALKKVRCDVREKESLRFMAREILILRRLDHPNVIKLEGLVTSRMSSSLYLVFRYMHHDLAGLVASPDIKFTKQQVKCYMKQLLSGLEHCHSRGVLHRDIKGSNLLIDDEGVLKIGDFGLATFFDPRRRQQMTNRVVTLWYRAPELLHGVVEYGFGIDLWSAGCILGELLTGRPIMPGRTEVEQLHRIYKLCGSPSEEYWRKIKLPSTRKHAQHRPLPQYKRRIREVYKDFSPEAVSLMDTLLALDPAERKTATSASMSDFFTTYPLPCQPFDLPKYPPTKEIDAKRRDEEYRRIREKRKNAHESGRRKTKPRERAVRAMPVPEANAEVQSNIDRMRMITHANAKSKSDKFPPPHQDGLLGFPMGLSRRFEPSEIPFSSTSFTSYANEPLEMWSGPLAPVEFTDIAAETRRSGGCGGGSMRMDVKV >A06g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10817461:10821238:-1 gene:A06g503580.1_BraROA transcript:A06g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWEGGMDDIHHALLSISLLSKKKTLTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTTKRETEQRVPMAEDGGDGIDEEDWHTFALSETPLTIPLTQPKTKAIPHEVPDYSVSKVVRSKERLPTIPPSPGGIVITERGDPTRATNRQTGPNDKGKNKRPVEEETESESDSDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDDSEELPVDDGLHWGKFDEALHEMLNNPYTPAFFGRDAPPHVICVVHLWRNVMAKYKSSRLANLMFAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIGKNDICLTLVCRKEEARQTGNANVMAVRDTTGHPAATQYDKL >A09p030000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17843665:17845099:1 gene:A09p030000.1_BraROA transcript:A09p030000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G05180) UniProtKB/Swiss-Prot;Acc:Q41932] MAQAMTSMAGLRGASQALQTNDSNRLSISRVTVGSKRTGLVIRAQQNESVPESSRRSVIGLVAAGLVGGSFVKSAVAAEALAIKVGPPPPPSGGLPGTDNSDQARDFSLKLKDRFYLQPLSPTEAAARAKESAKEIINVKTLIDKKAWPYVQNDLRLRASYLRYDLNTVISAKPKEEKQSLKELTGKLFQTIDNLDYAARSKSSPDAEKYYSETVSSLNNVLAKIG >A02p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19189291:19191429:1 gene:A02p035130.1_BraROA transcript:A02p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRGDFTEDHDHTSLGTCIARDFGSYSTKSSRTVSRYDHIACRDVACYRLGASYRTKFLSRLPFHSCDERIAVAVAVAVPLILVDLFLLSGIVSVFVFHVDFGFGCFVLFKLVKMGDYTIQISSRLINQLAEGDNQPKRKAKKTKPKVSPQSNADQAKTHHDAEKPKPIAELPTQPPFFFPVPQQAAANTELESIKSILREGEKVLEKVERQERSIVHEVTERAKDLREKEFKIPESKPMPCSSDHEAWKKCYEENVDNPLKCSSLVMRFQDCARRFRQQVRSKET >A06p022460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12252020:12254622:-1 gene:A06p022460.1_BraROA transcript:A06p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2 [Source:Projected from Arabidopsis thaliana (AT3G47700) UniProtKB/Swiss-Prot;Acc:Q9STU3] MDAIKPLPQVSSFSASVFSFLDDRLRDAADLSQSPALVSELETEISELDQRLAALSRQLESGLASYASFSDRIGGLLIGVNAKLADLSSSRSASDGGKEEETREHVAGEELPSLAKEVAQVESVRAYAETALKLDTLVGDIEDAVMSSLNKNLRTSRSSGFEEVRLHAIKTLQKTEEILSLVAKRHPRWGRLVSAVDHRVDRALAMLRPQAIADYRALLTSLGWPPQLSNLTSSSLDSKSDDVQNPLFNMEGNLKSQYCGNFHALCSLQGLQLQRKSRQLGSHKGENVLFHQPLWAIEELVNPLTVASQRHFAKWSEKPEFIFALVYKITRDYVDSMDELLQPLVDKAKLAGYSCREEWVSAMVSSLSLYLVKEIFPTYVGQLNEPDLSSEAKVSWLHLIDLMISFDKRVQSLVSQSGILSLQEDGNLLRISSLSVFCDRPDWLDIWAEIELEERLVKLKAEMDNDRNWTVKVQDELISTSNVYRPPIVSSILLQHLSSIIERSKSVPAIYLRARFLRLAASPTIQKFLDCLLLRCQEAEGLTALTENNDLIKVASSINAGHYIESVLEEWCEDVFFLEMGNGQDNPQEAPGQENFTEPSEGIFGEEFEKLEKFRLEWINKLSVVVLRGLDARTREYIKNRKQWQEKRDKEWTVSRALVGALDYLQGKTSIIEENLNKADFTATWRSLASEIDKLFFNSILMANVKFSDDGVERFREDMEVLYGVFRAWCVRPEGFFPKLSEGLTLLKMKEKQVKEGLTRGEKWLRENGVRYLSEAEAKKIAKSRVFS >A03p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4975711:4977249:-1 gene:A03p012550.1_BraROA transcript:A03p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQGPITENPKVLECAITLIKPGGGIRLDIDVFFVYAQMEIKMQKVDRKIERNSLRGAREMARSVKLLLWSLLLLGTLTAIQAKKSKEKITNKVYFDVEINGKEAGRIVMGLFGKTVPKTAENFRALCTGEKGMGKKGKALHYKGSSFHRIIPSFMLQGGDFTDGNGMGGESIYGEKFADENFKLKHTGPGYLSMANAGEDTNGSQFFITTVTTSWLDGRHVVFGKVVTGMDVVYKIEAEGSQSGTPKSKVVIVDSGELPL >A01p001350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:515957:517242:1 gene:A01p001350.1_BraROA transcript:A01p001350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFSTRWFSEQELEENSIIQQYQMNSRVGEINEAQHNLPHSFSTLMAPTNDPSYDDLIEIKPSKILKTTYISPKLPPPPSFPLPPNSKTYLHHQPSSRILSFENASPNGMDHEYAPTYLDSFINPKVEDGVPPNRMNEPINRKGTKRAQPLCRNQTNAQDHIIAERKRREKLTQRFVALSALVPGLKKMDKASVLGDALKHIKYLQERVGEFEEQKRERRLESVVLVKKSKLILDDNNQSSSSSCCEDGSSGLELPEIEVKFSDKDVLIKILCEKQKGHVAKIMAEIEKFNLSITNSSVLPFGPTLDITIIAKKESDFDMTLMDVVKSLRSALSKFL >A09p050470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44322927:44323319:1 gene:A09p050470.1_BraROA transcript:A09p050470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKGEERERKRKRERERERSIPLKDDFEASKRRKTKRDQRVSHLQSQGNTLQCHISHLYQWVWDRHRTKAESERALVV >A09p079010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58270525:58272459:1 gene:A09p079010.1_BraROA transcript:A09p079010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGGGLSMPPNGDLDRQISQLMECKPLSEADVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNPSDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHNNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >A03p020370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8345061:8345470:1 gene:A03p020370.1_BraROA transcript:A03p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTGFMTVFAVSGSVVLLVAQLHKRLLSYHMEKLELQLDMKNKEKKKKKKKVSFAEDVMEPSGNNVEYRRKNRKSKLEDGRRRIQQTSQVL >A09p049190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43580196:43580966:-1 gene:A09p049190.1_BraROA transcript:A09p049190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF087 [Source:Projected from Arabidopsis thaliana (AT1G28160) UniProtKB/Swiss-Prot;Acc:Q9FZ90] MDFNGNSNAGSSSRSKKSNRQKQQQSQPQPQQHNEEIKYVGVRRRPWGRYAAEIRNPTTKERYWLGTFDTAEEAALAYDRAARSIRGLTAKTNFVYSDMPRGSSVTSFISPDESQRFIPELFNHSFQSEAPNNSTTNNLYSSTNNENQNSNEFSYNGWPHESECDYQSINTNDEHCDHELPPLPPSTCFGAELTYPETDSYGNVANTSMDYSFELDAFVDQNSLLRVELKGLTLHPPHSSTNNSEMSKFATFVVGI >A10p008820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5344649:5346841:1 gene:A10p008820.1_BraROA transcript:A10p008820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50390, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50390) UniProtKB/Swiss-Prot;Acc:Q9FK33] MEIPLAFYQSLRLDDNKSRSRDSSYSNFPRFSGYDFSLRRRRWKNPFARITCSSLVQGLKPKPKLKPEPIREIDQGPKDPVLHDTRISKSAVAICSQIEKLVLCNRLREAFELFEVLEIRGSVRIGISTYDALVEACIRLKSIRCVKRVHGYMLSNGFEPEQFMMNRILMMHVKCGMIIDARRLFDEMPERNLFSYNSIISGLVNFGNYLEAFQLFKMMWEELSDSETHTFAVMLRASAGLGSIEVGKQLHVCALKLGVVDNTFVSCGLIDMYSKCGDVGDARCVFDDIPEKTTVAWNNIIAGYALHGYSEEALRLLYDMRDSSTSLDQFTLSILIRISTRLAKVELTKQAHARLIRSGFESEIVANTALVDFYSKWGRVDTARYVFDKLPRKNIISWNALMGGYANHGRGADAVNLFEKMLAAKVGPNHVTFLAVLSACAYSGLSERGWEIFLSMSEVHGIKPRAMHYACMIEILGRDGLLDEAIAFIRRAPLKPTVNMWAALLNACRMHGNLELGRVVAEKLYGMEPEKLGNYVVMYNVYNSMGRTAEAAGVLDTLESKGLRMMPACTWVEVGDQTHSFISGDKCDSYKEAVKRQIYHKVDELMKEISEYGYSVAEDKNLLSDVDVNEEQEAVRYHSEKLAIAYGLVNTPEWNPLQLTQNHRICKECHKVVEFISLITGREIVVRDASRFHHFKEGKCSCGGYW >A09g515700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46290120:46290729:1 gene:A09g515700.1_BraROA transcript:A09g515700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEFTQVRPARRRQEQQNGGGGSMRNNEGRDMRGAKIMTGSRVKEARNGSAVEIIKVSNRFGGLGEEGETEKLEEEVGRDGANKENENTINLSSIGSSRMFGKDVSFAAKEGNEKHMSIKVVSSLVLGKSELTRKALSMAVKIG >A02g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23999171:23999778:-1 gene:A02g508930.1_BraROA transcript:A02g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKYLKPLLFLCVNSFVSTPKWRQEARRKGETSSGHKKKLKGDLTVKQLAPIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLSSKCTKISLSLTEDDDDDPVMS >A01p058390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33123495:33125405:-1 gene:A01p058390.1_BraROA transcript:A01p058390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-sulfocysteine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03630) UniProtKB/Swiss-Prot;Acc:O22682] MALSSSSLLRLLPHHPFTLTTSKRHRFLSFNHEPSSSSLVVAAVSSKPSTGTKPKSKSKSKPPPPPPPAPVTTVAHEVGTEESETVNIAEDVTQLIGSTPMVYLNRVTDGCVADVAAKLESMEPCRSVKDRIGLSMINEAEDRGDITPRKSVLVEPTTGNTGLGIAFVAAAKGYKLIVTMPASINVERRMLLRALGAEIVLTSPEKGLKGAVDKAKEIVLKTKNAYMFQQFDNTANTKIHFETTGPEIWEDTLGNVDIFVAGIGTGGTVTGTGSFLKMMNPDIKVVGVEPSERSVISGDSPGYVPGILDVKLLDEVFKVSNEEAIEMARRLALEEGLLVGISSGAAAVAAISLAKRAENTGKLITVLFPSHGERYITTALFSSIHKEVQEMSH >A02p027310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13260205:13261038:-1 gene:A02p027310.1_BraROA transcript:A02p027310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L35a-3 [Source:Projected from Arabidopsis thaliana (AT1G74270) UniProtKB/Swiss-Prot;Acc:Q9C912] MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTTEEVTWYKGKRMAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPKSMGMRVRVFMYPSNI >A03g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11463440:11464564:1 gene:A03g503460.1_BraROA transcript:A03g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAVLTCSSSMATSAKTACNVKKGGELMDGVMLLLDSKATLLAASSPSHHLQTSLDGSLGLTEPFSPNSRRTLQIPKSQSAAWPSQHKYPASRWLNLSAPGTGFNMDKGWCYVSNSRCTKKFQRMVSSFTCATFNNTTPLVSFGMLDPATT >A01p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1521619:1524589:1 gene:A01p003440.1_BraROA transcript:A01p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMT2 [Source:Projected from Arabidopsis thaliana (AT4G35300) UniProtKB/TrEMBL;Acc:A0A178V1T0] MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESNPSMEGLIVAMSLIGATLITTWSGGVADWLGRRPMLILSSVLYFVGSLVMLWSPNVYVLLLGRLLDGFGVGLVVTLVPIYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMPSPSWRLMLGVLFVPSLVFFFLTIFFLPESPRWLVSKGRMLEAKRVLQRLRGREDVSGEMALLVEGLGIGGETTIEEYIIGPADDVANDLDIAVDKDQIKLYGAEEGLSWVARPVKGGSTVSVLSRHGSTMSRRQGSLVDPLVTLFGSVHEKMPETGSMRSALFPHFGSMFSVGGNQTRNEEWDEENLVGEGEDYPTDRGEDSDDDLHSPLISRQTTSMEKDMHSGHGPLSTFRHGSQVQGAHGEGGGAGSMGIGGGWQVAWKWTEREDESGQKEGGFKRIYLHQEGFPGSRRGSIVSLPGGEGTGEAEFVQASALVSQPALYSKDLLREHTIGPAMVHPSETVKGSLWHDLQDPGVKRALFVGVGLQILQQFSGINGVLYYTPQILEQAGVGILLSNLGISSSSSSLLISALTTFVMLPAIAVAMRLMDLSGRRTLLLTTIPILIASLIVLVISNLVHMNSIVHAVLSTVSVVLYFCFFVMGFGPTPNILCSEIFPTRVRGICIAICALTFWICDIIVTYSLPVLLKSIGLAGVFGMYAIVCCISWAFVYLKVPETKGMPLEVITEFFSVGARQAEAEKNN >A09p074390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56436909:56439745:-1 gene:A09p074390.1_BraROA transcript:A09p074390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEKKPVGVDRIIEKKEDKISQLPDCLLYEILLNLPTKDVIKASLLCRRWRDLWQSVLGLDLEFKGPRDFDKYGFFYRFLDVKRDVCLQRIKLRYVRYKDNTYNETTIDTAIKQKIQHLDVGYVDPKRRKDIRLRLIKIPPTIYTSCERLVSLKLYRATLPTPPQSVSLPCLKFMDLKQIWFVGSLVMDMLIAVCPALETLTVDLLYGVEVPSPSLLNSRYIERIIMNDLSSIVKLYFVGLITSVENYLHLLTVISRVRELTISSDILTVHRKFSKSESLPQFHKLSFLSVKAINNFGFWEHLLVFLEKCPNLKSLVMDFKSYNYGLNFFDVPQCVLSSLEFVEVRAKDMADMKKIGRYFMANSTALKKFTLRLDQIEEEHYVILNELFALPRQSMECEVVVRCRAFGTCKPFSLFTCANGFVSQSCEARKVLMPYGASKGLFLSALPKGNVPPSAPSDKGHTSPPEDYSDHHTVPEISPEIYRRLGSVPSPGVGN >A01g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25212598:25217458:-1 gene:A01g509310.1_BraROA transcript:A01g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAMYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVWNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEEDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYINQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLIFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A07g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21954634:21956263:1 gene:A07g507980.1_BraROA transcript:A07g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLCPCFSNPQQLPNSPRDSFDEGLTGYRGHSNKLFALFTFRSRGKGSSRQKYITEEIKKYGNVKSSGKIFKFKELIAATDNFSMECMIGEGGFGRVYKGFLTSLNQVVAVKRLDRNGLQGTREFFAEVMVLSLAQHQNLVNLIGYCVEDDQRVLVYEFMPNGSLEDHLFDFPEGAPSLDWFTRMKIVHGAAKGLEYLHDYADPPVIYRDFKASNILLQSDFNSKLSDFGLARLGPTEGKDHVSTRVMGTYGYCAPEYAMTGQLTAKSDVYSFGVVLLEVISGRRTIDEDRPTEEQNLISWAEPLLKDRRMFTRIVDPNLKGNYPLKGLHQALAIATMCLQEEAETRPFMGDVVTALEFLARPIEVVDDTTNTDTTTPAPVSVTQTS >A05p022870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11032531:11035945:1 gene:A05p022870.1_BraROA transcript:A05p022870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WBC12 [Source:Projected from Arabidopsis thaliana (AT1G51500) UniProtKB/TrEMBL;Acc:A0A178W3S0] MELDSASNGRPSRPPPPPPAPAIGRGAYLAWEDLTVVIPNFSGGPTRRLLDGLNGYAEQGRIMAIMGPSGSGKSTLLDSLAGRLARNVIMTGNLLLNGKKARLDYGLVAYVTQEDVLMGTLTVRETITYSAHLRLSNDLTKEEVNGIVEGTIIELGLQDCADRVIGSWQSRGVSGGERKRVSIALEILTRPQILFLDEPTSGLDSASAFFVIQALRNIARDGGRTVVSSIHQPSSEVFALFDDLFLLSSGETVYFGESKFAVEFFAEAGFPCPKKRNPSDHFLRCINSDFDTVTATLKGSQRMRETPATSDPLMNLATSEIKARLVENYRRSVYATSAKSRIRELASIEGHHEMEVRKGSEASWFKQLRTLTKRSFVNMCRDIGYYWSRIVIYIVVSICVGTIFYDVGHSYTSILARVSCGGFITGFMTFMSIGGFPSFIEEMKVFYKERLSGYYGVSVYIISNYVSSFPFLVAISGITGSITYNMVKFRPGFSHWAFFCLNIFFSVSVIESLMMVVASLVPNFLMGLITGAGIIGIIMMTSGFFRLLPDLPKIFWRYPISFMSYGSWAIQGAYKNDFLGLEFEPMFAGEPKMTGEEVIQKIFGVKVTHSKWWDLAAIVLILVCYRILFFIVLKLKERAEPALKALQAKRTMRSLNKRPSFRKVPSLSSLSSRRHQALHSLSSQEGLTSPIH >A02p032700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17178011:17180697:1 gene:A02p032700.1_BraROA transcript:A02p032700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSPSSSGGGGPCGACKFLRRKCVPGCIFAPYFDSDQGAAHFAAVHKVFGASNVSKLLHHIPEHKRADAVVSICFEAQSRLRDPIYGCVSHIVSLQQQVVSLQNELSYVQAHLATLELPQPPPATVTSSGSLPPLSISDLPTITPSMYDLSPIFEPMSSTWPMQQQPRPSDHLFGVSQSSSIGGGGEFQAIAREFLHGGQMSAHQPPPETGGSAPTVIKRE >A02p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4474241:4475998:-1 gene:A02p010370.1_BraROA transcript:A02p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHDTYFFCISILLLHNTLTNVDALACNWGTQASHPIPPNIVVKLLRDNGFNKVKLFEADPGALRALGKSGIQVMVGIPNDLLATLASTVTAAERWVQQNVSQYISKYGTDIRHVAVGNEPFLKTYKDRFVHSTYPALQNVQAALVKAGLARQVKVTVPLNADVYESGDGLPSSGDFRSDIKTLMVSIVRFLADSVSPITFNIYPFLSLNADPNFPREYAFFPGGGGAAGGAKPVVDGSISYTNVFDANFDTLVSALEKNGFDANKVEIIVGEVGWPTDGDVNATPAMAQRFNQGLLNRIVKGQGTPRRKTAPEVYIFSLVDEDVKSVDPGKFERHWGIFSYDGTVKYPLSLGNGRQLVPAKGVRYQAREWCVLSPQAAAGNNGGASAEYACQLADCTSLGPGSSCAALDPAANASYAFNMYFQKMDHRRGSCVFDNLGFVTKIDPSRGSCRFPIEIDTSRHERLRPPPRSSGATEMKWMTVAAAAMAVGWTVFN >A04p022240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13395361:13400381:1 gene:A04p022240.1_BraROA transcript:A04p022240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARRFGLNNELDVGQILSEARNRWLRPPEICEILQNYQKFQISTEPPTTPASGSVFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKAGSVDVLHCYYAHGQDNENFQRRSYWMLQEELSHIVFVHYLEVKGSRVSTSYNRMQRTEDSTRSSQETGEVYTSERNGYASGSINQYDHSNNQSQATDSASVNGVHTPELEDAQSAYNQQGSPILYSHQALHQPPATSFDPYYQMSLTPRDSYQKEIHTIPSSTMVEKSRTINGPVVTNSIKNKKSIDSQTWEEILGNCGSGGEGLPMQPHSEHEGLDQMLQSYSFTMQDFASLQESIVKSQNQELNSGLTSDRSMWLQGQAVDVEPNALSNLASSEKAPYLSTMKQHLLDGALGEEGLKKMDSFNRWMSKELGELGDVGVTADANESFTHSSSTAYWEEVESEDVSNGGYVMSPSLSKEQLFSIIDFAPNWTYVGCEVKVLVSGKFLKTAESGEWCCMFGQTEVPADIIANGILECVAPMHEAGRVPFYVTCSNRLACSEVREFEYKVLESQGFDRDTDDSSTACNSIESLEARFVKLLCSKSDCTNSSLPGGNDSDLSQVSEKISLLLFENDDQLDQMLMNEISQENMKNNLLQEALKESLHSWLLQKIAEGGKGPNVLDEGGQGVLHFAAALGYNWALEPTIVAGVSVDFRDVNGWTALHWAAFFGRELIIGSLIALGASPGTLTDPNPDFPSGSTPSDLAYANGYKGIAGYLSEYALRTHVSLLSLNEKNAETSLGGAVEAAPSPSSSALTDSLTAVRNASQAAARIHQVFRAQSFQKKQMKEFGDRKLGMSEERALSMLAPKTHKQGRGHSDDSVQAAAIRIQNKFRGYKGRKDYLITRQRIIKIQAHVRGYQVRKNYRKIIWSVGILEKVILRWRRKGAGLRGFKSDALVTKMQDGTEKEEDDDFFKQGRKQTEERLEKALARVKSMVQYPEARDQYRRLLNVVNDIQESKVEKALANSEEATCFDDDLIDIEALLGDDDTLMMPMSSTLWNA >A09p064210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51831424:51838779:-1 gene:A09p064210.1_BraROA transcript:A09p064210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISQLTDDLLIRILSRVPTKHVMATCCLSKRWLRLWSLVPKLDYADSSYSNENYATFTQFVYRSLMSNKAPVLETLDLNLGSKCQAIDVGNWIETAVVCHRVQAIIAIIRPSNERGTMISLPSSMYTCETLETLELYDCFRLDVPFSVRLPSLKTLKLVDVDYADNKVSSLTSSGGPRNVFNLCVSIAPPLTYLGPPLLTRLLSGCPNLDFLLVHHDNLDVALMEHQLEFTSIETPESWKRPSSVPECFLHSFEIFEWEGYKGRRGDLDMATYVITNATRLKKSNFSSQPHDDSETDRIKRDLNSLQAASPHLMFLSQERNKRQRVET >A08p045850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25070713:25071947:1 gene:A08p045850.1_BraROA transcript:A08p045850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIFISLALASLLVGVVSARDWNILNQLKGLSSSSSQNGIVSSGVSTNLKRYCESWRINVEVHNVRNFDVVPQECVSHVKKYMTSSQYEDDVERAVDEVILHFGSMCCTKNKCDGMDAWIFDIDDTLLSTIPYHEKNGFFGGEKLNSTKFEDWMRKRKAPPVPHMVKLYHDIRERGIKIFLVSSRKEYLRSATVDNLIQAGYYGWSNLILRGIEDEHKEVKQYMSEKRTWLMGLGYRVWGVMGSQWSSFAGCPLPKRTFKLPNSIYYVA >A08p018600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12808775:12809747:-1 gene:A08p018600.1_BraROA transcript:A08p018600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGIGISPGNVASYHGGKMKLIDQRLRLTEVLLRCSVSVFALLALILMVTDTQVKRIFVVEKRAKYTDMKSLVFLVVANGIAAAYSSLQSVRCVAGSMKGSVLFSKPLAWAIFSVDQAMAYMSVAAIAAASESGVIGIRGEEKLQWMKVCNMYSKFCYQGAGVVASASIASIAMVIVSCISAFSLFRLYGATQRRLNLAVMK >A08p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1584811:1585154:-1 gene:A08p002900.1_BraROA transcript:A08p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYESKPTTGVWWDINTCPVPEGYDPRHVRPSIEAALFKLMGPHPVVIYCIGNLKYISRSLLEEISSSGIRFKHTPFDSVPVRTRVEPKADNKNAAV >A10p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12764397:12766177:1 gene:A10p018220.1_BraROA transcript:A10p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVLSLLMLSSFSAISFTHADSGMIGVNYGRIANNLPAPEKVVELLKSQGINRVKLYDTDKSVLTALANSGIKVVVSLPNENLAAASADQSYTDNWVQENVKKYTPATDIEAIAVGNEVFVDPRNTTTYLVQAMKNVQSSLVKFNLDESIKVSSPIALSALANSYPPSSGSFKPDLIEPVIKPMLDLLRKTSSHIMVNAYPFFAYAANADKISLDYALFKENAGNVDSGNGLKYNNLLDAQIDAVFAAMAAVGFNDVKLVVTETGWPSAGDENEIGAGSANAAAYNGGLVKRVLTGNGTPLKPKEPLNVYLFALFNENQKTGPTSERNYGLFYPNENKVYDVPFSAKSTPVNDGKDKVPVKTPSSHVGGQTWCVANGKTTKEKLQEGLDYACGEGGADCRPIQKGATCYDPESLEAHASYAFNSYYQKNARGVGTCDFGGAAYVVSQPPKYGKCEFPTGH >A09p061590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50714387:50716435:1 gene:A09p061590.1_BraROA transcript:A09p061590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSLSESLCITLSHSPIVYHQSHNHIFSYCHVSVSALTILYLPQDNLSLVSCLHHYPLYRALCFFFLFDQPLQKTLSMETSVTCYSHGILLPRVSSQRSSSLVSPPSFSTSSSFKGLKSSSIFGDSLRVAPRSQLKATKAKSNGASSVTKCEIGQSLEEFLTQATPDKGLRTLLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVLAVKGFPGTHEFLLLDEGKWQHVKETTEINEGKMFSPGNLRATFDNSEYSKLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLVENAGGFSSDGHNSVLDKTIVNLDDRTQVAYGSKNEIIRFEETLYGTSRLKNVPIGVTA >A08p046350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25248620:25250828:1 gene:A08p046350.1_BraROA transcript:A08p046350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCLNPGLLPAIKAVGSGVSGCGGVVEVRATASQRKRRPFGFSFKHPLTPFWSRGGGIAWRRRSGLALDDAVLDDSRQTIAEEEPSERRNGSWISKILDVQSMWRDGELEEEDDDDEEEDDAVLSEAEECGCSVLEDDGDEENKFQMHRETFSKLLKRVSLSESKLYAQMSYLGNLAYSISKIKPANLSKYYGLRFVTSSAEKTELALKAQVSGETKPKEEDEEEEETEDRMISASAAYEVVASAASYLQSRTTNILPFTDEEESSSSSSLTSSVTCVVAAEEDVKQAVADDLNSTISSPCDWFICDDDQTLTRFFVIQGSESLASWQANLLFEPIEFEELDGAIVHRGIYEAAKGMYEQMLPEVQAHIKAHGNRAKFRFTGHSLGGSLSLLLNLMLLVRGEVPASSLLPVITFGAPFVLCGGDRLLKKLGLPKSHVQAIIMHRDIVPRAFSCNYPYHVAELLKAVNGNFRSHPCLTKQSMLYSPMGELLILQPDESFSPGHDLLPPGNGLYLLTTGGFESLDEEEEEQRLRAAQTVFLNTPHPLDILSDRSAYGSSGTIQRDHDMNSYLKAVRSVIRKEVSQIRKLKREHRRSLWWPILVATESGRTSGTAIGQINGQDFAGMMKTGRKSLQRFSRLVASQHMPLILVLLFPVKLLFLEAFNVLSFR >A03p065260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28491343:28495869:-1 gene:A03p065260.1_BraROA transcript:A03p065260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSIDGETEPTRKTYLRLCRYRWDWPLLVSSSVGLAVAGGLPRLCRRSVERGKGFLKMGGNRVWFGDLRKEIGTIRFLDGTTGKYFKCSRRYSWVGIGDDVPIAPKPLRNLSVSLSAAMEMHDSLQVHRLPQSKYVDGVRWLPQASALNRFFAAAYHDPDSESSSIEIQSLDPNPNNSPSVVSLSSWTSPSRVSSLEVVGGGSFKPMVSAATSSGSVHVLMVDLVEGGVIGEVYAAEGEMFHVGRVEGVDWREGGECVTVGDDGRVNVVTVVNGGEGLRYRRVFDGNGLVGYRAVKWASPSEFVTGGYGFGLQLWDQRKSGEAVSQLKGSWFQGKTSAVVHSIDIHPSRKHTCIAGGSSGTVFAWDLRWPKQPIVLSGVGASEGINNPLSESEVWEVQYDSYTKSNISSSRILPVMTCSEDGILGVIEQGEEPLELLAEPCAINSFDIDRQNPQLRVGINSSLLKALAKKIRSSMKESEEGDTSLTSNQSLTRDKKRSRPPITSVQDIKLVITLIGSASSSIKAPLLQPLRDMVERSHSFKLPGAEINDLRMALYERKEVVERLQDELDAEREASATSASEAMSMILRLQGEKAELAMEAGQYKRIVEEEMSHAEMSFALLEDFIYQKEVEITALEHQVEVYRSQLMSLGYSDLNSLDDVKLQGSLSDRSQTPSPELVDDLSIPVEKEVIEQSLDSQKSSLDVYWEQIKKLDEQVKELTHFRDSMQYKSSMSESRVNKREEDVASSSKGLCKSEETLVIKVAKQTKMDKKSPKQTRDRSGKRNRGEYQAELQRLRQRVDRLERERTKTEPETSGVVEEEEISLVREVKEEVSSVQSYEVKSSNTVENLQPWIDPAILSIQEAMLYFWL >A01g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9803268:9804300:-1 gene:A01g503040.1_BraROA transcript:A01g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVLCIFGMGLYSFASLIPSSTVTLYFGNSSSAQYFKNGIEEMAGRTLRCVALAFRTYELEKVRMVTGDNIQTTRAIALECGILTSDADASEPNLGMGTCIRLNMKPHQNWKQRFSIKRRSSRSFLRVMQDMYMNLFFGDEVFKYFRSYDS >A09p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000059.1:7938:11712:-1 gene:A09p036780.1_BraROA transcript:A09p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERQFSAGTRKSIKTEQEEIDVLKPKKEKHCLKSEDAMTCRPPIGFRGVTERYAWQTLIGWKKTWRPSYEASRRRDLATKQRENLGRKTRASDCYALSLDVRGVGRAHGQALHDDAAPLGHDIKVIANWNGLVKSLDLFLILGRTGLTLETVQMSNQGSGAGGSFGTSGQDGSRYANYHNFWDAPNSANQTGDSGPRPMEGVERAHSEENVSPVAWRLSVSPPNSQHVESEPFVGPQRPHSSHADSEGSTSIRINSRPAELATPTPAPAPAPAEGSRPADPTLSMVHNLLSKVLTNKVTPSPEANQPETRQPDFLKYVLTMKNMVSPRMISCKPTRRKGQFKRHGKKPKAQVTCYNCGQLGHYSRECTNSTAEKTDWKALVTCYSCGEKGHFVNECTVNRPGQGRGSSARTQPNRPTREHPAGSPASEGRVYALEMEETPSSAPGPSRGPMTGSLLVGGTPAVVLFDSGATLSFVNPVVASRFVGTLVTFDIDIS >A01p056550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32089153:32092601:-1 gene:A01p056550.1_BraROA transcript:A01p056550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVALLVKVAGLFATITVGANAFSYTRFRRRNLGKIRSPIDESKEVLADFNSHEHKEGKFFFGLATAPAHSEDELDDAWLQFAKETPSSASDAVPGSEAANRKKIKLAVGAITKGLAKNKHIKEVKAASADDKPPANNVAAWHNAPHAEDRLMFWSDPDKEVKLAKETGVTVFRMGIDWSRIMPKEPTEGIKEAVDYEALEHYKWILNRVRSNGMKVMLTLFHHSLPPWAADYGGWKIEKTVDYFMDFTRLVVDSMFELVDSWVTFNEPHVFTLLTYMCGSWPGNNPDFMEMATSTLPMGAVTISNSLTVYPYIDSISKKLDFIGINYYGQESVCGVGIKLVETDEYSESGRGIYPDGLYRVLLMLHERYKHLKIPFIVTENGVSDETDVIRRPYLIEHLLALYAAMLKACGFCTKYERNWNFGFRFGFGFEFGLGVPVLGYIFWTVSDNWEWADGYGPKFGLVAVDRSNNLARTLRPSYHLFSKIVKSGKITRKDRSLAWNELQKAAKSGKLRPFYRAVDNHGLMYADGLDKPQWRPYVDRDWRFGHYQVEGLQDPLSRVARVLLIWPLIMNKRIRKVKVKHTDETGAAYASPFN >A04p008020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8561285:8564604:1 gene:A04p008020.1_BraROA transcript:A04p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKSSFFPALTKIVGTLGPKSRSVETLSGCLKSGMSVARFDFSWGDAEYHQETLDNLKIAVKSTKKLCAVMLDTVGPELQVINKSEKPISLKADGLVTLTPNQDQEASSEVLPINFDGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDEIKGDDVICLSRNAATLAGALFTLHASQVHIGLPTLTEKDKEVISTWGVQNKIDFLSLSYCRHAEDVRQTREMLKKLGDLSQTQIFAKIENVEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRVKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKQAGVIKSHDRVVVCQKVGDASVVKIIELED >A01p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6907509:6909075:-1 gene:A01p014200.1_BraROA transcript:A01p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAINVSSSSSSAISTSSFPSSELKAPRIGSLRLSDRVNVSTASLSLSGKRSSSVKPLNVQSIAKESFVPSQAASVVASEVTEKLDVVEVEDFEELAKSLETASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYRLFDTVEKHYGIRIEYMFPDAVEVQALVRNKGLFSFYEDGHQECCRIRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGAGSLVKWNPVANVEGNDVWNFLRTMDVPVNTLHAAGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKENSNGNANANVNGTSSTVADIFKSENVVSLSRQGIENLMKLENRKEAWIVVLYAPWCPFCQAMEGSFDELADKLGGSGVKVAKFRADGDQKEFAKRELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFLNLVR >A09p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14913613:14916038:-1 gene:A09p026050.1_BraROA transcript:A09p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTEAPSVAVVKSQVVEDAIGSTADVSQPPSHEVDSLRVAGCSDGVVVVSEIPCLTPSDDDFDHGEDHGDNDDVVVVVPKDDELKQKIIRQAISFYLLSSFYYVEYYFSDENLPTDKFLLNAMKKNKKGFVPISTIATFHKMKKLTRDHALIVSALKESSFLVVSSDEKKVKRVSPLPEVRDPKIFTVLVENLPEDHSDENIRAIFGKAGSIKSVSICDPNAVEESEKGCKKDKFIRTRLHAFVEYESVEAAEKAAATLNNEQDWRNGLRVKLLEQTGKFAQRRPGRKEVDTVKDNTGQVHDQIGGEENKKSNEHQHHRHHHSDTPADNDSGDKNGNKTKSRGRGRRQNNQGGNGHGSSPSTSSSLHHNYHHHHVEVSKPPPGPRMPDGTRGFTLGRGKPLPAPTSAQTSHEA >A10p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:390312:393342:1 gene:A10p000790.1_BraROA transcript:A10p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRKRTTPASRTRVGNYEMGRTLGEGSFAKVKYARNTVTGDIAAIKILDRDKVLRHKMVEQLKREISTMKLIKHPNVVEIIEVMASKTKIYIVLELVNGGELFDKIAQQGRLKEDEARRYVQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSAFSLQVREDGLLHTACGTPNYVAPEVLSDKGYDGAAADVWSCGVILFVLMAGYLPFDEPNLMTLYKRICKAEFNCPPWFSPGAKNVIKRILDPSPITRISIAELLEDEWFKQGYKTPSFEQDDEDITIDDVDAAFSNSKECLVTEKKEKPESMNAFELISSSNEFSLENLFEKQAQLVKKETRFTSNRPASEIMSKMEETAKPLGFNVRKDKYKIKMKGDKSGRKGQLSVATEVFEVAPSLHVVELRKTGGDTLEFHKFYKTFSSGLKDVVWNTDGAAQEHKV >A03p061610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26743426:26747352:1 gene:A03p061610.1_BraROA transcript:A03p061610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRIIEADDSGWFTFIWDPSWQRRRWLRTFPTAKMAAKAYDDAAAKLLDSTKMVNPENIPSCDVKPSRLTRDQEHVIMVSALRQVISNDRGDNSSTNAVAFESLHQPFDAGPCPLCNITGCSGCAFPVHVEIDKEKKHKGVRQKPS >A01g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13152288:13153424:-1 gene:A01g504270.1_BraROA transcript:A01g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGVDQVNCDGQGEYSDQPNPCDGSEPRVIQNRIVYSLSRKSRIEVNWSCDMDQGHEDTIMGSHPGGRVTACSVGCFILEYLMEMM >A09p024690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13694254:13696507:-1 gene:A09p024690.1_BraROA transcript:A09p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKSKIVPTMKKLFERSPANKDVVAEASKTPAFDDSKEAINKEIEDKKTELEPKVLDIYEATSAELKALVREPKEDGLTKHSAEVNKFLEALVEIGFPGSKDACELSSTSSGPVTFIFEKVCVFLPVEEKSREVENVEEVAKTEEPSKEEDTKPAKEDEIITTAEKEKETVEEKKEEVLPALVPVVAAAEEEKPAVEEEKKPAVEEEKKPAVEEEKKEAVEEQKKPVEEVNKEVVAAAAPVVETPSTNVTAALVVDAPAKAPES >A03p051920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20323980:20325515:1 gene:A03p051920.1_BraROA transcript:A03p051920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHSTDDSEPGGAMPVQQRDDAASSSDSASAVSNHTITITVYHGSSDHHLHVPQHATFGDVKKALVLETGLEATDLKILFRGDEKDDAEQLQAAGVTEGSVLVLVDEPNKGVDHVEPPPVMTEEIAKAIAAVLAVSGEVDELSDRVGALEAAVDGGTIVAVKEFDMTAELLMRQLLKLDGIKADGEARLQRKAEVRRVQKLQEDVDTLKARCYRAKPQL >A05p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:311097:313177:-1 gene:A05p001580.1_BraROA transcript:A05p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGGAYLDGMNNTVRKKRSLTCRRPRLEGSTPSDNLSKISSDDIPAFDTNPTRKEFSLSHCISRADSIAESQRGNNGTLRREINKRSTEGVLAPASWKNTSREDEGNGRINGKATALGELEGETKRMKLKISVHANGSSRKSSKPVNNTTNNDLEESSASPLDRKAGLEGVNRNPEKDETGRSKQGGPSGSVRKSKRAPKKRVFESNDDSDDEIRYLEKLKYRNVSVCNEETESVRRLLKPSNGEQKNKTASEKVSEEMDYEEEELDSVADEKEIGNEVKRQSTMTSRQRTLASASGKSSAIEFPDGLPPTTSRSMGKKENLSEMEQQLKKAEAAQRRKVQIEKAARESEAEAIRKILGQDSSRKKREDKIKKRLDELAQEKAAHEERASTSYIRTIMGPNGTTVSFPIDKVPSLFDPKPFSYPPPRENCAGPSCTNSYKYRDSKTKLPLCSLKCYKAVQQQQTAPV >A01p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3014637:3016890:-1 gene:A01p005790.1_BraROA transcript:A01p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCLTEETAKSVLRQVEFYFSDSNLPIDDFLKKTVRESDDGLVSLGLICSFSKMRAYLKLGDSKGDEVPEDTIKAVADTLRTSSALKVSEDGKKVGRSTELLKLEDLIEQLNARTVAASPFSYDVKREDVEAFFSQYGKVNSVRMPRHVAETRVFCGVALVEFPTEEDAQNVMKQSLVFAGLELEMKPKKEFDDDREKDEEKFANYRPQKASANQKNGSENRNGSESEANYPKGLIISFTLKRSAEEGATEKSSEESADKKMDESESKTEDNPAEDKENTDQAQGQGTEGEDDDDESSDSPAKNGEKEEKGGALATHKDNKDVVLREDLKAVFGKFGEVKFVDFKMGSETGYLRFDEPEASQKARAAAVLAKEGGLAVKNFIAVLEPLTGEAEKEYWGLLRSKDRFDKGGRGGRGGKRGGGRFGRKRGSDSPGGRWNKSQKVEA >A02p059580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35556039:35557096:-1 gene:A02p059580.1_BraROA transcript:A02p059580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPCRYAFLSGSNPVRISRSNNLCFAVRTSHRNAKQFPQPILLLRHVTTPRHQSDCRAHASSTESYEDSSSSSSDGFDVSSFVSVAEVLCIVSSAVVSVVLAVNYLVVGEIGRKVLCLGFVGLLGSVASGSWLRRRQWMRICRGMSDGEGTNLIRRLEKLEEELKTSTTVVRVLSRHLEKLGIRFRVTRKALKQPISETAALAQKNSEATRVLAAQQEILEKELGEIQKVLLAMQDQQRKQLELILTIAKNGKMFESTSSKQASD >A09p006360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3339276:3340277:-1 gene:A09p006360.1_BraROA transcript:A09p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGALIKEEKRAATTSSSSSSSSQVHMPKDIDWQMLDKPRFFFLGAALFSGVSTALYPILVLKTRQQVSPTRLSCANISLTIARLEGLKGFYKGFGTSLLGTVPARALYMTALEITKSSVGHATVRLGLSDTTSMAVANGAAGLASAVAAQVVWTPVDVVSQRLMVQGDVSLSSCRYTNGFDAFRKILCTDGPRGFYRGFGLSILTYAPSNAVWWASYSLAQRSIWSRLKRQDGGGSVVVQALSAITASGCSALITMPVDTIKTRLQVLDAEENGRRRAMTVMQTVRSLMREGGFGACYRGLGPRWVSMSMSATTMITTYEFLKRLAVKKQT >A01p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1300095:1316816:1 gene:A01p002820.1_BraROA transcript:A01p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSARLVEPDLPTQTRLEMVVEVRDSLEITHTADYSSFLKCYFKASSLILLKITKPQFVDNLDHKLRNVVLEILNRIPHSEVLRPYVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPSLENEVQPFLDFVRKIYQNFRLTVSDFLEEVKTEEAKPVEIASPSDQSLSLTTHFRNGKIKPSTQSFKIVTESPLVVMFLFQLYSRLVQINIPTLLPLMVAAISVPGPEKVPSRMKHQFVELKGAQIKTVSFLTYLLKSYAEYIRPHEESICKSIVNLLVTCSDSASIRKELLVSLKHVLGTDFKRGLFPLLDTLLEERVLVGTGRACFESLRPLAYSLLAEIVHHVRADLSISQVEPIFEKGVDQQLMDEARILLGRILDAFVEKFNTFKRTIPQLLDEGEGKNLTTLRSKLELPVQVPAEHSKEVNDCKNLVKTLVMGMKTIIWSITHAHMPRPQGMHPQALASHSSVTQAFKGMREDEVRKASGVLKNGVHCLALFKEKDEEKEMLNLFSQILAIMEPRDLMDMLSLCMPELFECMIDNTQLVQIFATLLQAPKVYKPFADVLINFLVSSKLGVLKNPDSAATKLVLHLFRCLFGAVSKAPSDIERILQPQVPVIMEVCVKNATEVEKPLGYMQLLRTVFRGLAGCKFELLLRDLIPMLLPCLNMLLTMLEGPAAEDMRDLLMELSLTLPARLSSLLPYLPRLMKPLVFCLRGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVISEVILALWSHLRPVPYPWGGKALQIVGKLGGRNRRFLKEPLTLECKDNPEYGLRLIWTFEPSTPFLVPMDKFINLAVAAIMQKTPTTEVNYRKQALKFLHVCLLSQLHLTGNVIDEDQTRKQLSVLLLSSAESSWRRSESTEVKAELGVKTKTQFIAEKSIFKMLLITIISASSDPDLRDADDDFVVNICRHFAIILHGDCTSSYASTSTGRPGGSVISASSRPKNNWSSNLKQLDPLIFLDALVDVLADENRLHAKAALTALNIFAETLLFLARVKHADVLVARGGHSASMVVSSPSTNPVYSPHPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVLGLGALVGKVNVDILCLFQVKIVRGLFYVQKRLPVYARKEQEETTQVLMQILRVVNNVDEANSDARRQSFQGVVGYLATELFNPNASITVRKNVQNCLALLASRTGSEVSELLETLYQPLLQPLIIRPLRSRTIDQQVGSVTALNFCLALRPPLLKLTPELVSFLQEALQIAEADEGVWAVKLMSPKVLTSLNKLRTACIEILCTAMAWADFRTPSHNELRAKIISMFFKSLTCRVPEIVTVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLNMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKTGEEPKIAAAIIELFHLLPPAASKFLDELVTLTIDLEAVLPQGQVYSEINSPYRLPLTKFLNRYATLAVDYFLSRLSEPKYFRRFMYIIRSEAGQPLREELAKSPQKILSCAFPEILPKSDALLSVAASSSGDENLVSTQSESSNRTSTKSDIASDAYFQGLYLIKTMVKLIPSWLQSNRTVFDALALLWKSHGRTARLQNEQELNLVQVKESKWLVKCFLNYLRYEKSEMNILFDVLSIFLFHSRIDYDFLREFYIIEVAEEYPSNLKRALVLHFLNLFHSKKLGNDHLVQAMQMLILPMLTYAFQNGQTWEVIDPNIVKTIVEKLLDPPEEVSAEYEEPLRIELLQLATLLLKYLQGELVHHRKELIKFGWNHLKREDSPSKQWAFVNVCHFLDAYQAPEKIILQVFVALLRTYQPENKMLVKQALDILMPALPKRLAFGDSRVPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPSNTTAENRRLAIDLAGLVVSWERQRQTEIKVVIDGNATSEVGDGLHPSSVVVSKLPSDVSSISENPTRRIKIEGGIQSLCVMSPGGASSLRSIETPGSATQPDEEFKPNAAMEELIINFLIRVAVVIELKDREANTMYKQALDSLSQALEVWPNANVKFNYLEKLLGSMLPSQSDPSTALAQGLDVMNKVLEKQPHLFIKNNINQIAQFLELSFKHKMLDAGKSLCSLLKMVIITFPQDGASTPPDIKLLYQKVSDLIQKHVHTASQASGDDNSLGSVSFVLNILKTLAEVQKSFVDPYVLVRILQRLVRDLGLATGAHPRQSQRTDSDSAVTSSRQAADVGAVISNIKSVLELIDERVMLLPDCKRPVTQILSTFLSEKGIDSSVLLCVLDMLKRWTEDGFGKIGSSGFSGAFLNQKDIIYFLQKLSQVDKQHFSSVSLEEWDNAYLQLLYGLCADSTKYPPGLRQEISLKVERHSMPGLRARDPDMRRKFFLLYHEFLGKNLFARLQYILQNQDWEAMSDVFWLKQGLDLLLAILVEDKKIILAPNSASIGSFLASDNPEIHHQPPAIPEGPEEVASMFDSIVRKHAQFLSEASKLQVADVVIPLRELAHTDANVAYHLWVLVFPIVWATLRKEEQRALAKPMISLLSKDYHKKQQGQRPNVVQALLEGLQLSQPQPRMPSELIKYIGKTYNAWHLALAMLETHVMLFMDDSKCAESLAELYRLLNEEDRRFGLLKNRSITAESRAGLSMVQHGFWQRAQSLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLHCATQLGQWDALVDFGKTTDNYEILLDSLWKVPDWAYLKDHVIPKAQVEETPKLRLVQAYFALHDKNANGVGDAESIVGKGVDLALDQWWQLPEISLEARVPLLQQFQQLVEVQESFRIYVDIANGSKVSGNAAVGGQGYQYADLKDILETWRLRTPNEWDNMTNWYDMLQWRNEMYNIVIDAFKDFITSNTQLHHLGYRDKAWNVNKLARIARKQGLSDVCVQILDKMYGHSQMEVQEAFVKIKEQAKAYLETKGELATGINLVNSTNLEFFLAKNKAEIFRLKGDFHLKLNDTDGANLAYSNAISVFNNLSKGWISWGTYSDMAYQETGDEIWLEYAVSCFLQGIRFGVSHSRSHMARVLYLLSFDTTNEPAGRIFDKHLDQVPHWVWLSWIPQLLLSLQRAEAPHCKLVLLKIAAVFPQALYYWLRTYLLERRDAANKTELSRVIMAQRMQQNVPGVSVGNLSSETQARHGAESSGALGTHDNGNPHSQENERSTLDNSSTISENSQNAAIRNSASLAIAAAGAFDAAKDIMETLRSKHTNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATNAEVPQPLKKELSGVCRACFSADAVTKHAEFVREYKQDFEQDLDPESSSFPATLADLTIKLKEWKSILQSNVEDRFPAVLRLEDESKVLRDFNVVDVEIPGQYFADQEVAPDHTVKLDRVAADIQIVRRHGSSCRRLTLVGSDGSQKHFIVQTSLTPNARSDERMLQLFRVMNQMFDKHKESRKRHLGIHTPIIIPVWSQVRMVEDDLMYNTFLEVYEYHCERNGRESDLPITYFKEKLNQAISGQISPEAIGDLRLQAYGEITKIIVSDSIFSHYMYKISMSGSHHWAFKKQFAVQLAVSNFMSFLLQIGGRSPNKILFAKDSGKILQTDFHPTYDPNGMLELNEPVPFRLTRNIQAFLSHFGVEGPLMSNMCAASQAVFSSKQKEHIRYQLAMFFRDELLSWFGRRPLGMPIPPVTGVASLSSAELKHKVDSNVDDVIRRLRGIAPQYYSEEDENSIEPPQSVQRGVNELVEAALSPRNLCMMDPTWHPWF >A09g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10382326:10382589:1 gene:A09g503200.1_BraROA transcript:A09g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKIHCTCKKLFYGRSQDSSDTEISDDEQSTVQEPEKVDQSGRVNLLAALFKKTFSEVKTKAKAVSSKEDG >A05g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6022483:6024647:-1 gene:A05g501730.1_BraROA transcript:A05g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHPYRISFLTTTRVRSSEKLPEDLAGFEPVKYTEVLDGTLNPDYLVDVIGQIVEISHIEHVNVNGKETEKISLEFDERLPMVLWGKFASDVSEAMQVRDEHLTVLVLRFAKIKVWKEERSVSNAYNVSEIGLNPTMIEVGKFIASLPKDDLPLAIVESKYSAIVNGVSEKDDFFIHTPRKTIAQILDTKQVEKCILLCTIAAIDSDMGWFYPSCKVCSKKVLTVPFPNNDDGGEDDDFKHTYYCAKCKTNNPATLPRYKLHLVVLDNTSNTKLLVFDNHAMQLLNQSCLQLAGPSNKFEIEETNVLPPALNSIIGKTFLFKIQIERENFVYKHETYKVLKVITNKDLIADFEEANSENASEGGQFHDMDTQSDAPEASLAMIGSASDQSESFDLTPAKRIRPVNLELDESFDENSVTRSVSSVKIKKEKCSKSG >A07p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1760226:1779819:1 gene:A07p006170.1_BraROA transcript:A07p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIEKGVVTAEAEAPRGNRSRFAFACAILASMTSIILGYDIGVMSGAAIFIKEDLKLSDVQLEILMGILNIYSLVGSGAAGRTSDWIGRRYTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPATSRGFLSSFPEIFINIGILLGYVSNYFFAKLPVHIGWRFMLGVGAIPSVCLAIGVLAMPESPRWLVLQGRLGDAFKVLDKTSNTKEEAISRLNDIKRAAGIPEDMTDDVIVVPNRKSAGKGVWKDLLVRPTPAVRHILIACLGIHFAQQASGIDAVVLYSPTIFQKAGLKSKNDQLLATVAVGIVKTLFIVVGTCVVDRFGRRALLLTSMGGMFLSLTALGTSLTVIDRNPGHTLKWAIVLSVTMVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGIPLEEMESLFGSYTANKKKNVTKEDIGVMSGAAIFIKEDLKLSDVQLEILMGILNIYSLVGSGAAGRTSDWIGRRYTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPATSRGFLSSFPEIFINIGILLGYVSNYFFAKLPVHIGWRFMLGVGAIPSVCLAIGVLAMPESPRWLVLQGRLGDAFKVLDKTSNTKEEAISRLNDIKRAAGIPEDMTDDVIVVPNRKSAGKGVWKDLLVRPTPAVRHILIACLGIHFAQQASGIDAVVLYSPTIFQKAGLKSKNDQLLATVAVGIVKTLFIVVGTCVVDRFGRRALLLTSMGGMFLSLTALGTSLTVIDRNPGHTLKWAIVLSVTMVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGIPLEEMESLFGSYTANKKKNVTKEDIGVMSGAAIFIKEDLKLSDVQLEILMGILNIYSLVGSGAAGRTSDWIGRRYTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPATSRGFLSSFPEIFINIGILLGYVSNYFFSKLPVHLGWRFMLGVGSIPSVCLAIGVLAMPESPRWLVLQGRLGDAFKVLDKTSNTKEEAISRLNDIKRAAGIPEDMTDDVIVVPNRKSAGKGVWKDLLVRPTPAVRHILIACLGIHFSQQASGIDAVVLYSPTIFQKAGLKSKNDQLLATVAVGVVKTLFIVVGTCVVDRFGRRALLLTSMGGMFLSLTALGTSLTVIDRNPGHTLKWAIGLSVTTVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGMPLEEMESLFGSYTANKKKNVTKEGKEIVEEH >A03g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14953722:14958646:-1 gene:A03g504270.1_BraROA transcript:A03g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPPAIENPMCHDHSLVFMKKREVDVPCEACKESIGGPSYSCLECHNVYFHLDCVHLQKEVNHPCHPSHPLKVVALADNQDAEKSCHFCVFQPEKKVCYHCSVCNFTMCFGCIKNPPPLVIENTKTHKHPLRLLLSRIAYTCKVCGIEGYRSKPYICVKCDFLVHGDCIGLPRVININRHDHQISFTQHLGRREGIPERSEDISPFKVVGHNLIHHFSHNKHTLRLLKDNVDIQDDECIRCEACIHPVQVGQIYSYTECCFVLHEKCANLPMMKRFLFNRAAYMLECDRSATYCKLCGMFVCWFQVHIAKRYDEISLYRCKLWFPFRTFSHNAHSHPLYFVISENNYCDACKRVPHDYMLRCDKCDFDLCLYCVTLPEKIWHMSDEHPTLSCDGNMSGKNWCWCDICESELDPSKWFFMCSHCGVALHVQCVLGDFSRLKPGGLYTLFERREYEVVLNNRNTRPFCSHCHSRCKVPVILKDSGKDNGYICSNSCLFSCLEDPNVIESDIYCFLVKSTEGGLLPVHVHPLFPIAMFTKSVCAWCHLEGIMYGSYVCNEVSCRLIHKNMVSRRFRLHKECAEAPVEINHHPCHPEHALLLTNDSPTEDGTCDFCGQKLLSPYYTCPTCEFKVDFICGIKPSPSAIEHPVCHDHQLVFLKKGREEEVQCEVCKKSISGPSYSCPECNNVYFHLDCVHLSKEVNHPCHSNHPLKIIAYETLRNDDHAEKDCRLCSTRPEEMLCHCSICNFTLCLSCTKLPPPLVVDHSKTHTHTLRLISSKLAFTCKYYGAYSCSVCPNYTVHTRCATNYTTWNYEELEGTHEKIQDIAPFKVVEHNLIRHFSHSEHTLRLLKVHDDDFIQCEACFRLVEFGPVYSCTECCFSLHEKCDMIRR >A08p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17679288:17680309:1 gene:A08p028130.1_BraROA transcript:A08p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTTLSGKLKDKASHMKLNVVHMCSSVNTKTIDEAILKATSHTSNKSPSEKYVKFLQSTMATGYSPQTISGIMQRLCVTTNVCVASKCLILIHNMIKSEKGYEGEGGHRGTNSHRNLIYNQGESNLKLDDLNVDSSRFTIELVPWVRWYKNYLNIYLCIAEVLGVTPNIKEKFEEKRLETQRVSSYTTDCIFKQVDFLVNLFEQINARPETPLEKPNIIIIRMIGLMEQDYVSVMRLIKIRFEELDKRTADPAELIPVLVRLEKCRESLSEFCWRCEPLDKEFWGLVLKLKDN >A02p055060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33341138:33348950:1 gene:A02p055060.1_BraROA transcript:A02p055060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKRKRDAVEDNLDGGESAEGSDKSNGFFDIYGPEAKPELVYNTPDITLNLQDVQGLVTWVLGEGYMPSWVFIKNKPLIPKVVLLYLPGLDAALYLSQSKELARLKSCCGNPIPLLALSCAVDEMKTIDTILTCRGKKKKTTTGLVESKPEACNLTGQSFVELTKDIPFPVAYYTLCRKEMEQNGYKFETEFIATLPAPSGSCPHEILALDCEMCITKDGFELTRVTLVDIEGQVLLDKLVKPTNLITDYNTRYSGITAEMMEGVTTTIRDIQEEFLKLVFKETVLVGHSLENDLVSLKISHNLVIDTAVLYKHPRGGSYKTKLRILAKKFLAREIQMSESGHDSVEDAKAAMDLALMKIKYGPDFGSPPEMIRKRLLNVLNESGKATSIVDNINIVKRYASESSNAIPVSDDDEALSKAMKEVKNKRSQFVWTQFSELNTHFQSRADDPEKLNPRLAKMISLLTCSHDSAPEKHPMSKVSAETKEILKKMDERVHALHAALPPNAMFIVCTGHGDTAIVHRVRKMLRDEKSEIGFSREKTVKVLEELQAQAEVALCFTDHDSGSNRNHSPRQSDSSVPAAATDAKMESSNESAIKQSDPVLDSQDRREEEELPSRPQTPPSGSEKQPSATQDATPPQTLPVHYRTSKSVSSERSPDYMETLTNETNELRSEFLHILPCQRLSKVLVKNCLLFLLVSNLLITGAMETHEAVDFRSEFLRVLLSRRLGQVPLVAECSKPVANPIFQSAIPSTAALESCPKEHIDNFKEMLKEENIHLHTEAGEQGRLPLLILSLKKKSEERRPAVVFMHGTSANKEWLRPWLEAYASRGYVAIGLDSRYHGERADSKTAYQDALVSSWKNGNTMPFIFDTVWDLIKLAEYLTQREDIDPKRIGITGISLGGMHAWFAAAVDTRYSVAAPLIAVQGFRWAIDNDAWQARVNSLKPVFEEARIDMGKSEIDKDVVEKVWDRIAPGLASQFDAPYSVPVTAPRPLYLLNGAEDPRCPLGGLVVPLERAKKAYNKTASPENFKFVAEEGVGHTVTSFMIKESSDWFDKFLKQGNMTSH >A10p014980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3865557:3866303:-1 gene:A10p014980.1_BraROA transcript:A10p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTAVTTTQAPSLLFFSKVSLGPGDSQLLFKLIHFWEARNNSKGGILLGFEMLMIDEERFIKDGGMWASFFVLGLIFAPVTQPVNNRPYCKHGSKRRFDIRLELAFISDGT >A10p011480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11786541:11787945:1 gene:A10p011480.1_BraROA transcript:A10p011480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRDPDALFSGGGISFLAGNRAVKFSYGYSSLKGKRATMEDFFETRISDVDGQMVAFFAVFDGHGGARTAEYLKNNLFNNLVTHYEFISDTKKAIGQVFKQTDEEYLTEERGQPKNAGSTASTALLVGDKLIVANVGDSRVVASRNGSAVPLSNDHKPDRSDERQRIEDAGGFIIWAGTWRVGGILAVSRAFGDKQLKPYVIAEPEIQEEDISTLEFIVIASDGLWNVLSNKDAVAIARDISDAEVASRKLVQEAYSRGSCDNITCIVVRFEVS >A10p018580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12976723:12988068:-1 gene:A10p018580.1_BraROA transcript:A10p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 26 [Source:Projected from Arabidopsis thaliana (AT4G08250) UniProtKB/Swiss-Prot;Acc:Q9SUF5] MSSHEEQPHQEKRQRKEEASPISCLSDDLVLNCLARVWRSDHAALSLVSKTYRSLVASPDLYKIRSLIGRTETYVYVCLRIPTSVPSLRWYILRRRKTLDASDLVPIPSLPSQPVEASSVVVLDSSIYVIGGLTDGEKRTSDVWSLDCRTHVWHPVPSMEAARAYGAAGVVDGKIYVFGGCDVHDDCGEVFDPNTQTWDNLPPMPKRKGGNKHIHDSMVRDHKVYAVDETERTFYYSPREGKWGTGNRGQLEGKPRDWCMIDNLLFCLSRNGTIFWCEPDELDLHGTERVMNTEEVKGLSSLNQYLFLSKIVHFGDQILDRWEQKRIMQNMAPLKMSKYGRIDTFEGLHPGARMCSSGGNIVLFWDRPKEARFGATLSGLTLSCPLMNLFKNPRSLLFSNNTATATSTSSCVEQHDGIISLDMDWDCDFHDLIESMMSDKGATTESPPILPCYHGQEGIFNSSSTGLSMADELDHDVEADESKGLRLVHLLVAAADASIGADKTRELTRVLLAKLKDMTSTNDRTNMERLAAHFTNGLSKLHKEANVQRQYGPHQHPDVHDRVDVMLAFQMLQNMSPYINFGYLTATQAILEAVQYERRIHIVDYDITDGVQWPSLMQALVSRNTGPSAQHLRITALSRATNGKKSVAAVHEAGRRLTAFAESIGQPFSYHHCRMESDTFNPSSLKLVRGEAVVINCVLHLPRFSHQPPNSIISFLSEAKTLNPKLVTLVHEEVGLVGNQGFLYRFMDLLHQFSAIFDSLEAGPARGFVERVIFGPWVSGWLTRIAITAEVESFASWPLWLATNGFKPVEVSFANRCQAKLLLSLFNDGYGVEELGQNGLVLGWKSRRLVSASFWASCESSEKKTCLCSMSNSEEQPRAKKTKRQAFPLSCLPDDLVLNCLARVSRPDLAALSMVSKRYHSLVASPDLYKIRSLIGRTETNVYVCLRIPTPVPSVQWYILRRRKTLDASDLIPIPSLPSQPLEASSVVVLDCSIYVIGGLIKGEQRTSDVWRLDCRTHVWHPVPCMGAARAYGAAGVVDGKIYVFGGCDVDDNYGEVFDPETQTWNPLPPMPKRKKHIHSSMVRDQKVYALDDKERTFYYSPREGKWGTGNRGQLVGYRRDWCMVDNLLFCLSKNGVILWCEPGELDLRETERVMNVEVVRGFTYRSLYQKLFLSRLVHYGDQIIDRWEEKWIMDGRPPLKIPKSWKKFRFERLRPGARMCSSGGKIVLFWDEISLEGGYLHHIWWAEISLERLQGGEIWGYIERSNILMPVEPVKAHKK >A04p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3128654:3131213:-1 gene:A04p006020.1_BraROA transcript:A04p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPVTNGNTDTVMAEPAPAIAPSRPVPSSRSSQLTESIKLEHQLLRVPFEHYKKTIRANHRSLEKEVSSVVSSVGDLADNDWSKDVAVSRLTSLVSRLQGLKRKLEEGSNVENLQAQRCRARIDHLDSADVENITEWNNTKLKRILVDYMLRMSYFETASKLSESSNISDLVDIDIFREAKKVIDALKRREVASALAWCADNKTRLKKSKSKLEFQLRLQEFIELVRADSYKQAILYARKHLTPWGATHMNELQHVLATLAFKSTTECPKYKALFEPQQWDVLVHQFKQEFCKLYGMTMEPLLNIYLQAGLTALKTPYSFEEGCTKEDPLSQESFRKLALPLPYSKQEHSKLVCYISKELMDTENPPQVLPNGYVYSTKALKEMADKNKGEIKCPRTGFVCNHTDLVKAYIS >A04p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4334740:4335123:-1 gene:A04p013290.1_BraROA transcript:A04p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVRKTLISQRSRISVKPHTKAIRTRKNRKKEQKEFYSEFAYERYNKVEASAMRAVSEIPSSNNLRLQNLVESQLEITKMESCLIALSAKFCSEKVLPCASRLGLLIYSLQGRFELFPSCP >A07p033060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18080736:18082704:1 gene:A07p033060.1_BraROA transcript:A07p033060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLIFCQDESKGVVGSSQQSFSTMGNEKAVSGNTIPLHPRPITRALARASSNLTTSSEVAATSTTLINNQGRVLRANSKRTASDDKNANAPKKRAVLNDITNVTCENKIQIKKGRASSSKVTNAKVQVVCTGTNEASSCSFIEKPSSQLPPRPPGRSTSTVERSCVGGSSTVASIPKFIDIDSDEKDHLLCSLYAPEIHYNMRVAELKRRPSPDFMERTQRDVTKTMRRILVDWLVEVSEEYTLVPDTLYLTVYLIDWFLHGNYIERQRLQLLGVTCMLIASKYEEIYAPRIEEFCFITDNTYTRDQVLEMESQVLKHFSFQIYTPTSKTFLRRFLRAAQASHVMPSVEMEFLANYLTELTLVECEFLKFLPSVIAASAVFLAKWTMNQSNNPWNATLEHYTTYKASDLKACVQALQELQLNTKECPLNSIRMKYKQDKFKSVAVFTSPELPDMLF >A06p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7812126:7813257:1 gene:A06p017370.1_BraROA transcript:A06p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19800) UniProtKB/Swiss-Prot;Acc:Q8L4R0] MMQTCCIHHPSLSSPHRTLPRCDASAIGVKPPRVCKVGFIGKNHPLGISNLTRGRRLYVNLNANDAHPSMSMFEEETSPENTAPVSPEAELPFGKWSPSKYIWRGLSVPIIAGQVILRILKGKIHWRNTLQQLERTGPKSLGVCLLTSTFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGRMGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFLTLMCFTVGMASSALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTTGGAKGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV >A07g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18505776:18507381:-1 gene:A07g507130.1_BraROA transcript:A07g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMVLVLHNHLMKVICLITMFILCDEIVSSGGVFKLELFLPEEYPMSAPKAKRCSLVYFAGSFDDFDLEQVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >A09p061460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50650549:50652532:1 gene:A09p061460.1_BraROA transcript:A09p061460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKGRRIIMFPVPFPGHFNPMIQLAGIFHHRGFSVTILHTSHNFPDPSRHPHFTFRAISHKKEGEGEDPLSRSETSGLDLVSFIRVLKQKYAEPFRETLAAEVGGGETVCCLVSDAIWGRNTEDAAKEVGLRRVVLRTGGAASFHAFAAFPLLRDKGYFSALDSRLDDPVIELSPLKVKDLPVIETNDPDELYRIITDMVEGVKSSSGVIWNTFQDLERDQIMDLSSNFHVPFFPIGPFHKHNNDLPPKTKNKEDNGITNWLDKQEPKSVVYASFGSLADIEEKDFLEIAWGLRNSKQSFLWVVRPGLVRGTEGLESLPCGFMDNIGHKGKIVKWANQLEVLAHPAVGVFWTHCGWNSTVESICEGVPMICTPCFTDQRVNARYITDVWRVGKVLERNIMDKGEIEQVVRSAIIDGGDGMRDRCLGFKEMAEKCLGKNGSSSNYLDKLVRHVLSFDS >A09p000540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:303662:305047:-1 gene:A09p000540.1_BraROA transcript:A09p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMFTDCSSISSSLLHVNGRRGAILFRHCVGQLRLRSTASARGCSISIRCSSSPSSATSIDGKAVAKTIRDEITVDVSRMKESIGVVPGLAVILVGDRKDSATYVRNKKKACESVGIKSLEVRLAEDCSEEQVLKSISCFNDDPSIHGILVQLPLPSHMDEQNILNAVSIEKDVDGFHPLNIGRLAMRGREPLFVPCTPKGCIELLHRYNVEIKGKRAVVIGRSNIVGMPAALLLQREDATVSIIHSRTKNPEEITREADIIIAAVGQPNMVRGSWIKPGAVIIDVGINPVDDPNAPRGYRLVGDVCYEEACQIASAITPVPGGVGPMTIAMLLSNTLISAKRIHNFE >A06g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17770861:17773823:1 gene:A06g506260.1_BraROA transcript:A06g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSLKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:381022:381207:-1 gene:A07g500200.1_BraROA transcript:A07g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVVKRIVL >A05g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9341386:9343309:-1 gene:A05g503180.1_BraROA transcript:A05g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSALSGDSSSEKPKGSPFDTKLLEVCCVFREMFDKCQAQKWWGENFEKVMELYNVQQLNQQSVPVPTAPPRSKVESCSKNSPATPPLNKECPGGKGILAANQARTQTQSRYHRDSSGLATTPKLSSISGTRTETSSVDMSARSSGSSREEEEEYGDYSMEVSVSNASDMETEWVEQDEDGVYITIRALPDGSRELRRVRFSRDRFGETNARLWWEENRARIQQQRAVHFAGIMQLLIDDPSLYHITVQKKSETSEGNQKKKKKKSKIRGGNKKSETT >A07p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2505191:2508926:1 gene:A07p005060.1_BraROA transcript:A07p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate receptor 2 [Source:Projected from Arabidopsis thaliana (AT2G17260) TAIR;Acc:AT2G17260] MNCVLLGFIVLGAFLGLLSEGASTSRPRVIKVGAIFGLNTMYGHTASLAFKAAEEDVNSDPSFLGGSKLRIMITDAQRSGFLSIMGALQFMETDVVAIIGPQTSIMAHVLSHLANELTVPMLSFTALDPTLSPLQFPFFVQTAPNDLFLMRAIAEMITYYGWSDVVALYNDDDNSRNGVTALGDELEERRCKISYKAVLPLDVVITSPAEIIEELTKIRGMESRIIVVNTFPNTGKIIFEEAKKLGMMEKGYVWIATTWLSSLVDSDFPLDLKSLNGVLTLRLHTPDSRKKRDFAARWKKNKTIGLNVYGLYAYDTVWIIAQAVKSFLEAGGNLTFSHDAKLSNLKGEALNLSALSRFDEGPQLLDYIMRTKMSGLTGPVQFHRDRSMVQPSYDIINVVDGRFRQIGYWSNHSGLSVVPPESFYNKPSNRSSSNQHLNSVTWPGGTSVTPRGWVFPNNGKLLRIGVPNRASFKDFVSRVNGSSSHKAQGYCIDVFEAAVKLLSYPVPHEFIFFGDGLQNPNYNDLVNKVANGVDFDAAVGDIAIVTKRTRIVDYTQPYIESGLVVVAPVTALNENPWAFLRPFTPPMWAVTASFFMVVGAVIWILEHRTNDEFRGPPRRQIITILWFTFSTMFFSHRENTTSTLGRMVLLIWLFVVLIITSSYTASLTSMLTVQQLNSPIKGVDTLISSSGRIGFQVGSFAENYMIDELNIARSRLVALGSPQEYATALQNGTVAAIVDERPYVDLFLSDYCKFAIRGQEFTRCGWGFAFPRDSPLAVDMSTAILGLSETGELQRIHDRWLSKSNCSSPHGSQSGDSEQLNVHSFWGMFLVCGIACFVALFIHFVKVVRNFIKHKPEEEEKDIPSPESSRLKKLQTFLAYIDEKEEESKRRFKRKRSSLSMNANSSISSRQI >A05p031910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18143697:18143967:-1 gene:A05p031910.1_BraROA transcript:A05p031910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYGGGLLLDTLRAAGAIRSSPDEHDDAADENSYGGGYNNGGLTILDEHQAQFGGDGLISGGIGQVGDDDGFSISFD >A06p042450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22837823:22839795:-1 gene:A06p042450.1_BraROA transcript:A06p042450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHIFTRVSLNPLSPSLSLHHVSPSCHNLSLTPLHRNKSPGTLPSQFPPPLRPVSSRRVKVSSQLRLPLISPTDHWGQWAALFAAGAFGVWSEKTKMGSMMSGALTSTLLGLAASNLGLIPFETPSYSFFMEFLLPHTIPLLLFRADLRRIIRSTGSLLLAFLIGSVATVVGTVVAFMLVPMRSLGPDNWKIAAALMGSYIGGSLNFVAISEALRISPSVVAAGVAVDNVICALHFMVLFALASKIPPETTSAASSPDGDMTKDDKVEDKNRVVSTSIALSVSFLICKAAISMTELFKIQGGMLPAVTAITVVLATSFPDFFNSLAPSAETISLVLMQVFFTILGATGSVWNVINTAPSIFLFTVIQVMVHLAMTLLLGKLFSIDMKLLLLASNANIGGPTTACAMATAKGWTSLVVPGILSGVFGVSIATFLGIGCGVLVLKRL >A03p047010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22609173:22609409:-1 gene:A03p047010.1_BraROA transcript:A03p047010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLCACASQQPGKILVVGVCGKPRLGAVRGNAFGNAFRKAAQKSRADYFYELFESSWIILDASAVNSFMIRLTENL >A03p070630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31058783:31059396:-1 gene:A03p070630.1_BraROA transcript:A03p070630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLFRGIAICCEKVLCENNTQAAVRVAASSRTSITGHHRLVFPQDKLLGLFHMQTIMVGHLLNSDLKALKMDHARVIDTSLVFNYDLSGGGGIEKLPRPSLDHLCKSVLGYEMKKSPGRCVDEAVATMKLVLAILTHLCSTA >A04p036690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21054148:21056327:1 gene:A04p036690.1_BraROA transcript:A04p036690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLKEGKPVSSSSSSPSSSLSLSTMQIDVEMWKIAEERAQEILNTIQPICVADRSRNEIFAYVQTLVRNRLGTEVFNFGSVPLKTYLPDGDIDLTVLTPQDKEEDFAKALLSILEAESGESNFHVTDVQYVPAQVKVIKCKIKNIAVDISFNQMGGLSALCFLEQADQIFGRDHLFKRSIILIKAWCYYESRILGANTGLISTYALAVLVLHIINIGYPSLSGPLAVLFKFLDYYASFDWGKNCVTVHGPILISALPDITQTEHHEVVLNEKFVRECMESYSFSTKAVEANGRHFPVRYFNIVDPLKHSNNLGRSVTQGNVQRLKHAFTLGAKKLKDVLTLPRETMGWKLEKLFGNSLERNGKGQRLDVDEPITAFGTGRSEVSELRGDCERYFQSLVYGKWFHGEPKLWIPQGQDTSSWDTVNGQENNFYWRNVNGATSLQNMGRSRGTGTFIPKMGQQSYTDRFGSQPRTVKSSPSGSQS >A09p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4097899:4098916:1 gene:A09p007860.1_BraROA transcript:A09p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKTVKDVSPHEFVKAYAAHLKRSGKIELPAWTDIVKTGKLKELAPYDPDWYYIRAASMARKVYLRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGGVARHILQQLQTMNIVDLDTKGGRKITSSGERDLDQVAGRIAAEAI >A07p017570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:10629423:10629809:1 gene:A07p017570.1_BraROA transcript:A07p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSSHGLKILGYEIGRVMIEGVLICSTNGTANPVSNATVFLTCGGSTISLAEAVTDLYGAFTIVLNIIQTLLFDPSFCGIGTNLPNGGCGVEFPDDVVYAPLSLVGIGRTNNIFTAFYRAGPFS >A09p047790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41481664:41482648:1 gene:A09p047790.1_BraROA transcript:A09p047790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAKKRKALKKKQQQESIGTSTNDKGSNGDILHGNDEQGSQDDRESDSTLSSPGSQGNDEFGVTKDPSAEATSSSKEISDVTQGLGPKSGNAIAAEIGTDDKNNVVEKPPTSSNQNSTETFKNVASQDPCVHSTKEIDLVVDSVSKVVISEKSEHAETSTHSHLVKQKSDGTSREVETVRESEAPVSSEEKRLLLPGPQAVRTSWLSCCGLFDAMTGSGR >A02p041740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26147834:26149751:1 gene:A02p041740.1_BraROA transcript:A02p041740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTEPSSSLSFTSSRFSNVSTGSNHISPSSASNLEVISLTKLSSNLEQLLSSPDCDYTDAEIIVEGVPVGVHRCILAARSKFFEQLFKKDKKRSKIERPKFHLKEVLPVVGHEAFVYFLSYVYTGRLKSFPLQVSTCVDSLCAHESCRPAIDFVVELMYASSILQVPELVSSFQRRLCNFVEKSLVENVIPILLVAFHCKLTQLLDQCIERVARSDLYRFCIEKMVPLEVAERIKQIRLKSPLEEEENSPKVSDKMLERVGKILKALDSDDVELVKLLLTESDITLDEANGLHYSVVYSDPKVVAEILALGLGDVNHRNSRGYSVLHFAAMRKEPSIIISLLKEGANASSFTSDGRSAVNICRRLTTPKDYHTKTAKKREASKARLCIDLLEREVRRNPMVADTPMCSLSMPEDLQMRLLYLEKRVGLAQLFFPTEANVAMDIANVEGTSEFTGLPPPSNGLTGNLSQVDLNETPHMQTKRLLTRMEALMKTVETGRRYFPYGSEVLDKYMEEYIDDDILDDLHSEKGSPQERRLKRMRYRELKDDVQKAYRKDKESKIAPSCLSASSSPSSSSLRDGLENSA >A09p030330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18013907:18015051:-1 gene:A09p030330.1_BraROA transcript:A09p030330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRAWIIASGLVGAISLMLRSVLNFSFTGFHFSLPTLNPLFIIINGIIFALAASSSLFGHGSDSSATEHNHDHDTYHHYEHDDHNHNHSQNHDHDRSWNNSSSDFSFDQYNNKVHEVKKFPVKSEKSESGGSSSNRRPVSSPEIQFPSKAPEKPTGPRRPPVPLKTFPQDDKSGDESETMDEMWKRVKAEKQPPKSNSVQGHVISRNGSRMSTSSCPSPSRARRPPSSSSGSGKKLMDRIPSWVNLKKELSMGRDELNSRVEAFIRKFNDEMKLQRLESLRRYKLFRRRSEEE >A02g502370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7659844:7660350:-1 gene:A02g502370.1_BraROA transcript:A02g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNYEDYLSLLLLLFLCLLLSLCNFFQTWAGQTPTLANGTPSNETGAAASRGTSSDRRGSAALSLQISINSQSLPSSKLTSDGQRRQSSGVGEDRATGSEPSSSSLRLTSQTSEKTEQRGRSRARRPRDSSDRRRKRQCSGVGEEEEQWRRRRRRAAASEMVLKRD >A02g500870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2725620:2726603:1 gene:A02g500870.1_BraROA transcript:A02g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPVSEANASSPFGSLSAAEFYSLHSVAHSSAYITNPTGLKLFTQWWTPLHRPPLGLIAVVHGFTGESSWFLQLTSVLFAKSGFLTCAIDHQGHGFSDGLTAHIPNIDLIVDDCISFFDDFRSRHAFSSLPSFLYSESLGGAIALYITLRQKSKWNGLILSGAMCSISHKFKPPWPLQHLLTLAATLIPTWRVVPTRGTIAGVSFKEPWKRKLAFANPNRTVGKPRAATAYELVRVCEDLQRRFEEVEVPLMIVHGGDDVVCDPSSVEELYMRCKSRDKTIKIYPGMWHQLIGESEENVDFVFGDVLEWIKNRSQNDAVSNGSKA >A09p056950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48226823:48230374:1 gene:A09p056950.1_BraROA transcript:A09p056950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.6 [Source:Projected from Arabidopsis thaliana (AT3G51480) UniProtKB/Swiss-Prot;Acc:Q84W41] MMLLTFCDHHLQRLLDHQGALSYLINLSHTLCEKSDSFLKQEEAAETEKMKWLLLLLLLCHAVPLQGQSTNVSPRPQVVNIGSVFTFKSLIGKVIKVAMQAAVDDVNANPTVLNNTRLSIIMHDTKFNGFMSIMEPLRFMENKTVAIIGPQRPTSARVVSHVATELKIPILSFTATDPTMSPRQFPFFIRTSQNDLFQMAAIADIVHHYGWREVIAIYGDDDYGQNGVAALGDKLAEKRCRISYKAALPPEPTRENITNLLIKVALSESRIIVVHAYFIWGLEVFNVAQYLGMMSSGYVWIATNWLSTIIDTNSPPLPNNIQGVIALRLHTPDSVMKKSFVQRWRNVTNVGLSTYGLYAYDTVWLLAHAIDDYLQRGGNVSFSTSPIVSELRGGNLHLDALKVFDGGNVFLESILKVDRVGLTGRMKFTKDRNLVNPSFDVINVIGTGHRTVGYWSDHLGLSVMPPEELENASSSGQKLHSVVWPGQTTHTPRGWVFSNNGRHLRIGVPNRYRFEEVVSVQSNGIITGFCVDVFVAALSLLPYAVPYELVALGDGHDNPSNSELVRLITAGVFDAGVGDITIITERTKMADFTQPYVESGLVVVAPVRKLGSSAMAFLRPFTPQMWLIAASSFLIVGAVIWCLEHKHNDEFRGPPRRQVITTFWFSFSTLFFSHKETTVSNLGRIVLLIWLFVVLIINSSYTASLTSILTVHQLSSPIKGIETLQTNSDPIGYPQGSFVRDYLVNELRIHESRLVPLWSPEEYEKALRDGPGKGGVAAIVGERAYIELFLSNRCEFGIVGQEFTKTGWGFAFPRNSPLAVDVSTAILQLSENGDLQRIRDKWLLRKACSLQGAEIEVDRLELKSFWGLFVVCGLACVVALAVYIVMMIRKFGRHCPVEAEGSIRRRSSPSASIHSFLSFVKEKEEDVKARSSRERQLEHI >A04g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7223705:7225857:-1 gene:A04g503280.1_BraROA transcript:A04g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELSRVDEPDIGDPTSVSIDTNSYYRSTLLKILERSSMKQSLPGYAVELESVEERTHKSKASHLAVPKHVKPPICTGEAAASFTKRYRFELAFQCHRFEVKQHPIEEVMSVLLKSGESASREEAVEEMKDYRSTVHP >A10g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7597533:7598140:1 gene:A10g502620.1_BraROA transcript:A10g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFTRPLSPNSSIANQIISIQTGTTILAANIRRQTRMFLKSRAKTKPLQYRETSSSPRTFQARNVAYEKINLQQCETSQTPEKPFFDKITFLDKGTFLEDQTVIHTNIFSNKYSLRRFKHDSSRTPDTRLIAPYKKNPKFWSALSGDLKIILEEMLDSYHTSHVSREHIVDFKPG >A03p072160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28474127:28474585:1 gene:A03p072160.1_BraROA transcript:A03p072160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAMNADSEKEIVDTDSNKTFVLDKPTTARDDFLSAARSLVNQGQPSQALQAVRGCSETRAPPTLIWFSQKSC >A09p070860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54863695:54865244:-1 gene:A09p070860.1_BraROA transcript:A09p070860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMNVWSTKWTSGLDIEEYNIIHQHHMNSLLGDFPQSLSSLDDTSTCYNFGASCNDYLVEEEPSKILKTTHESPNLHLYPFANSTSPHPQPSSRFLSFEKTGLKVMNHKSPNLIFSPKEKFGSEDLIRRGTKKAQPLARSPSNAQEHILAERKRREKLTQRFVALSALIPGLKKMDKASVLGDAIKHIKYLQDSVNEYEKQKKGRTLESVVLVNKSQLVLDEHHKLSPSFSDGNRDYSSSNLPEIEVRVSGKDVLIKIICEKQKGNLIKIMGEIEKLGLSITNSSLLPFGPTSDISIIAQKTCDFDMKVEDVVKSLSCGLS >A10g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9133939:9136841:-1 gene:A10g503490.1_BraROA transcript:A10g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESFERFSDLEDFWDDLPVSRLEVVWKSSGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFVVWKSSGSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSIKSFKLVVHGGCGIDDNGNLVIT >A02g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16531401:16532907:1 gene:A02g505630.1_BraROA transcript:A02g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDMVDAMSEGFVTCLKEMKYLSERVEAVEKKVGITTKRKGTSSQNTGTATGSGTSSQNTTPPPKPTLDLGSESVNGTNAGTKSMPEDKDLEKEDARYQEKRDAALALFRAKSDRTRKLAASQKSPYTANSTAKVIIPNKKLYPGYNPFAKIDKKKLKDLADWLKMCPDYRTPLDKKPRTSRTWWYQTLRTSLSWLEDCHIDVLINVLRKRYYANPQYFRSERMCFLDHLFVQQWRFNFQDFKDSEPDQNGLGRRLPGGAWNYYAGIIPSFCQSNKVWRTDIDDIYAPVNYTDTH >A03p058310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25307344:25329892:-1 gene:A03p058310.1_BraROA transcript:A03p058310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLVNWWFCADKKVSVGIILAIVVGIVVTIVLISLGLVMFKRKKKNQDMQLPTESVQFDFKTIEAATSNFSEANKLGAGGFGEVYKGILMNGTEVAVKRLSKTSGQGEGEFKNEVVVVAKLQHRNLVRLLGFSLQGEEKLLVYEFVPNKSLDYFLVGQFGYMPPEYVTHGQFSMKSDVYSFGVLILEIISGKKNSSFYQIDGLVNNLVTYVWRLWEDKSLPDLIDPGIKEDCNIDEVVRYIHIGLLCVQENPADRPTMSTIHQMLTTSSITLPVPLPPGFFFGNRSGTTSSSQGLEPNQSSSKSFTCSVDEATITEKKKMGKKSSVSILCFILISFSPIFVSAQTCDEAAGTFKPNSPYDKNRRLLNSTLASNVTAHAGYFNGSIGVGLDRVYTLGMCAPGAEPQACSDCIQDASDSLLSTCLNQTDGFVWSGDEFLCLVRYSSKSLYGVLALEPITPFHNVMDVRKENQKEFDSVWDGLVLPMITRVSSSVRNNSSTSLSLSSKYYAKDVAPVPVYGNILVLMQCTPDISSEDCSLCLETSVDYYKKLYHGKRGVIMLRPSCFFRWELYNFSGAFDHINDPPALSNNKNVSRGVIAAIVVVIVVIIVLIYVGMFMFKRRKKKQDIQLSSELLKLTIEAATSNFSEVNKLGAGGFGEVYKGILMNGTEVAVKRLSKTAGQGEREFKNEVVVVAKLQHRNLVRLLGFSLQGEEKLLVYEFVPNKSLDYFLFDASKRVQLDWTMRHNIIGGISRGILYLHQDSRLKIIHRDLKASNILLDADMNPKIADFGTARIFGMNQTVDNTSRVVGTFGYMPPEYVIHGQFSMKSDVYSFGVMILEIISGKKNSSFRQIDGLVNNLVTYVWRLWVNKLLPDLIDPGIKEDCNIDEVIRHIHIGLLCVQENPADRPTMSTIHQMLTTSSITLPVPLPPGFFFGNRPGTTTSSQGLDPSQSSSKSYTCSVDEATITDVNPLSGQICGNTGGTFRPNTTYDSNRRLILSSLASNVTGRDGFFYNSSFGQEQDRVYAMGLCVPGAKPKDCSDCITDAVTKMIQNCTNQTEAFSWPGTETLCMVRYANSSFFGTMDLEPNSLRYNTGNITISMSELERIWDAFMTRMIDSASSGRSGASSSSSGKYYAADVTSLTTFQRVYALMECTPDLSPGNCEACLRENVRRYQQCCRGNQGGVARRPSCFFRWDLYPFLGAFDNISSGAPPSQPFTGDGDGAAKKDGDSLSVGAIVGIVAAIIVIVLVLLALAFVFYRRRKSHQEDDFQSGDDISTTHSLQFDFKTVEAATDNFSRSNRLGQGGFGEVYKGILPNGTEVAVKRLSKTSGQCALLEFKNEVLVVAKLQHRNLVKVLGCCLEPEEKIIVYEFVPNNSLDYLLFDPTKKGQLDWTMRYKIISGIARGILYLHQDSRLIIIHRDLKANNILLDADMNPKITGFGMARITMVGQTLENTSRIVGTYGYMAPEYAMHGQFSTKSDVYSFGVLVLEIVIGKRNSSFHETDSNDSNLVTSAWKFWRNGSPLELVDPTVRKTCDSSEVTRCIHIALLCVQENPMDRPTLSTIILMLTSNTVTLPVPHQPGFFFKSTRDQDLSAEDLESGQSTGKFGPFSINDETITLCYTKTRITINMPLVFFWSVLTCTGLVSALPCMNTSFFTPFSTYDTNRRLVLTSLASNVPAHRGFYNASIGQSPNKVYATGMCIPGTEPEVCSACIMSGSYALIENCLIHKEASFWQSNRTHCMIRYSHTSFIGSLELEPSIEVSNPMDLRMTATDFSRAWKGLTLRMGQAISSNKDTTWSGGRYYAADVAALPDSQTLYGLMQCTPDLSKADSRRDYNEAKLFSSDRAFQDIVARHPLSQSVSKPPTPPTPPLASKSNVTKSTRITSIHSLQFDFKTIEAATDKFAMSNKLGHGGFGQVYKGTLPNGTEIAVKRLSKTSSQGAQEFKNEVVVVAKLQHRNLVRLLGYCREGAEKNLVYEFVANKSVDYFRFDPTKKKQLDWKKRYNIIGGITRGIIYLHQDSRLTIIHRDLKASNILLDADMNPKIADFGMARIFGMDQSGANTSRIWLHAPGYLIHGQFSMKSDVYSFGVLVLEIISGRKNSSFHQTSDATAENFAWKLWKNRSPLELVDPNIVENYQIEEVTRCIHIALLCVQEDPTDRPNLSTITLMLTSNTLILPVPQPPGFFLQNRRNQKQGLEELESSQSTIRSYSQSINDITITDLDPPLLSSLPSKVTANNDFYPTEQMGQDQNRAYGLGMCIPGSDRQNCSNCIYGASNLLINICSNQTEGIAWIEALGTFCMVRYSNRSFFGSLDMEPVIQSLEAEPVIQVTLSDFDNAWEALMRRV >A05p028870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:140219:140934:-1 gene:A05p028870.1_BraROA transcript:A05p028870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLNLIRERSQLEQAVQKLSDAYGKLDQLDLAQPDGLLAHSAEAAGSQIISARRTVRVSGRWFVSGSEAGHVVHESLGSCGQAVGLGIKSRLGLDVPIRSGTFHFRKGTIWTVDCNRWPRSVPKGCFEGMCPCAHDLCISGKENGLGERRPWGYGYPRHIERSGEGLQPRGSVR >A05p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1614495:1615531:1 gene:A05p004220.1_BraROA transcript:A05p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGNGTTAGTGSPCGACKFLRRKCASDCIFAPYFSSEQGAARFAAIHKVFGASNVSKLLLNVPIHDRCEAVVTIAYEAQARLHDPVYGCVSHIFSLQQQVAYLQAQVMQMKAQIAGHQTSAAGDLRNSSESTHEYTTWQQSSGSPIGSAYAAPYDHHHPYYGHVNPNNNQVSPQSSLEESLSSTSSNVTTTANVRETQQTGGGVYRHGGLGFHEGYPNKKRSVNYCNSDLGELQALALRMMKN >A10p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1858828:1862030:1 gene:A10p003730.1_BraROA transcript:A10p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRFISSRKLSPPFLSRNVDRFELARIGSIRAAFFSTQKLIGDEPVLVRDFIHRALYDAKHGYFSQRSQSVGVLERIIKFNQLEGRKAYMKLLEKVYKQSDISWFTPVELFKPWYAHGIAEAILRTTNLSVPLKIYEIGGGSGTCAKGILDYIMLNAPERIYNNMSYTSIEISPSLAKIQKETVAQVGSHLSKFRVECRDASDLSGWRNVEQQPCWVIMLEVLDNLPHDLVYSQSQLSPWMEVLVENKPESESLSELYKPLEDPLIKRCIDIVEHEAPPVSKPKEIWSKLFPKPRRCWLPTGCLKLLEVLHEKLPKMSLIASDFSFLPDVKVPGERAPLVSTKKDGSSSDYSSYLDAKGDADIFFPTDFWLLERMDHYCSGWRKTEKDGTPSKKGRKRRTLTLDTSAFMDEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNTK >A05p005300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2065087:2065617:1 gene:A05p005300.1_BraROA transcript:A05p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEKNLIEILEEGHKVDIVKYINYVSAPQAGAIATFSGTTRDMFEGKAVLELRYEAYVPMATRTLTSICTAARSNWDIHKIAIAHRLGPVPVGETSVFIAVSSVHRGDGLDACKFLIDELKATVPIWKKEVYTNGEVWKENSEFLEKRDGLVKREHKRSCCGSKVRVQEDENSS >A09p048860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43380692:43381629:-1 gene:A09p048860.1_BraROA transcript:A09p048860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVSSMSMFLITTFAIVIISTVMAKPTISTNKSPSQDLESSYKVKSMSFLSKLYGDYGFWNPNPDYREGFSNPGPLPHGSLETGESFRQDTMYCLQDTTSTHPENPDHIKNSIQDIEPTSPLSS >A08g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13440074:13443173:1 gene:A08g507590.1_BraROA transcript:A08g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLISQDAFQEVKSKRDEKKDTKDQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETRLAQRGKGARNHWAGSSAPNSDRPKNAEVKEAEPTGPTGAASSSLLPAPAYQSAWAKANPGKKTMAEIVKMGKPLHQKKVSAPRSSETQESGSKAPLKDEGSSTEKQESGSKAPLKDEGSSLEKQESGSKDPLKDEESSFETQESGSKAPLKDEGSSFEMQDVSDPVPSLLKPFFEPKTHADQVSEPQHVDESQMNDEVLETKTNQVAIHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEENSSFRQHDTNFYGGEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNVMDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGFQQGFPVGNHQAPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQPPGFVQAQQLHQQQLSQQALMSLDQLRHQHQYQHHQQSAGEASSQTQEQLWPNNS >A10g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9013707:9015072:1 gene:A10g503440.1_BraROA transcript:A10g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NITLDVALPPILPPGKHYTGLWRPFLPLNANVWWPYALLVLSSVCFQEALRFLFWTLYMRLDDVLDSFADRISRTACFSLINFRLLLAFYSGCRRRRMLKWNLEPSKFYAAGGLSHGVAHAVFFCLSLLTPAFGPATFYVDICSKVPFFLVSATIALAFVTIHTFSMVIAFEGYAKGNKVDQVIVPVIHLSAGMLVRSQIFVLLLCMMIC >A06g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12034615:12035762:1 gene:A06g503920.1_BraROA transcript:A06g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCPYRRTEYENTIQGCVHPSLIEKFGDGLHEGAIIEICKFNLQDYNKNYKISYHKFQIKLNERTTIACVEQQLPQTPPENFRFRNYEEFAQLKDSTYDLYGNIFRIDVQATLSAEQAELFEDKYRALKSNNIVLIMTSVLIKTYQ >A08p024580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15984426:15986765:1 gene:A08p024580.1_BraROA transcript:A08p024580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHSHEDLEICESDEEEYEEYEERREQQEEEDEFVDSRDDDSFAPSSSRLPPSSSLDDVESKLRALKLKYPSTQQQAQTSTKLFRYINGNTPKAKWVTAEKLTSYSFVKTSQYDDDQDDDDDDRNRDSEKEWWVLKVGRSKIREKVSDEMQLKAYKDQRRVDFVAKGVWALRFGTVEDFAAFVRSYNNCLFENNHGVEFNEASKAKIFGKDFIGWANPEAADDSMWEDADDLLLNSPQSATPVRDTQDLTEAFEEATSEGIHSLALGALDNSFLVGGSGIQVFKNMRQGIQGKAVCVNFEDGYGGAHSAPRKALLMRAETNMLLMSPVSQNKGIHQLDIETGKVISEWKFEKDGVDISMSDITNDGKGAQLDPSASTFLGLDNNRLCRWDMRDRYGMVQDLATANAPVLSWAQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSSNTMRQAKTAFPGLGAPVTHVDATYDGKWIVGTTDTYLIVICTLFTDKAGKTKTGFEGRMGNKIAAPRLLKLRPLDAHLAGSNNKFRNAQFSWVTEDGKQERHVVATVGKFSVIWNFQQVKNGSHECYHEQEGLKKCYCYKIVLRNESIVDSRFMNDNFAVSGSPEAPLVIATPMKVSSFSLSSKR >A05g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18777147:18784768:-1 gene:A05g506630.1_BraROA transcript:A05g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILDRIGQTGHGAGRRTNQVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVKKRGETAKGRLWDGYGTVLGRKEGILVTVRPGGWGQEKHRENSREKERQKLWIGRFDPRDCS >A02g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23067140:23068679:1 gene:A02g508520.1_BraROA transcript:A02g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTDALLSDCSDTTISIDVQYNPSIDITFYSTMLTFFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQRHPWPCPENTPFDAFLRNPRNMSRRPPSIHRTRAQDAQAPPLPDFPNIPDIPMQDQGDFQRFVVDALQAIWARVSCRSRRTTGAQAPAPAARRNPSPEEDEETDEDTD >A09p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000035.1:150115:162287:-1 gene:A09p039090.1_BraROA transcript:A09p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVCLWVSASIHRTFVAVCGFLSAHAGRSCVSISKHRTSVCVRVCPSEHTECPWLSISTHISTLALPVDWSGDFGPRGLSVQYTKDFRGCPSAHTGRQWVSVSTHMTSVCVCVCPSAHTGRPSLSISTHISTLVLGLSTFVLGLSTLALPVDCLGDFGSRGLSVQYTKDVSGCPPAHTGRPWLSMAVRGCPESSKEKSPQQTISQSSFKYSLNNFDEFVSVQDMPNRRCNEPFKSSQGEADPERCLLQFDVQEFCDNFVEEVVKTLKDVNQTHKKSISTRAPVAEPSLFISKKSKGKSEIHVEELKDFSDSLPIYDEYDEEPIESLFSCEKNCDLPSLEPKFATDIEHAIVELTILQPEHPSSLVFSPQLLEEEPLDLPHQGPCLDSRIFFDEDQDPIFDEDDEHGPVFDEEATKITSIAMESHLCFDADTTPAPLSFELQAHFIDRAQQPEIWRSFVQTGYLGDTSDRGSVKNGYLNIQKVFCHEFNFKGNPTHQGFTEDWNHLKSITEEGTHMMAMEGRLYQYMLSGRWLIKSSGRIMLHDNVPRPVRPDEDSSVPSHKMDLVLLPIRQKDFGLCMSRERPYANPYPFKDFSKLSSKRNLSQWGTDELISSIDVAKTQGSQVPAYVFTTSPLKEMPQQFLPDRYATQALDQFNKHKTMLVKKLRRIVGLQLGARAQSLQTLAIQSPLIRRICNQKRNWKTDETRPTPHKRENLKLGAKQSARKFAGKVTGKFIGDNPAIDLNPAIDSVGPSNPTLHTPSGTEVRGFVYTQDVRVCPSELTRCPWLYVCVRQHTQDIHGCPCVSVSTHRTSVAVRQYTYQHVGPWTQHADPSRALFG >A07p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8953702:8957601:1 gene:A07p014420.1_BraROA transcript:A07p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDADGLSFDFEGGLDSAPTQPTASVPVPPPENSSSAAVNLTPNYDHSSATVPGDGRGRSFRQTVCRHWLRGLCMKAEACGFLHQYDKARMPICRFFRLHGECREQDCVYKHTNEDIKECNMYKLGFCPNGSDCRYRHAKLPGPPPPVEEVLQKIQQLTSYNHGPNRFYQPRNVAPQLGDSKPQVQVQTQGQPQESGNLQQQQPQQTQHQVSQTQTPNPAEQTSHAHPLPRGANRHFVVKSCNLENFELSVRRGVWATQRSKEAKLNEAFDSVANVILIFSVNRTRHFQGCAKMTSRIGGYIGGENWKHEHGTAQFGRNFSVKWLKLCELSFHKTRNLRNPYNENLPVKVISIAAEAKREEEKAKGVNPESRAANSNIVPFEDNEEDEEEEDDSEEEEDGMGNGPQGRGRGMMWPPQMPLGRGIRPMPGMGGFPLSVMAPSDAFPYGPSGYN >A04p015780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9774626:9776903:1 gene:A04p015780.1_BraROA transcript:A04p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGDAIQNHSETAPLLPESGRDGEIGHDEFNGASFTGAVFNLATTIIGAGIMALPATMKILGLVPGIAMIVLMAILTDSTIEFLLRFSRIGKTRSYGGLMEDSFGITGRIVLQASVLVSNIGVLIVYMIIIGDVLAGKDEFGIHHAGILEGWFGEYWWNTRTFVLLITTLLVFAPLTSFKRIDSLRFTSAISLALAVVFLLITAGIVVTKFFSGGLMMPRLLPNVTDLSSFWRLFTVVPVLVNAYICHYNVHNIHNELEDPTQIKPVVRSALTVCSSVYVMTSLFGYLLFGESTLDDVLANFDTDLNIPFGSVLNDAVRFSYAAHLMLVFPIVFYPLRVNIDGLLFPKAPSLTTSNLRFGSITASLIAVIFVGANFIPSIWDAFQFTGATASVCIGFIFPAAVILKDRHNQATKRDKSLAIFVIVLAVFSNAIAIYRDAYALFEKNNSTLAI >A05p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24188739:24190963:-1 gene:A05p039580.1_BraROA transcript:A05p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANWRVATAALRRHLRSPPPTIRESTGALSAANQRRSYTTAFADDDDDPRGKWLTLPPFSPTIDAAAVGKELSFGDGDSIVKGSTDGSTTALRWILRCRPDLPRNLVQKLFRLRQVRRQVVVPMSCELQRSQLKRVAAKESLNVGDRIYLPLSVGNDAPPPAKKERFRCSEEERKFVCSLVLYKDPAIIVLNKPHGMAVQGGTGVKTSIDELAATCLTFDKSESPRLVHRLDRDCSGLLVLGRTQTAATLLHSIFREKTSGASAYGVKKNIKSLKRKYLALVIGCPRRQRGQISAPLRKVVVDDGKSDRITVNDNGELVSTQHAITEYRVVESSPHGYTWLELRPLTGRKHQLRVHCAEVLGTPILGDYKYGWQAHKTREPFVSSENTPTKPSPSPFGLDMEGGDVSSKQPHLHLHSKQIDLPNICQLLEKLEVSSDSDISDLDGLKFDAPLPTHMQLSFNLLKSRVESSDNC >A09g512420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:36436851:36437105:1 gene:A09g512420.1_BraROA transcript:A09g512420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGRDQAAINAQLQAANEEIRASLRVITEQLTHIQQRDGPNGPRPPARRQPYQLDQDSDADSTDDTQSREAEHPNRAGDGRG >A01g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24095516:24097131:-1 gene:A01g508870.1_BraROA transcript:A01g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVSAQSGDTDKAKKIREAAIFTISFVACDSPFGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIESLELLQPLQPPFPTPPSTLSALEGPLSPLNIYKNGEFMGITILLLYELGADLLRRGATRHDASSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVDNVVDYLIWTPK >A01p044320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24432317:24435718:1 gene:A01p044320.1_BraROA transcript:A01p044320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 20 [Source:Projected from Arabidopsis thaliana (AT3G22180) UniProtKB/Swiss-Prot;Acc:Q9LIE4] MESEKDCYLLGRDVIKSFGSSLVLLAATEAMVRRHGWQLPADILQMIAVTVFCLLVVAYFAFFAPFAGGRFWEYVLIGVYSPVALLVFVLYVRCTAINPADPRIMTHPLSARDISRSFNESGSQLQSCPSGVSMSSTFAANSSVVRGSVGDDERVEDSVTRKSCYNPLAIFCCVFVLDDCWKQEEEQGNSRVHNSSKHCKSCDKCVVGFDHHCKWLNNCVGRKNYMTFVSLITASLLWLIIEAAVGVAVIVRVFSDKKRMETEIVNRLGSSFSRAPLAAVVGVCTVVTTPACYHLAQLLFFHTLLIKKGLTTYDYLLAIRAMSETPAGASVDEEIQSVLYSPTGSGISGGSSLGPSYRKGVWCTPPRVFDNQDEVIPQLGSHMVPSTVDPERGIKAPKRSVKRSAWKLAKLDANEAARAAARARASSSVMRPIHNHPHLPDHELSSVSTDANVAARKEMRNNGLKPSHSRNSFAAPSQSSRDEYDDESVTLAPLPQYSTVNGHRFPTTTDHTHRGNSADPLFLSAPSTSLLRDVRKTSVVWDAEAGRYVSSAPVTTMPQVRNRNQRAILPPQGSSTLKAHLPLQQAERRLIYTGDSIFFGGPLVNIQTRNNPRSEVREGQERLASTLHQDARYRRDSTSHQLPVFAPPL >A03p019790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8113967:8115199:1 gene:A03p019790.1_BraROA transcript:A03p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Accelerated cell death 11 [Source:Projected from Arabidopsis thaliana (AT2G34690) UniProtKB/Swiss-Prot;Acc:O64587] MADSNAERPLRKISTAFKELAATVSSPSPEVSVAQFSHACSLVSPLFGCLGIAFKFAQMDYVAKVEDLAKASSSVSTLVVMMERDIEANCVRKAGSHTRNLLRVKRGLDMVKALFEEIIASEGNNSLKDPASKSYDQVFRPHHGWVIQKAVALGMCALPTRSQLLTMLNEEVRTYSMSNPVFKIINSGNKAEAKIHMQSYVNASAPVIAYLDNLFLSRQLGIDW >A05g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23912657:23913038:1 gene:A05g508190.1_BraROA transcript:A05g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDILKLKLKTSRSTSVFLDYLLSSDLRLHLSFIGLEKVLIDTNYRLSIDTTFNPSMQLLNYRSTFFLVIFTVRINDHASACALISSNLAVPRRPLPSIE >A02p042910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:26910583:26911041:1 gene:A02p042910.1_BraROA transcript:A02p042910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRNLKTPIHSDAPLDTAPGKIDMHGLIMGSSKDICSLFDAYLPNPSASTHEVSWRMFSTQLRSFSKKNQIKQSSHVTVMPFTNQEIFSSREFRPPEKLEIANLLSDEPTTNSIMPKVIIHVLNVQESLGLDGLHKRIKNILVWTKWKNR >A07p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15219962:15220661:1 gene:A07p026680.1_BraROA transcript:A07p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKHKALPVGFRFRPTDCEISKYFLTTKALEQRMRAPNVPEECHDIFSRRPRDLPGYPRETHWYFYCRKLEGQVTFNSHSIWKQIGEETGVLDPKNNDTLVGIKRPFTFVDHEEPDDILLSDKDESPQYNWFMDVFSLPLTISETDWVLCHVFRKNNEPESEEEGENEEKETVDAESLDLLIEKDGNILPPSPSPP >A05p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4483609:4485278:-1 gene:A05p010610.1_BraROA transcript:A05p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTVKLPDDFPHKAKQLTDEPVEDISKGHTTRVTSSNYKPFNAWVYGAQMVVFNMQGYRKALWMMQYMKKPDFMMIKGSDREVFDPKAKLLTTLRVKVYMVKGWDSGFQQACFSTWSSPNFYTRVGITGVRGDRVMKKALGSYLGRGV >A07p050830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27074293:27077967:1 gene:A07p050830.1_BraROA transcript:A07p050830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKLLLLLKPIDPYPFLQSGGVSLIKNPQVLKYLESRCKVHRNAINLCQEILNKKAVEWKPISRNDVSNPIRDVDMVITVGGDGTLLHASHFLDDSVPVLGVNSDPTQALEVEELSDQFDASRSTGHLCAATVENFEQVLDDILYGRIVPSKVSRISVKLNTEPLLSHALNDVLIAHPCPAAVSRFSFKIKSKDGYTSQKTVNCRSSGLRVCTAAGSTAAMLSAGGFVMPMLSRDLQFMVREPISPGSTATLMHSSFKPDQSMDVNWYSDHGTIYMDGCQVRYNVQLGDTIEISSDAPVLNVFLSQGFSQVRSRMKIQCNVCEAAEAAVLCCADEAALCWACDEKVHAANKLAGKHQRVPLSVSSSSIPKCDICQEASGFFFCLQDRALLCRKCDVAIHTKNPHVSAHQRFLLTGIRVGLECTDTGPSTKPSPSNDDKTNEAKQVTLPTSEPQKMDFDHHHQQVVLRETNVSDHISTKLPFASSGSAAGSIPQWQIEEIFRLTDFDQSYEYMDINGSSKADTSRHGDSDSSSMMRSGEEDGEDNSYCLGGETSWAVPQIPSPPTASGLNWPKHFNHHSAVFVPDISSSTIYTGSSPNQRVGKRRRRF >SC117g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:193136:196570:1 gene:SC117g500040.1_BraROA transcript:SC117g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTDGVCTDGTASVRPGMTVQIRERKGEGGWKAKLPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYACSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVE >SC132g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:81123:81913:-1 gene:SC132g500060.1_BraROA transcript:SC132g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLADSRHQRNKRPHYHPLNPAKSGGGTQKKETNNKLRSEAPMFKLINQSLLFCWRFHEKRLAPQNITLDVIHRCDTTLHI >A06p037980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20515505:20516963:-1 gene:A06p037980.1_BraROA transcript:A06p037980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKSYILRGSVSLALVTLILLVITLLVSEDNPLHTSLFDVKRQFTASSSSSVCNFAKGRWVKDRNRPLYSGFECKQWLSTMWSCRVMGRPDFSFEGYRWQPQGCNMPDFDRFTFLKRMQNKTIAFIGDSLGRQQFQSLMCMATGGEDSPEVQNVGWEYGLVKPKGAIRPDGWAYRFPTTNTTILYYWSASLSDLVPMNNTDPPRLTAMHLDRPPSFMRNYLHSFDVLVLNTGHHWNRGKIEGNHWVMHVNGTQVEGEFLKDIKDAKDFTIRSVAKWLDAQLPLHPRLKAFFRTISPRHFRNGDWNTGGNCNNTVPLSRGSEITGEDADGSVDKTVESAVNGTRIKILDITALSELRDEAHISGSKLKPRKPKKVSNVTSTPPIVNDCLHWCLPGIPDTWNELFIAQI >A06p050630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26612810:26613895:1 gene:A06p050630.1_BraROA transcript:A06p050630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHHYETNPHFARLPSQNQHLKGGGASTSQTPPHQTSKSHPKAAPGIQIKPRDRHGKRPVQEPPHSVIPVPLRPEERLPPRETSNSSKIPVLSSPEEKRPPRKNPNSAKRPLLLSPEGRHQRSPPPQQPQAPRGYATSLPPIAKPTPWRNAPTPSPHRRGGHRTPPPSRDQTNTATWSAAFCCAIFWIILILSGLVVLIVYLVYRPRSPHIDISAANLNAAYLDMGFLLNGDLTMLANFTNPNKKSSVEFSSLTFELYYYNTLIASQYVEPFKVPKKMSMFANVHLVSSQVQLEPTQSRELQRQIETGPVLLNVRGTFHARSNLGALFRYSYWLHTHCSFSLNSPPSGAMRARRCSTKR >A03p004480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1889671:1893081:1 gene:A03p004480.1_BraROA transcript:A03p004480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHPTLALTFTTLLLLLFLLHDANAVTNDELQSLLEFRKGIRDESSNNRIQWAAPDSLTDPTTCLDDWPGISCDPETGSVTSINLDRLGLSGELKFHTLASLPSLRNLTLSGNRFSGRVVPSLGKITSLQHLDLSDNGFYGPIPDRISALWGLNYLNLSANKFSGGFPGGFGNLQQLRSLDLHGNDVYGDVTEIFAELKNVEFVDLSSNRFNGGLTLTVSSISNTLRHLNLSHNELNGGFFSGDSIGLFKNLEVLDLENNEINGELPRFGSQPNLRILRLARNQLFGAVPGELLQSSIPLQELDLSRNGFTGSISEINSTTLTLLNLSSNGLSGELPSSLKSCLVIDLSGNTFSGDVSVVGKWEATPEFLDLSSNSLSGALPNFTSVFSRLTVLNIRNNSVSGSLPSLWDTSGVSQFSLIDLSSNKFSGSIPQTFFTFGSLRSLNLSMNNLEGAIPFRGSGASELLALTFYPQMESLDLSTNSLTGELPGEIGTMEKIKVLNLANNKLSGEVPSDLNKLSGLEYLDLSNNGFNGQLPDKLPSQMVRFNVSYNDLSGVVPEGLRSYPRSSFYPGNSKLILPGGTPTGVPEKERRSKRSIMIAVIVASLAVAVMVLFVLFAYHRTQLKNFHGRNEFADQDSTRDAKSGRSSRPSFLNFGSNTGQHSSSLSFSNAHLLTANSRSLSGIPEPEPEISEQGLPPTTSGRRSSSGSSPISSSPRFSDQPVMLDVYSPDRLAGELFFLDLSLKLTAEELSRAPAEVLGRSSHGTLYKATLDDGHMLTVKWLRVGLVRHKKDFHKEAKKIGSLKHPNIVPLRAYYWGPREQERLLLSDYMGGESLAMHLYESTPRRYSPMSFTRRLKVAVEVAQCLLYLHDRAMPHGNLKPTNIILTSPENTVRITDYCIHRLMSSSGVAEQILNMSALGYSAPELTSASKPVPTLKSDVYAFGVILLELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRRMDCIDRDIAGGEEFSKAMDDALAVAIMCIVSVNERPNIRQVLDQLTSISSP >A04p021640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13068090:13068982:-1 gene:A04p021640.1_BraROA transcript:A04p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSAYGSGAWTVKQSKAFESALATYDQDSPDRWYNVARAVGGTTPDEAKRQYELLVRDIESIENGHVAFPNYKTNGGSTKGRLRDEEKRMRSMKLQ >A10p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4649038:4653942:-1 gene:A10p013720.1_BraROA transcript:A10p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKLKLAVEELEMDHLMLQIQSGRMLDDLSQTKTEKLKSYASKKFQTLMGEIPKAPFPMIQGGSVYLMDKWIKDPSDKEDEMKKTCEGESSKSDAGLPRDGNWYHPPRCGLYLMWIFAGQPAMTRACALLGLNRNEANKLLPYKVGLNRNASLPTEKGRIHLISGGGISKKRSYGGGKKTERSFTGGSTKKRSSGGGLNQRKEEPVGGDAPLVLDGDSVETKQNRKWCGFFLPRKTRDTTAMGRPKVKLAWVEERTRRATVCQRRMKELIQMAEELTIVCDMSACLVFYNRKNGKLVAWPSLEEAQSLIDCYNALPETERNMKADDEESSFIKTITKEIEKKLELSRKAIKELKMDNLMLQIKNGSRMIADLSQTEIEKLKSYTSKKIVYYDRELRKQHPNTSGNEPFLEDDDGEMKIYEGESSESDGADNA >A06p006080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2028597:2032580:-1 gene:A06p006080.1_BraROA transcript:A06p006080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRSSNHFRCISSLFTKSLNPKFSSVSLSFTRPAPHRAMSSSRPSAFDALMSNARASAAKKKTPQASNPPRSPNKRKIEKTQDSDLVKTEASDSAKPGSDSPSIPEDSKKCAKKSRSLTQTDKIEEMKSKIASLKKKPGEFDPERVSCWEKGERVPFLFIALAFDLISAESGRIVITDILCNMLRTVIATTPDDLVATVYLAANEIAPAHEGVELGIGEGSIIKAISEAFGRTESQVKKLNTELGDLGLVAKGSRSSQTMMFKPESLTVVKVFNTFRQIAKESGKDSTEKKKDRMKALLVAATDCEPLYLTRLLQAKLRLGFSNQTVLAALGQAAVYNEEHSKPPPNTKSPLEEAAKIVKQVFTVLPVYDIIVPALLSGGVWNLPKTCNFTLGVPIGPMLAKPTKGVGEILNKFQDTVFTCEYKYDGERAQVHCMEDGTFEIYSRNAERNTGKYPDVALALSRLKKPSVKSFILDCEVVAFDREKKKILPFQILSTRARKNVNVNDIKVGVCIFAFDMLYLNGQQLIQENLNIRREKLYESFEEDPGYFQFATTLTSSDIDEIQKFLDASVDIGCEGLIIKTLNSDATYEPAKRSNNWLKLKKDYMDSIGDSVDLVPIAAFHGRGKRTGVFGAFLLACYDADKEEFQSICKIGTGFSEAVLEERSTSLRSRVIATPKQYYRVGDSLNPDVWFEPTEVWEVKAADLTISPVHRAATGIVDPDKGISLRFPRLLRVREDKKPEEATSSEQIADMYQAQKHNHPSNDAKGEDD >A09g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23503938:23504920:-1 gene:A09g508330.1_BraROA transcript:A09g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDMIIWTPLASGIYSKKSVYFEAANAEPITTPKLQRVGALPLREILQRRGLLADTVTCSHCGEAETAAHVFLHCRFTKRIWKALLLSNPTDPDTLDSFKQATANSGMICLPPSGVIQPSNHLRSPTAIDQEAVICQTDGAWKPKNGDMDFGWIFLDSTGQTLEQGSSTEPYVASALMAETITIREALLQARMLHYSKICIRSDNHVLIKALNSKQRPVEIYGLTLDIETLSSQQFFFIPRSLNNSAD >A01g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6807124:6807595:-1 gene:A01g501950.1_BraROA transcript:A01g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFILISLIPLQAYYFSHLSYLSPRLSHLSHLSPTASPPRLTTTTVALHHHHHGRTPPPPRSHSHHHHDSWGGKVHGGWRRQGPWRLEDGSLVAAGGGNAHGCWKRGKVMEEAAAV >A10p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15964905:15967211:1 gene:A10p024680.1_BraROA transcript:A10p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase E, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G22510) UniProtKB/Swiss-Prot;Acc:Q9FK88] MAASETVLRPPLGSLFSSCHLPSFSLNFSPLLSFKPSSSRKRRGLRCTNTLARGDTFQSPDCVSKGKKLQSTRCRCQRNDIEEDIRSNLLPTDGLKSDLDEMPLPVNGNLSSNGSTQSAGTNSIEDEAWDLLRQSIVYYCGSPIGTIAANDPNSTSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCHSPGQGLMPASFKVKTVPLDGDDSMTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDVSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALVCAREMLTPEDGSDDLIRALNNRLVALSFHIREYYWLDMKKINEIYRYQTEEYSYDAVNKFNIYPDQIPSWLVDFMPNRGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATNDQSHAILDFVEAKWAELVADMPFKICYPAMEGEEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMGRPEIAEKAVELAERRIAIDKWPEYYDTKRARFIGKQARLYQTWSIAGYLVAKLLLANPSAAKFLTSEEDSDLRNAFSCMISANPRRTRGRKKTQQPFIV >A02p059730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35607565:35609436:-1 gene:A02p059730.1_BraROA transcript:A02p059730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLELSLLCTETINVGDDDMIFGREVSNFQMGFPLESEEIIREMMEKEKQHLPSDDYIRRLRSGDMDFNARRRKALNWIFKACEVHQFGPLCMCLSVNYFDRFLSVHDLPYDKAWTMQLLAVACLSLAAKLEETSVPRLLIDLQVGHPEFLFVAKSIQRMELLVVNRLEGRLRAITPCSYISYFLRKMSKSDQEPSNTFISISLQVIASKAKGIDFLEFRPSEVAAAVALYVSGELHTVQFDNSSFSPLFSPLQKERVKKIGGMIESGGSGLCSQTPSGVFEVSASCFSIKTHASSY >A01p018020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8777421:8777765:-1 gene:A01p018020.1_BraROA transcript:A01p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSNTVLCYSLAALLVLTLAGSVRNGQLLGDGEDNLPAMETKGRRSCEVWRGKQMMERPCEEIYMVEEGETLHSISDKCGDPFIVERNPHIHDPDDVFPGLLIKIQINLPTS >A02g510560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28053762:28054379:1 gene:A02g510560.1_BraROA transcript:A02g510560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSNGHESTSSNKRYRRSQRVINVPCFPVFTGRGQNQNLENHEEAYLEPGEIEENREEWVIAIKDKMKQTLREDATTSWDKLCIYRVPHYLQENDKKSYFPQTVSLGPYHHGKIHLMPMEHHKWRAVNKVMKRNKQQIEMYIDAMKQLEEKSRACYQGAIYMSSNKFTQMLVLDGCFVLELFRGTVDGFPEIGYVLLPKFSGL >A06p011280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4149376:4151898:-1 gene:A06p011280.1_BraROA transcript:A06p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVPALAYTNSTISHSDQLKEGNFDVNSLELNEVGVLRVLTTMRDDPYLALSFLKRIEGNGASLPSVSAYAAVIRIVCSWSLDEKLSHLFVGIIRKGDEGRGFSVVDLLNAVGEAEEDEKLSFLLRSRVSSALVKAYAEVEMFDEAIDLFWGIEQLRWDADADTYVVVVQALYRKGDSEGVEKFLGNLLSSERRKRCGFYMDFVEGLCVNELSLVGYILLRPLRDANILVDESDLAIAYRKVVKGLCNEMKLEEAESALHDMEECGIDPDVSVYSAVLEGHRKNMSFSKALRFVNDKVAQQRKGIISPVILSSILQCWCQMGKFSEALDQVIAFRNLNITLDRFCYNVAFDALIKLGRVDEAIELFREMTCEGIDPDVVNYTTLIGGCCQQGRCEQAIDLIMEMESKGKPPDIVVYNVLAGGLARNAENAYGILKLMEDRGVKPTSVTHSMVIQGLIVAGKIEEAEALNQSLEEHKPGGHEAGIIKGYCEAGRLDEAFERFIRLDFPLPKNVYFTLFTSLCAAKDIDKAQKLVERMWELGVEPVKSMYGKLIGAWCRVSDVRKAIRFLKVLKGREIVPDLFTYTIMIKTCCRLNKLKQADALFRDMKKRGIRPDVVTYTVLAKNNPEEIYTDMKEYGVKPDVFYYTTVINTYYRLNNEKRAHAAIQEMTRGGIVPDVATYTALINSYYRLNNGKKAYDLFREMKRRRICPDVVTYTVLLDHDPKLDMKREMRDLGIKRDVHYYTVLIHHKCKTGEVEEAERIFQEMKESGVEPDGVSYRAIIAGCLRNGYVRDAKRLYQEMREKGIEPPQTEASKNVVLHTKSNTETRVSRTKIQEALY >A10p008590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8390763:8391888:-1 gene:A10p008590.1_BraROA transcript:A10p008590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIRVKVIRLWKHYSAAAGETMEMVLVDAKGDKIHASIKKELVCQFDPFFSQGYLLDHLRGFQPVTYRSILDGTLDPDFLVYVIGQIVEVSHVKVVSVNSKDTQKISLELRDSKFAVDVNDAIQLRSE >A10p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15453328:15455688:-1 gene:A10p023710.1_BraROA transcript:A10p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGEKGLSQTRLSRVPPPVKATTDRDDTTQSWRSRTHTQEVENQGYVSPPYTNRRDVRRERNYTRTPFPQRGLSEWRIKPTNLHSVMEQSEQIQDENQRTQRDLPAHKSLHQTQGEKQAEDQVLKELDEATRLYLSCPDPTEAAARKQRVMNGDAKGQREETAAFILNSRSLHSTIPPGMSQEIPNNSKQTKEQIMEDLQEVTKQYLSCTDPIEAVARKQRVLTGDASGLMEETAASILAASEPPSRPLSPWERGIRSVSPQAHDNPLNTLFLADHTVVLSPQGGDDKEEDTGLDFYYYEVSPLQPPVSPTARKTRPQTRGNTAGISKQSKKDQKPLKGQKLKK >A03p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8892061:8894516:-1 gene:A03p021920.1_BraROA transcript:A03p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRFTAKRSLQNVSASLLRRCISSTSQTASVKDTDEFLARLPPFDYTPPPYSGPSADEVLNKRKEFLSPSMPLLFRKPLNIVDGKMQYLFDESGRRYLDAFAGIAVVNCGHCHPDVVEPVINQIKRLQHPTVMYLNHAIADFSEALASKLPGDLKVVFFTNSGTEANELALMMAKLYTGCQDIVSIRNGYHGNAAGTMGATGHSLWKFNVVQTGTHHALNPDPYRGVFGSDGEKYARDVQDLIQYGTTGHIAGFICEAIQGVGGIVELAPGYMSAAYDIVKKAGGLFIADEVQSGFARTGNFWGFEAHNVVPDIVTMAKGIGNGFPLGAVVTTPEIAGVLTRRCYFNTFGGNAVATTAGLAVLNVIEKEKLQENASMVGSYLKGKLSQLKEKHEIIGDVRGRGLMLGVELVSDRKLKTPATAETLHIMDQMKELGVLVGKGGYFGNVFRITPPLCFTKEDADYLVDAMDYSISKM >A02p002460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1042571:1044253:1 gene:A02p002460.1_BraROA transcript:A02p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRNPRSPTSLLNWVSHKVSTFKKPQPPINPRRSLSSTTTSKLPRNEIRRLTQLAMFDYFYNNRGLQFLIAESMSKHAPLFNDTLLNQLHNDSASCGDDVIKSITKFLLYHPVNEFEPFFESLGLKPSEFSPLVPCDKMFLNEDVFLLENYHVFWNYGIGREKMGKIFKEAREVFGYESGVLASKIESLERLGFGKVFVSKLIVCTPRVLTGETILEMVSVVDTVGSDWVLENLSEGGSYDWRCIHRCLAFLRELCGGDESEVLELIKNRPGLVLEESGEWTMILAGFQTKLGCSRSELVMRLPPQSSQEVGKCVSNLRHCFLFLRGIKMEAYEIGKVFRNHSHWLGESRLKHTSTFLNNLKGGKKRLCQVIQENPEEMKKWTMGLRVTPLPGTDVVDVVGSKAMKTQFLLELGYEEKEMEKALRCFRGRGSELKERFEFLKSLGLSEGEAKEMVKTSPDVLTQASGVLEAKVDYLVNELGYPLSTLVAFPSCLKYTLERMKVRFAMYNWLQERGKADAKLAISTILVYSDKSFVTRFVNRHPDGPKYFEELKRTASL >A02p003700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1641117:1644773:-1 gene:A02p003700.1_BraROA transcript:A02p003700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G09860) UniProtKB/Swiss-Prot;Acc:Q93VM9] RSYCFFSSFLFFKLLASAQTAFAVTLRRRRKLIISVRVSFTMDAFRDSILQRGPIESFALKTVQDFIQPQRQTKLAQDENQMLENMLRTLLQQLVASAAQSGEQIMQYGQLIDDDDDDDKNVHGHGQIPHLLDVVLYLCEKEHVEGGMIFQLLEDLTEMSTMKNCKDVFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSGISVDFNFYKTFWSLQEYFCNPASLTTASTKWQKFSSSLAVVLNTFDAQPLSEEEGEANSLEEEAATFNIKYLTSSKLMGLELKDSSFRRHILLQCLILFDYLRAPGKNDKDLPSETMKEELKSCEDRVKKLLEITPPKGKEFLRAVEHILEREKNWVWWKRDGCQPFEKQPIENKSPNAGHKRRRQRWRLGNKELSQLWRWAEQNPNAEKDSQRVKTPDIADYWKPLAEDMDPSAGIEDAYHHKNNRVYCWKGLRFAARQDLEGFSRFTDLGIEGVVPVELLPPEVRSKYQAKPNEKAKRAKKDETKGGSLEEETKAVSAEGALVGGSTSEAEGEVGRGESEAMESDAIADTPTPEEQQRLGGSDTDNGQEAGQIEEAETEEGGLMDTDLNHPPMPIS >A08p046240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25211894:25214443:1 gene:A08p046240.1_BraROA transcript:A08p046240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSIVPGNPDSDSISEEEEEQIDVSLGENDEMLCPRKRITTPNKARWTHRFCLIFAFGRLHFRKELAYVFFLSFPGGEPNYAEETGYISLEATPRKISSSLEEVPDSPEESYFASSTRDGLTCISPHETVPCDEMPHDDPVATWSAISKEAKSLLHLNGIAPISSSHSSALRAKRGSKVVKDNARPKFSFHSHAHGEKSSKISDMAEYFEPPDDDQAAIEEDPIAECPNDSDEISDNTEDAVSMLLIPPPDKIRVTKRSSKSYSRRQGKCLKFAHKGSSTNIQDSDSADDELPGPMDSGSSTDDEPTCQSSVPNISNQKRQFVGDLFNEAVKASSLNKEGHSFDSPKLSGGSSLYGKLQQIMKQEKEMEIEITKKLQCGMGQADASSYIDIKIMSRHLEGKLIVCKCSVIDLPVDSLLFKNTQALAAKDTETTVIFNPKVCVDVDIEIGRFVRVHAPWKEMEVNNTKEVIILCSYFSSL >A04p037930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21606431:21608206:-1 gene:A04p037930.1_BraROA transcript:A04p037930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHQKITCVSSSHQNLVEKLMQLQERFSHLQAVRKEGRVNDHAVLEAQISQNLREWQAELTAPSPECSLLGGSISHFSEEIARLLKLNDEEDDATSSLKEHDAPKPDGFDQSLCPPPEHLEWIDEPFCQSPFNVNFSCGFEEAVNNNTQPHDQQLHFAFQEFDPSINTAPDFHVQSNLDIASQLDYHLSEVRQEFNSSPSVKLDASENYTDFTTPQSVCVPPPPSAFLGPKCALWDCTRPAHGSDWYLDYCSDYHGNLALNEDSPGTAPVLRPGGISLKDNLLIDALRAKTLGKNVGILVCEGAVNTKFPWNAAELFHLELVEGETIREWLFFDKPRRAYDSGNRKQRSLPDYSGRGWHESRKQLMKEQEGQKRSYYMDPQPPGLFEWHLFEYQINESDVCALYRLELKLTNGKKCPKGRVAKDPLADLQKKMEKMGQLTPEVASDKPSPPTKGRTKSTKGVKQ >A03p046750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19768970:19769596:-1 gene:A03p046750.1_BraROA transcript:A03p046750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIKQDTNKDADADSEFKLNWKRVAITSMFGLGFVGPVGHFWYEGLDKFIKLKLRYVPKSTRFVAAKVAMDGLIFGPIDLLVFFTYMGYATGKNTSQVKEGLKRDFLPALALEGGAWPLLQIANFRYVPVQYQLLYVNIFCLIDSAFLSWVDQQKDAAWKQWFTTPFLTLKERGGTGGV >A03p037130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15549848:15551792:-1 gene:A03p037130.1_BraROA transcript:A03p037130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNQKNNNFKDTKIFVGGLTWRTTTDDLKNFFQVRFGEVIDANVVSEGLPGGKLRSKGYGFVVFRNAESANTVCQLPGPVIDGRKTNVNMAYIGLFNQAASHQYQLASHNPNQHVPQPYWAPYYGHHPYMYNVPCYSYPTAMVSVQMHQYVLAYRMQRNWERLINQPSVKVSAPPNAPIVENNEDEADTETDSDQEGDINGEDNDINQDVNDQELGINEEDADTEADSDQEGEISAGEDNDIEQDVNDQELEINEEDADADTEAESDEGEISAGEDNDINQAVNDQDLEIMNGQEDDTKQEVDVTKQLANGVEVTLQCEIVCEEEAENTPQENGNGHEENGEQEEGEKKEYIKERVREAI >A03p030710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12858602:12861943:1 gene:A03p030710.1_BraROA transcript:A03p030710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQMGSPLIYRNSLVKRIQRPRSFTCTIVAKTTRADESPVVLRRSANYQPSLWDHHHLLSVENKYTKDESVHERDLLKENVRKMLNDERTTHLEQLELIDDLQKLGVSYHFEREIDNILTFTYHKDRRNFVEYDMEYDLHATALEFRLLRQHGFNVPEDVFDVFMENCGKFDSHDINGLLSLYEASYLSTKSDTKLQKNVIFATQQLKNFVDTHGSKDLASCDVEMVVQALDMPYHWRMGRLATRWYIDMYGKRLHRNPMLLEFSKLDFNIVQAVYQEELKYVSSWWRETGLANQLHFSRDRIVENYFWTTGQIQEPQFGNVRRIITKVNALLTTIDDIYDIYGTLEELQLFTAAFENWDVNRLDDLPEYMRLCFLVVYNEVNNIGCDILRNKDINVILFLKKSWADVCKAYLVEAMWYKGGYKPNLEEYMQNAWISISSPTIFVHFYCVFSDQLSVQVLETLSEHQQNIVRCSSSVFRLANDLVTSPDEMARGDVLKSIQCYMNETGVSEEKARMHVHKMINDMWDEMNYEKIEDHSSLIPQDFAETIINLARMSQCMYQYGDGHGCPEKSKVIDRVMSLLFSPIPLD >A04p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6476286:6477758:1 gene:A04p011750.1_BraROA transcript:A04p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDPKLSEEAIQLPTSTIEQPPSLSERLLIPTLLAGAIGGGVGLLSKRRKAHPNIPATYATNSAIVAACYCGARELVKVTRKSQDDDLMNSAIGGLFSGALLGRLQGGPRGAFRYSIAFATVGTAFDYASLRSKPFVERVRNMDSITLPVWFPIQILDEEALAKKKAEEQKLFPRLNKEES >A06p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5758741:5760143:1 gene:A06p012600.1_BraROA transcript:A06p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAFRLNRLSTWLQVFVSYNYTHSRYNYNVVSPTLIQYHHTAMNGGDGPSSYARNSSYQRGAIDAAEALLRKEIHKRLDLTNHTFSTFTIADFGCSSGPNTLLAVDIIIQALLHKFSSSMANDKTPEFQVFFNDLSHTDFNALFALLPPQSQRPYFLAGVPGSFYGNLFPKASLNLAYSSCALCWLSDVPPELSDTTSPAYNGGRIHYTGASKEVAQAYACQYKKDITSFLVARSRELAEDGLMALIVPGVPDGFLCSQASTGSEFDLVGSCLMDMAREGRIKEEDVDSFNLPIYYTTPKELEEIIRCNGELKIEKMGTLDGVEAHDTMPDLESRVLYLRAVLERLIRTHFGHQILDELFDRYSLKLAQSSFFLYPRTHKSIMIFTLLTRLRYI >A09p025010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13914687:13915115:1 gene:A09p025010.1_BraROA transcript:A09p025010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMMVQSWEEALNFITKRSIGLSLERHRNEFALVAAIVKEVTRLLPSSRRMRRRRRLGIGEVFIRALTATFLFALFVSPMRRTPDVNFLKIGNLLVGFPFLVVVLHKILC >A05p008020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3268884:3269909:1 gene:A05p008020.1_BraROA transcript:A05p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSHWCYSCSRFVLITNSISCPDCDGGFLEHLHQTPDSFHRQHRSPTRFPTPPTSNRSPNPVIVLRGSNPSDRSPFQMYYDDGTDSAREMPCNHIYHPDCILPWLAIRNSCPVCRHELPAEEEDDVAATTVAGREEAGEEDSSAGMTIWRLPGGGFAVGRVPGGWRGGMPVVYTEVDGGRLGEERLPRRVARRGGSSRERSGGFAGRIMRLFGCFSGSSGSIASGSGSGSGSRSNRRSRSSFALFRTASSRRQHWLAS >A05p011450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4886188:4889113:-1 gene:A05p011450.1_BraROA transcript:A05p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVRSSPGPSHQPPPPPSSSAASVPVVPPISRHLAFASTKPPFHPSDNYHRFTPSHLANNLVNGCGGLVDREEDAVVLRSPSRKRKTTMDVVTTTTSSTSNGFTSSDGFTSSPCRTPVSAKGGRVNIKSKSKVNQSIPQTPISNIGSPATLTPSGSCRYDSSLGLLTKKFVNLIKQAKDGMLDLNKAAETLEVQKRRIYDITNVLEGIDLIEKPLKNRILWKGVDASGPGDEDADVSDVQAEIENLSLEEQALDNQIRETEQRLRDLSENEKNQKWLFVTEEDIKSLPGFQNQTLIAVKAPHGTTLEVPDPDEAVGHPQRRYRIILRSTMGPIDVYLVSEFEEKFEDTNGPAEPPPPLCLPIASCSGSTENHDIEALTADNTGTTIEDQLSQVHAHAQPGETSDLNYLEEQVGGMLKITPSDVENDDTDYWLLSNADISMTDIWKTDSGIDWDYGIADVSTPPPMIGDISPTAIDSIPR >A09p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:152762:154256:1 gene:A09p000280.1_BraROA transcript:A09p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEE50 [Source:Projected from Arabidopsis thaliana (AT4G00231) UniProtKB/TrEMBL;Acc:A0A178V4E4] MEASIAEQVIQPLLLASDSSHSLEECLQFLIESSKTDSGRIDLASKADILPSILALLQLLPYPSSRHHLNLSLKVLRNLCAGETRNQEAFVDHNGSLVISELLDSAIGDAETVRFGLQVLANVVVMGENRQRDVWLRFFPERFLAIAKVRRLETCDPLCMILYVCFDGSSEIASQLSSDDGLNIIAETMRAVGSVEYYWLKLLVSRLCVEGDCFPGLFSKLANHKDSTFTSEHAFLLSMVSDIVNERLKEVSIPKDTAHFVLGLLRQSVQVFDFASAERSELPTGSAVIDVMGYSLVVIRDACAGGSLEELKNDSSGGNVDMLLSSGLIELLLDLLRKLEPPTTIKKALKQSPTSSSGKPCSYRGFRRDIVAVIGNCAYRRKEVQDEIRERDGLLLMLQQCVTDDENPFLREWGLWCVRNLLEGNEENQKVVAELEMQGSVDVPQLREIGLRVEIDPLTSRPKLVNDTT >A02g511590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31024711:31024987:-1 gene:A02g511590.1_BraROA transcript:A02g511590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPLVTTSGESRSTQLCISFHRKPPPVYRCDTRHADDREQSRSSHQNVAETRKLTGEDDHLNLFSIFC >A08g510560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24302801:24303441:1 gene:A08g510560.1_BraROA transcript:A08g510560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTCKTTKSVNYCYESMIARALAHIVKVGIPDECPQDCDIYYGPKKSVFVGYHSVDIVAIEIFKGQLVAPCKMSNDTKIVNQGYVRTVHPTLRSHHLLSDFCCVIWMRLKEETSSGN >A08p040500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23069203:23076448:-1 gene:A08p040500.1_BraROA transcript:A08p040500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLSSRDQQSLVSSFLDIAVGQTAKTARQFLQATSWNINEAVQLFYAGGEGGSMLASGTHTHPMGRRSRRAAARARRERMRNDADGVRAPLPVVTETLYRDSMYYVGNYERELASLIDFIDFSEEPKRSGVWEPDEVGSSASTSGPRNSLASLYRPPFHLMTHGSFEQVKITSTAEDKWLLVNLQSTTEFSSHMLNRDTWANEAVSQTIKANFIFWQAYDDTTEGRKVCTYYKVESIPVVLVIDPTTGQKMRMWSGMVEPETLLESLVPFLDGGPGTHFASLSRKHPRGSFSLAPHSKPKDEEEEETQQALAASLENNGMKESSSDDTSPITTPEEAAVEATVLPTYPPLPEEPKGGDRSVQCRVGIRLPNGQRLQRNFLKTDSIQLLWSFCYSQLEESEREKPLKLTQAIPGESKTLEYESNLTLEQSDLAKGDSEEMSSSYNNSISSSSTQSFLLVSAATGANNSNREETAMTMIQQPNSVAPLPPPKKRRNQPGNPNPDAEVIALSPKTIMATNRFLCEVCNKGFQREQNLQLHRRGHNLPWKLKQKSKQEVIRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKFKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQESTRNPTVSFTAMAAAAGGGGSRPGFYGSAASALSHNHFGNNSNTSFAPLAAGYNLNRSSTEKFEAFLPQSSNPNPGPTNFLMQCPSNQGFLSQNDQTLMNQHGLISLGDNINNNNNNNSLFNLGYFQDNTKNTDHTSVPSLFTNADNNDPSALLRGLNSSSSSSAVVNDFGDSDNGNFQGLMNSLAATTDHQGRSGSSLFDLHFGNNLSMGGSDRLTLDFLGVSGGNVSNVNGSGRSGAPLDVDMKFPRPNNPFEKS >A07p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:728857:730939:-1 gene:A07p000400.1_BraROA transcript:A07p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCPYIMWRSGGEDLQGFYPVRPECLADVPRTRFKSRAGKTLSARRWHAAFTEDGHLDMEKVLRRIQRGGIHPSIKGAVWEFLLGCYDPDSTFDERTKLRTLRREQYAAWKQECKTMVPVLGTGTYITMAVVQQNGEPIDESSVENQGWVVEETVRDERVLQWMLSLHQIGLDVARTDRYLSFYEDGANQSKLWDVLAIYTWLNLDIGYVQGMNDICSPMIILFEDEADAFWCFERAMRRLRENFRATATSMGVQTQLGVLSQVIKTVDPRLHQHLEDLDGGEYLFAIRMLMVLFRREFSFLDALYLWELMWAMEYNPNMFATYEELEDRNNNNNAADDPKLLKRYGKFERKYVNSGKNERHSNTIAVFVVASVLQTKNKRLLKEAKGLDDVVQILGDIAGNLDAKKACKEALKIHEKFLKKANRP >A05g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10817085:10820851:1 gene:A05g503820.1_BraROA transcript:A05g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair specific 6 [Source:Projected from Arabidopsis thaliana (AT1G51880) TAIR;Acc:AT1G51880] MKYFHGFLLFLITAYDILESVQAQNQSEFISLACGLIPEHATYTEKSTNIIYTSDANYIDTGLVGRIRDEYKVLQQQTWTLRSFPEGTRNCYNFNLTAKRKYLISGSFLYGNYDGRNKIPMFDLHIGPNKWTSVILDAVGNASVYEMIHALTQDRLQVCLVKTGETTPFISSLELRPLDNETYVTESGSLITVSRFFFAPTPPMIRYDEDIHDRGWNPYLLDEQMVSISTDILVDTEDNFYDVPQLVAMTAAVPRNASQPLTLYLSLDETTAEAYIYMHFAEIQKLGANENREFSITFNDDQVGDAKFRPPNFTITTLFTPEAISSLDGNFKLTFAMTGNSTHPPLINAVEIYRVLELTEPETNQDEVSAMVNIKRSYELEKKVSWQGDPCAPKAFRWEGLNCNYPKYEPQGIISLNLTENKLTGSITPEFAKLTQLVELDLSNNDLSGDIPAFFADMKSLKLINLSGNPNLNSTIPNSLQKRLTSNSLTLILSEIPLKESKKVPVIAIAAPVAGMFALVVVLAIFFVIRKKKSYSTAAPGPPSVTTGTVQSETRSSNPTIITKDLRVTYPEVLKMTNNFQRVLGKGGFGTVYHGNLDDAQVAVKMLSHSSAQGYKEFNAEVELLSRVHHRHLVQLVGYCDDGDNLALIYEYMANGDLKEHMSGKRGGNVLTWENRMQIAVEAAQGLEYLHNGCQPPMVHRDVKTTNILLNERYGAKLADFGLSRSFPIDGEYHVSTAVAGTPGYLDPEYHRTNSLSEKSDIYSFGIVLLEIITNQPVIDKTRERTHINEWAVLMLTKGDIRNLIDPKLMGDYDTTGAWKIVELALACVNPSSNRRPTMAHVVIELNECVALENARRQGSEEMSARSSVNFSLSSASEFIPGAR >SC138g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:237454:238124:-1 gene:SC138g500050.1_BraROA transcript:SC138g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDGLIWTELPPHNRRESSIGPNWPSRGWLGIYRKLQPIRFRLVAARVLLRMAPDACTAAPRAPHGWLHVQDTGRPPPLLPDVRLHDWNSCKATHILTHVDQHASVACVAISRAWPLHLVLQYVRLHGLLPCTATRRASVDTQLAGQLTPRSEPMQRATSSFSVNLRDFGPSGEFLTRD >A01p058350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33149314:33150631:-1 gene:A01p058350.1_BraROA transcript:A01p058350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIANFSILLLVFFIFPITFAQLRVGFYDNSCPNAETIVQNLVSDEFESDPTITAALLRMHFHDCFVGGCDGSILLNSTDSERFVGPNLSVRGFELIDEIKAELEAQCPSNVSCADIMALATRDSVALAGGPSYNIPTGRRDGLRTNANGVFNLIGPTASVAAFLSFFGDKDMNTLDAVALLGAHTVGVGSCDLFQDRLVNFNGTGLPDPSMDSDLVANLTTICEASENPSTGLDRSTPLTFDNAFFGQIRVRRGVLQLDQRLATDEATSSVVAQYAADNDLFKRQFAIAMVKMGAVDVFTGEDGEIRTNCWAFNNN >A10p000730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:372283:373979:1 gene:A10p000730.1_BraROA transcript:A10p000730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLGMRTEIENLWVFALASKCNIFIQEHFISLLIAVAITWFTLTIVFWSTPGGPAWGRYFFTRRFTSGCNQKNKNIIPGPRGFPLVGSMSLRSSRVAHQRIAAVAAMRNAKRLMAFSLGDTKVVVTCHPDVAKEILNSSVFADRPVDETAYGLMFNRAMGFAPNGAYWRTLRRLGSNHLFNPKQIKRSEEQRRVIATQMVNVFARNAETAFGVRDLLKTASLSNMMGLVFGKQYELESNNNVESECLKGLVEEGYDLLGTLNWTDHLPWLAGLDFQQVRFRCSQLVPKVNQLLSRIIHEHRALTCSFLDVLQHSLHDSEKLSESDMVAVLWEMIFRGTDTVAVLIEWVLARIVMHPKVQSTVHDELDRVVGRSKAVDESDLPSLTYLTAMIKEVLRLHPPGPLLSWARLSITDTTVDGYHVPAGTTAMVNMWAIARDPHVWENPLEFKPERFVAKEGEAEFSVLGSDLRLAPFGSGKRVCPGKNLGLATVSFWVATLLHEFEWLSSANANPPDLSEVLRLSCEMACPLVVNLRSRRKIA >A06p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16749324:16750821:1 gene:A06p029850.1_BraROA transcript:A06p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSRTGDMTDCLGDFGPLGLSVQYTQDVRGCPPAHTGRLWLSVSTHITSFAVCVCLYVFSTHRTSVAVHQSTYQHAGPWTQHAGPSRGLFGDFGPRGLSVQYTQDVRGCAPAHTGRLWLSVSIHRLSVAVRVCMCVSVSTHRTSVAVHQYTYQHGDFGPRGLSVQYTQNVSGYPSAHTGRPCVSVSTHKTSVTVRVCPSAHTERLWLSISTHISMLVLRLSTLTLHDVRGCPPAHTGSPWLSVSTHRTSVAVHVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPCCGLFGTSVGVRQHTHDVCVCPSAHTGCPLLSVCVCVCPSAHTGRLWLSISTQISKLVLGLSRVTLPVDCSGRLWLSASTHRTSVAVRECPSVSVSTHMTSVAVHHTLALPVDCSGDFGPRGLSVQYTQDVCGYPPANTV >A01p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28066709:28069799:-1 gene:A01p050000.1_BraROA transcript:A01p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRQRSGAAAAVHHQRQLSDNLLDMSSSNGRWLQSTGLPHFQPSSNDYGYYGGGQGARGYQNAQRNDFFGEPTTPQYGSRPSSQRRNNDESEFSPGLLDLHSFDTELLPEIPVSSQLDGPSMFNPSQSQSFDDFEAYNKQPNRSRALADNLAAEKEKMNAVAKIKVVVRKRPLNKKESVKNEEDIIDTHSNCLTVHETKVKVDLTAYVEKHEFVFDAVLDEEVSNDEVYRETVEPVVPLIFQRIKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLSERKKLCMREDGKQQVCIVGLQEYRVSDTDAIVELIERGSATRSTGTTGANEESSRSHAILQLAIKKSAEGNQSKPPRLVGKLSFIDLAGSERGADTTDNDKQTRLEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNGSKKDVSSSTLNLRESTKVPLSTALPTPPSNYEDDVNEMWNEENDDFDASDYEQEKQMWKKNVKPEVSYAQERIPKPNIPMKSRDMPRPDMKKSNSDDNLNALLQEEEDLVNAHRKQVEDTMNIVKEEMNLLVEADQPGNQLDGYISRLNTILSQKAAGILQLQNRLAHFQKRLREHNVLASTTTGY >A01g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12029318:12039347:-1 gene:A01g503970.1_BraROA transcript:A01g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGVATCMPLLNIMDKLPEKTLCGGNSDTKVPENGHTSISTNNKLPESQPDKPSASQPPKKKKIVKVIRKVVRRKPKQPQKQAEEQRKDQVVQLSGESQVQKKEQDKKSELLQGKGGESSNKEENGGDSGFKDEVEEGELGTLNPHGDLETGEISPVKSLRNSEIEKGEISGDCSNLQYDKSYVERRDLPADKYRKEEREFRSWRDPGNEIEKGEFIPDRWHKMDTVKDDHSYNRSRRNGVDREKTWKYDYDYEHERTPPGGRFSNEDTYRRRDFRSGNDRATRVSSKIVIEESLHKNEYNDPNNLGKEYSSTVNKLKRHGAEPDSFERKHLYADHGDYGSSKYRKLSDDCSRSLHPDHYSRNSVERDYRDSYPKKHQDSYFRARAVSDRHGHSPARSDLSPHDRSRYHGHRDRSSHNRERSPYARERSPYIFEKPSHARKRSPHDRSHHHDYRRSPSYSEWSSDRRDGTSKYMEDPQSDRTRRNGHRDISRKSGVRERRDSQTGMELENKQRHRDSNGKESTPSRKELQGKNILNNNNPVVEKNSVCDSSKIPSACAKGKESVQVGEATTEELPSMEVDMDICDTPPHEEPMAADSSLGEWFYFDYYGTEHGPAKLSELKALVEQGILFSDHMIKHSDNNRWVTIENATSPVVNINIPSIVSDAVTRLVNPPEAPGNLLEDIADAVKAVHMEQGAEDSLPESLSIPDSNDTVVGHHEDFQFDNRIASLLDGYTIIPGRELETLGEAVQIKVELEETRRFVSSEDIIWCYYQVVNQLLLNEESSERSEPKAMAIEESKSENVDCSESDEIGSWFSGRWSCTGGDWIRHDEAFQDRDYKKKMVLNDGFPLCLMQKSGYEDPRWHHKDDLYNPRSRSRLELPLWAFSGVDERNQARGVKASVLSVVRLNSLVVNDQVPSVPDPLVKVRGGEKFSSRHARPSPASSDSKRESVESISQSSACGSQDMQGFWRTDASVSTPGDRLFTVDDLQLHLGDWFYMDGSGQEQGPLPFSELQILVAKGLIKRHTSVFRKSDKIWVPVTSITKTSEISGKGKAPALPSDCQSLDVSESQDFKHSEMDTSLSSFHAMHPQFLGYFRGKLHQLVMKTYKTREFSAAINDVLDSWIHARQPKKETDKYMHPYSEFDSSSYTKRARLMAGESGDHSEVKDAQIFQKDESTFEDLCGDATFHVEGSGSSGSVGMYWDLLDGHVLARVFHLLRYDVRSLAFASMTCRHWKAIVSSYKDISRQVDLSSLGPNCTDSRLWSIMNTYNTEKIDSIILVGCTNVTSSMLEEILRLFPHISSIDITGCSQFGNLTLKYRKVSWLKCQHPGPGDLHSRSRSLKQTNVNKSKGLGGDTDDFGNLKDYFDRVEKRDSANQLFRRSLYKRSKLYDARKSSAILSRDARIRRWAIKKSEHGYKRVEEFLSSSLRGIMKQNTFDFFDLKVAHIEEKMKNGYYVSHGLKSVKEDISRMCREAIKERNRGDSKEMNRIIILFIQLATRLEEVSMATSSYRRDELMKSWQDGSGLSSASKYNKKLSKSVTEKKYMSRTSDTFGVNGALDYGEYASDREIKRRLSKLNRKSFGSGSETSSELSDNDNYSSASESESDIRSEGRSQDSRVEKYFTADESFDSVTEEREWGARMTKASLVPPVTRKYELIEEYTIVADEEEVQRKMRVSLPEDYDEKLNAQRNGIEELDMELPEVKEYKPRKLLGNEVLEQEVYGIDPYTHNLLLDSMPGELDWSLQDKHSFIEDVVLRALNRKVRFLTGSGNTPMVFPLRPVIEELKENAREECDIQTMKMCQGVLKAIESRSGDNYVSYRKGLGVVCNKQGGFVEDDFVVEFLGEVYPVWKWFEKQDGIRSLQENKTDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGHYQIGIYSVRPIEYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGLLDRHRLMLEACILNSVSEEDYLELGRAGLGSCMLGGLPDWVIAYSAHLVRFINFERTKLPEEILKHNMEEKRKYFSDIHLDVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRRVFGDPKNAPPPLEKLTPEETVSFLLRDEIRDLPCTYKCRNDAAADLVHIYAYTKCFFKVREYKSFMSSPVNISPLDLGAKYADKLGEGIKEYRKTYGENYCLGQLIYWYEQTNTDPDLTLVKATRGCLSLPEVASFYAKAHKPSKHRVYGPKTVKTMVSQMSKQPQKSWAKDKIWMFKSTLGVLGSPMFDAVVNNSSLDRELLQWLKNRRHVFQATWDS >A09p068610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53696231:53701969:-1 gene:A09p068610.1_BraROA transcript:A09p068610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of mec-8 and unc-52 protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G26460) UniProtKB/Swiss-Prot;Acc:O48713] MCGRARCTLRPEDVRRACHRHGSPARFLHLECYRPSYNVAPGSYMPVLRRDKDGVAVHCMKWGLVPGFTKKTDKPDFFKMFNARSESVAEKASFRRLLPKNRCLVAVDGFYEWKKEGSKKQPYYIHFKDERPLVFAALFDSWQNSGGETLYTFTILTTASSSALDWLHDRMPVILGDKDSVDTWLDDPSTSKLQPLLAPYEKSDLVWYPVTSAIGKLSFDGPECIQQIPLKASQNSLISKFFSAKQPKTEERDKETRSTGPKEEPILEGVLVSDSNDEIKQVDEEKAEPLVGDSSAIASPPESVKKEGESVETGGLELTGKSSGRKRDYELFSAQEKPWKQQQSVVVRMQDDKSCGKHGRKKQGKGNSKETQSTLHFFFDEKYSSKSNYKEKIAAARRKEEKSEDSDSLKYRDRAKERRENQNPDYDPSELGSFHAVAPPGAVDIRAADALKLSIENSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDTEDGGGGGGGKASAPKEDQRVTFRTAAAKSVYQWIVKPQTIIKSNELFLPGRMTFVYDMEGGYTHDIPTTLYRSKADCPLPDELVTVNVDGSVLDRIAKIMTYLRLGSTGKVLKKKKKEKDGKGKTSTIAHGYDEDNSQSKIENGSKNIIQREVLPPPPPPPLPAGINPMDLSNKEEVSPVARAEEDDIFVGEGVDYTVPGKDLTLSPISEDMEESPRDKEKVSYFAEPVYGPVQPTAGQEWQDISGYGEMHTQGLAAEYPGEWQDYQYAEQTGYQEQYLQPGMEGYVAQPETDILQDPQLMSQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPTFVSESYSECYPGYQEYNHEVVGSDEEADLSKMDMGGKAKGGLHRWDFETEEEWEKYNEQKEAMPKAAFQFGVKMQDGRKTKKQNRDQKLNNELNKINKIMTRKKMEKEGGDVASLDAYDSQTPKRSKH >A03g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4789632:4790760:1 gene:A03g501590.1_BraROA transcript:A03g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFRSRFLVFGHIHFALGRSYYWFSDVGACEEPMFSVLSPEFSWFLWQHVKSHETASASLCWSLSSGGRFLTVATRVSLAFGPLASLKVVFSGGGSNLHFTIPNDGTAGLWTPPRAAGLCPVLQGLGVPFSPGARGNRELSPFIPLLWTIDATLLPLSLEKVVR >A01p006740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3411766:3412266:-1 gene:A01p006740.1_BraROA transcript:A01p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSVRSMLQEVAMHSTSASPSSSVIHRAVPQSPWHSPIPYLFGGLAAMLGLIAFALLILACSYWRLSPSGEEDGRNGGAEDEEKESRSGDKAENGAYEEKFLVIMAGENMPRFIATPAMKKCTCGAHEGKMVVSQEDNVVAGEEKKLGENEEKVKDTGEPTTSH >A10p013790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4533566:4534528:1 gene:A10p013790.1_BraROA transcript:A10p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCDETLTPFSRATSHPPRSQSLQLSVFSSAACSSLPIRFTNNYRHGRLDSDFKINYLSLVYLLVQNQPPTWMVILQILEGLESHPSGSFLISRPDSAHIIKLIQCLLSRQLLCKLDYTLWTVFGADPLRLGLEEFGTIISLNFGDLLTTNLLPKTTLTTTRLTTAYLFTTLLTKSPLTADHNSPNHNSSSHKSPDHNSPDHNSPVKSFHNSPTDQVFVDHDKLRSHLIPRFFCLGGTFCSTTNVRFRLRLLMYSNATNLDCVVLSVVN >A01g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13602118:13604540:1 gene:A01g504500.1_BraROA transcript:A01g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIKASTPMENLQSAQTRSNASGAVTASHVTTTDPPAVVTTTDTHAAVTADPPAATANPPVVVATTDPPAVVTTTNPHAAVTTSALVSSTTELHVDPTSATAHLATESTTPYPLPNTALPIASEPTDLLLRTVDVPLSSEKTLDSLTQADGNVLDSISSVSPSDPNMCNNKSSLVVTNVLPSASTVLPPPKETQTSISEVNVSPIISPDSLPQTSEKCVPSLGSWAKPLLFKSPATPPEPCTPKDYDPAVVGNQLAALWPTLNDGILNKQPKTSTTRTKTDGSLCFPWAARLSPQSRNLYRAATPTYRLDGTPEVSIPSKVLRLGPENKDEYIIGKFHKCSLPPGGLIHAVVNRIWGRNCKINSDDSEIHTTAKKGLQSEADIMQPLHSSDIVLDHHVTDTTTASLPSSPLTQQVTHTASLNFFKTLPTLIDSSSTPITTLIMESSPSTFINTEVQGTYVVDPLTITPMSCVFESPSRYTLLGDGDEAETGASCSLSLTRGTREIKPPAKYQDMDWKTVRGRGKRGRRGRGSHH >A09g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13849064:13850114:-1 gene:A09g504520.1_BraROA transcript:A09g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAFEDLHNHIKSSAVIVTEPDFNAKICHFGTGQLCFGVATQESDVFAFGVMMLELLSGEESLKYRGRLRRWMDRRLGDSFPVTVEEKLMRLALECVEDEAVNRPEMGRVTGKISQLYLESEKWLANMKTSFPGFRSLISLLGSQSLVSRFGQRNLCVGRYKARGKAGKRDLVVKISLQVYIADCPNEPIIEILQFEKHQDMDQLSDHEHKDPYGNLLKWLIPLDNTISQQPRYLL >A03p066200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29293843:29296169:-1 gene:A03p066200.1_BraROA transcript:A03p066200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR10 [Source:Projected from Arabidopsis thaliana (AT4G31920) UniProtKB/Swiss-Prot;Acc:O49397] MTVEQDFEAVDQFPVGMRVLAVDDDQTCLRILETLLHRCQYHVTTTDSAQTALELLRENKNKFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAHSDPKYVMKGVKHGACDYLLKPVRIEELKNIWQHVVRKSKFKKMKSIVINDDHSQGNSDQNGVKANRKRKDQFEEVEEEDEERGNENDDPTAQKKPRVLWTRELHNKFLAAVDHLGVEKAQPKKILELMNVDKLTRENVASHLQKFRSALKKITNEANQQANMAAIDSHFMQMSALKGLGGFHNQRQIPLGSGQFHGGAATMRHYPLGRLNSFGGVFPHVSLSLPRNHNDGGYVLQGMPIPPLDDLNNKAFPSFTSQQSSLMVAPNNQLVLQGHQQSSYPSLNPGLSPHFEINKRLDDWSNALLSTNIPQSGVHSKPDALEWNHFCNSDAAQAGFIDPLQMKQQPANNLGPMTDAQLLRSSNPIEGLFVGQQKLENGSMPSNAGSLDDIVNSMMPKEQSQAELFEGDLGFGWHNSSLRTCI >A07p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12910373:12911233:1 gene:A07p021980.1_BraROA transcript:A07p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRPCIQWIETADAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLYEACGRTVNPVNGAIGMLWTGNWNVCQAAVETVLRGGSLRPIPELLAHGGGFAGFPTATSEEASEICTEMLNLQQNDGSSDRNIYHHSRFSSSRTRSRLDSSPTKRKRVACSEQQPSSELDLSLIPNYPIKTTPSSTRQRSRTPSMNSEESVTTTTTPTSFWDNTATRGDTYGNGGGETSKLLNLFV >SC149g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:10360:11541:-1 gene:SC149g500010.1_BraROA transcript:SC149g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDASLWSIIVNMRSELLVTRVWSSTGIRVNSTRRESREYYGKGKGKMVDAADSKWVKVAERGSRKPPPHHGYRGEGEGSRYKNTRRDDGRHGFTGGGFGDQESRIRPSSEQSRDDLRQRVRAPEAREDGEIKSFQLE >A02p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:298286:300168:-1 gene:A02p000500.1_BraROA transcript:A02p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSNQIRTDIASSTWLSSKFLSRDGSKGSSTTSFSHMPRTEGEILQNANLKNFSLSELKSATRNFRPDSMVGEGGFGRVFKGWIDETSLAPSKPGNGIVIAVKRLNQEGLQGHREWLAEINYLGQLDHPNLVKLIGYCLDEEQRLLVYTFMPRGSLENHLFRKGTFFQPLSWNTRVRMALGAARGLAFLHNAQPQVIYRDFKASNILLDSNYNAKLSDFGLARDGPMGDISHVTTRVVGTQGYAAPEYLATGHLSAKSDVYSFGVVLLELLSGRRAIDKNQPVGEHNLVEWARPYLTNKRRLLRVMDPRLQGQYSLTQALKIAGLALDCITIDYKVRPNMNEIVKTLEELHVPKETPKEQQSLQPSSDSNNKSPQAVNYPRPSIM >A03g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19381972:19383694:1 gene:A03g505460.1_BraROA transcript:A03g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cysteine-rich repeat secretory protein 5 [Source:Projected from Arabidopsis thaliana (AT1G63590) UniProtKB/Swiss-Prot;Acc:Q9SH43] MTRIITHFAIVLVCFFLLSLQTMSQSVEITYGCNQTSTTFNNSSAYRSNLETVLSTLRDRSSLGSYANTTAGLSPNTVYGMFLCRGDINRASCSNCVRSATSNVDETCNSDKGNFIFYDECIVRYSNFSFFTLFEDGPAFGRFSMLSSSEYPEIFNQTLSGILNELILRASSSSSSPIPYFVEEQEHVTQLESSYDLKAIVHCSPDLDPRNCTLCLRRAVQYLSGCCGQARVTSANILFPKCLLNYNISALQRSRGVINGNEILGRSFIAIMTALVSASAAASMKTVVVRFADADAAADAAAYHIATAGFIGVSRKTQRSDAVAAAGSCVNETNKS >A08g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10316331:10317461:1 gene:A08g505990.1_BraROA transcript:A08g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEDFEEHADNVKKLTTSPSNEDLLILQRRTHLWWFNLLNPFKILTHVKIESGSATVILYGLYKQATVGPVTTSSPGMFSMKERAKWDAWKAVEGKSTDEAMSDYITKVKQLLEAEAAAAST >A01p024130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11899924:11902314:1 gene:A01p024130.1_BraROA transcript:A01p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGAKPSHRSLSSLSSLFKSRIQKNVHRNTVLIQSELEVEVRRECKTGQLKLEKALLYFEKLVETRPMPLVETFNHLLASVMKLREASEVISMYKRMKHHGVDSGPYTSNILINAFRHLGKIDYAFCVLSDFMKRGLEPDLVTVDSLVCGLCSQGRVIDAVKVFDEMSERGVKSDVTLYGTIIGKLCGAGETGMAVGLHRRMIAAGYEGNAVTYGFIISSFVKNKSIDEAMAVFGEMKVNGVSPNVLSFGMIIHGLSSSGRLKEARGCFDEMIAQGISPGLVVYNSLIYGLCKEGMWDDVAEMINEMVSRGIPPDVCTYNTLISRLCKDNKLSEAIDVYGSMIDKGPEPNLQTYNSLLDGLFTGCRLIDATKLFESMRHEEIELNVVTYNIMISGYCKYGKLEEAFELIREMNCKGLKADSYTCMSLIRFVNKAGDSEVAKEVIDVICKSDCSLNLHHYTALIDGLIKREKIDEARRLFDQILPNVVAYNSMIMGYFKYGKMMEAMELITRMRRDGMRPSGAISTTLIRGARQAGNWDIALEIFDADGHALNVIQFNALINGLIKSGRWDDAKRLFDEISDKGLVPDPITYNTMISGYCKHGKLEEATELIRRMKHEGMEPDSYTYTSLIHASCRAGNSDAAQDIFNAIRKSGQSPDIFQFNALISGLIKNGKLDDAKRLFDEIKNMGLVADVVTYNIVINALCKHEMLSEARALFYELESKGCSPDSVMFNTVITGFLQLNKVKDAVPLLESMLDRKFTPDSGVKHRLHRVLARADGRKALQPLLDTYGENIFE >A01p003610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1574089:1575380:-1 gene:A01p003610.1_BraROA transcript:A01p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAMDKRIETVKSRFKRVCVFCGSSSGNKDCYRDAAIDLAQELVERKLNLVYGGGSIGLMGMVSQAVHEAGGHVLGIIPRTLMDKEITGETFGEVRAVADMHQRKAEMASHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIFVSAPNAKELVQKLEAYEHVIDGVIAKSKWEVEKKVQHPQQQVVFCPNTSIPTEIAL >A10p000800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:396851:398440:1 gene:A10p000800.1_BraROA transcript:A10p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT1G01120) UniProtKB/TrEMBL;Acc:A0A178W124] MTEKTNSIEMDQERLTAEMAFQDSSPAIIRIQRHLPDLLTSVKLKYVKLGLHNSCNFTTFLFFLIILPLTGTVLVQLTGLTLDMLSELWSNRVLQLDGSTRLTCSVFLCFALTLYVTNRSKPVYLVDFSCYKPEDERKMSVNSFLKMTEENGAFTDDTVQFQQRISNRAGLGDETYFPRGITSTPPKLNMSEARAEAEAVMFGALDSLFEKTGINPAEIGILIVNCSLFSPTPSLSAMIVNRYKMREDIKSYNLGGMGCSAGLISIELANNLLKANPNSCAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAILLSNRRQDRSKSKYELVNVVRTHKGSDDKNYNCVYQKEDERGTIGVSLSKELMSVAGDALKTNITTLGPMVLPLSEQLMFLISLVKRKLFKLKVKPYIPDFKLAFEHFCIHAGGRAVLDEVQKNLDLKDWHMEPSRMTLHRFGNTSSSSLWYEMAYTEAKGRVKTGDRLWQIAFGSGFKCNSAVWKALRAVSTEELTGNAWAGSVEDYPVKIVQ >A05g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6060458:6061379:1 gene:A05g501770.1_BraROA transcript:A05g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPPSRFRPPSDPPPRKSPPLEAPSPIDPPEPPDPPDVSFLLALPRSPSSSSCPSLQALTRILDLKLPLPWMVSKISGGDVPLVSTGDSTFVYRRLLCSVCKSSSCRHMDWSSISSCSDLSFLPFKGFQVHFSSTIALADDVLMDLTSVGSTFVLFGGPFVASMRSLTAVCSSLTAVCSSLTAVCSSLSIIRLSPVWSSLFSQYFLMYGVNWMNKCRWYCKDLPPSEYSPLRLVQYVWSYGLH >A05g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14273704:14274292:1 gene:A05g505130.1_BraROA transcript:A05g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSFATELERRETLQIFLPEFNIIHVLLAHNQISDFLAKTTRSFHRKLHFIVRRDLSPKHNAPTFDLPTFWDGLQEPDCNDLNAMIKKPQAWSNFATESEIGLLVLFIKIFLLLVVLFRSDYPENFKFE >A03p042150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17655275:17658414:1 gene:A03p042150.1_BraROA transcript:A03p042150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVEAQGGKGGIQWDDGSEHDAVTKIQVGAGGIGIQYIKFDYVKNGQSEEAPLRGVKGRSIAADPFVINHPEEHLVSVEGWFNPDGLIQGLKFISNKKTSDVIGYDDGTHFTLQVQDKKIIGFHGSAGDYVNSLGAYFAPLTSTPLTHAKKLPALGADVGTAWDDGAYDGVKKVYVGQAQDGISVVKFVYDKGAEDIVGAEHGTSTLLGFEEFELDYPSEYITAVQGTYDKIFGSDATVINMLRFKTNKQTSTPFGLEAGTAFELKEEGHKIVGFHGKVSDLLHQLGVYVLPVEAQGGKGGNQWDDGSEHDAVIKIQFVISHPEEHLVSVEGWYNPEGLLQGLKFKSNKKTSDLIGYDDGTHFTLQVQDKKIVGFHGFAGDYVHSLGAYFSPLTSSTTLTPAKKLPALGQGHDGVSAVKFEYVNGSQVVIGHERGKPTLLGFEEFELDYPNEYITAVDGTVDKIYGSDSAVITMLRFTTNKRTSNPFGLEAGAAFQLKEEGHKI >A02g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7340113:7340459:-1 gene:A02g502260.1_BraROA transcript:A02g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHKTLVGQVWLLAGLTRRPPGKPKKQRFFSRGEKLMKRIQRRTLCSRCKGFGHNKDTCKEQMRAKILTSRATCCL >A03p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19592674:19597076:1 gene:A03p046420.1_BraROA transcript:A03p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAQVKPSSRRQEVAEAGRRKLEQFRKQKAAKKASQSNNITQQTVADSDGHAVASHQLPFNQTQSKSSSFSGDVYSLSFSNVAPKDGSQRDESVGTAGFSSSLDLKGSSTVDNTPEVVPYKQSSGGFPKGASPSSGSTLLPTSIQMDGFLHGSGLDPSRKDSLQPTTRMAGFVQEVDKNQQGTGEVRGGSSSIVQKPTLSSSYLFSSPETSSRPSESSDYSVNIASSSPLYSAINESSVKRNRPSFLDSLNISRAPETLYQHPEKEADLATPSGSQLTGGDGFGLSSSQHGKIDSNGPSFTSESPYPFEKSRSPLFPVANGVMPGFTDYSMPKQNDDFSTLEQHIEDLTQEKFSLQRDLDASRALAASLASENSSMTDTYNQQRSIVNQLKEDMEKLQQQIQEQMAELESFRIEYANAQLECNAADERSQILASEVISLEDKVLRLRSSELKLERELENAQAEMSSYKKKLQSVEKDRQDLQSTIKALQEEKKVLQTMVQKASSGGKSTDLGKSSTSRKNASTSTEGLAISDAMSRSSNQETDSTALLESDSSNTAIIPETGQLTLEGFSLSVPADQMRVIDNINTLIAELAIEKEELVQALSSELSRSAHVKELNKELSRKLEVQTQRLELLTAQNMAIDNVSRPNQPDSHVDQERPPIADEGDEVVERVLGWIMKMFPGGPSKRRTSKLL >A07p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2495417:2496363:1 gene:A07p005080.1_BraROA transcript:A07p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSASFLPSPSLVVLSPTKIFSSSSRSIVCSSSRAHGFSSIPRSSPSLRMTRSANHNKLQVVANAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPPPMPYDPPAEDDEEEEEKKEEEEENPDQEEEEQPEKQQ >A07p047150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25365752:25367966:1 gene:A07p047150.1_BraROA transcript:A07p047150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGSDSNREQPPPLSIEIKATQDQFFVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQIIMNASQRQKSIPQMLRTVWVGDGLKGIILHTLCPQLLQLSSNGRLGIAPGVTGSLATGATYFGVIESTKKWIEESHPNLGGHWVHFIAGAIGDTLGSFVYVPCEVIKQRMQIQGTSSSWSSFISRNSVPVKPRGDMYGYYTGMFQAGSSILKEQGPKGLYAGYWSTLARDVPFAGLMVMFYEALKDLTDQGKKKFPQFGVNSSIEGLVLGGLAGGLSAYLTTPLDVVKTRLQVQGTTIKYKGWLDAVGQIWRKEGPEGFFRGSVPRVMWYIPASALTFMAVEFLRESFREKTKNNNIVVSNLSIESKRSSVHEVREN >A05p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1076869:1077351:-1 gene:A05p003040.1_BraROA transcript:A05p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYNTSLSRIFFRTISPLRFKPSLSIFGEERDSSSSSSILRFDLKNQITMTILCNNGRKRDKRRRKEKEKLKEENHHNHHQCCCYCVLPTFSIVRGIKRCLFLSCYPFIRCLGYEDRRHRHHLHNHNHFL >A03p017050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6832807:6834275:1 gene:A03p017050.1_BraROA transcript:A03p017050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CRL, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51020) UniProtKB/Swiss-Prot;Acc:Q9FI46] MCTGSGSDPESSSNGWSRARGVVVKTLVLIGGAILLKRLTKSTTRRDHARAVSRSLTGEKFTREQASRDPENYFNIRMMSCPAAEMVDGSQVLYLEQAFWRTPQKPFRQRLYMVKPCPKELKCDVEVSSYAIRDAEEYRNFCDRPKDQRPLPEEVIGDIGEHLTTIHLSCCDRGRRCLYEGSTSPGGFPNSWNGASYCTSDLAVLKNNEIHLWDRCFDENQNQVWGPKQGPYEFKPATYSSINESLSSLNILYQSSIDRPIQGSLILQD >A06p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9567562:9568475:1 gene:A06p019530.1_BraROA transcript:A06p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEVLAYESSVTRLCVRYEFNLENFFAYKFSVGLFDSTILAKTKKNRDIKEDGKGSLIWRKLLKLRPLYQFIRFEFDDWLQMGKLINIAGAVGICYLRVARNVGHRSRYFHALYDNIQSEQVPHDTLGSNIVLWKHFDDTYKFCFSSARTWDQIRARKPLMDKILINMVFQSCIYHLWKKRN >A03g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11954659:11955577:-1 gene:A03g503570.1_BraROA transcript:A03g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQIHILADMLSAGLLIIRCCGHFLEVKGMLMIQCCTVMDMASQGFAAKLTKSQVKQIVTGLSAANPKNLNMVKKLSLAMFTQGCGQNLKSLTTMGSESCQNAGKEVVNHERISTPATKSS >A07p051210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27215769:27216329:-1 gene:A07p051210.1_BraROA transcript:A07p051210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSESSHRDSADAASSSLTTAADVHVGGGGGGEAMARGLSAMLESVIKEFDSKSLDALSSQDKLSGSLDRLVQELDQLLENAPLPFIVQHASRISSVKQRVSSMNLVLKSIQRRIDNIDHMLSANNIQGS >A04p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3040873:3041972:-1 gene:A04p005730.1_BraROA transcript:A04p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSTSFHQISPSFFHLRLRIPAASSLPKPGGVGGGHGGLFSLSIRAYIEKPNSISSFANTVIGSLPVIGLLARILSDEGGVGRDLVDFAEFRKRVGNKCAPSDSRAFYEFQQRRGKAGEPLYVLLCCWVAAVGAGLLKSEEILEGVTRVSISNDLEFEEQNFIALMTEARQRRAKLNVAAPTIPMELRVEKALEGIYACCFRRGVIEEEDEKLLLVMLTAVFPSVDKSEIERIIKEKATRVAEGGEEENLAEPKRLPKEAIQMQMKDLEFLQQQSIES >A03p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:238900:241448:1 gene:A03p000240.1_BraROA transcript:A03p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 3 [Source:Projected from Arabidopsis thaliana (AT5G01720) UniProtKB/Swiss-Prot;Acc:Q8RWU5] MKKAKQIQHMISKPFDLLSEELVFIILDLVAQNPSDLKSFSLTCKWFYQVEARHRRSLKPLRAEYLPRILTRYRNTADLDLSFCPRVTDYALSVVGCLSGPTLRSVDLSRSFSFSAAGLLRLAVKCVSLVEIDLSNATEMRDAAAAVVAEAKSLERLKLGRCKKLTDMGIGCIAVGCRKLKRVSLKWCVGVGDLGVGLLAVKCKDIRSLDLSYLPITGKCLHDVLKLQHLEELLLQGCFGVDDDSLKSLTHHCNSLKNLDASSCQNLTQRGLTSLLSGAGCLERLDLAHSSSVISLDFASSLNKVSSALQSIRLDGCAVTCDGLKAIGTLCISLREVSLSKCVTVTDEGLSCLVMKLKDLRKLDITCCRKLTGVSITQVASSCPLLVSLKMESCSLVSRDAFWLIGHKCRLLEELDFTDNEIDDEGLKSISSCRSLSSLKLGICLNITDRGLSYIGMGCSNLRELDLYRSVGITDVGISSIAQGCCHLETINISYCKDITDKSLVSLSKCSMLQTFESRGCPHITCQGLAAIAVRCKRLSKLDLKKCPFINDSGLLTLAHFSQGLKQISVSETGVTDVGLVSLANIGCLQNIAAVNTRGLSPSGVAAALVGCGGLRKVKLHASLRSLLPSSLINHMEARGCSFLWKDYNNHNNSNTLQAELDPKYWKLQLEEDIEL >A03p014430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5734246:5735407:-1 gene:A03p014430.1_BraROA transcript:A03p014430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTARNPLLPKDHSPPMAQDFSINSRTSSRKRRLRRSRSAPRGDFTFNDNDVKLDEPHPHPSKNPMFRDLNPNLRRVILLLALYLTVGTLCFYLVRNQISGHKTNGVLDAVYFCIVTMTTVGYGDLVPNSSTSRLLACAFVFSGMVLVGHLLSRAADYLVEKQETLLVRAFHLRQSFGPTEILKELHTNKLRYKCYVTFLVLVVLFLTGTVFLVAYEKMPVIEAFYCVCSTVTTLGYGDKSFNSGTGRLFAVFWILTSTVCLAQFFLYVAELNAETKQRELVKWVLTRRITNNDLEAADLDEDGVVGAAEFIVYKLKEMGKIDEKDISGIMEEFEQLDYDESGTLTTSDITLAQTASQIQR >A04g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1970309:1971440:1 gene:A04g500700.1_BraROA transcript:A04g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSEVGMLASSPMLLLLLSLIMASFFDTTAGQVGVCYGEKGNNLPSNSEVVDMYKKYKIRRMRMYDVNPNALNALRGSGIELILDIPNHKIDDIANKPMEATKWVRENVEMYKDVRFRYISVGNELKPEDLVGKETILIQAIRNIDKALSEARLSIPVSTTTYMGAFTDTYPPSRGRFNATYLNFLQPVIDFLVSKRSPLLVNIYTFFGYNDSKGKIPLEYALFTQTSGGERDSGYTYLNLFDANLDSVYAALEKSGGQSLEIVVSETGWPTKGGLDANKENAEIYINNLIKHVKNGSPRKQGKAIETYIFAMFNENDKGPQEYERYWGLFFPDKKPQIEVTNGFN >A02p052760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32240560:32241184:1 gene:A02p052760.1_BraROA transcript:A02p052760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRVLHLLIISTLAISILAENDSIYDVLKAHALPMGLLPKGVEEFNVDMETGQFSVYLNRSCEAKYESEIHYEANITGTIGYGSIGGLSGIKAHDLFLWFPVKGIRVDIPSSGVIYFDVGVVRKQYSMSLFETPKDCVAVENEAEFHGDDKIRSSMLQFYEVDPSVGRDIV >A06g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8762530:8764435:1 gene:A06g502660.1_BraROA transcript:A06g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNGKAIVSDNSIVKKPSGSFDQLNFLRKKLCLRFAFRKTYISGDKLPVDDVKVYVAVVEKPSDAFPSASKWYDCLAYHLLKVTNFGIVASSPVDSILDKDDYTLEELLDEEAIIQECKALNSRLIHILRDKARVEQLLRYVGDMHLQLMTMMTWILLVMRLRKKRKLQRRRRVLRRTPKSPKVLILYGLEKKKHRETEGYQYQSFLRKMKSAADLTRRLMKAETDVQPEALKGRRNL >A09p005160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2835503:2835919:1 gene:A09p005160.1_BraROA transcript:A09p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLHETVETAHVERGIRESSPEKKKEKSHKHRGIFHLHHHSKDEKDEDKKKEGSKREKIAAAMVGLGATLKKIKPKGHHGGGGNEEGGGGGGGGGEEEEEEQEQEEEEEEGDEGGDEEGGGKFSAFISMISEAFEE >A07p052160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27566321:27566942:-1 gene:A07p052160.1_BraROA transcript:A07p052160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTCFIIVGRNDIPIYEAEVGSAPKREDAAQLHQFILHAALDVVQDLAWTTSAMFLKSVDRFNDLVVSVYVTAGHILFFPSPDSFI >A01p045180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:25557459:25558454:-1 gene:A01p045180.1_BraROA transcript:A01p045180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIQPPPSPMKSRFRKRPDLSLPLPHRDVALAVPLPLPPPPSTTSAPTSGSAISPNVSAAKSLSELERVNRIGSGAGGTVYKVIHRPNSKPFALKVIYGNHEDNVRRQICREIEILRSVDHANVVKCHDMFDHNGEIQVLLEFMDGGCLEGVHVSREEELSDMSRQILSGLAYLHRHHIVHRDIKPSNLLIDSEKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGRYNGYAGDVWSLGVSILEFYLGRFPFAVSRQGDWASLMCAICMSQPPEAPATASEEFRHFVSCCLQSDPPKRWSAQQLLQHPFILKSTRAPGS >A03p060800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26388215:26391855:-1 gene:A03p060800.1_BraROA transcript:A03p060800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKLKGSQRLDKYYKLAKERGFRSRASYKLLQLDEKFSFLHKSRAVLDLCAAPGGWMQVVVQKVPVGSLVLGIDLVPIKTIGGCVAIQQDITRPECRSKIRQVLDKHGVKAFDLVVHDGSPNIGGAWAQEAMTQNALVIDSVKLATEFLAPNGNFVTKVFRSRDYNSVLFCLGKLFEKVEVYKPAASRSASAETYLLGLKYKAPGKIDPRLLDFRHLFKEAAEPTRKVVDVLATSKQKRNRDGYEDGESILRRVASASDFIWSENPLEILGTVTSISFDDEASRPLKEHELTSEEIKILCDDLPVLGKNDFKHILKWRMQIRKALTPEKKEVAKKEPDVEKEEENEDDKLLNELEELTNAVDRKKKQAKKLLAKRRAKDKTRKATNPQIDALEDGYVDHDLFSLAAIKGKKDLMAVDNDEDDNVNTNDIENENRVVVDSDDSEDSGLDSDEERQRYTEIMEEAFDEAYDRYMEKKEGSAKQRKRARQAHAEKLEEGDGDEEMKLDYDSDMNVEMDEANPLMVPLDDGETQTKEEISNQWFSQDIFAEAVEEGDLGKDDSEDDMPTKKNLSKAEKRKKAKASKLSDYASLASSKKEDDFEIVPAPVTDSDSDSSSDDDNVHTKAEILACAKKMLRKKQREEMLDDAYNKYMYGDEGFLPKWFLDDEKQHRQPMKPVTKEEINAMKAQFREINARPAKKVAEAKARKKRAAAKRLEKVRKKANVISDTTDISDRSKDKMIDKLYKKAAEPRKPKKELVVSKKGVGVKVGKGQKRVDRRMKSDARQRGGGKPGRKGKKSAPGNKSGQKKPRGKRPMGGG >A10p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3459094:3461694:-1 gene:A10p015770.1_BraROA transcript:A10p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYHYDQDYDGDSIGRSKPRYNNNYHNFGGGGGNNRYRGGGNARPSKSHSDTMATTTYRVLCHDAKAGGVIGKSGSIIKSIRQHTGAWINVHELVPGDVERIIEISDNRRRDPDGRMPAFSPAQEALFSVHDRILESEAQYGYGVAPPPEDEEDYGGVRPGGGKVVTRLVVSKVNVGCLLGRGGKIIEQMRIETKTHIRILPRETNLPRCVSQSDEIVQIVGEPAAVRNALGIVASRLRESQHRDRTHFQGRVHSPERLPADDYIPQQRRQSSDRFNHGNFRNNSFSSRQSNYADEAPAAASGGENVQQPFYTEELVFKILCPVDKIVNVVGESQGIVDLLQNDVGVDMSVSDPVAGSDEQIITISSEEAPDDPLFPAQEALLHIQTQIVDLIPDKDNHITTKLLVSSRDSGCLEGKAGSVSEISRLTGASVQILARDEMPQCVSINDVVVQIAGEIKAARDALVELTLRLRSHMCKELSQKETPPGSTSTTGPLEGVAGVMEVASSNNTTQSREGLAIAPQYKEGGGSVAKAGESEHRDEVPVTASRMSVALVTRSTLEIVLPDEVIPKLVTKSRNKLAQVSEWSGATVTLVEDRPEETQNVIRISGTPEQAERAQSLLQGFVLSIQDDGP >A06p018460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8950415:8951919:1 gene:A06p018460.1_BraROA transcript:A06p018460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPHSQSSMGRYSRESSSTRFSGSLKPGSRKVNDGSKRKGHGGEKQWKECAMIEEEGLLDDGERDRGMPRGYYVLAFIVGFFILFGLFSLILYGAAKPKITVKSITFETLKIQAGQDAGGVGTDMITMNATLTPREDYFQRAKPYKDHPTTARQINALTTLLTLLTLMELTSGFIGSRIPLMDQLYVGINGFPRQLYCFIPLPLALNLKTRDWIRGDHWRSLSC >A09p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34694864:34696580:1 gene:A09p039510.1_BraROA transcript:A09p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVSSSVPSPSEDAEQLKSAFDGWGTNEELIISILAHRSAEQRKLIRQTYHESFGEDLLKSLEKELTSDFERAILLWTLEPGERDALLVNEATKRWTSSNQVLMEVACTRTSTQLLHARQAYHARFKKSIEEDVAHHTTGDFRKLLVSLVSSYRYEGEEVNMTLAKQEAKLIHEKIKDKHYNDEDFIRILSTRSKAQINATFNRYQDNHGEEILKSLEEGDEDDKFLGLLRSTIQCLTRPELYFVDVLRSAINKTGTDEGALTRIVTTRAEIDLKVIGQEYQRRNSIPLEKAITKDTRGDYEKMLIALLGEDDA >A01p004720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2024360:2026349:-1 gene:A01p004720.1_BraROA transcript:A01p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABSCISIC ACID-INSENSITIVE 5-like protein 6 [Source:Projected from Arabidopsis thaliana (AT4G34000) UniProtKB/Swiss-Prot;Acc:Q9M7Q3] MGSRFNFVDDAKQPALGAGVPLTRQNSVFSLTFDEFQNSWGGGVGKDFGSMNMDELLKNIWTAEESHSMMANNTSFNNTFNGGLSVGVGGEIGVGGGLQRQGSITLPRTISQKRVDDVWKELMKDDDAGSGGGASGVPQRQQTLGEMTLEEFLVRAGVVREEPQQVERVDSFNGGFFGFGGDAGLGSARNGFGPNMVRPDLLTTQTQPLQMQPQQPQKVHQPQQLIQKQQDVAFPKQSTTAFSNTVDLVNRSQPPTQEVKPSVLGVRDRPMNNNNLLQAVDFKTGVTVAAVSPGSQMSPELTPKSNMDASLSPVPYMFGRVRKTGAVLEKVIERRQKRMIKNRESAARSRARKQAYTLELEAEVAQLKEQNEELQRKQVEIIEKQKKQISSFVVAASGADASAMGMQKAMLEKDTDRSLVESLFMKPSKELNKEQKLL >A02p010480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4516100:4518421:1 gene:A02p010480.1_BraROA transcript:A02p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNPDRAPSPTSSRSVTKTVNGSHQFVIRGYRLAKGMGVGKHIASDNFSVGGYQWEIFFFPDGKNPEDNSAYVSVFIALASEGSEVRALFELSLVDQSGKGNHKVHSHFERTLDTGPYTLKYKGSMWGYKRFIRRTQLETSDFLKDDCLIINCTVGVVVSEVQRPQLHSVRVPDSEIGSHFGVLLDSMEGSDVTFDIAGEKFQAHKLVLATRSPFFKSKIFNELGANNTEVTINDLEPKVFKALLQFMYRDSLPEDVEPVTTLTFEFSTLPEIYETLVVKLLAAAEKYGMNRLRLLCEAHICKGVSVKSVAKILALADRYKATELKSACLLFTAENLAAVLETDAYKEMKDECLTLQSELLKAVAGYEEEGSHSSGEDKSQSVWAQLSDGGGDISSRHVRQRTI >A01g507310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:21200623:21201588:1 gene:A01g507310.1_BraROA transcript:A01g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGIPIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVNKEVQYLDIRYLMACHIMRDEETYSFFDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDENMDDVEDITPEADPSYDLGELADVTDDHAYRRWMVDSQRKNNSLMRRILHLITGGCIGGSDQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSLDPAESGESD >A03p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8215005:8217166:-1 gene:A03p020030.1_BraROA transcript:A03p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGWGQRLIQPASSESEDSALDLERNHHCNHMSFPSSSSPSPLHPFTLNIQHAESNAPYFSWPTLTRLNDAVQDRANYFGNLQKEVLPEVVGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAVGFRITRGVLTNTPAILVFVARKVNRQWLNPMQCLPSALEGPGGVWCDVDVVEFQYYGAPAATPKEQVYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSKTGNHQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDQWYGIFAGTNPEDFDTSNVTTVIKGIGEIGNVQAIDLQSTIDCLIGKQVVKVGRSSGYTTGTVMAYALEYNDEKGICFLTDFLVIGENQQTFDLEGDSGSLILLTSPNGQKPRPVGIIWGGTANRGRLKLIAGREPENWTSGVDLGRLLDLLELDLITSNHELEAAAGEQRNTSLTALDSTVSQSSPPDPVPSGDKQDENFEPFIPPEFHIEEAIKPTPEVEEHLFIAPLLFNESASSGSKAQEKHEVNDLVALKNSSDEEDSVSLHLGEPKLKKPKFFIS >A01g505940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:17580987:17581298:-1 gene:A01g505940.1_BraROA transcript:A01g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTKSNPRKDIFTKSLAVKSCSNLNRTTKYDCPKATDMYPNRPRTSSSMAIGPQTSQARSIRSDQACTQLGRYVATEQDEMLIQHQSMHSRLSFNAISRRP >A03p011470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4605060:4606488:1 gene:A03p011470.1_BraROA transcript:A03p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLEVQEGGAMAARDYQDPPPAPLFDMEELGKWSLYRAVIAEFVATLLFLYVSILTVIGYKAQTDANAGGVDCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTVGLFIARKVSLVRTVLYIVAQCLGAICGCGLVKAFQSSYYNRYGGGANQLADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQEKAWDDQWIFWVGPMIGAAAAALYHQFVLRAAGIKSLGSFRSSA >A06p005550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1760553:1771307:1 gene:A06p005550.1_BraROA transcript:A06p005550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRALVSCSAAGNGASDRFRLSNVSPWITSARGASGSDSPATVKLGSSSMIRAFKGVSIYKNKSRRNVLSQRNKQFRPMAYLGRKDLSSPDPTSFCDNDISEPQGTGSINGNDHSAVRVSQVDEFCKAHGGKRPIHRILVATNGMAAVKFIRSVRAWSYQTFGSEKSISLVAMATPEDMRINAEHIRIADQFMQVPGGTNNNNYANVHLIVEMAEATGVDAVWPGWGHASENPELPDALKAKGVIFLGPTAASMLALGDKIGSSLIAQAADVPTLPWSGSHVKIPPGSSLVTIPEEMYRQACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHDDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVSALHSRDCSVQRRHQKIIEEGPITVAPRDIVKKLEQAARRLAKSVNYVGAATVEFLYSMDTGDYFFLELNPRLQVEHPVTERIADINLPAAQVAVGMGIPLWQIPEIRRFYGIEQYDSWRTTSLLASPFNFDKAESVRPKGHCVAVRVTSEDPDDGFKPTSGQVQELSFKSNPNVWGYFSVKSGGGIHEFSDSQFGHVFAFGESRAMAIANMVLALKEIQIRGEVRTNVDYTIDLLHAFDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVSGALYKASATGAAVVSDYIAYLDKGQIPPKHISLVHSQVSLNIEGSKYTIDVVRSGSGSYKLRMNNSEVAAEIHTLRDGGLLMQLDGKSHVIYAQEETSGTRLLIDGKTCLLQNEHDPSKLMAETPCKLLRYLVSDDSSIDADTPYAEVEVMKMCMPLLSPASGVIHFKMCEGQVMLPGELIANLDLADPSTVRKAEPFHGGFPRLGLPTEISAKVHQRCAATLDAARMILAGYEHQVDEVVQDLVSCLDSPELPFLQWQECFAVLATRLPKDLRIMLESKYMEYECISRNSLTADFPAKLLKGILEAHVASCDENERGALERLIEPLMSLIKSYEGGRESHACVIVRSLFEEYLSIEELFNDNMLPDVIERMRHEYTKVDRSKIVDIVLSHQGLKSKNKLVLGLMEHFVNANPAVYRDKLIRFLKLNHTNYSEVVLKASQLLKQRKLSELRSSILSELEMFAEDGESMDTPKRKCAMEDLVSAPLAVEDALVGLFDHSDRTLQRLVVETYIRRLYQPYVVKESVRMQWHQYGFIASWEFLDDHMERKNTEGSDDQETSEKVFVEKRRKKKKGFMVIIKSLEFLPNIITAAALTETNHIDYGESAGSPLSGNIMHIAVLDSENEEDQAQERVEKILKEEEVSSSLCSAGVGVVSCIIERDEGRTPIRHSFHWSMEKQYYAEEPMLRHLEPPLSIYLELDKLKGYENIQYTPTRDRQWHMYTVTDNKPVPVRRMFLRSLVRQGQDNQLSQTLVRSLMDAMEELELNAHKEDGMKPDHAHMFLCLLPEQQINDLVPYPRIVEVNAEVEETTMEMILEETVREIHKSAGVRMHRLGVCEWEVRLCLSSSGLASGAWRVVVTNVTGRTCTVHAFETALEQLWESQHPGVKKPYKNTLIKVEELVFSSPEGSLIPVKRPPGLNDCGMVAWTLEMSTPEFPKGRKIIIVANDVTFKAGSFGPREDAFFLAVTELACAEKLPLIYLAANSGARLGVAEEVKACFKVGWSDEGSPENGFQYIYLSPEDHERIGSSVIAHEIKLPSGETRWVIDTIVGKEDGIGVENLTGSGAIAGAYSRAYKETFTLTFVSGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMGANGVVHLTVSDDLEGVSAILNWLSYVPAHAGGPLPLPLLSPLDPPERTVEYVPENSCDPRAAIAGVNDNAGKWLGGIFDKNSFMETLEGWARTVVTGRAKLGGVPVGVVAVETQTVTQIIPADPGQVDSHERVVPQAGQVWFPGSAAKTAQALMDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSAMVENLRTYGQPVFVYIPKMGELRGGAWVVVDSQINSDYVEMYADETSRGNVLEPEGMIEIKFRKREMLECMGRLDQKLISLKAKLRDAKQSEMELIKQQIKARKKQLLPLYVQIATKFADLHDTSMRMAAKGVIKSVVEWSGSRSFFYKKLIRRIAESSLVKNVIEASGDNLSYKSAMDLIKDWFCKSDVAKGKEEAWTDDQVFFTWKENVGNYESELSELRAQKLLKQLAEIGNSSDLQALPQGLANILHKVEPLKREQLVDALRKVLS >A02p023090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11076939:11078299:1 gene:A02p023090.1_BraROA transcript:A02p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGNLRISASLVSPYHHHPKCLSLPSSKVDFISSIAHSLETQKVSISSKNKRGEAKVLARNATGDYELSPGPVQQEVESFLLNAINMSFFDRLNIAWKIIFPSHASRRSSNARIAKQRLKMILFSDRCAVSDEAKRKIVNNIVHALSDFVEIESEEKVQLNVSTDSDLGTIYSVTVPVRRVKPEYQDVDEAGSITNVEYKDTLDGSVDVRFDFYVPE >A09p074530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56489018:56497750:-1 gene:A09p074530.1_BraROA transcript:A09p074530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLQILRKIGKSLPFHCVSISLYQKKKRKKKKGETRMWLPKTDATSKGKKSGSVAVAIDNDKTSKIALKWTLENLTSRGQTLTLIHVAPKSQSSSDIEDGTMHMQHMDKQTKDLFVSFHCYCSRKEIQCLDVLLEDVDKVKAIVEYVTISAIENLVLGAPSRSSFMRRFKTDLPTSVSKAAPDFCNVYVISKGKVSSLRSSSRPAPYHPSVLSDFDFENKPKIANTPASPRPRRSVDKRFTKPPQGHMKLIGDFSDSESEYSFISASHQGSENSFISSGTPSSADRCSFSYDLPDSARTSRMSTSSEQSIGSHRLGINFTDLGFLNNSSTVSEESGRTSCSYSSQSLDDVESQMRRLRLELKQTMDMYSSACKEALTARQEATELKNLRSEEERRMEELKMTEETAMSMVANERAKVKTAMEAAEAANRLAEAEAKRRLNAEMKVLKESDSFSGQSIVRYRKYTVHEIEEGTDNFAESRKVGEGGYGPVFRGHLDHTSVAVKVEVLSCIRHPNMVLLLGACPEYGILVYEYMARGSLDDRLFRRGNTPPISWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDQNYVSKISDVGLARLVPAVAENVTHYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQLLTAKQPMGLAYYVEQAIEEGKFKDMLDPEVPDWPFEEALCLAKLSLQCAELRRKDRPDLGKEVMPELNRLREMGEESLESVFFAGHGRMPHSSQVSYTSEKKAKNNFPTNFHLKSLEGTKQM >A03p002830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1246663:1255122:-1 gene:A03p002830.1_BraROA transcript:A03p002830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPVDQQEDEVMLVPHSDVVDGPAQPMEVSEAETAVSTVENQPAEEPPTLKFTWTIPNFSRQNTRKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADAANLPYGWSRYAQFSLAVVNQIHTRYTIRKETQHQFNARESDWGFTSFMPLSELYDPGRGYLANDTVYVEAEVIVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDLKRALDEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLKKEQEEKEDKRKYKAQAHLFTIIKVARDQDLREQIGKDIYFDLVDHDKVRSFRIQKQTPFQQFKEEVAKEFGIPVQLQRFWIWAKRQNHTYRPNRPLTPQEELQPVGQIREASNKANTAELKLFLEVELLDERPIPPPEKSKEDILLFFKLYDPEKPELRYVGRLMVKSSSKPMDITGKLNEMAGFAPDEDLELFEEIKFEPGVMCEHLDKKTSFRLCQIEDGDIICFQKHLVKKETECRYPAVPLFLEYVQNRQLVRFRALEKPKEDEFVLELSKLHTYDDVVERVAQKLGLDDPSKLRLTSHNCYSQQPKPQPIKYRGVDRLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHNIRLPKQSTVGDVIDELKTKVELSHPDAELRLLEVFYHKIYKIFPLTERIENINDQYWTVRAEEIPEEEKNIGPNDRLILVYHFAKETGQNQQVQNFGEPFFLVIHEGETLEEIKNRIQKKLHVSDEDFAKWKFAFMSMGRPEYLQDSDVVYNRFQRRDVYGAFEQYLGLEHTDTTPKRAYSANQNRHTYEKPVKIYN >A03p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14235436:14237472:-1 gene:A03p033620.1_BraROA transcript:A03p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSDRGFVFCFGFAFNNVQFSDHLLRIEITNGGEITNGDSVQDRKRRRRDVNTSEEAAVMELLKFMYTNSLSSVTDVPALFRVLMAADKFEVASCMQYCTRSLLNIPMTFPYASFPPEELTALPLVGIMTLLMSNDLMITSEDIVYEVVLKWAKANYSVLEERQGILNHLAPYIRFPYMTCPRLKKILTSDDFEPSVAHKLVFEALFFKAETSLAHRAAIKADSLGRRFIERSYTCRPIKTVEFEVPHRQCIVYLDLTRTECNALYPSNRIFSQKFHLGGKEFLLSPCCNKDQQNRFHHFGLFLWSQEKGSSVSVTVNYEFSSRWKPTQEFVVRRKGKYKFTGVQSIGFRDVLGAPWASFIGEDSPYFINDLLHLRAQLSIRL >A02g501700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5499387:5499797:1 gene:A02g501700.1_BraROA transcript:A02g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHGTDTKSDAWRNLWTISLHKIFAAVAMGIALLKLIPKRPFFLTAVYSFAFGISSPLGVGIGIAINATSQGAAGDWTYAISMSIACGVFMYVSIHHLIAKGYKPREGCYFDKPIYKFLAVFLGVILLSIVMIWD >A03p013020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5128348:5130311:-1 gene:A03p013020.1_BraROA transcript:A03p013020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASDSPVHSSSSDDLAAFLETELDSDSDSSSESFPIEEAAEDDAEVSNHRLKRRKLEHLETVDEDEIEEVASVKFSQEISEASSSKLPCQHPGTFGNMCIVCGQRVEEEETGISFTYIHKGIKFHEDEVTRMRDIDMKMLQSQRKLCLVLDLDHTLLNSTVLRDLRPEEEYLKSLTHSLEDVSGGDLFMLEFMHMMTKLRPFVHSFLKEASKMFVLYIYTMGDRPYARQMAKLLDPKGEYFGERIISRDDGTVRHQKSLDVVLGQEKAVLILDDTENAWPSHKDNLIVIERYHFFASSCKQFDHRFQSLSQLKSDESEPDGALATVLKVLKQTHSLFFEDGGEDLSGRDVRSLLKQVRKEILKGCKVVFSRVFPTKSQAEDHPLWRVAEGLGATCATEVDESVTHVVAMDVGTEKVRWAIREKKFVVHRGWIDAANYLWKKQPEENFGLEQLKKQGAEEKSDDVPLEK >A06p047710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25309763:25312041:-1 gene:A06p047710.1_BraROA transcript:A06p047710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGLWTPPSFSPRRRLSNFSSTRRPPFSVIRFDKERVSRRVFCSYSQENNNKDRPQSSGIQVYGEIERLLTETVKQSQSSSAGSADWSELEGAWVLRPRNSNPKMVAHFIGGIFVGAAPQLTYRLFLERLAEKDVLVIATPYASGFDHFAIADEVQFKFDRCCRSLHESVQDLPSFGIGHSLGSLIHLLIGSRYAVQRNGNVFMAFNNKEASLAIPLFSPVLVPMAQSLGPLLSQVATSPTVRLGAEMTRKQLETLSPPIMKQILPLVEQLPPLYMDLVKGREDFIPKPEETRRLIRSYYGISRNLLIKFEDDSIDETPILAQVLGVESSISSKLDMSIRTLPGDHGLPLQQSLPDVPPAMADAVNRGSEFLANMAVGTPWESVAKEVGGTLGMDSKILRAYTSKDLAQLVDAITSWMASNMGPKLLRS >A08p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:846609:847487:1 gene:A08p001410.1_BraROA transcript:A08p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII21 [Source:Projected from Arabidopsis thaliana (AT1G54140) UniProtKB/TrEMBL;Acc:A0A178W640] MAGEGEEDVPRDAKIVKSLLKSMGVEDYEPRVVHQFLELWYRYVVEVLTDAQVYSEHASKSTIDCDDVKLAIQSKVSFSFSQPPPREVLLELAASRNKIPLPKSIAGPGIPLPPEQDTLLSPNYQLVIPKKSASTEPEETEDDEEMADPAQSSSQEQQTSELPSQTPQRVSFPLSRRPK >A04g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21123618:21124693:-1 gene:A04g508190.1_BraROA transcript:A04g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTSVPHAHRLSHSSPTMEFNGVISRRVRKHTQEADKGVLGDGFEGYVNLVMEEMLQLNAHKSIIIIVGGANMRGWSEKMINDELQIVRNAGVVQLQREKTCVSQSNTKLLQSLCLRQKRKERRELMDSFVDGIRESAEIWVLIETSSYANKVMQFFLGRSASDDIDLF >A02g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18097508:18098391:-1 gene:A02g506380.1_BraROA transcript:A02g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A01p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16407262:16408182:1 gene:A01p036490.1_BraROA transcript:A01p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKSTTIKMAEESKVLNTPGAEKQTKRELFDALRQELEGPVLEKASKSVWELILEKDGLGKEINETVERVFCRLSGREPPLFSSSSNVENQMVREKETETKEDNCSNTEAKKRSLSEVNHSEVAIRKKKQQGDSSNDHITP >A02g510270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27357019:27357485:1 gene:A02g510270.1_BraROA transcript:A02g510270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSSFPSFFSHSPSSPNHHHDHHHRSLPSISHIHVFSFHLVTTVPLLVFSSCNLSFFISLTIFLYSIKSLRSDESGESEMSSGNEETQLNFDFHGVLMCFRASSLRDEMESSEKRRKEMDLAQRRGRRWSWIQR >A01p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16851747:16853292:-1 gene:A01p035810.1_BraROA transcript:A01p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSNGKEPTTLIFNDFILGLGEAELCFRLVHFWEARNSSRGGMLISVELLMTNEQHLKEEMGLGYLAQKIISVDPLSPASRNSRMRGFIDWVFHLPNLMASSSILLLGSDPFSRDNEEQNNQCMIFSLSFLIPFVRLLND >A02p052990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32300670:32303143:1 gene:A02p052990.1_BraROA transcript:A02p052990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGEDRSSSWNWESQYCNNHQPQSSFSDVTECTMIEVTLNQEDHSYMFDDDDESTTPVKACSESGYHHVTAGCSSVKRRRRMLQFEDQQPMETTLFTSESFSSILRSSAREETYDELLPEGSQLIEDASASSFEGVDDLYADEWYVDCLNDPEAPTLPDDLSFGSPDVHVDISESLNLPPEPETNEVKRPVTRSSPDVIFKGRKSFARLASSVIYPFSFIKPCGVDGDMTLKDINQKILTPPAKPKENKAETPVIQTSAFSGKPEVGKTKIRTEGGKGSITITRTRG >A07p001250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:230716:233738:1 gene:A07p001250.1_BraROA transcript:A07p001250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGATVVCAAAVCAASVYIVRRRMQSSGKWARVIEILRVLEEDCATPIAKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGEEHGFFYALDLGGTNFRVMRVLLGGKEGRVVKQEAKEVSIPPHLMTSGSDELFNFIAEALANFVATEGEDFHLPEGRQRELGFTFSFPVKQTSLSSGTLIKWTKGFSIEDTVGQDVVGELVKAMERVGLDMNVTALVNDTVGTLAGGRYYNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDHLLDFDSLNPGEQILEKIISGMFLGEILRRVLLKMADEAAFFGDSVPPKLRIPFIIRTPDMSAMHSDTSPDLKVVGSKLKDILEVPTTSLKMRKVVVSLCDIIASRGARLSAAGIYGILKKLGRDTPKDGETQKSVIAMDGGLFEHYTQFSECMESSLKELLGDEASGSVEVIHSNDGSGVGAALLAASHSQYLDDVSETS >A09p042610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21933616:21934931:1 gene:A09p042610.1_BraROA transcript:A09p042610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLTCVRFDDWTTDKKPKITGSVSVSGITCYTWDDVESLTSNFSRLIGSGGYSSIYLARLSGAKKAAFKVHVGSHRLYQVFRSELEILLRLQHPHIVKLLGYFDDSEETGALLLEYLPQGNLQEKLNHNSKQVLPWRNRTALAFQVAQAMEHIHEKCSPQIVHGDIKSSNILLDKNLNCKLCDFGSARVGFSSMVQPSTTMSSPRSKQVRMIGSPGYTDPHYLRTGIASKKMDMYGFGVVVLELVSGKEAVSAEKGEMLVHTAAPLIQDICDLGANIAEEKVRQFLDPRLSKDSSLDIEEVKTMLGVAAFCLRSPPSLRPSASQVVQTLVQKIPYLSFLGCGKEA >A10p038660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21558763:21562779:1 gene:A10p038660.1_BraROA transcript:A10p038660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQWTRSEDKMFEHALVLFPEGSPNRWEIIADQLQKSAGEVKEHYEALVHDVIEIESGRVHVPHYMDDSAGQISFESKHGENERKRGTPWSESEHKLFLVGLKRYGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSITFPALSGFCCSRRICVFDSSMALSNTIFSVSHLASFTPSSATSCQTRFPALTSPPDLSPAFFADLRVIPLSTRRSIRNRVYASINNIDVATPSHQKMEDDDDDDDFVPMPMVLIDQDADPEATIVQLSFGDRLGDLIDTMRALKDLGLDVIKGTVSTEGSVKQTKFSITKLDTGRKVEDPDSLEQIRLTIINNLLKYHPECSDQLAMGETFGIKAPEKKIDVDIATHIHVKEDGPKRSLLCIETADRPGLVVEMIKVMADINIDVESAEIDTEGLVAKDKFHVSYQGQALNSSLSQVLVNCLRYFLRRPETDIDSY >A03p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13829169:13832910:-1 gene:A03p032670.1_BraROA transcript:A03p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLKVDELRGKLAERGLSTTGLKSVLVERLEEAIAEDAKKDESKRKRKRAVDSSDGDDESNKLIAIGELRAMNVKELREEASKRGLATTGTKKVLLERLCNDANNDSSASVKSGTDGAEDDDDGFEEEKKEEKIVTATKKGAAVLDQWIPDNIKSQYHGDDVYDAMLNQTNVRDNNNKFFVLQVLESDNQKTYMVYFRWGRVGVKGQSKLEGPFHTWDRAIDIFSNKFLDKTRNNWSDRKEFIPHPKLYTWLEMDYGKDDNESAVVNDVPKSSSEVKPEESKLDPQVAKFISLICNVSMMAQHMMEIGYNANKLPLGKLSKSTITKGYEVLKRISEVMGRFDRARLEELSGEFYTVIPHDFGFKKMSQFVIDTPQKLKQKIEMVEALGEIELATKLLSIDPGLMDDPLYYHYQQLNCGLTPVGADSEEFSMVANYMENTHAKTHSGYTVEIAQLFRASRAGEVDRFQQFSSSKNRMLLWHGSRLTNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYANSGANDGVLLLCEVALGDMNELLNSDYNADKLPPGKLSTKGVGRTAPNPSEAKTLEDGVVVPLGKPVDHSSSKGALLYNEYIVYNTEQIKMRYVIQVKFNYKY >A10p016990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2686833:2687462:-1 gene:A10p016990.1_BraROA transcript:A10p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 7-like protein [Source:Projected from Arabidopsis thaliana (AT4G14520) UniProtKB/Swiss-Prot;Acc:Q6NML5] MKRMFSEVEMCRDVAIPAKQLKKGHSPPHQQILTRLLQDLLHEKACREHGFYLAITALKSIGNINSTTDDDNPQADDVLTFPVSFTCRTFLPARGNIMVGTVMKVLFNGPNGAAPAVLISSGPLRYAYLSYLKMPDYHFVPPNSEEEEEPCFQKDDLTKIGVVVRFVVLGRRFKVSPEKRRTDVYVLASVEGDDTLGPVSLTGCDRPYM >A08p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15404784:15407764:-1 gene:A08p023370.1_BraROA transcript:A08p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKGKPVDDVNPEVKEAEEVEEQRDVIEKEEEEEEEKDKSFEELRLDPRLIRALTKKGIEKPTPIQQTAIPFILEGKDVVAKAKTGSGKTLAYLLPLLQKLFSDCGSKKKKPAPSAFILVPSRELCQQVYAEVSSLIELCRVQIKAVQLTSSMPLSDMRNALAGLPEILVTTPACIPKCFADGVLNPAAISDSLEILVLDEADLLLSYGYEDNLRSVTSIVPRRCQCLLMSATTSSDVEKLKKLILHNPVVLTLQEGADKEEPVPSNVQQFWISCSAQDKLLHILALLKLEVVQKKILIFINTIDMGFKLKLFLEKFGIKTAILNGELPQNSRLHILEQFNAGLFDYLIATDDNSQQAKAKEEAKDEDNKEKTKNKRRFKPKLDAEFGVVRGIDFKKVHTVINYDMPQSVTGYIHRIGRTGRAYSSGSSVSLVSPDDMEGFEEIKSFLAGEEDKDSDVITPFPLLTENAVESLRYRAEDVAKSVTKIAVRESRAQDLRNEIINSEKLKSHFEANPRDLDLLKHDKLLSKTAPAPHLKDIPEYLVDPKTQEASKMVKLARAAMGNSRRSGGGRNNANKKRSRKGVDPLKTFSANGSKRGRSGGVGQKKNGKGSSDGLTKKQRTA >A03p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10110241:10111614:1 gene:A03p023890.1_BraROA transcript:A03p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASKTTSSSCCSASTSPPVTKLNSKVPSPSSPTRVPKAFSFPMPSVHHPPAKEGDTHHLVSLTSTTYGSLHITDLDGASDRQTMPHISVSGNNNNKKIPEPEESRDSLSPDSVINTWELMNDLDDDYLDNGNDSVLSFSELTADHDVGVNGSALKPDDSYEFVRIEEYEEDWIPLPSKTKQPLWKHLAEESFLSDLDPNIISSYKRALSSKQLGKDTRQTSLLESARLSSRSLEEQEKPRLSEAEKDENKIVLYFTSLRGIRKTYEDCCYVRTVLRGYQVAVEERDISMDSKYRQELQIALGEEKPVCLPQVFVRGVHIGGMEEIKKLNDRGELGEMLKGLPVCESLGACECCGDARFVPCTSCGGSTKVFEEQEDAFKRCNGCNENGLVRCKKCCL >A01g511140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31289313:31291143:-1 gene:A01g511140.1_BraROA transcript:A01g511140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATKAEKKIAYDAKLCQLIDEFTQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVKIHAENSGNTGILNLMPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKQGDKVGSSEAALLAKLGIRPFSYGLVVQSVYDNGSVFSPEVLDLTEDDLVQKFAAGISMVTSLALAISFPTLAAAPHMFINAYKNALAISVATEYTFPQAEKVKEFLKVSHQIVQLFI >A07p034670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18804365:18806245:-1 gene:A07p034670.1_BraROA transcript:A07p034670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HILFHYQLDKNHFSSLISHHQANKTKDQVLSLLIMSTAGHFIRCLAAVAWEAGKPLVMEEVEVAPPQKHEVRIKVHFTSLCHTDVCFWEAKGQTPLFPRILGHEAGGVVESVGEGVTDLQSGDHVLTIFTGECGNCRHCHSEESNMCDLLRINTDRGGMIHDGESRFSSNGKPIHHFLGTSTFSEFTVVHSGQVAKINPEAPLDKVCILSCGLSTGLGATLNVAKPKRGQSVAVYGLGAVGLAAAEGARISGAGRIIGVDLNPNRFEEAKKFGVTDFVNPREHDKPVQQVIIEMTDGGVDRSVECTGSVQAMIQAFECVHEGWGVAVLVGVPRQDDAFRTHPMNFLNERTLKGSFFGNYKPKTDIPGVVEKYMNKELDLDKFITHTVPFSKINEAFDYMSKGECIRCIIDMGA >A07p042820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23187918:23190586:-1 gene:A07p042820.1_BraROA transcript:A07p042820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRESFLSSMKDLSQDSNTCSRSGDNKNVQYNQNGFSMRAPPPEESHFGNERDFLKQTMLQHEAVFKNQVHELHRLYRTQKSLMDEVKGNNNQSEHTPESAIKRDLPAFLLGKPVCGGEGSSSQACNNVPMANGVSSKDEEEVRPVKVRRRMIDLQLPADEYLDDADCSQEAGRGNNASHLNGSSSVMKTPNGLTDLNEPVQCQDGPSSRDVYSLYGKNIVHAQRQWLETNANQNGWMVLEAGRGKSIPRENLCVPSQPVQVLANHAFGYPPSTDQRRLLSGEWEARQRNPEVSYDSYVESSVASNAPSLTNGGYRPEPSRTWSHWISNRSNGSVQKPLPFQTNPLLSYSTQARADSNSEMRRRGGFEGVYQGFSSGLKETAFSVPPSNLNHFNNGPNGAVTNGSLKHQSFESLQGPKRQECSAGLPWLKPNPPNKNGITNGGFDLNASADGSSNVSPNNGLGRAEMASSLSSGKILGYPISHKRSIWECNSSFNSPPVSLKEANTLVNRNFDINLPCDASVAEDVESKKAATNRNYIDLNLCASEDESPGLCSKPRVETRATPLIDLEAPPALESEEEGERTPEKRDEAGETLDELIKGAAEAIVTISLSHNTDEAASSTTDAAAKDPLSWFVNTIASCGSDLEKRLDACLEGCREECSSGEVDYFEAMTLNLPLTKEEDYMPTPLVPEHLKFEGTDSIGINKPRRGQARRGRPRRDFQRDVLPGLASLSRLEVTEDLQMFEGLMKATGYSWNSGVARRSSNRGGSSRGRKRLISNVNGAPVCSSLEGPVDNSNVQMVGLEDRSLTGWGNATRRPRRQRCPAGSTPPTVVLT >A02g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25228238:25242178:1 gene:A02g509500.1_BraROA transcript:A02g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMFLTVWLLLCIHLVRAQKQIGATTHPEEAEALNSIFAAWKIRAPRDWNTSGDLCSGVAIADNVTIDDKDYNPLIKCNCDFQNYTICRITAIKVYKMNVVGPIPPQLWTLTYLTNLNLAQNFLKGPLSPAIGKLVRMTFLTFEINALSGPIPKEIGLLKELKSLGIGLNNFSGSIPAEIGNCEKLLNIYLSNSGLRGEIPSSFARLINLENAAITDLDITGQIPEFIGKWTKLKILKILGTDLSGPIPSSFSNLTSLNELNLGDISNGSSSLEFIKDMKSLNILVLRNSNLTGTIPSNFGEYLNLTQVDLSFNKLHGPIPASLFKLNQLTYLFLGNNTLSGSLPTQKSQTLTNIDVSYNNLAGSLPSWVSLPNLNLNLVANNFALESLDKRVLPGLKCLQNNFPCNRGKGIYSDFSIKCGGPQIRSLTGEVFEREDEDLGSASFFVNDVNRWATSSVGLYAGRINNMWAINSLEGEFFQTARHSSSSLRYYGLGLENGGYTVKLQFAEIETLGSKLWKGLGRRRFDIYVQGRLVEKDIDIRRLDDDTTVRPVQREYKAHVSENYLEIHLFWAGRGTFDIPALGTCGPLISTISAKPGITKQLCATNHNQRKSLGLVLL >A09p059540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49725900:49727519:1 gene:A09p059540.1_BraROA transcript:A09p059540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AKR4C11 [Source:Projected from Arabidopsis thaliana (AT3G53880) UniProtKB/TrEMBL;Acc:A0A178V926] MANDIGSFELNTGAKIPSVGLGTWQAAPGVVGDAVAAAVKIGYLHIDCASRYGNEAEIGKVLKKLFEDGVVKREKLFITSKIWLTELDPPDVPEALNRTLQDLQLDYVDLYLMHWPVRLKKGSVEFKPENIMPIDIPSTWKAMEALYDSGKARAIGVSNFSTKKLSDLVEVARVPPAVNQVECHPSWQQHKLHEFCKSKGINLSGYSPLGSPGTTWVKADVLKSPVLETVAKELGKSPAQTALRWGLQMGHSVLPKSTNEARIRENFDARLVQGTSFVHETMSPYKTLEELWDGEI >A10g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14513823:14516607:1 gene:A10g505680.1_BraROA transcript:A10g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVKTVIRRRRTTIRRRESKSKVKEKEEDKVNLESKKEAALHYISEESLVILRGKQGKSMIVAGFSESKKNHGNELIEKLEAGMQDMLQIVEDRNREMPIEEDMVEGFLYEVPEEYINMPFLKRRVVYMKAKIKDNPNLEDCVFHIVVDGYNAPVTAGNFVDLAERNFYNGMEIQKYIYILRSCGRIYRSNHREVRTVPLEIMVTGKKTPFYGSTLKNWVCTMAMARERELMPSNSNILDGRYDVFGGYVTQNEDFLADLKVDDVTDTSTILAALKYGRCSSTVEVEVRLLRFWEARNIKRGGHLMVVDMLLLDSKAMLIPATINVNRLPTYRGYLKGTVIRAANPEQTSSSEDSSQEQSSPEDSTESQDLPSTPLTSENSLEKAESAAKALAVADSKVFEAKEASQVADTAPKKSLTDVLKDENVELR >A01p051480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28882289:28882865:1 gene:A01p051480.1_BraROA transcript:A01p051480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTKLCLPLQTQCFVPKTVRSKTLMLQQSHVQVKVKQVTVPQPIRYSTKNTVYEDPVQGILCYTDDNGEVICEGYDEGPRCPPESPVVTSYSREVEILDLLQRSYQELRVAEKGDGQRQEAASQQELKMIKWSSFDFL >A07g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8884945:8886084:-1 gene:A07g504400.1_BraROA transcript:A07g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINISRSYNNSGLLRSEGYHQSSFVGSYASNYRTVSAFTCGRCNNSHAVGALRYHVEMAIADDTAEGTFVWFDGVMMKLHSLRASGLFRCCYATSLSTRAEDGVNPEDYRIPPFIAYMEGKVTAFNFTEHHKTFTITRIAEELGRAPVDDNGGGDDDDNDVPPGKPTPDEFGSGGAIGDSSKVVKKVCVG >A06p043010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23129732:23130779:1 gene:A06p043010.1_BraROA transcript:A06p043010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIHYFTLSKNISDSLHLTNKESVKSLSQIKWRHSWGFLRPSFNLAASQSLRQSFNIAEKVGKAVQKHSHLVIEVDVRLSVRGGEFGKGPRILRCEVTLFTKKRCVVRAEEDAETVYACIDLVSTIIERKLRKIKEKDSDHGRHMKGCNRLKIVRTKYFEMQPLTVAEAVAQLELVAHDFYGFQNEKTGEINIVYKRREGGYGLIIPKKDGKAEKVEPLPTEQLNEHSFAE >A03p069820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30676283:30677485:1 gene:A03p069820.1_BraROA transcript:A03p069820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQNNRHGSDEIILRLSVSVIFLCFGLVNGQNFSVLDFDATGDGQTDDSNAFVKTWNAACGGGGDISILLIPAGRTFLIQPIVFNGPCRSSNTKVQLEGIVVAPSNKEVWSNTNSRMWIKFSAVLGLVIVGSGTINGRGSSFWEVRQHLKASQRPTSLHISKCDNLIISGITSIDSPKNHISIAACTNVVVSNIGLFAPEDSPNTDGIDISRSTN >A08p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19825899:19826475:-1 gene:A08p032800.1_BraROA transcript:A08p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNCDLELRLFPTSSYDESDTSVVESRSSGNSLPKEEESQRITIFYNGKMCVSSNVTHLQAKSIISIASSEMEERSSSNGSDPRNRLTRLHHHQLPNPKASMKRSLQSFLQKRRIRIQANSPYHQHSQR >A03p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12173588:12175757:-1 gene:A03p028920.1_BraROA transcript:A03p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFB5 [Source:Projected from Arabidopsis thaliana (AT5G49980) UniProtKB/TrEMBL;Acc:A0A178UB83] MPEDDEDQSPPSDLRSQPPCSPNKSRNCISSSSSQTFPDHVLENVLENVLQFLDSRCDRNAASLVCKLWWKVEGLTRSEVFIGNCYALSPARLTQRFKRVRSLLLKGKPRFADFNLMPPDWGANIAPWVSTMAKAYPWLEKVDLKRMFVTDDDLALLAESFPGFKELILVCCEGFGTSGIAVVANKCRKLKVLDLIESEVTDDEVDWVSCFPEDVTCLESLAFDCVEAPINFKALEGLVGRSPSLRKLRLNRFVSLEELRRLLLLGAPQLTSLGTGSFSRGDQSDEQGPPDYAAAFRACKSVVCLSGFRELMPEYLPAILPVCANLTSLNFSYANISPDMFKPIIHSCHKLQIFWALDSICDEGLQAVAATCKELRELRIFPFDPREDSEGPVSELGLQAISEGCRKLESLLYFCQRMTNAALIAMSHNCPELTVFRLCIMGRHRPDHVTGKAMDEGFGAIVKNCKKLTRLAVSGLLTDQAFRYMGEYGKLIRTLSVAFAGDSDMALRHVLEGCPRLQKLEIRDSPFGDVALRSGMHRYYNMRFVWMSACSLSMGCCKDVARAMPNLVVEVIGSDDDDENREFVETLYMYRSLDGPRKDAPQFVTIL >A05p002440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:826229:826618:-1 gene:A05p002440.1_BraROA transcript:A05p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAADGIFRCIFEGCISGLDSAIERRPYHKNCDCALHDGAKKSQNQRRKPCRRHGSSESISFPIRRSWSEGNIMAMNFPAASSSSSSNLQSLSSSSSLATLADLPAETAAVVDQRRPRQLGWTIDEGDD >A03p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19447365:19450153:1 gene:A03p046170.1_BraROA transcript:A03p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNIDTGNFGHLGITTAEMESLASFCEAVLPPVSPPEEYSGGDDHYRNKETLRSFFFTSGSRTPVVRESIELITKRGTVETYLVTRLVLFLLATRLGTLLICGTECLVSRWPFVEKFSELSLEKRERVLQKQFRNWLLTPIRAAFVYIKVAFLFCFFSRVSPNGQNSAWEAIGYNVNTNENKPSETHKERPLEKGMVETMQETEQTLLESLSQKGLEPETDHDTIRIKCDAVVVGSGSGGGVAASVLAKAGMKVVVMEKGSYYTPSTYPSTEGPGMDKLYENGGILPTIDGNMMVLAGATVGGGSAVNWSACIKTPKSVLQEWSEDRKIPLYATKEYVSAMELVWKRMGVTET >A09p077500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57583673:57586723:1 gene:A09p077500.1_BraROA transcript:A09p077500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYRKSTTLNQKASRLVQLHLSETERLRNGLVNIKKDDAVALFQSMIRSNPLPTLIDFSGFCKAGRWDEGAKILKDMIGRKMVPNVVTFNVLIDVCVKAGRLEKAKEFYEEMITRGVAPNTITYNSLVDGFCMQNRLGEAKKMMGLMVGSNCSPDLVTFNSLLKGYCKVKRVDDAMKLFREFPERGLVANEVTYSILVQGFCQSGKVKIAEELFQEMVSCGVVPDAMTYGILLDGLCENGRLEKALEIFKDLEESKMELDVVMYTIMIEEMCKSGKVDDAWTLFCSLGLKGVKANVKTYTVMIWGLCKKGSLSEANMLLRKMEEDGNAPNDCTYNTLVRAYLRDCDLAKSAELIEEMKSYGFSADASTVKMVMDRLSSAACLGSLGLGFTDRECESFELRGKERFRLRDDDVDDSDTECQSFEICSASSPGDCWFPENFFTPQPLRVLVFRLRTWLF >A01p051130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28687422:28689805:-1 gene:A01p051130.1_BraROA transcript:A01p051130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 19 [Source:Projected from Arabidopsis thaliana (AT3G14720) UniProtKB/Swiss-Prot;Acc:Q9LUC3] MQQVQAKKSMKEIDFFTEYGDANRYQILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDVFEHISDALRILREVKLLRLLRHPDIVEIKSIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTKEHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVSFNDTPTTVFWTDYVATRWYRAPELCGSFCSKYTPAIDIWSIGCIFAEVLLGKPLFPGKSVVHQLELITDLLGTPKSETIAGVRNEKARKYLGEMRKKSPVPFTQKFPNADPSALRLLQRLLAFDPKDRPTATEALADPYFKGLAKIEREPSCQQISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYMNGSEGSSFLYPSAIGHLRKQFAYLEENSGKSGPVIPPERKHTSLPRSTVHSSVVSANAQPGLTAPDSRRVSFEPSRNGVAPSTSANPTKPLRPPPRVPSARPGRAVESSSVTYANNRNLKESSHDARTSYYRSTVPPPQTASPNGFFHPNTMNQEKRGGGGTEPASQPKPQFVPTQCNSAKPAELNPNPYVVQSQHKVGIDAKLLQAQSQYGPAGAAAVAVAAHRSVGTVGYGMS >A09g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3615082:3616743:1 gene:A09g501030.1_BraROA transcript:A09g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALHCLLTMSNSRDIGQDGLGLDLSDILSLTAEKKEDVVEAVEGIVKFAKEFLDLIDAADATGNADVAREALKRLATAGSVKGALQGHELRGLSLRLANHGELTRLSGLINNLISIGLGRESAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPSLKNLVQAWNKTLQKEIEQVSSTKTDAASAFLASLEDPKLTSLSDASKKPPIEILPPGMSSIFASISAPKKPLPTMKTPQPEPTKPLAIEEPAKPLAIEAPPPSSEETPQTESAPETATDPESAAPETAADPESAAPETAADSEPAEPETAAAAESAAPETASAAESAAPETAAVTEPAAPETAAVAESAAAHVDGPVTETVPEPPAPEKEETSLEDKSDPSSIQKTETAVATEDNSQTMPPPPPPEITTTTTTVKPTENAATERPQVTYPPIRSQPIDFDFLND >A02p046220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28907509:28908327:-1 gene:A02p046220.1_BraROA transcript:A02p046220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPLGLLTIHIKRGINLAIRDHRSSDPYVVITVADQTLKTRVVKRNCNPVWNEEMTVAIKDPNVPIRLAVFDWDKFTGDDKMGDANVEIQPYLEALKMGMELLRLPNGCAIKRVQPSRENCLSDESSIVWNNGKITQDMILRLNNVECGEIEIMLEWHEGAGCRGVTSSAKGAGSSYT >A04p013790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4626457:4629061:-1 gene:A04p013790.1_BraROA transcript:A04p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTTLVSSSSVSLLPNSKPFFSVKAFSGFRSSSFSGGFIRRIDHKPLRVMTPNLTPRAMAAQQLENADQLIDSVETFIFDCDGVIWKGDKLIEGVPETLDMLRAKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSINFPKDKKVYVIGEEGILKELDLAGFQYLGGPDDGKKQIELKPGFLMEHDNDVGAVVVGFDRYFNYYKIQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGALVGSCQREPLVVGKPSTFMMDYLADKFGIEKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSISMLESPENKIQPDFYTSKISDFLSLKAANV >A02p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4428496:4433283:-1 gene:A02p010290.1_BraROA transcript:A02p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSSNGVSPNPVEGDRRNINSELWHACAGPLISLPPAGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLQNVTLNADPETDEVYAQMTLQPVNKYDRDALLASDMGLKLNRQPNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFSMQPPCQELVAKDIHDNTWTFRHIYRGQPKRHLLTTGWSVFVSNKRLFAGDSVLFIRDGKAQLLLGIRRANRQQPALSSSVISSDSMHIGVLAAAAHANANNSPFTIFYNPRAAPAEFVVPLAKYTKAMYAQVSLGMRFRMIFETEECGVRRYMGTVTGISDLDPVRWKSSQWRNLQIGWDESAAGDRPSRVSVWDIEPVLTPFYICPPPFFRPRFAGQPGMPDDETDMESALKRAMPWLDNGLEMKDSSSSIFPGLSLVQWMSMQQQNGQLPSSAAAAQSGFFPSMLPPATGMHNNLGGTDDPSKLLSFQTPPGGISSANLQFNKPNPQASMSQLPQPPTTLSQQQQLQQLLHSSLNHQQQQSQPQQQQTLQQQHHQPLHQQTQQLQQQQQQLQSHPHPQPQSQSQSQSQPQQLQPHQLQQFQLPQNQPYNSQQAAQQHQAQQASMHHLQPPVVSVSMASIVIVPPSSSLNQNCQQQQQQSTQLQHTHRHLGASTSQSNVVETSKSSSSNPMSIPPHDTQFPRQLEQQQPPGLVNGPNQQHKAQQTHQQMFQQSLLEQPHLQFQLLQRLQQQQQQFLMPGSSSLQSQLLHQQLQQPPPQMLVSRPQDKQNPLVGGGGVKAYSGITDGGDAPSSSTSPSTNNCQISSSTFLNRTQSGPPTLMPDAAVDISGNLVQDLYSKSLKHELTGQQKSKASLTDHHQLEASASGTSFLAPTFGLDSDSRNSLLGAGATVDNGFVPDTLLSRGYDSQKDLQNMLSNYGGVTNDIGTEMSTSAIRTQSFGIPNVPAISNDVAVNDAGGVLGGGLWPTQTQRMRTYTKVQKRGSVGRSIDVNRYRGYDELRHDLARMFGIEGQLEDPQTSDWKLVYVDHENDILLVGDDPWEEFVNCVQSIKILSSAEVQQMSLDGNFAGVPVTNQKACSGGDSGNAWRGHYDDNSATSFNR >A04p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14312411:14313939:-1 gene:A04p023630.1_BraROA transcript:A04p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHYRNTISISVAYLFLCLFITYVSSQSLIRQITDDVNTNLKEDVPYVQKHQEILSRHYNVSNSKTVTGMRNRRPSTYSYKIETNVVDKFETRPFTVGGYNWILHVYLNGNTKDGGAGYVSLYVEIDKSGFVDSAHQEVYADLRFYIFNRNERKYFTIQDTDVWRFDAFNTMWGFSKVLPVDTFKDPKNGYLYNGEHCEFGVDVIVPTPFEESETFSISSSSDKYTWTIQKFSTLSKDLYSPVISLGGKKWNILVVPAGSGSGRGKYVSMFLQLSTNQRRAYEYIYVQVKFRVLNQGKLSNREREFGVWYGRSYSWGTVDLISFDDLRDSSKGFLVNDALIVEVQLEAISTTKYFP >SC362g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000204.1:209:1173:1 gene:SC362g500010.1_BraROA transcript:SC362g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDVDIKETINKDVKTEERWAVWSWIVTGPNGWEDFESYQTRDLHYLNGFSTSLRRFQTSIFAFSSTISHSYALHSSRVVLYGQNLSFRKALSQLLNGGKKPEERGETSSGHKKKLKGDLTGKQLVPIQVVHCLTSDQNWSLQVVDSLLHYSVPTGSKKLSSKCTKISLSLTEDDDDDPVVS >A07p026760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15254052:15255485:-1 gene:A07p026760.1_BraROA transcript:A07p026760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDMMKLMMSDYKVETINDGMQEFFVEFNGPKDSLYEGGVWKIRVELPDAYPYKSPSVGFITKIYHPNVDELSGSVCLDVINQTWSPMFDLVNVFETFLPQLLLYPNPSDPLNGEAAALMMRDRPTYELRVKEYCDKYAKPGEGSEDKSSDEELSEEEYCSDDNEDDDAIAGQPDP >SC117g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:232176:236705:-1 gene:SC117g500090.1_BraROA transcript:SC117g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDEQGGVWIRSGQG >A07p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19225655:19227404:1 gene:A07p035520.1_BraROA transcript:A07p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAMASHVSSTRSPALSLSSSSSFFPGTTLRRFSAVSLAPPASFSPLSLRVSCQASSVTSPSTSDVKGKSDLKDFLAIDDFDTETIKKILDKASEVKALLKSGERNYLPFKGKSMSMIFAKPSMRTRVSFETGFFLLGGHALYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLANYSSVPVVNGLTDHNHPCQIMADALTMIEHIGQVEGTKVVYVGDGNNMVHSWLELASVIPFHFVCACPKGFEPDKEMVLKAQQAGLSKIEITNDPKEAVIGADVVYSDVWASMGQKDEAEYRRKAFQGFQVDEALMKLAGPKAYFMHCLPAERGVEVTNGVVEAPYSIVFPQAENRMHAQNAIMLHLLGF >A07g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4958889:4960137:1 gene:A07g502320.1_BraROA transcript:A07g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYFLTCLLIRSHMLVTSCLLQLLAAFFFNPIWYKTPSSLLSTSCYCKKNQKKKFREKERKKREKSGRKVGLDDPFSPSCDFNQCVMVWLRAEGLVFKSLESPKFFSLGFYRRVFSLKFISTKFILKLEEIVLNIIKYGSINHVKFYYKPVFQNIPV >A09p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2860063:2861985:1 gene:A09p005250.1_BraROA transcript:A09p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSKYTHSPAHLAVVLHDHTALRRIITNLPTLSKAGEVTNEAESLQAESNADSITAVIDRRDVPNRETPLHLAVRLRDPVCAEILMSAGADWSLQNENGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRITASASRIRDFYMEITFHFESSVIPFVGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGDGYGSDDGKVSLPPGALIVLSHKEKEVTNALEGAGAQPTEAEVANEVKQMSQTNMYRPGIDVTQAELVPQANWRRQERTESVGSWKAKVYDMMHVMVSVKSRSVSGAVSDEEMFAVDGVAANGGENDGFEDVLTSEERKQLDSALRMEVDEENDHHQENGGSLKEKKGWFGWNKKGLKPGCDGGSEDNTATKVKKGSKLASEKGKSQRCDDTTKDNKGNAKKKKSESEYKKGLRPVLWLTPDFPLKTDELLPLLDILANKVKAVRRLRELLTTKLPTGTFPVKIAIPIIPTVRVLVTFTKFEELQPSEEFSTPPSSPVFHDAKSSDNSSWVSWMKGARGGGQASDCESNRYRDDEVDPFLIPSDYTWVDSAEKKRRMKAKKARIRKNRKHGAPKPGSSSSRSNQEADA >A08g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1636948:1638682:-1 gene:A08g500600.1_BraROA transcript:A08g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNPRKLYIDNVDDETEDSEDDLDENFHGDDMPASHCNVDEGRERFGSTDAANIFFYPSFRFGLIHLLSIWKLNLLKKLQGISKVASSSGNKLQETLTPKKLLILALQEAERNGNSIYIICLIRVEDSEDEDHTSQVAKRSSQWNSIMSERSSIVPSSGFPSLIFLQVFTVSSFNSGHSTLGQLAFSYMPVKFKFLRARACTRTSPLNLAIEILKWSEERTNICVMYTLCPVLIKFFSNQCIHIKNKSN >A01g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10381820:10383769:1 gene:A01g503240.1_BraROA transcript:A01g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSDEYDINTLIQAEFELYGDEAESNYHIAEPLQYEPQPECDEGIPTICYCGGDPVVAISSTAKDLGRRYFTCPNVDDGDCHIWKWWDVAITEEMRELQTQIRQLKDQGFECDEKVVKLQKTVCALSKKKPGLITCFAMEEEIQRSKSECLKPVECLYNKCAYLRICIALRVTHYTFLTMDKNTSYVNLLFSQSQSSVDLDSPEPFWFGSQVKEKLSKQKLLERLLGKKEPLTEMETSLKLKLMSEMLSSMTCEGTGAARK >A03p049400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21132166:21138947:-1 gene:A03p049400.1_BraROA transcript:A03p049400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 23 [Source:Projected from Arabidopsis thaliana (AT2G16920) UniProtKB/Swiss-Prot;Acc:Q9ZVX1] MFFCILKDWPLQRIWFYSVCSPGGCKEMNALNLNGQLEIAGRAIKNNGNLDDDDGQVLVSSFLKLANLSSKREHISSPRCSAISIGGSSRKRGFSAVVGIPAVVDPVGAPSECLLLKNMFDPSTETEPEFDFDEHIKEDVKDECSKFGELNHIFVDKNSIGFLSTCHLKMRKQQWECNVLSMMEHEQDDPGVDLPLDGSLESEPICDDPSRIDKADDSGSNEHPNIYRHDIVRNNKTGSIGVVSEVAGDSDSESDISDEENDGGDDDDEEDDDNDDDDAAEEEGKKANEENVGRGEKNYKCGTLEGDQIRVLWMDDTEPVQDVNNVTVVDRGFLHGDYVASSSDPTGQVGVVVDVDMSVDLLALDGSTHKDISTKELKRVRDFCVGDYVVHGPWLGRIDDVLDNVTVLFDDGSMCRVLRAEPLQLKPVSQSNLEEDANFPYYPGQRVKATSSSVFKSSRWLSGLWKPNRLEGTVTKVTAGSIFVYWIASAGFGPDSAVSPPEEQSPSNLTLLSSFTHANWQVGDWGLLPSVNQSATIPLHKHVSKLRLYDSQAKRADREQNKSDLKDELSEEKSEPVGITAEALPKEITVSSTSKEPAHEPWPLHRKKIRKLVIRKDKRVKKKEESFERALLIVSSRTLVDVAWQDGTVECRREATTLIPIETPGDQEFVPEQYVVEKASDDDDNTTEPKRVGVVKSVNAKERTASVRWLKPRLTAEEPREFDKEEIASVYELEVHPDYDYCYGDVVVRLSPVTMALPASSEATEQDDGHQDAEYHQEAKVHDKEENEVDTNIPELSWVGNITGLKDGDIEVTWADGMVSTVGPQAIYVVGRDDDESIAAESEASDAASWETVDDDNGGAPEEDLGRGSFLEENSDAGNNGENDSGRNGALALPLAAVEFVTRMASGIFSRGQKAEDSSSSSLTDDNGLTNSSHERGSFLDDHSPTNFSATDNCDLEGTVVENEAVERSEGEKSEEPIPSESDSCSFKRFDISQDPLDHHYLGSDEQKTKERRWFKKVDQDWKILQNNLPDGIFVRVYEDRMDLLRAVIAGAYGTPYQDGLFFFDFHLPSDYPSVPPDFEELIKDHFRKRGYYILKACDAYMKGYLIGSLSKDASVIDESCSANSNSVGFKLMLAKIAPKLFSALSEVGADCNEFKHLQQQQ >A01p057500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32509145:32510199:-1 gene:A01p057500.1_BraROA transcript:A01p057500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFSASVSMQATSLATTTRISFQKPVLVSNHGRTNLSFNLHRTRLSISCAAKQETVEKVSEIVKKQLSLKDDQQVVAETKFVDLGADSLDTVEIVMGLEEEFGIQMAEEKAQKIATVEQAAELIEELMQAKK >A07p049860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26599454:26606510:-1 gene:A07p049860.1_BraROA transcript:A07p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDVGLDAVKTPRLVKVIAFAFISITTIFLFNHFSDSFSYPSLISSPEAVQTNATTVSAVSPSPPPRPHLKISPPPLPPTVVRTGIIDENGAMSDTFEIGGFDPGAVDEIKTGNSSSSSEATGDSKVEFRIEKFSVCDKRKTDHIPCLDNEEEIKRLNSTDRGENYERHCPKERLGCLIPPPDGYKKPIPWPQSRDKIWFNNVPHTRLVEDKGGQNWIRQEKDKFVFPGGGTQFIHGADQYLDQISQMVPGITFGVRTRVALDIGCGVASFGAFLMQRNTTTLSVAPKDVHENQIQFALERGVPAMVAVFATRRLLYPSQAFEMIHCSRCRINWTRDDGILLLEANRMLRAGGYFVWAAQPVYKHEDNLQEQWKEMVDLTNRICWELIKKEGYIAVWRKPLNNSCYLNREAGVKPPLCRPDDDPDDVWYVDMKPCITRLPENGAGANVSTWPARLHDPPERLQSIQMDAYISRKEIMKAESRFWFETVESYVRVFRWNEFKLRNVLDMKAGFGGFAAALNDLGLDCWVMNVVPTSGFNTLPVIYDRGLVGTMHDWCEPFDTYPRTYDLIHAAFLLSNEKKRCNITNIMVEMDRMLRPGGRVYIRDSLSLMDQLQKIATAIGWRAGVHDTGEGPHASIIGIRTMIVDEVISNGDGRESSQPNGTLNMANASSGDDKNSRICKRRKLESSVSTVGLSGIRESVACEMNDQRCSGGTHLTGGLPQLSNPDESTTEPHKYETVTAGCQNVLSQVLASKEFASLSKLLSENLQGVKIEDLPCRTLIDTRMQEGAYEGSSVLFSTDLQEDVGNGMAALAGSLLDLSKTSCTEQLKQFNTVEPTHHPNVETTRNDSVDDACKLCGEKAAVKDYLACDHCDDMYHVSCAHPGEKEMSSDTWYCLNCTDNGFGSPHENCVVCEKKKTEKLLVKTDNNGCVDDSSAERKEDSNGSEENSTSNMMNHGDEAMETKIDSELCRTCGTKVEKGDGNRFITCDHPFCPHKYYHIRCLTSKQIKLHNVSWYCSSCLCRNCLTDKDDDKIVLCDGCDDAYHIYCMKPPCASVPDGEWFCRTCKVAIQKVRKIRNVVEKKKVGAVQKLKGKMNEIKPGSKGKGGLDSGVGGMDMLLNAADTLKDQEQM >A03p059200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25733204:25736928:1 gene:A03p059200.1_BraROA transcript:A03p059200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDPADDDTARNGVTPPASSRRSREILMDVDDLDLDGSWPLDQIPFLSSANRMISPIFVSSSSEQPCSPLWAFSDGGVNGNHGGYDGEKITSASGVSSFRLADYPLFLPYASSSSVAETTTEKHSSFQFPSPLMSLVPSENADNYCVIKERMTQALRYFKESTEQHVLAQVWAPVRKNGRDLLTTLGQPFVLNPNGNGLNQYRMISLTYMFSVDSDCDVELGLPGRVFRQKLPEWTPNVQYYSSKEFSRLDHALHYNVRGTLALPVFNPSGESCIGVVELIMTSEKIHYAPEVDKVCKALEAVNLKSSEILDHQTTQICNESRQNALAEILEVLTVVCETHNLPLAQTWVPCQHGSVLANGGGLKKNCTSFDGSCMGQVCMSTTDMACYVVDAHVWGFRDACLEHHLQKGQGVAGRAFLNGGSCFCRDITKFCKTQYPLVHYALMFKLTTCFAISLQSSYTGDDSYILEFFLPSTITDDQEQDSLLGSLLVTMKEHFQSLRVASGVDFGEDDDKLSFEIIQALPDKKIQSKIESIRVPFSGFKSNATERLLIPQPAAQSSNPANEKVNVASANGVAKEKKKTEKKRGKAEKTISLDVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSITKLKRVIESVQGTDGGLDLTSMAVSSIPWTNGQTGAQPLNSPSGSKPPELPNTNHSPNHWSSDHSPQEPNGSPELPSNGHKRSRTGDESAGTPTSHGSCDGNQLDETKVPNQDPLFTVGASPGLCFPPYSRDHDVSAASFAIPNRLLGNIDHSRGMPIEDAGSSKDLRNLCSSTPFDDKFPESNWMNNDNNSNNNMYAPAKEEAVANTTREPSGSEMRTVTIKASYKEDIIRFKISSGSGIMELKDEVAKRLKLDAGTFDIKYLDDDNEWVLIACDVDLQECLDIPRSSRTNIVRLLVHDVTTNLGSSCESTGEL >A06p055590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29022756:29025199:1 gene:A06p055590.1_BraROA transcript:A06p055590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAARSILNNLQLSSCTKSPPFTIHSSHDTGRRPRPSSLAFLDCPERSQILGKCLRLQRQRSSAPCLSASRDDVNPSEELAVILEVDGVMIDTWSSNRQAFNVAFKKLGLDCANWPEPVYADLLRKGAADEEKMLVLYFNQIGWPSSLPTNEKGTFVKSVLREKKNAMDEFLMSKSLPLRTGVQEFIDNAYTEKVPIAIVTAYFKSGDKVALSIVEMLGEERLPNVKVIGDNEVEQSMYGQLVLGKGVSSSLEEQLVKEVKKAASAEKQRIAEEVASMLKLSVDIDTSSSERLEKIVVALRAAAEYTGLPVRNCVLVAGSQSGVSAAKMIGMPCVVMRSSLTARGEFPSAKGVMDGFGGADLTIQKLRHKIKS >A08p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15540852:15544280:-1 gene:A08p023600.1_BraROA transcript:A08p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKSEIEMSEEERKIVKMCSLKKKAISASNRFKNSFKKKGRRSTSRVMSVPIEDDIDAEDLQALDAFRQALVLDELLPSKLDDLHMMLRFLRARKFDIEKAKQMWADMIQWRKDFGADTIIEDFEFEEIDDVMKHYPQGYHGVDKEGRPVYIERLGQIDANKLLQVTTMDRYVKYHVKEFEKTFKIKFPACSVAANKHIDQSTTILDVQGVGLKNFSKSARELLQRLCKIDNENYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYHSKLLEVIDASELPEFFGGACTCEDKGGCMRSDKGPWNDPEVLKMAINKEAKCSPISEDEHKHVDQGRSTSVPESLERNNKKRDEDNAHEKQIATIDKSMDTAWPVKTQKSESFKVSKGLECYVRKGAPKKRDGLLVGGVMAFVMGIVAMVRLSKDVPRKLTEAALYGNSVCYEESLSKQNKAQFAAPVSSSEYMLMVKRMAELEDKCMFLDLKPANVDSEKEEKLQAALNRVQVLEQELTETKKALEEALVSQKEILAYIEKKKKKKKLFFGF >A01p048720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27432329:27433760:1 gene:A01p048720.1_BraROA transcript:A01p048720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSTQSYSEKWYWDDRYTNESDPFDWYQNYPSLSPLINLYLPHRTHRPLVIGCGNSAFSEGMVDDGYGDVVNIDISSVVIDAMNKKYSDRPQLKYLKMDVRDMKSFQDASFDAVIDKGTLDSILCGSNSRQHSTQMLEEVWRVLKDEGVYILITYGAPNYRLRLFKESSCSWTTKLHVIDKSLTGQPLETPKWELTKPIPLDDEGSSVESAIGKSPDVHYIYVCIKDAALKTETDAA >A03p010200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4078835:4079825:1 gene:A03p010200.1_BraROA transcript:A03p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTRIFLFYHPYYISQLHFHFPSFFSHSRIKKTYKKKKKKSMKFGKSLSNQIEQTLPEWRDKFLSYKDLKKRLKLIDSKSVDRPTKRLRLDESSVGMSKEEISFIQLLEDELEKFNNFFVEKEEEYIIRLKELRDRIGKAKDSKEKMMSIRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGDLMRLPYIQKVLQQPFYTTDLLYKLIKESEAMLDCFFEDPTVTADAAESDESVQAEHKFMESLHMKSTIAALRVLKEIRSKSSTVSVFSLPPLQLNGLDKIPLLEQEAK >A06p052440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27755232:27755862:1 gene:A06p052440.1_BraROA transcript:A06p052440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPPVKPESVEEIVLMEYDEEDNQLEAEFCPVEHPIEPEEEDRPVKCPVPISSALIHNSTEKTKPGWVKHRASCETPVYPPPRHVRNVRKRRNSFVEGDNSFFTRSMFSTSTHHEEETTSRRSTATTIYRVFQQVHEFEP >A09p080380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58876791:58882092:-1 gene:A09p080380.1_BraROA transcript:A09p080380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPASTPICLHHQESYLCTFPDIHNLTQLQKLDLSNNNLTRGIPEFLAKMKIIVSHVSYLVNYEHMCQNSVPINLSGNNLSGSVPQALLDKLKKGLTLKYDFLPVYNNKKKNRTMLPVIASVAYFGCTSIGKGICVTKSSNHKHQSVIYCYESVIIASIEEEERETGQTSGSESSNSEPWAKDVRECEDHFGVSVEKGLTTDDVAKRHQIYGLNELEKPEGTSLFKLILEQFNDTLVRILLAAAVISFVLAFVDGDEGGEMGITAFVEPLVIFLILIVNAIVGIWQETNAEKALEALKEIQSQQATVTRDGVKLSSLPAKELVPGDVVELRVGDKVPADMRVVRLISSTLRVEQGSLTGESEAVSKTTKPVEENADIQGKKCMVFAGTTVVNGSCVCLVTHTGMSTEIGRVHSQIQEAAQHEEDTPLKKKLNEFGEALTMIIGLICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRSFNVEGTSFDPRDGKIEDWPAGRMDTNLQMIAKIAAICNDADVEKSENQFVARGMPTEAALKEKMGFPEGANKASSLADGDVLRCCRLWSELEQRIATLEFDRDRKSMGVMVDSSSGTKLLLVKGAVENVLERSTHIQLLDGSTQELDQYSRDLILQSLHDMSMSALRCLGFAYSDVPSDFATYDGSEDHPAHQQLLNPSNYSSIESNLTFVGFVGLRDPPRKEVRQAIADCRTAGIRVMVITGDNKSTAEAICREIGVFEADEDISSRSLTGKEFMDVKDQKNHLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGMYVGVATVGVFIIWYTHSSFMGIDLSQDGHSLVSYSQLAHWGQCSSWEGFKVSPFTAGSQTFSFESNPCEYFHQGKIKASTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMAVSFGLHFVILYVPFLAQVFGIVPLSLNEWLLVLAVSLPVILIDEVLKFVGRLTSGYRYSPRTPSAKQKTE >A10p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1139332:1141790:-1 gene:A10p002190.1_BraROA transcript:A10p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENEERVKLFVGQVPKHMTEDQLLALFQDFSIVHEVNIIKDKITRASRGCCFLICSSREEADKVVNGCHNKKTLPGASSPLQVKYADGEVERLEHKLFVGMLPKNVTEAEVLSLFSKYGTIKDVQILRGSLQTSRGCVFLKYESREQALAAMEAINGKHIMEGSNVPLVVKWADTERERQARRLQKAQSHASRLANSDPQNPSLFGALPMSYVPPYNGYGYHGPGTYGYMLQPAFHNVISPNHGANNALHGAALTESVPPRLAARRNFPMPLGNYSYHGLQYPMAFPRGMISPRLPLTTVSHGISNNGTSSPSSLQTEGPAGANLFIYNIPREFGDQELAVAFQPFGKVVSAKVFVDKATGVSKCFGFISYDSQEAAQNAINTMNGHQLNGKKLKVQVKRENGTQQQSNKHVNS >A05p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26270087:26271205:1 gene:A05p043590.1_BraROA transcript:A05p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSSKFLKGITSLQALRSTRLASASVYQHGMMRNSSTVPSDSDTHDDFKPTQKVPSGSTDSLKDIVENDVKENPVMIYMKGVPEAPQCGFSSLAVRVLQQYNVPIGARNILEDQELKNAVKSFSHWPTFPQIFIKGEFIGGSDIILNMHKEGELEEKLKDVSGNQKSQ >A09p007550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3945706:3945924:1 gene:A09p007550.1_BraROA transcript:A09p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILNVVCIMMMIIPVFVIGGEADCNVICSTHCSKPSAPAERCSVCHKTCNAFPPSVRTEILKIRNSTRPV >A03p066160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29283009:29288033:1 gene:A03p066160.1_BraROA transcript:A03p066160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVGRLSARPDRTPVYTPDEAVSHLLAMEKILECQMRPMASNRPVPKQVFVNQYLVKWKGFSYLHCSWVPEHEFEKAYKSLRGLETMVNQFHSTMESLGNKVYDFVAIPPEWTTVDRIISFREEDGQRKYLVKFKGLSYAECYWESESDISTFQNEFRRFFDINFGNRRGMYVDHERNHEGFKQITSLTPKFITGSLQPYHLEGLNFLRSAWSNRTHVVLADNMWLGTRIQSIAFLASLFAENVAPFLVVAPSSTLRNWEIGFTTWAPQMNVVVYGGTSQARTVIIQHEFYLPRGRMNGVRGETNRIKFDVILTSYEILDVDTAVLKPIKWKCTIVDDGHRLENENPKLFYSLKQFTCEHHILLTGRPLQVVNSEQQAEQLSALGKRKRNRKQIVEKEAEPTDGEEARQGNKKTRGPYRRRTHRRKTHLCSGPRPLIEGQGKSLRVLGFRESQRKTFLDTLMRYGVGNYDWKEFVYPLMWKTYDEINNYGKFFLELIIKDTQDTNPPTFSDMVPKIGLTRDKVLARITVMMLLQEKVKLIENHPSKTVFPDPIIGRFAALKNERNWNKEHDKLLLRAVSKHGFGKWIDIVKDKEFELEQLICKALKVPVENLTRTNPDQKDPHRQLADYLRKRFEILENAMSDECADTYYRVKWEKN >A10p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:967794:971285:1 gene:A10p001940.1_BraROA transcript:A10p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex catalytic subunit NAA20 [Source:Projected from Arabidopsis thaliana (AT1G03150) UniProtKB/Swiss-Prot;Acc:Q8LGI8] MGRRSFPETSDDAEAVVGAELRNSPEMKTIPGGVADSMSVGLILACFPKLRRRRGRDEERVVNDLMKYGSVVRDDHSFETQRNLTRDLAGNLKSISLVTEAIWESTMTTIRRFSCNDLLRFTSVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGNRVMVMGKVEGQGESWHGHVTAVTVSPEYRRQQLAKKLMNLLEEISDKIDKAYFVDLFVRASNTPAIKMYEKLGYIIYRRVLRYYSGEEDGLDMRKALSRDVEKKSVIPLKRPITPDELEYD >A07p023490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13641800:13644673:-1 gene:A07p023490.1_BraROA transcript:A07p023490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSPIPPLLSQLRLARRAASASASSASSRFSSTVSPPYPNLSDSEHHVNNNHHLSFDFNLSKINQTGLLRVLHSAKDDPNLALSFLRHLKQNAVPLTVNAYAALVRILSRWRLDRKLDSVLVEVITNEEERGFSVMELMEAIGEQEEDSNFLLPRVSCALVKSYVGLGLFDEAIDVLYQSKRLGCVPGIKSCNFLMNRLVEFGRTDMVVALFRQLNQLGLSANDYTYAIAVKALCRKRDLDGAARLLEETSSMFAYTTFIEGLCLNDKTEMAFAFIEDLIDAKALNGDALAFAFGMLVRGFCNEMNAEAAEEVIFRMEEFGIGPDVYACSEVIDRYCKSLELDKALRIVDVMLQKGLRINCVIVSSILHCYCKMGALGESSMWLEALKRFEEFRDMDVYLDEVCYNAAFEALSKLERVEEAMKLMEEMTDKGMVPDVVNYTTLIDGYCLQGRFSDALDLFDEMRANGTEPDVITYNVIAGGLARNGYSEKAVEIYKAMRIEGVEPTAVTHSVIISGLCSAGKIEEAREFFTSVEDKCPEDYASLVKGYCDSGLPRDAYRKFVELNSPLPKNVCFKLFTALCEEDKNCQGKALRVLKRMWAYGVEPARSMYGKMISKVDNVREAELVFDKMVGRGNLPDLVTYTIMIQTYCRLMELQKANDLFEAMKQRGIKPDLIIYTVLFHGYLRLDREMGCDLRGEAKQIWKELGAACIEPDVKMYTVLMNHHCKIGNVDIATDILYRMIECGLKPDNVTYNVLISACHRKGCTDALVTELSGKDITLPEHLFAVVKRAMKTRRQKSRIQNIERNQKTTPGMSTLAVWEPESEEETQKKTRIYVYVSHKAHGYAGGKSVDVDGYKCLLLHLTVS >A07p026510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15149566:15152340:1 gene:A07p026510.1_BraROA transcript:A07p026510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G6PD6 [Source:Projected from Arabidopsis thaliana (AT5G40760) UniProtKB/TrEMBL;Acc:A0A384LPG4] MGSGEWHVEKRSTLRNDSFVKESGSAPETGCLSIVVLGASGDLAKKKTFPALFNLYRQGFLNPDEVHIFGYARTKLSDEELRDRIRGYLVDQKNADALSKFLQLIKYVSGPYDSEEGFQRLDRAISEHEISKNSSEGSSRRLFYLALPPSVYPSVCKMIKTCCMNKSDLGGWTRIVVEKPFGKDLDSAEQLSSQIGELFDESQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIENVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQASIPDIIFIYLQVLCLVAMEKPISLKPEHIRDEKVKVLQSVVPITDEEVVLGQYEGYRDDPTVPDDSNTPTFATTILRIHNERWEGVPFILKAGKALNSRKAEIRIQFKDVPGDIFRCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMQTVQSELDLSYGQRYQGVSIPEAYERLILDTIKGDQQHFVRRDELKVESCCVGDLYAVAAQD >A09p081290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59210858:59211227:-1 gene:A09p081290.1_BraROA transcript:A09p081290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKKSPGLKILWVWTIGTAAILVASVVRTRMHDMETMMNQEQAPPKQNQNVNAGHSVLTDETVLPESDREIAKELK >A03p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7499400:7503613:-1 gene:A03p018390.1_BraROA transcript:A03p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIAGQLKRGISRQLSSVSLRRTFSRQFTRQASHDPRRINMRFSFGRQSSLDPIRRSPEGSGHPQLAVPDNLDATMQLLFVACRGDVEGVQDLLDEGIDVNSIDLDGRTALHIAACEGHVDVVKLLLTRKANIDARDRWGSTAAADAKYYGNMDVYNILKARGAKVPKTKKTPMVVANPRHVPDYELNPQELQVRKADGISKARPLLLHFPGIYQVAKWNGTKVSVKILDKDLYKDHDTINAFKHELTLFEKVRHPNVVQFVGAVTQNVPMMIVSEYHPKGDLGSYLQKKGRLSPSKVLRFALDIARHGNELSSRVNIMLDNGGLLKVAGFGLISFEKLSSDKSKVLNHGAHIDLSNYCVAPEVYRDEIFDRSADSYSFGVVLYEMIEGVQPFHPKPPEEAVKLMCLEGRRPSFKAKTKSCPEEMRELIEECWDVKAVVRPTFSEIIVRLDRIFVQCSKQGWWKDTFKFPWK >A05p050470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29384635:29385337:-1 gene:A05p050470.1_BraROA transcript:A05p050470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKTVKMTLKGKNPVTMDHLSLRGNNIRYYILPDSLNLETLLVEDTPRVKPKKPVAGKPVGRGRGRGGRGRGGAGRGRGR >A04g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10205473:10207267:-1 gene:A04g504750.1_BraROA transcript:A04g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASERTEQFETVVTDRLGKIEAEVTQLRTTLLVTELVGKSDQASGPSMTKINSGPSTSKKGTAPSKKKAVKNQELKTADSCVNLPRAKVTQSSASHLRMGTQEFLESCMKNLPLDTFVKGLNPSQAKVEDSLDWLELPKSLKKPTDSLELLKSLKKPAVRLDDRDIELDGENFPDRCLVFVHPTDFKKMQDWQDTRTAIQIGPSMLDGDLAGRIMSASSWLKNYVSIQPEIDAIMYIFRERTALKRWNVDRVAFMTCVFSDLIAKDYHNFCKGIKKYTMDPLLLQYGKGELPSHGRTRMLWNVDVDRMYVPVWEVEAFAQLIPRIVKAVQSLTIQKHLHITPYNVSYVPMSGLNRIQCHCGVYTIKHIECHVLGLDISMVSDENIWGTRIKIMWDIWEAANDLELIERMSKYEPIKCSKPFEYVEIDDL >A08p015140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9996562:9999335:-1 gene:A08p015140.1_BraROA transcript:A08p015140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKLILICQSGGKFVTDDDGTMTYTGGEAEAIDINHETSFHAFKLKLAKLWNLDFDSLSLKYFLPGNRTTLITMRQEKDMKRMYDFHLSSVSAEVFVTGQEGFHSQAPANWSDNIAVRADTTLIAYGNVANVPIEVATEDNSLVDVSLISRKVVAPRFTSESSGLVEIPVTVSTGPVVPAKSTSKKPKRKGKTTSLASSNYKLTPRSSKQTVMGSKSSSPMSPASVSKRRRVMEEPSMLLQDENAGETRRRSLRNRGEIRKPVIETDEDEYLLSDEDDAHDNLDVDDDKDYVQDIDAYYPETEDVDSEPQMMNYSISGVKDGSVESLVASWKLCITGVGQGFESVVEFRDALQKYAVACRFGYRLRKNESNRACGVCLVGGCPWKIYASWVPSESMFRIKKFNRRHTCGGESWKSAHPKKNWVVSIIKERLQENPNQKTKNIADSIFQDFGIELSYCTIRRGIDEAKGGLHTSFKDAYKHLPLFDFMRSLRGPFLGDGKPSLSYYLLAAARADRLDGFKVYTEQIKRVSPKAYDWVMEVEGKHWANALFEGEPYSHITSDVGEIYSKWIDEIQETSIVLKLVMFVSRIVELVNSSQEKSREWFSHLVPSKEESLVEECKKASTLKVFFCSDTLFEVHDGSVQLVDMSNQTCSCFGWKPTGLPCQHAIAVLNTKGRNVYEYCSSFFTVESFRSTYSEALGPVAIDLPSVENEGSSKEEEEQVLPPLFSRVQGVDKRIKDRKRGRSVCCTKCGGVGHNKATCKDD >A07p048780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26000645:26004169:1 gene:A07p048780.1_BraROA transcript:A07p048780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAFEHHARSQMLAFVTVPAIHFCFTRRLRLNILRKSSSSSSSLLLLNEAFFTRRVSNSRCHCSSSSSSRPSSAIMGSSSALGELLHYPSARRDDSVVEDYHGVKIADPYRWLEDPDAEEVKEFVESQVKLTDSVLAKCETKEKLSENITALFDHPRYESPFRKGDKYFYFHNTGLQAQDVLYMQDDLDAEPEVLLDPNTLSDDGTVALNTFSVSEDAKYLAYGLSSSGSDWVTIKLIKIDDKKVEPDTLSWVKFSGITWTHDSKGFFYGRYPAPKDGEDIDAGTETNSNLYHELYYHFLGTDQSQDILCWRDSENPKYMFGAEVTDDGKFLIMTIEEGCDPVNKLYYCDLSSLSGGLESFRGSSSFLPFIKLVDTLDAQYNVISNDETLFTFLTNKDAPKYKLVRVDLKEPNSWTDVVEEHEKDVLASACAVNGNQLVTCYMSDVKHILQIRDMKSGSLLHQLPLDIGSVSDVSARRKDNTFFFSFTSFLTPGVIYKCDLANEAPEVKVFREVAVPGFDREAFQATQVFYPSKDGTKIPMFIVAKKDIKLDGSHPCLLYAYGGFNISITPSFSASRIVLSKHLGAVFCIANIRGGGEYGEEWHKAGSLANKQNCFDDFISGAEYLVSAGYTQPSKLCIEGGSNGGLLIGACINQRPDLYGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSENEEEFHWLIKYSPLHNVKRPWEQQSGNSVQYPSTMLLTADHDDRVVPLHSLKLLATLQHVLCTSLENSPQTNPIIGRIEVKAGHGAGRPTKKMIDEAADRYSFMAKMVNAPWTE >A06g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18705085:18724111:1 gene:A06g506730.1_BraROA transcript:A06g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNYFRSWIDRSHLDPNTRLLAEEYQRGITEFMGLVHRQPEAKTEFNWNSDDTLSIYHHFVHKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNHRSDRKGKDIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKMAYTNKKTGQIDDGLVRDVVSLVQTQVYDKVSQLQTDDDDSTASTNLSRVRINEIIESSVPKKKGRLVGLGRRSRSLAPSSAPPPYVDPEVLTAQLKDKDDRISTLETQMAAQQVGYETHKRLNEQMMEMMKRMYRNEVFPNIQDPSNDDQIRARQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNVISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTSQIDDGLVRDVVDLVQTQVYDEVSQLQIDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSPSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A07g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3667013:3671278:1 gene:A07g501790.1_BraROA transcript:A07g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFAWNVRGLNSERRHTMTKDWINIHRPFFGAFLETHILENNKERILGAIPRGWNFYGNYGDNDSGRIVIVWDPRVTLVVYDASAQSVTCGITILSERISFTVTFVYGFNLVEERSSLWAKLVDLQGSTPLSVHPWCVLGDFNQMLRSSHHSKHLSSRIDDAGMEEANLGLQDAQLFEAQAKGLPYTWRNCQDDNPISTKIDHAFINQSWSSSFPDSFSDFLDPSQSDHAPCLFRMPAMRSQIIKPFKFFHHVIDHPEYADTVTDAWNCRLITGTNQFKLVRSLKLLKRPLRRLNKRHFSGISQRVKAKKLKVDELQRRLLTIPDEPTAREEHLERDKLNILLKAEEKYYRQRSRVRWADVGDRNTPFYHRTVCQHATRNHIHFLKDANDNFLYGLQGPHAPRIAAILKLLNQVIIYNLWREQNARIFRDTSKTPEAFFKVVDRGMRDRLLSLSSAASPPPPPPPPPHPSLLELYFWFVSPYNKAEAQEGEMVGKEPEVEKKREPEPEFEKQKEKKHTTLKEKEMENTAYKDFETAINKRDTETGRGEAFKEGGC >A01p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9554214:9557136:-1 gene:A01p019470.1_BraROA transcript:A01p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRASSLLLCGVVLIQLLAVQIDAQRPKTQWKTLSGFSPRVIARGGFSGLFPDSSLDAYNFAMQTSVLDAVLWCDLQLTKDGAGICFPDLTMSNASNVESVYPKGQSTYPVNGVPTPGWFTIDLSLRALTNVSLIRGILSRSDKFDGNGYTISTVQTVNKEMKPQGFWLNVQHDAFYAQHNLSMSNFLVSVTKTVIVDFISSPEVNFFRKIAGRFGREGPSFVFRFLEKEQFEPTTNRTYGSILSNLTFVKTFASGILVPKSYVLPLDDKQYLLPSTSLVQDAHKAGLEVFVSGFANDVDIAHDYSFDPVSEYLSFMDNGNFSVDGVLSDFPITASASVECFSHVARNATKQVDFLVISKNGASGDYPGCTNLAYEKAINDGADVIDCSVQMSSDGKPFCSSSIDLGNTTMVAQSPLRNRSTSVPEISSVNGIYTFTLTWPEIQTLTLTSLGYFLSLLLATLEVTITILQTSCAAAISNPYSRRFNMFRNPNERNSGKLLSLSEFLNLAKNSTTLSGVLISVENAAYLREKQGLDVVKAVLDALTETGYSNRTTTKVMIQSTNSSVLVDFKKQSKYETVYKVEETIRDILDSAIEDIKTFASAVVIGKSSVFPVVDGFVTGQTNVVERLQKSQLPVYVELFQNEFVSQPFDFFSDATVEINSYVTGPGINGTITEFPFTAARYRRNRCLASKETLSYMAPVQPGGLLEVVSPGSLPPAEAPNPVFTDADVTEPPLPPVTAKAPTPTPGTPSTTAPAPSGQTQLTLSLLLSVFAMVLASLLLM >A08p035280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20834787:20839070:-1 gene:A08p035280.1_BraROA transcript:A08p035280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDISISNNQYSSTSKVKALVLSLLVDFFFFHLVINMKSLANCVRAKTARTCDNCLKKQAHWFCAADEAFLCQSCDTSVHSANLLARRHERVRLKDSTSPQQEAPTWYRGFTRKPRTPRGSGKRNNSSTFQDMVPEISAEGQTDSSEVEGPLICQVPVLGPTVVEPKIKFPTMRSGVMIDGHEDEDKDESCLNGIFPTNMEVEDFAADVETLLGHGLDKESYTMEELGLSNTEMFKKDEIEDKETKAMNMDIEICDDDQGNRDGMMPFDLNYPQNAYEEDAIKNVESSGECVQANEEEKKKKNVLMLSLDYDQVISTWGGQGLPWTSGEPPELDINISGSPVVSKVSKRDNGRKSRKKRRVGGCLPSSVVGDGGREASVSRYREKKKTRSFTKTIRYEVRKLNAENKPRMKGRFVKRASLAASAGNSPLENTPYGGMQAAVLVSSVKDNEGGLVYLDELSPKKMGYTVIWDDLAIDDKGKIDYRLFLWTVVPYDKGTEEEVIAVLKIAMACLSISPEKSLSTKRIWEQNCCKPKMPWKLESAHDDFMPETETETSEP >A09p081270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59208809:59209757:1 gene:A09p081270.1_BraROA transcript:A09p081270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCENAPATVICCADEAALCPKCDVEIHAANKLASKHQRLHLDSLPTKFPRCDICQEKAACIFCVEDRALLCKDCDESIHVANSRSANHQRLLATGIKVALSSSSCTKETEKDQSKEIPAKTLSQKQPSSSATTPLPWAVDDFFHFSDPEFTDKKGELDLGEFEWFSDMGFFGDQTLPAAEVPELSVSHMGQVHSYRPMKSNVSYKKPRLEIRGGDDDDEEHFIVPDLG >A02p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2153262:2165840:-1 gene:A02p004970.1_BraROA transcript:A02p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPPANESPPSTTPSNIGDVVPLYSSYLWNRVASLIPTSNSIILGKFSNLFRQTFTKSRRVSFPLPLPSEFPCSSPNISSDTSRIYAVLEEIMADVLSNLHDIQKSLDFWQSRAEGSNARKAYFMIFERGPVAFVNESKNFVRKSLSEDSAMQHLCQSSSSHMSDRMRVLMELRSSLASFLAQLYVELDKRGEDLLKNPEKSLPSLLAVINRLFSNLEGSFSHLHAVRESDSSIDGSYSMPLVFDRLPEINEEGSQWTDCELTDAINLVHKNLEKLNSYLSVMVGKHRKPRRMTLYWVRYTCGAVGLSVFSIWLLRHSSLMGSSDIDNWIHDAKEATMSFFSDHVEQPLLAIRDELFDTFRKRHKGVMETEEVQLTQDSLHRMLRNFCEQDTREKIPDNASDQEMLEVVMNRYEKELVHPIHNLLNGELARGLLIQVQKLKLDIETAMLELDQILRANEINFAILAALPAFFLSLGMLTLLRTWLKQDSRAQGRGRIARIHRRLLVVEIEKRIMQYQSYIEQGRDKDAENVFGLLIYSLERLYRVVENPARTSGEWDLVKQDLIELGKPQQQTSYKLTVTQRLVKHQRMPPFFSLLEMVKQTILAMTSTTDVREFVVNQRNGVKGLVDSLKLTTLPSPYIQPPQKRLTSDKILSSSSQIPVIDVSNWNDPQVASEICDAAAKLGLFQIVNHGIAPVELKALVAAARGFYQLPVEERSRYWKGSSVSETAWLTTSFSPYKDSVLEWRDYIKFEFLPQRHGFDDTWPPVCKAQVTDHFKRMKPIANKILNILINNLNSTINESNQQTLMGTMRMNFNYYPECPEPSLAIGTGRHSDINTLTLLLQEDGVLSSLYARATEDGDKWIHVPPIPGAIVVNIGDVLQIMSNDRYRSVEHYVLVNKSCSRVSVPVFCEPIRDSVIQPLPEVLENNEMARYRKVVYSDYLKTFFERPHDGKANIESVKLQGCGL >A08p012760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11554557:11556758:1 gene:A08p012760.1_BraROA transcript:A08p012760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLDSFFNKGFKAAKCKTLLKLTIPRIKLIRNRREAQIKQMRREIAKLLETGQEATARIRVEHIIREEKMMAAQEIIELFCELIAVRLPIIEAQRECPLDLKEAISSVCFAAPRCSDLTELQQVQLLFVSKYGKEFVAAASELKPDSGVNRKLVELLSVRAPSPETKLKLLKEIALEHQLDWDPASTETDLFKSHEDLLDGPKQFGGGSKVPLKEEQDKGSHLTMLSLSRPEEQRQSDSDSEYEELDFPEVPNVLLRPTPAATPESAAKPAATYEHNSLDLPFDSESPGEDNLPSKRNEHTAKASSTVLEGQTESDPVKQNCYSPPPVGTVGSFSTNESDAPKKISDLDLQDVLTAAQAAADSAERAAAAARSAASLAQLRINELTKKTPEQSSPESPTENPFYSSPPQQTMEKAQFDHQNSSASSYGDHTEFQKEESSSLFSHQTERLPSMEKPQFDHQNSSASSYGDLTDFQLGDYSSPFNHHQAGRLPSFEKTRFDHQNSSVSSYGDITELQRPENSSFDRLTPDQDHQQMRLPSREDDPYYSYPNLFTSQNLDRSPGSRSFSDTSKPAHDS >A10g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7627441:7629915:1 gene:A10g502640.1_BraROA transcript:A10g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSTTTSTKSSPLWKPFASNCCSVDDQTVLGNLSRCRPSKSEFSKNHLGPLPSFRRLSFADLSRSSSARINEDLAEALGADLVDFRMCELKMITQCFFRNYLLGEGGFGKVYKGYIDENLRQSLKAQPVAVKLLDIEGLQGHREWLSEVILLGQLKHPNLVKLIGYCCEEEERVLIYEFMSRGSLENHLFKRISISLPWATRLKIAVAAAKGLAFLHDLESPIIYRDFKTSNILLDSDFTAKLSDFGLATMGPEGSKSHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSYGVVLLELLTGRRATEKSRPKNQQNIIDWAKPYLTSSRRLRCVMDQRLAGQYSVKAAKDTALLALQCVSPNPKDRPKMPVVVEVLESLMQGRSQTQYSMGAHLGCTCPHWSCTGYAPGLMHHKDMAISSGHWSPSPSSQGGKVSQKVRGDNGNSRKSAPGSLRS >A03g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16474798:16475092:1 gene:A03g504610.1_BraROA transcript:A03g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWMDYMLNNKCR >A10p001490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:779167:779715:-1 gene:A10p001490.1_BraROA transcript:A10p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESKKKSRSVATQRAWNLVRMALLWGRKGGIFKKWHMFELRNLVSKHLKALAHHSNSVDDSVRYLGEKQLSFDETPVFNVKMHRPASMRFLLPCIAPPVDFDYDFELDRQDNDTEDVRSYGYYNDCCNEKCERADGTYQDEEEDEKGVDVRADEFIANFYQQMKLQRQISYLQYKEHNDVV >A06p006380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2118582:2121287:1 gene:A06p006380.1_BraROA transcript:A06p006380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G08440) UniProtKB/Swiss-Prot;Acc:Q9SJE8] MEKVREIVREGRRVGKEDPRRVVHAFKVGLALALVSSFYYYQPLYDNFGVNAMWAVMTVVVVFEFSVGATLGKGINRAVATLVAGGLGIGAHHLASLSGPTIEPILLAIFVFVQAALSTFVRFFPRVKARYDYGILIFILTFSLISVSGFREDEILDLAHKRLSTVIMGGVSCVLISIFVCPVWAGQDLHSLIASNLDTLSHFLQEFGEEYFEATDDGDIKEVEKRRKNLERYKSVLNSKSNEEALANFARWEPRHGQFRFRHPWKQYLAVAALLRQCAYRIDALNSYLNSDFQIPMDIKKKLEEPLRRMSSEAGKSLKEMSISLKKMTKSSSSDIHVLNSQSACKDLSTLLKSGILNDVEPLQLISLTTTVSLLIDIVNLTEKISESVHELASAARFKNKMKPTASLKKSDSVSIGRAAVPIKSHDSDDHVVTILCDADVSNTVDQSRGETSVDSCQHVAIKIDDDDLVHEKHEVGEIHAHTSCVSCDPSDASDVLDSSNKRINSSN >A06g503160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9911057:9911767:-1 gene:A06g503160.1_BraROA transcript:A06g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDLDLISGVEFRACEIVSKFDVDKSKKLRRGKQLTALCAASVSTACRELKLSRTLKEISTVANGVSLKDINKASMGIKRLLRSDQDEAVSDAPPQVILKTGELVRRFCSKLDLSEKERKAIREAVEMAENFDIRRNPKSVLAAIIFMICQLSQTKQRPISDIALASEVVENTIKKSANDMYPYASKIIPKWYASEEHIINKLGGNIRSLCCAKSFWIYVEGYVLIKDLWDLFLL >A06p011480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4261706:4263353:1 gene:A06p011480.1_BraROA transcript:A06p011480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLLLTVGTCLVLWSVMLVSFSNVFKHHLLGAIVNGSNDSDKPRDKLLEGLLTADFDEPSCLSRYQSSLYRKSSAYKPSQYLVSKLRSYEKLHQRCGPDTEAYKQATKNLGHDDENYANKSVGECKYIVWVAVYGLGNRILTLASVFLYALLTDRVVLVDQSKDISDLFCEPFPGTSWLLPNEFPLMNQIDGYDKGYSRCYGTMLKNQTINSTSIPPHLYLHILHDSRDEDKMFFCQKDQTMIDKVSWLIVKANVYFVPSLWFNPTFQTELMKLFPQKETVFYHLARYLFHPTNQVWGMVTRSYDAYLSRADETLGIQVRVFSRRAGYLQHVMNQIVACTQREKLLPGLATHESQVTNTSRSKKLKAVLVTSLYPEYSNNLKNMYWERPTSTGDIIEVYQPSGERFQQTDKKLHDQKALAEIYLLSLTDNIVTSARSTFGYVAHSLGGLKPWLLYQPTRGKTPDPPCVRAVSMEPCFLTPPTHGCGAKKRVNSAKVVPFVRHCEDLRHDGLKLFDDPKNEL >A08p035510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20931160:20933827:-1 gene:A08p035510.1_BraROA transcript:A08p035510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVGLLDSVFSIQVCSFSYSLFMTGQDINGIEFHQQRHGLLKDQVQLVKRRDSVRYEIVPIQDRLSFEKGFFAVIRACQLLSQKNDGIVLVGVAGPSGAGKTVFTEKILNFLPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLKNLEDLKEGKQVEVPIYDFKSSSRVGYRTLDVPASRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQQPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSRKDVSVDQIKAVLSEGHTETKEETYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDTPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFATDKVIVKIDWLEQLNRHYLQVQGKDRQVVQSTAEQLGLEGSFIPRTYIEQIQLEKLINEVMALPDDLKNKLSLDEDLVSSSSPKEALLRASADRVAMRNKNLRGMSQSYSTQRDKNISKLAGYSSSDRRYEERNHDSPANEGFMTQLSEQISSLNERMDEFTNLIEELNSKLSCNKNPPTQQSIEVCNGSAPTSYFISGLDNGCLTNAIMPHSSSSSQYRLSHVDSVK >A09p069710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54252987:54255540:-1 gene:A09p069710.1_BraROA transcript:A09p069710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKVVALLLLFSLVLTTGVFSDEEPTTTPGSASEIQLEQLHAKIHALESQVEEKRREVKEREETVTEKEKLLKERQDKVSSLETELSSLREKGSSESMQLLEKAQARATELEKQVEVLKNFLEQKNKEKESTEARTSEAEKKLNELNSRLDKLHKTKEEQKNKIRKLERALKISEEEMLRMRHEAATKARELQEVHGSWLPHWLAVHWVHFQTVAGPHWDAHGKPVLEKVTQKVTQAKTQAERWAEPHMVNVKTKYIPAVKETVKTHVEPHVQTLSTKAKEAYHASKSAVTPHIVKFQAHVDPYYQEAKKFSKPYVDQVATATKPHADKAIHYYKEFLKSATTYHDQVQANVERKLKSHELTAPFATKEFIWFAVCICFVGVTHLHSVQIPLFSLPATQRPRSLRDTRINMVVVRPKGLILTSEICCFPL >A04g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10241378:10245908:1 gene:A04g504780.1_BraROA transcript:A04g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNHHPSGSSNSFHGGEFNKPSKVAVASMINSEIGAVLAVMRRNVRWGVRYIADDDQLEHSLIHSLKELRKQIFSWQSNCQNVDPKLYIQPFLDVILSDETGAPITGVALSSVYKILTLDVFTLDTVNVGEAMHIIVDAVKSCRFEVTDPASEEVVLMKILQVLLACIKCKAASGLSNQDICTIVNTCLRVVHQSSSKSELLQRIARHTMHELVRCIFSQLPYIGPLANESELPVGDKVGTVEWDQNSGENTVENGSIDTLGVEKDSPSSEMVIPETVLKKDEKGAEVSDDLNVAANGENAMLAPFGIPCMVEIFHFLCTLLNIGENSEVNSRSNPIAFDEDVPLFALGLINSAIELGGSSFREHPKLLSLIQDELFCNLMQFGMSMSPLILSTVCSIVLNLYLTLRTELKVQLEAFFSCVLLRIAQSKHGSSYQQQEVAMEALVDFCRQHTFMAEMFANFDCDITCSNVFEDVSNLLSKSAFPVNGPLSAMHIIALDGLISMVQGMAERVGEELPGSNVSTHEEGYEAFWTVRCENYGDPNLWVPFVRKSKHIKKKLMVGADHFNSDPKKGLQQLQAMHLLPEELDPKSVACFFRYTCGIDKNLIGDFLGNHDQFCVQVLHEFAKTFDFQNMNLDNALRLFVGTFRLPGESQKIQRVLEAFSERYYEQSPQILIDKDAALLLSYSIILLNTDHHNTQVKKKMTEEDFIRNNRCINGGADLPREYLSELYHSICDSEIQMIPDQGTGFQMMTSSRWISVIYKSKETSPYIICDSASYLDRDMFHIVSGPTIAATSVVFEQAEQEDVLQRCVDGLLAIAKLSAYYHLNSVLDDLVVSLCKFTPFFASLSVDEAVLAIGEDARARMATESVFLIANKYGDYITSGWKNILECVLSLHKLQILPAHIASDAADDPEEERPLANPLSVVSQVQPSETPRKSSSFIGRFSQLLSFDMEETKPLLPTEEELAAYKHARGIVKDCHIDSIFSDSKFLQAESLQQLVNSLIKAAAKDKASAVFCLELLIAVTLNNRDRILLIWQTVYEHISGIVQSTATPCPLVEKAVFGVLKICQRLLPYKENLTDELLKLLQLVLKLDARVADAYCEPITQEVARLVKANASHVRSHVGWRTIISLISITARHPEASDAGFEALRFIMSEGAHLLLANYIPCSDAARQFAESRVGEIDRSISAIDLMSNSVFCLARWSQEAKNSVGEDEAMRKLSQDVGEMWLRLVNNLKSVCLDQRDQVRNHAISMLQRSIAGADGIMLPQPIWFQCFDAAIFPLLDELLAVSIENSRKTFKKTVEETLVLATKLMSKAFLQALQDISQQPSFCRLWLGVLDRMETYMSTKFRGKRSEKVHELIPELLKNTLLVMKTTGVLLPGDDIGSDSFWQLTWLHVKKISPSLQSEVFPQEELDQFQRRNAKPEDTPVVPEN >A03p036560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15318469:15320738:-1 gene:A03p036560.1_BraROA transcript:A03p036560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNTQLEENREAKPFKRSRLVFEPSLGVLGFFTVGLCLVWSFFYFDYRTVAAKSYNLSDKSERFVWLKLDNKNNKSDVIGSNRVGFLEESGDGCDVFDGDWVWDESYPLYQSKDCSFLDEGFRCSEFGRSDLFYSHWRWQPRHCNLPRFDAKLMLEKLRDKRLVFVGDSIGRNQWESLLCLLSSAVKNESSVYEVNGSPITKHTGYLVFRFENYNCTVEYYRSPFLVPQTRPPKRSAKKVKTTLKLDTMDWTSSKWRDADVLVLNTGHWWNYGKTVRTGCYFQEGEEVKLKMSVDDAYKRAMETVMKWIQNEVDSDKTQVFFRTYAPVHFRGGDWRTGGACHMETLPEIGTTSLVSSETWQQLKILGDALSRYSNRSETIKMNVLNITVMSAQRKDGHPSVYYLGSRGPAPLHRQDCSHWCLPGVPDTWNELMYALFMKQGRAKEANSTGNVTMS >A08p000030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14887:16842:1 gene:A08p000030.1_BraROA transcript:A08p000030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALA-interacting subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G54320) UniProtKB/Swiss-Prot;Acc:Q9SLK2] MSSNTASSSAGSGDSSAARKNSKRPKYSKFTQQELPACKPILTPGWVISTFLIVSVIFIPLGVISLFASQDVVEIVDRYDNDCIPAPARTNKVAFIQGAAPKSCNRTLTVTKRMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLRSPKFENQISACKPEDDAGGQPIVPCGLIAWSLFNDTYTLSRNNTPLAVNKKGIAWKSDKEHKFGNKVFPKNFQKGNLTGGATLNPDIPLSEQEDLIVWMRTAALPTFRKLYGKIETDLENGDTIQVTLQNNYNTYSFSGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALAFTIMYLVKPRRLGDPSYLSWNRNPGGGR >A05p052020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30148226:30153392:1 gene:A05p052020.1_BraROA transcript:A05p052020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAARRRINSIHSHLVTSSRSSPLLRSNPTAGEFCLDNGYSVALPEKLSTGDWNVYRSARSPFKLVSRFPDHPDIATLHDNFEHAVHDFRDYKYLGTRVRVDGTVGDYKWMTYGEVGTARTAIGSGLVHHGITMGSTVGIYFINRPEWLIVDHACSAYSYVSVPLYDTLGPDAVQFIVNHANVQAIFCVAETLNSLLSGLSEMPSVRLVVVVGGLNESLPNLPASAGVKVVSYSVLLNQGRSKPQPFVPPKPDDVATICYTSGTTGTPKGVVLTHANLISNVAGSSFSVKFFSSDIYISYLPLAHIYERSNQILTVYFGVAVGFYQGDNMKLLDDLAALRPTVFSSVPRLYNRIYDGINNAVKSSGGLKERLFNAAYNAKKQALLNGKNASGIWDRLVFNKIKDKLGGRVRFMTSGASPLSPEVMEFMKICFGARVLEGYGMTETACVISGMDEGDNLIGHVGSPNPACEIKLVDVPEMNYTSADQPHPRGEICVRGPIVFRGYYKDEVQTKEVVDEDGWLHTGDIGLWLPGGRLQIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFVGQCFIYGDSFNSSLVAVVSVDPDVLKSWAASQGIKHGDPRELCNNPSVKAAVVSDMDALRGFEFAKAVTLVLEPFTLENGLLTPTLKIKRPQAKEYFAEAITNMYKELAASDPTHR >A10p019160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13219895:13221007:1 gene:A10p019160.1_BraROA transcript:A10p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQETKRLKHYNNKQKILLVGEGDFSFSLSLARAFGSATNLIATSLDSQAELERNYKNGKANVEELERLGCNVVFGVNVHSMTTKHNLGCSATYDRVIFNFPHAGFDYGREHEIKTIMRHQELVRGFMKSARLLAKDEDKGGEIHVVHKTEYPFSEWKLKTLGEKEGLELVSEIEFCLNHYPGYSNKRGSRGYNDSSFPVGKSSTFIFKKQFFY >A02g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19954255:19960964:-1 gene:A02g506970.1_BraROA transcript:A02g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVRQHTQDVRVCPSAHIGHPGLSVCVRQHTHDVRGCPSVHISKRWSLDSTLTLPVDCSGDFGPRGLSVQYTQDVPHKGRRWLSINTHISTLVLGVSMLALPVDCLGDFGPRGLSVQYTQDIRGCPSAHTGRLWVSVSTHIRTLVLGISTLTLPVDCSVDFGPRGLSVQYTQDVYGCPSAHTGRPSVCPSAHTRRPCVSVSTHRTAVAVHQYTYPHVGPWTQHVDPSRELFGTHRTSVAVHQFTYQHVGPWTQHAGPSRGLFGTHRTSVGVCQHTQYVRGCLCASVCVRLCSSAHKGRSWLSISTHISTLVLGVSMLALPVDCLGDFGPRRLSVQYTQDIRGCPPAHTGRPWVSVSTHISTLVLGLSTLTLPVDCSGNFGPRGLSVQYTQDVCGCPSAHTGRSWLSISTHISTLVLGLSTLTLPVNCSGDFGPRGLSGQYTQDVRGCPPAYTGHPWLSVCVRVCSSVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGMFVAVRGSPSAHTGRPCVSVSTHRTSVCVHVCPSAHIGRPWLSISTHISTLVLGLSTLAFPVDCLCDFGQRGLSVQYTQEVILALVGCLFSTHRMSVGVCQHTQDVPGFPWLSICIHTSTLVLGLSTLALLVDFLGDFGPCGLSFQYTEDVRGCPPAHTGRPRLSMAVHQHTQDIRGCSCVSVYVRVRRQNKQDVRGCLSVHISARWSLDSARWPFPWTVWVILAHVGCPLTTYRTSAGVCQHTHDVCGCPWLSVSTHRTSVAVRVCPCVSVCVRQHTQDVRGCPWLSVSTHRTSVCVLQHTQDVRGCPWLSVSTQRTSVTVCVCPSAHTGRPWVSLSTHKTSVCVPSTHTGRPWLSMCVFVCPSAHTGRPWLSISTHISTLVLGLSTLTLPVNCSGDFGPRGLSGQYTQDVRGCPPAHTGHPCLSVCVRVCSSVSVRTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGMFVAVRGSPSAHTGRPCVSVSTHRTSVCVHVCPSAHIGRPWLSISTHISTLVLGLSTLAFPVDCLCDFGQRGLSVQYTQEVILALVGCLFSTHRMSVGVCQHTQDVPGFPWLSICIHTSTLVLGLSTLALLVDFLGDFGPCGLSFQYTEDVRGCPPAHTGRPRLSMAVHQHTQDIRGCSCVSVYVRVRRQNKQDVRGCLSVHISARWSLDSARWPFPWTVWVILAHVGCPLTTYRTSAGVCQHTHDVCGCPWLSVSTHRTSVAVRVCPCVSVCVRQHTQDVRGCPWLSVSTHRTSVCVLQHTQDVRGCPWLSVSTQRTSVTVCVCPSAHTGRPWVSLSTHKTSVCVPSTHTGRPWLSMCVFVCPSAHTGRPWLSISTHISTLVLGLSTLTLPVNCSGDFGPRGLSGQYTQDVRGCPPAHTGHPCLSVCVRVCSSVSVRTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGTHRSSVAVRVCPCVSVGVRQYTQDVRSCPWQSVSTHRTSVCVRQHTQDIRVCPCVPISTHWTTHRMSVGVRQHTQDVPGFPWLSICIHISTLVLGLSTLALLLDFLGDFGPCGLSVQYTEDVRGCPPAHTGRPWLSMAVHQHTQDIRGVRCVRVCPCPPSEHTGRPWLSISTHISKLVTRLSTLAIPVDCLGDFGPCGRPLVHTGRSAVSASTPCPCLSVTLWCVRVCPTAYTGCSWLSMAVCQHTQDVRVCPSAHQDVRGCPWLSVAHKGRL >A04p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6769767:6770345:-1 gene:A04p011330.1_BraROA transcript:A04p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIIVVCGKWKLDKKKWLFEVDNDQELRYLLPKRSLRKQNLNTPPVKVGNDRQYHSLLCLCKVENVRLCVEVKVKENHPEGASENPKEPVQVDATDDDDIQEILLFSIILVVSYMFVVLRGKRVKKI >A09g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17227176:17227598:1 gene:A09g505440.1_BraROA transcript:A09g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYKKVQLKPLKLDGESEE >A02p005920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2528554:2530806:-1 gene:A02p005920.1_BraROA transcript:A02p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSDSKRHMLTCFFLGMLFFCMVVESDAQLSENFYASTCPNVELIVRQAVTTKYQQTTITAPATLRMFFHDCFVGGCDASVLVTSDNGDAEKDAQDNISLAGDGFDTVNKAKLAVEAQCPGRVSCADIMALAARDVVVLAGGPGFKVELGRRDGLVSNASRVDRKLPGPELDVNGLVNLFASNGLTMTDMIALSGAHTIGFSHCDRFASRLYNFSAFMPVDPTLDPAYAQQLMQSCPRVGADPSVAVNLDLNTPNVFDNVYFQNLVARKGLFTSDQILFNDFRSQATVIRFANSAEEFNRAFTSAMRKLGRVGVKVGNQGEIHLEMALNWGPVLMSVIFFIVLTPGVLFQVPGKTKVVEFGGFQTSGAAIVIHTLIFFACITVSLIALHIHIYAA >A05p009630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4028185:4028439:-1 gene:A05p009630.1_BraROA transcript:A05p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIAIIVITLFVVIFALGVLTAICNGCATEGGGGGDIEGGSGGGGGGCGGGDGDGGGGGGCGGGDGGGGGGCGGGGGGGGGGD >A05p037930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21559694:21564172:-1 gene:A05p037930.1_BraROA transcript:A05p037930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polymerase gamma 1 [Source:Projected from Arabidopsis thaliana (AT3G20540) UniProtKB/TrEMBL;Acc:F4JEQ3] VRHRGNGDQLSSVRKRQNSPPLNPFLFLSLSFEETTQNPFKLGRLSSLAAMGVSLRHFSPSSFWVSRRPRASSSVLSLLVPHHRILTRKVVITNGNARYCTATASGGSHGFQHSGRQGSSTVEFSGEWKLSVGSKTAIMVPPTVKLTGAVSAWRKGEVNQDDASGNGSNYFRSFVPKIDYGNYQTLENQLESRGDVVTTVDRELNGFAQQKSQRGPLVALPRKNVKAGEKIDDISKVGKRTDLSKVRANLTKIYNRVRVVDNVSTAKEIVVKLVNQYRDLVHACDTEVSRIDVKSETPVDHGELICFSIYCGSDADFEDGKSCIWVDVLGENGKDVLAEFKPFFEDSSIKKVWHNYSFDNHIIRNYGIKLSGFHGDTMHMARLWDSSRQTSGGYSLEALTSDPRVLGGTDTKEEAELFGKTSMKTIFGKGKLKKDGTEGKVVVIPPVEELQKDDREAWISYSALDSISTLKLYESMKKQLQAKKWFLDGKLVSVKNMFDFYQEYWQPFGELLATMEAEGMLVDREYLAQIEIVAKAEQEVAVSRFRSWASKHCPDAKHMNVGSDTQLRQLFFGGITNSCSGEDLPYEKLFKVPNVDNVIEEGKKRATKFRNIKLHRISDNPLPTEKFTASGWPSVSGATLKALAGKVSAAYDFTEVAADDNSLEENIGGDEEFMSLPDEFLETENSDTSVESDTSAFGTAFDAFGGGESGKEACHAIASLCEVCSIDSLISNFILPLQGSNVSGKDGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIASPGNSLIVADYGQLELRILAHLARCRSMMEAFVAGGDFHSRTAMNMYPHIREAVENGEVLLEWHPQPGQEKPPVPLLKDAFASERRKAKMLNFSIAYGKTAIGLSRDWKVSVEEAQETVNLWYNDRQEVRKWQELRKKEAIQNGYVLTLLGRARKFPAYRSRAQKNHIERAAINTPVQGSAADVAMCAMLEITTNERLNELGWKLLLQVHDEVILEGPSESAELAKSIVVDCMCRPFNGKNILSVDLSVDAKCAQNWYAAK >A08g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7356622:7358820:-1 gene:A08g504460.1_BraROA transcript:A08g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYTLLADLRVGRCSNTAKVHLLRVWEARNINKGGELMSVDMLLIDENADREIVQRVESAKIEMYPMAVTTLLYSHGNAADIFQMYELFIELTIQLRKWVLNDPYTLSTPSRKRCDDVCVRPSVLNAPFQIPTGYFMKICFSDFMYLFFGLIQYFCSFDVEKHILLSLKSTKVFPNVMFAFVEHNQQEIGIACEKPSTPPHPTDGRSSWWCLIYTVPLSDDYITHWKQQH >A07g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11912272:11913162:-1 gene:A07g505390.1_BraROA transcript:A07g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVVTIHKIFSDANMPPEFDEIDEKEGSNDDSDGEDHRRFNLESPRLFTEASYCSDASRRVSLNEGQGSPERLSPLPRFLSVGRTFRLSLRGRLGSFDSGKAWNVKKSGELMGVDLILVDGKEQAKLQICGRPCFAPIRRGP >A01p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27455851:27465454:1 gene:A01p048800.1_BraROA transcript:A01p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTPYLKSTSKLMRKKHTDCLQLAYLLSRDVESVVEMKSVVQVSSNLKKKKDEGSSKKMLMVSDLPFDLEKKISWHAVFRKSLQHNGQLLVKDGTLYSQSYFPRNTWLKQDETREFTLFLNDSVYSTVINLQGVHNNGLLLCTVVGGSSLVNLGDFMLGKVITVVSFVLDEENKVAVCCSTGKDVFKEQCTSISIIGENVHKHVYGQRIRDGLWPQLLNYVPSPVHISKKSTPKGKRKRKTRQLVKYINQKKMVMITDLPFDLEKKILARVPKVSRPQWQTTCKRWYAVRQDLLSKKHLARTGREFILLLNTNVFSTTINLQGVHNSVDPVMEFGGKLGSLQDSDDLQIHDIFYCKGLVLCTMVGKQMLVVCNPSNRETRYVEPRTSHGCSEYALGYKGSKSSCVSSYKILRYCRYSDKQLKRTVSEFELYDFMSDSWRVLDIDEHDWEITARGVSVKGNTYWVAKKKKDQFILSFDFSRERFGLLPLPYESVGPEDFINNKYDDTAVLSVVRDEQLSVLHQYLHVYSSEMKIWVSNTIDTKKVSWNGSWPHLMNYVPNPVQILRKGTRKSKRKRTTRSYEPEGSSARSVEETKVTPIDKAKRVFRVALNVPKEFRKRE >A06p054650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28634656:28636499:-1 gene:A06p054650.1_BraROA transcript:A06p054650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPRKRPKSESKPPKRNPKSSHSPIRSLLEPPQSFFPSKEEFIKLLTVLLIACAVAFTCSFLAKLLGSDPKSFCDSDSDSVDSDLDSCEPCPVNGECHQGKLQCNHGYRKQGTLCIEDGAIFESTKKLVRHFERKVCEAYALNECYGTDTIWVPENGVWNELRISGFMDNLDESAYDFVKAKAVEAVAELLEKRINANGIDELKCPELLMESYKPLTCRVQQWLLQHIVIVSSSCAMLVTCAILLRKIQRKRRFSSRVEELYDQVCDFLEENAVTSNSADSNCEPWVIASRLRDHLLLPRERRDPLLWTKVEELIQEDSRIDRYPKIIKGEQKVVWEWQVEGSLSLSKLKKRRETQKKVRQISDSNKILQDNYNRRIAETSS >A05g501500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5371105:5372910:1 gene:A05g501500.1_BraROA transcript:A05g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPWRVPGRASAPSPPSSATGDPPNLPDLPPDPPDPTSPLSPQEYPPLNTLSPSSKAKLPSTQTLTRGVWNLPQTTVVASVDVTMTSHEETIDKNSLPTTAPSTGSEPKTASANGPVTTAPLPPTVITVDGSVSSNSHAPYQTFTVLQPKHSSPILTNKASAPFQNVASQTAPPLNTTNQIPSQSHSAPQPNSQNPFPPANAPSSYSHDPAANPAPQPSLVERLRASEDKTLKRLAPVSFSESGRPRILIPDEVFKQGADLHKDFIICYYNGKAPPFNQIQSVLNHMWGKGKRLEMHNNPLNRSTLVRIPSEYLRSKILDKCIWYIGDSMFHTAQWSSEHSMSTPPLCAIKIWAHLTGVSLDLRYNKGLSLVAGLIGEPKETDDFTRNLVSLTVSHVKVEVDLTKPLPDVVEFERQNGEVVEVLVHYPWTPPTCSHCHELGHVIRNCLHYSPPKAPIPPLAKAQASSSKKTPKKPPSKSYVQKSNPLTALTTTDPQPPSLVNPNTISSTSPLHLLPVSPPCPRPSLKRSRSSPTFSPTLPSNSNPNPFTPLPSDPVQSLTFPAISQPPATSVPPISPLLCTNKFLILGPCSQSGDLSSNL >A06p013310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5964793:5966924:1 gene:A06p013310.1_BraROA transcript:A06p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENVVSRPLTRAFASALRASEAASTTENRQRANTKRPASEDVTAPPNKRKKRAVLGDISNVSFSVAQLEARDIKQVKKSQGLASASCVTSEVTDLQSRIEAKAEVVSVTARNTNDRADNCIEKHKLPPRPLGRSSASIVEKSAVIGSSTALDLPKFTDIDSDDKDPLLCCLYAPEIYYNMRVSEQLKRRPVPDFMERIQKDVTQSMRGILVDWLVEVSEEYTLVPDTLYLTVYLIDWFLHGNYLERQRLQLLGITCMLIASKYEEISAPRIEEFCFITDNTYTRDQVLEMENQVLAHFSFQIYTPTPKTFLRRFLRAAQASYLRPRLELECLASYLTELTLIDYHFLKFLPSVIAASAVFLAKWTLDQSNHPWNATLEHYTTYKASDLKASVYALQDLQLNTKGCPLSAIRMKYRQDKFKSVAVLMSPKLLDTLF >A08g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9392906:9394703:1 gene:A08g505600.1_BraROA transcript:A08g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGGDSEPDDQNPVEAPTAARESSSWKEKDVDLGDIEFSMDDSRLPGWDPNLAYDDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRIVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01p017360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8550233:8555912:-1 gene:A01p017360.1_BraROA transcript:A01p017360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLAFTFGIMGNIISFIVFLAPVICKKKSIEGFQSLPYVSALFSATLWIYYAMQKDGSGLLLITINAVGCFIETIYIVLFITYANKKARISTLKVLGLLNFLGFAAIILVCELLTKASNREKVLGGICVGFSVCVFAAPLSIMRVVIRTRSVEFMPFSLSLFLTLSAITWLFYGLAIKDFYVALPNIMGAFLGAVQMILYVIYKYYKAPKTDDTEKPKTVPDHSIDMVKLASTPVSSELTVHPQTHGGGDLEGQMEKKGGDFRGVEGLWCGDLEEWPSSSFTFRSEGFISGVVCLRYGIPSIGFHVCLRTVDGARDGGCWRRWGAISVNPSSCSEPAMPSRSGGLHNLYQRFSPLNDLKRVVLKSGFSENRELGLLEVFEKSTEMPEEESIDIKFRLYDGSDIGPFRYSAASTVDFLKQRVVSDWPKGKTVVPKGINEVKLISSGKILENNKTVAQCKTPFGETAGGVTVMHVVVQPSPAKTKSEKKVDKAPKAVICTCTIL >A08p036100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21237899:21244209:-1 gene:A08p036100.1_BraROA transcript:A08p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYENGKKRKVRNRQQQLKPQFLSPRYLTISLCSFFVLLFFLSSNRISVRSDSLRPSPRLPALSVLFSMDRSLHARLFPPLRVEDRVQFPDHLLLILSTKIEKGVKKDLVCVYHGGRKEETLALPSISSDELDEFRSIVRCPNAPLNYSSSVNIQSRGDTRESEKESEKVHDWSKVVYDAVVDADGDGDTVVVFVKGLTRRPHKESDPSNYKCQFETRVEVLLTQALAAAQEVVRCVLPESLKLNPEPFRVSVRGRSTPALPSVARIYAPFLREWIMYHSWLGVERWFIYDNNSDDYIQEEIKLLNSESYNVSRHVWPWIKTQEAGFSHCAVRAKSECNWVGFFDVDEFYYFPTHRSQGLPSKNALSSLVSNYTSWGLVGEIRTECHSYGPSGLTSVPSQGVTVGYTCRQANPERHKSIIRPELLTSSLLNEVHHFQMREGVGHVSLVESVAVVNHYKYQVWETFKAKFERRVATYVVDWRENQNQGSKDRAPGLGTEAVEPVDWKRRFCEVWDTGLKDLVLSSFADQVTGFLPWQRQLQE >A09p013130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6700552:6701417:-1 gene:A09p013130.1_BraROA transcript:A09p013130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREKKDNKIEMERRQSSANQNIQDHRLPPYTYSQTADKEKPTTKRNGSDPDPDLDTNPTSIAPAPRSYARPQTTSPTRRVSYRECQRNHAASSGGHVVDGCGEFMSSGEEGTAESLLCAACDCHRSFHRKEVDGMFVVKFNSFGHSQRPLVNRHVSPIMMSFGGGGGGRDPAESSTEDLNRFHQALSGNRVDQFQYHPKKRFRTKFNQEQKERMFEAQYNDF >A02p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2238565:2239043:-1 gene:A02p005170.1_BraROA transcript:A02p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRYKGSLLITLIIFFSIVLLQCPLAYSSSTKSFFWLGETEDMNAMKKEKEIDVGSAYDVEERRVPTGSDPLHHNHVPFASP >A01p050610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28494558:28497145:-1 gene:A01p050610.1_BraROA transcript:A01p050610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEIAHPVASCSATLKTTPPEANGVVNNTNVDSKNKGKSIQLEDDDEQLEGSASDCYDTTNNDESYPGSSPLSNSLLDPDSLIYEDDDDYSDQYGYEMEDELEEEDDDGAGDYVSEYQALFDAKEKDIPAGVEVSMDWLPNSETSKSSGSGKCSRDEHGIKPEAPSSSSKKATVGSGIHSSWNTLPHNSKGVIPNSAYALPMKTQIYNYSAHALKFSSSSSDHLEPQTPDTVMGEAPVPAPAQASSGLVLPVPNHPPWYKGHKMYPSARPRVDEVISAQSCSRVKRNMEDYLGKYLFFKKFDIVEDLGDHEYATQGTTTKQHSKEWMKRIQEEWRILENDLPEMIFVRAYESRMDLMRAVIVGADGTPYHDGLFFFDIYFPDTYPSVPPYFEDFSYGHFFSCAHDVLRACTAYRNGAPVASLVRGKVKEGEESSERCSEKFSQDVGITVDTLLLKEFILLGVLGLEPEEDKPSEIDVAESSNGSPRGGMSSN >A08p033460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20111257:20115722:-1 gene:A08p033460.1_BraROA transcript:A08p033460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASWIQPPCRYSPDRRGGGFAKPSFSRARQFPGVVSSSSCSCGYSEILTFNHFGSSGSWNTQRGHRVQAMSATAQRKFSLSKGDADEKIEPDHLLVLVHGILASPSDWLYVEAEMKRRLGRRFLIYASSSNTFTKTFGGIDGAGKRLAEEVRQVIQKSKSLKKISFLAHSLGGLFARHAVAVLYSAPASDGAAVSNSGNSHLPRGLLAGLEPINFITLATPHLGVRGRKQLPFLLGVPILERLAAPLAPFVVGRTGSQLFLTDGKADKPPLLLRMASDCEDLKFLSSLGSFRSRIVYANVSYDHMVGWRTSSIRRETELFKPPRRSLDGYKHVVDVEYCPPVSSDGAHFPPEAAKAKEAAQSSPSPQNTLEYHEIVEDEMIRGLQTLGWKKVDVSFHSTFWPYLAHNNIHVKSERLYKAGAGVVAHVADSIKQQETSTLGDWSGLRTRGEYSGLIMAKFFIVFLASALCFTTLLHFAAADADDLDRFHIKGSVYCDTCRVQFMTRLSKVLEGAKVKLECKARENQTVTLTKEAVTDKDGKYEMVVMGDHEEEVCEIILVESPDAECGEVNNQEFLRNAARISLTANDGIVSNEVRTINPLGFMRKTPLADCPQVFKELGIVPDVIF >A07p051860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27462193:27463644:-1 gene:A07p051860.1_BraROA transcript:A07p051860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYSSSLVDTSLDLTIGITRMRVEEDSTTNSLVDELKRVNAENKKLSEMLTLMCDNYNVLRKQLMEYVNKSNNTAEREQTSPPKKRKSPARDEAVSSAVIGGVSESSSTDQDDQYLCKKQREETVVKEKVSRVYYKTEASDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFKCACAPSCSVKKKVQRSVEDQSVLVATYEGEHNHPMPSQMDSNNGLNRYVSLGGPVAPAAAANGSCSLAKPVNLTESKKVRSPSRIEFPEVQKLLVEQMASSLTRDPNFTAALAAAVTGRLYQKNQTEK >A06p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19144609:19147950:-1 gene:A06p035390.1_BraROA transcript:A06p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSTLFRSRCLLLRSFSVHIGKPPDASAEIAGILNTANWRDALVSSNLAAEINPDVVLSVLRSKRVDDPAKLLSFFNWVDSQKVTEQKLDSFSFLALGLCSFGSLGQARSVAIRMIERKWPVSEVLSSVARCSRELSDGGGVVYGILIDVYIDKGFLDEAAFVITNIKDLVLDSSRCNVLLDAFLKRNRLDLFWDVYNEMAERSLVFDVHSYEKLIGAHCRGGNVQLAKDVLLKAEEKFGMVSVAVYGLVNEALCKKGDVDEALELKKRMIIKGLVPSKQSFNILVGGMCKRKRLDDAKALVVEMNSFGVFPDNITCSILIEGLLKGRDADAANGLVHEMVSLGMNIDPKMYDSYICVMSKEGAMEKAIALFDGMIASGVTPGARAFASLVEGYVQGKNALKGYELLVEMKRRNIVISPYTYGTTVKGMCSSGDIDGAYKIVKAMGASGCRPNVVIYTTLIKTFLQKGRFGDAVRVLKEMKEQGIAPDTFCYNSLIIGLSKAKRMDEARSYLVEMVENGLKPDAFTYGAFISGYIEAGEFASAEKYLKEMVECGVVPNKVLCTGLISEYCKKGKIIEACSAFRSMVEQGIPGDAKTYTVLMNGLVKNGKVDDAEEIFQEMRGKGVAPDVFSYGTLIDGFSKLGNMETASRIFDEMVQEGVSPNVIIYNMLLGGFCKSGDIERAKEIFNGMSGKGFPPNAVTYCTIIDGCCKYGDLEEAFRLFDEMKPKGLAPDSFVYTTLVDGCCRWNDLERAIAIFETKEMSCGSSTAPFNALINWVLKFGKTELKTKLINMVMDGSLDKHGKPNDVTYNIMIDYLCREGNLEAGKELLQDMQKANLMPSVVTYTSLLNGCDKMGRRSEMFAIFDEAIASGIEPDSIMYSVIITAFLKEGMKTKALMFVDEMFAKNAAVDGCKLSISTCRALLSGFAKVGGMEAAEKVMENMIRLKYIPDSLSVIELINEVAVENFQLLFLEKLMSAQKLSAFDSERICLHLVIMMTLGS >A01p053140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30258757:30265458:-1 gene:A01p053140.1_BraROA transcript:A01p053140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFTPGQNHSPGSSRLLQLGVAGSASRLRSSSSKKPPEPLRRAVADCLSSSHPPATSHHGAIPSIAPSEALRNLRDYLSATGTTDLAYNMLLEHTIAERDRSPAVVTRCVALLKRYLLRYKPGEETLLQVDKFCVNLIAECDASLKQKSLPVLSAPAGASPLPVSSFASAALVKSLHYVRSLVALHIPRRSFQPAAFAGATLASRQLLPSLSSLLSKSFNSQLSPANAVESPQKKDAANLSVSNLSNIEEFNAMEDTEYISSDLLNWRWVGELQFSSASSESERPVNLQDMNNCNLLEVGAAGLLVGDMEAKMKGQHWKYFGTAEMPYLEQLLQPASVTMITNSASARSHLRAITASKRTRTGPQQIWDDSTVSTFRPRARPLFQYRHYSEQQPLRLNPAEVGEVIAAVCSEASSTPSNPMTVSPQLNSKTGKPSMDVAVSVLIKLVIDMYVLDARIAAPLTLSMLEEMLCSTNAACRIRVFDLILNLGVHAQLLEPKINDNATTIEEEYAQETFIDNENKMLLQGTRTKDLPKMSSTSSAIENFESWILKILFEILLLLVQVEEKEESVWASALSCLLYFVCDRGKIRRNQLNGLDIRVIKALLGTSKRNSWSEVVHSKLICIMTNMFYRSPELDGSAKATSRASNFLVEQVDLIGGVEFIFYKYSLATTREERRNLYSVLFDYVLHQINEACSVAGLSEYTDDEIQPLAVRLALADAPEAFYISVKLGVEGIGEILRRSIAAALSGFSNSERLNQLLANITEKFDTIIRSFTHLDIEFRHLKQLTKSHKFMDSIQELRNDIRMPVNLAWATLHSLLHSERATYRQNGYIWLGDLLIAEISEEGGGSIWLNIKDLQQKIALCGASDSLITSDIPISIQLLCGLLKSRNSVIRWGFLFILERLLMRSKFLLDENETQRSIGGNASQDQKDTRLEKANAVIDIMSSALSLMAQINETDRINILKMCDILFSQLCLKVLSPDDETAPNSADRNSKSETSHRNSYKENMDDADTRPRYNNVPVSTCETASMAAMLLRGQATVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNIPGATSDIRATLLLLLIGKCTADTVAFQEVGGEEFFRELLDDTDSRRMMTEEPENYQNMLQKLVFKAQQSNNEKLLENPYLQMCGILQLSNEL >A06g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21714332:21715712:-1 gene:A06g507770.1_BraROA transcript:A06g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIFEILKNIGLTTKKKMKNEAKGTIFFLSLSFSIILLRVATESHASFSCYVCCLHSQYVCYGSTTSTPLFAREIMTIFSCSNVLDRAALHGSGFEYCWLLSYEQEVRLELSGVPKNIGDHISQLFPSGREALILFFQSKHLIDKSLKPYQRVKIETLRCRFVFLNTTKVLNILLQHGYKIWKEMYGEDLDDSNVALYSEWK >A09p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2793622:2795298:1 gene:A09p005060.1_BraROA transcript:A09p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSGSGGDDLSERRGIPAAKFIQDVETYLSQSGFDSNSALAFHQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLEVVATLEARKGTGESLLADFEVSEGIYSRACIEDTDSVCLWLGANVMLEYSCEEATALLKNNLENAKASLEVLVADLQFLRDQVTVTQVTIARIYNYDVHQRRVKQVTPTAIAAADA >A08g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11748120:11748879:-1 gene:A08g506760.1_BraROA transcript:A08g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDGLFDNLYNNEITATVVQAVRAGTDPQVTAQKIAALARQRTHDKNRQTPFSTAAQDAGFRYYGGKLDDIVWSQDTHVTTKKCDTNEQQSDPISVPGHVRLNVATPTIKMLFD >A01p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9644507:9646758:1 gene:A01p019660.1_BraROA transcript:A01p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVREQSNENEVRNLGKPVDLAGQYYKDGADEISFLNITGFRDFPLGDLPMIHVLRYTSENVFVPLTVGGGIRDFTDATGSLEVAAEYFRSGADKISIGSDAVYAAEEFIKSGVKTGKSSLEQISRVYGKQAVVVSIDPRRVYVNHPDDVPYKVIRVTNPGPNGEEHAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDTDLVKLISDSVGIPVIASSGAATPEHFSEVFETTNASAALAAGIFHRKEVPIQSVKEHLVEKSIEVRM >A07p051550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27352323:27356843:-1 gene:A07p051550.1_BraROA transcript:A07p051550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate-semialdehyde dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G79440) UniProtKB/Swiss-Prot;Acc:Q9SAK4] MVLGAAARVAIVGCRRLVCSSSHASPLLVSSQCRQMSMDAQSVSEKLRSSGLLRTQGLIGGKWIDSYDKTTIKVNNPATGEIVADVACMGVKETNDAIASSYEAFQSWSRRTAGERSRVLRRWFDLLVAHKEELGQLITLEQGKPLKEAIGEVAYGASFIEYYAEEAKRVYGDIIPPNASDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALASGCTVVVKPSELTPLTALAAAELALQAGVPPGALNVVMGNAPEIGDALLASPQVRKITFTGSTAVGKKLMAAAAPTVKKVSLELGGNAPSIIFDDADLDVAVKGTLAAKFRNSGQTCVCANRVLVQDGIYDKFAEAFSEAVQKLEVGDGFKEGTTQGPLINDAAIQKVESFVQDAVSKGAKILLGGKKHSLGMTFYEPTVIRDVTSNMIMSKEEIFGPVAPLIRFKTEEDAIRIANDTIAGLAAYIFTNSVQRSWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYHEIKYICMGDMNRQ >A07p049550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26320328:26322075:-1 gene:A07p049550.1_BraROA transcript:A07p049550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of the phosphatidylethanolamine-binding protein (PEBP) family [Source:Projected from Arabidopsis thaliana (AT4G20370) UniProtKB/TrEMBL;Acc:Q587R2] MSLSPRDPLIVGRVVGDVVDPFMRLISLNVTYGQRQITNGMDLRSSQVLNKPTVEIGGDDLRNFYTLVMVDPDVPSPSNPYLREYLHWLVTDIPATTGTTFGNELVYYENPCPTSGIHRVALILFRQLGRQTVYAPQWRQRFNTREFAENYNLGLPVAAVYFNCQRENGCGGRRTS >A02p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2239794:2249578:-1 gene:A02p005180.1_BraROA transcript:A02p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGGPDQGPSQPQQRRIVRTQTAGNLGESFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEKTQLYVHYNILPLDPDSANQAIMRYPEIQAAVLGLRNTRGLPWPEGHKKKKDEDMLDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDQALTDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMALYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEDAFLRKVVTPIYEVIAMESQRSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFYPHVDQPNTEKDGDNSKPAVARDRWVGKVNFVEIRSFWHVFRSFDRMWSFYILCLQAMIIMAWDGGQPSSVFGADVIKKVLSVFITAAIMKLGQASLDVILSFKAHRSMSLHVKLRYILKVLSAAAWVIILPVTYAYSWEDPPGFARTIKSWFGSAMHSPSLFIIAVVFYLAPNMLAGVFFLFPMLRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESAFSLFKYTMFWVLLIATKLTFSYYIEIKPLVAPTQAIMRARVTDFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNDRLIPDGKNQQRKKGLRATLSHNFTEDKVPVNKEKEAARFAQLWNTIISSFREEDLISDREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIESDSYMKCAVRECYASFKNVIKFLVQGNREKEVIEFIFAEVDEHIEKGDLIQVYKMSSLPSLYDHFVKLIKYLLDNNVDDRDHVVILFQDMLEVVTRDIMMEDSISSLVDSSHGGTWHGGMVPLEQQYQLFASSGAIRFPIEPVTEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVRNMLSFSVLTPYYTEEVLFSMHDLDTPNEDGVSILFYLQKIFPDEWNNFLERVKSNEEEIKESVELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAMHEDLMEGYKAVELNSENNSRGERSLWAQCQAVADMKFTYVVSCQQYGIHKRSGDPRAQDILRLMTRYPSLRVAYIDEVEEPVKDKSKKGNEKVYYSVLVKVPKSTDHSSLAQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMMSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEQGLSTQKGIRDNTPLQIALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGLEMMLLLVVYQIFGSAYRGVVAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNIGGIGVPAEKSWESWWEEEQEHLRHSGKRGIVVEILLSLRFFIYQYGLVYHLTITEKTKNFLVYGVSWLVIFLILFVMKTVSVGRRKFSASFQLMFRLIKGLIFLTFIAIIVILITLAHMTIQDIIVCILAFMPTGWGMLLIAQACKPVVHRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >A02p006920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2943064:2943543:-1 gene:A02p006920.1_BraROA transcript:A02p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSSDITGEKQTFKIRKLEITDKRNGYMELLSQLTVTGSVTDDEFDQRFKEITSYGDDHLICVIEEESSGKIAATGSVMIEKKFLRNCGKVGHIEDVVVDREFRGKRLGKRVVEFLTDHCRGMGCYKVILDCGVEKKEFYEKCGLVNKGIQMSKYF >A09g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28293848:28297141:1 gene:A09g509720.1_BraROA transcript:A09g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGILWLIISNERFLSEGSTHADLVAMAQIDYNMDMNTESVVLTYSLQQMASDLPPIHVTSDRQVWNLLEITKTHEVRICVSSFSKMRTVSEERDEDHVGDEAEGGDEAEEGDEAEEGDEADLRRGMKLRIMMGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAARRRFSFRIFKSTKTIFVATCRVSGCQWKVQASVKHGTKTFWVTKYVATHTCSIPDRITQQKCCTPKYIGRLLIDRVGIIDGGNAYTLRVQQIDGWKFLVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPIYSKDTSFAGYSENIYLCVGQLVEPRTCFPPEVNHGPGRRKKSRWQSWLELSRMRGRKPQKQHKVYRWSVYKETGHKRPQCKNRRGRPSSKSSRRPSDAMFYELLCRLYVVIFVLVFPFMAHRKLLKYIHRHILPDGLPVSFVKRFGKFHPKSMTFSVLQSKGATITSSTLRYSIGLSRICVWLQCVLNLLFVRERALNKQISDHCEKRLHYLIEFFTANMTDNAVPYDYVPLRDRYPYSNPMLVLEVHRCIDIIHIPPPPPPPPPPPRKPS >A01p043790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24698405:24702433:1 gene:A01p043790.1_BraROA transcript:A01p043790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFVHLLPSIEPCSCIDCFNKPIHEDTVLATRKQIESRNPLAFALKVIRISDSIMETSDDASKTRASARHKRGCNCKKSNCLKKYCECFQSGVGCSINCRCEGCKNAFGRKDAYLHAIMESKLEEDHETYEKRTANIQEIEQNPSSDQPPAPQPLHRHLVVHQPFFSKNRLPPTLYFLGTDSFSFRKPDGDSTQSRNEKKPVETVTEEKTEIMPEILSNTPITTIKAISPNSQRVSPPQLGSSESGSILVKRSNGRKLILRSIPAFPALNPHQ >A09p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13321164:13323207:-1 gene:A09p024060.1_BraROA transcript:A09p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKKRESEFKFKLVKLKDAINLIDQQVNLLGIVRDRKEPKLCRNNGNPESSFLLILPDFEAWICTLCIIDDSYERPGLTVNVFSNKREELPNHDGMILFLNIKMKCYGGGNRVNAACNKGVSSFALFKRRTDKEFICYQRTSNFRGEERYKSSMDELAKLFPTSCSLDQNLEFSFLREIKEGKPFNLLCKILLHVVNEEEDMSTIFVWDGTDAPPASIGFKDKSAVSNLSVLSRDTLRSFPTVGSILRLSLSNHLLYGVKPGAWVKLYQLLCVVDKGSWVASVTDSTTVTLAQNDHLVEKIMRIYDKRITSKFGHIPFWCFPSPPGFTGTDDDQAPFVTLRDIITFPKVTYKYRCIVRVVSAFRSQEEDENRHYRVLLTLEDPTATLEAFLCDKDAEYFFGLGLQNTEMLRMKWNQLLGITRESSVSLATRNPPWIECCIFSYYTNKADPWNTRLYKIFGTRLLDLEAV >A10p000260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:161180:163198:1 gene:A10p000260.1_BraROA transcript:A10p000260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLRGEWGEKVSEKVVWAYNSLPKKGKPQGREVTVLSAFLVSSPSQEAEVIALGTGTKCVSRSLLSPRGDILNDSHAEVVARRSLMRFFYSEIQRMQQGKRTCCNEAKRQRHDTQILELDPSSPGDVKYKLKSGCHLHLYISQLPCGYASTSSPLYALKKIPSTPVDDEALSHNGSHSHSCSLGSSDVQDIGNATDTVQRKPGRGQTTLSVSCSDKIARWNVLGVQGALLYQFLQPMYISTITVGQSLHSPSFTDHLRRSLYERILPLSDELLPSFRVNKPLFFVAPVPPSEFQHSETAQATLTCGYSLCWNRSGLHEAILGTTGRKQGTSAKGALYPSTQSSICKQRFLEMFLKESYGHESESSKSKGSYRELKDKATEYSLMSKKFKGKYPFNNWLTKPLNYEDFLIN >A09p010930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5531744:5532211:-1 gene:A09p010930.1_BraROA transcript:A09p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRESMRGDSAKLILFDGTSQEFSSPVKVWQILQKYPTSFVCNSDEMDFDDAVSAVSGNEELRSGQLYFALPLTWLNHPLRAEEMAALAVKASSALAKSGGVGWVSVDDSDVDGKVYQPKNIAGVKTNAGGGRCCGRGKRRFTRNLSTIAE >A09p076150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57089714:57091252:-1 gene:A09p076150.1_BraROA transcript:A09p076150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAVDIYEREGEEEEDPLVWWGECRICQEESAIKNLESPCSCNGSLKYAHRKCVQRWCNEKGNTICEICHQPYQAGYTSPPPPPQSDETTIDIGGGWTISGLDLDDPNLLAIAEAERQILELEYDDYTEPDTTLAAFFRISALIMMTLLLRYALTIPDYADGEEEDPSSILSLFLLRAATFLLPCYIMASAINILHQRRQRQEAEALATRFALVLSSRQPRDMVNYLSMEP >A02p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25608676:25614071:-1 gene:A02p040510.1_BraROA transcript:A02p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTIPQPPNRISLSAFKYHESFTITSPFYHSGDDCFNKMDKGKTFGEQWRFSPSFKPPETPLNSMEFLSRAWSASATEVTRAVVASPTFQPPQMRFSEIQSASDVTLLPEDEENGIVSGNTFSFASSETSLMVMERIMAQSPDISSPRTSGRLSHSSFTESPPISPSDIDDFKQFYRISPSFSGHIRGPSAVSGVAGGSKTVGRWLKDRREKKREETRAQNAQLHAAVSVASVAAAVAAIAVATASQSSSGNDEQVAQTDSAVASAATLVAAQCVEAAQIMGADREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARAFKEVWNIAAVIPVEKGIPKGGESSGGGGGYQGCLTPEDNFLGICSRELLAKGCELLKRTREGDLHWKVVSVYINRTKQVMLKMKNVVVELVKGLTAWPGRELLEGGEDLRYFGLKTLEKRVIEFECKSQREYDLWTQGVSMLLSLASDSRH >A06p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5275913:5277221:1 gene:A06p002220.1_BraROA transcript:A06p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLKSLVRCMYTGKLNDNVRKLKIATEELKDIGNSVMKRVKICEEQQQMKRLDKVQSWLRQADIVIKEAEDLFLTSSSSSQGLLSSSHKMEKKICKKLKEVEEIKSRGMFEVVAENVGGGGSGSTVKTNDEETVGLEAVSGLVWRCLTMENTGIIGLYGVEGVGKTTVLTQVNNRLLQQKANGFDFVLWVFVSKNLNLEKIQDTIREKIGFLDRTWTSKTEEEKAAKIFDILSKRRFALFLDDVWEKVDLVKAGVPPPDAQNRSKVVFTTCSEDVCKEMSAQTKIKVEKLAWELAWDLFKKNVGEDTVKSHPDIAKVAQEVAAKCDGLPLALVTIGRAMASKKTPQEWRDALYILSNSPPNFSVLKLLDRN >A09p079490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58504720:58506998:-1 gene:A09p079490.1_BraROA transcript:A09p079490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGKVVCVTGASGYIASWIVKLLLLRGYTVKATVRDPKDQKKTDHLLALDGARERLQLFKASLLEEGSFEHAIDGCDAVFHTASPVKIIATDPQAELIEPAVKGTINVLTTCTKVSSVKRVILTSSMATLLSPNFPLGPNVLLDETTFSDPSVCEEEKQWYILSKTLAENAAWTFAKDNNLDLVVMNPGLVIGPVLQPTINFSVDVVIDFIKGKNTFNRKHHRLVDVRDVALAHIKALETPSANGRYIIDAPIVTTEEIEKILREFFPDLCIAHENEDIDLNSMAYEVNVEKVKSLGIEFTPTETSLRDTVLSLKEKHLV >A04g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2306658:2307707:1 gene:A04g500790.1_BraROA transcript:A04g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPKVIGKAKVHYSTIIGKAQVQEQQQQEQQDLPRPSPFDELSAIFYPPKQETSRLGGGSTGDPSLKSSASRTSSSTKQQDLPRPSPFDELSAIFHPPKQESSGVGGCSTGDHSLMSNVFSGGSSNTGLLEEIVKKGKKEKKNI >A04p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8818753:8819791:1 gene:A04p007520.1_BraROA transcript:A04p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVPSASRGFILCIIFFESFPGTILAQDVRLDSILIFKTHEWFSTKPIVYFQCKGENKTLFPDVKTTNVTYSFSGQESWQPLTKLKGTKCKICGIYEEDTFRYDTFNEWELCASDFTPEGTYTHAKEKDFNATFLCHCCSQLGAGLNKDSGTDKEEETRMHHAMVVLIVVLVLGVVAVGLVLGSTYWRKKKRQQEQTQFLFEDGDEMEDELGLDDTL >A07p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26168102:26170051:1 gene:A07p049220.1_BraROA transcript:A07p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRRRPPKPPVNPNRFLDNRSPPPPPSSDDDIDRNRSPKASDALPLPLYLTNAVFFTLFFSVAYYLLHRWRDKIRYNTPLHVVTITELGAIVALIASFIYLLGFFGIDFVQSFISRADSNDSDRDYLVNEDHHRLVTCPPPIVAKLPNPEPPLPEEDEEIVKSVLDGVVPSYALESRLGDCKRAASIRREALQRMTGRSIEGLPLDGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLDGYEYSVPMATTEGCLVASTNRGCKAMYVSGGATSTVLKDGMTRAPVVRFASARRASELKFFLESPENFETLAVVFNRSSRFARLQSVMCTIAGKNAYVRFSCSTGDAMGMNMVSKGVQNVLEFLTEDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVIRGETVNKVLKTSVASLVELNMLKNLTGSAIAGSLGGFNAHASNIVSAVFLATGQDPAQNVESSQCITMMEAINDGKDIHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKEAPGMNSRRLATIVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSRDITGATTTTTT >A09g510610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32682739:32692914:-1 gene:A09g510610.1_BraROA transcript:A09g510610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSSSAIGDLHVDGSEIDLVVDFTGVGDNEVFSDSESEKGEFNEDSILGLLRVNESSVLILSNDFLCMMLIASYRSYADFLAGSFPSSSSAGLGSPAAQGTSVPQPQPASIIEDRLQNELLVAPGRELLPKLSPNGEPNTSWFRRRNRNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPAFTNDVRTPFNLQARKQYTSNVTEWKKKWRLKKDKPICLNQDVWDGFKAYWQLDATAHIAATNSVNRRSKRGGKGEAVHNGGAKTREEREIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTASNMLSREEINQLVLENVPIKKGRRYGIGRTSEAISTSSSQLSVSSSSIVQYMERMKTELDEERSCHVKGISQLRLNQDTMETRLTGAGGIAHSAGNSWRSAQSGERCVLLRISVDESGTVTGRADGPGAGRFDQIGLRLGTGSGQASRVMDLRQKYKEKEKEKEKEVAPGDRTPKVRGVAKSNRTRPRKIADQKWTVVREKPHEDRGHGKMCGDWVDSGNCGTRRYKSRKGKDATGAFGAVGQDGAEQTGMLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEINETNVDEQQQQVHGDDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQIMGAQIASLTHAFTPLLNSSVGQANPPVRVAAGVADVKGISQLRLNQDMMETRLTGAGGLAHSAGNSCRSAQSGERCVLVWISVDESGTVTGRADGPVQDGSTKLVLGLGEGVGKLPECELRLSDRFVKGRKGEKPPMGGYGTVRNFLIAVTVKSF >A06p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10359724:10361756:-1 gene:A06p020980.1_BraROA transcript:A06p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLVFGILVLFAIFRFPTIESRSHHPSAYSGIEFPALNCRKHKAVITDFGGVGDGKTSNTKAFRTALSKLSDLASDGGAQLVVPPGKWLTGSFNLTSHFTLFIQKGATILASQDESEWPVVAPLPSYGKGRDGTGTGRFNSLISGTNLTDVVITGNNGTINGQGQYWWDKFKKKQFNLTRPYMIELLFSQNIQISNITLVDSPSWHIHPVYCNNVIVKSITIIAPVDVPNTDGINPDSCTNTLIEDCYIVSGDDCIAVKSGWDEYGIKFGMPTQQLSIRRLTCISPKSAGVALGSEMSGGIKDVRIEDVTLINTESSVRIKTAAGRGAYVKDIYARRFTMKTMKYVFWMSGNYNQHPDEGYDPKALPEVSNINFSDMTAENVTMSASLAGIDKDPFTGICISNVNITLSAKPKEVQWNCTDVAGVTSRVKPEPCSLLPEKKTEGKNMDCMFPSDLIPIESVVLKKCSL >A04g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4887823:4889516:-1 gene:A04g501990.1_BraROA transcript:A04g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKSTWPERKRERNKVVDLECSRFSPRRLVPSNRRFSTIVTRKLCLYQSVQATHSTSSDQSKFCSILHREVRRTVQELKEVMSESRSVVQTCQFLHDETEDLSKSRSVQSSQVVHWVLAKSSPINQLLIGKEHCSRYLEVGSWQEAGTARLVFWVLRGKEGYVSMSLRGLAERLHKACSVRGGCWKACSVMWYHWWVLHVALGKDDRIA >A06p005510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1665132:1667440:1 gene:A06p005510.1_BraROA transcript:A06p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 7 [Source:Projected from Arabidopsis thaliana (AT1G48110) TAIR;Acc:AT1G48110] MYTSEGAQASDFVADQGIYYHPVDPNYAYYCTGYESPGEWENHQMFFGVDGSQLQYQGGQNDNSPYIYYTPSYGYAQSPYNPFNPYIPGADSPFQQYYPPLPPYQNVASSGAFVPYAAAHPDTVSSSSANSLVETGSAANRRGSRNRNASAADGIQRNASEKPRPNPGGQNRSLSTEKRVSTAFPALQGKAISVSTQPVEAVSSSRVSSSGQLDIAPPPERNGLSSTATNNNNPRPKLYGVHSNISSRSKGPRSQLIVKAYTTKAGNADAEGNIVIDPNQYNKEDLRIDYTNAKFFVIKSYSEDDVHKSIKYSVWSSTLHGNKKLQSAYEDAQRIATEKSCECPIFLFFSIMMKQGLEVLKIFKGHAERTSLLDDFAYYENRQRVMHDERNRLPYRSFLSPVPVPRPDFSDRNKKNSSEDPSKSDGNEETTTVKEGTKEDTTTLIQKKITSLTVSPTDTDSNPTTGSHLNQSQAKSKPPPSVSDKKTDPDPPEAVDSPLSEDNDTVKVGSLPIKVTGSFPIVTVGTIPLDPSSLEKK >A01p037680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17653796:17656736:-1 gene:A01p037680.1_BraROA transcript:A01p037680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGGHKKGNKSKKSTEPENLNESVQNSDSEQSSGECEKSKTEVDAPDSSPSVDPVKSVGRVKVKLKTSSKAPEPDVPLSTPQKEDPVPPRLPERKPVLNVYRKMKGIKIKSWKAVDGSSSVSEKPQDAVDKETKTPDETSQLEPDSAPVSSQKKTDNNKQELEDSLTVVKKIMKMEAADPFNVPVDPEALGIPNAQVEDGGNASSKGSQSKQKSQKRHGRHHKSDCMCAVCILKRRKRERHSSQGNSGAVEESSPVRSPSVDNSSINMREEQDMDVDVDNKTRQGKAEIVELDSPVAKRQRVGEYKKQNVEEEETLEVETETKTKPTVQDKTQSIDRSTEETGDEPVTCAAEKLAVLASVEGSKATQNEEEDKAKRLREQKELQELERKQWRAKMHEKFQLRNPQLLNLCETIFPKSNNQSSVWNGPHSLFKRPEGSNRTSSLHKAVEALMRSSS >A05p032720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17761159:17762687:1 gene:A05p032720.1_BraROA transcript:A05p032720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTTKFGFVGFVWNDKEKVDGSAAGLYLRGTFDDDRRFHSSINQLHFPPFSPCRSTELADRRMTHSRVAFHGFNAIGKEDYFYKSADLIFLNDRIDEVNAVRTFMLKCYQLGNPEAIYLRDMNEYFILHLLDEGRETIHLAGERGCLLAKYVDGMLNLAFSIDHRGLDHYYPGFTREYVDWMYHMITSWALFGHWGYDKPEMFMSLLERIDPNVSYDCWCSVIIEPVFVVSIDGSRIR >A05p053420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30859953:30866965:1 gene:A05p053420.1_BraROA transcript:A05p053420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04340) UniProtKB/Swiss-Prot;Acc:F4J3N2] MDFISASSSPVFTQLSPLSLSPGLVSLNLRYRVKNRVFGSRESNKSRRIVAVRGCLGFASSNQSEAHVRSRELVYSAKRKESVIQFVSKPLVYALFCIAIGFSPVRSFQAPALALPFVSDVLRKRKKETLIEKEVVLKSIDHEFSGYTRRLLETVSILLKSVEKVRKEDGDAGEVGAALDAVKEEKEKLEKEIMSGLYSDLSRFRKEREVLMQRADGIVEEVLRLKKESERMLGKGDREKAERLEERVDVMEREYNKIWERIDEIDDTVLKRETTTLSFGVRELMFIERECVELVKSFNREMKLKSSESIPESSVTKLPRDEIKQELLNAQRKHLEQVILPDVLELEEVDPMFDSDSVDFSLRIKKRLEESKKLQKDLQDRIRGRMKKFGEEKLFVVKTPEGEVVKGFPEAEVKWMFGEKEVVVPKAIQLHLRHGWKKWQDEAKSDLKQRLLEDVDFGKQYIAQRQEQVLLDRDRVVSKTWYNEDKDRWEMDHMAVPYAVSRKLIDSARIRHDFAVMYVALKGDDKEYYVDLKEYEMQFEKFGGFDALYLKMLACGIPTTVHLMWIPMSELSLHQQFLLFTRVVSRAFTALRKTPIVSQAKGILLERIRNINDDLMMAVVFPVLEFIIPYQLRLRLGMAWPEEIEQSVGTTWYLQWQSEAEMNFRSRNTEDFKWFVWFLIRSFVYGYVVYHVFRFLKRKIPRVLGYGPFRRDPNVRKFWRVKSYFTFRKRRIKQKRKAGVDPIKTAFDRMKRVKNPPIPLKNFASIESMREEINEVVAFLQNPKAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVNVEAQELEAGLWVGQSAANVRELFQTARELAPVIIFVEDFDLFAGVRGKLIHTKQQDHESFINQLLVELDGFEKQDGVVLMATTRNHKQIDEALRRPGRMDRIFHLQSPTEMEREKILHNAAEETMDRELIDLVDWRKVSEKTSLLRPIELKLVPMALESSAFRSKFLDTDELLSYVSWFATFSRIVPRWLRKTKVANKMGKMLVNHLGLNLTKEDLENVVDLMEPYGQISNGIELLNPPVDWTRETKFPHAVWAAGRALIALLIPNFDVVDNLWLEPSSWEGIGCTKITKVTSGGSASGNTESRSYLEKKLVFCFGSHIASQMLLPPGEENFLSSSEITQAQEIATRMVLQYGWGPDDSPAVHYATNAVSALSMGNHHEYEMASKVEKIYDLAYEKAKGMLLKNHRVLEKITEELLEFEILTHKDLERLVHENGGIREKEPFFLSGTSHNEARDIDYNSTGSRYGGWDDLDKRNEIPSNENVRPKQQFKRRNASRPWRVKEKPLLFRMLFAAFPFLIHGPNCYFEPFIAFR >A09p031440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19001690:19002399:1 gene:A09p031440.1_BraROA transcript:A09p031440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLKTIHFWAPTFKWDLSIANIADFQKPPENILYLHTVITPKNWNLFSVNVAMAATGVYQLSRKIK >A01g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2198408:2198748:-1 gene:A01g500480.1_BraROA transcript:A01g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSFTLPRTAPPHGSLLLIFPIFSVVVDFKIQIWKVLFQNPDLQKMCTGSVWMGVAWIGGVWIAGAWMGYV >A07p045320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24608487:24610006:1 gene:A07p045320.1_BraROA transcript:A07p045320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g71990 [Source:Projected from Arabidopsis thaliana (AT1G71990) UniProtKB/TrEMBL;Acc:Q0V7Y9] MPMRHLNAMATLLMMFFTLLILSFTGILEFPSASTSLPHPDSSSSSSAASVSSDPFGDVLEAFRKWDSQVGCARFRENHRKGNLSSGALQESGGSGCGGMKMDHVRVLVKGWTWVPDNLENLYSCRCGMTCLWTKSAVLSDSPDALLFETTSPPLQRRVGEPLRVYMELEAGRKRSGREDIFISYHAKDDVQTTYAGALFHNNRNYHISAHKNNDVLVYWSSSRCLSHRDRLAKTLLDLIPHHSFGKCLNNVGGLNSALSMYPECAAESNAEPKWYDHLHCAMSHYKFVLAIENTATESYVTEKLFYALDAGSVPIYFGAPNVQDFVPPHSVIDGSKFGSMKELAAYVKRLGDDPVAYSEYHAWRRCGVVGNYGRTRAVSLDTLPCRLCEEVSRRGGKNAGV >A07g501610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3271636:3271998:1 gene:A07g501610.1_BraROA transcript:A07g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMCFSPIRVTGTTCSSSRRSSSQTNNNKKNPKSNNKTPPPPENNNDKPSTTIKRRTGSVPCRKRTEFGYAKDFHEQYSIGKLLGHGQFGYTYVAIHKSNGDRVAVKRLDKSKVVLFSAV >A02p017150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7698035:7698746:-1 gene:A02p017150.1_BraROA transcript:A02p017150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIRPLSFLFLMLIVCSSSLGMLIGLAAQFNPSPSLRSAFDIILSYISSLLHCGIGSLTTSEEMEVKKISSHRKLMFHSTTDYDDAGANPKHDPRRRPGGKP >A09p000230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:140241:142115:1 gene:A09p000230.1_BraROA transcript:A09p000230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSPGSSCGGGPCGACKFLRRKCVAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLQHVPEHKRADAVVSICFEAQARLRDPIYGCVSHIVSLQQQVVSLQTELSYVQAHLATLELPQPPPAPVTSSGSPPPLSISDLPTITPSLYELSPIFEPMSSTWTMQQQPRPFDHLFGVPSSFF >A10p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13255010:13256474:1 gene:A10p019280.1_BraROA transcript:A10p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKENVKRGQWTPEEDNKLASYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSEAEEHIIVKFHSVLGNRWSLIAAQLPGRTDNDVKNYWNTKLKKKLSGMGIDPVTHKPFSHLMAEITTTLNPPQVSHLAEAALGCFKDEMLHLLTKKRVDLNQINFSSPNPNNFNRTVDSEAGKMKMDGLENGNGIMKLWDMGNGFSYGSSSSSFGNEDKNDGSASPAVAAWRGHGGIRTAVAETAAAEEEERRKLKGEVVDQEENGSHGGRGDGMLMMRSQHDQHHVFNVDNVLWDLQADDLINHVV >A03g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15612431:15616126:1 gene:A03g504410.1_BraROA transcript:A03g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLHYHFLPECLQATPSLTRVVQSGSSSGSERDCEDDDDFVDDDTERKKCINPVRVRDIDSASKTHVVSIISDGVELDNVEEETHIPGDEEDILVANLEKCIHDGFSFRKSHFLGGATLADVIQMGEEAAKENNTRKKNKRSVNANSADAADPDYVVSILKSSLSADLCRMEEEIKNLGQMFTKSQSQMRSYIQDMFDTFQRNISNMILTPSSGRHADPPHAHQAETTFSREKTTTEPNPGAPPNVPVATASHKRNKSTGRPGHFDPCGSIQDAIHFADHVAPLSRDVNMGDASLNEEGSPEKCNDGNNDLNPREEQVHPAYSSADGEAREVEEEDPEDAVDMINSPPLTQPAPLDLTEHANITTAGTGGSHAESAKVTSSTSSDSNPPTPNVIPQPSSCLDNAQSNLAFPKPTFSLGLTQEERYLSKTDLVDADESLEEGASISLNDDQEPFPANRKSKRQKVVPRSLVGDYQCDKRFLTRAWEAHVNAIHRGPVIDYAAKAGALAEKLQKEFVIDVSGQSLDSSDLSAILARSSHLTVKVMDVLIHHTRSLIEALSEERQPSSVVLLDTRPT >A08p020140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13676023:13676262:1 gene:A08p020140.1_BraROA transcript:A08p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSFSLCFLLFLLLASVAMGSRPLERAPVGVKVRGLNSSIKAKSATALDGQASGSSNSSHGKTPERLSPGGPDPQHH >A01g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12711405:12721002:1 gene:A01g504210.1_BraROA transcript:A01g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPVDCLGDFGRRGLSVQYTQDSVGVRQHTQDVCGCLWLSVSTYKTSVAVRVCPCVSECVCGRLWPFPWTVRVILAPVGCLFSTHRTSVGVCGCPSAHTGRLCVSVSTHRTSVPVRVCPSAHIGRLWLFISAHISTLVLGLSTLTLPVDCSGDFGPRGLFVQYTLDVLHTGCPWVFASTHRTSVAVCGCPLAHTGRPWLSVCVRQHTQDVRGCPSADTGLHTGRPWVSASTRRTSVAVRVSNLVRQHTQNVCGCPSVHISALHTGTSVGVRQHHNMTSVAVRGWSVSTHRTSVAVCVCPSAHTRRPWVSVSRQQDVRCVCQNTQDMLGCPCVSVCVRQHTHDVRGCPSVHISALPTGRRGCPPAHAGRLWLFVDVRQHTHPRGLSVQYTQDVRGCPPSHTGRPWLSVAVRQHTQDVRGCLRLSISTHISTMVHGLSTLALPLDCSGDFGPRRLSVQYTQAVRGCPSAHTGRRPWLSISTHISTLVLGLNTLTLPVDCSGDFGPCGQSVQYTQDVCGCPPARTGRHTRRPWVSVSTHRTSVAVRVCLCVSVCFHQDTQDVRVCSSAHTGRVWLTVCIRVCPSAHTGRPGLSIKTHISTLVRGLSTLTLPMDCLGDFGPRGQSVQYTQDVRGCPPVHTGRPWLSVCVRVCLSVSVSTHRTAVALNQYTYQHVVPWTQHAGPSRGLFASFWPTWAVCSVHTGCPWVSASTHRTSIAVRGCPSAHTRRPWLSLCVRVCPVRTHKTSVGVCQHTHDVRVCQSAHTGRLWLSVCQSEHTDVRGCPPSHTGRPWLSVAVRQQTQDVRGCLRLSISTHISTMVHGLSTLALPLDCSGDFGPRRLSVQYTQAVRGCPSAHTGRPCVSVSTHRTSMAVRVCPSAYTGRSWLSISTHISTLVLGLNTLTLPVDCSGDFGPSGQSVQYTQDVRGCPPAHTGRPWLSEAFFQHTQDVRGCPYVPVCVCVCPSGHTRRPWVSVSTHRTSVAVRVCLCVSVCFHQDTQDVHVRSSAHTGRVWLIVCIRVCPSAHTGRPGLSIKTHISTLVLGLSTLTLPMDCLGDFGPRGQSVQYTQDVRGCPPAHTGRPWLSVCVRVCLSVSVSTHRTAVALNQYTYQHVGPWTQHAGPSRGLFASYWPTWAVCSVHTGCPWVSASTHRTSMAVRGCPSAHTRRPWLSLCVRVCPSAHTRRLWVSVSTHMTFVCISQHTQDHTHNVCVCPSAHMTSVAIHRPRGLSVQYTQDVRGCPPSHTGRPWLSSAVHQYTYQHDGPWTQHAGPSPGLFGLFSTHRTSVGVRQHAQDVRGCPRLSVNTHRMSVAVRVCPCVSVCVHQDTQDDTQDVRVCSSAHTGRVWLTVCIRVCPSAHTGRPGLSIKTHISTLVRGLSTLTLPMDCLGDFGPRGQSVQYTQDVRGCPPVHTGRPWLSVCVRVCLSVSVSTHRTAVALNQYTYQHVVPWTQHAGPSRGLFASFWPTWAVCSVHTGCPWVSASTHRTSIAVRGCPSAHTRRPWLSLCVRVCPVRTHKTSVGVCQHTHDVRVCQSAHTGRPWLSVCQSEHTYTQDVRGCPPAHTGRPWLSVAVRGCPSAHTGRPWLSAAVHQYTYQHDGPWTQHAGSSPGLFGTHRTSMAVRVSPSAYTGRSWLSISTHISTLVLGLNTLTLPVDCSGDFGPSGQSVQYTQDVRGCPPAHTGRPWLSEAFFQHTQDVRGCPYVPVCVCVCPSGHTRRPWVSVSTHRTSVAVRVCLCVSVCFHQDTQDVPVRSSAHTGRVWLIMCIRVCPSAHTGRPGLSIKTHISTLVLGLSTLTLPMDCLGDFGPRGQSVQYTQDVRGCPPAHTGRPWLSVCVRVCLSVSVSTHRTAVALNQYTYQHVGPWTQHAGPSRGLFASYWPTWAVCSVHTGCPWVSASTHRTSMAVCGCPSAHTRRPWLSLCVRVCPSAHTRRLWVSVSTHMTFVCISQHTQDHTHNVCVCPSAHMTSVAIHRPRGLSVQYTQDVRGCPPSHTGRLWLSVAVRQHTQDVRCCLRLSISTHISTMVHGLSTLALPLDCSGDFGPRSLSVQYTQAVRGCPSAHTGRPCVSVSTHRTSMAVRVCPSAYTGRPWLSISTHISTLVLGLNTLTLPVDCSGDFGPCGQSVQYTQDVRGCPPAHTGRPWLSVCVRVCLSVSVSTNRKAVALNQYTYQHVGPWTQHAGPSRVAVLVCPCVPSAHTRRLWVSVSTHMTFVCVSQHTQDVRGYLCVSLCVSQNTQDVRGCPSVHISTRWSLDSACWPSPWTVWVILADVGCLFSTHRCLWVSASTHRMCVAVLQHTQDVRGCLRLSISTHISTMVHGLSTLALPLDCSGDFGPRRLSVQYTQAVRGCPSAHTGHPCVFVSTHRTSMAVRVCPSAYTGRPWLSISTHISTLGLGLNTLTLPVDCSCDFGPCGQSVQYTQDVRGCPPAHTGRPWLSEAFRKHTQDVCGCPCVPVCVPVFPSGHTRRPCVFVSTHRTCVADRVYPCVSVSTHRTSGAVRQDTYQHVGSWTQHADPSHGLFG >A03p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6541751:6543130:1 gene:A03p016440.1_BraROA transcript:A03p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNKPTNLPATNGGRVSGAGAGGGGRESGGHDWEMRPGGMLVQKRNPDSDPVGAKPPPMIRVRVKYGSVYHEISISPHASFGELKKMLSGPTGIHHQDQKLMYKDKERDSKAFLDVSGVKDKSKMVLIEDPISQEKRFLEMRKIAKTEKASKAISDISLEVDRLGGRVSAFEMVIKKGGKVAEKDLVTVIELLMNELIKLDGIVAEGDVKLQRKLQVKRVQNYVEALDVLKVKNSMANGQQKQSSRQRLATIQEHRQEQKPIQSLMDMPINYKQKKQEIEEEPGAGPNLMDSSTKWETFDHHTATTTPLSSANNHAIPPRFNWEFFD >A06p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21104490:21106663:-1 gene:A06p039270.1_BraROA transcript:A06p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g65160 [Source:Projected from Arabidopsis thaliana (AT5G65160) UniProtKB/TrEMBL;Acc:Q9FJQ0] MGENAAERRSGCGLLSVMFGRRNLWSKKPTPTDNGSQKSTSTAATATSNIQFTKSPGTDLKKPHHDVKVSVETIQNNKIQNQNQNQRSVVPSKPSSNQYPNNHQLGTYENQQRSSYNNNSSSVDPYRGGQRKVPREAIGLSGELQSMITDHQKSRGANGLVRASSSNVMIYGNLGNLNQSGPATTLGYGNVNSGGGYVATRTNVAALATVTTKSQDQSGSLCRAISTRMDPETLKIMGNEDYKNGNFAEALALYDAAIAIDPNKAAYRSNKSAALTALGRILDAVFECREAIRIEPHYHRAHHRLGNLYLRLGEVEKSIYHFKHSGPEADPEDIVKAKAVQTHLNKCTEAKRLRDWNGLITEATNTITSGADAAPQVYALQAEALLKTHRHQEADDALSKCPVFDVETSTKYYGPVGYAGFLVVRAQVHLASGRFDEAVEAIQRAGQLDGNNREVAMVSRRAQAVTEARFRGNELFKAGRFEEACAAYGEGLDHDPRNSVLLCNRAACRSKLGQFEKSVDDCTAAISVRQGYRKARLRRVDCNTKMRKWELVVADYEILKKETPEDEEVTRGLSEAQQQLMKRRGQDS >A08g510540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24099465:24100599:1 gene:A08g510540.1_BraROA transcript:A08g510540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQPRVSKIKTPDFFFYFLIFSVIRRNPPPLLSASPSETIDDSVGHHGVSSVFSIRRALETHRDDSMIWMLITARRGQHDLDADYRHKRTALPPNSLFNSSILDNFSPRFELLVSDAVKRQMTKDDEKHQKMMTWYWSVRFQPDVTISWRDYFIRGRRATCAAYRW >A02g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20111771:20112568:1 gene:A02g507040.1_BraROA transcript:A02g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNTDSVKLDADILDCPICTECLTAPIFQCRSGHFVFPRCLPKLGEKCPSCRSPISKIRCLAMEKVIELALPCSKAKFECTKMGEMTNDEPQPLSNAVEIIVLSDDDTDDDNDSRVEPHHDPEQVMWFYECPKGQVHGPFSLKQLKEWNNAEYFDEIPDFKVWMRGESIESAVLLTTLLPHVLHT >A03p042830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17901339:17902510:1 gene:A03p042830.1_BraROA transcript:A03p042830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILSYVVAAEVVIAVILTLPYPMLVKKRIVSLVSLILQPAASIVAFAGFQLLDLYWKNEHRLMCSSEVCTATERDRYEKSIYKAQRNVVLCAAGILLYWCILRICKYNKDLEHLEELEKRCKAE >A09g515090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44875561:44878749:-1 gene:A09g515090.1_BraROA transcript:A09g515090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLQRKSNKEKHPRLSVSQTSFKYALNTFDEFVDVQEKPNRWSKEHVNTSKGESDPKRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGKSENHFEELKDFSNSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPLVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHYNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFCDLEFCGSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKICVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQRRQVQPLNENIGRAQQPQIWRSFVVQTSYLGASDRGSVQDGYLNIPKVFCLESNFKRNKTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKLFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHEPKLLRPKNQFDFIHDKNFSDLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDLDVLRIEKPFHYFFGRFAVTDRVVYWTIPHTSGKELWLEPWPDDRSDRTGACLSCPTSQAKADGQARINLGRANSDSDHSFSHLARLARTACTSDCADDLAALFIPIMDFSFGYFSKARILKLSEDLGHVGTRLV >A09p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2597650:2600028:-1 gene:A09p004630.1_BraROA transcript:A09p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDTYFKPQIFVLFLFANQLLWAQTQTNGLPVETIFTFPGPLPNTLPDEGDFGKGIIDLGGLEVAQVSISNSTAQRVWSTYEGGGDNMGFSIFEPINLPPNFFKLGFYAQPNNQKLFGSILVARSVNLSNLLPPEDYLEVGNTASLNIKQDGPAYVWQPVCHNGYQAVGMFVTTSPEKPLPLTQQYTSCVRSEFTEASEADGLLWGINGVSVFKLRPVIRGTQATGVYTGTFSFQGLSSSTPLPPLFSLRNTKLDMYSCMPSEAQTRVLFQTYSPLIYFHPDEDFLPSAVDWFFTNGALLYQKGNESNPVPIQPNGTNLPQGGSDDDLFWLDYPVDKTQKEMVKRGDLSSTKVYLHIKPMFGGTFTDIVVWVFCPFNGNANLKFLFIKRLSLGDIGEHIGDWEHVTLRISNFNGMLWRVYFSQHSGGALMEACDLEYVDGGNKPVIYSSLHGHAMYSKPGLVLLGNDGNNGIRNDMDRSDKVLDCGSGYEVISGLSGVVEPPWINYLRKWGPQVQYNIDKSLEGFAKILPWFIRKSFYKLISKIPVEILGEEVLAKKSEN >A01p010100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4918118:4919239:-1 gene:A01p010100.1_BraROA transcript:A01p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSESNPPPVTPMWSELYPDLLRSVFQRLSFTNLNRAKSVCRSWNSALRSCVPKQNQIPWLILFPRENQTDSNNSCVLFIPEDKDNLYKTRDLGAEFTRSCCIASYGSWLLMFGHFQNLYIINPLTLERFDLPQYSSLSKVLIRPPRLACLWVDKITKNYLVVWNLHDRMVYTRKGDGGWRRTASLCPAAQIIYNSKDHKVYIAPDLSWRVFVWDFSDDIPRQNGYILPFDVERDALVDAIDWNMGFKFDIMIATSVSGQVLLVACMVQDSRWQFRIYAYDPLTNTRERVNDLGDEALILDMGLTVVVAKDIPGIKRNSIYFSGFNIDHQSKDPEHIFVYDLGTQTTERLPQWVVSSVGFSDARWFFPDTNH >A09p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12768633:12770685:1 gene:A09p023220.1_BraROA transcript:A09p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-pentakisphosphate 2-kinase [Source:Projected from Arabidopsis thaliana (AT5G42810) UniProtKB/Swiss-Prot;Acc:Q93YN9] MILEEKDASDWIYRGEGGANLVLAYAASSPLFVGKVIRIQKAPKADKANKAAASVLTTDEKLLWRENKELVSSPNKEVVEQIYVKDVIIPLLGPKHIDPGVRVSVSKEFLESVDKKVTKQRPPWRVNAANVDTSHDSALILNDHSLFSQGISSGDCLSVEIKPKCGFLPTSRFISEENKLKRSVSRFKMHQILKLEQNEISEVSEYDPLDLFSGSKDRVSKAVKALYSIPQNNFRVFLNGSLVLGGSGESTGRTSPETAQTFEEALRGFIQSNDGLRTKCFLQLVSDTVYDSGVLDKLLEVQKLDKLDIEGAIHCYYNIINQPCPICKEAGTLEEEEASLHCLPLDESLKIVKEYLIAATAKDCSLMISFRLRNEWDDSAPSCDAVCLKSTNQTFDYKVHFIDLSMKPLKRMEAYYKLDNKIISFYTRKQKGEEQVGDPKRSDS >A01p010790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5254122:5256983:-1 gene:A01p010790.1_BraROA transcript:A01p010790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLQLIFLLASYLFFSGVLSISILTIENKCNQTVWPVIFSWKSQLTTTGFTLRRGEARALQAPSSWYGLISGRTLCSNDSTGNFTCATGDCESNTIECLGSYGWSKVTYVYFRIDYGGTNSHIISLEHGYNLPVMVAPSQSSPTCFSSGCMADLNRTCPDNLKIFDGAKPTSCSSACKQSRTPEDCCTNYFQSKQNCKPTVYTQNFELACPYAYSYPYNDNNSTFTCPNSTNYVITFCPSSIPDIPRSSMAGGKESSVRKLGPILGGIAAVALIIIIVAIVVVVRRRRYSTDENIEAAIMLKRYSYENVKDMTNSFAHRKETVRLVGDHIMEEEEEKIVKKMALVGLWCIQTNPLDRPPIRKVIGMLEGSLEALQAPPKPLFDSHLVAAWETIKDSQDTSSISTQSLLERKALRPGQDTLIVSREEV >A08g510760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25232075:25233110:1 gene:A08g510760.1_BraROA transcript:A08g510760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKIQKRLAASVMKCGKGKVWLDPNESSDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMRVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGTEEEV >A01p059300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33730902:33733359:-1 gene:A01p059300.1_BraROA transcript:A01p059300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNRIVVLPSSYAYRPHQARGSRSPIASSIRSATTEVTNGRKLYIPPREVHVQVKHSMPPQKLEIFKSLEGWADETLLTYLKPVEKSWQPTDFLPEAESEGFYDQVKELRERCKELPDEYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARHAKDLGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDADGTILGLADMMKKKISMPAHLMYDGQDDNLFEHFSTVAQRLGVYTAKDYADILEFLVERWNVETLSGLSSEGHKAQDFVCGLPARIRKIEERAQGRAKEAAKNVPFSWIFGREIRA >A01p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9249473:9251506:-1 gene:A01p019030.1_BraROA transcript:A01p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISPLCLRFSSSLCYFSSNVSLDSHRSLGFTLVDSLRPTNLVSLRTGNRRLFLSPARGALRTPTLTAEEVKDVPMPKIDKSGRLSSPRAARELALVTLYAACLEGSDPIRLFEKRINARREPGYEFDKSSLLEYNHMSFGGPPVKTETSEEEDELVRHDENESKIEAEVLSAPPKLVYSKLVLRFAKKLLAAVADKWDSHVVIIEKISPPDWKSAPAGRILEFSILHLAMSEMAVLETRHPIVINEAVDLAKRFCDGSAPRIINGCLRTFVKDRAAAATPTPQALKLKQEVSV >A08p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1966645:1969664:-1 gene:A08p003500.1_BraROA transcript:A08p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMETKTQVEDSIIQESLLTCIDLANSDHQSACLDSGLFYVINHGISEEVMDKAFEQSKNFFALPLEEKMKVLKNEKHRGYTPFYDQIPDPENQGITKKVTTLDPKFPEMILSGIIHSMAPTLGLILANVLPGWREAMEKYHQEALRVCKAIAKLLALALDMDADYFDSPEMLGKPITTLRLLHYEGKSDPSKGIYGTGAHSDYGMMTLIATDGVLGLQICKDRNAKPQKWEYVPSIEGALVVNLGDMMQCWSNGLFRSTMHRVILNDQNRYSIPFFLEPNHDCIIECLPTCQSESNLPKYPAIKCSTYITQRYEEVHANFRSYEKHA >A07p022010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12921301:12923067:1 gene:A07p022010.1_BraROA transcript:A07p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEHATGWGMKRDVDDSDDVERFVLTWKGHSVVEKFEGPKMPMGQRRHVVRTYTLELNKSYFDKLIVATVKKENISLHNTLLRGLIKNVCVSNTPPLIKNGLEETSLGFNSLCNDLPKSSPRKGRTQQRFSKDCNGKSQITEVVSSSFKQQWSMEDGEEVDQLIRCWRSQPIEALFGVNLKDVIKRRRHKKLEEEMEEEGVEVSVGFANSLNAELSVFLQKLIKPCLEIAVSKSSRRGEVCSSSVYIVDFK >A08p018230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12617505:12617921:-1 gene:A08p018230.1_BraROA transcript:A08p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNQKDRNTRITQKAFEMVDKVYGKSQKVTPPVLNVPRDEFARSFYQNFHEYGGPKVYTVREATSTASCRRVIYQYSNESTTKEPVVSHPKEHIQYFGGASPLMGHGNRLEGPKGRAISCDEAVQLYGGVLIKEYRH >A08p014110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9448131:9449808:1 gene:A08p014110.1_BraROA transcript:A08p014110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKPNDKSIISSSDDNSDKKIRSNPLLSAAVKAKGKAHVSSDDNREVMFFNEVSLGPQEADLRFRLIHFWEARNPLKKTLIGLEMLLIDEQGTVIQGFVPPGRIKKFLPHMRQGSLYTLASFYGSRSKMCSDSELAPLENSPVAFEEDRFRFHSYEDFEAGCDMKGDLFDVIGHLKLANSQSLSEHPFIDDAELATTRRIFVHVQSHEGPVMKLYLWDQVAFDFCKKFRSSENTPSVIFVTTVYPKRLGGTLALTSMASSRVFLDYDVQPTKEYIRWLGSNPDIAKQVNADVVTKIVTLTIGEIFSYMKQEAAKV >A10p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12735529:12736148:-1 gene:A10p018150.1_BraROA transcript:A10p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELYDSFDSEREHIHRSIKHSKNVDYSKVKRSHHHRSRKHDKYILIHHMMTITTDPDSVYASTRTRQTMSMVIDTVLVNVRELVGEHLSIYLEGIKATPLCKGPSLEMLGSRDEVKSV >A08p018270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12639878:12640384:1 gene:A08p018270.1_BraROA transcript:A08p018270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFHLLLFLSHFLFFTTYTTLTSAKSQIVDCTMCTSCDNPCQPNLSPPPPTPSPPPPATTTTTACPPPPRSGGSGSGGGPYYYYPPPSQSGSYRPPPSSSGDGGYYYPPPKSGGNYNPYTPPPNPIVPYFPFYYHSPPQQSVVSAGSDVKVKLSYVFSLVIIFALYL >A03g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30816112:30817384:1 gene:A03g509530.1_BraROA transcript:A03g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVAFRHPFRYKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNDTTRVKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAALASKQE >A03p068970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30239703:30244480:-1 gene:A03p068970.1_BraROA transcript:A03p068970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTFSLSLFSIPNPNKLSKPLCNPVHLLPLHSLNRYLALHALQHRGQEDAERVLRKKFRNSTSLDLREILAHVQQLLREVGIVTVSKDKVLQTIIGVGLVSEVLNESKLDQLPRDIAIGHVRYSTAGSSFLKNVQPFVPGYRFGSVGVAHNGNLVNYTKLSQCNAFSNTFTSLFLFRYFLSFTLKNRGITAAMPNVLHAHHSHLFFSLHPPISAVHCKTEAFHFPQSMAPVNLRTKLSVRRTVKCMANPRRVKMVAKQIMRELSDMLLTDTILQHAVLPEAALGARGGPRNTYSLSFTMPQCTFGPPLLGADRYLSSLTTISDVEVSNDLQIVKVYVSVFGDDRGKDVAIAGLKSKAKYVRSELGKRMKLRLTPEVRFIEDESMERGSRVIAILDKIKAEKGSEGGSETSDSPEDDQDWGEDDPDEDIIYSDISIHISRQISIIQRPDLRSKSQTSTCLPSAAMKQLLSSASSALQPHLSSPSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A10p018510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12950592:12951936:-1 gene:A10p018510.1_BraROA transcript:A10p018510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENPSNGVDVDTSHDSDSNDNRKASDLTNHDSSMALTVPSTAVCLGRFAGDAAGGAVMGSIFGYGSGLFKKKGFKGSFADAGQSAKNFAILSGVHSLVVCLLKKLRGKDDAINVGIAGCCTGLALSYPGAPQAMLQSCVTFGAFSFILEGLNKRQTALAHSVSSRHDQTRSLKDDLPLSLALPIHEEIKGAFSSFCKSLTKPKKLAFPSSR >A01p005680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2959772:2963616:-1 gene:A01p005680.1_BraROA transcript:A01p005680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 8 [Source:Projected from Arabidopsis thaliana (AT4G32810) TAIR;Acc:AT4G32810] MASLITAKAIMMSHHHVLSSTRITTLCSDKANDNQQRKTKLHISHRFFSRRTISAAVINSASPVPKKETVEDERRCHVAWTSVQQEKWEGELTVQGKIPTWLNGTYLRNGPGLWNIGDHDFRHLFDGYSTLVKLEFDDGRIFSGHRLLESEAYKAAKKHKKLCYREFSETPKPLRNNFKNPFSGVGEIVKLFSGESLTDNANTGVICLGDGRVMCLTETQKGSILVDHDTLETIGKFKYDDGLCDHMIHSAHPIVTETEMWTLIPDLVKPGYRVVRMEAGSNKREVVGRVKCRSGSWGPGWVHSFAVTENYVLIPEMPLRYSVRNLLRAEPTPLYKFEWCPNDGAFVHVMSKLTGEIVASVEVPAYVTFHFINAYEEDETGDGKPTVIIADCCEHNADTRILDMLRLHTLRSSHGDDVLPDARIGRFRIPLDGSKYGKLETAVEAEKHGRAMDMCSINPLYLGLKYRYVYACGAKRPCNFPNALTKVDIVEKKVKIWHEHGIIPSEPFFVPRPGATHEDDGVVISIVSEENGGSYAILLDGSSFEEIARAKFPYGLPYGLHGCWIPKH >A08p040340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23014055:23014629:1 gene:A08p040340.1_BraROA transcript:A08p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:10 kDa chaperonin, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G14980) UniProtKB/Swiss-Prot;Acc:P34893] MMKRLVPTFNRILVQRVIQPAKTESGILLPEKASKLNSGKVIAVGPGSRDKDGKLIPVSVKEGDTVLLPEYGGTQVKLGEKEYHLFRDEDVLGTLHED >A03p051140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20652935:20653114:-1 gene:A03p051140.1_BraROA transcript:A03p051140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSYEENIKPDHEKPDLENQDAHGLSTASQLRGRQHKRQLNSDPTQGDVIPNISQI >A08p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21587822:21590035:-1 gene:A08p036910.1_BraROA transcript:A08p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNGSMNLSSIKTTIFNHRQTSFSSAVPRTTSLRISAVQTDPKPPTSSTVTKSVEVNVSKSKWTPESWKKQKALQQPEYPDLAELEAVLDTIESFPPIVFAGEARLLEERLGQAAMGEAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDSFEERNGVKLPSYRGDNINGDTFDSKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTERSEQGDRQLDGAHVEFLRGVANPLGIKVSDKMDPNELAEVKAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELSFIIAERLRKRRIKSQQAFSV >A08p008310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4916287:4917429:1 gene:A08p008310.1_BraROA transcript:A08p008310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETDLSFGRSLLSLRRDQVHLMDPTEPMSMEVELDSFQRQVAEKFIDLNASANDDELLSLEWIGKLLDSFLCCQEEFRVIIFNHKSQLLKQPMDRLIEDYFERSVKALDVCNAIRDGIELIGQWQKLIEIVLCALDASHGQLGEGEIHRAKKALIDLAIGMLDEKDSSNSLAQRNRSFTRNKDNNQHTGYLRSLSWSVSRSWSAAKQLQGIGNNLATPRASDVMATNGLALTVYTMTSILLFVMWVLVAAIPCQDRGLQVHFYFPRHFQWAVPVMSLHDKIMDESKKRDKKKACGLLKEINLMEKNTRMLSELIDSDNFSLTDDNALEVKERVEELMQVRESMKEGLDPFERKVRDVFHRIVRSRTEALDSLGKVHDQE >A08p010770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8774282:8774799:1 gene:A08p010770.1_BraROA transcript:A08p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFRSETGLEDSQKTFQNTLGKSSNTFYARKLPTKSSGSVPKSSAQSGIHFEYVVQMNDVKWSPSLSMWRNYI >A09g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20874211:20875012:1 gene:A09g507080.1_BraROA transcript:A09g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSTTYSELANVFRIVQQVHGGAPGMSTPYLTSFSLPEEIVPPGTKAFPVMATSHTKVSEPIKAVEPVRGPNTSHGQEALPHHARPLEYVTRTFVPGEQLRVNIERDRRLEEGTAAQVLVRCRIISPNWWRSWSSTAPARRKGTYDVKLGSWKREGIQYKKNGVSAFFLVEWYLCSR >A07p048060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25693640:25694723:1 gene:A07p048060.1_BraROA transcript:A07p048060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKPEMRPCLTCNWSPVLPTSLPNSDRVQRDLINERKRKRLESNRESARRSRMRKREHLVGLTTQLEQLRKEIRKATTEVDVTTQHYTKMEAKNSVMRAQVTELNHRLNSLNQIIAFPESYSSAAGGFGTVTGGTAAGGFGMETALSAAGGFGMETAPSAAGGFGMATAPSAAGGFGMATAPSAAGGFGMATAPSAAGGFGMATAPSAAGGFGFGMATAPSAAGGFGMATAPSAAGGFGMENAPSAAAGGFEMETGYTAAGGFGMEAGQGGGGGFYDDGWSSGGGGGFYDDGWSSGGGGEFFDGAMDPFDLGFYDEPPNMASGSTGVGDVLNRW >A07p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13156637:13157333:-1 gene:A07p022530.1_BraROA transcript:A07p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKQTRFPVHLSRSELSSKQQLMEPWLHHEKRLHVLRSFTTSNQLKHQCITIVPMLTCATKPEGEKTRADPEPLHLDRTGVDPHVLPPEPPLSSPPSINTYRRVVWRALVSAS >A10p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1000738:1002941:1 gene:A10p002040.1_BraROA transcript:A10p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTSVYRSLTQIFPQVDARMLRAVAIEHPKDPDEAASVVLSEILPSLPTDSTQSLSKSSPSIPEREVERDLEDVVSKYHHDGLLVASASKSTSVYSSSSSETIPLVTGRGHDDTSAPSTLPNVGPGVVCHKDVESEEQVQSLGKAPGQELGSYDFFGKCFDVPILPPPQIDLLHVTEDDLASVFPAVPRDGVESTRDFWQKLGFHMTWNQAEDSITSIGSLNAAEKGSCFQVGTGSTHDQSSKSSVTSVNGDTEVGCAFSSSTHGCSVDHLEEIIEDAKTNKKTLLAVMDSVTNLMKEVELEEKDAEKSELEAARGGLDTLEKVEEFKKMLKHAKEANDINAGEVYGEKSILATEAKELENRLLNLSEERNKSLAVLMRETLEIRLAAALEMKKAAEQEKKAKEDSALKALAEQEAIMEKVVQESKLLQQEAEQNSKLREFLMDRGQVVDSLQGEISVICQDVKLLKEKFDNRVSIPKKAATSSLTSSCGSSVRSLVLETLAEPLNGMLETSSSNNKIPEEEEASSTMNKLKNDCRELLEDGWDIFDKEIEL >A06p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6262914:6266108:-1 gene:A06p013820.1_BraROA transcript:A06p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKMLFCLPEGDALFNPLNTMFIQMACILVFSQFFYLFLKPCGQAGPVAQILAGIVLSLLTIIQKVHDFFLQKDSASYYIFFSFLLRTCFMFLIGLELDLDFMKRNLKNSIVITLGSLVSCAIIWIPFLWFLVRFLHIKGDILTLYVAFLVTLSNTASPVVIRSIIDWKLHTSEVGRLAISCGLFIEMTNIFIYTMVIAYISGKMTGDIFAYTFATVVIIFINRFLASWLPKRNPKEKYLSKAETLAFFILILIIALTIESSNINSTGFVFLIGLMFPREGKTYRTMINRLSYPIHEFVLPVYFGYIGFRFSVHSLTKRHYLVLCMIVALSMVAKLLGVLCACLFLKIPKKYWLFLSTILSVKGHIGLVLLDSNLTYKKWFTPIIHDMLIATLVITTLLSGVISSLLLRTQEKGFSHQKTSLEFHDTKEELRVLTCVYGVRQARGLISLISALNGASSSPFTPYLMHLIPLSKKRKTGLLYHELDEDGVNSTGGDDEFGTNEGLEINDSIDSFTRDRKVMIRQVKRVALMQNMHEEICNGSEDLRVSIVFLPFHKHQRIDGKTTNDGEAFRDMNRKVLKQAQCSIGIFVDRNITGFRQLHGFESVQHVAALFFGGPDDREALSLCQWLINNAQIHLTIIQFVADGSETENLVGDAVTKENNDVLMEIVGNDQTNDETDRSFLEEYYNRFVTTGQVGFIEKRVSNGEQTLTILREIGEMYSLFVVGKHRGDCLMTSGMNDWEECPELGTVGDFLASSNMDVNASVLVVQRYRHSFEIFVDE >A06p021750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10824344:10826040:1 gene:A06p021750.1_BraROA transcript:A06p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAASLASGPDGKKRRVTYFYEPNIGDGSQRVSQQISTTHNLIRSYHLHNDMDIVRPSLAKDSDFVQFHSPEYIACLATLTPEYVDMANKSESVAETTLELFDLDEWDTPFFPGLIDYCRLYAGGSICAAAKLNRSEADIAINWSGGMHRAKRDEARGFGYVNDVVLGILELLKVFKRVLYIDIGYYHGDAVQEAFYKTDRVMTVSFHESVVHCRGDITDNGVEKGEYYSLNAPLKNGLDDASFVNLLVPVIHKAMEVYQPEAIVLQCGPDSLAGDALGKFNLTIEGHGACLGYIRSFNVPLMLLGGQGHTLGNVARCWCYETAVAVGKVIDDDLDTNVPDACFAPGYQLHIEPNRMKNLNTDEYIAKIKKTLLNQLSQVIHAPSVQFQDTPPISQVTEAAEEDMETR >A08p010760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8764264:8771494:-1 gene:A08p010760.1_BraROA transcript:A08p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKS6 [Source:Projected from Arabidopsis thaliana (AT1G41830) UniProtKB/TrEMBL;Acc:A0A178W7S3] MMAVGRSGGTVLLLCYSFFALVTAESPYRFFDWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVHNSLDEPFLISWNGVQNRRNSYVDGMYGTTCPIPPRTNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPGIPVPFADPAGDYTVLIGDWYKSNHTDLKARLDRGRKLPSPDGILINGRSNGATLNVEQGKTYRLRISNVGLQNSLNFRIQNHRMKLVEVEGTHTMQTMFSSLDVHVGQSYSVLITADQSPRDYYVVASSRFTGKIITTTGILRYSGSSTSASGPIPGGPTIQIDWSLNQARAIRTNLTASGPRPNPQGSYHYGLIPLIRTIVFGSSAGQINGKQRYGINSVSFVPADTPLKLADFFKISGVYKINSISDKPTGGGLYLDTSVLQVDYRTFIEIVFENKENIVQSYHLNGYSFWVVGMDGGQWNTGSRNGYNLRDAVSRSTVQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQLYLRVFTTSTSLRDEYPIPKNSRLCGRAKGRHTRPL >A10p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17699623:17707568:-1 gene:A10p028580.1_BraROA transcript:A10p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGNPRLNLASFVTTWMEPECDKLIMSSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPFDKPNIVTGESLMWKTPTHGRLMELSAMDSPLRRRGMRLDLENHLKLGPQQFVETRDHIFLSCRFSLHLWAEVFSRLSPRQAPFITWAELLSWCRFRSPSATPTLKKLVAQVLIYHLWRQRNSALHNNTHLLQAETFRLIDRDVCWEKFARYFEVELKEVKLSEGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVIKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYRNVMENCRENMVVLREGLEKTGRFNIVSKDEGVPLVAFSLKDSSSHTEFEISDMLRRYGWIVPAYTMPPNAQHITVLRVVIREDFSRTLAERLVIDIEKVMRELDELPSRIIHKISLGEEKSEVNGDNLMVTVKKSDMEKQREVINGWKKFVSDRKKTNGIC >A04p001100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:555827:558576:-1 gene:A04p001100.1_BraROA transcript:A04p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDGDDLRTPLSRSYNDELYTELWKACAGPLVEVPLAGEKVFYFPQGHMEQLVASTNQGIESEEIPDFKLPPKILCRVLSVMLKAEHDTDEVYAQITLKPEEDQSELTSLDPPLVEPTKQMFHSFVKILTASDTSTHGGFSVLRKHATECLPALDMGQATPTQELVTRDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVSSKRLVAGDAFVFLRGENGDLRVGVRRLARHQSTMPTSVISSQSMHLGVLATASHAVVTKTIFLVFYKPRISQFIVGVNKYMEAMKHGFSLGTRFRMRFEGEESPERMFTGTIVGIGDLSSQWPASTWRSLQVQWDEPTTFQRPDRVSPWEIEPFLSSPPVSTPAQQSQPKCKRSRPVESSVLTPAPPSFLYGFPQSQETPSPPPPSASSCYRLFGFDLTSNPPAPIPPDKCQEPITPVAANEPKKQQKQRTRTKVIMQGVAVGRAVDLTLLKSYNELIKELEEMFEIKGQLLTREKWVVVFTDDEGDMMLAGDDPWNEFCKMAKKIFIYSSDEVKKMTTMLKSSSSLENDESMD >A08p011650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:137814:138428:1 gene:A08p011650.1_BraROA transcript:A08p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWDMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSES >A01p052770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29578512:29579663:1 gene:A01p052770.1_BraROA transcript:A01p052770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHLNPLFSSNREMMYPVEANGLVYTNQIRYNTLPAATMPFNPTMECQTSTFNPVYNTSPVDFLVHQSMKPTIHSVDSSVTYNSDNNNGNNVNYLPPVSSLRKRPREESVVENPMPSQKRCTDPLMFLGQDLSSNVQHHNLDIDRLISNHVEIMRMGIEEKRKEQGRKIMEAIEQGLMKTLRAKDEEINHIGKLNLFLEEKVKSLTVENQLWRDMAQSNEATVNSLRSNLQQVLAAVERNRWEEPTTADDAQSCCGSSDEGDSTMMRTVSSTMCRSCGKGEASVLLLPCRHMCLCSVCGSSINTCPICKSPKNASLHVNLS >A07p013850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7248979:7250264:-1 gene:A07p013850.1_BraROA transcript:A07p013850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) UniProtKB/TrEMBL;Acc:Q8GYJ9] MAVTSSSTLLLRNLPTVGRIAQSVRANSRIFSTGEMIRVLPRIASPRSISSTRAAHNEEAAAKAASDTGAPTIFDKIIAKEIPSDIVYEDENVLAFRDINPQAPVHVLVIPKLRDGLTTLGKAEPRHIEVLGQLLHASKIVAEKEGIVDGFRVVINNGAEGCQSVYHLHLHVLGGRQMKWPPG >A02g511920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32225304:32226117:-1 gene:A02g511920.1_BraROA transcript:A02g511920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLICFNPYVDSPHKLYAGNLGWNLTSQGLKDAFANQPGVLGAKVVYERDSGRSRGFGFVSFGTAQDLQSAMSAIERRYKDTVGIYDQLQSRHIAGKVDQAWRTDGFNTYQWLKPSTGLLLKDVCLVEADVCVHGITSANKRTTIATQVSLGLWTF >A08p029150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18077733:18080753:-1 gene:A08p029150.1_BraROA transcript:A08p029150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPASKAAEVAIGSIGCGYDLAIDVRLKYCKGGSKESRLLDIKDGDDSCDIVLPGGISIPNVSKSIKCDKGERMRFSSDVLSFQQMAEQFNQELSLAGKIPSGLFNAMFEFSGCWQKDAAYTKNLAFDGIFISFYTVALDKSHMLLRDHVKQAVPSTWDPAALARFIDTYGTHIIVGVKMGGKDVIYAKQQHSSKLQPDELQKRLKEVADKRFVEASGVQNMASDRMHPSSKVEAKEQRLRFADTNSLGSYANKEDIVFMCKRRGGNDNRNLMHNDWLQTVQTEPDAISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPITELHQFLEFQLPRQWAPVFSELPLGPQRKQQSCASLQFSFFGPKLYVNTTPVDVGKRPITGMRLYLEGRRSNRLAIHLQHLSSLPKIFHLEDDPNKTMRQASHDRRYYEKVNWKNYSHVCTAPVEADDDLSVVTGAQLHVESHGFKNVLFLRLCFSKVMGATSVKNSEWDEAVGFAPKSGLISTLISHHFTAAQKPPPRPADVNINSAIYPGGPPVPVQAPKLLKFVDTSEMTRGPQESPGYWVVSGARLLVEKGKISLKVKYSLLTAIMEDEVIEESYGG >A06p033140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17743115:17743660:1 gene:A06p033140.1_BraROA transcript:A06p033140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWDSRAFCSSTVTYKDICMLPWKHTSSEDATRFYSLGLQALGIQVCGAAGQELVSTSSFLPETSRHHYYFCFGCQWNLPAFISE >A08p019410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13250403:13252330:1 gene:A08p019410.1_BraROA transcript:A08p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNPFDLLDDDAEDPSQLAAAKPLKVEKAAPAQPAKLPNKPLPPSQAVREGKNGLGGGRSGRGRNGGFNRNNDAPANENGYGGGYRRSEEGDGGRRGGSVGGYRGRGGRRGGYNNGESGDFERPRRNYDRQSGAAHGNECKRDGAGRGNWGTTEDEIPPVTEESATVVEKDLAVEKEGEATDANKETPIEAQAEKEPEDTEMTLEEYEKVLEEKRKALQSTKVEERKVDTKVFDSMQQLSSKKSNNDEVFIKLGTEKDKRPVEREEKTKKSLSINEFLKPANGESYRGAYRGGRGGRGPREGADRGGRGLREGADRGGRGPREGADRGGRGPRGADRGGRGPRRDNGGGEQKAAAPKFVAPAPKIEDAAQFPTLGK >A08p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15004213:15005649:1 gene:A08p022770.1_BraROA transcript:A08p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTKMSNSTHRRRVPTPVGNGGRSLRMRRTASRCVSDKNRSKSINQVFERSFSDTSLDFRRDGDGSCMRRSSPLSGLPTEESGPIFYLPRIRSEVMASSPSLLRFSSPSSPFPTNQEENKREATKVVINVAVEGSPGPVRTMVKLSCNVEETIKLVVEKYCEEGRTPKLDKGAAYELHQSHFSIQCLEKGEIIGEIGSRNFYLRKGTHETGVSFAGIAPMRMSFIPSSNMIESCIAQFIGKILRRTRKLWNILVCTQ >A08p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22428250:22439776:-1 gene:A08p038840.1_BraROA transcript:A08p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTWFNDDGMKKGEWIAEEDEKLIAYINEHGMCDWRSIPKRAGLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEKIIKVHGVLGNRWAAIAKHMENRTDNDIKNHWNSCLKKRLSRNGIDPMTHELVNNNLTVTTTYVECGSSSTTTWPTRENHCSSTPSGLVCVLNKLAAGISSRQYDLNIIKNILLDPRITSSEQDEEEVLKRDQEIGGCEEEDFLIWDDEEVRRYMETDDIEYETTPYVSLLWATIAQQMPGRSDNDIKNHWNSCLKKRLERNGIDPMTHQPIINLDVKTQSFNTDFGSSSCSTASPSSSSFSSSSARLLNRIATGISCRQHGVDRIKNILSDPTITSINGEEEGFEQLKIDHGKMLASDDQEDDFLMWDEEKTRHFMEEIGAMDFHTNGVYNPSSSAQYSVYETGLLDDHLI >A07p007950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4893432:4893793:1 gene:A07p007950.1_BraROA transcript:A07p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNNTLISNLTESSNNVEAWEKNTTKRHLIVAHPNHYFGYFDDDIWSIQMIPSDTMHWFDKEYTT >A06g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17980865:17981806:1 gene:A06g506390.1_BraROA transcript:A06g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSDRARAIIRSLRSDRALPNIDMTPVYAFSSNLQMLSPEDRSRLKIVVNVSSRKAAQRDLKHDSRPILRFLNQKPINHSTVYAWFTRKDKCQVSADKYGTATQLGLAVLVLLELGISPTALEPRLIPCYIRVLWEIKVFLVSLFKRKSTVRISVPTTTIINKQNIFITYNLSSLKLF >A01p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1758688:1769956:1 gene:A01p004080.1_BraROA transcript:A01p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase 2 [Source:Projected from Arabidopsis thaliana (AT4G34710) UniProtKB/Swiss-Prot;Acc:O23141] MPAVACVDTGYVFSDDGSLPTAADAFIPASPTSSRWSPSLSASLYRIDGWGAPYFAANSSGNISVRPHGDDTLPHQDIDLMKVVKNVTVPKSSGGLGLQFPLIVRFPDVLKNRLECLQSAFDSAVKSQGYGSHYQGVFPVKCNQDRFIVEDIVNFGSPFRFGLEAGSKPEILLAMSCLCKGNPDAFLVCNGFKDAEYVSLALLGRKLAFNTMIVLEQEHELDLVINLSQKMNVRPVIGLRAKLRTKQTNHYGSYSGEKGKFGLTSTQIVSVVAKLSESGMLDCLQLLHFHIGSMIPSSSLLSHGVSEAAQIYCELVRLGAHMKIIDVGGGLGIDYDGSKSGEPDQSVTYTLDEYAEAVVSSIRYVCDRRSVKHPVICSESGRAIVSHHSVLIFEAASDVKPVAHQPKPDDIQFLLQREEDLYAAVMRGDQESSLLYVEQLKQRCLEEFKEGVLSIEQLVSVDGLCEWVLKAIGGSDPVQTYNMNLSIFTSTPDHWGMGQLFPIVPIHKLDQKPGARGVLSDLTCDSDGKIVKFIGGESSLPLHEIDSYGGGGRYLLGLFLGGSYEETMGGVHNLFGGPSVVRVSQSDGPHSFVVTRAVSGQSSSDVLRAVQHEPEIMLQTLKHRAEEVMHTKGGGEEEEEDENEYKNMPADVFIPASPTSSSSDSSSRWNPSLSASLYRIDGWGAPYFTANSSGNISVRPHGSDTLPHQDIDLLKVVKHLTDPKASGGLGLQLPLIVRFPDVLKNRLECLQSAFDSAVKSQGYGSYYQGVYPVKCHQDRFIVEDIVNFGSPFRFGLEAGCKPEILLAMSCLCKGNPEAFLICNGFKDAEYVSLALLGRKLALNTVIVLEQEHELDLVIDLSKKMNVRPVIGLRAKLRTKQTNYYGSNSGEKGKFGLTSAQIVCVVAKLRESGMLDCLQLLHFHIGSMIPTTSLLSDGVSEAALIYCELVRLGAHMRVIDVGGGLGIDYDGSKSGEGDISVAYTLEEYAEAVVTSIRYVCDRRSVKHPVICSESGRAIVSHHSVLIFEAVKPITHQPKPDDIQLLLEGEEDLYTAVMRGDQEKSLLYVDQLKQRCVEGFKDGVLNIEQLGSIDGLCEWVLKAIGRSDPVQTYNINLSIFTSTSSHWGMGHLFPIAPIHKLDQEPKACGILSDITCDSEGKIVKFIGGESSLPLHEIDSNGRYFLGLFLGGAYEESMGGVYNLFGGLSVVRVSQSDGPHSFVVTRAVPGQSSSDVLRAVQHEPELMLQTLKHRAEEVMHTKGGGEEKEEDEYKNVAACLDRSFHNMPYLATEEGINE >A01p007950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3842314:3843603:1 gene:A01p007950.1_BraROA transcript:A01p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAE1 [Source:Projected from Arabidopsis thaliana (AT4G30440) UniProtKB/TrEMBL;Acc:A0A384LBP5] MPSIEDELFPSTPGKFKIDRSNRQLHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYLTASWGGIQWEKQVRTSAQIHRSGGISVLVTGATGFVGSHVSLALRKRGDGVVGLDNFNNYYDPSLKRARRSLLSSRGIFVVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYALENPQSYVHSNIAGLVNLLETCKSANPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLAITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRVDLARDFTYIDDIVKGCLGSLDSSGKSTGSGGKKRGSAPYRIFNLGNTSPVTVPILVDILEKHLKVKAKRNFVEMPGNGDVPFTHANISSARKEFGYKPTTDLETGLRKFVRWYLSYYGYNTKAKLVH >A09p066500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52763544:52765911:1 gene:A09p066500.1_BraROA transcript:A09p066500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDDESNGPMMELCTNGGGEETSNRRPIITGEPLDIEAYAAMYKGRTKIMRLLFIANHCGGGNQTMQLEALRMAYDEVKKGENTQLFRDVVSKINGRLGDKYGMDSAWCEMIDRRAEQRKGKLENELSSYRTNLIKESIRMGHNDFGDFYYACGLLNEAFKNYIKTRDYCTTAKHIIHMCMNAILVSIEMGQFSHVSSYVNKVDQNPETLDPIVAAKLRCASGLAHLELKKYKLAARKFLDVNPELGSSYNEVIAPQDVATYGGLCALASFDRSELKAKVIDNISFRNFLELVPEVRELINDFYSSRYASCLEYLGSLRANLLLDIHLHDHVDTLYDQIRKKALIQYTLPFVSVDLSRMADAFKTSVSGLEKELEALITDDQIQARIDSHNKILYARHADQRSATFQKVLQMGNEFDRDVRSMMLRANLLKHEYHAKGRKP >A03p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16856132:16858304:-1 gene:A03p040460.1_BraROA transcript:A03p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIKDGFLSCSKCGRESCGFMYRCATKKNRGNFFKCSFHLKSKSATPVLIKCKFDTHPLTVHYSQLFPRTRWALNYKVCEVIINYQECPTGFVGRYITDVTVSAKTNWFSRIREMIISTHVHCCVCDFRFHIGCSTISLESNQTKNRPETHNHTLNLEVNFMNIKEGFFCCSKCGRASCGFMYRCYEKDCKFTMDATMQDSGACCNQCDFTLKPKRATLPVLVKYKYDPHPLTLEDDYPYCMGLHPSDQDLYGCTECKTVICVECAIGKYPYLKSGITINVKGFEIETASNSSLSRPICPEACLQCIEKDIRFRPRIILRFLEPQNLK >A01g511470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33222525:33226115:-1 gene:A01g511470.1_BraROA transcript:A01g511470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSRRLEDQPNNPQVVEQAENIVPPPPPQTATRRRGGGRGRGNAALAKAAVPPRPTAAAGRGRGIRLRDLEPEPCEVLPAAGALGATEPALNRVEGVADQDIAAEGGGSPEKIAGMEDDSSMGPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGSDRIGADAIEVALKLEHRNSKGCNFGPPYEWQVYKSWTCSALVSGMFGTLWLNLEAISILEKLHMKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASRWKDSHSGQHVEYDQRPDVFRGTIRYASCHAHLGRTGSRRDDLESLAYTLIFLMRGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEAVTNMKFDEEPNYAKLISIFDTLIETCAISRPIRIDGALKVGQKRGRLLINLEEDEQPRKKIRIGSPATQWISVYNARRPMKQRYHYNVAETRLQKHVEKGTEDGLFISCVASAANLWALIMDAGTGFSSQVYELSTVFLHKDWIMEQWEKNFYISSIAGANNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSEQVVELDFLYPSEGIHRRWESGFRITSMAATADQAALILSIPKRKITDETQETLRTSAFPSTHVKEKWTKNLYLASICYGRTVC >A04p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15617533:15619871:1 gene:A04p025780.1_BraROA transcript:A04p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe(3+)-Zn(2+) purple acid phosphatase 12 [Source:Projected from Arabidopsis thaliana (AT2G27190) UniProtKB/Swiss-Prot;Acc:Q38924] MSSRSDLTIMRVCFIIFLLGVLVELCDGGITSGYVRSRRGRRLDPPLGLLRGSDLPDDMPLDSNVFIPDDRPLHSDVFLPDQKNKDSDVFVPDDMPLDSDVFKVPPGPNTPQQVHITQGNHEGNGVIISWVTPSAPCSNTVRYWSENGKLKKLAEATINTYRFFNYTSGYIHHCLIDDLEFDMKYYYEIGSGKWQRRFWFFTPPKPGPDVPYTFGLIGDLGQTYDSNRTLSHYEMNPGKGQAVLFLGDLSYADLYKFHDNNRWDTWGRFVERSAAYQPWIWTAGNHEIDFVPDIGETEPFKPFTNRYHTPYKASGSISPLWYSIKRASAYIIVMSCYSSYGVYTPQYKWLQQEFQRVNRTETPWFIVLVHCPFYHSYVHHYMEGETMRVLYEQWFVKSKVDVVFAGHVHAYERSERVSNIAYNIVNGLCEPISDESAPVYITIGDGGNSEGLLTDMMQPQPSYSAFREPSFGHGLLDIKNRTHAYFNWNRNQDGSSVEADSVWLLNRFWRAPKKTMVVAS >A05p004760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1839004:1841919:-1 gene:A05p004760.1_BraROA transcript:A05p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQSSELSENNGESESPTLRLCANGACMKTTEVEAKLDEGNIQDAESSLREGLSLNSEEARALLGRLEYQRGNVEGALRVFEGIDLQAAIQRLQVSAPPPEKPSTKKKVPREQPQQSASQHAANLVLEAIYLKAKSLQKLGRTTVEKIFQQGIPDAQVDTRLQETVSHAVELLPALWKESGDYQEAISAYRRALLSQWSLDNDSCARVQKDFAVFLLHSGVEASPPSLGSQVEGSYVPRNNLEEAILLLMILLKKFNQGKAKWDPSVIEHLTFGLSLCSQTSVLAKQLEEVMPGVFSRVERWNSLALCYSAAGQTSAAVNLLRKSLHKHEQPDDLVALLLASKLCSEEPSLAGEGAGYAQRAVNNAQGMDEHLKGVGFRMLGLCLGKQAKVPTSDFERSRLQSESLKALDGAIAFEHNNPDLIFELGVQYAEQRNLKAASRYAKEFIDATGGSVLKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKISQSNPTEAVETYRYLLALVQAQRKSFGPLRTLSQMEEDKVNEFEVWHGLAYLYSSLSHWNDVEVCLKKAGELKQYSASMLHTEGKNQNTLLTTLLTSLCRMWEGRKEFKPALAAFLDGLLLDESSVPCKVAVGALLSERGKEHQPTLPVARSLLSDALRIDPTNRKAWYYLGLVHKYDGRIADATDCFQAASMLEESDPIESFSTIL >A09g515140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45191365:45192885:-1 gene:A09g515140.1_BraROA transcript:A09g515140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHVNFNNLGGGGGGEGSNQMKPTDNAYPLARQSSLYSLTFDELQSTLLGGPGKDFGSMNMDELLKSIWTAEEAQAMTMNPSSTATAVAQPGGGIPLQRQGSLTLPRTISQKTVDEVWKCLFTKDGNMVGSSGGGSESNAPQRQQTLGEITLEEFLLRAGVVREDNNNNNGFYSNNGAPGGLGFGFGQPNQNNISFNNGTNDSMILKQPPHQQFQQQLQPRQQLNQPPHPPPHQRMPQTIFPKQANVAFAGAGNNAGNNNNNGLGSFGGGGVTVAATSPGTSSAENNSLSPVPYVLNRGRRSNTGLEKVIERRQRRMIKNRESAARSRARKQAYTLELEAEIEKLKKVNQELQKKQAEMMEMQKNELKESSKQPWGSKRQCLRRTLTGPW >A02p017360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7835699:7836873:-1 gene:A02p017360.1_BraROA transcript:A02p017360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTNRRRRPKQHKVTLEDSEEVSSIEWKFINMTEQEEDIILRMYRLVGDRWDLIAGRVPGRQPEEIERYWIMRNSDSFAEKRLQLHHSSSHKNNKLHRPRPSIYPS >A03p009060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3641978:3643512:-1 gene:A03p009060.1_BraROA transcript:A03p009060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVESGVVLNHGGDELVDLPPGFRFHPTDEEIISSYLKEKVLDSRFTAVAMGEADLNKCEPWDLPKRAKMGEKEFYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKGKGCLVGMKKTLVFYRGRAPRGEKTNWVMHEYRLEGIYSYHNLPKTARDDWVVCRVFHKNNPSTTTQQMTRIPMEDLARTDSLENIDHFLDFSSLPPLIDPSFTGQPNFKPINPPTYDISSPIQPHPFNSSYQPIFNHQGFGSASGSGSGSTYNNNNKEMVKMEQSLVSVSQETCLSSDVNATTTAEVSSGPVMKQEMSMMGMVNGSKSYEDLCDLRGILWDY >A04p028990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17370967:17371984:1 gene:A04p028990.1_BraROA transcript:A04p028990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVEVEAPPRIHLDPLRGRGGLDPRSAALNGDCGVTCGVCGGLAPGLIVLYSEVFNFVVEWGFKRSLDSDLVSRCLSKVRLVPKGDGDSVLSKRARWITRLCGHSVSVVCACNRSSCCSVLVSEPLASHCLLGAVRDPGESVGSNVVVATYLRPVIPVRGGFTWTPAYSTLPCGALGLGFLLPYRAVLALKASRELFLA >A09p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18008199:18008859:-1 gene:A09p030310.1_BraROA transcript:A09p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHALQPLLLLLLSLFFLPAALGAFVNFTNCGLSNIDRGINATSVRINPYPLGIGENATFTITANSTINITAGNIELDTYTSTTVPLVLHRVRKTYSLCNVTACPVTAGLIVFNFPIVIPRNNRVTNQSEYFAIIRMYENIIYQTMCFAFTYPTRKP >A09p007700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4023295:4024611:-1 gene:A09p007700.1_BraROA transcript:A09p007700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQWTIPKLVTWRVKDWASCFLACKIPIDVEEDGVANNSNTTNNNTLMFKRTKRKIKKKRSERKLSLSPPGTRHHHHLRGSSSVSPTSSSHNRRLSLPQPPASEEPGFIVFCFDREDGGFDVVKEGKEERKEVELSSEKSPRTVQRKLIYGDQGVGKGEKNNSLEIIGTEQYHQADKTTCQETENVSCGVHDPKNEEEEDIDASDKSTGSTHSDEGRGSFAFPILGVEWMGSPVLMPTPDDLSPKKQKPIALGFQCCRF >A09p073550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56035632:56036520:-1 gene:A09p073550.1_BraROA transcript:A09p073550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSNFVVGLANTLIMLVGVSAIGYSIYMFVHQDVTNCETAIRAPLLTTGVVLFVVSLLGVIGSCFKENLAMVLYLIILIAGIVALIGFSVFLFFVTNKGAGRVVSGRGYREYQTVDFSTWLNSFVGGKRWVGIRSCLAEASVCDDLSGGPVSQIGDEFYHKTLSPLQSGCCKPPSDCNFEFKNATFWIPPAKNETVVAANNGDCGAWSNVQTELCFNCNACKAGVLANIREKWRNLLIFNVCLIVLLITVYSCGCCAHRNNRMARKTGFV >A08g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1526087:1527523:-1 gene:A08g500580.1_BraROA transcript:A08g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNNDGGLYLLAPPSNTTNPPVHNPAPPCGIPQTYLSDPAGVWLTSALQASQHGPGPWKISKMSAQVLALHLWSSISSSSSCEERRLPLYLLSVKGTKVSVSSPSSLFSLNTILLSCVAVSTGPEDANENTSGFLVGAGWSSTSQSKVTNSQLADFVVQAPLTHSSSASNPLSSSHEDLSASVYWEI >A03p014590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5792205:5792543:-1 gene:A03p014590.1_BraROA transcript:A03p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDTRILMRFAVLTMVLTAAIMVKEVTSLTLCKIDSNDMEKCRPAVTGNNPPPPVNECCVVVKSADLACFCRYKFYLPILGIDPSKVAALVAKCGVTTIPRNCRGINTYI >A03p058400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25371624:25372655:1 gene:A03p058400.1_BraROA transcript:A03p058400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMSNTVIGFLNILTLISSIVIIGSALWMGRSKTTCEHFLQKPLLVLGLAIMVLSLAGLIGACCDVAWVLWVYLFFMVFIIVALMGLTLFGFIVTNHGGGVGVTGRVYKEFKLEEYHPWLKTRVMDANYWLTIKTCLLGSLTCSKLSFWTPIDYLQKDLTPLQSGCCKPPTSCVYNTETPIQQESDCYRWNNAATVLCYDCDSCRAGVLETVRRDWHKLSIVNVVIVVFLIAIYCVGCCAFKNAKRPQHYGFPYGRYGMSKSRPGWDQSWARWWRGGDRY >A09p079090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:58304932:58307499:-1 gene:A09p079090.1_BraROA transcript:A09p079090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHLLRRSSLSQRLCSSRFLIPISHIQQRLYNISISPANEDPATTNQDSPPITYPQFPLRTTSFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSSPPPKRDPNAPRLPDSTSSLVGARLNLHNRVQSLIRASDLDAASKLARQSVYSSTRPTVFTCNAIVAAMYRSKRYADSISLFEYFFKQSNIVPNVVSYNQIINAHCDEGHVEEALEVYRYILANSPFAPSSVTYRHLTKGLVQAGRIGEAVSLVREMLSKGQAADSVVYSNVIRGYLDLGDLDKANEFFDELKSKCTVYDGIVHGTFMEYWFEKGNDKEGMESYRSLLDKKFRMHPHTGNALLEVFLKYGKKSEAWGLFNEMLDNHTPPNILSVNSETISIMVNECFKMGEFGEAIETFKKVGKNPTSRPFVMDYLGYSNIVTRFCEHGMLPEAERFFAESVGKSLPPDAPSHRAMIDAYLKAERVDDAVKMLNRMVDVNLRVVAEFGTRVFGELIRNGKVKECAEVLTKMGEREPKPDPSVYDVVVRGLCEGDALEEAKDIVSQMVGYGVGVAPVLREFIIETFEKAGRREEIEKTLNTVNRPVRNSGQSGYTAPRVPGVLGATSAAPQQPRDRAPWTSHGASIPNSGGASGTAGQTVGGPYKANNGQNPSWPNTSVNQQQKPWSNQTPVQPSPSWSSQGPGYQQQQSWSQQPGWSSPSGHQQSWATQTTGQQQQWANQTPSQQQQWSNLNTGHQQSWANQTTGQHQQWTNQNTGHQQYQNTGHHQQPWGNQTPGQQQQWTNQSAGQRSAWTGQQQPWSNQTATPQQSQWSNPSSGQVANQAPWSNSGNSHLPQQQEPESSHGWQDGEEKKVVESSK >A05p015630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6947842:6948201:-1 gene:A05p015630.1_BraROA transcript:A05p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDHEGSPPVPLHLCVFVLILLMFVTISWYASYEPVIEGFTDQLKLALMASPLLLLLAVHFLSNGEGGGMVTSLLPLNERESLYRAGGTPWGVAFMLVFLFFMVSYQSQFQERWFPLR >A06p043470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23397444:23404325:-1 gene:A06p043470.1_BraROA transcript:A06p043470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVVVALRRHRRVSPFQRVVASHNDKPTCSKPVGYISRGKDGELGRRTNTWISPFYLQHRTYSTEFTSVHGGRPTAEYAKLRRESLETEFGQALGAYSSKSFSAVYRFGPFLALYRAAIISFYVVKLTFWQLFVQDMRKRAVKFRETLISLGPFYIKLGQALSTRADILPSIYCQELSKLQDQIPPFPNNVAMRCIEEQLGAPVSKLFADISPKPVAAASLGQVYKAHLHSGQLVAVKVQRPGMSLSLTRDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYVREAKNAERFASLYSFDSANDQVNDNAAPRNMSKNHRAENIKVPKIYWNFTRTAVLTMEWVDGIKLTDEIKLKRASLDRRDLIDQGLSCSLKQLLEVGFFHADPHPGNLVATKEGSLVYFDFGMMGNIPRHYRVGLIQILVHFVNRDSLSLANDFLSLGFLPEGVDIQAVSNALRSSFGSSTRISQDFQGVMEQLYDVMYDFNFSLPPDYALVIRSLGSLEGTAKILDPEFKVIESAYPFVIGRLLADPSPDMRKILRELVICNDGSIRWNRLERLVAAISEQASVTSGDSPEDKTMKKSSELKSFDMHSVVSATEDLLLFILSEKGQRVRVFLLQDIIRVVDIFLEEEVLDLNMKKKQTINLREEGTMKRVSNGFKCLNEAVKLAPGMWTAMLLRMSRKPEVHSYALDIVSALCTHLGQKMPNNLPTAREYTPGICNSLKYRPRVILEISKTIGTTDPLPEKAEHHRFRKTSNRCFLFPYLNSAKEKEKWDIRTWYCRIRIRCGLLKPQQVEANEKFSYGYASSAGKRSSMEDFFETRIDGIDGEIVGLFGVFDGILLYHPSLIPLGTIKSESSFLAGHGGAAAAEYLKRHLFSNLITHPNFISDTKSAIADAYNHTDSELLKSENNHTIDAGSTASTAILVRDRLLVANVGDSRAVICRAGTAFAVSRDHKPDQSDERERIENAGGFVMWAGTWRVGGVLAVSRSFGDRLLKEYVIADPEIQEEKIDDSLEFLILASDGLWDVFSNEEAVEVVKEVEDPEESTKKLVGEAIKRGSADNITCVVVRFLESKNANSNADASSSQEIATNGQTVVTSDAEHNVSANETNQDHTAVLSDLDQKPTAVSAAGRSVPSEQNGSAGETNQVPSEIHRGSEPKSSSKQPSQGHITVHNNMDESVANQKPVIAEKKAIAATNTTSSEQSGSIGENNQGSIGENNQKPTAVHSDSATSKSSNVNSNHY >A06p024270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15565759:15574641:-1 gene:A06p024270.1_BraROA transcript:A06p024270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative respiratory burst oxidase homolog protein J [Source:Projected from Arabidopsis thaliana (AT3G45810) UniProtKB/Swiss-Prot;Acc:Q9LZU9] MKNNRNVDSSKQMLEGVEIDPNGENTTNSNNAESSGGGILKNVSRNLGVGSIIRSIKKSGNLGLHNTRKSGNLGPTLPVALEKKQGPQRVERTTSSAARGLQSLRFLDRTVTGRERDSWRSIENRFNQFAVDGKLPKEKFGICIGMGDTLEFAGEVYEALSRRRQMNTENGIDKEQLKLFWEDMIKKDLDCRLQIFFDMCDKDGDGKLTEEEVKEVMVLSASANRLANLKKNAASYASLIMEELDPDHHGYIEMWQLEVLLTGMVANPADNKKMVRKSETLTRAMIPERYRTPTSKYVSVTAELMLEHWKKIWVVILWLVVNACLFTWKYTEFSSNPLYNIAGRCVSVAKGTAEMLKFNMALVLVPVLRRTLTFLRSSFLSHVIPFDDNINFHKLIAVAIALTSLLHTALHLLCNYPRLSTCPYDVYSEYGGKLLGHKQPTYLGLMLTPVTVTGLLMIVFMCISFTLAMHYFRRNIVKLPKPFNVLAGFNSFWYAHHLLVVVYALLIIHGYILIIEKPWYQKTNLDMDVRGCTYGVVRERKAFLASSRTQPSCPHYQGNVLALYMTKPQGFKYKSGMYMFVKCPDISKFEWHPFSVTSAPGDEYLSVHIRALGDWTSELRNRFAETCEPPQASKPGPNNLVRMETRARGENPHIEESQILFPQIFIKGPYGAPAQNYEKFDILLLIGLGIGATPFISILKDMLNNLKPGTPKPGQRGEGSVGSESLGGSSVNGGRKFPQRAYFYWVTREQASFEWFKGVMDDIAVYDKNNVIEMHNYLTSMYEAGDARSALIAMVQKLQHAKNGVDIVSESRIRTHFARPNWRKVFSELSSKHETSRIGVFYCGSPALVRPLNSLCQEFSLESSTRFTFHKENF >A06p055530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28998976:28999671:1 gene:A06p055530.1_BraROA transcript:A06p055530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSSTHATSPFIWCAGIICAIISIAVIIGGIVIFVGYMVIHPRVPIISVEYAHLDLLKYDIVGVMQTQLTIVIRAENDNAKTPALFDKTNFKLSYEGKIIAYLKQDEFVVAKEKSVFSHYVVQSSPIPLSTQMKQAIDYAIKQDVIVFELKGGSKARWRVGPVGSVKFECNLSCELRFRPSDHNYIPSHCTSSHKH >SC219g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000075.1:5919:6443:-1 gene:SC219g500010.1_BraROA transcript:SC219g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADSINHTKHHNELELSILITFSHQTKLLKYPGTDSKHFNLLIFNNPQVFNHTTHYDQSTTQAYYQEGFKIAYTRNQVGSLNLQGDWPWLLLNLRVWIVTHLLLLQFMATFLFLQLKKILLSSSLLGTIILTAFMMNRVKKALGGGALDEVRESSSYTSASNESLHQYRQEKG >A08p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3035908:3036232:1 gene:A08p005240.1_BraROA transcript:A08p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLIAFVFTVLFIISDAHRYIPPVHAPGIGIKQTDIVCFPPDPCRHNWNVGCTSHCHDWGYFIGVCTNNECCCER >A03p065390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28850954:28852539:1 gene:A03p065390.1_BraROA transcript:A03p065390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFISASLAFFVLSIYTAHYIVELHKTTKDSAERDFVSKTSLYVSIEEEEDVSYSPLQQDYYRDSCPSAERIIRKALKEIYKARPSIAPSLIRLLFHDCFIEVRFCKTANGFLFMDCCLRICLNLDTIDSIEGCDASVLLDADESQTSEKEAPPNLSLKGLDVIAFIKSELENTCPGVVSCADTLALATKEAVSLAGGPKYSLRIGRKDSLVTFKDIAQRELPSPHATLSEILARFASRGFSPQETVSLLGAHSIGITHCTFFQDRLYNFSGTGKPDPELNTGFLQELKSKCPFSASASSPSPCPDTASAPSLPASDYHTNYGLSSENQIDGTIDLSFNNEGGYLNFGSRYYRRLLQNKGVMFSDQQLMASEETETWVRAYASDPLLFQRDFIKSMVKLSSYHVLTGPLGQVRTNCSKVLPLN >A05g503030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9079544:9080578:1 gene:A05g503030.1_BraROA transcript:A05g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRDLKFSPIDQNLVGYYLRNRVDTGKDGFITDIKLYEDEPWLLPHVKNDQFKENMWFYFVLRTRNLGSRPKRTVPGRGSSNGGTWTTSGVKKAITDRNNPKVVIGYKTELAYHKKVKGKLKGDTTGWCMTEYWLASENDAQFQEVVLCHLRDNNKMVVDESKNGDNDIFTEQQPQQGNSDDNNNRLLDFSHQQRPLIPPFEGQGLRLQTIMGYSDKATQEQQHPPISPPPQRQDSGSINNALVIMEDECVSQDEIFNLADLEAGITHPQQQHRQMMVDPYDDISFSRLAMPNNLIYHHEDSWHQDTSPWNNTNPRGLIFNSHGYEIQDQTVTKGHNQDSYY >A05p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4697557:4700049:1 gene:A05p011040.1_BraROA transcript:A05p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQKQQTESEDQPSAAKIPKHVYDQIQSHTATSTALPLFSPEPTSSKLSSLSQDSSSRFPKMGSFFSWAQWQELELQALIYRYMLAGAAVPQELLLPIKKSLLHLSPSYFLHHPLQHRPHYQPAWYMGKGAMDPEPGRCRRTDGKKWRCSRDVFPGHKYCERHMHRGRNRSRKPVETPTVNATTSTPIAPASAPATTASLFAFGGGEEVSQGGGSSCFFFSGSGSSNSSSELLHLSQSSELRQGSNNSNNKRPYESHNGFGNNISDGGHTLRHFFDDWPRSETDTGSTPMSSTTCLSISMPLNTSSDVSLKLSTGNEEEEARSNNNGRDQQNMSWWSGGGSLPNHHHMGGPLAEVLRSSSSSSPTSVLHQLGVSTQAFH >A04p006710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3574734:3575016:-1 gene:A04p006710.1_BraROA transcript:A04p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDQLLDLFASAETSKKGVASLKKGSEDNDQTAGTGKGIKSILGNLEELWDQSQYTEEYNLSHFLAKLNGQ >A06p004580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1206643:1207044:-1 gene:A06p004580.1_BraROA transcript:A06p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQILQKFDKNNDGKLSLEEFREAALAFSKNIPDEEITNMFKKFDVDGDGELDADEFTLCINNMLKEAFDFCDTDGAGKVTANEFHAAMTGLGEDFTEEKCAEMVQAVDADGDGYVSFEEFMAMIIGEFKK >A06p044420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23862762:23863346:-1 gene:A06p044420.1_BraROA transcript:A06p044420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDYSIAKNGIVWCFVLQVIIFYVESIEVGDVSFTIAMKNEMYGLKRPSVVYRCKSSEKSLRWHSSRPKTQFSWDFDVPPFGNGVVIHICHFLSSQGTAHVEIKTLSMTSMLCGGHVCKYVIKPNGIYFVGFETYYPHNILLRFMELVRPVEKLVEPWKAWSPRQLKALRAERNRTMSSDDKDYDDDDKEKDD >A09p052960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:46115956:46118700:-1 gene:A09p052960.1_BraROA transcript:A09p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPGMGRSAHLVYALGFVIMATMVAASYEPYTYSSPPPPIYNSPAPKVDYNSPPPLYVYSSPPPPPMYSPSPKVDYKSPPPPYVYSSPPPPHYSPSPKVDYKSPPPPYVYNSPPPPYYSPSPKLDYKSPPPPYVYSSPPPPPYIYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYVYTSPPPPYSSPSPKPAYKSPPPPPYYSPSPKIDYKSPPPPYVYSSPPPPYYSPSPKPIYKSPPPPYVYSSPPPPPYYSPSPKPIYKSPPPPYVYNSPPPPYYSPSPKPSYKSPPPPYVYNSPPPPYYSPSPKPAYKSPPPPYVYSSPPPPYYSPSPKPAYKSPPPPYIYSSPPPPYYSPSPKPVYKSPPPPYVYSSPPPPYYSPSPKHVYKSPPPPYVYSSPPPPYYSPSPKPAYKSPPPPYVYSSPPPPYYSPSPKPAYKSPPPPYVYSSPPPPYYSPSPKPAYKSPPPPYVYSSPPPPYYSPSPKPVYKSPPPPYVYNSPPPPYYSPSPKVVYKSPPHPHVCVCPPPPPCYSHSPKIEYKSPPTPYVYHSPPPPPYYSPSPKHAYKSPPPPYVYSSPPPPYYSPTPKHAYKSPPPPYVYSSPPPPYYSPSPKPTYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPSYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYTSPPPPPAYSPSPKAEYKSPPPPSYY >A01p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3530289:3533198:-1 gene:A01p007000.1_BraROA transcript:A01p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAEDHRIDLAELKLHIVKKIGAERSRRYFYYLGRFLSQKLTKVEFDKSCHRLLGRENLPLHNKLIHSILKNASLAKTPPFQKTKSLVLGKEDRPQQSGSLIPNHNPVLSNGVLHKVRSGKCDRPSPLGPNGKVDSLLHQPLCREDGSSNRNKENGDVGPVAYHSSGPDSGERGGPLPYHSKGKVAAQVIIRDDVAQEERGRLVIPQNPVMAPLGIVPFSGTRRTVPASTKGDFITCYDSGGLLETDMLRKRMESIAVAQGLGGVSAECSTMLNNMLDVYLKKLVKSCVDLSGARSTNGNQSLDKLQSREKVVNGMWPSNQPSEITQEQQHPVSLLDFRAAMELNPRQLGEDWPLLLEKISMRSFAEREGVGRLGAVAIPASFKICEADKGIKRESFGRDNFGFEVGASFEKTLTSLLAAFPLQFCFHISMKKEPERPSSGKPENRSKETGELRLDKPFPPLPQLENLMRLLPLFTDL >A09p031330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18936937:18938483:-1 gene:A09p031330.1_BraROA transcript:A09p031330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLFTQFPCKTVVPTSSNSKLQSKSPTLVSVNPINRRSEARTAVHRPEFKVRATDVNDEWGPDASERGSNVSVAEKEAEEAIESVEETERLKRSLADSLYGTDRGLSASSETRAEISELITQLESKNPNPAPNEALFLLSGKWILAYTSFVGLFPLLSRRISPLVKVDEISQTIDSDSFTVQNSVRFAGPLATTSFSTNAKFEVQSPKRVQIKFEQGVIGTPQLTDSIEIPESVEVLGQKIDLNPIRGLLTSVQDTASSVARTISSQPPLKFSLPADRAQSWLLTTYLDKDLRISRGDGGSIFVLFKEGSSLLNP >A02p011020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4781747:4782364:-1 gene:A02p011020.1_BraROA transcript:A02p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRSFHQIFESQRQDKAPKSLLDTLISSSSPWNPLPIKGLHVSDQNETPPFTEIFGELHFRESSHSSFEKASAENSSLQLCTEGLGSESYYGLEDGKVNGNGNGEEDDDHETEVMKGKDNGSSNEECGPWRKERREYPPAMTRMSFKTYREEGRLVLEEVRIPKREFLLASREDGRLKLKLVQPEDEDEDEDEDQEENKDKPV >A03g501660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5043484:5043681:-1 gene:A03g501660.1_BraROA transcript:A03g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTVALLVNVCVDIVDVVGRIVDEDLVVSGCVDVVDVTGRVVDGALGGGYESGNRGRGDTGGGD >A09p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6534167:6537705:1 gene:A09p012840.1_BraROA transcript:A09p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCYPFPPPGFVPNQVKDENLIEPIKKREKKDRKHNKDKKRKERDNDAGRSRNHRHKRQRKDESANASKQVESLEKSCLTIELDHQASSQTSCDSSLRSNENEGPNHIKSQPLNGRHNDSGEFVCLLVAGSVLLHVLATTMMTLFLRIVAMGFEETSTRVLLHDKGQKYPEVMMTKKGQKQCSASSHRESIGPSKLCSKCPPSTAIRFLKLVENWAPDRFESKLAESEDQEWWLLMKVGAKRRHQVSVKTSSKGSSSMAWPTAQFLPEVECVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATINLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELEHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITNAQGEELKKLIGAPAYIECSSKSQENVKGVFDAAIRVVLQPPNKKKKKGKAQKACSIL >A01p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2942726:2945840:1 gene:A01p005650.1_BraROA transcript:A01p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT4G32840) UniProtKB/TrEMBL;Acc:A0A178V315] MDSNGVDEQEMKLVQGAAGYVLEDVPHLTDYILDLPTYPNPLQSNPAYSVVRQYFVDEDDTVPQKIVVHKDSPRGTHFRRAGPRQKVYFKPADVRACIVTCGGLCPGLNTVIREIVCGLHYMYGVTEVLGVNCGFSGFYSKNTVTLTPKDVSDIHKRGGTMLGTSRGGHDTSKIVDNIQDREINQVYIIGGDGTQKGASAIYKEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEATSVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLYEFIAKRLRENGHMVIVVAEGAGQDLVAESIEQQDASGNKLLKDVGLWMSLKIKEHFAKQRKMDITLKYIDPTYMIRAIPANASDNVYSTLLAQSAVHGAMAGYTGFISGLVNGRHTYIPFNRITEKQNKVVITDRMWARMLSSTNQPSFMNPPNGVTEGAN >A03p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14978321:14979335:1 gene:A03p035690.1_BraROA transcript:A03p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVVDVRMNRNADVSSGRVHVAPKIAAAAAGSETEEEFEVKECTEDDSHSENAPKTPKVSKRDVPLVSVRKPLQPDNKKHMDDEDDSFSIASLRRAKSGVTHGSAPTFKSAQRAEKRKEYYQKLEEKNQALEAERNELEQRQKEEQEAALKQLRKNLKFKAKPVPNFYYEAPPAKPELKKLPLTRPKSPKLILSRRKSFSDAVTSSSREEVLKTASNRNRHSTGTVNKNANAVHDSPRFRSGKGKGCLKPVNESLEEACEA >A06p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19221314:19225092:-1 gene:A06p035540.1_BraROA transcript:A06p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQDAKGKGQYHSWSGPENRLLLRSLVDAINNGFRDASGKFNKLTFETRVLSVLRQQLGSKKTYGHYKNRMKILKTRYHNLTDFLRCNSGFGWDPETNKFTADDEVWKVYLKANPNNKYLRDDSFEDFEELQMIFGQNTARGQNAVGLGDDVNPFNSQIEDSERANDISFVQMMNSGEDIIHQQGYENVVFSSLEKSTGEKLPLRKKARTDSYLDKACEEVTEISSQIFGMIQKRWEKEDEEKEAKDKANNVWDAINEIPDLDDDLRYEAMTLVHSLGMKSGFVKMSGGYTMNHVVYASEEVQARKKRKKMANFKTDVDIMILVLSIIAKIRYLTSQILQIQHPIRRSTTRLGHEYIQNALVEDPAHFRHLYCMYPDVFLKLCSIIRERMGVKDTRYVSVEEMLATFLFIVGQNSRYIQAQDRFKKSRTQEQQRVNANNWRANIAATMWADATHIAFHLVKHEHVAYIPLYSSVAKLFQFLHDGEYPEAVISEEPKGYSSTNQDNGIVLLQEMKGLESREEALLALKQRPQAV >A05g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24234128:24236005:1 gene:A05g508340.1_BraROA transcript:A05g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVWRVPGCVSGKNTTAAAADEPPDPPLVPPDPPNPSSPLSPQHFPTLSEAKSTSKSSTKYRFGSTSRSVIAPSSEFKGAQPSSTGASKARTIVPPSTEATTKAPPVTVVSAPPTDLTVSSDEQNHGQALKITPPKDSSPLQTNKSLSFPPKLNPIPSIQNQTRKDPTKTLPVGAPKPLTGQSASFQTQPPPPTLVELVEFEREDGEVVEVSVHYPWVPPTCSHCHELGHIVKNCLLYLPPQEDSDAAKKKKMANQESVKQNKKFRPITQSKVVPQQPKAKLTSVPEPSLSTSSEKETNDEVPPPLPPHPLTNPPTIYHLTINSSLTPIKATQQPLLNPFPNPLNATSLNPFLTPQQNPRPSLKRSRSSPTLSPPLSSNPNPFVQFTALLNTSQQNPSDQNPPPSSLTIVQTNKNPCAPISPSPSSPTLTLSNSFVAS >A08p032830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19836508:19838321:-1 gene:A08p032830.1_BraROA transcript:A08p032830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPGYRPNVGVCLINSDNLVFVASRLNIPGAWQMPQGGIEDGEDPKSAAMRELQEETGVVSAAIIAEVPNWLTYDFPPAVKAKVNRLWGGEWYGQAQKWFLVRLMNDEDEREISLANNEADSEFSEWKWAKPEEVIEQAVDYKRPTYEQVINSFGSYLNDTGRAAKCKSSKW >A01p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:391771:397366:1 gene:A01p001010.1_BraROA transcript:A01p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39952, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G39952) UniProtKB/Swiss-Prot;Acc:Q3E9N1] MLIPKRACLFFKPRLVTVRTLSSSSYIDHHIRVILSDQISTLESLRKHHALIITGGNSDNIFVASKLIQSYASFGKPNLSSKVFDSVRQRDVFLWNSIIKAHCSNGDYPKALSFFFSMLLSSQSLDHFTAPMVVSACAELTWHHVGCFVHGLVLKHGGFERNCAVGASFVYFYSKCGFLEDARNVFDEMPERDVFAWTAVINGHVQNGESERGLEYLRKMHSVGSEDDGEKLNARTLECGFQACVNLGALREGRCLHGFAVKNGLASSTFSFYTKCGSPAEAYLAFRELGDDEEDVFSWTSIIASLARSGNVEGSFGMFWEMQRKGIQADGVVVSCLINELGKMMLVAQGKAFHGFVIRRCFSLDGTVCNALLSMYCKLDLLSVAEKLFCRIREEGDKEAWNTMVKGYGKMKCDVKCIELFKKILNLGVEIDSGSLVSVISSCSHTGAVLLGKSLHCYAVKTSFDLATSVVNSLIDLYGKMGDLTVAWRMFSEADKSSVVTWNSMIASYVHCERSDKAIALFDRMISENFKPSSITLVTVLMACANTGSLEKGDKIHRYITETEHEMNLSLTTALIDMYAKSGQLEKSRQLFNNADQKDAVCWNVMISGYGMHGDVESAIELFEQMEESDDVEPTGPTFLALLSACTHAGLVEQGKTLFLKMQQYNVKPSLKHYSCLVDLLSRSGDLEEAEATVMSMPFAPDGAIWGALLSSCMTYEEYEMGIRMAELAVGSDPGNDGYYIMLANMYSAAGKWEEAERARERMKESGVGKKAGHRECNMALIQFGSSSCVAQWGICRPQVAVKASFYPTRLESHHDKSCISQINCLGASQSSMFSHGSLPFLSLVTGQSRNAHSRRGARFTVRADTDFYSVLGVSKTATKAEIKSAYRKLARSYHPDVNKDAGAEDKFKEISNAYEILSDDEKRSLYDRYGEAGVKGAGMGGMGDYSNPFDLFESLFEGMGGMGGGMGSRGGSRSRAVDGEDEYYSLILDFKEAVFGVEKEIEISRLESCGTCNGSGAKAGTKPTKCKTCGGQGQVVASTRTPLGVFQQVMTCSPCNGTGEVSKPCGACSGDGRVRRTKRISLKVPAGVDSGSRLRVRGEGNAGKRGGSSGDLFAVIEVIPDPILKRDDTNILYTCKISYVDAILGTTLKVPTVDGTVDLKVPAGTQPSTTLVMAKKGVPVLNKSKMRGDQLVRVQVEIPKRLSKEEKKLVEELADMSKNKVANSRR >A06p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1034785:1036392:1 gene:A06p004130.1_BraROA transcript:A06p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVDLMIKFAYLYGLIIQILLSVELENSILDALCSVAYLVLLVLVLVILFRQTTMGQDYSYSQPSSSSEFDMTSLLLAEAKAYADEAESSYPIEEPVQYPLQPEADEGIPTTCYCGAEPVVETSYTRRDPGRRYFSCVNVDDGDCHIWKWWDVAIMEEMRLIGVAHHCICSNNKRVKDTFPNS >A03g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30373281:30374072:-1 gene:A03g509370.1_BraROA transcript:A03g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTHLPFSPRISWDGDDVSPTNSFVSGESHFFTLSYFDTLSHFDSLHRIVMKTTFAPPMAMKMTSEHNLYYLINSGQNLQTSMCSTQTSFSLMRSKPLMTFNRLSDSSVREFFNFSSLQLNILPSCVSGDPLETPLFTTNLSPSW >A01p024650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12294497:12294891:-1 gene:A01p024650.1_BraROA transcript:A01p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLENFPRNLEEVFQSLLPKVVQILDIFFRSKSDFENFSEDSWKTPERLLGKSSNVFYARRLSAKSSGSLPKSFAQGVTEE >A03p065850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29126369:29126995:1 gene:A03p065850.1_BraROA transcript:A03p065850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLVSIPMQPTTQTALPAFASPLVQPPANNLLGGAGGLCLNRRNRDRSYVARAGPSTSSYLLAFAIPATLIAATVFTSAKIADKLDDDFLEDIALNQAIKAAEEGENAEGEISLDDVIKEPVLQRTRKRPKRDV >A05p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10696318:10699993:1 gene:A05p022360.1_BraROA transcript:A05p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDDGTHAKVNRVQFTFDDVIRSIEVEYEGTNVQPQRRGTVGTNSDEFTLSSDEFITRVSGYYRTTFSGDVITALLFRTNKKTYGPYGNLTRNFFSADAPRNNQIAGFLGNSGSALNSINVHFAPIPPPGSIKPKPAGPGTGDAGSGQPVSPGPGDAGGGPKPGGPGTGENGAGTKPSGPGTGENGAGTKPGDLGTGADGEGTKPGGSGTGEDGAGTKPGGPGTGENGAGTKPSGPGTGENGAGTKPGDLGTGADGEGTKPGGSGTDGAGTKPGGLETGEDGAGTKPVGSGTGNDGGGPRPVIPGKMGPLGGDKGNEFDDVGFDGVKKITVGADEFSITYIKIEYSKDGKVEIREHGTSRGQLKEFSVDYPNDNIVAVGGSYNHIFTYDTTLITSLYFTTSRGFTSPLFGEKTGTDFEFQGENRGKLLGFHGRAGFAIDAIGAYFHTGSQGGQGGGPRPVVPGKMGPLGGAKGNEFDDVGFDGVKKVTVGADEFSVTYLKIEYIKDGKVEIREHGTNRGQLKEFSVDYPNDNIVAVGGSYNHIFTYDTTLITSLYFTTSRGFTSPLFGEKKGTDFEFQGENRGKLLGFHGRAGYAIDAIGAYFHTGSQGGEGGGPKPVVPVKMGPLGGDRGNEFNDVGFDGVKKVAVAADEFSVTYIKIEYVKDGKVEIREHGTSRGQVKEFSVDHPNDNFTAVGGSYDHIFTYDTTLITSLYLTTSRGFTSPLFGEMKGTEFEFKGENGGKLIGFHGRAGHAIDAIGAYFDTGSKPGGDSNSGKGTDSGSSTKDSGKGTDSGSSTKDSGKGSDSGSSTQDSGKGSDSGSSTQRLEAQGGKGGNQWDDGGDYDGVTKIHVAVGRWIEEISFEYVKNGQTKKGPARGVRGRRSTIGTFEISHPNEYLISVKGWSDSSNKIAGIQFKTNTKTSKYYGFEKIPGDESTDILLEVKDKKIVGFHGFADSHVNALGAYIAPAAN >A01p016040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7945344:7946291:1 gene:A01p016040.1_BraROA transcript:A01p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor CRF2 [Source:Projected from Arabidopsis thaliana (AT4G23750) UniProtKB/Swiss-Prot;Acc:Q9SUQ2] METEKQLVLPKIIFAAHKTNTVPELTNNHQPRILRISVADPDATDSSSDEEEQRLTSKRRRIKKFVNEVVLDTGASGCSSQIESKRRRKRAVVVKSETPSPPEVSKTTEKKYRGVRQRPWGKWAAEIRDPLRRVRLWLGTFNTAEEAALVYDSAAIQLRGPDALTNFPTTENKPSSPPPSPVKRKRKIKDDVASSSTSSDGLCSPVSVLRSPFAVDSSTSTAAVIVKEEPSTTTASETFSDFSAPLFTDEDLFDFRSSVVPDYLGGDIFGEDLFADTCTDMNFGFDFGSGLSDWHVDDHFQDIGDLFGSDPLLTV >A07p026980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15401989:15403676:-1 gene:A07p026980.1_BraROA transcript:A07p026980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRLPIYVFVFFLLSAHHHLSLGDPITCSGIVPTKHRSQMLSISDFGAVGDGATLNTNAFNAAIDRIRNAKNVSEGTLLYVPRGVYLTQSFNLTSHMTLYLAHGAVIKALQDTEKWPLIDPLPSYGRGRERPGQRYISFIHGDGLTDVVITGKNGTIDGAGEPWWNMWRHGTLKFTRPGLVEFKNSTDILISHVVLQNSPFWTLHPVYCSGVVVHHVTILAPTDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYNRPSRDITIRRITGSSPFAGIAIGSETSGGIQNVTVENITLYNSGIGVHIKTNIGRGGSIQGITVSGVHLEKVRTGIKISGDTGDHPDDKFNVSALPVVRGITIKNVWGIKVERAGMVQGLKDAPFTNLCFSNVTFTGTKGSPIWKCSDVVGAAEKVNPTACPELTSTSQQGGYCENQA >A04p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14773023:14775155:1 gene:A04p024400.1_BraROA transcript:A04p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYVGNLDPRVTERELEDEFRVYGVIKSVWVARRPPGYAFLDFEDSRDARDAIRDLDGKNGWRVEESHNRGGGGGRGGRGGGDGGRGRGGSDLKCYECGEPGHFARECRSRGGSGRRRSPSPRGRSPPRYRKSPTYGERRRSYSPRARSPPPPRRRSPSPRGRNYSRSPPPYRGRDEKWSERCAQKPELSVKGWMRKPTLLGGFGYACLLLSAVVMSTVRFLPCFYCFIAYLCFSTLPWGFFPVLYRLVQEVFPFNGTYSSLDQVLCMSSSKVDPSAM >SC122g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:95547:97388:1 gene:SC122g500050.1_BraROA transcript:SC122g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGSHHLSAGVGAVVLLVQETHNEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVSLSADVGIVVLPMIKKKRLHGDLIRRGLSDQSEEAGTPHHSDQLYSRTQGVFNQGNYWLSNHMMVANYGVEKC >A04g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18991674:18993533:1 gene:A04g507560.1_BraROA transcript:A04g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYQEVRELSQIADERSPNQNPNSSIPILSLSILVESPSSEYGFSGARRADRQRLAEDEQWDEHRREGSQEITRALLHSDVSFPLMREMQNNIKKIVNLEELAAGHNKRQIIEQAIFSELCAGKTTTCSKYAYYHQKKGYKPALVCADTFRAGAFHQLKQNATKARIPFYGSYTESYPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATELEESLGILRQSMKMQHAQNREEMHVKRDAKEHNFQRLQQQEHAKVVDISKRAWEFSSFIEFQEKEMKTIMGEREKKMAEMNKRYFEEMLDLEREFDVFGTVHDQERLNDADDADY >A06g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10848610:10849064:-1 gene:A06g503610.1_BraROA transcript:A06g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSATASARPKNTSKSFSLSIRSASVSLSLYRRPHSYLIVILHIPETVVSKPTCMDIFHNNKYSLALQVYTTPAQHLDSTWSSPLTTTFAAATTSATTSGQTSYIFIFDICSISQSEFFYF >A01p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15135909:15138887:-1 gene:A01p037830.1_BraROA transcript:A01p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCILRKHIRPCLILLCQASHSASDKNMLQRAASNAYSWWWASHIRTKQSKWLEHNLQDMEEKVEYTLKIIDEDGDTFARRAEMYYRKRPEIVSFVEEAFRSYRALAERYDHLSRELQSANRTIATAFPEHVQFPLEDDETEDFEGNPRKQPHLHLIPKGSNIPQVPEMPMKEFRSQSMMLSRKGPAGLKRTVSSALAKREAAVVSSGLSKEEGLEEIDNLQKGILALQTEKEFVRSSYEESYERYWDLENEVAEMQKRVCSLQDEFGLGAAIDDSDARTLMASTALSSCKDTLAKLEEKQKQSVEEAEIEKERITTAKERFYALRNKFEKPETDDHDKFIKTEAKVDVVQESSYESEREDSNENLTVVKLAEKIDDLVQKIVSLESNASSHTALVKTLRSETDGLHEHIRGLEEDKAALVSDSTDMKQRIAVLEKELSEVRKLFQKVEDQNKSLQKQFKEANWTADDLSGKLQDVKMDEDVEGAGIFQELPAVSGSEDYLKSITKETERESSVEDRKKHAIVVKDSEDTEGAQEERPETKDSFALSETASTYFGTEGEELVTEDEDEETPNWRQLLPDGMEDREKVLLDDYTSVLRDYRGVKRKLGEVEKKNREGFFELALQLRELKNAVAYKDVEIQSLRQKLGTLEKDSPHQVEGNNQMEHDQGQRESVSISPTSNFSVSTTPHHQVGEVKRIPERTNSDEVRVKFADVDDSPRTKIPAVEDKVRADIDAVLEENLEFWLRFSTSVHQIQKFQTTVQDLKSELTKLKIQSKQQQESSRSKHAAASEAKPIYRHLREIRTELQLWLETSAVLKDELQGRFASLANIQEEIGRVTAHSGGSKVSDSEISSYQAAKFHGEILNMKQENKRVSSELQSGLDRVRVLKTDVERILSKLEEDIGISSATEARTTPSKSSSSGKARIPLRSFLFGVKLKKQTKQKQASASLFSCVSPFPAPQQESS >A05g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3467183:3467466:-1 gene:A05g501000.1_BraROA transcript:A05g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIAVHQAVSLAVFSNVRSLAVLSDSLSLVNLLNKGETQPELFADLVAKSAFAGSVTNSSVGA >A09p057830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48605984:48607219:1 gene:A09p057830.1_BraROA transcript:A09p057830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSFRIAVVKGLTKKKMKRSKLKRNRKVLLHKPDSKPPVAVDLPELPEDVLMQILARLPANLLMQFKCVSSLWDGHGDYALLSQLITNLKATVFQLEEYVTIPGMGGCLVNPLEGLMCCRIGRSVRICNLTTKQHVELPIVVSNILGDDSNMWNHFGYDPIQEEYKVISLTWEMAQERVVRSDHHVLVLGPGASWRRVTQSVAPHRPCSQGISMDGVLYYGAWTGENTFVVVSFNMSSEKFNLIKLPLHAGTNLMNYRGKLAVFDYSPHLASDLRLDLWVLEDVSQWSNKKTFVLPISNIDVIIPGELSVKGTSREGMVMVFSKTDYCSLHLICDLYTCKKIEGVILSKLRERLPFETESLHTTYWDDFESIMYLEI >A06p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20538976:20545522:-1 gene:A06p038030.1_BraROA transcript:A06p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGRFLSLVRGDSAESPREITTHSNLIGESGSNGWLIRFFDSAFFCEWIAVSYLYKHPHAGVRDYLCNRMYTLPLSGIESYLFQICYMMVHKPSPSLDKFVIDICAKSLKIALKVHWFLLAELEDSDDNEGISRIQEKCQIAATLMGEWSPLMRPQNEVSTPGSKNQVLSRLLSSKQKLFSLNLSPPSQKSLSFSPSPGRSTQDDGSQLPSEDNKIFKKLIPSPKVRDALMFRKSVDKDDEESEKEGFFKKLMRDSKGDGDEPTSNSEGFFKRLMKDNKSEDEEITNSSEGFFKRLLSSKGENEELTSSSDGLFKRLLRDNKGDEEELNANSESFFKRLLRENKNEDEESNANSEGFFKKLFRDSKNEEEKGPKAMDDEDKDGFLKKLFKDKSDDKRQANEKNETNGAVLADDKPGEEDEREGFFKKFFKEKPDDGNESEGDESPEFSLFKRLFRIHPEDAKPTSENGNTSNGLAESSPGTENFFRKLFRDRDQSVEDSEIFGSKKHKEKRPGSPRQRDDTPSGKPPLPNNTASHFRKGAYHESLEFVQALCETSYGLVDIFPIEDRKIALRESLAEINFHLSEAEITGDTHFLSNNLPGICFPMGRGVYRVVHIPEDESILLNSREKAPYMISVEVLKAETPSAKDTSNSQKLSKGGIPLANGDAFLQKPPPWAYPLWTTQEVYRNSADRMSLSTAQAIDQAMTPKSEVKVKLVNVSLSVENCTSALASLSDPFDDVLSEAPSTGLNTDLEWVRVVVTADPGLRMESIPDPAVPRKKEHRRVPSTVAMEEVRAAAAKGEAPPGLPLKGAGQEDSSDAQPRANGGMLKEGDALSGELWEEKRERIRKASIYGKLPGWDLRSIIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRYPNITSLRDFFAAKYKENSPSFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLLDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDADGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >A01p009730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4726859:4730153:1 gene:A01p009730.1_BraROA transcript:A01p009730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDIS1-interacting receptor like kinase 1 [Source:Projected from Arabidopsis thaliana (AT4G28650) UniProtKB/Swiss-Prot;Acc:Q9M0G7] MKMKVIVLFLYYCYYIGSTSSVSASIDNGNELSVLLSVKSTLTDPLNFLKDWKLSGTDDHCSWTGVQCNSHGNVEKLDLSGMNLTGKISDSIKQLTSLVSFNISCNGFESLLPTSLPPLKSVDISQNEFTGNLFVFGNETHGLVHLNVSGNNLSGNLTEDLGNLVSLEVLDLRGNFFQGSLPRSFKNLQKLKYLGLSGNNLTGELPRVLGELSSLETAILGYNEFEGPIPPEFGNINSLKYLDLATGKLSGPIPSELGKLKSLETLYLYQNHFTGKIPPEIGNITTLTYLDLSQNALSGEIPVQITELKNLQLLNLMGNKLSGSVPPEISNLAELHTLELWNNTLSGELPSDLGKNSPLEWLDVSTNSFSGQIPSTLCSKGNLTKLILFNNNFSGPIPTTLSTCQSLVRVRMQNNLLNGSIPIGFGKLEKLQRLELANNRLTGGIPGDLSDSLSLSFIDLSRNKISSSLPSTILSIHNLQAFLIAENDLSGEVPDQFQDCPSLSNLDLSSNTLSGTIPSSIASCEKLVTINLRNNKLTGDIPRQVTTMSALAVLDLSNNSLTGKLPESIGTSPALELLNVSYNKLTGPVPTNGFLRTINPDDLRGNSGLCGGVLPPCSDSQNAASRHKSLHGKRIVVGWLIGIASALLLGILVIVTRTLYKRWYSNGFFSDETASKGEWPWRLMAFHRLGFTASDILACVKESNMIGMGATGIVYKAEMSRSTTVLAVKKLWRSAADIEDGTTGDFVGEVNLLGKLRHRNIVRLLGFLYNDKNMMIVYEFMLNGNLGDAIHGKNAAGRMLVDWVSRYNIALGVAHGLAYLHHDCHPPVIHRDIKSNNILLDANLDARIADFGLARMMARKKETVSMVAGSYGYIAPEYGYTLQVDEKIDIYSYGVVLLELLTGRRPLDPEFGESVDIVGWVRKKIRDNISLEEALDPNVGNCRYVQEEMLLVLQIALLCTAKLPKDRPSMRDVISMLGEAKPRRKSNSNEENTSRCGLAEKQQPSVFSASPLNNIAN >A02p001850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:785550:787641:-1 gene:A02p001850.1_BraROA transcript:A02p001850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRGLSLITRSDLKIGSSELDEKDIERQSLGTSDNNKTELLCSSSSKLALKTDADCNNNGALVKSNDSVSTCLNDDLSSVCDKPGSSECGSSSNDPMKLWEAMKQNGFLSNPHGGVSVSSSHGGIPAPPRKRGRRSKSNDATDMVKKRKVEIARKEEAERFARLAAPSGLLNELNPGIINHVRNKRQVLSIIQSIIESDKDSGNVYHHHPMRHRKNLEGVYTDASRYDMPDGEFSEDNNTTADKYSENASSLSSEDAEGLNHASVLTVKAATVASQWLELLHQDIKCRVSALRRSKKRVRAVVTTELPFLIMKEFPADQENDPNLLTNGASRSSTVVNHKNRWMALFNQLEQTLSEEEKQLENWLNQVRELQSHCDRGLQNLSLSSGQNFLQLGTPIHSRAGDALLSDKDFVVRAAAASIYSTCNFLASKENIAFT >A08p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13818392:13818768:-1 gene:A08p017260.1_BraROA transcript:A08p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNQPTARQGIERLHLPAKRSLHLSGTGTGVDGAGGASTSRRQHQIAAQRASPRLPHHDRAFTPEPDLLWTTFFQNSGKAEERWDDSKAKIEGFKGGLRGSGDGTHAHAPAGHHT >A09p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4031622:4032770:1 gene:A09p007710.1_BraROA transcript:A09p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCKEEGIKKGAWTPEEDRKLIAYIQRHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTLEEDDTIIKLHALKGNKWAAIATYLAGRTDNEIKNYWNTNLKKRLKQKGIDPTTHKPIKSTDQTGIEPKNLKLSSSGSARVLNRVASKYAVDLNRDLVTGIIIGNSTIVADVSQNSVDIENSTTTLLNKTAGLMTNTSTSSGFSDNCSLSDDITEFFSNEEISDKYTNVDHVGLMEELKGMLSYENAVPGEIKGSPEANIADEMEFLDSWNDDLDLEKFVSSLDSSIGVFV >A06p051580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27190333:27191020:1 gene:A06p051580.1_BraROA transcript:A06p051580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEDSQLKQSVTLVVNPLPRKHKKIKCYVYETLDQALVRLRTHPVGATLYGFKGWDGPGIYRGPMKEDAEIEGLHAVIMCELRMIDGEPIILCKSSNGNDLGGVEGFQGFIAVAANVMIMIMGKETADDNEGHRVFPRKPSSLLTGFYSVEMHYKDPSFDFEEPKDKEEKGKLMDISEYNWQIGYRFEEDQGRQKNPAKRGRHCR >A09g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10779256:10779629:1 gene:A09g503400.1_BraROA transcript:A09g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFALIHCLLTTLLVKIIYTAKEEFENLCLILGIELDAVTTEKAIIRKEKHIEEEEADDDEEVIYK >A09p077890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57758822:57760182:1 gene:A09p077890.1_BraROA transcript:A09p077890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDIDLYKIEPWDLLELCKIGHEEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYLRHSLIGMRKTLVFYMGRAPNGQKSDWIMHEYRLETDENGAPQEEGWVVCRVFKKRLPAVIRRMGDYDSSPSRWYDEQLSFMASELETNGPRRIVPNHHQQQHHQPFSYGINASAYALNNPNLQCKQELELQYSHLQSNQVHEEQMNQGNQSFSSLYMNSGNGQTMDQVTDWRSLDKFVASQLSNEEAATASASLQNNGKDTSNVEYQIDEEKDQKRVSDMGEEYVASTSSSCQIDLWK >A08p017690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12289749:12292974:1 gene:A08p017690.1_BraROA transcript:A08p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINEIGVAAAINIVTSIAFLIAFAILRIQPVNDRVYFPKWYLKGLRTSSIQTGGFGSKFINLDFRSYVRFLNWMPEALKMPEPELVDHAGLDSVVYLRIYLLGLKIFFPIACVAFTTMVPVNWTNKGLDGLKHSNISYSDIDKLSLSNIPNGSDRFWVHLCMAYAITFWTCFMLKREYQNIALMRLQFLANDERRPNQFTVLVRNIPVDPHESISELVEHFFKVNHPDHYLTFQAVHDATKLSELVQTRKQMQNLLDYNINKHMRTLTKRPVIKMGFLGCCGEEVDGIKYYTSMVESLTREITEEKHRLRTGTKSIVPAAFVSFKSRWGAAVCAQTQQSRDPTEWLTEWAAEPCDIYYDNLALPYVDLKIRRIIVAVAYFFLTFFFMIPIAFVQSLANIEGIEKNFPFLKPLIEVKFFKSIIQGFLPGIALKIFLMLLPRILMQMSKFEGFISTSSLERRAASRFYMFQFINVFLGSIVTGTAFQQLNSFLNQSANDIAKTIGVSIPIKATFFVTYIMVDGWAGVAGEILRLKPLIIYHLKNSFLVRTEKDREEATDPGTIGFNTGEPQIQLYFLLGLVYAAVSPILLPFIILFFALAYVVYRHQVINVYNQKYESAGKFWPDVHRRVVTALIVSQLLLMGLLSTKGAHKSTPLLLVLPVLTIGFHIHCKCRYQSAFVTYSLKEAMIKDTLERTREPNLNLKAFFRNAYAHPEFRVGENLDLEMAMEKPDKLPELVATKRGSWRNTSLPSKHS >A03p002070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:990205:993504:-1 gene:A03p002070.1_BraROA transcript:A03p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQHFCSSLLFLSLLCLSWALIISSTQPPSQPPTQPPSQPPSQPPSPPPSQPRAQSPSQQAKIACKSTPYPKLCRTILSAFKSSPSDPYRYGKFTLKQCLKQARRFSKVINRFAQRVQKDPGASTSEEVSAVADCGDLAELSVEYLETVSDELKAAELMTEALVDRVSSLLGGVVTNQQTCLDGLEDAKSAFATVIGSPLGNVTQLYSVSLGLVSHALSRNLKRYKGSKGKIFGGGKKAVREPLETLIKVLRKTCDKSKDCRNDRKLGELGETSGGSILVREAVIVGPYENDNFTTITEAVAAAPNHTFPEDGYYVIYAREGLYEEYIVISNKKRNIMLIGDGINKTIISGNHSFIDGWTTYNSSTFAVVGDRFVAVDVTFRNTAGPEKHQAVAVRNNADGSTFYRCSFEGYQDTLYVHSLRQFYRECDIFGTIDFIFGNAAAVFQNCNIYARKPMEHQKNAVTAHGRTDPNQKTGISIINCTIGAAPDLAADPNPAMTFLGRPWKPYSRTVYIQSYISDAIQPDGWLEWNGTTGLDTISYGEYDNFGPGANTSKRVQWSGYSLLNLAQAMNFTVYNFTLGDTWLPQTDIPFYGGLLRTE >A02p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1009952:1012180:1 gene:A02p002410.1_BraROA transcript:A02p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAVKYMLIHGGTTPAETRSFFSAVKPIKTSTFVYAFVITFVSFTLFLAFFSPSPNSSSPWSFSTTTPSSDNNTSGSHFSSIFSYTGKNSTLQAPAPENLTPVDKATTFESPIVNRTNPSQPLVSDKSPTLTSDDVRNQTAAPSRSEAPVSVDVTASSSSSVKQEVEKWSESLKNCEFFDGEWIKDDTYPLYEPGSCKIVDEQFNCISNGRPDKDFQKLKWKPKTCTLPRLNGGIMLEMLRGRRLAFVGDSLNRNMWESLVCMLKGSVKDESKVYEARGRYHFRGEAEYSFVFQDYNCTVEFFVSPFLVQESEIVDKKGTKKETLRLDLIGKSSEQYKGADIIVFNTGHWWTHEKTSKGEDYYQEGSNVYHELAVLEAFRKALTTWGRWVEKNVNPEKSLVFFRGFSATHFSGGQWNSGGACDSETEPIKNETYLTPYPSKMKVLESVLKGMRTPVTYLNITRLTDYRKDGHPSVYRKQILSDEEKKTPLLYQDCSHWCLPGVPDSWNEILYAELLLKLNQLG >A06p002200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5280487:5281750:-1 gene:A06p002200.1_BraROA transcript:A06p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKWICPTAPRLPVTSLAGQNATAWCDITKVSESMQHDFESLDSVNSYVADLLSTEPTNVIKGVGGVGLGAAAALYFASSCAFGKVQIKQQMINPQIVIGINGWLPGLTSLQPNMNNAFGTFNRAKSQRILLLHGTSDDVVPSEFGYKCAVSLRNNEFPTMFKQCGGNHVMTEIFVWLTQTFGL >A02g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21979211:21980956:-1 gene:A02g507910.1_BraROA transcript:A02g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTHYFIQKSLRRRTTAKSEPSERDIGELSQPPSTEIRSVMLPPSHALVRGRSFSRRSDATRPHAQEEDPCVNLTRHHLRRTFAGATVAGHRPFAARKPPLHRRRFSAAAGDFPLSHHRRWPPPATGLRRLVGRHAGNSMTRPIMSRDYVRGWGSRDVLTSDAALVGGGSETSGLATQIVWGVGTETFAFDADLEGGGRETDCTSDAAYASCLFMLEINFYSGSSIIQVSGLRVRLMSRSDCYRIVFFEFIMLSGFMAIYWIHDFGVDF >A09p056600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48061174:48066608:-1 gene:A09p056600.1_BraROA transcript:A09p056600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35C [Source:Projected from Arabidopsis thaliana (AT3G51310) UniProtKB/Swiss-Prot;Acc:A8R7K9] MIADDDEKWLAAATAAVKQNAFYMQRAIDSNNLKDALKFSAQMLGELRTSKLSPHKYYELYIRASDELRSLEMFFRDETARGCSIAELYELVQHAGNILPRLYLLCTIGSVYIKSKDVAAKDILKDLVEMCRAVQHPLRGLFLRSYLAQVTRDKLPSIGSELEGDTETHMDALEFVLQNFTEMNKLWVRMQHQGPTREKEKREKERNELRDLVMTVGKNLHILGQLEGVDLVVYRDTVLPRILEQVVNCKDELAQCYLMDCIIQVFPDDFHLQTLDVLLGACPQLQPSVDIKTVLSGLMERLSNYAASSVEALPNFLQVDAFSKLNHAIGKVVEAQVDLPASSSVTLYLFLLKFTLHVHSDRLDYVDQVLGSCVSQLSATGKLCDDKASKQIVAFLSAPLEKFNDVVTILKLTNYPRVMEYLDHDTNKAMAIIIIQSILKNDTRIATADEVDALFELIKGLIKDFDGLTDNDEIGEEDFQEEQNSVARLVHFLYNDDPEEMPKIIFRIRKHILTGGPKRLPLTIPPLVFSALKLIRRMRGGDENPFGDDTSTPQKILQLLTETVEVLADASASELALRLYLQCAQAANDCELETVAYEFFTKAYLLYEEEISDSKAQVTALRLIIGTLQRMRVFNVENRDILTHKATGYSAKLLKKPDQCRAVYECAHLYWAEEGENLKDGERVVLCLKRAQKIADAVQKMANASRGASSTGSVSLYVELLNKYLYFLEKGNPQVTGETIQSLAELIRSETKKAESGAGTFITSTLRYMEFQRQQEDGGMSEKYQKIKMEWFE >A09g514060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42460728:42461283:1 gene:A09g514060.1_BraROA transcript:A09g514060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVDLISSPRKSVGSLQGIIKALAAKARIPSLKSFFPCSSPRNPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRFSQLSSKLPYLSAET >A05g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26263520:26264264:1 gene:A05g508850.1_BraROA transcript:A05g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTGNKQGAWEGMSLNRGEEQLRCSDNITGFCLLESGSNATLMDLFLMKSSHFWTSLKARLEEQPKVWQREASASLPSSTTSSQGYPRQHQRGYGPRNMPHGAGPRDFVRPPYMGQGPGFMVGPGPGFPGPVYYFPVPPPGAIRGYPPRFGPHPGNQGPQALYDS >A08p042320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23755455:23758488:1 gene:A08p042320.1_BraROA transcript:A08p042320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNSLIFFTTYTSQMDYHTKNMLISLCFTTLLILLPVVTCTRQHRSNSPKQRSLLANEQDLVTNLPGQPHVSFKHYAGYVPVDESNGRAMFYWFFEAMNLPKEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDTKENGLTFNPYAWNKEANMLFLESPVGVGFSYSNTTSDYQKLDDDFTGRDAFTFLCNWFEKFPEHKGNTFYIAGESYAGKYVPELAEVVYDNNKKNSSSSLHINLKGILLGNPETSDAEDWRGWVDYAWSHAVISDETHRIITRTCNFSSDNTWSDDECSEAVAEVQKQYDEIDIYSLYTSVCIGDSARSSYLDSAQFKTNAHISSKRVPPRRLGGYDPCLDDYASTFYNRADVQKALHASDGVNLKNWKICNMEIFHNWTYLKPSVLPIYEKLIAGGLRIWVYSGDTDGRVPVLATRYSLSALELPIKTAWRPWYHEKQVSGWLQEYEGLTFATFRGAGHAVPSFKPSSSLAFFSAFLTGIPPPPSR >A06p040470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21880341:21883994:-1 gene:A06p040470.1_BraROA transcript:A06p040470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGTFKVLLWGLAAMVFAMAEGARGQRVPCYFVFGDSVFDNGNNNDLNTSAKVNHSPYGMDLARGPTGRFSNGRNIPDFIAELMRFSDYIPPFTGASPEQAHTGLNYASGGAGILEDTSYHLGDRISFKTQIKNHRTAIMTANVPPEKLKQCLYTINIGSNDYLNNYFMQGDKYNTQRKYTYDQFADSLIRHYRSLLKLLYVQGARKVALFGVSRIGCTPRMIASHAGGMGCAAEVNKAVEPFNMNLKALVREFNTNFADAKFTFVDMYTGQTPFAYAALGFAVTQKSCCTVESGEELCAADKPVCAFRDRYVYWDNVHSTERANMFVAKAAFAGIVAFPYSIALLGIAYKVTTICNLDD >A03p030340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12732189:12732732:-1 gene:A03p030340.1_BraROA transcript:A03p030340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHFLTLSLLLVIVCVCVSIITTKLNSKEAIVSPSDSNPIEIHGVKILRQPSDSKLAQLGVSSWPKWEGGPSKFPWTFKKTETMYFVEGKIKVSVDGYDREEDVFEIGKGDVVVFPKDMKVVWEITEAVKKHYSLED >A03g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27741786:27743608:1 gene:A03g507880.1_BraROA transcript:A03g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKSGLASVVAGVLLPYISLSITAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEYNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCVHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLAQMKMAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVAQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDREVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFLNIPDL >A02p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2052472:2056129:-1 gene:A02p004730.1_BraROA transcript:A02p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGRSRSRSRSLLSFELAALLLLSLFLCFSLSLSVPDLLEEETVANSSVASLNASSTGKPKEGSFADIIDRALEKEFNESDQTEVADPGSFNNSVAGQQAVLETVARVKSTKKNETKEDKRFQLHDVFNLDNDNRAEDTPTLIDRKDNVFIISNFKSKLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLNFISEMVQVETVAQFGVVFLLFALGLEFSTAKLRVVRSVAVLGGLLQILLFMFLCGITVSLCGGKHSEGVFVGAFLSMSSTAVVLKFLMEKNSTNSIHGQVTIGTLILQDCAVGLLFALLPVLGGNSGVLEGVLSMAKVVVVLLSFLAVLTILSRTCIPWLLKLMVSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAEHTLEQIEPIRNLFAALFLASIGMLVNVHFLWTHVDILLASVILVIIIKTTIVTTVVKGFGYSNKTALLVGISLAQIGEFAFVLLSRASNLHLIEGKLYLLLLGTTALSLVTTPLVFKVIPAVVHLGVLLRWFSPDASMEKGEIVRSESAKQRMILMSRQTHNS >A08g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4705915:4712785:-1 gene:A08g502080.1_BraROA transcript:A08g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHECPKVVSCQRVSGTKRYELPKVANIKRYEDQEARLAKGCMFQTVSFGTVRTDPYGSAYGLSSEDKVTPSGLGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRIDGLVFGDDPDLFDTVGRFIRFMIMLTEELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIESHAGKSKSGKSRKSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQQDGQEHRESDEEVESSNANRDGDQHERVADGTANVPATLSKEDLLEAMKVMGTQVAAMAQLFTPLVNSSADGPGLGQWRAGRSGHEAMGCWVLGQGCGLCPEGLGRGLGLWPTPNPIRKGEGMQVAERGQLLADGAHSLASRACSWGKTYPLVFYKYGGSLVDFIIQFQSKILREKRREKEREKERVPAKRKADCGGVVFRRL >A03p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13652482:13654770:-1 gene:A03p032280.1_BraROA transcript:A03p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFCFMTSIPGGLGIPHELSNTEIIKSSRSYLMNPGAKQEIIPASSFNLNPDHLEPWKPVSSLNKSSQFVELDSAMMKPLLMDVHDKAPESLVLSFGIAEKYARQEKVMEFLLSRSEEFKERGFDMSMLSELMEVEAMKSSSQLLPYGASSVLYLNQDLEKPVLDLVRDMMENPDFSLNSNGRLLFNAELNDVLSIASEFNLSRDSTKWRQLSPLIPHFPRFDSEVLTPVTVLAPLKSPEKTRLKPSPKKLNTKRKAKERDLYKRNHLHAYESLLSLMIGNDHQNKQTTILTLQRSCGELSELLTQFSITAAGTGMAVLFSVVCSIASRRVPFCANKFFDTGLGFSLVILSWAVNRLREVIVHVNRKANKPCSSLKGDEIMNNVERSIKEVYFRAATVLAVFALRFAC >A04g500860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2392102:2392584:1 gene:A04g500860.1_BraROA transcript:A04g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSNSVVIFIYLPRGLILPPRTSPQVNVDAVPCRLFCKSVTMAWWKTERMISSDAVLRSRYVSPIFSLSYEIIRRVATLDTMEKRLAISTVIASAAASGGAIVVKEFIGMFEKPRKKKFLDAMKRRGLDPKGKTIFLMVDVEENVAKSSKNIGMLRKLT >A02p001030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:506295:510156:-1 gene:A02p001030.1_BraROA transcript:A02p001030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQSGYDLSFKILLIGDSGVGKSSLLVSFISNAVEDLAPTIGVDFKIKQLTVGDKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLVDVWSKEIELYSTNQDCVTMLVGNKVDRESERGVSREEGIALAKELKCMFLECSARTRQNVEQCFEELALKIMEVPSLLEEGSSAVKRNILKQNSSEHQTTPQAGCCSS >A02p018050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8242802:8244050:-1 gene:A02p018050.1_BraROA transcript:A02p018050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFQIIASSSPTITKSHLLPSYKTSKPYLSSCFSLLGSSRFSPYIGLKHVGISISPKSSNPEKKKRRCSKSMVIRASLFGVGAPEALVIGVVALLVFGPKGLAEVARTLGKTLRTFQPTIRELQDVSRDFKSTLEREIGLDEISTPNVYNQNRMNTGTTPPPPPPSVPRTEDPVTASDPNDAQSPKAYTTEDYLKITEEQLKALSPGQEGDQTQTQEKLQASTEESQSKGSSTATSPPRQD >A02p055950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:29814:36805:-1 gene:A02p055950.1_BraROA transcript:A02p055950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLISTGFRLTTLPENYVRPLSDRPRLSEVSQLEDFPLIDISATDRPRLVQQIHQACARFGFFQVINHGVSKATIDEMVTVAHEFFGMPMDEKMKLYSDDPTKTPRLSTSFNVKEEEVNNWRDYLRLHCYPIDKYVHEWPSNPSSFKEVVSKYSREIRELGFKIEELISESLGLEKDYMKKVLGEQGQHMAVNYYPPCPEPELTYGLPAHTDPNALTILLQDATVCGLQILIDGHWFAVNPRPDAFVINIGDQLQALSNGVYKSVWHRAVTNTENPRLSIASFMCPDNGAVISPAKPLWEAKEEEAKPVYRDYTYAEYYKKFWSRNLDQEHCLENFLND >A01p053350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30084576:30085826:-1 gene:A01p053350.1_BraROA transcript:A01p053350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGVINLLSLLASIPIKGTALWKARSSKTCENFLQTPLLVIGFIILLVSLAGFIGACFNVAWALWVYLVVMIFLIATLMGLTLFGLVVTSQGGGVGLQRTCSKIESWTTLDYFQRDMTSVQSGCCKPPTACTYETGVIVGGEDCYRWNNGIETLCYECDACKAGVLEEIRLDWRKLSVVNILVLVLLIAVYAAGCCAFHNTHHAAHPYHPSDDNRMTKVRPRWDYYWWRWWHEKKEQLY >A08p020250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13721677:13722022:1 gene:A08p020250.1_BraROA transcript:A08p020250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCWFVVDRTCSSCFSRSVSSPVSSMFSHLVTPFITDRISGGSLFRHKVVSGHKLRLSFLSSYLPFSLPE >A03p033700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14269437:14273582:-1 gene:A03p033700.1_BraROA transcript:A03p033700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVNKLDTDTLLSILSCLDDPSDLVRASAVSRSWRDFVIRYGLSKKLCFRLFHQLTCVDRVNEESSGSWSRSSLMDTKLLEREHRAFALLAKGCTSSPIRSCVADTIIASSTDNYLKKIVNTLDEIDQVGATPSYWSSSGQHKSSVPETLLYKLKGDLCVVTEFSIHPFQAYFQPGSPIYSSDYVRFRLGHLDNNNSEEKDNYVWTYTSQEFPMAQENRLQNFKLPEPVVCIGGYMLIEFLGRVQTRQLDGLYYICVSHVRVMGRSLANSFRLVDPDDDESGKFGLMVVRYCDPKEMGETEVEEEVLCRQLRQAANYQQRLNFLHRDEGYAWLDEEDDGYAESDGEESCFFLILGYATTLHSTLSCSKRLTISSKTIYHVDQRERFRMELIRPRMLKDCLLEDSNSCSSNGFKSMPRRPSLNPFPMIPKKKKQSSALQAVINAVKNFSSNAIKSTPSGILPRSLSRRLSSSKNKVENKANITTIVRVKDIVRWRSSKDLLHEDTSHFKPHQYTTKTITGSSTGSDTSSSSWCDSDFTSEFLPSAWGGNVEKEEGGENHKLQCVGEDSCTAVTDADTVVGPEDLQCEEEQNSPVSVLEIQLGEDDEASDSSFSQCLDNVERTKQKLMETIQRFENLANISPFNLEEWGEESCKDESCDTEIEEEEDDTDEVEEKAAELWERVKERHAIWIHEEHLIMDYFRDELMQRTNETHQHFEEQLVGEAKRWLEGQRVSELECGTGEQRRQACARDIERLDWNDKRMKEEREEVAMQIEEGLFSLLMNETLYTLFE >A04p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20689280:20691444:1 gene:A04p035970.1_BraROA transcript:A04p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDTKKLNRSTPWNADDVISIVMPYITDPKDRESASLVDRTWLRADSETRYHVTMALCYASAPDRLSARFPNLRSLKLKGKPRAAMFNLIPENWGGFVTPWVNEIALSLRRIRSVHFRRMIVSDLDLDVLARARGDELEVLKLDKCLGFSTDGLFTVVKHCRKIKTLLMDDSSFLEKDGKWLHELALHNTSLEVLNLYMTEFTKLSPRDLETIARNCHRTLVSVKIGDVEMLELLGFFKAAVNLEEFCGGALDEDPETPDKYKKLAFPPKLSRLGLTYLGANEMPILFPFAAQLRKLDLIYSFLETNDHCILIQKCPNLEVLETRNVIGDKGLEVVAKCCKKLKRLRIERGAHEEEMDDERRGADEDGNEDLGYLVTQRGLTALAQGCQELEYMAVYVTDITNECLRTIGAYLKNLRDFRLVLLDQEERIVADLPLDKGVRSLLRGCEKLRRFAFYLRPGGLTDVGLGYIGQYSPNVRWMLLGHVGETDEGLVEFSREGCCPNLQKLEMRGCCFGERAIAAAVMELPSLRYLWVQGYRASETGQDLRVMSRPNWNIELIQARRVPEVNLGDVREMEHPAHILAYYSLAGQRTDCPPTVKVLREL >A04g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17173226:17174267:1 gene:A04g507130.1_BraROA transcript:A04g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLRCQKQNADHSFTVSFSYSFVLSVFDQIRPSIDEDRNYDATRAYALSKLANVLHTIELSRILHKMDANVTANCVHPGIVTTRLIRDGGDGLITDFVFFLTSKLLKSAAATTCYVAASPRLRNVCGKYFSDCNDGFVSVLTENGHTKDDLKLPTDESLLTQINLYRSFLIAPKVSDL >A03p017900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7317431:7317670:1 gene:A03p017900.1_BraROA transcript:A03p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLILKRTLIMLLIIFSSPISQARILQADRVANMGNIDSQVLLRELGFDLSKFKGYNERRFLVDSDRVSPGGPDPQHH >A06p025890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14414501:14419773:1 gene:A06p025890.1_BraROA transcript:A06p025890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISETASAIKRRFGFNDRAATSESARAVPCTPDPSAVPRENHAHHEAMVRKMGDLEEEAEISGGSAAQISRSHSFEFNEDPAFWKDHNVQVIIRTRPLSSSEISTQGNNKCVRQDNGQAITWIGNPEARFTFDLVADENVTQEMMFKVAGVPMVENVVAGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEFLFSRIQKEKEVRNEEKLQFTCRCSFLEIYNEQILDLLDPSSTNLQLREDHKKGIHVENLKEIEVSSARDVIQQLMEGAANRKVAATNMNRASSRSHSVFTCIIESKWVSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSVSNGKSVHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSSSCSLETLSTLKFAQRAKLIKNNAIVNEDASGDVIAMRLQIQQLKKEVSRLRGIVNAGVDNQDIDTTSMSCPASPMSLKWDGFNGSFTPLTTHKRTSKPKDYEVALVGALRREREKDAALQALTAENEASMKLEKKREDEIRGLKMMLKLRDSAIKSLQGVASGKISVEAHLQKEKADLLKEIEVLRAQVDRNQEVTKFATENLRLKSQCEEGERDILNQQIQVLQAKLLEALDWKLMHESDYSTVKEDGNISNMFYSNQNQELKKLSSIQDENEFLRMQAIQNRAEMESLQKSLSFSIDEKERLERLVENLAKQLEGIKSSGRVGDGDQIEVETMVQAIACASQREAVAHETAVKLSKENEELCQKIKVLIEDNNKLIELYEQVAAENSSRALGNTETHSSSNNAEAQKNNSCDITLEVEKSAEEELKKMIGNLENQLSEMHEENEKLISLYEKAMKEKDEFKRLLAAPAQEKLIEADASDTEMELCNITPSDRSTGDLNSARLKLELAEEKLSVSAKTIGVFSSLEEIILDIIKLSKQSKEAEDKVKKHQKELGLIEAVSDQTKARKEVAERKLAALRCSLSNFVSSSAYFQQREERARARVKASSDHLNQKSEELNVLQSYKREIDAAMGKIQQSEAELKSNIVMLKIKVDEENRRHEEEKVLCAIDNIEKINTPQRNTLLTGKATDLLKSEEEKTKLQSEMKLSREKLASVRKEIEDMNRKSLKLEKEIKTVEKEIEKRSTTRSESERELEHTIQEKQCLEEMEEVGMCEIQNMIMEIHQLVFESDLRKEEVKTVREELDAEEHRANEVHKATMVAVEDALKKKKSGGEYLLSGKVEEEVGSVLCLVHDAAKLLEDSH >A09g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10473410:10474366:1 gene:A09g503230.1_BraROA transcript:A09g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSVTFTVLLLVLLMASTEILKIEAMNIKARCLPQGCKNATFSEECGPEPFTGSNNDCCHCCVAKYGREAVCKGVIEGPDKHCHCYKERMPSTGCKNATFSEECGPEPFTGSNNDCCHCCIARYGRNAVCKGVVELPDKHCHCYKER >A06p036790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19937842:19938354:-1 gene:A06p036790.1_BraROA transcript:A06p036790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHGGEKHEWSKVTIDMSLPDMLKTLVAGVTLDGEIVIMPKTLDSAQTLLYAYFYNPKENKTRRVEFETTALKGERGVCILSEPDHMENAMSLLGSQFNKKPVRPLYVLKKKSVTTLRLMYSAAADVFSCNKSFLSPKKLLGLAFLCVALVLATTFQTWLMSLFDSFRF >A09p015410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8019203:8026063:1 gene:A09p015410.1_BraROA transcript:A09p015410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLSLTCIRKERFSGRYPVLWKNLNRPRGGGGGDGSPSETAVLKIDDEEAIASAVFRVIGMTCSACAGSIEKEIKRLPGIHEAVIDALNNRAQIQFYPTSVNVETIRETIEDAGFEASLIENEANERSRQVCRIRINGMTCTSCSSTIESVLQSLNGVQRAHVALAIEEAEVHYDPTLLSCDKLLEEIDNAGFEAVLISTGEDVSKIDLKIDGEFTDESMMMIEKSLEALPGVQSVEISHGSDKISVLYKPDVTGPRNFIRVIESTVFGHSGHIKATVFSEGGVGRESQKQEEIKQYYKSFLWSLVFTVPVFLTAMVFMYIPGIKHLLMYKVVNMLTVGEITRWLLATPVQFIIGWRFYVGSYNALRRGSANMDVLIALGTNAAYFYSLYTVLRAATSPDFKGVDFFETSAMLISFIILGKYLEIMAKGKTSQAIAKLMNLAPDTAILLAVDEEGNVTGEEEIDGRLIQKNDVIKIVPGAKVASDGYVIWGQSHVNESMITGEARPVAKRKGDTVIGGTLNENGVLHVKVTRVGSESALAQIVRLVESAQLAKAPVQKLADRISKFFVPLVIFLSFSTWLAWFLAGKLHWYPEAWIPSSMDSFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALERAHKVSCIVFDKTGTLTMGKPVVVKTKLLKNMVLREFYELVAATEVNSEHPLAKAIVEYAKKFRDEEENPAWPEARDFVSITGNGVRATVNGREIMVGNKNLMSSHKITITADAEELLAEAEEMAQTGILVSIDNELTGVLAVSDPVKPSAREAISILKSMNIKSIMVTGDNWGTANSIAREVGIDSVIAEAKPEQKAEKVKELQAAGHVVAMVGDGVNDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYVWALGYNLMGIPIAAGVLFPSTRFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLDSLAIREMLRNGPRFGYVLVLGCVLFEDDALVYASEAIFILSFINRYISSSALEQDANGNSLELSQYKDKVLLIVNVASKCGMTNSNYTELNELYNKYKDKGLEILAFPCNQFGEEEPGTTDQITEFVCTKFKSEFPIFNKIEVNGENASPLYKFLKKGKWGIFGDEIQWNFAKFLVDKNGQAVERYYPTTSPLTLEHDIKKLLNV >SC163g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:109805:112517:-1 gene:SC163g500080.1_BraROA transcript:SC163g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKSKTLSVAVTLKGGTNYLVWSRLVKAAVGSKGLWSHISGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGETSLMELMRMKGAQVLINKGCEMKMKLKKEISLRYNLKEEKKEKY >A04p000720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:363842:365026:-1 gene:A04p000720.1_BraROA transcript:A04p000720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGRAWNMALILDTFSQKDAEIILKLKPNADQADEVCWGFSKNRDYTTKSGYAVLDAIEELNLPHEYLSGCGLYDGSLRLEFCCLVQTADGVAQVQRRFVLKSILWNGELTTSGLHHSPVGGGRWKRWLAYELRKLCSKLLRRFSATPSHSLLMILPSVAWSPRRPSENGDWSTCLRNQDRNSVASQIALSVLRDGRLQSYIALGGPS >A02p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20451354:20452879:1 gene:A02p035830.1_BraROA transcript:A02p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINKKITHTQTTSSKTNIKMTNISLSTFIFSILLLISTATAATFEIINQCGYTVWAAASPGGGRRLNSGQSWTLNVPAGTSMARIWGRTNCNFDSSGRGRCETGDCTGGLQCTGWGQPPNTLAEYALNQFNNLDFYDISLVDGFNIPMEFSATSSNCKRILCTADINGQCPNVLRAPGGCNNPCTVFKTNEYCCTNGQGSCSPTGYSKFFKDRCPDAYSYPQDDPTSTFTCSNTNYRVVFCPRARLGATGTDQLPIQMVTEEN >A10p034210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19890642:19891093:1 gene:A10p034210.1_BraROA transcript:A10p034210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIYNSALPLLLFLVFITQEVSSSLQPVQPPNSPQVALVEDKARLGSTPPSCHNSCNGCHPCMPTQVPTLPSRSRFTRVDPFSGGFVRPPSSLTTVLDQYSNYKPMGWKCHCNGHFYNP >A03p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7329297:7330266:-1 gene:A03p017930.1_BraROA transcript:A03p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGIAWVENVYQRFEAMCLELEDVIVQDTAKYVESQVQTVGNSMKQFYSDVVQDLLPDDSVVSEKPLPVSMLHEYAPVCSFKKKRESFSGKNRDVKQEQEVTEGEKSGCEMKLRGLDADSTSPSTVHNTRLRNDVGTVKSSDSPRGEVATLNSKEEDSMQTSSSSVPEQLSGRSVEESCIIVDRDELHCVFPDRKENDKHKPYKKIRDAIFSRMKQNREKEYKRLAQQCYAEDVVNGRECGDNPERIEENQSAEESEWELL >A02g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1595177:1596844:-1 gene:A02g500550.1_BraROA transcript:A02g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTSFSILLRRQARRRVFSSGAQAGSIPMRKRKGSRRASPPKIPSGVQASGSIATDSFATSGSVQDSLISKEAPASQTTASVSIIPDPTSEPESGSENNKPVNLPPPTKGSDSDSQGLEKVTPHTTASVSKLQDPTSDPTFGSENQITVATVNSAKVTLVANPENKHVCDAKEEEQGETSMSAETRVTVTNGSRPPDLGRPDSSPA >A01p047440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26757767:26759687:1 gene:A01p047440.1_BraROA transcript:A01p047440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSSSNSEGAKAEQIIFEFFAKSLHIILESRTPFMSSRNFSGDQMICSPSPSSSSSSSSSVRPRDKWFNLALRECPAALESFDIGRRSSLEPLVVDVVLVARQPFQMSLSDQDETKNEQVIERWLVQYDNRKVREAAASRSSSSNSKLQVMYKKATLLLRSLFVMVRLLPAYKIFRELNSSGQICKFKLVPKVPTIVEPFTRREETEMQKFAFAPVDTVCGRLCMSVMYRSLSDVSCEHSAPVSPTFITDYVGSPLADPLKRFPSLPLSYGSPPLLAFQRRHSWSFDRFKASSSPPPSVSCSPSPTRSDSQALVRRLPDIPTGRRKDEERDFSPPCSPSGPVSRGITRTESAPVRIPAPSFESKQNLVAPSPRLKLLRQASLKPVRNSGGAVESGAGLDKLFLYGREDFRRSSGVRLSTNSSPRISFSRSSSRSYQEDFDDNDFPCPFDVEYDEITDPSSRPGSFDQRGDIHEPPLESSGSYPKKSQDAAVGALVNMLKKAPPLRQDVSESSIPEIYWNNNNKKPAGAHEVAAASMTASGIALAAKTTADALEELRSYKEMKNVLLSQSTMGSSSVTTSPFAVSGS >A08p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10272955:10274361:-1 gene:A08p015720.1_BraROA transcript:A08p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQIEKPNDIENVEPYISFDISDDLCNEFVKNYRSSPPPSQISKSKPVMDLSPVTDALAVKSFDKIADICDTLMLQVAAEGISFHDDWPYAIHLLGYFYLDDCDSARFLWKTIPASVKESKPEVAAAWRIGQKLWTRDYAGVHEAIRGFDWSQDAKDMVAAFSDVYTKRMFQLLLSAYSTITIRDLALFLGMAEDDATTYVVEKGWTVDAASQMVTVKKQAIKREQKVDSSKLQRLTEYVFHLEH >A07p009250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5656496:5656859:-1 gene:A07p009250.1_BraROA transcript:A07p009250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETNMLYGSDGASRKNVADLKSRVSFQSIATIIAMVSVVEHRRLQRENVMEQPESSVFRDLKIRLLLDVVFMLVIGVMVAGMVMASVADERESLIGATMDNF >A09g516850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49597570:49598712:1 gene:A09g516850.1_BraROA transcript:A09g516850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAASTVSVAPQPPRLTNAFSRKLGSVSSLSFGSIERKHCIGNAKLRVSASSSMDDVVTAEKISPASFLDKRETGGVLHFVKYHGLGNDFILVDNRDSSEPKITQEQAAKLCDRNFGVGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAEIENLQGKHSFTIHTGAGLIVPEIQVDGQVKVDMGIPILKAQDVPTKLPGNKGEAVIQAELVVDGVSWNVTCVSMGNL >A10p002690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1390015:1391199:-1 gene:A10p002690.1_BraROA transcript:A10p002690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYKMKGLFKGLRYISQIFENEKEPEMQIGTPTDVKHVAHIGWDGGSPNQNSPSWMNDFNASGGYSSSPLGNIKEDGSCISEDSTRSRDIPRYPKSSRDRSNNLESPAKERTRRGSSNSSGNPKTSRRSKESSSISQDGSVRSRRKKSKDSVNGGSTRSSRRARDSQTESISGSMSDGESLISLSFEDL >A07p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12830741:12833495:-1 gene:A07p021810.1_BraROA transcript:A07p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSNASDGNREVREPLVDKDMAESKPEQPWMVYLSTFVAVCGSFAFGSCAGYSSPAQAAIRNDLSLTIAEAMRVSSAFCVVGWLAIFFAKGVVALDLGRLATGYGMGAFSYVVPIFIAEIAPKTFRGALTTLNQILICTGVSVSFIIGTMVTWRVLALIGLIPCAVSILGLFCIPESPRWLAKMGRDTEFEAALRKLRGKKANISEEAAEIQDYIETLERLPKAKALDLFQRRYIRSVFIAFGLMVFQQFGGINGICFYTSSIFEQAGFPPRLGMIIYAVLQVVITALNAPIVDKAGRKPLLLVSATGLVIGCLITAVSFYLKAHDMAHKAVPVLAVVGIMVYIASFSAGMGAMPWVVMSEIFPINIKGVAGGMATLVNWFGAWAVSYTFNFLMSWSSYGTFIIYAVINALAIFFVIAVVPETKGKTLEQIQAVVNP >A05g503010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9066845:9067879:1 gene:A05g503010.1_BraROA transcript:A05g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRDLKFSPIDQNLVGYYLRNRVDTGKDGFITDIKLYEDEPWLLPHVKNDQFKENMWFYFVLRTRNLGSRPKRTVPGRGSSNGGTWTTSGVKKAITDRNNPKVVIGYKTELAYHKKVKGKLKGDTTGWCMTEYWLASENDAQFQEVVLCHLRDNNKMVVDESKNGDNDIFTEQQPQQGNSDDNNNRLLDFSHQQRPLIPPFEGQGLRLQTIMGYSDKATQEQQHPPISPPPQRQDSGSINNALVIMEDECVSQDEIFNLADLEAGITHPQQQHRQMMVDPYDDISFSRLAMPNNLIYHHEDSWHQDTSPWNNTNPRGLIFNSHGYEIQDQTVTKGHNQDSYY >A01p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17534030:17534316:1 gene:A01p037510.1_BraROA transcript:A01p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVHHSVLNSLLIITRETITHSLWFKLSVCIAEAIQLASLSSSTPRMATPRSSARTGVSSITESICRDYS >A03p029130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12247540:12250682:1 gene:A03p029130.1_BraROA transcript:A03p029130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHMTLSFLFLFIFKFQDVTAFATTARHLCRPEQRNVLLEFKKMFEIRRSSSKLCNINGRIVGSYPKTESWGNNSDCCYWGGVTCDAKSKDVIKLDLSCSCLHGRFHSNTSLVMLQNLPSLKTLDLSNNYLYGQVPSSIGKLSCLTSLSLSNNRFSGEILPSLENILRLAFLSLSNNLFSGQIPLWIGNFSHLTFLDLSSNHFAGQIPFSVGLLSQLTSLSLSDNQFSGQIPSSLGNLSHLTSLDFSSNQFSGQIPSSLGKLSHLTYLQLFDNQFSDQIPSSVGNLSHLTSLHFSHNQFSGQIPSSVGKLSRLTSLDCCENSLVGQIPSSFSLLNQLTNLVVNSNKLSGNFPIALLNLTKLSYVSLSNNRFTGTLPPNITSLSNLEFISAYDNSFGGPLPSSLFNLPALTSIYLTNNQLDGSLKFGNISSPSKLRVLSLGSNKFKGPITSSISKLANLEVLDISHWNAHVDFSIFSLLRSLQRLYLSHLRATPRIDLSTILSCFKSLDLLDLSGNHVLATNKTTVSDVLPSLVISNLNLSGCGITEFPELLRTQTLLQTLDISNNEIKGQVPGWLWMLPNLGYLDLSNNTFIGFERSTNRKLSSVLGHLFGSNNNFSGEVPSLVCELHSLRTLDLSNNNFSGSIPLCMGNLKSTLSVLNVRQNRLSGCLPGNAFESLRSFDVGHNQLVGKLPRSLVHFSALEVLNVESNMINDTFPFWLNSLQALKVLVLRSNAFHGPVHQAAFLKLQIIDISHNHFSGMLPSDYFVNWRKMSSRETNEDGSNLNYIGEGYYHDSMVLMNKGIKMELVRILEIYTALDFSGNRLEGEIPKSIGLLKELHVLNLSNNAFTGHIPSSMENLTALESLDVSQNNISGEIPQELGNLSYLAYMNFSHNQLVGLVPGGTQFRRQACSSFKDNSGLFGPALDEDCRDIHRPSSPPYERLEVEEEEEEEDVFCWIAAAIGFVFGLAIGCILVCYKTEWFTTPFGRNKHRSRSTPTPFLYPS >A06p052020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27521023:27522679:-1 gene:A06p052020.1_BraROA transcript:A06p052020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAREEFQRLKGIIKLQAVIRGHLVRRQAVATYSCIWGIVKFQALVRGKNARSSETGVQLQKTNTETESSETLQVTNTCSWLGNPTKLSMIDKILVSSPTALPLKIQYSPEDPNSAKVWLDRWTQLQVWAPGPLVVKSLVPKSQTKKRSFQAVEADKGKLKRGIKKPPGGLNTGTSSSSRSTAENEKPKRTVRKPSTLGKELNDNKSKQSSRKSTSAIKEGSSLEVKDEKPRTSLKKASVSNGVEKPARKSAEKKKKEIVDSVQKELPGDKVSASVVDDTPEEGEEKVKDSPETVSKEADLDKDENVLVLDKPEKDELKTAERNDKAEEEIQEPDVLIISSENGNVVSENTKQSDRRTSLPAKIESQQQEDGLTTQSGRKIPSYMAPTASAKARVRGGQGSPRIGGQEKPEKNGTTRRHSLPHIANNDKLSAMSPRVHRLLIASAKGSINSDRSFSSSKDIGDKSTKAEWKR >A03p048140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22068699:22069901:-1 gene:A03p048140.1_BraROA transcript:A03p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYFGIVVFQFLFFTLSLAIRDNFFFTSDSDVSRNVSYDEIKNLVPSDPNETSPSSPPVSIENIGMKRLVPSGPNNETSPPSPPHFIEDIGVKRLVPSGPNNETSPPSPPHFIADFGVKRLIPSGPNNETSPPSPPHFIEDTRVKRLVPSGPNNETSPPSPPHSADFGVKRLVPSGPNNETSPPSPPHSIADYGVKRLVPSGPNNETSPPSPPHSIASFGVKRLVPSGPNNETSPPSPPHSIADFGVKGLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNETSPPSPPHFIANFGVKRLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNETSPSSPPHSIANFGVKRLVPSGPNNETSPPSPPHSIH >A06p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4910901:4912264:-1 gene:A06p002950.1_BraROA transcript:A06p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEPETVDFEPEEDDLMDEDGAAADLSPRAANPRLRSTIAGANDDSAQRKTKGRGFREEKDSDRQRRLSSRDFDSLGSDGGPGPQRSIEGWIILVTGVHEEAQEDDISNAFGDFGEIKSLHLNLDRRTGFVKGYALIEYEKSEEAQKAIKAMNGAELLTQNVSVDWAFSNGPNAGSYRRRNMRSGRSQRSRSPRRRF >A02p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14800012:14801995:-1 gene:A02p029230.1_BraROA transcript:A02p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGEDEKDMKMEEIGEVGGGGGNRWPRPETLALLRIRSEMDKAFRDSTLKAPLWEEVSRKMKELGYKRSAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYLFFEELQALEALNSYPPEPGSQPLKSSTATTTVITTTSLIPLNHHQVSVKPIATIPTFLAKQPSPTTPFPIYNNNHATTIDTGFSPTSNDLINNVSSLNLFSSSTSSSTASDEEEDHHHQDKRSRKKRKYWKGLFTKLTNELMEKQEKVQKRFLETFENQERERISREEAWRVQEVARINREHETLVHERSNAVAKDAVIISFLHKISGGQQQQQQKHEVPQRKQYHSEHSITFESKEPRPVLFDSNHSLSPSSSRWPKTEVEALIRIRKNLEANYQENGTRGPLWEEISGGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPFDSKTCPYFNQLEALYNERNKSGALSILPLMLTPQLLPQETQTELKTDQGDKAEEGESEEDD >A08g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6548995:6551061:1 gene:A08g503890.1_BraROA transcript:A08g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRAMTRLTCETVQATLSKSSHNRHNTWQQPPPPPIAQIRQACNATRFPDHCFSSLSKPGLVPQDPKPVQIIHSDISLSFETLNSGQSQIKSILDSSAGNKNRTNIHRTESSDVAVTSGAIKDARAWMSAALAYQYDCWSECLKLIPHSKFSFAKIWLPVLGRYEETLLGKLQKISKYAELERSLAETGLARTIFELAISQPALDMP >A03g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32167923:32168334:-1 gene:A03g510030.1_BraROA transcript:A03g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A04p031670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18614174:18616706:-1 gene:A04p031670.1_BraROA transcript:A04p031670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLIDLNVTEEEDETLSSASGSLSTSNSSSSAFVANGSSSSSSSSGVCLELWHACAGPLISLPKRGSLVLYFPQGHLEQQAPGFSAAIYGLPPHVFCRILDVKLHAETDTDEVYAQVSLLPESEDIERKLREGVIDVVDGGDQEDYEVVKRSNTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSKPRPSQELLARDLHGLEWRFRHIYRGQPRRHLLTTGWSGFVNKKKLVSGDAVLFLRGDDGKLRLGVRRASQFEGASAFSAQYNQNTNHNNFSEVAHAISTNSAFNIYYNPKASWSNFIVPAKKFLKIVDYPFCIGMRFKARVESEDASERRSLGIITGISDLDPIRWPGSKWRCLIVRWDDNEANRHQQRVSPWEIEPSGSISSSGSFMTTGPKRSRIGFPDIPVSEGIHATDFEESLRFQRVLQGQEKFPGFINTSSDGGAGARRGRFKGTEFGDSYGFHKVLQGQETVPAYSMTAHQQHGLSQGNFSSRFLPPSLVHVSSSPSSVLITNSNRPNGRLEEHQGGSGSRCRLFGFPLRDESTAMVVPCVEGQKGVSGVQSNHHSQGRGIYGMRDMLLDIAL >A05p052260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32361959:32365156:1 gene:A05p052260.1_BraROA transcript:A05p052260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha-7 [Source:Projected from Arabidopsis thaliana (AT3G05720) UniProtKB/Swiss-Prot;Acc:Q9M9X7] MKGGRTTSWTTTSVRRSGYKAVVDGVGGRRRREEDMVEIRKAKREESLLKKRREALPHPHSPPLSAAVSLDQNLISRIWSDKKELLIEATTQIRTLLCGEMFSVHVEEVIQAGLVPRFVEFLTWDDFPQLQFEAAWALTNIASGTSENTEVVIDHGAVAILVRLLSSPYDGVREQVVWALGNISGDSPRCRDIVLGHGALPSLLLQLNNGAKLSMLVNAAWTLSNLCRGKPQPPYDQVSAALPALAQLIRLDDKELLAYTCWALVYLSDGSNEKIQAVIEANVCGRLIGLSVHRSPSVATPALRTIGIVVTGNDSQTQYIIDLQALPCLLNLLRGPYNKTIRKEACWVVSNITAGCQSQIQAVYDADICPALVNLLQNSEFDVKYEAAWAICNAVAGGSCKQILFLVKQDCIKPLCDILTSSDTQLVMICLESLEKILKVGEACETRHAEGNQCTETNVNPHAQLIEDAEGLEKIESLQSHENSDIYEMAVKILETYWLEEEEGEDEVEEEDKGRQDIVYFPVDNFANMPTPSCTLGEMYCGP >A07p025010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14411986:14413444:-1 gene:A07p025010.1_BraROA transcript:A07p025010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGSIWFYQESMDELRQKLQYTSFELEAVKTKANEESKLHQDEVNSLLHLLKLARQERDEAKDQLQKLLAIRTNSSITESNSHGSSPVDSFLEPVSSPEFSNFNILPESVHQIKFRNRPVNRISNSQQRPVKIDPAEALMNEIIKGKTLPEKGKFLQTVMESGPLLQTLLVAGPLPRWRNPPPLQQSFRVPPVSNSYDIMKGCSTKTTTSMLNFRSCSVPGIATGMEFAKRQRFH >A03p021060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8704247:8706222:1 gene:A03p021060.1_BraROA transcript:A03p021060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRGKEEKGGILNIKERNERTNGGDKKREKSKNLIISNRRASDQISPFSRSLFPASFPSSSNQHLSISLPPFFRILLLMAESQAKSPGGGESGGDQSPRSSSHVREQDRFLPIANISRIMKRGLPANGKIAKDAKEIVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKVYLTRYREGDTKGSAKGGDANAKKDGQSSQNGQFSQLAHQGSFPQGPYGSSQGQHMMVPMPGTD >A01p057460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32497487:32501364:-1 gene:A01p057460.1_BraROA transcript:A01p057460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSSELQKPEKDRRKQGLTIYSGRRKDSGGGTDAHAPTDRRIPRVFSQALSVSIPLSHSAPASCTPLHREMTLKLSTSPCMQHFSKLRVDRPYRDEVLSMMEYNGHKVVKDTIYGSGSKKYRYCKHISKQRLFGLPTELMSKGKHFILIRNPLNILPSFEKVQPPSFLELGLGELVSIYSDLCQMGTPPPVIDADELQRDPENTLRGLCDDLEIPFQASMLKWEAGPIPEDGVWAPWWYKSVHESTGFSSPKKYPRIFPLSHYDLLEQSLPLYNILRSHVKHKSSLLSCPLPPPSLPVPENAKLLAWVGDEILPREMAKVSVFDSVVQGGDSVWEGLRIYKGKIFKLEEHLDRMFDSVKALAFDNVPSREEIKEAIFRTLITNGMFDNTHIRLSLTRGKKVTSGMSPTFNRYGCTLIVLAEWKPPVYDNDGGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIESSNAKAADAIMLDKDGFVSETNATNIFMVKKGRVLTPHADYCLPGITRATVWTTGTMGELSPVVQIDGRVIGEGKVGPVTRTLQNAYKNLTEDSGVLIPTYQAA >A10p017620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2338285:2342189:-1 gene:A10p017620.1_BraROA transcript:A10p017620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAMANNGRIRSAFPVTNGSKDLTPNSAPASTAGSEYGPVEFSREDVEALLNERIKYKSKFNYKERCENMMDYIKRLRLCIRWFQELELDYAFEQEKLKNALEMNEKHCADMETSLRNKEEELNMIIEELRKNFESAQIQLAKEQTEKLAANDSLGKEKDSRLAVEKAQAGLTEELGKAQGELQTANQRIQSVNDMYKLLQEYNSSLQLYNSKLQGDLDEAHETIKRGEKERTAIVENIGNLKGQFSALQDQLAASKASQDDIMKQKAELVNEVASLKVELQQAKDERDHHLTELKTLQTEAAKYNDFKDTIAELEATCSSQSSQIRELQDQLVSSDRQLQMSDLTTFEKMNEFEEQKQTIIDLKSRVEEAEIKFVEGEKLRKKLHNTILELKGNIRVFCRVRPLLPGENNADEGKTISYPASLELLGRGIDLIQNAQKHSFIFDKVFVPNTSQEGVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNVEEKGLIPRCLEQIFETRQSLRSQGWKYELQVSMLEIYNETIRDLLSTNKEAVRTDNGVSPQKHAIKHDAHGNTHVAELTILDVKSSREVSFLLDHAARNRSVGKTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLGDVIFALGKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNIAPESSSTGESLCSLRFAARVNACEIGTPRRQTNIKQLDRLSLG >A09p006960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3646507:3647401:-1 gene:A09p006960.1_BraROA transcript:A09p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGPSPPHTPLLNRRNNHKPPHATVSSALPDLFLAAFSLLFLWSSPKPLVSLPPNRLSFPLTPRRRSTASMSRRSPPSPPPPPQRFANARRDCRPTRSPHGESKPGTKNVHNLWLELSDGETSLADSTPPVRTVNVVSVRVIGEDGKVLVESHQELSDGSVRERFRPLSEKMKPEETPDEAVFRAIKEELGSIFNGENDVGERIKILPGTYSRRVEERNSLSYPGLPARYALHSVDATVRGLPEEDFCTEEREYDGDATVDSEETRAVGKAVTVKRHYWKWVSPSSVRS >A08p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2529349:2529854:1 gene:A08p004370.1_BraROA transcript:A08p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A09g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24283082:24284292:1 gene:A09g508710.1_BraROA transcript:A09g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEVFTYISSNGNFDVVFSDEFNRPSEMRDSRVDLMIEMHRKKYNWTEEEAGEASENEMEEEIESTHVSAATKRKNKFQDTGAESRKKRLLCQRSTEKIENLTRPLYQIRGEVLSSQTAHAAPASNPSHFATPASTGDAGATPASTHALASDSTRARAPTANQASTHTSAPATSRRRAPAPSCSGAPTLSHTCGPASSAKIRSQTKDPELSDVFGSLFDTLNVNLGTQEHLQKTMGNLTQESHVNGFDPSQEKQSEEPSAFTTPITSFRPEIFNHHS >A03p064440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28018028:28022174:-1 gene:A03p064440.1_BraROA transcript:A03p064440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNDKKMTKSYFDVLGICCTSEVPLIENILKSLDGIKKYSVIVPSRTVIVVHDSLIISQFHIVKALNQARLEANVRVTGETNFKNKWPSPFAVVSGVLLLLSFFKYLYSPFRWLAVAAVVAGIYPILAKSIASIARTRIDINVLVVITVGATLGMQDYTEAAAVVFLFTIAEWLQSRASYKVFTSTISCYASYQGYCDLIKCWFQASAVMQSLMSLSPQKAVIAETGEEVEVDELKINTIIAVKAGETIPIDGVVVDGNCEVDEKTLTGEAFPVPKLRDSTVWAGTINLNGYITVKTTSLAEDCVVAKMAKLVEEAQNSKTETQRFIDQCSKYYTPAIIIISLCFVVIPFALKAHNMKHWLHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKGADYLETLAKIKTVAFDKTGTITRGEFIVTDFKSISRDISLHSLLYWVSSVESKSSHPMASALVDYAKSVSVEPKPEAVEDYQNFPGEGIYGKIDGKEVYIGNKRIASRAGCSSVPDTDVDTKGGKTVGYVYVGETLAGSFNLSDACRSGVAQAMKELKSLGIKTAMLTGDNQAAARHAQEQLGNAIDIIHAELLPEGKSEIIKEFKREGPTAMVGDGLNDAPALATADIGISMGISGSALATETGNIILMSNDIRRIPQAIRLARRAKRKVVENVVLSITMKGAILGLAFAGYPLIWAAVLADVGTCLLVILNSMLLLRDKQKGGNKCYRSSSSPCVLNAEKHEGDAAGDMEAGLLPPTRSDKHCKSSCCGKKNREKVMKPHHGHSGCCDKKQKDNVKIVRKSCDSGCCGSGNKTQQPDQDEGCHDMPSEEIRLDVGDGGDCKSVCCGTGTIQQEGSSNLVNVDGEVKVSVKGCCSRPAEHVVASLKVKSNGHCESSKEDKEETCSEVKMNCGSRERSHSHDHHHA >A07p044240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24161233:24164020:1 gene:A07p044240.1_BraROA transcript:A07p044240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSSLVSSKTVVSLFLSLPRTVKSSFLSFTPASLSFKPLRTRSSNATKIDEVTSNILDEDMDQIRRLQNGSDVRGVALEGEKGRTVTLTPAAVEAIAESFGEWVAAMESNGNGVIRVSLGRDPRVSGGKLSTAVFAGLARAGCLAFDMGLATTPACFMSTLLPPFEYDASIMMTASHLPYTRNGLKFFTKRGGLTSPEVEKICDLAARKYANRQTKVSTLIRTRPEQVDFMSAYSKHLREIIKEKINHPEHYDTPLKGFQASIVVNAGNGSGGFFTWDVLDKLGADTFGSLYLNPDGMFPNHIPNPEDKTAMSRTRASVLENSADLGVVFDTDVDRSGVVDNKGNPINGDKLIALMSAIVLKEHPGSTIVTDARTSMGLTRFITERGGRHCLYRVGYRNVIDKGVELNKDGIESHLMMETSGHGAVKENHFLDDGAYMVVKIIIEMVRMRLAGSKEGIGSLIEDLEEPLESAELRMNILSEPRDAKAKGIEAIETFRQYIEEGKLEGWELDSCGECWVSEGCLVDSNDHPSAIDAHMYRAKVMDKKSGEEYGWVHMRQSIHNPNIALNMQSVLPGGCFSMTSLFRDRFLEASGVARFLDISDIDNYIGSQS >A03p019410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7910772:7911285:1 gene:A03p019410.1_BraROA transcript:A03p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPVTVTDYSLSSFYKGVYAVVDDSSLDAVVSWSKNKKSFIIWDPIEFQRRVLPTGRERRIRSLNFSMFMADLKYYGFIRVKGSKHRYHIGHPKYFVRGKPELMKKMQEEAHEKRMHKFDQDRAMRKKAKARAMKLADALGDLGL >A06p016180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7242088:7243549:1 gene:A06p016180.1_BraROA transcript:A06p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFKSFTFTNLHIPSSSSYSTKQISGPNHGCWFSMKKNEKREKVLMRGSLCVRKALPHDLPLMAVMVQQIEGMRDIITEKHVWHLSDKAIKNVYLFYIMFTCWGCLYFGSAKDPFYDSEEYRGDGGDGTGYWVYETQEDIEEKARAELWREELIEEIEQKVGGLRELEEAVNK >A02p044270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27878893:27879888:-1 gene:A02p044270.1_BraROA transcript:A02p044270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCSLTPFILLFFLFLVAPAVSSAQATRDLVTMVGGPYFDVKLGRKDGLESKAHKVRGNVPMPNQTVHDIHGMFKKNGFSLREMVALSGAHTIGFSHCKEFSDRLYGSKADPEINPRFATALKELCKNHTVDDTIAAFNDVMTPGKFDNMYFKNLKRGLGLLASDHLLIKNNSTKPFVDLYATDEKAFFEDFARAMEKMGTVGVKGDGEGEVRRRCDHFNNLNV >A07g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5908127:5916066:1 gene:A07g502900.1_BraROA transcript:A07g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVKEVADSVTLDEKFVRIFVEANQPRKITITNIVRVSNANQVAQFLEVVREFLAEGNVIPDVIDVAETNTFNLTSQWYDWGSEDPFYGLPHEDPKDLIKRLEELASANNMSQIIDFIYSEENGDIGTPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVKDDEYHVSEEQSKVEEADTKDPTSASIDSSNSESIDSRTSETFDTNICHRSIPSTIPDATTVIEEVDISDMSSEPIDTPTSTSIDIPTVTSIDPSLQTSIDTNSCCQPIPLEIPEKSSCPQDIADSTLKSIDVSSCYPDQKVEKEITMEDFLELEEFLELEDGQQLGDLDSSEEVTMEDFLELEEWLGDLDQKFDDQHTLGKGLENSLKADNIDRHKPDKIDRHPPYDIDLQSPSNIDQHTPDCIYRRPPNCIERHSCLDELSGYPIEPGTIEEIMHMSKTSHIDVPEHRRPPICAEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQHHVEAHQRGLRFRDEWRNSKKKKRINEGSQLSLIPHFSDDARRSRVQGKDPRKTSFHRNRRWLANIDRQSIKGIDRHLTVLVNIHIKSASQEEAAEKRKPRRSMQHPARRSMEIPDRGPCIFYDCVKPRSHKLPECPWTTRNSIYVIFKPLLTARNFLDFFTLSFPFFL >A09p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4874921:4877342:1 gene:A09p009460.1_BraROA transcript:A09p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINLTSSSPSTISLSRSRLSQTSTTNTLLHRITLPSSSFSIKTTSKVKASVISREDDLLSSYNTNGSLVDQDSSSPAPPNGFVAEDDDYELDLPTPGFSSIPEAIEDIRQGKLVVVVDDEDRENEGDLVMAAQLATPEAMAFIVKHGTGIVCVSMKDDDLQRLNLPLMVNQKENEEKLCTAFTVTVDAKHGTTTGVSARDRATTILSLASRDSKPEDFIRPGHIFPLKYREGGVLRRAGHTEASLDLTVLAGLEPVGVLCEIVDDDGSMARLPKLREFAAENCLKIVSIADLIRYRRKRDKLVERSSAARIPTMWGPFTAYCYKSILDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMQQIESAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEELGLPVDSREYGIGAQVLRDLGVRTMKLMTNNPAKYVGLKGYGLAILGRVPLLSLITKENKRYLETKRAKMGHMYGLKFNGDDVVEKIDDSATTES >A04p006880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3675516:3677916:1 gene:A04p006880.1_BraROA transcript:A04p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKDVYTEDGTVDIHKNPANKKKTGNWKACRFILGNECCERLAYYGMGTNLVNYLESRLNQGNATAANNVTNWSGTCYITPLIGAFLADAYLGRYWTIATFVFIYVSGMTLLTLSASVPGLKPGNCNGDTCHPNSGQTAVFFVALYMIALGTGGIKPCVSSFGADQFDENDEAEKLKKSSFFNWFYFSINVGALVAATVLVWIQMNVGWGWGFGVPTVAMVIAVVFFFLGSRYYRLQRPGGSPLTRIFQVIVAAFRKVSVKVPEDKSLLFETGDDESNITGSRKLEHTDNLKFFDKAAVESHSDSIKDGEVNPWRLCSVTQVEELKSIITLLPVWASGIVFATVYSQMNTMFVLQGNTMDQHMGKNFEIPSASLSLFDTVSVLFWTPVYDQFIVPFARKFTRQERGFTQLQRMGIGLVISIFAMVTAGVLEVVRLDYVKSHNAYDDKKIPMSIFWQIPQYLLVGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTVVMKLTKKNGKPGWIPDNLNRGHLDYFFYLLAVLSFLNFLVYLWISKRYKYKKAIGRAH >A05g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8432137:8434130:1 gene:A05g502680.1_BraROA transcript:A05g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFKHMRRTAMICLSSPKMYETCTSFSNKPMKQNKVEEPPDQSSNRQAVPLDAPIKRPNQVSATIPCLIINELEVVHTGLLCPEEFEERLGCLDHNSCLRSHLEKKQEIFPSVEIEIHRTDLYSDYSMTAITHLSLAKDVEFMTGTKAEDFRGDLSKTKIKPDRTGGGEEMLWAQKPYLNRSSARQTTTATTASIELPATEVHSKECISTTRRNIGIKPIFTEGKLNNSSLRLGITRKASRRKKLWILKLEVSQTVQLLVSALRSGFKPNHEAAQAKINYGLQEGCFPTISKPRILNQGSFHVIQDIQRTHPTIQKSLTSFYHTPASIRTRESLFRDQRLFPFQPEDTKINVSLFNNHRLLFRGYKTKISRYKIRPSKWLQISLTA >A04p025280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15316819:15317346:-1 gene:A04p025280.1_BraROA transcript:A04p025280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRISCCLNLPLLDSNSAQSSSQRLKTTSQISRRRTEDETEPRKNKCSLPMALAATVVIGAIQISNVPLVEAAVVKPTVIKETAPRRWSDKRACPPWLQNSLETIVPENLPRPSSHRRLELAGLGKRDAPPAGAVVTRASKAACFSM >A09p046690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40597852:40600039:-1 gene:A09p046690.1_BraROA transcript:A09p046690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVMFDGTVSLDSQDHDESVLVDNSVIKENPDVDFLENDMNNHRLTVSRFVSDTVETLAADKIAQTQVELSSSEGNETSQSRLILDYGETLLVQESCGLVLEKAKEIAGLRRELELISKLLSGREKGDIKALEDHEGTTDILQRKVSGKHIGSVTSKPENLEYLRQLPRDELINHFKTEMNQLKREHECTMQEMTEEYFSIKRRCLKLEECPSLSFLNKDKDFNVLRKKIPDIISKLDKVVLEGEKLKGKNNADSKRELDFLLLENSQLKDSLSEAGEKVSHHRELVRKLESDVEDLHVEASIYEDVYRSFVGEFVNQFQCAKEEANLEREEASGSKIALEDSCVESYMKEEYCAIMYKEALKEAGEKLVELKINVSERERAMGSEIAEKGILKEKIHSLECLVKEKENDLDTVREKLEIVCQHVNNLQSQVDQQAVVIQDQSKELGVASSRDLEKTKGYVMEISELRQKLELARKDMKITENERMKSELKLSSTQAEQKLLQDQIVSTVLSLAKWSQDFECLVAEKTKKTNYRLKSMQSQLNDLIDEVDELKIRESMYKQQMEKKTCDLQKAETEVDLLGDEIDSLLDLLQKIYIALDHYSPILKHYPGVSKSISYSNLMKLALYSKLSSFFSLYFYQIMEILKLVQRELRKGSKRLSVF >A07p037960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20256534:20257092:1 gene:A07p037960.1_BraROA transcript:A07p037960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGVRRALQSASSTGRILFSRSSAKASSAPKLGKSANGSSSTSSRSSLRKLASSRIPVELSAGMSLIPLHSVTASALLTSLLSLSNQTWGCLSEGFGTTL >A04p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1942378:1946382:1 gene:A04p003880.1_BraROA transcript:A04p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFDDCCSRCKTNDAVVYDYVTKKISCSDCGLEFGLLTSDLGTSPNTEPNNASSSDGDILKNLGFDPLAVATTQQSNAPSDASCHHHRFYMWGFDDCCSRYQTSDGFMYNHVTKNASPSSDDNLQKLGFDLDVGTTQQSNASFDDATVIASMSDRLSLLATVKNQATEISEQIKGQINIPNVRFAASIYIACRQNGMALSIKDISSVADGAKQSKITSAVRSVVDKLGLPPQLMHIRAAEFAKRYSTDLQMNSQAIKAAEEAAERCTDHVNRSRAPSSVAATVVYIIAQLSYQKKLLKDIRDATGLHENTIKGTYKDLYPYLSRIIPTWFANANDLKRLRSP >A06g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5415931:5417255:-1 gene:A06g501450.1_BraROA transcript:A06g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDLVFLSDLQTGRSSSSVEVACSDFGRPGTSGVVKSLWESTLLSDLAPGLGSPSCAVNMLSWLAEKVGGGDDDGSFLNRRRRSSWAPSARCSCFQYFCSALTLLLLHPQPHDAAQLSHVVIARLRNGGRVMVTAESEWQGAGVVTAWQ >A02p041730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26132782:26134427:-1 gene:A02p041730.1_BraROA transcript:A02p041730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTQHMNLIVVVLLIISFAIAPAISTVPKECETDSTNSCIDKTKALPLKIIAIVAILVTSMIGVTAPLFSRYVPFLSPDGKIFMVIKCFASGIILGTSFMHVLPDSFEMLSSPCLEDDPWHKFPFAGFVAMLSCLVTLAIDSIATSIYTRKDVCDGSEDTTNPLIIHIDHLQITTRETSSTCSKQLLRYRVIAMVLELGIIVHSVVIGLSLGATNDICTIKGLIAALCFHQMFEGMGLGGCILQAEYTNVKKLVMAFFFAVTTPFGIALGIALSSVYKDNSPTALITVGLLNACSAGLLIYMALVDLLAAEFMGSMLQGSVKLQLICFGAALLGCGGMSVLAKWA >A03p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2992629:2993651:-1 gene:A03p007160.1_BraROA transcript:A03p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRRSRQASSSSRINDDQITELISKLRQSIPEIRQNRRSNTVSASKVLQETCNYIRNLNKEADDLSDRLSQLLETIDPNSPQAAVIRSLINE >A10p015340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3673342:3676026:1 gene:A10p015340.1_BraROA transcript:A10p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g52850, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52850) UniProtKB/Swiss-Prot;Acc:Q9FLX6] MTSKVAASAFLSRSYELSNHLQQSCIRILSFCESTTSRIGSHIHCPVIKLSLLDNLNLCNNLLSLYLKTDGISNARKLFDEMPQRTVFAWTVMISSHTKGQELASALSLFEEMLASGTVPNEFTFSSVLRSCAGLRDLNYGAKVHGSVIKTGFLGNSVIGSSLTDMYSKCGKLEEARELFSSCKNGADTISWTMMISSLVEARKWKEALEFYSEMVEAGVPPNEFTFVKLLGASSFLGLEFGKVIHSSVIVHGVLLNVVLKTSLVEFYSRFAEMDDSVRVLNSIGEQDVFLWTSVVSGFARNLRAKEAVGTFLQMRGLGLQPDNFTYTAMLSLCSSVQSLDSGKQFHSLTIKVGLEDNTDVGNALLDMYMKCSASEVEALRVFRAMISPNVVSWTTLLLGLVDHGFEQDCFGVLMEMVRLGIEPNVVTLSGVLRACTKLKSVRRVLEIHGYLQRRLVDGEIIVGNSLVDAYASSDKVADAWNVAKSMGKRDTITYTSLVTRFNELAKHEMALSVINHMHGDGIRPDQFSLPGFISASANLGALETGKHLHCYSVKSGYSSSVSVSNSLIDMYAKCGSLEDAKKVFEEIATPDAVSWNGLVSGLASNGCISSALSAFEEMRMKGTEPDSVTFLILLSACNSGRLTEMGLEYFRSMEETHNIKPQIEHYVHLVDILGRAGRLEEATGVLETMPLRPNALIFKTLLRACRYHGNLSLGEDMANKGLALAPSDPAFYILLADLYEESGKQELAQKTRSLMSQKGLSKKLGESSVEVQGKVHRFFSEDVTTVEKTKGIYAEIEWIKSEIERSGFTYRGNENASYHSAKQAAVFGLVYTSPQTPVHVVKNKILCKDCHDFVSLITRLVDKNITVRDGNRVHVFKNGKCSCKGEEASFVLS >A10p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15357484:15359664:-1 gene:A10p023530.1_BraROA transcript:A10p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudo-response regulator 3 [Source:Projected from Arabidopsis thaliana (AT5G60100) UniProtKB/TrEMBL;Acc:F4JXG7] MCFNKNDMANEVGTERPAFGSSEEDDSRVEDTAGNANNLLQITQQQQPSAPVVNWERYLPVRSLKVLLVENDDSTRHIVTALLKNCSYEVTAVPDVLEAWRVLEDENSCIDLVLTEVVMPVNSGTGLLSKIMSHKTLKNIPVIMMSSHDSMVLVFKCLSNGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIHNKKSVKTESTDDEGSEDDASMSDEDHGNDDNGSNGLSNGDGGSDNGSGTQSSWTKRASDMNVGTCGNKRECANKLKKAEDENVQIGTGSHAGQRKSKKAVIALNRNNDDVLNGSSGNSQVETKTPSSNPEDLQALEPTLTKPKEAGDQRASDRSVLRHSNHSAFSKYNNGATSANKAPEENVGSCSPQDSSVAKIIGSSSSSDNPSNQQSSGSDRAAQREAALMKFRLKRKERCFEKKVRYHSRKKLAEQRPRIKGQFIRKMDDSKSGNECPSSDDS >A08p040270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22992640:22993371:-1 gene:A08p040270.1_BraROA transcript:A08p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSCAIFLAALILCFPHASAGVPLEEFERAITVLRVRGRALFANAIITSDLLFDLLSVESLTLFVPTDSMLFDLDMTHSSYFYVSTLRLHCVPLRLPFSDLRSLPNATSLPTLLPSHHLRLTRPSSSSNDSISLDGVPILLPGLFYGEQLAVHGLAGLISLTNLSSPELSVDLPPPVVDSPAESPYYSRFSLAPQPYDYFLGLSPAEAPRVGEFSPSPWGEETIVGDEGDPFDWWRNRF >A05p017670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8078618:8079808:1 gene:A05p017670.1_BraROA transcript:A05p017670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSILFLTLLLSASLALIQAKEDLKEITHKVYFDVEIDGKEAGRVVIGLFGKTVPKTAENFRALCTGEKGVGKSGKPLHYKGSKFHRIIPSFMIQGGDFTHGNGMGGESIYGQKFADENFKLKHTGPGLLSMANSGEDTNGSQFFITTVTTSWLDGRHVVFGKVVQGMDVVYKIEAEGKQSGTPKSKVVIADSGELPL >A02p014140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6206416:6209355:1 gene:A02p014140.1_BraROA transcript:A02p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL5 [Source:Projected from Arabidopsis thaliana (AT5G57390) UniProtKB/Swiss-Prot;Acc:Q6PQQ3] MKNNNNKSSSSYDSSLSPSSSSSSHQNWLSFSLSNNNNFNSSSNPNLATSTSDHHHHHHHHHPHPSHVSLFQAFSTTPVHRHDESPGASSSDATAVLSMYPGGPKLENFLGGGATTTTTGEMQQGQSLGGVVFSSNVEQPRHPPSAAEIYDYELKSIAASFLGNYSGGHSSEVSSGQKQQHNPPTATEASPTPKKNVESFGQRTSIYRGVTSNATGIDGLEDTKLIYGITVAEEKAKAEKEDKISNYEPELEEMKHMTRQEFVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASCNLPVGGLNPKPSPVTPAPDKQVDISPSDPPSLTTPSLTFNAATPVHDHEGAFFHTGIPIKQDPAAHYWSNVFGFPPNLKTETRPIATFGSDLHSTSPGFTVMPVMQDGGNNFGGGFVESEGYNNNHSAASSPVSAIPLPSTVDNSNEGYGGNINWISNNTSNSYQTAKSNLSVLQTPVFGLE >A03p033470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14176036:14177451:-1 gene:A03p033470.1_BraROA transcript:A03p033470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRLRHVNAEKRLEEWKEGEEERKLERLAEEFLKKQASRVKEGVGNGATQKYVKRYREESDKCIEAVDLALKESFMSGKRKGKIGVESEKSKRLKIWKGKRAHLMLVTGKRAVDASDSGESSDDEEENEKNNGSQVESSGSGMAGAHDGDSSGKDVVVVRQSSDVLKGETTGGVQVINEGKVDDLLVGAMAQTEKEDNLYKDAEKNLVEVACETVVDVKNQGNDSEVKQEGVAGETETVDAVCCKRVEPLNFDDFSSAKDMEVMGMERLKTELQSHGLKCGGTLQERAARLFLLKSTPLDKLPKKLLAKK >A08p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11558096:11560206:-1 gene:A08p012770.1_BraROA transcript:A08p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCLRGGKFSAPGFRFHPTDEELVMYYLKRKICKRKLRVNAIGVVDVYKLDPEELPGQSVLKTGDRQWFYFTPRSRKYPNAARSGRCTATGYWKATGKDRVISYNSRSVGLKKTLVFYRGRAPNGQRTDWVMHEYTMDEDELGRCKHAKEYYALYKLFKKSGAGPKNGEEYGAPFQEEEWADDDDNDNGDDIDVPVVRCEDNVSLFEPVNVRLDDLEEILSGIAFAPGVPQTFTSVPQVNREEELQSTLVNNSAREFLKPQETGDFLPNDMPSSYESIDVTSAPNNSVLVFEKEDYIEMDDFLTPEHGASSVENPAQLLNPGEYGDFNDFDQLFHDVSMSLDMEPILQGTSADPLSSFADNTSDQEHPFPYQQFQDQTPENKLNNIMDPSPNLNQFSDDLWLEDDNQAFLFDQPQSVISGAFASPSSGVGPGSTNLVNGEDQEGVNGGGGTSPFSSALWAFMDSIPSTPASACEGPINRTFVRMSSFTRIKFSGIANGTPVTTAVMAKKRSRNRWFLLLSIVGALCAIFWVLMATVQPSGRPVFS >A03g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12712948:12713769:1 gene:A03g503720.1_BraROA transcript:A03g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHQDVNFLHVYGVRFCGCMSSRFTRLQSVHCTWQEKPYVRFSCNTGGAMGMNMVTKGAHNVIEFLTYDFPYMDVIGISGDAILRLKNSRFIGLITSSRTTCCSELDRGTWQISSLKGSNQRRDRERELTRGTRSTSSSYTICDMMLNMLKNLTVYAVAGSLGRFKAHASNIVFDVFIAIFQDPAQNMESSQCITMMENH >SC327g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000172.1:4947:7682:1 gene:SC327g500010.1_BraROA transcript:SC327g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVREELGIGEDTPMVLSYHLPPNMLLPYGSTSPPTNVLTPEEVELLLSVQEWTKEVELCVTFGAANVAKYQFLCREPFTIGDTTFLTERIIEEDHAAAIIDMVRDDEFDFTGPGLAEIFNEKNRILVYRFSLEIEKARSMFGRHNSRTNDGDQMDGDEGGEGNNKRQRNQNVTPPDFPKNNDFPTTRERFGRPSNPNNSYRQNECDEGHQFSLYDYRDMDVREKDWNEFVNLDFEGTSSEPFKNIGHETVITLSNDIRVHDHFTPLNQPCIVEFGESSTGSTPSSFKNLQFLIQNNVYTVNNEENEHGSFQRWRGRDPTRGAGSFNIFTLEAESLVIGKNYDNAINVDLTSEEDSSLIGESKGNKTNNLDDLYVGMIFKNREEFKQHMALYAIRNKFRFRNSRSSPGGMILRCFCMMCNWRVYAVIMKNTEMFEVRTLELQHSCTVDDRYEYQSQEPHTVIRGMMKARFIPIVATARAFYI >A02g510770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28509730:28510660:1 gene:A02g510770.1_BraROA transcript:A02g510770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSNPDIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGSSWYYIACSGCHSKVSKGPTSLLCTNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEVPIPEALISTIGQKHKFCVKVTEHNLSGKTRSLTVTKILSLDTPPATASSEDNHTTATSEETSQNRVDSVDGSKGACCSSELERAKRRKCGN >A03p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:285864:287922:1 gene:A03p000390.1_BraROA transcript:A03p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VI.2 [Source:Projected from Arabidopsis thaliana (AT5G01540) UniProtKB/Swiss-Prot;Acc:Q9M021] MDTARKLTVLLASLLFSLFLIPSVPVRATTEFTFRGFKRNESAIRIAGEAAVIKPDGLLRLTNRASNVTGTAFYHKPVRLLETNRNATRVGSFSTRFVFVIIPSSSSSGGFGFTFTLSPTPNRPNAGSAQYLGVLNKENNGDPRNHVFAVEFDTVQGSGDDTDRIGNDIGLNFNNRTSDFQEPVVYYQNDDPNKREDFELESGNPIQALLNYDGATQTLNVTVYPAKLGFKPTKPLISRQIPKLLDVVQEEMYVGFTAATGKGDQSSDHYLMGWSFSSVGENPIAATLDLSELPPPPRNTATKRGFNSQIIVLIVALSAVMIIMLVLLFFFVMYKKRLRQEETLEDWEIDHPRRFRYRDLYVATDGFKEAGIIGTGGKVFESLGRVRHRNLVNLQGWCKHRTDLLLIYDYIPNGSLDSLLYTVPRRSGAVLPWNARFEIAKGIASGLLYLHEEWEQIVVHRDVKPSNVLIESNMNPRLGDFGLARLYERGSLTETTGLVGTIGYVAPELARNGKPSSASDAFAFGVLLLEIVCGRKPTDAGSFFLVDWVMGLHARGEILSAIDPRLGSDYDGGEARVALAVGLLCCHQNPASRPSMRGVLRFLNGEEEVAEIDGDWGYSKSSRSDFGSKFVGYVPSSSSISSSSFVTRITSTSRVTSGG >A09p028000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16886369:16890050:1 gene:A09p028000.1_BraROA transcript:A09p028000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAASFFGVQQDDQSHLLPPNSSATAPPLLTHHQPPQSQQPLDAPPQKKKRNQPRTPNSDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTVLFSRRDSFITHRAFCDALAQESAWHPTSLTSLPSHHFPYGQNTNNSNNTSSMILGLSHMGPSQNLDHQSGDRLRLGSSGGGSAGAASRSSSDLIAANASGYFMQEQNPSFHDQQDHHHQGFLAASNNIKPSPMNFQQSLMQFSHDNHNYPSSNVFNLSFLSGRNGVASATSNPNAAAVSSGNLMISNNFDGENAVRGGGGGGEGEGSTGLFPNNLMTSADRISTGAVPSLFSSSMQNPNSTTHMSATALLQKAAQIGSSSSNNNNSNNASSILRSFGSGMYGESESSLNDLMNSFSNPGATGNNVNRVDSPFGSYGGVNKGLNADKQSMTRDFLGVGQIVRSMSESGGFQQQKQQQQHGNSTERVGSSSDSADRNSINVNPGGGPASSPPYGIHRASF >A04p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4019296:4023218:-1 gene:A04p012830.1_BraROA transcript:A04p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNEEGSSSPSWGASFFMPTSEDVATAFAAAASAINPPRPSVVFSSKDEGGDSPLERLQRQVSKAVRNFYETPKTKSTVYNPEVLTSQKRQWAKYQVQYLDHRPLKDPSRLFESVVVVGLHPNCDIQALESQYIARKSEGSTGRLRSALQVSQNHSRVEPTLEPQVLLVYPPDKEPPIKFKDLHSFCFPGGIEVHAVERTPSMSELNEIILSQEHLRPSDLSFVFRLQVADNSTLYGCCLLVEETVNKPSRLLSTVLDKQPACSSLSRYVMTTRRCYCILTRLPFFELHFGVLNSIFLEERLEHLISGISCASLEPPSIGESLNDSTLKQRDSGGETNEQSGEAEESSDNGTCDETRKLERIGMCFPESNNHVAEADDSSPVIRESCLPNPGPLLRCPYLDEVSDSSSSFQAEPCERRQFRTNAGDTETNEASFSGQEDGSSNLDVLEWAKSKKNGSLQILSEYYQSKCPDRGSTITFHPLEHLHPVNYHRPDEAALHTIGSAIDLRSCSTSLEFAEAHTALMAEEEAAALSTWAVASLCGSLRLDNVLMILAGALLENKIVFICSNLGILAASLLSIIPIIRPFRWQSLLMPVLPDDMLEFLDAPVPYIVGVKNKTSEVQSKLTNVIVVDVIKNQVKSPSIPQLPQYRDLYNALSPYHSKLVGESYLAKKRPVYECTDVQVEAAKGFLDVLRLYLDSLCSNLQSHTITNVQSNNDKVSLLLKESFIDSFPSRHRPFMKLFLDTQLFSVHTDLVLSFIQKL >A05p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7711520:7713077:-1 gene:A05p017180.1_BraROA transcript:A05p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKFKFSDMIPNAWFHKLKDMTKPKNKPVSYSSSNTFNKKKLSSDSLPHKSSASHFSNSLVANSPHHNSPRNSTHRKRMSKRKTLYKPSLKPNTPPFASAGFNKSKMNGQDSSHCPFPALERSPEYFVYSFYEEKDDEFVDHSNFKIKENNKAFTKKACPARNSIKKPLKPHLSVKISKEKEEDEDDECIAEKKYQKQVSSGRKSSAGINLRRVNSPRIQLSGTRRSTSRSENKQAVLESFAVMKSSVDPKKDFRESMVEMIEENNIRASKDLEDLLACYLSLNPKEYHDLIIQKEEEKEDHCSHLLPLFGL >A10g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2201008:2201407:1 gene:A10g500690.1_BraROA transcript:A10g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSRLFLRGSNMLRSMMKDEEAISKAASSVEVVGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A08p009930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7966992:7967450:-1 gene:A08p009930.1_BraROA transcript:A08p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAELSRVFKMFDKNGDGKIAKNELRDFFKSVGILVPENEIKEMIEKMDVNGDGFMDIDEFGSLYQEMMEEKEEEEDMREAFRVFDQNGDGFITDEELRSVLASMGLKQGRTLEGCRKMISKVDVDGDGMVNFKEFKQMMRGGGFAALSSN >A03p072330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31963366:31964941:-1 gene:A03p072330.1_BraROA transcript:A03p072330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQKSSSLRSKAVHFVTDLTTGLLNPISAPPPLPDEEEDESKRDQLESKDEPDTSSFSAFLGSLLSSQDQEEEEAESSDTSSSSSASMKETSVTKKSLLSKYKQHFKNFYQAVKLSKDRKPLSGNTPEDDGLELEMKQMQDKDDTAILIPDISEPSLLLTDLSRRSLYSSLPALVQGRKWILLYSTWRHGISLSTLYRKSLLWPGLSLLVVGDRKGSVFGGLVEAPLIPTDKKYQGTNSTFVFTDKSGQPTIYRPTGANRFYTLCSKDFLALGGGGRFALYLDSELLSGSSAYSETYGNACLATSQDFDVKEVELWGFVYGSKYDEILALSKTTEPGVCRW >A01p047710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26905619:26907118:1 gene:A01p047710.1_BraROA transcript:A01p047710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPLFSLLSVITLSLLITTDASSRSLLPSHETTVLDVVSSLQQTQHILSLDPTLTSLTTTTSIPGSDPVFLNSSSPLSLELHSRDTLVASQHKDYKSLVLSRLERDSSRVAGITAKIRFAVEGIDRSDLKPVYNDKTLYQPEDLTTPVVSGASQGSGEYFSRIGVGTPAKEMFMVLDTGSDINWIQCQPCSDCYQQSDPVFDPASSSTFKSLSCSAPQCGSLEVSACRSDKCLYQVSYGDGSFTVGDYATDTVTFGTNGKVNDVALGCGHDNEGLFTGAAGLLGLGGGALSMTNQIKATSFSYCLVDRDSGKSSSLDFNSVQAGTGDATAPLLRNSKIDTFYYVGLSGFSVGGQQVSIPSSVFAVDASGAGGVILDCGTAVTRLQTQAYNSLRDAFVKLTANLKKGTSSISLFDTCYDFSSLSTVKVPTVAFHFTGGKSIDLPAKNYLIPVDDAGTFCFAFAPTSSSLSIIGNVQQQGTRITYDLANNLIGLSANQC >A10p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14578597:14582111:-1 gene:A10p021960.1_BraROA transcript:A10p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDFSTSKISTSSTSSWWLRGKVIGRGCFGTVNVAVNKSNGDHIFAVKSVDLATCIPPQLDSLENEIRILRSVSSPHIVKFLGDDVTREGTGSFRNIHLEYMPRGTLADIAGQNLEEDMIRRFTRCLVSALKDVHTCGFVHCDVKARNVLLGEEPGSAKLADFGSGLEIRTGNQTGCEARGSPLWMAPEVIRGECQGPESDVWSLGCTIIEMATGKPPWIDDGAETLRTIGFSDELPKFPARLSETGRDFLDKCLRRDPSERWSCDQLLQHPFLSCSVLSESPRHVFDWVDSVFEEEEAVVSEHVSESSSSLARERICNLATSGGVIWESDGWLVVRGSETRQLVPDSSCTEPVTVRNQTSSSYGYQPTWQVVKVKMIRCPNKIRYMWWQCYKYVKAKRTDVNAPPQWCGCGVPQNTADLAIIVTAVNGVLVGQMIPKDEGGVVEISDSVPLFCSNLALLPPL >A09p076300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57125830:57126765:1 gene:A09p076300.1_BraROA transcript:A09p076300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEANQTEVGETSTASRKVITLEPKLVIKKGISTLGFVFRLFAVFGTIGSALAMGTTQESVVSLTQLVLLKAKYSDLPTLMFFVVANAVAGGYLVLSLPVSIFHIISTKAKTSRIILLAIDTVMLALVSCGASAATATVYLAHEGNTTANWPPICQQFGGFCERISGSLIGSFCAVILLMLVVINSGISLSRH >A03p055340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23846349:23859769:-1 gene:A03p055340.1_BraROA transcript:A03p055340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKKVVLFLLVRTGRMSKVVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLAYYKKKPQYSQVPIKTMLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKHHRITMAAFNIQEALMWKEKIESVIDQHQESQVPNGQQYVAFEYKSGMDNGRTASSSDYESQFSAPEDEDYSRRRLVRRTTIGNGPPPSVLDWTKEFDAELANQNSDNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEVFELVMSMDGTRYEWDCSFQYGSLVEEVDGHTAVLYHRLLLDWFPMVVWPRDLCYVRYWRRNDDGSYVVLFRSREHDNCGPQPGCVRAHLESGGYNIAPLKPRNGRPRTQVQHLIQIDLKGWGAGYLPAFQQHCLLQMLNSVAGLREWFSQTDERGVHTRIPVMVNMASSSLSLSKSGRSLHQSAFSVDQTNAANRNSVLMDEDSDDDDEFQIAESEQEGETKEEPAHKIDLSCFSGNLKRNENENARNCWRTSDGNNFKVRSKNFCDDKRKIPAGKHLMDLVAVDWFKDSKRIDHVARRKGCAAQVAAEKGLFSMVVNVQVPGSTHYSMVFYFVTKELVHGSLLQRLVDGDDEFRNSRLKLIPLVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPTYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANAPEELPERLIGAVRVSHIELSSAIVPNLESELEGIDRTILMRALKLLENKGKLALFKGTSADDEGVKFSTSNGDVFVKNHDELDIEFLGNVEGKPWRFQTNMYGNGSTSRGREERYRLWFDPSKEFHHFG >A05p001320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:187711:188970:-1 gene:A05p001320.1_BraROA transcript:A05p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVKVAAKKKTEKVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATQLFKVLMKYRPEDKAAKKDRLLKKAQAEAEGKPSESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGTVVHQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRMN >A06p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2268706:2270031:-1 gene:A06p006700.1_BraROA transcript:A06p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKLYSLTKLPKIVNGKGRVTQHGPGNWRSVPTNTGLPRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQTLLGNKWASIASYLPQRTDNDIKNYWNTHLKKKLNKSESEERSFSENMALQTSATRNTTNHKSTYASSTENISCLLEGWMRASPESNTVNFLEEKLQNQTTNLMNDHHNQFPCEHVINVHPKESEKNVKSDRDVQEDGDGVDDDHNNASPPLTFIEKWLLEETSTGVQMEEMSLMEPSNML >A02p022550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10751863:10760187:1 gene:A02p022550.1_BraROA transcript:A02p022550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRRVMRWKIIWKSFQAVSANGFIFSFTLLIALKLDHLISHSWWFVFTPLWWLCHAVISRGRCSLPSPPMPHDENWTPFQYVMATPLLVAFEILLFLHLEDKYDVDLTFVFLPLLAFEVAILVVSLRKCLTRMPRNEETMSDEPLLYTWVSIWMISFIAAITFTLVKSRGDLAALELWDLSINFGIAELFGFLCAKWRKQSIHSDSHIPNSSSMGVRYGDEDSNIECGLLDIGGYVMKIPFITFQVILFLSLEGTPASPKKIPVVVLFVPLFLLQGALVLSVTYISLAKSFLWIYNVGGPYLARSSAHVFLSFFQHCKSCWSINEGSKEEQVRLHSGEATGYNTFSAKAALSEQTDSSQQEKNLCKVCFENPVNVVLLPCKHYALCSTCCKKCKTCPICRELIKLRMPVYDAASLHDCVSMMLTVVDVSNAFRVVWTPFQYVMATPLLVAFETLLFLYLEDNYDVDLKFVFLPLLAFEVAILVYNVRKCLTCMPRDEEFMIDEPLLVSWLFSRDEETMGDEPLLGTSASPKNIPILVLFVPLFLLQGATVLFVTYTSVGKSVLWIYNVGGPYGRYLARSLAHVFLRFFQHCKRLLGWWSINKESKEEQVSLHSGEAIGCNKLSPEVVKKMPKSDLVEEVCRLKAALSEQTDSTQQEKNLCKVCFEYPVNVVLFPCRHHVLCSTCCMKCTTCPICRVLITH >A04g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3643448:3644493:1 gene:A04g501450.1_BraROA transcript:A04g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVNNTRARAMPTTCKLTIVAPHCAILDEATPAASIAVTPSAGTPMTSPSSGGSPTSSPSLAESPVMTAPSPSSSGTNHLSVSTLTLVSVIVSSVTYISFLF >A08g501440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3794737:3795297:-1 gene:A08g501440.1_BraROA transcript:A08g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.6 [Source:Projected from Arabidopsis thaliana (AT1G47655) UniProtKB/Swiss-Prot;Acc:Q9SX97] MPSESNQTRPARVQGSTAAYPPPNMAEPLPCPRCNSIITKFCYYNNYNLLQPRYFCKSCRRYWTQGGTLRDVPVGGGTRRSSSKRNRYFSSNATTASSSSSCSASVIAMSEGTVTNEAKSSNLNSGHGSFASLLSEQSDGAAFLALGSGSGGLDYEFGYGYGYGLEDMSIGCLGGGSGGEIPVVGG >A06p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17922340:17922929:-1 gene:A06p033410.1_BraROA transcript:A06p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRVEENATLAAQVVAQRAKIETLEVERDRDIHRASHIARRDVAGQCRKVLESLKEKWANKKKEASVEIRLQEVVANIDLLNEIKDGGLNVDAELARLKEMEKDCEGLVALVAVSDWSIFGLGLPQVSEDLVDQARGSSVPDGVDSS >A06p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4155297:4156120:-1 gene:A06p011300.1_BraROA transcript:A06p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLGLLLLLALTTPSSAIYCLCNDGIGEKELQTAIDYACGTLADCNPIQEKGPCYQPITVKSHCDWAVNSYFQNAAQVSGSCNFSGTATTNLNPPSNLATGCIFPSSPSSAGTTPPTGPAPPAGPAPPVGPATPVGPTPPTPTNGTNTFPGAPPAPSPPGTGGFTPSNGASSLVISSVLTLCFSSLAFL >A04p018220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10954915:10955112:-1 gene:A04p018220.1_BraROA transcript:A04p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVPKIGKAVYQGPSIVKEIIYGIALGLAAGGLWKMHHWNNQRRTREFYDLLEKGEISVVVADE >A06p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:153681:156828:1 gene:A06p000740.1_BraROA transcript:A06p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSYIVLYVDCRMRLLKVATCNLNQWAMEFECNMKNIKASISQAKAAGAVIRLGPELEVTGYGCEDHFLELDTLTHAWECLKELLLGDWTDGILCSIGMPVIKGAERYNCQVLCMNRRIIMIRPKLWLANDGNYRELRWFTAWKQRGDLDEFHLPIEVSEALSQTSVPFGYGYIQFIDTAVAVEVCEELFSPVPPHAELALNGVEVFMNASGSHHQLRKLDIRLNAFMGATNARGGVYMYSNQQGCDGGRLYYDGCACIVVNGHVVAQGSQFSLKDVEVIISQVDLDAVASLRGSISSFQEQASCKVKVSSVYVPCKLTQSFNLKMTLSSPKKIMYHSPQEEIAFGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIGNGDEQVKTDARRIGNYSDGEFPTDSKEFAKRIFYTVFMGSENSSKETKMRAKQLADEIGAWHLDVCIDGVVSAVLSLFQTVTGKRPRYKVDGGSNAENLGLQNIQARMRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRLFLRWAATNLGFQSLADIEAAPPTAELEPIRSDYTQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYKWGTKLSPAEVAEKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSKWPYQFKKIDEIVDGLNGDSVAFPEEEEEEATSSQEFGVVAANSGDPRAGL >A05g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9481210:9481622:-1 gene:A05g503220.1_BraROA transcript:A05g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASSSPVSVSGNRRLWFREAVALLASLAPASFSSYLTAIYESEPLLFICLSFLNLVPHAYPVSYDSRCALVVYELRWKGGFEWFRLNSDDGVAVRRRIAESGAEGRR >A07g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3939037:3940273:-1 gene:A07g501880.1_BraROA transcript:A07g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSNTTPTDPPLTAMMVSPVTSCNLCPLNHCEPSLWGYSQSSFCNLHAAPPQNAETIDQSPTQVVPYLGAWSKRLIFQFCPLNTPPEPSMPHNYIWPQLVQSQIKNSWPSLEDSINLKSSSLAAKSSSFEVAASITKGRRFTSISMGCKGEPGFKEFIIPTKVLQLGPENVGEYVIGQFQCCSAPTGGLTHDVVNHTNFSMFHTKRQDSGLFSNVFGILVTLQNIPTSCYSRLGISQIFSGLGEPMLTHKRKNFPKHIALDEKLDNIFLVDVVYSWIPSTCERFGSLGHKAKMCLHKKEKIPLTNEKR >A09p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20972311:20974230:-1 gene:A09p023370.1_BraROA transcript:A09p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGVDHISDDSEPNEAFLADAPPAGSDRTTIRELAELKLSLQQMGEKIHHNPTKSLQDAIARSDNFIRMEEDTNAILSKMSAPKAPAAKNANARQEPRQHAPNDKNGRKDGYMYVVNENNAPISTLVVRGEGWNKWVRELESSDQKVDSVCTTQPAAGVGSAAGPSRTVDLTKHCKYHDVKGHDTSECKSLYAHYLSSLASGEFKFEPLKAKPKNGKSWSKNKERRAQRKATGRGRQNDAPQRDDEEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPENGSDTTRRDLRTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVKLNKSKSTDLRRQLERAKGQPQLPPPDTS >A04g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23168570:23169403:1 gene:A04g508640.1_BraROA transcript:A04g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSQLVADASASFEKNCVRGIEANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALKLGVLTAEEFDTLVVPEKMIGPSD >A09p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1828828:1831292:1 gene:A09p003100.1_BraROA transcript:A09p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSLVTRSSSSPLRAGDEDSSRDRDRPIWWVPFLGGDDLRASPQKSKVSIFLILILAAVASLISVYVLVSHLNAPYLCKKDGIVLNCPHVKESASRWENPLPATTSWKPCAERRIGGGVSGILENDVLPENETNGYVFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKDDVRIVKDIPDWFTDKTELFSSIRRTVKNIPKYAAAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPQEINRLRCRVNYHALKFLPEIEQMAESIASRMRNRTGTPNPYMALHLRFEKGMVGLSFCDFVGTREEKARMAEYRQKEWPRRFKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEDLAGKEELASFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDAYMGWATFVEDVVVTHQTRTGLPEGTFPNYDLWENPLTPCMCKA >A03p064890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28267875:28268257:-1 gene:A03p064890.1_BraROA transcript:A03p064890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVKTLVSFVLTIFLFISFNNCRTITAETPSTTLDFPDIAINIITNLADVLTSKDVVVIEKAFKMK >A04g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19130863:19138758:1 gene:A04g507640.1_BraROA transcript:A04g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLPTSGRLSFIFGSIEKYTRTLLRSSSLGSILDHPRSNPYTHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLTVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEVAPRLLSARFLFYLRAFWSFHYALSTCILGALISLRTGSTIFYTTTFVLGALKTPNINSKPETIWRSVLVIFFYCALALSSMTPSRVFLDTDVQETEEYLTWMNANLAVANRVNADVVTKTETVTIGDLFSYMKQEDAKFECIATIADVVHGSSWYYIGCGGCHTKATKGPTTLMCRKCGKTEIVLAKISVHDSDDQASFVLLGDVGHELSGRKASELVASYFEADENVADDHLVPVPQALIDTIGQTRKFIVKVSNHNLTGKTQALTVTKVLPLEVQEVEGALEGTLQKGVADGNPSTCVGIVKRAANNVEAEDPKRARCG >A08p033250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20010781:20011697:-1 gene:A08p033250.1_BraROA transcript:A08p033250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGSKRSLFEDLSAASSPPVSKKLRYFSSSSPPRFPPPPPASSSLLLDHLAAIFPDMDHQILERAIEECGDDIDSAIRCLNQLRLESTTNKNSESSSIQEEAKVEAPQQQGKEEEVLNLDGTEWVNLFVSEMMSASDMKDAKDRAARALEALEKSINARAGADAAMQSSLQQENSMLRQQLEAIVQENGLLKRAVVMQQKRQKESDDQSQELQHLRQMVTQYQEQLRTLEVNNYALTLHLKQAQQNNSSIPGRFHPDVF >A08p025360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16381483:16382590:1 gene:A08p025360.1_BraROA transcript:A08p025360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEPIKLTILKLDGSSFDVEVSKTATVRELKMDVEASFSHLPITVLGNVSWPHVWGQFCLSYDDQRLINEADYLVELGIRDGDQLRFIRRISSYSILMMKHKSKTPPGVSSFIQLKLPYRFSAQTETWKKKRTQGQEDGVDSITQTQAQPSFLSTVSGGWLSYKSSPSQRGTKHRNATASTSGHRRVLNKLITRFRFKCYSEKDVWNMTKLISET >A09g512230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35814901:35815232:-1 gene:A09g512230.1_BraROA transcript:A09g512230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWLKRCGDYFRRRPLRILTAGITTDWYFMKSQDVWIFGAYIGLRGVVTLRTNKESLKHCISDSTG >A04g503350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7381293:7381583:1 gene:A04g503350.1_BraROA transcript:A04g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCLPRLKLQPSSPSSSLAHGLSISRGRAKNLRRGSSHFATNHHKLLLPRQDPPWRLRNRRRESSLQSARHGGRSSCNNGGFWSFCTSGPSPFG >A07g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12540176:12541368:1 gene:A07g505560.1_BraROA transcript:A07g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTVINTSRIFQAMLLCEAQRVYENRWTEIQRKDKVVNNMFARLCMKRAKHETMASEDKSNKNRMLFLDGSSTPANIKLLLPIEQGASSICPRLHILSPRLDKQKLTIFFDNPNIGKSKEKSLFLGHFHAKGTVRQKIEIESKQR >A07p008710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:288361:288852:1 gene:A07p008710.1_BraROA transcript:A07p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQILMKGTTCPFPSLLISKACKGTASISSQEQECIESLTFNRHTASASTVFELAKVSLSLAMEKAERTMILIGSPKKPCFKSCAENYKDSVAEGLKKAEWSMEKGDLDETDDELSLARDAADYCHMVLSVDPDDARSPIFSANRDVYNHITYAMSVADLL >A09p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35655766:35656366:1 gene:A09p041790.1_BraROA transcript:A09p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSLSRNGMDCGFKLGPGGESDKKHRWWSGTLWMWMATMKIMSLFSYSPPSVTQPTLLLKSPLHRGHLISDCHLSFQCGEFSRRAQLLLRVVLSARAHRLRAP >A09p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20520442:20521110:-1 gene:A09p033950.1_BraROA transcript:A09p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMNVPQVEVSIDRRRVPPSVGYTSNNVRIMINTCFHEVLENLTTRHLSNSYIQELLRDNLAPGHHWLCEDLAADISSEAEELGFGRNGFSLTFSIEITYERVVAMSDPPPDEGLFLRAVLSKLVVLGKIDREEHESLTNMETESPCSICLDNLYGSSSIHGSATLMNCSHVFHERCLSDWLQQKNTCPMCRTVLYNC >A07p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4087328:4095833:-1 gene:A07p001930.1_BraROA transcript:A07p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPINDRRSISPGDRIWEPFELMNTFFDFPSPSFFLSRHFPSLSPFSSPSTVETQLNWTETPTAHVFKAYLPGTTQDEAIVFVDDEGYLQICAGDNKFMSRFKLPDNALKDQVTAWMEEDGFLVVFVAKDGASSPSPPEIEENRNLNAHVGASIRKTDRQHLYFVYRKHLKRSTTIRIRIRTGSGIGFHLWFCWNDQEKSGFKGLIRTIMWFSFLRPRDRFSLVELRHLTDQLRKFQIVNDTNKDFVVEALRSIAEILTYGDQHDPSFFEFFMEKQVMAEFVRILRVSKTVTVSVQLLQTMSIMIQNLKNYLFSNEYVNYLITYTFDFQHEELLSYYISFLRAVSGKLNQHTISLLLKTENDVVVSFPLYVEGIKFAFHEENMIRTAVRSLTLNVYHVGDESVNDYVVSPPHTEYFSKLVSFFQKQCIDLSAMVLNTLESPSPDSGGKLFSAVDGIEDTLYYFSDVISAGIPDIGRLVTDHILQNLTLPLLLPSLCSETDVSIDPITSFYLLCCILRIVKIKDLANITAATLFCPVKAFIASFLVKPNSSLAPERPRFRNGHPDNGVTEEADQQCPSNAVLREDGNSHVCSESTTKSIFNDSDITFRETLLQYISEGDDVQAQGSLFVLATLLQTKELEESMLDAFGILPQRKQHKKLLLQSLVGEDSDEEQLFSPRNGSMRDGSSELDWCLRKLEEQFGVCCSLRGAAVSPLVHRHQVVDALVSLLCRENISAETLWCGGWLLRQLLPYSQAEFNHKHLKMLNDSYEKCKEALIREIKVIEAPSPQKDPISVILQLDRFSSNDNNVSDSSFTAGEKLCEVIFLLGRPLPEQPPVHPPADISETSRATTSGLNVSVPKPGTEVKLVDAVPCWIAFERGKEREFSFLALSSGVSGWIVLAEKSLLKPDHGIVRIVAPLAGCNPRIDEKQPRWLHLRIRPSTLPFLDPIKRGVYKKLKSKGLVDGKWTVAFRSDEFCHSAYSMVAHEMDLQSSEVERRLKPLFEFDRNQQDQSTITSDAIPSSTSSSG >A04g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13169103:13170764:-1 gene:A04g506230.1_BraROA transcript:A04g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLKAPSLPGTRSSANFSNRLVTGRAAFSPVQKLRPSSIHGTTFPRRKVSFRTRTVVQSVLETERSTKTEKPVRLVALVGKGEVSPLKSTSWHEVMLHTARRLKWVDEGYEMLVFDDEILRSNDQRAMNLKQELNQSDILVVVAVNNSESVKWIQTNSNNTKNVICFESSQDLMNRLGGTDFKTGDTDKEGTEVVKTVGDAWERRNSDDIRFCLLVIINAYVRPVPVLQNLRSKGFSTLGCMVKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCSYRCIASYESPYFEAFSLCVLQKHNCLELDAKIPEKPYVPPMTSFRGKELCHETAEDLFVGWLGDLDWSWRVAAGQNPAYDQFPCQYQLFYRGKGKSSFWYEPVFQVRTLEGKLVWRRRRYSVKRGKTPATFRLSVLDNGVVSNEFWTIVDVCDDLSWGLFHYNGAARVAGQSYTGAVLVTPDGSYPEEKERERLQSALEKCGIKEWELFAVDNCSCENPPLGIPEGSRLHSKISIIKEPDSVQGVEM >A10g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11437605:11437968:-1 gene:A10g504680.1_BraROA transcript:A10g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTFFGNPSGKRNKLPVQRAPPLYAEPTIPNSTEIERPVVVEERVTNIVEEEEEIHNEEVIAESDSSSVEGDDCEVIVEDRTGYKENELFEVE >A09p030210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17960537:17961739:-1 gene:A09p030210.1_BraROA transcript:A09p030210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB74 [Source:Projected from Arabidopsis thaliana (AT4G05100) UniProtKB/Swiss-Prot;Acc:Q9M0Y5] MGRSPCLDKKIGLKKGPWTPAEDQKLIDYINKHGYGNWRTLPKNAGLERCGKSCRLRWTNYLRPDIKRGRFSFEEEEIIIQLHSIMGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLKLGIDPVTHSPRLDLLDISSILSSSVHNSSHHHQQNMLRLMMGDGNHHHQPLVNPEILKLATSLFSNSQQQYKNQNNPTNTHEVNQPEVNQYQNGYNIIGNQELQSCFPSMDQFAEFQDPMPTTTFPDSVHSLPLNQDYDYSTSNFALEPFYSDFASVLATPSSSPTPLDSSATTYINSSTCSTEDEKESYCNNNFMNSSEIGPDYSFDVNGFLQF >A10g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10440003:10444482:-1 gene:A10g504210.1_BraROA transcript:A10g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNLEHEGGNQTRTPNHEETSREESSGSHDQAVESNDHEEGAEESQLREEGAEASQLGEERSETSVQPQEEEQEMAQKGPVLRRSTRLRKDPSSWISVTSTKLPWHGNFPLVSLIDPNGTPELLLLLGFDHLDCESLKHSLLLLHSSRFHPDHLLEFQSPFPPHDQGEVTDWLLQGQENSHVGSSSPHLDPGSS >A07p043980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24034485:24044673:-1 gene:A07p043980.1_BraROA transcript:A07p043980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G70530) UniProtKB/Swiss-Prot;Acc:Q9CAL2] MLSFITSLSVFSLHLLLLLMGLFLSPVISDPRGETVAQMCNNRTMTPQQRSFVVTNFLNAMDAVSPLLEAKGYGQVVNGTGNLTVYAYGECMKDLDKKDCDLCFAQIKAKVPRCLPFQQITRGGRVFSDGCYVRYDEYNFFNETLSSLDQTNCSEKEIIGVNRTLFRDNAAELVKFMRVEAVRKGGFYAGFVDKRNVTVHGLAQCWEPLTRSGCVECLSKASEQIGSCLGKEEGRALNAGCYMRFSTHKFYNNSGNSTADGNGGHNHLVVTLAVTCSVVAFVLLVSAVGFLCRKKLAKKQRENKQLGPLFMLANKSNICFSYENLEKATDYFSDKNKLGQGGSGSVFKGVLRNGKTIAVKRLFFNTKQWVDHFFNEVNLISQINHKNLVKLLGCSITGPESLLVYEYIANKSLHDYLFVRQDVPPLDWAKRFKILLGTAEGMAYLHEESNLRIIHRDIKLSNILLEHGFTPRIADFGLARLFPGDKTHISTAIAGTRGYMAPEYAVRGKLTEKADVYSFGVVMIEVITGRRNNAFSQDASSILQTVWSLYGTSNLVQVVDQVLGDNFNKMEASRLLEIGLLCVQAAFEQRPAMSAVVKMMKGSLEIPTPTQPPFLNPGSVLEMRKMLSPPMDSPMDDQSQSSGWRSDNISENQTLETKMTTFISNLSLLLLVGLFLNPVISDSRGETVAQRCSNRTTTPQQRSLVVTNFLAAMDAVSPLVEAKGYGQVVNGTGNLTVYAYGECMKDLDKKDCDLCFAQIKAKVPRCLPFQRGTRGGRVFSDGCFIRYDEYNFFNETLSSQDVTNCSNKEITGVNRTLFRDNAAELVKFMSVEAVRNGGFYAGFVDRRNVTAHGLAQCWEPLTRSGCAECLSKASEQIGSCLGKEEGRALNAGCYMRFSNLKFYNNSGNSTSDGNGGHNHLAVILAVTSSVVAFVLLVSALGFLYKKKRAKKLREKKQLGSLFMLANKSDLCFSYENLERATDYFSDKNKLGQGGSGSGVLSNGKTVAVKRLFFNTKQWVDHFFNEVNLISQIDHKNLVKLLGCSITGPESLLVYEYVANQSLHDYLFVRQDVQPLSWAKRGYMAPEYVVRGKLTEKADVYSFGVLMIEVITGRRNNAFSQDSSSILQTVWSLYGTSNFVQVVDPVLGDNFNKMEASRLLEIGLLCVQAAFDQRPAMSAVVKMMKGSLEIPTPTQPPFLNPGSVLEMRKMMSPTMEDQSQSSGWRSDNISESFFEPR >A09p051210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45283169:45286407:-1 gene:A09p051210.1_BraROA transcript:A09p051210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPSFFLSLSSLLLILPLSLSSPFNTSFFIDCGSPEPSTDAFNRTWLPDQFYSGGSTAVVSEPLRFHHVAEKTIRYFPLSFGKKNCYVLPLPAGRYYIRTFTVYDNYDGKSHSPSFDVSVEGTLVFSWRSPWPENLLQDGSYSDLFAFIGDGELDLCFYSIATDPPIVGSLEVLQVNPSSYDSDGTGRDVLLVNYGRLTCGSDQWGPGFTNDTDSFGRSWQSDEEFRSEEAKSLARSLSTVEKIKGVDQAPNFFPVKLYQTAVTVSGGGALVYELEVDAKLDYLMWFHFSEIDSTVKKAGQRVFDLVVNEDKVRRVDVFNEVGGFAAYSLNYTVKNLSSTTLTVKLSPITGAPIISGLETYAIVPADMATVPEQMAAMKALKDSLRVPDRMGWNGDPCAPTSWDAWEGVQCRPNPQGSALVIFQIDLGSQGLKGFISEQISHLTNLNSLNLSSNSLTGQLPLGLGHKSLASLDLSNNQLTGPIPESLSTSSLKLVLLNGNELQGKVPEEVYSVGVHGGIIDLSGNKGLCGVPSLPSCPLLWENGHLSKGGKIAIAVSCVVVLVLVLLVIYLCCIWRGRHDYDFAPPTDLTSLAAKRNRYQRQKSLMLLEMESQHAKGMPTLPLNSQ >A09p081520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59287661:59288820:-1 gene:A09p081520.1_BraROA transcript:A09p081520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKIQLAKTTKQNKVDPKSFLISALIFSLLSNSPVLMSLAQINTPCSPTMLSSVTGCMSFLTGGATSPTSDCCRALKTLTGTSMDCLCLIVTANVPLDLPINRTLAISLPRACGVPVQCKASSALLYSPGPASLGPTTSPPTETQNPEGSASFGPATSPTSSMDPDDTDFSGPRNGGDPREPPKTSASSPSSSLSLKLSLLLFAMFAFEFISFF >A07p044740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24350419:24353748:-1 gene:A07p044740.1_BraROA transcript:A07p044740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALALRRLSSSLKKPIFSNGVSLRSMSSLPTSAMADSERSRSSWIKQLNAPLEEIDPEIADIIELEKARQWKGFELIPSENFTSASVMEAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFHLDPSKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVMYDYEDRINAAVFPGLQGGPHNHTITGLAVALKQVKSPEYKAYQDQVLRNCSKFAETLLSKGYDLVSGGTDNHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFAKVAEYFDLAVKIALKIKAESQGTKLKDFVATMQSNEKLQSETAKLREMVEEYAKQFPTIGFEKETMRYKE >A05p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21545184:21545873:1 gene:A05p037880.1_BraROA transcript:A05p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDLKNMTLRGFGLVCLFMIVSEAYAREFAVGGAKGWSVPSGSQVYSQWAEHSRFQIGDSLLFVYQPNQDSVLQVTRDAYDSCNTDAPTAKFADGKTSFALTHSGPYYFISGNKNNCQKNEKLVVIVMADRNGNTTTSSPPLPSPAPAPSVESPPSPPPMTGPFETPAPTPTPTQETPNSAASPSSSFVAALLVAAFASTLFLH >A09p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44375619:44377341:-1 gene:A09p050510.1_BraROA transcript:A09p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAYLPGKRRIKDLFKQPDNRVCALIVALLILNGRKFFTLLLFICDYNLLCAVPSLYYSDNIISSLLCTHTYSLVWFLQVLSVTQDEWSDEEVDSMIEYASASSIYEAFVPEGSSKPGPDVSHDQRMRFIRSKYEHQEFLKPSLRITSGKACSTKKPSFLNSSISTKFMDSFRANSSSKKIVTVKKGTNLAIRDMMSSDPYVVLNLGKQKLQTTVVHSNLNPILLSVPESYGPMKLQVYDYDTFSADDIMGEAKLDVQPLITSAMAFEDAEMFGDMQIRKWLKSHDKPLIDDRLTAIIIGSRKIKSGRLVVRGRRGKLFNSLSKSTNKTRYVRHRFVRTKLCIRFDSESNTKKFHSDECFIVVYKKL >A01p049280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27734525:27736260:-1 gene:A01p049280.1_BraROA transcript:A01p049280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPAFLDGLARSVSMKKGKYIPEDEDGGGEIAKLLMKDAKKNSTLLGSSGFVKSETSKRFTSICSNRGEKGINQDRAIVWEEFGCQEDITFCGMFDGHGPWGHVITKRVKKLFPSSLLCQWQQTLASLSLSPECFSPFDIWKQSCLKTFSLIDLDLKIHPSIDSYCSGCTALTAVLQGDHLVVANAGDSRAVIATTSDDGSGLVPVQLSVDFKPNIPEEAERIKQSDGRLFCLDDEPGVYRVGMPNGRSLGLAVSRAFGDYCLKDFGLVSVPEVTYRKITDKDQFLILATDGMWDVMTNDEAVEIVRGVKERRKSAKRLVERAMMLWRRKRRSIAMDDISALCLFFHPS >A01g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8461237:8463572:-1 gene:A01g502450.1_BraROA transcript:A01g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24750) UniProtKB/Swiss-Prot;Acc:Q0WWT7] MESLSFPILNPLLASGSSLYRKQSSRMTSSMSSSVSFATGRTSLSTVRRSRVGVVKMQAVDEDIDLKQMRDMAAAKKRWDGLLREGKVKLLTPREAGYAIQLSNKPLLDVRPSSERNKAWVKGSDWVPIFDNEDNLDAGTLSKKVTSFAMGGWWSGTPILSFNKLFLSKVEEKFPKETELIVACQKGLRSLAACELLINAGYQNIFWVQGGLESAEDEDLVTEGSQPLKLAGIGGFSEFLGWTDQQRAQAAKEGWGYRLVFTARLFGVILAADALFLGAQQLGHYIQELRGH >A10p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18520037:18523241:1 gene:A10p030680.1_BraROA transcript:A10p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 18 [Source:Projected from Arabidopsis thaliana (AT5G14870) UniProtKB/Swiss-Prot;Acc:Q9LEQ3] MNKIRSLRFLLPETITSAASNRGSVAVRYGSQVLPWRHQILDPDSNIVTYWNHVFLVTSILALFLDPFYFYAPYVGGPACLSVDVGLAATVTFFRSVADLFHLLHIFMKFRTAFVARSSRVFGRGELVRDPREIAMKYLKSDFIVDVAAMLPLPQLVIWLVIPAATNGTANHANSTLALIVLVQYIPRSFIIFPLNQRIIKTTGFIAKTAWAGAAYNLLLYILASHVLGAMWYLSSIGRQFSCWSKVCEKDHALRVLDCLPSFLDCKSLEQPERQYWQNVTQVLSHCDATSSTTNFKFGMFAEAFTTQVATTDFVSKYLYCLWWGLRNLSSYGQNITTSVYLGETLFCITICIFGLILFTLLIGNMQTSLQSMSVRVEEWRIKRRDTEEWMRHRQLPPELQERVRRFVQYKWLATRGVDEESILQSLPTDLRREIQRHLCLALVRRVPFFSQMDDQLLDAICGCLVSSLSTAGTYIFREGDPVDEMLFVIRGQIESSTTNGGRSGFFNSTTLRPGDFCGEELLTWALMPNSTLNFPSSTRSVRALSEVEAFALSAEDLKFVAHQFKRLQSKKLQHAFRYYSHQWRAWGACFVQSAWRRYKRRKLAKELSLHESSGYYYRDETGYNEEGDEENYYGSDDDDFEGERLSVDNTNNSQNLGATMLASKFAANTRRGTNQKASSSTSAGKKDGSSNSLKMPQLFKPDEPDFSMDKEDV >A03g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11153439:11153908:1 gene:A03g503400.1_BraROA transcript:A03g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAEDGKLVVKSHFNQIRSDAAWKKEINASGLAWSFYDTSGERFNSHSETITFMISSLVAEGLAISAEMEHVIALKLRIVVFKSDSMLLVSAIVDDSSFSEIHGIFSDLIFAIYLYLLSLCPFGLVSENTLC >A01p015140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7339686:7345366:1 gene:A01p015140.1_BraROA transcript:A01p015140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRSLFLILCFAHISVGDALVSAKTCMDNNGNFMPNGTYDANRRHILSSLPSNVTSQEGLFFNSSIGQEPNRVHAVGMCIPGSILEDCFACIKSARDDLIQNCPNQTNAFSWPGEPNLCYVRYSNTSFSGSAVLDPRPLLYSSNDINSNLTEFTRIWEDLVVRIIDAASTAKSTPSSSNNFYKADIAVVTASDNIYALMQCTPDLSSGDCDNCLRQSARDYESCCGQKQGGVVMRPSCFFRWQLATYSKAFGNITVTYPPPPPPPHVASPPVATLQPVDENDSKGFSSGVVAAFTVPIVVTVFILLVLGYFRCRRRKSMQRTQVESDSDISTPQSSQYDFKTIEAATNKFLMSNKLGEGGFGEVYKVTLSNGTEVAVKRLSKKSGQVIREFKNEAVLVSKLQHRNLVRLLGFCLEGDEKILIYEFVPNKSLNYFIFGFEKQIQLDWIQRYNIIEGIARGILYLHQDSQLTIIHRDLKASNILLDANMNPKISDFGLSTIFATEQTRGNTRRIAGTYGYMSPEYAMHGQYSMKSDIYSFGVLVLEIISGKKNGSVYRMDEKKLPFAV >A10p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6656248:6659264:1 gene:A10p010980.1_BraROA transcript:A10p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purine permease 11 [Source:Projected from Arabidopsis thaliana (AT1G44750) UniProtKB/Swiss-Prot;Acc:Q9LPF6] MIQHPNDETELAQCDDSEEDENARRFDYSRFGNGIMIFDWSSDVSQNSIHRSGNQEPILVKEESVEGIPTPLLKLKSWQWWVLVSINILFLIGGQAASVLLGRFYYEEGGNSKWMATLVQTAAFPILYIPLLLLPSSSSSEPASCSLKTIVLIYVLLGVIIAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINAQKFTALILNSVVLLSFSAALIALNDDADAPSGVSRSKYVVGFVCTLAASALYSLLLSLMQFSFEKILKKETFSVVLEMQIYTSLVATCVAVIGLFASGEWRTLHGEMEGYHKGQSSYVLTLVGTAVTWQVCSVGVVGLIFLVTSLFSNVISTLSLAVTPLAALAVFRDKMSGVKVMAMLIALWGFASYVYQNHLDDLKVRRARKQPQTGQVDPHC >A07g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12812189:12812802:1 gene:A07g505640.1_BraROA transcript:A07g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVLPFGPCLFEKRERERERERERERDLKIDGGRRDDPQQLKKGSHSFRQRLLLWTLSSTPIIRQRLNPSRQHHEMSLLRLFETVSDDCVTLALIQIKLTDVTDPMVNEKVADRVGEDLEGVTHKVYFDIQINGSPE >A01p049100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27609380:27610389:-1 gene:A01p049100.1_BraROA transcript:A01p049100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSFGFLSLMLVVVVIGVVECRRFEKETLGGGGLGFGFGGGKGFGGGIGGGGGAGGGFGGGVGGGHGGGLGGGIGGGHGGGIGGGAGGGAGGGLGGGGGLGGGHGGGIGGGAGGGLGGGAGGGVGGGLGGGHGGGIGGGAGGGAGGGLGGGHGGGIGGGAGGGGHGGGIGGGAGGGAGGGGHGGGIGGGAGGGAGGGLGGGHGGGIGGGAGGGSGGGLGGGAGGGFGGGAGGGFGGGAGGGAGGGFGGGAGGGHGGGFGGGFGGGSGGGFGGGAGGGAGGGFGGGGGAGGGF >A01g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12044407:12046016:1 gene:A01g503980.1_BraROA transcript:A01g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELRDMKAHKAYYNMLHFVSEAQQGIPKLCPCGSITKEFVDEDDTYDYLPRKRYFICTDYQNDGLHFRQPWVMGVQQEIERLKLKFLEQEKLLRECEALKVQVKMLLERVLPEPEERPVGVKAAKAAKKRKKTGKEEELAKLENLWEIKNKSQSKVC >A03p009490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3816180:3816356:-1 gene:A03p009490.1_BraROA transcript:A03p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRKKTFMFIRLVSAAGTGFFYVKRKSSKGLLEKLEFRKYDPRVNRHVLFTEQKMK >A10p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18207424:18208497:1 gene:A10p029960.1_BraROA transcript:A10p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLERYQKCSYGSIEVNNKPAKELENSYREYLKLKGRYESLQRQQRNLLGEDLGPLNSKELEQLERQLDGSLKQVRSIKTQYMLDQLSDLQSKEQMLLETNRALAIKLDDMIGVRSHHMGGGGGWEGNEQNVPYEHHHQAQPQGLFQPLECNPTLQIGYDNPVGSEQITTTTQAQAQPGNGYIPGWML >A09p005680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3090034:3091897:1 gene:A09p005680.1_BraROA transcript:A09p005680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSYAPLRFTILISFSLAAASSFKLSASHSSSSLPKATADDLLAVLAPPSSAAYTVNPAVARELRSCLRFIVPFQPRKPIPEPGRCSLRTGLCSGRRFEEEEEDENSLVWWPPETILDLARLAVDSGGDPGSIHRALDPNMIPVPPTNVEGSRTSKCQLTRTPYGRHFIAQEVNSYFEFLFRLIESRGPSVGLNVSLSRYDLFHGHLFIASESGRLGILFHAKEYPAYDKRLFPYNMGYCQRGSDVKYGDSINLRNILWLAPLPSKSGPGWLAPGVLVVLDAHPDGIIYRDLIPNYVKFVRTIYEDDLGTSTVDVNYLNVGGAGEPDYQLFIC >A10g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3916117:3919212:1 gene:A10g501340.1_BraROA transcript:A10g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQKIELKIHFGGSVKKIGKEDYEYLDELGSKNVEWKIDEIVWDRFVDFCKEEALIIALVGLIWFKSEKEEMKQLRYVYDRYDKEMLMLRSVSKLGIDVVEVFVEHDCSEHIPGVIQLPDGEHIEDEEHSENDEVDRPKEDDEPEGSEDENPAEKEDNPTVNPAEKEDNEDGGDEVVADLTDGTSDVRFQTVFEEGEKAVPDKEAYGNDIELEDKDDDSEDERAPVDVEYPDTPKARCAKKHCSTIRSGFSLYEVNEFDCGYRVDLATHQCACRRWDLTGIPCKHDVCVLDDNQEDPVKYTAEYYYTHVMKRTYSDNIKPVNGENLWKMTGKPPIGIPEIRKPRGRPRTRDRKKEPFEALETAGKSSRHGRIPTCSNCHQSGHIKTGCKNQTVVYEGPKNKRGRPRKNLEEGAPKPPPTRRKKTQNTGSSSQPVHATGSSSQPVHATGSSSQPVHVTGSSSQPVEATGSSSDPKPHAKKPSRGPLKVRKTANIPHGVGTLWSPFTNRPFEVFGDRVYDRSDLNPQPPQE >A02p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7916167:7920169:-1 gene:A02p017520.1_BraROA transcript:A02p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1.1 [Source:Projected from Arabidopsis thaliana (AT4G08620) UniProtKB/Swiss-Prot;Acc:Q9SAY1] MAKTNPPDGGGSDANENTSVRHRVARPPKEGLLKEFKSVVQETFFHDAPLRDFKDQTASKKVLLGIQAVFPIIGWAREYNLRKLRGDVISGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLVYAGMGSSRDIAIGPVAVVSLLLGTLCQAVVDPNEHPEEYLRLAFTATFFAGVFEAALGFLRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMHSVFGAARHGWNWQTIVIGASFLTFLLVAKYIGKKNKKLFWVPAVAPLISVVVSTFFVFITRADKQGVQIVRHIDQGINPISVGKLYFSGKYFTEGIRIGGVAGMVALTEAVAIARTFAAMKDYQIDGNKEMIALGTMNVVGSLTSCYIATGSFSRSAVNYMAGCHTAVSNIVMAIVVALTLVFITPLFKYTPNAILAAIIISAVLGLIDFDAAVLIWKIDKLDFAACMGAFFGVVFVSVEIGLLISVVISFAKILLQVTRPRTAVLGKLPRTNVYRNTLQYPDAAKIPGILIIRVDSAIYFSNSNYVRERILRWLREEEEKAKAADMPAIKFLIIEMSPVTDIDTSGIHSIEELHKSLEKRQIQLILANPGPVVTEKLHASKFADEIGEENIFLSVGDAVAICSPKLAEQQA >A02g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6733958:6737457:-1 gene:A02g502120.1_BraROA transcript:A02g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMPLSFTATKKAIASSPAKSTASAYATGGSPFSLPTGGTSLSGSLSISTNKGDLLVSGNGDVLRHADYTRKDEMEDERVDLLLDRIKKNFDWSNTEWPVIEAEETEMEEADTESEADKSVDATDIAADVETSSVHVAGRGKRKIQDEGAETRKKKLLCKRTAEKKQRIDEETKSFIEGLVHSSVNSLGEILREQMASMESMFKERIGNMEIEVSQLREARSLSAEGSVPKSKTDEAAPKTKTVQTPAKKKVNQAQAQAPAKKKDWLKNGIETNEFDFGLSTQELRELSQDTFVDGFDLSQVKVENSKPFNMSPPKLNDEEIDRAGEASADAALVYLRKEDWEKYGKGVLPPHGQTQEIWNVDVDRLYVPVHVSGNHWIALCISFVTRSIDVLDCSGRKRYKELDAFANLVPRIVKA >A06g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25390478:25393422:1 gene:A06g508940.1_BraROA transcript:A06g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSFDVEKESQNELALELDDDCGVVEEEEEEEATVSNVGVSVQESSAPSRVFSHFKFLPGNISSRLSRASSSRSFNTTYPVPSSREVAVTSPSQPAKVESPRNPVIDNVVRDIDAMRYGEEDNISLRSSRVVNGMERQIRDSLAARSIDFVLTPDFAIVLNHFKILNITGFLLFLIGFCSLIMIAQTLSYLNFSAPGPIVHGKVKLDNILFDEILSAKISDFGAPIGLFLQRSNCHFTKLTSITSDFITYFPISILGSKLFFSSSDAEDYNVGQIGQQVHGAPANQRSGTSTRPQFLSRTQSAASSCRILRCVGKIRKRGVGKRITHPVNIERDKGLEEITAARVLVGSRIIVQSHFSHRKNSLIHVVSVSQLVEKGTCDDVQLGSWKREGIQSRRMNFCWLRLGQAEHALYDAKVCRELKPDWSKRCCFREGVALCFLQRSCRCSKGSFPARKRLMPNHKIF >A01p019410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9414810:9416801:1 gene:A01p019410.1_BraROA transcript:A01p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEQNILCFSCPCPLFQILSSLKNKKTSLRFAQHLLLIPVFILQEQNMESVSDLGKLFIGGISWDTDEERLGEYFSKFGDLVESVIMRDRTTGRARGFGFIVFADPSVAERVIMEKHIIDGRTVEAKKAVPRDDHQQVLKRHASPMHLISSPNHGGNGGRTKKIFVGGLPSSITEAEFKNYFDQFGKVSDVVVMYDHNTQRPRGFGFITFDSEESVDIVLHKTFHELNGKMVEVKRAVPKEPSQASINRSSPVLGFGNNYGVVSNNSYFNSFAPGYISNNPGGRFSPIGSGRNAFSNFGLGLNQELSLNGNTLGFNRVPGDQYFNNASPNRFNRGDSAYNPSNRDLWGNSPGLNLGVSVGNNRGNWGLSDTNGYGRSVGTGSGLSALSFSGNNNNTNGFDGSVGELYRGSSVYSDSTWQQMLPHQSSNELEGLSRSYGFGIGNVGSDPSANASEGYPRSYSVGGNRQTNRGIEA >A03p024780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10432752:10434123:-1 gene:A03p024780.1_BraROA transcript:A03p024780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTGFPLGRPNGRYGGGGERMPSRLKSELRFSGGGSNSHQEHNSEVEGPSAINGVASTSMSFGNDHDNWDNSSSHISFTIDEPGKRMRQTSLEMESLINIPEDSVPWKVRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMEKQTSYADMLDLAVEHIKGLQHHIEVRPYICIWNFLKLK >A01p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25797237:25804277:-1 gene:A01p045740.1_BraROA transcript:A01p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFTRDQFKLQGRTAQLSNLDINGEAIHASMGLPPALSVTTAKVGKLEIMLPYVSNVQTEPIVVQIDKLDLVLEENPDADVTKGPSSAQSPTASGKSNGYGFADKIADGMTLQVKVVNLLLETGGGAHREGGAAWAAPLASITIRNLVLYTTNENWKVVNLKEARDFSTNTGFIYLFKKLEWEALSIDLLPHPDMFTDANLARSEEANLRDDDGAKRVFFGGERFLDGISGQAHITVQRTALNSPLGLEVQLHIPEAVCPALSEPGLRALLRFLTGMYLCLNRGDVDPKSQQSAEAAGRSLVSVLVDHVFLCIKDAEFQLELLMQSLLFSRACVSDGESANYLTKILIGGLFLRDAFSRSPCALIQPSMKAAAEDLAVPDFAKNFCPVIYPLDNGPWQLIQDVPLISLHSLQVKPSPKPPHLFSKTVIQCQPLMVHLQEEACLRISSFLADGIVVNPGDVLPDNSVNSLLFTLKELDVSVPLDMSNLEDSAIKEDLSTKKIFSGARLHIENLSFAESPTLKVRLLNLEKDPACFSLWPGQPIDASQKKWTAGVSHFSLALETSPNSTEHQNSRGPEMGLWNCVEGKDVCIEVAMVSADGKPLITIPPPGGIVRVGVACEQYISNASVEQLFFVLDLYSYFGKVSEKISIVKESKRQNTASLTGGLLEKVPSDTAVKLALKDLQLKFLESSFTSTQDIPLVQFLGKDLVVKVTHRTLGGAIAVSSNVYWENIEVDCVDTEVQQEHENSCNGDLVVCNGSTPLRRVFWIVNGRHDGHSGSSLVTPFLDISITNVIPLSEKDMECHSLSIMACISGVRLGGGMNYTEALLHRFGILSLDGGPGEGLSRGLEHLSSGPLSKIFKASIVDDRKQDGALGSRSGDAFAHLGTPDDIDVCIELRDWLFALEGREGVAERWGSIDDEDIGREERCWHTNFRSFRVIAKSTPKLVDPNVTETKNDAHKFPVDSIIVNVEGLQTLKPQMQKETIPNGHHENGHLPGGVNIEANIVASEDKSGHDDTLSWVAESLKFSVKQPVEAVVTKDELQYLTFLCKSEVDSMGRIVAGVLRVLKLEESVGHAALNQLSNLGSEGFDKMFSPKASRADTPKSSPFGAASDSMREISSRADLESTISSIEEASAELEAKCSALASDLSDSESETSAKHIKDLKQKLESLQSLMAKLRTQI >A05p044960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26949400:26951387:-1 gene:A05p044960.1_BraROA transcript:A05p044960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVHANTFIKCYKPPPSDRYKERKETRDMHQDNESNSGSNPGPGSNYLIVRPHRGGYKDLFQYGVRGDETSKNKFLERPDDHSDRTTSVINVQADDRRWVIVVSILVRKIIGLLRTPMEYTGLVVDFVLNLLSANGGLLGLVLGLIKAKVVIPERGSVDFVSTIGQLDGRIDLYKGWSFVEQLVCMEDSVSSGSSKRVGIEPGSRGLVDLCVMASKLAYENAKVVENVVSLHWKMNLVEFLDCWNDYQKQMSTQVFILTDKEKDADLILISFRGTEPFDADDWGTDFDYSWYEIPNVGKLHMGFIEAMGLGTRDYTPTFDYHLVELNSSEKENSQKNLPEMVERSAYYAVRETLKRLLAEHANAKFVVTGHSLGGALAILFPTLLVLKEETEMMKRLLGVYTFGQPRIGNREIGSFMKAKLNHPVDRYFRVVYCNDLVPRLPYDDTTFLYKHFGVCLYYDSFYNETRAEDEPDPNPYGMRYAVLAHVNAVWELVRGLMMGFIYGTGYKEGWFRILFRLIGLMIPGLSDHCPTDYVNSVRLGPDYDLQMSPL >A02p033070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17468116:17468784:1 gene:A02p033070.1_BraROA transcript:A02p033070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLRTKQTKTSHIVASSASQEVSSIEWEALNMNQEEEDLVCRMHKLVGDRWELIAGRIPGRTAQENERFWVMKNN >A03p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5489842:5491960:-1 gene:A03p013930.1_BraROA transcript:A03p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSISELPDELILKIFSLLPLYEESIATRLLNRLRMEGPWKLVPNVSVFDDSYKSFVNFMSFVYASLLFNESQILERFHLMLNQKYSASDINVLVKFAVNRSVRKLRIQTFENTLELPSCLSSCVTLKSLILRQLSIEVVPSCFRLPSLKSLHLFSVEFSGDVSLASLLQSCPGLEYLVVRDVKFKTVPRWFQLSSLRSLHLLSISGCESVASMCPFLEDLVVKQSQVFNHYEPTLITCRTLKTLILRELTISVVPAMFCLPSLKTMHLLSVGFTGGESVASLLRICPVLEDLVVKQTQVTHSNECTLSSCRTLKTLTLSELSIKVALPWFRLPLLKTLHLLSVKFETIASFLPICPLLEYLVVDQSKEDGSVVFKEVPHWFRLPSLKSLHLLSVKFSGDDSVAKLIRNCPVLEDLVINKTRDDNVMIFNIKAFSLRSLSIDNSIGNVKKNHGFVINAPSLEKMDFKDTFSNFLVFEHMPEVTEANVQVLCGHSNMFIGSLTSARLLSLCCLSSETPYPSGTTFPYLEHLELCTRCAGWANLLFCILKDAPTLKYLKLKPQHGAHYNGPMILWKKTTAVPECLSTHLEIMEWRQYEGTKHERNVAAYVLANATCLKRATFSTRCRNKHHRRLRKLKKLNRVSETCQLLFN >A01p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19274201:19275379:-1 gene:A01p026870.1_BraROA transcript:A01p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSENEVKRILTLSVGGTEDKFICSHTIHGSYTNRNAILYAATQESPMRLVQLALEETHLWVKVNKRRDDLEWRRLARSQAERWSPPVNGSIKCNVHANWRNSMLHCGAAWIARDSSSLVKFHAREAFTCSASKLIAELRCIIWVLHSVRDLHFDQICIASDHKDTIAAISSPNQWPRYRFLLEEINHLSQSFSMVSFKEENISANLIVRDIAKSVLRDGRFQSYLAMGGPAWLPERLHREATYNS >A07p047970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25656907:25661514:1 gene:A07p047970.1_BraROA transcript:A07p047970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSKILVIGGTGYIGKFIVEGSAKSGHQTFALVRESSLSDPVKGKIVQNFKDLGVTILYGDLSDKESLVKAIQHVDVVISTVGLSQLMNQINIISAIKESGKHIKRFLPSEFGNDVDRTVAIGPAKSEFAMKAEIRRVVEAEGVPYTYVINNCFNGYFLATLAQCETRLTSPPRDKVTIYGDGNSKAILNKEEDIAAYTMRAVGDPRTLNKTVYINPPKNIVSQNDVVALWESKIGTTLEKTYVSEEELLKKIPESPHPLDLLLALNHAIFVKGDQTWFTIEPSFGVEASQLYPDVKYTSVDEYLNQNMATEKSKILVIGGTGYIGKFIVTEIAKSGHQTFALVRETTLSDPVKSKTVQNFKDLGVTILQGDVNDHESLVKAIKQVDVVISSIGSMQILDQTKIISAIKEAGNVKRFLPSEFGTDVDRTSAVEPAKSAFAVKIQIRRAIEAEGIPYTYVVNNCFAGYYLPTLVQFEPGLTSPPRDKVTILGDGNAKAVINKEEDIAAYTIKTVDDPRTLNKIIYINPPKNTLSMNEMVSLWETKIGKSLEKTHIPEEQVLKLIQESPVPINVLLAINHSVFVKGDQTNFTIEPSFGLEASELYPDVKYTSIEEYLMSPVVPATILLSINHSVFIKGDQTNFTIEPELGVDASELYPKIKYTSIEEYLSHFA >SC271g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000118.1:16352:24983:-1 gene:SC271g500030.1_BraROA transcript:SC271g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLLVFTQVSYLKRKLLKSKGFYIQHGEWDDGVAMSRDIGELSESDEGEPDLRREEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVRRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEEEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVRRRATILEKLGHDQIIFKTPVRLINTSHTACPLHRTGLDLPLSTDFSAILEKLGTDQEHL >A07p034100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18556642:18558018:-1 gene:A07p034100.1_BraROA transcript:A07p034100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAREEFVYMAKLAEQAERYEEMVEFMEKVSAAVDGDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVTAIRDYRSKIETELSGICDGILKLLDSRLVPAAASGDSKVFYLKMKGDYHRYLAEFKTGQERKDAAENTLSAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDAADEIKEASAPKPTEEQQ >A02p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2396220:2400393:-1 gene:A02p005490.1_BraROA transcript:A02p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDSMQQQQQQLPPMHHPPMMRQPSASSTNINPDYHHSSAPNHFDSHVDGFGGKRMRKHTQRRAVDYTSTVVRYIQARTWQRDSRDMTSLQPTPAAAVDMLPPVAYSDNPSTSFAAKFVHSSLNKNRCSINCVVWTPSGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPIRSMVWSHNDIWMVSGDDGGTIKYWQSNMNNVKANRTAHKESVRGISFCKTDLKFCSCSDDTTVKVWDFAKCQEEISLTGNAGHGWDVKCVDWHPTKSLLVSGGKDQLVKIWDTRTARELCSLHGHKNMVLSVKWNQNGNWLLTASKDQIVKLYDIRTMKELESFRGHKKDLSNSFKALAWHPIHEEYFVSGSADGSICHWIIGHENPQIEISNAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWSRNRPADNPRDALTMQNQGYNEQGFSNRMPDNFQPSEASPTPGAFVPGLTRNEGTIPGIGIAMPFDASSQGEHNQPLPGGMAPPLPPGPHPSLIGSSQQQGYQQQHHHQGHHPQQIPPMPNMPHLQRPPPSHMPLRPHHPRPMQMPPHMPPSSMPMTHQIPGPMGMQGGMNTQMSQGHYMGAPSGPFPGPPSSGGPPMYPQGRGFNRPQMMQGYNNPFQQQPPPLPAGPPPNTNQQHQ >A04p020640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12628609:12630784:1 gene:A04p020640.1_BraROA transcript:A04p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39210) UniProtKB/Swiss-Prot;Acc:Q9FL87] MEISLQKQIFNSGDKLFNSRQNQWAWIERFQATEFLPANSTNLCHDPISCPMLGILTPRKSKNHLSVCAQVSAIRRRRVHSNSDTYVLLEAGQDEQFVSEDELKAKLKGWLENWPVESLPPDLARFDNLDEAVDFLVKAVCELEVYGEVGSVQWYQVRLE >A08p026770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17012539:17019680:1 gene:A08p026770.1_BraROA transcript:A08p026770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDEF1 [Source:Projected from Arabidopsis thaliana (AT4G30140) UniProtKB/TrEMBL;Acc:A0A178V2L8] MVEGVSKIMWIVVATVFAATAAATLVAHGEETPCYFVFGDSVFDNGNNNALNTIAKVNYLPYGIDFPEGPTGRFSNGRIIPDVIAELAGFNDTIPPFAGAPPAQANIGLNYASGGGGIREETSQNLGERISLRKQINNHQSAIINAVVPPSQLRRCLYTISIGSNDYLNNYFLQPPTPARRQYTPEEFAESLIRFYNIYLKQLYLLGARKVALFGIGKIGCIPRIVATLGGGVGCAEEVNQAVDLFNNKLKALVTDFNNKLSSAKFTYVDLFSGNAEDFAALGITVGDRSCCTVNPGEELCAQNGPVCPDRTKYIFWDNVHTTEIINTVIAIAAFNGDITSPFSISQLGVSKALWIVVATVFAVAAAITPVACGQQAPCYFVFGDSQFDNGNNNVLNTTAKVNYLPYGIDFSEGPTGRFSNGRNIPDVIAELAGFNDSIPPFAGASPGQANIGLNYASGGGGIREETSQNLGERISLRRQINNHQRAIINAAVPRRQLRQCLYTINIGSNDYLNNYFLQPPTPARRRYNPEQFAESLIRLYNIYLKQLYLLGARKVALFGIGKIGCTPRIIASLGGGVGCAEEVNQAVELFNNKLEGLVADFNDRFSSVMFTYVDLFSGNAEDFAALGITVGDRSCCTVNPGEELCAQNRPVCPDRTKYIFWDNVHTTETVNTVIAVGAVDGNITSPFSIAELLN >A09g514310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42900486:42902827:1 gene:A09g514310.1_BraROA transcript:A09g514310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPRNIATPTPSRQSHEPLIHSYSITAREQVKIEKLTFIGFRDNQARTDDFHHVKLLFGTEEIRERGKGPNDFERIERGASGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >A01p005690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2971210:2971899:-1 gene:A01p005690.1_BraROA transcript:A01p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSSLGSDKEKKGGSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFQTAEMAMRAHDVAALSIKGSSAILNFPELSDSLPRPVSLSPRDVRAAATKAALMDFGTKQVRHVRAESESNETATYDKGSESESNASSKRSESESSETASSCVTSIDEESRVSDDLEKIVELPSLGTSLDESNEFVFYDTLEDLVFMPSWLRGGPGDDFTYNNNDSPLNSVFDESFSW >A10p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17584603:17587207:1 gene:A10p028190.1_BraROA transcript:A10p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT5G17700) UniProtKB/TrEMBL;Acc:A0A178UJQ3] MSRGGGEMEERLLNASETEQRRESLYLRKKIWSEVRKMWRIALPSTLFRVMSFGCIVVAQAFIGHSSETGLAAYALIQSTFIRFIYGVMAGMSSATETLCGQAYGAQQYHMMGIYLQRSWIVDTFTATLFVPFIIFAGPILRLLGQNLEITKTVDEIYPWVIPYLYSIVFTMTMQMYLQAQMKNAIIGVLSTVALVVDIVATWWCVSVMGMGIHGALLGLNISSWTVVIAEFVYVFGGWCPHTWTGFSTAAFVDLFPMLKLSISSGFMLCLEYWYMSIIVLMSGYTEDANIAISAFSICQYIYTWEMNISLGLLGAACVRVANELGKGDADAVRFSIKVVLVVSAVIGVLCSVLCLAFGGQISYLFSDSHQVSDAVADLSIVLSLSILLNIVQPILSGVAIGAGMQSVGLWSGMLVGVGMQTLILSYVIYKTDWEMEVKKTNERMKTWTLKSPSVEPSTITTRDEERK >A07p020620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12146513:12149212:1 gene:A07p020620.1_BraROA transcript:A07p020620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLIYLVFGDVWDDLHVSRLKYNALDDFQEVQTTSTKSSPMSLPFITYLSVLDFNQMVLIFHSFKGGRLTCKPPRKNKVKVNCKTNLYIDHTTSRSGKNLNFIVSTSEITCLAHISFFQASRISNKSDPPRMSSFNGSMNHKNLESKSWNFFG >A02g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10434776:10435968:1 gene:A02g503150.1_BraROA transcript:A02g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKSRALSILLNVSSDWQIEAAFDVFYSQPQPKSNGDMRRLKELFNRYKGNMLFLMCFMELGLYKAQVMLPFNAFGTMAMARKIGYKSF >A01p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000167.1:4080:13153:1 gene:A01p012660.1_BraROA transcript:A01p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFKMLKDNGNIHGYSFGASMIARTIEMTPNVAEIARIDKESSTVPSGAAQTPHLDHEGGSEPETQENGQEGVGLSEEEGEFNSGSHHQDLSRNVLLRSRFAPRNLTSKLTLTKTSPNPVLNSLLLGVDKNSSTRVRVHVDGLKPLIKESIIEFDSGEESLITLEYEKLETHCSKCASLLHARRNCPVKAESEPSIQQLSRLSPIGESLRPEIPRAPKEGTNSLEENSARATSDQQEFKVRRDRHGNPFGERISTKQTRVPPPVNAVVTREINTQNWRSSKTQDEPQRYSSPQYTQNRQKPNRVPQRGRDLFPQREQAQWRPRLVPAPEDPTNHSRRQQVPTTPTNLNSGNSQLSNGVSRVQTMEEVMEDLHHVTRQYLNCADPVEAAARKQRVMQSDANGDMEETAAAIIASETRRHELSSQQRGMDSNPNTPPPRRDYTIQELLGPDPSVGYSPLIREEEAKEVEPSYIEVEGSPKQRSEGPAKLKSIIIRSPNSVAEAPTPEQATQVATEEEETLLEFQNIVKRRSRRNKRGRSPRNSPIILRGAICLPPTGVSNNILPWICWAIWTARNLAIFENRTLSAMEVATKGIRLAREWNMAQDKKKATKNTPPRLHRPPRASVSPAVLTIGKSDAAFDNRSHRAGFAWNFTDSAGTMIIQGSKIQDSIGSPLIAEALALRSAILSAVNSEVTHLKMFSDNSTLVRAITNDTQASEIFGIVNDIQQMTSAFVEISFSHFPRLQNIDADLLAKQTLRCSL >A07p015310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9546766:9548996:-1 gene:A07p015310.1_BraROA transcript:A07p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDREDSEMEMEEAKENDPRRESTSMEETKGQDTDLRTSEADDRTDWRHKRDPRSSAEKRDSATQMRHELGQSSEYPVRTGVADCYYYLKNGGCFFGRSCRYNHPPRNQLGDDTVQPSAYKVRLGATNCEQYLHTGQCTYGSRCWFNHPPVSENTEKRLPGARDCEQYLQTGQCSYGRRCRFNHPPTYLAPYFQKGGCKHGSSFKFTNSMRGDGIEPMRQATTWGRRSDERRHETESSSRAEKRARTIPDYPSVIQDVSDEVGAGQNSWLQRRRAQRGKIDCGQRRVSEEERTLRETVPCTVLVLYSQERQTHDLEEQKQRERVYENLRIDQIKASVQSDGGIQTEQRLEVETRLFFTVITRRKIPENHSVNAQENLQEQADVEKEKRKAQEKAQEERSRSLTGNVDQLIQSLQDNIGIGRKKGDGV >A03p046840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19814791:19815521:-1 gene:A03p046840.1_BraROA transcript:A03p046840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLLPTLTKKEEIDRIINNTIDKVLVLRFGRISDENCLLLDDILEKSARDVSKFATVALVDVDSDQVQVYVNYFDITLFPSTVFFFNAHHMKLDSGTADHTKWVGKFHEKQDFIDVVEVIFRGAMKGKLIVHCPLPPERIPKYQLLYKDV >A07p034010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18532521:18534276:-1 gene:A07p034010.1_BraROA transcript:A07p034010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRDTLPDLTENRPEAEQCSNSPVIALVLEEHDSRVRCPFCKTPNYAVEYRGVKTKEEKSIEQVKPLESCSSSTRAMTGEFEHCSASGRFCQKPSIEELMVMEAIWLSNQETWMQSTSDKPATPSLSSGGLACAIAALAERQKMVGKSSNHNHNVNVSSYNMLPGNYDIYYDIEQETDDIDNHCHNNHYHNSNEMGETRSNSYVSSYMNGESFHNFPPPPPRVIASESF >A05g510260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31790158:31794144:-1 gene:A05g510260.1_BraROA transcript:A05g510260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFPCFSTPKSKKSPTRNESNDNETRPHARENKHAEEAEQLEETTLKTFTFRELATATKNFRQECLLGEGGFGRVYKGTLQPTGQVVAVKQLDKHGLHGNKEFQAEVLSLGRLDHPNLVKLVGYCADGDQRLLVYDYVTGGSLQDHLHELKSDRGPMDWTTRMQIAYGAAQGLDYLHDKVNPPVIYRDLKASNVLLDDDLCPKLSDFGLHKLGPGTGDKMVALTSRVMGTYGYSAPEYTRGGNLTLKSDVYSFGVVLLELITGRRALDTTRPNDEQNLRYPDMADPVLENKFSERGLNQAVAIASMCVQEEATTRPLISDVMVALSFLSMSKEDGVPTTVPILSFRDKSMSIALSRHDSDLASSKLAVEDEKSSTSSDKESSVDSMKERVIKREEEDSSSESDDGSGSNSDEEHEEDRNSLSTEAIDEKNQAQSLKINYRYSWEEVDVSDEKLSSKGSQKSNDESIYSCYDIEVDHDDSLMNKEKKEEEDIHSSKSDDDQSVYFDDVSEDDNEISFHRIKSEVDVDYVEDDSGTSLHPVK >A07p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19007692:19016499:1 gene:A07p035070.1_BraROA transcript:A07p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSCLDTRTHDMRINIDTVPYLTDDSLVGTRGDSIGARSKSGILVNGKVNSPKPGGGGRSFTFKELAAATKNFREDNMIGKGGFGSVFKGRLYSGQVVAIKQLNPNGHQGNQEFIVEVLMLSVFSHPNLVTLIGYCTSGAQRLLVYEYMPMGSLEDHLFDLEPTQKPLSWNTRMKIAVGAARGIEYLHCKISPSVIYRDLKSSNILLDKDFNPKLSDFGLAKVGPVGNRTHVSTRVMGTYGYCAPEYAMSGKLTIKSDIYCFGVVLLELVTGREAIDLSKPNGEQYLVSWARQYLSEPKKFGHLVDPLLRGNYPKKCLNYVIAITEMCLKEEANQRPTIGDVVVAFEYIAAQSKSYEARRVSRKSTDSDRSREETKQMVKNIPDPVKIKLPPPLVAMPPARGLKPKFPAAVPGRPTRPLYNPPREKQREKTRSVDSPPLKPVEKLGIGKKAVPPSGKIVTPSLKMFSLADLKTATKNFRPESMIGEGGFGQVFKGWLEEKTLAPSRAGVGIPVAVKKSNPDSAQGLHEWQASTYFLSFFIYFYSICIEIAQLWGKNFQIYDCEVRFLGKFHHPNLVKLLGYCWEENQFLLVYEYLPKGSLENHLFSKGEALTWDTRLKIAIEAAQGLTFLHNSEKSVIYRDFKASNILLDSNFHAKLSDFGLAKNGPINGFSHVTTRVMGTQGYAAPEYMATGHLYVRSDVYGFGVVLLELLTGLRALDPTRPSAQQNLVEWAKPVLTQKKKIQKMMDPRLEHKYPILAVTRTAALILRCLEADPKNRPPMDDVLRELEIVRTIRDELKEERRKRSGGGSDHNNRVNGYGLPHVRRTGRTG >A02p043470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27409188:27412615:1 gene:A02p043470.1_BraROA transcript:A02p043470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVADAFKGLAATVNSRKPQVTVKQFSDACSLFSGLFGILDTSFKFAKMDYVGKLNDLAAASRSISTLEEMIDKDIEAGCVKKYGSHTRNLLKVKQGLEMIKDAATKAYNQVLFPHHQFNIQKACATGLNSLPSKSLVLLLLGEAGEYMIFFPN >A04g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14949417:14949925:1 gene:A04g506600.1_BraROA transcript:A04g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSHSSSSLPLSRGYLCNNNKAESSSSTVLLLFNQSRFCSNSPTASSQSGTGFITVALDVEIVPPENSVLNTAAERFFKSEIRRRLFVTSVLLVLSRVGYFIPLPGFDRRLIPQDYLSFVSGSVGELLL >A03p072590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32101221:32103169:1 gene:A03p072590.1_BraROA transcript:A03p072590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMRLEARLKRRLLEFALLHLFEMVTLPTKVASRLSEALTHFFKPRPRLDPRNRISDGEVRNPSVIFFFSFLFLLLDHLSRVFDGSTYPFICRSGSWKAISFRSGSTLFYILRSKAKRHVVETTQYEEFSRDNMRYTGTDLGRDFVMLCGECRLVRLILVGFCERRHGILKTHCFGLVAGDKCHDIPNHFSYAGSGCNISPVGRTSQRSLCNSKLLKKCALREDMCRIRHHLVNILKTRHK >A07p033070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18083951:18086231:1 gene:A07p033070.1_BraROA transcript:A07p033070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSSLILSPWQATLDHYNNKTKRFFVKGYPFPCHHSDPICHSFNIKRRVLPSSSSSIFKDNHVVTHSSSSSDKLQTHFSMSGCGCSWIQDNSMLHYPATSNWTSKKCSALPTTKTVDVSSVSDLFEFICSGPLVDKIGITPEKVGQAIDKWLLYGSQLCRLFQLNELKLTIPQKARLYHYYIPVFVWCQDQIALHSSKFNDGDDVPPLVIGFSAPQGCGKTTLVFALDYLFKTTKMKSATISIDDFYLTAEGQAKLREENPGNALLEYRGNAGSHDLPFSVETIEALTKLTKEGMKMKVPRYDKSAYSGRGDRADPTTWPEVEGPLKVILFEGWMLGFKPLPAEVVKAVDPQLEIVNKNLEAYYEAWDKYIDAWVVIKIKDPSYVYRWRLQAEIAMRQAGKAGMSDDEVNDFVSRYLPAYKAYLPTLYEEGPSGSEPERVLAIDIDEERNPILAT >A07p040530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21473809:21479568:-1 gene:A07p040530.1_BraROA transcript:A07p040530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFVSFLSFLLKTQPDYALSHPRQKPFSDTSRQAMRKRITAILQRSRPTPTTDAPRLQRFLSIYTDYLESKLMNSANSEEEYSNPRDLEVRVHYLLKEVKELYNRFRDTSDRLSALPDTLITQILLYLPTKDSIKTKILSKRFKDLWLQVPGLEMHSHEPAAINNFIATFLQRNRGSRLQKFKITYNGRNVCSHGNSEFIAGVVNRGVQQLDVGSSTLKRPLTNDLVHVNIYKSNTLVSLKLANVGMQNPPEFGVSLPCLKTMHLEDITTKDPLIVEKLISGCPVLEDLTVFRAFDDNVPVMRVRSLSLKRFCVKLSRARIIHGKEYAVEVDAPGLKYMNFGDNLSDRVVAKNLSSLFKVDIDAQFSFGITTTITVQMKNAIISDFLKGVSGVRHMIISQPTLESLYSWMNNGAFTKFSNLTRLEVSFCTDLLQRLPHFLEGFPKLKHLTLNLLYLKDLEPENLELTVVPQCLLGTLECVEVKEVATVEKAGKKRARYIKRTKVSKHMKKIWIEAVRYILENSLVLKKLVLCFSSVTNSVLEISEALPTNVILQRRVTPGTSSRTLQMFISIYTDYLESKLMSSANSEEEYSNPRDLEVRIHYLEKEVQELWNRLRDLRFSDRLSALPNTLITQILLYLPTKESIKTSFLSKRFKDLWLQVPGLEFHSHEPPAIRNFIVTFFQINRGSRLQRFKVTYNGRNVCLHGTSEFIAGVVNRGVQQLDVGSGTLKRPLTNDLVHVNIYKSNTLVSLKLANVGMQNPPEFGVSLPCLKTMHLEDITTKDPLIVEKLISGCPVLEDLTVFRVFDDNVPFLRVRSQCLKRFRVKFGRSRKIYGKEYAIEVDAPGLKYMNFRDDLSDKVVVKNLSSLFKVDIDTQFGTSTLQIKKSIISDFLKGIPSVRHMIISQPTLEVLYSCMKHGPFTKFCNLTRLEASFCTVLLQTLPYFLEGFPKLKHLTLNLLYLKDLEPENLELTVVPQCLLCTLECVEVKEVATVEKAGKKRARYIKRTKVSKHMKKIWIEVVRYILENSLVLKKLVLCFSPVTNSVLEISEALPTFTIGSPGCEIFNHLTSL >SC203g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:237214:246509:1 gene:SC203g500090.1_BraROA transcript:SC203g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQACGNADWPNSSLRKSLAEERIETSDESSKQVVTQRLNVRLARSLRSDQALVPLCRYVATELSQARSLRSDRAIVPLGRYVGTELRPSSSSSLRSASATTSEPNRVPLGRYVAIATELSQARSLRSDRAIVPLGRYVATEIEPKLGRYVATKRSSRLPPSDRPARSLRSDRAIVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYRSARRKTETRRQSEPILSRLGDELVSLGKKDDRQPKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTCVKKMNDKSSLLIEVWVRNVASTTFFRGVLTVNFVQYTLPCQNSSLRKSLAEERIQTSDESSKQVVTQRLNVHPARSLHSDRAIVPLGRYVATELKPRLGRVEAKLGRYVATELSQARSLRSDRAQPSSVATDRARAKARSLRSDRAIVPLGRYVATELGQPRSLRSDRVIVPLGRYVATELEPKLGRYVATELEPKLGHYIATELEPKLGRYRPSDRPARSPRSDRALVSLGRYIATGLEPKFGRCVEIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHVSRYSNSSIKLPGLETAENS >A03p007220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3010260:3010922:-1 gene:A03p007220.1_BraROA transcript:A03p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLQAPELSITVVEQSPIMANYHHKPITTTRKSSSSSSTTVCCEGSLSAAIDVIILLAVITSMSILIFPYIRFIALKSLSIFSDLSCLLKQEIIRDPDPIVYGLIAWSVLCTALSGLMIVLILCSSRRRCGKPNCRGLGRANAEFDIQIESEECSVKRLKSGVISKKGLFEVSRDRHRELEAELKKMAPENGRAVLSFRGKCGCCVGRLVVPGPRKIKK >A06p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20279099:20280874:1 gene:A06p037460.1_BraROA transcript:A06p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty alcohol:caffeoyl-CoA acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G63560) UniProtKB/Swiss-Prot;Acc:Q9FFQ7] MADSFELIVTRKDPVLVSPASETPKGIHYLSNLDQNIAIIVKTFYYFKSNSRSNEESADVIKKSLSAVLVHYYPAAGRLTISPQGKIAVNCTGEGVVVVEAEANCGIEKIKDAIWEIDQPETLEKLVYDVPGAGNILEIPPVVVQVTNFKCGGFVLGLGMNHNMFDGTAAMEFLNSWAETARGLPLSVPPFLDHTLLRPRTPPKIEFPHNEFEELDDISGTGKLYSDEKLVYKSFLFGPEKLEKLKIMAESKTTTFQTLTGFLWRARCQALGLKPDQRIKLLFAADGRSRFVPELPNGYSGNGIVFTYSVTTAGEVTLNPLSHTVGLVKSAVEMVTDGFMRSAIDYFEVTRARPSLTATLLITSWAKLSFHTKDFGWGEPVVSGPVGLPEKEVILFLPCGSDTKSINVLLGLPGSAMKVFEGLMDI >A03p038460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16053331:16053753:1 gene:A03p038460.1_BraROA transcript:A03p038460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFLLALFLVLAFHHGEAAVTCNNVVGDLYPCLSYVMQGGNSPSTNCCSGVRTLNSQAQTTADRQSVCRCIKNAIGGASYSSSNLKNALSLPAKCGVNLPFSISPSTNCNSIH >A07g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25361563:25362421:-1 gene:A07g508910.1_BraROA transcript:A07g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTTSTPTTPPYRIPSHVFARTPSTAPAEWSTLSNESLFSIRMGNNSFNANDYFKSGELTFPQPPSPRTPHLPSPLPSPRQNTNQGGGGGGGGGGGGGGGGGVVEEAKTPVDVGKKAAETEKASPASKEEEQKSASSIREFIMANEAANKDNNSNNNKSNKLDRSISRRSENLSVKSFAFPILGNADKGGLQSSTPQKKQTKPSQPETPKSSSESEGDEGLKKEEAPKAEAAPNRTPKWLLSCFPCCTTCCV >A04g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9172445:9188179:1 gene:A04g504410.1_BraROA transcript:A04g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKRTQRRTFLRPYRSLCSEWRVGLSSRVRARSLRSDRAVCVLGRYVATEQLRRPSSVRARSLRSDRAVCVLGRYVATEQRVRARSLRSDRAACVLGRYVATEQRACSTEQRSDRAACVLGRYVATEPCFVVFPFPAINVGVFQRFFWENKFYPSEMFSENVFWEKSGACFSALPVAEGAVSAASLSFIYPDIVSIKRFVAMKDPDLCVSQRQKVDLISNSRKSVAIITREYKGFGRRGLQRNSESKKLSPPCSSTRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPVNQAVVYGLLVRKIIGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFKGFDENARTGVVLTFGKVQSLHSDQTLARARSLRSDRAERALGRYVATELWPELGRYVATERSSRSILPYEQASRKKESRYPTKARNRSLRSDRTSVPLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELEPKLGRYVATETPATELGRYVATERSSRSRPSDRPARSLRSDRARAKLGRYVATERSSRSVATDRALVPLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTCVKKMNDKSSLLIEVWVRNVASTTFISRIIYPPARVTTLTGWGANCWGQKRFFLTNKLRGRKNRDIRRKLETGRYAATERPSRSVATDRARAKARSLRSDRAIVPLGRYVATERARSLRSDRARQLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVASEASSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNWQKSKSVNRPWSYCDSIRFSRLRVARTRNLADSSRAQAYTLYPGPLGN >A09g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21546511:21549153:1 gene:A09g507410.1_BraROA transcript:A09g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRVLLGQQQITMDFNGKIDSAYNNLNTKIETLGTQVRKLETQAIQTGETIKRQEAFTREAGADKGKHHVNAIIDDDFWQVVRNEKLEEGDFKIESSMSLGGSQCHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVNRHTPPPIDKHTPLTYRVRLPSIDNDYINALRPPPKSLASPHEPKPNPLNSSPEPDQEEQETEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVSEKMKHRITLTKKRASVSILPRIMADQLGLTIEPSTESFTFVNLSEKRSGGIIRDLEETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKQPVDHFTAPNHCYPHFAFPPPSKIGHDNYSIGSWVDSGFHESFAVDTVITSSNEEHREEYDEGYWKEHTIEMSLHDERFETHKFKNTFPTSIAEVHSTSVDTHPRPEKQPLTSTDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFASPKPPQHTRADTEANKMNTLPSTSTGKFMKSNHLKNTSSAEITLPSIDASVSTSISTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSRKNIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTETSIDRVTQTSIDRDDPTSIDRRYKFGNRAFDMYRARKFT >A08g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18043022:18043583:-1 gene:A08g509240.1_BraROA transcript:A08g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMVCIAFV >A10p005890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9487048:9488162:1 gene:A10p005890.1_BraROA transcript:A10p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSVVGAPLVVARSRRIPTTLKCFASTANSELLRSQLDRLHAEAESTRAKANSNRLRLLRLSEAAENLRRQASLHVQTGKENDARELLLQKKKVMQALDKAKARIELLDTLSSKLNEAISVKETQLIGNISLDLEVDRERTSDGVHIVSPKPESTEEGHENDQTDLGSQESQLIENNFEEHQETVGTDNHALEEALTGNIFSEVSSYESFLENLDQKLCRIEDELVTVVNVASLLLSHEDKPKNLKVQQTSEILEEIRCVRERIASIICKEANIS >A05g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12573342:12574337:-1 gene:A05g504600.1_BraROA transcript:A05g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRTHTDSHGRPVCADGRPACADGRPVCADGPTDVLRVLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHRRPSCADGRPVCADGRPVCTDGHTDTHGQPRTSCPDVLCVLTDGHGRPVCADGHTRTSVCTEQTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPVRPRNSDLCSMQKTWLEAKENYENLPENSFNHPYEACKKSDSNSKGRHSLEPPTPQYPNGSGT >A01p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25934707:25936914:1 gene:A01p046000.1_BraROA transcript:A01p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIDIGTAAERNDDGGGRREPLINREKKFKRSVSNVHDELHSFRKYLRWMCVDQSSPWTAVLSWSMFVVFTLVVPAMSHFMLACADCDSHHSRPYDSGVQLSLSSFAALSFLCLSRFVSKFGLRRFLFFDKLWDESSTVRRGYTNQLNRSLKILSYFVAPCFLAMSSYKIWWYASGASQIPFLGNVILSDTVACLMELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFAQVFQMDSDVGSILSEHLRIRRHLRIISHRYRIFILSSLILVTGSQFYSLLITTKSHAGLNVNSAGELALCSMTLVTALLILLRSASKITHKAQAVTCLAAKWHVCATIESFETVDGGTPRLVDRASGHGFYSTDVEIGDTDDSEDYGEEEDDFDNNDLIPAYAYSTISFQKRQALVHYFENNKAGITVFGFTLDRSTLHTIFGIEMSLVLWLLGKTIGIS >A02p045530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28549567:28551498:1 gene:A02p045530.1_BraROA transcript:A02p045530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYHKLIKGESDVVITQRSPIGNPLSSVSVFALAALLSSWTNLIIHLTRIRFSAVFSPQMDCKKFMQMVEEKKRQILEKKEAPLKWEQKLEAAVKAAETKDKRSKKRRRQRAASESSSESDTSSDVRRKSRRTHSKHRRHAHSDSEDSDRRKDKKSRRHKKRSSSPSDDSSDDYESGLEDEQRMKIRHHRRYKSHSSRQTSDDDYDEDVRRRQVKHHRHGEVFTSSDSEEEIGGGRRKHRHNRGSAASSDSDSEVRRKNRKRREHQRYRWAESSSEADDGAMRRRGHHKHD >A06p048670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25768349:25774514:1 gene:A06p048670.1_BraROA transcript:A06p048670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTADAKPVPPAEAEKKKEESLPFFKLFSFADKFDYLLMTTGTLGAIVHGSSMPVFFLLFGEMVNGFGKNQMDLHQMTHEVSKYALYFVYLGLVVCFSSYAEIACWMYSGERQVAALRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGITSKSRESYANAGVIAEQAIAQVRTVYSYVGESKALSSYSDAIQYTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRSGTTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGFKLMEIINQRPTIIQDPLDGKCLDQVRGDIEFKDVTFSYPSRPDVIIFRNFSIFFPSGKTVAVVGGSGSGKSTVVSLIERFYDPNNGQILLDGVEIKTLQLKFLREQIGLVNQEPALFATTILENILYGKPDATMVEVEAAASAANAHSFITLLPKGYDTQVGERGVQLSGGQKQRIAIARAMLKDPKILLLDEATSALDASSESIVQEALDRVMVGRTTVVVAHRLCTIRNVDSIAVIQQGQVVETGTHEELIAKAGAYASLIRFQEMVGTRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKTRAPQNYFYRLLKLNAPEWPYSIMGAVGSVLSGFIGPMFAIVMSNMIEVFYYTDYDSMERKTKEYVFIYIGAGIYAVIAYLIQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEDEHNSSLIAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQSKILSLFCHELRVPQKRSFYRSQTSGFLFGLSQLALYGSEALILWYGAHLVSEGKSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSVLDRQTRIDPDDADADPVETIRGDIDFRHVDFAYPSRPDVMVFRDFNLRIRAGHSQALVGASGSGKSSVIAMIERFYDPLGGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIFDNIAYGKDGATESEVMEAARSANAHGFISGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKNPTVLLLDEATSALDAESECVLQEALERLMRGRTTVVVAHRLSTIRGVDSIGVIQDGRIVEQGSHSELVSRPEGAYSRLLQLQTHRI >A02g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18617824:18618513:-1 gene:A02g506660.1_BraROA transcript:A02g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPTMNNIKSAKTKSTIPAKHQLNNGEILHPARRFESLPVLAVVRFNTGTFFTPPRQEKFISCIWELQQRIVQSFRSPSVLKQHNKTSLASSTLGDRLHRHHPASMR >A10g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12297274:12298817:-1 gene:A10g504980.1_BraROA transcript:A10g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKHNSRKENPDDHRPPKRHKQDSKIDLPNKRLTPASGVTAQTQQPVHNTNLLADVTNSNDLLQRNARTQRLIVLRQKRKVSAIDDIRNTPTKRTTQESSNSVLTDGQLNISNNETQNSDISTVQLTGNPTDIRINSTQETTQTTMKCSRSQRSSVKNPVGRPRLPLIKPYLSGSGGNSVLHEGSGSKSLPTLKRSSKDPPIVHISQKVAVGKLDASGSKHGVPSDVQNKEFMTPLRSFQNSTIGSSGVTQCSLAKTVHRYNHSPVDTTNICCTQNRSTGKSIISSLDSDSSDYEDCWDCSSNEDNADWGTSDTDSEDEYVLEIKCHAYTKKVASCFAQLFGDLPEVLHSSITSPVESAPIEEGT >A08p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11412063:11415500:1 gene:A08p012320.1_BraROA transcript:A08p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRTTFLEVTEDPLAGTFTQVLLFGGLDPCTQDRIDIGFKAQNIPFWQGSGSWFRGLGRCTQDTIIGSEIWRINPFHFSRPFDGGNTIYTRIWGLLSSARFLRIYLPRARMDQSSNLPAFPASFVGGRMRPRRLFADPFSSPVPSWGNFPEADEAVPMAPLRQRRSLFPDNDDGGMNENSISEAYSEAGFRGFIPSLIAKVFACFGFAPLQLTPLSWRTLIAIRVLGEFHGIPFGVSEVLYSYYFTPLIGKKGFYHIQSRDGRPLVDEPSRGAGGIYPFGDLWDMRYVIMKMNGACGYPLFWRSVDVFCPVSFAGEALVKLAMKIPKRFRWLPFLMRSALRLPISAVYDDYHKAKTWRMHPHPPSIPSIDSMVDSSSSLSTALSTTLGRTPASGSPLGGTLVPCYEVLRVRSQRPPPSPWKGKTSDKENLPYFRIWKIYLSKTIYEIYTERREAQPDRIGESKRLKREPSPQHGESAPCRLWRHPTPGVNLPPMALGGDASTRKKAKDAQTYDRDKRPSQRPARDYGNRNRGRYHNRSIEKAEGMTVSTCPDISHLSVTRPELINVLRLMGQQVKWPQKMKAPDYFRNPCLWCDFHRDHSHKTEDCVALKIKVNDFLKKGPQEISFREGQEPSK >A02p058070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34899133:34900263:1 gene:A02p058070.1_BraROA transcript:A02p058070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVLTYCPRLRSPKYKPDTMKTQEKKNSLSPKRQHDSQISTIEKNSDVIYIPFDLTEEILSKLPVKSLARFQCVSKLWSSLITNSIMSWALAKPRLLVIFDRRMFASSVISLSSYPLSTYEESVPAEQDGHALVSVLNCNIMYQYIRGLICCLTSSSQFLIYNTTTRESLLLPKIESEIPASFMDGFFGYDPVENQYKVFCMIRARASQTFEESYKVFTLGDPKKQWRIIQGFETFLSPTMTTRVCINGKIYFRASVGRYGNIPKPNDSQNMLLSFDVRSERFYHAELPDQSRSLTLVNYKGMFDLGKIMPRNKNGYSTRIAGVTPNGEIMIMPKRLDRAKPLYAEYYDPKQKKTTKS >A04g503160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6962646:6962942:-1 gene:A04g503160.1_BraROA transcript:A04g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTRRRRLDLEAYYGRTLPKFCSRFEVTNNGALRLLHHVSLRSLWCSATETMVILAATILLPSTTTMAEWNSRLEVRHVFSDAPLPTYNIFAYKSK >SC122g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:173137:175868:1 gene:SC122g500150.1_BraROA transcript:SC122g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARGIVTAPNGQLPLSSLSHTRNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVL >A03p010870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4339740:4346999:1 gene:A03p010870.1_BraROA transcript:A03p010870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPEMIRLAQDQMSRMTPADFARIQQQMMSNPDLMKMATESMNSMRPEDLRQAAEQLKHTRPEDMAQIGEKMANASPEEIAAMRVQADAQFTYQINAAQMLKKQGNELHSRGNFSGAAEKYLRAKNNLKDIPSSKGGALLLACSLNLMSCYLKTNQHEECIKEGSEVLAYDATNVKALYRRGQAYRDLGQFEDAISDLSKAHEVSPEDETIADVLRDAKERLAVEGPGKASSRGVVIEEITEEGTGESKRPSKEVTGEGNTSGHARGVKTDVDGLQALRDDPEAIRTFQNFITKTDPETLASLSGGKAGDMFKTASSMIGKMSPEEIQKMVQTASSFKGDNPFASSTPPSGGNGFAPTPDMLKLASDMMSKMSTEERERMFNMASSLKANAPVSTSYSDTEATDPRESSFVGESSSSAPRSGLEPSVASAPPADLQEQMRNQMKDPAMRQMFTSMIKNMNPEMMASMSEQFGMKLSQEDAAKAQEAMASLTPEALEKMMGGPGSNRDRESEESKEVVARERRVDLGNMHARFSSDPSSSRLHWKIKETTKGVDDTTSSEGHLISAAAFVQGGIQDACDDACSICLEAFCESEPSTLTSCKHEYHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVVQERNFRSNPPRNATVFLRSALGDFELQHLPPNVDNLDLEERIIQHFAAMGRARHGARREGHRSRSSTQGGHPQYMVHSPPPPPPHLPMPSSPSQRDESDTVTNLRHNASVGEGSLQSNIQQPTSSQPRQVSPSNSRSLNQSPPSDQDRAGPSELQSFSESLKSRLNAVSTRYKESISKNTRSWKDRFFSRNTSMAELGSEVKREVSAGIATVSRMMERLETRENSSTASVSSENQHTPGESNNEHNRRSEAEVLKEHVRLVLVLADKASASILLLAKRHEDVSHCGSLLYLLESQKFKKCWSIGRVSAS >A03p022870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9643173:9645414:1 gene:A03p022870.1_BraROA transcript:A03p022870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSCVKADNLFHNGKSSDLYGLSISSRKSSSTVAAAQKTEGEILDSTPVKSFTFNELKLATRNFRPDSVIGEGGFGCVFKGWLDETSLTPTRPGTGLVIAVKKLNQEGFQGHREWLTEINYLGQLSHPNLVKLVGYCLEDEHHLLVYEFMQKGSLENHLFRRGTYFKPLPWFLRVKVALDAAKGLAFLHSDPVKVIYRDIKASNILLDADYNGKLSDFGLARDGPTGDRSYVSTRVMGTYGYAAPEYMSSGHLNARSDVYSFGVVLLEILTGKQALDHNRPAKEEKLVEWARPYLTSKRKVLQVVDARLDTQYLPEEAVRLASIAVQCLSIEPKSRPTMDQVVRALQQLQDNLGKPTQPDPVKDAKKQGLKTGAKLQETRFKQRPFGKH >SC179g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:482423:485144:1 gene:SC179g500210.1_BraROA transcript:SC179g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGGLRNQPGSLIDPNTLVSYPCWSLSGVSGSQEVSSAHHLSKKRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQGTQEEEGHHLCHEEGRLLPTFCGKSTACSKEVTIAYASDECREDSRHAKKEHHQENGIILAETNQKDSSFSRYVDRMVTQEAAERLLDTPRQ >A05p048770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28482731:28484392:-1 gene:A05p048770.1_BraROA transcript:A05p048770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDGIWGEIERSESYLVCSMYEEAESLSSSILKGIFGNVETLGDHQLLDMLESTGMVLVQSLNGLGRGGEIVNELRQVFGETAAVPVQVLLTGICLQISNGSYSGVREILEEFFSVWVYKDNHYILNDAGLSEKVFHGNTSLDVDEYMEVVELYTFGVLGKNTDDLGLAVSWVEQAALPEERRQGLLRRLDSLLSLKSPNVQEATSLEENPSYDVVNSSNKSLANEKNDYILKLSKQHEPWSARPLSLKFGNTRFSMSRGKVAISLVGLIICYALQRKRAALIRIIRRQMESTKKAIVDFWKLAFSYQVNPLAAIQPIPSTTT >A07g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6200002:6200428:1 gene:A07g503060.1_BraROA transcript:A07g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEPQAWPRFVTELERIETLQICFPDFNITYVPRARNQISDFLAKTARSFHRELHFIGCSIPVWLLIQPQSSLYSFKPIYWVTMHIFKFEENIIYTDPRITKLQALAWNINAHQKIGNLIWQLIT >A04p029800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17781022:17782376:-1 gene:A04p029800.1_BraROA transcript:A04p029800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKSPAAPCGGRLPPPSPSGRSSPFSDANRLSHDMSRMLEHPPKKIGHRRAHSEILTLPDDLSFDFDLGVVGGSADVPSFSDDTEVGDLMSMYLDMDKFNSSTATSSAQVGEPSRTAWKNESVMHTGSSSNPQERPRVRHQHSHSMDGSLNISEMLVSGNEDDSVVDAKKSMSAAKLAELALVDPKRAKRIWANRQSAARSKERKTRYIFELERKVQTLQTEATTLSAQLTLLQRDANGLTVENNELKLRLQTMEQRVQLQDELNEALKEEIHHLKALTSQVAPNGASSGMNYGSFGSNQQFYSNNQTMLAAQQFKQLQIHSQKQQQQQRFQFQQQQQQQFLHHRVGQQEQQNGSAEMRKQKPDQMES >A06p053180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28085013:28086185:1 gene:A06p053180.1_BraROA transcript:A06p053180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVVVPESVLKKRKREEEWALAKKQCAEAAKKTNAANRKLIYKRAEQYAKEYAEKEKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATMNMLRRVEPYVTYGFPNLKSVKELIYKRGYGKLNHQRVALTDNSIVEQALGKHGIICTEDLIHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >A07g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18258089:18259721:-1 gene:A07g507070.1_BraROA transcript:A07g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKLTEEHVKSTVCDAVEIEREFVCDALPCALVWMTRELMSQYIEFVVDRLLGALGYGKVYGVANPFDWMELILLQGKTNFFEKRVGDYQKASVMSSFNGGGALKVALYVGGLYICGKIGWESVMKMGQDTRELFFYETFLYYNPLLLITMMVWLWGVNLWVFSRTGVDYAAIFYLGPDHHSHKEIWKIVLYLSAVIILITPFDIFYKVAENVEVPTKKVEEPVVVTEQAEEVAAATESAPAPVGVTEQSEAPVEGTSKEVVVEEAEKKDEETEKKPEEPKVEEEEDKTETPVIVEEAKTEEKEEVTETLVVVEEEKKAEAEEVVAAGEVAAEKTEE >A09p034660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20954067:20957574:1 gene:A09p034660.1_BraROA transcript:A09p034660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1691 [Source:Projected from Arabidopsis thaliana (AT4G09980) UniProtKB/TrEMBL;Acc:A0A178V0G2] MKKKSEESSLEKLSTWYEDGEQEGGERSEKRRLSAKASELESSRSKIKEDNKALDDYHDRDSKSSDKRESGGRDRTHGSSSDSSKRRRWDEAAAAAPDSERGDHNKSSKVSDSVGNECGDGRKSERSMKSSSREEKGKTRGIKEDDRDSPLKKGEVGRSSRSKTPDRSGRRHQESEHSEADYDKDKYSRSRGRDEGWSDRDRDRDQEGWKRRHSSSSDKDQKDDRGREREYPRQGRERSEGERSHGRLGGRKDGNRGEAVKALSSGGVSNENYDVIEIQTKPLDGVMNFAQQPPKKPDDDWGYNQENKTYGEDSRDEAGEASSDYSGAKARNQRGATPGRTNFMQTTPNRGGPQTPQGGMKGNRPARGGRGRGGGGGGRDNQQGAIQLPIMGPPFANLGMPPPSPIHSLTPGMSPIPGAPVFMPPFPPALVWPGARGVDGNMLPVNPVLSPLPPGPSGPRFPSIGTPPNPNMFFNPPGSERGGGGPPNFSGSTFNVPGPMGRGMPSSDKNAGGWVPPPRGGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVEDYPKLRELIQKKDEIVSNSASAPMYLKGDLHELELSPELFGTKFDVILVDPPWEEYVHRAPGVSDTMEYWSFEDIINLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSSAAPTLRHDSRTVFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKGLSSSNFESQAFVRNFADKDGKVWQGGGGRNPPPDAPHLVVTTPDIESLRPKSPMKNQQQQSYTPSLASSANNSNRRTTGNSPQANPNVVVLHQEASGSNFSVPPPHWAPSPATAPPPMESFRVPEGANNNPRPPEDKTFDMYGFS >A02p017340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7822569:7823970:1 gene:A02p017340.1_BraROA transcript:A02p017340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIISDFLEECEFVDTSLAGDDLFAILESLEGAGEISSTPASTPRDGIASSNELVKDQIHETSSPKRNKRKRLETDKEEDEEEDGEGEGEGEEDNKQDGEQKMSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVEYISELQQVLQSLEAKKQRKTYAEVLSPRLVSSPRPSPPVLSPRKPPLSPRINHLQIHHHLLPPISPRTPQPTSPYRAHPQQLPLIPQPPLRSYSSLASCSTLGDPPPYSPASSSSSPSVSSNHESSMINELVANSKSALADVEVKFSGANVLLKTVSHKIPGQVMKIIAALEDLALEILQVNINSVDETMLNSFTIKVRKNNHPH >A05p043750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26387818:26389154:-1 gene:A05p043750.1_BraROA transcript:A05p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC3 [Source:Projected from Arabidopsis thaliana (AT3G15500) UniProtKB/TrEMBL;Acc:A0A178VLD3] MLDSSVSLCLYNTCADTSSRGSKVQQNIKKLKIFYLFVKEKINKRIGIQELDPLAQLSLPPGFRFYPTDEELMVDYLCRKAAGHDFSLQLIAEIDLYKFDAWVLPSKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVISTEGRRVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEPSRRNGSTKLDDWVLCRIYKKQSSAQKQAYGNLMTSASEYSNNGSSTSTSSHQYDDVLESLHEIDNRSLGYAAGSSHTIPHYNRRPGLTEQKTGFLDLAREQSYNWTNFGGHNSVQELGRNLNVPSLRYGDGGGYLHGLKTNEEDDKTQQQQAEGIPQFNNSGVLAHDQSFSVDPVNGFGYSGQQPSGFGFM >A08g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12655207:12657420:1 gene:A08g507260.1_BraROA transcript:A08g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVPYLTWVEPNLAWMVKKPKTDMHSHPADHPDSPASVLIFTPCIHLVRMNLDILTVPEVPIAFSVHIQHPAKVILPILGFFSWYQSHFGWMCGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNGFDFVQKQKKRQDMCDDEKWVRSGDHPFTKAKRSNRVVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNNNTSSAPKQQSNSSSISNSDLKTNVLSSDKSKAVKTTSKTLSTRCFKCHKVGHYANKCQKQKTLVTLEKVETEPEKEDLLPIFDDYAHEPKEGPGGEQNCGYREGFCSIHKPDQTQGEQRSDYGSFAYNPFPFNVSDLRTNLFEEEGNDVPQTTDHYMEPAQHGVQDVLNISTEVHVFHRTILDLGRAKLSLDGEETKDGHAFSSGGPSGQSRKRPHLYPVHPSGSDESRHLDWSSPFSVP >A10p037400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21104265:21106141:-1 gene:A10p037400.1_BraROA transcript:A10p037400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPAT7 [Source:Projected from Arabidopsis thaliana (AT5G06090) UniProtKB/TrEMBL;Acc:A0A178URK3] MESSTTTSYSVVSELEGTLLKTPKPFAYFMLMAFEASGLIRYTLLLFLWPIIALLDVLGYRNGGLKLMVFVATAGLRESEIESVARAVLPKFYMDDISMDAWRVFGSCEKRIVVTRMPRVMVERFAKEHLRADEVIGTEIIINRFGYATGFIQESDVDRSIFNSVANLFEDRRPQLGLGRPIISGSQTFLSLCEEQVHAPVPSNYNGQRLHVQLLPVIFHDGRLVKLPTPATALLILLWIPIGIVLAVVRLFIGSMLPLWAIPYVSRIFNIRFIVKGKPPAPASAGNQGVLFVCTHRTVMDPVILSYVLGRSIPAVTYSVSRLSEILSPIPTFRLTRVRDVDAEIIKKELSNGDLVVYPEGTTCREPFLLRFSALFAELTDKIVPVAMNYRVGFFHATTARGWKAFDPIFFFMNPRPVYEVTFLNQLEVEATCSSGKSPYDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYSSFLDQFKKVVATIKPFFK >A07p000760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:495645:496757:-1 gene:A07p000760.1_BraROA transcript:A07p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSLFPSPFFSTDSSLFEVEVTRDEFHSFHKIDRDLFTRLVFVLKRDMNQSSQVIAFLLVVEQLGFARNLVACLVSSQDMLIDAVANEVGVCLSILYNQNYSSFVLLNHNNNDEVVIPFLKGVTNSNLTLSYIIQYRDTILVRVTKNLNDVCNRAFDDIYEKGYKEQRLALERAKVIEEMKKIRLGAPQQTPTRFSVQHQTPSWRNVQQQIPRRSNVQQRTSIRSSVRRSTPLNVSAPQEWVPSPAVEEKAKASVTETKGADNKEDEEEVTPADDRTVFLTFSKGYPISESEVRVHFTRKFGEVIESIVMQEVQENEQPLFARMVLKMEYASKIEEIVTPMNKNKFTIDGKHVCVRKFFPDPSSSHV >A09p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000279.1:485:1753:1 gene:A09p038060.1_BraROA transcript:A09p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEFSKRYQSKTPDSPDGGKKQCRTVHRKCQQCYMCNDDEQDVRCVLTGRPCVLTDGLCVADGRPVCTDQTHGHTRTGRSLCVLTDVPVCADGRPSTSSVTELPVYGPNDVLCWFLLTSCLVLHLTSLRFRADTHDNHDTPLTSCRVLDVLPACAGGLLCVLKFVLCELTTTRTKGHPLTSCVRPCVPDGHIGTTGQDKERPCVCDGRTCVAERTATTSCVERRTSKISQTVPLMAAEICNDGQPDVWVVFWGGGGCVLTDGHGRPYSPTWAKITPDRQITREPKKCKNKYFVKKFSERKHQNCHKEFRMSKCLIKVAVDIRLDHETPTFVACKRHG >A01p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20861019:20864035:-1 gene:A01p029430.1_BraROA transcript:A01p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKTCMWVFALFGDMECVIAGLRYGTCVPVQHGGGMYIIGVVSFLVGSCGDRVRWHDSLLERACGFPSKFSSLIFPFEVQFMSVCSCQRRFVSPSNTKVLWKEKNSQCRHPVLLSFVFFHLLVFKNKNVIAATTDMINTAHQTKHIVRDASLLHKACRVVGYHVDARYAEMEKDRLKRVNQEACGVVKTMWTQDAKLTWDKLKEQVYTVEKRQEINLRSNAFQEKESVLIDIEVVHIHVPWRLGFQERGDHYKRAMESCKNGENLLGVFLGRAEADTEVLTTERQVW >A04p001630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:773330:781420:1 gene:A04p001630.1_BraROA transcript:A04p001630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVRRKRHELTMKNTIAPAVLLMFSFLLTYLLLAAVTSRRRSSAPLRLVPSRPPGPPRCLGWPIIGLLHIIGKAPHLSLATLSRVYGPVMSLRLGSLTTVVISSPEAAREVLRTLDHVISAQAFSETVRTIGHQEDVSTPRLPSMSPHWRLWRKILETKLFSRKCLDATKTIRSKKVKELITFIVESCERGEAVEIARACFVTSLNVISNVVFSTDLGSYDQMASMELRDSLFGVMKIMGKPNLANYFPSIEHLDLQGIREEMKLCSERLFSVFQGLIDARVAERSSRTEPRDAYSSDRDLLDSLIDLNQEDGSEVDMNDIKHFLYDLFIAGTETNSTTVEWAMVELLRNPDAMANAKVEINFIVGPNRYVRDSDLLQFPYLQAVVTETLRLHPPNPFLIPRKAESDIEVLGFLVPENAQILVNAWAIGRDPSVWEDTGRFKPERFLGRELGSIGKDFEMVPFGAGRRMCPGISLALRLVPHMLASLIYSFEWSIENGKDYAAPADLDMNETLGLTLHKASPLYSDFTASFISSP >A05p042240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25566181:25566544:-1 gene:A05p042240.1_BraROA transcript:A05p042240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKTLIMFVFTTFFIISYADSLDCPYPPTAATIPADYPGYGPIVCFRLTKPCLSGGWGECQKLCDERGNVVHQCSQDECYCEKLSMPRT >A07g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8439010:8442064:1 gene:A07g504290.1_BraROA transcript:A07g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSHCSLFRTLLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFRLFRNQKSCWPKKNPAFEVVKAGSPLNLLSFSLVLLWFTRIFSLGDLVCSLRTLRDNSCVTIKFENKMFGLHRKNTKEKSPRPSASQASFKFVLNYFDECVIVQKKTNRQSFQFDVQQFCDNFVKGVDKALKNIRKSQKKSTSTRAPVAEPSFSISKKTQGEFENCFEEFKDFSDSSPIFDETDEEPIEILMSCEESCDLPYLESEFINDNEQDNVELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFAEEATSIISTFMESHLCFDSGTTTAPSSLSPLLPDLQEHCEKSELVISLPDMFDKISSLDPDLLSFENDKTWNFLRSSCENFVDLSVDDILIYNTLFEKCLESLLVVSQSELKLVCSDVDNDMHVLKMINVVAYLDKILFCNVYFDLHFDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLAASDRCSVQERYLNIPKAWNRTKIVTDEEVMNFPNQRFFSRSIREYQISKRYSCPIKKRPEPKPIIGFQMDLPASQKDKNQKKWPWDLEVMIHTPKPARPKTTLPSSFSQQTRGISKTSKFICADESVKFHDRKRVTIDVPMELECLLPSFHFYDLKETQQKRQQSVHHMKNNWS >A09p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14581870:14583885:-1 gene:A09p026500.1_BraROA transcript:A09p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIESRLSSASVDDHIDSPTSFVSLISARASESTDDNILIIHRPVPRRGGSGFLRQRVRTTPLYERSSGQVLILHLVDEDVVELADNDGYDNDAENPPWGGDRLVGLPSGFLLACFGILSDRHPISLPPPGNFSVGVEKIRRLGVLQWPQFGRDRIFLNVPHITAAARNVGNDNHECSSCRGRGLLSPLNPPSPTPSRCDLVRTPSIRSGGVWRYIPAYANLLNSMAVCPSSQGGNRGMAKSSPLNYDMEPERADGRPSGSKQVASSVVSPVGRSLSMADAICSSLGRGNCQGSESLKRHLEDVLPEEASGKKPQKDPYARVFCTTVTPRCERRVRLCRVFLLAKLEDMFTEKEARDDKVKELVTVAKELASAVETATAKAESLQADLGASINREAILQAQIGDQQETLEEKIAHLDKIMMTMQQGR >A05p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25809632:25813310:1 gene:A05p042720.1_BraROA transcript:A05p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTKTKKAIAAHDQEELPWEMIEEILSHVPPISLVRFRTVCKRWNALHNDKTFLNNHKFTFRFILTTKSKIYSVSIDPKILVRELTLEVPGTESHIKHLVDCNEFLLCGMDKVAAVWNPWFKQSRWIKVNASQPSSQVVGIGYVNNNMRADEKRYKTIGSYWTDHSEWETQDFSSEVWKDPKLTPIQGDKSLKDKAAIFFTKCGVSLHGNVYWIAFYDKTDTLYHLLNFDFSSERFYAYFCDLPCGMNHPRNALVLRVFRGDRFSLLKQCYKTKKIEIWVTKNKINVEDGNDVVWMNFRTLSIPNFPGLVSNEYSSSQPSYFIDDKRLVLCSCDETGHPWIYVVEENKLISKVQLNDVFDPWPLHCTYFPSLVPTMDSTKTIKEIGVQDQEQLPWELVEEILTHVPPISLVRFRTVCKRWDDLFNDKTFIDNHKLTFRFILATKSKIYSKKEAVVWNPWLKQSRWIKADVSQSSLEVDGIGYDNNNDNKMRAEERRYKTIGSYLKNHSVWKTQDFSCDVWKDLKLKARRGDKSLKDTVTNLFTRCGVSLHGNLYWIAFCDKTDLLYHLINFDFSSERFYTFFCDLPCGMNHPRNALVLPTKKIEIWVTKNKINVEDGADVVWMNLRTLSIPNFPGLVSNEYSSSQPSYFIDDKRLVMCSCDETGHPWIYILKENKLISKVKLNSVVDPWPVHCTYFPSLVPVPGSPIEEALLQCWVCGSIRPDPLRPAAG >A09g516250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48016985:48019441:1 gene:A09g516250.1_BraROA transcript:A09g516250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVSKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNI >A03p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2727555:2728776:-1 gene:A03p006440.1_BraROA transcript:A03p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKMGRLPLTFCLTFLLMATVTFGVPPKKSIDVPFGRNYFPTWAFDHIKYLNGGSEVHLVLDKHTGTGFQSKGSYLFGHFSMHIKMVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGNREQRINLWFDPSKNYHSYSVLWNMHQIVFFVDDVPIRVFKNSKDIGVKFPFNQPMKIYSSLWNADDWATRGGLEKTNWAKAPFVASYRGFHIDGCEASVSAKFCETQGKRWWDKKEFQDLDAKQYKRLKWVRKRYTIYNYCTDRVRFPKPPPECRRDRDI >A01p025360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20141698:20144779:1 gene:A01p025360.1_BraROA transcript:A01p025360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACKKAFSSIISQKLFTNSSFVCNGGGVYEGELLAAVCGVTTVPIVSARLVDFPDSPEDRNMGPIPNMMFATSEEPVGVRVVTYHSSSALKRIFNALDEEELVMVEAVPSLTEVVEEICSSSESDSDEIDGNGRDIFTKKKTLNPAHARNVDKRCIAHVNSLINEDSSRSIDEANLGWSDEEQDSKVDNLVARINANHQFTSSLFRGGLRQTDVERMRESCKSTSKSRKATYEEGSVHRRASHMTTAPPIEVPSPATVIAGVDDANAITINNVLRNLSEYSTPPRSNRVSQDENKTPSRKDPIAPGFVCGSLETETCAQSANSQNRGCQNAFQASLERNKRKRENSTGEPSFSLGLTQEEQNPGEEHIFVPDVPERDCISLSKVDDNIEEGQVSRKSKRQKTVPSNLVDDYHCGHHIMSRVREAQKHIFVIDDQSDITRKYAQLSVKLRDKLVINVAGLAVYANDIQLILQRPRLMSAKVMDILIRVARVAVCPHLPPEGPRSAAFLDTKFVSSINRTFPKFLKSRNKEAYMFPKGLKDIFPSKDDPKVHPIRYYFPFNVGMKHWVGICFDARTGVLTVLD >A04p028170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16985772:16987048:1 gene:A04p028170.1_BraROA transcript:A04p028170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQRRQNKRDRSIFFNVNILNRRTMSCGSIAVFYDGHGGVNASEFAAKNLDKNVLKEVVGCNKSDVADAVKRGYLTTDAAFLKGKDVKGGSCCVTAMFRDGNLVVANAGDCRAVMSAGGVAEALSSDHRPSRDDERTRIETTGGYVDTFRGVWRIQGSLAVSRGIGDAQFKKWVIAEPETKTLRIDEEHEFLILASDGLWDKVSNQEAVDIARPFCLGDEKKTLLLACKKLVDLSVSRGSSDDISVMLIPLRQFI >A05p010440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4403218:4403595:-1 gene:A05p010440.1_BraROA transcript:A05p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFIKSCEKKLKVMTSKVTTPCTFCETCCQRFCLAFKKEAQTIPKDVPKGHLVVYVGEESRRFVIKITLLTHPLFKALLDQAQDAYGFSSADSRLWIPCDVSTFLNVARCAGAPQDQSNCMCI >A01p043920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24631006:24631314:-1 gene:A01p043920.1_BraROA transcript:A01p043920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDNESGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHYRSDMSAEEAIELVDKCILEIRSRLVVAPPNFVIKIVDKDGARDYAWRQSVQDVTTAAV >A03p031500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13264863:13274130:1 gene:A03p031500.1_BraROA transcript:A03p031500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNLAILLRARMRSSNHSAKSSLSQFTKEIPSNPQENHSGDHIVRNSSELDTIGRVYDVVRETMHSAISATKTGGSDITLNDFEEGYFSLSLEDRGKLLLALAKEYDVNREQVRELIKQYLGLETPLASDDDAELHSSPKKEASSSVFYRVEWSLRHALKPTYETFFERLNTHPGGLRFLSILRADLLSILTKDNMPSLRALDSYLKEKLGMWLSPATLELHQITWDDPASLLEKIVAYEAVHPISNLLDLKRRLGIGRRCFGYFHPSIPGEPLIFIEVALMETVAQTIQEVLWDNPPIPENQATCALFYSISSTQPGLAGINLGKFLIKRVITLVKKEMPHVSTFATLSPIPGYMQWLLSKLSSQSRFAEEERDGTQSNPSSSTFSEKVLLPEEEQALMSLSDDSSSGSNGMEVLLNLLSAKNCDWATSPRILPVLEPILMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERINWMADRSEKGIHQSGGIMVNYVYRLENIEDFAQSYFGSGQIHASPEKTLGENPSFPFGWPAACFSSVNAGRPPLSFVSFFFASPLPPSPCFLSRSSVLAPPYGVDLARRTRIFGEAKWRSGCAVSLVKRNGSGSDLASDLDLWRALSLSSASSLFDLLDMGASMVLLSFPSRLDLLRVLRCRGVCEEASSRFDSVWRLIVSPSLNKARPVWDVWFEFLLVSFCKSATSHLCQVCKKLKICLILATVCVLARIQRPLRKIWWLLIFPINKLLREAAAIKRIFVTEFSSGFTPWKNYRVIQGHLGWVRSVAFEPSNEWFCTGSADGTIKVWDVASGVLKLTLTGHIGQVRGLAVSNKDTSYMFSAALHPTLDVLLTGGRDSVCRVWDIRKKTQSFALSGHDKDVCSLFTPPTHPQVVTGSHDSTIKFWDLRYGRTMTTLTNHKKAVRATALHPTKNASVSASADNIKKFSLNPKGEFRHNMLSQQRATINAVAVNEDGVMVTGGDNGSLWFWDWKSGHSFQQAQAIVQPGSLENEAGIYAACYDQTGSRLNYPDPAQLDFGSISRMQQAEGDIRNKKNKRNVGCKIEPLLCLLMLASFLLLAFVGGPADRCICFPRTMSTSINTCSQVCNLAWSKNINKLVSTHWMVPKPNNILEIPNHLQSNKLSDLWLCILLGIIKYMWLLNLSLFFFSSNCNPESLTGYTDRFMFLAVSPEGKTNANGAGDETFRFWNVFRSMKAQALVQRTRHGSIGLSIFSFKTFPPSISELGRVLNM >A04p033040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19233529:19234328:-1 gene:A04p033040.1_BraROA transcript:A04p033040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWRDDDASSSNAGRNDVTNHQPPEDPAADVNCSTSTVVRSKCKTEEVEPGKFVRKCDKTEEILRHCFGKPSEVVQSTTEHTEEDVTDQMVRGSSLPNQFEENPLNFPGLRSDLDDIERHFFTGIKGFFEAAEEMRSSLFDIMGDRDHHSTSRRGIPIEDHPKIEEHRSKDENATSQPFSSGEIDLAGLAKDV >A08p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2872316:2873060:1 gene:A08p004940.1_BraROA transcript:A08p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLGNTLLSRLVIYLLVLPLLVSAAAEEENDFSCSVPVNSATTLNLVTGSICLIAPFLHILPDAIESLTSSCLGDEPAWGDFPMVGVVSMSAMILTMIESFASSYMKRLKSIAFENKEEENKKGDLIHVHNQRQHDHNNIRRKLLTHVLESGIVIHLIIVGIALGASSSVSTIKPFIGAITIRQLLEGVRLGRCIRLFKRCSCRNIILHGDF >A04g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10950707:10951566:1 gene:A04g505020.1_BraROA transcript:A04g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNMSLKILPVKTSINIYPKSTRTISYNNKKIIPHCFSSSNKTLLGCFARKIMSSLPSKKILDDLYTRFVVNGPEEEKQSMNRLMFLVESGHWYYEDNVVENDKTLKSLSFREFTRLLFNNSDVLRPHVANMDKIFRDFGYYKSRIPVAGAIILDETCERCLLVKGWKKSSNWSFPRGKKNTNEEDDVCAIREVLEETGCDVSKLLKKEEYIEITFGGKKRVRLYVVVGVRDVQLLHR >A03p039110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16257725:16259687:-1 gene:A03p039110.1_BraROA transcript:A03p039110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAANGRFFTIGIVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTIRSRVQFLKIAALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLITLKKEAWLTYVTLVPVVTGVVIASGSEPSFHLFGFIMCVAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITIALARDDFRIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYSLTVIGVILYSEAKKRSK >A03p044830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18721306:18723023:1 gene:A03p044830.1_BraROA transcript:A03p044830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPAGKQSCGRLVFASFLALLSLGLVADFLWASSHRFSSAIISLPSSVIEKLPPSPNEKDTKKKKNDNALRERKLSATFQDLPAPQLHWEKMSAAPVPRLDGAAIQIRNFLYVFAGYGNINLVHSHVDVYNFVDNTWGGRFDMPKEMAHSHLGMVTDGRFIYIVTGQYGPQCRGPTAKTFVLDTDTNTWSDFIPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKALEKEWRSEIPIPRGGPHRACVVVDDKLFVIGGQEGDFMAKPGSPIFKCSRRLEVVFSDVYMLDEEMKWKVMPSMPKPDSHIEFAWKVVNNSIVIVGGTTEKHPETKKMVLVGEIFQFNLNTMKWYVIGKLPYRVKTTLVGYWEGNLYFTSGQRDKGPDDPAPRKVIAEMWRTKLILNP >A04p035240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20345494:20347753:-1 gene:A04p035240.1_BraROA transcript:A04p035240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLDLESIVDAFSGKNVGMGVDEKALISTLGNSHKDHRKLFRKASKGFFEEDEERAFEKCHDQFVKHLKLEFSRFNNAVVMWSMHPWERDARVVKKALKKGDECYSLIVEVACTRSSDDLLGARKAYHSLFDQSMEEDIASNIHGSRRKLLVGLVSAYRYEGNKVKDDLAKSDAKILAEAVASPGEKAIENDEVVRILSTRSKLHIQQLYKHFNQINGSDLLGGVSDSSILNDALLCLLKPSVYFSKILNASLNKDADKGTKKWLTRVFVTRADHSDEMKEIAEEYNQRYGETLAQNIQEKIRGNYRDFLLTLLSKSN >A03g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32162427:32171533:1 gene:A03g510020.1_BraROA transcript:A03g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYARFYFLNLCFITLSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTFSQTLFEEFSCVPTFIPQDVHRKFYLGFCKQQLWPLFHYMLPMCPDHGERFDRSLWQAYVSANKIFADKVMRVINLEEDYIWIHDYHLMLLPTFLRRRFHRVKLGFFLQPIPFFRNLSNLTCSRGATKGAPKLMLGLEYESKRGHIALDYLGRTVFLKILPIGIQMGRLESVLNLPATAEKLKEIQEKYRGKKVILGVDDMDIFKGLSLKILAVEHLLQQYPSMQGKLVLIQIVNPARGSGKDVQEAKKETYYNVNRINERYGLPGYEPVVLIDRPVPRFEKSAYYAMAECCIVNAVRDGMNLIPYKYTVCRQGTPEMDKSLGVSEDSPRTSTLVLSEFIGCSPSLSDAIRVNPWDVDAVADSMYSAITMSDFEKQLRHKKHYHYISTHDVAYWSRSFTQDLERACRDHYSKRCWGVGWGLGFRLIALSPNFRRLSNEQSVSAYRRSSKRAIFLDYDGTLVPEASIGVSKGLVTGKVLSRMHEEGNAPDFVVCIGDDRSDEEMFESIATTLSAQSSSVSAEIFVCMVGRKPSKAKYFLDEVSDVVKLLQGLANTSSSPKPRYPSHLRVSFESVV >A10p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19362005:19363691:1 gene:A10p033000.1_BraROA transcript:A10p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITRNTATRLPLLLQSHRAAAAVSHLHTSLPALSPATTPTSYTRPGPPSTTAPPPGLSKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWNK >A01g510570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29044541:29045382:-1 gene:A01g510570.1_BraROA transcript:A01g510570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTEIRKLCMVTVVMIQIVSWVCATSRPIASNHVAFYPEIISPHDAYHSSYRPALKPNERKDILEEMEKRRRIGSRPPTCEKKCYGCDRVSHVKQSKFLPFLQDPIPTMCPLINLILFLNSHTIRLGSKNFWTRGKMV >A02g510300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27413562:27417500:-1 gene:A02g510300.1_BraROA transcript:A02g510300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQPNNKQDLINPSNPFNNIKEHGTDLGRTPHLSLDLDGSEMQEREVRSEIDDATRLPPPLAAAHGEERESRPRERKRRGEERERRAAAKRKKERRGGAGREKEGDDG >A04p040330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22770411:22772259:-1 gene:A04p040330.1_BraROA transcript:A04p040330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSMVVADEDAAAVDFFKTPKNLESNNSPEAVNINDLVSLFHRLLESFLVEFCSADSFRPLPPMTGDGRVVDLFNLFLSVNRRGGFNAVSWEEVAQECGLGLVNSASVKLIYVKYLDALARWLNRVGSDDTSSLELSGISDGLMCRLKDFLCEVKRKYGTASREVGAELQWFVSKTKRSYDDDKKVMSLDCSFLPGKRKRECPLETLEWLREAAKDPCGISIGCVPDRSKWEAYGSEEPWKQLLLFRASRTNTDPACQKIWQKIQKMHPSLYEDSAGPSYNLRERLRFNESGSASDSSDEDDRPCARVGSQFQAEVPEWIGVNTESDSKWLGTRVWPLSKEQSNSKLLIERDPIGKGRQDPCGCQNPGSVGCVRFHIKTKQEKMKLELGPAFYMWCFDSMGEGTLQYWTDLELKKVKLLMTSPPTLSASFFSELKSILSSKSREEIVSYYYNVTLLQFMANHSRMTPGEVDSDTDQYYNLAPGNGDQTMEANTSQKHVLLTPKKKRRR >A07p036620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19650245:19653031:1 gene:A07p036620.1_BraROA transcript:A07p036620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVGGSGSGGGDGGGGGGSSQRHDASESDRKKKRYHRHTAQQIQRLESSFRECPHPDDKQRNLLSKELGLAPRQIKFWFQNRRTQLKAQHERADNSALKAENDKIRCENIAIREAIKHAICPNCGGPPVSEDPYLDEQKLRMENAHLRQELERMSTVASKYMGRPISSHISTLHPMHISPLDLSMTGPSLDFDLLPGSSMSSNFAVSDMDKPIMNDIALTAMDELLRLVHTNEPLWSRSDGRGEILNLGSYENVFPRSSNRGKNHNVRIEASRSSGIVFMNAMSLVDMFMDSAKWAELFPSIVAASKTLAVVSSGMGGGTHEGALHLMYEEMEVLSPLVATREFCELRYCQQIEQGSWIVVNVSYHLPQFVSHSHSYRFPSGCLIQDMPNGYSKVTWVEHTETEEKEPVHELYREMVHKGIAFGAERWVTTLQRMCERFASLLAPAVSSLGGVIPSPEGKRSMMRLAHRMVSNYCISVSRSNNTRSTVVAELNDVGVRVTAHKSPEPNGTILSAATTFWLPNSPQNVFNFLKDERTRPQWDVLSNSNAVQEVAHIANGSHPGCCISVLRASSASQSNNMLILQETSIDSSGALVVYSPVDLSALNIAMNGDDTSYIPLLSSGFAISPDGNRNSPSAEQGGASSSSGYGGGGSLITVGFQIMVSNLPSAKLNMESVETVNNLIGTTVHQIKTGLNNCPSASTTA >A04p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11411396:11411768:1 gene:A04p019100.1_BraROA transcript:A04p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFNSVSFTILVLVLMMASTGILKTKALPGVECPVGSGCLLPSTRVFSQECGPAPFTGTDLDCCKCCADTYGSPPVCFAVVEGTDLHCHCYQKKP >A02p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5955592:5958261:-1 gene:A02p013630.1_BraROA transcript:A02p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cyclic nucleotide-gated ion channel 5 [Source:Projected from Arabidopsis thaliana (AT5G57940) UniProtKB/Swiss-Prot;Acc:Q8RWS9] MAGKPQTFVSVDDLDFKLPSSSSLTRQHNYSSSISGPLHPIQGSHNTSGSFKKRFQKGSKGLKSIGRSLGFGVYRAVFPEDLKVSEKKIFDPQDKTLLFCNKLFVVSCILSVFVDPFFFYLPVIDDCSVEVLAKLKGIRCIGYKAGLAFHCFGSIVGAFWYLLALERNDACWQEACSDAGKKICTTGFLYCGNQNMDGYDVWNKTKEAVLESRCRADLDDPNPPFDFGIYTQALSSGIVSSQKFITKYCYCLWWGLQNLSTLGQGLETSTYPLEIMFSITLAISGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPQDLRQRVRRYDQYKWLETRGVDEEYLVQNLPKDLRRDIKRHLCLALVRRVPLFESMDDKLLDAICMRLKPCLFTESTYLVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNYSLLKEGEFCGEELLTWALDPKSGVNLPSSTRTVKALTEVEAFALASEELKFVASQFRRLHSRQVQHTFRFYSHQWRTWAACFIQAAWRRYCKRKKMEEAEAEAVPMSTTGSSSSMGAAFLVTKFAASALRTIHRNRNTRIRELVKLQKPPEPDFTAEDAD >A02p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29780470:29783983:-1 gene:A02p047820.1_BraROA transcript:A02p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWHETYMDYNYLKTLLKDIINFKHKKNPHHGHGHHLHRKFTLYRTFSGLLSKSRLKRHHHGHGHGHGGGHFSDSDDDIEEGLKSAPILVHSASHGYETTFLMTAEEGGEYEMVFFRRLDDEFNKVERFYKEKVEEVMKEAVMLNKQMDALIAFRVKVEHPDGWPWEERTVEMAQLASDVANSAAAVAASTPAGARSMKPGGQAHMEAIQEGGSSKAGKSDEDEDDDDADKEEDKDVSDVVTSDISRLKAARPSPIEVLDRVKMNHTKETPRSTIKSVLQVSNTELKFSRDNLRRVEEKLRRAFVEFYQKLRLLKSYSFLNVLAFSKILKKYDKITSRHATKSYMKMVDNSCFGSSDDVNKLIERVEATFIKHFTNANRTKGMNILRPKPKRERHRITFSTGFLGGCVFSLVVALFAIIRTRNILQEQGQKQYMDIMFPLYSLFGFIVLHILMYAGNIYYWRRYRVNYSFIFGFKQGSELGYRQVLLVGFSIGVLALLCVIANLDMEVDPETQEYKLFTELLPLLLLIVMFIVLVLPFNFFYRSSRLFFLTCLFHCLAAPLYKVTLPDFLLGDQLTSQVQALRSVQFYMCHYGWGDFRHRTNTCTESDAYNSFLFIVAAFPYVFRLLQCLRRLFEEKNAEQGYNGLKYFLTIMAVCLRTAYSVVDEEHKFVWRMLAGIVSAIAAIFCTYWDLVLDWGLLNKTSKNRWLRDKLLIPQKKVYFIAMILNVLLRFAWLQTVLDFNFSFMHRNTMVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKTVPLPFNYEEDDDKGN >A07p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26931791:26932967:1 gene:A07p050510.1_BraROA transcript:A07p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRICCSRSDTQIVLGQKSRSGKGKPDGEIKFGYSLMKGKAKHPMEDYHVAKLINVEGNELGLFAIFDGHKGDQVAAYLQKHLFSNILKDGEFLVDPRRTIAKAYENTDKAILSDTSSDLWSGGSTAVTAILINGKVLWIANVGDSRAIVSRRGKATQISVDHDPNTDAERSMIESKGGFVTNRPGDVARVNGILAVSRTFGDKDLKAFMNREPGIKDVKIDSHTEILFLASDGISKVMSNQEAVDIALNFKDPKKAAKQLVVEALKRNSKDDISCIVVRFK >A09g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7589182:7594817:-1 gene:A09g502210.1_BraROA transcript:A09g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLWFYLGVFQCFDFTQVEPVISVKDTSILYDIQFQSQRVTWCYDQRIWDPGISYSWRINESVQEEPPWCVAVGEIEDDGQSQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEERDEAEEGDEADVSDEDEEGDKAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRFFVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVETRTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCMPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A01p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6182870:6186879:1 gene:A01p012600.1_BraROA transcript:A01p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase GSO1 [Source:Projected from Arabidopsis thaliana (AT4G20140) UniProtKB/Swiss-Prot;Acc:C0LGQ5] MQTLHTLLLAMFILCSSLGSISAQTGPTDDLHNLLEAKKSFVTNPEEDDPLPQWNSVNINYCSWTGVTCDETGLFRVVALNLSGLGLTGSISPSFGRFDNLIHLDLSSNNLAGPIPTALSNLSSLESLFLFSNQLTGEIPPQLGSLFNLRSLRIGDNELVGTIPETLGSLVNLQMLALASCRLTGPIPSQLGRLARVQSLILQDNQLEGPIPAELANCSDLTVFAAAANSLNGTIPAELGRLENLEILNLASNGLSGEIPSQLGELSQLEYLNLMENQLQGPVPKTLANLKNLQTLDLSANNLTGEIPEEIWNMSQLLDLALANNGFSGSLPRSICSNNTNLEQLVLSGTQLSGEVPAEISRCQSLKQLDLSNNSLTGSIPEALFQLVELTDLYLHNNTLEGKLSPSISNLTNLQWLVLYHNNLDGTLPNEIAALKKLEVLFLYENRFSGEIPKEIGNCTSLQMIDLFGNHFEGEIPSSIGALKELNLLHLRQNEFVGGLPATLGNCHQLKILDLADNKLSGSIPSSYGFLKGLEQFMLYNNSLQGSLPDSLTNLKNLTRINLSHNKLNGTILPLCGSTSFLSFDVTNNEFEDEIPLQLGNSPNLDRLRLGKNQFTGRIPWTFGKIRELSLLDISSNSLTGTIPLQLVLCKKLTHIDLNNNFLSGPIPPWLGKLSQLGELKLSSNQFYGSLPTELFNCTKLLVLSLDGNFLNGSIPQEIGNLGALNVLNLDKNQFSGSLPQGIGKLGKLYELRLSRNSLAEEIPLEIGQLQDLQSALDLSYNNFTGGIPSTIGTLTKLETLDLSHNQLTGEVPGAVGDMKSLGYLNLSFNNFQGKLKKQFSRWPADSFIGNTGLCGSPLSRCIRSGRDNKQQQGLSPRSVVTISAISALAAIALMILVIVLFFKQRHDFFKKVRDGSTAYSSSSSSSSQATHKPLFRTGASSKSDIKWDDIMDATRNLSEEFMIGSGGSGKIYKAELENGQIVAVKKILWKDDLMSNKSFSREVKTLGRIKHRHLVKLMGYCSSKSEGLNLLIYEYMENGSVWDWFHDEKPEIEKKKKVLDWEARLRIAVGLAQGVEYLHHDCVPPILHRDIKSSNVLLDSNMEAHLGDFGLAKVLTENYDTNTESNTWFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMEIVSGKMPTESVFGAEMNMVTWVETHLEIAGSVREKLIDPKLKPLMPFEEEAAYKVLEIALQCTKTSPQERPSSRQACDSLLHVFNNRTAGYKKL >A09p043350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35378625:35381562:-1 gene:A09p043350.1_BraROA transcript:A09p043350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPSGDMRVAEDNVQMVLHLSYVARADAPATYLPKIPHESNNRCVVVERSVAQKLVKSVYEPRFGWVRRYAADQEQVQVSHVEFQRLFGSLGYLGVVKNEHVLPVPSGFVAGLEIKESSYLAKVNSMDEPTLDVEDLEVSHDAVLRSSSDVPSVSSLGFPCLVVCSVGSTVLIVEELLEYHIVFGGFSDCGVIFTELISLFGVAGLFLIGLIILVLYFLSVACVVTLFVTHISDISLVVSKLSFRFCYLGFGKDFRSLQRLFHVEFCEEVGNRVSGIVCCFLEFLVSRVVIRKWLPSGQNYESLLAGCRQTSRRHPLEVFCQQLAVECVMLLGMELCSLKCVDESGKLELQVGFPFVSWDFCSWVADEVFSNFFGECDVPRSPEDARVSNQRVEDIDLVYYKAFDLLDLDEGLFGRSGYPDSLV >A09p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:312157:317111:-1 gene:A09p000560.1_BraROA transcript:A09p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin depolymerizing factor 8 [Source:Projected from Arabidopsis thaliana (AT4G00680) TAIR;Acc:AT4G00680] MGFYRPLVQLKLNRFVNQLVLGRIGKPEETNHVRREERGSKLEGKRNKTLVHHVDLNAPMFFRPKLSAHHKANSASGMHVNDECKVKFMELKAKRTYRYIVFKIDEKAQEVQIEKLGNPQETYDDFTNSIPENECRYAIYDFDFTTEDNCQKSKIYFIAWSPDTSRVRSKMLYASSKDRFKREMDGIQVELQATDPSEMSLDIIKERALSSQRIRCMYDCDYLCLRIVRDAMLPQYTNPICYFVFITVMKIIQHAKTRVIYLVIRKTTKQKMPTNDALVKQCSGLIGKAQLSVIKAWKEEKITKVTSKTQKKLHEISGWENKKTRKIESQLASLQRKMDSKKMEEAEKLRSKKAAVHAKAQEKKAKVQTRRAQEILHAEEEAARLQATGQIPNKSSCGCF >A07g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11803510:11805243:-1 gene:A07g505360.1_BraROA transcript:A07g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pumilio homolog 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22240) UniProtKB/Swiss-Prot;Acc:Q9LM20] MVSSPNKSLFELNIHHSVPSYSRRKGGNTNYPSLPKTSGIEGYVYMMAKDQHGCRSLQRILEDGTCLDSMIIFNEVIPHVVEVMTDPFGNYLMQKILDVCNEEQRMQIILIVTAQPGWLVQISLNTYGTRVVQRLVETVKTKKQIFLVKSALGLGFLSLVRDVNGNHVIQRCLQCLSTQDNEFIFEDATRFCIDIATHQHGCCVLQKCIAYSTGQQREKLIAEVSRNSLFLAKDPYGNYAVQFVIDLRDLSAIAMVLAQLKRHYVELSMQKFSSHTVERCLRNCPESRPQIVRELVSVPYFDVLIQDPYANFVIQAALSVTKGSLHNTLVKVIRPYSILRNNPYCKRIFSRTRLRN >A09p066620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52804204:52805098:-1 gene:A09p066620.1_BraROA transcript:A09p066620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYVMDLMTLEGMPYHKSCFRCSHCNGTLVISNYSSMDGVLYCKPHFEQLFKESGNFSKNFQTAGKTEKSSDVTRAPSKLSSFFSGTQDKCAACKKTVYPLEKITMEGESYHKTCFRCAHGGCPLTHSSYAALNGILYCKVHFNQLFLEKGNYNHVLAAANHKRKSEEDKTETNEEHESEANPTEEEEEASGVPLEAGEEHDS >A09g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6074077:6074576:1 gene:A09g501670.1_BraROA transcript:A09g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFITPFSGSKGTNEARNYRNGSHNSLTPPKDAIHKERDDAVTAKHYRHLENFKRRERALMAELGSLSMALTLQKPNMETRLRNAVSKLFKLLMPTEKYTTGVKNMVLETLSSAKIEGFVDGFRGETKAASTEKTVDK >A02p017990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8206749:8207627:-1 gene:A02p017990.1_BraROA transcript:A02p017990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIGFVDEKSESRLYVGNLDLRINEAALIKMFSPYGKIISEDFLWHTRGPKKGEPRGYAFIQYSSKEEAELAKEKMHGRLACGRPLVVRFSDKLLEDSSHDRPKRLLPEANRTRSASGSSSGQMSRDEKVAAIKNKLKALEEDEKQRDPKKLKS >A04g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17436929:17438849:1 gene:A04g507200.1_BraROA transcript:A04g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLPELNVAVSAFQSLISSPPLSLSLHIPYEFGDTWIHPAGRASYYRPSLRGGSVVKLIRERKELSFQSKIRDTYVIAVIFDKIGSCWALSVVGAVDGINKIKTRELIYLWEQEFIDYYREDGNGGCDGGPCEDGFGNHDVLAVWHGTTVGVGSPRARNEAKLRYKEKKLKHIIGKKVRYASRRARAYPKKIVRGRFVKAGDNYDYDPSSLTMKSMSFLFP >A06p056340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29349398:29351961:-1 gene:A06p056340.1_BraROA transcript:A06p056340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRINALFTYSNLIITPKVKGYAKLFAPTFPNLPVRSLSLSQHIYTNYYPSKKPVVMAMASLYRRSLPSPPAIDFSSSEGKKIFNEALQKGTMEGFFRLISYFQTQSEPAYCGLASLSVVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEVVKEKGISFGKVVCLAHCSGAKVEAFRTNQTTIDDFRKLVMKCSTSENCHMISTYHRGVFKQTGSGHFSPIGGYNAERDMALILDVARFKYPPHWVPLKLLWEAMDSIDDSTGKRRGFMLISRPHREPGLLYTLSCKDESWISIAKYLKEDVPRLVSSQQVDSVEKILSVVFKSLPSNFNTFIRWVAELRIAEDTKQNLSAEEKSRLNLKQVVLKEVHETELFKHISKFLSTVGYEDSLTYAAAKACCQGAEILSGCSSKEFCCRETCVTCVKGPGEAEGTVVTGVVVRDGSEQNVDLLVPSTQTNCECGPEANFPAGNDVFTVLLLALPPQTWSGIKDQALMQEMKQLISMASLPTMLQEEVLHLRRQLQLLKRCQENKEEEDFAAPAF >A03p058980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25614400:25619630:1 gene:A03p058980.1_BraROA transcript:A03p058980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGVSDEKRPTVDLVKDKNGTDQVLLKNPKGASVKANSKPPHPVRGGIPICFPQFGTRGSLEQHGFARNKMWLVEENPPDLPSFDSTDKAYVDLVLKPSDEETTRIWPHSFEFHLRVSLALDGNLTLISRVRNINSKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLFDKERFTEQGDTLTFESEIDRVYLNSRDVVAVFDHERKRTFLIKKEGLPDVVVWNPWDKKARALTDLGDEEYKHMLCVNGAAIEKPINLKPVPLSSSFHKEPAMEAWRLSLWTLFSLYLIISGAKADPSQDKRALLEFLTITRPTRSLNWNETTPLCKNWTGVTCNHNESRIIALRLPAIGLNGQIPPNTISRLSALRVLSLRSNRLSGPFPMGLAGLKDLAFLYLEDNDFSGPLPLDFSVWKKLVSLNLSNNRFNGTVPVSLSGLKRLQSLNLANNSLSGVVPDVLSGLSSLKEIDLSNNNLHGPLPSWLLRFPPSSYQGIGDDVTHFQPPPEPPTRKKPKGVTKTVFLLIVISVAILLLAVLAFVFALCYLRRKVTIVKDHKLQKKGGMSPEKFDSRMEEANNRLSFFEGCSYSFDLEDLLRASAEILGKGTFGTTYKAVLEDATSVAVKRLKDVAAGKRDFEQQMEIIGGIKHENVVELKAYYYSKDEKLMVYDYFSSGSVASLLHGNVLLALVRLVSGLILVTGLVGAGNRGENRVPLDWETRMKIAIGAAKGIARIHKENNGKLVHGNIKSSNIFLNSERYGCVSDLGLTAVMSALAPPISRQAGYRAPEVTDTRKSSQLSDVYSFGVVLLELLTGKSPIHTTAGDEIIHLVRWVHSVVREEWTAEVFDVELLRYTNIEEEMVEMLQIAMSCVVKAPDQRPKMSDLVRLMESVGNRRASLETKPEKEASETSTPSET >A01g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16716903:16719785:-1 gene:A01g505650.1_BraROA transcript:A01g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFSGKHGLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARARSLRSDRARRVLGRYVATELWLELGCYVATELWLELGRYVSTERDDRSVATDRAGRSLGRYVATELRLEIGRYVATELWLELGRYVATERDDRSLGSYVATELWLRREVTCSIIFSLSCLSLRSFLKKTFYLSLHPFPLFSQEKCKDVEQEKDCEKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFVSNFNSWKKFFFFVRIDAASVEESCIPLFWRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFVGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVDDYVFEEEMSLMKSGMSERAHAEALIPPIDERIQGFWDSIPVSPDIEEVPTGFSDGGEEVDRPADAFGASLSGDFDFGL >A02p012790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5604537:5606808:1 gene:A02p012790.1_BraROA transcript:A02p012790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENPLMSFSFSLRYLCHFTLLISVLSFVSFLFRHNTTLCYFPYDHNPNTDHTFDHHHDNETIDLLTFSSAWNHLTFSPSKPNKILKIAVVVKKWPQKSQAGGLERHALTLHLALANRGHEVHVFTAASPSFPEYGLKNLRFHLSEPTSGGYLDQASLSQELQTQNASGRPFDVVHTESVGLLHTRAKNLPNVVASWHGIAYETFHSDIIQELLRQADVAAGAETEQPPPSAPALTERAKRVVEEVKFFQRYAHHVATSDHCGDVLKRIYMIPEERVHIVLNGVDESVFKPDVSKRESFRERFGVRSVAKNREPPLVLGIAGRLVKDKGHPLMFSALKRVFEENKKARENVVVLVAGDGPWGNRYKELGSSNVIVLGPLDQEKLAEFYNAIDVFVNPTLRAQGLDHTLLEAMVSGKPVLATRLASITGSVVVGPHLGYTFSPNVESLAEAISRVVSDGREELERKGKEARKRSLRLFTASKMADAYERLFLCISDKSYCTIQA >A07p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27306847:27313509:1 gene:A07p051440.1_BraROA transcript:A07p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-4 [Source:Projected from Arabidopsis thaliana (AT1G79340) UniProtKB/Swiss-Prot;Acc:O64517] MAKRAVLIGINYVGTKAELRGCVNDVRRMHVSLVERYGFSDKNIKLLIDTDSSTIKPTGKNIRQALLDLVQPAQPGDVLFVHYSGHGTRLPAETGEDDDTGYDECIVPSDMNLITDDDFRDLVDMAPKDCPITIVSDSCHSGGLIDEAKEQIGESTKKKKKKDSGESSRTNKETGVEETETKEITDLGSRSLPLETLIDMLKQETGKDDIEVGKIRTTLFDMFGEDSSPKVQKFMNVILSNLQETTTGQTSQGDILESVANLTQEFLEQKINDVVIPAIHEVYAGAINGALPDNGILISGCQTDQTSADASPPGHPEQAYGALTNAIQIILKETNGKISNKDLVLKVRKLLRKQGFEQRPGLYCSDDYKAVLIGINYPGTKAELRGCVNDARRMHKCLVDRFGFLERNITELIDTDDSYTKPTGKNIRRALLNLVETARSGDVLVVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDEFRELVDKVPKDARITIISDSCHSGGLIDEAKEQIGESTKKKKKPKKEAKGSSRLGIKDFVLETVEEEDEETNIEENIELENGDKIHVGNKSLPLQTLIDILKLDTGNDDIEVGKIRPTLFNVFGEDASSKVKKFMKVLLTKLQEGENDHGGLMGMIGKLTQEFIEHKLNDNEEYVGRKQEVYAGASKGSMADNGILISGCQTDQTSADASPLGQPELAYGAFTNAVQIILEESNGKITYKELVMKARKLLKKQGFTQRPGLYCSDDSNSIHHQMAKKAVLIGINYPGTKAELRGCVNDVRRMYKCLVERYGFAEEDITVLIDTDDSYTKPTGKNIRKALADLVGSADSGDVLVVHYSGHGTRLPAETGEDDDTGFDECIVPCDMNLITDDDFRDLVDRVPQGCRMTIISDSCHSGGLIDEAKEQIGESHKKDDEEEEEEESSSRFGFRKFLRSKVESAIRGNKKDEDEADEIETKEIELEDGEMILAKDKSLPLQTLIDILKQQTGNDDIEVGKIRPSLFDAFGDDSSPKVKKFMKVILGKLKAGEGEGGLMGMLGKLASGFLEGKLNDDDYVKPAMQTEVGRKEEVYAGGSRGSVPLPDSGILISGCQTDQTSADATPPGKPSEAYGAMSNSIQKILEETDGEISNREMVTRARKALKKQGFTQQP >A09p007620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3971112:3975201:-1 gene:A09p007620.1_BraROA transcript:A09p007620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQGYYGLKPTNRRASKESRNLVDAVISEFNQSSKPSKEVRCDKPMRDANNLKLDFSLRENRNSSSRFADHVAGKGLALRPKAASRTNLSAVNRVQKSGIAGMMKTALDPTDDLRHEIEILRRETCKLELRLRPYHGHDLASIPPHELEGLEQQLEHSVRKVRERKKELLQQQLGNLSRKKRMLEDDNNNMYRWLHDEHRTGVEFQQAGIETKPMEYQQFLEQVQYYNDHHQQQSSVLQLPTLPSEIDLSYHLQLAQPNLQNDPTAKVD >A06p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27271171:27272842:1 gene:A06p037570.1_BraROA transcript:A06p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-5 [Source:Projected from Arabidopsis thaliana (AT2G03620) UniProtKB/Swiss-Prot;Acc:Q9ZPR4] MGEQPDPFSASTLPDFISSQKIGRPVTLEGQSNRGHPYSGLKKRGQSSRSWVKIDENGNSTVLELDKATIMKRCSLPSRDLRLLDPLFIYPSSILGRERAIVVSLEKIRCIITAEEVILMNARDASVVQYQSELCKRLQSNQNLNLKDDLPFEFKALELVLELSCLSLDAQVNELEMEVYPVLDELATNISTLNLEHVRRLKGRLLALTQKVQKVCDEIEHLMDDDDDMAEMYLTEKKERSEAHASVELDDNLDDDFGSSGIVSKSAPVSPVGSTSGNLGKLQRAFSSVVGSHRSLLSSSSSGENIDQLEMLLEAYFVVVDNTLSKLSSLKEYIDDTEDLINIKLGNVQNQLIQFQLLLTAATFVAAIFAAVTAVFGMNLQDSVFKDPTMFQWVLLVTGIGCGLLYFGFVLYFKHKKVFPL >A07p041780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22511291:22513164:-1 gene:A07p041780.1_BraROA transcript:A07p041780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPFFFFTNMKSILSSLFALSLLSLSSAHAQCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGSSFFGSPAGRYCDGRLVIDFIAESLGLPYLSAFLDSVGSNFSHGANFATAGSPIRALNSTLRQSGFSPFSLDVQFVQFSNFHNRSQTVRSRGGVYTTMLPEADSFSQALYTFDIGQNDLTAAYFANKTVEQIGTTDVPEIISQFKNAVTYIYAQGGRYFWIHNTGPIGCLAYVIEWFPLKASDFDSHGCVSPLNHLAQQFNDALKQAVIELRASLSEAAITYVDVYSAKHELFVHAQGHGFKRSLVACCGHGGKYNYNKNIGCGKKVTVRGKEIYIGKPCDEPDKAVIWDGVHFTQAANKFIFDKIAAGLRMACHRQ >A09p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:516791:519079:1 gene:A09p000820.1_BraROA transcript:A09p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSREDDAYDPDGSRSDAGSSRTDEEKHSRLGSQRSGKSQDLSDPNYASDTSSKNTTTTNPIEYTEQERAELLRRLDSIKDHLLRGGSVVNENQQFLRPSYYYQHPYPEPYPYPGPVHGYHQDPYRRPPPVPYPPRPYPGTHYVDIGADIVDQGSFFPATPSRYSDMLPYSPVSSHQRLYNNSPSFPSSVTSSDPRGGGGGYARWPSDVDSEMGGAGAFPRGYVKKAVSVNDDARRCHPLAGGAPFIACHSCFELLCLPKRKLLAQERQQHKLQCGACSEVISFRIVEKKLVFSSGNEGATESVSIEVEDRSTVVAVEEQSSVDFNNSGSDFPRKDEDEEEEEPIRNHHNTTKSIRSESQLSDDVERLSISSESLQKKEVKSVRRRAKGSKATEPAASESASLLELFEYSNVNRAALAYGMAELGYHKPDKQEFFTKQDSVKPESLATETEVSYNGYSNTEISEDSNGREDSNNNNNRPRSRKGSESGSTEVTTRSSTDDQGKLLEVWVNGHLIPEERVSSAEKLAGPVQAGKYWYDYRAGFWGVMGKPCLGIIPPFIEEFSHHPMPDNCGAGNTEVYVNGRELHKRDLELLAGRGLPRDKNRSYILDISGRILDGESGEELKSLGKLAPTVDKVKHGFGMRVPRSLAS >A10p019000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13116758:13120229:-1 gene:A10p019000.1_BraROA transcript:A10p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRSKKRVAKSQTPPLKRAEKPQALALKRKAEKPQALALKKGGAKSQKTPPLKKQKKEVFVEEDPSEDDEEEVSDDAAASTDVESEESDVGEEEGSNGLGSSGFFSDDDGEENDDDDGEELLGDDFLEGSGDEEEHSGSGSDSDSDEGDIEKESKLIDEEEAKEEEDAKAELLDFNKEAGDDAFRLPTEEELEEEARGPPDLPLLQSRIKEIVRALSNFSVLRPNGASRKDCVEQLKSDIASYYGYNSFLIGALFELFPPVELMELVEAFEKQRPTSIRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYLSGFYMLQGASSFLPVMALAPQENERIVDVAAAPGGKTTYVAALMKNTGLIFANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGEKSVDRVLLDAPCSGTGVISKDESVKVSKSLEEIKKFAHLQKQLLLAAIDMVDATSKTGGFIVYSTCSLMVAENEAVIDYALKKRSVQLVKTGLDFGQDGFVRFREHRFHPSLNKTKRFYPHVHNMDGFFVAKLKKMSNMKQASEDDDEALGTVDEADVPSSDDEAEAMEGMEKPSVPKKQPKKTKESKEEGLAKSKESKKGKKSKSKKGEDPPKIKKQRKNRTEMRMEISQAREEKRRAMREKSKEKQ >A06p049450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26131891:26135124:1 gene:A06p049450.1_BraROA transcript:A06p049450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSPRERVLSSSDCMSDPEEEHEISEEEDDDRNHKHRRKEEETRSHSLEQGSSDQARPYRKNYRHFENGNTFGEHEKRPQRVQFDSQRARSNPMFSRDSGHGRGSRGNYGSWGQRDSRFNPVDLSSHMVPGMFGARGLAGVSAAQSAPWPPFGMLNGVPNGGLDAFHHMQGSLRPPLNAALNMGIPRQRCRDFEERGFCLRGDMCPMEHGMNRIVVDDVQSLSQFNLPVSGPGAPHMATSSKPVPAQFGSANFMNTKGAHGKTNEGGMAVDGMGYGDAYPSSGGTDFYDPDQPLWNNSAGETSGALPALSSHGIDENVAPLDDNNQDGPESAGGIRDSRSKSQPVWGRTRHAKADAGLNSSAGFEDQLKEVSINSSRHGKQNHVGESVSKVVGSSNTSSDAMNNTRKAMQKAMRTLFVNGVPHEINRKDLILAHFQKFGKVIDIHIPINSERAFVQFSKREEAESALKAPDAVMGNRFIKLWWANRDSIPDNVLSTGNGASVKGRVMTAPGGQNQLPIAAASKSNHVTSTAKGPAFHGCGAPSSSEQPKPVGFASGPKVTPLQQKKADDLERLKETLRKKQEMLEQKREEFRKKLAMLEKQGTVVKGEEADEPDAKRVKVDTASNSGAAVPSPNTESSADKKKVPIQKPLYSAKISTETPSPDPKHLKQRPYPFTTSLNTPMVNRYKLDNRPTTIKVVPPLPTGLADVAVLKEHFSSYGEISKVELEDSASIDSGKGQDETDKENRAACVTFVKRSAAEKAFANAKCWKEHTLQLKWVTRPSNRENNDSNNNNNLSVSGDHLSSKNKCTASVSSDPKPKDEVNASSTEEPENTNVSGDDKTLDERETKESDNGNSKSNSESIEGASEAVAVSETDEEQING >A03p027830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11632677:11635317:-1 gene:A03p027830.1_BraROA transcript:A03p027830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKYNPMNHCKIIRRRTQSSTTSSTYVGGNLETLPIDLIVEILKRLPTKTIAKCRCVSKQWGSLLCDPYFTKSFLTCSSTRPRLLFTFEFGGKWHFFSSPQPQNGDEQVVIADYHMGFSGDWYKETCILANGFIYLNDRKMLKEKMERVPVICNPSTGQQVHLPKVLAKNKDLRSFLGYDPIENQLKVLCMTVARYREQTNSREHQVLTLGKGKPSWRKIACLFPHFPENFGTGICINGILYYIARSNLNTVIACFDVKYEKFRFIQIDDENSKLYWFLTLINYKGKLGAIVYDRSANGQLWVLDDPEKEKWSKHIFHLPDAAFWIVRSIWATDTGEIVWTRSRWTHPFYVFYYNLERQSVRRVEIKGIEGKVLMGHYRPEAILTFTNHVENPPPPGPVTTGRETSSPLRSRASPMQSPQEALSSENPDSSSFIQSLFESDDWQFGICSAKPSWFLHGNAGTRSSCLNSLLIVALELLVKPQYIFNVVDIVIYSLLDSHSPSILVNAKSSQLGLCFLYGHGAFHQKLLSVIIPTVAYRCINVVFDYQFALGKKMKFLHETLYTAELDSPLKSSIFQCFVMLFSIIPSSSMAPGSSSLIVNFVAL >A08g510490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23934988:23937236:-1 gene:A08g510490.1_BraROA transcript:A08g510490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAESTKKPYDSLIDFVFSLPAPLNTDDFKGRMSRFCHAGVSKIGFGSITCYHQIRCLRSCPAALNGGSETETNSETLASRICSSLQSSLRSENHKLSHSQTRENRHPSPPALQKLQHQNLKCTDESSLEKKAISSLAGTPVHWHATLEEVPSGVPTIIIAHEFYDALPVHQFQKSLRGWCEKMVDVGEDSQFRFVLSPQPTPAALYLVKRCTWATPEEKEKLDHVEIILKSMDLTQEIANLQAIREHKFVNILDNPGSADLSAYVDFPSIKHSAEEASENMTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRTGYWRLVGDGEAPFWEEPDEQTPIGMGERYLTLAIVNRNQGTPAPFQFVTSAYHHNRGEVYAQACG >A09p051960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45653753:45656028:1 gene:A09p051960.1_BraROA transcript:A09p051960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWQILERAIHQIYNQDASGLSFEELYRNAYNMVLHKFGDKLYTGFIATMTAHLKEKSKLIEAAQGGSFLEELNKKWNEHNKALEMIRDILMYMDRTYIESTKKPHVHPMGLSLWRDNVVHSPKIHSRLLNTLLDLVHKERTGEVIDRGLVRNVTKMFMDLGESVYQDDFEKPFLDASSEFYKVESQEFIESCDCGDYLKKAEKRLTEEIDRVGHYLDAKSEDKITSVVEKEMIANHMQRLVHMENSGLVTMLLNDKYEDLGRMYNLFRRVTNGLATVRDVMTSHLREMGKQLVTDPEKSKDPVEFVQRLLDERDKYDKIISTAFGNDKTFQNASNSSFEYFINLNARSPEFISLFVDDKLRKGLKGIADADVEVVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAEKSLIVKLKTECGYQFTSKLEGMFTDMKTSEDTMRGFYGSHPELSEGPTLIVQVLTTGSWPTQPAVPCNLPAEVSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKAIFGKGQKHELNVSTFQMCVLMLFNNSDRLSYKEIEQATEIPAPDLKRCLQSLACVKGKNVIKKEPMSKDIGEEDLFCVNDKFTSKFYKVKIGTVVAQKETEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKILDHNNIIAEVTKQLQPRFLANPTEIKKRIESLIERDFLERDNTDRKLYRYLA >A09g519150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58882606:58883539:-1 gene:A09g519150.1_BraROA transcript:A09g519150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRVYLLSALSYDMSISCGTRKLATRAYYFQSLKLLIFQNSRSFNLSLGAGCLKLLIFQNSRSFNLSLGAGWLEVARR >A05g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16976193:16982918:1 gene:A05g505920.1_BraROA transcript:A05g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05p003790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1479141:1480231:-1 gene:A05p003790.1_BraROA transcript:A05p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGAERGGDRGGFGRGFGGGRGDRGGRGGPRGRGGRRGGRPTEEEKWTPVTKLGRLVQAGKIQKLEQIYLHSLPVKEYQIIDLLVGPTLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDTNGHVGLGVKCSKEVATAIRGGIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYGFLTPEFWKETSFKKSPYQEYTDLLAEKGTKKLITEVEDQQASS >A03g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11677449:11683830:1 gene:A03g503490.1_BraROA transcript:A03g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLLRISAAKLTVLSLNLSLTLMITSSNLFQLGQMAIRFVLSDIFQNLRNEDRNALLHEGVGHQVGSAFVEIVFDNSDNRIPVDKDEIRLRRAIGLKKDEYFLDGKHITKNEVMDLLESAGFSRSNPYYVVQQGKIALLTMMKDSERLDLLKEIGGTRVYEEKRCESLKVMQDTGKLSVCTAVILEPPSLFLVHAWVCRKSNTYSRMTCLTGIKRSHIIQAVQYLDEKLRELDEEKEELRKYQQLDKQRKSLEYTIYEKELHDTREKLEQTEDERTKASEESTKMYDRVEKAHDECRSLDESLAGLTKELQTMNKKKETVEALKTEAITKKAKLELDENDLKERIAGNIQSKNDALEQISMVEREMQDSLKELEVITPLYESQVDKEKQTTKRIMELEKKLNILYQKQGRATQFSDKANRDKWLRKEIKDLMRVLDSTMGQEQKLEDEIFGLNTDLKERDAHIKNHEVEISELDTHISKSHEQFNIKKRERDEEHKKRREKWGEESQLSSEIDKLKTELERAKKNLDHATPGDVRRGLISIKRICSEHKINGVFGPLVELVDCDEKFFTAVEVTAGNSLYHVVVENDDISTKIIKHLNSRKGGRVTFIPLNRVNAPHVSYPQCSDAIPLLRRLKFDSKFAPAFGQVFGRTVVCQDLNVATRVAKGDGLDCITLEGDQVSRRGGMTDQQITQIVTEQQRLESDWKHSRLQVEQLKQEIANETKQKHAIHIALEHKEKSLGDVRIQMEQLRSSMAMKEAEMATELVDHLTPEETEELSRLNPEIEDVKDKLIAFKTDRIETETRKAELETNLSTNLKRRIDELHATIASIDDYSLPSSAGLETQELDDAKLLVEEFTNELQGLCRSIDEKTKQVKKIKDKKEKLKTLQDDCEMKLQDANKKLEELFSLRNTLLSKQEEYRKKIMGLGPFSSESFDMYKQKNIKELQKMLHGCSEQLQQFSHVNKKALDQYVNFTEQREELQKRKAELDAGDEDRDHDDEDGCGEAATERRVEKYDGVTVKVSFTDQGETRSMKQLSGGQKTVVALALIFAIQKCDPAPFYLFDEIDAALDTQYRTAVGNMIRGLADDSVSTQFITTTFRPELVKVADKMYGVFHKNNASIVKVISKEQALNFIQIDQSHDT >A04p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6625571:6626021:1 gene:A04p011630.1_BraROA transcript:A04p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAHHDHRTPRLRQGCHHRLPQLRIAGNRHLLSFVFPPSCSFRRDEAIATDHDAIRVRLKSLEPPEVSPLRARELHAPPPEIVAAVFATVLHCRTTTGTLPYHCPNFAGPPLLAVTRRYSPSPAALTRR >A05p031270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18586157:18587661:1 gene:A05p031270.1_BraROA transcript:A05p031270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTDNGGGKGGGGEVSRGGLSRIRSAPANWIETLLQDDEEDDLKPDLCLTELLTGNSSGITSRDLFEFPSAVEQGLYTNQGGFHRQNSSPANFLGGSAAGSDGFFSNFGIPANYDYLPPPNDDISPASKRSREFSSQLKEEQMSGDVSGMMEDRLLEDSVPFRVRAKRGCATHPRSIAERVRRTRISDRIRRLQELVPNMDKQTNTADMLDEALQYVKALESQIQELTEQQKRCRCKPKEEN >A06p051500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27083810:27085767:-1 gene:A06p051500.1_BraROA transcript:A06p051500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFISCFLSLILNKIEMVLPSSLKTCLLLLFICLLNVSTISSKPLTNETVLFGGKFPALYVIGDSLVDSGNNNHLDTMAKSNFPPYGSDFEGGKPTGRFGNGKTIADYIAIYYELPLVPAYMGLSEEQKNNISTGINYASATCGIFPDTGKTVGECLSLSVQVDLFKETIDKNLKKMFKTQPELSKHLAESLFMTAIGVNDYSFFYNKTMDANDFANKLLHEFFIQIQRLHGLGARKFFINNLKPLGCYPNIIAHTVPRGRCDDDLNLAVSIYNAKLRRSLTYMKQKFSNTSFLYSDYFNFMLGLRGPSRNHASSNLLNTTSPCCPSVYDGGALTFCSANSSSCTMPDKHIFFDPFHPTHLANFMYAIGCFQERKICYVVRA >SC226g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000080.1:9057:13738:1 gene:SC226g500010.1_BraROA transcript:SC226g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKTRSSYQVKKGRENEWIWSDWVKTVFRSCGIWSNQIKEEPLKELVIFEDEAVQEITRKSGIEAASEERSKLVKGSEDKRVICDWKQGKDELYQLVGRLKEVWLELTERPEVIQERREQDVIFNFLVNEMCELVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLKEQEKEGGADDCITRKEWRDQEQGRREPSNQAGEAGRTTPLDHERGNGSESGEQEQNQEDSGHHNQEDGAQSSGDGQGESTGSGESVAQSTGSDESVDQSTGSEESGAQLRKLPWITLVRRSTPKRSMDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFESHFEIPFVSALSLHL >A05p007750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3128848:3130166:1 gene:A05p007750.1_BraROA transcript:A05p007750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANEHTVLQFATPSSTTSATTSILTARIHPLVIFNVCDCFVRRPDSAERVIGTLLGSILPDGTVDIRNSYAVPHNESSDQVAVDIDYHHNMLASHLKVNPKEIIVGWYSTGLGVNGGSALIHDFYAREVTNPIHLTVDTGFTNGEGAIKAFVSSNLSLGDRQLAAQFQEVPVDLRMVEAERVGYDVLKATAVDKLPNDMEGMELTMERLLSLINDVYKYVDSVVEGQTPPDNNIGRFIAEAVASLPKLPPQVFDNLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQML >A04p029550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17669482:17670371:-1 gene:A04p029550.1_BraROA transcript:A04p029550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSGVKRRKVRRKEGLVVITFLWLKSICKTREEKKERKPKSSPPRHKGSYYFIYHSSFLPRKPQFHSSSPPPPPPSINSIQFNSIRNFFIQISTASRKENKSCWILVAELRSLSALPFVGRSKGSVAALS >A09g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12747283:12748296:-1 gene:A09g504100.1_BraROA transcript:A09g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSCNPVREVCWIPHTSQLRPSLLALPPCEKAWTRLVLACKTSGKSTWNTSLFFKNLAASLPLQSPATVLQPTVLNRKPPLLRMLHILRDSPVLSSLFSAAARRVCLRPPDHLRRLALPTVSCSDSSLVVLIIAVSVSSHKRSSNNEMSS >A10p038590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21530015:21531137:1 gene:A10p038590.1_BraROA transcript:A10p038590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSVQAAASAGVEPPWKKWKPNPSPPSFLSLPDVIILHCLARISKSYHPKLSLVSKTFRSLILSTELGYARFPTVLVPRGSSSGCNPRRRKLHLCSSYAPRVPLLVLAVGSDVYALRQGYRPSQAMFVRNRENILWSNAPNMTVARVNPAACVLDGKIYVVGGCCEAAAKSCWGEVFDTKTRTWETLPDPGDELRFSSMIKKLEIIRGKVYVTSNEGEGDSVYDPKTRTWKAIGKRLEGDSRCWVGSLHYSCRRESCMWYDKECKEWKHVKGLSSFNKSCRRGGLIETVQFCPKLLILWDKFAPLRGDCEEKTICCALVAFEKRKNGHVWGKVEWSSPVLTVPSSYLFLRSGGIRT >A02p003090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1285694:1287984:-1 gene:A02p003090.1_BraROA transcript:A02p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) UniProtKB/Swiss-Prot;Acc:Q8RX86] MVLLGFFLRIITFTLTLTQLVDGFQSRMLINNGLALSPQMGWNSWNHFQCNINETLIKQTADAMVSSGLSARGYKYINIDDCWGELKRDSQGNMVAKASTFPSGMKALSDYVHSKGLKLGIYSDAGTFTCSQTMPGSLGHEEQDAKTFASWGIDYLKYDNCFNTGTSPKERYPKMSKALMNSGRSIFFSLCEWGQDDPATWAGDIGNSWRTTGDIQDNWKSMTTLADQNDRWASYARPGSWNDPDMLEVGNGGMTREEYRSHFSIWALAKAPLLIGCDLRSMDKVTFELLSNKDVIAVNQDKLGIQGKKVKKDGDLEVWAGPLSKKRVAVILWNRGSLPANITAGWEDIGLHSSAIVNARDLWAHSTHSGVKKQLSALVEPHACKMYTLTRRKA >A09p079950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58685858:58687782:-1 gene:A09p079950.1_BraROA transcript:A09p079950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 8 [Source:Projected from Arabidopsis thaliana (AT1G08460) UniProtKB/Swiss-Prot;Acc:Q94EJ2] MLSSDQTSKPQSDAVDVFWHEGMLQHDAVQGVFDTGINPGFLDVLEKHPENADRVRNMVSILQRGPISPRVNWLPGRLAIVSELLTFHTSDYINKLIEADKSGERREISAGTFMSPGSWEAALLAAGTTLSAMQHILDSHGKIAYALVRPPGHHSQPTQADGYCFLNNAALAVKLALDSGRCSRVAVVDIDVHYGNGIAEGFYRSDKVLTVSLHMNHGSWGSSHPQRGSVDELGEGVGLGYNLNVPLPNGTGDKGYEYAVNELVVPAVRRFGPDMFDPNGRQCLTMNGYRRIGQIMRAMADEHSNGRLLMVQEGGYHVTYAAYCLHAMLEGVLKIPEPHLEDPVAYYPEDEAVAVEAVKSIKRYHSEYVPFLRGT >A07p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18611033:18613458:1 gene:A07p034190.1_BraROA transcript:A07p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKPSLPEKDCKTKDYLPPKSTTIPNPKTLPRKSTDSSSQSKPRKSSDSARKSVSSASSRPESKTFSPNGVMGNIIVKPQPALNSSDVAQTNSRWEGKTVNYRLCPEDLKRMGNEEYCRGRFGEALVFYERAILADPKTPTYWSNKSAALISLGRLLEAYDACEEALRLNPNYERAHQRLASLQLRLGEAEKALCHYNQAGKYTETRHIEQVEDVIKCLRRCDEARRSKGWNILLKETCSVISYGADSSPRVHALQTEALLHLQRQEEAYDVYQKGTKHFDIDIYIKMFGLPITSYLLMVGAQVYIAAGRFEDAVTASRQAARLDPSNEEFNAVDRKSRAVAAARLSGNLLFNASKFEAACVVYTEGLEQDPTNALLLCNRAASSFKIGLYEKAVEDSTLVLNLQPSYRKARRRRADSYAKLGKWQQAIQDYQFLMMETPVDEDTRRALAVANVRLRKQIGGNVRFKGYGSGLVVVNEMGVLG >A08p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2811648:2813697:1 gene:A08p004830.1_BraROA transcript:A08p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDLLDVLHRVTGFQKGKFQINLIGRYPSIVQQSLVKYMCLPIVDDSSLETMLERPNRSLIVRQHYQIMRPVSVLGQMMLILMRTLVRVTIMVAWLEEEDSTDDKNEAAQNGSKMKKQDPTKGLSNNNVSKKNQEELEKAVKLYSDRRHGNYSVYNYLFTRGDIEFRCKNIYGWELKAAKTNGNGFRITGYGSAHTCKPANVGSDHDKSLNRGDNYAKHVMKQLEEYKVASRTHDILPLEPAGVRFQVTVVKQDGNIRDVFQLKDMVCTCGALQLLKYLCLHMLVVCRRINSDHFQYVNDYYSTENYLGTYTADFNPIPGVSDWPEASELPPLFPPGSCLPSVVRPVPLCNKSAQA >A09g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15860805:15875494:-1 gene:A09g505200.1_BraROA transcript:A09g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHISACWPFPWTDPCTDLDINSSFDGLDCPTLLPNKHRLRVGESRLFSCIFLDTFRAGVCNWKTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISACWPFLWTVRDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLSVMLTTHISMLALPVDCPCTDPCTDPCTDPWTDPSCWPTSVAVRVLIRGLIRVLNSYQHADHTYQHAGPSRGLSVMLTTHISMLAHVRGCPCTDPWTDPSCWPFPWTVRVLIRVLIRILNSYQHADHTYQHAGPSRGLSVY >A10p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:304866:306432:1 gene:A10p000550.1_BraROA transcript:A10p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan O-acetyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G01430) UniProtKB/TrEMBL;Acc:A0A346P850] MDRLRSLSKSMKIEGNPFGSSHQRNQIFLKFVAFFLLVGLTYRLIITDSTDSPIPQVRTSPDPPGLTASVAQAPAPVVSPVNITTAASQNASTKCDIFTGNWVPDPSGPLYTNTSCRHIQEHQNCLKNGRPDSNYLLWRWKPRDCDLPRFDPQHFLDTMRNKWWAFIGDSISRNHVQSLLCILSQVEEVEEIYHDKEYRSKIWRFPSHNFTLSVVWSPFLLKADVFENSEGVSFSDIQLHLDTLDPKWTDQYVNFDYVVISGGKWFLKTSIFHENHTVTGCHYCQGKNNLTELGYGYSYRKALRLVLDFVADPNRKAQVLLRTTTPDHFENGEWNSGGFCNRTMPFKESEGEMKSEDEFMRDIELEEFRKTQEEGSNIALLDTTSMSLLRPDGHPGPYRYPNPFSGMKIKDPVRVQNDCLHWCLPGPIDSWNDLMVEVMLNREIEKEN >A02p045770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28659546:28661544:-1 gene:A02p045770.1_BraROA transcript:A02p045770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGFQLTRGRHGEDHFYKSPKGARAKPNHRADQLRRAQSDVSANPKQRENESPSGPTNLERFLEAVTPSVPAQYSPKAMQTSLRERRVPYFVLGDVWESFAEWSAYGAGVPLVLNNKDRVVQYYVPSLSGIQIYADPHALSPSLKARRLSDDSSSDVSTDSDSERVIAGMDHVSLRDQLLEDSSSDDGERLGSQGRLMFEYLERDLPYIREPLADKVSDLAARFPELKTLRSCDLLPSSWFSVAWYPIYKIPTGPTVKDLDACFLTYHSLHTPVAGPSSAQPMSLVQPMESEKMSLPVFGLASYKFRGFVWTQNGGSEHQLVNSLFQAADKLLRSCHVSHPDLLFFCRR >A02g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26203410:26215219:-1 gene:A02g509790.1_BraROA transcript:A02g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSYSNVNVGPEVFISFRGEELRENFVSHLYKALRQSGINAFIDSDMVLGDKLITLFKTIKESKIALAILSSKYTASQWCLEELVKIMECSTNGEGCKNLVVIPIFYKVSTSIVDKLEGEFGVNLLNVWRRQPGGARNSRIVKWNAALQDMLSRAALIYDGSMEENAFVARIVEKVNDALSLIWSQSQAHPNLQKRGVEEIPNPPKFYNIALSSAEQRLKQLEEKLHMDSLDCNEDETRVLEIVGMAGIGKTYLAKKLFFKLETKLGRALIIEFDCDNSNRLEKRLVEGLLKKDDPSLIFADENSLEDWKNLLIEKKVVVVFDNVSDKKHLEPLMGNCDWIKKGSRIIITTRDKSLTEGLTVSHLYEVPGLNEREGLELFKAQIGTTLEGNYLELSRKYVDYAGGNPLALEAFGEEIRGKDEDHWEARLGTLSKVSSEKIGKVLRTCFDGLNQKQKDAFLDIAIFFRSKDEDYIKSLLESFDPDTSKAGNNCRELLDKFLIGVSDGRVEMNNLLLTMAMELVEASGGKYLLLPSDSAGSNTDALKNKEGKDKVRGIILDMSNMEEKPLDNQAFVSMSSLLYLKVYYSLCPTHSKAGCKLNLPDGIYFPKDNILRYLDWMRFPGKELPSDFEPMNLIDLRLPYSKTNRVWDCAKVAPKLKWVDLSHSSELTSISSLSDVPNLLRLNLEGCTSLKELPEEMKKMKKLVFLNLRGCTSLLSLPKITLDSLKTLILSGCSKLRTFEVISENLETLYLNGTAIDGLPPAIGNLHRLNFLNLKDCVNLATLPDCLGKLKSLQELKLSRCSKLGVFPDVKEIMESLRVLMLDGTSITEMPSSVIDLSSLRRLCLSRNDKISSLKFDMGHMFHLKWLELKFCTNLTSLPRLPPNLQCLNAHGCTSLRTVASSPDLRFSAEQIHSTFIFTNCHEMEQGSKNDIISYIQKKSELMSDDRYNQDFVFKALTSTCFPGCDIPAWFNHQALGSVLKLDLPQDLNTGRFIGVALSVVVSFKEYKHQNNRTLQVKCTCEFTNASLSPESFIVGGWSEPGEEPHTVESDHVFIGYTTWFNIKKHQQLSSANEVFLRFEVTNGTSAVAECEVMKSGFSLVYEVEVAENTSWEATSKLEKRISYKEDGYPSASPTKADSKSGRGEEDMVGRGVETTGGDGGEGEDGVKDPRNGSLYWSCFGYELRMENWWIEGSHEGCGRPLIFPTSVIMDENQQSPQYQVFLNFRGAQLRHNFIDHLVNAMKGRGINVFIDKDEQKGKDIKILLKRIEESRVTLAIFSTKYTESSWCLDELATIKKRVDLGMLEKVLNNLWESLATTLGVVHEWEYRCEKSKIDEWKKALECVSGKIGFTLDEKSSESNFIGLIITNVLELLEKVSSKEQTTKSQVKLKTTQPREAVGLGKGGNSSSYSTNLSGFGNIPSGKTSINPSWLGSGSSLSGGNSLGPNTTVLSGFDSQSLQVPYSIMSHQGWITGPSGFGSQQSLQPPMQGIYNMGQCAGSASATGNTLAAQTNQLICSGQRPQQPYSTVQSSLAPSGLQNNHNSRYGPGQITYSSSTSYNGVPISKHTYVWNPSPGTTMTATSHIPHPADGLWKF >A09p054760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47039313:47042912:-1 gene:A09p054760.1_BraROA transcript:A09p054760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHLISLTLKTSWSLRLSFQRRRTWRLCLLRCVPSGEINDGNLSVSPPLRFSPPLLLSPPLRVSPPLVASSIFSTSLRLSASRDLSSSLRLSPRLSSSRRLVASLHLSPPLRFSRPLRFSPPLSASLLLSPPRRFSPPLSASLLLSPPRRFSPPLSAYLLLLPPRRFDNFFSVISVTGNGDVFLDAQYTREGEMEHERVDLVLERIRNKYDWSSTDWPVLDPEESKMEESDSHCRGPEADKSVDHTDVVADEETSSVKVVGKGKRKFFDKGAETRKKKVLCKRSAEKFLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERLFTERMGKMEIEVSQLKDAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKCAQAPPKSKGAQAPPKCKGDQPTPTKNDGKKIAIETNDFDFGLSTQDLRDLSQATFVDGFDLSQVKVETSSKSKPFNMAPLQWNDEEMDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLMDKSEWLNSLEIDTAMYLFRERTSLKRWRPHRVAFMTVIFSNMINKEYGHLEAQGRKNYMLHNLLLQFGKGVLPPHGRTHEIWNIDVDRLYVPVHVSGNHWIALCISFVTRSIEVFDCSGRKRYKEVDGFANLIPRIVKA >A05p004260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1631450:1646519:-1 gene:A05p004260.1_BraROA transcript:A05p004260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFCNQFPRWGYSKRLPLSNLRKKREVTEREIQNPSFRLEIDNFSKKKDDILSKGDLHADNHLSVYLRVANPKSLQIGWKRNVSFYFVVLNHRNKERCIYLPVMNKVFDAENPAWGFPKLFPLSQLKEECFMGKESVIIDVCVNVIEAVDGESKYVPEKETMDINGFQVSASLGCFMTYIFRWIDCLKSKLEDVSLEKKKSDDADGSRVQQLEESVENLETMVSDLKAKLDEEKAKSSSDEFLLISFGSTMWDQKPSFTLEIGNFSNKEAVIASNVFEAGGCEWYVSVHPKGVSGRFNGHLCLFLNVANRKSLRTGWRRSVKFCFLVLNESSKELYRSPVEKASSLFCAWNPTWGIRKILPLSMFQEKGFLEKDVLIIEVYIKVVEAFDGEEGDFDGEGGDVTGFQVASVKKIFEEQVLKTDYKNALSKACSKLSELVEVGFKLDWLKSKFDELSFKRKNADGVDDESLVQQLEERIKNLELMVSGFEKDCLKSKLEESIKNPEMMELGFKLDSLTSKLEEVSLEKKKSYDADESLVQQQEERIKNLELMVSGFKKDCLKSKLEEEISLEKKKSLAADGYRFQELEESVKNLELMESGFKKDFLKSILEEISLELKKSYDADESRVQKLEESVKNLELMELGLKLDSVKSKLEELSLEKKKAYDASESRVQKLEESVKKLELMELGLNLDSFKSKLEEISLEKKIAYDADESRTQILEGRIKTIELMETGYKLDSLKSKLEDIALERKKSCDASESRAQQLEERIKNIELLDLRLFINCLSSKLGNTDDEFQQLEERVKDLEMMKVGSKLDCLNTKIEENVKNTELMVSCIKVELDKKKDKSTADGFLSTRFCKSNMWDQNPSFRFEIDNFSDKEAAIASNVFVAGRCEWYLAVHPQGHNDHLSMFLCVANRESLRTGWKRRAKYYFRLLNESNKQLYKSPVYGGTKSVFFCASHPGWGVSKTLPLSTFQKQGFLENDKLIIEVYIQVVEAFDGEGGDINGFQVFAAHVASVKKTFAEHVAKTEYKNTLSNACSKLSELAEVGVKLEWLKSKFDEVSFKRKNADGAAADDESLVQQLEERIKNLELMGSGFNKDCLNLKSKWKKSHAADECRFQKLEESVKNLELMELGLKLESLKSTLEEVSLEEKKSHDADESRAQLLEARLKKIELMKTGSKLEILKSKLEEIALERRESYDADESRVQQLEERIKNIELMDIEFKLDCVNIKLDTSDESLVQQLDERVKDMSRKVGFKLDCLNTTIEERSLERKKSDDARFQQLEESIKNIELMVSCLKVELDKKKDKSTAEGFLLEKVWIDMSNQKPSLRFEIENFSKQDDIISSDTFVSGGCEWCIFVDPDGECAADSHLSLSLQLEDSTTLREVELNGLDMDKYATCFAVGIQKVVEAFNGEGGDVSNKKNKNKTVDINGFQVLASQVTKVRKIFTAHPDIALDFKPTIQEVKTAYMNVLLRVIKTLHKPPKSLSETRLSKASSELSELMKVGFKLDWLRLKLDGVSLERKKINVDGSQVQQVEERVKKFERKKADDTI >A03p046110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19425640:19426813:1 gene:A03p046110.1_BraROA transcript:A03p046110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLQRGPWTPEEDQILISFIHKHGHSNWRALPKQAGLLRCGKSCRLRWMNYLKPDIKGGNFTKEEEDAIISLHQVLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEDYQPAKPKSSNKKQGTKPKSQSAAAKLNSTRSESELGNSSNPSFESLFSASPSTSDEVSSVTLMSHEGHNNEAKMDNKLGDISTADQDCFSFENFGADIDESFWNEALYSQDEHNYASNLEVTGFEDETQQEFQQLGSVGNEMVFDSEMDFWYDVFVRTGGEQELLAGI >A02p049120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30493962:30496365:1 gene:A02p049120.1_BraROA transcript:A02p049120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFCLAISFSQPADTLSHEVVPRGVLTESVSFGRFASETLQWAKWSAFTQNRYLEEVERFTKPGSVAEKKAFFEAHFKNRASGNTTKTKKTQEAKIAKTVCGAQKENLVDSEVPLVRHGEVGSVAPSISVTDATADVKIGEVKDTIAEKIDSMAVDEDEELDKENSASLSKERRPSSSMPVPEDEDLDKKNSTSLSKERGPSSSASKTYGRSSNPELDLPLKKPRKEPVSTKRNQSRSPPQPFHMSINCAPTDKAGKKMHQNGSRSSTKVKDALKADKKERSGPSSVHMPLNSATSTRQTTKTAPKRLARRSTTQETSSSNAGTSSKPKGTEPAVASKGRKRPLSRGAKEDSDVPKCSTTASAFGLPKLPPSPPNSRPLDEKRKNITGGSSVSSRIPNNVQRQPSASCDNVPTHSRTRAKSFTVSTPFIFRSDERAEKRKEFFKKVEEKKKKEDAVKEQSSCGFKEHQEFKNPQVGGFQAPMISLTSPRVRRNQTPGRENIQKSRESPHKVASVKTTTTRNPSMEKYKRCKIHPSLTKKKTQEDSSPHVL >A02p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31683748:31688316:-1 gene:A02p051830.1_BraROA transcript:A02p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVTKTSRVNPATNSSHDSLLLPLTFFDLRWIKFHPTERVLFYKLHKHNSFHSLILPKLEHSLSTVLHHYLPLVGRLRWDPQDPKPHILVLPNDYVTLIVAESDADFSLLSRKGTRPETEIRSLVPELPASRDDSVFVLALQVTLFPNQGFSIGVTAHHSAMDGRSMSMFVRSWAHVCKHGTIGQLTPCLDRTVINVPASLDARILEVVSYFSEDKTRSRSLKLPPSEEISPDTVRTTLELTRENVHKLKERAKNESTRSHLHLSTFVVANAYLWSCLVKARGGDADRPVRFMYAADFRNRLGSPVPESYFGSCVLSVGCFGHKAGVVSGEDGFVNAVEIISDSVRGVGTLDVEALCELYIDGDDEVSIDRNEAFSMSERRDESGGVEIGLCLKKCEMDLFIDLFQNELHWLKLQLHAQQGHASEKRDCLIHNPYNGGDLRRHLEKLVEAEKVQDFIKKNHFGQEAISEPSESWEFYREAIALCELYIDGTMTVKPGTQTVSIVGSNRFGLYGSEFGWGKPVGCETVFIDCDEAFSMSERRDEPGVVEIEAFV >A09p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4263242:4266356:-1 gene:A09p008260.1_BraROA transcript:A09p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALSDKKKEEKKMKKKIDLETTTPEQQDSKKKGKKLKLSDSDGEEKKSKKKRKSIDEPEEEPEKKSSKKVKLSSSVEDVKVDNPNAVSNFRISDPLKAKLKEKGIEALFPIQATTFDMVLDGADLVGRARTGQGKTLAFVLPILESLINGPAKSKRKNGYGRPPSVLVLLPTRELAKQVFADFEAYGGAVGLAACCVYGGDPYAPQEHKLKRGVDIVVGTPGRIKDHIERRNLDLTYLQFRVLDEADEMLRMGFVDDVELILGKVEDPKKVQTLLFSATLPSWVQTIAARFLKQDKKTIDLVGNDKMKASNSVRHIALPCSKQAMSRLIPDIISLYSSGGSTIIFTETKDQASELSGLLPGARALHGDIQQSQREITLAGFRKGKFSTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNTGVAVMLYDSRKSGVSRIEKQAGIKFEHVSAPQPNDIARAVGMEAAEKITQGFTEIKKRSLLSSLENHVTLLFEAGKPIYTPSFVFGALKRILPEDKVNTIEGFTLTADGQGAVFDVAQSDVDQFMSAAQKTASVSLEIVKELPKLQEREPMPRGRFGRQGGQGFNNRGRGGRFGRGRGGGGGQRW >A10p003450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1741145:1744707:-1 gene:A10p003450.1_BraROA transcript:A10p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPTWILAMMCLLFFVGAMENSTHDKISSLSSSDENEWNTHAVTNPDEVADEVIALAEMSVRNHTERRKLGYFTCGTGNPIDDCWRCDANWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPNDDNPVNPRPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIVNSFKTIDGRGANVHIANGGCITIQYVTNVIVHGLHIHDCRPTGNAMVRSSETHFGWRTMADGDAISIFGSSHVWIDHNSLSHCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKAMQVTIAYNHFGVGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPKNPFAKEVTKRVDTPASHWKGWNWRTEGDLLQNGAYFTASGAASSGSYARASSLAAKSSSLVATITNDAGALPCRRGRQCTS >A07g501570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3194727:3194942:1 gene:A07g501570.1_BraROA transcript:A07g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEKKNRFGPIFKLLINGWVWVSSWVMGVIGYGCCWVWAKYGCGCFKPRKKNTQLNIPIWVWSNPTDRPKH >A08p022200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14746638:14748903:1 gene:A08p022200.1_BraROA transcript:A08p022200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPRVKAKDKTFNCNQSGSCLNSNYLQSRASENKQEEDLHIIVAKIPKIYIPSVLMSESQSRDMKKHIGGAEIEPIQKPKTSPVLRPRAVVSSPDNDALIGSINKSEENKAKTGLKSNGHASKRASQRKNIDTNVKFSHRPVATKSGTSLKDHK >A08p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9611602:9612086:-1 gene:A08p014400.1_BraROA transcript:A08p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQPQNLKNQKIVMQMMGSRKESSLMMMWVFGGKHVEKVICAAVADGTVTPKVQLLIKQLLKFRQLPSLSLYGAPAKLGTITARK >A01p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:533173:533701:-1 gene:A01p001390.1_BraROA transcript:A01p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRKMSSCLLILLILISTYFSLMANGRPEPSSYETTTGGDQDQNMLMRGLIGSSPPRCERVRCRACGHCEAIQVPTNPQTKLHHSPSSEIINLDYTRGDDSTNYKPMSWKCKCGNSIYNP >A03g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2339773:2340336:1 gene:A03g500770.1_BraROA transcript:A03g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESQQHHHRRDKNTVALGCEHGRDADSKTANDSREPPKHLSAVAYTVGESEQDARGGSNEREAEQTGNHLMAIDDHSNRTSSTHTKKQNRTLSPRLHPTRNLPPNPKNGALLVAASRDRNIPDPRRPKIPTTSTERARRDRSVATNHHRTQP >A04p023560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:14273635:14274123:-1 gene:A04p023560.1_BraROA transcript:A04p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) UniProtKB/TrEMBL;Acc:A0A178VUP0] MASLSLLSGGALQARCARHAHVSPRAAHPTKPAPPSSSLTGASRRNLLFSLTAATVVTGLQPASMAETIPLFGIRKKLKKAEEEAVEIVKEGFETAEKGLETAEKGLEAAEKGVETAEKEIETAVSYNGLTQAGAVVAAEFVGVLVATSVVNGILGPEAQKS >A09p016120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8428711:8429052:1 gene:A09p016120.1_BraROA transcript:A09p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMERCREGEGEVEEGKTEVRENAKESFRLDGDEDGHGGMKVKIVLTRHELDMFLLQMNKNDDGNLMMTKDVMVELEKMIIKASSSFSSSPSWEPSLESIMECPEVQEMDR >A03p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1636789:1639287:-1 gene:A03p003760.1_BraROA transcript:A03p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKRIRPRNSGMGYRRRLHQDQKKSYQFSIRISKVTFIFSLGISLNDSKPYFSELFAGSMDISSSSFHQAHKVSLLRRQPSSPVNSVSVIGFSLPRITSPSLAKYRRKGSSTGFVRACVAVEEKKRTAIIRIGTRGSPLALAQAYETRAKLQAKHPELTEDGAIHIEIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGHIDIAVHSMKDVPTYLPEKTILPCNLVREDVRDAFICLTAASLAELPAGSVVGTASLRRKSQILHKYPSLAVEENFRGNVQTRLSKLQGGKVHATLLALAGLKRLSMTENVASVLSLDEMLPAVAQGAIGIACRTEDDKMASYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYAAKDEEGNCFFRGLVASPDGTRVLETSRKGPYVFEDMVKMGKDAGQELLSLAGPGFFGN >A07p006590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1601519:1601764:-1 gene:A07p006590.1_BraROA transcript:A07p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNEEIQAYTYPCPCGDLFQITKEDLRLGEEIANCPSCSLYITVIYNMEDFQNDTKNNNEPKSRQAVAVA >A02p012850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5633012:5634199:1 gene:A02p012850.1_BraROA transcript:A02p012850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRFFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIESVGKGLIRDGTAFVTFPVKYQCVVFRPFKGEILEAVVTLVNKMGFFAEAGPVQIFVSKHLIPDDMEFQAGDMPNYTTSDGSVKIQKECEVRLKIIGTRVDATEIFCVGTIKDDFLGVINDPAAAA >A10g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2293654:2294322:-1 gene:A10g500780.1_BraROA transcript:A10g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTSVDSLLEKLKQEELYLPPSNWESLHFQSCQFPPPTRASPPSSSFVSELSLVRLALNALQGVESSLISIHKLSYGLCSEPADRTTHQSLSLWHRLSSIDALGQIL >A10p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20042461:20043570:1 gene:A10p034490.1_BraROA transcript:A10p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTKLQPPKPAIFVNPIIQQPFIGKSPPFRRRYTLRQASLRFAKVSDSIASPLYSNPNESSGLTSSVGQPPLQLSQWTLTQKHFVLLNVVACVTAISASWLFLAAIPTLLAFKKAAESVEKLLDVTREELPDTMAALRLSGMEISDLTMELSDLGQGITQGVKSSTRAIRVAEDRLRRFANMNPVASMQEVMHQTERKETEPVVAKAARNLREGIVKGRSLWQLLFTITRFSKTAASYFAKRVKQ >A05p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11675708:11676313:1 gene:A05p024100.1_BraROA transcript:A05p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISSANWAFVAAARRGRRQWGTIPLSCSSGPNTRKLVLYSKPGCCLCDGLKEKLQAAFSLSGPDSLHHVTLQVRDITTNPDWERAYQYEIPVLAKENSDGKEEILPRLSPRLSVEIIQKKLLAAFS >A01p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9898552:9901034:-1 gene:A01p020160.1_BraROA transcript:A01p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQEVAIDVEAQIENNNDDRTLPFSIFKKANLPITLKFENVVYTVKVKEPKSWLSQTKVKTEEMTILKGINGIVKPGEILAMLGPSGSGKTSLLTALGGRISEGKGKLTGNISYNNKPFSKGVKRATGFVTQDDALYPHLTVTETLVFTALLRLPNSFKKQEKIKQAEAVVTELGLDRCKNTIIGEPFLRGVSGGERKRVSIGQEILINPSLLFLDEPTSGLDSTTAQRIVSILWELARGGRTVVTTIHQPSSRLFYMFDKILLLSEGNPIYFGLGSNAMDYFGSVGYSPSVERINPSDFLLDIANGVGSDELQRPEALKEALVAVYKTTLLDNVINDLKGQDGHCTQSIESLGDSKHFGDWPTTWWQQFCVLSERGLKHRRHDSFSGLKIGQVFVVSFLCGLLWWKTDLSHLQDQIGLLFFVSSFWAFFPLFQQIFTFPEERRMLEKERSSGMYRLSSYFMSRIVGDLPMELILPTIFLIITYWMAGLNPKPANFLLTLLVLLAHVLVSGGLGLALGALVMDQKTATTLGSVMMLTFLLAGGYYVRHVPVFIAWIKYVSISYYTYKLLILGQYTENEWYPCGENGNSKCHVGDFEGIKLIGFHSGLASSFALAAMLVGYRVVAYFALMRIGKTKAG >A01p008410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4084283:4087701:1 gene:A01p008410.1_BraROA transcript:A01p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLTLLLWISITSCVFVQAQDQSGFISIDCGIPDDSSYTDEKTNMKYVSDLGYVESGTSHSIVSDLQTTSLERQFQNVRSFPEGKRNCYSIKPQQGKGFKYLIRTRFMYGNYDGFSRTPEFDLYLGVNLWEPVVLVNETAIVTKEIIYTLPSDHIHVCVVDKNKGTPFLSVLEVRFVKNNTYDTPYEALMLGRRWDFGTTSNLQVRYKDDFYDRIWMTYKSNMKILNTSLTIDETNHNGFRPASIVMRTAISPGNESNPLTLTWSPDDPRSKFYVYMHFAEVQKLQSNETREFDIYVNDDLLSENFRPFYLFTDTRSTPEPVGRTKNEIVIRKTDLSTLPPIINAIEIYQINEFLQLPTDQQDVDTMMKIKMKYGVKKKNWQGDPCVPVDYSWEGLECLHSDNNTSPRLISLNLTSSALTGEIDPAFANLTSINKLDLSNNSLTGEVPDFLTSLVNLTVLNLEGNKLIGSIPAKLLEKSKDGSLTLRYGGNPGLCQSSSCQPTTKKKKNGYIVPLIASVLVLLVLLIVLALFCHFKRRSRRGYCNEDNHMSLIYEYMANGNLGDYLSGKSSLILSWEERLQISLDAAQGLEYLHYGCKPPIVHRDVKPTNILLNEKLQAKIADFGLSRSFPVEGTSQVSTVVAGTIGYLDPEYYTTRQMNEKSDVYSFGVVLLEVVTGKPAISRSKTQSVHLSDEVGSMLASGDIRGIVDQRLGGRFEAGSAWKITEIALACASESSAKRPTMSEVVMELKKSVVGKVNDRDSYRDGPVRMVTMNLDTEMVPKAR >A08p000060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23327:25260:1 gene:A08p000060.1_BraROA transcript:A08p000060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPSSSCLLRNLILSPSPHPFSRPIFVGGSRRRHLVQRTSALREWREYEDAVKRKDLAGALRFLKTVQVIDKQAAESINIAAAPALDWEVLDACLNADDMRLVGSAFRFLKDRGLLPNFAKFSNIVLEGPREVTPTVLKSATGLEVNKLAPKKWGLSDSSSIALAAFLGGVSYLLSQDIDLRPNLAAILGLAFLDSLLLGGTCFAQISCFWPPHKRRVIVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGVQGQAGTQFWDQKMESEIAEGRLSGSSFDRYSMVLFAGIAAEALVYGEAEGGENDENLFRSISVLLEPPLSVAQDGTIIWNLSRQKQINSEINYLAATGMATKMLYFWIHVQQAFDSFKKLVID >A09p067270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53120719:53121483:1 gene:A09p067270.1_BraROA transcript:A09p067270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARVEVPITIAVVEVPITIFFCLFVKVNREHCSTCKKQRVEIAFSLISVPSLASFTEKMRKRLSYSRHWFLFPASIWYIATGSGPVYASRCFGLLTLGRGGSHNTIFADFRFWEEICQGWFVVLRLRAVLVKRLLLQFGLLMAELRRACSLTPEEACAFHSRRVFIGRRASGHVEVELAFSPTVSLGLGAQLL >A02g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25756436:25757242:1 gene:A02g509660.1_BraROA transcript:A02g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPPRASSSLQWRFVLLLRTVKSICLYSIFMLSCSYAVNCLANLNRICHVCIRYKVSTEALEQYIMLGMCFGSSLGENVSAENLLSVCFFSRISKMYVSFQEFQRYRTMIARDFVLLHDSAGDLLSCSYTVLDKTNSIDGTVPEQVKFQSEVKKLQQEQFRPAEQVSISSQEMLQYIALE >A09p026780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15135250:15137057:-1 gene:A09p026780.1_BraROA transcript:A09p026780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFLFKSKKPKPRNQQKDKRKGKEIAQNSAPELRNQSETSSFNLQTPRSLPSPRSIRDLYTEREKNLRVFTYQELSEATYGFNRKLKIGEGGFGSVYKGKIPTAKDSDSDSPLVVAIKKLNRQGLQGHKQWLAEVQFLGVVNHQNVVKLLGYCSEDGETGIERLLVYEFMSNRSLEDHLFTRGSRILPWKQRLEIMLGAAQGLAYLHEVKVIYRDFKTSNVLLNDEFCPKLSDFGLAREGPQGDNTHVTTARVGTQGYAAPEYVQTGHLRLKSDVYSFGVVLYEIITGRRTIERNKPAAERKLLDWVKEYPADSQRFSMIVDPRLRNNYPIVAARSLAKLADLCLKKNDKDRPAMEIVVERLKKIIEESDGGECSMAGSKESTSQVRSKSRVAGPVKGSLRGVSVRG >A07g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27511862:27512598:1 gene:A07g509250.1_BraROA transcript:A07g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNSSVVDRGNVPVYLNVYDLTPINGYAYWFGLGVYHSGVEVHGIEYAYGAHEYPSTGIFEGEPKQCEGFTFRKSILIGKTDLGPLEVRATMEELSEIYKGSSYNLITKNCNHFCDEICIKLTGNPIPSWVNRLARIGKLSGNTPFKSLRFSFFLTEDVSSEVLAFGYNAD >A01p015360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7479107:7488817:-1 gene:A01p015360.1_BraROA transcript:A01p015360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cysteine-rich receptor-like protein kinase 16 [Source:Projected from Arabidopsis thaliana (AT4G23240) UniProtKB/Swiss-Prot;Acc:O65476] MIFKMKLENLLLILWFFLVGFHVACAHKCSETGFFIPHGKFDTNRGLLLSSLASNVSGRGGFYNSSVGQGSDRVYAVGMCIPGTEPKVCSNCIHLASNELMETCPNQTEGLLWFEKETLCMIRYSNRLFFGTLEMEPSYKVYNTAAFQVNLTEFDNTWEALMLRVIAQATSSSNPMYYGAGIQKIGSSRNIYAFVLCSKDISPWNCAKCLRQNVDDYRSCCSGKQGGLTMRLSCFMEWDLYPFFGVFQNQTSPTTHKKDSKKISTGTIVGIIVLLTFMSSVLLALGLALCRRRKTYQEFATERRSMTTYGTEPQYDDADDITTSGSLQFDFKAIEAATCNFHESNKLGHGGFGEGTFPNGTEVAVKRLSNSSGQGEQEFQNEVLLVAKLQHRNLVKLLGFSVEREEKILVYEFVPNKSLDYFLFDHRKRSQLDWRKRYNIIGGITRGILYLHQDSRLTIIHRDLKASNILLDADMNPKISDFGMARNFRVDQTEANTGRVVGTFGYMSPEYVANGQFSMKSDVYSFGVLTLEIIVGKKNSSFHQIDNSVGNLVTYAWRLWNNESLLELMDPAMGENYDKDEVTRCIHIGLLCVQENPADRPTMSTVFQMLTNTSITLPVPQPPGFFFRVRSDLNPLADSLESGPSKSTMSFTCSIDDASITNLIYGHREEQNQLFHSMCKHQTNRRKKKKRKKRKKMSYTYFFCVFIFSSVLTICAQNHTYVYHDCPNTTTYASNSTYSTNLRTVLTSLSSLNASYSTGFQTAASGQAPDRVTGLFLCRGDLSPEVCRSCVIFSVNDSLSRCPNEREAVLYYDECMLRYSHRTILSTLSRNGEAVFRNDTMIPSNQLDGFGNVLMSNLSQAVTNAVSSPRKFDARKAKFTASQTVYLLVQCTPDLTDQDCLTCLRISFNQLPLDKIGGRFILPSCNSRFETYPFYNQTAVKALPPPPVSAPERPGKGGNSNVSVVAIVVPVIVVVQLLIAGYCFLTKRKKKAYDTAPSIDDFHCAKEDEISSADSLQLDYRTIQAATNDFSESNNIGRGGFGEVYKGTLPNGTEVAVKRLSKTSGQGDAEFKNEVAVVAKLQHRNLARLLGFCIEGKERILVYEYVPNKSLDYFLFDPAKEGQLEWSHRYKIIGGIARGILYLHQDSRLTIIHRDLKASNILLDADMNPKVADFGMARIFGMDQTEESTRRIVGTYGYMSPEYAMRGQYSMKSDVYSFGVLVLEIISGKKNSSFFGTEGAQDLVTYAWRLWKNQTPLDLVAPVTRHNCEMNKVVRCIHIGLLCVQEDPAHRPTLSTIVMMLSSNTVTLPVPKQPKFFAQSRPKKDLLDSEKSTKSNSVPWSIDNASITELYPR >A06p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8816857:8818363:1 gene:A06p018140.1_BraROA transcript:A06p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEALKLGKIATCLPPVGVVSDVFPWICWFIWLARNQLIFEKRFIKPEESLAKAIGAAREWNLAQPPPKAQPQRTNSRMITPDLDDLIVCYSDAAWKKESNIAAFGCIFKDKRGSTVSETSCVEKNVPSPLVAEALALRCALLTAISLDFSKICFKTDCQTLITALTSTAPPADLYGINHRRHRPSIFTLCFCIFQIPS >A08g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17968693:17972031:-1 gene:A08g509210.1_BraROA transcript:A08g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRYLSVSVASTALSFVGLQVWTELSLDRLRADGLIAKNISLGDSEHALELLLGSYFTIALLTNFVLNVYILLILSLKTLFFGDLYGVETKKLVERLANYIIYKIKSPFNILFSKGTFLPLVIPPTIFQGVLWTVWLTVLCTLKMFQALARDRLERLNASPSSTPWTYFRVYSVLFLVLSVDMLWIKLSLMTYNTIGSSVYLLLLFEPCSIAFETLQALLIHGFQLLDMWINHLVVNNSDCQRSKFLDSMTAGSLLEWKGLLNRNLGFFLDMATLVMALCHYLHIWWLHGIAFHLVDAVLFLNIRALLSAILKRMKGYIKLRIALGSLHAALPDATSEELRAYDDECAICREPMAKAKRLHCNHLFHLGCLRSWLDQGLNDVYSCPTCRKPLFAGRTESEANPRTVEVSRDEQLARQLERQNSPAHPLATGLFPAEMPSSVETDPSRNLGLDPSWLQTWSDQGIDVAGPSTTASRSVGLGRVQMMMRHLASVGESYAQTALDDAAWSLWPMNPSQASTSTTTTIPPGAGGRTGGLHLRTVSNVTNESLASILAMAETVREVMPHVPDEIIFQDLQRTNSVAVTVNNLLQM >A09g504020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12593413:12593619:-1 gene:A09g504020.1_BraROA transcript:A09g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSPLANLVESMEMVVAPAEGVTSPTVKKMRGRTTCYSLGPNIGNNPTDGAKRSLLKGVGPMVGV >A01p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4751427:4754218:-1 gene:A01p009840.1_BraROA transcript:A01p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMDNVIGGKFKLGRKIGGGSFGELFLGVSVQTGEEVAVKLEPAKTKHPQLHYESKIYMLLQGGTGIPSLKWFGVQGDYNAMVIDLLGPSLEDLFNYCNRKLTLKSVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKVSTPIEVLCKSYPQEFVSYFQYCRSLRFEDKPDYSYLRRLFRDLFIREGYQFDYVFDWTALKHPQSSSSSRSSSHGRHRTGKPGVAAGPSAEKPERISVGREIRDRFSGAVEAFARRNATGASPHQNQTRHRTLDDVPPPIKPAVNMVSEKGRSTSRYGSASRRAVASGSRPSSSGEQGDSRGSSRVASSGGGGRPSVFQRNQVAAAVSGYDSKAASVFNRNRVSSSRSARDEALRSFELLSIRK >A06p028900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000115.1:8950:15822:-1 gene:A06p028900.1_BraROA transcript:A06p028900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DMISNITKSETVQEVPVPTVFKGAITRQRAKVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGEASEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQHGPIPNQRAGLPPDDYGEEEEEEGFAPQPRAPRRQNRHQGNITKSETVQEVPVPTVFKGAITRQRAKVKLAGEPSSKQDELKDAEPVKEKQASKNPRALKLELASFSLPFPVQIPWLIRSVHPDHPCVRSAPSLLLGNVQPLIA >A09g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11331236:11336835:-1 gene:A09g503640.1_BraROA transcript:A09g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSGATRPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRIHLSHHREEPPEPLFYFIYTCFIQSLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALTQQEQQTQTKEESDCSKKGNSSDAQKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHVVPATGNSQPDELQGLGMMIQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPMTEHCNAIEQPFAETAPGAEERAEQSASSGVTAPSKPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTRALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLFFDEDPSTDPTKFRGNSRVKQKVQKKRVKGDPTVTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGEAAVKGLLSRKRNNLPPEKSGCSRRSSDDRTPRIFMERLLHSGVENQNGREIRTTSGTQNDHVLPPSSSHHHIKINVMEKGKKEKKHGATGKVEQEVWSCIGWEIDQLEQKRQTTVQFSDFHAEQS >A03p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20435364:20436824:-1 gene:A03p051630.1_BraROA transcript:A03p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATLTELAGESKLNSKFVRDVDERPKVAYNEFSNEIPVISLAGIDHVGGKRGDICRQIVEACENWGVFQVVDHGVDTKLVGDMTRLASDFFSLPPEDKLKFDMSGGKKGGFIVSSHLQGEVVQDWREIVTYFSYPVRNRDYSRWPNKPEGWVKVTEEYSEKLIELACKLLEILSEAMGLEKEALTNACVDMDQKIVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGQTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNSSRLSIATFQNPAPEATVYPLKVKEGEKPILEEPIAFAEMYKIKMGRDLELARLKKLAKEKQGGCGAS >A04p007640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8732644:8734181:-1 gene:A04p007640.1_BraROA transcript:A04p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYEDRFLHLNNLLDSFWPSSTDGLGQNQKKKDHQIATREFPLTPVQKEHAQFTVASDQEEMVQVEKSGTETQDGVELKDHSTPPSCFKHSPISTSQKDSYTIFSHSSPDATSISASTLAGSSTAQTSLQIMEGVSSDIIFNEANDEFGSVTRQGRLIKPTQKFQGMEWMTVRGRGKRSRRGRDS >A06p011760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4402133:4404392:1 gene:A06p011760.1_BraROA transcript:A06p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB124 [Source:Projected from Arabidopsis thaliana (AT1G14350) UniProtKB/TrEMBL;Acc:A0A178W3Z3] MEDTKKKKKKNINNEDSKKKERHIVTWTPEEDVILRDQITLHGTENWAIIASKFKDKSTRQCRRRWYTYLNSDFKRGGWSPEEDMLLCEAQRVFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKHEAMANSNNSNNKRMLFLDGISTPQKADNEAPIAKRTRRSHILELTEMNNYGNVEACLNQQTRSPFSVLACNATGIDSLEEQYQTSNVKESDGEGMFLKKDDPKVTALMQQAELLSSLAQKVNADNTEQSMENAWKVLQDFLNKGKENDLLRYGFPDIDFQLEEFRDLIGDLRSSYEDNDPSLRQPDLHDSPASSEYSSGSTIMLDQSGDKTQPSLPDPPQTEHKESGEEFLSTCDVLKNPEENMPTSGEEENFSSPIQVTPLFRSLADGIPSPQFSESERSFLLKTLGIESSSPCPSANPSQPPPCKRVLLHSL >A09g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2351825:2353030:-1 gene:A09g500570.1_BraROA transcript:A09g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVFHQSCRDVVGQWDKIVSEKGSSCEVDVWPGLMSITEDVISRTAFGSSYKEGHRIFELQTELAQLIIQAVQKSYIPGYRYLPTKDNRRIKAAAREAQVILRGLVNKRLSAREAGEAPHEDLLGILLESNLEQAKGNGMSIDDVIEECKLFYFAGQETTSVLLVWTMILLSQHQDWQARAREEVKQVFGDKEPNTEGLNHLKIMTMIINEVLRLYPPVTHVKRAIHKEMKLGDLTLPGGVHISLPTMLVQRDTQLWGNDAAEFKPERFKDGVSKATKSQVSFFPFAWGPRICIGQNFALLEAKMAMALILQRFSFELSPTYVHAPYTVFTLHPQYGAHLILRKL >A01p051910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29097294:29099677:1 gene:A01p051910.1_BraROA transcript:A01p051910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKGIYHLFYQWNPKGAVWGNIVWAHSTSTDLINWDPHPPAIFPSEPFDINGCWSGSATILPDGKPVILYTGIDPKNQQVQNIAEPKDLSDPYLVEWKKSPLNPLMAPDAVNGINASSFRDPTTAWLGHDKKWRVIIGSKIHRRGLAITYTSKDFLKWEKSPEPLHYDDGSGMWECPDFFPVTRFGSKGVETSSFGEPNEVLKHVLKISLDDTKHDYYTIGTYDRVGDKFVPDKGFKMDGTAPRYDYGKYYASKTFYDSAKNRRVLWGWTNESSSVEDDVEKGWSGIQTIPRKIWLDRSGKQLIQWPVRELERLRMKQVNLRNKVLNSRSRLEVYGVTAAQADVEVLFKVRNLEKADVIEPSWTDPQLICSKMNMSVKSGLGPFGLMVLASKNLEEYTSVYFRIFKARQNSNKYVVVMCSDQSRSSLEEDNDKTTYGAFVDINPRQPLSFRALIDHSVVESFGGRGRACITSRVYPKLAIGKNSHLFAFNYGSQSVDVLSLSAWSMKSAQIS >A03p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8251995:8254505:-1 gene:A03p020120.1_BraROA transcript:A03p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEMEKPSKEKELKQPSSSSAPPPSQELSSSVSAGPDWSGFQASSAPMQPHGFVTSSPQPHPYMWRVQHMMPPYGTPPHPYVTMYPPGGMYAHPSMPPGSYPYSPMPSPNGVTEASGNTTGGTEGDSKRSDVKEKLPIRRSKRILSMMKGKNNEPGKNSGASANVAYSKRHVTFLDLGRVILMVRVKEVMQTIKLTQDLVKTGRMRMLYCFANSPEAASENGGSANGLQNGSVGTPLPTVSQKVPIMPNTAPGVPVPPTNLNIGMGYWGAPIPGMHGKVSTPVPGVFAQMSRDGGHSQPWLQDERELKRQRRKQTNREAAQRSRLRKEAEFDQLAQYTEVLSAENASLRAEMNRLKSQREELTSENTSLKDLLLSFPPLEGINMDKDDQEPDTNQTCFTETKFVSYKDST >A01p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:628072:630506:1 gene:A01p001500.1_BraROA transcript:A01p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGALLGSASSSNFHVPFQFRQTRSEPSSFKPNNTLNPSKTRFSSPWFKPVRSLAAKCNMYEYAASAGRDVEAEHPVDDKEFVRWFREAWPYLWAHRGCTFVVVISGEIINGPYFPGTQEQIDQLLSERGREATYVGRYRVTDEASLQAAKEAAGAISVMLEAKLSPGPSICNIRRHGDSSRLHDIGVRVDTGNFFAAKRRGVVDGVDFGATGEVKKIDVDRICERLDGGSVVLLRNLGHSSSGEVLNCNTYEVATACALAIGADKLICIMDGPILDESGHLISFLTLQEADMLVRKRAQQSDIAANYVKAVGDGSITYHEPPNNNNTNGKDTYPHNGRPPFWGNGNHTPIFQNGVGFENGNGLWSGEQGFAIGGEERLSRLNGYLSELAAAAFVCRGGVKRVHLLDGTISGVLLLELFKRDGMGTMVASDVYEGTRDAKVDDLAGIRHIIKPLEESGILVRRTDEELLRALESFVVVEREGQIIACAALFPFFEDKCGEVAAIAVASDCRGQGQGDKLLDYIEKKASSLGLEKLFLLTTRTADWFVRRGFQECSIEVIPETRRQRINLSRKSKYYVKKLLPDRSGISVLRI >A09p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21272498:21273292:1 gene:A09p035490.1_BraROA transcript:A09p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09350) UniProtKB/Swiss-Prot;Acc:Q9SMS0] MASPASTYARTTCICFPKIQNTQFIDRMGAFRNITRRRVRLIHASQGPTKPLPGVDTRIHWENPDDGWIGGGSDTIKPDDTNIFSDDKFAELIKDSFDSHYQFLGVSTDADLEDIKSAYRRLSKEYHPDTTSLPLKTASDKFMKLREVYDVLSDEESRRFYDWTLAQEVASRQAEKMRMKLEDPKEQEFRNYESIPDMVDRLGGRNMDLSDQAMTALTFDVLIIVFAVCCIAYVVVFKDPYY >A03g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5258179:5259924:1 gene:A03g501710.1_BraROA transcript:A03g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGQIASALQKMSNGTNIDEKVLNECLKEITRALLHSDVSFPLMREMQNNIKKIVNLEELAAGHNKRQIIEQAIFSELCAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKARIPFYGSYTESYPVKIAVEGVDMFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATELEESLGILRQSMKMQHAQNREEMHVKRDAKEHNFQRLQQQEHAKVVDISKRAWEFSSFIEFQEKEMKTIMGEREKKMAEMNKRYFEEMLDLEREFDVFGAVHDQERLNDADDADY >A05p007430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2978263:2978973:1 gene:A05p007430.1_BraROA transcript:A05p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWNWFQLRKRRQEVAPDTPKWSSRRFSCSSFKDVHNFLYDDDVPSPELQVLHQPRSPKIDRCTSRTPRLTTKTLFSTDHGGVVLYYTSLRIVRKTFEECRAVRAILQRLRIPIDERDLTMDPRFHDELHAIFGTKNVELPKVFIGGKYIGEAEEINKLNESGELRKMVGELPPSDGRFSENCELCGGWRFVVCERCNGSHKIFLEETGFVNCTICSVEGLVRCSSCFPTDPTIGD >A04g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12035054:12036482:-1 gene:A04g505740.1_BraROA transcript:A04g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMLVLRILCHINRTTSTSGVLNLRSFLRIIVSSVCYATAGAKPAHCFLALVPNGLKETPYSLDWEESDDREDTKEPKLTFNTKTDTTACLGAWYTWDRILQTSLEGLLHKDYKKKKNSNGTWWRQSSRLDSYELLDIGQKHVNRTWCQPPISLDSWKPTSRYLSENTCILYLEQAPEMTIELDNRSILKRSNRSMTTS >A06p014010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6327335:6327772:-1 gene:A06p014010.1_BraROA transcript:A06p014010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein SAUR41 [Source:Projected from Arabidopsis thaliana (AT1G16510) UniProtKB/Swiss-Prot;Acc:Q9SA49] MKHLIRRLSRVADSSTEFSLRRSTFSFRTRRGHHRLHAQPPWSICQARRVNTVPAGHVPVYVGEEMERFIVSAELLNHPVFVGLLNRSAQEYGYAQKGVLHIPCHVIVFERVVETLRLGFNESGEVQELVASLLSGDEVIPGTTE >A05p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8752231:8758965:-1 gene:A05p018780.1_BraROA transcript:A05p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDGGAREMGSSTPWQPVQLVFKPYIPPNESDLTGGTLRATAKKKKSVVVRLTSGLVETYKICNPQFKYRGELNPKRYLTTPSAGVLNDGFDNVNSDLILAVNDDLSSSDSRQRYIVKDLLGHGTFGQVAKCWVPETNSFVAVKVIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFLHQRHLCICFELLDMNLYELIKINQFRGLSLSIVQLFSKQILLGLALLKDAGIIHCDLKPENILLCASVKPTEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGHQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDIMRRMIEILGKQPPDYVLKEAKNVNKFFKCVGSVHNLGNGGTHGGLKSAYMALTEEEFEAREKKKPDIGKEYFRHKNLEEIVKGYPYKINLPEDDVVKETQIRLALIDFLRGLVEFDPAKRWSPFQAAKHPFITGEPFTCPYNPPPETPHVHVAQNFKVDHHPGGGHWFAAGLSPHASGRTRIPMHNSPHFQMIPYSHANSYGSIGSYGSYNDGAVQGSSYGSYGDNGNMFAYYSPVNHTGLYMQNRGGVPMVGTSPDARRRVMQYSHGNGLGTSPSAGNFAPLPLGTSPSQFTPPNPNNQYLAGSPGHHGPTSPARNSCHGSPLGKMAAFSQFNRRQSGGYSGGSQSQDSSLSQAQGHGMDNLHQNEGYSGQFSGSLPHRHLDPGFQNRKQSQGSPGYSTHNISSSSLRSSTGPHIENTEKALSVPDPGDWDPNYRKFCYMNSEELLLQEDSADESVIANAFSRGMQFGSTDASSSRRFNSNPSTSSSSNPVTQRRYVPNQPFSQVEIGSPPSNDPYARFGQLMPGSQFTPHVTQNSPSRLGQQRSNHGRPNAGRATDRNHVNAQLPPSNTSSGGQRSPRSSSYTSGAPWGGRRTNHHVPNVHGRMDYGSIA >A09g517320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51009232:51010098:1 gene:A09g517320.1_BraROA transcript:A09g517320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELHVLAVDDNLMDLTTAENGIRALEYLGLGDSQQADSSSTNNQESSNLKEVPVVILSSENIPTRINKCLASGAQMFMQKPLKLSDVEKLKCHLLNCRS >A03p020380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8351423:8352712:1 gene:A03p020380.1_BraROA transcript:A03p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYGVSKLLLILLTTSIATALPATKPVSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGRHNITIFAPRNDALERNLDPLFKSFLLEPRNLKSLQTLLMFHILPRRVSSPQWPSLSHHHRTLSNDHVHLTVHAPTLRLKVDSAEITRPDDVIRPDGIIHGIERLLIPRSVQEDFNRRRSLRSISAVLPEGAPEVDPRTNRLKKPPPPVPAGAPPVLPIYSAMSPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNAVRRFGKVKYDSLRFPHKVLAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPVEETPATEIKPAAPVVKKVAKSRRGK >A06p043480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23404480:23405000:1 gene:A06p043480.1_BraROA transcript:A06p043480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVEDTWQSQNKSTTTTLFSRCLTYTILVVSGRETSVKYRARSIRSRVYFISSAMEKSVDLVPALVTFLAAAHVIALVYWIYRLASDRQPPKQKPQ >A01g503460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10883907:10884158:1 gene:A01g503460.1_BraROA transcript:A01g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVIIGLELHWMGDGPTGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSDCSSGRDF >A02p008330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3468071:3489017:-1 gene:A02p008330.1_BraROA transcript:A02p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQEELLSGVLDHRDMKIPNKQEAIFRLMHQRVLVVIDCVSFVELQALQKLVQQWYLRFGSKVIVTNADLYTFTDNGIEQIYKVTYPSREEALQIFSYAAFGQSSPPRGYLKHAVEVTKLIDPFPLALKILGSALRGKNKEEWTMAPAKVNTCLVDTDIQKATRFAHDGLSKKHKRLFYLLTRETTSSSKNLNNAIYTLSGSDWDVEKGLQTLADMALISISEGGEIMMHGLVQSMSTRLRWNRAGEAEMISKIVIDVSNELPSTDFDQLVGVEAHLEKMRSVICLDSDEVKIVGIWGPDGIGKSTIVRALYNNISSNFQLKFYRERGPTNSMGLLSEVLSGMLDHRDMKILDLQDAQYRLTRQRVLLVLDDVASQHLQVLQNLFRSLLFGSKVIVVNKDIETFTHNGIEQIYKVPYPSSEEALQIFSYSAFGQSSPPRGYFKHAVEVSKLIAPFPLGLKVLGSALRGKSEEEWTTAPAKLRAYLGDKDIEKTIRFAVDGLSEKHKNLYFSLTSASNRGKNLKDSIYLLAKGDDWDVEKEIQTLADMALIYISSEGEIMMHDLHENIFDGSNIITDEIGSGDAILNPIFSTLDPGKSAWGSIYDNCTWCGNYIPSSLPNPSSTVFYRCSICNFCLDTSCARNNPPLTIENPKGHHHSLVFFPRPLLLPCDACGLVDRSAPSYACFQCNYMVHQLCVDLPRVIKITRHSHRLSYSPYLLPPPNSLCRICYKTVDIKYGQYSCKDEDCSYILHSKCATHVMVWDGKELEWEPEEVVTEDIAPFKNVGDELIEHFGHEHHLKLEKYDSIRDAKKQCQACVLPIVLHDFYNCIQCDYFLHIVCAGLPRKLDHALHNHSIFLDPFPPPNDSDFNHLQCSACSRTSSGFKYKCYEKDCKIHWFKIDVTCCLVPEYSTQKFHEDPIFIAPYNYDHEIYPCNGCKRRLTKTRLQCTLCEFSICYECATIPEELHYKHDEHPLTLCYGEDTDGKYWCEECEKQVNPSEWFYTCNKCCITIHRTCLFGFYVYLKPGHTLKYNRATTVEVLGNSISTRPICSRDNDAVMIERIVTNVLQELNWCTPSKDFKDLVGLEAHVSNLNSMLCLDSNEVKIIGIWGPAGIGKTTIARALYNQLSSSGDDFQLNLFMENVKGVQRRNELHGYSLKLHLQERFLSEIFNQRTKISHLGVAQERLKNQKALVVLDDVDGLEQLNALIDTTEWFGYGTRIIVTTEDRQLLKAHGINQVYEVGYPSQGEAFKILCRYAFGDNSAPKGFYDLATEVTKLAGDLPLGLSVLATDKSHVYGFEFFQKHQRHSESLRSNESGETVSQALPTNINLESLSVLNLRGCSKLKRFPCISTQVQFMSLGETAIEKVPSLIRLCSRLVSLEMAGCKNLKTLPPVPASIEILDLSKTRVEASEAQMISKIVKDVSNELPSTDFDRLVGVEAHVAKLKSMIRLDSDEVKMVGIWGPAGIGKTTIAKALYNQVSSNFQLKFYKEIFKGKYEVHNLERYDLQNRLKKELLSGILDHRDMKIPDLGEAEERLKHQRVLLILDDVFLHDLKGLRDVIHGLRYGSKVIVTSEDIDTLRECGIHQNQTYRVAFPSSEEALQIISYSAFGQRFPPRSYLEHADEVAKLVSPFPLGLRVIGSSLRGKSKDEWITALAKLKTCHGDKDVETAIRFAYEGLSDKQKTLLYLLTDSISSGENVNNAIFSLSQSDWDAEKGIQTLADIAFISISGEGRILMHYLKAKVLIFLLLLFVESMAGPDTTAGSSRHEKRKEARLQKNQKKHESWLQRQKLQKEKRVSASSTSVQTKTDDVIKSATYKETEKHVKSVSPGGNKDCKKSFTQKKSEVRVKPKEKKMQRGHKTKDLNKPRKKTKFEEYLEMETQSASLSREQDVELERKLAKKLKVKNGKLRGVDDGMNDLFEGLPSVLDSMESELGDSRKKKGKRKRPEEKQDYEEDFDLGESDFSDEDSEEEPKRKRDRKHRKKKSLDEEVETHPMEITDDGESETVEYDEKVESPLRKPNPESGVKYVAPHLRSQARSESEEQAKLRTRVKGLLNKMAESNVETITSELSTLYRSVARSVSSQIFCEEVLATYARGNEQYAVFAAFIAGMACQVGMDFSAQLIASLAKSFEDEYQKEDSLSLNGITLLLSYLCLLGVCSSDLIYDFLMTLANRLTEVDACTILIVLDSCGMKIRSDDPVAMKTFIISIQNKTNEIKTSPDCKTDINKFTMEKMLETIAAIKNNKLRAKEDSVQNTRVKKWLQKLRVEEVLLRGLTWSKLLDTEKKGQWWLSGDLAVKTNHAEDVAQTMDAEVVEAQKMLKLADAQRMNTDSRKAIFCVIMSSEDYIDAFEKLLRLDLPGKQDREIMRVLVECCLQEKVFNKYYTELETMSLQRSMHLAKFVAEMIVSFNLSLAVLKCVDLANPVQLTPKRIMHFRMLFEAIFEHTEKLVWNLFTRIAVNPDYEALRDGIKFFMKEYVVKANKTIYGKFRKAKEALNNSDGSLLIGENKKLQLHGFDW >A05p009320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3855708:3860157:1 gene:A05p009320.1_BraROA transcript:A05p009320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIYQLALAALFGASFVAVSGFFMHFKALNLVLERGREKKDNNNSNTEGGDSPQHQSQSLSRRRSQVRRKGLSPASLPDATPFTAATDGGGGGDAGRTNGHVCVDEIPPGLPRLHTPSEGRSSVHGTNSIRKTGSFVRPISPKSPVASASAFESMGESDDDDNLTDTAGLDASYLQTNGDLPADATEEQISMAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLNVPLEVPTSDEVEAYKCLQECLELRKRYVFQETVAPWEKEVISDPSTPKPNLEPFAHYPQGKSDHHFEMLDGVVHVFPNKDVKEELFPVADATAFFTDLHHVLKVIAAGNMRTLCHRRLVLLEQKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEITKQVFSDLEASKYQMAEYRISIYGRKMSEWDQLASWIVNNDLYSENVVWLIQLPRLYNIYKDMGIVTSFQNILDNIFIPLFEATVDPDSHPQLHVFLKQVVGFDLVDDESKPERRPTKHMPTPAQWTNAFNPAFSYYVYYCYANLYVLNKLRESKGMTTITLRPHSGEAGDIDHLAATFLTCHSIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPVFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKDYYKRGPDGNDIHKTNVPHIRVEFRDTIWKEEMQQVYLGKAVISDEVVP >A01p048660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27404712:27407036:1 gene:A01p048660.1_BraROA transcript:A01p048660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELKRTLTRRYGALQLWEIIVIALFAAFILILALSVWLSFRKKSRKSNFTQLPLTQSHHLPEEIKDISVDHVLSNNNCTSHQTLDEKFSERDIENGDGNYSGSLEKKTVVGSHVSPATTPSAAAHSPLSGLPEGSHIGWGHWFTLRDLQLATNHFAKENIIGDGGYGVVYHGTLANKTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCVEGTHRMLVYEYVKNGNLEQWLHGDMNHQRHLTWEARIKVLVGTAKALAYLHEAIEPKVVHRDIKSGNILMDENFDAKLSDFGLAKLLGADSSYVSTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYDRPKEEVHMVEWLKLMVQQKQFEEVVDKEIEIKPSKSELKRALLTALRCVDPDADKRPTMSQVARMLESDEYPVMSREERRRRRSQNTELPRESTEANQDEIVTEAKT >A09p046890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40747552:40750777:-1 gene:A09p046890.1_BraROA transcript:A09p046890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWEGSSLTRNPFAWIREALTSSEQDVVNLSGVDTAVYFVFLSTVLGIFALSSLLILPILLPLAATDKSIKNSRDVTDTTSKGTFSQLDNLSMANITRKSSRLWAFLGAVYWISLVTYFMLWKAYKHVSTLRAQALMSADTIPEQFAILVRDIPSPPNGQTHKEFIDSFFRDIYPETFYRSLVVTENRKVNKIWEGLEGYKKKLARAEAIFAATSNRPTNKTGLLGLAGKKVDSIEYYTDLINESVAKLETEQKKVLAEKQQTAAIVFFTDRVTAALAAQSLHSQMVDTWTVTEAPEPRQILWENLNIKFFTRLIRQYLIYFIVAITILFYMIPIAFVSAITTLANLQKAIPFIKPVVKITFIRTVLESYLPQIALIIFLAMLPKFLMFLSKSEGIPSRSHAVRAASGKYFYFSVLNVFIGVTLAGSLFDNLKALQQKPNSIVTVLATSLPKNATFFLTYVALKFFIGYGLELSRIIPLIIFHLKKKYLYKTEAEVKEAWYPGDLRYATRVPSDMLILTITFCYSVIAPLILVFGVIYFGLGWLILRNQALKVYVPSYESYGRMWPHIHTRILAALFLFQVVMFGYLGVKLFVWATLLVPLIVISLIFGYVCRRKFYSGFQHTALEVACRELKERPDLEEIFRSFIPHSLSTHKPEDHQFKGAMSRYQDYAAISAA >A06g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18220722:18221547:-1 gene:A06g506510.1_BraROA transcript:A06g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYHGLNHIHFFILHNQVPSWKKLSFAISFLRGEARAWWKVEEEARWSSKPNYQWEETEFNFGLGESNLQYPPQEVPLSMTVKGVPDQSSTSFEVEYALVDQGESVKTLTCETFIRHLLYCQFNFVEYLRAVRGLQQVVFEPVGISCVFERNNNISGLKTDSFKLILGGSFTLEDQNGRKNLLEGKETRCSDKRGDTVGNMFLSSSISDQNMMRRAKHDLLVVKENPKLENEYGGINALVQLT >A05p044210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26563837:26565346:1 gene:A05p044210.1_BraROA transcript:A05p044210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase MMS21 [Source:Projected from Arabidopsis thaliana (AT3G15150) UniProtKB/Swiss-Prot;Acc:Q8GYH7] MASASSSGGVAGRLRNASLVLVGDNDSTISDIRKAVTLMKNIAVQLEKDNQTEKVKDLENSVAELLDLYGDCAHRSSAIQSVANGYQPGEQLTDFKKLLDDEFTKLKATTPSVPENHHLMRQFREAVWNVHHAGEPMPGEDEEDIVMTSTQCPFLNVKCPVSGKPLTELTDPVRSIDCKHVYDKASIMHYIATNPNAKCPIAGKDTSFAQPPYRHISLVPPPSWFLIHMAHLASSGCRGKLKNNKVVCDPMLKFEIEELRTMNKQSNRDEVIEDFTNADDED >A04p019050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11399695:11399904:-1 gene:A04p019050.1_BraROA transcript:A04p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADWGPVIVAVALFILLSPGLLFQLPARTRVMEFGNMSTSGISILVHAIIYFCILTILVIAIQIHIHF >A01p027030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19167016:19168552:1 gene:A01p027030.1_BraROA transcript:A01p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKHNKNPTTLLRLVSTLVGCVFMIHLIMLYRRNYSVDNLEVSSQLLIHHPIVRELERVEEENIHMPPPRKRSPRAIKRKPKRPTTLVEEFLDENSQIRHLFFPDMKSSFGPTKDGGNNNTLHRFFPGKIWLDTEGNPIQAHGGGMLYDEKSKSYYWYGEYKDGVTYHSHKKGAARVDIIGVGCYSSKDLWTWKNEGVVLAAEETDETHDLHKLNVLERPKVIYNSDTGKYVMWMHVDDANYTKASVGVAISDTPTGPFDYLYSKSPHGFDSRDMTVFKDDDNTAYLVYSSEDNSVVHIGALTEDYLDVEPVMKRIMVGQHREAPAVFKHQNTYYMITSGCTGWAPNEALAHAAESIMGPWETLGNPCVGGNKIFRSTTFFAQGTYVVPLPGVPGGFIFMADRWNPADLRDSRYLWLPLIVGGPADRPLESSFGFPMWSRVSVYWHRQWRLPSGGKEIA >A01p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16044282:16045192:1 gene:A01p040060.1_BraROA transcript:A01p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLLLFLVFVFDLTAFGLAVAAEQRRTTVGPLYLSLWQVVNESGDSSYLASSQLFIMVASRYRLALSGSRSYPIVLFITTWVFFFIAEVCLLAGSVRNAYHTKYHVYFGNTAPSCRSLRKGVFAGWSCVHKQGLSPSFTTCA >A03p025690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10807335:10809025:-1 gene:A03p025690.1_BraROA transcript:A03p025690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNQMEGGGKERRVVVNTYYDQQQEKMNSPFEETNVLTFFSSSSSSLSSPSFPIYNSSSTTNTSYAPLGFSNNLQGGGPLGSKVVNDDQDNIRGGINNDVHYNSWWRSSSESGEMKNKVKIRRKLREPRFCFQTKSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHIPSDDSPERDHCLSSF >A03p030960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13014203:13019013:-1 gene:A03p030960.1_BraROA transcript:A03p030960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPALSHQTPPPSPAMTSPSPSSSTVSSKRSKTKSEEAFKPSFADLPSSLMEIIMSRLVLKDNICASAACKRGHSLKLVDPFQWKSYTLELPEIAKSTVCYSREGWLLMHRSNSKDIFFFNPFSRELISLPKFAFPFNEIAFSYPPTSDNCVVVALNFNVQHRVTTISTCHPGATEWIASVFPANYQQFSMKTKIVYHNDRFYCFNVGIERLFSFHPSSRTWKSYPHANLDPTLTQDWYKKEHFLAETKGELFLMLTSLNEEPMVYKLVSWSWKNMSPSELDGLTFFVSFYNSELGTNLPWVRNNVCFSRFGYNRRHVSYSFDQSRYNPSIEWNNELELCPPQSLWINPPNNVLDYLFKTQSEEALKPSFSDLPSSLLEVIMSRLVLKDNIIASASCKSWCEAALSVRVVEKHPWLLSFSKRSSLFELHDPVQSKSYTLNLPELAESTVRYSSHSWLLMHASTSKHLFFFNPFTRELISLPKSTLPFQAIAFSSPPTSDNCVVVALNFTLRHHYVTISTCHPGATEWITEAFPAALLYYMKSKLVYLNDRFYCFTFGGNSLYSFYPSSRRWVCHEDVYHYHQLQGGWERREAFLAEKKGELFLVFTCGKEKPVVFKQGYLRWEKMSSTEPDGFTIFFSSYHSQMRTNLPWMRNNLCSLRFGNKRMRCLSYSFDKSRYNPHKGSLSWLELVPRPKCLWIDPPDNVLDYLG >A09g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7605649:7608320:-1 gene:A09g502230.1_BraROA transcript:A09g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACYLTGLVEGCNPSPTISPPQFTCERRSGLSEFSRLSREEKGKDIADSPSPAKDTDGNPLDEFELIHRDALRDTENMSLSQRLLVADAHRQFREEELGQIEDEEDVEGEGRLEDDVGSGSEALRTVVGSRRRACRGVEAFLICRVKILRFLNLKLLSFAVDWESRLPCVIGPRKSRLSLFTRKQQKLLNKAREMEGVPNLSALLKGRLQLLSKKSAPVDRSETTDSGDVGASGDRGASKEGASNSNDKGVRVEPSAPSPKKKKKDKKTTEKPADETSPLLSASLATSTKGQGTKKKKKKRARNEATSRDEGTAMDDAIPVKRSKKKTKKKAAGTEPGSSVVAPSPIDAVREDEATPNAPLEKKRKALVQRSGSGSESAGGEKSVPGSSTSRGPRLEGSLPKKGRIE >A06p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21400320:21403265:-1 gene:A06p039670.1_BraROA transcript:A06p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEMEKKKTKGSNEKTESEDPSPRCVLEIPATSSDSDNSSSCSSCSPDKSSSSPLSTTATNGRQWNKMIESIKKKSIRRFSVIPLLASYELTRKNMRRKQPKLSPLPDNVFDCDQFLVAKPSWRNFTYDELVAATDGFNAEKMIGKGGHAEVYKGVLPDGEIVAIKRLTRHANEAEERVSDFLSELGMIAHVNHPNAAKLRGFSCDRGLHFVLEYAPHGSLASLLFGSSEEECLDWKKRYRVALGVADGLSYLHNDCPRRIIHRDIKASNILLSQDYEAQISDFGLAKWLPENWPHHIVFPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRRAVDTASRQSIVMWAKPLLEKSSVEEIVDPKLGNEFDETEMKRVMQTASMCIHHVAAMRPDMNRLGQLLRGGGDDRLAETQQISRRKSLDGCDLQDHTSSSYLNDLTRHRQLLME >A04p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18582740:18583338:-1 gene:A04p031570.1_BraROA transcript:A04p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPRYKDNAQSSLPSPSSISSPTRHVTSMSERTTFFQTDASSFKQVVQMLTGSSKKPNPNNKPKPRYSIPPVKASPSKKLSSSSSGFRLYERRNSKKPLKIHPDPGLSFSGSSPDTPLILVPFIQTGSLNKSPSSDAEERAMKEKGFYLHPSPSTTPRVLEPRLLPLFPLTSPRVSDSVAASTTL >A04p028490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17109381:17110752:-1 gene:A04p028490.1_BraROA transcript:A04p028490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSFTDLLASSGVDPYEQDEDCLGGFFPEETGSGLPKFKTAQPPPLPISQSSRSFAFSELLDSPLLLSSSHSLISPTTGAFPFQGFNGSDFPWQLPSQTQTQPQNAASALQETYGVQDLQKKLEDPVPREGKVPSYMVSRNSNDGYGWRKYGQKQVKKSENPRSYFKCTYPNCVSKKIVETASDGQITEIIYKGGHNHPKPEFTKRPSSSARRMFNPSSVVSEQSESSSISFDYGEVDEEKEQLDIKRLKREGEDEGMSVEVSRGVKEPRVVVQTISEIDVLIDGFRWRKYGQKVVKGNTNPRSYYKCTYQGCGVRKQVERSAEDERAVLTTYEGRHNHDVPTAPRRS >A05p022490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10761910:10763050:1 gene:A05p022490.1_BraROA transcript:A05p022490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLRVERIVPRISSTSTCLYTPPRGSFLFPFLSSLRSGPFRAVFRLERLYYLSKQRFRNDIDSEEGSNFSYGGGSMVEAVRGSIQSRRRELRGRGEGRLVDPTRQTGLLDDLLDPNRPFGELDGAFGPTRPFGELDDGFFVVCDPFFRGLE >A08p011310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:335506:336743:-1 gene:A08p011310.1_BraROA transcript:A08p011310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGVKPGREEFNNHVKKLQHLWVELQGLRSHVDGDTTQEQETVLKLLANMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLSMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEYSGVLKEQEKGRGADDRITRKEWRGTRERLERETQNSIN >A01p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1505369:1509679:-1 gene:A01p003390.1_BraROA transcript:A01p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease XCP1 [Source:Projected from Arabidopsis thaliana (AT4G35350) UniProtKB/Swiss-Prot;Acc:O65493] MEYRKIKDEDDSDIESSLKGKSHSVASSNVALGGGSNERTNWKRKGVVTCALTILTSSQSILIVWSKRAGKYEYSVTTANFLVETLKCALSLLALTRIWKNEGVTDDNRLSTTYDEVKVFPIPAALYLFKNLLQYYIFAYVDAPRLSDIEEPQHHKYWCLVQNYTEEKVGCFIHPNRFHSLPVNTCSGNQDSVYSVRFSNRLSEIQWAGFILLCCGCTTAQLNSNSDRVLQTSLPGWMMAIVMALLSGFVGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVANKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGSMVVSVSVYLHSAGKLRYETSLAIMAFSTPSSLAKLSLLVAISASALICSALARDFSIIGYTPEHLSSTDNLLELFESWMSEHSKAYQSVEEKVHRFEVFRDNLMHIDQRNNEISEYWLGLNEFADLTHEEFKRKYLGLAKPQFSRKRQPSGNFRYRDVTDLPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGIHQITTGNLSSLSEQELIDCDTTYNNGCNGGLMDYAFQYIISTGGLHKEDDYPYLMEEGICQEQKEDVEVVTISGYEDVPQNSDESLVKALAHQPVSVAIEASGRDFQFYKGGVFNGKCGTDLDHGVAAVGYGSSKGSDYVIVKNSWGPRWGEKGFIRMKRNTGKPEGICGINKMASYPTKTN >A02p057760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34746768:34748591:1 gene:A02p057760.1_BraROA transcript:A02p057760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLREFALRTGDGSPALDESNGEELMHVEPSVAVALGNRSLESPGTLYITSRKLIWLSDADVAKGYAVDFLSISLHAVSRDPETYSSPCIYTQIEVVEDEDDDEDETESNGVLDLSKIREMRLVPSDSTQLDTLFDVFCECAELNPDPVEEEEEESGHNWVFSADQMVVGGGGEEDAEWQISQSPTSVIGHSNGDESIAQPMLELQINDQRFDDAEEMVHKNETKDH >A04g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11227123:11228691:1 gene:A04g505140.1_BraROA transcript:A04g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPIYRCLDADIDSLPHRLPHNASIQDGTSIVKLHGYADLVPSHVSGSARGRIEKIHTFIMGKQTAILTGKASSPLLFRHVSPGLGDSTMQFRLLHHWEARKNVKGGPDILLGIEMLMIDEEMLLATLSWLMASLSMSARF >A10p010630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:6855036:6855416:1 gene:A10p010630.1_BraROA transcript:A10p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKKNEKNYQRSNGQLVETNELDRPAGSSAGFNSAVHRAGSTTGFISAVRRAGSTTGIISAVRRAGPVLFGERPSWINHRIQFGERPSWIEQNPSSPCHCSSSPEIVPNLLFFCLDRRYLGTL >A10p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20008447:20010380:1 gene:A10p034380.1_BraROA transcript:A10p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQAEKRSRRGLLGFVFGRRGLWSKKCTADNGNKTPMRSSNASAPCTSNIQFTKSPGNELNSKKLQEYKVSPEPIQNQNQTQIQRPISKPLSNQYPNNNPGPVQQQARKVVPRESIGLSGELESMITDNQKAKGMMFGNLGNLKQPGTTAVGNQTTVQNSGYGRKTMEGERQTPVRPISVSNNQDQSGSLCRAISTRMDPETLKIMGNEDYKNGNFVEALALYDAAIAIDPKKAAYRSNKSAALTALGRILEAVFECREAIRMEPHYHRAHHRLANLYLRLGEVENSIYHIKRSGPEADQEDILKAKTVQMHLNKCTEAKRLRDWNNLIKETKNTIASGADAATQVYALQAEAFLKSYRHQEADDALSRCPVFDVEMNTKYYGPIGYAGFLVVWAQVHMSLGRFGEAVEAIQLAAKLDRNNREVSMVLRRVQAVTAARSKGNDFFKTGRFQEASAAYGEGLDHDSRNSVLLCNRAACLFKMGQFDRAIGDSTAALAVRPAYAKARLRRADCNSKLGNWELAIGDYEILRKETPEDDQVIRGLMEAQNHLVKRRGHENL >A04g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20654122:20655242:-1 gene:A04g508090.1_BraROA transcript:A04g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFDDSFVIVGDDASESVPVSASLDDSIDDVFAAPSSDYAAYSNGDGPILPPPSEMESDEGTALREWRSKNYWKAIAELVPKEVPALEKRRGKKEQEDAKKATVSVIQGPKPGKPTDLARMRQILLKLKQNPPAHLKLAPQQPPAEAAAPPKNVPETKPTEAVAAA >A05p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4126482:4128672:1 gene:A05p009880.1_BraROA transcript:A05p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFNRLSVAHVKIKPISSLHGNKKILIQKLKGDVARIRETIRCVSHSLSRHRLPNSTQMAATTTFFRPLLPSNSYKSRAVVDSPFVSVPRSSIPSSSPSLQLRSLVSDDRRKSNGKLRVSVIVSAAATTEPLTVLVTGAGGRTGQIVYKKLKERSDEFVARGLVRTKESKEKIGGEDEVFIGDIRDPSAIAPAVQGIDALVILTSAVPQMKPGFDPSKGGRPEFYFDEGAYPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTNINHPLNSIGNANILVWKRKAEQYLADSGIPYTIIRAGGLQDKEGGIRELLVGKDDELLETETRTIARADVAEVCVQALQLEEAKFKALDLASKPEGTGTPTTDFKALFAQVTTRF >A03p013470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5295924:5298238:-1 gene:A03p013470.1_BraROA transcript:A03p013470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVLVLLPLVLFLLAYKFLFSTKTKRYNLPPGPTPFPIVGNLHLVKPPVHRLFRNFAAKYGEIFSLRYGSRQVVVISSLPLVRECFMGQNDVILTNRPHFLTAKYVAYDYTTIGTAAYGDHWRNLRRICSLEILSSHRLTGLLSVRRDEIQRLLTRLSRDYNGHVVELEPLLADLTFNNIVRMVTGRRYYGDQVHNEEEANLFKKLVTEINDNSGASHPGDYLPILKVFGHGYEKKVKALGEAMDTFLQRLLDDCRRDGESNTMLSHLLSLQLDQPMYYSDVIIKGLMLSMMLAGTDTAAVTLEWAMANLLNNPEVLKKAKSEIDVKIGQERLVDEPDIVNLPYLQNIVSETFRLCPAAPLLVPRSPSEDLKIGGYDVPRGAIVLVNSWAIHRDPKLWDEPEKFMPERFEEKEAANTNKLMMFGNGRRTCPGAALGQRMVSLALGSLIQCFDWEKVNGEKIDMTENPGMAMRKLVPLRAVCYQRPVMASLFA >A10g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5843354:5844713:1 gene:A10g502230.1_BraROA transcript:A10g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWFGSERFFVGLEKTSKLGYSQMDGMENLRFSSPISRLGGAHPLVEARLLRFWEAKNVKRGGELMWMDLLMVDVNDEKKPTTRSMCDMLFIAAIPRHLHHRQLIDREKIDVKGRLTRLFLDP >A01g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14537299:14537639:-1 gene:A01g504910.1_BraROA transcript:A01g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLTCLGCRNKKESKSYKEITETLGVDDLSEIIFVTDVYQEATAAKTAGLEAIILILPGNVSFPENHELKTVSSFFQI >A03g500710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2232801:2233148:1 gene:A03g500710.1_BraROA transcript:A03g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A03p054540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23444004:23449179:1 gene:A03p054540.1_BraROA transcript:A03p054540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-glycoprotein 9 [Source:Projected from Arabidopsis thaliana (AT4G18050) TAIR;Acc:AT4G18050] MKKEEERDEKLEKNGGENQKVSFFKLFSFADKTDVALMVIGTISAVANGVTQPLMTLIFGQLINAFGTTDPDHMVKEVWKVAVQFIYLAVYSCIVAFLQVSCWMVTGERQSATIRGLYLKTILRQDIGFFDTETTTGEVIGRMSGDTILIQDAMGEKVGKFLQLVATFLGGFAIAFIKGPELAAVLLGCIPLIVIAGGAMSLIMSKMAGRGQVAYAEAGNVVEQTVGAIRTVVAFTGEKQATEKYESKLEIAYKTMVTQGLISGLGLGTMLAVIFCSYSLAVWYGAKLIIGKGYNGGQVINVIFAVLTGGMSLGQTSPSLNAFAAGRAAAYKMFETISRSPKIDAYDMSGSVLEDIKGDIELRDVYFRYPARPDVQIFAGFSLFVPNGTTMALVGQSGSGKSTVISLIERFYDPESGEVLIDNVNLKNLQLKWIRSKIGLVSQEPVLFATTIKENIAYGKEDATEEEIRTAIELANAAKFIDKLPQGLDTMVGEHGTQMSGGQKQRLAIARAILKNPKILLLDEATSALDAESERIVQDALVNLMSNRTTVVVAHRLTTIKTADAIAVVHHGKIVEKGTHDEMIQDPEGAYSQLVRLQEGSKDKATESEVPETSSGLERSGSHRLSSAMRRSFSRDSSSSRHSFSLASNIFIPAAVNVNETDENEQETRTVRHKKVSLKRLANLNKPELPVLILGSLAAMAHGTLFPIFGLLLSSSINMFYYPAEKLKKDSRFWALIYIALGVANFVVIPVQNYLFGVAGGKLIKRIRSMSFDKVVHQEISWFDDTANSSGAIGARLSTDATTVRSLVGDALALIVQNIATVTAGLIIAFTANWILALVILAVSPFMVMQGYVQTKFLTGFSADAKMMYEEASQVANDAVSSIRTIASFCAEDKVMDLYQQKCDGPKKQGVRLGLVSGAGFGSSFFVLYCTNALCFFIGALLVQQGRATFGEVFKVFFALTITAIGVSQTSAMAPDSNKAKDSAASIFDILDSKPKIDSSSDEGTTLQNVNGDIEFRHVSFRYPMRPDVQIFRDLCLNIPSGKTVALVGESGSGKSTVISMIERFYNPDSGMILIDQVEIQTFKLSWLRQQMGLVSQEPILFNETIRSNIAYGKTGGATEEEIISAAQAANAHNFISSLPQGYETSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLTTIKNADVIAVVQNGVIAEKGRHETLMKISGGAYASLVTLHMTSN >A07g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11353896:11355759:1 gene:A07g505230.1_BraROA transcript:A07g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEYDSLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDNPNVGMLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNCVIRGAGDGILVPVPQYPLYSATISLLGGSLVPYYLDESENWGLDVSNLRQSVAQARSQGISVSAMVIINPGNPTGQCLSEANLREILRFCYNEKLVLLGDEVYQQNIYQDERPFISSKKVLMDMGSPFSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNFPPRVVEEIYKVASIALSPNVSAQIFGMLRD >A08p039140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22564964:22567924:-1 gene:A08p039140.1_BraROA transcript:A08p039140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYILPIHIQKYAGTRVGIDAYSWLHKGAYSCSMELCLDTDGKKKLRYIDYFMHRISLLQHYEIIPVVVLDGGHMPCKAATGDERQRQRKANFDAAMVKLKEGNVGAAVEFFQRAVSVTSSMAHQLIQVLKSENVEFIVAPYEADAQLAYLSSLELEQGGIAAVITEDSDLLAYGCKAVIFKMDRYGKGEELILDNVFQAVDQKPSFQNFDQELFTAMCVLAGCDFLPSVPGVGISRAHGFISKYQSVERVLSVLKSKKGKLVPEDYSSSLMEAVSVFQYARVYDFHGKKLKHLKPLSQNLMDLPVEQLEFLGPDLSPSVAAAIAEGKVDPITMEAFNRFSVSSRQLKTPVQSFKEQEKRSSFLLLPLSESEERINLKRSADEAIIGPESVLEEPKYSKQDLDLHKLVLQQPNKDHMIIPTSNPSLAPDNNPFKIRKTDEINMEEYGLQELKVSFETNGEAMDVTSSLNSNEPDCSESQKEGTIDLSKLDDSLIKQDSEKNREKESSVDSEDVVEIQGHVNITTKRVRGAKPRAESFKVKTSFRSSEDKKVKINKKSSILDFFHRL >A02p055750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33727671:33731647:1 gene:A02p055750.1_BraROA transcript:A02p055750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFLIFSVKVHPLAPLHKICLLSCGAAAGLGAAWNVADVQRGSSVVIFGLGTVGLSVAQGAKLRGAAQIIGVDINSSKAEQAKIFGVTDFINSNDISEPIHQVIKRMTGGGADFSFECVGDTGVATTALQSCSDVCFLVQHLTLSPCEALFLRPLLLLLLLSLGYIHFVLEAKQLAITMSSSSSYEQPQVITCKAAVAWRAGEPLVMEEVEVSPPQPLEIRIKVVCTSLCRSDLSAWESQSLLPRIFGHEAAGIVESIGEGVTEFVKGDHVLAVFTGECGSCRHCISGKSNMCQVLGMERRGLMHSDQKTRFSIKGKPVYHYCAVSSFSEYTVVHSGCAVKVHPLAPLHKICLLSCGAAAGLGAAWNVADVQRGSSVVIFGLGTVGLSVAQGAKLRGAAQIIGVDINSSKAEQAKIFGVTDFINSNDISEPIHQVIKRMTGGGADFSFECVGDTGVATTALQSCSDGWGMTVTLGVPKVKPEVSAHYGLFLSGKSLKGTLFGGWKPKSDLPSLIEKYMNKEIMIDELVTHDLAFDDINEAFELMREGKCLRCVLHMSK >A04g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20691992:20700276:-1 gene:A04g508100.1_BraROA transcript:A04g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAFFWWAIKGPCLPHVLAGPTKRWTGFSPTHPVPREEILRPTYVIFDAEDFSIPDPLLLKKNIVTAIKEEGYRVLIELHLRSDGYSRLFTGDFVWLNESATLWSQANNNNLGNSLLTKKLAFSKLLHVAEEGGGEEERQRLLAAETQEEPFVVTTMNATEAMNCKVNVRGDDVDIEIPDTAHQISSDSWFQVAFVLTTGINSAYVLGYSGTVMVPLGWVGGMVGLLLATVISLYANTLVAKLHEFGGKRHIRYRDLAGFIYGRKAYHLTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHTIKLPHCIAIAGLICAVFAIGIPHLSALGVWLAVSTILSLIYIVVAIVLSVRDGAAANLVFAFNTGMLPEIQATVRQPVVKNMMKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLNSVNGPLWVKALANISAILQSVISLHIFASPTYEYMDTRFGIKGHPLALKNLVFRIMARGGYIAVSTLISALLPFLGDFMSLTGAVSTFPLTFILANHMYYKAKNNKLSPLQKLWHWLNVGFFSLMSVAAAIAALRLIALDSRNFHVFADL >A03p052810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19924708:19926007:1 gene:A03p052810.1_BraROA transcript:A03p052810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSEDTKQSTADMTAFVQNLLQQMVGFFNSHVFRSWNHSFNRINELEQSINDLRAEMGVEGTPPPASKSGDEPKTPAS >A09p076520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57219802:57222232:1 gene:A09p076520.1_BraROA transcript:A09p076520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEFSTFILFLSVFVSSANAKATLSISPKTLSRSGDSILIKWSNLDSPSDLDWLGIYSPPDSPHDHFIGYKFLNVSPTWQSGSGAISLPLTNLRSNYTFRIFRWTQSEINPKHKDHDQNPLPGTKHLLAESEQVGFGSAGVGRPEQIHLAFEDKVNRMQVTFVAGDGEERFVRYGEGEDALANSAAARGIRYEREHMCNAPANSTVGWRDPGWIFHTVMKNLNGGVRYYYQVGSDSKGWSEIHSFIARDIYSEETIAFMFGDMGCATPYNTFIRTQDESISTVKWILRDIEALGDKPALVSHIGDISYARGYSWVWDEFFAQIEPIASRVPYHVCIGNHEYDFPTQPWKPDWGTYGNDGGGECGVPYSLKFNMPGNSSEPTGTKAPPTRNLYYSYDMGSVHFLYISTETNFLKGGSQYEFVKRDLESVNREKTPFVVVQGHRPMYTTSNEVRDAMIRQKMVEHLEPLFVENNVTLALWGHVHRYERFCPISNNTCGKQWRGSPVHLVIGMGGQDWQPIWQPRPNHPGLPIFPQPEQSMYRTGEFGYTRLVANKEKLTVSFVGNHDGEVHDSVEMFASGEVISGRKEETIKTVPVSATLVGKPESDVLWYVKGAGLLVIGVLLGFIIGFFTRGKKGSSSSDNRWIPVKNEET >A03p003960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1701011:1702759:-1 gene:A03p003960.1_BraROA transcript:A03p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRGILGLQRAVSIWKESNRLAPSLRSFSTTQGASTSTTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARREAYAAGLLGKNACGSGYDFDVYIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFSSFGRKNNAGTKLFCISGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLIPKNICEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLHEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLQAAA >A09g512110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35458849:35463885:-1 gene:A09g512110.1_BraROA transcript:A09g512110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNMQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRTGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEDLAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRAPGEDEVKSSINANASDVEARHKSEALATTQPEHPENSIERLIRTKYETTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPGKYKYGHLGLNEIQIQRATLGRKQIKHRTHPRDDPLPRHHRENRSEPPRYQGKPGWNPKTLNPLVNRRDKRLSMGTVTHPTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRGEGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEILLRFLRLEAVDHGFSMACLNGRAQQAQALQNRLASNPIDDARSFRNDSRPRRLFLTSLLIRHEDLLFKLGLSDINSIHHA >A02p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14626006:14627644:1 gene:A02p029040.1_BraROA transcript:A02p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76570) UniProtKB/Swiss-Prot;Acc:Q9C9K1] MALFQDMLSLSSTFHSLPRRLLPEPPRRRLAVKRPRSICRASWQELAGVLVFSAIPFTAVKAIANSSLGESLRRRLEEKKKEAVENASRFNAKAQKARADSKWYGQKRPRWFGPIPYDYPTYLTGELPGDYGFDIAGLGKDRLNFDKYFNFEILHARWAMLAALGALIPEVFDLTGAFHFVEPVWWRVGYSKLQGETLDYLGIPGLHVAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGTLFDPLNLSQDPVAFEDLKVKEIKNGRLAMVAWLGFYAQAAVTGKGPVQNLVDHVSDPLHNNLLAILQI >A03g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16954370:16959388:-1 gene:A03g504750.1_BraROA transcript:A03g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACSTQLIDGDGTFNVSGVDNFIKEVKLEECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWIARCAGIEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDAVPKPQAHKETPLSDFFNVEVIALNSYEEKEELFKEQVANLRQRFFHSVAPGGLAGDRRGVVPANAFAFSAKQMWQVIKDNKDLDLPAHKVMVATVRCEEIANEKFAGFIANENWRELEEAVHSGPVSGFGKKLSSILQSCLSEYDTEATYFEEGVRSSKRQQLQEKLLQLIQPTFQDLLGHLRSGALENFKDAFEKALNAGEAFSASADVCAQSCVSKFDKGCEEAVIEQANWDTSKTREKLQRDIEAHISSVRTAKLCELTTLYESKLNAALSGPVEALLDGANDETWPAIRKLLRREGELAVYGLSDALSGFDMDEETRNKMLTDLENYARGIVETKAKEEAGRALMRMKDRFTTIFSHDSDSMPRIWTGKEDIRAITKMARSASLKLLSVMAVIRLEDELDNIEKTLTLALVNSTSNSATSKSISTIDSLASSTWEQVAPEKTLITPVQCKSLWRQFKNETEYTVTQAISAQEANRRNNNWLPPPWAILALVVLGFNEFMTLLRNPLWLGVLFVGYLVSKALWVQLNISGEFQHGALPGLLSLSTKFLPTVMNLLKKLAEEGQAPSTNTNQSSMNSSASAQSDVTTNGESSSSSSSGSSPAKSVPIDTSS >A01g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18305591:18306829:1 gene:A01g506270.1_BraROA transcript:A01g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKRDSDVEMGEATSPAPVLTSPAEAPACVAGHLSFREKLVRRHAEKELAQAGSEFPSSSAQVVAPCQGTEVMAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETETMLTAVKDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVQSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGGDAAPGSDEAAGEEGA >A05g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11943723:11945403:-1 gene:A05g504350.1_BraROA transcript:A05g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRSGFDMQVFHIWKTSGTTYLRLPGRLPDYFHFSRLDFLKVIWTSWKSSGLPGSLLTNSSSLSSGVQACLCRGMIYNSFLDDLHFSRHRLVLYLTGLFQKFDFPGRLTFQSSREN >A03g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29839713:29840466:-1 gene:A03g509060.1_BraROA transcript:A03g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSHYCLRIESVQPRISPEVAVTSITRRSHSSFIAQEKKHDFSGLIARPDRFSKSLSTLRIPWIESAEPSEKIVSETQ >A02g510940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29073373:29074633:1 gene:A02g510940.1_BraROA transcript:A02g510940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISLYYGMDNVRKPVVNPFSSDLPGDDDLLMVIVATNINPKMVGGRLFLNSTSGIHISLDNETNAGEPVGRQRHWSSIGRTTPERRTDSSFACVQCDNAHAVGALRYIVEMDIADDTAEVFLFVLMM >A03p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6671330:6672071:-1 gene:A03p016720.1_BraROA transcript:A03p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAPPMKQGKTGFEEPQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >A07p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14222360:14225533:-1 gene:A07p024700.1_BraROA transcript:A07p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSSSSPSSSGTDFADPNPSSDPDTSSDRVQSLLESLNLSQPSEVSDGSHTDFTGDDDDDDDDEEEEVVPANGSSVREEEVVVEMEAGEEPPSPTSSGYDGERGSSGGEGGEIREGNADEAAWLPGKRHVEEDDGSMSWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVNLVKAGKHQVVFLVKGPIYLVCISCTDETYEYLRGQLDLLYGQMILILTNSIDRCFEKNAKFDMAPLLGGTDAVFSSLVHSFNWNPATFLHAYTCLPLPYALRQATGTILQDVCASSVLFALLMYRHKVISLAGAQKASLHPDDLLLLSNFIMTSESFSPICLPRYNPHAFLHAYVHFFDDDTYVILLTTRSEAFHHLKDCRIRIEAVLLKSNILGTVQRSIAEGGMRVEDLPIDRLLRQKQSSSSNQRQDTGVSLGTGGPFGLWHFMYRSIYLDQYVSSEFSPPVTSHRQQKSLYRAYQKVYASMHEKGLGPHKTQYRRDENYTLLCWVTPDFELYAAFDPLADKAMAIKICNQVCQRVKDVENEVFLQGASPFSW >A03p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20226265:20227192:1 gene:A03p052090.1_BraROA transcript:A03p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPERCERFVVPEGTKKQLQRDENVVCFWMPTSSSSHVQNHSQGVRTTNQSSPMQAYNQAINDLDKELDTLKNQFEAEMAKFSDH >A10p028940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17828503:17837139:1 gene:A10p028940.1_BraROA transcript:A10p028940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVVTKQSIPLLTPYKMGRFNLSHRVVLAPLTRQRSYGNVPQLHAVLYYAQRTTPGSLLITEATGVSDTAQGYQDTPGIWTKEHVEAWKPIVEAVHAKGGIIFCQIWHVGRVSNKSFQPNGQAPISCTDKPLMPQIRSNGIDEAMFTPPRRLSTEEIPTIVNHFRLAARNAMEAGTYLTNLRYDIEFFSRSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAETTSKKSRRLPGSSDDFARRLLGSSDDFQTTLQEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLPDDFQTTNKTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLPGSPDDFVRRLPDDFQTTSRRLTGFDGVEIHGANGYLIDQFMKDTVNDRTDEYGGSLQNRCKFALDIVEAVSNEIGPDRVGIRLSPFADYMESGDTNPQALALHMAESLNKYGILYCHVVEARMKTMGEITECHPHTLTPMRKAFSGTFIAAGGFKREDGNEAVDKGRTDLVAYGRLFLANPDLPKRFEVDAELNKYDRSTFYTSDPVVGYTDYPFLDSTAKKKKKKKKRMSFQDIEAGSRFQTPNRFNSGRQQKPLSRGDPSQEVAAGIFRISTAVNSFFRLVNSIGTAKDTLELREKLQKTRLQISELVKNTSAKLKEASEVDLHGAASPIKKIADAKLAKDFQSVLKEFQKAQRLAAEREITYTPVVTQDMPTSYDAQELDIESLRTSQEQTLLLQSRRQEVVFLDNEITFNEAIIEEREQGIREIQEQIGEVNEIFKDLAVLVNDQGVMIDDISSNIDNSHAATSQATAQLRKASKTQRANSSLTCLLILIFGIVVLIVIIVVLV >A09p046970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40804465:40806567:-1 gene:A09p046970.1_BraROA transcript:A09p046970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKSSGSRLEVIWTSWKSSDKVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLPVSRLEVIWKSSGLPKSLLTKSSGLPESRLDFQKVVWTSRKSSGLTGSRLDFSERFGFSDLEDFWDDLPVSHLEVVWKSSGLPKSRLDFLCIKCANNVFTHLQINEIIDFSSLFLIFGSLICNNKLQWHTESQTKVTHPESSLWRESERYVVFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAFL >A05p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5473149:5474417:1 gene:A05p012550.1_BraROA transcript:A05p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-4 [Source:Projected from Arabidopsis thaliana (AT2G34720) UniProtKB/Swiss-Prot;Acc:Q8VY64] MTSSVHELSDNNESHKKQERPDSQTPPPVPSGPCSESIDTSSVYSEPMAHGLYPYPDPYYRSVFAHQAYLPHPFPGVQMQLMGMHQQVVPLQCDAVEEPVFVNAKQYHGILRRRQSRAKLEARNRAIKSKKPYMHESRHLHAINRPRGCGGRFLNAKKKNGDRKEEEEEATSDENTSEASSSIRSEKAAMGPNVSRS >A07p012980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7761675:7766727:1 gene:A07p012980.1_BraROA transcript:A07p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVIGSGISGLGSAYVLATQGVEELVLYEKEESLGGHAKTVRCDGVDLDLGFMVFNRVTYPNMMEFFENLGVDMEISDMSFAVSLDNGKGCEWGSRNGVSGLFAQKKNALNPYFWQMIREIVKFKEDVLRYIEELEANPDIDRSETLGEFLNGRGYSEMFQKAYLVPICGSIWSCPSDGVLSFSAYSVLSFCCNHHLLQIFGRPQWLTVAGRSQTYVAKVRAELERLRCKIRTSCDIKSVLTSDDGRVTVTSGDGSEEVFDKCILAMHAPDALRLLGEQVTFDETRVLGAFRYVYSDIYLHHDTDLMPRNQAAWSAWNFLGSTEKKVCVTYWLNILQNLGEDREPFFVTLNPDQTPKKTLLKWTTGHPVPSVAAFTASQELHKIQGKRNICSVDMCFQAGMAAARGLLGKEAALLNNPRHMVPSLTETGARLFVTRFLGQFISTGCVTILEEGGTMFNFEGKDPTCPLKSVLKIHSPQFYWKVMTQADLGLADAYINGDFSFVDRDSGLLNFMMILIANRDLSSPKSNLAKKRGWWTPMFLTAGVASAKYFLKHVSRQNTLTQARRNISRHYDLSNELFGLFLDDTMTYSSAVFKSDDEDLRAAQMRKISLLIDKARVEKHHEVLEIGCGWGTLAIEVVRRTGCRYTGITLSIEQLKYAEEKVKEAGLEDRITFKLCDYRQLSDAHKYDRIISCEMLEAVGHEFMEMFFSRCEDALAENGLMVLQFISIPEERYNEYRLSSDFIKEYIFPGGCLPSLARVTSAMSSSSRLCIEHVENIGIHYYQTLRMWRKNFLDRQKPIMALGFDDKFIRTWEYYFDYCAAGFKTLTLGNYQVVFSRPGNVAAFADSYKGFPSAYYVA >A05g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9673405:9677502:1 gene:A05g503300.1_BraROA transcript:A05g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCNLVSVLGLLLVLTLMHNPVTVAGQRNSGAALFTFGDSNFDAGNKQTLTKTNVAQSFWPYGKSRDDPNGKFSDGFIAPDFLAKFMGIPIEIPPALVPNVNVSRGASFAVADATLLGAPVESLTLSQQVRKFNQMKANWNDDFIKKSAFLIYIGANDYLNFSKNNPNADASAQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVRQEYNTGMEKCHEPLNDLAKQHNEKIGPMLNEMARTTPGFQFTVFDFYDAIIRRTQKPSSYRFFMTNSSCCGIGTHDAYGCGLPNVHVRLCEYQRSYLFFDGRHNTEKAQEMFAHLLIGADKNVIQPMNVRELVVYPVDEPMTEVWVPTTSATVQARESRSSSHGYESY >A09p067250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53093133:53094888:-1 gene:A09p067250.1_BraROA transcript:A09p067250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLDTSSLLFALMSKCSLLTQTHLALSLLVASMACLAVSLFYWSHPGGPAWGKYFLHRRHQTAVIPGPRGLPFVGSMSLMSNALAHRCIAAAAEKFGAKRLMAFSLGDTRVIVTCNPDVAKEILNSPVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRKIASNHLFSPKQIKRSETQRRVIANQIVKCLEKQSSGNEGLCFARDLIKTASLNNMMCSVFGKEYELEHEHDEVNELRGLVEEGYDLLGTLNWTDHLPWLSEFDPQRIRSRCSNLVPKVNRFVNRIISDHRDQTRDSPSDFVDVLLSLDGQDKLSDPDMVAVLWEMIFRGTDTVAVLIEWVLARMVLHPDIQSMVHNELDQNVGRSRTVEESDVASLTYLTAVVKEVLRLHPPGPLLSWARLAITDTIIDGRRVPAGTTAMVNMWAIAHDPQVWENPLEFKPERFVAKEGEVEFSVLGSDLRLAPFGSGRRVCPGKNLGLSTVMYWIATLMHEFEWFAPTGEKTVDLSEKLRLSCEMANPLAVKLSGRRG >A09p048460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43165646:43167017:1 gene:A09p048460.1_BraROA transcript:A09p048460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNKVFCSLTQKKKKVFCSLPFRSSSLCARDEDSRSSRRIAEQLYAHHVFDEIHETSFLGSQLCDRIHLLKRSLENLTAKARSSGANGCLLKKSGSIQLPMISDQFAIQKVVSCWT >A08g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11022017:11023834:-1 gene:A08g506460.1_BraROA transcript:A08g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGGNQSDGAHENEGSASPDQQGMRNEDETEERYQFEVQSERGEEGE >A04g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22133005:22136358:-1 gene:A04g508420.1_BraROA transcript:A04g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWLMSSPTPSVFLHRSGSPRLAFASRKNQTLVKPRVSFLTIVKRRLPVVLSMTATEEGAVKSVLPGNGISIMVNGCTGKMGKAVIKAADSAGVNIVPTSFGSASEAGQTVEVCGKEITVHGPTEREEVLSSVFEKHPELIVVDYTIPTAVNDNAELYSKVGVPFVMGTTGGDREKLYEAVEKARIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLEVMESHQASKLDASGTAKAVISCFQELGVSYDMDQIQLIRDPKEQVEMVGVPEEHVSGHAFHLYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIRLKADQRIYNMIDVLREGNMHQLLKLLGVVFNYITGALSPVSGPAPCPVPEDSSLTLLLFSFLPSSFSLFLKAKYLLLWFVGDFRRLKRSKGSTCGDLGGAISGALFTAAARDSVGDLLHLGSIVWSGGVANGGVVRRSVENGLVPGFRGQRVVGFSLIGGVYRRGETCSRYAVALHIPASRRCKCRGRRWSFTPLETVLAVPVTLRSSRSDFSVRVYLVFWLRSGHLISEVWIVHQCSVARRRSIRPVLSLQSFGA >A04p033530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19478667:19490640:1 gene:A04p033530.1_BraROA transcript:A04p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDEDLHVRTMSGRGSLGSTSHRSLPGASKSFRDVFAPPAADEVFGSSKRREDDDVELRWAAIERLPTFDRLRKGMLPQEATVNGKGKLEEVDLTNLAPKEKKHLMEMIFKFVEEDNEKFLRRLRERTDRVGIEVPKIEVRYENISVEGDVRSASRALPTLFNVTLNTLESILGMCHLLPSKKRKIQILKNISGIVKPSRMTLLLGPPSSGKTTLLQVLAGKLDDTLQMSGKITYCGHEFREFVPQKTCAYISQHDLHFGEMTVRETLDFSGRCLGVGTRYQLLTELSRREREAGIKPDPEIDAFMKSIAISGQETSLVTDYVLKILGLDICADILVGDVMRRGVSGGQRKRLTTGEMLVGPATALFMDEISTGLDSSTTFQICKFMRQLVHISDVTMIISLLQPAPETFELFDDIILLSEGHIVYQGPRDNVLEFFEYMGFQCPERKGVADFLQEVTSKKDQEQYWNRREQPYSYVSVNDFSTGFKSFHTGQQLASEIRTPYDKSKTHPAALVTQKYGISNWELFKACFDREWLLMKRNSFIYVFKTVQITIMSLIAMTVYLRTEMHVGTVQDGQKFYGALFFSLINVMFNGMAELAFTVMRLPVFYKQRDFLFYPAWAFALPAWLLKIPLSLIESGIWIVLTYYTIGFAPAASRFFRQLLAYFCVNQMALSLFRFLGAVGRTEVISNSVGTFTLLIVFTLGGFIIAKDDIPPWMTWAYYISPMMYGQTAIVMNEFLDERWGAPNTDTRIDAKTVGEVLLKSRGFFTEPYWFWICIVALLGFSLLFNLFYIIALMYLNPLGDSKATVVEEGKDKHKGNSRGTESIVELNNRSSNGPKRGMVLPFQPLSLAFQNVNYYVDMPAEMKAQGVEGDRLQLLRDVGGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYVEGSISISGYPKNQSTFARVSGYCEQNDIHSPHVTVYESLIYSAWLRLSADIDAKTREMFVEEVMELVELKPLRNSIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGSLGHHSQKLVEYFEAVEGVPKIKDGYNPATWMLDVTTPSMESQMSLDFAQLFANSSLYRRNQELIKQLSTPPPGSNDLYFPTKYSQPFWTQTKACFWKQYWSNWRYPQYNAIRFLMTIVIGVMFGLIFWQTGTKIEKEQDLNNFFGAMYAAILFLGATNAATVQPAIAIERTVFYREKAAGMYSAIPYAISQVAVEIMYNTIQTAVYTLILYSMIGYDWTVAKFLWFYYYMLTSFIYFTLYGMMLVALTPNYQIAGICMSFFLSLWNLFSGFLIPRPQIPIWWRWYYWATPVAWTLYGIITSQVGDQDSIVQIAGVGNMSLKTLMKDGFGFEHDFLPVVAAVHIGWILLFVFVFAYGIKFLNFQRRCNQRYANEEDAWWVPLKICDVTHFIRYSFTLSLSLLYLAMVYTMSGVRFTHLPSIKNSSLPTFNDDRRSNAVSFSLRKDSRSSEVDSDIHLLDIDCANFLGKIFARKPSSDSESSPLATTPSEKLGGRHQSDDSSSAVTGHVEPLETISQDTTQVVETDGHDHTSALSTSGGQSHKSSMSESLVQEVGQRRIPPPGDGKKIYEIDPMLKSHNGHLDYRYGQYIKLREQIDKNEGGLEAFSRGYEIFGFTRSATGITYREWAPGAKAASLIGDFNNWNSKADVMTRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKYSVQAPGEIPYNGVYYDPAEEEPMINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLVVLMDIVHSHASKNTLDGLNMFDGTDGQYFHSGERGYHWMWDSRLFNYGSWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVEFTGNYSEYFGYSTDVDAVVYLMLVNDMIHGLYPEAIVVGEDVSGMPAFCIPVQDGGVGFDYRLHMAVADKWIELLKKRDEDWQVGDIVFTLTNRRWGEKCVAYAESHDQALVGDKTIAFWLMDKDMYDFMAVDRPATPRVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRTDQHLPDGRVIPGNNASYDKCRRRFDLGDAEYLRYHGLQEFDRAMQHLEEKYGFMTSEHLYVSRKDEGDRVIVFERGSLVFVFNFHWTNSYSDYRIGCSVPGKYKIVLDSDGSLFGGFGRLDDSAEFFTNDGRYDDRPCSLMVYAPCRTAVVYAAVDGDEDSISLVPEDV >A01p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25595245:25597439:1 gene:A01p045250.1_BraROA transcript:A01p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEALSTSSHISNLPQIKPLLKSSRPSSQSPCWLCNPRIPNLRISDGSSHVGLRIQALSHNNDTPSDEGDAAESSGLGDIFSLSQLKDAYFVIDTNLRLVSYYVVVVKEKIETLLSHSSVMIDVQTSLALPQGCAGGNSGGNRAGLYRTPISGGVQNATSAHALPPPALAVRNLLEQARFAHLCTVMSKMHHRREGYPFGSLVDFASDRMGHPIFLFSPLAIHTRNLLAEPRCSLVVQIPGWSGLSNARVTLFGDVYPLSEDEQEWAHKQYSAKHHHGPSEQWGNFHYFRMHNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLRELLSTESEVDDAALISIDSKGIDVRVRQGAQFNIQRLAFEEGHGVETLEEAKAALWKVMENVKLNYLQK >A10p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21321836:21323340:-1 gene:A10p037920.1_BraROA transcript:A10p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNSRVSGGSRRFGDTRQPEKRTESNNDTEGYKYSWILLVSRYFQFLIKAYLSPKEKTRNSEQGSDSSCVFLLLLGPKMAVYEHNKTEFDTTKKRKTRSRRDGTTVADRIKMWKEYNDTVQESPTKKRKVPAKGSKKGCMKGKGGPENGGCSFRGVRQRTWGKWVAEIREPNRGSRLWLGTFPTAEKAAAAYDEAAKAIYGPLARVNFPQSSVSDVASTSSRSEVCTVETPGVVHVKTEDADCESPMARVENDVHEGAEEMEMDVNVHAAVDTPSKDWLSEIEQEYWTGLLEEKQKQKEQEMVAAGDFQKQPDALSVSDYGWPADLYQNQWDSLEMFDVAELLGDLNGDIFTCSNQSQCLGDNVGGGLPEPKKQQLQSLGSDYGLSPLQHEAQDGGDFFDLSFLDVKN >A08p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3541599:3542375:-1 gene:A08p006230.1_BraROA transcript:A08p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRFTRCYKVYTVHCREKTYVRFSCNTGGAMGINMVTKGVHNVIEFLTYDFPYMDVIGISGTGNHRLSIGGFNTHASKIMFDIFIATCQDPAQNMESSQGITMMKPLMGKIYKYLSLHHL >A07p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9588634:9593182:1 gene:A07p015480.1_BraROA transcript:A07p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRKKHYSISLSKEGFLVQRYISSPIPTWLPLRFFVFSRLTKATERERDKMSSSSSKVSLGGGGGRKGNNDIPSGCRKIVQSLKEIVNSPEAEIYAMLKDCNMDPNEAVHRLLSQDPFHEVKSKKEKKKETRDIPDSRLRGANNAYNRGGRGASNRYAGRNGSTHFSSTGSGNFQGKSTDKKESGIQGYTSSWSSASGVATNHQTTNSDSVVTENKLPSAPSGDGISSSQSASGHQTAWFGAPGKMSMADIVKMGRPQNKTKNLQQNVNTHSEINHENEANANHQVPVKDEWPSIEKPQAPNTSSVSVAPVESEICSSPADLQYDRVDQHLSDRLGDIHLAESGPSENLGVDHVQHDSVNGRNIQEDDSGVSSEFKDNQYTYQTQSHPVEHHKDEDEVSSGSAELQQLTVDDQEASHEEDRPAVLIPNHLLIHTKECSQLSFGSFGSMTLSNNAEETPDVAQQVEHSDARNTEFYGDEHLGSTANGNMGHAPAAGNYDDSLESRPEVLKQENSETAQENQYAFAQSEPGYAKQQLNTAYDASQINAQNQMQNLASLSNVMGYPHSVPNSLLAQNARELEIQYAMQSRNNNNASSLGGQSIPMPEALRGSGVPATQQTQQTLPGANMATGTALPQQLPMHPYSQPTIPLAHFANMLGYPLMPQNYPYMPSAFPQTFAGNSLYQQQLAALLPQYKTNLSPSNLPHSATALNVGSAGNFPLNQQSAPPGTTMGYEDAQYKESNHLLAPQQQQQQQNENSAMWHHGHGSRTMSGVPANTYYNLQAQQQQQLRQAQQQQHYGSHGYPNYYQSQTEVSHERQQQNPRDGAGAQAGQPSNQTQQLWQNSY >A08p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15140076:15141933:1 gene:A08p022990.1_BraROA transcript:A08p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWGSKGASGFSSSSTAEDVTHGVDGTGLTAIVTGASSGIGVETARVLALRGVHVVMAVRNTVSGAKVKQDILNQVPGAKLDVMELDLSSIESVRRFASDYKSIGLPLNILINNAGVMACPFMLSKDNIELQFATNHLGHFLLTKLLLDTMKNTSRESKREGRIVNLSSEAHRFSYPEGVRFDKINDTSSYSSISAYGQSKLCNVLHANELARQLKEDGVNITVNSLHPGAIMTSLWRHFNSYLAGAVSALASYFIKTIPQGAATTCYLALSPQVAGVTGEYFRNCNITKPSSFGQDSELAKKIWDFSTKLIDSHSGESHS >A09p047620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41358849:41363736:-1 gene:A09p047620.1_BraROA transcript:A09p047620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTMSLNLILLVAMVATNIISLYHLSSTSSFFQSTLKSSPSSVPTVPDHLLRQLHTIRSAINHLTTHHQPDKSTSTASAVSSSAPPKDLLLYSKLSPIASACHNYPDLLHEYMNYTPFSTCPSDTVLAEKLILRGCHPLPRRRCFSRTPRNPTDSKPESNVIWSYYSCKTFDCLTTKFPGLGFDLSLNKSKSQFSSYKSELDLPISQLLQIAKTANSVLRLGIDVGGGTGSFAAAMKARNVTVLTTTMNFNAPYSEAVALRGLVPLHVPLQQRLPVFDGVVDLVRCGRAVNRWIPVTVMEFFLFDLDRVLRGGGYLWLDRFFSKKVDLENVYAPMIGKLGYKKVKWAVANKVDSKHGTFLESFRTCMFEENSILLLKDKGVRDSHGDSDAGNVLRQRIRETREEEDSTLDEGFVDESKRLEPDHQNMKPQMDDSVRDHHGDYDTGNVVSQSIRETIQEHYTLREDDSKRLDSDHKNLKLGMDVNAGDPDTGNVASQTIREARQEDSTLRKDESNKLEPDHQKRGKYFFYDTPLSEETGAWIPVSVPPMLEPDHEEWSRGLSFNGGYFPEGEMGWNQILDEDKELTMWDVIVDMLLAARGKATALTSGNLESGISFFAGQHLLEQAWQEMAHTLTEANFGNAREILETEPPKWLPDSAASACMLCSVRFHPIMCSRHHCRYCGGLFCRDCSKGRSLLPVKFRVSDPQRVCDVCFVRLETVQPYLMDQVSPAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKATNTIRGYISKVGSSRTERSIPDAILRQAKGLAVITVARVGVMVTYRIGTGLVVARRDDGSWSPPSAISSFGLGWGAQAGGEFIDFIIVLRTREAIRTFGSNTHFVVGAGLSAAVGVTGRAVEADIRAGSGGYAACYTYSCSKGAFVGCSLEGSIFTTRTSENSRFYGSQSLAASDILLGSLPKPPAAAPLYRALGDLFQKMGSETSRSSTTSSFSED >A01p039500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14292057:14294506:1 gene:A01p039500.1_BraROA transcript:A01p039500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIESLEEIRVQNPQAEDFSYSDLTWTKFGTTEHHDEVALIPYARVEEFIIGECSNPECPTRFHIERGRKRSRGSLREYKPDEYLEYRLYWCSFGPENYGEGGGVLPSRKYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSLALLVYTERRHVNKAGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSDATVDTLASQYVHKLGMIIKRSTHELDLDDQASIKIWAERNKKSIFSYQESSETDQFMLGIQTEWQLQQFVRFGHCSLVAADSTFGIKRLKYPLCTLLVFDSRHHALPVAWIISRSNLKSDVEKWMKILLQRAQSVEPGFRINGFIIDDAAMEIDPIRDTFRCPILFSLWRIRRSWLRNVVKKCDTIEVQRELFKCLGEVVYSIWDGVDTSKALERLTLDFSDQTAFMHYFTSTWLPKIGMWLSTIKSLPLASQEACGAIEAYHVKLKVKLFDDTHLNALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWHRASEIPDSAVILDSNNTVVAKVRSQRDTNVARVVWNPGSEFAFCDCAWSLQGNLCKHVIKVNTMCENREGYGDSMSLRSFKEKLTSVKMKPMDDSIALDISMALTLQMFDQIKQLVKLSGTDDISNIVNDLPVKWGCKKGRTTVGIPASIAALTKKRSQKRKR >A08g507340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12833580:12833972:1 gene:A08g507340.1_BraROA transcript:A08g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVKRVSTALSFRLPIYLLSEPFGIHLVSLDNIDGCRASLFRLTGYLASIGFLPLFQSLSLGYFNVFSDYLKLFRAVVSRIQVKIICGSLYFELISPCSTSIPYFIALLSLLFILPSSISPIVIVGTP >A04g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20037713:20038429:-1 gene:A04g507840.1_BraROA transcript:A04g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSPVHRIPQRIYQLQEGISVRPNTIIHKFAPASCATTTTRLTRNIQPDDPIFLTEGDIYEFSGFFIVQNSRQRKLTQLSYYIQIDQETTMSKVTDIGPIFPSSANYNPAITYNKILLQQIN >A01g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9434076:9438445:1 gene:A01g502850.1_BraROA transcript:A01g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPRLIADFGLAKLLVKQNQQPHTMSAVAGSFGYIAPGNWLYLNAESTPLGLISFAKKMEEIKLTFPADSPPLSVIVALSLSSSPVTIDSSSSSVPSFVFSDGRKLTGTSVLLRYVGRSAKSLPGFYGHDAFEYSQVSNKILLTVDFVNSGQSLNLYSIDELIDYAPVFSSSGSEFKNACTSVDNYLQSGTFLVGHSLSIADVAVWSALAGSGPRWERKSKKYQNLVRWFNSISLEYAEPLNKVAAYTAAKKGSGKPASVAPRSKDQQASDKGKPEVDLPGAEVGKVKLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIIRFDDTNPAKESNEFVENLVKDIGTLGIKYERVTYTSDYFPDLMSMAEKLMREGKAYVDDTPREQMHQERKDGTDSKCRNHTVEENLNLWREMIAGSKRGLQCCVRGKLDMQDPNKAMRDPVYYRCNPMSHHRIGDKYKIYPTYDFACPFVDSVEGITHALRSSEYHDRNAQYYKVLDDMGLRRVEIYEFSRLNLVYTLLSKRKLLWFVQQGMVGGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCPRHTAVVEERRVLLTLTDGPDEPFVRLIPKHKKYEGAGEKATTFTKRIWIEGADASAISVNEEVTLMDWGNAIVKEVTKDEEGRVTALSGLLNLKGSVKTTKLKLTWLPETNELVKLTLTDFGYLITKKKLEENDELVFAKLVNKDTKKDTSALGDSNMRKLEENDELVFPEFVNPDTKKETSALGDSNMRNLQRGDVIQLERKGYYRCDVPFVKSSKPIVLFSIPDGRQHQPLSAN >A08p008980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4561017:4561368:1 gene:A08p008980.1_BraROA transcript:A08p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAWQLGVEVLPMWRLALEMLAFSNQVSQADSPSFSKVGNIEVFARKIVVPASLLPWIYLPTENGTVDRRGCLFVTQQKESSGCDSQAL >A09p006090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3233806:3234066:1 gene:A09p006090.1_BraROA transcript:A09p006090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSSKQLSLFSFYKSRRSSHRVQADAWDDGVYTRKAWASDEDKRYWVAEPGIDRKASAFIARFHASRVSESERQTLPPCQSHPN >A04p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21520784:21523510:-1 gene:A04p037750.1_BraROA transcript:A04p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAAKAAISRPQSYCSLLKTAFFHSTPVLERKRGSSSSSSAAAKKAKEAKENMNKCNQDSKSPRKSQFGYADKDFDIIFRSLFGVPRGFDYSIYEEEDRRWWYHPSWFSGSSSNSWRSKYRFYDKDEEQEEEEELSEEEEEESNSSSNWWRSKSRFDEKEKKEKDGYGSSKSSGSVLSDPIEATHRETLGLSPWAPLKLEDVKHAYRTCALKWHPDLHDDSTKAEAEAKFKLCVVAYQSLTQKLQLIDRVTYHKQQTDQEQKSKAKKNKRSKKFLREHQGKKSNVKKLLREHQEKVHRAVEELRREREEAAAKKAKENLNTREILSDPIEASHRETLGLSPWAPLKLEDVKHAYRACALKWYPDHHDDSTKAEAEAKFKFCNVAYESLIKKLQ >A01g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7322138:7324478:1 gene:A01g502060.1_BraROA transcript:A01g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTASNVTPPANSSSQIIIPSPSSVKVSLESRINRLINANQSPSPSRSIYSDRFIPSRSGSNFALFDLASPSPSKEDGAGSYATLLRAAMFGPETPEKRDITGFSASRNIFRFKTETNRCLNSFSPFVSDDGPGVSHAPIKALRKVSRSPYKVLDAPALQDDFYLNLVDWSAQNVLAVGLGNCVYLWNACSSKVTKLCDLGADDSVCSVGWAFRGTHLAVGTSSGKVQVPAAAAASTFCVNSSAASASAKRTTTFLIDAAAAADAAAAAAAAAAAETCGNQTNRAYIWDASRCKRTRTMEGHRLRVGALAWGSSVLSSGSRDKSILQRDIRCQEDHVSKLAGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYSEHTAAVKAIAWSPHVHGLLASGGGTADRCIRFWNTTTNTHLSSIDTCSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKIATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSDIGSSFFGRTTIR >A02p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9052504:9055263:1 gene:A02p019600.1_BraROA transcript:A02p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASYQECSSLLLQNLKVTIKESYLIFPSEETLTHESKSMFLSNVDQILNFDVQTVHFFRPNKDFPPEIVSEMLRMALVRAMDVYEFLAGRLRLNPSSGRLNIDCNGAGAGFVTAESEYTLEELGDLVYPNPAFAELVTSQLQSLPKDDQPLFAFQVTSFKCGGFAMGISTNHTTFDGLSFKTFLDNLASLLSEEPLSTPPCNDRTLLKARTPPRVTFPHHELVQLQDSDTTVFEATSEHLDFNIFKLSSQQISRLKEKASESVSSVCVRVTGFNVVTALVWRCKALSLVEEEEVDDLEKESTILYAVDIRGRLDPQLPSSYTGNAVLTAYGKAKRKALFEEPFGTIVEMVGEGANRITDEYARSAIDWGEMYKGFPHGDVLVSSWWKLGFAEVEYPWGKPKYCCPVVYHRKDIVLLFPDIDGDSKGVYVLAALPSKEMTKFQKWFEDTLC >A03p019920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8174796:8175644:1 gene:A03p019920.1_BraROA transcript:A03p019920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALFVCEDDLNSKWSNEELQRLSVFARENSNPNFNILVKKAALQNQHTVSSVLSSPSVKDESFRMVLPQVMSPPRDKAVPLPVLPEPMMKPQKKLGRQETMFAVGKSRYDHEKNLNHEEEDFKCSAFCLSLLGFGKKKTARSPKSKDSSIKKKMIKGSSFSNSIVSLGASFEKFEYGSWVSATALARENDRLYFDLPLEMIQCGRGGGGNVQEPVSSGFLLDKETESLALKSVLLKTSLSGREQRSLAETSPQRGVRFSNMTSVSCPSSPRSSITPTLA >A07p015180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9437388:9446979:1 gene:A07p015180.1_BraROA transcript:A07p015180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFSILHLKITIIISFFAISTTYASSDLHPDELNVLENITTTLGGKGLNLSYGDPCKLKFLKIDQKIGDPEIKNTILCDVCFNSTCHITKIVLKTLSLPGKLPPELANLRYLQSMKTPPISFYYAYMHVGVSDLCRNYLSGTIPMEWASLPNLTSISLCANNFSGTLPTGLQNFKNLTFLSLEANQFFGTIPDELGNLTNLNGLQLGSNQFNGSLPSTLARLVNLTDFRVSDNNFQGTIPEYIGKWSQLNKLHLYASGLKGPMPEKVALLEKLTDLRISDTTGINIVPNLSSYGIKTLILRNVGLSGPIPPSVWKLSGLKTLDLSFNKLTGEVNGDEAPKYTYLTGNRLSGNVESSGFLNSYSNIDLSYNNFSWSSTCHEKSNINTYRSSHLMKNLADYSGVLSYRTGLLPCAGPVNCTDYQGFLHINCGGGNIVITNSSYETTYEADNNVTTAATSQHFKNWGISNTGEFSDDDQENDIYFSSTLSRDPSELYKTARRSALSLVYYAFCLKNGTYNVKLHFMETQFSDEEPYSRLGIRIFDVFVQDFNIKKEATGTLKPIVKEKKVNVTNHMLEIRLYWAGKGTTLIPRRGNYGPLISAISLCHQIEKKKHHTDYPLIFGVSGSVIAITLLALGLYAQKRCRGDKNTRERDLRAQGLQTVCFTWRQLQAATNNFDEANKLGEGGFGSVFKGELSDGTIIAVKQLSAKSCQGNREFVNEIGMISGLSHPNLVKLYGCCVEKIQLLLVYEYMENNSLALALSGKSSLKLDWAARQNICIGIAKGLEFLHEGSMIRMVHRDIKSTNVLLDSDLNAKISDFGLARLHEEDHSHISTKIAGTIGYMAPEYALWGQLTEKADVYSFGVVAMEIVSGKSNTKQKGIVDHVSLINWALTLQQTGDIMKIVDPRLEGHFNIKEAVRMIKVAFVCTNSSPSLRPAMSEAVQMLEGEIEITQVMSDPGLYDHNLSISKLRGTDAHGSSSTSGLTDQTTTTMKSSVSSCDLYPLYLNP >A07g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1594120:1594930:-1 gene:A07g500870.1_BraROA transcript:A07g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTEYSPCSDTQISKRDGFIVGVAISSREAFFLDQVQLYPCDSRLGLAAKMAQLVLFRPKVMEFQKGVLQNLFWKSFGCDSCKGTSSSVCLNRTDCAVSIAKCKARGGAANCNIRIQVAFSGTETLSH >A08p030420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18644681:18649889:-1 gene:A08p030420.1_BraROA transcript:A08p030420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNNLGSCLKISYVIFAFCSAFFLGALKGLIVGPIAGLTLIAGNVGVILGLFPAHVTWTVYTVAKTNRFDIPLKLAILVALPALFGIWLGLSIAISVLVGVGYGFFTPWISAFEAFRQDTESNKFFHCLVDGTWGTIKGSCTVVTDFADLCYHSYPLYLKELRESPASDELQTLRLIHVPGCIIVGIIGLVIDIPLFTAIAVVKSPYLLFKGWYRLAQDAINREGPFLEIACIPVAGLTILLWPIIVIGFVLTTIFASIFVGLYGAVVVFQERSFRRGVSYVIAVVGEFDEYTNDWLYLREGTIFPKPRYRMTNRSFSSEVSVVVHPSAVSRVNSSGSVEPPAMLVPSLVRSVSVRGAIQEVRMVQIWEHMMGWFEMEGKELLDQGVLTPADLYESLKGRHGNESSIISVGLPSYALLHTLLRSIKAGAHGVLLLDGSEVTHLNRPQDKFLDWFFNPIMVLKDQIRVIKLGESEVRYLEKVVLFGNHEQRMEAWDNSGNLPQENLRAAQIQGISRRMMGMVRSVSKLPTYRRRFRQVVKALITYWLEKQGLNRTGSMSSGDFIEERRIYSSFHGNNSMRSTQPLPHLFRIDLGSSLFSYSVNNNNNNNNKKIKTFEFPDCDIDSNDDFLQEEGIQPGVTTDEIDEAVHSMIIENGAYPSPLGYGGFPKSVCTSDGDIINIDVTVYLNGYNGDTSATFFCGDVDEKAKKLVQVTKESLDKAISICGAGVKYKKIGKTIHDHADKHKYGVVRQFVGHGIGRVFHSDPVVLHFRNNEAGRMVLNQTFTIEPMLTVGSIKPVMWDDDWTVITEDASLSAQFEHTILITKHGAEILTNC >A10p010460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5699307:5699930:1 gene:A10p010460.1_BraROA transcript:A10p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF014 [Source:Projected from Arabidopsis thaliana (AT1G44830) UniProtKB/Swiss-Prot;Acc:Q9LPE8] MVKALQNRTKRMSSPSSSSSSLSTSSSISKSNLAKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSSASNLNFPEISSSLYKTNNGDNKNNINMSPKYIQRVAAAAANADPSSSSVSTSTPLLSSSPSEDLYDVVSMSQYDQQVVSLSESSWYNCFDGDDQFMLPYLTTPLADDFYEEGDIRLWNFC >A05p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7067254:7071267:1 gene:A05p015920.1_BraROA transcript:A05p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMEFVKSLGKGSFGSVNLIKFTKPDGSKPYYHAVKSSNAQDYDSLYNEFQILSKLSDCPRIVQTFGTSLERGVNDNGTRVYNMSMEYAAGGSLTSFMETRSLTDTVIRDFTRMILEGLVFVHDHGYVHCDLKPDNLLLFPRDDVGVTKEVSYELKLSDFGMSTEAGEESVFWEFDSPYLGTPLYMSPESVHYGVAEKSLDLWSLGCVVLEMYTGKSPWPFQDSDELLRHLLDEKAPEIPESLPWEARQFLQTCFARNPVERGSASELLKHPFLLPEVSDEKRVMVTGAGSRIKSVAVMKSRVIMKKPIRVKILPPKTPRFKKVLNRPLRLKTIPPKPPGFNLVFVQSFCLSVSVCSVAFMMEFVKSLGKGSGGSVNLIRVTKPDGSNPHYHAVKSSNYYDYLHDEFQILSKLRDCPGIVQTFGASLSRGFNVHGRKVYSMSMEYAAGGNLTSFIETRSLTDTMIRDFTRMILQGLVAVHDLGYVHCDLKPDNLLVFPRGDVVGVMKEVSYELKISDFGLSTEVGEDSKFWEFDSPYLGTPLYMSPESVRYGVAKKSLDLWSLGCVVLEMHTGKPPWPFQDSKEFLRHLLDDKAPEVPEYVPWEARQFLETCFARNPVERGSALGLLKHPFLMRTDVVRHGVLQLFHQSTLQFKKVADRPLRLKTIPPKPPVCNVVFVQSFCLTGSVCSVV >A03p052430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20058013:20061559:-1 gene:A03p052430.1_BraROA transcript:A03p052430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVYEFWTIIVTLVVVKLCHWIYKWRNPTSNGKLPPGSMGFPIIGETLEFMKPHDAFQLPTFLTKKILRHGPVFRTSLFGARVIISTDIGLNMEIAKTNHVPGAPKSLARFFGENNLFVKSKESHKHVRNLTSQFLGSQGLRLRMIQDIDSLARTHMELGAKNGGLDIKETSSKILIECLAKKVMGDMEPHAAKELTQCWRGFPRGWFRFSWSIPGNGVYRMLKARNQMMNLLKETVLKKRASGEELGEFFKIIFGETEGGSKKMSIENAIEYIFTFFVIANETTPGVLAATVKLINDNPRVKQELQREHKRIFQDKTEKDEADLTWEDYKSMTFTQMVINESLRITSTVPTMLRIVEHETHVGDYTIPAGWIFMGFPSVHFNPEKYEDPLAFNPWRWEGKDLGVILSRTYMPFGAGSRLCLGAEFAKMQMAIFIHHLCRYTWSMKTETKVLRRFILMFPRGSDVQISEDTEVDNSAVY >A08p009560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7519941:7520318:1 gene:A08p009560.1_BraROA transcript:A08p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSIFTLELDNQIDRGDPIFIENEEVSLAPRTCMTCSEWAPSAKGCVLSATYIPSPKPIGFGSYISPNHSPSLLDLAHGLVQRPNSPWPLSRTSRPATDPDHRPETRTVRLAEMSWLPADPAE >A09g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28917815:28918339:-1 gene:A09g509940.1_BraROA transcript:A09g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A04g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13098040:13099829:1 gene:A04g506210.1_BraROA transcript:A04g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVWNVPGRTSGVNPAAFASGEPPPSLPPDPPDPASPLSPVNFPSLIDSTSNTVLNGRSRKGLRKEQRSLSITTSSTEQRKDATTSSESITMEIEQETQTLSTTSTVPETSSPSFPTIPSPLLPAPVAVNPIPVPSTFGLVEKPVQNQTLLEKLRASADNTLRRLAPITITPSGRPRVVIPDTVFRKGAEIHKDYIICYFNGKSLPYNQIQSVFNYMWGKGKKLEIHNNPLNHSAIVRIQSEYLRNKILEKNIWYVGDSMFHTAQWNSDHSMSTPPLKAIKIWVHLTGVPLDLRYDEGLSLVAGLVGEPKETDDFTKNMVSLTVSHVKVEVDLTAPLPPVVEFERESGEVVEVLVHYPWVPPTCSHCHELGHIVRNCLSYSPPPAPETEKSKASVNPETHPKTPQKPLQKPQASKSSFTPAKTSKKAQKRYQPVLNPLAFEPAPTIPVPQSSSSHLPAPIKALSDLMFPHSTSNDTAKVTFSSPDPPPRPSLKRSRSSPTLSPPSSSKAIPFSDKQNPFLPLTDNLFDPNIPLPSPKSPDPTFKQKTFTFGSIGHPPPEDPPNPSQ >A07p000160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:838337:845449:1 gene:A07p000160.1_BraROA transcript:A07p000160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKHYITFNTPSFLHGYESADINKGECGIVYSCLTLALALLSRFLPGPVTVVNENCNFMDTKRASKAMPDDAEPPSKEKINELIENGLLLKKSDMIEDNRMEHDILRGEVAHAKSFVKPCSKSKVSADQRERLTISLVERLDQSSDRIRQSRRTMMETKDLVVERKHQQQQQQTQTQLSEHKANDRNNKVNGSTTTEAEAALVVAKRPDSGDQEGSVIHLLANHFLVKFDKIDHYDNSFSGAVPAFDGRKNIYSYLEFQEDRFEFFANLPIPSCNTLIKCGDLREKLPQKKIDKLLRVNMRLVSNSPSLMGRHRKRKVQTWLLCLENTCMLLMYSKPPAERLQKLRMSIPLIAHKNATFKFGIGTKKKLARVQGIVKYLKLIGGGRRGNMGPVIPILGFLTCAAFMYLSLGFRGGEPEVGFVSRNGTQFVLDGKALYVNGWNSYWFMDHAVNDHSRHRVGAMLQAGSKMGLTVCRTWAFNDGGYNALQISPGRFDERVFKRLGMVELILLIENRALERICTCLFCLGSCGQALDHVIAEAKTHGVRLLLSLVNNLQAYGGKTQYVNWAWQEGVGLSSSNDSFFFDPSIRRYFKNYLTVLLTRKNSITGIEYRNDPTIFAWELINEPRCFTDISGDTLQDWINEMTAFIKSIDNKHLLTVGLEGFYGPKSPKRLTVNPERWASELGSDFVRNSESPNIDFASGFEDKVKFVVKWMLSHIEDGDQELKKPVLFTEFGLSNLNKDYEPSQRDRFYRTIFDLVYKSAKRKRSGAGTLVWQFLIQGMEGFNDDFGIVPWEKKSIQRLMTEQSCRLARVTGRHLQDKKSREICSHRP >A09g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:782928:785707:-1 gene:A09g500210.1_BraROA transcript:A09g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKNSDRQIRRANCFSAGGRMKTRSPSVIVIGGGFGGISAARTLQDASFQVYSGALETVVVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGKQVPQELVTEVGITFEQILEEINKVRDEQEADMSISKAFSIVFSRKPELRLEGLAHNVLQWYLCRMEGWFAADADTISAKCWDQDELLPGGHGLMVRGYRPVINTLSKGIDIRLGHRVTKIVRRYNGVKVTTENGETFVADAAVIAVPLGVLKSGTITFEPKLPEWKQEAINDLGVGIENKIILHFEKVFWPKVEFLGVVAETSYGCSYFLNLHKATGHPVLVYMPAGQLAKDIEKMSDEAAANFAVLQLQRILPDALPPVQYLVSRWGSDVNSLGSYSYDIVGKPHDLYERLRVPVDNLFFAGEATSSSFPGSVHGAYSTGLMAGEDCRMRVLERYGELDLFQPVMGEEGPASVPLLISRL >A01g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7917683:7921400:-1 gene:A01g502270.1_BraROA transcript:A01g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHRQPEAKTGMLRCPCSNCKNKKVIKEWDVWTHLYLSGFTRSYKIWYHHGETDYEHEMKYGENNRCFKTPNPGSSEFPRSNDDQIRSRQRRSRGGMGSQSRGSSSHVQDSVSPYSSYHTSPSTLPTPAAPAPAAAPAPAPSGPPGVMSVAQLVRQPGRDHLPYLTEYPHGHGSTDPGTGSAHGSTQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAVENEGEPVDDFALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAYSSAPPLYVDPEVLTVELKDNDDRISALETQMAAQQAGYETQKKLNEQMMEMMRRMYPNEVFPNIQDP >A03p053360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22896513:22897517:1 gene:A03p053360.1_BraROA transcript:A03p053360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVTCIGVKTNIPPTIIFRIVMIISWCLLTGHCWITYSGSGVDHHGPYAQSGNTRRPNPPQRHYTSCGRSLSDESSPSSNVFDESDPPFRMFSDNQTLIRAINDKRFEKEIYGVVKDIKTSFSLFVDLSFFFLPRGENRQTDALVKFILRNPTYVMGRLTG >A04g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8292003:8293012:-1 gene:A04g503900.1_BraROA transcript:A04g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELFEKAKTGVFWDAEDCKIPSGLNAVLVSKSIRSGLQDTCYHGLTSIFAYGSTEDIMVGFDSSAGKVVYRTTAGEIYENKLPETETAEDIISRSECPPAVVMNLTEPGDKHQRYGAFITGVFSWALNNHPPANLILVVGDVAEHEYRFACVFSHLISSGYNVAFVQPENQASQMLFRLGRRRTIWLWEKLSLGEGPIPKQKPPNFHYEAKNSSVNFNLLGVGGLACLLIVTTILGRMASS >A06p057580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29950280:29952860:-1 gene:A06p057580.1_BraROA transcript:A06p057580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT4G39210) UniProtKB/TrEMBL;Acc:A0A178US50] MDSCCYLGLGTNTVLPKDGYKNVENKFWGEKIKGNFSKSFASDSSSKELRYRKSPRPGVAYAVATSRNAKEALRLQPSMLGERRKADPKNVAAIILGGGNGAKLFPLTKRAATPAVPVGGCYRMIDIPMSNCINSCINKIFVLTQFNSASLNRHLARTYFGNGINFGDGFVEVLAATQTPGEAGKKWFQGTADAVRKFLWVFEDAKNRNIENIIILSGDHLYRMNYMDFVQYHVDSNADITLSCAPVGESRASDYGLVNIDRTGRVVHFSEKPTGIDLKSMQSDTTMLGLSQQEAVKSPYIASMGVYCFKTEALLKLLTSRYPSSNDFGSEIIPAAIRDHNVQGYVYRDYWEDIGTIKSFYEANLALVEEHPKFEFYDQDTPFYTSPRFLPPTKTEKCRIVDSIISHGCFLGECSIQRSIIGERSRLDYGVELQDTLMLGADSYQTESEIASLLAEGNVPIGIGRDTKIRKCIIDKNAKIGKNVMILNSDDVQEADRPEEGFYIRSGIIVVVEKATIKDGTVI >A04g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8034179:8034574:1 gene:A04g503750.1_BraROA transcript:A04g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYSGLDDLAAACKAMEGVEVINGLGCKMSCSSYKVNSESILKPNWYEILYVLDTEDFFHRKKKHKKKSENLAHDKSSSQQCNLYRIERFHNLYT >A09g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24455122:24458332:1 gene:A09g508790.1_BraROA transcript:A09g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPDTPPIHVTSDRQVRNLLEITKTYGVRFCVSSRSKVETVSEFREEDDEADEADKCFEYDDDDDDLVEDENHDREEDDGEEDAGISIVAEADENGEDYSVYGKVEDEEDDDMCFEDIKKIEGGRSNGNNIYVNQSFVSKDALLSELRLTAITKYVEKHTCSVGDRLAQRRHCTPKYVSRLFIDRVGIIDGLNPQHITDAMKNMFGMTLDYTTSYRALLYAQILVRRSAEDGREDALSLPTQHSRGVEYLPVVRSEIADTMTVQPIDGWRFFVKGGKMNCVVDLEHGKCDCGVYAVEKIPCFHAIAAGTYVGLHISTLVCPVYSKDFLFAGYSENIYPYVRQQVEERTCFPPDDANPRSNTGFIDAQNARKLAIRNHNVRNDVDDLHVSRPEGRPVSHPGFFLSEDLQVSRPVFSLPEDLHVSRPEGRPVSRPGFLLPEDLQVCRPVFSLPEDLHVSRPEGRPVSRPGVFSSRRPSKLMCMLLKYIHRHLIPRRLPVDLSKVFFTKLYPKSMTFNVLYSVHATITSSGRKALNKQIRDHVEKRLHYHIKFFWGDTGTNAVKDDYVPLRDRYPHSNPMSVAVVHWSIDIINIRPPNLVRLPK >SC212g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000070.1:63055:64590:-1 gene:SC212g500020.1_BraROA transcript:SC212g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTDALLSDCSDTTISIDVQKNPSIDITLYATMLTLFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQRHPWPRPENTPFDEGKLWRLRIRDEHRLLPLPDRTITDFGNNVAQLRCMPDEAFLRNPRNMSRRPPSIRRTRARDAQAPPLPDFPNIPDIPMQDLGDFQRFVVDALQAIWARVSCRSRRATGAHAPAARRDPSPEDDEATDEDTD >A08p023950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15686401:15690635:-1 gene:A08p023950.1_BraROA transcript:A08p023950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMAGIQGQVLEVTVVGCQKLKDTEWFSRQDPYVVLEYSSTRHRTRTCTDGGKNAVFQEKFMFTLLEGLRDLKVVFWNSNTLSTDDFIGNATIKLQKALSEGYDDCTWTLQGKNGRYAGEVRLILHYAAAKKQNYGSAQLAPPYAPQVPHYSAPYSGPSLYPQVQYSQPQSAYPPASAYPHQPSAYPPPSASAYPPAPSAYPPGPSAYPPPPPPPSSTYPPQQSPYYPQEEEEELSCLDDLYLATDRKEAVGWILRVNSHYGFSTLAAVLAITYLDKFICSYSLQRDKPWMLQLVSVACLSLAAKVEETHVPLLLDFQVEETKYVFEAKTIQRMELLILSTLQWKMHLITPISFLDHIIRRLGLKNNAHWDFLNRCHRLLLSVISDSRFVGYRPSVVAAATMMRVIDQVEPFDPLSHQTKLLNVLNITKEKVEPCYNLILQDRIGLQIETQTSRKRKSRDSPSLISPSCVIDSNPFNGDESSNDSWLTSSYTPPPSSPEQEPPVKKTKKEKPILHLPWAIVASP >A10g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9696431:9697053:1 gene:A10g503850.1_BraROA transcript:A10g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDMMETRVKELAGNSWRSAPSGERCVLVRISVGESGTVTGRADGPGAGRFDQVGLSLGTGSGQASREEKGRNRQWAVMGRLRDGFGKKGCDWLVTVRPGGWGQFKSSSPSHFCHSGRFLLNLTQRETQRKFKRERETQTLDWPI >A04g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14386632:14390817:1 gene:A04g506460.1_BraROA transcript:A04g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVKLSLGLWTKNSNGDWSFEVTSSYHGEAIIINNNETFDGLVELIRIRLNLGILTPVALTYQLPEWMIVPDGPKTPPITLSCDKDVEILTSVRDYMSEAVLYVTSGPELVARYEFLRRSPFTIGFPRLTVDDVVAMAEAGTISPEEEFYYAENDEVLYGEPMNIEELQYEIPIGQPAYLLNHSTPIQVEPLNVWRDMTEDEEYWDGIAAHENDYDVYYAQSTHPTEGVIGLPLAPNRRIAAPQPATIIIIDDDDGSTTGSSDALNENNIITSAPPSEVIATIGMELSNNGPSVMEGDLSTAVVNINQAGSSEFPIGPTPEVNSNKAEPTLDLTLTLGNKVPSYGDVPVESLNGSCSDPDEGSGNETNNSEEIYVGKVFRNRADFKQQMASYALRCKFRFKNSRSSPDGMVLQCVSLTCNWRVYAVKLKNVEKYEVRKLNLDHTCSVDERAENFEISGGMLVSQINAGEFDVKGKDGISYHVNLHTKSCSCFSFQTLLIPCPHAIAAAIKEKSSIESLVSNFYTMDTLVAAYAGNILPISSKVNPTVVKAWVITKPHAKWQYKKNVHEVKVGFSRMMKVFDKNGGL >A03g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6466310:6467143:1 gene:A03g501940.1_BraROA transcript:A03g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTSSRNLLSKSDNLDEVIAGFLSRWERTQYLFSEGVVRMVKRWKVWLGELWSVDMFAAEAWPGELCCVEVWLGEVWVGESWSGEKCIGVFWVSRSGILQTIHRILTKTFSGFCITIEGDLVVNNSHNHGMRKLKSKDCVFVEKEEVAAFAIPLEQ >A03p031110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13097642:13099306:1 gene:A03p031110.1_BraROA transcript:A03p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFNLRRQISNSTGTYRRNIHSGLEDAGPMASRLKSRSVVRFSGPDTIKFLQGLLTNDVRRFGVSSGERSSTVPTPNMPSVSTPPMYAALLTPQGRFLYDFFLYRPTRPDEKLDRTGSGPGSDPGRDGSVELFADVDVSVLDELLETLKKYRLRSKVDIENVAEEFSCWQRYGRNLSESSSVGWGGGVDRAGESTASGNKYGWQWYEDPRLDCLGYRSIFPSDSTPPLVEADKETDESNYLLWRLEHGVAEGSAEIPKGEAIPLEYNFVGLNAISFDKGCYVGQELIARTHHRGVIRKRLVPLRFIDSNRKEVNQKIAAGAEVVELGSGKKIGRVSTALGSRGMGVMRVEEAFKGSTELTVNGSEDVKVEAIKPTWWPVEWFQQDQSGVASA >A10p028480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17672829:17677793:-1 gene:A10p028480.1_BraROA transcript:A10p028480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAPRWNQDRPGRFHQETRTSSKLADSKWFPSNSSSNSGVEQAIGCYDTPVQELIVIDVLLSALVGIEGRYISIKRFHGKEDSIAFQVDPSMDLALQARTSKKNIPIMIIKPWWHNWNINFDLEDSLQGLWFYCQPMMGSMRALAVVIQQASAKHFVGSGVLNLLQSQAKAMAGDNSVRSLLEKMTECASNAYLSILERWVYEGIIDDPYGEFFIAENRSLKKESLSQDSTAKYWSQTYSLKETIPGFLANIAATILTTGKYLNVMRECGHNVQFPILERSKLTIFGSNHHYLECIKAAHEFASKELVSLIMDKYDLIGRLRSIKHYLLLDQGDFLVHFMDIAREELNKKVHEISVEKLQSLLDLALRTTAAAADPRHEDLTCCVDRTSLLKTLGMQKDTDSNSIEDPVSITGLETFSLSYKVQWPLSIVISKKALSKYHLIFRFLFHCKHVERQLCGAWQIHQGIRSMNSNGTAICRSSLLYRSMLKFISSLLHYLTFEASLIVVLEPNWHVMQDRLQSTRSIYEVIQHHDFFLDKCLRGCFLLLPDVLKVSVPFNVIVSQDKCTGATQWLISSSVDINSQSQKTMIRDTTVTESIFNFEREFNSELQSLGLVLSKGSQAEPYLTHLSQWILGVSKD >A07g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13418748:13420908:-1 gene:A07g505860.1_BraROA transcript:A07g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLQDIHVESPFSGRRVALSRVFIVASALALRFRYLLQRNGSTSTMCLRCDPCVTEAVEWGRRIRELGTRLSEEAERLQAASVEFSNLQRVRQASVALNVWQPEVVCGRQKQMVEQSAVPVSALEMESPSWRLCYANSKSRPGALVPQSKFIYSVFGRQSCLRKRQHRRRNVKRGGELTCSSTKSNICCKRFQAPLMHATVDVHRLNTFVHLFKTGSITGEPDTSSQELFQFRDSEQLLGCENTNTSSRGAVDDSSQGKESVLNGGPGDVVPGVSTFPAMINVMVMTINEKEPAIETT >A01g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:855224:855682:1 gene:A01g500240.1_BraROA transcript:A01g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGLNQLDNGWPNKIKCLGFGNWGKHDIFFSQPRERQRELLRLFFLVFFDSSFSSSIPLSRDRFFFLFFVLLRFSFDSFDSPSTSEAIYGQKQAFVVVGRVITGIEAKLKLRVMELYLSTSMRQGPCW >A09p070550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54707541:54708409:1 gene:A09p070550.1_BraROA transcript:A09p070550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLMRLDGKVAIITGGASGIGAEAARLFTEHGAKVVIVDIQEELGQNVAVSIGLDKACFYRCDITDETEVENAVKFTVEKHGKLDVLFSNAGVMEQPGSILDLDLKQFDRTISVNVRGAAAFIKHAARAMVEKGTRGSIVCTTSVAAEIGGPGPHAYTASKHALVGLIKTACSGLGKYGIRVNGVAPYGVATGINSYNEETVKMVEEYVAATTILKGVVLKASHVAQAALFLASDDSAYVSGQNLAVDGGYSVVKPM >A03p021030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8689816:8691677:1 gene:A03p021030.1_BraROA transcript:A03p021030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 8 [Source:Projected from Arabidopsis thaliana (AT2G37025) UniProtKB/TrEMBL;Acc:F4IPY7] MDWTGIVPPFGMDPRPYSPETFAGFKCHSDNMSSDTKRMEPRHDNISVDSFLGLSMENMGITSSKMDEFSLGLDFSFLPDDFGTSSALDSWSKQDGEAGLKPEILDGFLDEVEEVEHIYSSHLPETQVKKEVSELDGEPYGLMNFSSESCSPSGSIGLSDWSKETAVSHAESSVKVKDEPEDDRKPLSTLLLGSWVGRGRSRNKNLLNTRCTRLDSFSETKSCLSYDFRPRKEPMDDTLLSDDPITSSESEDDISVTTIKSKIRTDRRKHHRMWTIDEVVKLLDGISHFGLGKWTDIKNLFFHSSSHRTPVDIRDKWRNLLKASCSNKHNGDEEGEEKRRWSAGRTIPKDILHRVRELASLNSKSVCDFRGSSRSPSTSRKKKKKRG >A09p027080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15285549:15288457:-1 gene:A09p027080.1_BraROA transcript:A09p027080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLSLNKDGTNQRRNRENEDSWHCLDSHRHGRSKSASSERYLQVSSDGASPFPKHYPRMQTSSVQTTANKKPKALNARQIFIKKHAPSQDRASLERDVEQLHLRLQQEKSMRLVLEKAMGRASSSLSPRHRHFSSQAKELITEIELLEEEVANREHHVLSLYRNIFEETISRASSKQSSVISSPAHHIKQPPRKHPSVISNAFCSSNNFPLKPWHAMVTFKDLSRKPSKKDQSSQFQDNSCLPSIKSCSGQAKSYSKDSVTEITPSQRTLKDHLYQCPSKLSEEMVKCMASVYFWICRSSMSADPEKRKKDSPILSRSATTNVVIPKNVMSEDRSWSCRSIVEVSLISTDKRIFSQASYAINNYRLLVEQLERVSINQMEGNAKLAFWINIYNALLMHAYLAYGVPANSLRRLALFHKSAYNIGGHIINANTIEYSIFCFRTPRNGRWLETIISTALRKKPSEDKVSLKFSLHNPEPSLCSALCTGALSDPVLKAYTATNIKEELEASKREFLRANMVVQKQKKVFLPKIIERFTKEASLSLDDLVRWLIDNSDEKLGESIQKCMEGNPNYKKASQVIEWLPYSSRFRYVFSKDLTMEKKPWWV >A09p068680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53726784:53732049:1 gene:A09p068680.1_BraROA transcript:A09p068680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTEVVLLGSLICLSFGTTVNSDNGATLLEIKKSFKDVNNVLYDWTASPSSDYCVWRGVTCDNVTFNVVALNLSDLNLDGEISPAIGDLKSLLSIDLRGNRLSGQIPDEIGDCSSLQNLDLSFNELSGDIPFSISKLKQLEQLGLRGNNLVGNLSPDLCQLTGLWYFDVRNNSLTGSIPETIGNCTAFQVLDLSYNQLTGEIPFDIGFLQVATLLDSSSFFSVPYLANPFFCFAYKKFWFISSFRSLQGNQLSGKIPSVIGLMQALAVLDLSGNMLNGPIPPILGNLTFTEKLYLHSNKLTGSIPPELGNMSKLHYLELNDNRLTGHIPPELGKLTDLFDLNVANNNLEGLIPDHLSSCTNLNSLNVHGNKFSGNIPRAFQKLESMTYLNLSNNNIRGPIPVELSRIGNLDTLDLSNNKINGNIPSSLGDLEHLLKIDLSNNDISGPIPEELNQLQNMYMLRLENNNLTGNVGSLVNCLSLTVLNVSYNNLVGDIPKSNNFSRFSPDSFIGNPGLCGSWVNSPCHVSRPTVRVSISRAAILGIAIGGFLILVMVLIAACRPHNPPPFLDGSLDKPVTYSHPKLVILHMNMALHVYEDIMRMTENLSEKYIIGHGASSTVYKCVLKNCKPVAIKRLYSHSNPQSVKQFETELELLSSIKHRNLVSLQAYSLSPLGSLLFYDYMDNGSLWDLLHGPAKKKKNTLLDWDTRLKIAYGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDLEARLTDFGIAKSLCVSKSHTSTYVMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDDEFNLHHLIMSKTVSNAVMEMVDNDITSTCKDLAVVKKVFQLALLCTKRQPNDRPTMHQVVRVLGSFMFSEQPPAANAVAAAAAATSATLNSSCYVDEYANLKAPHNVNCSSMNASDAELFLRFGQVISQNSV >A08p003990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2317569:2319314:-1 gene:A08p003990.1_BraROA transcript:A08p003990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTQLRFLVLSQNNLSGNIPVSFSNLKELVNLDISSNQFTGGNFPFILPNVTSLYRLPLGVSGLSKLENFDMHDNSLSGPLHTSLFMIPSLTWVDFSGNYLEGPIEFGNISSSSRLEYLFLANNQFKGTIPTSISNLVNLIHLDLSNNNLEGQVPGWLSQRQQVMLSQNSFNSFEKSWEVFDETNMEALDLRSNSFQGTFPYWICKLRSLRFLDVSDNLFTGSIPQCLKNSTASLTYLVLRNNSFSGILPNIFFNATQLISLDVSHNQLEGKVPKSLINCKAMQLLNMESNRFEDEFPSWLGSLPSLNIFILRSNQFYGPLYHNNRLSIGFQALKVIDLSNNHFSGSLPSFYFSNWHEMTTLTGNYDDSYMEYYVYYAAVYYNSMEMVNKGVNTEFERIRQDFRAIDFSRNNFCGSIPESIGLLKELHLLNVSGNTFTSNIPQSLKYLTKLETLDLSWNQLSGQIPGGLASLSFLSSMDFSHNNLQGPIPRSTQFQSQNCSSFMDNHKLYGLEEICRETDHAPNPTPQAYEDLFEREEERVINWIAAAIAYGPGVFCGLVIGHIFVSQKHKWLTERHLL >A08p016970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11900701:11901164:1 gene:A08p016970.1_BraROA transcript:A08p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT4G14560) UniProtKB/TrEMBL;Acc:Q67YC2] MAYEEVINELNLKDTELRLGLPGTDEQAEVSCVKSNKRQFHQSNDEHNLKEESPPPPVKTQIVGWPPVRSNRKNNNKSVSYVKVSMDGAPYLRKVDLKMYKNYHELLKALENIFKFTIGEYSEREG >A06g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7296992:7297973:-1 gene:A06g502020.1_BraROA transcript:A06g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLLCLLPINVILTNPQNCDSESPSSSVDRKKLQAKPLNSAVDDWVGRLLALVSSDMPDKCWVGVDLMGVTCQECSSDRFFSLYFVWFNSLLSHIKNQESSRIVRVVSCTSISDLLTRLSRFTNTKKDAVSHASKVIFPSLNYWRKNLQRHYGKALSIS >A02p029160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14727749:14729031:-1 gene:A02p029160.1_BraROA transcript:A02p029160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKLILDFEKFDDGFVQKLVYDALVWSSLHGLVVGDKTYQIVLMRGFDLQRSGTVPRENDFFKVFGPAFKRNVRWSVNTRVLDLGDRTRRYYNFWYAFKSWREFPEEEEHDLEEADSREEKRWMERENARKTQKARKEEYARIRTLVDNAYKKDPRIVKRKEEEKAKKQAKKDEKVMAKKKLEEEAAAAIEEEKRRKEEEAKLAAEAAQQQKTLSAPVLSQRLLGISVAYVEDLCMSLNTEQLRKLCDKMENKEGLKLAKVLKNGNNDETESEEEEVVEAKHNGHVKANGFATQSPRAAAMV >A07p027550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15706605:15708220:-1 gene:A07p027550.1_BraROA transcript:A07p027550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKNWKLVPVNGRTQKKMKPRASGTNLALFKRDIDELIDEFVEGDLTTFGDMKRVWLSRKFSYIYEAFPNTNLAFFIQSLYAHTIGHMVSIDSFSRRLGGLYCLYCLHDIQPFKPKFRIYISLQELGKFRDLIVEAKEKRVEIATAVGKQMLDKNVFIFGAVDFDETSTTEKLHQSIELQNRLVRCAYKKLTSETEIDKFIHLDMGKEVDLSSIHKQSMEYAEAKKRAVKSAGEIVEIGDIKHIAEEKELMGEKVDKLKEEWDSQKLSFCEKTKFDGLDMKQKLLKDVEHDDDGDEDEDDGFGELDRLLSDS >A03p060660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26319396:26321258:1 gene:A03p060660.1_BraROA transcript:A03p060660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQVVNTYPLSNYSFGTKEPKLEKDTSVADRLARMKVNYMKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGESEVEGLKRKLTSKLGGNSAGIVPDWKVGECVATWWRPNFETMMYPYCPPHITKPKECKRLYIVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMISS >A01p015990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7858023:7861334:-1 gene:A01p015990.1_BraROA transcript:A01p015990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDTTCPGPMKATSNGVFQGENPLQHALPLLILQICIVLFLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGKSSKFLNTVFPAKSITVLDTLANLGLIFFLFLVGLELDPKSLKRTGKKALSIALAGITLPFIFGIGTSFALRSSIADGASKAPFLVFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGEGSSPLTSLWVFLAGCGFVVFCIFVVQPGMKWIAKRCPEGEPVKEHYVCLTLGIVLAASFVTDLIGIHALFGAFVIGVIFPKEGNFANSLVEKLEDLVSGLFLPLYFVSSGLKTDVATIQGAQSWGLLVLVIFNACFGKIVGTVVVSLYCKVPLDESLALGFLMNTKGLVELIVLNIGKDRGVLNDQIFAIMVLMAIFTTFMTTPLVLAVYKPGKSSTKGDYNNRTIEETNRSNKPLRLVFCFQSIMNIPTIVNLIEASRGTNRKETLSVYAMHLMELSERSSAILMAHKVRKNGLPFWNKDKSGNSSDMVVVAFEAYQRLSRVSVRPMTAISAMATIHEDICRSAERKRAAMVILPFHKHVRLDRTWETTRNEYRLINKKVMEEAPCSVAILVDRGLGGATRVSSSDFSLAITVLFFGGNDDREALAFAVRMAEHPGISLTVVRFIPSEEFKPENVKLEITEDQTGSCSGETRLVDIEAITELKAKLKEQESSECQIVYEEKIVKCHEEVCKAMDEYSRSNLFLVGKSPEGSVASGLHVMRSDTPELGPVGNLLTSSESVSTSASVLVVQQYVASRDSPVVGVLKNATKEDSPVKDTESP >A09p053480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46407885:46409231:1 gene:A09p053480.1_BraROA transcript:A09p053480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEAKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTILGPHNTAYEGKIFQLKLFCGKEYPESPPSVKFQTPINMACVNPETGVVEPSLFPMLTNWRREYTMEDILVKLKKEMMTSHNRKLAQPPEGTEEARADPKGPAKCCVM >A10g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13572333:13574000:-1 gene:A10g505410.1_BraROA transcript:A10g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDRGPGTDHIVDVGTSSRAGTRTNPPRAGRPTPNPPAQVSRRKAPQQKEKSPADRATLEAEIEDMIEEGLRAETEDEEEETPAPKPAKKRKRVPPTSKPTSAQLYERLYNDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKEASCEFLSTFFVQYHCDEHRTEGFGRISFKINERTYKVGFKKLSSILGFSDNPGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIRSFITPILDAFGIDLGPRDHAPASIDLAYLKKTHFLSGQSGDRYGYPFWSTDLDPEQLQIFLPCERLTTLSDPRHVLFAPAAHELIPADFGVLETITKVRKKKTKASSSRAARPSDADDEGPTTPAPVYGTERYHFQPYGGVTPNVALRQDLSQNAKLLRWNKMQNSTIYKLKNSVKTLKRQMKKVTALLSQVSIGSGCQRDDVLAGAGPSTLPYPVFYGPPRSPE >A07p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8496680:8497612:1 gene:A07p018960.1_BraROA transcript:A07p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTITTMVTRLFLWSFDCTTAQELDNSINVFRAQETETWRIVALKKVGFDKFELESVYMAKDFPEPRVFMDSRNYANQKVQSLEAVYLTFPYAMPMTKKSEFFEDKLEKMKLRQDYRSL >A05p010450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4406147:4411663:-1 gene:A05p010450.1_BraROA transcript:A05p010450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative white-brown complex homolog protein 30 [Source:Projected from Arabidopsis thaliana (AT2G37010) UniProtKB/Swiss-Prot;Acc:Q9SJK6] MRRLRIDVGWLQHILFFFVCGLGFISLASCLDGDDYSKTGNPKVLVPVTNLIYNRLQSLKNVLKADIDRDLGYCIKNLKDDWDEAFDFDKNLDFLSNCIKKTDGDITLRLCSAAEIKFYFSSFVRRDEVTTVHVKPNVNCNLAKWVSGCEPGWSCNADDDKKFDIKNGKVLPSRTRKCQPCCEGFFCPQGLACMIPCPLGAYCPLAKLNKATGVCEPYNYQIPPGKLNHTCGSADSWADAESSGDMFCSPGSYCPTTIRKVTCGSGHYCRQGSTSQKPCFKLATCNPNTANQNIHAYGAILIASLSLLMIMIYNCSDQVLATREKRQAKSREAAARHAKETTQARERWKSARDAAKNPKMGLTAQLSQTFSRMTSKKDTPDKASGKSKDKKKGPSNLTKMMKSMEDNSSNHEGFNVGTGGSKPGKKPQAPKGKQLHTQSQIFKYAYGQIEKEKAMEQNNQNLTFSGVISMAQDTEIRSRPVIEVAFKDLTLTLKGKHKQILRSVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKSTGCTRAGLILINGKNESINSYKKITGFVPQDDVVHGNLTVEENLRFSARCRLSAYMPKAEKVLIIERVIESLGLQHVRDSLVGTVEKRGISGGQRKRVNVGNEMVMEPSLLILDEPTTGLDSASSQLLLRALRREAVEGVNICMVVHQPSYTMYKMFDDMILLAKGGLTAYHGSVKKIEEYFAGIGITVPDRVNPPDHYIDILEGIVKPNSDITIEQLPVRWMLHNGYPVPHDMLKLCDGLPSSSGSAQNDSTDNSFSNDLWQDVKTNVEIQKDQLQDNYSNSQDNSNRVTPTVGRQYRYFMGRIGKQRLREARLQALDLLILLVAGACLGTLAKVNDETINSLGYTYTIIAVSLLCKISALRSFSVDKIQYWRESAAGISSLAHFMAKDTMDHLNTIIKPLVYLSMFYFFNNPRSSFEDNYIVLVCLVYCVTGMAYVFAILYSASAAQLMSVLVPVVLTLIANQDRDSIVLKYLGSFCYPKWTLEAFVLSNAQRYSGVWVVTRCSSLSQYGYDLSDWLLCLIVLVLMGVICRFIAYFCMVTFKKLCMILFVDHQMMLFMVPQEAKVLQEEEELILIVLHSNVKIYTK >A10p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2005584:2007957:-1 gene:A10p004090.1_BraROA transcript:A10p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid oxidase 1 [Source:Projected from Arabidopsis thaliana (AT1G05160) UniProtKB/Swiss-Prot;Acc:O23051] MAETTSWIPVWFPLMVLGCFSLKWLAKKVNVWLYESSLGENKHYLPPGDMGWPFIGNMPSFLRAFKTSDPDSFARTLVKRYGPKGVYKTHMFGNPSIIVTTPDTCRRVLTDDDAFRPGWPTSTMELIGRKSFIGIPYEEHKRLRRLTAAPVNGHEALSLYIPYIEENVITALDKWSKMGEFEFLTHLRKLTFRIIMYIFLSTESENVMDALEREYTALNYGVRAMAVNIPGFAYHRALKARKKLVAAFQSIVTERRNQRKQNSSSNKKDMLDNLIDVEDENGKTLDDEEIIDVLLMYLNAGHESSGHTIMWATIFLQEHPEFLQRAKAEQEMILENRPKGQKGLTLKETRQMEFLSQVVDETLRVITFSLTAFREAKTDVEMNGYLIPKGWKVLTWFRDVHMDPEVFPDPRKFDPSRWDKGFIPKAGAFLPFGAGSHLCPGNDLAKLEISIFLHHFLLKYQVKRSNTECQVMYLPHTRPTDNCLARISYQ >A04p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3656855:3659237:-1 gene:A04p006860.1_BraROA transcript:A04p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAVEKSTGKLVALKKTRLEIDEEGIPPTALREISLLQMLSTSLYIVRLLCVEHVLKPPAKSNLYLVFEYLDTDLKKFVDSFRKSANPRPLGDPLIQKLMFQLCKGVAHCHSHGVLHRDLKPQNLLLLKDKELLKIADLGLGRTFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEYQQLLHIFKLLGTPTEQQWPGVSSLRDWHVYPKWEPQDLARAVPSLSPQGVDLLTKMLKYNPAERIAAKTALDHPYFDSLDKSQF >A08g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5691065:5691681:1 gene:A08g502770.1_BraROA transcript:A08g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQGGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRTKHLLRKIEKLNTKAEKEIIITWSRASRANDGN >A03p001730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:869925:871004:1 gene:A03p001730.1_BraROA transcript:A03p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEEAIAASKEQALILKGKRTKRQRPQSPIPFSVSPPIVEEEVSNVLDSKENDVANSKKDGVITSSSSSASWSSNNNQTLKAEEDEEDQDIANCLILLSQGHSFPQHNQQLKIPHQEINNNPTYRFSSRRFLETSSSNGGGKSGYYVYQCKTCDRTFPSFQALGGHRASHKKPRATSFYSNLDLKKSIYENDAASLTNIYNNKNNNNRSLVAYGKAGNNKVHECGICGAEFTSGQALGGHMRRHRGAVVVAAAPAPIVTVAAAAANTELSLSSMSYDQISEGQDHLVMPEAKKAKKMVVSLDLDLNLPAPEDENRVNGLSLTLKQKHEQEQEHQQTKQREEQVSLVLSAPTLVDCYY >A03p042750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17857005:17859826:1 gene:A03p042750.1_BraROA transcript:A03p042750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 19 [Source:Projected from Arabidopsis thaliana (AT3G17630) UniProtKB/Swiss-Prot;Acc:Q9LUN4] MATPNATGNCPTAMKASSNGAFQNENPLDYALPLIILQICLVVVFTRLLAYLLKPLKQPRVIAEIIGGILLGPTALGRSKVYLETIFPEKSMTVLDTLANIGLLFFLFLVGLELDFAAIRKTGKKSLLIALAGISLPFVIGVGASFILSSTISKGVHQLPFIVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAGVNDIAAWIFLALAIALSGNDSSSLVPLWVLLSGSGFVIFAILVIKPILGFMAKRCPEGEPVKELYVCVTLTLVLAASFLTDTIGIHALFGAFVVGIVTPKQGPFCRILTEKIEDLVSGLLLPLYFASSGLKTDVTTIRGAQSWGLLVLVILTTCFGKIVGTVGASMLCKVPFREAMALGVLMNTKGLVELIVLNIGKDRKVLNDQVFAILVLMALVTTFITTPLLLAIYKPARKGAPYKHRTIQRKDHDSELRILACFHSTRNIPTLINMIESSRGTGLKGRLCVYAMHLMELSERSSAIAMVHKARYNGLPIWNKIERSTDQMVIAFEGYQHLRAVAVRPMTAISDLSTIHEDICTSAHQKRVAMILLPFHKHQRMDGAMESIGHAFHEVNKRVLQQAPCSVGILVDRGLGGASHVVASEVDYKIVVPFFGGLDDREALAYGMKMVEHPGITLTILKFVASRGTLKRFEKGEEEEDEKKEKEIDEEFVRELMNDPRGNESLAYEERVVESKEDVRATLKATSKCNLFVVGRNAAVVPLVNSTDCPELGPVGRLLSSSEFSTTASVLVVQGYDSAADTRPVVEDDDYEQSSREIGDSTV >A07p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:87872:88622:-1 gene:A07p001560.1_BraROA transcript:A07p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIKHKDRVLGLILISPQRKAPSWSEWAYYKLVSNLLYYNGMSGLLKDHFLQRYFSKEARVSYDVCIHLLGERHVISLRLLLEAINRRHDITDGLRSLKCQEQPHAMLIPMAFFFVGFGLYRPGRVSDRPWSPLSPRRVSFCLHRVLV >A01p053950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29762615:29764645:-1 gene:A01p053950.1_BraROA transcript:A01p053950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSNPRTVEEIFKDFTSRRAALLRALTKDVDDFYSQCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSLINDLPTLFDVVTGRKPIKDNKPSSDSGSKSRNGGTKRSIEGQPKSTTPKLMEERYEEEEDEEEEDEHGDTLCGSCGGNYTQDEFWIGCDVCERWYHGKCVKITPAKADSIKQYKCPPCCAKKGGRQ >A06p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14829804:14830828:1 gene:A06p025390.1_BraROA transcript:A06p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVEPDLKLMAFNEPDLQINGYAGEVQSQETKWERFLMDAQQPILLTLTSSLCGGPCDIVDEQVTQLARYYGNRISLYKADILEKAFFARLYKVINVPTIIVLKDGKEIKRLEKGFYWGSVYDLIFKGNIFTLSESALPPSALPPSESAAGPPARV >A03g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2919852:2920907:-1 gene:A03g500960.1_BraROA transcript:A03g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKRKNAYGGASVNAGDDRTPSSVSSTSDRTVRSNVMSKAPRLMQSAFSTLGDLYLYKLSDALYGEVVRIASLTFWELFYQMANWFMFFCMNRTFSNCLETVLTIMGLYYYRSFQGLSSQSEVRFGHSGPSTCRQIHLPRGDPDRLGESNSSSGLLNYYFQLYFCLECCRFQQRGTEDAMNYLSEEAYKGRVKSILFLMPCHSTPYYSTLHSNIPMQFLDCTPR >A09p028810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17267741:17276492:1 gene:A09p028810.1_BraROA transcript:A09p028810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIESKTAAIGCMILSLCCLGSWPAILTLLERRGRLPQHTFLDFTTANLLSAIVIAFTLGEVGKSTFQTPDFTTQLLQDNWPSVLLAVVGGVLLSVGNLATQYALAFAGLPVAEVITASITVVIGTTLNYFLDDKINRAEVLFPGVGCFLIAVFLGAAVHYSNAADVKAKLESLPSEYKAGTHREGDFYSSIRKGEDNPEKEETDLESHEQPVEKAKAGTAGFFVELENKRAIKWSTLPKGVPKLVVYTAFFYFSIAGFLIALILNLIFLYRPMAGLARSSLKKYVKDSKGRSWAVFAGFLCGFGNGLQFMGGQAAGYAAADSVQALPLVSMLLMFVAAVAILMASSGRRGETTVPPSLDPQNGVFSKDSIYSPEKNLSIRIYLPEKASFDGEKLPLLIYFHGGGFIVETAFPPTYHTLLTSTVTAVNCRSFRFRSRTKTHGMSETPLDELEPRDEAMRKMIEGGWRTVSPNSVNGADDPLINVVGSGDSDLFGLGCWPDFFFGANQTKGQKLQKVYIESGKMYIIESKGGAIACMLLALLFLGTWPAIMTLTERRGRLPQHTYLDYTITNLLAAVIIAFTLGQIGPSRPNFITQLSQDNWQSVMFAMAGGIVLSLGNLATQYAWAFVGLSVTEVVTSSITVVIGTTLNYFLDDRINRAEILFPGAACFLIAVCFGSAVHKSNAADNKSKLQNFNSLETTSSFQIETNHANSGLGKEKAKEGTAAFLIELEKQRAIKVFGKSTIIGLAITFFAGICFSMFSPAFNLATNDQWHTLKHGVPKLTVYTAFFYFSISAFVIALILNIRFLYWPVLGLPRSSFKGYLNDWNGRGWSFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYVLLISMLFMFIVAVAVLMASSGLLLISSMLCSPLSSLMIPTLDMAKLGLPERCENADFFTSNNIDDRHYMILIILSRLQRSACEASPNNATELYSRTCSFSGLLELLRSSVYQDFCSTALATSLYALIVHQSA >A06p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6295031:6297874:-1 gene:A06p013940.1_BraROA transcript:A06p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKGGRNVTRLSGSFSLELTCFHQDGFSQITREATEEKGVDALCVNGLVVVRLVLCSRQGIQLHGLWLVCCLSSAILHLYGVYGLVSCSLKVFEKMDREATKPLKDWTAFLQTPCSSYERWPSASVTEKHIFTIFTEKFDINDWFPQDILSEIFAKALSEDIPPGVNAYQHDGAGAFHLTWKIAEWIPSGITTRDGITAENSVDPQLNEGQDCDYVAGTDEDAQMKVTESEEFGAMVENLRTLDFEHEDDENTETRNAQLPPLGSPLADYDASGLQTEILSKLHHPNVVPFYGVVKDGPGGTLVTVTEFMVDGSLRHVLIWKDRNTLVSGGVRGTLPSMAPELLNGGCLLFWYSLVGDSDWRETGREEPYANMHYGAIIGAYLPITIRLCSSSGLGCCVSNFPMGNYLYILPDRFFLVWDTTMSKLLYGQVQAPNPTTRPSFTEIAGRLHVMTTAATSNQSKPPYHNKASK >A04p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2565310:2568807:1 gene:A04p004950.1_BraROA transcript:A04p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTHKHNRYSSRKIDQSLSFSVAWKKEKTMNIFRSRKFSGLCVLSILLVSVTILLLTNDTIDLFPYLSLSYLRRSSLSDVPTFTPTSSPAPVNNPPPPPEPPVSQTRVDDDDDEPVHQDLEMDWVKDKSSLKVEWKRCESPDYMPCLDNMKAIKKLKSKRNMEHRERHCPVPAPRCLVPLPKRYKVPLPWPKSRDMIWYDNAPHPKLVDYKKDQNWIRKTGPFFVFPGGGTQFKDGVIHYINYIQKTLPALEWGKKVRVVLDVGCGVASFGGTLLDKNVITMSFAPKDEHEAQIQFALERGIPATLAVIGTQKLPFPDNAYDVIHCARCRVHWHGYGGRPLLELNRVLRPGGFFVWSATPVYQHDEGHRNVWKTMESLTTSMCWKVVARTRFTKVGFVIYQKPDSDSCYGARKNNEPPLCNEEDTKKNSSWYTPLLSCVPKVPVGLTGKWPSRWPGRLTDKPVSLLTEQSSEESFREDTRLWSGTVSDIYLTGLAINWTKIHNVMDMNAGYGGFAAALINRPLWVMNVVPVQGEDTLSMIFDRGLIGIYHDWCESFNTYPRSYDLLHSSFLLTNLSQRCDLMEVVVEIDRIVRPGGYLVVQDTVEMMKKLNPILLSLRWSTNVYKGKFLVGLKSSWRPTCYDGEKGVAKAGKALHYKGSAFHLLIPGFMSPRWRLHMWEWNWWRIDIWD >A05p048400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28349289:28351109:1 gene:A05p048400.1_BraROA transcript:A05p048400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 32 [Source:Projected from Arabidopsis thaliana (AT3G11030) UniProtKB/Swiss-Prot;Acc:Q9SRL3] MKNIIRKKEIMTSSSLLSPSSSPLRKKRRLTHFFYPVLAFILLAAFIYCHDFLFFSRRSLHSPTTTTILHQVNDPPSPPPPPPPPPPPAFAVGKTPEGCDVYRGHWVKDKSTRPLYKESECPYIQPQLTCRAHGRLDTDYDSWRWQPHSCSLPSFDAKMMLESLRGKKMMFVGDSLNRGMYVSLVCLLHSQIPEHSKSMETFGSLTVFSLKDYNATIEFYWAPFLLESNSDNATVHRVSDRIVRKGSINIHGRHWRGADVVVFNTYLWWRTGFKMKILEGSFKDEKKKIVEMESEDAYRMALKTMVKWVKKNMDPLKTRVFFATMSPTHYKSEDWGGERGKNCYNQTTPIQDMDHWPSDCSKTLMKVIGEELDGRKDFPVTVLNITQLSGYRKDAHTSIYKKQWSPLTKEQLANPVSYSDCIHWCLPGLQDTWNELLFAKLFYP >A05p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27081429:27083037:-1 gene:A05p045250.1_BraROA transcript:A05p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHDEGWRSGGRGGPRGRSQASGQRVNRQKGERPVTVGRSLDESSGNRSRGRGSRERGRCKHVQVYVEKSSRVANVGNSAQEEEVKSQEDGLGSSKKPDEDAAFNCSGDNSDLLQPSASPVSRECEGKNDGRMSCGLVNEMEDVPLSGQVSMSSTSDKKVEISSAGKSGDVGKAPDGSNTRLFDICLEKKGFCLKSSSFELNKEKRRATKGYASIVIRPGMVLLKNFLSINDQVMIVNKCRELGLGEGGFYQPGYGDGALLHLKMMCLGRNWDPQTSRYGDTRPHDGSVPPKIPFEFNQFVQKAIQDSQSLVATSSKKGEDEIPCMSPDICIANFYTSTGRLGLHKDKDESAKSIQKGLPVVSFSIGDSAEFLYGDQRDDDKADMVVLQSGDVLLFGGKSRNVFHGVRSILKDTAPKVLVQETNLRPGRLNLTFRQY >A09g511040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33552565:33553170:-1 gene:A09g511040.1_BraROA transcript:A09g511040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWAVIFRNLIITIQFRRKSLRRRTHANLEPSERDIGELSQPPSTEIRSVTPPPSHSLGHECVRDFETSSEQEFQPEIRRDALTRAGGRFARESHAPPSRPDVRRSHRRVSATAGDFPVSHHRRWLPPATGLRRLAGRLAGNSLVTR >A01p055450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31162581:31163302:1 gene:A01p055450.1_BraROA transcript:A01p055450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H9 [Source:Projected from Arabidopsis thaliana (AT3G08710) UniProtKB/Swiss-Prot;Acc:Q9C9Y6] MGSCVSKNKGDDDTIHNVDFSGGNVHLITTKESWDDKLAEAGRDGKIVIANFSATWCGPCKMLATFYVELSEKHPSLMFLLVDVDELCDFSSSWDIKATPTFFFLKNGQQIGKLVGANKPELQKKVTSIIDSLPQSPQQP >A01p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14286659:14288112:-1 gene:A01p039510.1_BraROA transcript:A01p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKQTSFTFEIDNFSEKEAGRRSPQFLAGGCEWYIQVYSNGELVDDHLSMYLGVANPESLRLGWKRRASFSFLLLNQSGKELYRTKELCALFCDQLTTAWGRSKALPLKKLQEKGFLENKKLIVKVEVKVIEVVDEAEEVTRKKMLDVQGFKVLHSQAVLVSRLFEKHPDIAVNFKPKNQQVKTTYMIFLLHLIETLKKTPHSISETEIWIAGNELIVLTEAGFELDWLKTKLHNISFKRMLSYDNVSRVQEFENQVKNLKAEVSLERKTTTYDNCSRVQKLEKQVKNLTAELNIEKEKSATYATKVYALEKTVSDIIELSSTRSGTQSRSDLVDFKTSTIYVSGTHESRQ >A05p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28827735:28829375:-1 gene:A05p049520.1_BraROA transcript:A05p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDTICKKYDKYDVDKQRESNISGDDAFARLYGAFETQIETALEKAEIVTKEKNRASAVAMNAEIRRTKARLSEEVPKLQRLALKRVKGLTTEELAARNDLVLALPARIEAIPDGTAGGPKSTSAWAPSTTSRPDIKFDSDGRFDDDYFQESHESSQFRQEFEMRKIKQASGDQGLDMISEGLDALKNMASDMNEELDRQVPLMDEIDSKVDRATSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIVLGIAAYLYNVLK >A02p011190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4854276:4854920:1 gene:A02p011190.1_BraROA transcript:A02p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSPTDGNDSYSWRSHTGGFGSSFSFSLTWNLLRQHSSIVEWHEVVWFREEISHYSFITWLFVLKRIPTKDRLISWGLTVPDACVLCSDHVEFHQHFFFECSYAVSIWSLYCSRFITSPPSDISSAVSMCLSYSGIYASQVKIIMKLLLQVLVYSLWRERNGQIFRDLSHSPTVFFRMVDHHMRDKLLSLFAALNDVHYLLELYFWFIAPFS >A03p034420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14528381:14529372:-1 gene:A03p034420.1_BraROA transcript:A03p034420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCGYVAEVTNLSPRATEKDVQSFFSHCGIVELVEITGCQGDDALTAYVTFRDAYALDMALLLTGATIVDQTVWISMYGVYLHESNNLTREEDYTVTVTQSHADAFASSPGEAVTIAQQVVKTMISKGYVLSKDAIGKAKALDESQGFSSLVANKLAEIGRYIGLTQNIQSSMEVVRSADDKYHFSDFTKSAVLVTGTAAVAAATITGKVAASAATTVVNSRYFANGALWFSDALGRAAKAAAHMGGGGSS >A09g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11755923:11757616:1 gene:A09g503760.1_BraROA transcript:A09g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPPKEESNAPGGAQNPSNLFGGVDSISSLPDEMLHHIFSFVPTKVAITTSVLSKRWRHVWCKTPYLSFPHHKSSLESIHETLASYTAPKIMRFHLYVDRETSEASERSHFFFSNSSLKQLIVDSWNYMSPKCTVSWTSLQNLSLRNSSLDESFTKVLSGSPMLESLTLQSCSLSCLDLSESPRLRRLDLEFFNSSPRKCHIVAPHIHYLRMIDFTQKYSLVDVSSLIEANIDTIYFLPRFWCTQDDPSKDPSKHDYHVMMQTMLEKLQNVENLTVGLSFLQMLSIAEYSGVHFPTFKVKTLTLKTTILRSAVLGIAKLLQNSPELKKIVFYKTEDWNCSVEKYVNRYMEPQDPQDLIFPAKSAFKVAKPDLVASFMELLLRNTRKLEKLVLQLRSCLNISNYDELSQIALTLSHKNKVSIVLK >A02p059140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35361635:35364541:1 gene:A02p059140.1_BraROA transcript:A02p059140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLYKTLFLRLVAHHPPRPQHRPISSSQLAPRRAHHQEEESRGVKVSVWWDFENCHLPSGANVFRVAQSITAAVRFNGIKGPITITAFGDVLQLSRTNQEALSATGINLAHVPQGSVLFRKISAFLIHFLGGKNSTDRALITDLMCWVAQNPPPAHLFLISSDRDFSTVLHKLRMSNYNILLAGYEERSLGVLCSAASIMWDWKALVRGNNLAGKCFNQPPDGPYNSWYGHYKTPLLDPFAASTKQQELQESSLDTNHVPEEVVREIGLVLSLYPKGVAITELREQLKKRNVPLGEEFYGYKRFSRFLLSMPEILEVVPKGEGVFLVHACKNVEEMPHKVKQNVEDVKKESESQESSQESESQEIGLEHLQEKKQEGVVSEGKVVDDEDLESQTSSESAKEVRADAEASKGQGLLRRLLKRFNLFCGGNKELSNEPAGGDVVDDVFEQDSFWKDVESFINSPRGFVLVSHSPSREALAKNLKEEGHSSLKQLDLSKTLDLVSLLISDKKWIKESPSEALPFRVTRFTSCPSNPHASSVLRSMFVSLSQCEEVDDENKSKNNGVSERSRSEVIADCHKLIKKITEESPGGYNMSNFKKDFLEEFKYRLDYQSLGYPKLQALIQMMPEARIESGYIVPSSTQAPYASESSLDKEVGSVSKKKEKGDETEREVLKILGCWDSVEDEEKTSGFGKDKLVDGILTSLREKPSGESRVL >A01g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9789922:9790308:-1 gene:A01g503020.1_BraROA transcript:A01g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGLVHVQDICIAHLFLMEEPKAEGQYICCVDNIDMHDLLLNHTFVRNIINDDLEERPGLMKPMISSKKLRKLGFQYKYGIEEIIHQQLMLL >A08p006470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3725969:3726688:1 gene:A08p006470.1_BraROA transcript:A08p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) UniProtKB/Swiss-Prot;Acc:Q9FZF1] MANPKPRDILNHLETYLAKRDGVDKLLKISRYTTKIILASSLIPQTNPLTHRLKSFESSVGVSRKAFRLGKFVQDINSLRASRHDSNRGLALLLILAYGGEGVYYFVEQFVWLSKSGLIDAKWLQKMSAWAELVGYVGSVSLKVRDLREIKEEEACVASTIEISVTRGIACGGEEEKMRKLKEKKTMKVLSVLQDLADGLMAVADVRDGKVGVLSAPSVIASAGLFSAIISTHKNWVSC >A04g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14843725:14846003:1 gene:A04g506540.1_BraROA transcript:A04g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRVFSFFLLFFISVCFMELGRGQNNKRTEVNVVAVTDVRTTYSKVDMLCVNSSLSDFYSSRPQFQTRLVVNVGDSRNEVVEAAAAGPLLISSLSFRAITYDVSYDFIPFEKLNGQATGNYNDLGHQVFLGRYDVVVRDITILANRSSYIDFTFSFIKSGVGLIVSMDDLVRGDQFRFLKPLSLKLWLTSFVCFLIVGFTVWVLENIVNVSPTRYRASTIFVGDGFSTFHKAPHHKLRSANFRKERQHGKRQHGKRRDRQSRGGAQIEDVSQDPREVPLVAHVGLWLLSVGKSCAFKGSMQLWKIVTMWFKREQDYNREDKARRRGLTNREDKARRLGLTKFTRLTT >A05p018520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8534869:8536028:1 gene:A05p018520.1_BraROA transcript:A05p018520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLABROUS1 enhancer-binding protein-like 2 [Source:Projected from Arabidopsis thaliana (AT5G14280) UniProtKB/Swiss-Prot;Acc:P0DKL0] MKRLNFSDTSLQKSPSEEVSTKKNKNKKKKLASPVIKRIWNEDDELSILKGLVEYRVKTRHDPSFDWDGFFCFVQGSIHVRFSKEQLFSKVRKLRRKFVLHMERIDRGEDPLFTRLTDSQAFGYSNMIWGLNQAEVAANGGGTEKAPGDTQEDEHLDNAGHVNNNGVESSKLDGKESEFANGGMESVMQIESDENGFRENGRVSNDEPVNENGAEKGELDGKETHDDELRVVEDAFETTILQGLSDWQRKLQLKKLMMNLGTEKRKELSNEWKALCSDEVKLKIKKLRFTVKLVEAANDG >A06g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25636866:25637344:-1 gene:A06g509020.1_BraROA transcript:A06g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDVLISGTIIQILNHVHPQKHRREVRLLRESKEHRVWTSPDSGKELCRKNSAFQHPLVTFVIKILSFLLHSKILSM >A06p021780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10839489:10841442:1 gene:A06p021780.1_BraROA transcript:A06p021780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASGPDGTKRRVSYFYEPYIGDGMESQQIRTTHKLIRSYYLPRYMDIIRPRAAQPSDFTEFHSPEYISFLSSVTRRLKSATDPHPSFKRFNLDAWDTPVFIGLFEYCRLYAGGSICAAEKLNRHEADIAINWSGGMHRAKIDKACGFGYVNDVVLGILELLKVFKRVLYIDIGYHHGEAVQEAFHKTDRVMTLSFHNSGDQRRGDITDYGVGKGEYYSLNAPLKNGLDDASFTTLFVPIIGKAMEVYQPEAIVLLCGPDSLSGDALGKFNLSVKGHGACLGYIRSFNVPLMLLGGQGHTLGNVARCWCYETGVAVGKEFNDDCPMIADDDCFAPDYKLHIEPNHMENLNTDGDIEKIKKTLLKQLSQVIHAPSVQFQDTPPISQVTEEAEEDMETR >A07g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2527327:2528587:1 gene:A07g501180.1_BraROA transcript:A07g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSEDVLISGTIIQILNHVHPQKYRREVRLLRESKEHRVWTSPDSGKELCRKNSAFQHPLQNIEHVMVLSLKVSMGTKVFLLRRPCEDSCVDELVSGMAVLREISLLDAEALLGRAAAELGGGSLRVGSLVDFGGRGARQL >A02p023350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11605923:11606750:1 gene:A02p023350.1_BraROA transcript:A02p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLNDKSYAFYWMFRKIFSLPPKTLLFIITEYFLASESIFSLEVSLSSTVADLKSDHGWIFLHIRPHKQLLLFSYIFSLQSSTGSDLLFGTSKHWAPLIWKETTPRHATTTWLFILNRNPTFDRLALWDAEMETICLQCGEWEESHDYLFFECSFSYKNIMFGCLTLVLTQELNWWFFKHDMLPLVNSSLIRLRGCMMDYLCLFESCEIYYIILMK >A04p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19592513:19605508:-1 gene:A04p033740.1_BraROA transcript:A04p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHOOT GRAVITROPISM 6 [Source:Projected from Arabidopsis thaliana (AT2G36810) UniProtKB/Swiss-Prot;Acc:F4IP13] SSGKEATQIINQPPRASPPPDLDHKLRFRMASSSLGSSIPAPEAVQLLVSSLADDSSVVREASMASLRDIASLNPLLVLDCCYAVSRGGRRRFGNMAGVFQVMAFSVGALEIGESDEAFMGKLAKIATAEIISSKELNADWQRQASGLLVSIGTHFPDLMMEEIFLHLSGPASASPAMVQILADFASSDALQFTPRLKDVLSRVLPILGNVRDVHRPIFANAFKCWSQAVWLYITDLTSDSPLDSDVMSFLNSVFELLLRVWTTSRDHKVRVSTVEALGQMVGLITRTQLKSALPRLIPAILELYKKDHDDALLATCSLYNLLNASLLSESGPPLLEFEDLTIVLSTLLPVISINSENKRHSGSSVGRKAYNEVQRCFLTVGLVYPEDLFTFLLNKCKLKEDHLTFGALCVLKHLLPRLLEAWHSKRPLLIEAARSLLDEQSLAVRKALSELIVVMASHCYLVGPSGELFVEYLVRHSAIGEIDNLKVCRCISELCRRRSSTTPMLIECKARADIPSPEELFTRLVVLLHNPLAKEQLATQILTVLNYLSPLFPKNISMFWQDEIPKMKAYVFDTEDLKLDPSYQENWDDMIINFLAESLDVTHDADWVISLGNAFAKQYNLYTPDDDHAALLHRCMGILLQKVNDRAYVRDKIDWMYEQADISIPANRLGLAKAMGLVAASHLDTVLEKLKVILDNVGQSIFQRILSLFSESYKTEDSDDIHAALALMYGYAAKYAPSSVIEARIDALVGTNMLSRLLHVRQQTAKQAVITAIDLLGRAVINASESGATFPLKRRDMMLDYVLTLMGRDENEGFAESSLELLHTQALALNACTTLVSVEPKLTVETRNRVMKATLGFFALPNDPSDVIGPLIDNLVSLLCAILLTSGEDGRSRAEQLLHLLRQLDQYVSSPVDYQRKRGCMAVHEMLLKFRKLCVAGYCALGCSGDCPHRKYVDRSMQGNFSNLPSVFLFPDREVLCLGDRVITYLPRCADTNSEVRKISAQILDQFFSISLSLPKAALSSGLDSEESYKALSSLEDVIAILKSDASIDPSEVFNRIVSSICALLTVDELVAALHSCTPAICDKIRQSAEGAIQAVTEFVSRRGSQLNDNDISRTTQSLLSAAVHITEKSLRVEAIGAISALAENTQSSIVFSEVLATAGKDIVTKDITRMRGGWPMQDAFYAFSQHTELSVSFMEHLIFVLNRSSLVKADSHKDSHKGDNTSSSSETHVEDELLQAAIFALTAFFRGGGKTGKKAVEKSYSSVVGALTLQLGSCHGLASTGQQDPLRVLLTSFQAFCECVGDLEMGKILARNGEQREKEKWVDLIGDIAGCISIKRPKEVQHICIILTKALNRPQRFQREAAAAALSEFIRYSGDFSSVMEEMVEALCRHVSDDSPTVRRLCLRGLVQMPSACMNHYTTQVIGVILALLDDLDESVQLTAVSCLLMVTESASNDAVEPILLNLSVRLRNLQISMDPKMRANAFAAFGALSKYATGGQREGFVEQIHSTLPRLVVHLHDDDPSIRQACRVTLKQFAPLMDIQNDSTLFDSRAFGSDHRNDYENFVRELSRHLVHESERVDTYMASTIQAFDAPWPVIQANAIHFSTTMLSLSEDQHMFFHYYPQVFETLVNKMTRSQDSVVRAACSSAFGLLLRSTKSTLWRGARLDRTDSGRKSNDPESAKK >A06p050360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26507094:26508107:-1 gene:A06p050360.1_BraROA transcript:A06p050360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLFSPSLSCPWVTSRDVVIKGRRRDFVTKRNRVTAMVVEPLTAVSSSAVQIHQWWEQNTSSLLLMAETGGGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVRKTFVVGEGEGVKKEPKQVAGEILSFFTRKNFNITDRGETITFEGTMVPSRGQAALLTFCTCISLASVGLVLTITVPDFGNNWFFITILSPLAGAYYWKKASRKEEIKVKMMVGENGKLNEVVVQGDDVQVEEMRKELQFSEKGMVYVKGLFERS >A09p001120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:901781:903650:1 gene:A09p001120.1_BraROA transcript:A09p001120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH57 [Source:Projected from Arabidopsis thaliana (AT4G01460) UniProtKB/Swiss-Prot;Acc:Q9M128] MERIFKASTDSHLNMEGLMRFGGLEEQFSHISENAINEKTPFLQMLQCIEPEPNQILQSLLQSQTLEPESCLTLETIKRDPGLEEDPVKDPKIEDGAEAKVKEKRKRKRTRAPKNKDEVESQRMTHIAVERNRRRQMNEHLNSLRSLMPPTFLQRGDQASIVGGAIDYIKELEQLLQSLEAEKQSEGASENPKTASSYSSSSSRACTNSSVSSISPTSEDGFTARFGGGETAEVEATVIHNHVSLKVRCKRGRGQILRAIISIENLKLSILHLTISTSFDFVFYSFNLKIEEGCKVRSADEIATAVHQIFEQINGVMMWSNLSRA >A02p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9940200:9941844:1 gene:A02p021010.1_BraROA transcript:A02p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRKTKRDFYSAGAGDCIFAPVRKRRRCVSSSPISSAPETKAGSDLLDSIPEDLVVSILRRLASTSRSPADFINVLMTCKRLNSLATCPLVLSRLSRKAFAVKAQNWSEAAHRFLKRCVDAGNLEACYTLGMIRFYCLQNRRNGASLMAKAAIRSHAPSLYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDAQRELGHCLQDGYGVPQNVSEGRRFLVQANARELAAVLSSGIKAHRSWLALSQPQISNPNQSHGCPLLSDFGCNVPAPETHPANKFLADWFAVRGGDSLGDGLRLCSHGGCGRPETRKHEFRRCSVCGVVNYCSRACQALDWKLRHKVDCAPMEHDAAFDGEGNVQIDGDHVLVVPMS >A01p051000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28634004:28635781:1 gene:A01p051000.1_BraROA transcript:A01p051000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAQESDWCYHCDKHVAVRTLEHVVLCCECNRGFIRSIQAIPEPPPPLPQDSIRFEEQLNELRRQREIHILRMIDRLAGYDVDFLLNVLQGDNGGGRKRGAPPAAKSAVEALETFEIGSTCVEGGERMSLVCAVCKDAMFQLRTDDAEYERKRAREEPPLILVASASSSSMIGREENNDQQVVETRRRSTRKRKPNRRGRLVAGSFQGKTGHADGNPSEARFNHPQRSLSLWMIKGNLYVADTLNLAIRKIAGYGYRDGPSQDAMCSVFTPLFHFSLAICYTAAQLALEVFANHIVPSRFKASSNLRPLDRLKMPEDEQEPPLLQRHHTAPVPVSESRHAHLPKPSEHKTVKLRSSSAMKYPSSKHHRSSSKRQEYAQFYASGEILQPKVHKERSRSRRRHRDKTTETEPKTTAGEETVKPVEYSNSSKFDQFNMRSDKYVRT >A06p035650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19296735:19297978:1 gene:A06p035650.1_BraROA transcript:A06p035650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSKTLILAFVFLLVTLPLLASATEVENECGGSNGRASAAEKATVLKYKIGAFFSILVAGVFGVCLPIFGLKSESNFFMFVKAFAAGVILATGFVHILPDATESLTSPCLGEEPPWGDFPMTGLVAMAGAILTMLIESFASGCLNRSRLEKKTLPVSTGGDKEEHSHIGSAHTHASQGHSHGSFLVPQDDLRKRIVTQILELGIVVHSVIIGISLGVSPSVSTIKPLLSAITFHQLFEGFGLGGCISEAKFGVKKIWIMVLFFALTAPAGIGIGIGVAEIYNENSPMALKVSGFLNAAAAGILIYMALVDLVAPLFMNHKAQSSMKIQLACSFALVLGAGLMSLLAVWA >A05p005340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2071527:2073065:-1 gene:A05p005340.1_BraROA transcript:A05p005340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQGYKAMQRARVGSSSGSAAPDEIEDGMVDGSYHTPEWHAARLAGLQTTHTITWEEYKKKQKDEELKKGELEADTDKLMREYRAQLDAERAMKLSKGRNYISSDKSRKDRKERDVTDRKDRDSRRKKSKKRKRSRRHSSDSSSSSSSSSESSSSDEEESRRSRSSSKRSKREKKHKSKSRHSSKSSKDEDDGPVPLSKFFGNLKKS >A05p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3848620:3849556:-1 gene:A05p009300.1_BraROA transcript:A05p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIEAPLHSSPTAKSNDGSDLVVDSLSLHPSPTMSSPALLTASSSTLAETVNGGSLSLPSSTLEASVSLSTPDPVSQVQATDSPLGSDNTLAQATDSLSLSTENVNASVSNGLSISLNVPPPSQQQVAMTQDVNKSQVHPSETAQDTTKFVPTLGAWEKPLFFKPPATPPEPSTPRDYDPALVGTQLATLWPSLNDEILNKKPKSKHPTRTLQPPIEKLPPPELKADGSLRFPWVARLSPQSRNLYRRNIADTRLQVMFPPLTIANHALRYFHLMHFLSKQLLQ >A08p030270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18540324:18543025:-1 gene:A08p030270.1_BraROA transcript:A08p030270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEEKTPFKPSKPASSAQDTPPTPYADWSNSMQAYYGGGGTPSPFFPSPVGSPSPHPYMWGAQHHMMPPYGTPVPYPAMYPPGTVYAHPGMPMPQASAPTNTETVKAQAPGKKPKGNLKRKSGGGEKAPSGSGNDAVSQSEESVTAGSSDENDDNANHQEQGSVRKPSFGQMLADASSQSNTTGEIQGSMPMKPVAPGTNLNMGMDLWSSQTGVAVKDERELKRQKRKQSNRESARRSRLRKQAECEQLQQRVESLTSENQSLRDELQRLSGECEKLKTENNTIQDELVRVHGPEAVANLEQNADGSKDGE >A10p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1324593:1325258:1 gene:A10p002540.1_BraROA transcript:A10p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSPPKDQDFHVVLCTKQPTNKEQPTKTSGSLGHVPLVSPSRLPFGLVSTFKESLQHFFARVNLPPVGSSANLFPWICWGLWINCNLHTFENKQTSPPEILSRAIALLREWEAAQPSSNDRSRTLSPQLSTQISSPSTIFCNTDAAWNKDTIESGLAWIFTSPTGQEITRGCSHQLHVSSPLMVEALAIRRL >A08p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9527328:9529629:-1 gene:A08p014240.1_BraROA transcript:A08p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHHDPNPFDEEEEEIVNPFSKGGGRVPAASRPVGFGQSLDATVDIPLDNMNDSSQKQRKLADWEAELRKKEMDIKRREDAIAKSGVQIDEKNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGIVLCLVFNVIATMVCWIKGGGVKIFFLATIYALMGCPLSYVVWYRPLYRAMRTDSALKFGWFFFTYLIHIGFCIVAAIAPPIFFHGKSLTGVLAAIDVISDSVLAGIFYFIGFGLFCLESLLSLWVLQKIYLYFRGNK >A03p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14378418:14379676:1 gene:A03p033960.1_BraROA transcript:A03p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 1-3 [Source:Projected from Arabidopsis thaliana (AT4G00170) UniProtKB/Swiss-Prot;Acc:Q84WW5] MTTGDLVNIHPTELKFPFELKKQSSCSLQISNKTSTQVVAFKVKTTNPRKYCVRPNTGVVLPGDSCNVTVTMQAQKEAPLDMQCKDKFLVQSVIVSDATTSKDVLAEMFNKEPGRVIEDFKLRVVYIPANPPSPVPEGSEEGNSPRTDFPASQFDDHVSYYELVGEREREILVSRTLEETSEKSSEAWSMIHKLTEEKACAVQQSQKLRQELEMLRRESSNKQSGGGHSLVLMLLVGLLGCVIGYILNVRT >A03p026170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11003357:11006549:1 gene:A03p026170.1_BraROA transcript:A03p026170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLFNRVVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEATKTSCVHDLSLKEKKPGNETLVDPTVEDMLLHALELVPIALDKARTITCFSSRWRVIISRLEKVPTCLSDFSTHPCFSKNTLCKEQIQAVLQTLKEANELANVCVQEKQEGKLKMQSDLDSLSAKIDLSLKDCGLLMRTDVLGEVTQSIQVRELLARLQIGHLESKRKALEQLVEIMKDDEKAVITALVRTNVASLVQLMTATSLSVRENAVTVICSLAESEKCENWLVSENALPPLVRLLESGSHVAKERAVMTLQILSFSSETSRLIVGHGGVSPLIKICKTGDSVSQAASACTLKNISSNGVQTLLAYLDAPLPQEAGVTAIRNLVGSVSVETYFKIVPCLVHVLESGSTGAKQAAASTLFRIATSNETKRMIGESGCIPLLIRMLEVKENGAREVAAQAIASLVTVPRNCREVKRDEKGVMSLVMLLEPSPSNSAKKYAVSVLAALCSSKKCKKQMVSGGAVGYLNKLSELEVPGSKKLLERIEKGKLKSFFSRK >A04p023990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14566452:14567460:1 gene:A04p023990.1_BraROA transcript:A04p023990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDILVKIFEKLNVIDVTMGASRVCISWFLASHEKSLWKTINLTNLQRVDFSHPRLPNSRVEDEKVNEHVYRCNTVLFESTKFSSTVPINLFFNYDTYLTDEDLIDAAQRMPNIRKLVLPRWCHLSENSYQFAFRQWKNLQTLIIDQRHTSLTWRHKIQASGENCINLTNFKTLGCLNEVVVEEIVRCFPNLKKLSLRFCDIIDIGRVLPLITSLKNLTTLNLSHCRFLQRGACIIGSRELDNILCEIARYKCETLIMLCSYVDCKSCKDARKDSYVIVRLHAFFEKNWRNDEIEEFEF >A07p045770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24800843:24802144:-1 gene:A07p045770.1_BraROA transcript:A07p045770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGWMHNKLHGKQGNTHRPSTSSASSHQPREEFSDWPHGLLAIGTFGSVTKEQIPIETVQEEKPSNLHVEGQAQDRDQDLSSSGDLEDFTPEEVGKLQKELTKLLTRKNKKRQSDVNRELANLPLDRFLNCPSSLEVDRRISNALSGGCGDCDENEEDIERTISVILGRCKAISTESNSKKKKTKKDLSKTSVSYLLKKMFVCTEGFSPLPKPSVRDTFQESRMEKLLRVMLLKKINAQAPSKETPMKKYVQDKQQLSLKNEEEEGSSSSDGCKWVKTDSDFIVLEI >A09p006830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3572723:3574699:-1 gene:A09p006830.1_BraROA transcript:A09p006830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TAALYCRPDAAFLCLSCDSKVHAATKLASRHARVWMCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYDSVSGADGSGKHTAVNFLNDCYFTDLDGNVSREEEEEEAASWLLPNPKTTAPAVPGDSPEMNTGQGFMFPDLDLDYGTVDPKLESQEQNSSGTDGVVPVETRRVGVPTVNENCYELDFTGGSKGVITYGHNCISHSVSSSSLEVGVVPDGGSVADVSYPYGGPATSGTDPGTQRAVPLTSAEREARVMRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDTNESNDVVGHGGIFSGFGLVPTF >A04p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5714720:5716252:1 gene:A04p014780.1_BraROA transcript:A04p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVKRGVNLAIRDIASSDPYIVFHFGNKKLKTHVVKQSVNPEWNDDLTLSVTDPNLPVKLTVYDKDLLSADDKMGEAEFSIAPYLEAIKFRHKIQGGLPNGTIIMKIQPNRQNCLSEESHIVWNHGKLVQNMFIRLQNVETGEVELQLEWIDVPGSRGI >A02p053870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32748798:32751272:1 gene:A02p053870.1_BraROA transcript:A02p053870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative nucleolar protein 5-3 [Source:Projected from Arabidopsis thaliana (AT5G27140) UniProtKB/Swiss-Prot;Acc:O04656] MLLLFETPGGFAIFKVLNEGKLSNVEDLGNVFSSAESARKMVKLKAFDKFDNTSEALEAVAKLVEGTPSKGLRKFLKANCKDETLAVADSKLGNIIKEKLTIDCVHNNAVMELLRGVRSQLSELISGLGEQDLAPMSLGLSHSLARYKLKFSSDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIISDNILYAKSVKLMGNRINAAKLDFSEILADEVEAELKEASVISMGTEVSDLDLIHIRELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLISHSGSLLNLSKQPGSTVQILGAEKALFRALKTKHNTPKYGLIFHASVVGQAGGKNKPRIARSLAAKAALSIRCDALGDAGDNSMGVENRLKLEARLRSLEGKDLGRLAGSGKGKPKIEVYNKDKKMGSGGLIAPAKTYNTAADSLLVSAENGVKEKKDKKKKKKAEAEEEEEAKSEESSKKKKKKKAKTEVEPEAEEASKKEKKKKRKHEEEETEEPAKKKEKKEKKKKKSEA >A05p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24775512:24777377:-1 gene:A05p040490.1_BraROA transcript:A05p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPLTSYSPISTSSSISRTSFVPLTPRHRNFFSEQNFSRRLLISCSSSSSSNNGSTPESMNGRNLNLGLLFFSGLKGQSSYPGMPSFDGTTSKPPVKWRRVLLKVSGEALAGDEEQNIDPKVTMAIAREVAAVTRLGIEVAIVVGGGNIFRGSTWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPNARLHESLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLSEPGNIAKAIKGERVGTLIGGTWNSTVAAT >A09g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22767468:22772164:-1 gene:A09g507980.1_BraROA transcript:A09g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTRSNKNKQLLFSEDPAHLERSIRKDQCSTSINGAAFTLTDSCTQPSTDTQPSSSTDLPRSTSINTTPRTLIDTQSRSMVAIVLLRQDENGNLYDQDCNLRNATDDDFWQVVRHEKLEKETLKLKAPCVSADHNGVDRCQRIHIDRHTMTKIDRQITLDIDQRRLLNRSTDTPTSIDPLSPPIDRRAPLTYRVRLPYIDNDRINALRPPPKPSANPPELTTNPSDTTPEPMQVDEVTEGRSLRKRKEKIPKNLKREASEKEMDGASVSILPKVIADQLCLKIEPSSESFTFVDLSERSSGTIIRDLEVNLVELRNDLGYIAACHCGAEYETDYSESIDTRTVSSIDSNESPTTDERYPTSLDGKQPVDHFTLPDQCYPDFAFQQPNKRGRDDYSIGSWADSGFHESFTVDIVIPSSNEDPTEEYDEDYWKDRAIEIAMQDDRYSGHSFNNPSPPSIDSVHSASVDTHPHPAKRSYASIDTIPGTSIDIKVAAFEKEYSNSKDLDGHARAMDGKNLKVSREDIADILQVANGPDNLFMQQHSIPDNIPTVLDEHPRANTTAIGSHQSYRPVSQASIDKVALTSFDRVTPTSLDKAPSPSIDSRYEFGQHAYDIYGARKFRWEQKDEYGVYRDEFGYARSIAGEMIHVTKDNIRKIMERASLYEESHICLPEHATSFTPTRLAPEIYTKDEINEMVTGICGAQKKLEDELKTLVDDTYQPLERSYNELFRSMAEMRTEIGSMQHNLEKEATTSPSIDADKATSIDLKPQTSQIPAEQQSLAEKKDEWEIAYINTKINDVYNPLNNNVDWLSTRIDLLQEDLDTIRKKDPQPATSIDICTITSIDSKFASMEDRLQTYEEMHDRFTSPIM >A01p008500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4143513:4152503:-1 gene:A01p008500.1_BraROA transcript:A01p008500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSPEYDPEYARIAAEVRAELKELGEDELNSFSDDDPADRGWSTYVTDANAFFAGRRIKPKAWRFYYDRGLCARLALYCYNLQKGTASDFRFISQLHEQTHLYFTKSFITFEAVNPADRSPLTFETCVKHNDDQVTHVPNLWWETHICRVEGSEEADYEWNDGAVHDYYKGEMPKWLSDDRQQRCYVVEQSELHDEKNGWLPLLTEFAFFTKWNGPLSPGEIEDCRPLITQHVVVETLDEEGEKETSDKLNAANAIFYVTFECVEDPTKGRYRAVVRKTMDGKPGHMRLEVTCWSVLTAPPPLEIEKVVVVTKEDTEEGHEKLKAQNAIYYVSYKYNGESLEWARDHKAVIRKTMDRKPGHMYLEVVGAE >A06p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6278161:6280667:-1 gene:A06p013870.1_BraROA transcript:A06p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiosulfate/3-mercaptopyruvate sulfurtransferase 2 [Source:Projected from Arabidopsis thaliana (AT1G16460) UniProtKB/Swiss-Prot;Acc:Q24JL3] MASTLLSRTLLVAGRCVINPSLSSTLPQKSRGFATVLNKRAFSSQLRSAYSAPYVGRVMASSTGVGPKAGSATSSMSTKEPVVSVDWLHSNLREADIKVLDASWYMPHEQRNPIQEYQVTHIPGAFFFDLDGISDRRTNLPHMLPSEEAFAAACSALGIENKDRVVVYDGKGIFSAARVWWMFRVFGHENVWVLDGGLPKWRASGYDVESSASSDAILESSAASEVVEKVYQGQSISPVTFKTKFQPHLVWTLDQVKENMENKTYQHIDARAKARFDGTAPEPRKGIPSGHIPGSKCVPFPQMFDSSQILLPAEELKKRFEEEDISMDSPIMASCGTGVTACILALGLYRLGKTDVPIYDGSWTEWAMEPNMPKVGSSSA >A09p046920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40769681:40770453:-1 gene:A09p046920.1_BraROA transcript:A09p046920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFGRARSIHARSLRAWSLRARSIHARSIRARSIRARSIRARSIRARSIRAQSLHARSIRVRLIRAQSIRDRSLRAWSLRARSIRARSIRARSIRARSIRARLIHARSLDARSIRARSLHRDRAMYVLGRYVATELGLSSRPSLAGARSLRSNRAMFVLGCYVATELGLCVVRWPYLNLSVADLDTCPLPSDNRYLVVRLRFEQDFTARLFVKISLRRLFFVKMFMLIFTDFQTLITS >A03g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11571847:11573100:1 gene:A03g503480.1_BraROA transcript:A03g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYTLLADLRAGRCSNTAEVHLLRSVYKSVSSCLHEFFQGILSFVHNTVWKVLFGKVYSIREAAANNLRRFAEEFGPEWAMQHLVPQVLDMVTNPHYLHRMMVLRAISLMAPVMGSEITCSKFLPVVAEASKDRVPNVKFNVAKLLQSLIPIVDQSVVEKTIRQCLVDLSEDPDVVDVRYFAN >A10p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4308328:4312017:-1 gene:A10p014240.1_BraROA transcript:A10p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETTKGDATKPSPNQISSPKDSSLDHQTPTPSPIHHHHQSFHPSPIFIATVSSPGAPIIPKRPRFISSSGLSPPQWKALPSPSTLPTAATFPSLPSPSTAVVAASSTETAASSPLEQEGINTEKQQLETESFQHKFRKGKYVSPVWKPHEMLWLAKAWRVQYQKQETGPGSGSGSGEGRGKTRAEKDREVAEFLNRNGVNRDSKIAGTKWDNMLGEFRKVNEWEKGGDREKYGKSYFRLSPYERKQHRLPASFDEEVYQELALFMGPRARALSIKRSSGGAPADVTLTPPSVETLPPILHPPFMTSRDDDVDNNRITSIGRGKRQALSIAGDDHPQYPYTRNIGRGSGLFSNRSYFNPSFETIPSSSSSLKDLRRIGKIRLTWEESVNLWAEEGEVDYGRIRVSGSSFLNADELTYLDDSMVACTMESFEDGPFRGFSLDKFISGQHLKVFGRQKSTSSSAPSPSVNMANSIDRAQLPLAVPINKSISTLEFQDPSEHYLSSLRVPAANPPSLFELGRYLQEPPPENLRFPIRRDVYKDLPPGKELFFSTSTTELLDCRAITYDIISPVMFRLSPNSVISSKDSLIPLWDDCVNRMVSKFCDMVVLRKPDSSSFVENVQDQWPNVVGFVKGFGLWRGEEVEKVREGAAVPSSLLVKKILWSYDDLPYILGYHAIGFTVTFCALSRSSQDRVVCTDLYSFDVSSPSDRIKALVPCYRLASLLNLLADRCTMRHLCYNDFERISHRDFVTEITPNTVTKYYSSKRKWSVVKGIYDFLDQRVPHAEHLNSAREKELSLSFKPRGVRVKPCNVDQLIESLMCVTRALVALHDLSFMHRDMRWENVMRSAEMTTTTSDVEWFVCGFDEAAESPQLNPHRSMDEEEEEENGRQAPEMERGLHAVKVDVWGVGYMIKTCGLTNVPKMLRELQGKCLEPNQENRPTAADCFHHLLQVQSASSSSY >A07p038450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20457729:20458552:-1 gene:A07p038450.1_BraROA transcript:A07p038450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSALAVRERIVRAFLVEEQKIVKKVLKLQKAKEKVAPRS >A10g506180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:16936919:16938538:1 gene:A10g506180.1_BraROA transcript:A10g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLDSRPHTFSIKLWPPSLPTRQALIERMTNNLSSKTIFTDKFGSLTKDQAMENAKRIEDVAFSTANLQFEREPDGDGGSAVQLYAKECSKMILEVLKKGPVCKAEEVSSASPCKETVFDISKDKRAFIEAEEAEELLKPLKEPGNGYTKICFSNRSFGLGAARVAEPILASLKDQLKVVDLSDFVAGRPEAEALEVMNIFSSALEGSVLSSLNLSDNALGEKGVRAFGTLLKSLSSLEELYLMNDGISKEAAQAVSELIPSTEKLRVLHFHNNMTGDEGAVAISEVVKRSPLLENFRCSSTRVGEGGGIALSEALENCTLMKKLDLRDNMFGTEAGVSLSKTLSRFSHLTEVYLSYLNLEDEGAIAIANALKDSAAPIEVLEMAGNDITVEAASAIAACVAAKQDLNKLNLSENELKDEGCVQIAKTVEEDHLKLQYIDMSNNYIRRAGARALAQVVAKKEGFKLLNIDGNIISEEGIEEVKEILKKTPEVLGALDENDPDGEEEEEDDEEDEEKEGEGNDELESKLKKLEVNEDD >A03g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9064319:9066730:1 gene:A03g502940.1_BraROA transcript:A03g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSDNALVQASSLASGLLISEEVEQRFNLVKSVGEQCTHDDELRDLLAKKAAPVCYDGFEPSGRMHMAQGLMKIMSVNKLTSAGCRVKIWIADWFAYMNNKLGGDLKKIRVVGEYFKEIFQAAGMNIENVEFIWSSEEINARGDEYWPLVMDIACRNSLAQIKGCMPIMGHSETDELSAAHILYVCMQCADTLFLEADICQLGMDQQTVNLLARDYCDDTKRGNKPVILSHHMLPGLQQGQTKMSKSDPSSAIFMEDEEAKVNVKIKKAYCPPDTVEGNPCLEYVRYIILPWFSEFTVERDEKYGGNKTYKIFEDIVTDYESSELHPKDLKDALSKALNKILQPVRNHFKTNSRANKLLKQGYEITRTALSKEMEALSANPPNSASSSAAGSQ >A08p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20396551:20398336:1 gene:A08p034220.1_BraROA transcript:A08p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSERVPCDFCNERAAVLFCRADAAKLCLPCDRHVHTANLLSKKHVRSQICDNCGSEPVSVRCFTDSLVLCQECDRDVHGSCSVSDAHVRSVVEGFTGCPSALELGALWGIDLEGRKEEEKQVPVTMESFGMELDSWISGSDVLQELVVPVPKKGGSSCGRYKQVLGKQLEELLRSGGGGGYDGGCDGEAGEEGNMVPEMQERLGWRRDEEEISGGGEVNQQPQTTSFTALLWNAANASDGQRQSTQIWDFNLGQSREPEVNSRMEAAAYVTKDAASFKINSFVEVKKNDTCSTKAKGVKEIFQDDYNQSTSGQVPLTCESNNLPITFGSEKGSNSSSELNFTEKFAGTSCKSTRLVATKADLERLAQNRDNAMQRYKEKRKTRRYDKTIRYESRKARADTRLRVKGRFVKATEAPYP >A09g519140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58863432:58864539:1 gene:A09g519140.1_BraROA transcript:A09g519140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNCGCGSGCKCVARRTTTRSATTAAADQTVAVGTAAAVNVIWHNMLRNNSLNLDFFLLLCVDCVIV >A01p007460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3681624:3682949:-1 gene:A01p007460.1_BraROA transcript:A01p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKPIENGSDGGATTGLLHGRYELGRLLGHGTFAKVYHARNVTTGKSVAMKVVGKEKVVKVGMVDQIKREISVMRMVKHPNIVELHEVMASKTKIYFAMELVRGGELFAKVAKGRLREDVARVYFQQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVILKKGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVNMYRKIYRGDFKCPGWLSSDARRLVTKLLDPNPNTRITIDKVMDSHWFKKSSTRSRNEPVAATPEAEEDVDVSVHKSKEETETLNAFHIIALSEGFDLSPLFEEKKKEEKVEMRFATSRPASSVISSLEEAAKVGNKFDVRKSESRVRMEGKLSGRKGKLAVEAEIFAVAPSFVVVEVKKDHGDTLEYNNFCSTALRPALKDIFWTSTTPA >A05p019630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9198489:9199503:1 gene:A05p019630.1_BraROA transcript:A05p019630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKQYHSFVILLLFGFLAISYACECSDPPKPSPPPPYTPCPPTAKPPPPPTPSPPPPYVKPPPPPTPSPPPPYVKPPPPTPSPHHRTSATTPPTPSPPPPYVKPTTTTHLRHPSPPPPYVKPPPPPLHRPPHHHTSTTAPPTPSPPPPYVKPPPPPTPSPPPPHIQPPQPPTPIAPTTIPTTTPTPSPPPPYVKPPPPPTPSPPPPPTPCPPPPPAPTPKPKTCSINVLKLGACVDVLGGLIHVGLGKGYAKTKCCPVLDGLVGLDAAVCLCSSIRAKLLNIDLVIPIALELLIDCGKTPPRDFKCPAPQQRNPFLG >A04g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9502946:9503264:-1 gene:A04g504590.1_BraROA transcript:A04g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVGMELKCVAFTEENVCVAAENYLIETLVLCQARALTQDVYYHLNYLRVVVMFYVVTPTLCIFFLFVAYTGRITFHMRQFEFG >A03g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7160341:7162228:-1 gene:A03g502200.1_BraROA transcript:A03g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGGLCETISGEAAVRVKASVISIHCLIGVSSLLCLSVRLAIAISAFRCARLPLLHQYQSGGLCETISGEAAVRVKASVISIHCLIGVSSLLCLSVRLAIAISAFRCARLPLLHQYQSTRVSGGVPTEMQLGLLSPLYLRKLFELMGTTYIKLGQFIASAPTFSQPEYVRRFSEPFNKAPPVPFEEVHKNLQEELGRPRDSVYKYVDPTQLASASITQVHEPWRKA >A06g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14696724:14697424:1 gene:A06g504940.1_BraROA transcript:A06g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPGWMSRREASGGNYPFGDLWNRRYVFMKTNEASGCPQSWCSVDASMRLAMEIPKRFQRVAFLVSREALRHTRVWGSILRLSISAVYDEYHKAKTWKRHPYSPHMPRFDSMVSPTGSSSSLPTTVSAGPRLLAPGPDTGHDDPAGSGHSAD >A09p024310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13462476:13464137:-1 gene:A09p024310.1_BraROA transcript:A09p024310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFTCLLMGLRAQLNLSLKTVSCVIESRMSPISSSSSLDAVHILSLSLKQKLLRSSPVQCFVLKISRTAFDFDFDQKGSAAMDTKALAKSKRAHTQHHTKKSHPLHKPKAGKVNQTKSPVQSALPSNLDRYDDEPDLGLSTNQPADVIVPKSKGADYLHLISEAKAESHSKIENDSDCLSSLDDLLHDEFSRVVGAMISARGEGLLSWMEDDNFIVEEDGSVSHNEPGFLSLDLNALETMLEKVEVHERLYIEADLLLPEPCTSQVNRDKELNCSHTSQDAEAVTTRLNEPVQRESSGKIDPVVTQGESSAFKDIQILTQQPDKSSAIESDLDFLLDSFSEEPKPVASASSTSSQNPSVQRSSAFETELDSLLSSHGGGEPLHRPANPSDQKLTTTGFDDMLDDLLESTSVATKPQENQTPLSSTVGKSKVIEEFDSWLDTI >A04p017910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10803935:10805020:1 gene:A04p017910.1_BraROA transcript:A04p017910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL46 [Source:Projected from Arabidopsis thaliana (AT5G40250) UniProtKB/Swiss-Prot;Acc:Q9FL07] MTWVRFAIEQKDGTFAYPPPFYRDPILPPPSPPPPSGNRISPAVLFVIVILAVLFFISGLLHLLVRFLIKHPSPASASASRSNRYPEISTSDALQRQLQQLFHLNDSGLDQAFIDALPVFHYKELVGASKEPFDCAVCLCEFTEKDKLRLLPTCSHAFHLNCIDTWLQSNSTCPLCRGTLFSPGFSMENPMFDFDDIREEEEERVAEKAMEIQEIVVEKGVLPVRLGKFKRLDNVGQGQEGHDGVGETSSSNLDARRCFSMGSYQYILGNSELKVPFGNDRQLRLKPQEKESQDSSSEEKKMSSVVAKGESFSVSKIWLWPKKDKFSSDAQRRLPSSSLNVDDLPKLPWMEEHKKLENGER >A06g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14379548:14380974:-1 gene:A06g504750.1_BraROA transcript:A06g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMPLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTSLSGSLSISTNKGDLLGSIGLCLQPPRLSLTPTLLAHKLAFLSFFIRKRYKELDAFANLVPRIVKAVQPPRYQKDFTFAAYTVHYVPMGKLNKSACDCGVYTIKFIECHSLGLKLSMVNDGNIKEARHRILWDLWEAANDPELVDRMSNYEPPECLTSTVEEIL >A01p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1296421:1298311:1 gene:A01p002810.1_BraROA transcript:A01p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDNDLFLRKYQPSDIKIASEFLTTWLPFLSRDLCSDCVHVLSRRIRSLDSETVSRDDKPVYDHNLEDDRFINASETASPKMSWDDMTQEDGFNEEEEQKVREDTTKTPQKRKLSRDEREQFRFVNVKRMKVFSCYEKVKGRRVDILEGLELHTGVFSAAEQKRIVDYVYELQEKGRKGELQERTFTAPHKWMRGKGRVTIQFGCCYNYATDKAGNPPGILQHGAVDPIPLLFKVIIRRLVGWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFLRPFCTVSFLSECDILFGSNLKIEGPGEFSGSYSLPLPVGSVLVLKGNGADVAKHCVPAVPQKRISITFRKMDESKRPVGFTPDLDLQGIKPLPYEQTTLNTPPAVAAIRPSRWGNDQNGGNYNSRGGGQRKHRESSRGYHHSESRERSPTSRRRGSSRHSPNTAYRPKVHSNNTSSDNV >A01p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6651150:6663254:1 gene:A01p013630.1_BraROA transcript:A01p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VP1/ABI3-like 3 [Source:Projected from Arabidopsis thaliana (AT4G21550) TAIR;Acc:AT4G21550] KNPARFCHKCVSFCSPATSVFSFRLPEFTLRSCSSLNPKFLKLWKKSKTPNFFLVIALLCKTLGSSEMESEEGEESSIPFQLQFDKPIPFQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRPVTSLCWRPDGKAIAVGLEDGTIALHDNGKLLRSLKPHDVAVVCLNWEEDGQSNTDEIGKVSAYEDRTSRFFPPAPRAPKMPGVVAGDSSFMDDGEDSLAELSNASFRKFNVLCSGDRDGSICFNIFGIFQIGKINIHELSVPVAYLDGHASCKLFNATIYKVALSKDLCRLVVMCTGELRDCDIEPKEELNVDLHGLHCLAMDTSIFWKRKYELHQVAQQASNIEDLTEVIRESLSVMSKQWADAMKTFHDKFHSLSTLITDNGLESSPQEEFLSLLGGARISPALNQFLVNSLGEVGVKRVLKSVCGTGKELQLVVLDHLQPAAEIIGFRMGELRGLSRWRARYQGIGLDERLLDEATENAGLLLVQVQRFMMVLSSVVQQFSNFFNWLLKSIKYLMQEPNDQLMSYNSELLVVFLKFLYDQDPVKDLVDLSEADDDIEIDIKTIERVRQLIQFGGFSDTDFLRRTLAKEFQHMESSFKRALQMPFTTISRKISCMKLLPLCPLQLSTTQTRITIPMSISFYKNELCADTPCESGYTDYISFHVPDEAFPDIQNCIGIAKGFKQNSNNQTTDYTSLEAVLLSVPNGYHCVDLSLYKDKELVLLMNETSGNPEGSGEACMMVVQTGDLPFISISRTSCLNQWELEDLKSSIVYLEMENEKVRKVPHSTIAPLAVSASRGVACVFAERRRALVYILEEDEDEDEEEVSEDKVCSMLPFPSSSSMTPSSYSARFCFNRECPDFNRECYRPGWRLRNGDFADLCNRCATAYEQGRFCDIFHQRASGWRCCESCGKRIHCGCVVSAPAFMLLDAGGIECLTCARKKVAVGPNFRPPPPSFLFQSPIADKFQDLSIDWNSSTRSYRPPNLSGPSILQSDLHNRGDCYEFNQPTSKDKATAYSTEKHRGMHDLVGRLMSVNSNNHTNSILYSQKAGPNCKVPTCPNVNAYPSLISLKEGPLGAQRAFPVTTPVETNGHLGLGGRYLWRKDNSSPLSHLHNDLNRGADSPLESKNWNFGIHLDTPGKYQVVPRYSPKIPYKNQVLQNLPNESVSVVTPLFEKILSVSDAGRVGRMVLPKKCAEAFLPQISQTDGVPLTVHDSTGKEWTFQFRFWVNNNSRMYFLEGITPCIQSMQLQAGDTVIFSRVDPEKKLIMGFRKASVAQSSVQETELNNNRESCTNGDAEPIDIHPPTGKNKSTMTTTRSKRQKVEKGDLSELKLTWEEAQGFILPPPNLTPSIITIEGIEFEEYEDAPIIGKPNTGFGSTCSANKGLLAEQDDEEAKDDAEGLLMSPKSTSKHPRHRSGCTCIVCLQSPSGSSPKHGRRCSCTVCDTVRRRRETLLQRKKKQQQIEIENKAHKETESPNSDEERHQSANNSGTTSKDHEPSKAQIDLNFQPEKDEESPPPRSKTTTKDKSLHHDEASFKPPRSSSSAHNKLHVESF >A08p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8723849:8724911:-1 gene:A08p010690.1_BraROA transcript:A08p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFELYFQCHWFEVNQTVRSDVMAILLKNGQSASRKEAVKEMKERRSTVCPWCQSTVIPKDGPSLFCDQFRPRTRSPGVRPGLSKGRYGHMSTHLDNLTCSSCAQGNGKGGMSNKLLTLARPLPHISVGSTTTPMGA >A07p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11203176:11205298:1 gene:A07p018690.1_BraROA transcript:A07p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLSLRSNRFLQPSTTTAALPSSLRRHVSTDTTPITIETAVPFESHLCDAPSRSVETSSSEILSFFRDMARMRRMEIAADSLYKSKLIRGFCHLYDGQEALAVGMEAAITRKDAIITSYRDHCTFLGRGGELVDAFSELMGRKRGCSNGKGGSMHFYKKDACFYGGHGIVGAQIPLGCGLAFAQKYSKEEFVTFTLYGDGAANQGQLFEALNIAALWDLPAILVCENNHYGMGTATWRSAKSPAYFKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIVLEMDTYRYHGHSMSDPGSTYRTRDEVSGVRQVRDPIERVRKLLLSHDIATEKELKDMEKEVRKEVDDAVAQAKESPVPEPSELFTNMYVKDCGVESFGADRKELKVTLA >A08p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20512407:20512822:1 gene:A08p034460.1_BraROA transcript:A08p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSDASLTGFLMLFRTMLHDFVPVQLRSYFSGLLERFFTPKSKNLTVVIDEKFKNKVFHAAEMYLRKKMGPEIYFIIHSKKIRPETERVRAGKTPKQKHLTVKEKRSWIRSRRDEEESMILML >A05p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20468394:20469339:-1 gene:A05p036180.1_BraROA transcript:A05p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASSSVSKRLILIYVLAIQLLLINSELSLNTTNDYLNHTCLVSQGKYKTGSEYEKLIKHIMKRFYINSIRGYDLFGDSTFTAVLQCRGDSYGTKCQDCFVTALAALRRRCPWYKGKGRIIWYDQCLLSMDSKYSVGQIDYDHNFCMSNAKKVVEDRSEYIKVWNILVDDLTELAITGDNSTLYSVGEKRYKGDMVYGMVQCAKDLSRKACQECLWYNSFHFQDCVNYFRGARVVGRSCTFRFEFYPFIAKQVHNI >A07p044970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24452502:24454029:1 gene:A07p044970.1_BraROA transcript:A07p044970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable choline kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G71697) UniProtKB/Swiss-Prot;Acc:Q9M9H6] MAIKKMTSLIPSCSSPEDLKRVLQTLGSTWGDVVEDLESLEVVPLKGAMTNEVYQINWPTLNGQDALHRKVLVRIYGDGVDLFFNRDDEIKTFECMSHHGYGPKLLGRFSDGRLEEFIHARKPIEREICVYDMMNLLVWSVHQTLSAGDLRVSETSDLIAAKLREFHELDMPGPKNVLLWERLRTWLKEAKKLCSPTEIDEFRLEAMGDEINMLEERLTREDQEIGFCHNDLQYGNVMIDEETNAITIIDYEYSSFNPIAYDIANHFCEMAANYHSATPHVLDYTLYPGEEERRRFISTYLGSTGNATSEEEVERLLTDVERYTLANHIFWGLWGIISGHVNKIEFDYKEYARQRFEQYWLRRQLLLD >A09p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3419532:3421676:1 gene:A09p006500.1_BraROA transcript:A09p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSDQDLGSPRNQLARRLDFSVSAAAAEMESSLLDSNYSREDHKEEDLLPPPPPSGSEEQVLKPPVRSELTTPPQKPPPLNGFDHKSAKCRVSKQEPLTPRGHTEAASNEGTPRKQKHCNCKNSKCLKLYCECFASGSYCNGCNCLNCHNNLENESARQEAINGTLERNPDAFKPKIAGSPLGTEDLQEDVRHQLLILGKHSKGCHCKKSGCLKKYCECFQANVLCSENCRCQDCKNFEGSVERNTLLHGPETYIQQQTVHVNPLELRKRKSKEASHSARGSSAVTHLIRNGDTSLFSVPNNREVSGSTACSYRSSLSNTIQPRHVKDLCALLVTKSLDVANKRRKSEKDPSLDPALRDANETNDSPDCVLDSTRMDEKPLSPATRALMCDDEDVIISEKETSAARVKTSQEKEEDADTSSESFVEQERQILSSFRDFLIQFSNRGNIKGMNIKTKTNQSRKEPPEDEEQRNQNSGLG >A02p030330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15435643:15435980:1 gene:A02p030330.1_BraROA transcript:A02p030330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNDESMRERLSSSRDPTITIDSAKEGETRREVEELRRKLAIEKKRMNRVKLCSSMELLLLVVLVLLLSTFFLVLLSPISLN >A02p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3971944:3974515:-1 gene:A02p009420.1_BraROA transcript:A02p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAITHRWSEYLKDMDTTAQGISGRRWGESLYEIIWNGFPESRISQRTTSPIQTLLSNQKGLPLSTKQPRDDLKWSFALKVFPYRNYCVPMKLLFWTPNISASPKTVFIMGGGERLCCKRTLKHKTIENVEVLNLQEISDVNSEAFVARRLNCERCQSTDTGANKQYLLGDLADPVEGGPCYQLYTKSFYQNILKPKLSPNGIFVTQAGPAGIFTHKEVFTSIYNTMKHVFKHVKAYTAHVPSFADTWGWVMASDQEFEVQMDEIDQRIEERVKGELMYLNAPSFLSAATLNKTISLALEKETEVYSEENARFIHGHGVAYRHT >A03p066290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29301412:29301796:1 gene:A03p066290.1_BraROA transcript:A03p066290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L39-1 [Source:Projected from Arabidopsis thaliana (AT4G31985) UniProtKB/Swiss-Prot;Acc:P51424] METKQPSHKSFMIKKKLAKKQRQNRPIPHWIRLRTDNTIRYNAKRRHWRRTKLGF >A09g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3102092:3102436:1 gene:A09g500850.1_BraROA transcript:A09g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPAVRPPRLFDYLKPYEKALRETMEVTRDVAAASKIGRILGERLLIKDIPAVAIQMKKEQRYHGKVRAVIDSVREAGVKLL >A03p024840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10456232:10458332:-1 gene:A03p024840.1_BraROA transcript:A03p024840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSYTSFPPRVLLLHRQQSKPILFPPDSTPSIRQLYTKPISFSRRSRVAINATAAASDSSGNSNPSSPAKKLREIMQSPGVLQGPCCFDALSAKLVERAGFPYCLTTRFAISAARVGLPDKGLISYGEMIDQGQQITQAVSIPVIGDGGNGFGNAMNVKRTVKGYIRAGFAGIIINDQVSSGGERGVVSREEAVMRVKAAVDARRECGSDIVIVAQTDSREAVSLEESFSRARAFTDAGADVLSVDSLVSREEMKSFCNVYPLVPKLANMLETGGKIPMLNPLEIEEIGYKLVAYPVSLIGVSIQAMQDALLAIKGGRIPSPGSMPSIEEIEGILGYDTYREEEKRYAISSSSNRGSSSSSVYGNQRVAQDESKQRVEDPVVEVMVPEVVSNESRNPFSRIWSRSLRIKIIGRDGFEKLDVRIPAGFLEGVTNIVPALGGVNLKQLMDDAADEVGGKLLLDFKDTAGDRIQVFLE >A09p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41315742:41318783:1 gene:A09p047570.1_BraROA transcript:A09p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEQSLRQSLSEKSSSVESQGNAVRALKASRAAKPEIDAAIDLLNKLKLEKSTVEKQLQSIITSSGNGSLNREAFRQAVVNTLERRLFYIPSFKIYRGVAGLFDYGPPGCAVKSNVLSFWRQHFILEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCTDKVEKDLTISADKAAEFKDVLAVMEDYSPEELGAKLKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLIPGYMRPETAQGIFVNFKDLYYYNGRKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPENKSHPKFPDVSKLEFLMFPREEQMSGQSAKKLCIGEAVAKGTVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGVALVAEEKYAEPKEVEKLVINPVKKELGLAFKGNQKNVVESLEAMNEKEAMEMKASLESKGEVEFYVCTLEKNVIIKKNMVSISKEKKKEHRRVFTPSVIEPSFGIGRIIYCLYEHCFSTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQFEEATKVISKELTSVGISHKIDITGTSIGKRYARTDELGVPFAITVDSDTSVTIRERDSKDQVRVSLEEAASVVSSVAEGKMTWQDVWARFPHHSSAAADE >A01p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24964136:24964722:1 gene:A01p043310.1_BraROA transcript:A01p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKQIISLTSCIYVFQLVFKSLSSIPSPFRVITGLELHLMRDGPAGTKEAENSAIW >A08p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23827200:23828382:1 gene:A08p042500.1_BraROA transcript:A08p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNNGYTVPPVFMNENHLQYQTNAQTNQLHFLGTMGGRCTVDPVNYFANDNHAPMLRPNKRGREAESISHNVQRQQKLQMSLNYNHNNISVQEEAPKENLVSTGLRLSYDDDERNSSVTSASGSIVAAAPPILQSLDDTLRIDLHRQKDELEQFLKIQAAQMAKEVRDMKQRQIASFLNTIEKGVSKKLQEKDQEIDIMNKTNKELVERIKQVATEAQNWHYRAKYNESVVNALKTSLQQAMSHNNNNVVAGADHCKEGFGDSEIDDAASSYIDPNNSNNNMGSQRMRCKMCHGKEVSVLVVPCRHLSLCKECDVFTGFCPVCKSLKTSSVQVFFS >A03p002040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:982451:983413:-1 gene:A03p002040.1_BraROA transcript:A03p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G04950) UniProtKB/Swiss-Prot;Acc:Q9FF79] MACENNLVVKQIIDLYDQISKLECLKPSKNVDTLFGQLVSTCLPTDTNIDVTKMSDEVKDMRSNLIKLCGEAEGYLEQHFSTILGSLPEDQNPLDHLNIFPYYNNYLKLGKLEFDLLSQHSSHVPNKIAFVGSGPMPLTSIVLAKFHLPNTTFHNFDIDAHANTLASSLVSRDPDLSKRMIFHTTDVLNATEGLDQYDVVFLAALVGMDKEAKVKAIEHLEKHMAPGAILMLRSAHALRAFLYPIVDSSDLKGFQLLTIYHPTDDVVNSVVIARKLGGSTMTGVNGTRGCMFMPCNCSKVHAIMNNRCKKLMIEEFSAIE >A09p067550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53253299:53254618:-1 gene:A09p067550.1_BraROA transcript:A09p067550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMQMMFVLTKLIYCCCRLTDQEYMELVFENGQILAKSQRSNGFSMHNQRTKSIVDLYEAEYNEDFKKTIHGADTSDKNLVDTQVVPEPLVVAAYETNMLMNQLNLIQSLKASSSKRMVVDYENRKDIVPPDEQSVVAERSVELGYDSTDFTEDSEESTYQSSSLDDVRPQVPARTSKVLVKRRRKQKQTNDINKKMRNLQDLLPNSQKMMTMGNRFVAPATMLPLGPPYSQMGLATGMQMGVPQLLPAPVLGAGLPLVSTQADVLTVLNHPVLMPIQNSAPFTPMQNYLPQSVPPVCAAFPNLIPNSTTSSNLDDARTHGGNLSGKESDKP >A05p033440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17441334:17441729:-1 gene:A05p033440.1_BraROA transcript:A05p033440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGDDITGDNPSGPGMDNERHVTNAFTRASMNVGPYGIVSVPTTNIPQIDCGKLAETYRHLKAKFDKGKFCLEHKMGTTGATHQPGRCEKDSS >A06p034300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18548964:18549613:-1 gene:A06p034300.1_BraROA transcript:A06p034300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSKSSVVFFVVILSISAISLAKQSSLEACIRSNIARDEARAIMYYLRLNKEFPPYYVQALCNIFGDDENKVKVYVTKTWLNHSKKLLNSLTCMRRTTLVTKIKSPVEDCIRRKIALSLSPSPSHTSQFDVMKDQLCRDETRIIMFFLKMNGKFPTYYVEALCNIFGGDEKKVKNYIMKKWLDHSEKLINSLTCLS >A03p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1112721:1115149:1 gene:A03p002400.1_BraROA transcript:A03p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKKEVNIETRKGSTMDEWPEPIVRVQSLAESNLSSLPDRYIKPASQRPTTIEEAPAATNIPIIDLEGLFSEDGSPDEAIMAQISEACREWGFFQVLNHGVRPELMDAARENWREFFHLPVNEKETYRNSPKTYEGYGSRLGVEKGAILDWSDYYFLHLLPLHLKDFNKWPSFPPTIREVIDEYGKEVVNLCGRVMKVLSLNLGLKEDEFQDAFGGENIGACLRVNYYPKCPRPELALGLSSHSDPGGMTILLPDDQVFGLQVRKNDMWITVKPHPHAFIVNIGDQIQILSNSAYKSVEHRVIVNSEKERVSLAFFYNPKSDIPIQPLQELVSTHNPPLYPPMTFDQYRLFIRTQGPQGKSHVESHVSPR >A02g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9626517:9626833:-1 gene:A02g502890.1_BraROA transcript:A02g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWDAVPLLQSYGRRAPSGGQGVEEGRRENKKEGDEVTENLLDIDPLENMVRLRDDVFKCRRIKKEKLQRIRRS >A02p029170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14729922:14734729:-1 gene:A02p029170.1_BraROA transcript:A02p029170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPSARGGDDEPTASSLVGGAKSKKKSVQIDDDEYSVGTELSEDPPKGEEDKVVITGKKKSKKGNKKGALQDDEEDDVVPEIAFEGKKKKSKSKKGGGSVSFALLDDDEGDKDDEDDKVEDEPVMSNKGKNGGNSFAASAFDALGGDDEDDEEAEKDEEEVSPITFSGKKKSSKSSKKSANSFAAALLDDDDDEEETNAVEEEESPEIMFTGKKKSSKKKGSSVLASLGDDDSMLGSEVAEETSKDKASDTKSAEVVEPAKSKKKKKNNKSGRTVQEEDDLDKLLAELGEGPASGKPASSQPEEDKFQAQAQPVAPVENAGEKEEEEESAAAKKRRKKKEKEKEKKAAAATSSVEAKEDKQEESVAEPLQGKKKDAKGKAAEKKLPKHVREMQEALARRQEAEERKKKEEEEKLRKEEEERLRQEELERQAEEAKRKKKEKEKEKLLRKKLEGKLLTAKQKSEALKREAFKNQLLANGGGLPLADEGEPATKRPVYANKKKSARQKGNDSASVQVEEEVDPKESHADEPDTLGEVGSAETEKVDLVESADTDEKSGTADVAAENGAEEDEEEDEWDAKSWGDDVDLKFKGDFDDEEDKAQPVVKKDMNDAVSKTQDSGPETVKPTAKPAGTENPTAPATKTLPGVEDAARGKRATRAKDASKKGKGLASSEPKEGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTRELKADAKLKVPGLLVIDTPGHESFTNLRSRGSSLCDLAILVVDIMHGLEPQTIESLNLLRMRDTEFIVALNKVDRLYGWKTCKNAPIVKAMKQQTKDVVNEFNMRLTGIITQFKEQGLNTELYYKNKEMGETFSIVPTSAITGEGIPDLLLLLVNWAQKTMVEKLTYVDDVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVGPIVTTIRALLTPHPMKELRVKGTYVHHKEIKAAQGIKITAQGLEHAIAGTALHVIGPDDDIEAIKEQAMEDMESIGTPICVPSREFIDIGRIASIENNHKPVDYAKKGSQVAIKIIASNPEEQKMFGRHFDMEDELVSHISRRSIDILKTNYRDELSMEEWKLVVKLKNIFKIQ >A05p051290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29869365:29870795:-1 gene:A05p051290.1_BraROA transcript:A05p051290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKPQALLNQSKKKKGPSRISISTIVVCNLVVAVVVLSLVTTYRHWSQRSRNTLETQSQRFEDTNAVSQQKNYDLPGYADISTSKGLITVELFKDASPEAVDKFLDLCKKDHFKGMPFHRVIKNYLVQAGHSSSSIPIEEWTAKGKLRGRLDTSPKHEAFMLGTPKNKGNNKDFELLITTAPIPDLNYQLIVFGRVLKGEDVVQEIEEVDTDEHFQPKSQIGIISIVLKREL >A01p014860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7206690:7208264:1 gene:A01p014860.1_BraROA transcript:A01p014860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSFTLSTILNTEDPYSSIMLAVAALVAIFCYFWIQGKAKSKNGPPLPPGPWPLPIVGNLPFLNSDILHTQFQALTQKHGPLMKIHLGSKLAIVVSSPDMAREVLKTHDITFANHDLPEVGKINTYGGEDILWSPYGTHWRRLRKLCVMKMFTTPTLEASYSTRREETRQTVVYMSEMARDGSLVNLGEQIFLSIFNVVTRMMWGATVEGEERTSLGNELKTLISDISDIEGIQNYSDFFPMFARFDFQGLVKKMKVHVKKLDLLFDHVMESHVKMVGKKSEEEEDFLQYLIRVKDDDEKAPLSLTHVKSLLMDMVLGGVDTSVNASEFAMAEIVSRPEVFKKIRQELDQVVGKDSIVEESHLPKLPYLQAVMKETLRLHPTLPLLVPHRNSETSVVAGYTVPKDSKIFINVWAIHRDPKHWDEPNEFKPERFLENSLDFNGGDFKYLPFGSGRRICAAINMAERLVLFNIASLLHSFDWKAPKGQKFEVEEKFGLVLKLKSPLVAIPVPRLSDPKLYTA >A01p054960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30810913:30813052:1 gene:A01p054960.1_BraROA transcript:A01p054960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMRRGVPTWQEELASLMDGGLQYDDGSPIGQDSRSGFESVVDGSGSGSESTESLKEQVTGFMKSWGEMLMDLAVGCKDVVQQTLVSEDSVVVRKLRKPAAKLRFLNEYLPEDRDPAHAWPVIFFVFLIALAGIPGVKDSLLKDYGVRLVSYDLPGFGESDPHRGRNLSSSASDMIDLASALGIAEKFWLLGYSSGSMHAWATMRYFPDRIAGVAMIAPMINPYEASMTKEETAKTWELWLRKRKFKYFLARRWPSLLPFFYRRSFLSGYLQPLDQWILPDFRLQKKCKANGVLSWLMSMYSESECELVGFGKPIHIWQGMEDRVAPPSVTDYISRVIPEATVHRLPNEGHFSYFFFCDECHKEIFSALFGEPQGPVELTEERTETHEPDQPEIGSSNTSTTKG >A02g510410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27550316:27551606:-1 gene:A02g510410.1_BraROA transcript:A02g510410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSYEKALRKKESRCPTKSSKQVATQRPSDRPARSLRSDRARAKARSLRSDRARAKARSLRSDRVIVPLGRYVATELEPKLGRYVATERSSRSVATKRPGSSQSSVAKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTKKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVL >A02p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8627429:8631253:1 gene:A02p018690.1_BraROA transcript:A02p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLGPFSEEKFDAKRWVNSSCKARHPQDSLERHLVDLEMKLQIASEEIGASLEEQSGGALLRVPRATRDVLRLRDDAVSLRSSIAGILQKLKKAEGSSAECIATLARVDCVKQRMEAAYKTLQDAAGLTQLSSTVEDVFASGDLPRAAETLASMRNCLSAVGEVAEFANVRKQLEVLEDRLEAMVQPRLTDALTYHKVDVAQDLRGILIRIGRFKSLELQYSKVRLKPIKQLWDDFDTKQRANKLASERSETQRLSSGDEVQLTSAQTSFASWLPSFYDELLLYLEQEWKWCMVAFPDDYMTLVPKLLVETMGVLGASFASRLNLATGDAVPETKTLAKGVMDLLSGDLPKGINIQTKHLEALIDLHNVTGSFARNVQHLFAESELRVLIDTLKAVYSPFESFKQKYGKMERAILSSEIAVVDLRGAVTRGVGAQGIELSETVRRMEESIPQVVVLLEAAVERCIGFTGGSEADELILALDDIMLQYISMLQETLKSLRVVFGVDGSGDGVSSEKRESSRKMDLSSNEEWSIVQGALQILTVSDCLTSRSSVFEASLRATLARLNSSLSISLFGTNLDQNLSHLTSEQTAGDLSMAGRASLEVAAIRLVDVPEKARKLLNLLEQSKDPRFHALPLASQRVATFADTVNELVYDILISKVRQRLGEVSRLPIWSSSEEQSAFPLPSFSSYPQSYVTSVGEYLLTLPQQLEPLAEGISTNGDSNNEDAQFFATEWMFKVAEGATALYVEQLRGIQYITDRGAQQLSVDIEYLSNVLLALSMPIPPVLATFQTCLATPRDELKDVMKSEAGSELDFPTANLVCKMRRISFD >A07p037250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19923682:19925557:-1 gene:A07p037250.1_BraROA transcript:A07p037250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAANVDVNPTKTKDIRNYLCQYCGISRSKKYLITSHIESHHKMEVEEERNEEACEDEEEISGKHTCNECGAEFKKPAHLKQHMQSHSLERPFACYVDDCTASYRRKDHLNRHLLTHKGKLFKCPVENCKSEFSIHGNISKHVKKFHTDGDSNKDDTGSGDSQPSECSSGQKKLVCKEVGCGKAFKYPSQLQKHEDSHVKLDSVEAFCSEIGCMKYFTNEECLKAHIRSSHQHVNCEICGSKHLKKNIKRHLKTHEEDSSSPGEFKCEVKGCSSTFSKPSNLQKHLKAVHEDIRPFVCGFPGCGMRFAYKHVRNNHENSGSHVYTCGDFVEADEDFTSRPRGGLKRKQVTAEMLVRKRVMPPQFDSEEHETC >A08p034640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20567427:20568624:1 gene:A08p034640.1_BraROA transcript:A08p034640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIC2 [Source:Projected from Arabidopsis thaliana (AT1G27380) UniProtKB/TrEMBL;Acc:A0A178WAB0] MKDRIERFVVLPFSLGCSTQSSVAVAASHQHKKPNQLTERKEESGLFLKEETKIENNGVNISDGIYKLVRSLKSFSHFFIRYEEEREAEMEIGLPTDVKHLSHIGVDGTMTTFDFCSTSSSSSFPFSRFHLTAV >A09p065270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52257972:52264454:1 gene:A09p065270.1_BraROA transcript:A09p065270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNNAVPAETDGGATTDLQPHPPPENIYMNLSHDGRYIKHNFSGNIFEVTAKYKPLIRLLGRGGGGIVCSGINSETNEKVAIKKIACRDQITAKRTLREIKLLRHLEHENIVGIKDIVLPPQRDAFEDVYIAYELMDTDLEKLIKSNQELTKYHHQFYMYQLLRGLKYIHSANVLHRDLTPGNILLNANSELKICDFGLARVASDAMTQYVGTKWYRAPELLLSSSAYTSAIDVWSVGCIFLEMMTRTPLFPGRDRSDQLRSILEFLGSPTEDDTGSLNESGKQCLKMLPWFDRQSFFVKFPDVPFLAVLLLEKMLKFDPRKRISVEDALADPYFKTMHNINNEPVCTKLFDFDLEEHPLTVEQIKELIYHEALAFNPEPATPPNDAEPGKTDAGATTDLQPHPPPQNSYMNPSHGGRYIQYNLSGTIFEVTAKYKPLIMLLGKGASGIVWSAINSETNEKVAIKKITHACKNQSTAKRTLREITLLRQLQHDNIVGIKDVVLPPQRDAFEDVYIAYELMDTDLHKVINSNPVLTENHHQSFMYQLLRGLKYIHSANVLHRDLKPSNILLNANGDLKICDLGLARVASDAMTEYVGTRWYRAPELLLNSSAYTSAIDVWSVGCIFFQMLTRTPLFPGRRDRDHQLRLILEFIGSPREDDIGSLNESAKQYLRTLPHFHRQSFFVKFPKVSFSALSLLEKMLKFDPRKRISVEDALADPYFKTMDKYIRYEPVCTNLFDFDSEEHPLTLEQIKELIYDEALAFNHDFLAFNPEPVATVEKEQ >A10p022420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14802142:14804340:-1 gene:A10p022420.1_BraROA transcript:A10p022420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRCSKLSLCWWQTHHKSSLNDASDLENGNDDSASFSEFSFDQLRTATSGFSADSIVSEHGVKAPNVVYKGRLEDERWIAVKRFNRSAWPDTRQFLEEAKAVGQLRSERLANLIGFCCEGDERLLVAEFMPFETLSKHLFHWDSQPMKWAMRVRVALYLAQALEYCSSKGRALDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALDGHFSNDDGTDLVRLASRCLQYEARERPNVKSLVTSLAPLQKETDVPSYVLMGIPHGSASPPKETTSLLTPLGDACSRLDLTAIHEILEKVGYKDDEGVANELSFQVWTDQIQETLNAKKQGDAAFKGKDFVTTIECYTQFIEDGTMVSPTVFARRCLCYLMSNKPQEALGDAMQAQVVSPEWPTAFYLQAAALFSLGMDKDACETLKDGTSLEVKKHNNRN >A10g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5296337:5304573:1 gene:A10g502020.1_BraROA transcript:A10g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGTGRFDQMGLRLGIGSGQAPRVKKRGETAKGRLWDGYGTVLGRKEGILVTVRPGERNTEKIQERKRDRNFGSADLIQEIVLECSWCVWACDQEDGFETERQGEGREKEVAPGDRTPKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGTGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >A02p040030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25306527:25309308:-1 gene:A02p040030.1_BraROA transcript:A02p040030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKYKLGRKLGSGSFGELFLGVNVQNGEEVAVKLEPARARHPQLHYESKLYMLLQGGTGIPHLKWYGVEGEYNCMVIDLLGPSLEDLFNYCSRRFNLKTVLMLADQMLNRVEFMHVRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKRLTPVEVLCKSFPPEFTSYFLYVRSLRFEDKPDYPYLKRLFRDLFIREGYQFDYVFDWTILKYPQFGSSSSSSSKPRSSLRPALNPPVPSGERPERPSAAGQDSRDRFSGALEAYARRNGSGSGAVQADRSRPRTSDNVLASSKDTPPQNYERVERPISSTRHASSSRKAIVSSVRATSSADFTENRSARVVPNNGRSSTAQRIQLVPDSTSRPSSSFTRAAPSRTARDSMLQSFELLTIGNGKRK >A04p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3520975:3522166:-1 gene:A04p006580.1_BraROA transcript:A04p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVRSLPSLLMSPHDTGHFCYTEEIDGPSKDYRTRTEQNTHAIQAKAISAVDRSSSHGTSTTDQHEPLSVLQPGSPLDPVISFKIVLEPQSPTRSSSRLWCNNLECDGANRATVEARVRYYTDYCRQLGVVNP >A05p049630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28857978:28859303:-1 gene:A05p049630.1_BraROA transcript:A05p049630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLLAALLLLLSAASFITPTSAETKSLTISDDDRPMILFEKFGFTRSGHVSVSVSSVSVTSPSAAAIPDPSRLGFFLMSEESLLQVLLEIQQNPSFCVLDSPYVLHLFTFHDLSPPPSSSYEHLYPVTSPNEYSLFFVNCVRDTKISMKVRTEMYNLDPNGSKDYLPAGSTRLPELYFLFFIGYLAFLGLWGYVCWVNKRVVHRIHVLMAALLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKNVLMVVVPLQVLANIASIVIGETGPFIKDWVTWNQVFLLVDIVCCCAILFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALKSIAAYKYLWVSNAAEEIASLVFYMVMFYMFRPVEKNEYFVLDDEDEEAAELALKEDDFDL >A09p000790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:503149:504051:1 gene:A09p000790.1_BraROA transcript:A09p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTNLGPITIVFFFYVISMVHCINNIQELDDQIRSYAARANKGRHTGSLYELSLPANLSDVKASVVTVRNSVFWRKGANFSGVYVPPMVKTTPYAKRIAFVYETFGDHSSSVYFRLADDNYSFISPVIGFTAYDATNTNNLKRLNLSIDEDNSILIKLDPYVSHNQRRGIKCVALGDNNESLKFSNTTRNYSCATTNSRGHYALVAPNQKHNPTSKLELARRKWWWIALTIIGISVLLAAVIGVVVKLVRKKKFKEMERESEKSETVGNIWIGQSRMPAATMVRTQPCLEYHEDFPSSN >A06p049430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26115231:26117501:-1 gene:A06p049430.1_BraROA transcript:A06p049430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRGGICSGGSGSSGETEVRKGPWTMEEDLILINYIANHGDGVWNTLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLIIMELHAKWGNRWSKIAKHLPGRTDNEIKNFWRTRIQKYIKQTDVAATATSSVGSQSSEINDHAASTSAHNVFCAQDQAMDTYSPTTTSYQHTNMEFNYGNYSAPVAPVTTAATTADYPVPMTVDDQTGENYWGMDDIWSSMHLLNGN >A08p004110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2384017:2386886:-1 gene:A08p004110.1_BraROA transcript:A08p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G49960) UniProtKB/Swiss-Prot;Acc:P93039] MAKIDDFAPFPVKDQLPGVEYCVSSSPNWPEGIVQGFQHYIVMLGTTVIIPSILVPLMGGGDVQKAEVINTVLFVSGINTLLQSLFGSRLPVVIGASYAYVIPALYITFSYRFTYYLHPHVRFEETMRAIQGALIIASISHMVMGFFGLWRILVRFLTPLSAAPLVILTGVGLVVLAFPQLARCVEIGLPALIILIILSQYLPHLFKCKRSICEQFAVLFTVAIVWAYAEILTAAGAYNKRPDSTQLSCRTDRSGLISASPWVRIPYPLQWGRPSFHASDAFAMIAASYVAIVETTGSLIAASRFGSATHIPPSVLSRGIGWQGIGVLLNGLFGTATGKFGAVLASIPLPIFAALYCVLFAYVASAGLGLLQFCNLNSFRTKFILGFSIFIGLSVAQYFTEYLFISGRGPVHTRTSAFNVIMQVIFSSAATVGIMAAFLLDCTHSYGHASVRRDSGRHWWEKFRVYYTDTRTEEFYALPYNLNRFFPSF >A10p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18311610:18313733:-1 gene:A10p030240.1_BraROA transcript:A10p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSSRSASSTLSQLHCFVGMVSEVEIQKKEETFYDLVALMKIQEERVSKVVSVCLIYKFGSAGESPSRPMGHDRKFTWVIKNFSSLESEKIYSDQFVSGVSWPFPREITKLDDGCDWVDANGFQVKLVNRIFEKHPDIALQFRAKNQHLRTACMTVLLSLIETLCQSPQELSSEDLVEAQNALAHVKDAGFKVDWLEEKLEKVKGKKEEEQTGEARMQELEEELKDLKQKCSEIEASLEKEKAKVSAARII >A06g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10268136:10269373:-1 gene:A06g503330.1_BraROA transcript:A06g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLDAFLILISILRVVTLVDSSLVISSDCQPKCGNISIPYPFGINNEGCYLNEYYKIECLENSTGGGEYPVLSKFNMTIVNISLPEDYYGSQTSALFGSVRVRIPVTSMGCSINGKETESPLNLTGSPYFVEYDNYLVALGCKGKASLTNIEPNKVVCDLDCTSKTEVHSNNNIPFLDNTGCSNNTLPLSGNQICTEKQTGCDGNGCCLKNMLSGRQQVIGVKIEKSEENSTAKEECIVAFLSDESYTKSNGTNPMPLFVMGYSTVKLGWVIQTNNLSFLNSLSCKQRNKYTNTFDIARKISCVCDNSTIFENSYASCGCSRGYTGNAYVFNGCEDIDECSHKSKNYCRVSDTCVNLPGTYDCVGDKTKAIMAGNHRVFS >A04p031730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18644368:18645388:-1 gene:A04p031730.1_BraROA transcript:A04p031730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRILCSLSNLATERLSLSSCPSRHISTAWSSSPQRSNLSEALPGSHIKWASLGSVRNSRFASGFTPLQPKPLDSIMDLERAKTKSPEELTSIWDDYHLGRGHIGITIKAQLYRLLEQRAAECRYFVIPLWRGSGYITMFAQVEAPHMIFTGLEDYKARGTQAAPYLTSTFYTELSETKDLVFIRGDVVFTSKLTDEEAKWIMETAQSFYLNDTRYKLLERFNKYTHDFEFKDVLQALDMPIL >A06p032100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17102152:17102460:1 gene:A06p032100.1_BraROA transcript:A06p032100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNSGTRFCVPRVKTNLSRTKAGDQNISVTPMTLLDRFREAVLRIIMISAPSNPTPHRSKPTRVNSQKYYNSTDTYHNEAVADCIEFIRINKGNDVENGR >A08p008040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5279705:5280748:-1 gene:A08p008040.1_BraROA transcript:A08p008040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II 22 kDa protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G44575) UniProtKB/Swiss-Prot;Acc:Q9XF91] MAQTMLLTSGISANHFLRNKNPLAQPKVHHLFLSGNSPVTLPSRRPSLVPLAIFKPKTKAAPKKAEKVKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEALTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDPPTGLEKAVIPPGKGVRSALGLKEQGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPIQDIEPLVLLNVAFFFAAAINPGNGKFITDDGEES >A08p043750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24320045:24322106:1 gene:A08p043750.1_BraROA transcript:A08p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRSVDLRSDTVTRPTDAMREAMASAEVDDDILGYDPTARHLEEEMAKMMGKEAALFVPSGTMGNLICVMVHCDVRGSEVILGDNSHIHVYENGGISTIGGVHPKTIKNEEDGTMDLGAIEAAIRDPKESTFYPYTRLICLENTHANSGGRCLSAEYTDRVGEIAKRHGLKLHIDGARLFNASIALGVPVHRLVEAADSVSVPSSLILTSEKGLGAPVGTVIVGSHTFIEKAKTLRKTLGGGMRQIGVLCAAALVALQENLPKLQFDHKKAKLLAEGLNQMKGIRINVAAVETNMIFMDMEDGSRLTAEKLRKSLTECGILVLPGNSSRIRMVVHHQITISDVHYTLSCLQQAMQMIQEPSLNQTGKTENALSF >A04g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6757108:6758991:1 gene:A04g503050.1_BraROA transcript:A04g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGALGEEPTPTPAAAPPITADFMSSVMARLARQDEVQKTTNDQLAALVAALTAPDGQTNRPQQIRRRLFNTNPTEETPKDNGEGDSSADEEHPANRRRIEVILSQLSLSSDDNNDDAPVLGDLRDVLKRKFESENDSSPMHKDLRAMLGAPQPFKTNGLATTVGAS >A05p009000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3721469:3722752:1 gene:A05p009000.1_BraROA transcript:A05p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSSDSIIVNVDGDDNKSALFGKYDLGKLLGSGAFAKVYQAEDLHNDRESVAIKVVQKKRLKDGLTAHVKREISVMRRLRHPHIVLLSEVLATKTKIYFVMELAKGGELFSRVSSNRFTEKLSRKYFRQLISAVRYCHARGVYHRDLKPENLLLDENRDLKVSDFGLSAMKEQIKSDGMLHTLCGTPAYVAPELLTKKGYDGSKADIWSCGVVLFLLNAGYLPFRDSNISGLYRRIRTAQYTMPEWTSPELTNLLRRLLEPIPEKRITVDEILKDPWFNHGVDPSEIVGIQVDDYDLEESGKKLNAFELIASSSTANLAGLFGNFVTPDHCDQFVSDESPAEIMRKVVEVARQLNLRIAKKKERAVKLEGSQGVTNIVVKIRRLTDELVMVEMKNKQRDVGLAWADELRRKLRRIINQPVNRGP >A06p047490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25219063:25220489:1 gene:A06p047490.1_BraROA transcript:A06p047490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 10 [Source:Projected from Arabidopsis thaliana (AT5G48250) UniProtKB/Swiss-Prot;Acc:Q9LUA9] MGYMCDFCGEQRSMVHCRSDAACLCLSCDRNVHSANALSKRHSRTLICERCNAQPASVRCTDERVSLCQNCDWLGHNGATNSHHKKQTINCYSACPSSEELASIWSFCLELDFSKGGQSACEQGMGLMTIDEGTGEKKSGGHDVDGPGVSEDDFCGNLIMDEMDMAFEKYDELFGTAYNSSKDLFEHGGIESLFEKHEGLIQGQQPAESNAASGDSFMTCRTEPIICFSSQPAHSNISFSGATGEGNNAGDFQDCGVSSMQQVSKETPLWCPPTAQEISATTRNNAVIRYKEKKKARKFDKRVRYVSRKERADVRRRVKGRFVKSGEAYDYDPLSPTRSY >A08p031100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19043793:19046351:-1 gene:A08p031100.1_BraROA transcript:A08p031100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLISSATMCISPKNPLLVRSARPGSLILPKPRSPTNSVRFHRVAAVAAARATAIDLSDPEWKLKYQRDFEERFSIPHITDAFPDAEAIPSTFCVKMRSPTYINTKDRVLLKVINYSSPASAGAVCIDPDCTWIEQWVQRAGPRENIYFRPEDVKAAIVTCGGLCPGLNDVIRLIVITLEIYGVKNIVGIPFGYRGFSDKNLPEIPLSRKVVQNIHLSGGSLLGVSRGGPTVTEIVDSMEERGINMLFVLGGNGTHAGANAIHNECVKRRMKVAVVGVPKTIDNDILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGVVKLMGRSSGFIAMQASLASGQVDICLIPEVPFNLHGPDGVLKHLNYLIETKGSAVVCVAEGAGQSFLENTNAKDASGNKVLGDIGVHIQQETKKYFKEIGVAADVKYIDPTYMIRAVRANASDGILCTVLGQNAVHGAFAGYSGITVGIINNHYAYLPIPEVIAYDKSVDPNSRMWHRCLTSTGQPDFL >A08p043870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24371349:24377712:-1 gene:A08p043870.1_BraROA transcript:A08p043870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSSMVPEHDHDQHDEAQSQRDASGDGGGSMPAFSSPEPSQQEAVGGGEAQSAQVVVGPRCAPPYSVVDALMDKKEDGPGPRCGHTLTAVPAVGEEGTPGYIGPRLVLFGGATALEGNSGGTGTPTSAAPSAGIRLAGATADVHCYDVLSNKWTRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDGLLVAEDLAAAETTYAASHAAAAAATNSAPGRLPGRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDCGGEASPSGTPTFSLMKPDSMGSAGIRLHHRAVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSNEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETICLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAASIEKKILCMHGGIGRSINHVEQIESIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSTEASHPDDTWMQELNANRPATPTRGRPQAPNDKGGSLAWM >A09p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17232002:17233759:-1 gene:A09p028670.1_BraROA transcript:A09p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKTTERSYSVAAVALVIVMALYLVALFSTVSDLKLIPSLSLSQGTLQQTWESIKIDQPPQQMTSSTIICDRSDTNYDLCSINGLCHLDIRNQTITLMDPTFAASAPIVEKIRPYPRKADNWIMSKIQELTLTSGPMGLIRSCEITHDSPAIVFSAGGYTGNIYHDFMDGFIPLFITANVIYPDRDVILVVANPREWWMPKYMEVLGAFSKHKPILLENENASVTHCFTSAIVGLISHGPKAINSTRLPNSKSLEDFHNLLDKAFNTNIPTFKIQKPRLILISRYGNIGRVILNEEKVKEMLENVGFEVITFRPTGMTSMREAYELIKTSHGMVGVHGAALTHLLFLRPGSVFVQVVPVGVGWVANCYGSPAKAMKLEYMEYSIDVEESSLVEKYSRDDLVLKDPIAFSRKDWGVTKKVYLKEQDVRLDVNRFRNHMNKAYEKAKLFMDFNG >A01p030460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13950458:13950938:-1 gene:A01p030460.1_BraROA transcript:A01p030460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPTCQIDASWINNGSVSGLGWSLKDQMGIELFGLPKDARTRGYLFTHIDQTQIDGSALRRIGSFTIHLI >A07p043030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23299863:23301574:-1 gene:A07p043030.1_BraROA transcript:A07p043030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 94 [Source:Projected from Arabidopsis thaliana (AT1G69540) TAIR;Acc:AT1G69540] MGRVKLKIKKLDSINARQATYCKRKNGIMKKAKELSILCDIDVVLLMFSPAGKPSLCTGEHSIGEVIAKFSQLAPQERAKRKLDNLEALKKTFMKLDHDVNISEFLERSKPTVEVVSEQVRFLQKHLSEIHTRLSYWTEVEKVDSIDDLQQLENSIRQSLYQIRVHKENVLQHQQQQLMSIECKNELQSDIDLDFGIDIEQQLENFSWVRTDENMKAPLKEEEDPNLQFYHTYKDLTCSASSSLESYSGLFGIKTPKLETGSIPGTSVDPNVQYSNLSFLNDPKLQQLAEWNLLGSPADYYVSQILEASYRPQFGGNWPSSEMSNYPFTVFDDPLLSRQPNL >A09g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18034305:18036196:-1 gene:A09g505750.1_BraROA transcript:A09g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINPTTSDPAVSIREKNNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRAVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTLTTSPIHKSAPAFIDLDTTLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINELNLADSKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQHFFVAEVFTGSPGKYVGLAETIRGFNLILSGEFDSLPEQAFYLVGNIDEATAKATNLEMEKVKEIILSTNSGQIGVLPNHAPIATAVDIEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALRRARTRVEALNTI >A08g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11981194:11982678:1 gene:A08g506940.1_BraROA transcript:A08g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAQLVQQSGREHLLYLTPFNRSGNGISAWINRMIYSNLSKRHPTFTHFPPEDQEMWFRQFAADENDCEPVDDFVLMKTAHTNKHTGEIDDGVVRDVISLIETQKEDEETRLSQLQTDLDATSTASTNLSRIRINEIVESAYVDPEGLMDQLKDKDDRIAALEQKMADQEAGWEATRKQNEQMMEMMKRMYPNEQFP >A03p012850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5089295:5090153:1 gene:A03p012850.1_BraROA transcript:A03p012850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NdhN [Source:Projected from Arabidopsis thaliana (AT5G58260) UniProtKB/TrEMBL;Acc:A0A178UG88] MASHAVCIQRVAPPCFEASQLNKSKTVSPFSVSITRRKRRSSGVRCSLVDFIGGDLVKPDIGRWLEDVEKHKAIAIYTPHEGGYEGRYLNRLKEQGYYFLDISARGLGDPETTLLNAYPVCPAHLGKQPIARWYYPPEVDYRLSALPPSAKGLVVWIIEAKVLSKSELQFLALLPSLRPNVRVVAECGNWRKFMWKPLAEIAELAAQE >A01p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3167408:3171642:1 gene:A01p006160.1_BraROA transcript:A01p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP95 [Source:Projected from Arabidopsis thaliana (AT4G32420) UniProtKB/Swiss-Prot;Acc:Q8RWY7] MAKKKNPQVYMDVCIDGDPAETMVFELFPEVAPKTSENFRALCTGEKGIGPRNGKPLHYKGSFFHRILKGSSAQFVPVLYVVGLQAGDFVSRDGTAGESIYAGKFPDESPKLRHDERGLLSMEVAERDKFGSHFHITFRPNHQLDRKNVVFGKLIQGKEVLKKIERVGDEEGKPTVTVKIIRCGEYSGDKKNAVDDRKRSKHKKFSRERRKKRRRHSSSESESSSDSETDSSESDSESDSDSSSDLSSSSYERGKKRKRSSKKDKQRRSKRRDKRHEKKRRIRDKRSKRKSRRSPDNLIGEEGNSESDANVDIGGKTRKPRVSNRTGNSPLEFEKEAESLHQDKKEAPDLLDKDDDASDRQHDIVDDHSGKSRGRDITRSPSRSISRSPLRSPVRDIGRSPVRSRNARSPSRSPVRSASRGSLGRGSLRRARRSPSRSPVRSSRRSLSRSPSISPRRSVSRSPIRSPRRSVSRSPIRSSRKSVSRSPIRSSRRSISRSPVRGERRRRISRSPIQARRRSPFGRRRSLSRSASPDGRIRRGRGFSQRYSYARRFRASPTPDRSPYRLSDRSDRDRFRSHRRYSPRRFRSPLRGRTPPRLRRRSRSVSSGPRHRRRYSRSPIRSRSPYRKKRRSPSPAIRSPIRSRSPYRKRRSPSPASRSPSPSGSRSRSYSKSPIGGTGKARSVSRSPSKSSSSSSGNSSGGKKRLVAYD >A06g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3456262:3464800:-1 gene:A06g500860.1_BraROA transcript:A06g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPVAEPVPTRDYVPKVLYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMKQNVQNIDADGYAKMLDSARTMERLHSLEAASEVRMSRRRKEQGAQAKNQRALQLEKRDFRGTGEQPATPAAAIQTESGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRQSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A07g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1984641:1985378:1 gene:A07g501010.1_BraROA transcript:A07g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLDETYTGQEDYNRLRPLSYRGADVFLLAFSLVSKASYENVAKKWVPELRHYAPGVPIILVGTKLDSLSDLRDDKQYFVEHPGAVPISTAQGEELKKLVGASAYIESSAKTQQNVKAVFDAAIKVVLQPPKNKKKKKRKSQKGCSIL >A03p020890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8585484:8586974:-1 gene:A03p020890.1_BraROA transcript:A03p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVSHHSYSSLHFVLFPFMAQGHMIPMVDIAKLLAQRGVTITIITTPNNAARFKNVLHRAIESGLSINLVHVKFPHQEAGLPEGQENVDFLESMGSMATFLKAVNMLEEPVQKLIDEMTPQPSCIISDMCLFYTNKIAKRLNIPKIVFHGFSSFSLLCMHVLRNNHEMLENLKSDKEYFLLPSFPDRVEFTKLQAPVDTHPQGDWKDVLSNLDEADTTSFGVIVNTFEELEPAYVKELKEARDGKVWTLGPVALCNKVGADQAERGKKADINQEDCLQWLDSKEEGSVLYVCLGSICNLTLDQLKELGLGLEESKIPFIWVIRSWDKYNELAEWILDSGLEERIKERGLLIKGWSPQMIILQHLSVGGFLTHCGWNSTLEGITSGLPLLTWPLFADQFSNEKLVVQVLKSGVRVGVEEPMIWGEEEKIGVLVDKEGVKKTVEELMGDSDDAKERRRRAKELGELAHKAVEEGGSSHANISLLLEDIMEQVKSRN >A08p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13302494:13304034:1 gene:A08p019530.1_BraROA transcript:A08p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRRYSENEKGKGIRADSSTSIDRSSGLKLLDAAIRAHRGAPGDVASLNSDRIEVAGDAEERFGKRLATTTRWRTMGSSTLDVPKIVVLSRQHWENFDRRRISRQQERIAKDDWPSNVPCEEIKGKRLKLPLMGKILKSYPNYSDILDAQSGGESFSAMTAAEEEDSDARGSPEGWINASAGKALVEGAIDQVSDTRPSKKKKKKSKFSKRAKVGFEGEREQEVEINR >A10g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18752345:18756179:1 gene:A10g506530.1_BraROA transcript:A10g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQQLHNRVPKDHPLPYLPPNQISLSLSLSRMAADQGRKRMSSANVIGFSSREHYRAKRKKLDGSLRSGGDHISLEWDINRSKVVSKKEQVGLSLRHLREFVDYVPPRRSLLAQVCPVPCETFQLENLSEVLSSEVWRGCLSDGERNYLQQFLPEGVDVEQVVQQLLDGENFHFGNPFLDWGTSVCSGKAHPDEIVSEEESLRASKRRYYADLEKYHNDIIDYLQMLKEKWESCKDPEKDSVKKMWGRSREGNNARVNGSCQDLTAASESSSWNEDDKPCNSDRAGEVRRRPKSSAVEKEKSQSPLIAQENVVNLGLKARNKDKLPKHSIQQTDGAKYMSYLKISKKQYQIVTSMKQSGKSIQSKALNKILGNINNLDVQPYGVFVEEEQKKLNAHWLQLVKDLPASYVIWRKLQFQKRDVINSLERELKDKLNPWMEVCSEKPLQKHNVQLALKNYDGDSLDPNQSGDLAPDVEDSGSLDQVSAKNQSLSKESSSDSDQITDSGRCLQVGEHPSQVSSPDCDNSINMEDTEANDYSSSINGQSLPQAPFPSEPHASDLGDANPSDERLPSTSSSHGDELQYCSGGDVWQPVGGTRQSYVGRQAYTPSGGLSIIHHPEGGEEEKNYFIEPDMPEEVDRRKMLQPGANTSFGSFPSNDQNELLQSLFKGQGGVASHSLLKVPLNEEHKQIMPIGFQQEGSNNLMEGNQFSGQFQHQMTAPQALSQDQPRQVDIYGQGSLPDNIYCDGRGFLMPRPDWNASVAQLGVTTQPPLNTGPLLNQNWQFKSMWANTNAVSQSSHTGSERDMNLLRVATNPEQMIHRGSSPDQSLFSVFSQCSQLRRSRSALEPESSSAQVNYEMLMGGGTTQAGSSLAQPTNPLDYLSGSNNPAATSLMPDDVIWMNQTRENSGLHDPLGKLYPRSWNP >A01p053510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30006115:30014080:-1 gene:A01p053510.1_BraROA transcript:A01p053510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTTPPIDQQEDEEMLVPHSDLVEEGPQPMEVAQPEAAAATTVENPPAEEIPTLKFTWSTQGFTRLNVRKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADAASLPYGWSRYSQFSLAVVNQMNSKYSIRKETQHQFNARESDWGFTSFMPLSELYDPTRGYLVNDTLQIEAEVAVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTRVGTKELTKSFGWDTNDSFMQHDVQELNRILSEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYQAEGHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEVVNRALEEQYGGEEELPQNNPGVNNPPIKFTKYSNAYMLVYIRECDKDKIICNVDEKDIAEHLQVRLKKEQEEKEDKKNYKAQAHLFTTIKVARDEDITEQIGKSMYFDLVDHEKVRSFRIQKQTPFQQFKEEMAKEFGVPVQLQRYWIWAKRQNHTYRPNRPLLPHEEQQTVGQIREASNKANNAELKLFLEIERGSDERPIPPPDKSPEDILLFFKLYDPENEELRYVGRLMVKSSSKPMDIVGQLNQMAGFAPDEEIELYEEIKFEPCVLCEHLDKKTSFRLSQIENGDIICYQKPISIQENECPYPDVPSFLEYVHNREVVRFRALEKPKEDEFTMELSKLHTYDDVVERLAEKLGLSDSSKIRLTSHNCYSQQPKPQPIKYRGADLSDMLAHYNQASDILYYEVLDIPLPELQRLKILKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPDAELRLLEVFYHKIYKIFPPTERIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFTKEAAQNQQVQNFGDPFFLVIHEGETLEDIKTRIQKKLRVPDEDFAKWKFASFSMGRPDYLQDTDVVYDRFQKQRKDVYGAWEQYLGLEHVDNTPKRAYAANQNRHAYEKPMKIYN >A02p027180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13156546:13158469:-1 gene:A02p027180.1_BraROA transcript:A02p027180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPSLSLGSRTGSFPFLSLDLCLSIVLFISLFVFWLTPGGFAWALYKARVHTRQQSKARAAIPGPAGLPIIGLLLAFVNNASTHRILASIANSCNAKALMAFSVGSTRFVITSEPETAKELLNSSAFADRPLNESAYELLFHRSMGFAPFGDYWRELRRISSTHLFSPKRISGFAESRRKIGNSMVEDINSAMASYGEVEIRRILHFGSLNNVMSTVFGRTYDFNDGTNELEHFVSEGYELLGIFNWGDHFPGARWLDLQGVRRRCRSLVGKVNVFVGNIIDDHISKRSLHDSQEEESTNEDDFVDVLLGMQGNSKLSNSDMIAVLWEMIFRGTDTVAILLEWILARMILHPDIQAKAQAEIDVIVGESGRQVSDSDLSKLPYLRAIVKETLRMHPPGPLLAWARLTIHDTQIGTHFIPAGTTAMVNMWAITHDEKVWPEAHEYKPDRFLGAPESGNFPIMGSDLRLAPFGAGRRVCPGKSMGIATVELWLAQLLGRFKWVPCGEVDLSETLKLSLEMKNPLVCKAIPRV >SC266g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000114.1:8301:10566:1 gene:SC266g500020.1_BraROA transcript:SC266g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFALSFKYPQITGLPHGKGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLLSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVYGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEIRESSPYTSASNEFLHQVGFELRVELVLCGSYSSVAHVRTRPYTRPIFAPWTIFSQTLPNPSSDQSKSLLDLSSQDNYFRTLLKLD >A05p013880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6050023:6051305:1 gene:A05p013880.1_BraROA transcript:A05p013880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASEAFATTAPLAPVTSERKVRSDLEEKLPKPYLARALVAPDTEHPNGTEGHDSKGMSVMQQHVAFFDQNGDGIVYPWETYAGFRDLGFNPISSVFWSLIINLAFSYVTLPSWLPSPLLPVYIDNIHKAKHGSDSSTYDTEGRYVPFNLENIFSKYALTAPNKLTFKEMWNLTEGNRMAIDPFGWLSNKVEWILLYILAKDEEGFVSKEAVRGCFDGSLFEYCAKKNKEKANSRKQD >A06p044190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23753308:23755783:-1 gene:A06p044190.1_BraROA transcript:A06p044190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDHDLSSNQNPLARQLDFSFPTAASAAVETKMIDSSQEIEKKLSQSLLLDSESYLEDQRDEELCESSEPTEEQHRLGAKSLEQPSATEDVTPPPQKLPIHRFGHPLEKCRLSKQEQMEAESKDGTPSKQKHCNCKASRCLKLYCECFASGSYCNGCNCVNCLNNLENESARQEAITGTLERNPDAFKPKIAGSPHGINDLQEDVRQLLILGKHSKGCHCKKSGCLKKYCECYQANVLCSENCRCQDCKNFEGSEERSALLHGSHHVSETYIQQTTNAALSRAVSTSGFLNTPESRKRKSKDASSAVPHLVHNQAVNQVIGNGDTSLFSIPNNKAVSGSPTCTYRSSLSNTIQPRHVKDLCTLLVATSLDVANKYSDKRRKHEKDPSLDPAQSDANEINDSPDCVLDSTRMDEKPVSPATRALMCDDEHVITSEKEASARVKTSEEKEDADTSSEIYLEKERQILSSFRDFLIQLSNRGSIKGANTKTSTNPSRKEPQDEEQSHRDSSLG >A04g507380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18109291:18109662:-1 gene:A04g507380.1_BraROA transcript:A04g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKADDQVKQEVEEDEEKKNKIETLKLSLALPDVSLSLMASNTVKTEGKHRANGSGARAKEPTDLSTAGSDPSAMTALRSLEPYLQKSVEFFRLLLLPFRFTGLPGDGSVALWRLEEKVRRKR >A05g510280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31795079:31796535:1 gene:A05g510280.1_BraROA transcript:A05g510280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPTGCFKCGRPGHWSRDCPSSAPAAGDPAPSQTPNNDSQRSFPKSGNAAGPVPKATKARVPRPKLTPEMLLSEDGLGYVLRHFPRSFKYRGRGKEVSDLGNLIRMYSEWHSHLLPYYSFDQFVHKVQQVSSTKRVKICINELRERVASGVDPNKLYEKQEDNAANSDDQGDVDLDQPSYDEENIPSKKTVDADTNADAFQDSMLNEIFDSATDSRPTQKLPSDEQNMDQSNELTEEQRARMEANRLKAMERAQNISEEQRLRMEANRLKALERAKARLEPNQA >A03g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29136656:29139348:1 gene:A03g508590.1_BraROA transcript:A03g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLFVSQRSLSQRQVAAIIMSSCFERRSSCRKRYKRHKPERFSTSSQPDEHTNQQLARHTRSQHKTLVGNSSAPFVGGAAETLEEDSDFEFLNNFKGAAQILECGDEVIKSVGIDLDNVYLWRQFFVSILPTLVVLLRATVEGEVICGDDSWGVFFSFITDSTSTKHRSFTPVSKRSSQGDIRRQQR >A08p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22087998:22098006:-1 gene:A08p038030.1_BraROA transcript:A08p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGGDYNSYYHHHHHQYPPQFPNPSPNPTDLAQNTYASAPPFTGGYGAGDYSQNYQPPYGVQNTEHVPPPSAPPPATNPNSYSALTQPPPIHPPAPSSYGSSAQPPMYYPPFDPPPSSAPAPNPNPLLHAPQQPYSSSYSSTPSYGDYGRSESSASDLYGKRSGSGGGGGGYPAFEDSSSYGDGVYPYSGGGKVEPYGSRGTAPKSSNSTLFDDYGRPISVSDSSSSASSKSAKIARAVPKADVQEDASGGVQKFRVKLLAETYGQTTTDVLCQIGLDGLRMLDPSSSRTLRIYPLENITRCEKLDSSILAFWSNTPVDFEAKRIRLQSNSYTTNTLLDTVTAAMFQAKEIGGSSRPPVSAKLVEQSAEKKKGLGDWMNKIKPVNEEKDHWVPDEAVSKCTSCGSDFGAFNRRHHCRNCGDVFCDKCTQGRIALTAEENAPQVRVCDRCMAEVSQRLSNAKESASRNMSLQSHEDLARKLQEEMQRNRKSSSGSREGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGQYRLVRFLIFLLSIFICFVTIHSQCPPTLPAPQRMPPPPPPPGPSSKPCPPQGTYKFPPAPQPVPSLAPCTCPCPSDPSPRQEPPKNVTVPALFVFGDSLVDTGNNNNVSTPLRCNFRPYGIDFLQGVPTGRYSDGKVPSDFLAEYLGIKSVVPAYMDPKLQPDDLLTGVCFASGGSGYIPMTPTYLNVIPMLHQLTYFQHYIARVKKLVGQEKGDQIITNGLAIVFAGSNDMGITYYGPGAQWVKDDIYSFTSNMVESATSFAMQLYGYGARHIGVAGMTPLGCIPAQRTLKGGPHRKCAQDVNYAVQIFNTKLSIALDHLAKTLPDSKLVFMDIYSPFSQILENPADYGFEVINRGCCGTGLVETGPLCNQETSTVCRNISAYLYWDTVKRAAKPVELRAMVLPWEKNSEKEYRRSLTSKLSVNEEYKEAFRTKSYLDIRTKAEDQLGITFSSKLSSSPPSASHSPSSSDLSFHSHFTDYLLDPPQETLDALMQDSSFHNLLANFFDFSSDACDVCESLLQCIQQIKINHIKIKRVIKIGKRVCNNGAKTPERALVFQELSRYALLKNPLYSIINQAQFRRVHDANSELLARLTSKRRRIRRKDRFFKFCKKLGGCSLVISHSAIVITLLIVALHSILGVLVAPAVLGLCSLGLLRKKKKKAKRNVENKSKTDPSLEKLGTQMDIAAKGMFIMINDLDTLSRLAGRLCDEIEHRKTVAAMCAKSGKIEVLKEALREFSGHEERFLEQLQELEEHLYLCFHTINRSRRLVFAQITGPSS >A01p021530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10470317:10471719:1 gene:A01p021530.1_BraROA transcript:A01p021530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNQNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHYSGSGLSGLGGTASNNPGSPGDGHDHGVGDGYASEDFVPGSSSSRERKKGNPWTEEEHRMFLMGLQKLGKGDWRGISRSYVTTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDMIPDELTDVMVDSQEQQAEDVPMETQMQSTDSVPAPLILETEECESMKSTNSSAEEPPTVTASSSSFTPEDTTQTQLQVQPPGSFPVLYPTYFSPFYSFPFPVWPAAYVTEPAKEETHEILRPTAVHSKAAPINVDQLLGMSKLSLGESSQNGVSEQSLSLKLVGGSSSRQSAFHPNPASGGGGSDMNTVIHAVQKSA >A09p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2265691:2266387:-1 gene:A09p003940.1_BraROA transcript:A09p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L34-3 [Source:Projected from Arabidopsis thaliana (AT3G28900) UniProtKB/Swiss-Prot;Acc:Q9LJW6] MVQRLVYRSRHSYATKSNQHRIVKTPGGKLTYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGLAVKDRIVRAFLVEEQKIVKKVLKLQKAKEKTAPKS >A01p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:270286:273285:1 gene:A01p000690.1_BraROA transcript:A01p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMPLVRTALIALFLVAFLQNAAAQKRPQSIVKSRGAVATDDGRCSVIGMSVLRQGGNAIDASVAAALCLGVVSPASSGIGGGAFTVVKIAGGKAIAYDSRETAPLGATEDMYGANPNFKKKGALSAGVPGEVAGLFTAWKQHGKLPWKQLVTPAEKLAEGFRVSKYLYMQLNATRVDVLADKGLSELFVSNGELKKPGTIIHNTKLAFTLKQIGEYGPKAFYNGTVGVNLARDIRKAGGVITLKDLQSYRVKVTKPLSANILGYELLGMPPPSSGGAAMMLVLNILSQYGIPSGVSGSLGVHRLIEALKHAFAVRMNLADPDFVDVTKVVSDMLSPEFAKDLKKKINDDKTFDPKYYGGMWNQIDDHGTSHLSIIDRERNAVSMTSTINGYFGAVMLSPSTGIVLNNEMDDFSVPAKSSGDLNVPPPAPANFIRPGKRPLSSMSPTIVLKDGKVKAAVGASGGANIIAGTIEVFLNHFFLNMDPLSSVLAPRIYHQLIPNRVSFENWTTVFNDHFEVPKETRIVLEKKGHVLTPTAGGTIAQFIVEESDGNAGGMSKLVAVSDPRKGGFPSGY >A06p006670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2255133:2256132:-1 gene:A06p006670.1_BraROA transcript:A06p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQGKSSGSEMEVTWQDQQNINTFSRFNNRFHELEDEIKFAKEKCDNLEDAGNELILADEEMVRFQIGEVFAHLPKDEVETRIEEMKEATCKTLEKLEQEKQSLVSQMAELKKVLYAKFKDSINLEED >A01p022280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20310463:20311827:1 gene:A01p022280.1_BraROA transcript:A01p022280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRDRMGRAVYAKLITSVEALKRAILESYGLVGTSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSARKVDKYINVFVTFKEEVDGKILYLRPMGNLLKSKEVASSNEMQVGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTICEVQNKVDTTEDAALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDDDGGVGGGCRTNVTYGGVRGEVVTKTRSGRTNPSSNKGSGPSTNKQHTANPPSTFEDYVDEGRDYIGSSRISMENIEEASHNLGVKSSDQVADTENHSDPNQEEDPSLDNNS >A01p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11330751:11332026:1 gene:A01p022960.1_BraROA transcript:A01p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEQSILLYACRNCDHQEAADNNCVYRNEVHHSVSEQTQILSDVASDPTLPRTKAVRCAKCQHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRE >A07p051890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27478620:27481972:-1 gene:A07p051890.1_BraROA transcript:A07p051890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVGLNHLAEALIDAGNRLLTPHSSTDELLNLLDETESLLRKVEQDQPLSMQNALIPTKKALVSSDLLSHPDSDVRVSVVSCLTEIVRITAPEAPYTDDQMKDVFRLTIEAFEKLADASSRSYQKAESVLDNVSKVKSCLVMLDLECDDLILQMFRMFLRLIRSDHPRVVFSSMEMIMITVLDETEEVSKDFLDILLASVKKDNQNVSPMAWSLVEKVLSRCARKLKPCIMEALKSSGTTLDAYSPVVTTICQTVFETPKVHNVVDTKENEDKLVLGHSRKETRSKSGSKRPAIDEKSRKGKQVWSESRDAETDVGVSGKRGRKPNSLMNPEEGYDIPWLSGKRDSLKTCSSNKKLQRKASGGGGESSLEKVAAKKTPPAKESSPATTSRALTGSVKRSRVKMEDTDHDLDSPSSPKLKKLASCFRDEEETLEKESNHEIPEDDTKIGESRKKTKSQKGGKKKPVVNSSGKRSSARTAAKKNNLEGASSDTPAPQSSKDKKKKVSQVGARALAEESEETSKSQPVRSRTAKKEVGSGADLVGKRVNIWWPLDKTFYEGVIQAYSGRTKMHQVLYTDGESEELYLYKERWELLEDLSSASEEEDMEIDLPESIPLFDIMQRQKVKKSKNVESSSKKDSGKKAAREGKNVKSLKELSAVETGRREAEQEVSRDVDEESEDEYYNSEMQEGEENLKWTETEAKEEEEQFETPEVESERDGSESEEEPKWRETDDMEDEAEEREEAEADDKVPKSSSLSEIEKDSDEERGS >A08p035290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20842232:20844834:1 gene:A08p035290.1_BraROA transcript:A08p035290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSELFGDQNREPFLPRKPCSDFGGGFNDSSLFLDDRRSKFRCFRFFSDGIVASWMALYDVAAKLYEMGRSDRRKVYFAVKMGMALALCSFVIYLKEPLADASKYAVWAILTVVVVFEYSIGATLVKGFNRAIGTFSAGGLALGIARLSVLAGDFEEEVIIISIFLAGFCASYLKLYPAMKSYEYAFRVFLLTFCIVLVSGNNTREFFSTAYYRFLLILIGASICLGVNIFILPIWAGEDLHKLVVKNFKSVANSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSVVQSTSQEDSLLDFAVWEPPHGPYRTFHHPWAIYVKLSGAVRHCAFMVMAMHGCILSEIQAAPEKRQAFRQELQRVGNEGAKVLRLFGEKVEKMEKLTPGNILKEVQRAAEELQMKIDSNSFLLVNSESWAAMKEKADAEQAEQNYHEAKDDETKVIQSLSQIWDTNHNHHHHQSPHTGNDSQLWMSTESMMFRNREHWPSFSFIGGSMINEIESKVYESASSLSLATFASLLIEFVARLQNVVNAFEELSTKADFKEPVSETEKNIVKAGFWTRLRSCFSSGD >A06g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9010853:9012092:1 gene:A06g502770.1_BraROA transcript:A06g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKMDSDVEMGEATSPAPVPTSPAEVLACVAGHLSFREKLVCRQAEKELAQTGSEFPSSSAQVVAPCHGTDVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSDTEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLAHRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A01p058760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33504398:33507982:1 gene:A01p058760.1_BraROA transcript:A01p058760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQERFENMPKWEKMDKDMLANIFKKLDMVDVIMGASRVCITWFLASHNKTIWNTIKLNDFDSIVLDNSSNPHLQDNNNARKHLYNLKEILIEINKFSRAAPVDFFFNVNTNVLVEDLEIISNGFPNIRKLALRIQKIQNLNSFASSFSKWKNLQTLIITKITKDGDLNHELKAIAENCKNLTTIKVAYALDQELANIIVGKLPNLKSLSFRSTSVSVEAVKSLIIGLHNLKSLNLSHCVFTKRCNNGMMLATVGISPEDKSTILSIQKLETFKLCCSECTICGDVWNHPLNPQYHSNIGYKQWETDEMKEFEF >A02g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22570673:22572884:-1 gene:A02g508180.1_BraROA transcript:A02g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPPRDWWGVRENAAAVAFKNGRVRLEAPVRLSHAESWREGVVIHCKGYRLHPREPDAECTRAGGSTGTQQEKGRVGPLELYSYEFGVVTSRLSFRIEQTISGSVDGKKGNAPENSWDQKRDTQRCRESRHVCPQPRVQEPRLEMGKGRGLRFRDDLEESDDFGVIWSLLSAELHRRVRCIAMDGDLPTVRLSPYVDTRYIFELAFQCHRFEVNQNPVAEVMPVLLKSGQSASREEAVEKRNVCLSMQKS >A06p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6553272:6557165:1 gene:A06p014670.1_BraROA transcript:A06p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTTTIICFVILSSFSFISVTSLNEEGHALLEFKSSLNDSNSYLINWNRSDSNPCNWTGVECNRLGTVTSVDLSGMNLSGTLSPLICNLHGLSYLNVSTNFISGPIPRDFSLCRTLEVLDLCTNRFHGVIPIQLTIITTLQKLSLCENYLFGSIPRFIGNMSSLQELEIYSNNLTGVIPSSIGKLRQLRVIRAGRNLLSGVIPSEISGCVSLKVLGLAENLLEGSLPNQLEKLLNLTDLILWQNRLSGEIPSSVGNITSLEVLALHENYFTGTIPREIGKLVNIKRLYLYTNQLTGEIPCEIGNLTDAVEIDFSENQLTGYIPRELGQILNLKLLHLFENNLQGSIPRELGELSLLQKLDLSINRLTGTIPEELQLLTSLVDLQLFDNNLEGTIPPLIGYYSNFTVLDMSANNLSGSIPAHFCRFQKLILLSLGSNKLSGNIPRDLTTCKSLTKLMLGDNMLTGTLPVELFNLNNLSALELHQNMLSGNISADLGRLKSLERLRLANNNFTGEIPPEIKNLTKIVGLNISSNQLTGHIPRELGSCVTIQRLDLSGNKFSRYIAEELGQLVNLEILKLSDNRLTGEIPHSFGDLTRLMELQLGGNLLSGSIPLELGKLTSLQISLNMSRNNLSGAIPDSLGNLQMLEILYLNDNKLSGVIPASIGNLMSLLICNISNNNLAGTVPDTAVFQRMDSSNFAGNNRLCNPQRSHCEGESLVTHSDSKLSWLMRGSQGKKILTITCVVIGSVSFLAFISICLVIKRRKPEFVALEDETKPDVMDSYYFPKEGFTYQGLVDATRNFSEDVVLGRGACGTVYKAEMSDGEMIAVKKLNSRGEGASSDNSFRAEISTLGKIRHRNIVKLYGFCYHQNSNLLLYEYMSKGSLGEQLQRGGKACLLDWNARYRIALGAAEGLCYLHHDCRPQIVHRDIKSNNILLDERLQAHVGDFGLAKLIDLSYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELITGKPPVQPLEQGGDLVNWVRRSIRNMVPTVEMFDERLDMTDKCTVHEMSLVLKIALFCTSNSPASRPTMREVVAMIFEARASSTSLSSSSITSETPLEEENSSKENNFVASP >A03p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2402436:2411860:-1 gene:A03p005760.1_BraROA transcript:A03p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRSLNPNTYIIYIILDLVCAMCNAFVIKSFVYGQAMMRIPLKTKTLWNKDDVALTNDHDNTYFGQIMVGTPGQPFNVLFDTGSFDLWVPSLEWPKPGSKRYRSSASKTFKRDGKKAEIRYGAGSLKGFMSNDAVEIGGLRIKQQAFIEATEAPGKRIYQRPWDGIFGLSGLSKSTITGARPIWRTMMDEGVVTNKVFSIWLRRYSDSGENGGEIVFGGIDQEHFTGAHTYVDAEGPHNTFKINSFFVGKIDTKVCSKGCKVLVDSGSTYIRGPPNMIVKINKQIGVAADCSNYDKLSEVISFTIAAKIFTLTPRDYIERKNGKCKSVFADGNLARHSFDHFILFGTTRLQELLKSDSPIVSCGEMEPSMWSKLPSDLIQLIFERLGFADFQRAKSVCSSWRYASKQSSPNNQIPWLILFPEKGKDYCLLFNPKEKDEKLYRIQNIGVNFANSNCLATYGSWLLMQDDHQYNIIYILNIFTCEKIDLPSMKSQLSIVETEDDMFLVQLHDNRDVLFWFDEKTKDYVVIWIIQARFLVYSRKGDKYWKRIELFNFNFDMVYKDHRLYLYTSSRDVKVLDFSQGIPRQVFETQVNYDYSRKPEDVFYYDVPLHECRKIKTENLVVRVTGEVLRVKSIVLCNSDVWYFRIYKMNSLNSEWEKLDSLGDEEAIFLDLGITVTLANTIKGVNGNSIYFSGNHNNYCDSDLGHFWSKNDILIFNLGTQEIERPHPSQAMMRIPLKSTKTLWNKDDVTLTNVNDNTYFGQIMVGTPGQPFNVLFDTGSFDLWVPSLKWPKPGSKRYRSSASKTYKPDGKKTEVRYGTGSLKGFMSNDAVEIGGLRIKQQAFIEATEAPGRRIYERPWDGIFGLSGLSKSTITGGRPIWRTMMDEGVVTKKVFSIWLRRYSDSAEDGGEIVFGGIDQEHFTGAHTYVHAEGLLNKFMMYSFFVGKIDMKVCSKGCKVVVDTGSTYIRGPPNLIVKINKQIGVAADCSNYDKLSEVISFTIAAKTFTLTPRDYIERKNGKCKSVFSDAATWYAIHSSISYCLGLPDSRNC >A07p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15231585:15234556:1 gene:A07p026710.1_BraROA transcript:A07p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLVSIVLVGFLFVSCDALASKEVEALRRLKVAMYKDPLLVMSNWNFPDSDPCDWKGIKCSPSKDHIIKINISDTLMRGFLVPELGHITYLQELILRGNILMGKIPKEIGKLKKLKILDLGNNHLTGPIPAEIGGLSNIRKINLQSNGLTGKLPPEIGNLKYLRELLIERNRLQGSIPVATTTSEKYPSGNISGLCKSPHLKVADFSYNFFNGKIPRCLDYLPRERFQGNCMKTKDVKQRPSSECAKKKKKHMWLLDFEIVTGSSVGLLFLVVTFSALRFCNIKRTLIVPWKKTASEKEEHFTVYVDSEMLKDVSRFTRQELEVACEDFSNIIDSCASSQVYKGTIEEGGTEIAVISLCFKEEDWTAYLELYFQREVADLARLEHENVGKLLGYCKENKPFTRMLVFEYASNGTLYEHLHYGEGSLVSWAKRMKIVIGVARGLKYLHTELDPPFTVSEVCSNAVYLTEDFTPKLVDFECWKTVLVRSEKNLSSDHGAICVLPNAMEHRVQNLKGNIFSFGLLLLEIASGRRQERGCLVKWAKEYVGGAPEVLVDPELEHFNQKELEAVCEVASQCLNLDQNDEETCCLVKELCETLESRISVSISAGLRSSSLAWAELALASPSNEDRDEMSK >A07p013700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7345757:7346062:-1 gene:A07p013700.1_BraROA transcript:A07p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLSCVVYTSSLPVINQDFDYEHAGKQVKVADNKNFITSEEAGKIESQEHYRAILAMYKEQKNAVVAKHDEEIEMNHIQAKLELLDELIELSALKYEKE >A03p059630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25888751:25892368:-1 gene:A03p059630.1_BraROA transcript:A03p059630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALYASDLFKQLRSILGPYLGDSVSDDVVLVVVTTSLAVVVGFVVLLWRKTTSDRGQELKPLMIPKSLMAKDEDDDVDLGSGKTRVSIFFGTQTGTAEGFAKHDYAADDDQYEEKMKKETLAFFCIATYGDGEPTDNAARFYKWFTEGNEGEIRLQQLTYGVFALGNRQYEHFNKIGIVLDEELSKKGAKRLIEVGLGDDDQSIEDDFNAWKESLWPELDKLLRDEDDTSVVTPYTAVIPEYRLVIHDSSFESEKSVDLNVANGNSAIDIHHPCRANVAVQRELHKPESDRSCIHLEFDISGTGITYETGDHVGVYAENHAEIVEEAGKLLGHSLDLVFSVHTDKEDGSPRGSSLPPPFPGPCTLGTALAKYADLLSPPRKSALVALAAYATEPSEAEKLKHLTSPDGKDEYSQWIVASQRSLLEVMSAFPSAKASLGVFFAAIAPRLQPRYYSISSSPRLAPNRVHVTCALVYGPTPTGRIHKGLCSTWMKNAVPAEKSSECSGAPIFIRASNFKLPSKPSIPIVMVGPGTGLAPFRGFLQERLAIKEDGVELGPCLLFFGCRNRRMDFIYEDELNNFVDKGVISELIVAFSREGAQKEYVQHKMIEKAAQVWNLIKEEGYLYVCGDAKGMARDVHRTLHTIVQEQEGVSSSEAEAIVKKLQTEGRYLRDVW >A03p014410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5728339:5729163:1 gene:A03p014410.1_BraROA transcript:A03p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMVKMARITNEKTRITTYRKRKECLFKKANEFSTLCGVNTCLIVYGPTRAGDERIDHPELWPKDERKVREVITKYRDTASSSCIKTYSVQECLEKSKIKLEKEKYCPWDNKLEKCSLNELYATFVTVCNKIQEAANRKQTFPDASWSTHGDQLGLIGYNQPCLEQHQLFPMSSMEQNGFAFLPFLNQMTSTSNTGEVASFSNVTEPEMTQAMFYGSCSDGQYAPMVQKTDYMEPVQWGLGNSMFSNVKPFADYPMRFGQVNDLESSGKTPM >A04g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7045586:7046543:1 gene:A04g503200.1_BraROA transcript:A04g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAIPHEPHFFKPLLPGFHSGVTIPLAFFSKHIEGKTNQKTWKLRSDASDQTWKVIQEGRTLTAGWKDFTTAHDLQIGDLVIFKLEGDMVFHVTPFGPSCCELQYTHPHIIKEEADAGDADDNEIRGTGAMSSFSFDYCFLAEYLPKRATSSTALNKQC >A08p002280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1278881:1279441:1 gene:A08p002280.1_BraROA transcript:A08p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMGFKPDPNPIQGNAKEIRYRGVRKRPWGRYAAEIRDPRKKTRVWLGTFDTAQQAARAYDAAAREFRGAKAKTNFPTSLELNVNDGGYSRSPSQSSTVDSASPTAARLVTPPQLELSLGNGGGACCQIPVARHVYFFNMTAFPLAATCGVQSESDSSSVVDFEGGAEKKSQPLDLDLNLAPPAE >A03g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31514515:31516348:-1 gene:A03g509820.1_BraROA transcript:A03g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVTAPPSRFRPPLDPPPRKSPPLEAPSPIDPPEPPDPPEVSFLLALPRSPSSSSCPSLQALTRILDLKLPLPWMGSKISGGDVPLVSTGDSTFVYRRFLCSVCKSSSCRHMDWSSISSCSDLSFLPFKGFQVHFSSSISAFCSSVEWDIKLFVCVSLELRTIALADDVLMDLTSVGSTFVLFGGPFVASMRSLTAVCSSLTAVCSSLTALREKFIGKVSWMNMIMAGSDYPFVSCLEQSLFPIFPHVWSELDEQVSLVLQGSSSQQVLSSAFGAV >A06p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8948756:8949301:1 gene:A06p018440.1_BraROA transcript:A06p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSSRRRRYLPPPILFSICPLDAFLLPDKMCDVSELTSGGIPEIVYGSVGGPLRHHHDPVLGGGMCQALCSPAWSTRVVIKGLASRRWSHCLNPTFTVSKPLSPASGPSMWNLRFTSDDATGCV >A10p014730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4029467:4030754:1 gene:A10p014730.1_BraROA transcript:A10p014730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPIETTQEMFRSRFEGERKDGGRYGASGGLTGRYVASRSKPRRVLLVFVVKSQRKLRLRRDEKRFDKSRYVASGGLTGRYVASRSKPRRVLLVFVRVESWLELGRYVATELCACSRPSLAGARSLRSDRAVCVLGRYVATEPCACLARARSLRSDRAVCMLGCCVATVLGLSVFRSLYSNLSVAVLDTYPLPWDSRCLIQPRLEQGFNARSFVVTFFTKHNCRRMILTLIFAERPSGTRARPLRSDRVVRMLGRYVCMLGRCVVIVLGLSVVRSPYSSSFVADLDTCLLPSDNQY >A03g509980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:31984985:31985575:-1 gene:A03g509980.1_BraROA transcript:A03g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNQLGDQNVLNISTEVHVFHRTGQTDRAVYWTVPHTSGKELWLEPWPDDRSNHTGACLSRPTSHLKTYGRARIHFGRAGRGDTYLGELDELSELSDTTLELDELGELNDTSLELNELSNTEDGAGSAAGRNGPFHPREKFIKSSLWDCFFPNSTSPFLSPFQAHSHKEYQEGVSKEVLVVHGKKNSTKIINFGL >A05p021900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10448207:10449675:-1 gene:A05p021900.1_BraROA transcript:A05p021900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPNPSDIENGLPPLPPPARPPFRPPIPVPWYAWLVPLIFAANFVTFTITMYVNDCPARSDECLLFDVLGRLSFQPIKENMLLGPSIPTLRRLGALERRLVEEGERWRLISCIWLHGGLLHLLANMISLLCIGMRLEQEFGFLRIGALYVLSGLGGSLMSCLTDSRGERVSVGASGALFGLLGAMLSELITNWTIYENKCTALMTLILIIALNLSVGFLPRVDNSAHCGGFLAGFLLGFVLLLRPQYGYVSPKYIPPGYDMKHKKSKYKCYQHVFRFTSLTILLAGFIAGYAKLLREHTVGSEPFRDVN >A09p001090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:879906:881351:-1 gene:A09p001090.1_BraROA transcript:A09p001090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIPIEEMVRVFKSRHRTSHLFKIDNFSLFKKYQLEKVNSSVFDLGGHKWTLRVFPNGRKNASGHYVSIFLMSQSSVNVKIEYELFVVSQLEQKWESSGHREFGIHPKPTGKGNPKLISLVDLERNGYLIGDSCMCGVKLHGIEPAESGTAECFSLIEKPLNHKVTWMMTRFSSFEPEKAHHSHQFVENSSEGFINNASKTETYAKFKLRVLDQVNRNHVEKTCSGWLETSKGFADFMCLTKLVEPYLVNDKLYVGVDFEVVSVATYC >A09g513750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41824430:41832444:-1 gene:A09g513750.1_BraROA transcript:A09g513750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHISACWPFPWTDPCTDLDINSSFDGLDCPSQDAFGRDFCTCGLPFIGNLKFRLRVEFQPTLLPNKHRLRVGESRLFSCIFHDTFRAGVWYATQAPDQFNKHKTMLVKKLRRIVGLYNWKTDETRPRPCKRENLKLGANRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGGRRLKRVPTPYIYKTLFFIHTDVRVCPSAQTGRPWPSVSTHRTSVAVRQHTQDVRLSISTHISTLRGCSGDFGPRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPRLSVCVRVSVSAHRTSVSTHRTSVSTRRTSVSPRRTSVAVRVCPCVSVCPSVHTGRPSAHTGRPSAHTGRPSAHAGRPWLSVCVCPSVHTGRPSAHTGRPSARTSVSTRRTSEQSARTDSLRFGNSDWTGRTDGYGTARRSRWAGSWPIWFLKQKDAIFMSFYESK >A02g511830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31912471:31916336:1 gene:A02g511830.1_BraROA transcript:A02g511830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIHATSKRSLMLRIQRVLPIDSWGVIEHVTVTPAGGQYRTTNYKYKMVIAEDAVLSRSDLADDRNFLSLANYEEIENGTKKQAFLIDVIGRIHELGDVQTVQVSGEDRKRVQFRLVDAEGNNLACCLWGTYAEQLEPFAKIKEFREELQITNAFDASRLFLNPMIPELAQLTERLSNDDLSVALVQKPSGKKDGKKHLYNWNDAEIKTISEAAESTQLHLVVKDDSATCNLMLLGSVGKSIVAVDAEELWDGSYEEIEDPEILPEPILSLVGKSFCFGISITSDNVTNGSDTFVVLEVCSGDKVLTIETDSQSNSDMVTTSSTMSSGSRGNVHEGFDTAKKNKTASCSDMPNLEEIQRFSNPISIQPIPLSSIYFRLFETLENQHVPNYTIPPYQQIRKLSPQTPLNKRRCILGLEKIKDQVNDTPVLSSCLTSLSKDLQKRSFESMKTKGCHQTRSSSNVLKDITNIAHLRKKKCISSSSTFDAPAESIQEEDGLVGTDLFGGSQSFIKSTVFNAFWYLQIIDFFFSGFIDTDSQQVFECSSLENTDTENGDSDLDDHMDYEPEVEPNNSERVAPNSEHIVGVVKAPKPPNQKCFSEN >A07p038560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20503950:20504928:-1 gene:A07p038560.1_BraROA transcript:A07p038560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSNCTTLPPGFRFHPTDEELIVHYLRNQTMSKPCPVSIIPEVDIYKFDPWQLPDLTEFGENEWYFFSPRERKYPNGVRPNRAAVSGYWKATGTDKAIHSGSSNVGVKKALVFYKGRPPKGIKTDWIMHEYRLHDSRKASTKLKGSMRLDEWVLCRIYKKRGAGKLLDEKEGFMDDVQIDETLAAVTNEADRRNEEEIMMMTSTKLPRTCSLAHLLEMDYMGPISHILTPFDLQHFDSNGMNESGWFGDLQVNQDEILNHHRQASMFQF >A06p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1520796:1522069:1 gene:A06p005220.1_BraROA transcript:A06p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTVTVKAFSFLQEPVEGKIVIKSPNSISHQSIRLSVNGSVNLQVRGGSAGVIESFYGVIKPIQIVKKTIQVRSSGRIPPGTTEIPFSVNLRESGESIAEKFYETFHGTNINIQYLLTVDIPRGYLHKPLSATMEFIIESGRVDLPERPLPPEMVIFYITQDTQRHPLLPEIKSGGFRVTGKLATQCSLQDPLSGELTVEASSVPITSIDIHLLRVESIIVGERIVTEISLIQSTQVNYYPFMQQL >A08p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22837561:22844120:-1 gene:A08p039860.1_BraROA transcript:A08p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHMSGQQRSGQSPNQGNNGNSQMQNLAGAGGGSVGPSRSTVGPMDHDVLKLRQYMQVLVFKVLQQRQPSPADAATKAKYMDVARRLEEGLYKMAISKEDYLNRSTLESRITSLIKNRHLNNHSQRHANPSSVGTMIPTPGLSHAGGNSSLMVRPSANATVAGNNNNSTSTAVNTENVRPAGGNISNGYQHSSRNFSLGSGGNMTSMGSQRSTPQMIPTPGFVNSGGFSAEPTVVPQSHQQQQQREVTGGQNSHILSNQMTTGRRPGMQPNAAGVATNSVNGGAGVKERSVDKGEGYRTQNPDTLGSGNGMMTNAQNINAASSQSVSRANSSQSHQQQQFRQQPVQFQQQQQKFLQQTVQQHKLISNDGSGKPQVSSDMDRDVKHEPRMENNSEAMHSQVSERLQLSQFQNQYPNSGEDCYADAQHLSVTSQSDICTPLPQNSRQVQQMLHPQNIGSDSNGMSSQQNVQEDLRQRITGMNEVQPNNLTEGSAVGQNHTSATVSSSHSLQNPIRTMRRTDPKFRNQQKWLLFLLHARTCNPPGGKCTDQNCLTVRKLWSHMNSCVEPQCLYPRCPQTKLLIGHYKNCKDLRCPVCMSVKSFRQRQVNACAQARLENESSGVNRAVVSNDSLCATAGVVSGSLGCDGTLDNLQPSSKRLKVEPSFQPVAPETESCKSSVVSQTETELSQDAERKDHRQSDAHWALKSGKLEVKEEVSDISEAFENVPQPRPSSEPGQHDLSGVSPKQETLKMKQEPNKEDLVKSPEDAPKSGKPKIMGVALTELFTPEQVREHIRGLRQWVGQSKARAEKNQAMENSMSANSCQLCAVEKLTFEPAPIYCTPCGARIKRNAMYYTVGAGETRHYFCIPCYNESRGDTILAVGTSVPKARLEKKKNDEETEEAWVQCDKCEAWQHQICALFNGRRDDGGQAEYTCPHCYITEVERNERKPLLQNAVLGAKDLPKTVLSDHIEQRLFKRLEEERIERARAQGKNYDEVPTAESLVVRVVLSVDKKLEVKSRFLEIFKKDNFPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSSPNERRVYLSYLDSVKYFRPEIKSASGEALRTFVYQEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLQEWYLAMLRKAGKEGIVAETTNLYDHFFLQTGECRAKVTAARLPYFDGDYWPGAAEDIIHQMGQEDDGRKGNKKGILKKPITKRALKACGQSDMSGNMSRDLLLMQKLGETIHPMKEDFIMVHLQHCCKHCCALMVTGNRWVCSQCKDFQLCDGCYEAEQKREDRERHPVNQKDKHTLYPVEITGIPEDTKDRDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVSTCNACHLDIETGQGWRCEVCPDYDVCNTCYRKEGCNNHPHKLTNHPSLADQNAQNKEARQLRVLQLRKMLDLLVHATLCRRATNCQYPNCRKVKALFRHGLGCQRRASGGCVLCKKMWYLLQIHARACKESNCAVPRCGDLKEYLRRLQQQADSRRRAAVMEMMRQRTAEVAGTSAD >A10g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4131515:4132745:-1 gene:A10g501490.1_BraROA transcript:A10g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKYGGVLTHAGDTQGLKIQTFSFHSLKYYSQISPLILLRYYDDAACVLRKMCFDAKASHLSSTLPSTLPWKYYMLLDESTLPATFIDSATHFTLEVL >A03p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15844551:15847970:-1 gene:A03p037920.1_BraROA transcript:A03p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAESSDSKSKKDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPDTMEKLQLFRGDTILIKGKKRKDTVCIALADESCEEPKIRMNKVVRSNLRVRLGDVISVHQCPDVKYGKRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFHTALGNSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGGGGGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEDSRLNIFKACLRKSPVAKDVDINALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRSLNPEAMEEDGVDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFETNAGSGATTGVADPFATSAAAAADDDDLYN >A03p039710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16532667:16533594:-1 gene:A03p039710.1_BraROA transcript:A03p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVEDGHVKRCHDDDIQSNVLEVVGSNIQSTYITCPPDLSATLGIKLPFLVLVVKNVKKYFSFEVQILDDKNVRRRFRASNFQSVTRVKPYICTMPLKMDEGWNQIQLNLPDLTRRAYGTNYAETLRVQVHANCRLRRIYFAERLYSDEELPPEFKLYLPVQKA >A06p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19917390:19920785:-1 gene:A06p036780.1_BraROA transcript:A06p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGKENQKSLRKGHQGGEKLPQSINVPYDLAVEILSRLPVKTLARLRCVSKLWSSSIITEAIKTRALTQPRQLVVCYHRSIQSSYISSYTYPLNANTTFVAADRGGLAMSPPCRTLYQRRSTFDYAYVRGLIFYYSDSKQFAIYNPTTSQNVLLPRTVGYHKENKSFDGFSRYDPVANVAESKYYHGFFGYDPVKDQYKVLRFIKGATICDYSCMVITFRGPNKQEWRKIEIQEDISPPRGNGVCINGIIYYLGGTLTSSVLVLGRFDVRFERFDHIQMPIDVEMNQLEELSLVNYQGKLGCTFYSKDRAEVWVMKDHGSEKHEWSKVTIDMSLPDMLKTLVAGVTLDGEIVIMPKTLDSAQTLLYAYFYNPKENKTRRVEFETNLKGELEVCIFSEPDHMENATSLLGSQFNRKKTKKKKRKKTKKSATTTVFSYNKIFLCPKKLLVNSIFMCRSRSSDNIPNMAYIFGGLVPLLCLEQDLNQDRLISWSQHNQTDKEGRRMIIQAFQRNCSGAVVFSESSISTWEDKHSSLGMRRWRWRDIKPMKWARRVRADCGNKDRIFEFITIFKVAVLLTMAYVFLRVYSEGSSGRVYQCGIPERPLDCEPLY >A09p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12732944:12733391:-1 gene:A09p023130.1_BraROA transcript:A09p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQPIQFGSTHSYLWKPEYHINHPEEIQDFLSCTCSQGIRPILIYTNLPYLVSCTLNALKEFLQVISQDERPYYPSRRFRIISGRLLNRGIIHKLSRYKSSKFSLLKAQIELTASFQGAIKAFSSKESQ >A09g510760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33087215:33089242:1 gene:A09g510760.1_BraROA transcript:A09g510760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAMTCGAQGVAVHASGAMQDDHQPESDWLMYAINKPPPQLIIGHPDHFKASEKRERETYKESKCFRAFSGTAPKGGSVRVEISQVKIRSVQFKTSRDVDLLANVGKRVRIAEDGFGVKATFSRRTVTSRPSQPVQVAGTLLDHGVGLDGQSCSCLIVGWPVSLSSLTLGGGRPL >A10p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21788614:21789730:-1 gene:A10p039300.1_BraROA transcript:A10p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDASKEFKFGEDVKSEVTEIVLVRHGETTWNAAGRIQGQIESDLNEVGLKQAVAIAERLGKEERPVAVYSSDLKRAKDTALMIAEACFCPEVTEVPDLKERHVGSLQGLYWKEGAEKEPEAYSAFFSSQNDLEIPGGGESFDQLCERSMNALEQIAKKHKGERVIVVTHGGVLRAIYLMITKASSAGKLLNASVNVVHFNEEKWIIDSWSDVSHLSSVGFLQRGFDGDSKP >A04p033200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19334737:19336983:1 gene:A04p033200.1_BraROA transcript:A04p033200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG2 [Source:Projected from Arabidopsis thaliana (AT2G35990) UniProtKB/Swiss-Prot;Acc:Q5BPS0] MEETKSRFRRICVFCGSSSGNKTTYHDAALQLAHQLVERKIDLVYGGGSVGLMGLISQAVHDGGRHVLGIIPKSLAPREITGESIGEVITVSTMHQRKAEMGRQADAFVALPGGYGTFEELLEVITWSQLGIHTKPVGLLNVDGFYDSLLTFIDKAVDEGFVSSTARRIIVSAPTASQLLQLLEEYVPKHDDFVSKMVWDDITDAPTSEGDSC >A03p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10268603:10270418:1 gene:A03p024360.1_BraROA transcript:A03p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGNITTAGTGSPCGACKFLRRKCLSDCIFAPYFSSEQGAERFAAIHKVFGASNVSKLLLKVPIHDRCEAVVTIAYEAQARLHDPVYGCVSHIFSLQQQVAYLQAQVMQMKAHIAGHQTSAAEGTHQFTTWQQTSGSPIDSAYSTPYNHHHHAYYGHINPNNPVSPQSSLEESFSITSSNVTTTANVRETHQTGGGVYGQGELGFQEGYPNKKRSVSYCDSDLGELQALALRMMKN >A05p016520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7349375:7350097:-1 gene:A05p016520.1_BraROA transcript:A05p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSSWSSSQESFFWNEGSLLDHSSDHPSFFCPNYNYSDDFFSFESPEPMIKEETQNGDVSNSEEEEKIGTIDEGKSYRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDEAEEAALAYDQAAFATKGALAVLNFPVEVVRESLKKMENVNLQDGGSPIMALKRKHSLRNRPRGKKRSSNSSSCSSNSSSYSSSSSTSWSSSSTSRSNEQSVVKQESGTLVVFEDLGAEYLEQLLMSSC >A01p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:712657:713851:-1 gene:A01p001620.1_BraROA transcript:A01p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRAIFISNSNHEKTKDKKLKSVCERGRAPCCDKTKVKRGPWSYDEDLKLISFIQKYGHKNWRSLPNQAGFLKSSGSVILCLSMYACNEGLLRCGMSCRLLRPDVKRGNFSVEEEETIVKLHQSIGSNWSKIASKLPERTDNEIQHVWHTHVKKRLSSNTNLDANDEAATKGSLNRELNQISTGRFIYKLYNSSFVLETQLKDTNHDDKKKHLDSFNREYVFKVTH >A01g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25739891:25740208:1 gene:A01g509530.1_BraROA transcript:A01g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKWKNEFRTDRIIKMLQKGEYSSMPKFKELVNIIMHYHQGNHGIYEDGRPIYIECLGQVIPVSYGYYNVYMLHKVL >A07p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:64035:65203:-1 gene:A07p001610.1_BraROA transcript:A07p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKEKEMEIPVIDFSELDGENRTKTMSLLDHACDKWGFFMVDNHGIDKELMDKVKQLINSHYEEHLKEKFYQSEMVKALSEGKTSDADWESTFFVWHKPTSNISKVSNISDELIKTMDEYVSQLHKFAERLSKLMCENLGLPREHIVNAFSGIEGPVFGTKVAKYPECPHPELIRGLREHTDAGGIILLLQDDQVPGLEFLKDGKWVPIPPSKNNTIFVNTGDQVEILSNGRYKSVVHRVMTMKQGSRLSIATFYNPAGDAIISPAQEMLYPSGYRFQDYLKLYSTTKFGDKGSRFNTMKKMENGDSV >A05p055010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32502733:32503444:-1 gene:A05p055010.1_BraROA transcript:A05p055010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPGLYSGTSTLALVARASAFGLGLIYGNVKLKALKIKKNSQIKAEAKAHH >A05g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29162185:29165004:-1 gene:A05g509540.1_BraROA transcript:A05g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIVAAFVFLLLSPFVSPADLETDKQALLEFASLVPHVRKLNWNTTLPICTSWTGITCSKNNDRVTALRLPGSGLYGPLPEKTFEKLDALRIISLRSNNLQGSIPSAILSLPFIRSLYFHDNNFSGSIPPTLSPRLVNLDLSANALSGNIPGTLRNLTQLTDLSLQNNSLTGPIPDLPPSLKYLNVSYNSLNGSVPSSVKSFPASAFQGNSLLCGGPLTPCPENTTSPSPSPTPPGPAKSPGTSKRALSTAAIVGIAVGGSFLLFILLAMLTLCCAKKKDNGQESTTAAAPKAKPGRSDNKAEEFGSGVQEAEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVAAGKREFEQQMEAVGRISPHGNVAPLRAYYFSKDEKLLVYDYYQGGNFSMLLHGNNEGGRGALDWEQRLKICLGAAKGIAHIHSSSGAKLLHGNIKSPNVLLTQDLNACVSDYGIAPLMSHHTLLPSRSLGYRAPEAIETRKHTQKSDVYSFGVLLLEMLTGKAAGKTTGHEEVVDLPKWVQSVVREEWTGEVFDVELIKQQHNVEEEMVQMLQVAMACVSKHPDSRPSMEEVVNMMEEVRPSNGSGAGSGNRASSPEMIRSSDSPARELINGNVEIGQADPLDESEAYGLPFFRV >A06p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23101827:23105841:-1 gene:A06p042960.1_BraROA transcript:A06p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYSRNISAVEHDEIPEAPDQPPQNHRHASAPQSPLASEVNSYNISPFQSPLPAGVSPSPARTPGRKFKWPFPPPSPAKPIMAALRRRTAAAPQPIPEDVDVRGGGESSGGGERLLDKNFGFGKNFEGKYELGKEVGRGHFGHTCWAKAKKGKMKGQTVAVKIIAKAKMTSALSIEDVRREVKLLKALSGHRHMVKFYDAYEDDDYVFVVMELCEGGELLDRILARGGRYTEVDAKRILVQILSATAFFHLQGVVHRDLKPENFLFTSRNEDAILKVIDFGLSDFIRYDQRLNDIVGSAFYVAPEVLHRSYSTEADMWSIGVISYILLCGSRPFHGRTESAVFRCVIRANPNFQDMPWPSISHTGKDFVKRLLNKDHRKRMTAAQALAHPWLRDENPGLLLDFSIYRLVKSYIRASHFRRSALKALSKAIPEDELVFLKAQFMLLDPKDGGLSLNSFTSALTRYATDAMMESRLPDILNTMQPLAQKKLDFEEFCAAGVSVYQLEALEEWEQIVTSAFEHFEQEGNRIISVQELGAEMGLAPNTYHLLKDWIRSSDGKLSFLGYAKLLHGVTVRSSSSRPR >A06p021660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10748533:10749094:-1 gene:A06p021660.1_BraROA transcript:A06p021660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSRVRRRSRLHGCLCRCILAEEQPDIGEDKISYLVCSGVPRFYFITWLAVLDRLSTGVRMRVWNVEQSCVFCGEKDETRDHMYFACPYMYTVWLRIVGRVLGSSITLDWNDTMTGLQGNTFSAMDYVLIRLAFQVTVYLIWRERNARRH >A03p043410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18156273:18156923:1 gene:A03p043410.1_BraROA transcript:A03p043410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL77 [Source:Projected from Arabidopsis thaliana (AT3G18773) UniProtKB/Swiss-Prot;Acc:Q9LS99] MSSDYSPSSSHQEHFINSFLSRKLLQQLPFHHNIQQQQQAQGQDKSNLSGNILLLLSILVCGIICSLGLHYIIRCAFRRSSSFLISDPISIPSTPRGSAAANKGIKKKVLKMFPVLTYSPEMNLSGVGEECVICLSDFVAGEQIRLLPKCNHGFHVRCIDKWLTQQMTCPKCRHCLVDTCQKILGDCDEADEVAETTTESIDVIIAPTEPEERVNS >A09p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41483857:41490519:-1 gene:A09p047820.1_BraROA transcript:A09p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAKKLMKMARKWQQRAALSRKRISFQRSSTTVSSTAVEKGCFVVYTTDKTRFAFPLSYLRNSVFQEILKISEEEFGLPSSGPITLPFDSVFLEYLIKLMERRMDGDTERALLMSISSARCSLPCSLQQQQQQLLPSTGKGSHFKNQVLLLAQPLQSGCFVVYTIDKTRFAFPLSYLSNSIFQELLTISEEEFGIPTEGPITLPFDSVFLEYLIKLVQRRMDEDTEKALLMSISSAKCSSQCSLQQQEPNTQHFFSKGKMAGEQMKPVASLLLVLNFCMYVIVLGIGGWAMNRAIDRGFEIGPDFNLPAHFAPIYFPMGNAATGFFVTFALLAGVVGAASTISGLTHIRSWTVGSLPAAAMAATIAWTLTVLAMGFAWKEIEFQVRNAKLRTMEAFLIILSVTQLLYIAAVHGVKKPT >A06p032330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17249325:17252996:1 gene:A06p032330.1_BraROA transcript:A06p032330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKKSKQRDGSMVFESMYPLLALMLILVACVDLCDAATVVDVYRLVQYDISGVPFGSRFSSLNHHAASLSFHRGADLSRSVLILPLRELDLGFLQDYISQKQSLGGLLILLPQTLRPGNNIVLSETQRFRKLLAQLENLLVHANIPFPVYFAFENEETDAMLADVKKNDALGQQATATTGGYKLVISVSEPRKIASPTITNIQGWLPGSRAEGDSNQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEVARLFSTLYSNPKTRGRYNLLFALTSGGPYNYEGTQKWLKSLDQRMRESIDYAICLNSVGSWDNELLVHVSKPPDNAYIKQIFEGFSNVAEDLGFQVALKHKKINISNSRVAWEHEQFSRLRVTAATLSELSTPPELLESAGSLSDTRQLVHEDAIIKGVKLVAESLARHIYGHQRKDIKIFADDSSLAVNPFNVRSWLDLLSQTPRVAPFLSKSEPLITALKKELEDYTAEVSVQHESLDGIFTFYDSTKASLNIYQVASVTFDLLLLLVLGSYLIVLFSFLVITTRGVDDLISLFRRPPSRKVKMV >A09p073870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56172119:56173472:1 gene:A09p073870.1_BraROA transcript:A09p073870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNNNIVVVFDFDKTIIDVDSDNWVVDELGFTELFDQLLPTMPWNSLMDRMMKELHDHGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTFFIETIVEHLGIRKYFSEINTNPGLVDERGRLRISPYHDFNKSSHGCPRCPPNMCKGLIIERIQASFSKEGNKMKMIYLGDGVGDYCPSLGLRAEDYMMPRKNFPAWDLISQNPTLVKATVRDWTDGEAMEKILMGTANEIISSDEEEEKEKMLCSDHCKISVVGIVHEPLLPLSLQVPLHLVK >A02p033900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:18216806:18217252:-1 gene:A02p033900.1_BraROA transcript:A02p033900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLILAAEHGKKTVGRHSDGFRSKTFRQINCRTFHYGYGVGLLPRTKRTSLTKGPLPQAPCEFVEKRRSLSYSELWAGPTYSNSPPPTSLPIPKFSLRQKSTVSLSFPPPQAAKSAPVSPTSSADNPFHTTVSATVTLRRMLNLDHE >A10p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16419180:16419857:1 gene:A10p025650.1_BraROA transcript:A10p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSVVEKPSQGPYTSPPPIGYPTRDAVVGDPRVSSVETKSKGDDGLFARCWFLICCCCVLDNCCR >A02p059880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35701294:35704157:1 gene:A02p059880.1_BraROA transcript:A02p059880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRTAPAPSRFRPPPDPPPCGRFHGSLQLQPSSSVSNFKKQLSSPMAPVVTASSPSPLHLPPPLLRLRLPPDLPPPWSSATVPFESLSPPEPPDPPDASLSLVIHRLFDTPFTLSQASFNIPNLASDGVVSLVLVDGTIFGSKCLYPAVCSAFFSRLVVWRRHCSSLTCVGSLTLPFILVCFLSSISVCSLVEWSERFVVYVAPDLSVMDLDYNVPMNFVSFGSTSMPVDGSQVALVRSSTAVCSLLSVFSPALGAVISCYLSWWQIEGKLVGTLIPVNRVMKEFHYPMDSFVEQFLFPIFPSMWSELDGQASLVLQGYSSWLMLFSAFVAVFVTFEKGPWSPEEDAKLKDYIESSGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYVTIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLNKQRKEFQEARMKQEMVMMKRQQQGQGQCQSNGSTDLYLNNMFRSSPWPLLPHLPPPHSQVPLVMMEPTSCNYYQPTPSCALEQKPLIPLKNMVKIEAEPERSNPDHHYPEDSMTNSFDLSFSQLLLDPNYYLESGGGEGEFALMSSSTNSPLPNTSSDHHQHQKEITQWFGSSNFQTEAINDVFLNNNNLANFETNDENAKLYANSSVAGAGAAFAGGTTSTSADQSTISWEDITSLVNSDDARYFNGPNNV >A05g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9748517:9749254:-1 gene:A05g503320.1_BraROA transcript:A05g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEHGAFKPFKAILTFVRYTSTAILSQVHLNYDEEKIGSGGGDDMAMEVGKGEVEVAKEARGGVARAEVDPRLISPP >A07g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15345049:15346382:-1 gene:A07g506390.1_BraROA transcript:A07g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGETIVPWLTTEKGMSNYSQILRESKGFDSCNNQYFPCRAFVRLYAKMGLHGYNLFQGKNLQFDGVNRYNNSLRPAASSYYITLEAKDPTIGLVQTFQTTVSEVSFGELILSCKVARPYGETNTIHIGEENKRNNQMHISLLGRMMPKLPQHNPFQKTNRSYVLNKSELQDHDCISLYLELAIATTHRHSSRDPDLSNLEILEVAIESTQDLEPSEALGSASDAIFYIRYKDLSRVKSDVDCIAIVRRICHEQTGTFRLVGQIKTIPQKNKRSRTSILLRRRFGLYNPWRLSSPRLAQGKRNRGVVLARPRHFHKSVR >A03p051300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20563548:20565664:1 gene:A03p051300.1_BraROA transcript:A03p051300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPNDKSIVSTGKSPVAMYFNDISPGPHESELRFWLIHFWEARNIAKAKTLIGLENLMIDEQVPLPSAHCHPHGFSWTMMSNQQLITSTAFFECIATIDDVVRDSAWYYIACSGCHTKAIKGPTSLMCPKCGNDNVAGVPQYRAKISVYDNNEQAVFVLLGEAGRELSGKHAAEVVDSYFEIPVAEALINTIGQTHKFSVKVSDHNLTGKTQTITVTKILSPAVLPAGTASVENYVALTSEAAGDHASEGIKVPVTVKNQRKQSVQNKTIRASTTHLRGLKPSLQFQFPFFF >A03p037760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15786267:15787513:-1 gene:A03p037760.1_BraROA transcript:A03p037760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRNQDITTIRDKKKPNHACGGSNNKPKLRKGLWSPDEDERLIRYMLTNGQGCWSDIARNAGLLRCGKSCRLRWINYLRPDLKRGSFSPQEEDLIIHFHSILGNRWSQIATRLPGRTDNEIKNFWNSTLKKRFKNNNNNTSSGSSTNNSNSNSLDLRDQHVEMGGKSNSMMGSYHHHHDNMMITGNTMGMDSSSFHFAPVVSGVGLNQLDPLISVPDHSQYQQMGNTGHVFNVNGLGDYGNAILDPISKKASVESEWFLPASEDINAIPCTTSNNLNVEVLDPCFNSKTMCHSESFKVGNMFGMENASWETENPKIGDWDLDGLIDNNSSFPFLDFQVD >A08p030610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18751539:18755062:1 gene:A08p030610.1_BraROA transcript:A08p030610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYVIILSLALFLVAYKLIFSSKKQRFSLPPSPPYALPILGHHLLIKPPVHRLFHRLSKTYGPIFSLRVGYRRTVVISSSSLASECFTGQNDVLISNRPCFLTAKYVAYNYTTVGTAPYGDHWRNLRRVCSLEILSSNRLTNFLHIRKDEIRRMLTRLSREVDKEIELEPLLSDLTFNNIVRMVTGKRYYGDQVHNEEEANLFKKLVADVNDCSGARHPGDYLPFLKIFGGSLEKKVRAVGEAMDEILQRLLDECRRDKDGNTMVNHLLSLQQQEPEYYTDVTIKGLMLGMMIAGTDTSAVTLEWAMACLLNHPESLEKAKQEIDEKIGQERLIDEPDLEKLPYLQNIVSETFRLYPAAPLLVPRSTTEDIKVGGYEVPRGTMVMVNAWAIHRDPSLWNEPEKFKPERFNIGEGGEDVHKLMPFGNGRRACPGAGLGKRIVTLALGSLIQCFDWEKVNDEKIDMTETPGMAMRKKEPLWALCSSRLIMNELQAHS >A08p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2161805:2164089:-1 gene:A08p003800.1_BraROA transcript:A08p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVELRERIHVTENEREVFDLLLRAVGSFSPETKLRVAGGWVRDKLLGKESNDIDIAIEKMLARKFFYKLNYYLRSQGEDKVQGHVIKSKPTNDNGRPVETVKMHIYNHSIDLVHLRSETYEGNSRNPVKVGFATPEKDAYRRDLTINSLFYNLHTGLVEDYTGRGIVDLKSRRIATPLPARVSLLDDPLRPAPSENCSSLCLAYLEAMWNLIRTPGLGNFSGEQRRHALYAALFLPFRKMVYKDKGKLVPVVNYIFKVSMKRKSKDAETVVNIHRATGRFLSLILHLQLKKNDVSQVDKREWGTDVFEHLELISRNDPELPATSKTRVLAGFLLRDIKDLWRLALLVSLLLCTDDDMNLGFQVDKKREVYLTIQCTIIREMGLDTIWDLKPLVGGSDIVKALQLRDNRGPIIREWQHILLTWQLAYPKGMPLRQEWVK >A05g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14169836:14172755:1 gene:A05g505090.1_BraROA transcript:A05g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVILKQDKNGDLYDQDCHLRNATGQKLDAQGNVIPDDDASGAAQPVEETSIDQRITLDIDRRHLLNRLRSVVQFESLLMRNSQKKHPHPPFPFYVKIDRPHEPAVDRQRETNIDRPPSPPIDLRAPLTYRVRLPSIDSNRINALRPPPNPLANPPEPTTNPSDTTPEPMKDDEATEGIMLRKRKEKIPKHLKREANKKEMDSFTKRVLRIPVEKHFDEVYYICRLWMFFRETKETEEDIRRMFHHVRERMKLRITLKKKSDHGKFAIPCMVKGIEFPHALCDTGASVSILPKISNALVPLDFHVLDIKLNWTSSLLLGRAFLATVGAVCDMNTNRLCLTLIDPDVHYDLVRVVRQQVNVVELGNYLGYIAACHCGAEYKTEYSESIDTHTITSIDFNKSLTTDERYSTLPDGNQPVDHSTSTDQYYPDFAFQQPNKNGRDDYSIGSWADSGFHESFAVETVILSSNEDPTEEYDEDYWQERATEITHGI >A10g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19799204:19800193:-1 gene:A10g506750.1_BraROA transcript:A10g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTEEAPLATILLLSLFLSHGESFLLRPNSFILVLRLCGRACVAGEAKLPSDPSPKNEEEAMAGVGPMTQDWEPVVIHERVPTELKKAIMQARGEKKLTQSQLA >A03p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1857688:1859348:-1 gene:A03p004400.1_BraROA transcript:A03p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRSVMDNAGVDVWTFIDTAILVASLDYGQELKRRRDNIVERLYATSMANKCRNCDFGGGGGDVARANGSVHEEEEEEEVREKSVNGEDDDEEDDGYDPFAGLFDDEQKSVLEIKERLEDPDLSEEDLVELLQNLDDMEITFQALQETDIGRHVNKVRKHSSNDVRTLAKKLVKKWKETVDEWVKLNPPGDLEPPSLIADEDSPQQRAIRNGNRQQVPDFGYSPVPQNGYSGSSSKNSYVSEPERKPRPVAPPPPRRESPSPAKPSRPIPREKEHKEIDFDSARKRLQQNYRQAENAKKQRTIQVMDIHEIPKPKKGGFFPRRGGSSQGGGGRHW >A05g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28012431:28021145:1 gene:A05g509290.1_BraROA transcript:A05g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTPLDQQEDDQMLVPHSDVVEGPQPMEVAQPEAAAATAAESLPVEEPPTMKYTWTIPGFTRLNTRKHYSEVFVVGGRVLIFPKGNNVDNLSMYLDVADAANLPYGWSRFSQFGLAIVNQINSSYSIRKESQHQFNSRESDWGFTSFMPLSELYDPTRGYLLNDTVVIEAEVAVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQNLQLDVKGCKDVYESFDKYVEVERLEGDNKYHAEGHDLQDAKKGVLFIEFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRDNRKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQNNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLKKEQEEKEEKRKYKAQAHLFTTIKVARDEDITEQIGKNIYFDLVDHEKIKSFRIQKQTPFQLFKEEVAKEFGVPVELQRFWIWAKRQNHTYRPNRPLLPHEELQTVELLREACNKTNNAELKLFLEIERGPEERPIPPPDKSSEDILLFFKLYDPENAILRYVGRLMVKNSSKPMDIVGQLNQMAGFAPDEEIELFEEIKFEPCVMCEQLDKKISFRLSQIEDGDIICFQKPLSIQENECPYPDVPSFLAYVQNREVVRFRTLEKTKEDEFTMELSKLHTYDDVVQRLAEKLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDRLSDMLAHYNQTSDILYYEVLDIPLPELQGLKSLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPDAELRLLEVFFHKIYKIFSSTERIENINDQYWTLRAEEIPEEEKNIGPSDRLVHVYHFTKEAGQNQAQVQNFGEPFFLVIHEGETLEEIKSRIQKKLRVPDEDFAKWKFASFSMGRPDYLQDTDVVYDRFQRKDVYGAWEQYLGLEHVDNAPKRAYAANQNRHAYEKPVRIYN >A10p007680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10959730:10960704:-1 gene:A10p007680.1_BraROA transcript:A10p007680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRGPRKRQAIQPGTPECRSQTEPMKNNRLHAPPPRAVGPSRTVARTMCCHWRNRKIEWNATSEEPTRLHQRPPVEIKGMDPRLAKSPIRTDTEALRTTAKSQILFNLFCLLMWSGRVRSPHLYYNCPNKNYFEYQAFAIGSRSQAAKTYLEWRFESFKESSREDLIKDDILAIRESLQGETLKSSLRTVPEEEEDNGEGEGEAEPEAVAAYGEQGGSGDQDVAPMET >A05p006110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2412855:2414125:1 gene:A05p006110.1_BraROA transcript:A05p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP8 [Source:Projected from Arabidopsis thaliana (AT2G44690) UniProtKB/TrEMBL;Acc:A0A384LBU4] MSASVAAASVSTTTTAATTFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGKTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSFENIAKKWVPELRHYAPNVPIVLVGTKLDLREDKKFPMNYPGACTISTEQGQELRKEIGALAYIECSSKTQQNVKAVFDAAIKVVLQPPSKTKKQKRRFGFCHAL >A01g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17563622:17564184:-1 gene:A01g505910.1_BraROA transcript:A01g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAAKAVSELLILSYLSPRTPYILAPRSVYAFTLLPLSRHSIKWRYSIFSDFRNYLQNSVFIRGYLTFIFPCEPSVNHPTVYGLLVKKS >A07g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:733109:733607:-1 gene:A07g500320.1_BraROA transcript:A07g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSIPVLFLQMLSSKDINFVGYTYKNFEIVNDYQVPGMAELKKKNKSKRPPMVKSLFDGGSSETSDPPETTTKSAGDRPPPVPPVVQGSFLKLLPPELEVRPKQEESEAC >A03p051590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20445344:20449662:-1 gene:A03p051590.1_BraROA transcript:A03p051590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAAGEDQMQGSSGREEKIFVSVRLRPLNVKERVKNEEADWECINDETVIYRSHLSLSERSMYPTAYTFDRVFGPERCTKEVYDQGAKEVALSVVSGVHASVFAYGQTSSGKTYTMSGITDYALADIYDYIAQHKEREFVLKFSAMEIYNESVRDLLSTDISPLRLLDDPEKGTVVEKLTEETLRGWNHFKELLSICIDQRHIGETALNEVSSRSHQILRLTVESTAREYLANNKFSTLTATVNFIDLAGSERASQSFSGGTRLKEGGHINRSLLTLGTVIRKLSKGKTGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNIVMSDKVLVKHLQRELAKLENELRSPRQALPVSDTTALLIEKDLQIQKLNKEVFQLAKQLEGAHTRIDDLQQIIGESPIKEILPTNSEHANLVLGHQYPKLRVRSSWESLYITPESPASAQRSSMISPQSTEHGSDENVFQLTDFRIDSGATSPGQQCLSFVTPGKFTKVRLNIRGAESTNHKGKSVDQEERLHEVDEPSEVGSEDTCTELRCIETESPGIIMSPEPNMLQDRSMAMNALPVYVLDSKNLRPPTEIVEEEECVKEVSAVFIEPKEKGEPVKTSTHLSVTDRSSNLRRDPGFLDSVTLSPEKPYSLHLEKQRVGGVSHTRSRSCGTSFVSGSSSSLYEHERDAYTPPTWYQKESAESNLKPSNIKRPPLPTHSSRMSMPATWFEKDFNRNQRTPAALDGVNKRKSSMNSSQVSSSSAPVSRLQTSGRASNSQEEGDESGPQRDKRIIHLSMEEIEQKFLALRSTKSFKDAAVDPIQDYLTTPLNWPLEFKRLEMEIIELWHVCNVSMAHRSYFFLLFRGDEKDCLYMEVELRRLKYIRETFTNNNKAIENGRTLTSMSSLRALNRERYKLSQLMQKKLTKEERENLFLRWGIGLNTKHRRLQLAHRVWSESKDMDHVRESASVVGKLMGFVDMDLASREMFGLNFSLKPRPKKSSLWKRSVLSLSIL >A08p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22264800:22267047:-1 gene:A08p038490.1_BraROA transcript:A08p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFKGSNQRHPLGNEHYHHNGGYYENYPHEHSEPSAETDADHTQEPSTSEEETWNGKENEEVDRVIALSILEEENQRPETNTGAWKHAMMDDDEQLARAIQESMIARNGTTYDFGNAYGNGHMHGGGNVYDNGDIYYPRPIAFSMDFRICAGCNMEIGHGRYLNCLNALWHPQCFRCYGCSHPISEYEFSTSGNYPFHKACYRERFHPKCDVCSLFISTNHAGLIEYRAHPFWVQKYCPSHEHDATPRCCSCERMEPRNTGYFELNDGRKLCLECLDSSVMDTFQCQPLYLQIQEFYEGLNMTVEQEVPLLLVERQALNEAREGERNGHYHMPETRGLCLSEEQTVRTVRKRSKGNWSGNMITEQFKLTRRCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFRPLSQDVEEGICQVMAHKWLEAELAAGSRNSNAASSSSSSYGGVKKGPRSQYERKLGEFFKHQIESDASPVYGDGFRAGRLAVNKYGLWRTLEHIQMTGRFPV >A08p044900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24717498:24718817:-1 gene:A08p044900.1_BraROA transcript:A08p044900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRQLEHIVVKDNDIQSIVMSYLLHNCFDETADSLASTTGINQPVIDRDNLERRKQIMHCILEKKALKAVELTEQLGQELLEKNKDLHFDLLCLHFVELICDGKSKEALEFAKTSLAPFGMVQKYVGKLEDAIALLAYEDPEKSPMFYLLSSEYRQQVADNLNRTLLEHASQPSYTPMERLLQQVTVARQYLTEENGKDAFPPFSLKDYVKG >A02p011890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5193444:5195556:-1 gene:A02p011890.1_BraROA transcript:A02p011890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAMGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >A05g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7399580:7401342:1 gene:A05g502340.1_BraROA transcript:A05g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRISSAEKGKGVDLASQQPTRAARVKVPLPDNSELLRKHSLTLIGRVTNKTAQKAEITSLTARMRVHVNGLLPLITTSVVEYPNGDEVITNLVYERLDKHCTKCLRLDHELKECLVARAEIKALKAKQEEEGDRTKHNPIQGSDSARGFSTDRAQSNQVLRPNENRRQEAFHFSASNNYSGREERHDKEGRGKPQHRAYKTQSKSWQERGSYRRSQPIQERARYENERSSRPPRGEYKQRDLPGPPRRSFYREVPKPGKEPMDSSSSISKNDHGIDTRGIPSYHEPEIIPQDMLIEARGEVRDMMLQYAQNADPTEREARKERIRRADESGELEKDAIQLAKNALASCAAEMRVQEPYVTPERIPASQRLGPTVQLDGTSSGRTGTDQHQPTRGRIPATLRLGDNSTSPLNKERIPAVHRLSEAPIEDPPANPAETAIVKRKPGRPPGRGKIQASPNLSIGTSTKRRKEKTKS >A08p036930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21598315:21598988:1 gene:A08p036930.1_BraROA transcript:A08p036930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDREKQIYLAKLSEQTGRYDGAVAAAETGLAPTHPLRLGLALNFSVFYHEILNSPQRFGNYFNTYNIIIACVTLSQHAIQLTKQALDDAIAELESLNEEPYKDSTLIMQKLRDNLALWTAETADLPEEGGGNLLFLTLNGFVSVIFFLSQVFLDVVFRSLTFYNIKHCLSPTLSYDV >A02p055990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33828008:33829333:1 gene:A02p055990.1_BraROA transcript:A02p055990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDTPVASSTWLDRLRVSKGLSTTEDDDASGTPLSLDDFLRRNHHTDSPPSAPTPSDPELTDSPSDPNPGEWYGVMSDVLSELFNYSGSSRSTATVPGKKLPRKQSNPKHCSVETPPPPPPQRPKFATEKREKKRRRVVEEEDDGVEEEEEEEGEKDLVGFSRSEVTVIDTSFKIWKAEKVVFRRRNVWKVRDKKGNSRGVVSSKKKKKKKTIKMKKKKKRKCDVDGGEIGRKSKKMKLSRSVSDNSPHYSSEDLRDDPQSSNANRTLLHCHLNQEAYYILARRTANLRLVAIGLWPDRATKLNLCLYVYDDSVV >A02g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20861786:20862713:-1 gene:A02g507380.1_BraROA transcript:A02g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATSVDSLLEKLKQEELYLPPRGSCKVGENEEANNKSHYTLVNQAFAIDVRKVLEGYISGMDTLCASAELRRSSNIAVL >A09g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13944418:13945397:-1 gene:A09g504560.1_BraROA transcript:A09g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSAPSLKNNHFYIFFFRSEPATSEERCFSSKSLLPVSLTASTLAGKGTFFSNPFSASGFKMDKLDLSQRLYILGKESFLSKSIAYYSDESKVFPDLKEALEADGWEELKNSRLGVFLKFHKMKFGVQPVRFSLHELKEITGLNCEYLNNLENLLVEVTDDMKAFWGQRGVNFDRRPCIDELK >A06p003220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4799855:4800703:-1 gene:A06p003220.1_BraROA transcript:A06p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVVLFHKPYSAAPILPVPTIFTISSSSSSFRPRRLPPSSTNRIFPVNQPKPILKTPQTETLAARDTIIDFGKHKGKMLGSLPSSYLKWVSKNLRAGDTEYWAKLADQVLEDDVYKDRVEWEFAEKILHGSDESLKKSREEEVSSVSMLLEISERFGWDNEDKIGWSRVNFELLGTSRGGRIPRLGEASGDMVRRREVKKKKGEEEDGSGWRRRERRERMRQSLGREKESDDGKTVNRSDQKGVLGRLGEVEKQIEPKIYSPFPGRESLLKKVMNKRRSQ >A05p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9782336:9783464:1 gene:A05p020670.1_BraROA transcript:A05p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLPKQSPNQHTKPHGLSVSYAAACGVPLTTEEIKIYDNIPPCSKTISDYVLHKIGNGLALYEPLMDQIHTYKKNLCTTKRALARKIQVKLIRDRAELEGRELTMYEIDVAFDLKDAIYWAPPTQLEDIATHLNSQLLINECLDLICETRKLDDLRVKTLARIHLEVFFDKNYFN >A04p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16537733:16541046:1 gene:A04p027470.1_BraROA transcript:A04p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYGDMELDIEWVNNLAWYGKAVRSDGFICEVHPGELSSHGIWEKVPFNKVRLHIWQYPLPNLELVILFVFFLWQVFDTLFKKLGLLIPKFASMMLAGLLLNVLLTVSGDKSIIQEILFPKNRIDIPGCLGSFGFMMFWFLNGVKMDVKTIFKAEAHARLTGVAAAALPITVGLLLYKYKSLENRPLKAIEYNTLLLMESLTSFSEIARLLLDLGMNHSSVGQVALSTSVVSNTVGLMFWLVIVPLGFQSLVQGVGLLLQMFFFIVIVFAVVRPIMFKVIIRKREGRPIEDKYIYVILVMVFLSCMYWDGLEQFPALGAFILGLSIPNEHPIGSALVERLESFNFGIVLPLFMSASMLRSDIRVWKDILTFYSSNDKKFAVASLVFLIFLLKLSVSMIVPYIFKMPLKDSIILSLIMSHKGIIELSFYLFSYGLELLDRDTFSILVLSILLNSLFLPMAIRFLYDPSKRFMCYQKRSLASMKITGALKTLVCIHRPDHISSMINLLEACYQSDKSPLTCYVLHLVELQGQEVPTLIAHKVQKLGAGTGAKYSENVILSFENFHRYVRGSIFIDTFTCITNSHHMQDDICWLALDKAVTFIILPFHRTWSLDRTSIVSDSEMIRFLNSNVLKQAPCSVGILVERHLVNKNQESQQNLKVCVIFVGGEDDMEALAFAKRMARQESVTLTVLCLLAAKKSKKATGWDQMLDTVKLRVRELVRSNDPGNLKEESSTTYLEEEIVDGADTSMLLRSIAFDYDLFIISRTCGQNHAATLGNESWCEFEELGVIGDFLASPDFPSKTSVLVVQQQRTIANN >A09g516490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48711727:48712262:1 gene:A09g516490.1_BraROA transcript:A09g516490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWHQPIGSGRRP >A09p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:40548:42515:-1 gene:A09p040150.1_BraROA transcript:A09p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSNLRKDIFTKSLARLSEGNRHVSNSAADKLEYGNQTTDKPSSIDTRRPSMHTARSLRSDRASVPLGRYVATELEPSSRRSVRLARSRSLRSDRAIVPLGRYVATERSSRSVAILGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSFSVATDRAIVPLGRYVASELSQARSLRSDRALVPLGRYEVTGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPYELAQAVRSLVQLYQLNYVRLDPRKGVFLVSLFKRKSTVRISVPTLIFASNGFSYTYTARALKSHMVSEPGSSEPELKLPQTHCSLLSSNPNH >A01p048700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27416265:27418023:-1 gene:A01p048700.1_BraROA transcript:A01p048700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCFGGGEDFRRVSETGPKPFHNRDGINAHHHKADPPKNSPVIQMQPISVPAIPADELKDITDNYGSKSLIGEGSYGRVFYGVLKTGNAAAIKKLDSSKQPDQEFLAQVSMVSRLRQDNVVALLGYCVDGPLRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLSWNQRVKIAVGAARGLEYLHEKANTHVIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLNGEYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNPPRSAPQTPHRNNPY >A04p024670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14987429:14988375:1 gene:A04p024670.1_BraROA transcript:A04p024670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPCDSCRSAAATLFCRADAAFLCGECDGKIHTANKLASRHERVLLCQVCEQSPAHVTCKADAAALCVTCDRDIHSANPLSRRHERVPVTPFYDAPSNAAVSMEAASWLLHNPSVKEGGVEIPNLFADLDYSGVDPKMEASENSSGNDGVVPVQTRALFLSEDYFNFDISASKTTFPHGFSCINQTVSSTSLDVPLVPEGGAVAEMSRTTATPALQLSPAEREARVLRYREKRKNRKFEKTIRYASRKAYAEVRPRIKGRFAKRTDSRVNDGGDVGVYGGFGVVPSF >A10g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3852042:3854338:-1 gene:A10g501330.1_BraROA transcript:A10g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNRGELKSTNDSELPWTAKGSKSLTRRDEKAIVRVADSDVENKDKSSSGTMANESEPGKLLGKHVPMKKREMMVASPSPRKSCGRRGISEHRQEINHVWKLNPKNDSSGPDFSGIALLADAVCNLRNDLAPAVDRLPSEEPVVQQQDGSTIFPHAVGSTDQVGRGKKDNVAPEKSSLDWAEKGIASVGGMIIATKGASESENFAPDSGVVIKPDKSSVSEPTEKKNLRLHWDLNVSMDAWGPPCDVEHDASEKDVKGAITNPMPPRVSQPIDGFVVSAGQEKVSSACGPKAEAAAINGNKFKSGYNSPLEDGELREPYRRGENKVEDEGFYSMAENNDNKMNDSGKGILAETKLGPLERKSHDALRRDVEKNDVARMNDLHVKKRSSSSSSRRFVSRPSKELPSHDVIPRTRQVFSFHASSLELSRAPYKCFGRHDRSSGRGYFSGSGSRPPYVLEPRHPENLGMMGGFDQSGSGSGQGSQPDGYVRKRFSNGGYRGGRFSNGGDHVMRGRHSDNNQFSGRMHNWRSGNRRERRNSPVFRRSRSRSPVPWNGGDRLSHPHYGFRAEERMMESVRFPFQERFLEDQEIGFMSPPRNRMPPPGFDERRSYESGTNHNSFRGRRFGLGQRHDARRSLRRLNSGNSNKFIPFRCQRRFYDVEDSTGGNKFEMRQQQTKRADVTEDGGDDVAGSGFAKESRGCNQKQQRQREQRR >A09g518630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56822759:56823231:1 gene:A09g518630.1_BraROA transcript:A09g518630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGYGCCWVWAKYGCGCFKPRKKNTQLNIPIWVWSNPTDRPTEVSSSGLGKRPESNIMSSYDHHSRLQQPIKEVGKSISEKLSNAVEYLHGERRTCLRTGRGEEHDRSEKNSIEPVRQTHSQGSSKKAKP >A05p013110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5703091:5703327:-1 gene:A05p013110.1_BraROA transcript:A05p013110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMIIFLVLVLMTFSPYNPSLVTSRNLLEIKRHQVLLTAREKEKSHMTEVAKSKLTVGRFLISAPSPGVGHAGGGR >A02p007970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3340737:3344697:1 gene:A02p007970.1_BraROA transcript:A02p007970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVLQKFNVEQCCYYLQNKQFKTRYQRQPNNPYMSALLPCPRRNLHSSMRAHTTLSKYHQTPTHISKQTSFYCNASLSSATVPSLDKTDFLKLQNGSDIRGVAVPGVEGEPVSLPEPVTEAIAAAFGQWLLHKKNAGSRKLRVSVGHDSRISAPTLLEAVSRGLGVSGVDVVQFGLASTPAMFNSTLTEDESFLCPADGAIMITASHLPYNRNGFKFFTSEGGLGKVDIKNILERAADIYHNLSDENLTKSQREVSIKKVDYMAVYTSGLVNAVRKAAGDLEKPLEGFHIVVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMQAITKAVLDNKADLGIIFDTDVDRSAAVDSSGREFNRNRLIALLSAIVLEEHPGTTIVTDSVTSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAIRLNSTGEETHLAIETSGHGALKENHWLDDGAYLMVKILNKLASARAAGEGSGSKVLTDLVEGLDEPKVALELRLKIDKNHSDLEGRDFREYGETVLQQVSNSIETNPNLKKASVNYEGIRVSGFGGWFLLRLSLHDPVLPLNIEAQSEDDAVKLGLVVANAVKEFNALDTSALSRLTHS >A06p051750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27413691:27417744:1 gene:A06p051750.1_BraROA transcript:A06p051750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G03220) UniProtKB/TrEMBL;Acc:W8PV36] MDQNPYRRKPSPISTTTTGGSNPLPTSELLPMKFLSFGTLKLTRTFTTCLILFSVLLAFSMIFHHHPSDPNRVMGFAEARVLDGRDYSNATTTNDSNDSDRLLGGLLATGFDEATCLSRYQSSLYRKPSPYKPSPYLLSKLRSYETLHKRCGPGTEPYKKALTQLDQDHIDNNGECKYVVWVSFSGLGNRILSLASVFLYALLTDRVLLVDRGKDMEDLFCEPFPDMSWLLPLDFPLMSQFDGLNQDSSRCYGHMLKNHVVDNDKTLSHLYLHLVHDYGDHDKMFFCQGDQTFIGKVPWLVVKTDNYFVPSLWLIPGFDEELDKMFPQKETVFHHLGRYLFHPTNQVWGLVTRYYEAYLSQADEKIGIQVRVFDVGAGPFQHVMDQISSCTRKEKLLPEVDTVIERSRRVTTPKKHKAVLVTSLNSGYSENLKSMYWEYPTLTGEVIGVHQPSQEGYQQTEKKMHNGKALAEMYLLSMTDSLVTSAWSTFGYVAQGLGGLKPWILYKPENRTAPDPACGRAMSMEPCFHAPPFYDCKAKTGVDTGKLVPHVRHCEDMSWGLKLGFLMDLYRSRRRLSIPKAIDTQTEEQLGVQEKRFRLFGVMRITEILAYFMVIVPVLLVIMVIFFGHDSYDQGNGFAKASRIIQIKPNVTSEDDSTLQRDQNPKDVSLLGGLLVPGFNKDMCLSRYQSHLYRKASPYKPSSYLISKLRAYEELHKRCGPGTKPYTNAERLLKPKQTGDPEPEGCKYVVWMEFSGLGNRIISIASAFLYAMLTDRVLLVEGGEQFSDLFCEPFLDTTWLLPKDFTLTNQFTGFAQHSPRCHGEMLKRKLINGSSVLSLSHLYLHLAHDYNDQDKMFFCEEDQSLLKNVPWLIMRTNNFFAPSLFLIPSFEEELGLMFPEKGTVFHHLGRYLFHPSNHVWGLVTRYYNAYLAKADERIGLQIRVFDEKSGVSPQVTKQILSCVQNEELLPKLSKPGEQQHKQPSEEEFKLKAVLVTSLTTGYYEILKTMYWENPTVTRDVIGIHQPSHEGHQQTEKLMHNRKAWAEMYLLSLTDKLVISAWSTFGYVAQGLGGLRAWILYKQENQTSLMNPPCGRAMSPDPCFHAPPYYDCKAKKGIDTGSVVPHVRHCEDISWGLKLVDNS >A09g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10070998:10071419:-1 gene:A09g503090.1_BraROA transcript:A09g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAGSGGVRKVRGDSSEMKGVENVVMEQGIDDKERMEEKENEWNEVSPVKGGKAQMHVLQNHSSEIVISASKYSVLMDEKEEGEFLVELEKDIEDDKGEDNGGSEMDAEREETWSED >A09g513830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41940008:41945501:-1 gene:A09g513830.1_BraROA transcript:A09g513830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPLHDFLTNQQPVNSRTNISIFAYDGLRAEGERRKPTLEEHLEQLGNFPFLLFRAATQLGLAVLGLLELGILPTALEPRLIPCYIRDLWETRVLLLSLFKRKSTVRISVPTVLVLQPSSVATDRARTQLGRFVATCHASERSSFVFSFESSSKRFSFRPNRTTCQTSKRYIATCQASERSSFAFSFEFSSKRFSFRLNGVSLRFYDENKFYDENKLDSSKLLCLLLLALTSIFVFSFKSQMSLVSSRLELPLKLYDKKNPQRLVFSHGFRLISIKIGVNVFTKSNHLKEIFTKSLAVKSYSNIGRAKYRLSQGNRHVSKPATDKLEYGDRTTDKPSTIATQLPDMHTARSLHSDRARAKLGRYIATEHAHCSRPSKRPTQSLRSDRARAKLGRYITTEHMHVLIITKRSSFSKTSNTTRIHAFSSII >A07p000080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:872811:873969:-1 gene:A07p000080.1_BraROA transcript:A07p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEGDFPAQTTHSPSQPDNFFMSDHNISEAHPPPSTQHSLNRDGDGDDDFGSENNPASPNHANGNDDALFASDGPFLGLEWRWRRKRKRRRCAIKKAFYEKREKTIETKKADNREREKSELISREVPNIDKKRGKNDPDKKPSVIVIQGPKPGKPTDLGRMRQIFLKRKTNPPPHMMPPPPPAKDAKDGKPAAETKGAEEKHASPETVKPAVVAVDSGGGEKPETAAARA >A08p044150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24467982:24469690:-1 gene:A08p044150.1_BraROA transcript:A08p044150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCLLSSLLISYLIFKIWKRIDCKRDQNCYILDYQCHKPSDDRMVSTQFSGEIILRNKNLRLNEYKFLLKAIVSSGIGEQTYAPRLFFEGREECPTQQDALSEMEEFYIDTIKKLLERNKLSPTDIDVLVVNVAMLNSTPSLSARIVNHYKMREDIKVFNLTAMGCSASVISVDIVKNIFKTYKNKLALVVTSESLSQNWYSGNNRSMILANCLFRSGGCAVLLTNKRSLSGRAMFKLKCLVRTHHGAREDSYNACVQKEDELGRVGIHLDKTLPKAATRAFVDNLKVITPKILPVTELFRFMLSLLLKKLRGNPSKGSTAVAQAAPKAGINFKTGIDHFCIHTGGKAVIDAIGYSLDLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLRRGDRVFMISFGAGFKCNSCVWEVVRDLNVGESLGNVWNHCIDQYPPQSIVNPFLEKYGWIREEDDHDTFKIREEAM >A05p010510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4455499:4457053:-1 gene:A05p010510.1_BraROA transcript:A05p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQEGAKVEQEKKAATVVSTETTDNKPKSGGGDAAAAPAVAAASAFVFKVDMHCEGCAKKIKRMVKHFAGVKDVTVDMGGNKLMVVGKIDPMKLHEKLEERMKRKVVLANPPPPSPPKVDASTATSGEKKADGVDKAAAPAPPPPAAPKESSVALKIRLHCEGCIQKIKKIILKIKGVQTVAIDAAKDMVTVKGTMDVKELVPLLTKKLKRTVEPLLPAKKDDGAAPAPPAAKKEVTAAGANEAKKEGSEVVEKKQEGGENKKEAGDGVEKKKEAGDGGEKKKEAVEGGDKKKEAGDGEKKEGGGVGGGVAPVAMVNKMDYYGSYPTAPIYWQERHVYGQSYSIEGQTYPMGGQSYPGSGYNYSSESYVPYSYQNMNTPPGMFSDENPNGCSVM >A09p079210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58375827:58378826:-1 gene:A09p079210.1_BraROA transcript:A09p079210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSRNFGFFFLLLVLSLLSIVSSDDLQVLLKIKSSLLDSNPDALDSWKPTSNPCTFAGVTCNSNRSVTEIDLSRRGLSGKFPFPLLCDLTSLEKLSLGFNSLSGPVPSDMNNCTSLKYLDLGNNFFSGPFPDLSSLTHLQYLYLNSSAFSGTFPWKSLQNAKELVVLSLGDNPFDSTPFPEEIVSLTKLTWLYLSNCSITGEIPSKIGDLTELRSLEISDSFLTGVIPPEIVKLNKLWRLEIYNNNLTGKIPPGFGIMTNLTYLDISTNSLEGDLSELRSLTNLISLQLFENRLTGEIPPEFGEFKYLVNLSLYTNKLTGPIPQGLGSLADFDFIDASENQLTGPIPPDMCKKGKMTAVLLLQNNLTGSIPETYADCLTLERFRVSDNSLTGTVPVKLWGLPKVVIIDLAMNNFEGPVTGDIKNAKMLGALNLAFNKFSDELPEEIGDVEALTKVEINDNRFSGEIPSSIGKLKGLSSLKMQSNGFSGSIPDSIGSCSALSDLNMAENSLSGEIPHTLGSLPTLNALNLSDNKLSGKIPESLSSLRLSLLDLSNNKLSGRVPLSLSSYNGSFNGNPGLCSMTIKSLNRCVNSPGSRRGDTRIFVLCIVIGSLILLASLVCFLYLKKSEKKERRRTLRHESWSIKSFRKMSFTEDDIIDSIKEENLIGRGGCGDVYRVVLSDGKELAVKHIRSSSSDTKNFSSTLPILTEKEGRSKEFETEYGYSSKVNEKCDVYSFGVVLIELVTGKKPIEAEFGESKDIVHWVSNKLKSKESVMQIVDKKIGEMYREDAIKLLRVAILCTARQPGLRPTMRSVVHMIEDAEPCRLMGIVISKESDVKVKEIS >A06p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5972891:5976504:1 gene:A06p013250.1_BraROA transcript:A06p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein C [Source:Projected from Arabidopsis thaliana (AT1G15660) UniProtKB/Swiss-Prot;Acc:Q66LG9] MSDLGSRSSTLESDPLHAYSGLSLFPRTLKSMSIPPPPPLYHSDALQQTHTLLQSMPFEVSKEHEEQAKSILEDESSELLKKGVGSSVVSEDTDVGVNPIANKRERRPAYERKRGHFTFNPTTTTSESPQKEPTFDPSKYPKPGDYFAAYDRFLLAQREWQKQTGTFVKETHQYQPRPRRPELPGRKRGTYKHTYTGSYPIGSKTSETENPVPSEQIVEENTAAHVKTADREVDGETNDSTAYTDKNLENILTELLACSPDELDGDAGVKLLQERLNIKPVNEEMLSFPDIPDFPDVRRMDLKSSGRNPSKPRTALSNIQNLLKGINSDGSRKKSQASPSYSPEGQFSFPDRLNLLPGDQQPGEVDIAKDLNACLGSSVASDADKVIPNASPSNVGTHVASEFNISVQKSSYEGVPDTNSSPYRNADNCEVDDSIANINPATLEANVDMQTKENEGDVPMGESEANINARRRESYVDNLAEDEPGEDARMDPFTLEDETIPYQQEESSKSLNATREQYNTMDGFIEHEEHTQGQHGEENNNTDTACGVQVENAQQEAPSSSPKQTNKRSKRGASDSNMKKRSKTVHDETEKDKQTKTLSRESGAKKQTKGKANERKEKTQKKTVTRESKMFSRRKSLAAAGTNWEAGVRRSTRIKSRPLDYWRGERFLYGRVHESLTTVIGIKYESPGKNGKGETRALKVKSFVSDDYKELVESAALH >A05p027920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000221.1:2724:3414:1 gene:A05p027920.1_BraROA transcript:A05p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTARSLRSNRARAKLGRYVATENRASVSLGCYVATEPKPSSVATRPERPSTLGKDPLHSDRASVSLGRYVATELGQARSLRSDRALVPLGRYVATGLEPKFGCCVATELFRTSVRHQSMHSRQTFKCYLPKTVASSVYVFRYSKSSIKPRGLETTESSFFVERNRSKRFELEDGPKGPKTRLEAHPAIS >A05p046590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27657777:27659174:-1 gene:A05p046590.1_BraROA transcript:A05p046590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMGSWDKRREEQEEEEEQVLKLPGFRFHPTDEELVGFYLSQKVLLKKSSKIDEIISQIDIYKFDPWDLPHVAYVTYGLATDQRCVWLEGSRHTEKESYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYLDGSSKAVIGLKKTLVYYIGSAGKGSKTDWMMHEFRLPTANDTIPGGSTSTHRSPTPSSLLHAEVWTLCRIFKRNASSRKYTPDSKELASWERVKPQQSNNREAAYISFGDNESSTNKINVMESKENNERNVFQLHQTPHPHQPIPMEYTSSTQVDNTVPHISNDNNMDDVNYENWDELRSVVEFAFGPSSY >A01p007410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3657082:3659216:-1 gene:A01p007410.1_BraROA transcript:A01p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLCLAFLLFSVVVADATYIPRRSHHLLSSRQNRKTSKSNTELPSHFETRYFPQNLDHFSFQPESYQVFHQKYLINSRFWRKDGPIFVYTGNEGDIEWFASNTGFMLDIAPKFKALLVFIEHRFYGESKPHKLANTLGFLNSQQALADYAILIRSLKQNLTSEASPVIVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILNFDNIVPSSSFYDTVSQDFKEVSVNCFEVIKRSWGEMEVFSTIKDGLQELSKMFRTCKTLHSVDSASGWLETAFIETAMVNYPTPANFMAPLPAYPVGQMCKIMDELPLEASNLERAFAAASLYYNYSGSVTCFDMENHTDSHGLNGWGWQACTEMVMPMSCSNQTMFRPFESDDEKANQEYCLREYGVKPRPNWITTEFGGQRIEMVLKRFGSNIIFSNGLQDPWSGGGVLKNISSSIIALVTRKGAHHTDLRAATKDDPEWLKQQRRQEVAIIEKWISEYYNDLEQEEQAK >A05p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7007832:7011531:1 gene:A05p015770.1_BraROA transcript:A05p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGASKADAADDFLQTLEDFTSKENWDKFFTLRGSDDSFEWYAEWPQLRDSLLPLLQDSSSSSGSLQILVPGCGNSRLSEHLYDAGLRDVTNVDFSKVVISDMLRRNIRSRPEMRWRVMDITKLQLGDESFDTVLDKGALDALMEPEVGTKLGSQYLSEAKRVLKPGGKFICLTLAESHVLALLFSSFRFGWKMTVHSISQKRSSLKTFMVVAEKEKSIVLHEITSSFDLLSLGRNDAQGSGMCEALESENQIRRDCNNGSDVLYSHEDLKLGVKGDLAELTGGRRVKFSLGSNFSYRAVLLDAQKQTEPFVYHCGVFLVPKTRAHEWLFCSEEGQWQVVESSRAARLIMVYLDSSHNGVSMEDIQNDLSPMVTQLAPRNDDEAARIPYMMASDGIKKRNTVHEVTSSLTGEVVVEDVVYESTPSNPGGLSPSGDLAFRRLVFKRTEYLIQSEALLVEDGEIVDESQTEKTKTASQSKRKGIKKRNQETSGPIMKVSHDYLASSYHAGIISGFTLVSSYLKKAESSGKMVKTVIIGLGAGLLPMFLHGCLPFFSVEAVELDPVMLSVGKDYFGFTQIDRLKVHIADGIKYIRDITNSESSSEKTSDAVSKTESTSSNNAEGSTCPDILIIDVDSADSSGGLTCPASEFIEETFLLSVKRALPQHGLFVVNLVSRSQSVKDMVVSRMKKVFDHLFSLQLEEEDDVNVVLFGLCSESVIGECDIPESAVILEELLKCQRSETKQSIIDSTNKLKCWK >A03p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16745211:16746551:1 gene:A03p040170.1_BraROA transcript:A03p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVTSFILPSFSNPTSSSSTRQKLSLLSLLPTHGVTGSSVLNNPSFSFTKRVFAAPETLSPETLDEPVSEVPSSSSISVDADKMAPKQKIRIKLRSYWVPLIEDSCKQILDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVMDTCNA >A02p057270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34446136:34446583:1 gene:A02p057270.1_BraROA transcript:A02p057270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSGLKGRSFTNNPLPFSFSRRRAHGVVKASARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGY >A10g504060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10051290:10051622:-1 gene:A10g504060.1_BraROA transcript:A10g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKSLKDQAQTPYTSPKKKKRVAQSQPFPPPRKNTKHRKKTRGHATNSTETAAGTSTGFTPESHRNHEPSPEINEIKSSPDHPIHLTTGNYRELTMTTEKPPPTTVLKV >A05p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5874598:5875134:-1 gene:A05p013450.1_BraROA transcript:A05p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNILQMSMKGSKAEAKRSLREMSEEEEEEEEEDTFEEEALEKKQKGKATSSSSSSTGACQVERCTADMSRAKQYHRRHKVCEFHAKAPVVRIYGLHQRFCQQCSRFHHLGEFDESKRSCRRRLAGHNERRRKSASEGERSQNHGSQDY >A09g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8476177:8477171:1 gene:A09g502530.1_BraROA transcript:A09g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLIALHFKRMYGFAASLAAGLLLMFLVMINFTYPVPYHELNWNDPVANLTVFLMGPEQQINMMFVSTLPLSMSDASFLHSFCALLVTSFSPSLSYNVYYPKLDLTLVKSARSATLLFPQIFLSLKLHHFLSHVDPQQDFDSDCDPMQDLCTYVPFRIQQLLDLHDGNNGRYSLSYIPFTRRMVSEIMICHCDNEL >A10p026160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16665485:16673083:-1 gene:A10p026160.1_BraROA transcript:A10p026160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGKATFSAAAASDAARGTGGKLKRQTARRHTTTTPYSRPPQNQVQRSRPWISRIVDPAYRAISSGATKLLPYFFSSAPALRAPESEEQQHQDKLQDDLQENDPSSLTPSLNEPKSESIKEGGTSSTSNIKESNFNTSAQAISNGAKNDIDAISELERLMQGKTFSRAETDRLIEIINSRAIDLPDVAREETVEIPTRERAKKSVSFLDQKEEPSGDKDAGIDLWPTPTPLAKSVTFEGGKHVGDEAGLSPAELAKAYMGGQAPSSSSQGFVARNEKNCVDRGMLVANSSGASPSSKPSAGWPGVKLNEQSGFATPQSQRENFGIRSFPRTPYPRSILSGSKSQLIQLQDNSSKRLSTLQSPSQSVQTRYGQLKLNKGSDGGLFGPSRRSRQSATMSPYSRPSRGRFENSANKKSSEAGESSNLSVSQTTTFGKHIGLEAGTPTVPRHSSQIAKTILDHLERTLPTPKNKSDELKLATSWRFPQSSKTVEQSNLNINNVKKDGPAKLNEDIPNFFSHNPPSSVPKLSEVTTGDIQNTMAKTASASNGILSGSSSGTTLQYELGKPKDATKAVSYSFGGEPANLPKPPSHSLGNNKRSLSSISVAKPTYQRWAVPSGSNASFTFPVSSSSDGAATSEPTTPSIMPSTTTPSGGVAITSQHEATKDDEIPQFGFGGNRRGDDKLPLVFAFPSMSDEMNNEKLGDIKFTFGSNKAERISFGSPGSDGPQWRRKKTRFMFHLNPNTKFFFFPRALSSSNNNDGSVPSSRQNNRQMGYDPSEELFGVDFKPRNVSGDSREPRSWFGPNGQYIRELPCPTCRGRGYTSCSDCGIERARLDCPQCKGKGIMTCLRCLGDCVIWEESIDERPWEKARSSSPFRVKEDDEVDNLEIKFSPRGKSKRIYQSPPPEVGQKISRSLKSLNAKTGLFSNRMKIIHGDPVLHAQRVAAIKKAKGTPAARKHASETMKTFFSNAENREKRSLSMKGVKFYCKNCGQEGHRRFYCPELDTNADRRFRCRVCGGKGHNRRTCPKSKSMVSKGISTRNHQCGICGESGHNSRTCRKLARVKTTEGGVEDGVGKRVYACGFCKKMGHNVRTCPNWTGRVNQWDCNSLNKPSLVLSDTRIRDKWSFFKCTEVSFPGCRIGHAIVLQSLQSCSTMRYTSGIAALKRIETRVLVLRPNKGPYEFWPLHTEEELREIAWEEERERQTQTARDSFRSLNHGQT >A07g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8784849:8785459:-1 gene:A07g504360.1_BraROA transcript:A07g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQGLKIQTFSFHSLKYYSQISPLILLSYYDNAACVLRKMCLDAKVVRVIVIQKTLIKHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDDESEE >A07g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1721421:1721819:1 gene:A07g500900.1_BraROA transcript:A07g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYSGLDDLAAACKAMEGVEVINGLGCKMSCSSYKILYVLDTEDFFHRKKKQKKKSENLAQDKSSSQQRNLYRIERFHNLYK >A05p020830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9854903:9855112:-1 gene:A05p020830.1_BraROA transcript:A05p020830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGRGQPCFAYSTVRVAFGTVRGPSDCSPAVFSSGLCGFDVGSGIISLAVSTANFKVVHGTVSVAKL >A09g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18383732:18385394:1 gene:A09g506010.1_BraROA transcript:A09g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVRVRNNESLYDKRIHFASLLLRVVPTKDRTNDVYKKDLNSRCRCYIVGSHPSETTSKEVRNPLFDSDSPTPVVAFLSVTSKVAASALATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWRAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSTNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >A03p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1797709:1798379:-1 gene:A03p004180.1_BraROA transcript:A03p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASRIDEPNRNPNTDRSSNPNPLSSIISSAHVWPTIDGPLGLTEEASVDYARRFYKFGFALLPWLWAVNCFYFWPVLRHSRAFPQIRNYVVRSAIGFSVFTSLLLAWALTFSIGGEQLFGPVWDKLVMYNVADRLGLSGLA >A05p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21037648:21041303:1 gene:A05p037040.1_BraROA transcript:A05p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRQQETLSITKKTDQDFIFRSKLPDISIPNHLPLTEYVFQKFSGDGDGDPTTTCLIDSATGRTFTYADVQITLQRIAAGLHRLGIRHGDTVMLLLPNSPEFALSFLAVVYLGAVSTSANPLFTQTEIAKQAKASAAKMIITKSCYVHELTNLRQLGVVIVCVDDRNDVVSLADGCVRFTDLTQADEAELPKPEISPEDTVSIPYSSGTTGLPKGVMISHKGLVTSIAQKVDGENPNLNFTRDDVIICFLPMFHTFTHSSLMLSAMRTGAAFLILPRFELNLVMKMVQKYKVTVVPMAPPVVLAFVKSPETEKYDLSSVRMMLSGSATLKKELEDAVRLKLPNAIFGQSYGMTEAGTVANSLAFAKHPFKTKSGSCGTVIRNAEMKVVDTITGASLPRNKSGEICIRGHQLMKGYLNDPEATARTIDKYGWLHTGDIGFVDDDDEIFIVDRLKELIKFKGYQVAPAELEALLIFHPYIEDAAVVAMKDEVADEVPVAFVVRSKGSQLTEDDIKNYVNKQVVHYKRIKMVFFVKAIPKSASGKLLRKVLRAKL >A09p056080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47821541:47823213:-1 gene:A09p056080.1_BraROA transcript:A09p056080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDYLAMKTDLDKVALVNSDVEELKIAAKKLLTDVSNLGGLAFGVSFVKWIASFSAIYLLILDRTNWRTKMLTSLLIPYIFLTLPGVIFNFLSGDVGKWIAFVAVVLRLFFPKHFPDWLEMPGSLILLLVVSPHFLVHHIRGGWIGSVISLFIGCYLLQEHIRVSGGFRNSFTQPRGVSNTLGIILLLVYPVWALIIRVS >A01p052010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29143529:29145806:1 gene:A01p052010.1_BraROA transcript:A01p052010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G13682) UniProtKB/Swiss-Prot;Acc:Q9LID0] MDNPSSEGSAPRRTLRRKAVRKNYDENAMDEPIETSKKKFKTKQQLEKETETEALIALSVGFPIDELLEDEIRAGVVRELGGKEQNDYIVVRNHIVARWRSNVRVWLLKDRIRESVSSECEHLISAAYEFLLYNGFINFGVSPLFNGYVPEDGSEGSVIVVGAGLAGLAAARQLLSFGFKVVVLEGRSRPGGRVYTQRMGGKERFAAVELGGSVITGLHANPLGVLARQLAIPLHKVRDHCPLYNSEGEVVDKAVDSNVEFGFNKLLDKVAEVREMMGGDANKVSLGEVLETLRVLYGVAKDSEERKLFDWHLANLEYANAGCLSNLSAAYWDQDDPYEMGGDHCFLAGGNWRLINALAEGLPILYGKRVDAIRYGDRGVEVVSGSQRFQGDMILCTVPLGVLKKRCIKFEPELPRKKQAAIDRLGFGLLNKVAMLFPSVFWGDDLDTFGCLNDSSIDRGEFFLFYAYHTVSGGPALVALVAGEAAQRFESTEPSTLLHRVMKKLRGIYGPKGVVVPDPIQTICTKWGSDPFSYGSYSHVRVGSSGVDYDILAESVNNRLFFAGEATTRQHPATMHGAYLSGLREASRIQRVVNVSRGYPRKPVQRYSGVSNDVLEDLFKRPDIASGQLSFVFNPSTDDPKSLGLVRVCFDSFEDDPTNHLQLYAILSWEQAQKLQELGESSNESKLTYMVNTLGLKLMGGNAVLDTCGALISVIANARKGRSRTRVVARH >A03p052520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20023949:20026438:-1 gene:A03p052520.1_BraROA transcript:A03p052520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 4 [Source:Projected from Arabidopsis thaliana (AT4G15475) UniProtKB/Swiss-Prot;Acc:Q9C5D2] MRGRDLINNGLPDELILEIFQRLDSKPTRDACSLVCKRWLNLERFSRTTLRIGASFSPDTIVTLLSRRFLHITSIHVDERLSLSLPPPPPPLKRKRGSKTSSSSSSSASSSKRRKLSNKTRSGGAENAESCSLTDAGVIALANGFPLIENLSLIWCPNVSSFGLRSLAQICTSLRSLDLQGCFVGDQGLSAVGKFCNHLEELNLRFCEGLTDVGVIDLVLGCAKSLKSIGVAASAKVTDLSLEAVGSHCKLLEVLFLDSECIHDKGVVAVVKGCRRLRSLKLQCVNVTDAAFSVVGDFCVSLEILALYSFQQFTDKGMKSIGKGCKNLKDLTLSDCYFVSCDGLEAIAHGCKELTRIEINGCHNIGTRGLEAVGKSCARLTELYLLYCQRIGNSALYQIGKGCKSLETLHLVDCSGIGDAAMCSIAKGCRNLKKLHIRRCYEADNLHILFSMVGNKGVVAIGKNCKSLTELSLRFCDKVGDAALVAVGKGCSFLQQLNVSGCHLIGDVGITAIAKGCPQLTHLDISVLQKVGDMSLSELGDGCPMLKDLVLSHCHYVTDNGLNHLVNKCKLLETSHMVYCPGITSAGVATVVSSCSHIKKVLIEKWKVSERTMRRAGSILSYLCMDL >A09p064570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51970461:51971013:-1 gene:A09p064570.1_BraROA transcript:A09p064570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFNNLKSLRIKSDKKRGWQAMPVLLRNCPRLDILGLLHHVTDKCGDACDCNIFGKTKEMEIFVEENEPTELRVPEVAMLIPQMIEVYNSLSSCNVQLLVSDYLSKKWAAKGRL >A09p052870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46067341:46068113:1 gene:A09p052870.1_BraROA transcript:A09p052870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRIEKRARKEAVCSFPFCPQSSLSFRENIPVFFNFRVYLWKPHIYLPNLTFIFSCEPLYGPFWDGPFWAVLRLERFYDLSKERLRNDVGSEEGSNFLYIGGSSSSSDDSDRDETRAGSIQSRRKKLRGRDKGRLVDPTCQTGELDDLIDPTCSFGKLDGAFGLDDRAL >A09g501290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4252696:4252983:1 gene:A09g501290.1_BraROA transcript:A09g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGETENGVKIINKLTDDKGISVVEDCMVNFQRSMARPFLHMKQTYVSSMQKMWPHLNCHPQEGADTMVCSNCFHFFLLIEFYKMMSGFNDLMD >A01g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12208765:12210485:1 gene:A01g504050.1_BraROA transcript:A01g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSRSSSSSRRFCSSSSSSLEPSSSSPLFTKLSKVKIDTAIEYVRDGGNGGVFIGERGSIDNQGPVSDPGSESGELELGSSYPQDMDAEKPMSVMEISLEADTNPETDVLPTPTIPEEISVVSLRIQSYGESLHILPQLLALSELEFNKAFLGMCLCLQVKITAEEIQGWKDLSIVAFEVAVWEHLGKDYCSPTDRRLSLDWDTGKTHHYYQCHVASDGSYTSLVRTIESLTRCACRQCFDRDFFLSLEPVGTYLQKVLGDDNVLTVVFEDLQKNSSTCSIDPYSAYKRIAKNGIKIGLR >A03g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:775374:775844:-1 gene:A03g500240.1_BraROA transcript:A03g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTATRVLKAALSDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A10p019570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13396064:13398244:-1 gene:A10p019570.1_BraROA transcript:A10p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome complex subunit 6a [Source:Projected from Arabidopsis thaliana (AT5G56280) UniProtKB/Swiss-Prot;Acc:Q8W206] MAPSSSSGLTFKLHPLVIVNISDHYTRVKTQLNPPPSVCATENNGEAMLQPNPRVYGCVIGVQRGRTVEIFNSFELLFDPSSETLGRSFLEKKQELYKKVFPDFYVLGWYSTGNDAEESDMLIHKALMDINESPVYVLLNPSINHAHKDLPVTIYESELHVIDGIPQLIFAHTSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHQFLAAMQKGDIPCENSLLRQVSSLLRRLPAMESERFQDNFLMEYNDKLLVTYLAMITNCTSTMDEMVDKFNIAYDRNARRGGRTAFM >A06p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1013860:1014905:1 gene:A06p004030.1_BraROA transcript:A06p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLEIVKLCGYSPVSSISRHKSTVKLESRKRVFRLADSRRLGRCVKVYSSSPRNGGDNQSKGDEPPESLFMKELKRRGMTPTSLLQDYEVDVDEIKATGKESSKTTATTTPPFDQSLLNQRERSLALNSEGLEGLIPRAKILLTTGGTFFLGFWPLIVLTLGAFSALYLYFGADFVHDGSRNPVSPPPYIDPYALLEDERISGINSRLN >A06p055900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29161265:29162341:-1 gene:A06p055900.1_BraROA transcript:A06p055900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLMPTISFRDGSIHEDWQVLGRDEPKKKILVKQTSMRHSEREISMDPKSVVKSLSMSPSLRRNDSFDMMLLPAMSPPRDLDAPMPLPLQPVRTKFVSRSLPNSTTNSPKQRSGLMRALKGKEQDSSSSASLKRSKSCGSTSKRLSLRNSFFVKTESNKSINNNSTLEDGFKCNALCLYLPGFGKAKPVRSSRRDDSSSSSFTRTTTTTTSASSSVTVSRTVSVRETTTTTTVISARASMEKFDCNSYASESGGDEGGGHLFDLPSELIKSGSGKNDHDDPVSAAFVFDKEPVEKEIKGVLKMSGSKNRRSMESSLRQVRFSTTSPVSYPTSPAISPRLLEASKNLNAFLEAQAV >A07p016140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9941560:9943557:1 gene:A07p016140.1_BraROA transcript:A07p016140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRNFKAFSVAAASSPMNGCKSRKLTEKILKEAEDEENAPMSALSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDVITFSLEEKHIAATGAAFDSITDYYRKLGEFMSLYTNGKMPKALNHLTRLENWESLLKLTQPESWSPNAMYKATNMFASSSKAERFYELFLLPRVREDIRIHKKLHFCLYQSLKKALFKPKGFYCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPENFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVASHFLRFCKETKVMPVIWHQTLLAFVQRYKHELRKEDKKSLTSLLEKQNHELITPEIVRELVSSRNRGEMVDNSHSASTINNKPIKEDWFDMPQVPMEED >A09p058250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49126934:49127880:1 gene:A09p058250.1_BraROA transcript:A09p058250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSEWAWDTHDRVATRDAWIYQNKEIQKLGRRNIQSVTCENSITPTLLWLVQPGCSRCLLKKAEMLDTRAQ >A08p043020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24043426:24045777:1 gene:A08p043020.1_BraROA transcript:A08p043020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTSNLHPSSLNLFSNNNISPKPFLNSLRFATSTSSSFVAPLKLSTTNHALSSRLPSNRIQSRQLWLRCATSESEPSISVSPGGNGGAVSAEERVIVLVIGTGGREHALCHALKRSPSCNSVLCAPGNPGITSSGDAACVPDLDVSDSSAVISFCRKQNVGLVVVGPEVPLVAGLANDLVSAGILTFGPSSQAAALEGSKNFMKDLCRKYSIPTAKYKTFSDATAAKEYIQEQGVPIVIKADGLAAGKGVTVAMKLEEAFEAVDSMLVQGVFGAAGCQVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELEGVVMETIIHPTVKGMVEEGCKFVGVLFAGLMIEKKSGLPKLIEFNVRFGDPECQVLMMRLESDLAKVLLAACRGELKGVTLDWSRDSAMVVVMASRGYPGAYEKGTIIRNLEEAERVAPGVKVFHAGTGLDEEGNVVASGGRVLGVTAKGRDLEEARERAYMAVGQINWPGGFFRSDIGWRALLQKQVASKD >A02g503950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13073350:13074630:1 gene:A02g503950.1_BraROA transcript:A02g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTELPMDLVTEEILTRLPVKSLMRFMCVSKLWLTLISSRYFTHRFLTVPSPRLYMCLWDVNNYLDTEILSSAPQAANTTTTTTTPSAFLVDHDLTTPRMGSHILQNLGGFMCYVYWNKPRIYNPATRQLVTLPFKNSDHMTVSPGGKKIVSYYFGYDALNHKYKVVSSISVHLKQNMEVISSENWVFVLKGGRGSWKKAAPTPSDFCPHVPCKIEGLCIDGVIYYMALLGPFEYVFVSFDVKSEEFNMIQVPRRDGDELLERFQNVGLLEYGGKPTLFDQTNLKDKGVVALWSVEDAGSKKWSCKSLVVQPSQRHLVNTITFNVKGITQNGKVLLIPKDFLFPFHILSYDIQNNDMRKIEIRGIPDRWFNMDEEAEVCVDVMFMDQSESVISSDFVSSLDWTERDNHDTYIHRSLPLSVSLSIL >A04p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13686900:13689734:-1 gene:A04p022680.1_BraROA transcript:A04p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDRSCGGGGLGGGINGRVLSQAMDSCGKDLSTAEEIVDDLRSRYGTYAMRTRQVLLLSVRRVLNARKNNNKRAKDDNNGDDGGKMKKQKRVDEKEEEKLQLAEQTSHLKKRKTKDKSVSSSSSSSDISETWEDFDLISDSIRDNYAKMNNSPSAKKPIVVEKNVEVETVSRSKMTTMGGLLENEARVSPPPPPLSGTNNNKGGRPTFKDFGGIKKVLHQLEFDILFPLFNPLAFETTGVKPPRGFLFHGPPGCGKTRLANAIANEASVPFYQISATEVVSGVPGDSEENIRELFSKAHRTAPSIIFIDEIDAIGSKREKQQREMEKRIVTQLLTCMDGPHQQGDDENGGYVVVIGATNRPDALDPALRRPGRFDREIALTVPDEDARAEILSVVSKRLRLEGSFNMKGIARLTPGFVGADLEGLANMAGSLCIKRVMNSRKSQLSGCQDDDGSWLRQPWSEEDLQILFVRMSDFEEAVKLVKGSLTREGFSTVPDVTWGDVGGLDHLRSELNDYIVSPIKNPDIYKKFVAKLDTGFLLYGPPGCGKTLVAKAVANEAGANFIHIKGPELLNKYVGESELAMRTLFQRARTSSPCVLFFDEVDALTTSRGREGGSVNGGGLLNQFLTELDGGERHNVYVIGATNRLDVIDSAFLRPGRFGNLVYVPLPNADERVSILKSIAKKRPIDPSVDLDAIAKMNCQRFSGADLANLMDKAIHVAVKEKLESRESSSEDGDMDLSDCTIRMTHFEQALSLVTPSVSKQQIKHYERQRKLQKKRRLLKKLQKKNKTIEEATITPKK >A06p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9294808:9295966:1 gene:A06p019150.1_BraROA transcript:A06p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQSIRESDNRAYWMGRNHRTIDWLLYERSSIICLQEFWVGNEELVSLHEKRLGDAGYLCYKLGRTNNRGDDGSN >A09p070180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54491874:54492502:1 gene:A09p070180.1_BraROA transcript:A09p070180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPRNLFMVSSLLMEFLFAYSASVQLNDSDWYLWFPLYALASAVNLINSRRICNKSRRIRQMTSTALSLGLFLLVKVITEDVITERVGILCLDLTHRVVREKIGSGLVIASMVLQLQASCSKRKEKSVDFGMAATVIFGYGLPFWFFTIQNGEIKI >A05p022780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10965733:10968321:1 gene:A05p022780.1_BraROA transcript:A05p022780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase 1 [Source:Projected from Arabidopsis thaliana (AT1G51680) UniProtKB/Swiss-Prot;Acc:Q42524] MAPQEDAMQKQSSNNNSDVIFRSKLPDIYIPNHLPLHDYIFQNISEFASKPCLINGPTGHVYTYSDVHVASRRIAAGFQNLGVNQNDVVMILLSNCPEFVLSFLAASFRGATATAANPFFTPEEIAKQAKASNSKLIVTESRYVDKIKDLQNDGVIIVCTDEEPSPIPEGCLRFTELTQSTEIETVEISSDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGDNPNLYFHSDDVILCVLPLFHIYALNSIMLCGLRVGASILIMPKFEINLLLELIQRCKVTVAPMVPPIVLAMAKSPETEKYDLSSIRVVKSGAAPLGKELEDAVSAKFPNAKLGQGYGMTEAGPVLAMSLGFAKEPFPVKSGACGTVVRNAEMKIIDPDTGDSLSKNKPGEICIRGHQIMKGYLNNPAATAETIDKDGWLHTGDIGLIDDDDELFIVDRLKELIKYKGFQVAPAELEALLIGHQDITDVAVVAMKEEAAGEVPVAFVVKSKDSELSEDDVKQFVAKQVVFYKRINKVFFVESIPKAPSGKILRKDLRAKLVNGLVN >A09p069020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53886675:53888231:-1 gene:A09p069020.1_BraROA transcript:A09p069020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGINLFDDTSNGFFSVSDLGSDWSLQNPTYRPVGGLFASVNQLGAGFGSGLGSGSIPDPPNRDNSSFTAQLNDLCTKYSPFKEVEVEEEEVIGQKKKKKGGFKLKLKISNPALRRLFSGAVAGAVSRTAVAPLETIRTHLMVGSGGESTTEVFRDIMKHEGWKGLFRGNLVNVIRVAPARAVELFVFETVNKKLTPKLGEDSKIPIPASLLAGACAGVSQTLLTYPLELVKTRLTIQRGVYKGILDAFVKIIREEGPTELYRGLAPSLIGVVPYAATNYFAYDSLRKAYRKMVKKESIGNVETLLIGSLAGALSSTATFPLEVARKHMQVGAVGGRAVYKNMLHALVCILEQEGLAGWYRGLGPSCLKLVPAAGISFMCYEACKKILVENNNEDA >A08p030110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18453405:18454307:1 gene:A08p030110.1_BraROA transcript:A08p030110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSNASWTRKENKLFERALAIYDQDTPDRWHNVARAVGGKSAEEVRRHYELLIRDVNDIESGRYPQPTYRSSETNMVTNQKQTESI >A05p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1810239:1812683:1 gene:A05p004680.1_BraROA transcript:A05p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g42920, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G42920) UniProtKB/Swiss-Prot;Acc:Q9SJG6] MIPTILSYSGATAPAIPATPSVSGTSYLRLIDTQCTTMRELKQIHANLIKTGLISDTLAASRVLAFSCAATSSDISHAYLLFTRINPKNQFVWNTMIRAFSKSSFPEKAISIFIDMLLSSDSVKPERLTYPSVFKAYANLGKARDGRELHGRVIKEGLKDDAFIRNTVLNMYATCGCFEEAWRVFEGMVEFDVVAWNSMIMGLARFGLVDDARKLFDEMPQRSEVSWNSMISGFVRNGRFKDALEVFGEMQERGVRPDGFTMVSLLNACGCIGAKEQGRWVHEYIVKNGFWLNGVVVTALIDMYCKSGCVEEGLKVFEEAHEKQLSCWNSMILGLANNGHVERAIDLFLELERSGLEPDSVSFIGVLTACAHSGKVHKAIEFLRLMREEYFIEPSVKHYTCMVNVLGGAGLLEEAEAMIKSMPVEEDAIIWTSLLSACRKKGNVEMAERAAKRLKKLDPDETCGYVLMSNAYASYGLFEEAVEQRVLMKERHMEKEIGCSSIEVDFEVHEFVSCGKRHPKSSEIYSLLGVLNWDASALNSEVQNYRGRLLFHQTSGLIFDSKVN >A05p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15033518:15034004:1 gene:A05p029840.1_BraROA transcript:A05p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHGVAKFTGRPKKNKIDNGKHRMCSQCTRTVFASKHQRVASIKLLIHLKLPFKNFKINVFHLNMSDNGKHRMCSQCTCTVFASKHQRPASIKLLITLPITYCHLAMYN >A04p007610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8751401:8754878:1 gene:A04p007610.1_BraROA transcript:A04p007610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLSPSSSSPAANPQSPGIKTYFKTPEGKYKLHYEKTHSSSLLHYAHGKTVTQVTLAQLKERAAPSTPTGTSSGYSASSGFRSATARLLGTGNGNRALSFVGGNGGGKNASTSSRISGSFAASSSGTSMTNTNFDGKGTYLVFNVGDAIFICDLNSQDKDPVKSIHFSNSNPMCHAFDPDAKDGHDLLIGLNSGDVYTVSLRQQLQDVSKKLVGALHYNKDGSVNNRQDRCTSIAWVPGGDGSFVVAHADGNLYNKDSATDAAFPAIRDPTQFSVDKAKNSKSNPVARWHICQGSINSIAFSNDGSHLATVGRDGYLRIFDFSTQKLVCGGKSYYGALLCCSWSMDGKYILTGGEDDLVQVWSMDDRKVVAWGEGHNSWDTQILLWDLEMDEIVVPLRRPPGGSPTYSTGSQSAHWDNVIPMGTLQPAPCKRDVPKLSPVIAHCVHTEPLSGLMFTQESVVTACREGHIKIWTRPSVSETQSNSSEANPAIALLSTSFPKDNKGSLSSKIGGSSLKS >A10p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9309926:9311254:1 gene:A10p005390.1_BraROA transcript:A10p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB13 [Source:Projected from Arabidopsis thaliana (AT1G06180) UniProtKB/Swiss-Prot;Acc:Q9LNC9] MGRRPCCEKMGLKKGPWSAEEDRILISHIRLHGHPNWRALPQLAGLLRCGKSCRLRWINYLRPDIKRGNFTPQEEETIINLHQSLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRIQHNQDQNISETICDNDEQLVSVMDEKRPSSPQQQSSSSTNISAVTTSSNNNDVSNNSSKDSATSLEDVLPLIDESFWSEVVSMDCNLSGDENREIKMEDWESSLDSNIKGYNHDMESWFDNLLTSNLTTGENSDIF >A06p050850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26738559:26743081:-1 gene:A06p050850.1_BraROA transcript:A06p050850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQILSKLPKKSSKNDHHHHRGREHGAGHSSSSSHASTSKSSDNGHGKSANSHTKNAPSGGRSAGNTNTNTNGGVFTPYEALPSFKDVPNTEKQNLFIKKLNLCRVVFDFTDPTKNIKEKDIKRQTLLELVDYVNSANGKFSEVSIQEVVRMVSANIFRTLNPQPRENKVIDALDLEEDEPSMDLAWPHLQLVYELFLRFVASPDTDTKLAKRYVDQSFVLRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSINNIFYRFVFETEKHNGIAEFLEILGSIINGFALPLKDEHKVFLVRVLIPLHKPKCLQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRQIARCLNSLHFQVAERALFLWNNNHIENLIMQSRKILLISNSGEALKKSGLFLKMFKQILGKLPKKPSSAKFWDNGESQAPDNNNNQEVSSQRASSNGDNLASLSVDVLPRLKDVSISEKQELFLKKLRLCCVVFDFAAEPQRNLKEKEIKRQTLLEVVDYVISSGNGKFPEPVIQEATRMVSANLFSNPHQQQWKNKTPEAGDLEEAEEGSLNPSWPHLQIVYEFLLRIVASPNTDAKISKKYIDHTFVLKLLDLFDSEDPREREYLKTILHRIYGRFMVHRPFIRKTMNNILYDFILETGKHSGIAEFLEVLGSIINGFALPLKEEHKLFLTRVLVPLHKLKCLPNYHQQLSYCVIQFVEKDCKLADTVIKGLLKYWPVTNSSKEIMFLNELEEILEATQLTEFERCMIPLSRQIAQCLSSSHFQVAERALYLWNNDHVSNLVRHNSRIILPIVFPALEKNGSSHWNQAVKNLTENVLKVLSDTNPELFEECLRKFQEDQQNAEDNKKKNGETWRQLEEIVASMAK >A05p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7329253:7330816:-1 gene:A05p016480.1_BraROA transcript:A05p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGMKRGPWTPEEDQILINYIHLYGHSNWRALPKHAGLLRCGKSCRLRWINYLRPDIKRGNFTPQEEQTIINLHEVLGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLNKNQNNGGDNKNINGTNKTTHKDKESVIVDTTSLQQFSNNITTFEISNSNKDNMMSYEDVSALVDESFWPDVVSVDNSSNNNEKKIEDWEGLLDMNNKRFSYDNPKLCSDDMEFWYDKLLNSSRSIEEFSDIT >A09g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7585724:7589730:1 gene:A09g502200.1_BraROA transcript:A09g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEISFAKLRRRSVTAWGHIFSDHIFSDNIFSNYYPDDFQEVRRLLRRLPGSEKTTRTTSRKSSTMSYSLDDSLPGSQFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSVVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLMQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVMEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTWQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHQMDAFINILRQRYQNHPEHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRHAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATYD >A09g511340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33932620:33933303:1 gene:A09g511340.1_BraROA transcript:A09g511340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVERRNGVEDSTLSQLLNGGKKQEERGRQAQVIRRSSKVICVKQLAPIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLSSKCTKISLSLTEDDDDDPVMS >A09p001950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1467542:1472483:1 gene:A09p001950.1_BraROA transcript:A09p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 159, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02510) UniProtKB/Swiss-Prot;Acc:O81283] MDSKGAVPPEQNPPYASGQSGKTYASVVAAAAGGAVSSAKSQEFDSEKEAMAGDSDKGVVGGADKEEEVGADEKVGDEAETASSVSPEKEVVSEKTIGGDDVGVEADGEVSDEAGSPETAVSKPEAVSEKTTGEEEKKDDVEEDGESRLENGSFDGGEKQASTDGIVGGADKEEEKSSLEVGADEKVSNEAASPETASLLSPEQKTVGVTEEENKKVEDAVSEKTTGEDGVGVTEEDNKKVEEAVSEKTTGEDDVGATKEENKKVEEGVDDVEANEQSGKTYASIVAASASKEVASSPEAVSGDSDKGIAGGADKEEKSNLEVGADEKVSNEAGSPETASVLSPEPEAISEKTTEENKKVEEGVAPELEAVSDKVTGEDDLGVTQEENKKSEEGVGDVEENGQSGKTDASVVEEGVNEVEDDGESNLENKSVDVAEKQTSTEVMVDENPEMESESKATGVEEEDVDDKIDDVIEEESVELTNKVVDSSTVESVHVDVAKPGVAVVGDVEGIEEVKINADGENLEVANKFDQLGHNDGGGFEVESNKSVEEVGEKLTSGADSIADSSKLEFADRSAAEAEDVAAKKSTEAARVEPDEPKVGGAEEELQASESLTVGSVDAREDSEPAAELQSEPNSNPEAGNVSEGNIAEEDGDKIPAADNVSSREFSFEGKEVDHEPSGGEGVIDSEDETEEMIFGSSKAARQFLAELEKASSGIEAHSDEANISNNMSDRIDGQIVTDSEEDVDTEDEGEEKMFDSEALAALLKAATGGGSSEGGNFTITSQDGTKLFSMDRPAGLSSSLRPLKPAAAPRAIRPNIFSNPNVTVDESEINLSEEEKQKLEKLQSLRVKFLRLLQRLGQSAEDSIAAQVLYRIALLAGRQTGQLFSLDAAKKKAVEYEAEGNEELNFSLNILVLGKAGVGKSATINSILGNQKASTDAFGLSTNSVREISETVGGIKITFIDTPGLKPAAMDQSANSKMLASVKKVMKRCPPDIVLYVDRLDTQNRDLNNLPLLKTVTASLGASIWKNAIVTLTHAASAPPDGPSGAPLSYDVFVAQCSHIVQQSIGQAVGDLRLMNPSLMNPVSLVENHPLCRKNREGVKVLPNGQTWRPQLLLLCYSLKVLSEANSLLKPQEPLDQRKLFGFRVRSPPLPYLLSSLLQSRAHPKLPTDQGGDSVDSDIEIDDVSDSEQEDGDEDEYDQLPPFKPLRKTQLAKLSKEQRKAYLEEYDYRVKLLQKKQWREELKRMREMKKNGKKVGENEFGYPGEEEDPENGAPAAVPVPLPDMVLPPSFDSDNSAYRYRFLEPTSQLLTRPVLDTHGWDHDCGYDGVNAEYSLAIASRFPATATVQTTKDKKEFNIHLDSSVSAKHGDSGSTMAGFDIQNVGKQLAYVVRGETKFKNLKKNKTTLGGSVTFLGENIATGVKLEDQIALGKRFVLVGSTGTMRSQGDAAYGANLEVRLKDADFPIGQDQHSLGLSLVKWRGDLALGGNLQSQVSVGRNSKIALRAGLNNKMSGQITVRTSSSDQLQIALTAILPIAMSIYKMLRPDGASDKYSMY >A06p009640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3405264:3406443:-1 gene:A06p009640.1_BraROA transcript:A06p009640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homologous-pairing protein 2 homolog [Source:Projected from Arabidopsis thaliana (AT1G13330) UniProtKB/Swiss-Prot;Acc:Q9FX64] MAPKTDNTEAIVLNFVNEQNRPLNTQNAADALQKFSLKKTAVQKALDSLADAGKITFKEYGKQKLYIARQDQFEIPNTEELALMKEENAKLQEQVQEKRKTISEVESEIKSLQSNLTLEEIQEKDAKLRKEVKEMEEKLIKLREGVTLVRPEDKKAVEDMYSDKINQWRKRKRMFRDVWDTVTENFPRDIKEFKEELGVEYDEDVGLSLQAYSDLIPHGKKRGRGQ >A05g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27285449:27286495:1 gene:A05g509070.1_BraROA transcript:A05g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDLLANDLRWSADQLHRNVVAHDDATVRRGIADWESNPRLFPLGNADGDSITMGSSPRFPMYDNDFGWGKPLAVRSGGANKFDGKISAFPGKEKLAGEERNVFAGRENVGDDDVFGGSGDKISSGVVAGRSETERRRSATVDFFSGYTMHLEIISLHHYLLLDRKGSEKGTAKTSKPLVKGFVHDNDDEVMKITKRNSYVEVGSSGDDLHTMAEPLPRVKKRVARSVIVIKPSFMSFKSLVSGKPISFSSIKV >A06p032490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17337031:17341754:-1 gene:A06p032490.1_BraROA transcript:A06p032490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable fatty acyl-CoA reductase 4 [Source:Projected from Arabidopsis thaliana (AT3G44540) UniProtKB/Swiss-Prot;Acc:Q9LXN3] MDSKCVQFFQNKTILVTGVPGFLAKVFLEKILRVQPDVKRLYLLLRSSDNESAMERFRTEVLEKDLFRVLRNDLGDANLKNLVLKKVAPIPGDISVVNLGVKDSDLLQHMWNEIEIIVNVAATTNFDERYDVGLSINTFGPLNVLNFAKKCVKGQLLLHVSTAYVCGEKPGILPEKTFKMGETLNSNGKLVIETEMELMKQKLKELQKQECSEEEIAQSMKDLGMSRAKLHGWPNTYVFTKSMGEMLLGNHRENLPLVIIRPTMITSTFSEPFPGWIEGLRTIDSVIVAYGKGRLKCFLADSNSVLDLIPVDMVANAMVMAVAIHAGKSDSQDVYHVGSSCKNPITFGKLHDLAARYFTKSPLVGRDGSPIIVSKGTILSTMSQFSFYMTLRYKLPLQMLRLINIVYPWWNGNKYKDIDRKINLAMRLVDLYRPYVLFKGIFDDMNTERMRLKRQDINNQIYGSLEFDPKSIDWEDYITNIHIPGLITYVLQN >A05p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5557685:5558708:-1 gene:A05p012690.1_BraROA transcript:A05p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALSSSLYISPKKPSCPQQSLSTKPTTIKTTLHTHPLFTVADQAVTLQMKEKILCLELMGIDSGKALSLNPFLRSASLDSVESVLNFLQSKGIYPNDLPRILGMCPKILTSDIRTELNPVFTFLSSDLHVPDNAFRRVIKKCPRLLISSVEDQLKPALFYLQRLGFKDLDALAYQDPVLLVSSVEHTLIPKLRFLESIGFTRSEAIGMILRCPALFTFSIENNFKPKLDYFMCEIKGKLENLKEFPQYFAFSLEKRIKPRHLESIERGLELPLPLMLKSTDEEFAQLLLQTKQSSVVNA >A05p037010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21010235:21016642:1 gene:A05p037010.1_BraROA transcript:A05p037010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQQQETLSLAKNQEPSQDFIFRSKLPDISIPNHLPLTDYLFQKFSGDGGGDSTATCLIDGATGRIFTYADVQITLRSIAAGLYRLGIRHGDTVMLLLPNSPEFALSFLAAAYLGAISTPANPLFTQPEIAKQAQASGAKMIITKPCYVDKLKNLENVLIVCVHDDVPLPDGCVSFTELTQADETELPKPEISPEDTVLMPYSSGTTGLPKGVMITHKGVVTSTAQKVDGENPHLNFTGDDVIICFLPMFHTYAHNSLMLSAMRAGAAFLILPRFELNMVMEMIQNYKVTVVPMAPPVVLAFVKSPDTEKYDLSSVKMMFSGSGALTKELEDAVRLKLPNAIFGQSYGMTEMGTVAKSLAFAKNPFQIKSGSCGTVIRNAEMKVVDTITGVSLPHNKPGEICIRGDQLMKGYLNDPQATAQTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKFKGYQVAPAELEALLISHPFIEDVAVVAMKDEIAGEVPVVFVVRSEGSQLTEDDVTSYINKQVVHYKRIKMVFFTDCIPKAPSGKILRKDLRARLANGLMNKS >A07g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20472471:20473577:-1 gene:A07g507530.1_BraROA transcript:A07g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQSFSLMLALDVVPETRYLNKERELIGVDMLLLDEKVTLLHGFIGENLLNTFRHLQIRKSTMGKLQVSLSELKLGRSPQSDVTRLAVLLHEKLIILDVDPRIIIATSINPKPDGGDAGPSPQKYEK >A04g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18098892:18100551:1 gene:A04g507360.1_BraROA transcript:A04g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTSTSLFYISYAHLCAHLFWAVLIRCRGQTASILEARNVKRGGEPMWMDLFMVDVNVSGFLFSSLYQTFWVNINNRAAGCSSTLLLVLIQLHRTFRSEIKTERHHWVAEYHERKHKKLKRYHRFFCVLQRDWQGTYDPDSWN >A01p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3145952:3147644:1 gene:A01p006120.1_BraROA transcript:A01p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7-1 [Source:Projected from Arabidopsis thaliana (AT4G32470) UniProtKB/Swiss-Prot;Acc:Q9SUU5] MSTLLQSLIDPKKNFLARMHMKAVSTRLRRYGLRYDDLFDQYESMDIKEALNRLPREVVDARNQRLKRAMDLSMKHEYLPKDLQAVQTPFRGYLKEMLALVERERKEREALGALPLYQRTLP >A09p045640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40015080:40019161:1 gene:A09p045640.1_BraROA transcript:A09p045640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREECTPSSSWWEDVHHDDHASSISTSFYHKNSNGNNNNNSNANCEGDNLSISTVNTSNRFDLTAESSSRHSLSAPDHPASTSDELLRDHVVSSNNHLWSLAYLPGRSLGDQMLDHHHHNNHITSSRNNSSTTSELPFEPVCDNANGWIYDTNQVRYSQSSDQRLSKLTDLVGKHWSLAPPSNPDMNHNLHHHFDQTSDDISMYRQELEVKNEEDLCYNNGLNSGASLFRDAIESSRSFLDIRLSRPLTDINPSFKPSFKALSLSEFGKKEHQTASLATVRLGSTNAGKKKRCDEVSDEASKKAKCGRDSTHSPDKDLPKAKLRDKITTLQQIVSPFGKTDTASVLQEAITYINFYQEQVKLLSTPYMKNSSIKDPWGGWDREEHNKRGPKHLDLKSRGLCLVPISCTPVAYHDNSATDYWSPSYRGSLYR >A10p016240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3176264:3177865:-1 gene:A10p016240.1_BraROA transcript:A10p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEVEEESCSRPSMLPFRATFDSSDPLGFLHKVFDFLGEQSDYLKKPSAEEEIAAVVRAAKEKLKKAEKESVEKKPPDPVEEKESVKPTMAASSSKPLEDKKDGPIVPNKGNGTDLENYSWVQTLQEVTVNIPVPTGTKARSVVCEIKKNRLKLGLKGQDPIIDGELYRPVKPDDCYWNIEDQKMISILLTKQDQMEWWKCCVKGEPEIDTQKVEPENSKLADLDPETRSTVEKMMFDQRQKQMGLPTSDELQKQDILKKFMSQHPEMDFTNAKIN >A04p000180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:79384:79893:-1 gene:A04p000180.1_BraROA transcript:A04p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMVITSKRPRAPSFADKHPEETHAASTIGSDWFQEKGKDEGKLKTFLEQCGFCKKKLRHDQAVFMYGYFGAFCSKACRAKQMACDVFSEKSREIVKAKKGRTCTSGIKPKDDEPPGNRERISSPPRFYI >A07p023230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13480739:13482404:1 gene:A07p023230.1_BraROA transcript:A07p023230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G66360) UniProtKB/Swiss-Prot;Acc:Q9FK02] MIQKTVGSSIKSSTSCLSSLLLVRRNSHSRIRGYESNGQVVEKKKQHDGLFLQKSKGQHLLTNTRILDSIVRSSDVRPTDTVLEIGPGTGNLTMKLLEAAHHVVAVELDKRMVEILRTRVSDHGFQHKLTIIQKDVLKTDFPEFDLVVANIPYNISSPLVAKLVYGSNTFRTATLLLQKEFSRRLLANPGDSDFNRLAVNVKLLADVKFVMDVSKREFVPPPKVDSSVVMITPKEVKPDVDVREWLAFTRTCFGKKNKTLGSMFRQKKKVVELLSLSKVGITTNASDVVEEEEGEDRVLCLDTDASVFKERVIGVLKSNGFEDKRPSKLSHGELLRLLSLFNQAGIFFHSLSMDLHDY >A01p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16243430:16245130:-1 gene:A01p036840.1_BraROA transcript:A01p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSLAIYLMLLIALSTVYETQGTFSLPLYLKNFPKVGQDFESFAYKGMKDFMGDLEGKCPETTEFKDFSVKLKDYMACYSSTSPGAMSAFSGTKGGTSEDSWTLVDGLLSMGKSLVEMKKSGSKEITFEQRKEVIQSMVNWTRGIGLFIKKVSENKGKSIDLSLFGIDYDTNVSSPSERALYETQGTFSLPHYLRDFPKMSKDFEPFAYKGMSAFLGALESKCPATAEFKDLFVKVADYMACFKSGIKIEMQEKSVKLFRAISVLDGTNGETSVDSWRMVDGMLSMGILVTEMKKNVSQEITFEQRKELIGAMVKWARAIGLLVKTASEKKGQSFDLASFGVDYSPHVASPIKGANGEL >A02p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6598219:6599976:-1 gene:A02p014850.1_BraROA transcript:A02p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAHFSNERVFRGFLVWFCSWGLLSLTCAAGRLSVSSQNLEVHKHLKRLNKPAVKSIQSPDGDIIDCVHISKQPAFDHPFLKDHKIQMNPSTIPDLLFGENKVSEKPKERTNPVTQLWHQTGACSSGTIPVRRTKKEDVLRASSAKRYGKKKHRTVPLPRSADPDLANQSGHQHAIAYVEGGKFYGAKATINVWEPKVQSSNEFSLSQLWILGGAFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSQIAMGASISPVSGFHNPQYDISITIWKDPKEGHWWMQFGDGYVLGYWPSFLFSYLAESASIVEWGGEVVNMEEEGHHTTTQMGSGQFPDAGFSKASYFRNIQVVDSSNNLKEPKGLNTFTEKSNCYDVEVGKNDDWGHYFYYGGPGRNPNCQ >A07p020550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12104520:12106452:-1 gene:A07p020550.1_BraROA transcript:A07p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRYDMEKKKTELQTAIEELSLLIITKPVDNIETSHIPLTPFLSLCNLIIQVLDKIGPTMAVLRQDIDQNIQRLEKVCETDPCVYSNLVEILKKERNEGTYKMVASCSRALLWLTRTMDFTAGLLRRLSKEMSSKMEELIEETYLATLKLHHGWIASAAFKVCLKLVPDNKTFMEAIGGRDESYETLREDIATLSSLLTPILNEIFIVLEQFGLNKLRSM >A05g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8550331:8552930:1 gene:A05g502780.1_BraROA transcript:A05g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSPSTDLPGSWTRLRVAILSNSLTKPRFYHHTVLKYLLAMSSHQFIPSIPKHVFPLDHADQTVHTIPPDHPDRTAHAVHRIDPQTSVSELSLEPRPRDRIDRPMSLLSQPIQHSKTDSQARINLGGEESKDVHIFSLGALLVSTACPEGCPDVLASGPSSGIKATYSDYLYLLTFCVENFQVKMFGLLKRSSNEYSQHQAISRSSCQNSLNVFDEFVTVHERLTIRRPHKMPNRRCKEQFKSSKGEADQKRRFFQFDVQEFCDNFENEMMNVLKEVSKIHKKSTFTRAPVAEPSIFISETSKCKSENNLEDLKDFSDSIPIFDEYDEKLMERLMICEDNCDIPFPEPDFMFDKEHIAELTFLQPEHPSSLVLFSQDFEEKPFDYPHQGPLLGTRRAMDVDLYPIFDEEDDHLDELGATFDEKALSITPIIMENRLCFDPSTTPTPLSKEHCKELCIISSVHDMFDKVSSNERKRSGLDHLEKSIELDLRQLVFCSRKLFDSFVFK >A07p027460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15668401:15669411:-1 gene:A07p027460.1_BraROA transcript:A07p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKKEEALRRGPWLEEEDERLVKFVTLLGERRWDSLARVSGLKRSGKSCRLRWINYLNPSLKCGPMSQEEEIIIFQLHALWGNKWSKIARRLPGRTDNQIKNYWRTHLRKKVEAQNYDKIIDWRGNKGEELLHKYKETEIMWTRTKTREHGFDETVKEYKQKNMESDKETNGVICETERFGVMNSPYENRIIDWISKSDANIFEDHSSSSTDNNININVGSWWFQEILDFEEFSCSLWS >A09p023560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12886999:12890876:1 gene:A09p023560.1_BraROA transcript:A09p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKSRRDNGVFVEYLISIKELKPWPTSQVPVQCVLLKWQNGENSSGSFIAVVGKDTIMFNESFRLTLTLEPKGVDDKKFHKNLLELHVYDAKKKDKGVKNKLLGSAVVNLADYGVLTNSVPVGAPFTFKKSSRNDANSEIYLTIEPAGDDDGYRSSSSSQPKMRGSVDKEGSEFSLASLTDDDDDSSSQCSSSRRVSFSATCDANPMNAEAEMNKDEKKGWKHVTLKHSNNEAALVSEIENLLREEERKRHSSELVAVTAESDQKHKNDTIASKLKKQFSEIKSLPSPLPPDAARKQMRLRTNTLALGRKTLGMEGVPRLKQLKSIQVHFDGTGTNTINDDNPKKVSGVSNKLGLITPQESRTETLEDELKEAAALEAAVYSVVAEHSSSMSKVHAPARRLARFYLHACKGNGGSDHSKRACAARAAVSGLILVSKACGNDIPRLTFWLSNSIVLRATLSRGMEKLNLVSIKPGSDEWEDPRAFLAALEKLESWIFSRVVKSVWWQSMTPYMQSAAVKGSMSRKVSGKRRLGHKNHGIYAIELWKSAFKAACERLCPLRGSRQECGCLPMLAKLVMEQLISRLDVAMFNAILRESASEMPTDPVSDPISDINVLPIPAGKASFGAGAELKNAIGTWSRWLEDQFEQKEGKSDSINKEKPECENFRQFHLLNSLGDLMMLPFKMLADKSTRREVCPTLGPPIIKRVLRNFVPDEFNPHRIPRRLFDVLNSEGLTEEDNGCIIVFPCAASPTVYLMPSTDSIKRFIGELNNPSLSEVGSSVYKKQYTSDDELDDLDTSINSIISAPGTTSSSEWMPKGYGRKKTVRYQLLREIWKEDGLQ >A09g510820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33255481:33256893:-1 gene:A09g510820.1_BraROA transcript:A09g510820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNTECNADIQGAVHIAPWQMPKEQSMQWKRNRRALSTVGTLDYMAPEVLLKKGYGMECDWWSLGAILYEMLVGYPTFCSDDPCISCHKVINWRVCLKFPEEPKISDEARDLICRLLCDVDSRLGTRGAEEIKTHPWFNGTQWDKLYEMEAAYRPTVDGELDTQNFEKFPEVEGSVSETPQVGPWRKKLTSKDDIFIGFTFKKSDITRSMENTGTDMKPNGSGDAPSLISLL >A01p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6741147:6747370:-1 gene:A01p013820.1_BraROA transcript:A01p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNNEYEQEPTYIEEDDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAENQNRPPSTMFVRMLARASAKGGSSGQYIRCTLPYIKAEIPIIIVFRALGFVADKDILEHICYDFADNQMMELLRPSLEEAFVIQNQQVALDYIGKRGAPMGVTKEKRIKYAKDILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRPEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPSVIPQATKIFVNGMWVGVHRDPDMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDNQRLLIKKRDIYALQQRESAEEDGWHHLVAKGFIEYIDTEEEETTMISMTISDLVQARLRPDEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRASTMGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQDEAQGQSSRYTRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERMYNGHTGRPLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAANFLKERLFDQSDAYRVHVCETCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTKGLKNAKGRK >A05p038210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21753765:21756413:-1 gene:A05p038210.1_BraROA transcript:A05p038210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVAASNGGEPMSPPPPPAKKRRFGEEMNRVAEIVLVLSALGRMRGGKAPTEMELELMVEARSKLAEMCQEFTPNDIIGGDDVRAVIEDMGLNGNDQRLGFRAPKITISEKLSLGKRKMEEAKQFPTPMVSHLSRPINGVASPGPGKNVSVANKWISSDVTSVNPAGSNLRPKMILNGATSQGTANSSANYYAGSWSTQPQSTISFGTAPSKKVPIQSSARVPDPSFRPFMSQTPHGTSPGTNQPMQGVHYGQTYSFQNNHSVIAKIVYNFLHPRVKQYPVWNPPSRDYMSRAMTCQMCEVTINEVATLLICDACENAYHLKCLQANNLKGVPKSEWHCSKCVLASNGKPFPPKYGRAVTTKKVGSMDTKVNLQKPIVTTGPRVQNLPGFVSGAATTSHSVTASVNANTTASAAKTTNTGTQGFRESLVGCTNSPALVSLTKTPNPTAIGSKSVFINNGFVSKPLTPASTMSSPSQLHVGNLVTVNAISNATSSTPVTSSLVAEAPSVTKNGDGNSSASGTTDHSMLNTELTALEALSVTSSGNSHPEVSHSETTKTTEDEATGQSLNADDGLQAPLENVSSCENPSESTSQSDSLNDKTTPENGLEPGKDASEKFASEPCQNHPTETPTAVVSDQDSKMTAEPFMPEDNSACQTEDTSSQPPSVSSYSHSQTEKETPNAQDTVQNVPGDSQEGKGLDGPDDRHHEQPSDP >A05p043220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26088019:26088391:-1 gene:A05p043220.1_BraROA transcript:A05p043220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAKVEDTDMPVKMQMKALNIASQSLDLFDVSDCQPIAAHIKKEFDERYGSGWQCVVGSNFGCFFTHSKGTFIYFHLGTLNFLIFKGATL >A09p009170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4744433:4747662:-1 gene:A09p009170.1_BraROA transcript:A09p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable flavonol synthase 4 [Source:Projected from Arabidopsis thaliana (AT5G63595) UniProtKB/Swiss-Prot;Acc:F4KAS1] MEVERDQTVSSPTVPIVDLSNLDKELVARAVVKASQEWGVFQVVNHEIPKELIQSLKKVGTHFFELSSEAEKEAVATPANSKDIQGYVTNSQQDVEDKVDRVDHLFHNLSPPSSIDYRYWPKNPPEYRQVNEEYARQAKKLAEKILGWLSEGLGLDREALFNQGFGGGKKAVYTMKINYYPPCRPQANLVLGMQPHTDIHGLTILVPNEIPGLQVFKDDQWFDVEYNPSIINILMGDPIWMSNGKYKNVLHRTTLNTEKTRMSWVVLLKPPYDMVIEPLMKHTGDGDEAPKFKPITYGEHVERKVRDKLQKRS >A10p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21941201:21943661:-1 gene:A10p039640.1_BraROA transcript:A10p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 3-deoxy-D-manno-octulosonic acid transferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G03770) UniProtKB/Swiss-Prot;Acc:Q8VZA5] MNRAEQTSAPSLSGGCAMELGVLVYRLYRALTYSASPFLHLHMRWRRLRGLEHSRRWPERFGHPSAVRPPGYLVWFHAVSLGEGMAAIPVIRRCNEMKPEMTILMTTTTVSAFEVIKKQLPVGVLHQFAPLDTPVAIDRFLGYWKPNAIVIMENELWPNLIMSASQLRIPLALLNARMSTKSFKRWSSPLLLPLASLLLSKFSLIAPLSTLQGIHYQLLQAPPFVINFSGDLKYVVNKFYVSSGTSESIRDLKAELSDMKVWIASSLHRGEEEGVHNLLLQSHPDSVVIIVPRHPHHGHQIAQKLRNDGQSVALRSRNEKLTSKKTNIYVVDTLGELREFYGVAPIAVIGGSFFPELTGHNVSEAAAAGCAVITGCHVGHFSHMVKAMQQENPLSITQVSSELELKEAVELLMSNPEILEARRRASKEVYESLSSCIISNVWNLLNLHIFRGK >A05p009980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4168270:4169739:-1 gene:A05p009980.1_BraROA transcript:A05p009980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin of replication complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) UniProtKB/Swiss-Prot;Acc:Q38899] MEDIDNIEEDEFGFSRNYFLAKELGGSSKRSARKLSDISLVDEQELRETAFNIETKHEKEIAELLNDYKTMYPKWVFELRCGFGLLMYGFGSKKGLIEDFASSSLTEYSVVVINGYLPSVNLKQVLLAIAELLSDQLKSRRKSSGSLSKGQETVPSRSVDDILSFINGSQSEDKDCFVCVVVHNIDGPALRDPESQQTLAQLASCSHIRIIASIDHVNAPLLWDKKMVHKQFNWLWHHVPTFAPYKVEGVFFPLVLAQGSTAQTAKTAAIVLQSLTPNAQNVFKILADYQLSHPDEDGMPTEDLYSASRERFFVSSQVTLNSHLTEFKDHELVKTKRNSDGQECLNIPLSSDALRQLLLDLSQ >A06p053000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27992801:27995701:-1 gene:A06p053000.1_BraROA transcript:A06p053000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNRLPGSGHTTPSPPGSPRRSPRYRRSKASGRFPTVVQPNRTLAHRLSWLIVSVLLRRQGVFLFAPLIYISCMLIYMGTVSFDVGPIIRHRSPPGSVYKSPQLYAKLRPEMDADNSTADAISTIWKHSYKGVEWKPYVNKSTGALPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWRDPSKFGDIYDEEFFVSTLANDVRVVDTIPEYLMERFDYNMTNVYNFRVKAWSPIQYYRDSILPKLLEEKVIRISPFANRLSFDAPKPVQRLRCLANYEALRFSEPILTLGETLVKRMKEQSANHGAKYVSVHLRFEEDMVAFSCCVFDGGKQEKQDMIAARERGWKGKFTKPGRVIRPGAIRQNGKCPLTPLEVGLMLRGMGFNKSTYIYLASGQIYNANRTMAPLLEMFPNLQTKEMLASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLAIIFDNPNIGWRSFKRQMLNMRSHSDSKGFELKRPNDSIYTFPCPDCMSRRNKTTTPDTRPPSAS >A06p019000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9197222:9198361:1 gene:A06p019000.1_BraROA transcript:A06p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMIRTEEQEDDYMSPPWLMPMLRGSYFVPCSIHADSNKNECNLFCLDCAGNAFCSYCLVKHKDHRVVQIRRSSYHNVVRVNEMQKYIDISCVQTYIINSAKIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDQSLTFSLRGKHGREYQGGSESDEATTPTKLRKTNAFNRLMSGLSISTVRLDDYGPGGDHRSLSSGDEGGFSFSPGTPPIYNHRNSSRRKGIPHRAPF >A03g508080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28307447:28310213:1 gene:A03g508080.1_BraROA transcript:A03g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKSHSCCCVLDASTYVGFWILKKLLSRGYSVHAAIRKNGDSEIEETIREMEATEERLVVYDVDVLDYQSILVSLKTCNAVFCCLDSPEGYDLWHALAKMLSEKAAWALAMDRRLNMVSINPGLIVGPSVAQYNPRPTMSYLKGAAQMYENGVLAYVDVKFLADVHIRAYEDVSACGRYFCFNQIVNTEEEALKLVESLSPLIPMPPRYESVMQGSEVYEERLRNNKLSKLVEAGSAC >A09g512360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36171068:36179797:1 gene:A09g512360.1_BraROA transcript:A09g512360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLVRWEPIVHDDYPWIVPFWTRLIGVPLHLWTENNLREIGSRLGHVHQDTIELIEGRMLLDIDSRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKEHCPSLQRQGVFARVQTQENRLPMSSHALGKKDTNATHLNNAAVRFDSGARNYRLDLPREAYKRHDDRIIRRRDEQPGRKRYGGARQEAKPYDRYNGASWREKKPQAQTRHDRVEVREETVVRDRLEWKSPDRPDGSYGHQMRVASPLPRESAKSMQADRVASALQSQAPMLDEQRSVGVPKRIASAIVTPSRGDNSDGNVTKRFKGTPRSLAFETLTQQGPKPATEDEQVIEALNDMDITEQLDGGMMDCEMQNDDLMGLELAEMEEKSGHERADHGAEQISQKPSGRSSKHIKHGYKSSASLGGQTKKFEILLRGSPQKRSSSSLSVRVPNEEAQAISLGNICLLDGSWTSSANFSGCGWAWMDGSGNVQLMGTRNFTRRESALHSEVEALRWAMENMLQHSNCQSFGTDCKELIAMVKEPQAWPSFATELERIETLQICFPDFKIAHVPRARNQTADFLAKTARSFHRELCFIAWFNANETIPPHVQATNNVANQVLSLGNICLLDGSWTAFDRFSGCGWVWMDSREDIQLMGTRNFTRCESALHSEIEALRWAMENMLQHSPCQSFGTDCMELIAMINEPQEWPRFATELEKIETLLICFPDFKITHVPRVRNQLPDFLAKSARNFRRELLFIGCSIPVWLPRPPQA >A09g511960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35261222:35262079:1 gene:A09g511960.1_BraROA transcript:A09g511960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALTVHLREPRRSQGYIAEHPNDEEEVEGIDMHQQRYPWEESDMDYLYDEVSISLDGLRSIFESRRFEITKLAMCQDSKSISADG >A04p035230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20342275:20343801:-1 gene:A04p035230.1_BraROA transcript:A04p035230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFSDLTSSESSKPSLSHLAPLEAILFDVDGTLCDSDPIHLIAFQELLQQIGFNNGVPIDEKFFIANIAGKHNSEIALVLFPDDVAKGLKFCEDKEALFRKLVAEKVKPLDGLLKLTKWIEDRGLKRAAVTNAPKENAELIISKLGLTDFFQAVILGSECEFPKPHPGPYLKALEVLNVSKEHALVFEDSVSGIKAGVAAGMPVVGLTTGNPASVLMQARPAFLIENYADPKLLGVLEELDNKKSS >A06p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25410046:25411928:-1 gene:A06p047930.1_BraROA transcript:A06p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVEVAEETGKLRLTGVENVKENVEVLKLKTKRGNQVVAAYIKNAKASLTLLYSHGNAADLGQMFELFSELSLHLRVNLIGYDYSGYGRSSGKPSEQNTYHDIEAVYRCLEEQYGVKEQDVILYGQSVGSGPTLELASRLPNLRAVVLHSAIASGLRVMYPVKRTYWFDIYKNIDKISLVKCPVLVVHGTSDDVVNWSHGKQLFDLCKEKYEPLWIKGGNHCDLELYPQYIKHLKKFVSAVEKSPHIQSGTVPQTEKARSSTDVRESSRPSIDQREKSRTSTDQREMPKLSSTESKDIARASVDKRERTRKSVDGSEKPSNATEQQIQPEKGRKSIDRFGGMIRSVGLCNIDCFKPTATAK >A01p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16116525:16116913:-1 gene:A01p039940.1_BraROA transcript:A01p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAIFLACCILSSLLPSHFSQGEELSVTAGQIKPWCPSKKQAFSGSCSNDGAQQCVNDLLNTWYPYVRLSPISCTCTSQANNMRLCSCPNMICK >A06g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16330833:16332118:-1 gene:A06g505690.1_BraROA transcript:A06g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIPRPDFSLLFLRISGSTNRVEECMGQDPEILRGRILARLRIRRMSRFSKTRRPKLRILMLDSTGLACSSRARKGCNGLSGGSLCRFPCCVRGCDRRLYRLSSRNPEAGWTLGDLPIYLFDLKLSSSVSSFASSSYPLQSLKDGTRCVRLVNLEILGSYGTVVLLQNPEMLLGPEGHFWSPEAALDPEIAFRTRRLSEDAEVDGEPVGSSRS >A02p006890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2932952:2938004:-1 gene:A02p006890.1_BraROA transcript:A02p006890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQTPLFSANQTNVFNHRKPLIYRRDPVSESFPMWRNIAKHAITRSQARFGSKGRGFLAFSHDPVSKGSSFRFPLFGSIPDSKCHELGFSNRVGSGLSNNGSCLNGFLARGYTSVAEEVSSTDVEEDPSLADEVEELLKEMKKEKKREDHRSWRMRNQENVGMGRSKYRNLWRRQVKIETEEWERAANEYRELLADMCEQKLAPNLPYVKSLFLGWFEPLRDVISKEQELFRLGKSKAVYAHYIDQLPADMVAVITMHKLMGHLMTGGDNGCVKVVQAACSVGDAIEQEIRICTFLDKTRKKEEGDNEESGEVENGNPMREQDKLRKKVNDLIKKQKLSAVRKILQSHDYTKPWSADARAKVGSHLIELLVRTAYIQSPTNQQDNDLPDVRPAFKHTFKTVAQGSMLMFWPFLYNRKSGRKYGVIECDPLVRKGLEKTGRYAVMPYMPMLVPPLNWSGYDKGAYLFLASYIMKTHGAKQQREAIKSAPKGQLKPVFEALDTLGSTRWRVNKRVLTVVDRIWSSGGCTADLVDRSDVPLPEKPDTEDESILKKWKWEMKSAKKENSERHSLRCDIELKLSVARKMKDEEGFYYPHNVDFRGRAYPMPPHLNHLGSDLCRGVLEFAEGRPLGSPGLRWLKIHLANLYAGGVDKLSYEGRLAFTESHLDDIFDSADRPLEGSRWWLQAEDPFQCLAVCINLTEALRSPSPETVLSHIPVHQFMCVPENELPFFHFGSVQDGSCNGLQHYAALGRDTIGAEAVNLVAGEKPADVYSEIATRVLDIMRRDADKDPEIYPDALRARKLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERSAFSDDKEIFGAACYAAKVTLAAMDEMFEAARAIMHWFGECAKIIASENETVRWTTPLGLPVVQPYLQMGTKLVKTSLQTLSLQRETDKVIVRRQRTAFPPNFIHSLDGSHMMMTAVACKRVGVCFAGVHDSFWTHACDVDKLNKILREKFVELYSQPILENLLESFEKSFPHLEFPPLPERGDLDLKVVLESTYFFN >A04p041020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23131986:23133443:1 gene:A04p041020.1_BraROA transcript:A04p041020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLEFSTLKVLSDSLTFARAISGNIQSKKIIGIVKGIRAISSGFATISFYHVSKSDNFVADSLAKRLSKPFSLYNEHHDLGHRFGLISSSYALLEEIYSSGIFLIQAPCVRGSAGFDHVLAVLLHRAPLIFVKEGPKIFDSAQGLCMLWTGPGQRRSLIVSYRGSSFGCLLCEWYEPGATVMLISCDYSMVNNPLLFGITGSSAFCAYPEDYRAPIFDYNVFAKEFVWETLLKDNMTTCGEMMMIVNNLLLSAFATYAWRLSKYVANSSLISRLFGIVPRDPDSVAEARYFCQVCKYPAYNDYNLFLHNESEEHNRKKNLAEKMAQDEDCESRKRNPQMDLFYERNKKR >A01p003510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1561566:1564411:1 gene:A01p003510.1_BraROA transcript:A01p003510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKLDDLPAVALCRERCSFLDAAIQQRNLLSESHVAYTNSLRGIGHSLHLFINHHHRFVASGGANGGGGDSPRLNLPPHRKGDPDEEKSPKKAKLPSGSGSDSGHLEFDSDSDSNSDDDDEEEGHLDLDSDSLHHLSPQHHHFPIHEPYMDQQQQPGYNPYPYPNPEMMSQQQLPPPSYMHMNYMKNKSMPPSVIYEQRPSSPQRVYEGESSSSSYNPYPPNYFGYSNTGPGYYGSSSSSSGTTTKPPPPPPSPPRSNGWDFLNPFDTYYPPYTPSRDSRELREEEGIPELEEDDSHYEVVKEVHGRPKFAAAAGGGGGGGNHQPPPAAVYREEVPSPSPPPVVDKSGASTSGGGDAAMYQSRPSVSVEKKGVEYEVHVVEKKTVVEDAGNEERRSNAAAPRGDGGGGGPRAVHEVAKEIETQFVKAAESGSEIAKLLEVGKHPYGRKHVSKLLHPSLPLGGGSSAPAAATAPPTYADIEEELASRSRNLSSTLHKLHLWEKKLYHEVKAEEKLRVAHEKKLRKLKRLDERGAEATKVDTTRKLVRDMSTKIRIAIQVVDKISVTINKIRDEDLWPQLNALIQGLTRMWKAMLECHQTQCQAIREARGLGPIRASKKLGEEHLEATSLLGHELINWILGFSNWVSAQKGYVRELNKWLMKCLLYEPEETPDGIAPFSPGRIGAPPIFVICNQWSQALDRISEKEVIEAMRSFTTSVLQLWEQDRLETTAMGQGDAEKRGRNMDREEQRIHREIQALEKKMVLVAPGDSLSLSGNVVYQSDTSNDSLQGSLQRIFEAMERFTGESVRAYDDLLVRAEEETAPREVESDED >A06g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16209472:16210940:1 gene:A06g505650.1_BraROA transcript:A06g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVMSIDSKARRKPVWYMPSSTISNKETQILFSPDPASLERTIRKEARSSSIDNNTCSSLDFAQPPSTQTLVPSTDTRSPPSTDDTHLPSTDILHPISINNPEKTSIEP >A09g517970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54204544:54205632:1 gene:A09g517970.1_BraROA transcript:A09g517970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWKCGCSDLSGFEVFCCCGSKYNLFGSNMITEVTTEQWIQAQQLEQLISDIQGKHFPKVQEAFDVHWDGKKALSLYNHISHKPCLNKIASGLLLQDTTTSCKGSSNMRWEEPRSHMARCRELRWQKKGKLNVKIKKIRMFLE >A09p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13543441:13545674:-1 gene:A09p024470.1_BraROA transcript:A09p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEAFEVVTVPFNTDGWGPPDASDTSSSASSTSVAAANLLPNVPLGSFSRAEKLGRVADWTRALSNPSARPPTGSKSDPSSIFDFSAFAVDEGFGLANSSGNADEDAAFRLVDGKPPPRPRFGPKWRFNNFHNRNQLPQRRDEEVEAKKREAEKDRARRDRLYNNNRNNLHHQRREAAAFKSSVDIQPEWNMLEQIPFSSFSKLSFTVQEPEDLLLCGGLESYDRSYDRITPKAERRLERFKNRSFKVTTSDDDVIRRLAKEDKATVFATDAILAALMCAPRSVYSWDLVIQRVGNKLFFDKRDGSPLDLLSVHETSQEPLPEGKDDINSAHSLGLEAAFINQNFAQQVLVKNGKREAFDEPIPNVNEGEENASVAYRYRRWKLDDSMYLVARCELQSTVDLNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLANELKNNGNKLAKWTAQALLANADMMKIGFVSRVHPRDHFNHVILSVLGYKPKDFAGQINLNTLNMWGIVKSIVDLCMKLSEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPEESSTEAETNGAAEDKKPEGWVVTPNGFGCMVSTDVVWTFGVELLIYRRVSLVKK >A06g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18686204:18690297:-1 gene:A06g506720.1_BraROA transcript:A06g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSSESWIFVSLVIAASEAPDSTACDTSISTRFTDDLEESGDFCVFWSLLRAELHKHVRCLAMDGELTTVRLSSYFDIRYIFQLAFQCYRFKVNQHPVEDVMSVLLRSGQSALREEAVENRNCRFYYCSQKTDKSFSSVSGTYILVPETTMKREFIGPSKKEPADSRTIRKSTREESIDTLQATTIDNMNQKSIDTDHLTSIYIVHPMSIDTAHQPSIDIIHLPSDTTCLEAGKVEVLILKVNVNGMLRDEEGRTRNIARQLINVQGQDPFQGLPHQDPKNQIEELEDLMSRSEQNEGSEYHMLWKIFPYSISGDAFRWFSQLQPGSLTSWDDIERAFLYKFLDDDKWDRFLASLDEESMIPIQLLDDIMAKRDEQHGFREPSKVEEADTSDPASSSIDSSTSESIDIGTSETIDTDFYHRSIPLEIPERSCCPQDTADSTHKNIDISSCDPTSDGDRKITIDDFLELEEFLELEDGEKLEDLDSSREVTIEDFLELEEWLEDMDHNLKKKLDDDQHTSRGDLETSKANIDRQQPDEIDRQKPHIIDLHPPDIDRHRQPIIDRHHPPNIDICPLMDDPPGCTVELEHIEERMNMSKASHLDVLTHQRPPFWIEEAAGFHKRVKRIYDPMKIVVSYVVFEVESPIPPDRSMHFSSFIGGWKNHHAIRQVWGKEEEELEEEKKDQGQFSVFIDSLLLRWCQEIQSAQQMHLTSICKASSTPSC >A01p019820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9690489:9701761:-1 gene:A01p019820.1_BraROA transcript:A01p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFALIVSMILIAAVIPLFLWKRRVDARSREEDAQPPQVQARENVVRATGGGGRRMRRRPAASAGASSSSSSNVQENISGSEDEEEEEEAIGNQARASKKKEKKRQEREEQRQAEEAARESRSTKQDWYAEMRRKKDEEREAEERKLEEEEKARQAKEEEAAALEFDKWKGEFSVDAEGTTEEVQGGNQDLLSEFVEYIKIQKCVPLEDLAAEFNLRTQECINRIASLESIGRLSGVMDDRGKYIYISMEEMNAVADYIKRQGRVSISHLASKSNQFIDLEPKVQDQLTEEISGMEEISKLRNTVDMKKIRHVGLNLLLLQLPLINLLLFTTFSFAQDYGEDVEKKDTPPPGLARCNGVYMSYNSGGREKLYPRTKNATAQAWSFKATAMIVNTGIEEVKGWEMFVGYRHREIIVSATGAVSSDGDFPYDASNGTTFIGSPNTDLKTSIETAGDYTKISTNIEITGTLFGGRGTATPLPRSIKLVNDGWQCPAATSKGGTMQVCCKRNPKFKAKKKTKTKFTPRRHGDLNIIYDVTIDNDSPLGRLDHWNLTWEWMRGEFIHSLRGAYSAEKNPSECLHSKAGQFYGDLDFSQVATCQKKPIIKDLPAERKEDKLIGMLPFCCKNGTLLPALMDASKSRAIFQLQVYKVPPDQNRTAFFPPQHWKIDGIVNPQYKCGPPVRVDPTGFPDPSGLQATTYAFATWQVICNITKPKPKAARCCVSYSAFYNDSAIPCNTCACGCGDIDTDTCNANARQLLLPPDSLLVPFENRTLKAKVWAKRKHLAFPKKLPCPDNCGISLNWHVNSDYADGWSARVTVFNWGANAVEDWFAAVDLGKAGLGYENVYSFNGSRVPPKNQTIFFQGVRGMNFLIGLTNGTHPGRDPKVPGKMQSVISFKKHLGSLNIPRGDGFPKRVFFNGEECELPKFFPKKSSGKRLSGIGFLPSILLVITTFLVFKRYVEIGRVALVNYGKDHGKLVVIVDVVDQNRALVDAPDMERIQMNFKRLSLTDIVIEINRVPKKKALIEAMEKADVKNKFKIMLAKIKKAGVVRQELAKLKKEITA >A02p040740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25733575:25734056:-1 gene:A02p040740.1_BraROA transcript:A02p040740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAFPADDLVRPCEGFPPTPFARQLKDAGAAGNCKSATRISTNEVHVEARTCEMVLPFAIDGGLGFGCWLSCDSSVLWPR >A02g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16817636:16818180:1 gene:A02g505760.1_BraROA transcript:A02g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIMSMVWIMMLLAVMVIEVRSVVTIASAHHQRQNVQLVVQNVTSPHQLQRESGTVAWFRKYTSLYIKYTFTLFSFIHIRKYVKEKPPRVPFTYTYELCVSMDRYLLPGTQAVLCPIRRAPGAETTSFAQPILHLLPSDTRNRFNIFKLSIN >A09g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11207561:11208178:-1 gene:A09g503570.1_BraROA transcript:A09g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRYDKISSTHDSPIVESSNAFELLFKTLTLTFVIIKVDEVSSIQHTRIRNSLTSPSSGLVSNKRGLHSVGLVVYGSEKSKLSYFSSRCGREIMGEDSHSHSEILSPICFTNNVMMICSAG >A06p022930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11920672:11923605:-1 gene:A06p022930.1_BraROA transcript:A06p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCSDDSQAKRVRNGFNYLAHQRLAIIDPASGDQPLFNEDKSIVVTVNGEIYNHEELRKGLKNHKFHTGSDCDVIAHLYEEHGENFVDMLDGIFSFVLLDTRDNSFMVARDAVGVTSLYIGWGLDGSLWVSSEMKGLHEDCEHFEAFPPGHLYSSKSGGGFKQWYNPPWFNESVPSTPYEPLAIRSAFEDAVIKRLMTDVPFGVLLSGGLDSSLVASITARHLAGTKAAKRWGPQLHSFCVGLEGSPDLKAGKEVAEYLGTVHHEFHFTVQDGIDAIEGVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKQEFHQETCRKIKALHKYDCLRANKATSAFGLEARVPFLDKEFINTAMSLDPESKMIKPQEGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAENVNDKMMSNAAFIFPHNTPLTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDASWSNNMDPSGRAAIGVHLSAYDGSKVALPLPPHKAIDDIPMMMGQEVVIQT >A01g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15683469:15684468:1 gene:A01g505250.1_BraROA transcript:A01g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKRTQRRTFLRTYRSLCSEWRVGMSSRPSSVHAWSLRSDRAVCVLGRYVATEQCVCSRPRSVHARSLRSDRAVCVLGRCVATEHRVRAWSLRSDRAVCVLGRYVVACESSVCARSLCSDRAGCKLGRYRATEQSVRARSLCSDRAACVLGRYVATEPLCVLGRYVATEQCVHARSLRSDREACACTTELCNHFVVFLFSAVNLGVFQRFLGEQKEKSRACFSALPVAEGRD >A01p058710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33488748:33491767:-1 gene:A01p058710.1_BraROA transcript:A01p058710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTRSSIQKPTRRNLNGSGYRLTAETLRKTLRTFSKIVFSKPISSYITPVLKSRNSMAQKCAIGLITAVAASVSLSQSKVAAADGPFTFPPANPQQQAASSPPSTAGEESSAPPPPPRARNDNPRTSSGGFDPEALERGAKALKEINNSSYAKNVFESIKQQEETKQTEFAAKAQEYKAMQAQAETERQKVIYDEHKKLAQHQAQTKSQMARVVVFISFLQAENEYHRTRNQELVKMQEDSAIRQEQARRATEEQIQAQRRQTEREKAEIERETIKVKAIAEAEGRAHEARLAEDVNRRMLVDRANAEREKWVAAINTTFDHIGGGLRAILTDQNKLIVAVGGATALAAGIYTTREGAKVIWSYVDRILGQPSLIRESSRGKYPWSGSVSRVMSTLRGKGSAASNGKGFGDVILHPSLQKRIEHLANATANTKSHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWGKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRVDEVLEFPLPGEEERFKLLSLYLEKYIAQAGPRKPGLFDRLFKKEQQKIEIKGVTEELLKEAAAKTEGFSGREIAKLMASVQAAVYGSEDCVLDSVLFREVVDYKVAEHQQRRKLAGTDSK >A06p044970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24130221:24140211:1 gene:A06p044970.1_BraROA transcript:A06p044970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRTVFPACERVFVCCPALGPRSLHPVKRYKLLLLDIFPKSPDGAPNERKIVKLCEYAAKNPVHIPKIAKCLEKMCYKDLRSHQMKFIIIVTQAYNNMLFHCKAQMAYFAMSLLNVVTELLVNSKQNAPTILGCHTLTRFIYSQVDGTYTHSIEKFARKVCSLAREQGDEHQKRCLRASGLQCLSAMVWFMGEFSHIFAAVDEIVHAVLDNYEAGMIVQTNVDKEEQKNCNWGNEVIRCEGRGAGVRPKTARKDPALLTKEETEMPKVWAQICLQRMVDLAKESTTLRQILDPMFSYFTSRRQWTTPNGLAMIVLSDAIYLMEISGTQQLVLSSVVRHLDNKHVASDPELKAYIVQVAGSLAKLIRTSSYLKDISFVKDLCRHLRKSFQATSRSIGEEELNLNVMLQNSIEDCLREIAKGVGGNTQPLFDMMAVLLEELPSSGVVVSRAAVGSVLVLAHAMYSALTPSMRLQQAFPDALLDALLKAMLHPNVETRVGAHEIFSVILLPCSGKYQAALASVRSSGYVTSIAARLEKLRKEKDGVKVEKNGYNNNTHEDLKSYKSSPNFHKLNSMIDRNLADTLPSMMKFTEDQMGQLLSAFWTQSTLSDISPSNIKAIAHSFSLVLLSLRLKVDPYLFIGDDLQLHVRPQANMKDFGSLGDNQMATSMLFEMSSKVELSNTGLTDIIAKHLSKIKMLEETDAKMQLSEPFTPDDAFMFGSGPMIELEPNQSISKESSLSFDEDVHEGSVVEDEVTSELSVRFQPRGSPSSSIPQVISIDQLMESALEVAAGQVVVSYVSTSPLPYDTMTNRCETFGTGTRQKISKWLATENRQVNGLYRNSLEESCALEKVTEDNRRESWSMMSLPPNFASWGFMKGFDTNLRLRASPQLSNVFLPKKLSVTNLNFRSSSSPAMLKLFSSRVHHLTPAIRPGPRASVDSPLFKALSQLTGLNRRSSSLGHRVFFCSDSASDAEAAAEVAEAEAEVADSKSSSAIVSTNPRPEDCLTVLALPVPHRPLFPGFYMPIYVKDPKVLAALQESRRRQAPYAGAFLLKDAPSTDSSSSTETEKNINELKGKDLLERLHEVGTLAQISSIQGDQVILVGHRRLRITEMVSEEPLTVKVDHLKDKPFDMDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGDFTYPRLADFGAAICGANRHQAQEVLEELDVHKRLRLTLELMKKEMEISKIQETIAKAIEEKISGEQRRYLLNEQLKAIKKELGVETDDKSALSGKFKERIEPNKEKIPAHILQVIEEELTKLQLLEASSSEFNVTRNYLDWLTILPWGNYSDENFDVVRAQQILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLGDVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGKGHAGDPASALLELLDPEQNANFLDHYLDVTIDLSKVLFVCTANVIDMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKNARGDCGIKPEQVDVSDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVREGAVPAEPAVTTDVEEAEIVAKLDVESPEKDAAKESKEEEAETKKISVEKVMIDESNLADYVGKPVFHAEKIYEPTPVGVVMGLAWTSMGGSTLYIETTVVEEGDGKGGLNITGQLGDVMKESTQIAHTVARKILLEKEPENLFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLAMNKPVREDLAMTGEVTLTGRILPIGGVKEKTIAARRSQVKTIIFPEPNRRDFEELAENVKEGLDVHFVDDYGKIFELAFGYDKQQD >A09g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5922193:5924834:1 gene:A09g501610.1_BraROA transcript:A09g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHGLALIGFLIAVVSCKAIEECRENEPFTCGNTDQLSSKSFPKDFIFGVASAAYQVEGGRGRGLNVWDGFTHRYPEKGGSDHGNGDTTCESYTRWQKDIDIIDELNATGYRFSFAWSRIIPKGKVSRGVNKGGIEYYHKLLDGLIAKNITPFVTLYHWDLPQTLQDEYEGFLNRTVIDDFRDYADLCFKEFGGKVKNWITINQLYTVPTRGYAIGTDAPGRCSPAVDERCYGGNSSTEPYIVAHNQLLAHAAAVDVYRRKYKFQKGKIGPVMITRWFLPFDETDASRDAAERMKEFFLGWFMEPLTKGRYPDIMREIVGSRLPNFTEAEAELVAGSYDFLGLNYYTTQYAQAKPNPVTWANHTAMMDPGAKLTYNNSRGENLGPLFVKDEKNGNAYYYPKGIYYVMDYFKTKYSNPLIYITENGFSTPGEENRDKAIADSKRIDYLCSHLCFLRKVIREKGVNVKGYFAWALGDNYEFCKGFTVRFGLSYVNWTDLNDRNLKDSGKWYQSFLNGTNKNPAKQYFRRPNLSFQNQKKKLADA >A09p080190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58813787:58814899:-1 gene:A09p080190.1_BraROA transcript:A09p080190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDMESKGDASAAIIYASPASSPPPPRVVLSPCAACKILRRRCGEKCVLAPYFPPTEPAKFSIAHRVFGASNIIKLLQELPESQRIDAVKSLVYEAEARIRDPVYGSTGAIYNLQRQVSELQAELAKAQVEIVSMQFQRSNLLELINNMDQPNQDQHKMMAFESSLGNCEHDEFISSSDEESNDFGFLEDKYFNTNSLMLWCDPLLI >A03p052960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22712319:22713595:-1 gene:A03p052960.1_BraROA transcript:A03p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTFTHRFVKVNGINMHFAEKTPSFTDNGASRPPPVILFLHGFPELWYTWRHQMVALSSLGYRTIAPDLRGYGDTEAPESADAYTSLHVVGDLIGLVDAVVGDREKVFVVGHDWGAIIAWHLCLLRPDMVKALVNMSVVFEPWNLKRKPISMFKSFYGDDYYICRFQEYGEIEAEFAKVGTERVLLEMLTYRNTGPILLPKGKSYDDPVSLPSWLTEYDVKYYVSKYEKSGFTGPVNYYRNMDRVTINFCFARCRTWEMMGAFSNAQVKVPVKFIIGDQDLTYHIPGTKKYIHEGRFKSHVPLLDEVVVIKGGGHFLHEERPDEISKHIHDYFLTF >A10g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1230434:1231221:1 gene:A10g500390.1_BraROA transcript:A10g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTQRLGGLVLRFAAFCAALGAVIAMVTSRERSSFFVLSLVAKYSDLAAFKYFVIANAIVSVYSFLVLFLPKESLLWKFVVVLDLMVTMLLTSSLSAAVAVAQVGKRGNANAGWLPICGQVPRFCDQITGALIAGLVALILYVFLLIFSIHSVVDPFLLRKS >A09g514930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44519638:44521846:1 gene:A09g514930.1_BraROA transcript:A09g514930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAVVAPTSFDDLRLGRSAQFVVARLLRFWDSRNIKKQGEFMGITLLFLDQQNSVIHGFIPAARSGHYRPGLRSGSIVKISRFEVARCTNMYKITDNPFVIRFLPQTTIDEVLVNAPIINLQKFMLRKFEHLQALANTNLELPDVVGMIRSVQGSDLKDAAVMTRVVVRFVIEPNVVVYLSLWDEAAATFRGLISSGERAQSVMVVTTVNPKIFGGNLYLNSTPATKFYFDVNIPAITQFTASLGGPVGEAFPCIDTKESIKKKEHVSIRDLNKFISNSDEQTQEAEFICKARVLEVLQQNGWSFVSCTGCSRKLDQSGNSLRCNRCVNANVTGVIKYRVELSVDDGNDNATFVVFDREMLSLIKKDAATLTVEQMNGGGGEQLPQCLGELGGKEFVFQIRVTPFNFTPNHRTFTVCGISDHIEPETFNTKEASIVGGESGETSASAGASVEGEAYDPNPTGGQVKDGNRKRPRE >A02p055450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33616990:33621435:-1 gene:A02p055450.1_BraROA transcript:A02p055450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGQVDEFVMGYLKKKGFNSAAKHLQESLHNNNGSTFSSNDYHNDPELNKLIRSFSQSENDPSRYREGYSKLRSWGYNSLDLYKHELVRVMYPVFIHCYMDLVGKGHTQEARSFFNSFRKDHEMVHLRDLQKLGGVLAPSHLQEMEFARSLRQSKVNIKICQYSYDLLIQYLHRTESTLMLGIINEHINFQVYSGQPNSASDDTEAVTIVGSFHETADHVNQKEIHWGLLEDSLEDRLEKTGGLLSDSEKGQGESKAGDMDDSKKRSAEIGKQGSSIKKLKKDKAGTATAKVARQETSTVLSSMPRVKPELAMPVMSADVEESILEDLRNRVQLSSIAMPSVSFYTFVNTHNGLNSSSISHDGSLVAGGFSDSSIKVWDMAKIGQAGSCGLQDENNQTVGLNGRRNYTLLVGHSGPVYSATFSPPGDYVLSSSADTTIRLWSTKLNANLVCYKGHNHTVWDAQFSPFGHYFASCSHDRTARIWSMDRMQPLRMMAGHLSDVDCVQWHPNCNYIATGSSDKTVRLWDVQTGECVRIFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTARCITPLMGHNSCVWTLSYSGEGSLLASGSADCTVKLWDVSSSTKLTKAEEKNGNSNRLRSLRTFPTKSTPVHALRFSRRNLLFAAGAISKPSS >A09g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23560971:23566223:-1 gene:A09g508390.1_BraROA transcript:A09g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHNLWENENELRDGAEGGFRAIDRPQSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELWLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGISFGRTNPEIGHRYSILDCTVRTARTTGLELLQNSRPDDRIPRTESRLSRPVLHSKKNGRGRFQFDRMDFKLGRATSFPASLDCPDRVLALSAGHAEDSLNLDSYLQVSILLLLDRCVTSGNDRSLCHRSYHQFSILSDLSSYQPYRKSDPYFGSIKWYQSHSSEIESFIWGLVVEEKACWLRRNPAFEVVKTDFKKIKNLLSIYLEKIPLFTMFGLQRKSNKEKHPRLSVSQTSFKSSLNYCDECVSVQKKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPYLFISKKAQGESGNHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLDPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTSPAPLSSFLNSLPDMFVKISTHDVIRFGLDKMKEFCVSKSVFGNMINSFKIFEPDKFLDQQRFRTDLGISSEIILSFDQSLEQSKVFDHFEKYLELDLKQTDFCATKSFDSFVFKENSFDLNSSRHRLITDDLFASSLDLDNFLIKKMLEQNSLKTETGFCELDFCDSVLQPDLLSFENDKTWNFLRSSCENFVDLSVDDILVYNTFFEKCLESLIVVSQSELMLMCSNVDNDMHVLEMINVVAYLDKILVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHHGFTEAWNRMKSFTDEEVMNFPNRRFFSPSICEYQISKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKRILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKWLRPKHSFDFVHDDNFSNLALSLSFHNSFSPWPGFEIDKSIFGNQLTCLMLAHVLDDYPKCLDHVFGVLRIEKPFDYSFTRFDVTDRTVPNASGWELWLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGISFGRTNPEIGHRYSILNCTVRTARTTRRSNPSFQFDRMDFKLGRATSFPAILDCPDRVLALSTGHAEFLGEVISKFRSFFCRTGASHPATIECHRSYHQFSILSDLSSYQPYRKSDPYFGSISYDFPEDRRKDRRREEV >A01g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22047557:22054413:1 gene:A01g507780.1_BraROA transcript:A01g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPTACGFDISYFSLSFYILRYSRQKKTIGDFCAFGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKEESDCSMKGNSSDAQKIDELTAKVDQLLKNNQGHVFSMEQATAGHFQNQNKRQPQSNQQAVPANENSHPDELQGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQPFAETILVAEENTEQSASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLFSDEDPSTDPTKFRGNSRVKQKVQKKRIKGDPTMTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGKAAGWRTRMVAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRPPVALIYHIFLFLFTFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVIWCAYTRNIRQLAMFGHHTQPEINPNQIGSSSATVGEDHVSASATSAGHILYDDMDDIPHPDSI >A04p008680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8079535:8083152:1 gene:A04p008680.1_BraROA transcript:A04p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLKFFKNCREIQEEIKVLYTKALSTPTLKVLPKVDDLGKFIFPCSITGTTFKDALCDSEKLKVVPEKEHGDKGESRLLSDEDPCTDPTKFRGNSRVKQKVQKKRDKGNPTMTLIPLKCDENSIEYEVRCKGTSKPFSKVRVILTHELKEKGEAAVKGLLSRVLKLNIAGVENQNGREIRTTSGTQNDHVLPPSSSHHHISLQKKKKKRDNVMEKGMKSKKHEPLGRSSKGVGTKRKSFSSHYRSGVALDGRWTIWRKIVQFGVFHAEQSDDCSDQAEQPSGATRVTVPAAEIFKETTIIMGFALIILIFSPSRIHKKPDLRVGDLRFCYFVKGEGHLSRFREEPSEPYYFISVIFHVALYLCLHQVNHLVPDSRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A01p016700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8248534:8249184:1 gene:A01p016700.1_BraROA transcript:A01p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP3 [Source:Projected from Arabidopsis thaliana (AT4G24310) UniProtKB/Swiss-Prot;Acc:Q9STW3] MSSSSSLTQRNPTISEEPADSPPPPQLRRQPSISQHAVSQTLTSVANLANLLPTGTLLAFQFLIPVFTSNGSCEYSTRVLTAVLLTLLSISCFLSSFTDSVKAEDGNVYYGFATRKGMWVFDYPDPSGLGLPDLSKYRIRIVDWIHAVLSVLVFGAVALREKNAVSCFYPAPEQGTKKVLDIVPMGVGVICGLLFLVFPARRHGIGYPVTGNGGRR >A02p016810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7569325:7569519:1 gene:A02p016810.1_BraROA transcript:A02p016810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFQCPCCSCFCFMKPKQGKPKAVDSKPKEEKKKEEKKPEKKEEKKEEKKEEKKETKAEKAE >A02g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23330528:23331783:1 gene:A02g508650.1_BraROA transcript:A02g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A09p065940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52529803:52533308:1 gene:A09p065940.1_BraROA transcript:A09p065940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTEF18, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G60400) UniProtKB/Swiss-Prot;Acc:Q9M219] MFIILRFKSALISRNLTTAAKRRRVPSIYKSLAIGEAQKAVTDYLHTTRSLSYSHAEHIATNASSSIRNLILNLDFSVATFSKSIRRHLRYHPINEFEFFFESIGIDLGEVGEYLPEKKFFFSEDPRVLEAACALSGFGFPWNKLGRLYREERCVFLQSGEEIGLVLGRLSGVGFSTVAVAGVCLAFPSVLRGGVEVGCLFVKVKRLFEEFGSEDVVEENVESWYAFGRKVRVFYDLGFESEEMWELMGRNRSLFVECSEEDLMRKTDYFCRFGVGKEEAALLILRNPDVMSFDLEKPVISVKGVLKHFGLSEDEVDALSLKHPHVFGRNKMKNLPHVVRALDIHERIFDKLKNGTYHILSSYSLMEPEEDVDSEYQQGLEEIQNLRCKTHSFQKLDFLHQIGFAENGLTMKILQHIHGTAVEIQERFQILLDNGIDFSKACMLIRSSPKSLNQKPHSIQEKIRFLCEEMGDSLEYLEVYPAYLCFDLENRISPRFRFHKWLVEKGLSEKHYSIASIVATSEKAFIARLYGIHPAIPKHYFERFSYRKDRSTGFDQMFRQSIAFTPPVHGSDAPPPPPQQQQSQQQPTVVNVSESSRRQQIAAASSSPVKSHPLHNFPLSDLRWAMNHANTHRLRKPSGRSPLREATNHGKGTEEVNEASGSSSFELRPEKQKKKDVVSDSAADRSGTKSTAADGRSKIFIRIRTKNNEETAEAATTAVSAATVVADVHEADDSAEPVIDADVSIGERISDGGGGGQEGDEFGPKTWNLRPRKPPTKKRSIGGSCGGSGTVLTENKTQGTVRTEAIRSRNGVDAKIATTTERKEKKPRLSISLSKLEIDEDIYSLTGSKPSRRPKKRAKNVQKQLDVLFPGLWMGNVSSDAYKVSEHA >A01p052970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29671661:29672914:1 gene:A01p052970.1_BraROA transcript:A01p052970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSREERRSESPSGLVLTTDPKPRLRWTAELHERFVDAVTHLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDHSHGHSTNIRDPNRVSTLDLQRNVVFTTPHITGHNMNEMQTEVHRRIEEEVELERQVNQRIEAQGKYMESMLEKACETQEASLTKDYSTLFFDRTTICNNSSPLTIQWFEDQFPSSSSMDSAMHLPDINSNFSLQDSRSSITKNHTVCLG >A01p052650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29526100:29531531:-1 gene:A01p052650.1_BraROA transcript:A01p052650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNPSFTVDEALVGIGFGKFQLYVLAYAGMAWVAEAMEMMLLSFVGPAVQSLWNLSAREESLITSVVFAGMLIGAYSWGIVADKHGRRKGFIITAVVTFLAGFLSSFAPNYMSLIVLRCLVGLGLGGGPVLVSWYLEFIPAPNRGTWMIVFSAFWTVGTIFEASLAWLIMPSLGWRWLLALSSVPSSLLLVFYRWTPESPRYLILQGRKAEAQSILQKIARMNGTHLPKGVLRSEIEEKNKSLPTENTHLLKPEEESNIVVADNNGQNFILLTLLSPELIKRTLLLWVVFFGNAFAYYGVVLLTTELNNSQNSCHPTGEVLQHSSNDVNYKDVFIASFAEFPGLLISAAMVDRLGRKVTMSSTLFLCCIFLLPLLTHQPPAITTALLFGGRVCVSAAFAVVYIYAPEIYPTAVRTTGVGVASSVGRIGGVLCPLVAVGLVHGCHQTVAVLLFEVVMFVSGICVCLFPFETSGHPYSPPPRAFRSDFMATTPPSHATDLLITSEEQPVNLDIMKEQSVAANNETSASFNKSSQEPAVVVHPAKVAPLSAPYGLSGDFAGHLPSSILSPQAQGFYYRGYETPTGEWDEYSSYVNVEGLDINSPVGFNENASMVYQTGYGYNPQMPYGPYSPAATPLPSEAQLYSPQQFPFSGASPYYQQVVPPSMQYISSPTQPELTSLVAVDQQGDNMTRPSYQPHPIGPFNGNQTNLGFPEWQQGFDGGIWSDWSKPSDMHRHSSSFSPALSPQPLGSFGSYGHNIPMGSQRQRPFYGRGQGSNYGSRLNSYVGMGNQSWIGVDSTRGRGRVTDPSLGGGYNGNYDILNEQNRGPRALKPKTQVSEELDSSADSKKHNKDSPKEESNNNNNPEFVTDYSEAKLFIIKSYSEDNVHKSIKYNVWASTPNGNKKLDAAYREAKEEKEACPVFLLFSVNASSQFCGVAEMIGPVDFEKSVDYWQQDKWNGQFPVKWHIIKDVPNSQFRHIILENNDNKPVTNSRDTQEVKLEQGIEMLKIFKNYDAETSILDDFGFYEEREKIIQERKARRQPNLPSAGGGENEHKPASAALQTEFIKNMSKSFAQVVRLDEGSKASPDATTTTVAVSSGQSN >A02p057540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34580966:34588196:-1 gene:A02p057540.1_BraROA transcript:A02p057540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKNFLQKLVQLKYAYSISRLRGQCNNIDIVINDYDIPGLNGLQLKKKIKEEFGNLPVIVISANMENEIEQERLSCGAPCFVRKPIKPNDPNNMWKHALDRRINDGSIQDDTDGSIQDDTDGSEKIQEKTSSSDPCDGDSSLKKTKRVTIKWTSALENEFFMAIEHIGLHKATPKRILKFMDQKSLTRAHIASKLQKYRRNLKRDEDQRMKDRIAMHSTFSSADGYINPQHSYNYNTRLGNNNLFNVQPGYGLGQTSFMGNNNAGLHDSLNCMNRRPTYDLSQTGSKLLPMRGNLGFQNGMLPVKEEWRSIPGTSQAPRFGQYGTTSNALGMNYNPTTGTMGSNNYVGIRGDENGDLVGLGGLRVNGNGNGSFSGARVHGTGSGSLQGMSVYGNGHGSSLGGRGVHGTGNGSLERMRVPGNGHGTVKVHGTGNSNFSPDGIIVHGNSNSNDSLGGIRVHGTDSVGGIRVHGTDAGSVVGGNVHGTSNGNGSLGVNFGMNCNFNNNNMSNHGSSTPMFPSELSSFFGNKDQSQNNLIAQTGGVIPALEKPKMFSDHHDINEVFSDTNNSQFHQNQHQGNLAGVNVGMNWNFNNNDMSNHGSSTPTVPSALSSFFGNKDQSQNNLISQTGGVIPALEKPKMFSDHHDINEVFSDTNNSQFHQNQHQGNLAGVNVGMNWNFNNNDMSNHGSSTPTVPSALSSFFGNKDQSQNNLISQTGGVIPALEKPKMFSDHHDINEVFSDTNNSQFHQNQHQGNLAAVNVGMNWNSNNNNMSNHGSSTPMFPSALSSFFGNKDQSQNNLVSQTGGVIPALEKPKIFSDHHDINEVFSDTNNSQFHQNQHQGNLTGVNVEAPTAYPLEDISSWSFNDGTNNEEMLDTLVANLEMYLPTQDMNITNQGNNHEEMFNSLAANPEMHFPTQDMNITNQASLVSIAERFFLTLDCFHIVLSWTVSHLNCRCYKLQHHHIYYLVWQLINI >A08p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14609111:14621455:-1 gene:A08p021920.1_BraROA transcript:A08p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT1 [Source:Projected from Arabidopsis thaliana (AT4G21120) UniProtKB/TrEMBL;Acc:A0A178UYU0] MGSENGDDGLRRRGCSCTKDDFLPEESFKSMGNYFKALKETPSRFVDRLLTRSQDSVEIHDMKARSGHEMKKTLTWWDLMWFGVGAVIGSGIFVLTGQEARDSAGPAVVVSFVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNIILQYIVGGAAVARSWTSYFATLLNHKPDDFRIVANSLHEDYNHLDPISVGVCVIICVLAAIGTKGSSVFNYIASIIHMLVILFIVIAGFIRADFKNYSDFAPFGARGVFKSASVLFFAYIGFDAVSTMAEETKNPGRDIPIGLVGSMVLTTVCYCLMAAALCLMQPYGMIDPDAPFSVAFSAVGWDWAKYLVAFGALKGMTTVLLVGAIGQARYMTHIARAHMMPPWLAHVNAKTGTPINATVIMLTATALIAFFTKLGILADLLSVSTLFIFMLVAVALLVRRYYVTGETSSSDRTKFLVFLGLILASSAATAVYWALEKDSWIGYCVTVPIWFLSTAGMKFLVAQARAPKLWGVPLVPWLPSASIAINIFLLGSIDAKSYIRFAIWTGVLLVYYFLFGLHATYDTAKETLKEKMALQNAEEGSVAAESSGALLSSSSTLSSSRSNSIDRKSDLKSATCENVVEVLASPSSTLKDAFFALSVNGILRCKSGEDVPKDIVSKLQAGVKDAKLLLDFYYSIRDLVLVKEQFSGTDLSLGDAEAIFRSIKALSQSDGRWRYSSNNPESSSFAAAGLAYETLDGVISLAPSEIDQSLIQTLKTSILKRFDSIQKYDDGTFYFDGSEGPISTTASVIRGLTSFAASESTGLNLAGDNIVGLAKFFLGVGIPGDAKDFFNQIDALACLEDNRQCYFHAPSMQFSLVRCSTHLVSSIYCHFSDKERASEAPVFPSYGFIQLSSEFWVKVSTVLGSKAPALIVKLAAQALSSGSKGSSVINNQEIKFDADSATYFLDSFPKNFDVGKYTFVFEPPQELLDSDVGSVESQKKLDLSKDGAVSLSANHLQKLRLSYQLNTPLGHVFKPHQAFLKLKHESQVEHIFLVKTSGKKSELVLDFLGLVEKLYYLSGKYEIQLTIGDASMENSLLSNIGHIELDLPERPEKAAQPPVQPTDPYSRYGPKAEISHIFRVPEKLPAKQLSLVFLGLIVLPFIAFLIGLTRLGVNIKSFPSSVGAATSALLFHGGIGAVLLLYVLFWLKAIGSVHNSQGTFFVGNVSVVRGTQTLFDGCYLSTRGMSHSAASSGKRRIRDLLTQSDNRVCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHVSKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFIPDGSSKPGPDASHDHRMRFIRSKYEFQEFLKPSLRITSGKTSSSSYRSSSLSSNIIDSFRTTSSSQKPQLEGMVEFIGSLKVTLKKGTNLAIRDMMTSDPYVVLTLGQQTAQSTVMKSNLNPVWNEELMLSVPHDYGSVKLQVFDYDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGDMQIGKWLKSNDNALIEDSIINIADGKVKQEVQIKLQNVESGELELELEWLPLEQ >A04p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8565614:8567194:-1 gene:A04p008010.1_BraROA transcript:A04p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFFYFIVFLLSPPRILSQTIPRNISIFILAGQSNMAGRGGVYNDSTKNIIVWDGVIPPECRSNPSILHLTAKLEWEEAKEPLHADIDVNKTNGVGPGMSFANLVVNRFDKVGLVPCSVGGTKLSQWQKGEFLYEETVKRAKEAVASGGGSYQAVLWYQGESDTVDMVDASDYKRRLIKFFNDLRSDLQHPNLPIVQVALATGAGPYLDVVRKAQLETDLENVNCVDAMGLPLEPDGLHLTTSSQVRLGQMMVDAFLAIRPIPSSAKLFSGLSVLVLFPLFLL >A08p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:935751:937087:1 gene:A08p001560.1_BraROA transcript:A08p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCWSGIVQRYDDKISQDIEVLRTLNRFDAARFGVTAVTLRMQQSSNGSSVGAVCGSSGEQADAKVWVLNREVVVVSRRRHQEHVKRLEPNSSSDLCAGFRICNQTIVDLQRNLKVFQQRNFYAQETATQAICDAKEWKEAQLKVISSNPIKTTRQIHETPEVVCRSDAAWKKELNAAD >A08g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1048584:1049026:1 gene:A08g500440.1_BraROA transcript:A08g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFVCTTFLQSRVDWFSNLPRITKPETVASSLIRESETCGEIGKAKMNAFDATVIWDEQGSS >A03p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11716698:11718113:-1 gene:A03p028050.1_BraROA transcript:A03p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLSIFLTCLALILIPCLFIFRRPSVPLFLKKTIIFIKTATSQYNDEEESGTIGGKEKRGRMPKHVAIILDGNRRWANKRGLRVSEGHEAGARRLLEHVMDCFAMGINTISLFAFSTENWGRPDGEVNFLMAMFEKNFKSEMAYYDRYKVKISVIGRRTNMPESLLGLIQEVEEATKSYKEKHLIMAIDYSGRFDILQACKCLAEKTKNGLIQVEDIDERMIDKELMTSCSEYPNPDLLIRTSGEQRISNFFLWQSAYTELYFPNVLWPDFGEAEYLKALTWYQQRQRRFGRRV >A02g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12979196:12980048:-1 gene:A02g503900.1_BraROA transcript:A02g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRKTEEATMATKKLKELLESRKSSVKKCNGDTLEYQKLVKEDLRPALADIVFVWQSNKDDELVPASHRETVVNCFLQ >A01p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4123266:4154577:1 gene:A01p008470.1_BraROA transcript:A01p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAYTVHQTLTPEAASVLKQSLTLARRRGHSQVTPLHVASTLLTSTRSNLFRRACLKSHPLTSLGRQIAHPSLHCRALELCFNVSLNRLPTNPNPLDNNQGGGTLNPNPSKFLHSHLNNHHFHFPKGKNSTQDQDANPVIEVFLGKKNSKKRNTVIVGDSLSLTEGIVSKLMSRVERGEVPDDLKQTHFTKFQFSQVGLSYMKKEDIEGQVRELKRKIDSYTSWGGKGVIVCLGDLNWAVWSGPKSASSSHYSAADHLVEEIGRLVFECSNSRAKVWLLGTASYQTYMKCQMKQPPLDVQWALQAVSVPSGGLSLALHASSGNQVTEMKPFRVNEEEEEEDKLSFCGECACNYEKEAKDFILAQHKLLPPWLQPHGDANNINKKFQDELSGVRKKWNRFCQALHHKKQSSSVLQDAFVDSRASSSVAKFRRQNSCTIEFSFGSNHQEGLKKNLTDELSLDGFKISNDEGVETKITLALGHSPFPSDVENSDEEEEETEREITMRQLSEKLHENIPWQSQVLPSVVKAIEESVKRRDVWMLLSGNDVTAKRRLALTVTTSIFGSVNNMMKINLRTSKASEACEELEKALKDREKVVVLIERVDLADARFEKLLADRFEAGDLDVSQGKKRPMIFLLTREDDECVENEHVVIPMMLKCKKTSSSLVSHKRKAESDATLTMIKTKSPRIQEEEEDVACNTSNIKNEFSRQLSLGSNAIDLNLRVDAEEEEEAKPVTQISSGFQERLLDLIKNPFDFTVLSNEDITKFFMEKFEESCEKILGKRDERFRFTVDADLIEKLYKGCGFCANSLLEEWVKEVFQTGLVTVKNGGKEGISVINLCLGGIDMIDQGEKVYAVEGFMGTCLPSRIHVFFDEDEDDVACDTKQHQERVPNKVEIGSNALDLNSRVDADEEEPKTVIQISCGFEERLLGSIKNRFDFTVLSDENTSDFSWRFFPAKRSLGLETGREMQIHRPCGVDRGVLRGGGRIHGLGNICLLDGSWTDSDRYSGCGWVWMDCGENIQLMGTRNFLRCESALHSEIEALHWAMENMLQHSLCQSFGIDCKELIAMIEKPKEWPRFATELEKIETLQIYFPDFKIIHVPRARNQFPDFLAKTARTFRRVNGLLRAGIDCDPHLPLIFAGLVSRRFVTSIPEVEYSRSSPVTTASKKRDSKNMDHISSPVLRHTVTRGECSSSQGSTDALAKLRSYIPLEKMPKVLQKPFEEIPQMLHPHLLDIILHRPENGEIFSFEAKKGTFTVWANFVRPKKEDCKNWGKSKFPVQNQRKHQNCWSIAESEHISEAREIHHIDEELTSYSAQFLVDFADPARAKRRFVDTKQEHYCYPYPGIYGMEYAMAYGMPKTDDWGHTGCHTGLLPSKSDVKLHHVLNEARAFKDVHKAVHYLQSQPILGQIAVFNPEFANIGDKVYRGPTSVHSFFSAWHQVSIRFITVENGELIAHCRNSHGEEKGLFGYFKASLDVMLLELRTTGVSSFTTPSRLFKVFFCGEVQSKYEREVDEHYIEGQVGSLDYMSFLIFEGICHNTSSNVIQLEDGGIEIIGSPTNRALLKWALQSDMSFTSIKSESMFIKRSGMDSSIECLGTAVECKNTSRLGVRIHWKGDAYKILEKCTQAYDNGLIPMSKTKREAFKAVIDNMVAKELICVALAFTPIANEDVPSQKEIKNWILPKEGLVMCLNPCRPVDDDEMQALATFAEENKIEARGTEEESEELPDDSVPNDDQTWSPYVTDSSAFLAGEETKPEHWLYYYDSDLCARLGLYCYNLQKGTAYDFGFVRKLYTRYDSFSKSFITLEAVNPADCSRFTLETCVEHNYEQVTSGLSLWWETHICRGEGSEEADYEWNDGAIDAYYKGEMPKWLSDEDQQGCYVVEQSELHDEKSWWLPLLTEFAFYTKWNGTLRARDIAECRSLITQAVVVKTLGKDEKEPSDKVNAANAIFYITFECVEDPTKGRYRAVVRKTMDGQPGHMRLEISTSMSGTVSFEWPASSRAAHFFHSDELHDKKNGWLHLLTEFAFFTKWNGPLSPGEIEDCRPLITQHVVVETLDEDAEKESSDKLNAANAVFYISFECVEDPTIGRYRAVVRKTMDGKPGHMRLEHRHTVTAISDDFYNYMKLIKKTEPEIMSKLLPILRTKPDSRIQLIRRDERKLEEEYAVLQYDEDHETVWAVIAAKLFSVVKHRPKSIFTNYSAQYILDFAPRPGKTQRKHQRCRDIRKPRGVSLFRMGGEVIESDDLKIASVALQKTPVAARLHIFEPDVDVVGNEIYRGPKYFESKYEGQRDVMIYATKIVKEELVAVVNFPYKRLKELHVSLDVMLVQTPREDETDEPDKELEKPTYLLTDLYAPTRNLEAHVPRFPIHHLRELGQAWSELEEVMEDFELLRREKIRRKAKLKLFGLDVPNHPDFNKEGLASGFDVDNCSYPSRLIKSTWGDDYDIALYGRLGLHCHNFQKGTNFKFVRWEKYDVISTAYDYVYVTLDAKDPVSDSVFSFQTLLNEDSSPDCPVMWSTLACRIKCDDRVDDHWDDTAVDDFYKDAMPKWLSDEELARDNKNYYVVQESELQENDWLHLFTEIAFYSKTNNELTAPPPLEIEKVVVVTTEDTEEGHEKLKAQNAIFYVSYKYNGESSEWACDHKTVIRKTMDGKQGHMYLEVVTAE >A08g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3719300:3720312:-1 gene:A08g501400.1_BraROA transcript:A08g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWWDPGDLGVGGEFSSRVGFGLVGSKVVLILRWFGLKRDKGIRERFRNHGILGDLLAILILIKKVSQSREVSGIFVWVFGCEVSQKILFCQSLPGIVMVNFFHRCYALPWSYYIRILGSLLLGNVGVSNNGEGTRKRLKISVLHFDNFALIKTYSKTSVDGIGIEWYVSKDYTVAFYGYGLWWLATWNWCSVTISQVAAFSLELG >A01g509750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26604709:26606754:-1 gene:A01g509750.1_BraROA transcript:A01g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGSCPPASVGDLSRPPPSPDPPDPLLAPSLTPSSVSPPVSLTTLPLRAYLPDSGGSPRPSVCPTGVSAADLLASFLISPKSISQIPNSSTVQIPNLGTVQNSTLGTVRNIILPSSTSPELPASSGILGSSPPPQKEPSNLGGLSFSPQSPVTTATWADKARYSTDKTLKRMSITPPALSPEGIPRVMIPDEVFERGALQHKDFVVGCFFGRVPAFKIIQNVLNFLWGKGNKLEIHMIQSTRSMIVRIPSDYIREKVLKKRIWYVDTAMFHVAQWSDGKVADTSSLEVIPIWAHLIGVPFDLMTNEGLGWIADALGEPKEMDDWTKNLSSLSVAHVKVEADATKPFPTVLELVRQSGAMFRVEVEYPWLPPSCSHCKELGHIIKDCLKIKRQWVPVNKAKGTQDSGNTPDPVVITVHEPMSEDPQASNPNGIVEDSSRAQTPPPPSSIGDPKPVSTAMEIDPLRSPLASTLPPTTPNPTPPCPLLHPPQSPTLPSSPNPLDSPISPCLPPLNYVLALAATVMPKSSILPHTVNSCAALSVPADPPFPLPEDVFVSQAPYLITNDVVAFPPLSSSKWESPKRKKKYFTKLTTPPPPVKNSSSFNSFSPLTDSSLSHPSLPCPASSSTSRPPDQNPSNHSSDLTSSTHPTSSSVLPPVPSFGESYPVAGALLPQGVPPSYL >A01p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17049260:17057704:-1 gene:A01p035380.1_BraROA transcript:A01p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDDTKAKEDGSSSVVGDEMVMGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDEGEKEKEVGDEGEKEKEVGDEIEPRRNDEEADERAIIPSRQHETESHADSIEGPTNPIGGPTNNAQSGQAHADSVEATGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEEVKILRLSVPGSDNPAVQDDGDGSDNSESEEEDGDVGGDKKSEEEDVDVGGDKESEEEDGGDNNEPDEEDGSDNDVEDTILDISKDVQREYGDVDMDDDDAEMYAHAVEAEKKIKTKAAESVNTKKMRSRKDDDKEAVPVKKVKVDRGDNVKNPIQLRSRAAEEKTAEKRTRGAKKQKAAAEKKAVAAAKKKAAAEKEATAENEAAVEKEEAKKKAAAKKKQKKPKTKKVDIPFFSDLRNYLQTSVFIRGNLTFIFPCGPSVNRPTVYGLWLRNRKLGLESCLRSLWAVFRLDTFITISFDKERTLRGFYREVWLMSQNGRKA >A04g504680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10050218:10051609:-1 gene:A04g504680.1_BraROA transcript:A04g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLNVIDVSRVTPSDSSESLTLPLTFFDLIWYKLHPVERVIFYRLTDVTRPFFDSVIVPNLKSSLSSSLSHYLPLAGKLVWDSLDKKPTLVYSPNDGVSFTIAESKADFSLLTGNKPFPTTELYPLVPELRTSDESASAVSFQVTLFPNQGFCVGVAAHHAVLDGKTTTMFLKSWAYTCKLQQEERNQTVNASLPQDLTPIYDRTVIKDPNDIEAEVMNHWKSKLKIISDGNVKSLKILPTPEPSPDVVRFTLDLTREDIQTLRERLKRESSASSSPKELRLSTFVVTFSYAFTCLVRARGGDPKRPIGYVFSVDCRSLLDPPIPSNYFGNCLSASFNMKLTAETFMGEEGFLNAARMVSDSVEELDETVSSNIPEIFAANSSLPPGAQLISASGSSRFGVYGLDFGWGKPERVAIVSIDQGEVISMADGRDGIGGVELGFSLQKHEMENLIYLLPEGLKG >A02p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7802453:7803457:1 gene:A02p017300.1_BraROA transcript:A02p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKDGADFTNISVEEHFRVSQSNHGGQFVGPTEEISTAANALIGRSARLTEALKAASINVGHKPVETRDLAAIKEVEARATGESGGSITAVANEAVARNKKIGKEDENKIHLRDIIAEIDVKITRDRSVTSEDAEAVVQAELTHPPYNHVIPGGVAESVTAAYRLNRSPSL >A06p057460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29875685:29877183:-1 gene:A06p057460.1_BraROA transcript:A06p057460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIIGFLDIFIAFIFFLVFRCLLLYKQTHKPLPTNWPVLGMLPGLLLQVHRIYDWITELLEATGMTFCFKGPWLSGTDILLTVDPVNIHYILSSNFVNYPKGMEFKKIFEVIGDAIFNIDSGMWEDMRNSSHAIFSRQDFQRLWVSTSVSKINQGLVHILDNAVEKNILVDLQDVFQRFLFDTSTILMTGYDLKCLSLEMPKVDFGDAVDGVSDAIFYRHVKPAFLWKLQYRFGVGVEKRLRGGLAVFDELLEKIISSKKEEIKSHDPKGEVTDVLTYYMTLDTVKYKHLKTSNDKFLRDTILGFLIAGRDTTASALTWFFWLLSRNPEAMTKIRQEINKKMPKFDPADLDKLSPAKPDVLPSGHKVDENWKIVISTYALGRMKSVWGDDAEEFRPERWISASGRLKHEPSYKFLAFNAGPRTCLGKKLTFLQMKTVAVNIIQNYEIQVVEGHKTEPVPSVLLRMQYGLKVRVAKI >A03p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7171579:7176655:-1 gene:A03p017610.1_BraROA transcript:A03p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWVVKASRVLFCPNCFDKLKQIFNTRHFSTPLKVMASSADLSKKQFKKSMTKKSHSWWWDSHNCPKNSKWLSQNLEKMDDRVKHMLKLIEEDADSFAKKAQMYYQKRPELIHLVEEFYRMYRALAERYDQASGELQKIHLSGIQPQGSLEKSSPTSQEKSSHPHKEEEDSSSLSDSDSDSKSVPDHSSVNDEDGDEALIRRMADLELELQETKEKLHLQQERLNGDNNTDLLQKITVYEGELKEANVKIRMQEKEIANLKIELKSCISSEAETQLGVEQESLDLVKEETKDAGATLFCATTSGGIALSKKQFKRSTTKKSHSWWWDSHNCPKNSKWLAENLEKMDDRVKHMLKLIEEDADSFAKKAQMYYQKRPELIHLVEEFYRMYRALAERYDQASGELQKNQQSQGSLDQPSPTSSRRHKDEEESSSLTDSGSDSDSVHDHSSASDEDGDEALIRRMAELELELQETKQKLLLQQECDNGDTLLQKITVYEGELREANEQIRMQEEEIANLKVELQSCMSSGAEEKLKLAQNDADTLRNKLNAEKKEVSKLVERLAMVKTSLQDRDNEVRSLKTAVSDAEEKIFPEKAQIKGEMSKLVEERSQVGEQLRELESHVRLITEEKAGIEEKLKGESEKLSVMKDESNVLRDEIGKREEKIKEMEKHMKELHMEQVRLRRRSSELAEEVERTRVAASEVADQKREAIRQLCMSLDYYKDGYERLWNVVAGHKRGVVLAS >A04p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16556146:16558935:-1 gene:A04p027510.1_BraROA transcript:A04p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT U-BOX 12 [Source:Projected from Arabidopsis thaliana (AT2G28830) TAIR;Acc:AT2G28830] MAKTELAKILIESVKEIASISDHRPPMKTHCAHLSRRLKLLLPMLEEIRDCKNSLPEESMMKALLSLRESLLHAKDLLIYISQLSKIYLVLERDQVMVRFQKVTALLEQALSEIPYQSLEISDELQEQVELVLVQLRRSIGKGGGEKYDDELYKDLLSLYDGATDSEILRRVAEKLQLMTVTDLTEESLALLDMLSTSGGDDPGESFEKMMMLLKNIKDFVQTYNPNLEDVPLRSKPSLSKAQDEDQKNVPEDFRCPISHKLMSDPVIVSSGQTYDRECIKKWLDDGNSTCPKTQVKLTIDIVTPNYALRSLIAQWCESNGIEPPKRPNNPQPSSKASSSSSSSSFSPPSDEQLKIEALLCKLTSQRPEEQRSAAGEIRLLAKQNNHNRVVLAASGAIPLLVSLLTNSDDPRTQEHAVTSILNLSIFQENKGRIISTFGAVPGIVHVLKKGSMEARENAAATLFSLSVIDENKMTIGAAGAIPPLVNLLSEGSHRGKKDAGTALFNLCIFQGNKGKAVRAGLVPVLMRLLTEPEGRMVDEALAILAILSSHPEGKVVVGAADAVPFMVDFIRSGSPRNKENAAAVLVQLCSWDPQHLIEAEKLGVMGCLIDMAENGTDRGKRKAAQLLNRFSRFNEQQKQSGLGVEGQVSLI >A07p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13707393:13709967:1 gene:A07p023630.1_BraROA transcript:A07p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylogen-like protein 11 [Source:Projected from Arabidopsis thaliana (AT2G27130) UniProtKB/Swiss-Prot;Acc:Q9ZVC7] MLKANILTGLLLLFTLSSAQTPPAPEPVAADGPSSPTNCLVSMLNVSDCLSYVQVGSTETKPEAACCPELAGMAQSSPECVCNLLGSGASPRFGVKLDKQRAEELSSICGVKAPSPSLCSVLGFPTISPAGSEDSSSAGSEGSEKDKKNGGMPTKDYGLAFNSLMLALFTIFSLY >A07p012580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8065143:8065502:-1 gene:A07p012580.1_BraROA transcript:A07p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSALVLIMMVVIAATVTVEAKKQNAWIKCFRKCSKPCKPNDGNCYERCKIKCGGPNPPHGPGGPPPPSKNLYEMASVKVRGRKK >A01p019290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9339157:9339441:-1 gene:A01p019290.1_BraROA transcript:A01p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPKSDAPLITLNDLAKADGFVFCVPTRFGMMAAQFKVFLDKIGGLWRILQLAGKPAGIFYSTGAQRAGQEPHQHLLRFLRFGVFTCVVIDF >A07p006930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1370981:1371625:1 gene:A07p006930.1_BraROA transcript:A07p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIHRAEVYTRVSNLPRHLMWVTNTVQINLDELIRHETGAVTVLGSRRIRLRPRGRGFRPRQLAGLLRDEHVPESPYLGRKIALDINQQFAFDSSLQQQQSVFVSVNVKLIRERTLMPPLPPPDFLHTPPSWSRGASSQVFQRLSEEQRVESRDLVLKNETQCSICIDDLSKTRENIIELPQCLHVFHQDCLFEWLRRQNSCPLCRRVPYEI >A05g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19812906:19813738:1 gene:A05g507010.1_BraROA transcript:A05g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGMTYLLVVWTSWKSSDKVVWTSRKSSGLPGSRLDFYEVVWIFLSVLVFQIWKTSGTTYLLLVWKSSGSRLEVVWTS >A03p071070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31292860:31295581:1 gene:A03p071070.1_BraROA transcript:A03p071070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPASSDSEEKVMFFRDVSLGPHETRLRFRLIHFWEAQNPVKKTLIGLEMLLIDEQGTVIQGFIPPGRIKKYLPDMKRGSVYELMNFYGSKNKPMYRVADHIATVSFAWNSELSVLHDIPIPFDEDRFRMHSYEDFEANCDLKGDLYDVLGHMKLVDGQSLTERPTIDETKLATTRHIMIHVQSHEGPVMKLYLWDQAATDFCKKFKSYENTPTVLLVTAVNTKRLGGTLALSSMSPTRVFMDYDVQPTIDYIAWLSSNPEIAKQVSAEVVTKRETMTISDIFSYMTLESAKNAFFECTATIDDVVHGSAWYYIACTGCHSKATKGSNSLICTNPRCVKDTTAGVAQYRAKISVYDSSEQGFFVLLGDAGFQLTGRHASELVSSYFEANKDKGPDHEVPVPDALISIIGQTHKFCVKVTDHNFSGNTRAITVTKILPLETPSPTEDSLGDAIAATSMEAVQTGRDVCEPSKSCGDSADEESKRTFDSGEPEKVKWARCEK >A09g518950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57968920:57970161:-1 gene:A09g518950.1_BraROA transcript:A09g518950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKLRWPVSDLGSLPIGALLICLGSLLNLSKNCTPPSAGGLLRRRDLFHLHCLVSMAFSSETVKLLLYSSFDMLSPTDQLSPFREKTLLSQPSRFSPPAVPDLPDLELKYLSTARSHTLAARNSVPLQLVSRSSVHLRLTGGSPSPGRSAFPLPEPPPPPDPPDPPDFAAYYYPVKTGTLHPLVLNEYFITSGLFPFCSMCFVLKSTYSQKVKYLSNQTSIAISITSFNSPMDPSQERNKLVLTSPVTLISMVKFTQTSSCQGRERSFSISSFSKERFISPKSLFVRGDTLPIFKTGKIYEFPNRLLSCVKARLGPVDATMLILMRVEVLASVATSHAIVTNRVLFVDFEVRFESFIDWIVCGSFDFLYNILSNLYKFVSLSLYPIELYVWDLAFEFACRGLYDVAFALVTV >A01g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21175461:21177387:1 gene:A01g507290.1_BraROA transcript:A01g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSAKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFQVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYERGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLTPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDNSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A07p003590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000138.1:9191:12196:-1 gene:A07p003590.1_BraROA transcript:A07p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVPPGFRFHPTDEELVGYYVRKKVASQKIDLDVIRDIDLYKIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMTGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIIHEYRLESDENAPPQEEGWVVCRAFKKRATGQTKNTETWSSSYFYDEAVSSGVNSIIDPTEYISNQKHDIFGKGFTCKQEIEGMVDDLNYMQSYHQFIQLPQLQSPSLPVMKRPSSSMSISSMDNNSNYIISLDDEASFESVISRENKRKNKKKQVKMIGDWRELDKFVASQLMSQDNGTSDYVGHHINHEDMEMDSSLLLNERDEENRFVSELLNSNTDYDSGIAYLMNETI >A02p019000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8797088:8811319:1 gene:A02p019000.1_BraROA transcript:A02p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTRLIIADQDCESLSLVSFLLFVLITAIAVAGGSLDSDREVLIELKVYLESHNPTNGGMYTAWRTEEQDVCQWPGITCTPQRSRVIGIHLSESLIAGGLFGNFSALTQLTYLDLSRNTIEGSIPYDLSRCQKLKHLNLSHNIIEGELNLTGLSNLEVLDLSVNRIAGTIHSSFPLFCNSLVVANLSTNKLTGRIDNIFSGCRYLKYVDFSTNRFCGEIGSRLGELVDFSVSENVLSGNISASMFRGNCILRVLDLSENGFGGEFPGQVSDCQDLSVLNLWGNNFTGSIPAEIGSISSLRGLYLGNNKFSRDIPETLLKLSNLVFLDLSRNGFGGDIQKIFGRFTQVKYLVLHGNSYVGGIYSSKIFMLHNLFRLDLSFNNFSGTLPAEISQIKSLKFLILAYNNFSGGIPHEYGNMLALQVLDLSFNRLTGSIPASFGKLTSLLWLMLDSNSLSGEIPQEIGNCTSLLWFNVANNKLSGGFHPKLTNMGSNPFPTFEVNRQSNDSIIIAATGECMELTRWIPVKFPPFLFIYAILTKKNCRSLWRHILEGEGLFPGCAAGLMAHTLEIPAYLQLSGNKISGEIPASISQMKRLSMLHLGFNEFEGRLPPEIGKLPLSFLNLTHNKFSGQLPQEVGNLKCLRNLDLSWNNFSGTIPKSLNDLNEMSTFNISYNPLISGVIPVSGQLSTFEKASFLGNPLLQFPTSFDRSGNNTSSEEPDNGRKGEEEDDEDTIDMSVFYWSTSSTYVAALVGIAALMYFDCPLHRAWFRLVNLYPDLHRTKGCVMSFTARFLPTQEESVCSVCDIAVIGVDASGLLALLSVSSPKLKEEIESFEMANQGGSSRKSLSFSGQSFQGKKKPSENNEGGSSDLPRRSLTSSRSSISLSGERSGERTVKRLRLCKALTVPDSTTLHEACRRMAARRVDALLLTNSNALLCGILTDRDIATRVIAKELNLEETPVSKVMTKNPVFVLSNTIAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERSVEKGKAIAAAVEGVEKNWGTSIAGPNTFMETLRERIFKPLLSTIIPDDTKVLKVGLEETVLAVTMKMVEYESSSAMVMAENKLVGILTSKDILMRVIAQNLPPETTAVEKVMTQNPESATVDMAIVDALHIMHNGKFLHLPVLDKDGDVVAVIDVIHITHAAVTTAGSTAGINNETANSMMQNFWDAAMALSPNEDGDETTRSEDESSIKLPSEIEGTKSFSYPNTFTFKLQDKKGRMHRFMCETHSLATLITAILQRMGDDIEPDNLPQIMYEDEDNDKVILASDSDLVAAVEHAKSIDWKGLKLHLDYVEARGHRRGVSTEDMEYDKSKSWAVAYKTVAAGAALAAGLGVLVYLKRHSN >A03p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18332570:18335660:1 gene:A03p043800.1_BraROA transcript:A03p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPVAVYRSDDFLARVAFYQRFHVAAALTEALENRTPSEQRTLIGETLYPLVELLQPLFAPKITGMLLELPRPQIFRCIESPEVLKAKVNEAIDVLVDWYPQQMKLNGQEAKDIHDPLSRAAFTRRFSVAVLNEALENRTPSEQRILIGETLYPLVELVEPNFTAKITGMLLELDRTQIFKLIESPEAFKEKENIQYGTCEKCEEAKTGFETHDDCETYSLSDPRLWSGHPSTKPTQLGNSRISAKPHSEQSEHEKQTKYEIDKTKIEQRRSYSEFVYKRLQQRQFDLSLSALGRVYHFAEIFYFSLIFRFRNDVDSEEHSNSSHGVGNSRCSNEFLRFLNRKVPTVTPIKMKQEHVRSDAEGELQGWDEGMLIDPTHRTSELD >A07p022510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13144115:13146066:1 gene:A07p022510.1_BraROA transcript:A07p022510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHKQLRNWSQFDDYEFIQEETLFSLFDHSLSFDYNSSTNNPLSDIQTQTWFSFQDIINVDPISTCFLAGDHTFMASDDVQAISTFSQDVFSGSWNEITGNFNNHFEPIRNEMSSIGVPNMEMILHESNNTMKEITCYKRRERTDGVLVKSLSREEIKPYFYMPITKAAKELNVGLTLLKKKSRELGISRWPHRKLMSIQGIITNLLDHLGKTEDERIRSKLTKALKILEREKKMIEEDPNLEFGDETKSKKTQAKITNTTHVDIHASKYKPSSRAREDIPTINPTVISVDGGSLVTTCGGEKKTLDFVNTFVVADAVQDRSFVQRLRLRFRRFQL >A09g514070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42461329:42461673:1 gene:A09g514070.1_BraROA transcript:A09g514070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWLRNRRMGLESCFRSLWAVFRLEEFTTISFDKERTFRARYGLREIAFEGLTRMHGLVSYRCSEELGRYAATKLWLEPGRYVATEQDGRSVAT >A02p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1547308:1550296:1 gene:A02p003930.1_BraROA transcript:A02p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFQKMALTLTVLILACLLSLVSPDAQGDALFALRMSLRALPNQLSDWNQNQVNPCTWSQVICDDKNFVTSLTLSDMNFSGTLSSRIGILGTLKTLTLKGNGIAGGIPEEFGNLTSLTSLDLEDNELSGFIPSTLGNLKKLQFLTLSRNNLNGTIPVSLTGLPNLINLLLDSNRLSGQIPQTLFEIPKYNFTGNNLNCGVGQPHPCVSEVARSGDSSKPKTGIIAGVVAGVTVILFGILVFLFCRDRHKGYKRDVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGVLPDNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHKNLLRLIGFCTTQTERLLVYPFMQNLSLAHRLREIKAGDPVLDWETRKRIALGAARGFEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLGAIVDKNLDGDYVKEEVEMMIQVALLCTQGSPEDRPVMSEVVRMLEGEGLAERWEEWQNVEVTRRHEFERMQRRFDWGEDSMNNQDAIELSGGR >A01p010330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5037449:5038468:1 gene:A01p010330.1_BraROA transcript:A01p010330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGETTIGEVAPATPRRCSLSFWEVTTASGVVLGFLVGLLCVYLTMPQSDYSFLKLPRNLQDLQILRDNLEIYTSDYTVQVLVGYCLVYVFMQTFMIPGTVFMSLLAGALFGVIKGMALVVSTATAGASSCFFLSKLIGRPLIFSLWPDKLIFFQDQVARRKDGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGELKSLGDLYDFSSMATLFLIGVLSVTPTLISKKKV >A09g510930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33376306:33391264:-1 gene:A09g510930.1_BraROA transcript:A09g510930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKNRIKLVSRIFRTFGGTFGSARAELQYGQIDRLDVDPNEAPFRTYAGRSGTRPGASRESPELVAGATVCRDHVQLATTDNRPRPPSCSSRKDEAVATDHAAIGVRTSPHAPTEDRPPRARETHALPSPPPARRSRRARPPSVRRREAAVTAVNFPVSLRHPPPSPPVTRRRLANSANPRRTSVCRIVWGLQPTLVDRLSCSLMPPRRRTTRAHTARAVRDDVDEHEQPAVLPPAPPPVDQDVLRQMVQDADRQAAQEEVQRIAHEAARQAAQEAARVSAQEVARHMAAVQQAPQVQVQQGQQIRVQQVPPVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTLDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHSNTSLVGLSRSSSSPLASWSMITSHSGPERLTFADFIREFDRKYFPKEAMDRNKCEFEHVSQGEMSVMEYEVVFNQLRRFAGVGISEEDLIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKASEQEAVWKSSRVPEERRGPVGITEMSTLPSQSQWTVYEKPKVFCYKYPPFHCLSAVAAINFPGSRRHHPPSPPVTRRRQLGRVDPVDRLGSELTGLTFDQCVNFSRRTSVCRIVWGLQPTLVDRLSCSLVYVDKSSTGGIRELSRAVLCFWP >A08g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8658691:8659757:-1 gene:A08g505250.1_BraROA transcript:A08g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRKTVTDPDIRIKLACLAIVSSVLLSTNLKMKMLKEHAELLGDIDEFLTYPWDRLAFDMLMTSIKNRDEISLSQNTIALKGFALALQLVIVDAVPALLLSAVLSPKILNAQSMNPFWFGQTRCLIKKVENLVELISQNFEFAKDMFKGGATKKDVEKMREESKNVGKKKQTRQKETQSVGADEDKLASVVLALLEPEVKRIDANVSAGIASMKELASSSLHYKDSVLASVAGMIKEMKSEIQGSKLEFVPYIFKHIWCPKYFTLHFFILNLYYTRFSFLQ >A06p040630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21982340:21983108:-1 gene:A06p040630.1_BraROA transcript:A06p040630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVAVVVHLVACVAAPIHVGEFPWLEVVVALDHRSFVGEEETGRWWRRHSGRNCMGGGTAKPVVVDAAEVAKMVAKFGRLVEVVVAVLDVGLGSELVAYRHWQTDAVYS >A07g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20507894:20509791:1 gene:A07g507550.1_BraROA transcript:A07g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFYNNLVSIPIYLASLVLFSLPLQLSPPPCLSSGSGLLCGGSGFGVKTRSGEGSVGRSLGFFSQWMFRFSGDRGSFSSVAAGSCLREERLLQLRRRRLCSPGGEGFLNLASPVCCSSPLVRFLISLVVCGCLLREQSVKEKRWSRWRLCGLSELLASGGVSPATSRDGEGQVDAEVTRTALMGRYPYTCRASLSRGPSEVARA >A08p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9814523:9817530:-1 gene:A08p014820.1_BraROA transcript:A08p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLDLEEFLELEDGGKLEDLDSSREVTMKDFLELEEWLEDMDQNSEKKLDDDQHTSRADLETSPKASIDRHQPNDDRQPTHIIDQRPPYFIDRHSADNIYLHPHSIIDRHTPEIKKLLGFTKSEEIHDPVKFVVPCAVVEVEFPIPPDRSIQSEVDTDPIYAISNDINKPASINATTSPSIDNGLVLKQKESDVCENILMDAPPRDQISLGGKRRRNWKKRKRTKGGSQLSLISHFSDGVRKSRVHSRCFSQPFAKLRALLIAEMIDKGEDTSTDETISTSIDNTSATSIDCHFIVSIDTDINEQEPKLTSNTKVDTTACLGACDGLSSSKESFYFFPSEIRLHVLEACHKLAMDEAFFKERMKRRWISLIDSTKSQEMLVFTERSNKGSLFGFDQEIPESTTSGDD >A03p041250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17244430:17247618:1 gene:A03p041250.1_BraROA transcript:A03p041250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASFVSRHSHSHSLPPLIIHHSNLFLRQRGRNHLSHRNIWTTTPNNIPPEKMPIVAEYAKSNRSSCKACSNAIASKTLRVGLISKGPGGFDMTRWHHLDCFPTDSESIPSVDDIKGLSALEKDDQDALAKLVEQCGHPAKEVDEKVEEIEHSASDEISGEKIKETKGSSASSKVIAEYAKSSRSSCKSCSQTISAKELRLGLVTRDSRGFDMTKWHHLGCFPVELDPIDSVEDIGGFLSLQSGDQDALKELVQQCENKTLLTEETNKRKHSQVGETVEEDKVQTNTSQPTTRKPKMNTSESTSQAVAEVEISLSASDVKDKYRDASLLPKWKAFETVIFLERDDGLNDSEKIAAFDFDGCLAKTSVKIVGADAWSLMYPSIPEKLQSLHSQGYKLVIFTNESNIDRWKNKRQAAVDSKIGRLNSFIKRVEVPIQVFIACGVASSGGKDDLYRKPKAGMWQLMKKHFNSGIEIDMDKSYYVGDAAGRKGDHSDADIKFAQANGLKFYTPEEYFIS >A01p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6298859:6303415:1 gene:A01p012830.1_BraROA transcript:A01p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lysine-specific demethylase JMJ14 [Source:Projected from Arabidopsis thaliana (AT4G20400) UniProtKB/Swiss-Prot;Acc:Q8GUI6] MESSASPAAESKAMEVDSEKQSVKRDGSPGPDSTPPSPKVASPAAESLAMEVDSEKQEIKGDSSPEPDSEKQSIKGDRSPEPDSAPPSPKVSAKWNPLEACRPSVDDAPIFYPTNEDFEDPLAYIEKLRSRAELFGICRIVPPVAWKPPCPLKEKEIWEKSKFPTRIQLIDLLQNREPIQKSPKSKKRKRGRVSKVGYSRRRRGSGSDDDDSTEDAEGKFGFQTGPDFTLEEFQKYDEEFKESYFQLENGSKASENKKFKPKVKDIEGEYWRIVEQATDEVEVYYGADLETKKFGSGFPKYSPDSRKSLEEHQYSTSGWNLNNLSRLPGSVLSFERCDISGVIVPWLYVGMCFSTFCWHVEDHHLYSLNYLHTGDPKVWYGIPGSHAASFENAMKKLLPDLFEEQPDLLHQLVTQLSPSILKEQGIPVYRAVQRSGEFILTFPKAYHSGFNCGFNCAEAVNVAPVDWLVHGQDAVEGYSKQRRKSSLSHDKLLLGAAMEAIYYLWELAVTRKKTPVIARWKRVCSEDGLLTKAVKKRVEMEAERLNQLGDSYSVVKMEGDFDIKRERECFLCFYDLHMSASSCKCSPNRFATSGSKSRGSSKTQLQNNLQLGSEGLQSDKEVKLKQDGDQDVHGITTKSDEKKIAVKSQNPHSVSDVGCSEPDAATNSRVKFISVLDPTKLTNYISEVLDAGLAGPLFRVSLEECPSENFSNVSAEKCWQMVIQRLKLEIIKRCDQPASSLTALQALESIGLEMFGFLSPHVIQALEALDPKHQLEEYWNQKTAKVFGVELTKEGEKDNDDKEKGGASDPSMDRDTRLLRGLLKKATPEELAMMHGLLCGETRNTELQEELSSLVDKMEKSP >A03p017440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7044947:7045996:1 gene:A03p017440.1_BraROA transcript:A03p017440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEQSSPIMLLPHDVIVDILARLSRFDYPILSLVCKHFQSIVTSPEIFTRRSLLGRTEHCLYVFLVDEDEPVWRKRLYILCPKANGEHRLVLIRSLPDMPTYISQTATGSRIYVFSWSNKHHMITLSIDCGSHTVQPLPDVPVLMSPRMADIIKGRIYVIGYDNGWERVMVVFNTETQMWEPRMIRLDKEGNKCTDGCAVMGDKMYMRNLSKTLVYDPKESKWERDEMMNLHKWKNACVVDDVLYFYNPCDFYDREGGLRAYDQKQRRWRVVNGLEPLLPETTSSAWPHVVNYGGKLALFYLKRNEIWCDEISLETRQDGEIWGKVEWCERLVTGNFDFMKALDVVV >A04p040940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23103656:23104972:-1 gene:A04p040940.1_BraROA transcript:A04p040940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELTQTTTVKSAVTITKPSPPVHGDRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHRRVLVEQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDGYFYAVMDCFCEKTWSHTPQYKIGYCQQCPEKVQWPKEELGEPPSLYFNAGMFVFEPGLDTYEDLLRTLKITPPTPFAEQDFLNMYFEKIYKPIPLVYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEANMEREDIKMLVNKWWDIYNDDSLDYKKSVGDLVEESDVVNLKPFISALTEAGPVKYVTAPSAA >A02p011660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5114583:5117825:1 gene:A02p011660.1_BraROA transcript:A02p011660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVFLLLSLTALLIFSAVSPSLSADVDDEEDLSFLEDLTEEVKAPSKPLTDDFDGGDDDEEEDGEHFSDLASPDSGPFPAPEVDEKDVVVVKERNFTDVIENNEYVMVEFYAPWCGHCQSLAPEYAAAATELKGDGVVLAKIDATVENELAHQYSVQGFPTILFFVDGEHKLYTGGRTKETIVTWVKKKIGPSVYNLTTLDDAEKVLTSGNKVVLGYLNSLVGVEHDQLAAASKAEDDVNFYQTVNPDVAKMFHIDPESKRPALVLVKREEEKISHFDGEFIKSGLVSFVSANKLPLVTVFTPESSQEIFESAIKKQLLLFATEKGSEKVLQEFEEAAKLFKGKLIFVSVDVDNEDYGKPVAEYFGVSSSNAPKLVAFIGNEDPQKYYFEGEIKSDKIKTFGEEFLNDKLKPFYKSDPIPEKNDGDVKIVVGDNFDEIVLDESKDVLLEVYAPWCGHCQALEPMYNKLAKHLRSIDSIVIAKMDGTTNEHPKAKAEGFPTILFFPAGNKTSEPITVDADRTVVAFYKFLRKHATIPFKLEKPAASTESPKAAESTPKVETTETKGKLESTTTKSTESDSKDEL >A02g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24160677:24161029:1 gene:A02g509050.1_BraROA transcript:A02g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAIRRVTCEALYGDSNTLVPGIRKRAAHKTETITTVCSWPDRSLQRLLRRTINRAKSVACLGKRLFRAVLASEEEGSP >A03p012390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4927543:4929440:-1 gene:A03p012390.1_BraROA transcript:A03p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDIQRIAKIESVPGEHFCPVCQLLINPNEALQSMCGHLYCKPCLAYVASTTMACPYDGYLVTETDAMPLVESNKVLADTIGKTVVYCLYQNSGCTWLGSLSASSLHDLRCAFGNSLVMCTRCGVKTSHLQWQEHVEVCPEMQVQMHAYNEANVVMGATSHESAQDHGVAAATLTQDQWYQQPYQQYYQNGDDGYSMHQQRLHLPSLDPQHQVLYVQQEIHYGAQTHSPMPAQPQLQSQSYPSVPSHPQHPSLTFPASQGLINVQAQSHFQQVPDVHPPQPLPTMHKEQSSLPSQLTRAQYWRQMVRKYGRAPAPESSSGLYSSQQPLPKPSLPQQHTTAPTTDVVHNQVHQGGHFHNQHLAVNSHLCPIGQHAILEQDGRRHLNTIFQEYLNTVHLRSEDVERSGDYFSGLSDRDFDQISPIRAREEHEAYFEFRPDSRFSQPSIDLGDRQFRGGPSILNGLRLPDIVDFRSKYTSQGFSGDGGSFPGHVGSSSLNSERNATGTVEGLDLHSQTRGREHQHKSMDRDVVIKQEARET >A07p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6751049:6751397:1 gene:A07p010780.1_BraROA transcript:A07p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWRCPYEAQFFGDHYEFCCKVADVNGRNVTVADLDGDYLSASKEVLDSDNARAESCAEKDAAMV >A06p007740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2675807:2676499:-1 gene:A06p007740.1_BraROA transcript:A06p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g09950 [Source:Projected from Arabidopsis thaliana (AT1G09950) UniProtKB/TrEMBL;Acc:O04515] MPVTSSSQSFSSFVNGWLIRHRYFVEQLTCASSLDETNQEQQQSLVTQFLSHCLQYYQEKSSAVSLAGDNVFTFFCPPWFTSYARLILWVGDFKPSLVFKLTDSSVGDLTRHQRDRISSLRSETRRRERDVMRDFALVQQSVADPPVMLAARRVGARGIVDGEESDLEEAMEVLKRGMARAMNSADELRCSTVGKVVEILTPSQAVKVLRTIGELHLRLRELVGLERHHQ >A05g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10132793:10133253:-1 gene:A05g503450.1_BraROA transcript:A05g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSVLVIVSYSKLGLCGGVEPNPARSTPVRGLVLACWRGWVGKASSSWWLGELLSLIVERLYIQGAWTEQRFSLSSFEVSGVWSYRLAGEAAAISVVWVQKWRISGGGL >A09p004280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2456633:2459542:1 gene:A09p004280.1_BraROA transcript:A09p004280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRIAERPHGYKDPYKQQQQQGCRKGPWTPEEDKLLGEYVSSNGEGRWSTVAKSAGLNRSSKSCRLRWVNYLRPGLKRGQITPQEEGIILELHSLWGNKWSTIARYLPGRTDNEIKNYWRTHYKKKEKSFSKQEKLRRSRKQFDLKPQPQLQNQQSKLVSEDHINLDNKQNIATYFSYPTSVYNDKFHMPQNVAATSSDHSMIDEGNFWCSLWSLEDDDPHGGSEQRTTTSIAEKFNGGGNEAPSCGSWDYSYNEFYNGGYIF >A04p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13085729:13086490:-1 gene:A04p021650.1_BraROA transcript:A04p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDVEYRCFVGGLAWATDERSLETAFSKFGELVDSKIINDRETGRSRGFGFVTFKDEQSMKDAIEGMNGQDLDGRSITVNEAQSRGSGGGGGGRGGGGGYRGGGGGYGGGRREGGYSGGGGGGYSRGGGGGGYGGGGRREGGGYGGGDGGGYGGGGGGGG >A06p000220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:526303:528115:1 gene:A06p000220.1_BraROA transcript:A06p000220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKITGAVVAAAATMVMLSYCFFRFSSDKLDSCSSSSSSKKKKTKKEKLSTRNGLVDAIGNTPLIRINSLSDATGSEILGKCEFLNPGGSVKDRVAVKIIEEALESGKLFPGGIVTEGSAGSTAISLATVAPAYGCQCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHKDHFVNIARRRADEANDLASSKTRLASRINVAHQEKTNGCTAEEQKVPSLFSESVTGGFFADQFENLANYRAHYEGTGPEIWQQTHGNIDAFVAAAGTGGTLAGVSRFLQEKNEKVKCFLIDPPGSGLFNKVTRGVMYTREEAEGRRLKNPFDTITEGIGINRLTQNFLMAKIDGGFRGTDKEAVEMSRFLLKKDGLFVGSSSAMNCVGAVRVAQALGPGHTIVTILCDSGMRHLSKFHDPHYLALYGLTPTAVGLEFLGIK >A07p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2813455:2813807:-1 gene:A07p004530.1_BraROA transcript:A07p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDIVNGGPDETKLLIDLLVDAFHGNWCDDNGLINKKKNQSSLDLQRFNSGFGWNHDTKRFTAPDEVWDELLPYLFLWRVESLET >A01p015870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7792986:7795091:1 gene:A01p015870.1_BraROA transcript:A01p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystine lyase CORI3 [Source:Projected from Arabidopsis thaliana (AT4G23600) UniProtKB/Swiss-Prot;Acc:Q9SUR6] METTPMCTEWQFSGSEAAKEAAAAALGAYTSKLMSLCDPNGKPILPPSNKAVETSNSAEKAVVKVILSGTGNAYAPSIGLPLAKSAVAEYLNRDLPKKLTADDVFMTVGCKRAIDLAVDILAKPKANVLLPRPGFPWDVVRSIYKKLEVRYYDFIPEQNFEIDFESVKKVTDKNTFAIFIINPHNPNGNTYSEAHLKQLAELAKELSIMVVSDEVFRWTVFGNNPFVPMGKFSSIVPVITLGSLSKGWNVPGWRTGWLALHDLDGVFRNTKILQAANQFLQINAKPPTVIQAAMPDILERTPKHFFHERGSFLKHKVDIGYSKVKHIPGLTCYMKPEACTFLWTKLDISCFADIEDDQDFCSKLAMEENLVVLPGVAFRQKNWLRHSIDMETPTVEDAFERLKSFCERHSVERGASLKNVNGVNK >A06g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29521143:29522393:1 gene:A06g509850.1_BraROA transcript:A06g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFTSTSSSSPIEEQRNRFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSLFGGGGGHPFEGSNSRGRRQRRGEDVVHPLKVSLEDLISGQRRSSRFLGRHCARSKGFDVWSFDDLRWMPGIWNEGFRRTMKTLPTLKAVPEVGLALKRKAMTSMKRSD >A02p006050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2570162:2572991:1 gene:A02p006050.1_BraROA transcript:A02p006050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSIILSLGFVFLSIILIHYVSVSKQNETKDNNSSLSGSMGWPFIGETISFFKPHRSDSIGTFLQQRVSRYGKVFMSNLCGGKAIVSCDQELNMFILQNEGKLFTSDYPKAMHDILGEYSLLLATGEIHRKLKNVIISFINLTKSKPEFLLCAENLSISMLESWKNCREIEFHKEVKMFTMSVMVNQLLSIKPEDPARRYVLQDFLTYMKGFVSLPVPLPGTAYTNAIKARKRLSTRVMGMIKQREHEEQEMKKGLREEDFLDVIMSNEDLNYEEKVSIVLDILLGGFETSATLLSLVVYFLAKSPNDLQKLKEEHESIRAKKGDEELLNWEDYQKMEFTQRVMSEALRCGNIVKTVHRKATHDIKFKEYVIPKGWKVFPIFTAVHLDPSLHENPYEFNPMRWTDKGKMNKKTTAFGGGVRVCPGGELGKLQIAFFLHHLVLSYRWKIKSDEMPIAHPYVEFKRGMLLEIDPTT >A09p055840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47694906:47695422:1 gene:A09p055840.1_BraROA transcript:A09p055840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSTAKSQFSTGNLQSPVYFKGYGGNDRNRDLEGDTRNRWWPRKKRLFGGHGGGGGELGGGVRYEGGHYQPKCEGAFAAAIVFCCDNLRILSTISP >A07p009510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5875275:5876799:-1 gene:A07p009510.1_BraROA transcript:A07p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQSSVSHLFPVTKYLGLLATGMTADSRSLVTQARNEAAEFRFQYGYEMPADILAKWYTLPLPLCVTQNLLTMDVCADRIADKSQVYTQHAYMRPLGVVAMVLGMDEERGPLLYKCDPAGHFYGHKATSAGMKEQEAINFLEKKMKENPAFTYDETVQTAISALQSVLQEDFKATEIEVGVVRADNPIFRSLETEEIEEHLTAISERD >A10p035200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20279528:20283776:1 gene:A10p035200.1_BraROA transcript:A10p035200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNRARNFRRRGDDDGDENDVKDPTAAPKPSPAPSSSKPKKLPASEPKKKLLSFADDEEEEDAPPRVTVKPKNARDRSKSSSRLSGSGSAHRLNSSTVEHRSSSTSTSSAVAPPSNVLPQAGAYTKEALLELQRNTRTLPYSRPSAASSEPKVVLKGLIKPQQQQDKQSLTDVVRQVSDLDFDEEGEADDAFFEQAVIEARAKREKARQPRSTPAPDFISLDCSTTSRSAAEVVSDEDADFQGGFVVSQKGNGKAVFTANESMTDSVYEDEDEEEKLWEEEQFKKGIGKRMDEGSNRIASSNGMALHPQQKPLPQQPPQMYAYHANVPPTIGPATSVDALPMSQQAELAKKALQDNVKKLKESHAKTVSSLTKTDENLTASLMSITDLESSLSAAGDKYVFMQKLRDFISVICDFMQEKGSFIEEIEDQMKDLNEKHASAIQERRIADNNDEMVELGASVKAAVAVLNKQGSSTSVIAAATSAALAAAASIRQQTQPVKLDELGRDENMQKRREAERRAAARQKRRARFENKRASAMEIDGSSLKIEGESSTDESDSETSAYKEIRDKILLCADKVFSDASEEFSQLSMVKARFEKWKRDYSSTYRDAYMSLTVPSIFSPYVRLELLKWDPLHQDVDFFDMEWHGLLFDYGKPEDGDDFAPDDTDANLVPELVEKVAIPKLHHQIVRCWDILSTRETKNAVAATTLVTNYVPASSEALAELFSAIRSRLVEAIAAIAVPTWDPLILKAVPNAPQVAAYRFGTSVRLMKNICMWKDILALPVLENLALSELLFGKVLPHVRSIASNIHDAVTRTEKIVASLSGVWTGQSVTRTHSRPLQPLVDCILTLRKILEKRLASGLDDTETTGLARRLKRILVEVHEHDHAREIVRTFNLKEAV >A01g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24050878:24054291:1 gene:A01g508850.1_BraROA transcript:A01g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNEIFYDNKFFVRWIHCWETRNFQKPNLFMGIELLFIDSKSFSPSKSVHAGIHPYHQQWPPTGAPPPPSAVSSAPPPHPPPVHHHHPPPPGLADRPPYDELRTIFIAGLPDDVKERELLNLLRWLPGYEASQVNFKGEKPMGFALFSTAQFALAARDALQHLVFDAESKSVLHAEMAKKNLFVKRGIVGDSNAYDQSKRLRTGGGDCTHSVYSPSPFHPPPPQVWGPPHGYLSPAAPPYDPYGGYHAPPVPMPPSAPIAAPSSYVPVQNVKDNPPCNTLFIGNLGENINEEELRSLLSAQPGFKQMKILRQERHTVCFIEFEDVNSATNVHHNLQGAVIPSSGSNPYGKRKEGGGHSFFPSPSANGAQGALTYQ >A04p034990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20236729:20239328:1 gene:A04p034990.1_BraROA transcript:A04p034990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKLMAKRQLLMLGIRASFHTLAAVLVAGVIFTAVFLSRNGMRKETPQSNGVSNVEEGEGSDRECNIFEGKWVFDNESKPIYKEEECKFMSDQLACGKFGRKDSSYQYWRWQPHKCDLPRFNGTKLLERLRNKRMVYVGDSLNRGQWVSMVCMTSSVITNPRMMYFHSNGSNLITFKSLEYNATIDFYWAPLMVESNSDDPTNHRLPERIVRIQSIEKHARHWTESDIIVLNSYLWWLRPHMKTLWGSFEKLNGIYKEVEMVRVYEMALQTMSQWLEVHVNSNLTKLFFMSMSPTHERAEEWGGKTKQNCYGETSLIEKKGYHGKGSDPKMMRVVENVLDELKRRGLNIQMINITQLSEYRKDGHPSIYRKQWEPLKESQVLDPSSYSDCIHWCLPGVPDVWNQLLYAYIVEDHHST >A09g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:800799:802860:-1 gene:A09g500250.1_BraROA transcript:A09g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANHNGYHTLWTDDLICAFEFHQGRRKTTKFDTRSALHRGDALYTLTKKQECKGEEEEHSRSYWRAIGWDRLSELVRTVKVDGEWSVQNVDVDHEDDDTTVAELAAPYWDRPLAGPTWWCHVDASHQGVSLWLRYAQWLHPAVSVALRDESKLISERMKHIFYEVPVRVAGGLLFELLGQSAGDPFIEEDDIPIVLRSWQSQNFVVTALHVKGFASNISVLGITEVQEMLIAGGACIPRTIHELIAHLACRLARWDDRLFRKYIFGAADEVELMFMNKRMYEDLNLFTIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQQLKGNTAKDLLEGIRKSTRDMINEQEAVRGRLFTIQDVMQNTVRAWLQDRSLTVTHNLGIFGGVGLLLTIVTGLFGINVDGIPGAADSPQAFALFSAILFFSGFVLVVGALLYLGLKEPEAEENVEIRKQELDEMVKKFQREAESHAQVFQKVPQNIERTGSSTSSRMLVHDPNGYVLME >A01p043510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24849527:24858823:1 gene:A01p043510.1_BraROA transcript:A01p043510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKMQLKNLEIEPHNTEIKIFKKNVELIQRALSSSKLEKWSEAVRDFEIVHQALPYDKVIAKYLSQAQVALKQSRSEVVLNMESGGDLKEISSLEELKAALARPDNTEDNKNHDLDQEKLRSRNHFGWLRRIFSTSKPTSSPAPIPDPKKINSSGERMFNTWRYREALELYDRAIELSPKNVTYLSNRAAALSRWEEAVKLDPQSAKARHGLGMSLLRLGHIDEAMKLVEEASYNKYDLERVKRVNKNLNNFIFARRCGEWNNVLREISAPLIPGSLLPYAWPELAMCRGEALVKLSRVGEAHETALNAAALKVEPLPASFSQPQTRFFGMLCRAYAYFVKSQIHFALMAAENAAKALEIEPHNTEIKIFKKNVELVQRALSSSKLEKWAEAVRDYEILHQAMPYDKVIAKSLSQAQVALKQSRSGVGLNMESGGDVKEISSLEDYSVERKMFIKVKLPWNVMIPAEAMDPNGLMIQRAVLIRLLDAFASKKATKDLGYFIALKNLEEIGEGRIRETTGEIVFPVVFSGITFKMFKGEIVHGVVRQVHKSGVFLRCGPCENVYLSQYKMPGYDYIVEGNPLFMNQNMSRIQIGSTVRFIVLDIQWKEAEKEFIALASLEGNNLGPF >A01p011330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5518247:5518549:1 gene:A01p011330.1_BraROA transcript:A01p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITRKLLGSLIFPDWTDTVVSLQQPGRSRLNSVLPKMMFQTVIYSIWREQNSRRHGGIWITTAKISRNINKQVRNSISSLCYDCDHPSNELMRRWFEIN >A02g510440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27583054:27587828:1 gene:A02g510440.1_BraROA transcript:A02g510440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSLAPVPTSPAEVPACVAGHLSFREKLVVAPCHGTGFAALSPQVLPAGSSTTPILIEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKNGDGETSQRGGSSLASGLRRKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLEKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLERIRCRDFALATIEGGMAVVRSLQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCPEDPEGKDPMVRENGSDVAPGSDEAAGEEGAPVSRVLPRDVNSAGALKRPSRTIARSLRSDRAWLSSVATDRAGRSLGRYVATRASGRYVATERDDARSLRSDRAARARSLRSDRAGRSLGRYVATELWLALGRYVATERDELGRYVATERAKQMYEWKKKWEANKVDKEETKETSSTNSNNRRNDRKGKDIYKHNLDAQSIATLGDRMAEENEGELVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVGINEIVESVIGSKEEGTYGRLGRRSRSAVPSSAPPPYVDPKVLTAQLNDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMRRMYPN >A01p003860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1684309:1686315:-1 gene:A01p003860.1_BraROA transcript:A01p003860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 1 [Source:Projected from Arabidopsis thaliana (AT4G34940) UniProtKB/TrEMBL;Acc:Q9SW41] MADIVKQILVRPIQLADQITKAADEAYSFRQECLEVKAKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLFKAIALVEKCRAAGLMKRLFTIIPAAAFRKITMQLENSLGDVSWLLRVSASGDDRDDEYLGLPPIAANEPILCLIWEQVANLFTGSLDERSDSAASLVSLARDNDRYGRLIIEEGGVPPLLKLAKEGKLEGQENAARAIGLLGRDPESVEQIVNAGVCQVFAKILKEGHMKVQTIVAWAVSELASNHPKCQDHFAQNNIIRFLVSHLAFETVQEHSKYAIVSNKATLSSIHTVVMASNTNPGDKKAGNEQDEAQSNISHPMSNQTPNQMHNIITNTLAMKGSGPGSGPGSGPGSGSGSGSGNTNKNQTKHNSQHHTKGGPTPRGNNPTHVSLMGTSIKGREFEDPATKAQMKAMAARALWQLSRGNLQICRSITESRALLCFAVLLEKGDDEVKSYSALAMMEITDVAEQYSELRRSAFKPTSPAAKAVVEQLLKVIENEIPDLLIPCIKSIGCLSRTFRATETRIIAPLVKLLDEREAEVSMEAAVALIKFSCTDNFLRDNHSKAIIAAGGAKHLIQLVYFGEQMVQVPSLVLLCYIALSVPDSETLAQEEVLVVLEWSTKQSHLVEAPMIDEILPEAKSRLELYQSRGSRGFH >A07g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5684354:5689944:-1 gene:A07g502760.1_BraROA transcript:A07g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANHREESAPFNVHDATSILEFSSSQMFSMFFRDLLGTTEIERNALVIEDFSLGVYEYSIRTYSPLFFYERKRLNRYFEGLCTFLLLMFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDRFSTFGEFRSVCKIWINNYGTIYRDRKNRLKLSSLDYPPSFNGNSDRNKRRFDRDLKENTKLEVRPSRSNRMSRYVATDSLTGRYVASGSKPRRVLLVFVVKSQRKLRLKRNKKGRYVATDSLTGRYVANGSKPRGVLFVFVVKSQRKLRLRRNKKRCYVATDSLTGRYIASGSKPRRVLLVFVVKSQRKLRLRRNEKRFEEDSKENAKEEPFEDLQQAEKSPTCFRRKISTETLIETKRKARYVATDSLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFDENSKENPKEDLSEALQVATSLRSEWKQAEKSPTCFRRCYVATDSLTGRYVASGSKPRRILLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQVATLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFNEDSKENPKEDLYEALQVATSLRSEWKQAEKSPTCFSSRYVASGSSREEPTCFRPWKQAEKSPTCFVVKISTETPIETKRKRPSSVCVLGRYVATEQRVRARSLRSDRAMCVLGRYVATEQCACSRPSSVRARSLRSDRAVCVLGRYVATEQRVRAWSLRSDRAVKRNRGHVLVLFLWRKIATKFSILLNIAAFAHCSDQAFCGHEGPGSLREPTTKKTVDLFSSPRKSVAIITRDHKSFGRKGCSELPSSSSLKAQTYLSRSSITKDEKDK >A03p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11100762:11104367:-1 gene:A03p026540.1_BraROA transcript:A03p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLIGEEAVESSNLQFKGRVDDVPCTGSSAEMKNVVDDINKPPVEESVGASSKICVEELTLVNNRIVNVDSSSSSSSSRAGKFEHVYNNNLAQGSPPAFRLGDGDVDSQMLSRIRQQLAGSSSERLNYINRRSHQNLEAFSQRLRAAGDNNINMNAPALSSSSFLSAMKGGNQETPPHFVSDQDCQENKLDNSKSHIPGNSSHKGHGDGISLREFLRSSYGKRERRHGLSLFRQLVELVDSAHSQGLFLMDLRPSLFALVPSKKLRYTGTFGKNNGDEEVNRKRPGTQESSVMGRDLKKRKMDLEAPSTGRPFERISPVIDLNVVDAYNPDSCELQQQNYRKSLGVASMTTRKQSMSTWLEEQWYTCPEEINGEDSGEKSNIYALGVLLFELLCHCESSEMHAAMMADLRHRILPPAFLSKYPEEAGFCLWLLHPEPSSRPTAREILNSELISKNDSAISTAADEEMSELLLHFLSSLEKQKKKNATKLLQDIQTLEDDIKEAEQRYSSNASLVRSHGAIETRLQSSPLDERCTTSSGALFAPAANTDRLMMSNLRQLEDAYFFMRSQMKLSNSAARSDKSLRWSENQNENQETKTKEKSSDQVEVFFEGLCKFARYSKFKTCGTVRSGDLLNSTASVVCSLSFDAEEEHIAAAGISKKIKIFDFNAFMNESVGVQYPLVEMVSKSKLSCVCWNNYIKNYLASTDYDGVVQIWDAGTGQGFSQYTEHQKRAWSVDFSPSDPTKFVSGSDDCSVKLWSVNEKRSLGTIWSPANVCCVQFSSYSNHLVAFGSADYKVYCYDLRYVRTPWCTLSGHEKTVSYVKFMDSETIVSASTDNSLKLWNLNKTGLSPGACPLTYKGHTNQKNFVGLSVLDGYIACGSETNEVYSYYKSLPMPMTSYKFGAVDPISGNECFDDNAQFVSSVCWRKKSNMLVAANSTGNMKLLKLV >A09g511830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34995843:34996692:1 gene:A09g511830.1_BraROA transcript:A09g511830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITVNWSCDMEQGHEDTMMGSHPGGHVTACSVRCSIFEYLMTGDLTLGWEGTSLASVRVSYDISPCLDELTIGYCFVGLKSLELYPIGALVFFGCWSKAIGSILRTSDRQSRNIDHVISGHLRSGVSQSWPFLCS >A03g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4691190:4691694:-1 gene:A03g501560.1_BraROA transcript:A03g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCMRGYGQSVDRLDRSLVWSINRLRAVTPSTLSEVLFGLLVRPTSRSDYRTGAIGLLGFYRFYRFYLYRAFGLLSFMLFPISDFRFMSYFIFRMLSMLSF >A09p026200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14773135:14776834:1 gene:A09p026200.1_BraROA transcript:A09p026200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCNWLYDVFPSFSGEDVRINFLSHFLKELDRKLIKAFKDNEIERSHSIAPALVTAIRTSRIAVVVFSPKYASSSWCLDELVEIVRCMEELGQLVLPIFYGLDPSHVRKQSGKFGEGFAKTCKMKTKAVKIRWQQALTVVANLLGYHSQNFNNEAKMIEVIVNDLLGKLNFTPSKDFEEYVGIEDHIAEMSLLLDMESEEVRMVGIWGPSGIGKTTIARALFSRLSRRFQCSVFIDRKFISKIMEGYRGANPDDYNMKLSTRHIQIDHLGAVENRLKNQKVLISIDDLDDQVVLDVLAGQAHWFGSGSRIIVVTKDRHFLRAHGIDHIYEVCLPSEERALEILCRSAFKQNSPREGFEKLAVEVTRHAGSLPLGLTVLGSTLRGRDKAYWMDILPTLQNGVGEKIEKTLRISYDGLDREEDKVIYRHIACLFNGEKIPDIKLLLEDRNLGVIVGIENLVDKSLIHVRSDTVEMHSLLQEIGRKIVRAQSIDEPGNREFLVDPDDIFDVLSENSGTKKVLGVALDMDKIHDELHVHENAFKGMSNLRFLKFYTIGKEARLRLNESFDYLPSKLRLLCWDKYPMRCLPSKFCPQNLVILEMKNSNLENLWEGVSPLGHLKKMDLWGSKNLKEIPDLSKATSLEKLDLKGCSSLVELPSSISKLNKLTELNMPACTNLETLPTGMNLESLNRLNLKGCTRLRIFPNISRNISELILDETSITEFPSNLYLENLNLFSMEGIKSEKLWERAQPLTPLMTMLSPSLRILSLSDIPSLVELPSSFQNLHKLTNLSITRCKNLEILPTGINLPSLIRLILSGCSRLRSFPDISRNVLDLNLSQTGVEEVPLWVEDFSRLKYLFMESCPKLKYVYISTLRHLEMVDFSNCGALTGAGMIGYQSGEAMRPDDIETEVLVPEEASSSLQDNFVPRVKFRLINCFDLNLEALLQQQSVFEQLILSCEEVPSYFPHKATGASTSLTVPLLQTSLSRQIFRLRACAVVVFDPIPTLGSVCVYIKVSCRFKDEHGNYFDSAYHQENITAYKEGGHMFIFDYCFPLNEDNAHLTKLSCDHVDIVSFPFECSLQTDRMGYTTDRGFFVTGEPTI >A03p059020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25651716:25652197:1 gene:A03p059020.1_BraROA transcript:A03p059020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNPQPVCGQEALLLLNCVTESPYDSEKCIRFLQSLRECVLSKKVNKFVIPSQEHASEGAGGSATKQPS >A07g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17211674:17212060:-1 gene:A07g506810.1_BraROA transcript:A07g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHELTNQPDIDIHQRHEAVGLSDDAVVRFKTRRLPPSRPSPGPYTPVEPRAHIEQADRTAHHLWRSLNRERSLATVDATTTGPLTLRRANHQ >A05g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12374617:12377253:1 gene:A05g504480.1_BraROA transcript:A05g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNYKIDMESIKEREALGSVLGRAEAVAEVLDGRETQADSEDYHVMLNKWLNLKNENLRMQHDLVQSREQYGDLAEELAVEVRRDLRQRVRHEVLQRVAVSNKPKVVHQCNNMKVRQEVLKHGCAAGTRNETDRCISNCVGPSKKQHQMCCWFCGKVGHKKVECFTREKSRNMANKVNKTFTKPKRVEEVSLAKNGLLDEIKDETSEDGCSSVRSDLQEDQEASSVESGHGVVCDTKGKEIESALGVDEEGLMVKETTHEGSQVLNRSGSRGSSTGASDRDAVLVIPLQQGLCHDYT >SC178g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:15008:17410:-1 gene:SC178g500010.1_BraROA transcript:SC178g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTASHEQVQNQDSG >A01p006550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3302348:3307742:-1 gene:A01p006550.1_BraROA transcript:A01p006550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCRNVCVRRKKKKNPGSVPVYLNVYDLTPMNVYGYWLGIGIYHSGLEVHGVEYGYGAHEHSSTGIFKVEPKKCPGFTFRKSILVGETEMKAKEIGSFMEELADEYQGSKYHLITRNCNHFCNDVCLKLTQKSIPSWVNRLARLGFLCNCVLPASLNEMKVKQVGKDGKLLEVGESKKNKKKKKQKKARSRSGPLASSSDSRLDNNKPSHARSISTGESSKSAKKCVNSTFPLESVYDVEVFSDQSDISVTFFAKVQSVMEDQTKDSVAWLDQRKPPNTVPQVVYDKEEAGQEDEAKQAYSPLDSSSYRQHYQVQCQAQALAQNQARILSESSRLFVFCSI >A07g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16693789:16695320:-1 gene:A07g506690.1_BraROA transcript:A07g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIARGRLAEERKSWRKNHPHGWRPAITVKQILVGIQDLLDTPNPADPAQTDGYHLFIQDAVEYKKRVKLQSKQYPPIV >A01p016100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7973901:7975701:1 gene:A01p016100.1_BraROA transcript:A01p016100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDFEDDAEATVTMEEYIESMDAEELEADLVLGGDEGDECTYPKGYMKRQAIFSCITCTPEGNAGVCTACCLTCHDGHELLELWTKRNFRCDCGNSKFGTLACKLLPGKDVENSENSYNHNFKGLYCSCDKPYPDPNGEEHGEMIQCCICEDWFHEEHLSLKSSDGVSSQIPRDEEGVPVYEDFICQNCSPVCSFLTLYPEKLWVAAKVDSNGSANACADTTESNQTSTATEPVQPENSTEAEKSVLRGCSEKLADSEPFPAAGCAISKGLTSCPEFEKKPLFVTKNWRNMLCKCEKCLEMYSERKVSYLLDAEDTIAEYENKAKEKRTEKLEKQEGEALDHFNNLDHVTKVELSHGIKDFKEGFRSLLESVGTSRAITSEDVEEMFSKLKNKRKRME >A10p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18926240:18932664:-1 gene:A10p031810.1_BraROA transcript:A10p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPISNNDETWDATLKDLTSNVKETQDNVLEEIITPNLKTEYLQRFNMDRFDKKLFKKNVPVVTYEDIKPDIDRVVNGESSDVISARPITGFLLSSGTSGGAQKMMPWNNKYLDNLTFAYDLRMHVITKHVKGLEEGKGMMFLFTKQETKTPSGLLARVATSSYFKSDYFTNRPSNWYYSYTSPDEVILCSNNTQSLYCHLLCGLLQRDEVVRMGSIFASVMVRAIKFLETYWEELCSNIRSGRLSEWITDLGCRSSVSLVLGGPRPDLADTIETICNQSSWKGIVTRIWPNTKYIETVVTGSMGQYVPTLNYYCSDLPLVSTTYGSSETTFGINVDPLCKPEDVSYAFMPNMSYFEFITMDGDKRDVVDLHDVKVGCTYEPVVTNFAGLYRMRVGDVLLVTGFYNNAPQFRFVRRENVVLSIDSDKTKEEDLFKAVSQAKLVLDSSDLFLEDFTSYADTSTFPGHYVIYVEVKAKEGKKHLELNKEALDLDKEAFSKCCSVMEDSLDNVYKRCRFKDGSVGPLEIRVVDKGTFESLMNFFINQGASIGQYKAPRCIKSGKALELMEDRVFVVKLDRRYGKESVPPETSAAENPSWNESDVTAMISSLSRAIEYPAADGQERSDSPVKQELSKSDQLQQDQDQPRRKHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEEAAFAYDRAALKFKGTKAKLNFPEHLQGPSTTTYVSSQSGIDHVPRGGSELMNSPPPLPTTWPTNYNQDILQYAQLLTSNNDADLSYYTSSLLSQQQQPFSTPSSSSSSLSSQQQQQLHQQREEEKNYGYHYYNYPRE >A03g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4845673:4855951:-1 gene:A03g501600.1_BraROA transcript:A03g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKLFLFLSFNALMLLEAFENSHETDKQALLRFKSQVSEEKKVLLSSWNNSSPLCKWKGVTCGSNQHQRVTGLDLGGFQLGGVISPFIGNLSFLISINFTYNSFGGTIPQELGNLFRLQYLDMSFNFLGGGIPASLFNCSRLLNIALFSNHLGQGLPSELGSLSKLVTLELGQNHLKGKLPVSLGNLTSLRKLSFTDNNLEGEIPDAIARLTQMEDLILHSNHFSGVFPHAIYNLSLLQSLGMYGNAFSGNLRLDFGNLLPNLREFSIGSNSLTGAIPSTLANISTLQYLIMEFNSLTGSIPPYFAKLQYLQTLSLSDNSLGGLSAGDLEFLITLTNCTGLQILDVSFNRLGGDLPASIANLSMNLAMLSLRDNFISGSIPHGIGNLIGLQALVFSGNLLKGPIPASFGKLSGLMGLSVHTNRMSGEIPYSLGNITRLERLYLYNNGFEGIIPPSLGKCSYLLNLHIENNKLNEYAMGGRISVHGDAYSFGILILEMFSGKRPTDEMFEGDYTLRSCIRSALPEQVLDVVDELVLHNGLRVGFPAAECLTKVLEVGLGCSEESPANRLGMSEKLFNLELGKNNLRGKIPVSLGNLTSLRVLTFSENNLEGEIPDAIARLTQMVVFYLDKNNFSGVFPPAIYNLSSLQSLGMFGSGFSGNLRHDFGNLLPNLRVLSLGNNSLTGPIPSTLSNISTLQAMGIELNSLTGSIPPSFSKLRYLRQLYLSGNSLGSFSAGDLEFLVALTNCTQLQIIYVGFNRLGGDLPASIANLSMNLNQLDIESNFISGSIPHDIGNLIGLQAFWLSENLLKGPIPASFGKLAGLVQLSVYSNQMSGEIPDSLGNITRLELLSLSNNSFEGVIPPSLGKCSYLLDLDIENNKLNSIIPHEIMQISTLGSLSMSNNSLTGSLPEDVGRLENLFGLSVAHNKLSGKLPETLGKCLSMKKLHLQGNSFEVIIPDISGLVGIKEADFSSNNLSGRIPEYLAHFRFLEYLNLSFNNFEGNVPTEGKFKNATIVSVFGNKNLCGGILELKLKPCFTKPPRNSRKKLVIGLQFLFVEYAMGGKISVHGDAYSFGILILEMFSGKRPTDEMFGGDFTLRSCIRSALPEQVLDVADELILHNGLRIGFPAAECLTKVLEVGLGCSEESPGNRLGMSEVVKELISIKEMFFKARRGARR >A04p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4495054:4496677:1 gene:A04p013530.1_BraROA transcript:A04p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFNIVLVANFGSMADTVFCGVFDGHGPYGHVIAKRVRDLLPLKLGSHLESSYVSCEEVLKEISLNTADRKTSESLVHISANGESRVYNKDQDMVQTLLTSIVKAYRFMDKELKMQVDVDCFCSGTTAVTMVKQGQHLVVGNIGDSRAVLGTRNKENKLVPFQLTEDLKPDVPVGVFLFPAEAARIKRCRGRIFALRDEPGVARLWLPNHNSPGLAMARAFGDFCLKDFGLISVPDVSYRRLTEKDEFVVLATDGIWDVLTNEEVVEIVAKAPTRCTAGRALVEAAVRNWRWKFPTSKVDDCAVVCLFLDSKPDKLSTASFSVDKHISNGLSEPDTASTSTPGSGTESPELNGVDRIDTLVNLPMHVPTKE >A05p032790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17735869:17737176:-1 gene:A05p032790.1_BraROA transcript:A05p032790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVEDCYCLRLAFIREAITTRILRKSGRPSVVRAISETIRLSLVQRREHVKNSTKVSPYRDVVKKEGLVVKEEDQLALDVKGSGRNVVMEQSWGDPKVKNVGGSLVKLVANASNDVAGDERTLPWKR >A09p058960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49490773:49492126:-1 gene:A09p058960.1_BraROA transcript:A09p058960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDQSSLSPPIIPSLSPAFFKSSSLSRARSQMADSQAKSSGMSPGVGGGGSHESGGDQSPRSMNVREQDRFLPIANISRIMKRGLPANGKIAKDAKETMQECVSEFISFVTSEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKFYLTRYREGDNKGSGKGGESSAKRDGQPGQFLQQGGQQGSFSQGPYGNSQGSHMMVQMPNAE >A09g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22825241:22826128:-1 gene:A09g508000.1_BraROA transcript:A09g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLKGNQSQVFIMEEATPEKSAGDKVFEAKQAGNDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKPVDPAQSNQADTPAVERNTEPAVETSSPGPEHPAESVRPIPEVVPPREYIPKVPYPVPAKAT >A06p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25253140:25255445:1 gene:A06p047560.1_BraROA transcript:A06p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSFSHFFLISLFLYTKCIAHRYTFTVKEVPYNKLCSTKKILTVNGQFPGPVLKVYKGDTIYVNVRNRASENITMHWHGVEQPRNPWSDGPEYITQCPIRPGSDFMYEVIFSIEDATVWWHAHSSWTRATVHGLIFIYPRPGTFLPFPKPDHEIPLVLGEWWKEDVREVVEQFIRTGADPNVSDALTINGHPGFLYPCSNSDTFELTVEKGKTYRIRMVNAAMNLIFFFSIAKHSLTVVAADGHYTKPLNATYITISPGQTLDVLLHADQNPKRTYYMAARAYHSSPVIRFNNSTTIGILRYISSSNAKTSSYPSLPNYNDTSAAFGFFTNIKSLYSGQVPVKISRRIISTVSLNLLACPNNSCQGPNGLRLAASMNNISFVTPNHVDILKAYYLHIKGVYGTRFPAFPPLVFNFTAEDQPLILQTPRLATEVKMIKYGEAVEMVFQGTSLGGGGIDHPMHLHGFSFYIVGFGFGNYNVTKDPSSNYNLKDPPYRNTATVPRNGWIAIRFIANNPGTYLALFHITLYRARSTVTLGATSKGVWFMHCHFDRHLTWGMNVVFIVENGRGINQQMLPPPPDLPPCY >A05g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18143784:18145455:1 gene:A05g506330.1_BraROA transcript:A05g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIENRQSAIVISTSIAVLIGCIVMLVCPPPYIDIFDSPQLMSSPAQEITKVWYELLDLRDAVENMCDDMHIKYLTFLSVMFWLIDEVGELFEEARGTLNKNVSTDCLKSSSTWCSPIRHGSMMMMPSTPLAWRFDSAEFTLKEDYNDFFL >A05p039280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24004134:24006283:-1 gene:A05p039280.1_BraROA transcript:A05p039280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPGRGGGRRGGGPMDDDKLVFETTEGIEPITNFNDMGIKEDVLRGVYEYGFEKPSAIQQRAVMPILQGRDVIAQAQSGTGKTSMIALSVCQIVDTSSREVQALILSPTRELASQTEKTIQAIGLHANIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRSLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPDLQVDWLSEKMRTNNFTVSSMHGDMPQKERDEIMNQFRSGDSRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >A02p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3209334:3209933:1 gene:A02p007590.1_BraROA transcript:A02p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDKSLSRSNIIQQDSISDFLLRHSIPSPLLFEHLNLIPNGSIVPSSLPHYTLKISNGGRLNYFLNNVKIISRNICTLGSIKCHGIDGILPSPSAINDDSPRDNNHTSPFISCPSSHNNSEHDSPHDNSEHDSPHNNSSNHNSSRPDDHTHTHVAPSPTSSPTLVPKSDSSTIREGDTSGFVVLLGLLSCVMGIAMAM >A07p047000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25294736:25296368:1 gene:A07p047000.1_BraROA transcript:A07p047000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRQEDQKLIAYVQHHGEGGWRTLPDKAGLKRCGKSCRLRWANYLRPDIKRGEFSQEEEDSIINLHAIHGNKWSAIARRLPGRTDNEIKNHWNTHIKKRLIKKGIDPLTHKSLDQSETLPDKSIGHQEDDDQKSNNKKSLGPSSARFLNRVANRFGKRLDHSALSDIIGSGGPFTGHTTPTTSASECEKSISSLSTPNSSNLHMIHDATSLSSSTFSNDTSAQLVYDNIFDDIEDMTGFSSKILNDIVSHDDEDFLMLDESSSDNTLFMKELTMFLQGDKTETMSLNDSHLAPINVVDDYSFEGIDNWFG >A03p024350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10260916:10262917:-1 gene:A03p024350.1_BraROA transcript:A03p024350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNMTPHWPDFSQKLSHFSTAAASSAVQNPSWVDEFLDFSACRRGNHRRSISDSIAFLEAPIVTSNMEEHNFDKFDDEQFMSMFTEEEDDDDLRNNPSHNNKNVGPSGCSFNTSTQADHKSFNDDEEPPSDHYMKDTNNNRNDEVRSQCKTEPEDGTASSNNSGDSSSNRIIDPKRVKRILANRQSAQRSRVRKLQYISELERSITSLQSEVSVLSPRVAFLDHQRLLLNVDNSALKQRIAALAQDKIFKDTHQESLKREIERLRQVYQQQSFKKMENANHSPETGVDIKLSIEKEQMLNI >A10p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18253484:18253948:-1 gene:A10p030090.1_BraROA transcript:A10p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGVNSGGGESSLGYLFGSGESVSKPNKPAVNTSFTTTTTTTTTTNGAGGKPTTTSTTNTTTGDKNKTEEEKKQMSAGVRGSPNNYLRTEGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGSGSGK >A04p005830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3077909:3080268:1 gene:A04p005830.1_BraROA transcript:A04p005830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLPMSARKITMPCVMEEPPYILPDGSPTLVELLKDCDSFRKEGSNSVTKSDDTSHHIIDIDALHVPPAVPFVLAFNNLEYSVTLRQRFGFSSTSVKTLLDDVSGEACDGDILAVLGASGAGKSTLIDALAGRVAKESLRGSVTLNGDNVLQSSLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVEALIDQLGLRNAADTRIGDEGHRGVSGGERRRVSIGMDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLKRIAQSGSIVIMSIHQPSARILDLLDRLIILSRGKSVFNGSPANLPSFFSDFGHPIPERENITEFALDLVRELEREPNEGTRELVEFNEKWQKTIFARDTTQTGSDQSLSLKEAIDASVSRGKLVSGSSGSNLLSMETVSSYANPSLFETFILAKRYMKNWIRMPELVGTRIATVMVTGFLLATVYWKLDNTPRGAQERLTFFAFVVPTMFYCCLDNVPVFIQERYIFLRETAHNAYRTSSYVISHSLVTMPQLIAPSIVFASITFWTVGLNGGLQGFFFYVLIIYASFWSGASVVTFISGVLPNIMLSYMVAISYLAYCLLLSGFYVNRDRIPIYWMWFHYISLLKFPYEAVLINEFDDPSRCFVKGVQVFDGTLIGGVSDSGKVKLLRTLGMSLRKRITESTCLRTGSDLLAQQSITQLSKWDCLWITFACGLFFRILFYFALLFGRKNKRT >A01p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17596015:17598653:-1 gene:A01p037590.1_BraROA transcript:A01p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKGVRTNDGYMETNHVSIPKDRTAKKQPSSEETSVNGNDATLRLIPNTNHVFSDEEEEKKSFEMKSLESVSQKDTTVELLDNVGPLQPRVSRIASVSNGDRTAKVIAGWPSWLVSVAGEALSGWLPRSADSFEKLEMIGQGTYSNVYRARDLETNQIVALKKVRFANMDPESVRFMAREIIILRRLNHPNVMKLQGLIISKASASMYLVFEYMDHDLTGLASTPGIKFSQAQIKCYMKQLMLGLEHCHSCGVLHRDIKGSNLLLDRNNNLKIADFGLSTFYQRKQPLTSRVVTLWYRPPELLLGSTDYGVTADLWSAGCILAELFTGKPLLPGRTEVEQMHKIFKLCGSPSEEYWRRSRLRHATIFKPQHQYKRCLAETYKDLIPSSALALLDVLLAVEPEARGTTSSALQSEFFTTKPFPSEPSSLPRYQPRKEFDIKLREEEARRMKGASSKQNEQKRFSRAVPAPGANAELLASIQKRLGETNQTSVSETFNPEGDSGSGFRIEPHNPNTNGDNHSNGSSHLRTQRSYVQRGGAQLSRFSNSVAPNRDGSSQFGSMRDALVNQRWLEDGSGNCNLSQRLLEKPNGLRKDDPSSSSKGPLMGYDGEKRERIHYSGPLISGEGNLDEMLKEHERQILLAVRRAQADKAKRDVSRQARGSLLANGS >A07g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4805291:4814322:1 gene:A07g502210.1_BraROA transcript:A07g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINFNLKIHIRSNDTTSLNTKENQTTIINFSETVLTLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSRHWLSPQISVIVFSGIFRGFALSFSKEKESAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFGDFSQGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGRGCRGLGKRNKLAADLERNETELKKKQKQEEAELKRKKKQEEAELKKKQKKEEADQPIRLHKKAVKVDIDQPNLKPYPKIGKYLISQPIRLHKAAVKFPRTLKSILTMSSSSFTSENYYRRHRNTERGTPKECWCGAPSDIFTSGSETNPGRLYYCCAKGYHKSHLFKWADECLVEEVEDIKAVINGMNRDISELRVNVARLANGVKTKSERKGGECLSESRCLRNVVVCVAGMAILCYYYLSEGNKDSGNNASLMAIASTLDKLSRKFDLMDARFKKPLVDQKSIDDMVKVVVEEQNLSNVAADQQPEPLSSPRPNTQQKSVYSPLLAETPGKDIGPRNNLSNELDKERGMKKTLAKEFGTHAEDEGVNVLGFLYVSPAKATKAEDLRRRSTRNCTIKDEDA >A10p022460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14829539:14832248:-1 gene:A10p022460.1_BraROA transcript:A10p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAISTQSHFAIRPLQLNQLKNSSPRAFLSSCFKPRPDSSYLRSKELPKLGLVPRHRQKFQVGVGTGGELADSGEVADSVASDPPESFSWSSVILPFIFPALGGLLFGYDIGATSGATLSLQSAALSGTTWFNLSPVQLGLVVSGSLYGALLGSTLVYGIADFLGRRRELIIASGLYLLGSLITGCAPDLNVLLLGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGFSVGSFEIDVVGGWRYMYGFGTPVALLMGLGMWSLPPSPRWLLLRAVQGKGALQEYKDKAMVALSKLRGRPPGDKLSEKLVDDALLSVKTAYEDEEKSGGNFLEVFQGPNLKALTIGGGLVLFQQITGQPSVLYYAGSILQTAGFSAAADATRVSVIIGVFKLLMTWVAVAKVDDLGRRPLLIGGVSGIAISLFLLSAYYKFLGGFPLVAVGALLLYVGCYQISFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNAIVTFAFSPLKEYLGAENLFLLFGAIALVSLLFVVLVVPETKGLSLEEIESKILK >A02p014680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6509416:6513752:-1 gene:A02p014680.1_BraROA transcript:A02p014680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNVRDKVSIFLAILCYSSLICSVKATVSYDRKAVIINGQRRILLSGSIHYPRSTPEMWPGLIQKAKEGGLDVIETYVFWNGHEPSPGNYYFGDRYDLVKFIKLVQQAGLYVNLRIGPFVCAEWNFGGFPVWLKFVPGIAFRTDNEPFKAAMKKFTEKIVWMMKAERLFQTQGGPIILAQIENEYGPVEWNSGAPAKAYTQWAAQMALGLQTGVPWIMCKQEDAPSPIIDTCNGYYCEDFKPNSINKPKMWTENWTGWYTEFGGAVPYRPVEDIAYSVARFILKGGSFVNYYMYHGGTNFDRTAGEFMASSYDYDAPLDEYGLPREPKYSHLKALHKVIKLSEPALVSADATVTYLGAKQEAHVFWSKSSCAAFLSNNDVNSPARVMFRGFPYDLPPWSVSILPDCKTEYYNTAKVNAPSAHRNMVSTGTRFSWESFNEVVPFANEAGTFPRNGLVEQISMTWDKSDYFWYLTDITVGAGERFLKTGDFPLLTAWSAGHALHVFVNGQFAGRAYGSLEHTSLTFSQKIKLHAGVNKLALLSVVVGLPNVGKHFETWNKGILGGVTLKGVNSGTWDMSKWKWSYKVGMKGEALSLHTPTASVRWNQGSFLAKNQPLTWYKSIFAAPPGNEPLAVDMNTMGKGQVWINGRNIGRHWAAYKARGNCGRCNYAGEFTATKCLSNCGEASQRWYHVPRSWLKPQNVIVVFEEWGGDTSGISLVKRI >A02p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17445273:17446950:1 gene:A02p033050.1_BraROA transcript:A02p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSGSSIPLTAEKVKSKRRLDSPISKSDSSSDPHDETEHNLLAPAPLSYAPLISPLVGPALLVGDDDFAEWRKKYLLPPYITVSEAFFDSGFRGEVSIIAGLCDYFRISPSQLNPLAWRVFIAIQNLEDVKCLPLGVNKREKVMFFARERFPSNAIREHVRRFCAISDGRFQGGDKGSIGKKGFVSKDTSDDDMTITESRRKTVVKVEPTSSSQGKKLKDGVLTRPARSDSSFGGRTFGGDSSFPWRTPLGEGLSEEGLSSNREELKKLICQLFEEKGKCAAREMEICDLQAKVKAQESMVEASSAEALTLGKETEELEKIMSDLRKSAETFKYEMVMAVNGARITARWELTREWLRR >A07g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12302504:12303403:1 gene:A07g505520.1_BraROA transcript:A07g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRECYLLFCIIIFMIAVPCYSHESLFGGKTLYAGKELWKETLPLQSGSRVYKLEGIKSNSWYEVKISYPASIPALFSLQLLRNGEVGLKLNQMRRLLNTEKLIFKSESIENKEGLHVLVTVEPEGIVAIPNFKERSSIIYNIGKTATRHRILMLVSGGFSSIVSGGCADSSPVSSISSSNQRWRS >A05p032090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18029069:18031498:1 gene:A05p032090.1_BraROA transcript:A05p032090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSAKRKVVVRHLPPSLSESDLLSPIDPRFGDRYNWVSFRPGKSSYKAQKYSRAYFGFKAPGDVYDFAAFFNGHVFVNEKGAQFKAIVEYAPSQRVPKPCDKKDPRDGSITKDPDYLEFLKLIAQPVENLPSAEIQLERREAELSGASKPAPIVTPLMEFIRQKRATVIGSQQQGLDVRRGGRRTRAVSSNKPASKRNSEKKKYVEKDNSKSVSRKATSDAGSSKQDYIPSVPVTETASVMDSSLPGIALTMDSGKKKILLLKKDRDNPLNSTTQPEQQMETNLSGSSLTSRQDQKIVVGGRLIKGILLRNEPRPSQSSSFVQPESRVEPLEAENSKRPPRPANTRAGKDNQISGTNSEKQERRPRNKERPDRVVWTPLRRSDGSSISEDQLSSSTANNGEIKERMLLQRSGEVVNSSGGHSLENGSTRHSGRRVGARNRKEEGFAMTGEGKSSRRGGGGGGGGEANVDPKIIVGYLISSLNIWLL >A03p024440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10317287:10319518:1 gene:A03p024440.1_BraROA transcript:A03p024440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSHSGEISRSAMPTTTTRNLKPGHRRSSSTGTPLIFSGSSFYSGASQSSGATSAVSPSPTVLPAGNICPSGRILKTGMATRSSTRAETLWTGTGNYGHGNVVKSGGGSTGKTNHAVANGESSEELKRLGNDMYRRGSFSEALSFYDRAISLSPENASYRSNRAAALTALRRLGEAVKECLEAVRLDPSYSRAHQRLASLYLRLGEAENARRRLCFSGQCPDQADLQRLQTLEKHLRRCWEARKIGDWRTAVKETDAAIANGADSSPQLVACKAEALLRLNQIEESEFCLSCIPRLDHDQSQAKLFGMVAEAYVLCIQAQVDMALGRFENGVVKAERASMLDQTNPELVSVLNSVKMVVKARSRGNELFSLGRYSEASVAYGDGLKHDGSNSVLYCNRAACWYKVGLWEKSVEDCNHALKIHSGYIKALLRRAASYGKLGRWEDAVRDYEFVRRELPGDSEVTELLERAKTALSQESKSLGFNNEVEAVSSLDKFKNSVSLPGVSVFHFKSSSNRQCEEISPYVNTLCLRYPLVHFFMVDVEESLALAKAESIRKVPTFKMYKNGDKVKEMICPSHQFLEDSIKHFLL >A02p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5348345:5349793:-1 gene:A02p012240.1_BraROA transcript:A02p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYESKGFWVLKNNENANEDEDSVYVHHHQPREDAKRPYPWFNDSSSRSETFPNKKQAVSQDPGKSNVVGLGLPLWETSSVFQSVSNQFMDRLLGTEMPRPLLFGDRDSRTEPKSYMEDRSVELSISNGVEVAGSCFGGGDGGSRKLQVSRVKETMSATAHGGLIDGRKMESSSIRACGGRDNESSSSSSFVNFAMEGGHPYGNDEDAHGITFGEELNGVGSGNYQSYVQDPDMVYGQETAQTSSEVVSEQPQVGKQSLESLPKSKTSKKEASTSFPSNVRSLISTGMLDGVPVKYVALSREELRGVIKGSGYLCGCQACDYTKVLNAYAFERHAGCKTKHPNNHIYFENGKTIYQIVQELRNTPETMLFDVVQTVFGSPINQKAFRIWKESFQAATRELQRIYGKEERCF >A06p000750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:149928:151622:1 gene:A06p000750.1_BraROA transcript:A06p000750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQFDTRQFDQKLNDVLEGQNEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCNGLDVIQQAQSGTGKTATFCSGVLQQLDITRVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHTCVGGTSVVEDQRILQAGVHVVVGTPGRVFDMLRRQSLRSDCIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITKKFMSKPVRILVKRDELTLEGIRQFYVNVEKEEYKLETLCDLYDTLNITQGVIFVNTRRKVDWLTDQMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGTAINFVTRDDERMLTDIQKFYNVAVEELPSKVDDLI >A10g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8711657:8721267:-1 gene:A10g503350.1_BraROA transcript:A10g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRDRHSWAVGYYTPTRTPNNSRTSSRENKESASWLIKLLPKHSSCFYDILTNPPIACVSFLDLDRLLQRHSSCFHDILTNPPIACVNFLDLDRVIGPLDKARTSSSAGLSRSSSSPLASWSMITSMAVRQHTQDVRVCPSAHTGRPVCPSAHTVCVRQHTQDVRVCPSAHTRRLWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLYVQYTQDVRVRVCPVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHVDPSRGFGTSVGVRQHSQDVLVCPSAHPGRTCVCQHTQDVAVRPWVSVSTPRTSVCVCQYTQDVRGCPPTHTGLSVVCCPVDCGLSVSVSTHRTSVAVRVCPSAHTRRPWLSISKHISTLILGLSTLDFPWTVWDVRGCPPAHTGRPWLSVAVRQHTQDVRGCLSKHTGRPWLSFSTHISTLVLGLSTLVLGLSTLALPVDCSCDFGPRGLSVHTHKTFVAVRVCPSAHTGRPWLSISTHISTLVLGISTLTLPVDCSGDFGPRGLSVQYTQDVRGCSPAHTGHPWLFTCVRLCPSAHTVHLTLALPVDCLGEFGPRGLSVQYTQDDCGCLPAHTGCPWLSVAVYGCLSAHTGRPWLSVCVRVCLWVSASTHRMSVAVHGRSSAHTGRLCVSVSTHRTSVCVRVCSSAHTGRMWRSISTHNSTLVLRLSTQALSVDCLGDFGPRGLSVQYTQDVRGCPPAHTGLHTRRSWLPINTHISTLVLGLSTLALPMDCSGDFGPRWLSVQYTQDVGGCPSAHPGRPCVSVSTHFTSVAVRVCPSAHTGRPWLSISTHISTLVLGVSTLTFPVDCSGDFGPCGLSVQYTQAICGCPPAQTGRFWLSVCVHVCPSVSVSTHRTSVAVHQYTYQHVAPWTQHAGPSRRLWVSASTHRTSVCVRVCQSAHTGHPWLSISTHISTLVHGLSTLALPVGCSGDFGPRGLSVQYTQDVRVCPSAHTGRSCVSVSTHRTSVGVCQHTHNVRGCPSVHISARWSFPWLILDNHRLSVDCRSVHIAAG >A09p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4669102:4672181:1 gene:A09p009140.1_BraROA transcript:A09p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLDEKTPGAGSPAKEELGVGDASKVAEVADPPRNDDAEKKEEAESKEGELEDKEDVKDEEGEGSGSKKEAVTPISDRPTRERKQVERFSSSGPVRVTPSKSVSIEKGRGTPLKEIPNVAHNLSKRKADDNLMLLHTILYGKKAKAQMVKKNIGQFSGFAWSEKEEEKQRARLKEKLDKCIKEKLIFFCDVLDIPVNRSNIKKEELAVKVLEFLESPKATRDVILADREKQAKKRKSTQRKGKSGESSETPAKRKRQTKKLDQPEAEEGKDEGDSDSEGTKDANEDDDSAREEEESDHEKAGTEDEKDEAEDEKPSDKKISSKKTEEKSSGTKGKDKQASAKGSKKSGEKSSKRVAKSTSSPAKKQKVDHEESSKGKSKKQSTKTQAKGTKEKGKAIKKGKAEPTREEMLEVVSKMLQEVDFNTATLSDILKKLSKHFGVDLSHRKPEVKAVITDAISAMTDEEDEEENSEAGSDGEKEEEKKAEAESDKEEEEEKDEEEEKAEAESDKEKEEEEKAEAESDKEKEEEEKAEAESDKEKEEEKPKD >A01p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10285956:10286328:1 gene:A01p021050.1_BraROA transcript:A01p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVASEHFSLSAPSMEHSDDGLERVAGYRDEPPELPSRESPISSDPETTRKKAALVDAVDPLFLMVWDVGRGRGVCFHKNGNKNWTVPGLRPGYFL >A09p064410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51906303:51907275:-1 gene:A09p064410.1_BraROA transcript:A09p064410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRNGYSHDPNQSNRYDSDTRSSGWRRRPAFSVQNPWSRTGSIVNRRFLDDPPSAYPSTVYLLVNSLSSEPILLLILFPSLCILFKNLKTVVLDRDSVPPLPPTPHHVPIRTSNNVRRQHLEALRQAVHNRHDSTRHESNSRSAAASQAKEKEDILKYLTKETYNPVPKSQLLRNLSLYYKNKNSGLGSSRNPQGYSGGDEKRCSVCLEDFEPKETVMLTPCKHMFHEECIVPWLKSKGQCPVCRFVIITPSRRESSPSISPDIDGDMTVNDLFTLQLISMVQAMEETFLFGYHHRH >A07p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26336574:26338785:-1 gene:A07p049570.1_BraROA transcript:A07p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear speckle RNA-binding protein A [Source:Projected from Arabidopsis thaliana (AT1G76940) UniProtKB/Swiss-Prot;Acc:A1A6K6] MADGYWNQQQRHQQHPPPGGPPKRRRSDFEAPPSSGHEMHGGGGGGGYFPRDEDIDTRTIGSAYDRYLQSVQTSSMPLGDSGPPRNGVAMDEFMMRRGGGGGVHGLNGRDMGFDPMDSVDRRNREPLPLPPDASNTLYVEGLPSNCSRREVAHIFRPFVGYREVRLVTKDSKHRNGDPVVLCFVDFTNPACAATALSTLQGYRMDENDPDSKFLRLQFSRKPSSRPGQRGRR >A03p056380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24375079:24390860:1 gene:A03p056380.1_BraROA transcript:A03p056380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHYRWKSFEENEDRPEKPRRYGVTEMRGPRYSVLSQNVLQEIFESMGQFVDGLKFSGGSNSLIPKSFIKQAIEMAHEHDVYVSTGDWAEHVLRSGGPSAFKEYVEECKQLGFDTIELNANSLEVPEDTLLRYVRMIKNGGLRAKPIFAVKFNKSDIPGRRNRAFGSYVVPEPRSSEFVEDIDLLIRKAERCLEAGADTIMIDADDVCKYADSVRADIIAKVIGRLGVEKTMFEASDAKLAEWFIKRYGPNVNLYVDHSQIMDLECLRGRHLAFAFLANVTSIDLPEPDFTIGPGHGGGAPDPARVEAPPVVVPFVPKSPVYIIYLGATKHADPKLVAQSHLEILKSVLGSEEAAKNSMIYNYQYGFSGFAAKLKPAEAHKLKNHPEVITLVINRKLLMQTTRTWDYLGLFSTPASSKGLLQGSNMGSGAIIGVIDSGIWSESGVFDDNGYGPTPKQWKGQCVSGDQFKAEDCNKKLIGAKYYMDGLNADLATSINSSTEHISPRDHNGHGTQVSSTVAGSFLSNLAFPGLSAGSIMRGAAPKAHIAMYKACWDVQGGMCSVADVWKAFDEAINDGVDVLSVSIGGLFRDLDVEVDIAIPALHAVNKGITVVSPAGNGGPRGTTVINISPWIITVAATTLDRSLSAFITLDNNQTFMGQSMYTGPELGFTDVMFSADMSSVATVKGKVVMYFEKERPMPGPEILQRNGAVGVIYVRTPSSRLECPANFPCIYIEIDIGSKIYFHMETTSSPKVKISPFKAVIGESVASIVGGSSSRGPSSFSPAILKPDIAAPGLNLLTPRIPTDEDTSEFAYSGTSMATPVIAGIVALLKISHPTWSPAAIKSAIVTTARSTDPYGEPLTAEGTTPKIADAFDYGGGLVDMEKATDPGLVYDMDMNDYVHYLCSAALYTDKRVSALTGNVTTKCPSSSSSILDINVPSITIPDLKGNVKVITRTVTNVGPVDSVYKPVIKAPLGFDVKVSPEELVFNKGTSKAAFTVSVSSGSYKANTGFFFGSLTWSDGLHNVTIPVSVRANFIDNFYLVASNRKLTLQSTRTYDYLGLSPNQPSGILHDSNMGSELVVGIIDSGIWPESAGFSDEGLGPIPKHWKGKCVGGRGFDPAKHCNKKIVGARYYTNRFTEKTGKTISPEDYLSPRGLISHGTECASIAAGGFVPDASYEGLAPGLMRGAAPKARIAAYKVLFDSDEMGTGVSDCTMAIDDAINDGVDVISISIGPDGPPYHTYTTVGEDAELGSFHAVMKGIPVVMAGANAGPGAYTVSNVAPWMFTVGASTLDRNFYVDVTLGNNLTIPCQALYTGPEVSGELIYVEDWQTDTSDRKGKISLTFMKDDAESLFAVNTLGGAGAIIARGSDYFTEFFFEKPGVATNFEVGNKILQYIRSTSSPTVRISGGKTFVGRPKQTTVAAFSGRGPNPSAPAILKPDIVAPGALVLSADITNGYFTGFAINMGTSYSAPAVAGILILLKSLHPDWSPAALKSAIMTTAWKTDPYGGPIYSESMPRKLADPFDYGAGLVNPQRAGDPGLVYDLNLDDYIHFFCASGYNDTSIALLTGKDAKCPSPLPSILDFNYPAITIPEVKDEVTVSRTVTNVGPVDSVYRAVIEPPRGVKIAVEPETLVFNASTKILGFKVRVTTSHKNNMPVYLFGSFTWTDGTRNVTIPLSVRTRERHLTVTVRGFVPKNHTEWDLRESSSVAAWKESSSVAGLGWTITERNGLSTFLRH >A07p018740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11227546:11228853:-1 gene:A07p018740.1_BraROA transcript:A07p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGASVANVIGGSEGEKFAKGNIYSVKLITGDEFRGIVMAYDPIPNFVVFEEGSKPRPGHSKNTRMVNASFITGLSYLGKTEEPLLRDSCWVNLDGLRAKEALAVRQAEADAERMGVGVTAEAQSIFDALSKTLPVQWEKSDILVMKEVRVRSPYLSDCVFGGTDAANNRVKKVLELERRRLQLFGT >A03g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31362012:31363636:1 gene:A03g509730.1_BraROA transcript:A03g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGFVSAQSGDTDKAKKIREAAIFTISFVACDSPFGKQLLWSIFKALHTFGAYQTLSLSFNAFRALIYIESLEFSDRFIFAKQKSLIQLLQPLQPPLPPFPTPPSTLSALEGPLSPLLVDSSDYKISRTLTRMESLWASPFSSMNWGQISLEGEPLATMLPTTAKFEEGREVECCVLEDGYLEFTR >A02g511200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29688213:29693897:-1 gene:A02g511200.1_BraROA transcript:A02g511200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGESLAILVPGDKKSLSERPLAATQRGRSRSLERLVGATSRGRCASILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFKYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNRLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A07p051740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27424394:27427340:-1 gene:A07p051740.1_BraROA transcript:A07p051740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSQRNKKIIEDQTIDKTATASASPVVFKCKRKRKSPPQNAPPQRSSSHRGVTRHRWTGRYEAHLWDKNSWNETQTKKGRQGAYDEEEAAARAYDLAALKYWGRDTLLNFPLSTYEEDVKEMESHSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAARAYDIAAIEYRGLNAVTNFDVSRYLDLPATKKPNAAASPESPNDQTSSDDNNFTKTEVITEPSTPPEAIQSRRSFPDDIQTYFECQDSGKLATEEDVIFGGFNSFISPGLCNEFDYWS >A08p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16279649:16281472:-1 gene:A08p025170.1_BraROA transcript:A08p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSCHQTKQIILVHGPIIIGAGPSGLATSACLSSRGVPSLILERSDSIASLWKSKTYDRLKLHLPKHYCRLPLLDFPENFPKYPSKNEFLDYLESYASHFGIVPRFNENVQNASYDSSSGLWRVKTLSGAEYLSKWLVVATGENADAYVPEVPGILKFSGGRIIHASEYKSGEEFRQQKVLVVGCGNSGMEISLDLVRHNASPHLVVRNTVHVLPREILGLSTFGIGMTLLKCLPLRFVDKFLLLMANLSFGNTDRLGLRRPKTGPLELKNLTGKTPVLDVGAMTLIRSGKIQIMEGVKEITKKGAKFMDGQEKEFDSIIFATGYKSNVPTWLQGSDFFTKEGMPKTPFPNSWRGGKGLYTVGFTRRGLLGTASDAVKIAGEIADQWRDIKGATKNLCSSRFVIISKS >A02p018320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8425367:8426233:1 gene:A02p018320.1_BraROA transcript:A02p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWFFFGKMESNLEGKETMAHLDGGSGKRRRRNCTSEFVDINRQDSSVTSGLAPITASSDGVNLMNVNAQSFVPFWQTGFVTGGPNQMGHMWAIPTVAAPSFLNGGGTRPVPSYVSNTSDAEPQMGTSGGGGDEGTTQTLRDFSLETYDKKELQFLGGSGDNTSPS >A05g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21820757:21822354:-1 gene:A05g507720.1_BraROA transcript:A05g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDKTRVGFGLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTFSFSCNAFRALLYIESLEVKAPSFFRSLYFLTSVAKMTSPAPSAAFAAVPYSTFNSLHLGRSTQSIVGWLIRFWDSRNINKNGEFMGITILLFDELDSVIHSFIPANRASQYRSSLKSRSIVRLDRFEVARVAHMYKLDAPVIKSNRFLVRRFDHLQFPSPDVVGEIRSVQGLISKTSQPRAETVIVYLSLWDEAASTFRGLLKSGYRSQSVLLVTLVNPKLFGGNLYLNSTQGTRFFFDTSLPEVTEFVSRQ >A02p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7306065:7307225:-1 gene:A02p016310.1_BraROA transcript:A02p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSGTRDWAQIYAIYGIEQKHTLFFLLLNAIAFSLLSTVFFLYFHPICLFLESFLFSSSSAAAARFSAGFFGAVTALSAVCLFFAAANFFYSAVPLRYEMAQRMVGSVGDWSSVKTALDLGCGRGVLLNAVATQLKKTGSSGRVVGLDRSMSTTLSTLRTAHMEGVQEYVTCREGDVRRLPFGDNYFDVVVSAVFLHTVGKEYGQKTVEAAAERTRVLGEAVRVLKPGGVGVLWDLVHVPEYVRRLQELRMEEIRVSKRVTAFMVKSHMVSFKKPSQHFVGPGEVRLDWRC >A10p008390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8541514:8541864:-1 gene:A10p008390.1_BraROA transcript:A10p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIQRPSSTINLHELRSCLVQDLFEILNVSGSIGYPFRFGFGSDNTHNPKYHKTRSIRYLCRVRISSDSFLSDQI >A10p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20545721:20547741:-1 gene:A10p035930.1_BraROA transcript:A10p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVIFLQLFFIIPLALGLNHPNCDLTSTEDQGSTLRIFHIDSPCSPFKSSSPLSWEARVLKTLAQDQARLQYLTSLVAGRSVVPVASGRQMLQSTTYIVKAKIGTPAQSLLLAMDTSSDVAWLPCSGCVGCPSTTAFSPAKSTTFKNVSCSAPQCKQVPNPTCGSRACSFNLTYGSSSIAANLSQDTIRLAADPINAFTFGCVNKVAGGGTIPPPQGLLGLGRGPLSLMSQAQSLYKSTFSYCLPSFRSLAFSGSLRLGPTAQPVRVKYTQLLRNPRRSSLYYVNLVAIRVAKKVVDIPPAAIAFNPTTGAGTIFDSGTVYTRLSKPVYEAVRNEFRKRVKPRTAVVTSLGGFDTCYSGKITVPTITFMFKGVNMTMPADNLMLHSSAGSLSCLAIASSPENVNSVVNVIASMQQQNHRVLIDVPNGRLGLARERCS >A03p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12649299:12652033:-1 gene:A03p030160.1_BraROA transcript:A03p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGRSQFRRTERSWATLCCSPVSSSCLQLYPARLLLRKWFNIPNTESDLAPESDDEDQEDSDCADDSETEGIEEGLLDTDDAQPELRRRNSETFRNQYMDTKSVRICVGTWNVGGRVPPKDLDIDGWVDTIEPADIYVLGLQEIVPLNAGNIFGVEDDKPVSKWEDTIRDALNRIRPSKLKIISYSDPPSPSKFKPFEEEVSDVVEDIFTCNVIHPVDEDFEVEDGIVNTNYDKRSCLPRQEYLQRQFSSPKTLDRLLSVQLDDTGSKRAKSLNRWFSYSERVGLSWPEPPLRLLNQHVRERRCSYKSSLKPFKNYNSFKATATSGKKTPLLTDIDFKPLMNVRKPSYVRIVSKQMVGVFLTVWVRRSLRKHIRNLSVSTVGVGVMGYIGNKGAVSVSMSVYQTPFCFVCTHLASGEKDGDHRKRNADVSDIHRRTQFHPHSLNATRVPRSIRDHERIIWLGDLNYRINLSYKKTHELIARKDWKKLAEKDQLAREMRQGRVFEGWSEGNLDFSPTYKYEIDSEIYRGNDPKSGKRTPAWCDRIIWYGKGMKLMSYRRSEIKLSDHRPVTATFVVEVEVFSPRKLQRTLTLTNAEIDSHEAFVKE >A06p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6293558:6294019:1 gene:A06p013930.1_BraROA transcript:A06p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYFCSRQLYVFSSAACSSPPIRFTDKHRYGRSPDHKSLDHGSTDHRSPVHKSPNQVSADHDSPNHNSSSHKSHDHNLPDHNSPAKSVHSSPDQVIADHDH >A07p002580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3732250:3734841:-1 gene:A07p002580.1_BraROA transcript:A07p002580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCY1 [Source:Projected from Arabidopsis thaliana (AT2G18710) UniProtKB/TrEMBL;Acc:A0A178VZD7] MITVSQVSSYSSSNLASLSRFNHKPSSRLRSSSLYRPIFSVPTKTRNTCKANSWKNLGLVINSRSSEVSTFDPLGINPKEASGLSSIWESLLSVLSPSFESSSGSKRDKPSSGRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFVGNLDQNSLLTTLDTFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKKEGEAGRKKILQYTRYASVGFAIVQAIGQAVYLRPYVNDFSTEWVVSSVTLLTLGSVLTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTAAEALQEGNYTGLATIVVSFLLLVLGIVYVQEAERKIPLNYASRYTSKAGGLQKSAYLPFKVNSAGVMPIIFSTSSLALPATLARFTGISALKNVAYGLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTALFIKTVLGRISVLGSAFLAVLAAGPAVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYELDKYDP >A01p045790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25848086:25850720:1 gene:A01p045790.1_BraROA transcript:A01p045790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH123 [Source:Projected from Arabidopsis thaliana (AT3G20640) UniProtKB/Swiss-Prot;Acc:Q8GXT3] MGDHHDFINSGSWWKVSSSSSISSSSSMRANSIESGGSAAFHDKLHHSLATDHHLQMIGLGLSSQSHVDQWNQSLLRGDSKAETGFGVMLQENLNLDATSNANANTTSYQLQESDPSHHQALWRDPHINNSDFKPQLNMTSSNNRGFFLDHQFSPHGSSSTDSSTVTCQGFSSDNPSNTLYGPTATTPNSSSAMFHHHQAAGFNMPGSSDQQPSRTHQASNLGYSQFGSSTGNYDQTALRFSNNATFWNPTATAGNVGPTHHDTLSNYFPALQPPQIHAPSFDEQPKGMSEIKDLSSSEVKRAGGDQPAAKRAKSEAASPSPPFKVKKEKMSDRIAALQQLVSPFGKTDQASVLSEAIEYIKFLHQQVSALSNPYMNSGASLQHQQSDHPKELEVSKEPDLRSQGLCLVPVSSTFPVTHDTTVDFWTPTFGGTFR >A02p050040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30951874:30952872:-1 gene:A02p050040.1_BraROA transcript:A02p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAEEPLLTPTPDRFCMFPIHYPQIWEMYKKAEASFWTAEEVDLSQDPRDWETNLNDGERHFIKHVLAFFAASDGIVLENLATRFMSDVQVSEARAFYGFQIAIENIHSEMYSLLLDTYIKDNKERDHLFRAIETIPCVAKKAQWALKWIDGSQTFAERIVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLIYTLLRTKLSEERVKSIVCDAVEIEREFVCDALPCALVGMNRDLMSQYIEFVADRLLGALGYGKVYGVANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSVNGNGAFDNHVFSLDEDF >A02p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8866348:8867437:-1 gene:A02p019190.1_BraROA transcript:A02p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKLLFASLLICSMIQSSHGATKERLFTDLEKGALEITATPSRTGEGVVLAAGIDKLSITWKVSSTATKEPEFKTIKVKLCYAPISQVDRPWRKTENELFKDKSCPHKISSFSYDPTVKTAQSFDYTLERDIPTGTYYVRAYAVDAKDHEVAFGQSTNQDKTTNLFSVQAISGRHKSLDIASVCFSVFSVVALLVFFVNEKRKAKIEQSK >A07p027040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15438330:15439976:1 gene:A07p027040.1_BraROA transcript:A07p027040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVTKGLIRNLSLLPLPLFAAAMAPPSPVVRVYSTPPASPSEVSVKKVGTHNGSFHCDEALGCFMIRLSHKFSGADIVRTRDPKILGELDAVLDVGGVYDPDRDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELNVDQDHPDVLRLFLAVYKSFMEAIDAVDNGINRYDTDQPPRYVNNTHLSSRVGRLNLDWIDPDQSQEKENEAFQLAMALAGKEFLQSVRFHARSWLPARSIVMQCLEERFKTDPSGEIMELKNFCPWKLHLFELEQEMKIEPLIKYVIYQDERGKQWRVQAVAVAPDRFENRKALPEQWRGLRDEELSKAAEIPGCVFVHMSGFIGGNLSYDGALSMARTALTL >A01p018970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9226626:9227615:-1 gene:A01p018970.1_BraROA transcript:A01p018970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic sulfotransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G26280) UniProtKB/Swiss-Prot;Acc:Q9STQ6] MEKKEFWMNLREEDLTDETKTLISSLPSEKEYLGGNLCKYQGSWYYYNFLQGVLNVQRGFQPQDTDVIVASFPKCGTLWLKALTVALLERSKNRSSEDQHPLLSNNPHNLVPVLEMNLYRDTPKPDLTKLLSSSPRLFSTHMPYHTLQEALKDSPCKVVYICRDAKDSLVSRWHIICRCLNKEEDRSILESMFESFCSGVCLFGPYWDHILSYWKASLENPKRVMFMRYDEVKTDPHGQVKKLAEFLGCPFSEEEVNNGAVDEILEMCSLPSLSSLEVNKTGKSINGIDYKNHFRKGMVGDWKNYLTPEMGNKIDIIMEEKLKDSGLKF >A04p011850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6407920:6409126:1 gene:A04p011850.1_BraROA transcript:A04p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSYLSIFHQNKDGAVKNDTETMPSAPIPINAGGGRTGNGKKKTRRAMPLMRFSRNGNTEVTECDKSTIMKRVSIPARDLRIRGPLFSSSSNILGREKSIIVNLENIKAIVTANEVLLLDPLRPQVLSLIDQLKKQFPQRNVPEGIQYSQEAEEGFQSELPFEFQVLESAFEVVCSFFDMRVTSLETEALHVLAELIEKVTTENLSIVRSLKSNFTCLIASVQKVKDEIEHLLDDDKDMAELYLTRKVIQSQQEDVEDLDMLLEAYLIQVEGTRNKLHTMRKYIDDTEDYVKIKQKDKRNRLLVFKLMINRASFEISAGTLVVSLFGMNIPIPLYSTNGVFGYLVLGVFVMCIVLFMVTFGKAGWKKLL >A02p019260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8906543:8912443:-1 gene:A02p019260.1_BraROA transcript:A02p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) UniProtKB/Swiss-Prot;Acc:Q9LTB2] MAGESVDEFLNRCQQSGDAAYGALRSVLERLEDPKTRSSARIFLSDLYKRVGSSDTCLQKYHFHIQDIVLDQYEGFQSRKKLTMMVIPSIFVPEDWSFTFYEGLNRHPDTVFKDKTISELGCGNGWISIAIAAKWLPTKVYGLDINPRAVKISWINLYLNALDDNGLPVYDDEKKTLLDRVEFYESDLLSYCRDNKIQLERIVGCIPQILNPNPEAMSKMIEENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPAGIMIFNMGGRPGQGVCRRLFERRGVRVTQIWQTKILQAADTDISALVEIERSSPHRFEFFMGLSGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPNQVKKIFDFLKNGFQEISSSLDLSFEDEAVADEKIPFLAYLASVLKNSSCFPFEPPAGSKRFCSLIAGFMRTYHRVPINQDNIVVFPSRAVAIESAFRLFSPRLAIVDEHLTRQLPRTWLTSLAIEGTSVDTSADQVTVIESPHQSDLMIELIKKLKPQVVVTGLAQFEVITSSSFLHLLDVTKEIGCRLFLDISDHFELSSLPASNGVLKYLAENQLPSHAAIICGLVKNKVYSDLEVAFVISEVDGISKALSKTVEVLEGHTAIISQYYYGCLFHELLAFQLADRHAPAEREIEKTKSEEIIGFSSSAVSVLKDSELSVTENGDTSLIHMDVDQSFLPIPRSVKAAIFESFVRQNVSEAEVDVNPSIKQFVTNNYGFPTKSSTGFVYADGSQALFNKLVVCCAQEGGTLCLPAGTNGKYVAAAKFLKANVVNIPTESSDGFKLTKITLTKALESVKKPWVYISGPTVSPTGLVYSNEEMGVLLSTCAKFGAKVIIDTSFSGLEYSSTTWDLKKSLDASLSVSLLGCLSLNMLSGAMKLGFLVLDQSLVDAFHTLPGLSKPHSTVKYAAKKMLALKEEKASDFLDAVSETIKTLQGRSKRLKEVLEKSGWEVIEPEGGISMVAKPKAYLNKIVKVKEGEVELKDSNMRDVFLSHTGVCLNSGSWTGIQGYCRFAFALEDSEFEKAIESIAQFKSVLGN >A03p059400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25823314:25825109:-1 gene:A03p059400.1_BraROA transcript:A03p059400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLPISSNGLMKRPIECFIEPKEKAALMHKEPGATWAKGISVNRNSQILSISALLILSHRIMEFFLEMLLTAVAAVLFSFLVAKLVSVSMVGNSGGVVNDQAEETEIGVVAVEEELCSGLKVDAPVVQSERRLGAVVVDENVERVDRFGSEADRVVDEVKEGTKGEDWVVKSDESSAAGSPENVIAEEMMVCGEDKQRDSAEELILRTVGAESTASVSLENVRAEEIMIGGEEVRSEEDVISEEVVVTESVEVSVEESNTVEETEHKMELNTEEGEQNEEKEELSIVEDDDDWEGIERSELEKAFAATSSLLEVSGKAEEVGDEVKMELYGLYKIATEGSCRETQPMAIMVSARAKWNAWQKLGNMSQEEAMEKYLALVSKEIPRLMNTVGKIPVLPPNSGSLEDPTTLGTTGVAFSENGKTNCAMISLRQRFLYFSFQHSFIYFFF >A03p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:871857:874121:1 gene:A03p001740.1_BraROA transcript:A03p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSATSLAPGFRFHPTDEELVRYYLKRKVCNKPFKLDAISVTDVYKSEPWDLPDKSKLKSRDLEWYFFSMLDKKYNNGSKTNRATEKGYWKTTGKDREIRNGSRAVGMKKTLVYHKGRAPRGERTNWVMHEYRLTDEELKKTGAPQDAFVLCRIFQKSGTGPKNGEQYGAPYLEEEWEEDKMTFVPEQEALSEGLGVDDDVYLDIDEFEEKPENLVVYDAIPVEPNYIHGESSNNVESGNYSDSGNFFQPGNYAVDSGGCFEQPIETFEEDQKPIIRDGSIQPCSLFPDEQNDENVVNLQTSNDNVFAAENGYSDIPIDTNYMPDESFIDPSNNLPLSDGLYLEGNDLGNALPDDFDFEDYLDFFGDEDGQNLTLDVSQLLGSEDALPEQEGGLEQIQPSSGDLEKEVAQGKEAVEKDESGEGSTSKHDADVTDFDSASKYPFLKKASQMCGATSSFASQFQTKDRLHAGQSSGSVHVTAGIIRISNMNLAADMGWSYDKNNNLNVVLSFGLVQRDDGMSSSVSKTGGVPATRAMLIFLCLWILLLSVSFKIGTMVSAR >A02g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11001945:11002382:-1 gene:A02g503350.1_BraROA transcript:A02g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCVLSPKSIPGRESDSDREDLEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLK >A05p043200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26084108:26085023:1 gene:A05p043200.1_BraROA transcript:A05p043200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATVAAVKPSVAVKGLGGSSLAGAKLSFKPSRLSIKTKSIRSGGVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSLLTYVSASSTGDVLPIKRGPQEKPKLGPRGKL >A09p078430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58037222:58040412:1 gene:A09p078430.1_BraROA transcript:A09p078430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQVKERTLEETSTWAVAVVCFVLLFISIVLEHSIHKIGSWFKQKHKKALYEALEKVKAELMLLGFISLLLTIGQTPISNICISQNVASSMHPCSAAQEAEKYGKKDSGKKDSGKKGGDDDEKPSHRLLLELAESFIPRRSLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAVVHVIYCIVTYALGKTKMRRWKQWENETKTIEYQYANDPERFRFARDTSFGRRHLNFWSKTSITLWTVCFFRQFFGSVTKVDYLALRHGFITAHFAPGSERSFDFRKYIQRSLEEDFKTVVEISPVIWFVAVLFLLTNTNGLRSYLWLPFIPLVVILIVGTKLQVIITKLGLRIQEKGDVVRGAPVVQPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFAWSTYEFNLKNCFHESNADVIIRIVVGVVVQILCSYVTLPLYALVTQMGTKMKPTVFNERVATALKKWHHTAKKQTKHGRHSESTTPYSSRPTTPTHGSSPIHLLHNFNHRSVESFPNSPSPRYSSHHDDHQFWDPESQRQEAGSSSHHSLAHESLEKKPVLASVELPPIRTSKSLKDFSFKR >A02p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28358742:28359774:1 gene:A02p045110.1_BraROA transcript:A02p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNACDPDFGHNGDGTIDKLCMFQVLGPNYLGELLEAIDPRSTYQHFLEEIASVQIVKGGFSVMDPGMIQTLMFMPVPLIFHQKVEMLEVSLRETTRTLNGHAEIIETTKPNQTDTTYSQMLNGLERKTIRTTMINANHVWSQSVSHATARVTSATATSSLSY >A02g512970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35590610:35591927:1 gene:A02g512970.1_BraROA transcript:A02g512970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLTLHLFLPDAHREITPERRSLVVKSTVGEVSFREPETSRDNEGIESLKLKLLSVVSGLNRGLVASVDDLQRAETQRLFFASSTNYVYALHLSSFQNGRDRAKGLPVEICSIDLEAGDFITAFDYLAKKESLLIGTSRGLLIVHEVESNVTELVGNIGGVKCLSFSPTGVLLGLVSGFGQLLMHSYHRLVSTKSDGEESDLEAGSDLLLRQLQQVNAQMQAWVSSGGSEMVSHTLTRHQDILQDLTQLRLFKC >A02p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5728233:5731676:-1 gene:A02p013070.1_BraROA transcript:A02p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLIFMLVIAMCSGLNEGCVWCNKNTLEVQNQLTPGSILKVNCSSNRKHVEGLRELKFNEKYNIAVSELGIGRRIVWRCTLRHGDKVKSSQTIWRAYRGASQARSGEKRSWIARVDGIYLEKNGKAKGLEHHWISVVSPDLFTSFSPLRLYHTMPSQASTVLHKAGFVAFPISSSLERLCKSVDSPDLLTTSPLPPSHYAVSSIDGSSQSHGVVARRPIAISNQIGLQTFNVAYDSRASHLKFLPFNIPTSSYRYINVVFDYHSFQQTAMGSKVNFFLPSSMSPRFLIHLKRKITEKNDDENVATNVENQVERTYAVARNSETNSMTKMTS >A09g519110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58733862:58734735:-1 gene:A09g519110.1_BraROA transcript:A09g519110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKSSNVCTSLSSSRREPPQIDLARALSQVQSAVKPIKKDAKRSVMQKNLLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLTKKRKTVTIILMFIDLLIMCSIGSGRCGQVKKLTIKMSSLLYIVDIKDGVMFLFMISLHLISTIIVVVRTTNTTTMLLPLGPSTPVLEPVVDVLLSDLAVLSKLF >A06p004150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1039431:1042359:-1 gene:A06p004150.1_BraROA transcript:A06p004150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAISGTDPRNPPRDRPNNGGQRRPRGKQVPSRYLSPSPSHSLSSSTTTTTTTTTTSSSSSSSSLKTSKRYPSPLLSNSASNSNKTPSFPPKRSQSVDRRRPSPSPVTEMSAATKMLITSTRSLSVSFQGEAFSLPISKKKEPVSHRKPTPERRRSTPVRDQRENSKPADQQLWPGASRSVAPNPLSRSLDCGNERGKLGSKVSINGRLSLDMEGRDDECLETGRRPNSGLTSDLTASDTDSVSSGSTNGNGEISKSKSLPRNVMASARFWQETNSRLRRLQDPGSPLSSSPGLKTNASSKFGGYSKRFSSSDAVPSSSPRGMASPVRGAAIRSASPSKLWATTTSSPARALSSPSRVRNEVSAQMNAYNRNNNTPSILSFSADVRRGKIGEDRVMDAHLLRLLYNRYLQWRFVNARTEYTLMVQRLNAEKNLWNAWVSISELRHSVTLKRIKLLLLRQKLKLASILRGQMGYLEEWSLLDRDHSSSLSGATEALKASTLRLPILGKTVVDIQDLKHAVSSAVDVMQAMSSSIFSLTLKVDEMNSVMVEAVNVTAKEKVLLERCQGFLSRVAAMQVTDCSMKTHIIQLRPITSSLTSQQQL >A07g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21961115:21962038:-1 gene:A07g507990.1_BraROA transcript:A07g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTTSYPTGIYVCVFVRRFGLTARIHIDAPYFSFLLVFHDRGKISQGFSRTFCLARCRISLKELRNRVFFCGLGLFRTEGRPCERTHDHVLFFRLLFESENIFWTSSNAAVLTLTAVTFASECFERCRRRNRCRRRDRHRDIALGDNSCPFSPLQPRSPYMLPLRRFTFFPSCPRSSLSLCGNIPFSFDLGIHLRKLDIYLQKLDIYLFLHNKR >A07g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22844014:22847411:1 gene:A07g508330.1_BraROA transcript:A07g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSETVPYCGWNERHVRNAKGKVEARYYLERKDGGVDLAVVGRVKSSSKRMSFRYALEKNHSVLKKLGSVEDVKGWLDSIVSGYVSGKICSFVLELLHRFVAPFAAKSINDLNFAADRSALHLLVLSMSAPIDFFFFFKFRCEMPHVADVPATTMTEQAAGGFNIRTSMSGKYQKPIHPTIDFSWMGSSWTCRKRRRHYPSFSRNGVKVSVNDFVYVLAEQNKRLVAYLEDLYEDSKGNKMVVVRWFHKTDEVGVVLSDETVDREIFFSRCLQDIKIECIDGLATVLSPEHYDKFLKLPMSVQLPPFFCQKIYGDDGLKPYDITQLQGYWRQEMLRYLNVSNFKSGEGAQALGTDSATGASLVGCVGIRSKRRRSADGITDDCKASPDSVDVEASEASMCKEEKDGYYLKKGSLVEVLSQDSGIRGCWLKALIVKKHKDKVKVQYQDITDADDESKKLEEWILASRVADCDQLGLRTAGRKIVRPVLKPSNENNVWVVGVGMPVDVWWCDGWWEGIVVDKVSEEKFEVYLPGEKKMSSFHRSDLRQSMEWSSDEWVHMNSRSDIVSSVLSLMKEKEVEVKHDEKPSEVGDGVKISPKGEAKTTVSLPAATSSKPSTKKPVPDLLKDVLVSALNWEPSKKRKRTASYCKHKPSPTEGLSRERSLDCKRCSSMGDSLFSSSVVGRRKRNRIVSCCPHKPSLTDGFSCEKPLDCENGKFMGDSVFGSSVGQPLTGLVMSR >A08p041460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23380263:23388211:-1 gene:A08p041460.1_BraROA transcript:A08p041460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSDGVDKTVPELKLRIEDSEKGDYVKLRGGSDEEEQRSPAESSGCSLGSVWFWVKSIALVACIGVLASVIIKWVAPFLIEKELIPFINWVRSTFSIPVLGLLLFASVALFPSILLPSSPSMWMAGLTFGYGKGFLLILSAASIGVTLPFLIGHLFLHKMQEWLKQYPKKAAILRAAGEGTWFHQFQAVALIRVSPFPYMVYNYCALATGVHYGPYILGSLVGMVPEIFVSIYTGIMLRTLAVASDKRHTLSVVEIVVNVLGFCVTASATIVCTIYAKKKLSSMQSDEVETLPNSAKINPPEAIRETVPFRNERFLIPCRQGGEKEMDQGRQVFAVDLLERYATKNRGMITCMASGNDVIVLGTSKGWIIRHDFGLGSSYDIDLSVGRTGDQSIHKVFVDPGGSHCVATVTGVGGAETFYTHAKWPKPRVLSRLKGLLVNAVAWNKQQITEVSSKEIILGTQDGQLFEMAVDEKDKREKYVKFLFELEELPEAFMDLQMETANISSGMRYYVMAVTPTRLYSFTGIGTLEQRRAVHFAWLSGTGIYHGGLNFGAQHSNPNGDENFVESKALLDYSKLSDGTEVVKPSSMALSEYHFLLLIGNKVKVVNRISEQIIEELQFDISADSASRGIIGLCSDASAGLFYAYDQNSIFQVSVIDESRDMWKVHLDLKDYAAALANCRDPLQRDQVYLVQAEAAFANKEYLRAASFYAKVNYVISFEEVTLKFISINELESLRTFLLRKLDTLSKNDKCQITMISTWATELYLDKINRLLLEDDTAIENRNSEYHSVIQEFRAFMSDSKDVLDEATTMKLLESYGRVEELVYFANLKEQYEIVIHHYIQQGEAKKALEVLQKSSVSEELQYKFAPELIMLDAYETVEAWMASKNLNPRRLITAMMRYSSEPHAKNETHEVIKYLEFCVHQLHNEDPGIHNLLLSLYAKQEDDSALLRFLQCKFGKGRENGPEFFYDPKYALRLCLKEKRSRACVHIYSMMSMHEEAVALALQIDPELAMAEADKVEDDEDLRKKLWLMIAKHVVKQEKGAKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKEEMNDATRGADNIRNDISALTQRYAVIDREEECGVCKRKILTMTGDFRMAQGYFSAGPLAPFYVFPCGHSFHAQCLITHVTSCAHEEQAEHILDLQKQLTLLGSEPPRNINGNRSDEPITSTTTADKLRSELDDAIASECPFCGELMINEITLPFIKPEETQHSASWDLRPQTNLANQRTISLPI >A02p033310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17708306:17709322:1 gene:A02p033310.1_BraROA transcript:A02p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRASTHQEPAENGAGGSDNNNNNFNSAMREPMFQKVLTPSDVGKLNRLVIPKQHAENYFPLEDNQTGTLLDFQDKNGKMWRFRYSYWNSSQSYVMTKGWSRFVKEKKLNNGDTVSFHRGYVPDDNEPEQRRNILFIDWRNRPDTNLLHNINHHHYPILGPPPYPTASYYPVTEYSMPHYGRFPSLYHNQFLGYGYGPYGKTVTGGRYYAGSPLDHHHRWNLGRSEPFFYDSCPVFPTTRLTSSLAMLPSSPPSQPPQEGTAKKLRLFGFDVEESSSSGEARAEMGVAGHSSSSPVVIRDNESSWRSPRGEMGGLSSSVVNLSDDEDYKRKGKSLEF >A01g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8856381:8858516:1 gene:A01g502620.1_BraROA transcript:A01g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLTGGRGGGGFSGGRGGGGFSGGRGGGGFSGGRSGGRGRGFGDRGGGRSGRGMRGRGERGRNGRGAPGRGGMKGGSKVIVEPHRHPGVFIAKGKEDALVTKNLVPGEAVYNEKRISVQNEDGTKTEYRVWNPFRSKLAAAILGGVDNIYIKPGSKVLYLGAASGTTVSHVSDIVGPARIVALNSSFFLKTGGHFNISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPAEQVTLEPFERDHACVVGTYRAPKKTKVAA >A08p006880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3983413:3985160:-1 gene:A08p006880.1_BraROA transcript:A08p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFQFHRFEVNQHFIEEVMPILLKSGQSASREEAVKEMKDCRSTVHPWCRSTHSLNLKSCSRTFDSSKAKDLLGYAPVVPLQEGIKRTIDSFSHLTAQNPPKKEVNDTVQWKKQTLIAIVILITLYINLLITLYINFVATTGYSAIPIAILVALTIFFLRFFGNKKSD >A01p057720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32727878:32730851:-1 gene:A01p057720.1_BraROA transcript:A01p057720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 19 [Source:Projected from Arabidopsis thaliana (AT4G38130) UniProtKB/Swiss-Prot;Acc:O22446] MDTGGNSLASGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQIRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGSVKLNHGLCDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKQHERVLYVDIDIHHGDGVEEAFYATDRVMTVSFHKFGDYFPGTGHIQDIGYGSGKYYSLNVPLDDGIDDESYHLLFKPIMGKVMEIFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVEDKMPEHEYYEYFGPDYTLHVAPSNMENKNSRQMLEVIRNDLLQNLSKLQHAPSVPFQERPPDTETPEVDEDQEDGDKRWDADSDMDVDDDRKPIPSRVKREAVEPDGKDKDGVKGVMERGKGFDVGMEESGSTTKVSGVNSVGMDEAGVKMEEEGTTNKSGGDQVFPKT >A04p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20354522:20356026:1 gene:A04p035250.1_BraROA transcript:A04p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT2G38760) UniProtKB/TrEMBL;Acc:A0A178W040] MATIRVPDEVPSPAQDSETLNQAFRGWGTDEKAIIRVLGKRNGSQRKRIRESYREIYGKDLIDVLTSELSGDFMKAVVLWTYDPAERDARLANNVLNGKKKSIEKLKIIVEISCTTSPNHLIAVRKAYCSLFDLSLEEHIASSVPFPLAKVLVTLATSFRYDKDMADTEVATIEAGMLREAITAKQLDHDHVLYILGTRSIYQLRETFDVDGCPGDADLKSLLQMVILCIEFPEKHFAKVVRDSIEGFGTDEDSLTRGIVTRAEVDLMKARGEYYNMYNTSMDNAIIGDVSGDYKDFLLTLLGSNI >A09p055520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47486966:47493607:-1 gene:A09p055520.1_BraROA transcript:A09p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDRRKRDMATNHGGYKPSYGRGGGGGGGGSMVVLSRPRSSQNAGQKLSVPPPLNLPSLRKEHERVDSSGSGFLSGGGVSGSGARPASSGMGWSKPAGERVEEPLIRSVEKVSTLRGEDFPSLKASLPSASSVSETQKHKDGQNQNQKQKREEFPKENRVVIDVRPQNRFLGNEELSERPSFSDGVKKKDYLLPGPLPIVRMSPRSDWADDERDTSHGFRDRDYGYSKSEPVVVREANAWRVSSPLQNEVASSNNNNNNSRGIYGARPNSRGREGLKKGNYVLSGQRDNVWNSSGAREAHGGGRQPWSNNNGVDHQNRDKRPLFKNDKPHVEDHFGDSGFDVHDPFPVLGVAKKKKEALKQTEFHDPVRESFEAELERVQKMQEEERRRIIEEQERVIELVRTEEEERLRLAREQDEQQSRLEEEAREAAVRNEQERLEAARRAEELRKSKEEEKHKLILEEERRKQAAKQKLLELEEKISRRQAEAAKGCSNNSSEDVVKEKESSDVDWEDSERMVDRITTSSASRDGSFGFQDRHKPFWRKEDVESGGNSRFIENVPQRDVGEGFPKEFFGTAGYIGNANSYFKPGFPEHSVDQSWRTPGDGRSYGRNYGMEQPEPEQQYGNNPGWGQSQSRGRRGGAYPAYPEKLYPNHEEDDYYPFGRPKYSVRQSRVLPPPPQESRQKLPFRSEAEHHPGPSSSVLASYIGDLQDHHHVMSGTGMDDHRFDSKLAGRCDSQSSLSITSTPDSLVHISHDDLDESGNSSVLVSSRKGDGGGGLLDAGKDSLMNATCPVSCWDNEEWALDNNERLQEQEEYDEDEDGYQEEDKVHGVDENIDLTQELEELHLQEKDSNLVLGFNEGVEVEIPSDDLEKCQQKDSFLDGERPSSCDEQAAKPAEVSDNASTSFQGTETNSTSYPTVSTHLVAPPYSIGLHQSHVPSASAQMEERVQFQFGLFSGPSLIPSPYPAIQIGSIQMPLPLDPQYGSSLTHIQQPQSPFIQFGQVGYTSLITQGVLPPPPPPQNPGSMATGQLGQGRNAAAPTSNHQISVLRRPVDVSDGDKLKNANISPASVEAAVAPPHKQGEFSGKSEPASRKMSHGRSNFADRQSGGGQVVSRNSGGPGEASRGESGGYRRYQRQRVEFRVRESNWPSEENRNGNGKSQNSNRSGSRKYVVSKSQKYSSDSSVSGSNSVQKVEGPSDDNDDDFIEVRSKRQMLNDRREQREKEIKEKSQASKASRKPRSTVQNSTAAARSNRSPPGGRVVNTKQINPVSNRQLLAPIGTPSPKTDSHGDEKTGSSRDIPDQNPASSFVFSNKNNVLESVQTSVGTWSNQLTDQPVSYILCSMGAELLIEKTVMALTQSQLDEAMKPASLLSCVSVENGVNRISEPNPKSTSSVAPSKNNTFPSPVNSLLAEGKIQFGAVTSSSVIPPCGENNTSLYFEKDRTPSSSGMEICEAEAAASAIAVAAITSDETSGNTASVLPTETKIYGGTSGTVVAQPSLSKAEESLTVSLPADLSVETPISLWPPVPSPHNSNQMITHFPQAPPHFPFYDMNPMLRGPIYAFGPHHDSGANQPQSQKGPSGPPTTWQQQQGHSGVDSFYAPAGFTGPFLTPPGAMPPGVQGPPHMFVYNHFAPVGQFGGLSFMGTTYIPSGKQPDWKHNPMVSSSQAGGDGDVNNPNVSPMQCNVVPASLQHLAMPSPLAMFEPSPFQSSSSQEMPVRARWPYMPFPGPPTMQIQNQQEAVDGSPSQFNNNNMLPPPANRYPNIQTPTVADAVDSSNSYGSASQPKPITTLSDPNTQNPANPAFKPPPQPQQQQQQQSSQEKNTQSQHVGGSSHHNQHQHQHNRRSGFHGRNQAVTRERGYHNNNTKVKQIYVAKQSSSNSNNASASASSTTTASPSI >A02p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22215528:22224808:-1 gene:A02p038830.1_BraROA transcript:A02p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESRSSVRGSNSVPDLSLQISLPNSHAEKPLHGSERSFTTSSDSGSSLSELSHENSFLKKHLLSLGFDHHHPYHHHQRHSSNMFQPQIYGRDFKRTSSSVVSLKRSIRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKCTDKRSSGERKVEKEAEQKTEDNNNNNEDADADPISPNSSSVQKTQRSPWSSTKGVSMSISQADPHMEINRITKDDVEKDHLSLEFTLGRPSWGIDHVGELRRWDQGRLIDPTCRTDKLDGIARSNSPNGRVGRLARSNSPNRRVGRCILASWTMGVFAVWDPLSEALCNLPRRRIVRKASTKSHHDRYVGNGCSSQYDNSAPEVEFLEHSIDPEEANAYWAARGNLKPPRPGTLHPHPFHADPVEGFLSRSCPNGIAAIQSFCRVPESVEFCLPVAGEVSESPPDGYFTCFEAYLMQYHLWFPLPEVIVRLFTCFGLSISQVNLCGFKHIVGILVLSYEHGITLDVNHLEGMLMLVGNSAIVRLSPQNDMAIIAEFVLNFHDWKSFFFFVQIDNAFMEESCIPILRTRWVGKFHSIVTNPLPTTLDGLYTIRDILRDGPLFWATFTPKWVRRSPPFSISAGFASRRRGRIEHGRVRSARGSHRKEGSRTRKEKHIAVDDDASDGEGFPEDILGEYLNCGVPIDLDELLGSDVPASEDGSDKGPKFTKASRMVNGGLLMMNRALDASSQEARMAQFRAEMADKKIALLRDELERSRRCEGELTTKEIRRAYRRGKKEMDEESYQALGAYRECRSTVGGLYLMQAPDYSFAAENVMQIRHMKERYRDFALPQIEERIWKQWEPIPVSPDTVEAETGAPDETGEVNQPSVPFNVNDYSVGGIDDRVLRDVLNNSTEVRPSDRTNQTNRAVYRIDPRTSGMEFQLEPQPDDHTRARLSQPSRHSKDNSRAILRLGREEPKDGHAFSPGEPFGQSRVCPSPYYHAPIWVKPRLTFGFKIYAVTSRLSIYLLRF >A03p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1129543:1132386:-1 gene:A03p002460.1_BraROA transcript:A03p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05740) UniProtKB/Swiss-Prot;Acc:Q9FFK3] MNLAASFRANYGVLPQCCSSRCCSLQFQPLVATSSLGFGQRDSYRRKKELKLFPVQRKRETFCRVTDAQTEPDGNNDEESGGEDKEALADEPPSQAPPTELVPEATVVDEAVGSNEENKVQFSSQDGDKLEVSTGSPLPGVNDGDKLEVSTGSPLPGVNPLQLDDSMRLPKETIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGQPAKSYEKIKTRLENKFGDQYKLFLLSNPEDDKPVAVVVPRRSLEPETTAVPEWFAAGSFGLVALFTLFLRNVPALQSDLLSAFDNLELLKDGLPGALVTALVLGVHELGHVLVANNLGIKLGVPFFVPSWQTFDEHVLDWQIGSFGAITRIKNIVPKREDLLKVAAAGPLAGFSLGFVLFLVGLVVPPSDGIGVVVDSSVFHESFLVGGIAKLLLGDVLKEGTSISLNPLVIWAWAGLLINGINSIPAGELDGGKIAFSIWGRKASTRLTSVSLALLGLSALFSDVAFYWVVLIFFLQRGPIAPLAEEITEPEEKYVYLGILVLFLSLLVCLPYPFAFTGQDAMMIGL >A02p048200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:29988103:29989107:-1 gene:A02p048200.1_BraROA transcript:A02p048200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHQEPHLPNYMKDDKVSHETKNLISTLPSDKDFMGYPLYNYKGCWYYPNILQAVLDVQKHFRPRDTDIILASLPKGGTTWLKSLVFALVHREKYLENPQTHPLLSQNPHDLVPFLEVELYAGSQTPDLTKFPSPMIYSTHMHLNTLREATTKSSSSPCKVVYVCRGIKDTFVSGWHYRNMLHRTKMDQATFELMFDAYCKGVILYGPYWEHVLGYWNGSLEDGENVLFLKYEEMIEEPRVQVKRLAEFLDFPFTEEEEKSGSVEEILKLCSLRNLSNLEINMNGTTRIGIDSNVFFRKGEVGDWKNHLTPQMAKKIDDIVECKLQGSGLIFQ >A08p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20120389:20121928:1 gene:A08p033520.1_BraROA transcript:A08p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDX15 [Source:Projected from Arabidopsis thaliana (AT1G28960) UniProtKB/TrEMBL;Acc:A0A178WAX9] MFLLHRRLPLLARTTFLCNSMEPAVTTTATTSSLGGSSRLAALAQQLRMYKPPPSSSFDEAEEMQADQESAGKVVSQVGFQESMTPVPKDPERFRPKRAAVLICIFEGEEGDLRVILTKRSSRLSTHSGEVSLPGGKAEEDDKDDGMTATREAEEEIGLDPSLVDVVTSLEPFLSKHLLRVIPVIGILRDKKTFNPKPNPAEVEAVFDAPLEMFLKDENRRSEEREWMGEKYLIHYFDYRTGDKDYTIWGLTAGILIRAASVTYQRPPAFIEQCPKFKYPKMVEKNTCMP >A06g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17692570:17699566:-1 gene:A06g506220.1_BraROA transcript:A06g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMDFGVASPIPHWAIHLSLTPHSSPFQVRPTSRSDYRTGAIGLWASIAFFRFYLYRAFRPLDFYRYMPPRRRTTRAQTARAVRDNVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQETARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQHDHQDPVQQVPLPQVPLQQGPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRFAGVGISEEDMIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAVSGSPELVAGATVCRDHVQLVTPDQHPRPPSCSSRRDEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSPSAGATVRPARRFAAVREKLPPRALAAVAAADFPVSRRHPPLLPPGQVPVIRRRLANSAESTRIVLPDTETDFCMPDCMRGYGQSVDRLDRSLVWSINRLRAVTPSTLSEVLFGLLVGGESAPR >A04p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21595481:21597299:1 gene:A04p037880.1_BraROA transcript:A04p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEQDSPAGDGSKPNSHFVTFTSDRSHTPQRSMPSTQPLTVAARSLRSRILSRSGSTSAGASRWATPGHEERPKGFFMNRTPPPPGQSRKWEDWELPCYVTSFLTIVILGVGLNAKPDLSIETWAHQKALERLEAERLAGDSSD >A05p051410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29963802:29967617:-1 gene:A05p051410.1_BraROA transcript:A05p051410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase C, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06500) UniProtKB/Swiss-Prot;Acc:B9DFA8] MMMTSRSCICVSAMKPCCRFLISFKSSSLFGVSPTSSSRLINSSKLLQCRKIESRSIRSGVHCRRRNVFCNSDSISWGGSRCSSSIGRGRGRGILVIPRVASDFRNQSSSSSLDSHVNKDKSFESLFVKPLVFKEIPKKESGGKEDAKFGNVRVKGEREEVSSQSEAEREAWRLLRGAVVNYCGFPVGTVAANDPGDKQKTLNYDQVFIRDFVPSAYAFLLDGDGEIVRNFLLQTLQLQSWEKTVDCHSPGPGLMPASFKVKSVPLEGNDGSFEECLDADFGESAIGRVSPVDSGLWWIILLRAYGKLTGDYTLQERIDVQTGIKLILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSSLRCAREMLNVNDETKNLVAAVNSRLSALSFHIREYYWVDIKKINEIYRYNTEEYSADATNKFNIYPEQIPSWLVDWIPNRGGYFIGNLQPAHMDFRFFTLGNLWAVVSSLGSQEQNEGVMALIEEKWDDLVANMPLKICFPALEQEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKLDLAKKAVAVAEKRLKEDQWPEYYDTKSGRFVGKQSRLYQTWTIAGFLASKKLIEQPEKASLLFWEEDYQLLETCVCGLNKSSGKKKNKCSRFTPPRS >A09g514320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42905037:42906432:1 gene:A09g514320.1_BraROA transcript:A09g514320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFFKTPWTCRREMLSPRRPRQNFDLVRNNESLYDKRIHFASLLLRVVPTKDRTNDVYKKDLNSRCRCYIVGSHPSETTSKEVRNPLFDSDSPTPVVAFLSVTSKVAASALATRIFDIPFYFSSNEWHLLLEILAILSMILGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIILFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLHLFWCGWRAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPHVRNYRISPLRSTNSIELSMIVCVIASTIPGISMNPIIAIAQDTLFSF >A07p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14617423:14620107:-1 gene:A07p025350.1_BraROA transcript:A07p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQRRGAMAMEKSSSKYRTQFWYVAMVSFLLWLVLLYLFSSSATTVHTHERLFRQENVINLPINVPKHVQESDQAVLPVDVPKHDQEPDQPVVVSDVDNNTLPVNTSQEVQISEDAKVVTDLVEELEKEKIENEKKRADSGLSGRTTRSRRGHREPRKARLEPEKKRVRHNDDDNERNVVNSDENHQSYDKELNFLEPKDDVGSKKDRVGKEMANDLSNNDIESDNTSETVSEPKTQRHFTPNKTVSVAKNRVTSRRNRPKVMVRPRATRRNDPCRGKYVYMHDVPSLFNEELLKNCWTLSRWTDMCELTSNFGLGPRLSNMEGVSGWFATNQFTLEVIFHNRMKQYKCLTKDSSLASAVYVPYYPGLDLMRFLWGPFPFMRDAAALDLMKWLRERPEWKRMDGRDHFMVAGRTTWDFMRTPENESDWGNRLMILPEIRNMTMLLIESSPWNYHGFAVPYPTYFHPSTNAEILQWQNRMRRIKRRYLFSFVGAPRPNLGDSIRTEIMDQCKASRRKCKLLECVSGSQKCYKPDQIMKFFLSSTFCLQPPGDSYTRRSTFDSILAGCIPVFFHPGSAYAQYIWHLPKDIGKYSVFIPEKNVKEGKASIEKVLSRIPRGKVVAMREEVVKLIPRLMYFNPSGKRGDAGRFEDAFDVAVDGVLQRVEGLRKRIEEGNEEIFEFPEQFSWKYNVFGNVEKHEWDSYFDRH >A09p011320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5693152:5693970:-1 gene:A09p011320.1_BraROA transcript:A09p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKLSSLSLCFILICIIFFPQQSFSCGSCNHRKGGKPSIKPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPELPLPPVGGLPIPPVGGLPIPPVGGLPIPPVGGLPTLPLPPLPIVGPLLPPGTNPPTPGGKDCPPPPGSHKPPSGTGKATCPIDTLKLGACVDLLGGLVKIGLGDPAANKCCPLLKGLVEVEAAACLCTTLKLKALNLKLYVPVALQLLLTCGKNPPPGYTCSI >A08p027920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17570959:17571150:-1 gene:A08p027920.1_BraROA transcript:A08p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVVITELLVEYTAALAKLTAGILPRRQGDRDVVRIGGFSLRYPPRSTTTIPDFSSHLVDF >A01p044030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24595825:24597648:1 gene:A01p044030.1_BraROA transcript:A01p044030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 4a [Source:Projected from Arabidopsis thaliana (AT3G22440) UniProtKB/Swiss-Prot;Acc:Q9LUV4] MGSVSDPGELTELAQPSFEEFQKQTSLMTSCTLLWKELSDHFTTLEENLMKKSEALKEMIGALDSQTQSSLESLKRREATIERSVEIVAGKVGERARAALESLEKARDGGDDGGGGGEVDDEEGLLSTLKSLCLKMDARGFWSFVTARKKELEGLRSQIPAALVDCVDPAMLVLEAISEVFPVDKRGSGEKMTNDFGWACVVILESLAPVMVDPVIGKTRLLVTPSVKEKAKEIAETWKASLEERGRVENVKTPDVHTFLQHLVTFGIVKSEDLGLYRKLVVGSAWRKQMPKLAVLVGLGDQMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAYLRDAKKTVAEDSSNTGRASHLVARKEQSALKAVLKCIEEYKLEEEFPPENLKKRLEQLEKTKTEKRKPAAVPANKRTRASYNGPMPPAKAGRITNAYVSSFPFIRSPSHSPQYASPAAAYPSPPTTVYSNRSPPYPYSPELIPASYQASPIGYPAYNGYCNGPVPVPAPAPQVYHPHYPQQHHQHAHHQQAYY >A06g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2310292:2310795:-1 gene:A06g500540.1_BraROA transcript:A06g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKCVDSPDLLATISLLRLHYIMPFQAMSVDSLLLRQHYVSITLRVSIINGSSHNCLCDPFTEAVTFYGSSRTSCSQNSLIGFFNVDFDFFAFVRTHDLGLQVKLFYGSLLSLTIFIIRHVLVIFVYRFTVEDFSHCNLLSPLDL >A05p038760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23762136:23765534:1 gene:A05p038760.1_BraROA transcript:A05p038760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISAQNPDISGERQSGQDVRTQNVMACQAVSNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYIEEKLVTKVEKLGKAPLINCAKTSMSSKLISGDSDFFANLVVDAVLSVKMTNQRGEIKYPIKGINILKAHGQSAKDSYLLNGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVVVNDPRELEKIRQREADMTKERIEKLLKAGANVILTTKGSDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVTTFADMEGEETFDPAHLGSADEVVEERIADDDVVLIKGTKTSSAVSLILRGANDYMLDEMERALHDSLCIVKRTLESNTVVAGGGAVESALSVYLEHLATTLGSREQLAIAEFADALLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHYSSMGLDLVNGTIRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKEDGQGDE >A06p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24973274:24974160:-1 gene:A06p046740.1_BraROA transcript:A06p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA thioesterase 2 [Source:Projected from Arabidopsis thaliana (AT5G48950) UniProtKB/Swiss-Prot;Acc:Q9FI76] MDPKSAEFTADNPLRILGFVFEELSATRVSGRLTVTDKCCQPFKVLHGGVSALIAEGLASLGAGIASGYKRVAGVHLSIHHVRPAALGESVFAESFPVSVGKNIQVWEVRLWKTNETEKKKMISTSRVTLLSGLPVPDHAKDSLDQLKKFVSKL >A05p008550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3522280:3525631:-1 gene:A05p008550.1_BraROA transcript:A05p008550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVASSPISFAASFLRTKPVPRFLPIRTLRCALSSSSSSEPIEFDISFAPPKPTPSSPRGNAAFQQLFIPWIVRGEDGKLKVQSQPPAQLIHALADATTQNPKKKVKKKKPQASSSSSSSAVTTSSEPKLSKAARRYYNENIKEPPQRLSKVLAAAGVASRRTSEELIFDGKVTVNGSLCTTPQTRVDPTRDIIYVNGNRVPKKLPPKVYFALNKPKGYICSSGEKETKSVVSLFDEFMASWDKRNPGTPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSSLPKEYITTVAGDVHKRHLMAISEGTVVEGVHCVPDSVELMPKQHDIPRARLRIVVHEGRNHEVRELVKNAGLEVYSLKRVRIGGFRLPSDLGLGKHVELKQSELKALGWKSTTTPIPLNKTLNPLSNTSTNHISKACLETRFLVSTICSTLLKEFFQLGAFHNDARLRHLLLHTLSLISPWL >A05p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5854720:5857238:1 gene:A05p013400.1_BraROA transcript:A05p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLIDLNVTEEQEEETTPSSGSGSLSPCDSSSSASAFGVSGSSSSSGVCLELWHACAGPLISLPKRGSLVLYFPQGHLEQAPDFSAAIYGLPPHVFCRIFDVKLHAETATDEVYAQVSLLPESEDIERKVREGVIDVDGGEEDYEVVKRTNTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQPRPSQELLARDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGKLRLGVRRASQIEGASAFSSQYNQNMNHNNFAEVAHAISTNSAFNIYYNPKASWSNFIIPAPKFLKTVDYPFCIGMRFKARVESEDASERRSPGIISGINDLDPIRWPGSKWRCLLVRWDDTDANGHHQQRISPWEIEPSGSISSSGSFITTGPKRSRIGFSSGKPDIPVSGSGATDFEESLRFQRVLQGQEIFHGFINTSSDGGAGARRGRFKGTEFGDSYGFHKVLQGQETVPAYPMMTDQRHQGMSQRNIWCGPFQNFSTRILPPSSVHVSSPLPSSGPNVRLEDHHGGSGRCRLFGFPLRDETTAVGSGMVVGPCVEGQNGSSIRGGVSAVQSSHHGRDIYGMRDMLLDIAL >A09p054200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46774488:46776453:-1 gene:A09p054200.1_BraROA transcript:A09p054200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.10 [Source:Projected from Arabidopsis thaliana (AT1G22540) UniProtKB/Swiss-Prot;Acc:Q0WP01] MAIAGVDNEAGTPLLSKTVDGSVDYRNEPAVRSSSGGWRSAGFIIGVEVAERFAYYGISSNLIMYLTGPLGQSTAAAASNVNAWYGTASLLPLLGAFVADSFLGRFRTILAASALYVLGLGLLTLSAMIPSDCKVANPLTSCSPPRFQVIAFFGALYLVALAQGGHKPCVQAFGADQFDEKDPEECKAKSSFFNWWYFGMCFGTLVTLWVLNYIQDNLSWALGFGIPCVAMVLALVVFLLGTSTYRFSIQRESRSAFARIGNVYVAAVKNGSVSASVVADAEESLGLISHSRSQQFGFLNKALVGTNGCSLDELEEAKSVLRLAPIWLTCLVYAVVFAQSPTFFTKQGATMERSITPSYKISPATLQSFISLSIVIFIPVYDRVLIPIARSFTHKPGGITMLQRIGTGIFLSFLAMVIAALVEMKRLKTAADYGLIDSPDVTVPMSVWWLIPQYVLFGISDVFAMVGLQEFFYDQVPSELRSVGLALYLSIFGIGSFLSSFMISVIDKATSRSGQVSWFANNLNQAHLDYFYWLLACFSFIGLASYLYFAKAYVSKRINTL >A03p006600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2775194:2777726:1 gene:A03p006600.1_BraROA transcript:A03p006600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartokinase [Source:Projected from Arabidopsis thaliana (AT5G14060) UniProtKB/TrEMBL;Acc:A0A178UPF4] MTASLQLYGVQTPGLALSSKRLEFGTKTSSSAIFRTVEHSCRNIALRVSCEAARVDLIERKETETSSLSGTGKELTCVMKFGGSSVESAERMKEVANLILSFPDERPVIVLSAMGKTTNKLLKAGEKAVTCGVTNVESIEELSFIKELHLRTAHELGVETTVIAQHLEGLHQLLKGISMMKELTLRTRDYLVSFGECMSTRLFSAYLNKIGHKARQYDAFEIGFITTDDFTNADILEATYPAVSKTLLNNWSKDKAVPVVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYSGAQSVPYLTFDEAAELAYFGAQVLHPLSMRPARDGDIPVRVKNSYNPNAPGTVITRSRDMSKAVLTSIVLKRNVTMLDIASTRMLGQYGFLAKVFTTFEDLGISVDVVATSEVSISLTLDPAKLWGRELVQRANELDHVVEELEKIAVVKLLQRRSIISLIGNVQKSSLILEKVFKVLRSNGVNVQMISQGASKVNISLIVNDEEAEQCVRALHSAFFETSS >A09p057600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48503276:48511443:1 gene:A09p057600.1_BraROA transcript:A09p057600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPVVVQQKGLRHHYHILKSAGPPQPKKQKIETVSVLTERPPVPRTIKSNPYAIVQKPKKSDWFLSLANSTQASNKESAKSSAACLFYHFQPSLNLISPPSSPPPPSSHFSLPPQGEMAGKSNKSKAKRAAQSSDSNPTESAPQSDVPAAPAPASVPDNGTVDNAVEATVPETNEVPPPVPKADDSESQAVAASNDDQPKQGELRLYPVSVKTQSGGKMELQLNPGDSVMDIRQFLLDAPETCYFTCYELLLRNKENETHHLEDYNEISEVADITTGGCSLEMIAALYDDRSIRAHVHRARDLLSLSSLHSSLSTTLALKYDAALNKAQNPGDKPNVPELDCLGFMDDVPASLRKLISSPTEDIKCVESIVFSSFNPPPSHRRLVGDLIYLDVVTLEGNKYCITGTTKAFYVNSSGGNILDPRPSKSGFETATLIGLLQKLSSKFKKAFREVMEKKASAHPFENVQSLLPPHSWLRPYPVPDHKRDAARAEEALTISYGSELIGMQRDWNEELQSCREFPHATPQERILRDRALYKVSSDFVDAALNGAIGVISRCIPPINPTDPECLHMYVHNNIFFSFAVDADIEQLSKKRPSSNMAEKIPSSDKVPCKEGTCDGEHNAELNCCSEAPLVENEQATYASANNDLKGTKLYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDALLYGSVDNGKKICWNEDFHAKVLEAAKLLHIKEHDVIDASENVFKLAAPVECKGIVGSDNRHYLLDLMRVTPRDANYTGPESRFCVLRPELITSFCQAESQSKRKTKTDEGTDIVPDPSDVSVDTSKTDDELSHGEENGSSTSEKTVAEKQDTTADSAARSSESSKLCDEITFNPNVFTDFKLGGTQEEIAADEENVKKVSSYLVDVVLPKFIADLCALEVSPMDGQTLTEALHSHGVNVRYIGRIANGVKHLPHLWDLCLNEITVRSAKHILKDILRDIEDHDIGAAVSHFLNCLFGNVTGGKASTNNVNAKNQKKGQGRGKGKASAKKSLASYMMVDSNILWSDIQEFAKAKFEFELPEQARTTAKNVPVLRNLCQKVGISVAARKYDFGSTSPFDASDVLDLRAVVKHSVPVCSEAKTLVEMGKVQLAEGMLSESYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALQNMGRALLLLGLSSGPDHPDVAATFINVAMMYQDMGKMDTALRYLQDALKKNERLLGPEHIQTAVCYHALAIACNSMGLFKLSQQHEKKTYDILVKQLGEDDSRTKDSQNWMKTFEMREVQKTAQKQKGQAVDAANTKKAIDLLKSRPDLIQAFQNAAAAERANALNEAQPRGRGFDERAARAAAEVRKKAAAKGLLVRPQSGVPVQQLSQLINPGTAASSKKSGENGEAKKVEEKKETSGNGKTTNVAAPAGLGAGLASLDKKNQKAKK >A01p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1317997:1320439:1 gene:A01p002840.1_BraROA transcript:A01p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase 2 [Source:Projected from Arabidopsis thaliana (AT4G36050) UniProtKB/Swiss-Prot;Acc:F4JNY0] MKIVTYNVNGLRQRVSQFDSLLKLLDSFDADIICFQETKLRRQELTSDLTIADGYESFFSCTRTCEKGRTGYSGVATFCRVKSASLSCEVALPVAAEEGFTGLVNSGLRGGRSDSSVVAEGLEECEKDELLRVDQEGRCVITDHGHFVVFNVYGPRAVADDAERVEFKHRFYDVLERRWECLLRQGRRVFVVGDLNIAPFAMDRCEAGPDFEKNEFRKWFRSLLVERGGLFSDVFRSKHPGRKDAFTCWSSSSGAEQFNYGSRIDHILVAGPCLHEGDYQQGHSFLACHVKECDILTEYKRFKNENMPTRWKGGLGIKLKGSDHVPVFTSFDDLPDIPKHSTPPLASRYLPMIFGFQQTLGTRKLLFIHSSVMDLMRELSTYFTLLKIGPASVLMKRQANEQARAIEVSCSSSSQSNAIPSCGEISTGPLRNCSLTVPSPEESCSLEKESTGRLITTSGGSRDGNKKKVRRIQSSQQLSLKSFFTSKSASYVSSSQSSSQVESIAEPTVSSKVDDEPTTTSSQEQDQSGSPAKQKNDAALMEWQRIQNMMQNSIPLCKGHKEACVARVVKKPGPTFGRRFYVCSRAEGPSSNPEANCGYFKWASSKFRDK >A02g511840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31921727:31923120:-1 gene:A02g511840.1_BraROA transcript:A02g511840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGFRSEKNQMTYNAVNGVAILLFPELKANGSYKVKKNKILEFLGKNKFPLITKSSESNTAWIMAQLHDQRRFKSKVAAFNNKLNSKYLAEEDPSPSNIEDAMLKEKCKIDLCVVRITGSSNMGISLSRWSELMKEEGESADADIASCYYHWFLRGIHVVTTTQDKSGQISPDFKRSKSVA >A10p016640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2890719:2893439:-1 gene:A10p016640.1_BraROA transcript:A10p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAPAFVYDALPPLSLSDWNQSPATHDESHNYSVYRNEISDLTVDTAPVESETVDFFSLDVDAGEKENGEEFVTPVVASKKSRKRRKDKEAEEPRLETNWFNENSCSKIPMLQLHKEIVDFSEFLLPTLDEKAQRDAAMDSVRSVIQYIWPDCKVEVFGSYKTGLYLPTSDIDVVILESGITNPQLGLKALSRALSQRGIAKNILVIAKARVPIIKFVEKKSSISFDLSFDMENGPKAAEFIQDAVVKLPPLRPLCLILKVFLQQRELNEVYSGGIGSYALLAMLIAFLKYLKDGRSPPEHNLGVLLVKFFDFYGRKLNTADVGVSCRKGGSFFSKSNKGFLNPARPGLISIEDPQTLENDIGKSSFNYFQIRSAFSMALSTLTNTKAILALGPNRSILGTIIRPDRILLERKGGKHGDVTFNSLLPGAGEPLPMSANGKSNGGLFCNWQLEEDEEGSFPRGDAANGDTTPVLVDTPGKVTTKESSRKKKSKSKKKKVVDDDDGEEEEPSSKKRRRKNK >A03p019140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7763959:7765378:-1 gene:A03p019140.1_BraROA transcript:A03p019140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVSGLFILALQGLGFERGKMAHVDRISHLPEALLLRILSKLPTTKDVLATMVLSKRWERLWKSVPKLVYHDSCQNIDTAKFSRFIDRSLILREAPVETLHFKLTQTSLAVDIGVWITNALKCHHVRDLSIEIDCSSSRTAPPVILPRSLLCTGSRMLVSLKLKSVTLMDVSSTLPSFPALKTLSLVSVKYPGEEFVRRLLSSCHVLEDLVVEQLVSDNVTVFTVKVPSLKSATLYKSAGRCREGEDGFVIDAPSLEHLGIYFDPVGFVVIENEMPNIVKADVVTSAKRLFTCLPYSKDAYSVGIVFHRLVHLRICTCETEWLNLLMRLLNDSPNLRFLKLQQCHNGEHTRPCWDDPSSVPECLSSSLETLEWVGYEGRKEEKEVAAFVLIEKRKMFEESNYLL >A08p044830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24700586:24707005:-1 gene:A08p044830.1_BraROA transcript:A08p044830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLDLPRVHNLSLSDRFNLKEPKDKNTTEWGEKLKTGGAQMSRMVSEKVKDILQAPTLESKMVDEATLETLEEPNWGMNMRICAQINNQEFNATEIVRAIKRKISGKSPGSQRLSLELLEACAMNCERIFSEVASEGVLDEMVWLVKNGEGGAENRSRAFQLIRAWGRSKDLAYLPVFKQTYMSLEGENGLMARNEENPVGGQSSLESLMQRPVPVPPPGSYPVPNQVQARGDHDGGGFDYNFGSLSIKDKKEQIEITRNSLELLSSMLNSDGKPNHTEDELTVSLMEKCKQSQPLIQMIIESTTDDESVLFEALHLNDELQRVLSIYEKPDGTEKKASMVEQESSGSKPTEEEHPVKQKDEHHESSGSSNKTGKEDKQQLEAPIKNLIKSLPLSSTAPFNLSLSDEHNRRAIFSHLSLSTLVASRSHLALSQLMDDSLYDEFGNYIGPEIESDRESDDEIEDSEFQDKHPEEDGSDGEQPPGGSNGWITTINDVEMDNQIVLPEDKKYYPTAEEVYGEDVETLVMDEDEQPLEMPIIKPVRDVRFEVGVKDSTTYVSTQFLVGLMSNPALVRNVALVGHLQHGKTVFMDMLVEQTHHMTTFNAKNEKHMKYTDTRVDEQERNISIKAVPMSLVLEDSRSKSYLCNVVDTPGHVNFSDEMTASLRLSDGAVLIVDAAEGVMVNTERAIRHAIQDHLPIVVVINKVDRLITELKLPPRDAYYKLRHTIEVINNHISAASTTAGNLPLIDPAAGNVCFASGTAGWSFTLQSFAKLYSKLHGVDMDVDKFASKLWGDVYYHPDTRAFKRNPPVGGGERAFVQFILEPLYKIYSQVIGEHKKSVETTLAELGVTLSNSAYKLNVRPLLRLACSSVFGSSSGFTDMLVKHIPSPKEAAARKVDHAYTGPKDSAIYEAMVECDPSGPLMVNVTKLYPKSDTSVFDVFGRVYSGTLQTGQSVRVLGEGYSPEDEEDMTVKEVTKLWIYQARYRIPVSSAPPGSWVLIEGVDASIMKTATLCNENYDEDVFIFRALQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLDRGLAEDIENGVVSIDWNRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKNLMMAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAWIAPEPLHRGSGQMIPTARRVAYSSFLMATPRLMEPVYYVEIQTPIDCVTAIYTVLSRRRGHVTSDVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSILLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSGNKFFDEAMMVELAQQTGDLHLQMM >A06p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7404903:7405769:-1 gene:A06p016530.1_BraROA transcript:A06p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTRRISSFVFAMMMSFTVLSGCCSARDDVYYSWAGTDYKEFHVGDSLVFEYDPNINDVIHVSGALEYELCDYSSPKAVYNTGHDVVALMKPGFHYFITSNQAQCILGQKLEVLVTHDPSRPVPPPQPSNILPVGKTYKVGDSKGWKVYDSDFYNKWSEEKQFRVGDGLLFEYANKVNDVYEINGDLEFITCDPTSPVAVHKTGYDLVRLTEPGVHYFITSQSGYCEAGLKLRVMVGPVPKAVTYPNFPKKMDLSAMERLNNWLKTFKHQPHH >A08p007190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4178154:4180262:1 gene:A08p007190.1_BraROA transcript:A08p007190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRWNPGIRGFYEGSISQYVVNLRWLGLGKWYLGKSQRFEISLIWILISILFKTESNPYRAKGNKKAYTSRGNYRGDGDASRNRPARREDTRGGVENDQPREQKEYKGAREEAREEGEIRNAEERVVTMPSQKFQEELAKTQADGTEAISDPIEAEQGLVTVQGMVEDQGELDDEDVMDMDEIKAHLLENGIDMDAEDFMENCSEGEAEEVIKEGNGKEEEKVAFVEEEQGQIGGDAGKKHGLRKRLFKPALSTVGSSRMRVFNALASPRKRAVPKSGTRQGDTSKQTEFKGPLNPKSGQQKS >A05p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9190863:9191842:-1 gene:A05p019620.1_BraROA transcript:A05p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALGLDLDGSHFLFVDLVGVLVVTPHEGWRWALSSVLHMFFLAFKGGVRCFLSARTQRLHWSCGSVFSKVRAFITQMLRFALSCMAVLAICSVVLVVSTSSFDLAQAQRRRIGFSDLDQDVFDYTSRYLFLRFGSRSLADAIESFNVRGIFLVEEKVNASALQPD >A03g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30384094:30386934:-1 gene:A03g509410.1_BraROA transcript:A03g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEFPRSNGDQIRPRQRRSRGGMESQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAATPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGVSAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFGQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRIVEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESIIGSKKEGTFGRSYGSLKNKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p028610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17730150:17734934:1 gene:A10p028610.1_BraROA transcript:A10p028610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLTTNVQGAKTKIQLSDQEGNDYAPKVRKPYTITKERERWTDEEHNKFVEALKLHGRAWRKIEEHVGTKTAVQIRSHAQKFFSKVAKEASGGNGSSLEPILIPPPRPKRKPMHPYPRKLGNEGDQASRSVSPSERDNGSPTSVLSTVGSEALGSSDSHSPNRSLSPVSSASPPAAPLAATANAPEELETPATKLELFPRESLVKEPKKQSLRLFGNTVLVSDSGVSSSLTTYCKSPIIQPLPRKLSRSETFPMVINPQEKLLSCWIQQVPPKQEEVENRCSDSEKAVQNEGSSTGSNTGSVDDTGHTDKSSEPHETMVCRWEFKASERSAFSELRRKNSESNSRGFGPYKKRKMEKKKKKRMAANEEAVKYVWEGAIPLQIHLHKSEVASHPAPPPALVLAPRIGYLPLLVSLIKPYFKDSLPPGEDSIWFDYKGVPLKWYIPTGVLFDLLCAEPERPWNLTIHFRGYPSNVLIPCEGEDSAKWNFVNSLKEAAYIINGNCKNVMNMSQSDQEDLWTSVMNGDLDAYTRLLPKLKMGNIEDKFSRKADLSSPKSRQGGTEIDVTEQVVKTARIPVRLYVRSVNKDFENLEDVPEIDTWDEISYLNRPVEFLREKGKCYFTLRDAIESLLPEYSGDRAQTSGEEEADGSQETRGEIKLVRIQGIELKLDIPFSWVVNNLMNPEFYLHISVLVIGPQR >A09p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2650601:2652822:1 gene:A09p004750.1_BraROA transcript:A09p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKIARKEEARRQIRETRKRALQWYKQKALSTYLERWITEKMVCTIEYDHVTDVSGPSWNWQTQSYDHPPQSTTLSDVTMTEVILNQEDHSYMFDDESTPVKACSELGYHVTTDETIKKMEVQQSETRSAVKRRRMLHFEDQPMETSLFSSECFSSILKSSARDEAYDELLPEGSQLIQGFSEDASASSLEGLDLYAEEWYADCLNDPETQTLPTDDLSFGSPEVQIDVSEYLNVPPETETREVRRPVTRSSPNVIFKGRKSFARPVPKLPSSIIYPFAFIKPCGVHGDMTLKDINKKIQTPPAKAKEDKEEAPVIQTSAFSGKPVVGKTKIRTEGGKGSITIMRTRG >A05p052550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30632687:30639453:-1 gene:A05p052550.1_BraROA transcript:A05p052550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFVSSSHLTLRAPSPNLRSSSPRPISVKAQSVALSQDDLKKLAAEKAVESIKPGMVLGLGTGSTAAFAVDQIGKLLSSGQLHDIVGIPTSKRTEDQARSLGIPLVALDTHPRIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAVAERFIVVADDTKLVKGLGGSGLAMPVEVVQFCWKFNLVRLRDLFKEFGCDAKLRVGEGGEAYVTDNSNFIIDLYFKEPLKDGEAAGREIGKFEGVVEHGLFLGMATSVIIAGKNGVEAAELLRQPLLQPYIHKIHDPGNNVLPEPEFARRNSFPEQQRRRPPGKSHSFGPSRFRCKEEDSVSSSIKKPVQALCTDEESGDGTVAHRTSEASKRSKYVQVRSRQPRSDLGQLPVSSQHTNRRSAALIRRASMPSTRQPVKAIKDSSLLHQINSPNVSMNAPRIDKIEFPLASYEEEPFVPKKASSRGSYSPPLDCSVTKDKFTLEPGQNIEEDAYQESRSESSDQNATVGASSRASSGIRRQRFDPSSYQQRAEALEGLLEFSARLLQDERYDELNVLLRPFGPGKVSPRETAIWHKQTTEQI >A05g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5805753:5807601:1 gene:A05g501650.1_BraROA transcript:A05g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTQKTLAAMNNISELKPFKSMWKVKVKIIRLWKQYSAAGGETIEMVFVDSRGDKIHGTVKKDEVGQFAHVLQQGQTKLLINFTVTHSSGSYRTTKHPYKPVNYRAVLNGKLDPDYLVDVVGQVVEVSHIEVVSVNGKDTQKISVELRDTEDERLPLVLWGKFAEDISDAVQLRSENTVICVLRFGKIKIWKDERSVSNAYNVSDVTVNPENIAEVQAFMRLLPKDDLKLSIVDSKPLALANAVSEKDDFFVHTPRKTIAEVLESRQVEKCIVMATIAGIDSDMDWYYLSCKVCAKKVITVPNDNYSDGDEHDVIACNYYCPKCKTNSPKLLPRYKLHLVVLDNTKDCKMHTGRFICYIQDSTDPILKS >A06p049950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26323090:26323935:-1 gene:A06p049950.1_BraROA transcript:A06p049950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEWLGTLRYASKAQDKVSVDSLMLRYRPIAPKPTTGQPCGTGDNNNNNSYGKRTKRKYVRVSKNNKTTCRGKSRSDVSDGREQTGVVTLQLMPEKSDPSGDYTPLDQDSLDPSLKTIIGVETLETNTWATFNGGETAEVETWVTVESVTGVYDGSSTSHAVECTDVEMVDNLGKDTCPAFVSDASNRVVWVNEAYRRNVSGEDWSSSLSLPDVMVWLVAEESTVAMYCNYRAFTCRVRMQYTWQETKYTKTVPCDVWKMEFGGFAWRLDTTAALTLWL >A04p023640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14317637:14320642:-1 gene:A04p023640.1_BraROA transcript:A04p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDKKMQSKRHNRSKSWTVPEKKKLEEDNNINSIVSSLNASQRFKLDLPRCCDKSVKEVKVQSSLKQEIQELEKRLQNQFDVRGALEKALGYKTPSRDINSNANSTPKPATELIKEIAVLELEVSHLEQYLLSLYRKAFDQQSSSVSPKQQQTPSSPKSTLRGKRLDFSTTTTPEQPRCVSFDNRLKSPCMVNKEPDSPSLSCRQDNLAMEEPRCFSFDSRLKEPGSATKKLNQEDSTECFSFDNRPMQPGSAARKLNQEGSTECFSFENKLMQPGSAARKLTQESSTIDSRCFSFDNRLKDQSLYEEEDIDSCVRRCQSTLNQRSTFNNRISPPEYIHNGSNTASLAEHMGTKISDHIFMTPNKLSEEMIKCASAIYSKLADPPSINHGFSSPGSSPSSTSEFSPQEQYDMWSPSFRKNSAFDDQFEFSGPYSSMIEVSHIHRNQRRGRDLDLMNRNFRLMIKQLESVDPRKLTHQEKLAFWINIHNALVMHTYLANGIPQNNGKRFLLLSKPAYNIGGRMVSIEAIQSYILRIRMPRPGQWLKLLLIPRKFRTGDEHQEYSLDHSEPLLYFAICSGSHSDPAIRVYTPKGIYQELETAKEEYVRATFGVKKDQKLVLPKIIESFSKDSGLSQAALMEMIQECLPETMKKRVKKLNSGRSRKSIVEWMPHSFVFRYLIARELVR >A10p007170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10104472:10106805:1 gene:A10p007170.1_BraROA transcript:A10p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTFAASPLVITPTVRSFTFSPPIRRSNNTVMSAVRSEATSTCPVLTKFKKDCATPTPFLRDVANAIADDMQAGLAVHGGGDLEMILTYVDALPSGKEEGLFYALDLGGTNFRVRSVQLGGEEERVVATKSEQLSIPQKLMIGTSEELFGFIASKLADFVAKEEPGRFRLDQGRKREIGFTFSFPVNQTSIDSGTLIKWTKGFNVSGMEGKNVVACLNEAMEAHGLDMRVSALVNDGVGTLAGARYWDKDVMAGVILGTGTNACYVEQKHAIPKLQNQSSSGTTIINTEWGGFSKCLPRTIFDQEMDKDSLNPGEHLYEKMISGMYLGEIVRRVLLHMCQTSDLFGQAVPAKLETPLALKSQDLCKMQEDNTDDLSSVGSILYNIFEVKADLKDRRRVVEVCDTVVKRGGRLAGAGIVAILERIERETKRLASGQRTVVAMDGALYEKYPQYRQYMQDALVELLGDELASHVQIKHTKDVSGLGAALLAATNSIY >A01g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11478782:11480167:1 gene:A01g503730.1_BraROA transcript:A01g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLHEMPDILDDMRKLEDESVLFEERNKSCVTRNQLRHKGIRGTFPPDVHKLSELVVLETHVRRSEKTEQRRRGRQSSGVGAELVVLETHVRRRRRQSSRVGTELVVLETHQTDVGEDSAAASAKKKSSGGVGEEEQQRRRWY >A01g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10966149:10967649:-1 gene:A01g503500.1_BraROA transcript:A01g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYTPELDSSISSSLQPPSTRDSIIREIDRTCEITSATIDHHHQEEGFTQTSPNTTGEPRSSSDESSSIRTNTDITKPKKPKTELGKPESRRRKAKNTFTPRKLKPAKAELRKPPPPGNKAGGDGALEASTSRKQTLI >A03p012660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5019341:5020141:-1 gene:A03p012660.1_BraROA transcript:A03p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPWRKSSVKIHNTFSPTASFKDIHHLCTTDDSSSFPSSPCASPSPSPNNVSRVFHRVCAANLILRSWPTRPSNNLLRADSEPIRRNPEPDPKQSKPEPDAIRISIPGAESSIVVYFTSLRVVRPTFEACRAVTAILRSFPVRIDERDLSMDASFATELERIFGGEKDESKTKLPRVFIGGRYVGGAEEVKQLHEIGELKKLVQELPRVEPSVCDTCGGHRFVPCNACHGSHKVHTEKLGFRTCSACNENGLVRCSSCSFPHLTP >A01p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19409906:19411808:1 gene:A01p026690.1_BraROA transcript:A01p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNKTSVFVPFFILFFFGSRRVVTAGDQNALAASFVFGDSLVDAGNNNYLQTLSRANSPPNGIDFKPSRGNPTGRFTNGRTIADIVGEKLGQPNYAVPYLAPNANGEALLNGVNYASGGGGILNATGSVFVNRLGMDIQVDYFSITRKQFDKLLGEDKARDYIKKSLFSIVIGSNDFLNNYLVPFVAAQARLTQTPEAFVEDMISHLRDQLKRLYALDARKFVVGNVAPIGCIPYQKSINQLKDKQCVDLANKLALQYNARLKDLLMVELKDSLKDAHFVYANVYDLVMDLIVNYKEYGFKTSSEACCGTGGRLAGILPCGPTSSLCTDRSKHVFWDPYHPSEAANLIIADKLLNGDSKYVTPFNLLHLRDL >A07p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18163081:18167215:1 gene:A07p033210.1_BraROA transcript:A07p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSILPAPEISTMATSLDSRKKRRISLDGDSMVSEHYEGIRDSLPSLSSLDYFLKPSMNELAEREIESPGYCSRVPDFTIGRIGYGFIKFLGITDVRKLDLDQIVKFQRHEVVVYDDESSKPAVGEGLNKAAEVTLYVDIGCGKERAGHVSSKLQQSAERQGATFISFDSEDGLWKFLVPHFSRFGLSDDEAEDMATDDNTPGLESRVGLNGDMVVAEIDKMETSEPELSHSLPAHLGLDPGKMKEMRMLMFPNEDLDESEDLRDQTSFHMTSLSKRNARPSQRSSQRNSHQDTPPVVRKTPLALLEYNPGNDKSSPGSILMVQQNKNLAVRKSKMGGFELDISHETPVTDNYSRNVVDAALFMGRSFRAGWGPNGVLLHTGKTIGSSSSQRVLSSVISVEKVAVDKVVRDKKEKVQKELIDSAFETPLSLHRELYHEEDEVRFGSFSLKLQRVVTDRVVLSDICRNYIDIIEKQLEVAGLSTSAKLFSTHQVMVWELIKVLFSERQSTERLSYAASDNEEDMMQDVKEESAEVDTEALPLIRRAEFSCWLQECVSHRVQEDVNDLNGSGYLEHLFFLLTGRELDSAVELAVSKGDVRLACLLSQAGGSTVNRNDIMQQLHLWGRNGLDFNYIEKDRVKLYELLAGNIHNALQDFTIDWKRFLGLLMWHHLSPDSSLPVIFRNYQLLLDQEKAPWPVPIYIDEGTADGVVSNTKHSDILYYLMLLHSREEEEIGFLKTMFSAFSSTDDPLDYHMIWHYRGILEAVGAFTSDDLHAIDMGFVAQLLSQGLCHWAIYVVLHIPYRKDQPYLHVIVIREILFQYCETWSSMESQRQFIKDLGIPSEWMHEALAVYYNYNGDFLKALDHFIECANWQKAHSIFMTSVAHSLFLSANHSEIWRIATSMDDRNSEIENWDLGAGIYMSFYLLKSSLEEDADTMLELDSPESRNESCRSFVGRLNESLAVWGDRLPVEARVAYSKMAEEICELLLSGLSVYPDRDSQLSCFVTAFKAPLPEDVRSSHLQDAVSLFSLYLSETGQALA >A05p003030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1074325:1076448:1 gene:A05p003030.1_BraROA transcript:A05p003030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTKQMILSALCKHFSVDPVGLGGSGESDVKTLYSNVVKASGKEVSSQNNEEVLKWMEYAEGLSKDCFAALEKLNVELAVKSVVLGDGLTPSAADVAVFAALHSSVIGLSDSDKEKIPHVIRWVNYIQIKEELSTLFGPISVKLPQFDFEVSKSVVKVDSKKTAESPKPLDKSEAQPSTKKTEPEEPKKKAAKEKDAKKEKKKPAEAALAAASKETELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEGKVRQVVSGLAKFCSPDDLTNRLVALITNVKPGKLRDVMSEGLVLCASNEDHSVVEPLLPPAGAKPGERVSFSGVEGKAEEVLNPKKKQLEKITPHLYTDESGVATYKGIPFMTSAGPCTSTIPKATIK >A03p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6513619:6517245:1 gene:A03p016390.1_BraROA transcript:A03p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A14 [Source:Projected from Arabidopsis thaliana (AT5G52120) UniProtKB/Swiss-Prot;Acc:Q9FJ80] MPLTSSIKQRTKESQICQTHFFHLYIFYLSTTSNPKLEYIKTKVKKKGSSNSKKSHIYISTDLLAMGAASSSLAGSEAYAGKLCGLEDVPENCITAMFMCMEPPEICILARVNKSFHRASRSDTVWEHMLPLNYKFLVRRILEDQQVGEKDKVISRTKEIYARLCRPNFFDAGTKEAWLDKRSGKVCLAISPKAMKITGFDDRRYWERISSDESRFGSIAYLRQVWWLEAVGNIRFEFAPGKYSVLFKIHLGKPLRKCGRKTSNLDQVHGWDIKPVRFQLSTSDGQGAMSERHLDEPGRWFYHHVGDFVVENQNSPVWVKFSMLQIDCTHTKGGLCLDCVIICPFEFRGKYTYFD >A02g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4231380:4233837:1 gene:A02g501340.1_BraROA transcript:A02g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase/pectinesterase inhibitor 45 [Source:Projected from Arabidopsis thaliana (AT4G33230) UniProtKB/Swiss-Prot;Acc:Q9SMY6] MAFQDFDLIQERVNADRKRKFRKKITIGVVSTLVVVAVITGGAFAYVTYGKKSQEPVKTTNANSKSKSSDKSPEKSTATPSNKPPSSAAAHSDKPGQVDKIIKALCNSTLYKPSCEKTLKNGTKTASPLSDPRSLLKSSIKATNDDLVKGFEKVLKLKTGNKDEKDAIAQCKLLVDEAKEELGTSMKRINDTKVNSFAKIAPDLDTWLSAVMSHQETCLDGFEEGKLKTEIRKNFNSSQMMTSNSLAMIKSLDTYLSKAKKVKTRHLLESRSSWLGNKERRMLKAVDVKALKPNAIVAKDGSGNFTTINAALKAMPAKYKGRYTIYIKHGVYDESVIVDKKKANVTMVGDGSQKTIVTGNKSHAKKVRTFLTATFVAQGEGFMAHSMGFRNTAGPDKHQAVAIRVQSDRSVFQNCRFEGYQDTLYAYTHRQFYRSCVIVGTIDFIFGDAAALFQNCNIFIRKGVQGQKNTVTSQGRVDKFQTTGFVIQNCTIAPNEDLKPVKAQFKSYLGRPCKNHSRTVVMESTIEDVIDPVGWLRWQETDLAIGTLLYAEYKNDGPRGKTTSRVKWPGFRVINKDEAMKYTVGPFLQGDPWIHDMGAQVKFGLYDA >A05p012390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5364040:5364927:-1 gene:A05p012390.1_BraROA transcript:A05p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPALIVLEDGLTNKWSNDEWQRLSVFPGENPNPTFNFLVKNQLTVSPVLSRPSVKDESFRMVLPLAMSPPRDNAVPLPVLPEPMMKPRKKLGTQESMLSVGKARYPVKKFIHEDEEEFKCNAFCLSLPGFGKQKHVRSPKSSDDSSVKKKKMTKASSFSNSTISLGDSFEKFECGSWASTTTALARENNRLYFDLPVEMIKSGRGSGREVQEPMSLGFFFDKERESLPLRSVLKTSRSERQQRGCSAETSPHRRVRFSTTTSVSCPTSPRSCITPRLLKARDDFNTFLAAQNA >A07p048470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19101998:19102305:-1 gene:A07p048470.1_BraROA transcript:A07p048470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVSIITLLFAALVFFAAFEVPTMVDAKLCERPSGTWSGVCGNNNACKNQCIRLEGARHGSCNYVFPAHKCICYFPC >A01p013470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6574939:6576060:-1 gene:A01p013470.1_BraROA transcript:A01p013470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) UniProtKB/Swiss-Prot;Acc:O81902] MAFELPNDFRCPISLEIMSDPVIIQSGHTFDRVSIQRWIDSGNRTCPITKLPLSETPSLIPNHALRSLISNFAHVSPKQQHHSHSQSQSQSQTHSLISTLVSRSSSHESRLESLTRLVRLTKRDSSVRRKVTESGAVRAVLDCVDSGDRVLQEKSLSLLLNLSLEDDNKVGLVADGVVRRVVAVLRVGSPDCKAVAATLLTSLAVVEVNKATIGSYPDAISALVSLLRHGNDRERKESATALYALCSFPDNRKRVVDCGSVGILVEAADSGLERAVEVLGLLVKCRGGREEMSRVSGFVEVLVNVLRNGSLKGIQYSLFILNCLCCCSREIVEEVKREGVVEICFGLEDNESEKVRRNATNLVHTLLGNPMEA >A03p056370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24367974:24372507:-1 gene:A03p056370.1_BraROA transcript:A03p056370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVAVTGQEIGPGFHTPVNPDGYVTIDVESFSHVIHKDFSSSSPIVTLQRSVSRKESLRSNNERKLHSNANGNDKETSSPQSPVRGSCTPEKASTVRPIDHVGTDTTAATIVSASPLHQITVTTTSATGRNMISEQNRERRFGFARKSSFKRSRTSWMLDPKKILIFFAT >A06p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22004833:22006301:1 gene:A06p040680.1_BraROA transcript:A06p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREAMRNQNDVAVNLSMNVLSSATKDSNVIFSPASSSIEELKTIFREISSVVFADQSASGGPKITAANGLWIEKSLSVDPKFKDLFENFFNAVYAPVDFRSKAEEVREEVNSWVENHTNNLIKDLLPAGSVASDTDKIYANALYFKGAWKRPFEKYNTRDRDFHLVNGTSVSVPFMTSSDDHTLPYRRGSNDSPIRKFSMYFYLPDKKDGLYELLEKMGSTPEFVDYHIPGFRDELGAFRIPKFRISFGFSVSTVLDQLGMRSISLYHKACVEIDEEGAEAAAATADDDMGFSLYMEPPKRIDF >A05p051060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29701936:29704588:1 gene:A05p051060.1_BraROA transcript:A05p051060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCGKKSKSKRVTLKQKNKVLRKVKEHHKKKAKEANKLGFNRKARAEKDPGIPNDWPYKEQELKALEARRASALEEIEQKKEAHKEKAKKRKLEDEDTKSGDDSSRLVNVRDNSERAFYKELVKVIELSDVILEVLDARDPLGTRCTDMERMVMQAGPNKHLVLLLNKIDLVPREAAEKWLKYLREEFPAVAFKCSTQEQRSNLGWKSSKASKPSNILQTSSKASKPSNILQTSDCLGADTLIKLLKNYSRSHELKKSITVGIIGLPNVGKSSLINSLKRAHVVNVGATPGLTRSLQEVHLDKNVKLLDCPGVVMLKSSANDASIALRNCKRIEKLEDPVSPVKEILKLCSPQLLVTLYKIQSFEAVDDFLYKVATVRGKLKKGGLVDIEAAARIVLHDWNEGKIPFYTMPPKRDQGEHAESKIVTELAKEFNIDEVYSGESSFIGSLKTVSDFNPVEIPSNGPIHVDETMIEDGSKTQTKEEEAGLDNDGDESMGGEEEEEEETGKLKSEASRQNRKLYAAESMLNTKRQKAEKRQRKKAKKAAGGEDLMDGDYDFKEDYGKKNKDTAMDEGDGFQIDAKIPMAALLDLPEE >A10p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1512684:1513406:-1 gene:A10p002890.1_BraROA transcript:A10p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVNLKETELRLGLPGTDNVSEEIERVSCNKRALQGDTENETESSMMKMETCPPRKAQIVGWPPVRSSRKNIIQTKKNESDSEGGRGVYVKVSMDGAPYLRKIDLSCYKGYKELLKALEIMFNFSVGEYFEREGYKGSDFVPTYEDKDGDWMLIGDVPWEMFVCTCKRLRIMKGSEAKGLGCGV >A02p059370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35439917:35440546:-1 gene:A02p059370.1_BraROA transcript:A02p059370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTPYLSLPSFRPRLQNPAKTTHQLSPPLSWSVVYIGVTEFLGKRERDKFEKEEAAKKSKKSGKKKAATRARAGPRGFGQKIDEDDDIDIDLD >A05p020490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9711325:9713171:-1 gene:A05p020490.1_BraROA transcript:A05p020490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT1G53840) UniProtKB/TrEMBL;Acc:Q0WWC7] MDSVTSFKGYGKVDEAQDLALKKKTRKRLILLTVSGVILVAVIITAVVGTVVHNKNKNSSEPTPSSPPELTPSTSLKTICSVTRYPESCFNSISKLPSSNTTDPEVLFKLSLKVIIDELDGISDLPEKLSTETEDERIKSALRVCGNLIEDALDRLNDTVSSMDASEDGSKKTLNSSRINDLKTWLSATVTDHETCFDAIDELATNNTEYANSTITKQLKSAMSSSTEFASNSLAIVSKILAAISDFGIPIHGRRRLLMSQQPPEWARRRLLQTEGLKPDVTVAADGSGDVTTVNEAVAMVPKKSLKMFVIYVKAGRYVENVVMDKGKWNVMIYGDGKDKTIIAGGKNFIDGTPTYETATFAIQGKGFIMKDIAIINTSGAAKHQAVAFRSGSDFSVYYQCSFDGFQDTLYPHSNRQFYRNCDVTGTIDFIFGSAAVVFQDCKIMPRQPLGNQFNTITAQGKKDPNQNSGMSIQRCTISANGNVTAPTYLGRPWKDFSTTVIMETEIGPVVKATGWMSWVNGVDPPASIVYGEYKNTGPGSDVAMRVKWAGYKAVMTADEAAKFTVATLLHGGDWIPATGVTHQLS >A07g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3309944:3310423:-1 gene:A07g501620.1_BraROA transcript:A07g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIMIREGDEAAAQEDERVGKEPEVERKRDAEPEFGKHAAYKEREIQKQEEVKWAGEAERKRVEE >A02g512150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32891312:32892386:-1 gene:A02g512150.1_BraROA transcript:A02g512150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSREFIEKDIQPTIDYFNWLNSNLEIAKRVNVSGCQTKATRGPSSLMCAKCGNTNVSGVAKYLAKISVYNNNDQAVFVLLGDAGKLGAGHQMSAPRALIDTIGQTHKVRVKVSNLNFTGKIQAITVTKIVSPKVLPPVPTPTEIPFDAEDKVALPSVSVVDGSGFNADDGNESISNRDESKKAKCPKHGK >A05p002820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:982969:983631:-1 gene:A05p002820.1_BraROA transcript:A05p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPEHVRPLAPATVLPLTDCPVTNKQALHRRRNRIRCLTCVIITSLILITVVLTLVFTVFRVKDPIIKMNGVTVNGLDSTTGTQIQLLGTNISMIVDVSVKNPNSASFRYSNTTTDIYYKGTVVGEARGPPGKARAHRTVRMNMTVDIMIGRFLMDPSLAREVSGSGLLNVWSYTRVGGKVKILGIVKKHVTVKMNCTMAVNITRQAIQDTECKKDIDL >A10p014300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4271223:4275644:1 gene:A10p014300.1_BraROA transcript:A10p014300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucomutase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51820) UniProtKB/Swiss-Prot;Acc:Q9SCY0] MSSTYARFDTVFLLSRFAGAKYSPLWPSSSSSSSSSSHSSLLSSGTHLRAKPNSRLRSVTAASSGPIIAGSESIEIKSLPTKPIEGQKTGTSGLRKKVKVFMQDNYLANWIQALFNSLPLEDYKDATLVLGGDGRYFNKEASQIIIKIAAGNGVGKILVGQEGILSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKTVDDDESPNLSDPYINFQFNYSSGQPAPESITDKIYGNTLSISEIKVAEIPDIDLSHVGVTKYGNFSVEVIDPVSDYLELMEDVFDFDLIRGLLSRSDFGFMFDAMHAVTGAYAKPIFVDNLGAKPDSISNGVPLEDFGHGHPDPNLTYAKDLVDVMYRDDGPDFGAASDGDGDRNMVLGNKFFVTPSDSVAMIAANAQEAIPYFRAGPKGLARSMPTSGALDRVAEKLKLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKPGEKLVSVADVVNEYWATYGRNFFSRYDYEECESEGANKMIEYLRDIVAKSKAGENYGNYVLQFADDFSYTDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQFEPDVSKHDVDAQIALKPLIGSFLSCIVCIKAEGVYREGEAHCHYMREKTILCHMVLMKGELGS >A08g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8636675:8638048:1 gene:A08g505230.1_BraROA transcript:A08g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHILLIDAKASINVRHLNTFKYLFKKWSLYEQSGFDVVRSNMNFRFHTCDELMSLANTNTDHLPFPTLVADIHTLDNVSPLWSLSLLRRCVGYNSEDVMFLEDNRVSKTTSVQGYESDWLGRWTQPGNEVNYHDQSYNGDSNDLIRPEEKIPDEADALDECTWRQKETQFMKPLDFPVQEKTKQNLLVLMRPVRFYATVDSVQRVSDHQHRGGVRVQSLGISKY >A03p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7809577:7811165:1 gene:A03p019150.1_BraROA transcript:A03p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFLILCVSSLFFFFLTVSSAPTSGYYKGDKVFDVRNYGAHGDGKTDDAMAITKAWNDACQWSGGSSTVYIPLGTFYLSQITLSGPCKSYITFIITGTLSAPRDPNLIKQEEWIEFRYVDNLTVTGGGLLDGQGSYSWSLNDCDKNPKCRTLAINIGFAFVRYSRINGLRSINSKMGHFNLFSVEDFNITGVTITAPGDSPNTDGIKIGKSKDMHIYNVTIATGDDCIAILDGTTNLDISNVRCGPGHGISVGSLGRYKDEKNVEGLTVRNSVFNGTTDGLRIKTYAKSVSEISVSNFVYDNIQMVNVGNPIVIDQQYCPHGQCDSPGKYNSHVQIRNVKYNKIWGTSTSQAALNMQCSKTFPCQDVELSNINLMYNGRDGLATALCENVGGSVRGKIVPSGCRI >A09p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2849892:2852395:1 gene:A09p005220.1_BraROA transcript:A09p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGLTIFDGALLRSIDLNLPELEHGVTGAQLLEISESKVSESLSGLSLPPHIKEAAISRVSAGDDVSFRRAEFNREQASEKLGVFVSAVADALTDTPVVVSILDGSTLKLILEDEDDFAMLAENLFTDLDEEDKGKLPKSQIRKALSLMGAEMGVPPLSDFPILDNIIKKHDADGDEELGQAQFAELLQPILQEIADVLHEKPITIVQNVEIFNGSKLRKILADEKTLKCLVEKMVKDNQGRADLIKNLMIENGKELGLPPLSSENESVALLYETIQSQLNKRDKETSDASTEEEFMDALKDILGRFAELLESTPVYSATTL >A06p035990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19535251:19539789:1 gene:A06p035990.1_BraROA transcript:A06p035990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLIPPGYRFHPTDEELVDYYLKKKVAFPGMQVDVIKDVDLYKIEPWDIQELCGRGTREEREWYFFSHKDKKYPTGTRTNRATGSGFWKATGRDKAIYSKQELVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGPPHEEGWVVCRAFKKKLTTMTNYNHPRTMMGSSSSGQESNWFVQQMDVANGRYYHLPDLESPRMFQASSSSLHHNDNDPYGVLLSTINATPTTLMQGDDQVDHGQVITNDDDHMIMMNTNTDNHNDQVMDWKTLDKFVASQLVMSQEDEEVNKDPSDNSSETFHHLSEEQATLETLYLRLNFFLPGRRKKEVENMAYAAVKPPKAGLEEPLEQIHKIRITLSSKNVKNLEK >SC295g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000141.1:13335:19999:-1 gene:SC295g500030.1_BraROA transcript:SC295g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKPHEDRGHGKMCGGTGASGAVGQDGAEQTRVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNENNVDEQQEQVHGDDTGSSNVGAESGQNVDANNVRVTGAEEVIEPTIRGLVEAMQIMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVADLGTAGGQLHPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLGLGQGVGKLPECELRLSDRFAKGRKGEKPPMGGYGAVMGRFWEEGM >A02p001270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:588131:588325:-1 gene:A02p001270.1_BraROA transcript:A02p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRFIMRNVKGPVREGDILTLLESEREARRLR >A10g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10025991:10030165:-1 gene:A10g504040.1_BraROA transcript:A10g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSIDVGWGLFEDQIDEEIGSYGSTEEFGLKSRGEIMFFGHKRTGSDWILLMKTNHDVRKEGSPRLIVIVADLWIRKGETIRGLKNLNKEGGGKVYGQIDSIDLSRYAWFELGKSIKKEIRTLFTNDPAMLSYKGAVESQGRELGVDGNGNNRRQGHQVPRNRDYKGKGIAFDNSKYEGNSKSGFKRSYRDQDGGYSRNLRQTGRFPPSEAPMRYAMDTRGLKNLNAQEMGQNLDEQQKLMLDAFRSGKSEETNKISASTARKALTFEGNISGTAMEGLGGTDVASGIEGASVGEEDPKAVEEKLLPDRHGADKQKDFNKEESEGEKIEEMEGLSEEIYSELTGDAALTETGEQDGSEHVGDEVFAEADFDMEEDDQLMETETQEVLIAAEGKERGGGNKKKQGKVNGAAMGGSLKKRLVQSVVSPRKKHSVKHGSKVGEKGALPPKKAPVKPVVDGNILIETRAQWWWLWSCVTGSIWSVLLLQCNRMFLFWTRHFAITMFIEIIKEHHLLQIVMKHLNWRNGYWLVLSCIKSRFSLVEYQGLMLLVSCGGIFIYMARSHTEHRALVSWPMGLSGGHWYYITREMKYVWLLFLNLYFKCEQWWWVWFGVTGSSWICWIRLFVSEFFEIVKVFQPFQAVIEFNWCTVFWMVLSCAKNRSLLGDYLLFCFPWRFGVCLSSDGILLNRCHCGFLSIWIHWWLTTSYCPGVYLTDGGWHLRKRTEDMALYSFCKTYKNPYMGHQSMEWSIYGSNDLRKDNLNYAKHYISVVLWQRDLKNLQMQIRCLVDRKTLSAYILVCRVTASVKIKHFYDFCVEIWSSKDTMYWVM >A04g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11914976:11915805:-1 gene:A04g505660.1_BraROA transcript:A04g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIQALVVEHVACNSFQDLYGLKASSKSMKGLAERRGGYYFYDVLSVPWGLNMPSQLLKSCYAEGNPSTLYIKGVQFFFTFDLQEEGLSLMKRAADARYEPAVYTHAITQAIFLCDGQYFHGNPREWVKRIGKLVRYVKWGWGLWHFDEFLQNRALFISKFVPPFYRCQCSTHVGRKCVCLWHLDMTKDDNICERCFWIKEIDTFLRDFEPISVIRDTRTW >A04g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6138679:6139458:-1 gene:A04g502580.1_BraROA transcript:A04g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKKMAGLDFVSDLKPFKSMWKIRVKVIRLWKQYSCASGETMEMVLADSRVSGFKHMATIDGFSRILFEPDDVPEIQSFRMR >A09p025410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14180004:14182587:-1 gene:A09p025410.1_BraROA transcript:A09p025410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDTRFSKLILVGKDWYRVTMNVYMKVLSERSRFFMEKMSCRREKGVSHMVEIRECDDVDIYVDIVVLMYCDDLKKKEDENVNEILALLKVYVAILFYEGVMSCFEHLEAAPWSVDEEYIVVSCFNELYPLEKYVTLTLQRVSSEPTRARNNLELKKMLLAMTSKCIIDLEDIECSLDPMIEREVKRYQSNTEIKKEEKKDKKKEHGDNKRLKETEKKLLTSSGEKSRELEESKVEISWLKEKIEGFSKSQNSIEDDSSVQNFDIYSLKIEMDSTKESLPQAHAAAETSSLKVSDLLEEMKYVKNRLKEALTLKTVATDCSQAKEKLVVVKTELEAARLDSKEWKEKHEDAKKKTELLKNTSVRIRIEEDESFLAWNKKEFAFMSTVKRGEDEKSPLLEQNNRLLVALFAAENLSKRAKDENQTGRDILKPVISKANVAKEAVARAVNSKLKDSLLDKEDELHFSLKEVERVTINKAVANDNDKKLKNLLFEVEVAMEEEKHISLSKQESTSKEVEVKVLTILNTEVETLDADDSHVKASVTEDVYSCYIMEFLGQIRTAKQETDLEHSLVREAGLVNRVKKFNKEFSASVSASALASAAIKIVVVRFVDADAENCSNQTNMTYYSYDIRMADPSKNHS >A06p016610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7438310:7439731:-1 gene:A06p016610.1_BraROA transcript:A06p016610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRPIRFIQSASLTFATSGGSKEEALLLSAWQRSHPRVTKTQPLTPAMYRTAAKRLLGAGFTTSRLLRLPKPRPTTIIPFSYCTSSMNHTESPIGNQSVNPNQSDPTAAREEGHRRDESRKPRAEFEEEQARVLAASLRHVPRLGWTEEAMIAGSRDVGVSPSIVGSFSRKEAALVEYFMDECLQLLIDRVDSGLDLQNLIPSERVSKLVRIRLEMQIPYMSKWPQALSIQAHPVNVPTSFKQRAMLVDEIWHTVGDGASDLDWYVKRTVLGGVYSTTEIYMLTDDSPEYRDTWAFLDDRVKDAFDLKKSIQEAKYFAQDIGAGVGKSFQGLMNGVMQTMSTRGGRSSAF >A01g507210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:21035436:21035630:1 gene:A01g507210.1_BraROA transcript:A01g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESSVENSPKWLRAGINGSAWLTPRSSAGGGPRPTNPSNLDGKTKVQSYQHCLHRSSSYGQA >A04g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:723724:724641:1 gene:A04g500150.1_BraROA transcript:A04g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEMIFHHMVLIFHSFKGFSDVEDFWARRLPGSFPNDFQEVLIRRFSSSTWICFTDLSLIYMFFRSGSDYGRPMESILGSLLKYNALQDFQKVFQTTSKKSSRRVP >A06p013860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6274085:6277602:1 gene:A06p013860.1_BraROA transcript:A06p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RHS3 [Source:Projected from Arabidopsis thaliana (AT1G16440) UniProtKB/Swiss-Prot;Acc:F4I4F2] MMMKNKAGGAGGGMSGSGEGAGPTAAAAAAALQKQKALLQRVETDITSVVDNFTQIVNVARVSDLPVKNSQEAYMMEMRASKMVQAADSILKLVSELKQTAIFSGFASLNDHVEQRIAEFDQEAEKTNRLLARIGDDASASLKELEAHYYSSSQRKLFDLTYLVDDVTEARKQGCLAQGNLSIISSLKKKSLHRDSASTSQQPSSKDVEKQFDQKTKKVEGYLIKTESSLTINLNTRLVHSTMSSRSNSMESTSSNKPHTGGDIRWDAVNYLKARGVKLGISDFRVLKRLGYGDIGSVYLVELKGAHKTTYFAMKVMDRASLVSRNKLLRAQTEREILSQLDHPFLPTLYSHFETDKFYCLVMEFCSGGNLYSLRQKQPNKCFTEEAARFFASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVNPTLVKSPSVHVSKTTTGIINDEAAVQGCYQPSTFFPRILHSSKKNRKSKSDIGEGSLPELMAEPANVKSMSFVGTHEYLAPEIIRNEGHGSAVDWWTFGIFIYELLHGATPFKGQGNKATLYNVIGQPLRFPENSQVSSTAKDLIKGLLVKEPQKRIAYKRGATEIKQHPFFEGVNWALIRGQTPPHVPEPVDFSCYERKEKEKEKEFLPVLAAAVAEGGKEKKVCDKGTRGGSDPDYIDFEYF >A09p041570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23554975:23556631:-1 gene:A09p041570.1_BraROA transcript:A09p041570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVLGLIPVSSPDSLKKVLQTLSGKWGDVVEDLERIQVKPMKGAMTNQVFMVNWPTKDNHFHHRKLLVRVYGDGVDLFFDRKDEIRTFEIVSRYGHGPRLLGRFAGGRIEEFIHARTLSTVDLRDPQLSALIAARLREFHGIKVPGDGNVLLWDRMRNWLGQAKSLCTPEDSAEFGLDNIESEIDFLEHELQYEYKQQEIGFCHNDLQYGNIMIDEDTNSITIIDYEYASYNPVAYDIANHFCEMAANYHSDTPHILDYSLYPGEEERRRFIGNYLRSSGEDPREEDIEQLLEDAEKYTLASHLFWGLWGIISGHVNKIDFDYAEYSRQRFKQYLIRKPQLLCTFTNKMYE >A06g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12580666:12586655:1 gene:A06g504090.1_BraROA transcript:A06g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHTFYLLSLTTSCISYLQVIPLSLSRTCVSNQAAIESSSSIIGLSASLCVTKQSISSLSLQIEFISSEPVECSFLRVLQVSIDRAEHTARAVYRLDPHSSGLELQHNPRPDGQINRTEVRLSRPVRHAKSFGQARSEVVRVESKSDHGLSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERTGRVLLLTAGRAISYIESGQE >A03g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28782049:28784113:1 gene:A03g508360.1_BraROA transcript:A03g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQKTYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMA >A10p019140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13198774:13201759:-1 gene:A10p019140.1_BraROA transcript:A10p019140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSKSVVDMIEASSKVHYSGFHMNGHINGVEPSVLQGTTSPASPDIQRQPFVIGVAGGAASGKTSVCDLIIQQLHDQRVVLVNQDSFYHSLTEEELARVHEYNFDHPDAFDTDHLLSCMEKLRQGQAVDIPKYDFKTYKSSVSRRVNPSDVILLEGILLFHDPRVRGLMNMKIFVDTDADVRLARRIKRDTSQNDRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHICTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYSDRLIRLVVEHGLGHLPFSEMQVITPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLVYEKLPNDISERHVLLLDPILGTGNSAVQAIKLLISKGVPEGNIIFLNLISAPQGVHVVCKKFPRLKIVTSEIEHGLNEEFRVIPGMGEFGDRYFGTDDD >A08p024450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15911249:15912649:1 gene:A08p024450.1_BraROA transcript:A08p024450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRSVSWRLVTCLSSSPKLSLNVLCLVVTVFVLLQIWSFHITLQPILLPPSLLTYLNNHQQQQQPEVSHNDCLMEKLRESVTFLPLKDLRYSNKPLEGHTWFMSSLYDNQTKGEVQYQEFPSESSKGKLLCLKGFDKHDGSWNYYALAWPQALPVNASLKKGLTFVSYNHYDYGNMWHGLSAMVPFVAWSLRNQCERPQRWVLYHWGELRFNMGNWLKEIITATYSQEPEFVRFGDENTPVCFEKAVVMRHNEGGMSRDRRIEVFDLIRCKARHYCNISSSETSRPRIGMTLLMRTGPRSFKNVSAVTDIFKRECKRVQGCELKVAYSNNLTFCEQVELMRNTDVLVSPHGAQLTNLVLMERNSSVMEFYPKGWLKLAGVGQFVYQWGANWSGMKHEGAWRDPFGETCQFPDTDRRCMSVYKNAKIGYNETYFGDWARSVLERVKKMKDVVERNHGYESLDGCMC >A08p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14750453:14750947:-1 gene:A08p022210.1_BraROA transcript:A08p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.4 kDa class V heat shock protein [Source:Projected from Arabidopsis thaliana (AT4G21870) UniProtKB/Swiss-Prot;Acc:O49710] MDSQTIQPTLWECVQSSQSLSDNQTPQQNRIRWSQSPDSHTFSVDLPGLRKEDIKVEIEDSIYLIIRTKTTEISPVRSLKRKFRLPESIDMIGITANYEDGVLTVIVPKRVLRRRSFFIDPSDVPQSLHVLARAA >A07g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20039790:20042908:1 gene:A07g507420.1_BraROA transcript:A07g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAEPAGMMRKSFRRQKSQRLWAKVVMRKWLNISARDLEYGADTEDESENEDVVEENHDSSSDEDNEESSTRRRGSTQSWVSEISEDPITVAEAAAEFTSNDAPLKLRRRNSETLRAQYINNKEIRVCVGTWNVGGVSPPSDLDIDDWIDINHSADIYVLGLQEVVPLNAGNILGAEDNRPVAKWEEVIREALNRVRPKNSGVKSYSDPPTPGIFKPFDETHDVIEEEVDYESDSDAGVEIHPINEEEEESLGELKHDGGVVGEVNTLVDPNSGVPVVEIKRQFSSPKKLDRQICLRVDSFDKRKKDEDSPGTGMKTLNRMLSGKERIGLSWPEPPLSMLGPSCVLDRQPSMKSTASLQTTKSFKAYNSFKYVAGSNNGVAPEVLALASMDLTSLMERKRRPAYVRLGAVSVSMSIYQTFFCFICTHLTAGEREVDQIKRNADVHEIHKRTVFHSVSALGLPKLIYDHERIIWLGDLNYRLNLSYEKTKDLISKKEWSKLLEYDQLVKEYKKGRAFDGWSEGTLQFAPTYKYQAHSDEYTGSDGKATKRTPAWCDRILSFGKGMRLVQYRRTENKFSDHRPVVAIYMAEVEVFSARKLQRALTFTDAEIEDEGLVAVVV >A04g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4522325:4522696:1 gene:A04g501890.1_BraROA transcript:A04g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRVVGCSVVYGRFSLVFGGLSLFPSLLVSPSWHSGSALRRPGWVLLPGLGRRFSELRLGQLRLSRRGDLVG >A10p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12255144:12255841:-1 gene:A10p012660.1_BraROA transcript:A10p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGYFFVLSIMAILSQFLSAPTSALTNREYIDANCQRVKNKTFCVDTLTTYPPTVSATGLLPLAEAVINLAIAHAEKTAGFAADTAKNEAALKTQFNQCHDAYVAIVASLKSASLELKETPDTANYDVMVSGDDTSRVKDLIGKNLDKSSKTLMDMTLQMDKLLDLAAGATDAVDDDDENILRRT >A09p081480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59276548:59277462:1 gene:A09p081480.1_BraROA transcript:A09p081480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDIEAAHILIALSRSKPRVVVKTMEKENRLPVQVPVPVPVPVAVPKQKRSSSRKMRRSFPMHPSCSTAIVEYVSQEDASPPLRRKKRHPPFPPIAKQPKKKAKVVAASSWASEPTPDWLLKLVEGEEDEPMRIIEKELSATDVNHNHNRLSMPCSKIIDLDFLSIAEQGLIEEDEGKKHKTGVNAKLVVKFVDSDDLKKFGVNLRRWKMPKEKGSPTFIYNLVTGWNKVVEGCGLGENDKIRLWSYHSDGELSFALVLDTPAPLSDLLLLPPPASDSEDANPEEMSSALVIYDKSNDDLLPK >A02g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16515420:16516759:-1 gene:A02g505610.1_BraROA transcript:A02g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRDYTAAATQPSTSLPEALTVKPIVPMSGTKRIKSCNAKCKGSKKKKKCITTTGLWDRHIPTEILEGILSRLGLKDNIHASAVCKTWCESAVSVRKLPCRPWLLHPIDDWTIPGSPYLLLDPLKPHHDQSQKYNLDFPHMRFTPAGMSCSRDGWVLAKSPHLMYAFFFNPFTKKIFVLPRGSIYHLMSRLAFSAAPTSTSCVVISYSRIPKTADFRIETWRPGAARWTTHRFENSVLRRWDKCVFSNGVFYFLSTCGCLGVFDPCEATWNLLPVKPLLFPEVDSPVFLMEHEGDIFVMCSRLDSNHMVFKLNMKQNVWEEKRDLGGLTVFASCPGSFIRACLSAEEMNRIYPSFTDFYLIYGSTSCRPPRTNLSCRVAWVEPPQNNVDLL >A08p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:392799:393049:-1 gene:A08p011420.1_BraROA transcript:A08p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNGKSFLVVTYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELN >A03p017420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7033876:7037732:1 gene:A03p017420.1_BraROA transcript:A03p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPTVIEQGISRQHLKTVSCANVLTLAYQSLGVIYGDLSTSPLYVYKTTFSGKLSLHEDDEEIFGVFSFIFWTFTLIALFKYVFIVLSADDNGEGGTFALYSLLCRYAKLSVLPNHQEMDEKLSTYATGSPGETSQSAAVKSFFETHPKSQKCLLIFVLLGTCMAIGDSVLTPTISVLSAVSGVKLKIPELHENYVVIISCIILVAIFSVQRYGTHRVAFIFAPISTAWLLSISSIGVYNTIKWNPRIVSALSPVYMYKFLRSTGVEGWVSLGGVVLSITGVETMFADLGHFSSLSIKVAFSFFVYPCLILAYMGEAAFLSKHHEDIQRSFYKAIPGLAVTSVMLVTTCLMTLVMTIKHEFDVDNKVSIDRIIALGPSIGMVRVPGIGLVYTNLVTGVPAVFGHFVTNLPAFHRILVFVCVKSVQVPYVGEEERFVISRVGPKEYGMFRSVVRYGYRDVPREVYDFESRLVSAIVEFVETEPGGGEGYELRRRRKEESLEIMEAKEAGVAYILGQSYAKAKQSSSVLKKLAVNVVFAFMSTNCRGTDVVLNVPHTSLLEVGMVYYV >A06p001470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:636359:639167:-1 gene:A06p001470.1_BraROA transcript:A06p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRPISKNCLIYRHSLCLRLNSTLALSNYKPNPPTTTTTSTSIFQCNSQISKLARNGNLQEAEAIFKAMPQRSIVSWNAMISAYAANGKMSKARQVFDEMPVRATTSYNAMITAMVKNKCDMVKAHDLFREMPEKNAVSYAAMITGFVKAGMFDEAECLYGETPVEFRDPVASNVLLSGYLRGGRLEEAVRVFEGMGVKEVVSCSAMVDGFCKMGKLVEARRLFDEMYERNVVTWTAMIDGYFKGGFFEDGFALFLRMRREGDVSVNANTLAVMFKACRDFGRYREGSQIHGLVSRMPLEFDLFLGNSMISMYSKLGFMGEAKAVFGVMKNKDSVSWNSLITGLVQRGQVSEAYELFEKMPSKDIVSWTDMIKGFSGIGEISKCVELFRMMPEKDDVTWTAMISAFVSNGCYSEAVSWFREMLRGKAFPNSYTFSSVLSAAASLAALVEGLQIHARVVKMNMEDDLSVQNSLVSMYTKTGNAHDAYKIFLSIGDPNIVSYNTMISGFSYNGFGKEAVKLFSGLESTGTEPNSVTFLALLSACAHVGYVDLGWKYFSSMKSSYGIEPGPDHYACMVDLLGRGGMLDEAYRLISSMPFEPHSGVWGSLLGASKTCLRVDLAEVAAKKLIELEPDSATPYVVLSQLYSLAGKNRDADRIRSIKKSKRIKKDPGSSWIIIKGKVHNFLAGDESHSSLEEIAFTLKMIEKDYKLISISHGSSIHHKREIMETYLIVLMVCCGVFIALALLVPCCLEKKKKTGTDGSVLKPNNQRGRAVTSSNGDFMFYPAAAASLPTISGSGPSGHHHSAHNHGGGGGCGGGGHHGGGGGCGGGGGGCGGGGGGCGGGG >A06p003170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4813797:4815349:-1 gene:A06p003170.1_BraROA transcript:A06p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH122 [Source:Projected from Arabidopsis thaliana (AT1G51140) UniProtKB/Swiss-Prot;Acc:Q9C690] MESEFQQHHFLLHDHQHQRPPRTSGLVRYQSAPSSYFSSFGESIEEFLDRPTSPETERILSGFLQTTDTSNNVDSFLHHTFSETENKPPEVKTEEETVVDDIPAMEVGEMAPPVSTGYASVVRSLGQNKRPRDDRTPASNLARHNSSPAGLFSSIDVETAYAAVMKNMGGFGVMNMSNNSTEASSITPRSKLPPPSMDSIPEVDDVKPVFSSRLPPRTLSGGFNQGSASSKRMAIARTQSGGLDQYKTKDEDSSASRRPPLAHHMSLPKSLSDIEQLLSDSIPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDTQTNTADMLDLAVQYIKDLQEQVKGLEESRARCRCSCA >A06p022790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12049181:12050971:-1 gene:A06p022790.1_BraROA transcript:A06p022790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic dof factor 3 [Source:Projected from Arabidopsis thaliana (AT3G47500) UniProtKB/Swiss-Prot;Acc:Q8LFV3] MMMESRDPAIKLFGMKIPFPAVFEPTTVVALEEDYSGGDDTSPEKVTTEQATPEKNNNCNNKSLSNSNDSKPETGDKEEATSTDQIESDETNQQTTADGKTLKKPTKILPCPRCKSMDTKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKHKSSSSHYRHITISEALQGARLDPGLQANTRVLSFGLQAPHQQHAAPMTPVMKLQGDQKVSNGARNGIAARVENGDDCSSGSSVTTSVDETRAQSCRVVEPQVNNNNMNGYACIPGVPWPYTWNPAMPPPGFYPPPGYPMPFYPYWTIPMAPPNQSSSPMSQKGSTPNSPTLGKHSRDEDSSTERKQRNGCVIVPKTLRIDDPNEAAKSSIWTTLGIKNEGSTLGSKGRMFNGFDQKTNKDQTNNSHVLSANPAALSRSLNFQERV >A02p014120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6185864:6186454:1 gene:A02p014120.1_BraROA transcript:A02p014120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICVIFLNAPNGSANPSLAGNEKSEADPSQSPLGEKTDVFDLPLRMARGQIEESLRTKMASIKLQDVPKGAYVTSEGTERESLNLKLSLSRQEA >A02p047390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29582500:29583768:-1 gene:A02p047390.1_BraROA transcript:A02p047390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVCNLNRVTALEYLEDGTPKVTVPSHVLFQGIHNQKEYVVGIGEPMLTYKPWLDPTLIGEAKNLVEVKLDRPFAQRVAFEDESGSVSMIDVVYSWLPSKCGRCGQLGHRALQCLGQPLDPTRSTKTSSPVSRDVESSVTITNEANMVRDKEDRDATVTVPHPPTPSVEATSDPILALVATINNSIDKIPIAEDESVMEAKQDTTFSLKRKVGQSSPNTNFEHLSTHSITSNTTSISHTPLVSAIETPTSSNHVSTNSAPADCSIRISLSSSNLLTNRFSSLESSDDEDETLISFDELDPKEYLSPQGKVFSLGKAS >A07p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10189720:10192007:-1 gene:A07p016570.1_BraROA transcript:A07p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFVDGELESLLWMFNSDQCSSSKEDRPRDEILGLSSLYNDHLHHHQNNVSSSNHHTLLIPDMFPLGAMLGGNLPTTLDSWDQNHLQETATLKRKHLNLENLHNTYSNCDTTRQELVKAKKKQRVNPETDTVYQSNTSWRHGQSISIISVEEKASVASAKGKTRATKGQATDPQSLYARVRKRVKVSRTSILKPLKPSPEATSYVIKHHLLFSGRS >A06p057030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29657649:29659728:1 gene:A06p057030.1_BraROA transcript:A06p057030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD21B [Source:Projected from Arabidopsis thaliana (AT5G43060) UniProtKB/Swiss-Prot;Acc:Q9FMH8] MGSVKVTILLLATMIGVSYAADMSIISYDEKHHITADNERSDAEVARIYEAWMEKHGKKAQSNGLVGEEKDQRFEIFKDNLRFIDEHNNKNLSYKLGLTRFADLTNEEYRSIYLGAKPKKRVLKASDRYQPRVGDAIPDSVDWRKEGAVAAVKDQGSCGSCWAFSTIGAVEGINKIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFEFIIKNGGIDTEEDYPYKAADGRCDQTRKNAKVVTIDAYEDVPENNEAALKKALANQPISVAIEAGGRAFQLYSSGVFDGICGTELDHGVVAVGYGTENGNDYWIVRNSWGGSWGESGYIKMARNIAEPTGKCGIAMEASYPIKKGQNPPNPGPSPPSPIKPPTQCDKYYSCPEGNTCCCLFKYGKYCFGWGCCPLEAATCCDDNTSCCPHEYPVCNGDTCLMSKNSPFSVKALKRTPAKPFWAHSRKNMA >A10g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3154488:3160338:-1 gene:A10g501090.1_BraROA transcript:A10g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSHHKSAHMADIKGKGIQYDDDEPILLTADDDGQLNHQPSQGGNKISNDRSHMSHDMRSRLAGYKGSYAVRGELTENKHGRDEYRSWHGKETYQPRHQNVNRHADRIVRTRDDISNRYGNSRSRYGPYDRKKDLTLREKQRENKPWKKDNDSLPHEPNSIGNSRYAGNDVTTEGERDSYADLTSKDGTVVTMHQRRKIASTIVTPSRALVQSTENVTYRSQGLARTISFSPTASVVKEDAMVIEALSGMDITAGQDDGATEAESKDDDLLGLDLMEMEAEKNQTNTAERVQEVALSHDRHRSKKSGTKSGTKRNVPLGVQSKMSQLLRRGSPAARSGRHSRVGHHALEEWRRQHNLNSAKQVEELKEKDSLGQTQLMGMRNLSRRETSLHSEVEALRWAMDSMLLHSSCQSFGTDCKDLIAMIREPQAWPSLATELEAIKTLQLCFPEFKISHIPRAQNGISDSLAKSARSFYRKLCYIGCFIPVWLPRPSQVL >SC206g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:27374:32248:1 gene:SC206g500020.1_BraROA transcript:SC206g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHPIRSIQPATNTPRPDPEQAFAYPLQAHPHLKSKPLKICQNRLHLFKKKQTKAMAFSHGLSTFLLSIKGVPHLLVKMKPLKKRAFPLLMELATWKHEERSGLYSLRYKKVANGHHLSLEETGDQKALEIKGRVDQWYTSSDTSDSPTTKSVKTKVFCHCISSLGHSLVYRKCSMGHYAIRSVTCEALYGDSNTLVPGIRKRAAHKTETITTAVETGGSWPDRSLQRLLRRTIKRAKSVACLGKRLFRAVLAKDIRGVWEEMVDGAKLGSAVRTAVRTVRTGTDEIDPKHLFSTI >A10p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16897454:16898022:-1 gene:A10p026690.1_BraROA transcript:A10p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGCQHTYWYKTLGSSVGFLEIIVLLTSCLVSFKSFTPQRACAVSLEPHGLYTIGYDGTCIIRLWPATMMILTFLVTKRMKMMDLDEFHYHLHGSSLRIDNMRWG >A09g510640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32859342:32860052:1 gene:A09g510640.1_BraROA transcript:A09g510640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNELGSWPIYIMQQPIRFRLVAARVSLCMAPDACTATPRAPHVLQHGQDSCRVPPLLPDVRLHDWNSCKVPQHHTHGWPHASVACVETPRAWSIHVVLLHVKLHVQLPCTNVLAICIGTPRASWSVYAILTLPLRENISRASGFLEKFHNTEIRGFAQLRIFMSCFQQCHASDILWINLPDCLTMV >A06g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22393756:22394808:-1 gene:A06g508060.1_BraROA transcript:A06g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRQNLDREVKFSLWSLLPQIENPKPPPHFFHSQATDGTSVVGLPLPRQLVIVFHRLHPLLSSPCLSSLLGMSGSDGVSSAGNNWNQRVFVSSGPPARRDRSVIVLGALDLFLVADCAWIWVKSKIRDPPEVSTSRAVSLLLRRLAPAGAPPRSSVSLARMTTPMVYLSEKVLRTTLRVDVSCGKSEALFCHQVTCFKEYFSPSVLQFPFQSSRQIADMLTFWLSSVLTWAFLCRRPPPAELRGVSQALLSMPARSHFGEARSNSPSGYSLDRCFKPVD >A09g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23287875:23299479:1 gene:A09g508220.1_BraROA transcript:A09g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIQPYVCFLSERFVSWFLLVYRLSRFNDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVKSILKPDEMIRVRGSFLGPIMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGGSFPLEYAKSAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILELIPLLRDKFSKCVPTVEVPRPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDLEDDISIEDKYSDELETVKDVTKKWYKITADDWENRCVDTFDTLDALIQMTANKETGQASTPIDEDSVNEKVNRIIMVMEENQKIMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSGTPLSPMSHTQPSSETPLSPMSHTQPSSETPLSSMSQQPNLTHEETMIESAASPKSQQNEDYTQPWSETPLSPMSQQPNLTHEETMNESDDETLALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPVYTPSQTQQIEREPSDDMPVLDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALSETETATQYFCTSEGEHTQSSRKNQAEEYLKDTTEPTTELVSTDVLKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTIEPTTELVSTDVSKMPSITLQTEHLQTSAIDFSETNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKINVWIGMEIHLKKKRITVYDCFQKESNNIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLYCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGMFSGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREETEKFKWDFLKGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESIPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNFRIMNDRLCLIEKDRKQIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNLFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGAEMVKVEYSVPSLDEKKRKKSVETRVSIDRIRLQPPPERSGVKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSTEEIYFNHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDAVGKMAKEMEVKQGKSVKPSHDDHAKKGKPDVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEHINVAFEMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVGYAYESVRKPHKKKQTLLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSYHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A02p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8500438:8501911:-1 gene:A02p018430.1_BraROA transcript:A02p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETFLIKLKNAISSKPTSHRPTRSTSPPTTTTSSVGVLSFEVARLMTKLLHLTHSLTDSNLLSLRDHSLSLEGLTKIVTADETFHLSLVCAELADSLAHTADSVSRLSLRCTTPSLRSFHRLFHEFADMGRDPHGWVISCKDTDSKNKKIERYVSVTTALYREMEEMTNLENSLRKHSSQIGIEYEEDNKKVMDLQQKIERQRQHVKYLKDRSLWNKSFDTVVLILARSVFTALARLKTVFSSAAAASSSPTVVSFLPRSLSSSSSSMNLVHPSPNDEERLKTASSSAFLEESARLLKPPETTLGGSGAALHYANLIVVMEKMIKQPQLVGLDARDDLYSMLPASVRSSLRSRLKGVGFTATDGGLAVEWKAALGRILRWLLPLAQNMIRWQSERSFEQRHVATAVNSQNRVMLVQTLVFADKVKTEAAITELLVGLNYIWRFEREMTAKALFNLQSPPKSQLILL >A09p080660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:58973621:58975048:-1 gene:A09p080660.1_BraROA transcript:A09p080660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol 3-O-glucosyltransferase UGT71C4 [Source:Projected from Arabidopsis thaliana (AT1G07250) UniProtKB/Swiss-Prot;Acc:Q9LML6] MMKKTELIFIPVPSTGHLLVNIEFAKRLISFDRRINTITILQLHSPTSPNAAVFAKSLVASHPQIRLHDLPVLDDPPPLDLFYRAPEAYIVQIIKRTTPLIKEAVSTIVAESRTSRVAGLVLDLFCNSLIKDVGDELHLPSYIFLTCNARYLSMMKYLPDRHRRVASKLDWSSGDEELAIPGFVNHIPAKFLPSGLFKKEAYEAYVDLSPRFGDAKGILVNSVAEIEDYTFGYFSQQQEEEDYPPVYPVGPILSLEDRASPNEEERDRIVRWLEEQPECSVVFLCFGSRGSVDELQVKEISQALEVVGCRFLWSIRTGPVETSDPTGVLPEGFMGRVAGKGLVCGWAPQVEVLEHKAIGGFVSHCGWNSTLESLWFGVPVATWPMYAEQQLNAFTLVKELGLAVDLRMDYVSGRGGLVTCDEIVRAIRSLMDDGEGRRVKVKEMSDAARKAVMDGGSSSVATARFIDELVEDGAG >A02p055770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33737428:33740902:1 gene:A02p055770.1_BraROA transcript:A02p055770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILISLCLATFLAFLLLKPLLTRITTTKVKLPPSPWRLPVIGNLHQLSLHPHRSLHSLSLRYGPLMLLHFGCVPVLVVSSADVAHDVMKTHDIKFSNRPRTKTVNKLLNGREVAFSPYGEYWRQAKSICVMNLLTIKTIQSFENIRAEEINTMMEKLEKASSLSSPINLSELFTTLTNDVIRRIVLGRKYGSERGCYISQDIVRRFVELMGAFPLGDFIPVLSWIDRIRGLDKKVEEVCNEFDVFMEKLVQEHEDADEDRSDFLYILLSLQRDKTTPIELDRRDLKLILLDMLFGASVTTFTLLEWTMTELMRHHECMKTLQDEIRSVSAHNGYVTEKEVEKMKYLTLVIKEVLRLHPSVPTIPRLLSEDVELHGYDIAAGTQVLINAWAIQRDIETWGPDAEKFRPERHLDLLVDFQGQNFKYIPFGSGRRRCPGIRFALALVEVTLANLVKRFDWRVEVGTMGDNKPDLAEAIGLDVCRKFSLVVCPSCV >A03p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3207715:3210155:-1 gene:A03p007880.1_BraROA transcript:A03p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVYSASATVTEGTKVSPRRSNSNGRNGKLRVICRYGGNIVSQRYVGGDTRIIMMEEHGYLTCEPSSAPKTRIRLFLFPSKTSEGGGASQGGLARCKAVADTDWLGREESKPVLTHPKTETWFVDALKSAEMMLTGRDLSGDVNGQESMTQETSSNLGSTSSSASSSNLPPVKRSGEGISQVKYSPVESVTRDEEASPLIAFSSSAITQISSHELPTRPHVLENKLSSNMYEAELNKPVPVQVPVSGYPPYLNQSQQQHTHVVYTGQPYITGTSPITLPATTYPHTNHLQYQLPPQPYPIYYSPVDQYSSRHVQAPPVKHNNVLNTHQVDFPMVRTSSPLATELSSHIYPPPPKPVLNTHQVDFPMVRTSSPLAPELYPPPKPVDSSVQTSSEAVLRDDHIYNIDHLDDDTVCAQIYKTQPPAPIIPSQLQTMMLTEALGKLRIHNG >A04g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5915108:5935811:1 gene:A04g502490.1_BraROA transcript:A04g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYVGTEIRTVDFRLNKETRKTLISQRSRISARANDNLEKTVDLISSLRKSVAIITREYKGFGRRGRQRIDFGLNLVKGCLRTPFEDQAERSSIDRAGQEIELPGRVQNSESKKLSLPSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRATELWLEPGRFVATERNERSVARSLRSDRALARARSLRSDQAGRSLGRYVATELWLDLGRYVATERDDRSRPSGTIARSLRGDRPRRMLGRYVATEFGSSSTFEYWQRDKFWDLVSGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRFSAYTTCMVGIEHLSGDRKCWTKISDFFYSAIILVSDVRESSSTRWLISMVTSIEAKQETFRSRFERERKDGGRSDSSLRSEWKQAKKRPICFRRRYVATDSLTGRYVANGSKPRSVLLVFVVKSQRKLRLRRNEKRFDEDSKDNPKEDLSEALQVATLTGRYVTSGSKPRRVPLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQVATFDENAWTGVIPMFGRARSLCSDRTLARARSLRSDRAGRTLGRYVATELCACLVAAYRSSLACPRSDFHTRVCPRPIWIHVRCLRTIGIYDRALARARSLRSDQAGRSLGRYVATELWLELGRYVATERNDRSRPSETDARSLRSDRAWLELGRYVATELCACLVAAYRSSLACPRSDFHTRACPRPIWIHALRKDFFTKITFRKNGYADFYGLSDIDSVVTDFDPNKKKIRIPPKSTFRNRFFLTKKLYGRKNRERIEMSVDESPLGRYVAPEFEPKLGRYVATERSPRSVAT >A02p009990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4295266:4300784:-1 gene:A02p009990.1_BraROA transcript:A02p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWVNSYLEAILDVGQPLDDARPSLLLRERGRFTPSRYFVEEVITGYDETDLHKSWTKAVATRSTQERNTRLENMCWRIWNLARQKKQHEEKEAQRLAKRRLERERGRREITDDMSEEFSEGEKGDIISDVSTHGIKSRLARINSAESMEIWANQQKGNKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPDVDYSYGEPTEMLTPRDSEDLSDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIAEFVDGAMSHIIQMSNVLGEQVVGGGSGKPIWPSAIHGHYADAGDAAALLSGALNVPMILTGHSLGRDKLEQLLKQGRLSKEEINSTYKIMRRIEGEELSLDVSEMVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVKIPPGMEFNHIVPHDGDMEDADGNEEHPTSRDPPIWAEIMRFFSNSRKPMILALARPDPKKNITTLVKAFGECRPLRELANLALIMGNRDGIDEMSSTSSSVLLSVLKLVDKYDLYGQVAYPKHHKQSDVPDIYRLAAKSKGVFINPAFIEPFGLTLIEAAAYGLPMVATKNGGPVDIHRILDNGLLVDPHDQQSLSEALLKLVADKHLWAKCRQNGLKNIHQFSWPEHCKTYLSRITSFKPRHPQWQSDDDDDGDNSEPESPGDSFRDISLNLRFSFDGGSGNDGSMNQEVSSSMDRKSKIEAAVLNWSKGKDSSRKMGSSLERSEVSSGKFPAVRRRKFIFVIALDFDGEKDTLAATRRILEAVEKERADGSVGFILSTSLKISEITSFLESGGLSPNDFDAFVCNSGSDLYYTSLSSEDGPFVVDFYYHSHVEYRWGGEGLRKTLIRWASSVNEKRSGGDDDEQIVTLAEHLSTDYCYTFAVKKPAAVPPVRELRKVLRIQAYLFVRWGIDLAKMVVFVGESGDTDYEGLLGGLHKSVVLEGVSCSASNALHTNRSYPLTDVISLESNNVVHAPLDSDVREALKKLELLED >A07g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16758443:16759248:1 gene:A07g506730.1_BraROA transcript:A07g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYVFVLTNITSTLSSHPFLPPTLFSKVHHHFACFFRRPLRRSRSSDPLLSPVCFLLSLSSLCSLISAAGRALRKLRSRISSFRSVASGGEFRRVRTVSVFPMVVAFSLVLGVPAFLFCLWRVDAGWVFFTAVLSVFGRGVCPWLALARSFVAGFLFLFSLGLSVVLPVTARESQSGAIVGLMLWPSGYSRLS >A04g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20554594:20555171:-1 gene:A04g508060.1_BraROA transcript:A04g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRSFSSPAAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSSIRDPSPVVLFEPKWLYRQAVEEVPEHDYMIPLSEAEVYCCLLIKQCMTKTS >A01p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6648087:6650907:-1 gene:A01p013620.1_BraROA transcript:A01p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGEEGETIAIAEENNGLIVDSGETNSTWRAMRFDVSPYRTHHFSKQFRASRNPNNFLKGLKWSPDGSCFLASSEDNTLTLFYLGESNGYGVTIPEEDSYGASLVVNEGESVYDFCWYPYMSVSDPLTCVFATTTRDHPIHLWDSSSGELRCTYRAYDAMDEITAAFSVGFNPGGNKIFAGYNSSIRVFDLHRPGRDFGQYSTLQKNKEGQAGILSTLAFSPTNSGMLAVGSYGQTTGIYREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYILCWDMRKSVEIVYKLYRATENTNQRVFFDIDPCGRHLGTGGQDGLVHMYDLQTGNWVSGYQAASDTVNAFSFHPYLPMAATSSGHRRFAIPDDDDEDKNNVELKADENCVSLWSFYVTSEENTSDEHNNGLASNSHNLNVTGEEENA >A08g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3996114:3997374:1 gene:A08g501530.1_BraROA transcript:A08g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNPAVRAWRLRVKLHRIYPFYSCGYKMEMNTYGNYKKFRGLEKEEGRWVEIFMVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDLKYPIDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGLV >SC122g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:280430:285761:-1 gene:SC122g500190.1_BraROA transcript:SC122g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKFKLVRVVEDRGVIRGLRQGEDECYQLVERLREVWSELDVVKTHTSNPRCCQERRKQDVIFSLLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENPPASSKNFVIPKLGFSPNFGFFCRASIPSCLLPVVLTSRQTSFFQNVELLNRRASKNIMFPKRPSHQSKTSSNYGRAAYSTHS >A01g510410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28620168:28621824:-1 gene:A01g510410.1_BraROA transcript:A01g510410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRDGNPRVTPEVTRDVCLLARMMAANLYCNQIENLMFELSMWRCIDEFCVAADEIQRNSRKYAAKHCICLILAWDKLNHTRERSRQLLSNRISDIPEEATFTNVEQFLEPLELCYRSLWKDAGRLSAAWELVKVAKKYGVKMTMFLHGRGGTVGRGGGPTHLAILSQPRIQLMHYTAATFEHEMNPHVSPKPEWRALLDAMAVVATEEYRSVVFQEPRFRVLPPLQSYGSLLGRKQDLPIWLGFGGAFKYAIKKDGDPGIAALYDKLLVSKDLWAVGEKLRTNFEETKSLVL >SC117g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:147332:149126:-1 gene:SC117g500020.1_BraROA transcript:SC117g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYAELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEGDASRSTDEFLAIMRSFYHIPDAVEFRHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLAPRKFMSVVKKFISNFNTWKKFFFFVRVEAASVEESCIPLFRRLPNDRPFINLLAPFPEDIIAVRDVLKNGPFFWTSFTPKRVQKALRFVQPGPALAADTGSDSEPDNQNPVEAPTTMPESSSWKGKDVDLGDIEFSMDDSVLPGWDPNLAYGDGSGWSEAPIPDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSSIINGGLSLLGSAIKAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRASQFQVECGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A02p004890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2116617:2117666:1 gene:A02p004890.1_BraROA transcript:A02p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSKTQSRNPSFSSTLLDEIYHSIDPKTQKTQPFLNSLNTAKKQSISVNRGRDRLFGSMSSSSDSNSSIFSSSDTELTHPKKTTSSRPLCFGPSKTTKPRKTEDKALFRQNRAKTEDKALFRQNRATRVSDDYDYASDVPKITRYDEDWENTRTRRSTSKIKTPASPGVRIVNFINSLFSNNGSSKQSSSAVKSYPRKTSYDVTKPTCSSASSFSRSCLNKTSEKPSDRIKRSVRFSPVNVIVTAEEEEEDYLSNGYIRKSVKKNVEDGGRRSVEEIAREFLRDYHKNHENGMVKSNDFEDYEDDNDDVASDSSSDLFELDLAGSHHHHNLYGDELPVYETTFAGLIL >A07p012010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8389914:8390654:-1 gene:A07p012010.1_BraROA transcript:A07p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:Projected from Arabidopsis thaliana (AT3G24020) UniProtKB/TrEMBL;Acc:A0A178V7A9] MMMIKQSPFSSPLTAIFLLTLAVFAVALEPPPEDPIFELYMHDILGGSSPTARPITGLLGNIYNGQVPFAKQIGFTPPENGIAIPNANGALPTVNGINGVPLGTGLSGTAYSGQNLNGIQTQLGPDGLSLGFGTITVIDDILTSGPDLGSQPLGKAQGVYVASSGDGSTQMMAFTAMLEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAEVRPLIPSGQHQVDGAESLLRIIVHLKY >A07p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7328483:7330340:-1 gene:A07p013730.1_BraROA transcript:A07p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASLSLKPPARFLCGGERRKGTSAGFSVARASQGNTFHESMVRLSASTVLIIGLSLRVCSPASARIPPPVISTHSVTQNEIDSDTLVVEEEERRLEAEFEAYKAKVYSLTLPLKLIALRGSVPPSWIKEFISSQGKRVRLKTRFLANIEDIFFDLSTPANKGKSGSADMITLGDSWLTFAIKEKLIEPMKGVEVQDWYKGLSDKWKVYLRRNYAGEQAPDGETWAVPYRWGSMVIAYKKSKFQQYKLAPIQDWEDLWRPELARRISMVNSPREVVGAVLKYMGASYNTTDLDSQVTGGKIAVETNLASLMKQIRLFDSTNYLKAFNVGDVWVTVGWSSDVIPVAKRMSNVTVIVPKSGATLWADLWAIPAIPDSGKEAEALGGRARGPSPLIHQWIEFCLQPARSLPFTREVIPGASPSAIEGGPLVREPEVTKKSRAKLDTNLVLGVPPPEILFKCEFLEPLPEATLLEYRLLIDTVRKQKQGHGLVEKLEDIFSSFRTKLHSRMKKNT >A05p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:966811:968386:1 gene:A05p002760.1_BraROA transcript:A05p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDDDSNNSNTVKCICSDKKAVEVDRKTWELFNKMVPMEGFHRIPEPVEMYFVRSTAFKKILTYCVRISDGTCSERWMYDELLHGESLIGLFHLVGTADFLNFESLDAFILHYVEDKSEEEISYMVRNEELKSLLKIIKLFSAVLPLVKKPQEEFSQMIVNKDLPSLIKLIKVAEYIGYENVRKAARNHILSKSSNQLSHEIHSQNLKSLIKIFKVAKAEKLQPLQDMVVQYVDSKSKKELVQMKEECKTKTSKLKLVPCISKRPRTTKFNLKKDLSDI >A09p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3805881:3808221:1 gene:A09p007200.1_BraROA transcript:A09p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSCVQIQVEEELPTLLRRATTEEMLGFDNYKESNLPLPHFVSRFHHSHASTTNLNGQEALRDTMEETTPWMMPKHQRKPSLSMPTSPNVLMISHPTSSSSDNNNNIGASTGKSVKFISQPMTKVSSLYMETGNDDDDRRRHDHHYHQSGRLQHQNPAMHKLKDHRYNSFKTWSGKLERQFTRKPASIEPETPNRAKENLNTHEAMPVDRYYDALEGPELETLRPQEEIVLPSEQTWPFLLRYPISTFGMCLGVSSQAIMWKTLATAEPTKFLHIPLWINEALWFISVALILTIATIYLLKIILYFEAVRREYYHPIRINFFFAPFISLLFLALGVPPSIAKELPQFLWYLLMFPFICLELKIYGQWMSGGQRRLSRVANPTNHLSIVGNFVGALLGASMGLREGPIFFYAVGMAHYLVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWAKITDSFDYGSKVCYFIAIFLYFSLAVRINFFRGIKFSLSWWAYTFPMTGAAIATIRYATVVRSTMTQVMCVILCAIATLVVFALLVTTIIHAFVTCELFPNDYAIAISNRPRPKQTSHHRWLDQLRNVSSENIENYLKFTDSDSSHSNDLEAGNGKAQESDSA >A09p003190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1877655:1882018:1 gene:A09p003190.1_BraROA transcript:A09p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLEDDCVVVLWMLEYGLELLMHTMCVSSNSMLQSNNRETFRFPRSLYNCETLETLKLRAWVLVDVPSQACLKSLRTLHLRYVDYKDHSSFPNLLSVPSLRTLTIYDYNDGQDFVGYVINAPLKYLNIRGFKSLNCCLIENAPELVEANIDNVSKITNEKLLVSLTLAKRLSLALSPLELVYLELSTHKAQWRNLLLLMLDSSPKLQVLKLISVSISNSKIRRVTGSMSNQDLENADRISELPEALILLILCLLPMKVAIATSLLSKQWRYLWKLMPKLKFDYLDHKRQLGTFSSNVCSTLVSHMSPVLQSLYLIVHQERCNAKDIGILLGIALGLHVHELVLEVRSREVYKFPRSLFIVNTLRTLKLRYNVHMDVPSLVRFKSLRNLHLHYVEYRDHNSVINLFGGCPNLKTLTVHRYSHSSVKTFTISVPSLERLSIYNSNGGQVDWGYAINAPSLKLLKIKGICGLGFCLIENVMELVEASIIDVSSISNENLLGSLTSVKRLSLRISPLKVTFPTGSIFDQLVYLELHAYKEAWWNLLTLMLDRSPKLKTLKLINKWHCEKEYVGRGEWKQPENVPKCFWFLLETFIWKGYKWQREDEKQVAKYVLKNAINLKRAIISSKRIKPKERVEVVKDLKSVVRASNFCQLIFK >A01p046810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26331834:26333437:1 gene:A01p046810.1_BraROA transcript:A01p046810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTREDQEDRPMMETAGVSSFSELLMLSGGVLSSSDHHLNDIGADGGEDSFGFVFSGSTGSRMLCFSGDCQNDDESLFLQPSLPSGVSVSAPSCTTNICKNSNDACAGNKSTKSSTMNSSVHYSFVKKKRIGSVNGQTMDHNRKPSKKCKQNQEKSSVGTAKVRKERLGERIAALQQLVSPYGKTDAASVLHEAMGYIKFLQDQIQVLCSPYLTNYSLDGGVVTGDVRAGKKVTDLRSRGLCLVPVSSTVLLESSNGADFWSPATMGHTTSSSH >A02g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14957093:14959021:-1 gene:A02g504580.1_BraROA transcript:A02g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRLMSMNSHQSTDHDEDRWTDYSTKHPHPPSPFYEKIDRSVEPTINRQSESDVDRQNTPPIDRQTPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKSNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDELGFIGACHCGAEYESEYETEYSESIDIPTFPSIDSNESTVTNDRNNTSFDVIHPVDHFASFNHCYQPFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDKRLETHKFTNTFPTSFDAVYSTSVDTHPRLAKQPLTSIDTGKGTSIDIRAAAKIQEQENIPSPTRFIDTYINCFAPPKPPTHIRANTQANKMNTLPSTSTEKSRKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDITDILQVANGPDNLFSQQRGTPDVIQTYPNNHV >A03g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2556970:2558631:1 gene:A03g500860.1_BraROA transcript:A03g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATVAQTASPLQPSTISALVRLLRWLLAGSSAFGIQGTSRRMLNLQIKECRPDVVTYNSLISGLCNASRWGEALKMVRCMSESGLSPDVFTFNALIDAFVKRGSLLEAEELYMEMVRRSLEPDVVTYSLLIDGLCVYSRLDEAERMFEYMASKRCFPDVVTYSILINGFCKSRKVDYGMKLFCEMSRRGVIRNVVTYTILIQGYCLAGKVNVAEEILRRMVGSGVSPNIVTYNVLLHGLCDNGKVEKALVMLEGMEKSGMEGDIVTYNIIIRGMCKAGEVAHAWGLYCSLNLKGRVPDIWTYTTMMLGLYKKGLRREADVLFRKMKEDGILPNECCV >A07p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4771804:4777286:-1 gene:A07p007820.1_BraROA transcript:A07p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gated outwardly-rectifying K+ channel [Source:Projected from Arabidopsis thaliana (AT5G37500) TAIR;Acc:AT5G37500] MGCLRRRQESIAEEDDINDDVSRRRGGFSLAESFRWLDSPEHLKDDSDGPNEYPWIIKPSIRWYKAWELFILVWAIYSSLFTPMEFGFFRGLPENLFILDIVGQIAFLVDIVLQFFVAFQDKHTYRIDSKPTHIALRYLKSHFFLDLVSCFPWDLIYKASGKHEVVRYILWIRLFRVRKVIEFFQRLEKDTRINYLFTRILKLIFVEVYCTHTAACIFYYLATTLPAENEGYTWIGSLKLGDYSYENFRKIDIWKRYTTSLYFAIVTMATVGYGDIHAVNLREMIFVMIYVSFDMVLGAYLIGNITALIVKGSNTERFRDKMNDLASFMNRKKLRGDIRSQITHHVRLQYDSKFTNTVMLQDIPASIRAKIAQLLYTPYIEKIPLFKGCSSEFINQIVVRLHEEYFFPGEVITEQGNVVDHLYFVCEGSLEALETKTDGTEDLVELLEPHTSFGDISIICNISQPFTIRVRSLCHLLRLDKQSFSNILEIYFHDGRKILNNLMEGKESNERIKKLESDIMIHIGKQEAGLALKVNSAAFQGDIYQLKSLVRSGADPNKTDYDGRAPLHLAASRGYEDITLFLIQEGVDINQKGNTEIDKSENTTMLYVYALVTLFCADKFGNTPLLEAVKAAQDSVIDLLVKEGASFDLEDAGNFLCTVVVKGDSDFLKRLLSSGMDPNTEDYDHRTPLHVAASEGLFLMAKMLVEAGASVVAKDRWGNSPLDEARMCGNKKLIKLLEDADTAQPYIRPSSFHEPQDEKFERRKCTVFPFHPHEEPSRKHGVMVWLPRDLQKLVETAAQELGISNEVPFVILSEEGGRITDIDMISDGQKLYLISDSTDQSA >A01p055560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31435324:31436541:1 gene:A01p055560.1_BraROA transcript:A01p055560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RILCKFFIHGSCLKGEHCEYSHDSNVCTFYQKGICLYGSRCRNEHVRTTSQPQSLSPSNVPPPPQKGDDNNNDVCYIHPREYPICSFAAAGDCPRGSQCPHMHGDICSTCGKKCLHPFRPEEREEHTKECQKKQKHIEALKKSQEIECSVCLDRVLSKATPGERKFGLLTECHHPFCIQCIRNWRSSAPVSGMDVNSTLRACPICRKLSYFVVPSVVWYSTPDEKKEIIDIYKAKLRSINCKHFNFGNGNCPFGGSCFYKHAYSDGHLDEVVVRNLGSQEGETVIADSIRLSEFLGRVHI >A01p043980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24608814:24610997:1 gene:A01p043980.1_BraROA transcript:A01p043980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFYSPTNMQQDIGQSPPGFDNEPVPVSTYQDTEPVPLSTYHENEPNGNQPQATQQELDDFWENGFNREEAIQEQEPKENGKRRSVDNTSFAPGETDLNQLPPIPPVSTGQGPPYAPVDWPSPGDVWTWRVGRRVTALGFHQDRFLILPRRLQQRNVPKSFASKPALARYIQMSFPEMDADAFFASFSWKIPALFQPADKVDDAASLFEETPKEVKTEGAAPSDKEGNSRYSQRKRNPMPTFESVVEKPKATKKKKGAKTPGTTTGPQSSTKPKPSRQSSRRSSNQQSGGAVELNLQSEEEGGGPATAPNTSGRRKKRRVNVEEEDVSIPHIYVSPMNGVLAVSHEPIDVDPIEFDSYLNSLENLLHQGPEDAGTESSVLVRASSPMREYEWAEARMKISSLLEKDFPTLFTSKDAAEIAALATKLRKDPNLSAEEIVRLKLMEEIPTFSEVFQENKAVIEEADRFFSALELNKAKVASLKYEYSDLKHKLGSIQIEVDENSEAIRQIDEQMAQLQARRNELKKYIGSKEKEKVDLSYGQKMVANSIPKVVQEVQAANSKKPEWECKKENALKREEEILSKFNPLKGFFL >A04p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10865636:10866958:1 gene:A04p018020.1_BraROA transcript:A04p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIEINFVNLENLCDDWGFKENEIIDAHNMLRSTQANLAVLEGKIMYALPITYLQKEEAYAIVSHIGVQDPADHVPPIPMPELLHSLQGIFTCCLFITQKTLTPSKPSTFS >A07p041920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22600347:22602023:-1 gene:A07p041920.1_BraROA transcript:A07p041920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMATRSSSGFPHESINFQSLSSSEMIPMGPYFGRSGSLLGMNMISNVQNGNSSNSSIDSGSGIKPETSLASEWSTEEQLKLEVGLEKYKDKPSIMKYIKIAATLPEKTVRDVALRCRWMTRKRRKAEEFNCGKRISSSKDKQTGLSSSMPSVLPDSMASYPFLMPFTSSSNKRITSEDLSGHAISLLEQNVRALSQIRANLSSYKVHDNIDLFCQTRNNLITIQNDMNNMSGLMSQMPPLLVAINDNLSATLLSNSTLAMPLKTMQNGGFHMKQEPSG >A09p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16848681:16851063:-1 gene:A09p027880.1_BraROA transcript:A09p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASETDASIHSTFASRYVRISLPRFEMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMESINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLDDGEAAVGVGTVGSSEAIMLAGLAFKRQWQNKRKAQGLPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLVEKNKLTGWNTGIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRTKSDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMDNCRENMMVLREGLEKTGRFNIVSKENGVPLVAFSLKDNSRHDEFEVAETLRRFGWIVPAYTMPADAEHVTVLRVVIREDFSRTLAERLVADFEKVLRELDTLPAKVHAKMANGKAKGVKKTEEETTREVTAYWKKFVETKKTNKNKIC >A02p029070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:14676545:14677057:-1 gene:A02p029070.1_BraROA transcript:A02p029070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTHRRLSSSFTKLCERLSSFKNGDDNIRELQAVFAYMDANRDGRISVEELKKSFNTLGEQLSDEEAKVVVKLSDIDGDGMLEFEEFAQLIKGSGDDFTEEEKKRKMLEAFRMYIDESEDCITPRSLKTMLMKLGELRTNDDCRVMIKAFDLNDDGVLSFDEFAHMMMR >A05g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11552171:11552642:1 gene:A05g504180.1_BraROA transcript:A05g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLFGLGVVVRISLVLVVASWSRGFDGLGFTGECGGYVSLSRLAWIAPLGSYRFSGARCRTQPTMMWVVTSSIGSGEVISGWRLVVRSARLSVMELVGREAVLVSLYLPLGLPTGF >A10p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11010558:11012802:1 gene:A10p007820.1_BraROA transcript:A10p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLNSATVILFLAMIVVSSAMDMSIISYDKNHHTVSSRSDVEVSRLYEEWVVKHGKAQNSLTEKDRRFEIFKDNLRFIDEHNGKNLSYRLGLTKFADLTNDEYRSMYLGSRLKRKATKTSLRYEARVGDAIPESVDWRKEGAVAEVKDQGSCGSCWAFSTIGAVEGINKIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTEEDYPYKGVDGRCDQTRKNAKVVTIDSYEDVPANSEESLKKALSHQPISVAIEGGGRAFQLYDSGIFDGICGTDLDHGVVAVGYGTENGKDYWIVKNSWGTSWGESGYIRMERNIASSAGKCGIAVEPSYPIKNGQNPPNPGPSPPSPVTPPTQCDSYYTCPESNTCCCLFDYGKYCLAWGCCPLEAATCCDDNYSCCPHEYPVCDLDQGTCLMNKNSPFSIKAIKRKPATPFWSQSRKNIA >A05p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24802085:24805880:1 gene:A05p040570.1_BraROA transcript:A05p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLSVEAASLVSTTKPTKKSKRDAEVDPDIKKNPKKQKKELVQAEKKAPPPKKVESSSSDSEEEVKTKKVTAKKPLSKKDDSSSEDSSSDEEAVTVKKKPAILKKAKAESSSSEDNSSSSDEEAAPPKKQPAVVTKAKAERSSSEDDESSSDEEVVPAKKQPAVVKKAKVESISSEEESSSDEEPAPAKKEPAVVKKDSSSEEESSSDEESVPAKKQPTVVKSVKPAAKDSSSSEDESDEDSDEESEDEKKKEPATKKLPAAAKTKSESSEEDSDDEESDDEKPPTKKAKVSPTKTSKQESSSGESSEEESEDEKVTPKKKDTDVEMAEAEQKSEAKQTPTTQRPTTQVRGGSTTLFAGNLPFQIEKSDVEDFFKEVGGIVDVRFASDSDGRLKGYGHFEFASVEAAQKALKLNGKQLLGRTIRLSDANAKPAPRSSNADGNFQSNRKGEGSQVKTIFVSRFDKSVAESDMRCALRGHFSDCGEITRISLPCDQETGATRGMAYLDLKEEDGFNKALGLNGSELGGWKILVLEGKPRGWNSDGNNSGDRFTATRPGRGRPGRVPPGRGRPGRPSKPSILASAEGKKIVFDD >A02g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18336724:18337406:-1 gene:A02g506530.1_BraROA transcript:A02g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKNSSGHFGNLAWPWFLSLLNPKCRVWCLDIDRWYLCTSIDINLHLSRHFLISIHKYKVNALPWEYRS >A09g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4387736:4388646:1 gene:A09g501320.1_BraROA transcript:A09g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVELIQFHKPRFLLLLLPNQTLSSFVFRSAIEDEVRVEHWEWKNHGLVFDLSGLLDVGLNRLM >A01p003600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1569684:1571866:-1 gene:A01p003600.1_BraROA transcript:A01p003600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G35220) UniProtKB/Swiss-Prot;Acc:Q94JT5] MTVPPLLLLTLLSLSSLLISAAISDAYPTIPGTAPIDGGFSDELKPIRREVYGDGKIFDISHRYTPEMPAWDSTEGIGRFLWLAASMKNGSLANNSEMKIPTHTGTHVDSPGHVYDEYYDAGFDVDSLDLQVLNGPALLVDVPRNKNITAEVMKSLNIPRGVRRVLFRTLNTDRRLMFKKEFDTSYVGFMKDGAQWLVDNTDIKLVGVDYLSVAAYDDLIPSHLAFLKGRMMEGALQSVKASLTALASQLRSWIKDQIDSTTANINRVRESMTEALLLWERFSQRIERIEEGLLKISSDVQLGTEKNVEKSSESFQVDEHEFPDSKFQDMAKDGAVTRVAAVHEAEVVGGEENKF >A09g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8965045:8967494:-1 gene:A09g502670.1_BraROA transcript:A09g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIIPKKLKAGNGVDLETANGRHNFKNKKFSDPATVTKWKDERMKAFYTEETPFQVLHGHTGDVLDLAWSDSNLLLSASKDKTVRLWRVGCDERRRKLHDQQINDVHGSIRPPPSYSKKPDHDKDNLSDDGSHMMLGGTEKRLNLEQVRALEKIFELGNKLEPERKMQLGKALGLQPRQIANWFQNRKSRLKTKQLERDYDTLKKQLDMSKLESGVYQNRGSSLGPMLMTPFQQVTNTTEMGDMARLKEIQEAVLQMSAPKALLWASKWNVRAYVSRKLELTHDAVCMSMLVQESCGDYAFVIHTNNPVTGDPSKIHTRDCEGFGRDLGWRISRTSNELYHQENKPQLANREKQKTDSVCMLTPVNI >A09p072140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55436599:55438238:1 gene:A09p072140.1_BraROA transcript:A09p072140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNGKGLILAVASSVFIGSSFILKKKGLKRAAANGTRAGYGGYTYLLEPLWWAGMITMIVGEAANFVAYIYAPAVLVTPLGALSIIISAILAHFLLKEKLKKMGVLGCVSCIVGSVVIVIHAPKEQTPNSVQEIWNLATQPAFLVYVAITMSIVLALILHFEPLCGQSNILVYIGICSLMGALTVMSIKAIGIAIKLTMEGVSQIGYPQTWLFLMVAVTCVVTQLIYLNKAMQIWYLDLQNQYDGTSQERARTKNI >A02p000920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:448750:449085:-1 gene:A02p000920.1_BraROA transcript:A02p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKSKLITKAWKHMSTRVAKHKAAGEEPHIPHDVPKGHLVVYVGKEEESYKRFVIKITLLHDPIIRALLDQSKDEVYDDFTSGDSKLCISCDETLFLEVLQNASPRNSWS >A10g503910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9786277:9786486:-1 gene:A10g503910.1_BraROA transcript:A10g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPEANRRQSERRRGESRWRDTAREMKRRGGETLIERWRDETERHCSRDGEKRRRGESRLERFVNCDF >A05g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5716294:5721506:-1 gene:A05g501610.1_BraROA transcript:A05g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIEIFWRPSGPSQHLIHLVPAGSPTFRGSNRASHSPSKIAGSLLQEEGNSLRRSLSLPDSKAVSSSRPSGGSLEWIPVVHRTPSARAKNSSVSTSSVKSLTSAQFSSEEELISAAQSILRKRIAAAEAELPPFATAKDRRRIRYQQRQAIVKLCNNLDASSSHSPKKSSPVSDIPNVVQRESSPNGKQASFWHDIWTPDGPILSRTGPTGPMVSGVPLDASVSSIITNGAWNISARSRHPILRYIRSVLPAQVPDVDSIDEDYFLWRNSPADQPTDFSVSKLYSTLNPDPPIAQWHRVVWFKKRIPRHAFITWLVMRERMVTRDRLISWGMNVSSTCLLCATCDETAPHIFFECDYSLSVWNGLISRSRLTPPSELQAIVDWLSSPQLTGKLKIMMHLIFQATIYHLWKERNNRFHSNSARPPVQIIKDISLQLRSKLFSLDRETTNLRRHVTLQTQQQQQTFLSIWFDRVQV >A04p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22642579:22644689:-1 gene:A04p040080.1_BraROA transcript:A04p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNKNQAVMSENNNNNKAQDPNPRFASEGCSVLLDVNDGDRLVFARLSGGAVLKIGNKNYSLKPLIGAPFGSIFQVETGEDGSFLSRILPIQKESSSNNVIDDARDNRELIDNNEAQNLTGEEIEAMRREGAKGDEIIEALIANSKTFDQKFQLSQEKYKLKKQKKYAPKLLLRRPFARSICEAYFKKYPNRIGFIRVDALSLLLTMANVTAHSDVLVVDMVGGLITGAVAERLGGTGYVCNTYKGNSPSSVEMVRMFNFTDKIIERIVQSSIDELSSAKTVSPEENNQQGGVHPSTSDMVEDTLVTAEAKVVVDDVVPESKIVKAPKAGAKASKEAIEMWKENGFSSLIMAAQDQDPWSLAKDVLPLLSYSAPFAIYHQYLQPLATCMHNLQQGKMAINLQITEPWLREYQVLPSRTHPHMQMSSFGGYVLSGIRISTA >A02p044500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28059872:28061469:1 gene:A02p044500.1_BraROA transcript:A02p044500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSQLFKQLTLFFDFFLPSRYELNDPVFAMRGLMHSIQRDMIMLENQLPLFVLNRLLGLQSDTQNQTGIVAEVAVRFFKPLMPTSKAVTERDQLKLMNWRRKLVDNGELHCLDVFHGSIFRTFQSSTRTPYQTTRRYMSFRNMSMVDKRQQQLIHCVTELREAGVKFRRKKTNELWDIGFKNGYLEIPKLLIHDGTKSLFSNLIAFEQCHIHSSHNITSYIIFMDNLINSSEDVSYLHHCGIIEHWLGSDSEVADLFNRLCKEVVFDPQDSYLSQLSSEVNRYYSLTLLVFTFSQSFYAIYAYYKPPPKS >A01p028320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18389723:18391338:1 gene:A01p028320.1_BraROA transcript:A01p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFESCLRSLWAIFWLEAFITASSDKKRTFRGFYRKVRLMISNVEKHEMGIASPWVGSELVFFDGVFLVIGLPSIFPARLCFQDMLSSQLISLVTLPFAMFATPDLDSVFLCVHSVCLEDYFWPQAWALISRWLSFILPPSGVFLFFSCFTWCRCAEFYHSAVFRPLLILAKTLYGK >A09p071350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55089304:55090023:1 gene:A09p071350.1_BraROA transcript:A09p071350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCDVLLLTVFLVIATFSSVSFADDDAECVYTFYLRTGSIWKAGTESIISARIYDKYGDYIGIRNLEAWGGLMGPGYKYYERGNLDIFSGKAPCLPSPVCSLNLTSDGSGDHHGWYVNYVEVTTAGVHAKCSQQSFEVEQWLATDTSPYELTAVRNNCPVSLRESVGRVGSEIRKTLSWIV >A01g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4202703:4204139:1 gene:A01g501100.1_BraROA transcript:A01g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRGRDETSPLGIFEKADVEGGRVRICVNGDQPLKFECKVGFDNGDVVKVKIQYEDLYRHCFTCKRISHEEGTCPELTDGQKERKRVARIEQMEAEERANREAFSVPQRNRLESQRRVSPEIKRGRESYYKDDTSSRAWRRTPSEERRDLRNKLTEKRVTHSKNVWNRLDSVTPTQYQRANDRYHPYHKNSGGVGQRDKTRDTASSSEWRRKDLDKNSERYGVLPRKNPSRSRVSPDSQRTISEHLRPPSYRGNYGGRKSRSPPKYRTEWRPVSNPRSGGNMISTPNSKDQEDRDRTSARTSSEQVTGRDGGISSETRLQILQRSAGNQLNLQKVD >A07p032570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17838841:17841181:-1 gene:A07p032570.1_BraROA transcript:A07p032570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRIGLSFPEEVLEHVFSFIHHDKDRNSVSLVCKSWYEIERWCRRRVFIGNCYAVSPATVIRRFPKVRSVELKGKPHFADFNLVPEGWGGYVYPWIEAMSKAYTWLEEIRLKRMVVSDECLELIAKSFKNFKVLVLSSCDGFSTDGLAAIASTCRNLKELDLRESDVDDVSGHWLSHFPDTYTSLVSLNISCLASDVCFSALERLVSRCPNLKSLKLNRAVPLEKLATLLRRAPQLEELGTGGYTADVRSDLFSDLCVALSGCKKLKCLSGLWDAAPAYLPAVYSVCGRLTTLNLSYATVQSYDLVKLITLCPKLQRLWVLDYIEDTGLEVLASTCKDLRELRVFPSEPFVMEPNVSLTEQGLVSVSAGCLKLESVLYFCRQMTNDALVTIARNRPNMTRFRLCIIEPKAPDHLTLEPLDVGFGAIVEHCKDLRRLSLSGLLTDKVFEYIGKYAKKMEMLSVAFAGDSDLGMHHVLSGCDSLRKLEIRDCPFGDKALLANASKLETMRSLWMSSCSVSFGACKLLGQKMPKLNVEVIDERGPPDSRPESCPVERVFIYRTVAGPRFDMPDFVWNMDQQSSMSVSRQIITTNVL >A01p024340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12025702:12028847:1 gene:A01p024340.1_BraROA transcript:A01p024340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRTAFLAVSLVFLVCVSEEIISRRGANRGEAHGGDDVAEQTWIHCRKELKDKNRDCLIYIPPRVAAANDTYQKLSVLTGWFSNWFGPLLDSTTSYPTRKLIGKQKRKKKKKRKKFRVSAPNFALGPAPGFAPGPSPRFAPGPAPTTPQSYDLVAPSSSPSQPPSYSPAEAPDESSFGGPTKKRAKSIVAPSQSVPGPPPPPPERKNDILMDLIIAVASTAVLTFFLVALLFLCCFRRNNRKNAVGPRNGPRDEGPLLHLSDLSAGSNENSPKVAATSRRFFTATSKKRSFLSRVSLKRNHHDFSPAEASSSSGLPLPPGRSSAAPPLPPAAPPAPRPPPPPPPKSKPPPPAPPKLVRPPPAPPKRQGRSSSGDGSDVDSETGAPKTKLKPFFWDKMANPDQKMVWHEISAGSFQFNEQEMESLFGYNDVNKNKNGQRGESSRDSPVQYIQIIDPRKAQNLSILLRALNVTIEEVVDAIKEGNELPVELLQTLLKMAPTSEEELKLRLYSGDLHLLGPAERFLKILVDIPFAFKRIESLIFMISLQEEVSGIKESLATLEVACKKLKNSRLFIKLLEAVLKTGNRMNVGTFRGDAQAFKLDTLLKLSDVKGTDGKTTLLHFVVLEIIRSEGVRALRLQRSSKSFSSVKTDDTNTDTSPQSVERYRSTGLQVVSGLTTELEDVKRAAIIDADGLASTLMNLSGSLTNAREFLKTMDEESDFEKALAGFIERADGDIKWLKEEEERIMVLVKSSADYFHGKSAKNEGLRLFAIVRDFLIMLEKVCREVKETTMMTSSKNHSGKKETEMTPESNQPDNIRRHLFPAIAERRADSSDDSDSD >A09g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4572012:4572552:-1 gene:A09g501390.1_BraROA transcript:A09g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKCKGLLFSDLGHPIFFSQAFLAPPRLLFSDLGLSGGWCSSPAPEETPSSYLIFSEMYEVEVLRFGGVTVFCFQQQCLYLYCCAPRLEGWWGTFSSSSIFVVSALFQRVGSGGASGARSEIGDLLTVRCSRLVRSTRFR >A03g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1703597:1705838:1 gene:A03g500570.1_BraROA transcript:A03g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLSLLFLLHGCFSFIPRSLHLLHLQTCLHFHPTSSLSFELNSQSISLSIFASSAASRFVRNVAVTDDFNVEEEGNIFSDEAPPPPQQEQSFSADLKLFVGNLPFKSIVLSSLSSSKAPETLRWLRGFGFVTISSVSEVKAAAQQFNGYVRRYLSLNLFYADKDIIGAVRDINITFNDQTWTAQHIMINSSTPVSLSVFDAQADQLKQNILAIGVAKVIVATSINPKFVGGNIRCGKGRLYLDATSGIHFYFDHEVAASQRLFQELYSKPEKDTTSAKQYHGVKKLEKVSLGELNNYVLESPPQALEFLCKAKIASLETTNGWYRVELLVEAGDDKSLFVAFDSAMTKLTGIRAAEVVMEVIEASSYVQAPSI >A09p068910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53851172:53852345:1 gene:A09p068910.1_BraROA transcript:A09p068910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNRRDEGSITIQSTNLFAALDTRKKKKKTDKAGKSKGSSSSSKTQKEPEPQVFWAPTPLKVKSWADIDDDDEDDDYYATTAPPLPSGWTTSEPKDTPVEESESEEDVLDEGDDDVDEEHETEVQVHPEPEPEVKKAPEAPAPPKEAERQLSKKERKKKELAELEALLADFGVAPKEDNGQEEAKEEINGEGEKKENAAGGESKASKKKKKKDKQKEVKESQEQQANTDEAAGSEPTEEESAIDVKERLKKIASMKKKKSSKEMDAGAKTAAQEAAARRAKLAAAKKKEKNHYNQQPVR >A01p056850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32296443:32299696:-1 gene:A01p056850.1_BraROA transcript:A01p056850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVLVLKDSLKRESGTKVHHGNIQASKVVADVIRTTLGPRSMLKMLLDAGGGIIVTNDGNAILRELDVAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKSYHPTVICRAYIKALEDAIAVLDKIAMSIDVNDRETVLGLVKSCIGTKFTSQFGDLIADLAIDATTIVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLRGVMFNKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVREEDWEVLLKLEEEYIENICVQILKFKPDLVITEKGLSDLACHYFSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDDFFAFVVDCKEPKACTVLLRGPSKDLLNEVERNLQDAMSVSRNIIKNPKLVPGGGATELTVSATLKQKSATIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGAIADMKESKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPSKPTIETEGDADNEQILPD >A01g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11752604:11753794:-1 gene:A01g503900.1_BraROA transcript:A01g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPASASATGSSSYVHGGSEFLSPPDPPDPPDPPDLDFDGNSITAVCSIIYWSSPVPSKAIRLYFGPSSFSPQVSQICGSLVAGFVIRSDILLGWYYEALVTAIDLLYLLVNVQSFFHLSCCLRSGQSFRIFAALFLCRLGSFVTTLKVSNGNSLALEQLLTVVYSFSLVCAVTVDALLLFSPHSWQQGKKCDSSCFLTLNRSSLGCDSLVFSVMDPTYLQNLSLGLGDSFAGSIVSSMEVFRFISSCTNSYSDTQDSPRVSHSMFTGSYSLFDPLSFPLFLYMLIFLVVVLMLWQTLWLVMPSYVVTPPPLMEF >A01g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22352967:22358007:1 gene:A01g507900.1_BraROA transcript:A01g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNQKISGLAAVMNAKIIGSGERSMVLAHGFGGDQSVWEKIIPVLSQSFEVLVFDWPFSGAIKDQTLCDPSKYNSFDAFSDDLISLMEELKFGPVVFVGHSMSGMIGCSASIKRPDLFTNLVLIAASPRWSRPGCRTNSATLITPGGPGAAAGPGAGAAAGAGAAGASNGEGDVWYELWGETES >A08g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16530206:16532253:1 gene:A08g508820.1_BraROA transcript:A08g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEVKAANEKLRGDFRAKTELLENLKKVQNKQLIEIQEARSVIEKQGYAFRNSLRQGQFIGDSQATTKFQVMGSVLAIKHITHIFPEREYMIGGNYFSIICLRALSSSFTYCREEREKRRSRYVGAAIREKLFLLAAEQTRNNMESNNEVYAMLEGLVRNIYFPFDIESDTAICVAREMVEELEMDDRDVTKIANMIDGEIASLVPDWRSGLGFESSFCNCASNRSAIDFNVRQCCTNMCGEKHGRFEEITSGLHNFGKALYSHGKMTFLLSFVNMCRIFYSNVDKPRNITVII >A06p039650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21385788:21388851:-1 gene:A06p039650.1_BraROA transcript:A06p039650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRYNYIKKTRSKLCLRKPFIRYKTLARKTKTKTKKKMEEVQNQVLEEEAKGSTTVEKVYIAVGNDLQEGFKTIDWALKKWNNIPISIVLLHLCSISQDFVYTPFGKLPASSVSEEKLQVLRKYEDQKINKLLSKYVTFCKKLQVKAELHKVEKEDDSIQVLILDLITKLRITKLVMGITFMRSSSSWKLRKSKSAISGSFHIYQNKPDFCEFYIICGGKMVLLKRENDANNNIRSWIGKMFHDPGRNLDRSSNGSDDPAASGSPWDKNLQEMEIYFQQLLSLNLEEDDEDNVQEEEDEDGGEEVALDVLQHLNVGEKLEYVRRKVNEAKLMIDENMREVKVNSERSDKAEWAISLCNCRIEELEAGIKEETERREKLQETLDSDRECIEQTKNDVEKGKAKLVSLGELREELSSKVETMRDAKLQAEAELERVALEKGEMIMEIEKLRNQRDVFNRRIEFCKEKEATGFAADEVKCGYREYVAEDIRLATESYSDRLRLKSGGNWTNVYRGRIKHTTMAVKVIGDRLSDEEFAAKVKLLNEIRHPNLVAIAGFSPERPKCILFEYMHSGNLRDNLFTSQRKSRRSKILKWHDRIRIAHQVCSGLGFLHSVKPKPIVHGRLTPSKILLDRNLVAKITGFGLAMHSDQSDTKPDVMAFGVLLLHLLTGRNWPGLLKAMSMNQASILRDLDQTAGKWPLELAKEFGALAVKCSSVNRGGNMEFSTKEIMEELGKIMEKANEFRTKGGYEEATNSKNDEADPNDIPSVFICPILQEVMKNPHIAADGFSYELEAIEEWLSMGHDTSPMTNLRLDYQVLTPNHTLRALIQDWHSKKAAQASS >A09p080670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58976335:58978332:1 gene:A09p080670.1_BraROA transcript:A09p080670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRLRLPQKTSPRSPSYLLLCLLALSFFSFTALLFYKVDDFIAQTKTLAGHNLEPTPWHIFPRKSFSEASRRSQAYRILQCSYFSCPYKPVIVPKSLLSDSLSGSKTKQPKCPDVFRWIQRDLEPWGETGVTKEHVDKAKESAAFRVVILSGKLYVDLYYACVQSRMMFTVWGFLQLLNKYPGMVPDVDMMFDCMDKPIINRTETQSFPAPLFRYCTNEAHLDIPFPDWSFWGWSETNLRPWDEEFGDIKQGSKRSSWGSKQPRAYWKGNPDVVSPIRMELMKCNHSRLWGAQIMRQNWAEEAKGGFEQSKLANQCNHRYKIYAEGYAWSVSLKYIMSCGSMTLIISPEYEDFFSRGLLPKENYWPVSTTDLCRSIKFAVDWGNANPSDAEAIGKRGQGYMESISMNRVYDYMFHLITEYSKLQKFKPEKPYSAKEVCEGSLLCFAEQKERDLLEKSRAVPSLDRPCTLPDEDRSILQRLIQQKKKTVEDVRHMEMTRTERGSR >A07p034970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18955321:18956227:-1 gene:A07p034970.1_BraROA transcript:A07p034970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAQEEEKEEEEEEEGHYRSPPWLIPMLRANYFVPCSIHAGSNKSECNMFCLDCTSDAFCSYCLVNHKNHRVLQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSARIVFLNERPQPRIGKGVTNTCEICFRSLLDSYRFCSLGCKLGGMKRGNQSLTFSFKGKHEREYLGGSESDEATTPTKIRKTCAFNRLMSGLLICTTVKSDYFSGDQRCTSSGDESGFNLSPGTPPIYNHRNSSRRKGVPHRAPF >A08p040740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23132160:23133892:1 gene:A08p040740.1_BraROA transcript:A08p040740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHRSSTIILLILSILSPATLSMRYELLSGHTKCISEEIHANAMSVGKYSIVNPHEDHPLPASHKITVKVTSPQGTAYHEADGVSTGQFSLTAVETGDYITCISAVDHKPETMLTIDFDWRTGVHSKDWPSVAKRSQVENMESEVKKLFDTVTSIHDEMFYLRDREEEMHELNISTNSKMAWLSFLSLGVCLSVAGLQFWHLKTFFEKKKLI >A03p042310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17727910:17728971:-1 gene:A03p042310.1_BraROA transcript:A03p042310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDQRSHVLKMATDHFGKWYETTIAATLSKFFTFQDKSETLSETKESDGKESVEKAKDERKCYQNTRNQKTRMMQPTLLNHTLKTTVPMYSNSNVNCK >A03g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26623542:26624517:1 gene:A03g507520.1_BraROA transcript:A03g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNATVGVKNGYDGITTRKSSEIVFPKELVKEGISILWDSNQTIVPLGQLRSNQARAKARSLRSDRVIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSARSLRSDSAKLDRYVATEQRYVATELKPKLGRYVATERSSARSLRSDRARAKARSLRSEQAFGPLGRYVATELGARSRPNRVASSVYVATEPTGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVYVSRYSNSSIKLRGLETAEKLVVNVSSRKTAQRDLKHDSRPILRFFLTKSP >A07p051470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:27323785:27325329:-1 gene:A07p051470.1_BraROA transcript:A07p051470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G79360) UniProtKB/Swiss-Prot;Acc:O64515] MAEPTRPLLTDSNSLPPRSLDETIERYIGSFGWAQFLQATLVSFSGVFDAQQTFISVFTDFEPTWHCTESDSFCHDSVSNICILPKTAWSWNLSPHVSVISEWSLQCAGSFVKGLPESSFFVGCLIGGLVLSTLADSSMGRKNMLFLSCLIMSISTMLTVFSPNIWVYAFLRFVNGVGRATVGTCALVLSTELVGKKWRGRVGIMSFFGFMLGFLSLPAMAYINRGNSWRILYIWTSVPTMVYCVMVRFFVCESPRWLFVRGRREEAISILKRVASSDVTMSLPFEEQENIDEKPSVNIYAAMKVLVEKRWALKRVSTVMAVAFGIGLVYYGMPLALSNLDFNVYMSAAFNALMDLPANLITLFLVDKLSRKNALIGFTALGGISSVLIFALQNMRIKNHGALQLVLELMSYFCACSAFNMEMIYTIELFPTCVRNSAIAMARQALVLGGVFSPIMVAAGRKNGVWSFGLFGLAIGLLGCLRLDCQRLEGVIYATLWTRKNAEIGGVTMIWLVV >A09p071100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54986271:54988786:-1 gene:A09p071100.1_BraROA transcript:A09p071100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKVDSDAKTVKDPNPSADPKAADQGPAKKVGEDANAEIALSEVEIETKGIDPAAAQAEAQPPARTSSGSKKSVHWSPELVSGSQEPDQKSASSYPAGSNPYIARSPAETSDASLKDTMESVKGALGRWGRRVAEAAKKTESLAGNTWQHLRTAPSFADAAMGRIAQSTKVLAEGGYEKIFRQTFETVPEEQLLNSFACYLSTSAGPVMGVLYISSAKLAYCSDNPLSYKNGGQTEWSYYKVVIPLHQLKAVNPSTSIVNPAEKYIQVISVDNHEFWFMGFLNYEGAVTSLQEALQAGGGLRSV >A02p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4420039:4420396:-1 gene:A02p010260.1_BraROA transcript:A02p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKMVIFMVMMLTIGNLTAESEVLVGRWGDCIKTCYNICITGPIHDRISCLPKCALECNPHKEIDVKKAKGYVN >A07g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17128835:17130420:-1 gene:A07g506770.1_BraROA transcript:A07g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGEAHRRNHRVYCVPSNPSPSLSLPPSKNLNRSPPPPIYIRRRRIPRKKTLQIESLNSFMLRPTLKKVDFRIDNGDAAAGMMMGHNEMGESSGQAMIEQDDENHNEIGEHSVWSPHLQNEHDRIQELMQQLAAEKKRAATYKRHLEMLFEHIEQHNEGLTKRIQHIVDNVRDLEQRDHQQNQV >A04p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14776434:14779573:1 gene:A04p024410.1_BraROA transcript:A04p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRDNTVRFYGDEKQTLEILGAAWYLLSIQRQATCWKAECHKEFAPLECVTDFFDCGTLHRPDRNNWQNITVVFSNCDPSNDIKFTFGIFADALTKNVVSSPFLEKYLYCLWFGLQNLSSYGQNLDTSTSVLETMFAILVAIFGLVLFALLIGNMQTYLQSITVRLEEWRLKRRDTEEWMGHRQLPQDLRERVRRFVQYKWLATRGVDEETILHSLPADLRRDIQRHLCLDLVRRVPLFAQMDDQLLDAICERLVSSLSTQGNYIVREGDPVTEMLFIIRGKLDSSTTNGGRTGFFNSITLKPGEFCGEELLAWALLPKSKVNLPSSTRTVRALEEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRYYSHQWRTWAACFVQVAWRRYKRRMVAKSLSLAESFSSYEEEEAVAVAAEEIMSQQGERQSSNPSRHSTSIGKPHFAATILASRFAKNTRKTAHKLKDVEVPMLPKPDEPDFSVDGD >A06p056090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29244458:29259027:1 gene:A06p056090.1_BraROA transcript:A06p056090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLCNSKLKKTKALKMRTVEAFALSLLLLFLVKWANSDSKVSPIRDQFLSCMSTHSVSSFMNSKSLIHEPDSRLYTDLSQTVSQNYRFSSLNFSSEKPILIATPRTESEIQRSLLCSRKLGVQVRTKSGGHDYEGLSYLSSQSPFIVLDLINLRSIDINLREETAWVGAGASIGELYYKIAKASKIHGFPAGTCPSVGVGGHFSGGGFGAMMRKHGLAADNVVDARFVDANGRIYNGRREMGEDLFWAIRGGGAASFGVVTSWKVKLVRVPEKVTCFTRYLPFTQNMTKIVHRWQSIAAALDNDLFIRVIIYNSGGSVQATFQANYLGGIDKLIPLMNEKFPELGLRFQDCSEMSWIDSIMYFNWKRGQPLETLLDREQRYNDLYFKAKSDFVKNPIPETGLEGIWKRFHEVESPMMIMEPLGGRMYEIGETETPFPHRRGNLYNIQYMVKWRVKDIEEMEKYVRWMRLLYGYMRVYVSGSPRGAYLNYRDLDLGMNKAINCSFEDASLWGMRYFGSNFKRLAMVKGKIDPTNFFRNEQSVPPLIYCPSSFYAAEMGKSKALYAFSFLALYFSFCTITPTSSTSLQDDFINCLHENTNVDFPLLETFFSPERNVSIYKEVLESTAHNLRYVTESMPKPGFIFKPVHESHVQASVICSNQLGLHHRVRSGGHDYEGLSFVSLMETPFILIDLSNLRQINVDIEDNSAWVQSGATIGELYYRIAEKSKLHGFPAGLCSTVGIGGHITGGGDGTLLRKYGLAADNVLDAKIVNADGKLLNRAAMGEDLFWAIRGGGGGSFGVILAWKVKLVPVPETLTMFTVTKTLEQDPETKILSKWQRIADKLVDDLFLRVMFRVAGDKTLTLEYKGQFLGEKGTLMEVMKKYFPELGLTQEDCIEVSWIESVLANALFPIDSPLEVLLNPKLSPYVKVYFKGKSDFATEPIPAFGLKGMFKKLVEENTSSMFMFPYGGMMAKIPESETPFAHRNGTIFKIHYSTSSTSEDGISLNKRTKWIREMYSYMTPYVSSNPRLAYVNYRDLDLGTNTKDSKANFIKAQTWGAQYFKNNFNRLTRAEYPVATLLQEATFMEVEIPTPRHENNIQNINYFTKVLSASDTNKKGSFVLNKRHAIECLPLLLTPSQEVIAKDIHGHEWNFKHTLRGTPQRHLFTSGWNEFSKGKDLVVGDCFVFLRGENGESRVGIRKSAHHQQHNIPSPLISKQSMYHGVVATALNAIKRKCMFVVFYKPRLSQFLVNFDKFIDGVNRKFSVGSRFLMKFEGRDFSEIRYYGTIVGVKDFSTHWKDSEWRSLEVQWDESATIPRPDKVSPWEIEPLTHSSNILKSVFLKNKRQSEIDDFGQEVGQPSIQSSMSYSFPSMSKPNYNEQMVQALKETSTTTEATSYRLFGVDLTVPAKTKDPVQPTHSYKKSKISKIFEEVKVDHIQTKTHTKVCMEGAVERTVDLTVFDGYNQLIHELERLFDIKDKLHMHNQWKIVFINADGDMMLLGDDPWHKFCNTAKEIFIYSESDAKIGNEDNKFAEGGPMLTTTILPPDVNNN >A03p044880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18736950:18742965:1 gene:A03p044880.1_BraROA transcript:A03p044880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSNGLLLTSMSGRHDDMEAGSARTDHSDHEELEHDPDDPFDLDNTKNASAASLRRWRQAALVLNASRRFRYTLDLNKEEHYDNRRRMIRAHAQVIRAALLFKLAGEQQIGAFGSSSSTTPATSTGNFDIDLEKLVSMTRNQNMSNLQQLGGVKGVAEKLKSNLEQGIEEDEKEVTDRKNAFGSNTYPKKKGKSFYMFLWEAWQDLTLIILIIAAVTSLALGIKTEGLKEGWLDGGSIAFAVLLVIMVTAVSDYRQSLQFQNLNDEKRNIQLEVMRGGRTVKISIYDVVVGDVIPLRIGDQVPADGVLISGHSLAIDESSMTGESKIVNKDQKSPFLMSGCKVADGVGSMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIVGLTVALVVLVALLVRYFTGTSQDSNGATQFIKGTTSISDIVDDCVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVETYAGGSKMDVADNPSGLHPKLVALISEGVAQNTTGNIFHPKDGGEVEISGSPTEKAILSWAYKLGMKFDTIRSESAIIHAFPFNSEKKRGGVAVLRGDSEVFIHWKGAAEIVLACCTQFMDSNGTLQPIDDQKEFFRLAIDAMAKNSLRCVAIACRTQELNKVPKEQEDLDKWDLPEDELTLLAIVGIKDPCRPGVREAVRICTSAGVKVRMVTGDNLQTAKAIALECGILSSDTEAVEPTIIEGKVFRELSEKEREQVAKRITVMGRSSPNDKLLLVQALRKNGDVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAMSSGDVPLKAVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQSLYQVAVLLVLNFAGLSVLGLKQDSDHAHAVEVKNTMIFNAFVMCQIFNEFNARKPDEMNVFSGVTKNPLFIAIVGVTFVLQILIVTFLGEFAHTVPLSWQLWLASIVIGLVSWPLAVVGKLIPVPKTPMSIYFKKPFRKYKASRSA >A09p048360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43125132:43129680:1 gene:A09p048360.1_BraROA transcript:A09p048360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRLDTKESLTASFKHIGTSLTTDGLLRENFLNFIRDKVFPLKAELLKPQEEIERHMTDLIKKPRRCIWRRIQYVYGFLANFEYIWRESSSRKRQADLNSKFNRKLVLVRALAEISPHTTAQVARQMLPEIVQLLKLLAKSLFLSSFTYAFPFEHIRSECNKQPSDRLGEDVSESYKEFTERFASVEDLTKATMKKLIQGTSEHNKAMSAAKTDKEKSSVKTKKQNATTGLRTCNNILAMTKVLHAKAPSFIGDKSVSLSWKEATKTLASTTTGVKRPATGAGNNVGAKKGRVPSHQVVKKAFEGISYGGGRGSQRGHGRGQGRRGGGGGRSRGRGYWGLGPATNPVPEKEMLRTKEVDARSRE >A06g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4317204:4318879:1 gene:A06g501200.1_BraROA transcript:A06g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRWDPGNQWRIQVRVDLCQDDKIRVTWNRQGYMVTRVLPKLLRDFSDSRRIYWYTGKIGIDRLRLSLRGTSQEELGRSCRGSKTKISPFCNIQGDPHEEGEIQENVLRSVQKGDDQITKPIPSVAQLETNLAQTDPTEVIMNFVEMENGLVLANKALEVDVKVLDEDVMEVSEEHVNMEKTGENEGIDNDFKNLTDGEEKDKSLDGASGVAEKNNSIENVDQLKKGKKLWEQFVIVDFFVSNVLVSSLSIFVLFFIFSLFFFGLIMLVSSLSKMKLFPLISIDTVWWIFIGAVSHWCLVFIWNLRGRFGVTWSHCFDINFLVVLVATHGSLAASQFLCLASSTQLQRSSFDITTVMELFFMVAQVDDMVSIKLDVYHSPVVITILSEQMRSTYDVCMVYLVNGKSFRKGNNSVTDLSGRGAERTWCLVYVNWFSTDYFSYNALEFDFGNGLYFLIQTILWKWFSLVWRLSDIKGRGFLQIRLRSDGMRRLTMLLLMCLASLSQTQTLLKYTEKTVMGVDYGCKVFQMMLIGHVSDVFVKLKMF >A09p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19057596:19060032:-1 gene:A09p031540.1_BraROA transcript:A09p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKVSVVSPLLVFSTETNLSPFSETQILIAISDPKKGKKTIPWIRSKMSHCLVLKPACMGCGSRSDLYGSSCRHMTLCLKCGKTMAENKAKCLDCGTVLTRLIREYNVRAATPTDKNYFIGRFASGLPNFKKGSDENKWSLRKDIPQGRQFTDAQREKLKKKPWILEDKTGQFQYQGQLEGSQSATYYLLVMQNKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMDNRRKTADGYQRWMMKGGENDKEAGGSSGRGRKKSSGGEEKEGNVDKGEEDEEEEASRKSRLGLNQKSNDGDDDEEGPRGGDLDMDDDDDIEKGDDWEHEEIFTDDDEAVDIDPEEREDLLAPEIPAPPEIKQDEEEEGGLSKSGKELKKLLGKSNGLNDSDEDEDDGDSDEETNFSISKQKDATKEVHIESSPPPKQPAQPSSSAKPSKGKRKLNDVDSKKPSSSSSSAQKKAKAENEEKSNSVSRSNAAAVKAEPTPASATGPVTEDEIRAVLMEKKQVTTQDLVNGFKPRLKTREDKNAFADILKKISKIQKNAGSQSFVVLRGI >A04p039450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22263839:22264695:-1 gene:A04p039450.1_BraROA transcript:A04p039450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELESPGVMPKLIAFLSSLLERVAESNDLTRRVTTQSQSVSVFHGLSRPTITIQSYLERIFKYANCSPSCFVVAYVYLDRFTQRQPSLPINSFNVHRLLITSVMVSAKFLDDLYYNNAYYAKVGGISTKEMNLLELDFLFGLGFDLNVKPNTFHAYFSYLQKEMTLVQPLSLVVVPPRSVITFNDEEASHQKQQQQQLAV >A05p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19820593:19825852:1 gene:A05p035050.1_BraROA transcript:A05p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLHSESRRLYSWWWDSHIPKNSKWIQQNLADMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKTMAEAFPNQVPFDMIEDSASSSCSEPRTPDKMPPGIQPFYDSDGTTSRRGLSQLSECVGSSETEVESLKRALVELGAEKEALNLQYQLSLNKLSKLEEDLKDAQKDVNGLGERASKAEIESKILAEGLAKLEAERDAALLRYNQAMEKIADLDESFALAQEDIKGLTNRAIKAETEAESLKEEQSRLHSEKEAGLAQYNQCLEMISTLEKKVKEAEENAQLFSNQSAKAEDEIKALRHELLKVNEVKDGLIIRYQQCLETISKLEREVSHAQENAKRLSSEVLAGAAKLKTVEEQCTVLESSNETLKVEADGLTHRLAAKDQELIQKQNELEKFQGLIQDEHSRFLEIEASLRSLKALHSQSQEEQKVLTSELQSRVEMLRELETRNHSLEGEIISVKEENRNISDSSMISLETQKCEISSLKEVKGRLEEEVARQINQSSALQEEICRLKDEINSLNRRYQAIMEQVKLAGLEPESLACSVRKLQDENSKLTELFNLQRDDTDALTKKLCEMDDILRKNVGLEKLLLESNTKLDGSKEKAKDLQERCDSLRREKSEFIGERANLLSQLQIMTENMQKLLEKNSLLETSLSGANIELQGVKEKSKCFEEFFELLKNDKAELTKERESLISQLNSVKEKLGVLEKEFTELQGRYADLQRDKQFKNLQVEELRVSLATEKQERASYERSTDTRLADLQSNVSFLREECRSRQKEFEEELDRAVNAQVEIFILQKFIEDLEQKNFSLVIECQKYAEASTFSEKLITELESENLEQQMETEFLLHEIDNCRGAIYQVFKALQLEADCAKERVPVSRILGGINELKRSLSISEYEKQRLVIENSVLLSLLGQFQSDCMKVESEKENAEKDLETMVHRYGMLKKDRLELLELNRQLKAELMDREQRELELRAELQTEHSKFESLHESYMALHQDYSNALCKNKTLDLKFSELKGEMRTLEEENDAILQEAVSLSNMSVVYQSFGSEKAEAFAEDLRSLQNINRGLKQKVETLEEKLKGKEVDSQDLNSKLEKLQESLEEANELTDLLEHQITDKEETMRQKAIELLEAEEMLKATHNANAELCEAVEELRKDCKESKQLRRNLERRISELVECSGRQDGEIKKLSNVKENLEVEVGLLHKEIQEQRVREEFLSSELQEKSNEFGLWDAEATSFYFDLQISAVREVLLENKVKELTGVCENLKDEAVSKTSEIKQMKETVGFLEYEVTVLKTQLSAYDPVVASLAEDVKSLEKNALLLMKLPAPSDRCREDDEDSEAEVSQGHSSTNQDNGIVLLQDMRTRVKIIEQAVVGEKKRLGKQRRRSSSHRSRDRRLLEETEHEDKFSGEFRQPRSPAVTEMRNGSLMKDIPLDHVADSPFYGRSRRTSRGSNDQMLELWEESAEPESSIKSLMNSKKPTLPRLHRRSRNPSIESQSEKVVDKLELSKSAEENAKIMERLLADSRRLASLRVILRDLKSKLDLSEKPGKFTNPEFARVRKQLKEIEDAILQLENTNEILAKEIEETGDARDIYRKVVIEKSRIGSEKIELMEQEMHNIERTVLKLEDGAAKSKGKTKFSESRTVILLRDIIHKGGKRTARKKKNRFCGCMRSSSAKEE >A01p029220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20795761:20796857:-1 gene:A01p029220.1_BraROA transcript:A01p029220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTPSTSDDDPHASVDQRSRKGKVPKRINKAVRERLKREHLNELFIELADTLEMIQQNSGKASILCEATKFLKDVFGQIESLRKEQTALLSESNYLTTEKNELKEETSVLETEISRLHSEIEARVNQSKPDLNTSPTPEYHHQQYPQLASQFSGLPIFQGAGFQQSSATPPGATVILLPMHPDLQTQDTSDLTGHLQTQAPTVMYNSSNVSKPCPRYANAADSWPSRLLGERLRVSE >A08p027270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17255753:17256958:-1 gene:A08p027270.1_BraROA transcript:A08p027270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLSAQISAGSTQPSALYFSSLAALAPSSAPLSPSPTASTPLSPSTSILTDLFGECCSRCIINKKEVFLKVDAAVSSGLRRINSSIRLVSSLHRSPRDAMPSWDKVVVAYEPVWELELKLVLAFWCLVTVWFLLVVPIVFASDAILTLTLVTRDMKCLVSDSIFVGVQMEASCRDSESKELRPETRQLSVVGAGRIAVRSSFI >A10p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3477506:3480293:1 gene:A10p015760.1_BraROA transcript:A10p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVVKEHVDAETTLNNAGVKIFYRTYGHGPSKALLIIGLAGTHESWGPQIKGLTGTDQPNDDDGIVSDDSGSGQGMEVCAFDNRGMGRSSVPTHKSDYTTTIMAKDSISLLDHLGWEKAHLDRLTLSIAIRFWMAKTPQQRAKVDLDTHYSQDYLKERIGTNTRRDVLYEQYVKGISETGMQSKDGLDGQLNACWLHKITTPETERIRSAGFLVSVIHGRHDVIAQICHARRLAQRLYPVARMVDLHGGHLVSHERTEEVNKSLLELIKASGVKKTATDWTNLTMEDPGYFQRRISLIRSSSEGKSTASPSHFIVEKFHRCLLFLFGLLVLVYEYARRAMRVVKPIKVGPSLT >A03p053510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22952781:22954478:-1 gene:A03p053510.1_BraROA transcript:A03p053510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRGTLEEVGIIGGLVLAQVIYAGNSVLLSQLMSLGVDPLLIVIFCTLASFVLISPLAFLLERKLWPTSLSFKLKTKLVYVSIVGVTLFQWLFLEGMKHTSASMATAMPNLAPAFIFVIAWAAGMEKVKLSCMYSRVKMGGTVLCVMGAFIMSLMHSTTATSSSVKALPIVPDHVVLDKEKILGCICLLLSICCLSSSIVLQASVLVEFPAISMISIVTLMGGISTVALQYVLKGSMEMGSASVIGLGHLVGFAVLGGLVNGGGICFSAWVIKRKGPVIVSLFSPIATVVCVLVSAFTMKESFNLGSFAGMGLMFGGLYFVLWAKGKEDCGEGDEEKEKEDEDDEEESVLRREFDLEKPLLR >A02p036960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21405578:21410143:-1 gene:A02p036960.1_BraROA transcript:A02p036960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQTQSVGSETLPQVTWQRKLNSKVKNPSEFKMSTSDFLHLFPIGYRLWRHTKQEAAKGKVSIYDIFKKKNVKGNHGVPLGGVGAGSIGRSYKGEFQQFKLFPKVCEEAPILTNQFSVFVSRPGGVNYSTVLCATSPESVNGRTEDLGIESWDWKIKGDKSTYHALYPRSWTVYNEPDPELRIVSRQVSPFIPNNYKESSFPVSIFAFTATNLGKEEATVTLLFTWENSVGGASGFTGEHFNSSTMERDGVHAIALHHKTANGHPPVTYAIAAQETDDVSVSECPCFLVSGYSPNEITPRAMWDEIKKNKSFDQLPCEPGSPSRPGTAIGAAIAAKVKVPPGCDRTVTFSLSWDCPEVRFNEKTYHRRYTRFYGSLGDAAVNMARDALLNYGNWESQIEEWQNTILLDTSLPDWYRVTLFNELYYFNSGGAIWTDGLPYKQSKERSTEQNSNDTDILQKINAVCDQVHHSPQSQNAEENIGQFIYLEGIEYLMYNTYDVHFYSSFALLILFPKLELSIQRDFAAAVMFQDPTKKEIMSSGELVPRKLLGSVPHDIGLNNPWLELNAYNLFNTDRWKDLNAKFVLQVYRDVVATGDQSFARAVWPSVYLAVAYLDQFDKDEDGMIENEGFPDQTYDTWSVTGVSAYCGGLYVAALQAASAFATIVGENAVAFYFNAKYEKAKTVYEKLWNGSYFDYDNSGSDSSSSILADQLAGQWYAGACGLKPITKEEWIKKALETIHEFNVMKVRGGTRGAVNGMSPDGQVDTNSLVSKEVWAGTTYSVAACMIQEGQREKGFQTASGIYEAVWSDRGLSCSFQTPEAWNMNDEYRSLCYMRPLAIWAIQWALTPIQTFGGEKQNLMARDEDESDLLLRQHNGFKEVARYVKIAKSTEHRSLLQETYEAILKTFHL >A08p016370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10633511:10636904:-1 gene:A08p016370.1_BraROA transcript:A08p016370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASMERDNGAAAAATTTEMVSPPRVNSPRQALVERLKDYGQEDVFALLDELSPDERDFLVRDIENLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTVDGRTMEDREKWWKMGLKTIYEGKLGVVLLSGGQGTRLGISDPKGCFNIGLPSGKSLFQIQAERILCVQRLAAQVVSEGGPTRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQISFFQQGTLPCISKDGKFIMETPFSLAKAPDGNGGVYAALKSSRLLEDMASRGIKYVDCYGVDNVLVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEYSELDQPMASAINQRTGRLQYCWSNVCLHMFTLDFLNQVATGLEKDSVYHLAEKKIPSMNGYTMGLKLEQFIFDSFPYAPSTALFEVLREEEFAPVKNANGSNFDTPESARLSVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL >A02p004350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1880933:1881373:-1 gene:A02p004350.1_BraROA transcript:A02p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNECTSPSSARSARGHRMRSPICCLGAAANAVVDPEAMTGQRTPKSPYEWLKSTALELEIRDRCRRVKTRIKVTCRNNNCVHHHHQRHHSQGSPGDFSYDALSYALNFEDDVRADEDGSYPDFTARLPASPVAKSRSATVDLISF >A02p049830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30886100:30888209:-1 gene:A02p049830.1_BraROA transcript:A02p049830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPPRSDSGSNSDLRVYQTWKGSNKFFLQGRFVFGPDVRSLALTICLIAVPVTIFCFFVARKLMDDFSDNWGVSIVSVAVVFTIYDLILLLLTSGRDPGIIPRNAHPPEPEPLDSSNVDAGAGQTPQLRLPRIKEVEVDGVTFKVKYCDTCMLYRPPRCSHCSICNNCVEKFDHHCPWVGQCIGRRNYRFFFMFVFSTTLLCIYVFAFCWVYIRKITESEHTSIWKAMLKTPASIVLILYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRSNPHNKGVVNNFKETFCSAIPPSKNDFRAMVHREPPLPPRSVAGGFMSPNMGKASDDIEMGRKAVWADMGSAMSEHGGDGKDGNNERLHVKDGELGELSPDVRTTVDEQSDRPSMHPRRSSWGRKSGSWDMSPEVMALAARVGGEQHQNGGGSSSGSGLVTENRPT >A04p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15230502:15232604:-1 gene:A04p025170.1_BraROA transcript:A04p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-binding F-box protein 1 [Source:Projected from Arabidopsis thaliana (AT2G25490) UniProtKB/Swiss-Prot;Acc:Q9SKK0] MSQIYSFSGESEFYRRGSNPKEANIFLSLGDCYYPPCKRSRVVAAAPSLFSAFEEEEKPVSIDLLPDECLFEIFRRLPGPQERTACAFVSKHWLTLVTSIRQSELDVADSKTEDDCEGCLSRSLDGKKATDVRLAAIAVGTAGRGGLGKLSVRGSDKVSDLGLRSIGRSCPSLGSLSLCNLSAVTDIGLLEIAEGCPQLEKLDLSRCPAITDKGLVAIAKSCPNLSELTLEACSRIGDEGLQAVARSCSKLRSVSIKNCPLVRDQGVAALLSNTTCSLTKLKLQMLNVTDVSLAVVGHYGLSVTELVLAGLSHVTEKGFWVMGNGIGLQKLNSLTITACQGVTDAGLESVGKGCPNMKKALISKSPLLSDNGLVSFAKGSLSLESIQLEECHRVTQFGFFGSLLNCGAKLKAFSMVNCLGIRDLATGLPASSHCSGLRSLSVRNCPGFGDANLAALGKLCPQLEDIELCGLKGITESGFLHLLQNSLVKVNFSGCSGLTDRVVSAISARNGLTLEVLNMDGCSKISDASLVSIAANCQILSDLDLSKCSVSDFGIQALASSDKLKLQILSMAGCAMVTDKSLPSIVKLGSTLLGLNLQQCRSVSCSTVDFLVERLYKCDILS >A05g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18684201:18691569:1 gene:A05g506540.1_BraROA transcript:A05g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSGSTQLDDCGTGCEALGHDIEVIANWNGLVESLDLFLILGRTGSTLETVQMSNQGSGAGGSFGTSGQDGSRYANYHNFWDAPNSANQTGDSGPRSMQGVERAHSEENVSPVAWQLSVTPPNSQRVESEPFVGPQRPHSSLADSEGSTSIRINSRPAEPATPTPAPAPAPAEGSRPADPTLSMLRTNQKKGRKGQFKRHGKKPKAQVTCYNCGQLGHYCRECTHSMAEKTDWKASVTCYNCGERGHFANECTVNRPGQGRGSPAQSEDAMTWRPPIGFRGVTERYAWQTLIGWKKIWRPSYEASKRRDLATKQRFKLDQRVLNGDSGHIVTRLVISFSLDLGASRFEMCVEVRGGAPSRLLLVCVASSLKHRPSRVGRAHGQALHDDPARSTQLDDCGTGCEALGHDIEVIANWNGLVESVDLFLILGRTGT >A08p044360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24537682:24539976:-1 gene:A08p044360.1_BraROA transcript:A08p044360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSGVYIHVIEDVVSKVREEFVNNGGPGESVLSELQGIWETKMMQAGVLSGPIDRSSAAQRSTTGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLQTPLPTPLPGTADNSSMYNIPTGSSDYPTPGSENGIHADVKARPSPYMQPPSPWTNPRPDVNVAYVDGRDEPERGNSSQQFTQDLFVPPSGKRKRDDSSTQYQNGRSIPQQDGANDDMPQVSLEGDTFCITFVGERKVPRDLLCSSSKIPQADGPMPDPYDEMLSTPNIYSYQGPNEDLNEGRTPAPNEIQASTPVAVQNDIIEDDEELLNEDDDDDELDDLESGEDMNTQHLVLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKAAGEFDF >A02p055330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33535114:33538795:1 gene:A02p055330.1_BraROA transcript:A02p055330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDTCNKNAFAIFQGFLMMFLYLQFWEVSSDRISTNRSLSGYQTIGSSGDVYELGLFTPEPDDSIEVLEDWVASLLRDNDYVATGDGLTSTSTKLWQSFDVPQCLISWNSIKDPSPGHYSLKVDHKTRNTLIVMVSNGSKSCWSSGPCYVSDQRCMVYSYCGSFRLCNGKTSQVSCECIPNFARDRSFPLQNIQIKSERSQFVYMSMSWSYEGMNNLSQMRLENKGATKHYKTATIVLASVLTSVAAAAFLVGSCCCYFSSRRRTRAQKAEADTTETEDGDGEGMCDLSLHTIIRATNGFSEDCKIGEGGFGPVYKAKLPNGVDVAIKRLSKRSNQGLNEFKNEVDLVNKLQHRNLVRLLGHCMEEDEKLLIYEYMSNKSLDAFLFDSIKSRELDWEKRMNIINGTTRGLRYLHEDSHLKIIHRDLKSSNILLDDEMNPKISDFGTARIFDCKQIDDNTQRIIGTYGYMSPEYGWGGIISEKSDIYSFGVLLLEIISGKKANKFVHNDHNSLINYAWQSWCDTKGVSIVDEALGDSYSSKEAMRCIHIALLCVQDHPKDRPTISQIGYMFNNDHHLQYPKQPTFTNALNNDQRLMSHCAFSINEATQTTMEAR >A10p027730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17397636:17398897:1 gene:A10p027730.1_BraROA transcript:A10p027730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTALRRLHLSASFGAEGGESKAEFKTGALCCIVASRPLVTTKLPPRSGRHVLPSRQDEEALQWRTNISFSPAVYTRDGLDQDTSDDESHRFGLYSSTSRRSETLESCFQQSPRWASTSSASGGIEQDTVPITNNSKPQCLDEEKNIDRESTASFSSLLKLSQPTTASRVTPSKQPLSHAHCSYPRVFCNPVSDCENPELHHPQEDAFTDPVSSFMTSTINNQGSQVEEASSNSSNSTLLDVERSNETQAANQRLEPGSLTKATQHRCGVCKKLLSQKSPWCSHKILRSGDMPAAGVFPCHHVYHVECLDKVTPTSQTRDPSCPACSNTIGAIEHELIAPETLQQALRSLRRSHTALGSELLSTDNQTRQLRRRHKWEKLSCCLNISFLSSS >A03g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27044141:27044795:-1 gene:A03g507650.1_BraROA transcript:A03g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGKDDLMNFEVSIKPDDGYYHNGTFAFTFHVSHVYPHEAPKAKCKTKVYHPNIDLEGTFASTSCEPNSEDPLNHEAAQVLRDNPKLKPLYPPLYFSYSQRTLVCLFLLL >A03p040410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16837402:16837912:1 gene:A03p040410.1_BraROA transcript:A03p040410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEKFELGVALKGKRMCVTTNKGSSYQGILEQITDCACHGASLLLRDGTMQYFLAFSDIKEMKEEVELEETLLDVDTEIDQ >A01p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8595494:8597514:-1 gene:A01p017610.1_BraROA transcript:A01p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25100) UniProtKB/Swiss-Prot;Acc:P21276] MIVQMIRTASLPHVRCKAISKGILKHKTQTTDTTGVCSPHLPWNQSFIPKREMAASAAVTANYVLKPPPYPLDALEPHMSKQTLEFHWGKHHRAYVDNLKKQVLGSELEGKPLEHIIQNTYNNGDLLPPFNNAAQAWNHEFFWESMKPGGGGKPSGELLALLERDFTSYEKFYDEFNAAAATQFGAGWAWLAYADNKLKVVKTPNAVNPLVLGSFPLLTIDVWEHAYYLDFQNRRPDYIKTFMNNLVSWEAVSSRLEAAKAASS >A08g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4969104:4969565:1 gene:A08g502190.1_BraROA transcript:A08g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYNAQSAKKNAPHQSTTTLVHRPTVAFHRETTLPPTDTSHPTSINTPRHKIDDQGAVTPDTCWGKIFHEEITPASVFQVLAPRIRVPASGSRVPAPRSGTFLRLPSQVISLALR >A07p007470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:963886:965319:1 gene:A07p007470.1_BraROA transcript:A07p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 8 [Source:Projected from Arabidopsis thaliana (AT2G15090) UniProtKB/Swiss-Prot;Acc:Q4V3C9] MKNLKMFFFKILFISLMVALSMKGSGINLEDLQNFFHHNLETITLLSFPLLFLLTIYMLSRPKPVYLVDFSCYLPPSHLKVSVKTLMEHARRAREAGVCWKNKENDYLIEFQQKILERSGLGQETCIPEGLQCFPLQQDMAASRKETAEVIFGALDNLFRNTGVKPGEIGILVVNSSTFNPTPSLASMIVNKYKLRDNIKSLNLGGMGCSAGVIAIDVAKGLLQVHRNTYAIVVSTENITQNLYLGKNKSMLVTNCLFRVGGAAILLSNRSRDRSRAKYELLHTVRIHTGSDDRSFECATQEEDEDGIIGVTLTKNLPMVAARTLKINIATLGPLVLPMKEKLAFFVTFLKKKYFRPELKNYTPDFKLAFEHFCIHAGGRALIDELEKNLKLSPLHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMKEGDRIWQIALGSGFKCNSSVWVALRNVKPSVHNPWEDCMDRYPVEIDI >A03p061920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26900521:26901544:-1 gene:A03p061920.1_BraROA transcript:A03p061920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFFMPRDQNPNEFLRNTYLVNDSSEIPTEPPQNSHGRIPGSETGNQKPKKQVLRGMGVARLERLRIEEEWKNMVLAQGGRGASPNATRSPDPGVVLQGFPSYGTGGHNMSVGGYTRNGSGQIPVYSPWGVVGTSTHEPSSVPTPQVYNPSNIHCDACYKRQRINEEVRANGGGFPHFLPPDQRSQGFFYDHRIARYPASPSASTNQGSMEEFGSGSPRNGTGDVKEYQFFPGSDGNKSVSSVSTSVGDCSPDTSTIDLTLKL >A04p023310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14134630:14135506:-1 gene:A04p023310.1_BraROA transcript:A04p023310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSHKTISNYGLSITISFATTVVSFFCNIITTSFTTTTFLTIHRWCSCEHHYPFPTTSITHPTTSAVIICFFFHDCLRVIRLQRQQSPLMCYRQWMLTHRRSSRWRKGRRDLREEKRRCGIERCREKIGERGAI >A09p051890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45602399:45603612:1 gene:A09p051890.1_BraROA transcript:A09p051890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT1G26770) UniProtKB/TrEMBL;Acc:F4HPC1] MGPVGFLVMALVGVMTSSVNGYEGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCFEIRCENDGKWCLPGSIVVTATNFCPPNNALPNNNGGWCNPPLQHFDLAQPVFQRIAQYRAGIVPVSYRRVPCMRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAAIKGSRTGWQAMSRNWGQNWQSNSYLNGQALSFKVTTSDGRTVVSYNAAPSGWSFGQTFTGGQFR >A04p026250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15857457:15859840:-1 gene:A04p026250.1_BraROA transcript:A04p026250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILVQRGSSSNATRSTSSSGTEPPQASSNNVQVPPATIDEETTTDGKQEEVNVVEQAECSDAKDASLPPSDEPVDREDDVVVVESDSPVSGGDGPDSPPLPVPPPKPSSNDNRRSVLGSFGALRIGAAQRGAGPRSLVSTRSLPSGSHPSSPRSQSENEGYNSSDEHMPCFVPSHTGSSSGSEREHEFETEIRQSKGFEIRRMVEDGNCLFRAVADQVYGDSESHDMTRQLCMDYMEHERDHFSQFITEGFTSYLKRKRRNKVYGNNVEIQALAEMYNRPIHIYSYSTEPINIFQGSYNTDTPPIRLSYHHGNHYNSLVDPHRLTVGAGLGFGSLSGRHVDREQVKAAIKAQQEHQIDNASNPYYMIQALLAEGRYYSDLELTEKEIERSVMEASRAEYLMEWSKPRIGPNSNAETSSSGARTDWKQKEAVKEKTVVSSSVEMVLSMGFSYTQAMEAYSIFGDDVDSIVCYVLETSCGSSNNRRKGKAME >A10p024580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15893314:15895099:-1 gene:A10p024580.1_BraROA transcript:A10p024580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWGAEVKAGMPLKVRPQVDHLIHLSQATIDGAKKGESGLLYVNIDWKKYVIGTLSQDHIPQITFDLVFEQEFELSHSLSQGSVHFAGFKSPNIDQEDYPSDSEEDEVVAVPATSTVTSDGNAAAAASNVVKASEVKPESDEDDDDESDEEEDDSEDDGADPEKLMEVDEDDSEDEEEDSEEEETPKQPEPINKKRAAASASKTPVPAKKKTKTAVAATPQKTQNTEEKKKGGHAATPHPAKKGGKNANQSPKSGGQSSGGNKKQGNKGKGKGKGRA >A07p016270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:10037427:10037735:1 gene:A07p016270.1_BraROA transcript:A07p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSTPFSDLLFFPYFRLRMVLGSSLKSSSSFMYAKKTCSPPIVTTTVVHRVIESSHLYSCWYYVAEPRLGGERQGAAALHHHWTLESSQSTGDMHRYHKP >A06g508530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24067141:24067383:1 gene:A06g508530.1_BraROA transcript:A06g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEKKNRFGPIFKLLINGWVWVSSWVMGVIGYGCCWVWAKYGCGCFKPRKKNTQLNIPIWVWSNPTDRPNLALLTPSACI >A05p003530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1298063:1300527:1 gene:A05p003530.1_BraROA transcript:A05p003530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSSLRFHRQNNKTFLRIFMILVLSCIPGRTNLCSNHSDTRSSLDSLDLEGYITFDDVHNASKDFGNRYQFPPLAILHPKSVSDISTVVRHILHLGSTSNLTVAARGHGHSLQGQALAHQGVVINMESLPSPDIKIYKGTQPYVDVSGGELWINILKETLKHGLSPKSWTDYLHLTVGGTLSNAGIGGQAFKHGPQINNVYQLEIVTGKGEAVTCSKKLNPELFHSVLGGLGQFGIITRARISLGPAPHMVKWIRVLYSDFSTFSRDQEHLISKKKGFDFVEGSVTINRTELLNNWRSSFTPNDSTEASQFKSDGKTLYCLEVVKYFNKEEANSMNQETEKLLSELSYIPSTLFSSVVPYIEAVRSSGEAKTLLVNGEEASFVISNPSHTKP >A02g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16544805:16545291:-1 gene:A02g505640.1_BraROA transcript:A02g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDSPQMDTTVQKDINGCIDNIEKVGLDWVQARADARDQIFKAKCYKIDEILTKSRDDLIQSLREKVAADRAVKDADIAEKTALLNSVLGPMEQVRDSMPNP >A03p051010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20710245:20711475:1 gene:A03p051010.1_BraROA transcript:A03p051010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEEEETQNAPFCMLFCEEESCSVFEEDESVVKSPSFHLGFLYHDMLWDDDELLGLISKQDELKPCLSDKALDEFLYLCRENALGWIFRVKGYYGFSSLTALLAVNYFDRFITSRKFQTDKPWMSQLTAVACLSLAAKVEEIRVPLLLDLQVGEARYVFEAKTIQRMELLILSTLQWRMHPVTPVSFFDHIIRRYSSNSHQFLSRCESLLLSIVHDSRFLSYTPSVLATAIMVSVARDFKEAEYESQLMTLLKVDPEKVNKCYELVLDHNPSKKRVMHASLSSDGSNESWVVSSEPLYKRRRVHEQQMKLSSINRMFLDVFTSSPR >A05g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9309349:9310345:-1 gene:A05g503130.1_BraROA transcript:A05g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLLFAERMKNKLNEWRSQLRNASSESSNWSTQSTETVFYHMRPALCQSAGSHFSPAQTFSIANSLCSEIKHVLILGSTLHIIQTTLPQNEKLEKYINRLYLSWTSGDIIHLRTGHSNPENWRVSMFKYENIVLVWNFPRKLKARQIKDCICKAFAAHTSQRSYSLRKLKRLVSNQEQDLRRLREERTWSL >A05p042680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25782367:25786958:1 gene:A05p042680.1_BraROA transcript:A05p042680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTNAAAATALYDAGPANDAGDAVMARWLQSAGLQHLASPTGGNDQRHLPNLLMQGYGAQSAEENQRLFKLMRNLNLNGESSESYTPTAAMPSSEGFFSPDFRGDFGAGLMDLHAMDDTELLSEHVSTEPFEPSPFMPSVDKEFEEDFNFLTNRQQQTDAEPLGSLPKSEKENNSVAKIKVVVRKRPLNKKETARKEDDVVTVSDNSLTVHEPKLKVDLTAYVERHEFCFDAVLDEDVSNDEVYRATIEPIIPIIFQRTKATCFAYGQTGSGKTYTMKPLPIRAVEDLMRLLRQPVYSNQKFKLWLSYFEIYGGKLFDLLSERKLLLSSRKLLMREDGRQQVCIVGLQEYEVSDVQIVKELIDKGNAERSTGSTGANEESSRSHAILQLVVKKHVEVKQTRRKNNDATELPGKVVGKISFIDLAGSERGADTTDNDRQTRFEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSVGSCEHTLNTLRYADRVKSLSKSGNSKKDQTANSVPPVNKDSLLGSNDLEDIFEPPQVVNVQETGRRVEKDNYTASGIDFRQPTNYREESGIPSISMDKSRSETNNAFGGSNSQRNHLSSYPQETSDREEKAKKVSPPRGKGLREEKPDRPPQNLSSYAKETSDREEKVKKLSPPRGKVLREEKPDRPPQNLSSYPQETSDREEKVKKVSPPRGKGLREEKPDRPQNLSKRDVRSSDIPTFTNFRQNTSETVSRQYETDSSLDENIDALLEEEEALITAHRKEIEDTMEIVREEMKLLAEVDKPGSMIENYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPPR >A05p041670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25276087:25282186:1 gene:A05p041670.1_BraROA transcript:A05p041670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNKLFFSSKKSGSSSPDSSNSPRSVGSNSPNPSDKKKSKSASKDESPIHSPSSLGGFGCKQASSIKDGLKKNKGKEVPSPHSIGKSNLSPSSEAKKNGGGPAFVSPIMASSLGLNRIKTRSGPLPRESVFNFRSDEKTPPLLCTSKLSKMATDIGSGSGSGSGSSGFGSGDKKKEAGSVMLRFEENMSRAQASDRDSSMSPDTGGPPKSLSPTLPPSGSRLQNAASSSGAGRSGPIKSSDFCTPENTYEWENPKESESPRYQALLRMTSAPRKRFPGDIKSFSHELNSKGVRPFPLWKPRRSNNVEEILVLIRAKFDKAKEEVNSDLKVFAADLVGILEKNAESHPEWEETFEDLLILARSCAMTTPGDFWLQCEGIVQDLDDRRQELPQGVLKQLHTRMLFILTRCTRLLQFHKESWGEEEQVVQLRQSRVLHSIEKIPPSGAGRSSSAAKVLKMPPSTKKAYSQEQRGLQWKEDVVVRSVPPLSPPENDVLKESESPANIDRMSSWKKLPTPVLKTVKEAPALEEQNHNKVEPPNLVRNRHAAISTLSGPPAKDSHEHSPKHRHNVSWGYWGEQPLVSEESSIMCRICEEEVPTTHVEDHSRICTLADKYDQKGLSVDERLMAVAGTLDKIAETFRHKDSLAAGESPDGMKVSNSNLTQECDVLSPRLSDWSRRGSEDMLDCLPETDNSVFMDDLRGLPLMSCKTRFGSKSDQGMTTSSASSMTPRSPIPTPRPDPIEMILGGKGTFHDHDEYPQMSELADIAKCAADAIPGDEQSIPFLLSCLGDLRVVIDRSKFDALTVETFGTRIEKLIREKYLQICELLDDEKVDLSSTVIDEDAPLEDDVVRGLRTSPVHPRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILINVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRNLGCLEEDIVRVYIAEVVLALEYLHSEGVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPGISLLDEEESQLPTSEHQLERRKKRSAVGTPDYLAPEILLGTGHAATADWWSVGIILFELIVGIPPFNAEQPQQIFDNILNRNIPWPHVPEEISAEAHDIIDRFLTEDPHLRLGARGAAEVKQHVFFKDINWDTLARQKAAFVPASESAIDTSYFRSRYSWNTSDEQFFPSGEVQDYSDADSLSGSSGCSSNGHEEGEVEESDGQAESESGIPVDYSFSNFSFKNLSQLASINYDLLSKGWKDEPQPNSRPK >A03p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11822126:11823605:1 gene:A03p028240.1_BraROA transcript:A03p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRVFFSGRFKMLAEMKMYGHFIPPRTTSPYRVAIHLRAQFSETESDKLLNTFFSIFSRTKMAEAATKEKKMMIPDWTKLPKELLQIVTDKMNCFEVVHARSVSTLWRSAFPFPASLLRSSYSLPTYPVEKEGLCSLEKIPVVLVVDESGSEFFMGLVGGDDSAYYMEAPSPLQCSLRVKLEKSDPTSMNVIGSQILSLGHQCRIVCWDPKGLRTTFRNAAILPLNGEGGRGEFAVLRSYLGTLLVLKSATRKWVRLPGVPRSSCNGLVTFRGRFYASFSDKDIYVIHPYSLRAVRLMPPPNMNNGSNHLIPAGDDELFLVKKSKLATVPKTFIVSRLVGLGWVEVDDLGDRVLFLRKDANVCFSANQLPDGCGVTGNSILFNARPGDETYFYKYGPPDVPKENVVGEWRISKESRVKFIDSHEAYAFRVEF >A03g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27385213:27387869:1 gene:A03g507750.1_BraROA transcript:A03g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKMDNVIGGKFKLGRKIGGGSFGELFLGVSVQTGEEVAVKLEPAKTKHPQLHYESKIYMLLQGGTGIPSLKWFGVQGDYNAMVIDLLGPSLEDLFNYCNRKLTLKAVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKKYRDLQTHRHIPYRYSMTEKTRTLPAQLGMLASTLTLVLVSKLIQPLEHLLQSCVYHVFIRLLGCIFLAEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKVSTPIEVLCKSYPQEFVSYFQYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTALKHPQSSSSSRSSSHGRHRTGKPVVAAGPSAEKPERISVGREIRDRFSGAVEAFARRNATGATPHQNQTKHRTLDDVPPPMKPAVNMVSEKGRNTSRYGSASRRAVASGSRPSSSGEQGDSRGSSRVASSGGGVRPSVFQRAQAAAGVSGYESKTASAFNRNRVAASRTARDDALRSFELLSIRK >A06p021170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10475420:10478219:-1 gene:A06p021170.1_BraROA transcript:A06p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAEKKRFDLIPIFYEIDPSALKSRTGCFNKAFENHEKRFDAETVRKWRRAVDIVANISGWDSKTRSDDSKLIQEVVGDLCERLYSEPSDETSEFVGMSLHKKRIESLLSKDDSDDDDDVKMVGVWGMGGRGKTTIAKCVYESLSTHFPSRCFLGNVKGESSSHLRKQIMSEIFPKSPLNARCVSPEAMKRRLRGKKVLLILDDVDDIQQLQELAGDCKWFGPGSRIIITTRDKRVLDEHSVKHIYEVKPLRTTQALQLLSKHAFKTNRPQAEFRQLCLDIVEQLCGLPLALRVIGASLYGRNIAFWEDKLCILRNSLDKSISTPLKVSYDALDEHEKTVFLYVAGCFNGEYMDRAIMVLDPFVTRSKPRLVTLMEKSLISMSNNTRLWVHDLLQDMAKDITCEGKMKKRKMMWNFLDIKGLLTETMAGGSKDIEVESIMLNMAEETGLCVNPETFKRMRELKFLKIHNNSTAAGSKVCIVNVEDFDYLPPLRYLHWEAYNLKSLPAKFETNVLVELNLPDSSIETLWSGSQSLPCNIKLKSLKTLSLDGCINIEEFPFVSDVIEELGLRCTSIELVPDSISRLSKLTELRLSHCKRLKNLPDTFGNLTSLKHLTLTCCPNITVFPMLGNGVETLSLNGTPIEEVPSWIGDKVNLTCLDMSECKKLHNLPHSLRNLRNLKLLYLRGCINITEIPQVAGEMRRLDLYGTSIEKYGILSEEEALVLHNRDMDFLKGFLTRYVRMYKRKRNSR >A08p035420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20907140:20909070:-1 gene:A08p035420.1_BraROA transcript:A08p035420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSAARADNFYYPPEWTPDQGSLNKFQGQHPLRERAKKIGEGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFAMKAPCCKQEIVIQTDPQNCEYVITSGAQKKVEEYDVEDAETMELTAEEEKGKLADPFYRLEHQEVDLQKKKAAEPLLVRLQRVSDARHADDYSLNKALRAQIRGQRKRVAEEEAASRKLGLGIRLLPKSEEDVAAASHVKFKTKFDKNRKDKRALIHASSIFPESSYSMSSSSKKRLDLEAKRRKICAASASSLLSGGFKASSLSKTPSSSTKFKSSTVSVRKL >A03g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3280548:3281141:1 gene:A03g501020.1_BraROA transcript:A03g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLLLLLLLIILSSHANCGSIVKFLPGFEGPLPFQLETGGDHDLMAPFISTQAWIRSLNYSIVEKWRPWMIHNQVAGYTQTYANKMTYATGGGHTPEYKPKESFIMFQRWISGQPL >A03p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4303457:4307944:-1 gene:A03p010730.1_BraROA transcript:A03p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis protein CNX1 [Source:Projected from Arabidopsis thaliana (AT5G20990) UniProtKB/Swiss-Prot;Acc:Q39054] MDASEGCCGSNEKSEMIPTEEALKIVLSVSERLPPVVVSLQEALGKVLAEDIRAPDPLPPYPASVKDGYAVVASDGPGEYPVITESRAGNDGLGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEVIGDVSTEPRRVKILIQTKKGTDIRRVGCDIEKDATVLKTGERIGASEIGLLATAGVTLVKVYPMPTVAVLSTGDELVEPTAGTLGRGQIRDSNRAMLVAAVMQQQCKVVDLGIVRDDRKELERVLDEAISSGVDIILSSGGVSMGDRDFVKPLLKEKGKVHFSKVLMKPGKPLTFAEISAKPTKSAMGKTVLAFGLPGNPVSCLVCFNLFAVPAIRQLAGWTSPHPLRVQVRLQQPIKSDSIRPEFHRAIIKWKENDGSGTSGFIAESTGHQMSSRLLSMRSANALLELPATGKVLSAGTSVSALVVSDISGFSVDKKNPVSEPKSNTKEMKNNEVPGPDYKVAILTVSDTVSAGAGPDRSGPRAVSVIDSSSEKLGGAKVVATAVVPDEVERIKYILQKWSDVDEIDLILTLGGTGFTPRDVTPEATKQVIQRETPGLLFVMMQESLKITPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPKHIPHAEASPAPAADTWDKSYKAAYEGAEETKDAGCSCTH >A09g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15143284:15143878:-1 gene:A09g504980.1_BraROA transcript:A09g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFADLEDFWDDLPVSRLKYNAIKDFQDYLPGSLLTESSHIFFVFKPFEHFLICGFFRSRVYFGIFMGSLLGSLLKYNALEEFSEDILKLHRTSISAKNTSSDR >A06p052580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27846812:27848236:-1 gene:A06p052580.1_BraROA transcript:A06p052580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase RIE1 [Source:Projected from Arabidopsis thaliana (AT2G01735) UniProtKB/Swiss-Prot;Acc:Q8GUU2] MSSSSPSDPTPARDQHAPLLLPRPHGSSSSSSAARPTALAVLLGRITGHRAPSMLVRETAARDFEERRIDWGYSKPVVAADILWSAALVIASAVMLVGTVEERPNEPIRVWICGYGLQCLIHVALVWSEYWRRNTRRRARDLESGDGLGYNSEYDREEDGDSSTTYSFAKRCESINTVVSFIWWILGFYWVVQGGDRLLGEAPNLYWLSVILLAIDVFFAIFCVVLACLVGIALCCCLPCIIALLYAVAGTEGVSEAELGVLPMYKFQAFHSNEKSNTGAGKMVPVPTDGLGLATERTLLAEDADCCICLSSYEDGAELHTLPCNHHFHSNCIVKWLKMRATCPLCKHNILKGTTDQS >A07g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24190266:24192445:1 gene:A07g508740.1_BraROA transcript:A07g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPNSSFLELTIAIPSFSPSPSLPSSSDHMVRDLDINQTPKMEKDREWIMISATPHVNDDDGNSGGRRRKKLRLTKDQSHILEEKLATFLKLSQRQVEVWFQNRRARSKLKHTEMECEYLKRLFGSLKEQNRQLQKEVEELRALKPVSASVLTMCPRCERVTVAADNGSNAVEEGTALRSQSRMTISSSSTLC >A06p045590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24441893:24443728:1 gene:A06p045590.1_BraROA transcript:A06p045590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKASSRNSNGASNEQQQSHSQAAASYQKPAAAELSRQFSMEDHDSSEEKFQNLKSLNAILLKQAVEKRQQIETLSHAKESLEAELTRSGAERTRLMEELLSSGDESFAVRLEMDLFVGIVESRLREIVDGLVREKSDKECEVRGLRREVGELEKERDELARVCDERDLIKSEEVNRLKESVVWLEMKETVLGEEVGRLKTENGRMVKEREKREELIERVSKERKALEKRLEVKVREIDELKREMKGLFMEKKEVEMVNCDQKEKIVKLEKMVENLTREEKGLRDQVIELEKDLDEVKEEAKAREESVIALAKEKAIKVSEVEGLLVENVSIKKQMERALAQASEKEKLADQFAREKVDLLEHISNREAEFDEMSKVADEQKHVVVQLRNDYNDQIKTSEKLSCDVSQLKDALALVEVERDNAGKALDEEKKSRLALKEKVAELEKTIQASGKELEKVKAERGRLIKEKNELENRSEALRKEKGILQKDLAELKKAMGVLKTELESAGTNAKRGLTMLKTVSSLVCGQDNKKGEQKRGEKGVDSYSVEVEAIKKAFKSKESMVEEMKKEIETMKHSVKDAHDKKSFWTLVSSITTLFMAASVAYAAAIK >A09p028750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17240427:17241208:-1 gene:A09p028750.1_BraROA transcript:A09p028750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTKISSFPRPCFTLHETRALNQPLVSMALPSSPSPFKHLLCKSTFPLAASLTLLLSPCTAEAGLMSGSPGIESVPGPELPKLEFLERFNAKNQKFYAENDSRFKESPILKKLLENSKLNKEKNERAIQDKYCLRGAEWGVGDCSTTGMTDEEKEQFITMLKKKTGIE >A08p033990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20314981:20324419:1 gene:A08p033990.1_BraROA transcript:A08p033990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGLIIINCVILTIGTCGGPLLTRLYYTNGGKRIWFMSFLSTAGFPVILVPLFFSFLSRRNRNNSETAEKPKLILMETPLFIASIVIGLLTGLDNYLYAYGLAYLPVSTSSLIIGTQLAFNALFAFFMVKQKFTPFSINAVVLLTVGIVVLALHSSGDKPANETHKEYVVGFLMTVIAAVLYAFILPLVELTYKKARQEITFPLVLEIQMVMCLAATCFCVVGMIIDGDFKVISREAREFKIGGSAFYYTLIMITGIVWQGFFLGAIGVVFCASSLASAVLISVLLPVTEVLAVIFFREKFQAEKGVSLFLSIWGFVSYFYGEYKSGKKVLEKHQPPETELPPLQALLRDNQNTKMMKNGLLIIVCIFLAIGTCGGPLLTRLYYTKGGSRIWFMSFLATAGCPIILIPLYVSFLRRSNRNHNNSETAEKAKVFVMETPLFIASIRVDRKLVPNKKQVQFKLVPKIHVYRTIADPKSRVEIMCAEQVVGLCVRQAMMTLRNVVACDTCTASDKLVAQGRPSKHEDMMLAFNAIFSFLMVKQKFTPFSINAVVLLTVGTGILALHTDRDRPAGVSKKEYVVGFLLTLIAAILYAFLMPLVELTYKKVRQEITFTLVLEMQMVMCVASTCFCLVGMVVKGDFVAIPREAREFMIGSSLFYYTLIVVIGIVWQCFFLGALGVVYCASSLASGVFVSVLLPVTEVLAVVCFREKFQAEKGVALLLSLWGFVSYFYGEFKSGKKLSIVLSLQKQNCLHFQLVALMLLEAGTWKRKRMEAQKRDF >A03p022240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9350080:9351948:-1 gene:A03p022240.1_BraROA transcript:A03p022240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLDLEGITKAISGMGVEEGALISTLGNSHKDHRKLFRKASKSFFVEDEERAFEKCHDHFVKHLKIEFSRFTNAVVMWSMHPWERDARLVKKALKKGDEAYNLIVEVSCTRSSEDLLGARKAYHSLFDQSMEEDIASHVHGSQRKLLVGLVSAYRYEGKEVKESSAKSDAKILAEAVASSGERAIENDEVVRILSTRSKLHLEHLYKHFNQIKGSDLLGGVSESSLINEALLCLLKPSVYFSKILNASLNKDADKGTKKWLTRVFVTRADHSDMKDIAEEYNQLYGEPLAQTIQEKIKGNYRDFLLTLLSKSN >A03p065280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28502850:28503294:1 gene:A03p065280.1_BraROA transcript:A03p065280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQVRHCSFLCPNDKVRSFGNVFVKNGGGLVIVMSKKRDFTEKANEKRPFLQIKVPNTILARSAIAVLGLGFIDAGYSGDWSRIGVISKETEELLKLAAFLVVPLCIFLGLSFSNESSD >A03p052020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20242996:20245019:1 gene:A03p052020.1_BraROA transcript:A03p052020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVLSRIQALDPENASKIMGLLLLQDHGEKEMIRLAFGPETLVHSVIVKTKKELGLMTRSPWRSQEELISPKNNNNRRGSSLNPASLPFYANGGRSLANEFEFIDDVNSRAKNLLDSVHARSGRCVLDGLGFGDSDLGFGGLPCSYFARGFCKNGSSCRFVHGDGGAEVVGSPRRFELLRSNSVPPRLAHHFITRSSFMMGDELQNLGRWRSERIDLSAMSSPASRQIYLTFPADSMFREEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFVYPETVKSILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRTNQTPERDLSPTGLDSSPRDAIGKANTKALCLNLLLVRRSDLLVVQGGRGFYNKGQDVLWRSKFEEEILELQSRRLMNLQLLDVKKHFQLNSPTTTHIHSPNPFSQTLASPRPLPVKGSSKEGSDDDTMNLPERLEDSLPDSPFASPLLLSADNNGSDLWSPSSDNDDNSTPSTLSDSSNSFSCQMPRLLPVEMLSGRGGPTCRREVSK >A05p001370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:209562:210251:1 gene:A05p001370.1_BraROA transcript:A05p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL64 [Source:Projected from Arabidopsis thaliana (AT2G47560) UniProtKB/Swiss-Prot;Acc:O22255] MGIAEESSKPMWGSISHASPGHALSGKIMISSVIILSVALIMIICFHSYARWLFRRQNRRIRRRIRAHLRALSASTISSSSSLSPLDPAILEKIPIFVYSSETHHQSPLEECSVCLSEFEEEDQGRLLPKCGHAFHVDCIDTWFRSSSTCPLCRAPVQPENMSTEQTTPVKPVEDIETGSSSSSSSPLRFPMEACEREPIDLVGLTVEVPTEFEGSINPVLSLKRLWSI >A03g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23789540:23790163:1 gene:A03g506600.1_BraROA transcript:A03g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGLESDFPVVVAADLAGVNVWRRLSGGFASSAGLISYAVERASGFTSVRWHLSLMRVALLHGAVRTEVLSLGSSARALSGDDWWWFRSGSSSALICSIEAMVVTVWNRGGDLALASLLHPWEMILEAIIA >A10g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8582564:8588046:1 gene:A10g503320.1_BraROA transcript:A10g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYMKDKLGALTAPMANAYANAVVFNKIENLVATFRHRKSTKTSSRFLHVNKKGNDKSYQNPVNKSRCVRVLPKHVFRKHFGRIKLKKETQQNDYTARSLCSDRARTLLGPAHAARSLRSDRAQTLLGSYVATEHTRCSRPSTHAAQSLRSDRARTRLGRYVATEHARCLSCRYVAPSTAALLVLGPPTYRPAQHDASSRHYHTPLLGDRYLSTEHTIAARIATSDRSLTLLGSLRSDRARTRSLLGCYVANEHAHAARSLRSDRARTLLGRYRPVRPQKGPPLGSILNPCRNTFRFVSIGVSVEILRRKQRPVRPQKGPPLGSHLNPRRNAFRFVSIGVFVEIYDENKWESSRLASTRYVATCQTVSRYIATCKASERSSFGFSFESSSKRFSFRLNRSFRLDFTTKTSRTLLGLLPLATPQKGPPLGCLLNPHRNAFRFVSIGVSVEILRQKQRPVSPQRVPPLRSSLNPLRNAFRFVSTGVSVEILRRKQVGLRPVRPQRGPPLRSPLNSHRNAFRFVSIGVSVEILRQKQVNLILNSLACFCSPYLHLCIHFRISIETSLVSPRLKLPLRLYDIKKKPQRPIFSHGFRLISVKISINVFTKSNLRKDIFTKSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRRPSMHTARSLRSDRASVPLGRYVATEPEPSSVAT >A09g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11409617:11415518:-1 gene:A09g503690.1_BraROA transcript:A09g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLAGTKEAANSTIWWFSRRTVLMTVPDSGATRREENHWRLLCFWIVKGEGSISLTIEKIILNPLSISFIYMKCMTSRHTRRNAQGELATFTNQELARLERTNRQQPRQTDTTMGDHANQEQLTAQLQQMQQQMLQMQQTIQAQQDAAEQAALAQQEQQAQTGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGLAGTKEAANSAIWWFSRRTVLMTVPDSGATRPPVALIYHIFLFLFTFYAILERRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLH >A09p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9330835:9331476:-1 gene:A09p017930.1_BraROA transcript:A09p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASMMAGLDGLAEEDKAKMASMIDQLQLRDSLRMYNSLVERCFVDCVDSFTRKTLQKQEETCVMRCAEKFLKHTMRVGQRFSELNQNAPTQD >A06p047600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25267262:25270429:1 gene:A06p047600.1_BraROA transcript:A06p047600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GFA2 [Source:Projected from Arabidopsis thaliana (AT5G48030) UniProtKB/TrEMBL;Acc:A0A178UJR3] MLPNAKVIRLLARRCLSSSRAQDFANQKLRGVAVGSYRRLNTGSGNPAKVLGDHASKSGHDRRWISFGGFNSNFGSTRSIHGTGSSSMSSKDYYELLGVNKNATDGEIKKAYYGLAKKLHPDMNKDDPEAEKKFQEVSKAYEILKDKEKRDLYDQVGHEAFEQNASGGFPNDGGGGFGQGFDPFDNPFDIFGQIFNRNKQAFGGQDVKVLLELSFMEAVQGCSKTVTFQTDMACNTCGGQGVPPGTKRERCKACDGSGMTTMRRGILSIQRTCQQCGGAGQTFSSICKSCRGARVVPGQKSVKVNIDPGVDNSDTIKVGRAGGADPEGDQPGDLYVIFKVREDPVFRREGSDIHVNSVLSVTQAILGGTIQVPTLTGDVVVKVRPGTQPGQKVVLRNKGIRARKSTRFGDQYVHFNVSIPANITQRQRELLEEFVKEEQGEYEKRTASGSSQ >A03p061030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:26479816:26480757:1 gene:A03p061030.1_BraROA transcript:A03p061030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNEFIPYTDNTMTQNDFVPIQINRNETRTVVRSTFVTSGNTNYANLFSPSPPFTSSYRASQVYSSTLTFNSSRMAYQPRNNMVSAVRMRQISGFVNPHFSPVLATPTIPNMYHHATVPTNNMVTSQNGHDRVITSGQTIRNHSPNVFGNATPTISNMYDDVMVPTNNMVTSQNGHERVITSDPTINPPNVFNNNRETFYPEPIDYTKVDTNDKGGENKYQTNGIPNENYGSYMCPKCHSQFDISPIISAARMGLVHSSNETKDQENEKGPCARTKKRYREQSHPEVNGKARKIESEDKVPEESCGSKTN >A09g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7198198:7199546:-1 gene:A09g501990.1_BraROA transcript:A09g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILSSCLMVTHMYVVNIGARKFDQLAKRSVLARGDFGDYESDSRSSISAVSYGSRDGFSGGPGRSSASGQSGKAIIVEGASGRINDTTTKEQERGQIVENEEGETGKGETGEEVKEMEEGQINEWQNVSTEKMGRSPKNDLKYGQVIIATPSRFAVLNDSRDDGEDLDQEENEEDFDDVSQEITAEEKLEDKLSGKKRGRAVLPR >A05p034810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19633921:19636968:1 gene:A05p034810.1_BraROA transcript:A05p034810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative calcium-transporting ATPase 13, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT3G22910) UniProtKB/Swiss-Prot;Acc:Q9LIK7] MATQVSDHAKKGNSVGVKFLLELPKTLSTSSKKWHQAFIKIYSSRTILNCAKHTIRKPGLFPRSISYTAIDLDHHQGDFTIDQETLNNLVKNKNQEKLESLGGPNGLVSALKTNTRLGINEEADEIQLRRSTFGFNTYTRPPSKSLLHFVVEAFNDVTILILLGCATLSLGFGIKEHGLKEGWYDGGSIYVAVFLVVAVSAVSNFRQNRQFDKLSKVSSNIKIDVVRNGRRQEVSIFDIVVGDIVCLNIGDQVPADGVFVEGHSLHVDESSMTGESDHVEVNLNGNIFLFSGTKTADGFGKMVVTSVGMNTAWGQMMSHISRDTNEQTPLQTRLNKLTSSIGKVGLLVAFLVLLVLLVRYFTGSTKDESGNREYNGKKTKSDEIVNAVVEMVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATTICTDKTGTLTLNQMKVTEFWSGLESRNASSSLSRTVLELFHQGVAMNTTGSVFKGDSISSEYEFSGSPTEKAILSWAVEELKMDMEEVMREHDVLHVEAFNSEKKRSGVLIKKRGEITVHWKGAAEKILAMCSTFYDGYGVAKEIQEDDKVKFEKIIQSMAAKSLRCIAFAYSERNDNNGTNKLKEENLTLLGIIGIKDPCRPGVKKAVEDCKLAGVNIKMITGDNIFTARAIAVECGILTPEDETNEDAVLEGEAFRTYTQQQRLEKVERIKVMARSSPFDKLLMVKCLKELGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEKPSNDLMKNKPVGRTGPLITNVMWRNLLAQAVYQIAVLLVFQFRGREVFNVTERVKNTLIFNTFVLCQVFNEFNARSLEKKNVFEGLHKNRLFVGIIVVTVALQVVMVEFLKRFADTERLNWGQWGVCVAIGAASWPIGWLVKCVPVPEKHFFSYLKWKKRS >A03p023860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10085705:10087979:-1 gene:A03p023860.1_BraROA transcript:A03p023860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFLVVAICVALFLSLTDFSGKSPKHGESMLTVHFPEFRLIPTTGASGPESFVFDISGEGPYTGLSDGRIVKEGCEGPHEHQRTEDVCGRPLGLAFEKSTGDLYIADAYMGLLKVGREGGLANQMLTRQLDEPLRFTNGLDVDPRTRVVYFTDSSSVYQRRNYIGVMMSGDRTGRLMKYDPNTKQVTTLLSNLAFPNGVVLSQNGEYLLVAETAKCRILRYWLNDTTSASKSRESYEIFSDRLPGFPDNIKRSPRGGFWIGVNTKHTKLTKFAMSNVWLGRAALGLSVDWMKVHSFWASYKGNGMAVRLSEGSGAISEVFEGKNGNRWMSISEVEERDRTLWVGSVNTPFVGMYKI >A10g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5728270:5729509:1 gene:A10g502170.1_BraROA transcript:A10g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTFPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQLVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRPSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKIYASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKRDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A09p001020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:840917:845396:1 gene:A09p001020.1_BraROA transcript:A09p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 2, endoplasmic reticulum-type [Source:Projected from Arabidopsis thaliana (AT4G00900) UniProtKB/Swiss-Prot;Acc:O23087] MEEEKKSLSPWSWSVDQCLKEYNTTLDKGLTTEDVQTRREKHGYNELAKEKGKPLWHLVLEQFDDTLVKILLGAAFISFVLAFLGEEHGSGSGAGFEAFVEPFVIVLILILNAVVGVWQESNAEKALEALKEMQCESAKVIRDGVVLPNIPARELVPGDIVELQVGDKVPADMRVSGLKTSTLRVEQSSLTGEAMPVLKGANLVVVNDCELQAKENMVFAGTTVVNGSCVSIVTSIGMDTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTSICVVCVLVWIINYKNFVSWDAVDCSFKFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSATEFFTLGGKTTVTRVFSVDGTTYDPKDGGIVDWGGYEMDANLQAVAEICSVCNDAGVFYEGKLFRATGLPTEAALKVLVEKMGVPEEKNGESIQEATNDSDNGSSVKLACCDWWNKRSKRLATLEFDRVRKSMSVIVREPNGQNRLLVKGAAESILERSSYTQLADGSLVALDESSRAVILKKHSEMTSKGLRCLGLAYKDELGEFSDYTSESHPSHKKLLDPSSYSNIETNLIFVGVVGLRDPPREEVGRAIEDCREAGIRVMVITGDNKSTAEAICCEIRLFSDNEDLSQSSFTGKEFMSLPPSRRSEILSKAGGKVFSRAEPRHKQEIVRMLKDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADIDIMKKPPRKSDDCLIDSWVLIRYLVIGSYVGVATVGIFVLWYTQASFLGVSLITDGHTLVSFTQLQNWSECSSWGTNFTASPYTIAGGLRTVAFENNPCDYFTLGKVKPMTLSLSVLVAIEMFNSLNALSEDNSLLKMPPWRNPWLLVAMTVSFGLHCVILYVPFLANVFGIVPLSFREWFVVILVSFPVILIDEGLKFIGRWRRQRIKNKIKKTM >A09g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17208684:17209781:-1 gene:A09g505430.1_BraROA transcript:A09g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAKPSLRWWCLMVADELSSCYRARVCTGGSIVGDGTGVSIVAGVPSRIEAVEHKSGDAVFGCWVARVSDLGLWASVYSHQDGGGLEMNLNGGSSRAEEDDFEFSEHQMSKIKKNEEISEKREKEIQQVVESVNEQNI >A03p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5252024:5253490:1 gene:A03p013360.1_BraROA transcript:A03p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC3 homolog [Source:Projected from Arabidopsis thaliana (AT5G57450) UniProtKB/Swiss-Prot;Acc:Q9FKM5] MVKNVIFVKTTSFLVSASRSIRVFLLSQSPTTSLKLTTGCETLDACLHGGFPCNSLTEIVAESGCGKTQLCLQLSLCAQLPISNGGLDGSALYLHSEFPFPFRRLHQLSRSFHLSNPSIYADHNDNPCDHVFIQNVHNVDHLFDVMSKIESFVKNSKTRLPLKLIVLDSVAALFRSEFENTPSDLRKRASCFFKISGKLKQLANRFGLAVVITNQVTDFVESSDGLSGLRIGNLRCLYSSGRRVVPALGLAWANCVNSRVFISRSDDSICQERREDDESGSSYVSRRARRRLDIVFSPYLPASSCEFVITGEGICGVK >A07g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12565862:12567054:1 gene:A07g505590.1_BraROA transcript:A07g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTVINTSRIFQAMLLCEAQRVYENRWTEIQRKDKVVNNMFARLCMKRAKHETMASEDKSNKNRMLFLDGSSTPANIKLLLPIEQGASSICPRLHILSPRLDKQKLTIFFDNPNIGKSKEKSLFLGHFHAKGTVRQKIEIESKQR >A08p030570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18731265:18733278:-1 gene:A08p030570.1_BraROA transcript:A08p030570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLQVLLLSLFVFFSTIFLFSKSKPKQNLPPSLGNSLPVIGHLHLMKRPFHRKLLSFSRSLGNAPIIHLRLGQRQTYVVSSRAIAEECFTKNDIVFANRPVLMINKHLGYNATHMVGASYGDHWRSLRRITAAEIFSSMRLCMFLCIRKDEIRRLLLRLSRDSLHGFVEVEMKSLFTNLAFNNIIRTIAGKRYYGDDAEDEEEAKLARYLVSEAMAGDSGRNPADYLSFLRWFTDSETRIKDVAHRFDAFLQKLVDEKRAEKVKSKTMINHLLSLQETQPDCYTDVIIKGIILDLIIAGTDTVAITLEWALSNLLNHQEILKKARIEIDNKIGLHRLVDEPDIVNLPYLQNIILETLRLYPAAPLLLPHLSSEDCQVGGYDMPRGTMLVMNLWAIHRDPELWEESERFKPERFDKEGEAQKVMPFGLGRRSCPGAGLAHRLMGLTLASLVQCFEWERVSVEQIDMREGKGVTMPKKEPLRAMCRARVLAGSTNMRKDFL >A07p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7332138:7334484:-1 gene:A07p013720.1_BraROA transcript:A07p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRDRIINTQPFITDDASVASSRKRSKVPKTHQQQEKLIEAGISSKIMKVALAQQKEIADEENAERNPSGAVFAAAAAKTAEEEQRILEEEDEKDDDIDAFDGNFEEDDSYHQEEINEDDEKLFESFFVKDAPRQRTLADIIIKKIKDNDADLAEEERPDPELDPKVTKLYKGVAKIMSEYTIGKMPKAFVLITKMERWEDVLYLTEPEKWSPNAMYQATRIFAHHLKNSQIQRFYSYVLLPRVREDIRKNKRLHFALYQALKKSLYKPSAFNKGILFPLCKSGTCSLREAVIIGSILEKCSIPVLHSCVALLNLAEMEYCGTTSYFIKTLLEKKYCMPYRVLDALVAHFMRFVDEIRVMPVIWHQSLLTFVQRYKYELLKEDKEHFQTLLKRQRHHLVTPEILRELQGSRNRGEKEDDPMLTNYILSYISSFNSLWNYF >A01p056350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30711738:30712857:1 gene:A01p056350.1_BraROA transcript:A01p056350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTARRKLTTVLSKTLSSSTSSYSSFSTLSSRSRFAVPLLEKVSSARTGLGPCYITTRPKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFTDPKPTEDEMISAYVKTEEEAKKKIYSVCTSTYTGFGALISEELSCKVKGLPGVLWVLPDSYLDVPNKDYGGDLYIEGNVIPRPQYRFTEQRQTRNRSRPRYDRRRETVQVERREPTSQNWNQNQPPASMGNQAPES >A05p043040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26010967:26013814:1 gene:A05p043040.1_BraROA transcript:A05p043040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:Projected from Arabidopsis thaliana (AT3G16310) UniProtKB/Swiss-Prot;Acc:O04326] MSAHRTPKTGRQSLLFQDLASPVSARRGKFSTPGQAAAVSALWRENLGGSDLPPPPMYTLDDRSDFSPESGIADYSASPDVKSERRTPFQSSGKNVVTPGKGKLEASPSFSLLSGQQQSQQVSGSPSWWSQSKGGGSSAEQEDKGKGSPVEGVVQPGALVTLPPPREVARPEVQRQVIPTGNLQEEEWVTVYGFSPSDTNLVLREFEKCGMIVKHVPGPRNANWMHILYQNRSDAQKALSKTGMMINGVVIVGVKPVDPIQRQALNERLNNQGFMPLPPPSSTRDTEFNAARGASSRPHYLQNGSAFSPQPNGGAMAVPSKSMVSKFVDLMFERPPLRKLEKTLITWEPDEKPHGDAMVKATEQKQNSQTVEVTKLDIRDVVTTATTYGEVNRHGGKRNDVAMFVLRAMCMAASAVAVSLMVTARETSMTTLYGFEFQLHAVWSLSDSLIYLVAVSSATVIYSLLQLILSGTRLMRRSPVIPTRTQAWFCFLADQILGYAMVSGGSAALGVTNMNRTGIRHMPLPNFCKSLGFFCDHLAISIVFALLAFLLLAASSILDVLHLSRN >A02p048080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29931939:29934028:1 gene:A02p048080.1_BraROA transcript:A02p048080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELSLDCKPQSYSMLLKSFGDNFQSDQTTQKLEDLLSRLEQERLKMDAFKRELPLCMQLLSNAVEVYRQQLEAYRENSNNSNQSVVARPVLEEFIPLRNQPEKENNKGNNWMTTAQLWSQPETKPKNIDPTTDQSPKDELASSPKLGHFDVKQRNGGGAFLPFSKEKTLPELALSTEVKRVSPANEHTNDHDCNGESIINGSNNNNNNNSSTTSQSNRKARRCWSPDLHRRFVQALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQTSGGQGPHLVVLGGIWVPPEYTTAHGGTPTLYHHQVQNHQGNAAVQPPPHFCSSQEFYTARPPPQQLHHHHFQTCNGSSADGSASTDSAHQLTDSAADDGKSPESGGGERKGLAALREESGNQSNINGSEITLKF >A01p058900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33674060:33676031:-1 gene:A01p058900.1_BraROA transcript:A01p058900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSISFFSSIFLLYLAAVTSDLDSDRRALLTLRSSVRGRTLLWNTTASSPCSWHGVNCLAGRVTSLRLPGTGSLGSLPNESIGNLTQLQTLSLRFNSLSGPIPSDFSNLVLLRYLYLQGNAFSGEIPAFLFTLPNLIRINLGENRFSGRIPGNVNSAPRLVTLYLERNQLTGSIPEITLSLQQFNVSSNQLNGSIPDSLSGFPVTVFEGNSLCGKPLQSCAVSPVSPPSKDSDGLSTAAIVGIVIGCVVGLLLLLLVLFCLCRKRKTEENAPPRNVEAAPVAAAAATTSSTAAVIPKETAAAAESGVVSKDLIFFVKSFGEFDLDGLLKASAEVLGKGSVGSSYKASFDHGLVVAVKRLRDVVVPEKDFRERMQVLGSMSHPNLVTLIAYYFSRDEKLLVFEYMSRGSLSALLHGNKGSGRTPLNWETRAGIALGAARAISYLHSRDSTTSHGNIKSSNILLSNSYEAKVSDYGLAPIISSTSAPNRIDGYRAPEVTDARKISQKADVYSFGVLILELLTGKSPTQQQLNEEGVDLPRWVQSVTDQQSTSDVFDPELTRYESEGNENIIRLLKIGLSCTAQYPDSRPSMADVTRLIEEVSHSSGSPNPVSD >A09p022760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12529039:12530676:-1 gene:A09p022760.1_BraROA transcript:A09p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISEKPLGEESIRKDLEGLTVSRRLVKSVSQKLKKKISKPEVVEDEVDARGGGAVNCLNISGRGVGCKVADTGDDFEDKRWSSASEGLTATTICGTEETRLDCFSYGVKEMLFFKKHNNRKYLEPEHNNHIFLPDDILEMCLMRLPLTSLMNAHLVCKKWRHMATTQRFLQLRRQGSFQSPWLFLFAGSSSGDIHGYDVSQDKWHRVESDVLKGRFMYSVTSIHEEVYVIGGRSEDRNSFKTHRGVLVFSPLTKSWRKIKSMRHARTLPVVGATHVSSELSSLQQSQRFHRSSRESDVYEDPHRFSVRRQAADQTGTTVVSHRSTRLKLNASKRFVLIAIGGSGLFDEPLDSGEIYDSATNTWSEIQRLPMDFGVVSCGIICNGIFYAYSENDKLSGYDIERGFWIGIQTSPIPPRVHEFYPKLISCNNRLFMLSVSWCDEGDGQIGRRNKAVRKLWELDLVYLTWTEVSVHPDAPMDWNATYVSDQNILMGVEMFKIFGQVLGFFTVCDVSREEVSWRHVSRNQRSQKLNRSCMNKTIALLHL >A03g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17843347:17843973:-1 gene:A03g504980.1_BraROA transcript:A03g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTGSASLYVGVAFGCPGAEKKSESPVAAMEMTNSSLEHQLQDITASRSEAVLVTQQEEPYILLVKEANDWIKMQTATVDKVDSWDASNMKVITSVCGEKGTALSCGTSNQAKESGNGKSVHYGSVFSVC >A04p034440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19928569:19931901:-1 gene:A04p034440.1_BraROA transcript:A04p034440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGNKMHRKRTMTMDWAGLGDFEDDDDRFFETHDRLSSALTFDMANSSSDEEEEEDFDDCRHSFSSAVSSMTSASRKFRTSTTMMSPDYDIWMAAPGSISERRRRLLHGMGLASNKAMVNAVSIRRVVSNAAILDNNNNNNHNNNKNGEVETEDHDNALFARSRSESDIERFFIEKRRKEEVLGKVSKHRLTRTYSTMGVSSRTRIFQQQHQTPIRQSSPALTSVTSSSKSRLGAFFLIKNLDTGKEFIVNEYNEDGMWNRLSDLQTGKQLTLEEFEKCVGYSPVVKELMRRENVNRINYEPFVDLRKFNSYLSKSVRLSKRRGAALLKNIKGVAHSMSLRGVDKDVTDSDSPRKGKDQKHGKANEWVKVRPTGKSYKELSALHMCQEIQAHEGSIWTIKFSPDAHYLASGGHDQVIHVWEVQECELMSMNEGSLTPIHPSLCDSAGNEMAVVEKKKKGKGSSGRKNSNQIPDYVHVPETVFSFSEKPVCSLKGHLADILDLSWSKSQLLLSSSMDKTVRLWDLETRTCLKLFAHNDYVTCIQFNPVDENYFISGSLDAKIRIWSIQNRQVVDWSDLHEMVTAACYTPDGQGAFIGSNKGICRSYDTEDCKLNQTNQIDLQAHKKKQSKNKITSFQFSPVNPSEVLVTSADSRIRILDGSEVIHKFKGFRNTSSQISASYSQDGKYIICASEDSQVYMWKRDSHRTSSETGRRSSNTTQSHEHFECKNVSAAVPWHGHVRGEPPPVQMHSKRHSKRISTSSQPSSAVSSPTREETSATTAAPIRHKKPGLPPIPKRITPQQQPEEEVGGEVGSSESFGSSMNGSEQQSSRFGESPSINTSSRLSSWSWFDSGGHGPQTIRPTAWGMVIVTATVNGEIRSYQNFGLPRRVGRQTTLF >A06p027420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8295947:8297581:-1 gene:A06p027420.1_BraROA transcript:A06p027420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWTEGSEFDVRSSNDVIMDQSVDGNRDEELRKEPAEEDDVLTISKGPITRARARKLKEAIGGLIRKSLEQEEWIEFKWSRVVQWFKLFVYQEAMGSEEDDETFMRRNKLLQEAITKQVIEAMVKLLEEKYDQRPNDGQGQASGQTREQRRNRRGQREHAGSEETDNFYER >A03p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2856658:2858028:-1 gene:A03p006860.1_BraROA transcript:A03p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEETTTSVKEGANLLGKPKYKKIENGRFRCVQTGHELLEKDKTVYSESKRCRLGLIDHALSHSKPPLNLFEQDPSSRSKLKCKLTGDTVNKTEEHIWKHINGRRFLNKLEEKEREKEFGAIPEEGGETVVKENGVKEEKKKNKKKKKKNKKKNKKLDEKEENGEDVVMDEVEHENDEDVGEQEELDFWMPPDGERWDFDDGGDRWGSDSEEEEEKNGEEDPIVGEMDEDGNSSLDDCILGEVDEDETLESKKRKAEELSSKKKKKINTTAS >A09p083580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60156892:60159370:-1 gene:A09p083580.1_BraROA transcript:A09p083580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARKKSTSFPPISECKSGEYDSIAADLDGTMLLSRSSFPYFMLVAIEAGSLFRGLILLLSLPIVIVAYLFVSEAIGIQILIYISFAGIKIRDIELVSRAVLPRFYAADVRKDSFEVFDKCKRKVVVTANPIVMVEPFVKDYLGGDKVLGTEIEVNPKTMRATGFVKKPGVLVGDLKRLAILKEFGDESPDLGLGDRTSDHDFMSICKEGYMVHETKSDTTVPIERLKNRIIFHDGRLVQRPTPLNALIIYLWLPFGFMLSIFRVYFNLPLPERFVRYTYEILGIHLTIRGHRPPPPSPGTPGNLYVLNHRTALDPIIIAIALGRKISCVTYSVSRLSRMLSPIPAVALTRDRAADAARMRKLLEKGDLVICPEGTTCREPYLLRFSALFAELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEMTVNGGGKTPIEVANYVQKVIGGVLGFECTELTRKDKYLLLGGNDGKVESIKKTKE >A10g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12299804:12302162:-1 gene:A10g504990.1_BraROA transcript:A10g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSYFSGLKPNQCNQEIKTKIVRAWKQHSPVVGESIELGDIIHATIKKDFVRRYDRIMKEGYSMIIVNFAVMPSVGAYRITHHAYKIAFLDSTRICICLNLPRQLNGFQPVPFCDVLDGNFYSDYLVDVIGQVVGFSNVDIISVHGKDTHKLSLQLRNEVDHRLTINVWGKQAEVFSDALRLGSSCPLICVVRFGKITERTVSNVYNISDIALHPDMDDVEAFLKLLPRKQVTVEHMDSKPICLVSDISEKKDFFAETPWKTIAELLDTTHVQMCFVVCTIAALDTEKGWYYLSCNVCGVEVHNVSNDWSSRGAAHCNRLKNYYCVKCKTHIQKPDARYALNLVVLDSTGDTNFLLFEIALIGGNIDEVYELIYYYVFFNLLEVFYYKYFLHKQHTSPTLHIQGRALPAVLTERVGATMQLFKVLKLITSTDCINELAINHYPMLLMFLLTTAYLKHKSPSNSRAVVVRTGIYI >A09g517600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52742589:52745448:1 gene:A09g517600.1_BraROA transcript:A09g517600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKIVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A06g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8264488:8265062:-1 gene:A06g502460.1_BraROA transcript:A06g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLLEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A05p006650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2674951:2676789:-1 gene:A05p006650.1_BraROA transcript:A05p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g45350, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G45350) UniProtKB/Swiss-Prot;Acc:O22137] MLVFAHSRHHPWSSISPTIHLLGACKTSHDLNKLHARLITTGLIKNPDLTTRIVLAFASTSASASSRRPFLAEFARCVFHEHHVSSSGEVEDPFLWNVVIKSHSHGVDPRKALFLLCLMLENGVPVDKFSFSLALKACSRLGFVKEGTQVHGFLIKTGTWSDLFLQNCLIGLYIKCGCLGFARQVFDRMPQRDSVSYNSMIDGYLKCGLVESAGELFDLMPREKRNLITWNSMIGGYAQRADGVNVAAKLFDEMPEKDLISWNSMIGGYVKHGRIEDAKGLFDVMPRRDVVTWAIMIDGYGKLGLVHEAKTMFDQMPHRDVVAYNSMMSGYVQNRYHMEALEVFNHMEKESHLKPDETSLVIVLSAIAQLGRLSKAIDMHLYVVEKQFPLSGKLGVALIDMYSKCGSIQHAMGVFEGIESKSIDHWNAMIGGLAVHGLGESAFDMLLQIERRSIKPDHITFVGVLNACSHSGLVKEGLLCFELMRRKHKIEPRLQHYGCMVDILARSGSIELAKNLIEGMPIEPNDVIWRTFLTACSHHKEFETGELVAKHLILQGGYNPSSYVLLSNMYASNGMWKDVRRVRTMMKEKNIEKIPGCSWIELDGRVHEFLCR >A05g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15869492:15870170:-1 gene:A05g505650.1_BraROA transcript:A05g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSWKTYRRLMEDLYKTHRRLMEDFDLGGKTKLFQNLGGNPKFYLNLGGIQLSLEDFWKTYGSLLQNLWKTPGRLMKDFDLGGKPKLF >A01p021300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10363797:10364997:1 gene:A01p021300.1_BraROA transcript:A01p021300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPHCLVSLLVTLLLSGLASSLHISLDEFESHPATSRALLQAKTPCKEDFASKNYTIITSKCKGPNYPAKACCSAFKDFACPFAEALNDEKADCASTMFSYINIYGRYPPGIFANMCKEGKEGLDCANVTATSSAHASLPLVSTHALLITIVSSSSLLRFYQNAISLTLSHSFDRIRPLKNLKERHREFGNPVRDPMWILYIWGLTAQAKVSLITSRVFTKKMIKR >A08p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16386201:16386589:1 gene:A08p025390.1_BraROA transcript:A08p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACEGSLACSTCHVIVGKANSLFSCNKLIIKCALTKLSRLGCQVIQSQIARWSTLSCSFSHKEFRS >A08g502680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5579521:5580303:1 gene:A08g502680.1_BraROA transcript:A08g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMTELVAAVNERLDIISKDISVKLSYHYPEWVAMGDGELDTPQYITDDTEVGVFIRMRRAIEEVDIYVAIVRHSPGGKEENLPRQLSRNVRKRGEDGGDNCLDEEDWHAFALSETPLTLPPTQKDVVGKDQVVPECSVRPARNSMTSRTAIPHGQRGIVIREPGETVRLAGPETEARQKGKNKRPLECETDSDTDSDDAMVVPVLRASIPETANAARPVARRLVFGIPGITNTQEGAGSSSWSTDAQPEVADEFGQH >A01p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29480627:29483740:1 gene:A01p052510.1_BraROA transcript:A01p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G13222) UniProtKB/Swiss-Prot;Acc:Q8VZS6] MLTLQHSDEDIFSVFKDCLNDPHETAQKLLFLDTFHEVKGKRERKKESLVPKTEDKGRNGRRNFASTYSGANNGRSAGFTRQSGSNHRTRRPIKASKPVIPPSGVSNSNITEEHPPSKCTSSSEDVSELKKSNASETVPVSDSVVQNGTQYAVDGTSKSSQQSSTSSQRSATSNCGSQSDQVTRSESAGPKGNNQSLLKSDVGERPHVTFPVHLQVAKMLENGLTFGSFDSNFVKETCCDNLTFGCDDSTIKSSHGTAVYGASDRKDISTFSQDDNEISNSAPETELALQSNQTVQLVEGSEVDKLNEESLPIKDIHQADVPPISYPDQSSIAASQQAMHLLRQQYPLNFFPYGLYYSQPFYMPQPYIQQFLTPNGFQQQSYLPPQDDAALPPGDKLHSPQIKPGNNTGNSPLASFPSPYHSYAAAFNHIPSPPTTVNSTHKEEKKEDDYTTVPLSLANLQASAMYNLSLQGQPLPFPTLHAGFTGIYQQTPPILQAPTISPVTEQPIGPPLVTNQQPQAAPTNMDNNY >A04p019520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11709118:11723380:1 gene:A04p019520.1_BraROA transcript:A04p019520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSAVNIFHVFTSFHGPDVAGFCSNLPLGLAVVGSSLRGESKTDWERQLSKIETGLDRKIEDVLRVGYDRLSKKDQALFLHIACFFFFHEDVDRLTTMLAHSNLNVGNGLKTLEEKSMVHRSFYREIVMHSLLQNLGRQIVVEQSEEPGKRQFLIEPKDISNVLANNTGTESLIGIKFDMSNMEKFSISEGAFEGMSSLQFLQIYSQLGQQNENVSCLRIQEDLKYLPRLRLLNWDFYPGKRLPPTFQPERLVELRLQFSNLEKLWDGIQPLANLKKMDLNFSYRLKEIPNLSKATNLETLLLSSCSSLVELPSSIKNLHKLKKLKMKSCKKLRLIPTNINLISLKGVDMDYCSQLETFPDISRNIKTLSARDTKIKDVPASVVGRWSRCRKLEIGSKSLERLTHVPQGVTKLDLINSAIKRIPECITGLSHLVDLIVENCTNLGSIPALPPSPQVPECKQRGIIQQSVQEYLCLPGKEVPAEFTYKATGKSITIPLAPGGEGAFSASSRFKACFLLSPIKDHRFLSISCRLRGKGGVEINSFSSGARLGDLSPLSEHLFIFHGDLFDRPNRHHEVEVAASEISFEFSCRSNDDKIIECGVQILTEEAEGSSSERELENFETETNITDGGYGSDDGGYELVSMILSVCKGTKMQKAEGILALCKYVKMQDGDEGSSSSEVENFEEEKEMINSIFEYLKKKEVENIQNEGNSFDASDELVLSLTFSVCQYIEKKEAEGSSNSSEVNSSNASKELVLAMLYLVGKYIEKKEAEGSSSSRSSEVNSGYESNEIVLKRIISSLLIYIKVKEVDGSSSINRGDASKELVLPVFLYLCECIKKKEAEGNSSNNQVNNGDASNELLLAMILSASEKIETQEAEVILSQCEDIIKEDAEGSSSSNSEVNSDHASNKLVLSIILRVFQYIIKKEAEGTSSKREEENQSDGAFKVSIDENVVKTNKHTNWLSGLKKLVGLKKKKNKPSSVCVIHLKVNRALYLWKIFRDGLSEFWRVPVFDLDSLQFDGDVFGFIEFVDKFLKSYENLALNRFKLIYHYEDIGDDDRFLESWIDALVRRRVRHLDFQVNSDENELVWMPLSLYSCNTFGQLKPLPRSLELLGTRVNCFPALSQGYAFRQSKLTIIRDSFELLEIIRVRSKSLKSFALVAEDSEVGLLEDHIVEIDAPKLERWLYIGPDDEDDFSKRTMIRNFLTGMSTVSGMKISSNTMEVIHDYSKLELLHQFSNLSWLHASFLEFFWELLPTFLGCCPNLHTLVLSCFVSSLKYVELSTPVTTRTSSQVKLAIYFLRNCAALKKLTLSEGFGDDIIKKIKKIPRRTDEAAMVEKFATDVSNKLNVTLSRDFEEIVGLQAHLRKLISLLCFECDEAKMIGIWGPAGIDDFENVANRVASLCGRLPLGLCVVGSSLRGESKEEWELQLSRIENNLDRKIEDILRVGYDRLSKKDKALFLHIACIFINRSVDDVTSMLADSNMDVKNGLKTLAIKSLVQIHNFFPPHITMHSLLQLLGRQVVHEQSNEPGKRQFLVEAEEIRHVLANETVYHLMGEFSISGRAFEGMRNLLFLKIYNSDYWNLKRKNVRLRIAEGMEYLPHLRLLHWDSYPRKRLPPTFQPQCLVELCMKFSNLEKLWGGIQLNMMGCEKLRVIPTNINLASLEEVNMYRCSRLRTFPDISRNISYLDVRNTKIEDVPASVAERWLRLEKFHIGSKYLKSSTHVPECVSYLYLSNIDIKKIPDCVIRLRRLHSLVIKKCRKLVSLQGLPPSLFNVDVDDCVSLKSVCFSFYKPKSAYITLDDCKCVKSEKRCDKSIFPFLQPKEEARRVIIQQCDYQSVCLPGKEIPPDFTHRAKGNSITIISSGTFSASSRFKACLLLPPDEYTGRTVCRLISKGVVINELECYSIHHTLLSEHLLVFSGALFKEHICCELEATTSEIRFEFNCKHIIECGVQMLAEEGEIKLASSFHDPNREVRFINCMKLDEEARRAIVQGWAYKYVCLPGKEIPAEFTHKATGNSITITKGTLSASTSFRACILLSPNLQHPSDYRYQITCCLRSKGVLINDLECYPNSRSSSTPNRTPVRISWVPV >A02p023080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11078923:11080995:1 gene:A02p023080.1_BraROA transcript:A02p023080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint protein BUB3.3 [Source:Projected from Arabidopsis thaliana (AT1G69400) UniProtKB/Swiss-Prot;Acc:F4I241] MSGARLEFQNPIEDAISKLKFSPESNNLLIASWDSYLRLYNVESSSLILQLYSRAALLDCCFENESTSYTSGSDGFIQRYDFNAGTVDRIGRHDDIATSVLYSLDKGEVISTGLDKKIKFWDTRLGQSLVLSTDAGAPVRCITVNGNNLVVCVQASMHIYDLRSLDRPFQSYESQVEVPIRCVTSVPFSTGYAVGSVDGQVALDFPNTACSSEMKYTFRCHPKSMKGRLHGACINAIEFTPGGSGTFVTGDNEGYVISWNAKSRRRLFELPKYSNSVASLAFNHTGELLAVASSHTYQEANEKEEPPQVFIHKF >A05p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13855132:13856278:-1 gene:A05p026040.1_BraROA transcript:A05p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEAEPKQKRGWSESLLEFRSGLGEKMKTEPKKRWKSLAPLHLKSKSVARFCFFSKIKSNNYGPGRAPVYLNVYDLTPINGYIYWAGLGIFHSGVEVHGVEYAFGAHDYANSGVFEVEPRQCPGFKFKKSIFIGTTNLNPGQVREFMENMACSYYGNMYHLIVKNCNHFCQDACYKLTGMKIPKWVNRLAEIGSVCSCILPESLKITAVCHDPDEQIPEEENEKRSLTSSFSCLSSISMRQKQLSTSSLFLQSPLRGCLPPFQLKRSKSNSSSLKEM >A09g511710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34807036:34810057:1 gene:A09g511710.1_BraROA transcript:A09g511710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCRWGARKSDVLLGICNRENVGAMEDQCMVMCGDWNFKRVFCGEFGKEEKLWEPVLSYWTPTSFELATGIRTPPVQLTSDGAIKYFVQHMKVKGAMNLFVRFERNVTFSEKDNIDDSGMGFVTPVAFGPKSSSKLGSGTSNGGYVSTGASKSNIVDLQDVEFLSEVERVEEVIKGGSEGGKGEALSQSSVGEEETVVEEVDELDARPRGYDEDFWSPLLKTDYKGSNAVNVIYNEEEIVAGLTKSSGPRRYTCTTNDAFDHVVEVGGSTVVDVDVPEHVKNMVLMPPLTTRPAGRRRKNRIPSTGEFPVAKKTKLVPNKCGRCRCEGHNRTRCTNPI >A08p043770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24330925:24333990:-1 gene:A08p043770.1_BraROA transcript:A08p043770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXL1 [Source:Projected from Arabidopsis thaliana (AT1G08590) UniProtKB/Swiss-Prot;Acc:Q9FRS6] MTITQLFFLFCYITSSLVLSETFQEQEILLAIKSDLFDPSNNLQDWKRPENATESVHCRWTGVHCDQNGFVAKLLLPSMNLSGNISDQIQSFSSLTVLDLSNNAFECSLPKSLSNLTSLKVFDVSVNSFFGTFPYGLGTATGLTHVNASSNNFSGFLPEDLGNATTLEVLDFRGGYFEGSVPSSFKSLKKLKFLGLSGNNLSGKLPKVIGELSSLETIILGYNGFTGEIPEEFGKLRSLQYLDLAVGNLTGPIPSSLGQLKQLTTVYLYQNRLTGKIPREVGNITSLVFLDLSDNQITGEIPREIAELKSLQLLNLMRNQLTGTIPSKIAELPHLEVLELWQNSLAGSLPADLGKSSPLKWLDVSSNKLTGDIPSGLCYYRNLTKLILFNNSFSGQIPEDIFSCPSLVRVRIQKNLISGPIPAGSGDLPMLQHLELAKNNLTGQVPDDITSSKSLSFIDISFNHLSSLPYSIFSSPNLQTFIASHNSFNGNIPNQIQDRPSLSVLDLSFNRFSGQIPERIASFEKLVSLNLKSNDLVGEIPQALAGMHMLAVLDLSNNSLTGNIPPSLGASPTLEMLNVSFNKLTGPVPSNGLFAAINPNDLVGNDNLCGGVLPPCSKSLALSANPGRNRIHLHHAIFGFIVGTAVILSLGIIFLAGRWVYRRWDLYSNFAREYLFCKQPQQEWPWRLVAFQRLSFTAGDILSHIKETNIIGMGAMGIVYKAEVMRRPLLTVAVKKLWRSPSPDIEDNHHHSIQDEDDDDILKEVNLLGNLRHRNIVKILGYIHNEREVMMVYEFMPNGNLGTALHSKDDNKFLLRDWLSRYNVAVGVVQGLNYLHNDCYPPIIHRDIKSNNILLDSSLEARIADFGLAKMMLHKNETVSMVAGSYGYIAPEYGYALKIDEKSDIYSLGVVMLELVTGKMPIDPSFEESIDVVEWIRRKVKKGEGLEEVLDPSVAGECRHVIEEMLLALRIALLCTAKLPRDRPSIRDVMTMLAEAKPRRKSVSHGGDLPVFRNSPVVGLI >A03p043390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18149350:18152487:-1 gene:A03p043390.1_BraROA transcript:A03p043390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTLVVVLFFASGRSIFFVHRLSQLGFPLIVVLSSVFVSRWRILSDKNKSFILLLEDGAVLQEPPDPEVLEVDPTNRYIRYKEVIGKGAFKTVYSYHVLSMFLHRFSVCNLNSEEIRYKAFDEVDGIEVAWNQVRIDDVLQSPNSLERLYSEVRLLKSLKHSNIIRFYNSWIDDKNKTVNIITELFTSGSLRKVQDPEVKQFIETCLLPASERLSAKELLLDPFLQVNGLTMNNPLPLPDIVMPKEGAFGERCLMSEGPPTTRCSRSMSIDLDEDNNLPIVTFSDNSGSRCIEVRRAKRGNFFVLKGEENDEHSVSLILRIVDENGRVRNIHFLFYQEGDTASKVSSEMVEQLELTDQNVTFIAELIDILLVNMIPTWKTDVTVDHLIHSQLNQSSRSHQNAHRQEESAAFHDACESVRHSWNSDCRRSEEEYKQCVDGVKGEDGSGNQEEAEEAVDPVRLENEERLREELEEIEAKYREEMKEIGRRREEAIMETRKRLSQKKTEQVV >A03p038700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16136201:16139728:1 gene:A03p038700.1_BraROA transcript:A03p038700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRSPAGVATVFSTDQKIRVGNIHSLRSSRTVFFGRNVFGGVHSLPPSSSSSSSIKAISTPAKPEAAKPTKRSKVEIIKEKSNFIRYPLNEELLTESPNVNESAVQLIKFHGSYQQYNREERGGRSYSFMLRTKNPSGKVPNQLYLTMDDLADEFGIGTLRLTTRQTFQLHGVLKQNLKTVMSSIIKNMGSTLGACGDLNRNVLAPAAPYAKRDYLFAQETADNIAALLSPQSGFYYDMWVDGEQFMTAEAPEVVEARNDNSHGTNFVDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDIGVVVVSDENGEPQGFNIYVGGGMGRTHRMESTFARIAEPLGYVPKEDILYAVKAIVVTQREHGRRDDRKYSRMKYLLSSWGIEKVRDVVEQYYGKKFEPPRDLPEWEFKSYLGWHEQGDGAWFCGLHVDSGRVGGNMKKRLREVIEKYRLDVRITPNQNIVLCDVKSEWKRSITTVLAQAGLLQPEFVDPLNQTAMACPAFPLCPLAITEAERGIPSILKRVREMFEKVGLEYDESVVVRVTGCPNGCARPYMAEVWLGGTPNQTQIARAFMDKVKIQDLEKVFEPLFYNWKLGRQAKESFGEFTTRMGFEKLKELISAYEGSPQ >A08p042340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23759595:23761357:1 gene:A08p042340.1_BraROA transcript:A08p042340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPATDFFGISKTACKAYKSLVTKLHPLSSHRKSDSNADSANHLEEKFAEEDDLIAARKGLRLQSMDDSSVFKRRSSSLRSNSTSSRRSHTPQARPTYLSSSSSSNRRSFFSRSTSRGHKNNASPMTSSPSPTGKDQTLADLFGDVEGLTSPPPPMITKSRSKRDKKNSGSVAFRKSKSTRDTAGSMGRSISRRSSTPIVFSQSTPPKKPPAVEKMLECTLEELCHGGVKNIKITRDVITDEGLIMKQEETLRVNIKPGWKKGTKITFEGVGNEKPGYLPEDIIFVVEEKRHSLFKSRGDDLEIAVEIPLLKALTGCNLSVPLLSGESMSISVGEVIFHGFEKAIKGQGLPNGKEDGRRGDLIITFLVSFPRELSEEHRSMAYE >A04p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19371544:19374205:-1 gene:A04p033260.1_BraROA transcript:A04p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINQYSSEFYYHSLMWQQQQQHHHQNEVVEEKEALFEKPLTPSDVGKLNRLVIPKQHAERYFPLAAAAVDAVEKGLLLCFEDEEGKPWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVVLFHRHRADGGRFFIGWRRRGDSSSSSDSYRNLQSNSSLQYYPHAGAQAVENQRGNSKTLRLFGVNMECQIDSDWSEPSTPDGFTTCPTNHDQFPIYPEHFPPPYYMDVSFTGDVHQTRSQQG >A02p059820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35657738:35660346:1 gene:A02p059820.1_BraROA transcript:A02p059820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTQINVFEELLVPTKQETTDNYNYNTSINNLSFNGGFDHHQLFSNGWNIDYLCFDNEEEDENTLLYSSSFMDLISQPPPLLLHQTPPLPPPSSSSPPLISSTASTFDDPFLEALQEIIDSSSSSPPVMLPTSQEESFINHSSYPSPLVESDQSKSFSVGYCGGVVETTNKKKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPNISKMDRTSILGDAIDYMKELLDKINKLQDEEQELVVSNSSHHSKLFGDIKDLNTNEPLVRNSPKFEVDRRDQDTRVEILCSPKPGLLLSTVKTLETLGLEIEQCVISCFSDFSLHASCSEVAQQRDFINSEDIKQALFRNAGYGGKCL >A04p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9028266:9028887:-1 gene:A04p007130.1_BraROA transcript:A04p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGGPGTVCGLLLRIGQCASAAASIGVMVSAADFSGRTAFCYLIASMGLQLLWSFALACLDVYALRTKKDLQNPILVSLFVVGDWVTAMLSLAAACSSAGVVVLYAKDLKYCGIHDQLSCLRYEVAVALSFVTWVQIALSSHVTFWILASV >A01p007770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3776212:3778426:1 gene:A01p007770.1_BraROA transcript:A01p007770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLALYCSLIMFLLFFSLPSPVSSENQESKAQTTTTSWRRSLAQHGDSLRVFIRKRGGGGGGRGRSSRRPVPTGGGGGGSSATTRLSLSITFGLGSTVASLMLLIGYTYRINLIFSLPSAMKKCYRVLFLISIFFFISFAFAEELQCCPDKTDYLRPAVGVTSSKRNHGRISEVSKARGVYGGGSLVRPTGKKNRAMSSMTKSASLAVIQVTVAILLQLFLC >A02g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20880522:20883441:1 gene:A02g507410.1_BraROA transcript:A02g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLNILILKFSWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYKVQTTSKKSRRLLRSPDEVQTDDFARRLLGSSDDFQTTLQEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLPGSPDDFVRRLPDDFQTTSRRLTVWCFQVKEIRERLESFRMMNITFLLQPFERRRENV >A05p052230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32352969:32356969:-1 gene:A05p052230.1_BraROA transcript:A05p052230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 1 [Source:Projected from Arabidopsis thaliana (AT3G05740) UniProtKB/Swiss-Prot;Acc:Q9FT74] MAGKRTSTVTGVENQSPKMKGQDLELEKARLLSLATRLGFDEESAKKCLDRFVDLYGDDGRDFITVALCGDDFIAALADFEEGTEEWDDVQALETEAQGTLGEMFNRGNASDNGFETDDGESSVQVHVIEDSPESKNKPDVMELDSSSDFEDDDDDEDTQFKVPRGGSRSHSLRSMEYSMEDSVSTISGRKPSVPTSGKDHETPSYEELQALDDLEFANLVIFGNKVFRPLQHQACRASMEKKDCFVLMPTGGGKSLCYQLPATLKAGVTIVISPLLSLIQDQIVALNLKFGVPATFLNSQQTSSQAAIVLQELRSDNPSCKLLYVTPEKIAGSSSFLETLRCLDRKGLLAGFVVDEAHCVSQWGHDFRPDYRELGCLKQNFPRVPVMALTATATESVCQDVLKSLRIPRAPVLKMSFDRTNLKYEVINKTKEPLKQLQELLKDRFKDQSGIVYCLSKSECVDVAKFLNEKCKVKTVYYHAGMPAKQRVDVQRKWQTGEVRIVCATIAFGMGIDKADVRFVIHNTLSKAIESYYQESGRAGRDGLQAQCICLYQKKDFSRVVCMLRNGQGRNMDRFKSAMAQAKKMQQYCELKTECRRQMLLDYFGESFDRRICKGSLQPVCMHKHNQLLWKLRRMLIGMSYFSTTL >A03p005570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2332163:2332627:-1 gene:A03p005570.1_BraROA transcript:A03p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVRFPIVSILEDMLEVPEEHNEKSRNNPSRAYMRDAKAMAATPADVIEHANAYVFVVDMPGIKGEEIKVQVEDENVLVVSGERQRENKESEGVKYVRMERRMGKFMRKFQLPENADLEKISAVCNDGVLKVTVQKLPPPEPKKPKTIQVQVA >A08g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16335254:16335636:1 gene:A08g508750.1_BraROA transcript:A08g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKHTRLFTQTSLPTVWGEIQTLKLSLDHINRRTSAVSESAPPAIRVVREDQVMKMIHDPQNDAVLFN >A07p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22057572:22064060:1 gene:A07p040830.1_BraROA transcript:A07p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) UniProtKB/Swiss-Prot;Acc:F4HPZ9] MASDSAGATTIAGDFPNDDNAEALNLSSTELYSSAVPSSVPTPSLKLPFSPLSIPQSNRIPKTNFTVDFFRSSSDPPSVAFFLSHFHSDHYSGLSSSWTRGIIFCSHITARLLKQILQVPPQLVFPLPTNQKVIIDGSEVVLIDANHCPGAVQFLFKIKDGSERYIHTGDFRFCDSMRSDPFLSSFVGCDVVFLDTTYCNPKFIFPTQQESVDYVINVIDKIDEESKEMNKKVLFLVATYVVGKEKILTEIAKRCNRKIFVEERKMSILNVLGCGDGGMFTEDKEESDVHVVGWNVLGETWPYFRPNFKKMNEVMVEKGYDKVVGFVPTGWTYEVKRNKFAVKVKDSMEIHLVPYSEHSNYDELREYIKLLRPKRVIPTVGVDVEKMDSREVCKMQKHFSGLVDEMANKKEFLLGFYRQSDKKSEKDGVDVRENDAPGSDSLVTERLLIELRDSLPAWVSEEQMLDLIKKHAGNPVDIVSNFYECEAEFYKQSCIATSSLENQAVLIDDDGIDLQPIPAKGTSSDCQESLKGFALPSKLGLTKGIVSPGKRSKSIGNKSNKKAKKDPKSKPVGPGQSTITKFFDKVLDSGSSSVGVGSETEECNTDEKMVHNDAKETYKEVTDQFIDIVHGSESLREYAASIIDEAKGDINRALDIYYSKPSDVPGEHAGEGGGLSSESNQLTQCPEACSSQENIKTSEKSGHTLNLSEQTSAKEMVDNDYVSLPPEKYKPKEHACWRDGQPTPYIHLVRTFASVEGEKGKIKAMSMLCNMFRSVLALSPEDVLPSVYLCTNKIAADHENIELNIGGSLISAALEEACGISRSTMREMYNRLGDLGDVAQLCRQTQKLLVPPPPLLVRDVFSTLRKISKLLIVFLSVQSGTGSTRQKKNLIVKLMRSCREKEIKFLVRTLARNLRIGAMLRIVLPALGRAIVMNSFWNCHNKEPSENWFKEKLEGVSAAVVEAYNILPSLRAQIHLLLDGTVRIFSRNGDETTSKFPDLVDVIKQFACPAAETFMLDAEVVATDRKNGNQLMSFQELSTRERGSKDALVEVCIFVFDIMFFNGEQLLTLPLRERRKCKPYLFLFFSLNSDASFSSSGSYIPKLLGLKEVFPETRPGFLEYAKEITVGTEEASMNNQDTLCRINAFLGEAFQSSCEGIMVKSLDVDAGYCPTKRSDSWLKVKRDYVDGLGDTLDLVPIGAWHGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDAFYIEMKEFYSEDKILEKKPPYYRTGETPDMWFPAGVVWEIRGADLTVSPVHSAALGLVHPSRGISVRFPRFICKRVDRNPEECSTAADIAEMFHAQTRKMNIKSQH >A07p034690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18820624:18821198:-1 gene:A07p034690.1_BraROA transcript:A07p034690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLNLGWCENISDDGVMSLAYGCPDLRTLDLCGCVLITDESVVALANKCVHLRSLGLYYCRNITDRAMYSLAQSGVKNKHEMWRSVKKGKFDEEGLRSLNISQCTYLTPSAVQAVCDTFPALHTCSGRHSLVMSGCLNLTSVHCACILQAHRTHTAYPHPAH >A03p060530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26217780:26218659:1 gene:A03p060530.1_BraROA transcript:A03p060530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYQNPNPRGYQGHMPFGSAGHGGSGGSDIPQGTVDNKQKKKLLHRDIERQRRQEMATLFASLRDHLPLQYIKGKRAVSEHVGGAVNFIKDTETRIKELSARRDELIRETCYTSNPDLARTASELGNSVPASVMVQPCVSGFEVAVSSDSSGPQALPLSRVLEALQELGLEVISSLTTRVNERLIYTIRVEVNSFGCLDFAWLQQKLVEELIPSTGY >A01p014190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6906336:6907121:1 gene:A01p014190.1_BraROA transcript:A01p014190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFQLSNPLETRMNEATRIREKYPDRVPVIVEKAGQSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKDTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGSFIVA >A05g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14517528:14519872:1 gene:A05g505260.1_BraROA transcript:A05g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELDYPKIRNQEEPDLLIKLEKLEEQPLLIMRGGMDLNQGSRSITKRIQVSMIRRRHRK >A07g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23381414:23397845:1 gene:A07g508480.1_BraROA transcript:A07g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFSEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQESVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19378670:19380583:1 gene:A03p046040.1_BraROA transcript:A03p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKKMTVLKAKRQDMKACFSCPICNNLYDRATTISECLHTYIKNLFFYLYLGNTVCKRCIEDKLVVENLKACPVCNVDLGVAPLDKLRSDNMWDELRIKVFKQKPKSVKAAAATIETASASLTYSRKKKTVTSPRASNSPEPTPDGALESEKLEEEMEAVSLNQSSCALVSNFRRRVRKNLAPKRNENPLEPQQLHVESDNGFEIVLNFEQDNGLAGALTSNGCIQKVPVSSDDLGKPLSNGKESNGTALNVETVITTNGNTEEVTGEANENNVLVSSENVPKEVSGEKPKSNGVEKQENVSTISAGKKGKGKAYAQRVLRPRKQGNMSSDGASEAAVSKEAEDKVEGSNKKVWLSLIAAANQNTERPLLPQISNAYIRTDGNLTVSYVKKYLANKLGLQSEDQVEIWLRQEPVCSTQKLHNLVDWWVQSTPVAERKSAMVGSSGAEFVMVLHYSGSHLCE >A08p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21554194:21556894:1 gene:A08p036840.1_BraROA transcript:A08p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.15 [Source:Projected from Arabidopsis thaliana (AT1G22570) UniProtKB/Swiss-Prot;Acc:Q9SK99] MKKPEEEASLLEDYVSDSVDHRGSPAAKSSTGGWRSAWFIIGVEVAERFAYFGIACNLINYLTGPLGQSTAAAAVNVNTWSGTASMLPILGAFVADAYLGRYRTILAASLIYILGLGLLTLSASFILTGESEQRVNVSAKPSVSVNILFFCSLYLVAIGQGGHKPCVQAFGADQFDSGDPKEVISRGSFFNWWFLSLSAGISLSIIVVAYVQDNVSWAYGFGIPCLFMVMALVMFLLGNKSYRYPKGNREESSNAFARIGRVFFVAFKNRKLSLEGSGLGQGLLEDGPSEKHSGRLQFLAKAMIAREDGAEPCSGRDVEDAKALVRLIPIWITSVVSTIPYAQFMTFFTKQGVTVDRRILPGLEIPAASLLSFIGVSILISVPFYEHVFLPLARMITKKPFGITMLQRIGVGMVLSSFNMVLAALVETKRLNIAREHGLVDKPDVTVPMSIWWFAPQYLLLGMIDVFSLVGTQEFFYDQVPTELRSIGLSLSLSAMGLSSFLSGLLITVIDWATGRNGGENWFNTNLNRAHVDYFYLLLAAFTAIAFIAFLFISKLYVYRRVDQ >A04p023280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14100191:14102709:1 gene:A04p023280.1_BraROA transcript:A04p023280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEFVSPILFFFSFSCLLLVSSGLNSDGVLLMSFKYSVLLDPLSLLQSWSYDHDNPCSWRGVLCNNDFRVVTLSLPNSKLAGSIPSDLGFLQNLQSLDLSNNSLNGSLPVEFFAAGELRFLDLSNNLFSGEIPATVGDMHNLQTLNLSDNILAGKLPANLASLGSLTEVSLKNNYFSGELPGGWRSVQFLDISSNLINGSLPPDFSGDSLRYLNVSYNQISGEVPPDVGDGFPRNATVDFSFNNLTGSIPDSPVFLNQKSISFSGNPGLCGAPTRNPCPIPSSPAAVTPTSTPALAAIPKTFGSNPESDSVGPENNKSNNRTGLRPGVIIGIIVGDIAGIGILALVFFYVYRYKKKNNMQKNTHSLEANEVKDTTSLSPSSSTTTSSSSPEQSNRFVKWSCLRKSQETDETEEEDEEEEHQGPGEAKKGTLVTIDGGEKELDVETLLKASAYILGATGSSIMYKTVLEDGTVLAVRRLGENGMSQQRRFKDFEAHVRAIGKLVHPNLVRLRGFYWGTDEKLVIYDFVPNGSLVNARYRKGGSSPCHLPWETRLKIAKGLARGLAYLHEKKHVHGHLKPSNILLGQDMEPKIGDFGLERLLAGDTSYNRASGSSRIFSSKRSASASTREFGPTPSPSPSSVGPVSPYCAPESLRNLKPNPKWDVFGFGVILLELLTGKIVSVDEVGIGNGLTVEDGNRALIMADVAIRSELEGKEDLLLGLFKLGYSCASQVPQKRPTMKEALVVFERFPMSSSAKSPSYRYGHY >A02g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13511053:13511296:-1 gene:A02g504090.1_BraROA transcript:A02g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQMRKLNFKKVAFMSPYHRISMLQDIMESSNSYDFSFCYVPRNRVTSVDELAKNVKM >A09g510790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33172654:33176472:1 gene:A09g510790.1_BraROA transcript:A09g510790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAFSVYSAVACVFLLLSPALASESDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRKTENSIHKWGGLGEVLGGNELIDSQIDIKFLKNVDRSVICQLELDEAKVKHFKDAIENSYWFELFMGFVGELPPGKKSENGKHALYTHKSINVKYNKDQIIHVNLTQDNLIPLEAGRTVELTYSVNWIPTDVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPSSLVLLSAVVGTGAQLALLVLLVILMAIIGTLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRSGGKHWIKCMILTASLFPFLCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILLVVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMFGFFQASFYFGYTLMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A02g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20509782:20511277:1 gene:A02g507230.1_BraROA transcript:A02g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGEVIQQIHKSAYELLEQQVQFNSGNEFPGELLALECREFVFIVNKPETSKNHTPSTFKETDIPEIGPHFSNFTETTMNLRESTLAIEDCVPQLSSKKLKGDVSLLAEEDGQLSSTKSKPKVAHVTKDDCGKPSSTKQKSIILAMSKMKLAFTPVAQLKLYSENEI >A09p045670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40028392:40033797:1 gene:A09p045670.1_BraROA transcript:A09p045670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGDHEEGEEEMMVAATKPAWLEGLMSETFFSSCGIHGSRRKSEKNVFCLLCCLSVCPHCLLSHRSHPLLQVRRYVYHDVVRLSDLEKLIDCSYIQPYTNNGARVIFINQRPQSRVKVSSNVCFTCDRILQESFHFCSLSCKVDYLVYQGDDLSSILYRIDESDFTFLSLRMDGHDQLGEVSTMEDETDDIVVISDQTEQGNNSNKEKRKKKKESNYLPGMVLSVGSRRKGAPHRAPFS >A01p009500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4580939:4582279:1 gene:A01p009500.1_BraROA transcript:A01p009500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNGLELSLGLSCGGSAKGNAGSSSEKHIVEGGDRSAKVIDDFKNFLHPTSQRPAEPSSGSQSQRSDSGQQQPPQNFFNDLSKAPTADAEASTKPLWVEDETTRQEAGNKRKFGFQGMNDEKKKKEKDSSHVDKQEKKTKASHVSNATDEGSTAENEDVAESEVGGGGSSSNLAKQVARPTADTNVVDNLAGQRKNSHGAEEFTTVRNTSYNTKPFTAHPQNVITGLPYSLPAKESGQHAAATSLTQPTANAGNNLPVMFGYSPVQLPMLDKDGSGGIVSLSQSPFAGRVPSNSEATAKGEGKQPVAEEDSSEDASERLAADNNNISNAFSFDFSAIKPGMAADVKFGGSGARPNLPWVSTTGSGPHGRTISGVTYRYNANQIKIVCACHGSHMSPEEFVRHASEEYASPESFIGMTAASAHT >A01g510470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28767694:28772463:-1 gene:A01g510470.1_BraROA transcript:A01g510470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAVVAPTSFDDLRLGRSAQFVVARLLRFWDSRNIKKQGEFMGITLLFLDQQNSVIHGFIPAARSGHYRPGLRSGSIVKISRFEVARCTNMYKITDNPFVIRFLPQTTIDEVLVNAPIINLQKFMLRKFEHLQALANTNLELPDVVGMIRSVQGSDLKDAAVMTRVVVRFVIEPNVVVYLSLWDEAAATFRGLISSGERAQSVMVVTTVNPKIFGGNLYLNSTPATKFYFDVNIPAITQFTASLGGPVGEAFPCIDTKESIKKKEHVSIRDLNKFISNSDEQTQEAEFICKARVLEVLQQNGWSFVSCTGCSRKLDQSGNSLRCNRCVNANVTGVIKYRVELSVDDGNDNATFVVFDREMLSLIKKDAATLTVEQMNGGGGEQLPQCLGELGGKEFVFQIRVTPFNFTPNHRTFTVCGISDHIEPETFNTKEASIVGGESGETSASAGASVEGEAYDPNPTGGQVKDGNRKRPRDG >A02p001590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:703109:704497:-1 gene:A02p001590.1_BraROA transcript:A02p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 19 [Source:Projected from Arabidopsis thaliana (AT5G04530) UniProtKB/Swiss-Prot;Acc:Q9LZ72] MELFSLPSLFLLSTLFVFYISKFLNKRSQRNCYMLHYECFKGKDERKLDTETCAKVVERNKHLGLEEYRFLLRTMASSGIGEETYGPINVLQGREASPTLLDAYSEMDEIMFETLDKLFHKTKGFVSPSDIDILVVNVSLFAPSPSLTSRVINRYKMREDIKSFNLSGLGCSASVISIDIVQRIFETRENAFALVVSTETMGPHWYCGKDRSMMLSNCLFRAGGSSVLLTNAARFKNRALMKLVTVARAHVGAEDEAYSCCMQMEDKDGHPGFLLTKYLKKAASRALTKNLQVLLPRVLPVKELIRYAIVRAIKRRTTTKRESTSSGIGLDLKTGLQHFCIHPGGRAIIEGVGTSLGLTEFDIEPSRMALHRFGNTSSGGLWYVLGYMEAKKRLKKGDKILMMSMGAGFESNNCVWEVLKNLDGKNVWEDSMDRYPELSKIPNPFVEKYDWINDDTMSFIRV >A08p011180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:16620:29471:-1 gene:A08p011180.1_BraROA transcript:A08p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKDNGNIHGYSFGASMIARTIEMTPKMAEIARIDKAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEEKQEVESESQSGGNEQGEPTGLREEAQENKMGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLQKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGNATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEWCSIK >A05p031530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18414712:18415758:1 gene:A05p031530.1_BraROA transcript:A05p031530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREEFVYLAKLAEQAERYEEMVEFMEKVAEGVELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVTTIRDYRSKIESELSKICDGILKLLDTRLIPASSANGDSKVFYLKMKGDYHRYLAEFKTAQDRKDAAEHTLTAYKAAQDIATSELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDEGTEEIKEAAATKPAEEEKET >A02p048360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30064386:30065900:-1 gene:A02p048360.1_BraROA transcript:A02p048360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFWSKKEIQAWEEKDDATLWSLRGLIGLCAIKVAVRLCRPRHCVLDRFKILVSANDHKVKITRNKAYTGLPKTELSWKQVIESLHIACRVGDLELLSTLISTGANINGTDSDSMTALHIASSKGKVELCEYLIQKGAIIDVLDKMGQTPLMHAVKSKEPKVVKMLVSADVSMINNKDEEGWTALHFAASNGCLEITKILKTYGATLEITDKDGYTPSEIAARRGKMEVYDYLVEKV >A03p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3477241:3481919:1 gene:A03p008620.1_BraROA transcript:A03p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMTPISCPTTPRWNQDRPFLTGRFHQETRASSRFDDSKRFTPDSSSNSGVEQAIGCYDTPVQELIVIDDLLSAMVGIEGRYISIKRVHGKEESIAFQVDPSMDLALQASSTLELAKRIFPLCECFLLIDQFVESSSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSLQGLWFYCQPMMGSMRALAAVIHQASAKHFVGSAVLNLLQSQAKAMAGDNSVRSLLEKMTECASNAYLSILERWVYEGIIDDPYGEFFIAENRSLKKESLSQDSTAKYWSQRYSLKETIPGFLANIAATILTTGKYLNVMRECGHNVQVPISERSKLTIFGSNHHYLECIKAAHEFASKELVSLIKDKYDLIGRLRSIKHYLLLDQGDFLVHFMDIARDELNKKVHEISVEKLQSLLDLALRTTAAAADPRHEDLTCCVDRASLLTTLGMHKDLDSNSIEDPVSITGLETFSLSYKVQWPLSIVISKKALSKYQLIFRSLFHCKHVERQLCGAWQIHQGIRSMNSNGTAIRRSSLLCRSMLKFISSLLHYLTFEASRELSFSCVLEPNWHVMHDRLQSTKSVDEVIQHHDFFLDKCLRGCLLLLPDVLKKMEKLKSVCLQYAAATQWLISSSIDINSQSHSQKTMIRDATVTESIFNFEREFNSELQSLGPVLSKGSQAEPYLTHLSQWILGVSKE >A09p072050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55391322:55395282:1 gene:A09p072050.1_BraROA transcript:A09p072050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAKNVPFQTSFVLSTHCPCPPSGQNRGSMPPPRRSSLPPLPPKRPTTARRHQQVGLQNFNPGPRLLPPQSPVFRSWTPLSSPAPSSSFQYFQPAPPVAYHNAALPPRPPTTSVSARGSAYSGNDSAVFPSMDAMKMIYPEPIEMPVGVNYSKRGHGEPAGFMDLDEIFDFSTKDDDVARIGSGSSENNKRNAGVKKRAAPSRKPRRNSVSPSVDCNNNWKSSVAIEKGMFTESQMNEIARCKILQKYVVTDPKYAKRTLSNRAAARRSVQRSAQHILNLEEKVQTLEKEGATLTVHTSFAEKTKLGLEEENMKLRIRYQGLQDQFKRANDKMQRPNSSSSSSLNPSPIRFPVPFTGNRVGTPPPPPIPPTFQPKHSLPAAAVEERTGAVFSPSLPPSPFTMHHSPSRVVAGGSGNLPPRNSHRRSNSDHTFVFSSMSPPLIPSKSLERSVSSCGEASDWSKLVKEEERRTFSEGYDDALRAYMRLDKLDAERNRGRTRKMTNGGSTGGDSEGESNVKRRAGGDIAPTSGHYRSVSLDSCCFREDDYSVRFGKYEFSAGDMKKIAADETLAGIVMADPKRVKRMLANRASAARSKERETQYIAKLEHKVQTLQIETTTLSAHLTYLQRDNMGLQNQNSELKFRLQSMEQQTQLRNALSEKLTEEFQRLSLVIGVPNRSESNISNASLTPEMFQQQLRISQLQHQHYNE >A09g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13531324:13532576:-1 gene:A09g504380.1_BraROA transcript:A09g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQYVCLVTDSKSIRISGLKGVHGLGFIGPKIKKKSFNGFKSVIRLLGVSLYDTDSSHASNTHWPHVIASNARKIGLRAISRATRQPEIFSIESTPESLVDDLRSVSTTLTMDPAEERRETKRQKEFINMQGYMADSEYGIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEHIERLTKRLEEVELVINWVPEVNNQIERLEAEVKALNREVDNLTGQVYNLSVQVADLEKLCFD >A10p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22571160:22572966:1 gene:A10p041110.1_BraROA transcript:A10p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAEERLVCKLKVGMRVGRFKLKLHLRNRLMSSSWKLHRLSFVVRFRKHHLRIDSESKPECGRKSRFGGFIRSLGVLWRRRVEEDVGGSKKKMDEQGIWNGSLGIYALSSNDIKVGTSIEVDGAPWRVLEFLHVKPGKGAAFVRTKIRNYVNGSTVERTFRAGITIEEANVSKETKQFTYKDGSQFVFMDLSSYEETRLNEADMGDKTKWLKEGMDCNLLYWKDKVIDFELPITVQLKIVDVDPGLRGDTAQGGTKPATLETGAVVNVPLFVNVGEDIMVDTRTGTYMSRV >A02p052840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32257161:32262556:1 gene:A02p052840.1_BraROA transcript:A02p052840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKNLLIVDDVDDLKVLKTLMDQTSLVGSGSRIVVITQDKRLLESQNINHIYEVELPSYDLAMQMFCRSAFGENSPSYGFKELARQVILHSSNLPLGLSVLGLTLKGMKKEAWVEMWPRLLDSLDGEIKNTLKVSYDRLDVKDRELFLCIACLSDGHNVNFLKDLLGDSAEIGLKILNDKSLIRSESTGFVQMHSLLQKLGKEIDRVQPINSRRFLTEAKDIRDVLAVKTMSTKNAVTMDLNMSKINESLIIDDNLFQGMPNLKLLNFYKPWWVETGKGILYLPDGGLHHFPSTLRFLQWDGYPSKCMPLNLSTASLVELRMKYSKLEKLWEGTQLLGSLKEMDMSYSEDLKEIPDLLKDINVRSLNLCGCKSLVTLPSSIGDLNKLYQLLLFGCKSLVTLPSSIGNLSELYELDLSVCSSLAALPSSIGNLRELNKLDLRDCSSLVALPSSIRNLCELYQLKLSGCTSLASLPFSIGNLSKLYQLKLSGCTSLETLPSSIGNLSKLFELDMSNCSKLFPHDIAIEEIPSWIKNMSRLWKLKMRGCKNLKNISAEIFKLEHLTADFSDCGGITTICDHLPGPHDDFFDISSCSFKFYNCFSLNRDAQEIIIQSHSKAAVIPGEEVPMSFTHRARGSSLRILLLMTVPTSQENYNSLYIQPALNSGLVAPNLDLSLSLGLAGEFGGEENDEESNRTKKKMRTTALTSQEHLNSFSIQTAVNSEPVAPNLELAGASGEISSRSKVPSPSRPMIIEQQNIGTSDEDPSFSPQLIGFFT >A01p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4661781:4662583:1 gene:A01p009610.1_BraROA transcript:A01p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSASSAFVLTSNVTASAGVSSSRNSVSFLPMRNAGSRLVVRAAEDAAPETSSSEGAPATAVAPAAAAATKPKPPPIGPKRESKVKILRRESYWFKNVGSVVAVDQDPKTRYPVVVRFAKVNYANISTNNYALDEIEELKA >A10p018850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13061495:13063446:-1 gene:A10p018850.1_BraROA transcript:A10p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMREITISYILPILLLLLAVETHAHNVTRLLASHPSFSSFNHFLTQTHLAGEINRRTTITVLAVDNAAMSALTSKGYPISTIKNILSLHVLLDYFGAKKIHQIRDGSALAATLFQATGAAPGTTGFVNITDLRGGKVGLGPDGGDLSSFFVKSVEEVPYNISIIQISKVLPSETASAPTPAPAEMNLTGIMSAHGCKVFAETLLANPGASKTYQESVEGGMTVFCPGDDAMKGFLPKYKNLTAPKKEAFLDFLAVPTYYSMAMLKSNNGPMNTLATDGADKFELTVQNDGEKVTLRTRINTVQIVDTIIDEQPLAIYATDKVLLPKELFKASAVEAPAPAPAPEDGDVADSPKPAKGKGKGKKKKAAPSPDDSFGDSDSPAEGPDGDADDATADEASAVRIVGGATAGLVVSVFCLFASSSLL >A02p007170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3023564:3029531:-1 gene:A02p007170.1_BraROA transcript:A02p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERSSLCNFVVNFLMEENYLLTAFELLHELLDDGRDAQTIRLKEFFSDPSRFPPDQISRYNSIRVADPQSLLEEKEALSEKVAISEYELRLAQEDIARLKAEGQKKSDCSIDKLKELEADEFGDNRPEIQRKKKDFSFTDIGPLKNNERRDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDVWQDSPACVPDALRYYYYQYLSSTSEAAEEKIAMLQENESLKKEIERLNKEKDGLLKSKEIFEEQISAFNKSTESLQKDLRDREKQVQSLKQSLEHQRRNLNDCRAEITSLKMHIEGSRAGQYVSASESNAVQSQSVENVEKQKSALPVEVEKPTIEKDGGLISESSISNEKGHTQTEDGLVKEEIKNIVPDQREVAAEASSISNKSLDSTLENQKEVSNHLLSPSNGNYSPSDLESILKLDSGIGRSKSENAIVDTASEETGLGTIQILADALPNIVPYVLINHREELLPLMMCAIERHPDSNTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLSRNVGDMRTETELLPQCWEQINHTYEERRLLVAQSCGELAEYVRPEIRDSLILSIIQQLIEDSATVVREAAAHNLALLLPLFLNTDKYFKVEEMMFQLICDPSGLVVETTLKELLPAVIKWGNRLDHILRVLLSHTLSSAQHCPPLSGVEGSLESHLRVLGERERWNIDVLLRMLMELLPAVHQKAMETCPFSSIPKSEESAVSVSLLETYAEGRSEWPMFEWMHVDCFANLLQLACMLPQKEDHLRNRITKFLLAVSERFGSSYLTHIELPVFLVAVGDDAADLRFLPSAIHPRIKGLKPRTAVANRLATLCILPLLLAGVLGAPSKREELTIFLRQLLVESKTKENQSSKHNNEVLDAVRFLCTFEEHHNMIFGILWEMVVDSTAELKINAAKLLKTIVPYIDAKVASSNVLPALITLGSDQNLNVKYASIDAFGSVAQHFKVDMIVDKILVQMDAFLEDGSHEAIIAVVRALLVAIPHTTERLRDYLLSKIFQLSASPSSSTDVTRRRERANAFCEAIRALDATDLSQTSVREYLIPAIQNLLKDPDALDPAHKEALEITMKERSGGTLEAFSKAMGAHLGIASSVTSLFGEGGLLGKKEATETTPVAPPSPTLQGPDSPKAVAAAPIEDNRFKRIMRGNFTEMLRSKPKNPDETPPQNH >A09p016630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8719795:8720159:1 gene:A09p016630.1_BraROA transcript:A09p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTQVFLLLFRLMLLICLVFQVRVTEARFRHLGEERIGAIPSIPCGAAPRRSEVTGIMNRLCRRTRISRPPGVNK >A03p054590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23468262:23468959:-1 gene:A03p054590.1_BraROA transcript:A03p054590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSDLELLMMHNRTYCAEIAHNVSTKKRKAIVERASQLDIVVTNRLARLRSQEDE >A10g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17537641:17538149:1 gene:A10g506260.1_BraROA transcript:A10g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRCSIDGSRFLDFESGKPMLLMQASPTLFSGKAGFSGSVSRRLTTLRCGGSAPCAVGFDCHPFPQGMRSRCSSMEALRERSSAVGGGGGFGVSGETSVVDRRVFRCPGEAPFGGLRW >A06g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:385609:386146:-1 gene:A06g500060.1_BraROA transcript:A06g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWITESKPLSIKCIGQKHRNQSTYNHHSKGDYAMRGKKTKDEVQIYTWKDANLSELTYFVCLYTMYVPIYCKHSKVKPWFCSRNERSHGSC >A01g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20431857:20432683:-1 gene:A01g506990.1_BraROA transcript:A01g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTASVLCYLIIHPYTSSFLSSCFPSVLSSQHDQILNRIPLFLSHSANHPHMTQVNKERRLGLQPAILNRHQYSPRPSSNTSLGPVMIDPSTLGSIPWPYRRLPLETIKQSAEPTESVASGLAGHQAGSARLSHRSPVPSLDILVAIHSCRDQNQSHANKEDSRPPKRLERSSGLISLFGSVCLSLSVPFPPFSPSSIISTQSDLMF >A08p017990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12502033:12502507:1 gene:A08p017990.1_BraROA transcript:A08p017990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLNLEVEQLREEFKDLKTTLNQQQDDVSASLKTPGLRDDSKDSKEQMVTEERVEARLTDENAKEAEH >A03p043810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18338380:18339524:1 gene:A03p043810.1_BraROA transcript:A03p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYSDSRPYGVWNQTQMVDSMNNDQSESQTMPQLKRPRLVDDNNTSWNVQSSNAPPVNKGTANIFYKTRMCVKFKSGACRNGELCNFAHGMEDLRQPPSNWQEIVGPPVQDREKERERERLSSVSGGNNSTNNGNWEDDQKIILRMKLCRKFCFGEECPYGDRCNFIHEDLSKFREENGKLRESLAISVVDPLSVENGVVAFSQQVEVNRQGGVSVPVPSPLNNGVGGVKTVFWKTRLCGKFEKGQCPFGDNCHFAHGQAELLQHSVGRVEGEALNAVAASVSKPMAVPANEAFAMKPTAQVTADSSGLNDEGRRKKCLLKWSDSKKINRIYGDWIDDLPVGQKSTKPVES >A01p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16525274:16528268:1 gene:A01p036300.1_BraROA transcript:A01p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRSEFADGVAARSLSEISELDAVRIGTDIVSAARRLIVLLRSIGDCQWLHHPPVISEAIRRYDELWMPLISDLTVGLKPPIILPPLDVEWVWFCHSLNPVSYRDYCQKRFSKLIGKPAIFDEENEDYAVSQCERIWIRRYPDESFENRVEADSPEIASSANEDIKTEVEKQRFLWEKFSAPYMSETVYLIAARLRYKGFLLILHKFKDEISRLAPASDILLMWLTHQSYPTIYTEDVGEMLEEMMRKVVRNGEAVEKIEVETTKKLWNRYFNQPYEKAGGELSVIANQSPLRNNTMFYWPVSDIDVNTAYKSIRPRFVLELCIFIRLNPKAEQNESSFLRLRVARCHRKLQLDKKLTDLSRDGSWQKGWHIYCEFGTQGVVLESHCDRSRRGVCLRKRKPEEMIAFLWNDLLRAHSLASGRFLGKQVSVFASVTPPVQAPYLLRFVPDRVTDDSGAMISDSTQRTNNFRPQEGRWLTRTVLDHAGRECFVIRIRVGKGVFKRGGEVPSPVKSEERITEIRVGSWSYVEGSIGKAPVKVVGTVTPKEPVEDWDAAWEFSSGDELFIRWDSSGSISELGLRSSKPGSLVRLLTGRRMQYKGDSEEDDQGFVTIVRSTEEDPTEKATALIDWKHQAVEFLPEEDAVLVLLLSVSILRSVTQKRREDVGKLLVRKRITEATGERDWGSVIVDASSSNVSSSSSPYLEPWYRNSGKVMAMEEKAQVARYPYPVMSYSNVDGGDSLYKHVIFG >A07g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26547463:26548556:1 gene:A07g509100.1_BraROA transcript:A07g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTCIDDYVSIPEKEISFRDALRAAPPPTAAGVACSMEEGAAGKETAPVAPIGRQEMPSAALMVRLILTVVGRKLSRNPNTYSSIIGLFMALQPKMITCGVKKATVGMLIRFILGPAFMAAASVIVGLKGSRLHAAIVQAALPQGIVPFVFAREYGLHPDLLSTLVIFGMIVSLPVTILYYVLLGL >A09p061160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50496447:50501108:-1 gene:A09p061160.1_BraROA transcript:A09p061160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit beta [Source:Projected from Arabidopsis thaliana (AT3G55480) UniProtKB/TrEMBL;Acc:F4IWW1] MFNQFGSTAETLSKASAAVLRIGTDAHLYDDPEDVNIAPLLDSKFESEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSSEVKKLVYLYLLHYAEKRPNEALLSINYFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLALAAVGKCARDPAVYVRKCAANALPKLHDLRLEEHAPAIEELVGILLNDHSPGVVGAAAAAFTSICPNNFSLIGKNYKKLCQILPDVEEWGQILLIGTLLRYVVARHGLVRESLMLSLHGLESNGFYEKDGLVRDKGDGDKSDSFDANLVSLVSKSYIEGPDEYLSRSNGVDTVAASFDSKETTSIGDNEDVKILLQCTSPLLWSNNSAVVLAAAGVQWIMAPLEDVKKIVKPLLFLLRSSTASKYVVLCNILVFAKAVPSLFAPHFEDFFICSSDAYQVKAHKLEMLSLIATTSSISSILREFEDYIKDPDRRFAADTVAAIGLCAKRLPEIPTACLNGLLALVRQESFAGDLELVDGEAGVLVQAVMSIQTIIERDPLSHEKVIIQLFRSLDSVKVAAARATIIWMVGVYCSLGHIIPKMLTTITKYLAWSFKSEASETKLQILNTAAKVLISAEVEDFQMLKKIVLYVLELGECDLNYDVRDRTRFLKKMLSYKLACHEPAEDSVASQENIAEHVVEHVFGRKLKPFSPLNLHNRFYLPGSLSQIVLHAAPGYEPLPKPCSFVFEEHDQLSDSDRQREAMSGLNGSQESSETVDEDGSSEYDSESYNGSDLSSDGDERNDATDSADPLIQFSDIAVSTDQEELRSKRALDLWLDEESSTSNQTPSALDRNQSSYAKISIGDIGSRVKPKSYTLLDPGNGNGVKIDYTFLSEVSTVSPLHVCVEVLFQNSSTEPIVEVSLEDEEAMNVSDSAEQTLVGKANASYNNVPTLIPMEELSCLEPGQSAKRLIQVRFHHHLLPMRLSLHYNGKKVPVKLRPDLGYLVKPFSITIEEFLATESRLPGMFEYSRRCTFTDHIKDTRMENGKDKFLTICESITLKVLSNSNLHLVSVDLPVASTLEDATGLRLRFSSKILSSEIPLLITITVQGKCNEDLNLTVKINCEETVFGLNLLNRIANFMVETSSSAI >A05p005030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1958632:1962950:-1 gene:A05p005030.1_BraROA transcript:A05p005030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FPA [Source:Projected from Arabidopsis thaliana (AT2G43410) UniProtKB/Swiss-Prot;Acc:Q8LPQ9] MAAAMKPFRGRESDDSGFTSNNLWVGSITMDTTESDLTELFGRFGDIDRITAYSSRGFAFIYYRHVEEAVAAKEALQGTNLNGGLLKIQYARPAKPCKSLWVGGISSSVSKDDLEEEFSKFGKIEDLRFLRERKTAFIDYYDIDAALQARNMNGQRMGGSYLRVDFLRSQAPRKEQWAGSYDNRNGNVMNHKPQYPHSHEDPRGDDQPSKVLWIGYPPSVQIDEQMLHNAMILFGEIERKKSYPSRHFSLVEFRSVDEARQAKEGLQGRLFKDPRITIMYSNDEIPPEQDEAGFYSGVKRSRPGMFINDPSFAEPFRGSNERSYNVSDYNDVVGMEPNWRRPSPNGTGILPSPAGHGILPSPGQGVRNHPMRSNPGSWEGYDPALLDRENKRTRRDGSVDGFTPMGVVDERSFGRGSVAARPPIRGYGDSDYIWRGMIAKGGTPVCCARCVPIGKGIETKLPEVVNCSARTGLDMLAKHYTEAIGFEIVYFLPDSEEDFASYTEFLRYLGSKDRAGVAKLDDGTTLFLVPPSDFLTDVLKVTGPERLYGVVLKLPPPAAPVAASYRQESQSNHLSYMDQSRDSPANTGHSFYPPRGAAAPEQSRPSVSEPLRLPNNAASQAGVSLTPELLATLASFLPATSSQSAAPESHQTMSVASTVPHYNGEAPSSQAWNRDPQNYGNQYNPAGQLPPPPPPRYAPASNNSNPNYSSGMVHGNMQYQGQSVNMPQMTHNNYAMYNQGSSNHPVSQPMTQQYQPEGSVPSQNYGPVPGYQQGNYHGVATNQAHNLNPSQYQAVMQPPPADMSNLEPQSQAPQAGQGATDGEKDERYQKTLQFAASLLQQIKQNQQQPPSGSPAGQRP >A10p007910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:11069510:11070124:-1 gene:A10p007910.1_BraROA transcript:A10p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNQHSPFITHHPPPQIPFSGGASFFPLPPRADASAAMDDHYWCESPSPRPRVVPTTADAQVAVLTEDNERLRRTNTVLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSFLQKQQQPPPPPPPIDYYNNATVPNHSPPTSQSSITLLEDDTNPESITRKTKLFGVSLASSKKRSHHFSDQSSKTRLVLDKSDLGLNLMTASTR >A05p004350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1687235:1688101:1 gene:A05p004350.1_BraROA transcript:A05p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKPEVIKFLSKGTYGSVDLVKYIRSDGSSPLYAAVKTTDCENLYYLQREALILSKLKGCRSIVQCYNNYNLEEDLDDNGWRIFKMVMEYAPEGSLATFMDSYKDSKLPETMIKDFTRMLLQGLVYVHNLGYVHCDLKPENLLIFPCGQSYELKISDFGSSTEVGEVADTWESNPPFVGSPIYMSPESVYDGVAEKALDLWSVGCIVLEMYAGEPWREVEFNDLASVLLSGEAPEIPESVPSDAKDFIEMCFARNPESRGSALSLLLHRFLSEDMYGLRRLFGSLDG >A09g511420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34277753:34280566:1 gene:A09g511420.1_BraROA transcript:A09g511420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTANSDNGRGNENGDAGEGQDLILHFLDKVRLSRGDAMEESEGEESPTELNTINSAGGFLIVSPDKLSVKYTNTNLHGHDVGVVQANKPAPFKCLSYYFEIFVKDAGVKGQIAIGFTKESFKMRRQPGWEVNSCGYHGDDGYLYRGKGIGEAFGPTYTTGDTVGGGINYGSQEFFFTKNGALVGKIPKDIKGHLFPTVAVHSQNEEVSVNFGKTKFAFDVKGYEASERNKQQMAIDKISIPPNIGYALVKTYLLHYGYEETLNAFNVATETTVPPIHIAQENAIDEDDSSYALHQRKTIRQLVRDGEINAALARLREWYPQIVQDEKSVVCFLLHCQKFIELVRVGKLEEGVKYGRLELAKFVGLTGFQDIVEDCFALLVYRKPEESSLGYFLEDSQRELVADAVNAAILSTNPNKKDEQRSCHLHSHLEVLLRQLTVCCLERRSLNGNQGETFRLHHVLNNNSTRR >A06p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26622217:26628009:1 gene:A06p029570.1_BraROA transcript:A06p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYNHYGICPSYPYFLSQPPVALIYHIFGFFLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAAIARQEQQAQTLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNNQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETAPGAEERAEQSASSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIVDNLGIGDVERSQLTLTFANSSRALKVVPKKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGTNGRDFLGNYNHYGICPSYPYFLSQPPVALIYHIFGFFLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLH >A08g507000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12093545:12093913:1 gene:A08g507000.1_BraROA transcript:A08g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTAPRVVLPRVRLVLTSLPVRAGTASRVAQPAWSSSFLLLDISTCRRRHHSVAVLRITVVVSARAVTARPEAPECFSPSQPSSFNRRLSSAKLSTTGSLQLLNLKVKPSPCLSPLCDLR >A01p007940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3854005:3855483:-1 gene:A01p007940.1_BraROA transcript:A01p007940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVEEYKPVMAMIGLQLCYAGVTLSSRATLVHGTSPRVFILYRQALATICIFPFLYFSRRKSRISSLDLKSFSLIFMVSLIGITINQNLYFEGLYLASSSMGSAMGNINPAVTFLISFLVGYEKVNIKNIRGLAKIAGTVLCVLGAISMTLLRGPKILNSESDFSIAKSLLGDVKDQNMWLIGCLFLFSSNLCWSFWLTLQVPISAYYPDHLSLSAWMCLLGTIQCAVVTFFLEKDPNAWILHSFSEFATCLYASISFARFSLILLSDLRFRHGSSYI >A05p009770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4086420:4086911:1 gene:A05p009770.1_BraROA transcript:A05p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGAMOUS-like 46 [Source:Projected from Arabidopsis thaliana (AT2G28700) UniProtKB/TrEMBL;Acc:F4IIT6] MTRKKVNLAYISNDSVRKRAFKHKKRGFTKKLDEIRVLCDIDACAVIYSPFNSTPEIWPPNSEVHKVIEKFEILTEEEQTEASVNHEEFLTQTITKDEKKVKRLTEDNIDKFMKELMYACLNGNLGDLDMDDSARGNLCEFIDEYLKKLYHHRNVTLNNPHAS >A09p010150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5193549:5194880:-1 gene:A09p010150.1_BraROA transcript:A09p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 31 [Source:Projected from Arabidopsis thaliana (AT5G65920) UniProtKB/Swiss-Prot;Acc:Q9FHN9] MPMFQPFKGGGFDGHVIDLHTAVKDGVLGGGDVGDGKTAAVENEQDLKTMITNLELPETPSVFICPISLDPMQDPVTLCTGQTYERSNILKWLSLGHRTCPTTMQELWDDAVTPNKTLHQLIHAWFSQKYVMMKKRSEDVQGRVIEIVGALRKAKGKGKIHALSELKGVVMAHEIAKKSVVDEGGVSVISSLLTPFTSHAVGSEAVAILVNLELDVVSKVGLMQPARVSLMVDMLNDGSIETKINCARLIGRLVEERGFRAELVSSHSLLVGLMRLVKDRRRRNGVSPALALLKSITVHKQVRSLMVSVEAVPQLVDVLPCLGPECLESALYVLDSLCSDNEGVTALKESVNTIPNTVRLLMRVSETCTAYAVSILWSVCRLASRECSSLAVELGLAAKLLLVIQSGCDPALKQRSAELLKLCSLHYSDTMFISKCKLAPTIQ >A03p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:667510:668162:-1 gene:A03p001330.1_BraROA transcript:A03p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQYRHGFSRRPSQFTSLYVANLDPQVSDEALVQMFSGFGKIIRSVRAKDFRGQSRGFAFIEFESSVSAEAAEQMNGRLIGQRILCVERTPKVDEGDYSTR >A09p021600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11673858:11676129:1 gene:A09p021600.1_BraROA transcript:A09p021600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNLTTNVKQIQDDVLKEILTLNANTEYLRGYIHGSSDKELFKKNVPVVSYDDVKPYIQRVASGEPSNVISGKPITRFLLSSGTSGGKQKIFPVNNKFFEDMAFIYALRSFLISKHTEGDEKGKVVMLFFAREQSISPCGLPISTSVTGYLLSDSFKNRPSNCFTSPDEVMLCPDLKQTMYCHLLCGLLQRGEVVAVAASFASSLVGAITFLESYWKEICNNIRSGHVSEWITDLSCRDAVTNILGGGNSELADKIEEECNKTSWKGIIPRLWPNVKFIQSIVTGQNSQYIPMLEFYSNKVHLFSPAYGSSETMFGVNVNPLRKPEDVSYTFMPNISYFEFILADKGNEGEIVDLVNVEIGSYYEPLITNYYGLHRYRMGDILQVSGFYNNAPQFRFVGRKKLVLSVNLEVTTEEDILKALNNATLVLQRSNLLLMGFTSYADISTLPGHYIFYWELKAKNISDIVKPDNKVLVECCCVMEESLSALYREIRSKDGSIGPLEIRIVQQGTFNSLMEFSISQGASPSQYKTPMCIKSSEALVVLHNNVLARFFSDKSPPF >A09g518700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56920137:56922087:-1 gene:A09g518700.1_BraROA transcript:A09g518700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYGTHVITGVSVGGQDVVVVRQDRSSDLETDLLRHHLYDLGDQLFTGTCLLSSHRPNKANHHSQSQPKFPEAFNVFDDKQTVAFNNFSINSKDGITVICAKRGGDGRAKSHSEWLITVPDKPDAINFNFIPITSLLKDVPGCDKPPLMDVQYFLEFSGPRTWAPIHNDLPFGAAPNMASAYPSLHINFLGPKLYVNTTPVTSEKNPVTGMRFFLEGKKCNRLAIHLQHLENTRTTVNEKITDDHIWRGSDEISDSNRYFEPLNGKKFSHVCTAPVKYDPNWITTTTSKNSNSIAYIVTEK >A09g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26481755:26485460:1 gene:A09g509270.1_BraROA transcript:A09g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSKAQRTSLPVCPLPGLGPGFKIRGKRLNFVTPLDKSGVVRERPSSQNPSEKSPIEKGNPESFPPPAKDSEDNEAERIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPITEEEEEIAYWNEQEELAERQTELTRRRKKNSRNDKYVHHEGEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFGEFHQSRGHSTTNCQVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRDRSSAKNAPRKDELKSSADANASDVETQHDGKGIQSHQRPVPINNKNGQNRPIQISRPHSATDIKYKDEHLSFDNYSTSHNLKSKSPDNEAPNASAGRSTSSPPSGNPVGTSSVSGETGMESQNP >A04p034900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20184300:20185786:1 gene:A04p034900.1_BraROA transcript:A04p034900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRRPQIVLFGSSIVQYSFSDGGWGATLANIYSRTADVILRGYAGWNSRSALKVLDQVFPKDAVIQPSLVIVYFGGNDSMPPHPSGQGPHVPLSEFTDNMRKIGEHLLSLSDKTRVIFLTPPPMNERQLQLVFGDAMRGRSNELCRPYAVALLNLCREINVKGIDLWNAIQQQDDWLNTCFTDGIHFTAKASEIVVKEILKVVREADWKPSLHRKSLQVEFPFDSGLPIPPRHSDLELSRNKKLDPPPGPAMARL >A09g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25020634:25025800:-1 gene:A09g508900.1_BraROA transcript:A09g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGISANARPTIFNRGTVRHGRGEVFREIEFVAHSVDPAEADAYWVAMCNVEEPPPEPWVPMRPFSERVVGRPSRSHTLMEVLKGFPQGGCGWKSYFFYVRLDQASVAVECLPSFRRLWGAGVHNPIPPFPEDLCIVRDLLCGGPLFWGHFSPERVRAAVEAHRSCFSSSIDNAMEAFFEDTSLSAVYVTGRSSGRGSLDAEEDGEPTVEDPISCLEMFETIALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSFRFKVRDRFSAYMTCMRAFVLVLDVLKIKRVIELRLFKTASVFVGANRRTGCKMFGSRVRTICRMRPQTRVLTFSAWASDLCRASTSDAFALVDDFNSRSESRMRSLTLITSESSPASSFAASLAPKTLQLVVELAEGVFVIPLIASPCVARGPALIRIDRIVMRPLEIFPLLLLFVPIGDFLFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGSCRIHELILFFRPFLIGGEHLFELLERRGVGLCVGRGYVRCWSVEIGATASVKGSLHVIRVRTRISANYHTSSNQNTRITTYERLQQGISLGSRAVGEIPSSSNPKTAKPN >A01p035620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16942496:16945731:1 gene:A01p035620.1_BraROA transcript:A01p035620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNRLEIIWLWLKLRPLIWTNHGTILPAIFAKEKWSDKEMVLRETYYLVVRVSNDSKGEAKFLLFNNIAERLIRRPAFELVQEAAQENPHFLPQSLTDLIGRKFLFKITIGTYGQQEHNSAYVVDLVIDDADIIQHFDPHTYYVDAGTNDKENTPMGNITQNSSITNVSPLPSINAFRTPFQNVTNQVIRPIIPRPSKSNPSKIVENTSTHTVPLSCVFQSFKDGLTHQARAARKEILNNKRTIGLTTSNRGSYNSTQSSPTLANLSGSGINMHPSSTIGNQETISRSTSISLRKRKTLGSPPSVNSKTRQKDARQGPNMAQQTNLTLPAVSVADAPPKATQSPFQQTKTNEFIPPPRFIVEDHPEAYNNRYEMESESENENEDDGYQTYTTYPAGECLINPSPQHIPQQTNNTVTSPIIIGIQKNGMT >A02p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5789604:5793063:1 gene:A02p013210.1_BraROA transcript:A02p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYFPSNGQTVLPIHLGFWPDNPASPGDLIITQAFSAKLPVVCRHVHSKQPFGLFRGETAMNYAFSTFLLETVIVIFFIKATCFILRPLRQPRIVCEIIGGMMIGPSMLGGSRNFSYYVFPPISNYIFTNLGLMGFFYFFFLTAAKTDVAAIAKSPKKHKYIAAISVLVPMVCVGATGMAMRHQMDKTMGKPSSVGGIAFALAFSSFPVIYTILRDMNLLNSEVGKFAMSVALLGDMGAILVLVFFEAVVQANVAGAKAAVFYIVSVVIFSAFMILVVKRAFEWVVDQTPQGKLVDQNYIVMILMGVLVACFLTDMLGLLIGTGPIWLGLIIPHGPPLGSTLAIRSETFIHEFLMPFSFGLVGLYTDVHFLSADAWDNQLAPLVYMTTVSFITKFISVAAAAAFFKVPTRDSLTLGLIMNLRGQLDIMLYLLCFRKHVVSLPGFTLLVLHTVVITGVSTPIISFLYDPNRPYRISKHRTIQHTPPSTEMGLVLAVSNQEALSGLITFLDFAYPTTSSPFAIYAIQLMELMGRASPVFVDHKLQKEEEGKEGEEEKGRVDQMQSAFKLYQKKREECVTLRAYTAHAPKRLMYQDICELALAKKTAFILLPYQRERLEDNAPTELVDPGMLSVNADVLAYTPCSVCIYYGKGRLRNAKVRSSVDQQHSVQPSRVRQETYRFVVLFLGGADNREALHLADRMTANPDITLTIVRFLSFNHEGEDVREKKLDDGVVTWFWVKNESNDRVSYKEVVVKNGAETLAAIQAMNVNDYDLWITGRGEGINTKILEGLDAWSEDHQLGVIGETVAGSIFASEGSVLVVQQQVRNQKGDAYIELFSLQAGAGKLEAASSKVFDGNKSRILRMEELKETIKTTEEAKKDVAIIDYEWIKNNNLSEVEMLDGEKRAVFMSTIMGFVVDHARYYSSIH >A06p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:305152:307020:-1 gene:A06p000530.1_BraROA transcript:A06p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTNQVVHLITLILLLLSATYVLSNINGQITTPAFVVRSPPAAVKSCVFGKIKPFIGETLDFIITREEEKKGVHLTKEDLVDWAKRLNKDGKFEYAFEESYLYVTLFPYELGRGLDHVVWLHNINDNNTSSCEVFDFGAKQWRQVNPPRPDHRIEPDREPVFANGWLYWFCQDKTKLVAFDLHMETFRVVPNPSSPSSSVVEMHLGCIDDDRRLIWVSEINKDGMQHVWRLTNHNTGGALLKTGNIMFSFALNKIFWIESLPHPSSHLRLEAVSKKGNEAMLAVPFSHCLFQFQHPNLLTSISYPSPRPFNSVIRPYFPSFASPL >A01p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3780865:3782010:-1 gene:A01p007800.1_BraROA transcript:A01p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative F-box/LRR-repeat protein 19 [Source:Projected from Arabidopsis thaliana (AT4G30640) UniProtKB/Swiss-Prot;Acc:Q9M096] MEVNHGGGMALTLGPNWAEMTRECLLDIFSRLSQEERWMGPMLVCKTWMNTCHEPSLNTIFDLETRFQSFPESINWWIPEFEDKVDAFLRSVVDWSEGGLTEIRVRHCTDRSLSYVAERCPKLEVLWINSCPHVTDASMAKIASNCPNLRELDVSYSYCISHEALSMLGRHCPNLEILKRNLFPRQGPNVSIIVAPVDYILAFPRYGNIEAQIIGRHMPRLKHLELQYCTMTVKGLTSVCKGCSDLEYLDLSGCISLTNSEITRCASSLKNLLEIKKPDFNRPVDILLLPN >A04p005340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2776511:2778556:1 gene:A04p005340.1_BraROA transcript:A04p005340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.4 [Source:Projected from Arabidopsis thaliana (AT3G55550) UniProtKB/Swiss-Prot;Acc:Q9M2S4] METFVFIWLLFICFTHLASSITQDFSFVGFKNASPNLILSGVAGIADTGALRLTTDTSRMTGHAFYSSPIRFKPPGQNRTLSFSTSFVIVMVPEYVTLGGHGLAFAITSTPDLQNSLPSQYLGLWNSSRANFSSNFLAVEFDTVRDLDFDDINDNHVGIDINTLESSTSTPAGYFLPNSTKKELFLDSGRVFQAWVDYDSDKKRLDVKLSPISEKPTLSLLSYKVDLSSVFGDEMYVGFSASTGMLASSHYILGWNFNMGGEALSLSLPSLPRLPHPNKNKKSPGLILGVSLSCSLLIITVLVAAAMFFIKRAKDDDKVEEWELEFGPHRFAYRELKKATNGFGDKELLGSGGFGKVYKGKLQDSDEFVAVKRISHESRQGVREFMSEVSSIGHLRHRNLVQLLGWCRRRQDLLLVYDYMPNGSLDMYLFDENPKVILTWKQRFKIIKGVASGLLYLHEGWEQTVIHRDIKAANVLLDGEMNGRVGDFGLAKLYEHGSNPGATRVVGTFGYLAPELTKSGKLTTSTDVYAFGAVLLEVTCGRRPIETNALPEELVMVDWVWSRWQSGDIRGVVDRRLNGEFDEEEVVMVIKLGLLCSNNSPEVRPTMRQVVMYLEKQHPSPEVVPAPDFLDKNDSMCVDDGSGNVGEFEDFVDSARFLSRPHETTTSSIFSSADKTKTDRR >A02p046350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28938297:28939415:1 gene:A02p046350.1_BraROA transcript:A02p046350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSKGSETGSKSDKKFEKKLQFYTKVKDTLASLSVQKEIGKKKKNRSRQKKLKAYDLSTLSEFLPEVNASQKSAQPAPDLKMNCKRRQELVLSEGERLNKVLDHPAFQADPIGSIFQHLQSEQPPVEEKPKKKTNTNGSKKRKNRKKGKAESMDF >A04p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4059952:4061562:1 gene:A04p012910.1_BraROA transcript:A04p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKKLSWRSLMVLCFLDPDNICSSKKVKKNDGDGVITKQKSFLGLSILDISDPSSSTLSEDLSISLAGSDLHVFTQAELKVITQSFSSSNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGLQGHREWLTEVIFLGKLKHPNLVKLIGYCCEEEHRLLVYEFMPRGSLESQLFRRCSISLPWLTRIKIAHGAAKGLQFLHEAEKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDKKRASREQNLVDWARPMLNDPRKLGRIMDPRLEDQYSETGARKAAALAYQCLSYRPNRRPCISTVVSVLQDIKDYGDDIPIGTFTYTVSSSPSKPSLEVKESSVQNSDKPRNVHKSDQHHNKYRSPVHTARNHRLTLRNGVNSPMRNEAGGERY >A10p030170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18280433:18283074:1 gene:A10p030170.1_BraROA transcript:A10p030170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEDASKVIHVKFVTKLDSPFQAPSSSVVIPSNVTRLGLSSIVNSLLTLEKHEAFDFLIDGELIRMSLEQFLLAKGISAERTLEIEYIRAVAPRKEEKPSLHDDWVSAVDGSSSRFILTGCYDGLGRIWSSPESCTHILEGHSGAISSIAFVSSEGAENVTVATAAKDRTLRLFKVDTAESGDPTTRVGAYKILRGHKASVASVAAQKYGSKICSGSWDCTINLWDTDEATSELSVAGKRRKGNNQAEETQLEGEAENTFVGHTQCVSSVVWPEHDVIYSCSWDHSIRRWDVPTGKESMNLFCGKALNTVDVGGEGSALVAAGGSDPILRVWDPRKPGTSAPVFQFASHASWISACKWHKSSWFHLVSASYDGKIMLCDLRTAWPLSVIDTHKDKVLCADWWKGDSVVSGGADSNLRISSGISIS >A09p050550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44397393:44398831:-1 gene:A09p050550.1_BraROA transcript:A09p050550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRPVTLSKTKKKGREHKEIIVNGIREAVEKHTSVYVFSFENMRNIKFKEFRNQFRHNGRFFLGSNKVMQVALGRSASDEIRPGIFKVSKLLHGDAGLLVTDMPKEEVESLFNAYEDSDFSRTGSTAVETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGTVELLSDFVVCEEGKPLSPESSRILRLLGIKLATFKLNLVCRWSPNDFELYREGLDLSDVETS >A03p030450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12786218:12791223:1 gene:A03p030450.1_BraROA transcript:A03p030450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMR6-LIKE OXYGENASE 1 [Source:Projected from Arabidopsis thaliana (AT4G10500) UniProtKB/Swiss-Prot;Acc:Q9ZSA8] MAAPLTSKLLVSDFASSVRHIPLTYVRPISDRPQLSLVENSGDTIPLIDLRDLYGPNRTKIIRQISHACSTYGFFQIRFTSDRSARLKTTFSRSNERLKAVDSTTRSSSPTVWLNQRKAHQIKNHGVSETTVNKMLTVAREFFHQPEGERMKHYSADSTKTTRVSTSFNVSADKILNWRDYLRLHCFPIQDFINEWPENPVSFKEITAEYATSVRALVLRLLEAISESLGLERDHISNRLGTHAQHMAFNYYPPCPEPELTYGLPGHKDPTAITVLLQDQVSGLQVFKDDEWIAVYPIPNTFIVNIGDQMQVISNERYKSVLHRAVVNTEKERLSIPTFYFPSTDAVISPAQELIDGQTSPAVYKSYPFVEYWDKFWDRSLATASCLDAFKASTT >A09p074730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56593315:56594048:-1 gene:A09p074730.1_BraROA transcript:A09p074730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVLLGWLRRVNREEILDWKDEDGNTVFHIAASMNQTEDIRSIMKLLRRTVNAEAKNSNCKTAMDLLPTPTSPIFTRATRLLPSALEIRLFPLSMTLAGYLSRKTSTIERKNKLLGVNNLSKTRHGSQESSDFRNAILVVAVLIVTATYQAGLSPPGGYWQEKSPDSDNDGHLAGQI >A07g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6325980:6327348:1 gene:A07g503180.1_BraROA transcript:A07g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPPPDLPSMLLDGRIVYIGMFLVLVVTEMVVAELMYLQWLDPKEPVYIYINSTGTTRDDGETMFFTLASVSPTAATATPPPYANSSSSSPATFLPHSASSDFSVDNFVVDNKNAPSWLLQPFLTELAVYLWKEQPRHEVPPTFRRVLSSRLRRLAAQGKLPKVSNSKHVSL >A09p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1771832:1773139:-1 gene:A09p002960.1_BraROA transcript:A09p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRAFSSPDLVPSDSGSVTSPTRTTEHQSHETSGLEGISTNVKLLLKLVQDHNEANTKQRDEWKAQRVNTMMAILDDLKTRILKAQQQSSSSGKKELRRCNTELKPRQDLNRSPTKPPLNDPDDVQKLRKELSASMAARKSLQMMCSSLGKEKEIMAIELSRKAYELTEMEELISDLKAQNEKLLKKVQNCAVEHKKEDGDGKGGGGDKDMPLQGRNKELSEQLLKSIDGYRSLKRRYKEVQEENGIMRQVLKDSAEEVNAGAQRLMELHEKATREDELDLEKEISELEKLFQEIGLKISNHSQIK >A01p054160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30568115:30569360:1 gene:A01p054160.1_BraROA transcript:A01p054160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSLASRRTLTGLKETSSRLLGLRSIQTFTLPDLPYDYSALEPAISGEIMQIHHQKHHQAYVTNYNNALEQLDQAVNKGDASAVVKLQSAIKFNGGGHVNHSIFWKNLAPVKEGGGEPPKGSLGGAIDTHFGSLEGLVKKMSAEGAALQGSGWVWLGLDKELKKLVVDTTANQDPLVTKGGSLVPLVGIDVWEHAYYLQYKNVRPEYLKNVWKVINWKYASEVYEKECK >A09p001340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1039434:1041678:1 gene:A09p001340.1_BraROA transcript:A09p001340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT4G01720) UniProtKB/Swiss-Prot;Acc:Q9ZSI7] MEEHSQDGREIAFLHSGDFLQRDSTSKDHQPNESSVEHHHKPSIKEVDFFAVKSQPYDLGHMRTTIVGSSSFNSELAPVNSCLRTSSDDDGNDKTKAQISRLRLELERLHEENHKLKHLLDEISERYNDLQSRVLLARPTQVEGLQQHEDIPQAVSSQALEDRKPMDMNNDIPTTTLKRRSPDDVDDRDHRDSPKAPRLYQNKSTNHEEQQNPHDQLPFRKARVSVRARSDATTVNDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVSNTS >A03p034890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14688220:14690142:-1 gene:A03p034890.1_BraROA transcript:A03p034890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSAESMSPTTPGSPCSPGFGVKKRKNSKKRLGSRNSSFNHRRDDDDPSSTVPGRMFLNGSSEVACIFTQQGKKGPNQDAMVVWENFGSRKDTIFCGVFDGHGPYGHMVAKSVRDNLPLKLSAYWEPKVPVEASPKPITTVNSDNNSEDAAEEEPKPSTDMEEENMEESHSELFQTLKEAFLKAYKVVDRELIFNGSVDCFCSGTTAVTLIKQGEYLVVGNVGDSRAVMGTRDGENGLVAVQLTVDLKPNLPAEEERIKKCRGRVFALKDEPEVCRVWLPNCDSPGLAMARAFGDFCLKNFGLISVPDVSFRRLTEKDEFIVLASDGIWDVLSNEEVVGIVASAPSRSSAARAVVESAVRAWRYKYPTSKVDDCAAVCLYLDSTNTNAISTTASSISKLADEELETTSENDDESGLSGLGRSSSVRTSKEIALDESEAERLIKEEEDNMDTEHGTEYSALEGVARVNTLLNLPRFVPGK >A01p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16735996:16737667:1 gene:A01p037050.1_BraROA transcript:A01p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEHDELPKATQREAELQRQIDDLQGQVTGLHRAWEDINPELSLEFQILKEKLDEHLSNWSRAPRSSASSNRSILPSETRTKPLTQHATRSVDSGLRFAPCRLWKYLTLGHSRISQLQRREEKHLRDKNAKNAQTYDGEDSDSEPKPDKEASDGAARAEPARDSKNQNRGGYQNQPIEKEEGMVVSTWPDISHLSVSRPELINETRKTLISQWTWISLNYHTSSNQNTRITTIKYKKSKREQSRSYSEFAYERLQQGISLGSRAVDEIPSSSNPKTAKPN >SC246g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:2547:3573:-1 gene:SC246g500010.1_BraROA transcript:SC246g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGPFFWTSFTPKRIRKALRFVHPGPASVADAGSDSEPDDQDPVVAPAAMPESSSWKGKDIDLGDIEFLMDDSMLPGWDPNLAYGDRSGSSEVPIPDFDDFFAGLPPGLNLLGSAIEASHREAMVYRFKAEKAERDLACVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQDEYGNLKNTFTLEEMSLMKSGMNERAHAEALIPSIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A09g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3383284:3385643:1 gene:A09g500940.1_BraROA transcript:A09g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRRKPATLVFIDDIKPGNNSYKLKVQVMKLWKLWRSKKVVSIEMVLVDATGTRIHASIDEDLIQIYEGKVFEGDAFFISNFTLVNYATEYRTNPFPYKLTFYRTTNITPCDDFPSYLPNKYLKNFSEIHSGIFKNDVLIDVVGQIVHVGALTEIYAKGKQTNKLNVILRDETASNLTCTLWGDYGKQVIDYVEENNNSIVVCVVRFACVTEYKGVHGISNVFNATQLIFDPPGPHFDDFRSKLPKDDIILSRDDGLSGSTVSWHDELFTKNPRKTLREILRTSEIGKYVTTATVKSVETNPRWYYVVCAVCEKTVHPIEENPGDEEGPVMFDCLQCNRNVTEVLAKFKLVLLVTDDSTEEAKFLIFDNIAYPNFLNKTADELAEEVAEDDDSVLPRTLNDLIGKTLLFKMGVTSKNLKSRKSTFIVDIVTDDEVIIEQFLTQNLSKDATISYADDASQCSIMKNGNNKRLGVMDDGVVNKRRH >A08g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2208361:2210933:1 gene:A08g500860.1_BraROA transcript:A08g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLAILLDSGELLAVKQFDFYFIFSVEFDSYDEFLVVNGISKLIRSTYVVKRITLFHPHALVYSTTLRSSNEELVISGVEPKVAVATNINPKLVGELVTTRLVSVGSVPEIKCRRGKKAFLLHSISPESINLFLPYCNEDSKIINVYEYREKGTLKDHRNDSDNPRLSWRQKLEICAKGLHYLHTGSARATIHFVIEALDPNHKLGTGTTRSKLNLNRKTTSYHQTVP >A04p016250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10009764:10016764:-1 gene:A04p016250.1_BraROA transcript:A04p016250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMREFQTQLRRLKEQGDESDQKLLNLEKTVYELSKKKSPAKLMLQRVQRTVAYIASFCNKKKSGREEELSKLHGVLEMKEKLSRHKLLERLLAKKEPLSEMETRRTGAMSSFSFDYCFLAEVTASNLKEDKLYLPMGATSSTALHKQCKETILVNKEGNSWNVSLRFSESGGKYYITRGWRKFCLDNRCEIGDLFAFNVVGDGKTTPLMCVCPERKECSEILSKYLSRTSGDRNMINRSSKSGNKSRLNRKDKSSGETSTTREGNGGRLNRKDKSIDETPANRAGKEAGTTNGKFIRVLQSKPLPFKELLDEIYGEHDLDQDELYSPFMLGEHIQQMQTEEASDDETTVGETAEDSGIRIPSEPITLLASDDEFPTREEANRSPPRANKSILRVQSTCTKRTNRRRVNFETQIQSGFQRVEESRTNLLDVLRSRNHQKSTFGDALAPLETLDVVPMGSFWWAASGLLMKDEEIRDGFMKLRNEENKIRFLERLSGVDRYGDPCQIINLRETSNTSTSSAPMGHSQMAGISTSASPSISQGLGMFESGFSGTSFSSLLGELCIAELFSNGIENLSDEELTELILLEEDEIFHAYINPAMDYYCKFFYKEPMSQEKGKGWCMIRNQIYENEGSCRRLVRMSREGFSRLCELLKGKYGLQDTHSVCVDESVAIFLVLCGQNDTQYDLGLRFGHAHESFMKYLGQWNEWL >A08p020740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13954541:13955508:-1 gene:A08p020740.1_BraROA transcript:A08p020740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKRETERRRRSTENEKGRDEEGGSADDLHSAARSGYAWAGDVVSYLCKNKANVGVAAVDRGVASPSLISDFAPLHCATQGSHLDLVKKKTEDQTM >A10g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6705698:6708346:1 gene:A10g502450.1_BraROA transcript:A10g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFHFSRSSQLSSKLPVNHAVVYGLLVRKIVGWASSRVLGLFGPSSDSTRLLRLFRSCYGLREIAFEGLTRMQGLVSYRCSERFNRYTATELWLEPGCFVATERDERSRPSGTIARSLRSDRAGRSLGRYVATELWLELGRYVATERDDCSRPSGTIARSLRSDRASLGFGCCIATGWRVCVVTELGLFGLNPKGYFFVKTSYWLFLRKLHLFFYYLFRKYDLRGFSGGNSVVTVFDPNKKLSIFLSTLFLYFLKRSVKMSSKKKIAKKGSSSASAYEELIVLKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLIHRGVEKEDASRSTDEFLAIMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPKILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLSNDRPFINPLAPFHEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFVQPGPASPANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDLNLAYGDGSGSSEAPILDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEILEREAQLNRDHARAIRKAEGRAKGKSSR >A10p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2973840:2977295:1 gene:A10p016580.1_BraROA transcript:A10p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISRFLSRKTCLVSRSFTLILSADTLHRIPLQRNTTLTTPFPFPFFSSRRSIYDSSGGDYDYIRSDVNCPRCSAHMLVVFSNRPLSLTAREPGIYQAVNFCPQCKSAFYFRPFKLSPLQGSFIELGKVKKGADADDDDDEEDGDDRSWKIQGLKNEDDADSSNGAVKLPTPKEICQGLDQFVIGQDKAKKVLSVAVYNHYKRIYHSSKIKGSGSESVNLVMEDDDDDSIDLVELDKSNVLLLGPTGSGKTLLAKTLARLVNVPFAIADATSLTQASCFHLSLRDFGLHLSTTKTTLLVQAGYVGEDVESILYKLYVEAGCNVEEAQRGIVYIDEVDKMSMKSHSSNGGRDVSGEGVQQSLLKLLEGTVVSVPIPEKGLRRDPRGDSIQMDTKDILFICGGAFIDLEKTVSERQHDASIGFGASVRTNMNTSGFSSSAVTSSLLESLQSEDLVAYGLIPEFVGRLPILVSLSALNEDQLVQVLTEPRSALGKQYKKLFRMNNVQLHFTEGATRLIARKAMSKNTGARGLRSILESILTEAMFEVPDSKSEGSQSIKAVLVDEEAVGSVGSPGCGAKILKGDDVTQQHFEQTESNEKRKEDETKRAQSL >A09p077390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57542687:57550573:1 gene:A09p077390.1_BraROA transcript:A09p077390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRKVAEAIHVLNHDPLSSNRVAANQWLVHFQQTPEAWDVSTSLLTSPIVSLFDLQFFAAQILRRKVSPFIISSSILENPFHPPSFYVGFQIQNEASNLQSNAKDALLNALLVAAKRYSSGVPQLLTQICLALSALLLHADPYSKPFDKLMFALQSLQAHDDGNVVLLELLTVLPEEISDSRHVSHQSDLRQELLSHTSMVLDFLLQQSEKQFASPLYPQHDNRKILRCLLSWVRAGCFSEIPQGAVPSHPLLNYVFNALQGTTFDLAIEVLVELVTRHEDLPRVLLYKVQLLRDTLLKPALINADPQVVSGLACLMSEIGQAAPCLIVEASPEALVLTDALLRSTFATYILSLGGNRQNDRNHVKDIFLPVFSALVDALVLRAQVDEFTSGDESPSLDLPDGLLHFRNNLLELLVDICQLIHPTTFVSKLFFGGLPSSDVSMPLREIEAKLFALNAVSEIILQEGEAFDFSLIAQLVSAFSIRPSSELKGFLCVVYRSLADVVGSFSRWISVSPSNARPLLLFLAGGISEPICTHACASALRKICEDAPAVIQETSNLDILMWIGECLEQWNLALEDEEEVISAITVILGSVANKELQNKLLTQLLSSSYGVLSTLVDDDVESSVRQNPATYTRMLSSVTRGLYRIGTVLSHLATSLSSVPVADGPILSLLTVFWPILEKLFRSEHMESGSLAAAACRALSVAVQSSGCVIAEEFCHKEEYGSLFITTFERFTQASSLMGINSSYICDQEPDLVEAYANFASALIRGCHKELLGNSGTLLEISFQKAAISCTAMHRGAALAALSYLSDFLEVSLSSMIESVNCKSEGSFSLVSVQVVSHCGEGLLSNLVYALLGVAAMSRVHKCSTILQQLAAICSLCERTSWKEILCWKSLQGWLNSAVSLSLMITSEQNSTSVWALPSEYLKQGEAEKIVREWSEALGGAGIDYLENKSCNFASNNSLGGGHMQGKHGRALKRLKIDGEEREGDYPSSRESEIEPEGGAEERRFLGFLDATMGTSSDPIQDGSDEQQKRSEIYTYEAPWQIYAMNWSVRRDKNNKNSEFCGPLTSFDWNEAEPRRIGTSSTDTTCTIWDIDREAVDTHLIAHDKEVLDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSQPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPALPVVELQRHQASVNAIAWAPHSSSHICTAGDDSQALIWDISSMGQQVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRWLHHFLSNACFLLCYYDHDVKLAETVS >A04p032770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19110969:19112692:1 gene:A04p032770.1_BraROA transcript:A04p032770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLLLPIYPQSPRRSLARSYRWYPVRTGSKPVSLTVTKAQTSGGDGEESVVIVGAGIGGLATAVSLHRLGVRSVVLEQAESLRTGGTSLTLFKNGWRVLDAISVGPQLRTQFLEIEGMVVKNGDGKELRSFTFKDEDQSQEVRAVERRVLLETLANQLPPQTIRFSSKLKTIQSNANGDTQLELEDGSKLLAKIVIGSDGIRSKVATWMGFSEPRYVGHCAFRGLGFYPEGQPFQNKVNYIYGRGIRAGYVPVSPTKVYWFICFNSPSLGPKITDPAILKREAKELVSTWPKDLQDLIDLTPDETISRTPLVDRWLWPGVAPTASKGRVVLVGDAWHPMTPNLGQGACCALEDSVVLANKLAGAIKGGSESVEEAMESYGSERWSRAFPLTVRANLVGALLQWDNPLVCSVRDNVVIPKLVRLGPMLEHTNFECEPLFGSSET >A02g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11142490:11143212:-1 gene:A02g503410.1_BraROA transcript:A02g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDIVHFGPNWQARMDLLLVSIPKGLLRITFISCVSFGELSSVPSCTSNRMASFENVSSTTSRSFEIVITDLRQPGALVPIVGIVKSHHDIVHFGPNWQVRMDLLLVSIPKGLFSSAHTQTCHMESSKIGPYISWNT >A07p002380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3864240:3864443:1 gene:A07p002380.1_BraROA transcript:A07p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLTRDVEEEVLCRIPMTSMGPVRTTCKRWNTLSRCELFAKKTPCKYRSERSLYRLGDCMSMIHLS >A01p048640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27383262:27385128:1 gene:A01p048640.1_BraROA transcript:A01p048640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLPMSPELEQIHGEIRDHFRALANGFQRLDKIKDSTRQSKQLEELTDKMRDCKRLVKDFDRELKEEEARNSPEVNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKVELFDMGAGASGEPTAEENVQVASAMSNQELVDAGMKRMDETDQAIERSKQVVEQTIEVGTQTAANLKGQTDQMGRVVNHLDTIQFSLKKASQLVKEIGRQVATDKCIMMFLFLIVCGVVAIIVVKIVHPNNKDIRDIPGLAPPAPARKLLYLRNPEYLQR >A08g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:552588:559245:-1 gene:A08g500240.1_BraROA transcript:A08g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIKSTPITLNHEADGVKWKLGPDSYGDCFISAEVWQQIRSRKDKVPWSKLVWFPQRVSRYVFITWLAFRDRLLIYGLELHWMGDEPAGTKEAENSAIWCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALARQEQRAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQSVKRQQETLPGRTDKNPRTEHCNAIEQLFTETVPGAEERAEQPTSSAVTAPDKSAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMTQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIVWSCIGWEMNQLEQKRQKTVQFAKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A08p041590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23457873:23459280:1 gene:A08p041590.1_BraROA transcript:A08p041590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIRVQQKGPESPRTSEVGEIDTRAPFQSVKAAVSLFGEVVSRQRSTPRRSRLSSESVTDKETQLMLAHKQFIKIKQRLDNSEITRSRALSDLSKAKKTMEELRTKLETVNKSKQSAIDTKETVQQREEQLEHDKSQGSSPPHHHELDVAREQYLSTTVELDAAKQKLNKIRQSFDSALDFKATALNQAAEAKRAIQVNSAKVNELSKEITDMKDAIHQLKVAATQNQQEYGDIVKEKDDLRECYKTAVEEAEKKLLVLRKEYEPELSRTLEGKLIETTSEIESLREEMKKAHESEMNTVKVITNELNEATTRLQEASDEECSLRSLVNSIRMELDDMRREREEMEKKEAERLEVEERKKVEALKEESLKLEEMKLEASRARNEAEEMNRKIESLKKETDSAMIAAEEAEKKLEIVIREVEQAKAADEKVRERV >A10g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10159877:10160311:1 gene:A10g504090.1_BraROA transcript:A10g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07p043040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23306890:23308029:1 gene:A07p043040.1_BraROA transcript:A07p043040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEIAYTNVSFPSITPHFPSSSHPLTINNNIVMSKDHHRWMDQIAYFSLNVNSDQHKGHYYHASHGEEEMKNPNRCNCSTSSKKERRDCGSCRHSLKASVSRGHWRPAEDAKLKELVAVYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMQAHSLYGNKWAMIARLFPGRTDNSVKNHWHVVMARKFREQSSVYPRRKTMITHKPLANPNPHSCNDFEPTRSDLIHLVSNDQSHLMLPIPCFSGYDHGMLENQMMIDDYSSRTREVATTFGDSNQTGKCEMLDESMNEKKKPHFFDFLGLGTV >A10p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17660004:17667542:1 gene:A10p028450.1_BraROA transcript:A10p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNWLGFSPSPYEQNHHRKDVCSSTTTTAVDVAGEYCYDPTAASDESSAIQTSFPSPFGVVLDAFTRDNNSHSRDWDINGSACNNIHNDEQDGPKLENFLGRTTTIYNTNENVGDIDGSGCYGGGDGGGGSLGLSMIKTWLRNQPVDNVANQENGNGAKGLSLSMNSSTSCDNNNYSSNNLVAQGKTIDDSVEATPKKTIESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCKREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPMSEYEKEIEEMKHMTRQEYVASLRRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLTAVTNFDMNRYNVKAILESPSLPIGSAAKRLKEANRPVPSMMMISNNVSESENNASGWQNAAVQHHQGVDLSLLQQHQERYNGYYYNGGNLSSESARACFKQEDDQHHFLSNTQSLMTNIDHQSSVSDDSVIVCGNVVGYGGYQGFAAPVNCDAYAASEFDYNARNHYYFAQQQQTQHSPGGDFPAAMTNNVGSNMYYHGEGGGEVAPTFTGYNGGNLSCFKQEEDQHRYLSNTQSLMTNIDHHSSAADDSVTVCGISLVNKDLQPRLPVILFPAAKIAYNARNRYYFALQQPQIQQPPEGDFSAAMSNS >A08g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6077228:6080825:1 gene:A08g503280.1_BraROA transcript:A08g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHGYSICFNEHGVSLSVCWRSWAGPVCGVVSVLQRAYDVIFMVVNTRISLSSESSAQGTEGTEGAEGTKGVEGAEGAEGTEGTEGTEGPEGTQGTQSTRGTEGPEGTEGTEVLYECEP >A09g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10244549:10245330:1 gene:A09g503150.1_BraROA transcript:A09g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLCLQRNVPASKLSFLYTGRLFLNGTFGTDIYFDTETDAEKEHYAKWLKPDSLFIKTDSCSEDRPLTVSELNQSVLTADPQIIEFLCTAKVIQSEKGGVILAAVDVLRRYHVELSAADQTDDALFVAFDMEMLKLTNIQT >A07g504240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8265133:8265666:1 gene:A07g504240.1_BraROA transcript:A07g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSQSDTYGGGHSDSDSNEIEALIQEDQAQLELVNAQQVVYPPQPETEFGFPKACYCGTQPKIATSYSRVDPGRRYYTCSNVDDGDCHVWKWWDEAAMEEIRATERHTQLLADKVDSLLSLTDYETEQKLVRLENMVCELGKSYARCRFDYFVAVTVMLLGFIGIVLIFI >A08g509870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21066451:21069338:-1 gene:A08g509870.1_BraROA transcript:A08g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPFDSGDPMSTRSEIDQVWNQMQQGVRRMRPLVVEQQPERDMRFPKPAMVVQERCSRSSYLERMEKYWTERWIEIALAGGTSMEEIEEIRAFVNYDRPVRTLEEERKARLEMLEECRRMIEREDEEDAAKKSREEETFAAEDSDLGHVEGSLKSLCPLLEPIQLTLERKNELADDHQVASQETQNGKTLICWDRKVEPYFERGKDASKKLLETKREKCAHQVFEQMAEGDVRETTNEKEMVSKQVPELAKQLRSHVTDLKKREKWKKHKAKQKQEISDTCFSNQIVFSGNLKSKEKTFTKFRGWRFRALEIDSGTLGIKKKLVTKKEVKRKYKHQKQWKHVKKRLPVISVWCKRNHCEKSYFGSVKLLQLVGDVKWDWVLLLAVKTKKAMSRRELLTLGMLLYFVVSMKYQMKWKRQRIRCKYRNMRISWEHVAALVNFIMKLMYTKRHLWNVCKRKQWRNQVTCSINELFVVIIPWKYVMVVMTHLFTGRKRAKFKKNLISNRLGWLKMRSPPGMSGKKTSFKSFCGFVFLLHISENQIRKSVLPPHDVEHLGSETVHGIGNCWKVKHVTEQYELLLGREGLEEQKLQMGNMAKRLQLVVVMIQRIFKGAVGIKNTREAVEYKLCGFMTLFQLGVARKRNKFKNLGRVAAMCGENVNMNAALDSQIYCVIDDFAYIEMGNTNATSRTQWLKTAVNIKLKRKEQGEDLRIHIFCLRDSVFSVREKNKHVQPEELLELRAAPIQARERFPKTHATKKKVDELKNCEYGVCFVALYLTGQKMVMGCKFREKGRFVKETLGSFVLEMVEVTAQGDDACATKVRDTRAKPIEKVKVSQGFSAKTNSIEMTVEGLGKGDPEIRELLQREETRLSSL >A02p032680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17161262:17164030:-1 gene:A02p032680.1_BraROA transcript:A02p032680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRTRASSWTRLLLRRNPKSSFSRQISTLDASGSAFPIRTTPWISLQTHRFSSATTMSPAEADRVVRDLLAEVEKEKQREREERQRQGLDCKDIDDEDEEDYLGIEPFLEKLKKQNLKDDGELNRREESSDSDSELDEVDWDEERKKEDMFNKKFQRHKELLQTLTKSETLDEAYKWMTKLDKFEEKHFKLAPEYRVIGELMNRLKVAEGKDKFILQQKINRAMRLVEWKEAFDPNNPANYGVIERDNVQGGGEEKEERLVADGAKDDNDDDEEEFDDMKERDDILLEKLNAIDKKLESKLSELDHTFGKKGKRLEEEIRDLAEERNALTEKKRQPLYRKGYDVHVIDVKKVAKVTKGGRVERYTALMVCGNYEGVIGYAKAKAETGQSAMQKAYEKCFQNLHYIERHEEHTIAHAIQTSYKKTKLYLWPAPTTTGMKAGRVVKTMLLLAGFKNIKSKVIGSRNSYNTVKAVLKALNAVETPKDVQEKFGRTVVEKYLL >A08p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22234985:22237731:1 gene:A08p038390.1_BraROA transcript:A08p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIDLGYQKFATGCFRFNLGGQLLPTQHPNLSYNNISQPALQVTGDNSCKRSNIMRCYINCTFTILLSFLLMPILDSKFHTLPSWCQTNCGNINISYPFGIQEGCYLNEWYKITCRNATSPFLFKMDKEVVGISSPNEDSVSFESLSTGSFVDGKESDSALNLTGSPFFFGKENTLVAFGCNSKASLTNIEPSMVGCEQAKLRYLEKPPPYYENNQGEGEGEGSCDGNGCCSANLSYKEVYQVVGVRIESFDHRNSTSVKCRVAFLTDEGYTISEPQSFFAQGYATITIGWVIQTNTLSFLNSLSCINTEEYGDLSSNTRHKTSCLCENFTISGTHYANCRCSPGYTGNPYLFNDCKDFDECQPDFTGRRGVCRESDTCVNVVGHYKCIRDKTVPILVGLGAGSWYFGVWLLIKYLKKRKVTQRKKKFFKRNGGLLLQQQLNTREGNIEKTRIFSSRELEKATENFSESRILGQGGQGTVYKGMLVDGRTVAVKKSKVVDEDKLEEFINEIVILSQVNHRHVFIPNGNLFQHIHEESDDYTMIWGVRLRIAVDIAGAISYLHSSACSPIYHRDVKSTNIMLDEKYRAKVSDFGTSRSVTVDHTHWTTVISGTVGYVDPEYYGSSQYTDKSDVYSFGVILVELITGEKPVITLPDSQEIRGLADYFRAAMKENRFFDIMDARIRDACKPEQVMAVANLARRCLNSKGKKRPYMTEVFTELERISSSPENALVEVENEDGDDAEEEGMNMIEIADSCTVVLPLQPSVLPPRLPRQMLNHCFLVQHGEL >A06p022310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12345909:12346243:1 gene:A06p022310.1_BraROA transcript:A06p022310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSYLQGGASTAIKSKMANSCFKRCHHMQDHLGIDLMIDELKRILRSEIEGSTGPVVNGDHHVNTMLVIERRLRWCWASETTALCTKT >A09g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17043794:17046832:-1 gene:A09g505370.1_BraROA transcript:A09g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPDEFASPYSATSIISIANIDDNQTRQLPRPEMCYADCLLMEQEETSDIYKKLAFDFTLTRTMAMVRAKKNIVSYVRELKPRKDTSRIEVRIVRLWRNYNKESGNTIEMVVVDKEGTRIHASVGEQLIKKFDDKLREGDAIVLQLFKVYDATGEYRTTPHPYKIGFFPTTFVGKADDFPSAVPEKYFADFFDILGGNLDHNCLVDVVSQIVNFGSLENKIIKGKDNMRLLVELRDPNNVKMMCTLWGCYAKQVYDYNRSNMSTMIICVIRLCSVKEWKGAYSISSGLPDDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIRDIINSTLVGTFVTLGTIETIDTERGWQYLSCKYHNKKLMPTTNVDADGRPLFFCNTCDKEHSNVISRFKLIAHVKDDSGEANFLLFDANAQQIVRHSAAELYDENEDEDFLPEAVSDLFGKRVLFEISVDADNIKGKSS >A09g511780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34905373:34907783:1 gene:A09g511780.1_BraROA transcript:A09g511780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEKIDVLKPQTLVPHNFLGNYRGSNDDQTRPRQRRGRGGTGSQSRGSSNHIQDSVSPHSSYHTSPSPLPAPAPPAPAAALAPAPPGPPGVMSVAELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSAFDKGHPTFTDFPTEKQHLWFRQFAQEFNWNSDETLYIYHHFVHKVMDNYGKQIHEWKKKWEINKVPKSMNDTVWKELCAHWDKEETKETSSTNSTNRRSDRKEKGVFKHNLDAQSIATLEDHRAEENDGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVTLVQTQVQDEVSQLQTEDDDSTASTNLFRIRINEIVES >A02p008700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3586240:3587106:-1 gene:A02p008700.1_BraROA transcript:A02p008700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQPPAKKRNMGRSRKGCMKGKGGPENATCTFRGVRQRTWGKWVAEIREPNHGTRLWLGTFNTSVEAAMAYDEAAKKLYGHEAKLNLLPPQQQQKEKVNRNLSFSLTGTSWDYKLEKVHGLDLGLVPSSGSRGSWSGSFSFIQEDDKTTSESSVSWLLPKRSDSQDQESVHAASSLTFSTKLKPMMTPDYGLSNGVGSRFLVEQEKKTVYDVSSSCGSSDNKESILVPSVGGGEGMHRTEVEEGTGYLEMDDLLEIDDLGLLIGQNGDFKNWCCDEFQHPWNWFLE >A09p083720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60202936:60205287:-1 gene:A09p083720.1_BraROA transcript:A09p083720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDQPSSELPRSIFDLNVDFFNSCCLLNPSVRFGPVLSPAEKSSKEGGVILDRWTCNTCKIEFVSLHDQRSHFKSDIHRLNIKLSVAGKAVLREEDVDELTSESVQDYDVSSISGSEDESEPRPLFHALVDKNKLFILLQSGDKVSIWKSLIMDEAERVSYTGVSLDDSGSLPESDVTERLRNFVNTFDRRMCVVLLASGGHFAGTVFNGKSVVAHKTFHRYVVRAKAGKKQSTKDGSGGSIHSAGASLRRYNELALKKDIQELLASWKPYFDGASCVFVHAPSSSRQLLFNAGKPYFSSQNCAIRNVPFTIRRPTFKESQRIYNQLTQVAYVTEEIFVNPPEEAKANIVVHTDTEDSGEISRQDESVETSTTRIVLEEPNVIEEDLGDIVTGTSTPLHEAAKSGDCERVGKLLEESMDPCAKDERGRTPYMLANDKEVRNIFRRFMASNLEKWNWHDAKVPSPLTKEMEESQAAKQAEKDAKKKARAKEMKKLRKAREKKAQAEAEQAEKEKPISKVEEVRRAMAAEREKRAAAAERRMASLNIQSSSTTAPSS >A09p060820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50309596:50312802:-1 gene:A09p060820.1_BraROA transcript:A09p060820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSCPTVKLIVLQQRRTFTSSLAKRFSFNAQSLTESQAQAALDKDCIDFLPWLEQIAGAKITNTLSTGKSLYGRALFASKVIHAGDCILKVPFNAQITPDELPTDIKVSLTDKVGNIGKLAALVMIEINASQNSRWFPYISRLPQLSDMHSTIFWDENEFSMIRCSAVYKETVKQKARIEKEFSLVSQAFKKHLPKAIERPALENFMYAYTLGEKITLHLILFGALSVSGFTQPLYVLPLSHWLLDMDNLLLWTVGSRAWETSRGISLIPFADFMNHDGLSASIVLTDEDNQLSEVTADRDYSPGDEVLIRYGEFSNATLMLDFGFTLPYNTHDEVQIQMDIPNDDPLCNMKLGLLQTHYTRSVKDINIFHSSYDTFTIKEVKSATGKGIPQSLRAFARLLSCTSPQGAQIKTKLNDLSKEAELNDGRLARLPFKDRSRELEAHKIILAHINSLIVDHSVCIKELGASNFRFESQRLTVRRQMARELIFGELRVLRSAAEWLIHYCTNLFSAP >A01g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16605386:16607575:-1 gene:A01g505580.1_BraROA transcript:A01g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRWDPGIRDGDRADLILHDQLTNGGIQGLRRDGLEIVMGIRKEIFEILWILQIRDQRRGISGAIKMDRNIFTGCNLDCDYLISLFYLIGIDLLYLIGDWVLWYLWRSRSGTIKSRRYGLIVRYLVLEYMENWSGSKWVKVDERGSRKVPSHHGNYRGGGEGSRYRTSRRDEGSSGATGAEGGGPVARSRPSMEQLRGEQRQRNTGPEVREEGEIQGTGAVDAATATEEFQLELAKTQAEGSEAIMEATKEEMGLLQLRGMRENQDDMELEAIDMELEALNATLLESGVELAPEDEFQTLSEEEAEKVSEFTSGHEYSEEMEGLVCGEDGNSKDTETGDMARRQGHRKRLFKPIVNTAGSNKMRTASALLSPRKRAVAKVGTRHGDNGKPPESKGTSYPKQTNLKL >A08p025370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16382898:16385534:-1 gene:A08p025370.1_BraROA transcript:A08p025370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTRESDKKGSSSLTNLDHMASKITAKKNDDFDYEIIEGESKTALAAAGTSSRIDSATLELRHRIGRGPFGDVWLATHHQSTKDYEVAIKMLHPINKDQMRVVVDKFKDLVSKSQGMENVCLLRGVSIISGRICLVMKFYEGCVGDKMARLKGGKLSLSDVLRYGIDLVTGILELHAKGFLILNLKPSNFLLNDNDTAILGDVGVPYLLHSIPLPSSDMIMRLGTPNYMAPEQWQPEVGGPMSFETDSWGVGCSIVEMLTGAQPWSGKSIDEIYNLVVIKREKLTIPNAIPPPLEKLLQGCFMYDLRSRPSMTDILHVLKRLQNSEEEEFWSFWRGIDSREIRKSSAYLGYTEWFLSKDQLQVGDTVRSRKPANSCKHENMDVPEGKVVGLERDTTDSDGFALVKVHGVHDPLRVHVSVLERVTKDLASGDWVRLKYVGVADKRCSPVGIVHSINREGIVAVGFIGLPTLWRGTSSQLQMAKGYSVGQFVKIKAFVVTPRFKWIHKDRGVWATGRISHVLPNGCLEVEFPGALPFGQEHGSCLADPADVEVVDFSTCEGVVQKYQHLEDFHWAVRPLLIAVGVLTGMTLGGLLVGKKVGRSKDIKQRVGSSRLCNCQIPNGQDRAGPDRKVSRKSKAKAKSKSKSKWFF >A02p008100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3365088:3365905:1 gene:A02p008100.1_BraROA transcript:A02p008100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRACSSAVCILLLLSLSLFPCAISKSPRPISDVEIREKKNQCYADIDSGLWGWQCKASAIAKENCALRCLSPVCYELIYESDPLEEGEKDLIRSQEYKYCMYKSSLGESLDGVRGSFL >A02p031640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16625256:16626985:-1 gene:A02p031640.1_BraROA transcript:A02p031640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADGKLVCVTGAGGYIASWIVKLLLERGYTVRGTVRNPADPKNNHLRELQGAKERLTLHSADLLDYEALCATIDGCDGVFHTASPMTDDPETMLEPAVNGAKFVIDAAAKAKVKRVVFTSSIGAVYMNPNRDHQTIVDENCWSDLDFCKNTKNWYCYGKMVAEQSAWETAKVKGVDLVVLNPVLVLGPPLQSAVNASLVHILKYLTGSAKTYANLTQVYVDVRDVALGHVMVYESPSASGRYILAETALHRGEVVEILAKFFPEYPLPTKCSDEKNPRAKPYKFTTQKIKDLGLEFKPIKQSLYESVKSLQEKGHLPLPQDSNQNVIIES >A01g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4679960:4680510:1 gene:A01g501220.1_BraROA transcript:A01g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLFSYHSDRHLAAPPAALSSVVDLCAHLQGPHASLAVIVLKLINQVIIYHLWRERNARIFQGASMSQPAFFKVVDRALRDRLLSLPSVSASSPSLLELYFWFISPFS >A10p032470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19204305:19208430:-1 gene:A10p032470.1_BraROA transcript:A10p032470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSMASDLSRAGPVERDIEQAIIALKKGAYLLKYGRRGKPKFCPFRLSNDETVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPDKEYQSFSLIYSERSLDVICKDKDEAEVWFSGLKALISRYHQRNRRTESRSDGTPSEANSPRTYTRRSSPLHSPFSSNDSLHRDGSNNLRIHSPFESPPQLDKALSEMALYAVPPKGFYPSDSGTISVHSGGSDSMHGHMRGTGMDAFRVSMSSAVSGSSHGSGHDDGDALGDVFIWGEGIGEGVLGGGNRRVGSSFDIKMDSLLPKALESTVVLDVQNIACGGQHAVLVTKQGESFSWGEESEGRLGHGVDSNIQHPKLIDALNTTNIELVACGEFHSCAVTLSGDLYTWGKGDFGVLGHGNEVSHWVPKRVNFLFEGIHVSSIACGPYHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVFTPREVDSLKGLRTVRAACGVWHTAAIVEVMVGSSSSSNCSSGKLFTWGDGDKCRLGHGNKEPKLVPTCVAALVEPNFCQVACGHSLTVALTTSGQVYTMGSPVYGQLGNSHADGKVPNRVEGKLHKNFVEEIACGAYHVAVLTARTEVYTWGKGSNGRLGHGDVDDRNSPTLVESLKDKQVKSIACGTNFTAAVCIHRWASGMDQSMCSGCRQPFNFKRKRHNCYNCGLVFCHSCSNKKSLKACMAPNPNKPYRVCDKCFNKLKKTMETDGSSHSSLSRRGSINQGSDPTDKDDKLDSRSDGQLARFSLIDSKRQVDSGNKNKKYEFSSSRVSPIPSRGSQRGALNIAKTFNPVFGASKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLSGLTTPRIVVDDTKRTSDNISQEVVKLRSQVESLTRKAQFQEVELERTAKQLKEALAIASEETTRCTAAKEVIKSLTAQLKDMAERLPVGTARTVKSPSSLNSFGSSPGRVDHFNILNRQNGQGPEPNTPMFSNGTTTPVFGNGEARNEAQNEKEWVEQDEPGVYITLTALAGGVRDLKRVRFSRKRFSEKQAEQWWADNRGRVYEQYNVRMVDKTSEEMPR >A10p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:908814:911909:1 gene:A10p001770.1_BraROA transcript:A10p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTTYIHCNYNRKSSSSSSSAVRREMGLVTVGELKPTFTGKRGFRLNSSIRHASEWPISDVSSDLTVQVGSSSFCLHKFPLVSRSGKIRKLLTDSKTSSISLPSVPGGAEAFELAAKFCYGINIEINLLNVAKLRCASHYLEMTEEFSEENLAIKTEHFFKETILPSISNSILVLHHCEALAPVSEDLNLVNRLVIAIANNACKEQLTSGLLKLDYTFSGANIEPETPLDWWGKSLAVLNLDFFQIVVSAVKSKGLRQDVISKILISYTNKSLQGLIIRDPKLDKERVIDLESKKKQRMIVETIVRLLPTQGRRSSVPMAFLSSLLKMVIATSSGSCRSELERRIGLQLDQAILEDVLIPTNLNGNNNTMYDTDSILRIFSIFLNLDEEDDDEEQDQHHRNRFGDETEMIYDFDSPKQSSILKVSKLMDNYLAEIALDPNLTTSKFIALAELLPDHARIISDGLYRAVDIYLKVHPNIKDSERYRLCKTIDSQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSSSIGSTQFLFSGNCHQFPQRAGSGAGNFTPLLMCLIHGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHISIKQELVKSNPGTKLFKSFAKKISKLNSLFSFSSLKPSLSAKASTDSRFLFQRKRRHSVS >A08g510330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22777180:22777696:-1 gene:A08g510330.1_BraROA transcript:A08g510330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKRLQSTSTYKHSEYTQISSLSVNRANQYRRVTIDFFQLTEQPTEQAARALEQAVESDGASGGSDRASDGQIKKQREREREREREREREREREREREIQFKLSHGRSIGSRTCFCKWGMEVAVEEADMTA >A10p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1363280:1364821:1 gene:A10p002640.1_BraROA transcript:A10p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEGEEEEETFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDSSSGDLFAACFVDPARRENSVEPSLDSSRYFVLRIDDGRGKYAFIGLGFAERNEAFDFNVALSDHEKYVRREKEKETGETSESDDHIDIHPAVNHRLKEGETIRINVKPKPTTSGTGMLSAALSGNGKPKPLALAPPPSAATKTRSPLPPPPNDPIASRIASGGSKEPTDNTRRRNDPLSDLSQLKKNLPSTKGSGSTGAAGWAAF >A08p022900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15077726:15078487:1 gene:A08p022900.1_BraROA transcript:A08p022900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLPPPLLILLCQYVKTLVSGLSSVPRSGTPVTFSVRRSSSSGMAMRQRRFGCVNASAGGVIRSFPTSCLESLAWWCVELSTLRRHRLVTLLRSSSFSSWRDTLPLVKEATAQTGLYSLGKLAMELIQGRSHSQHNTGMCTHNFSKSCYFVRHGTLRFYSSLCLNVSGDCEREEIVKN >A06g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7520835:7525773:-1 gene:A06g502120.1_BraROA transcript:A06g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSNILPLIDRNSRKEDRQDIQKNHRSNSNSQAKSYYREIPRKVTGQADVEASSTRNQGIHCEKGSPLLEVPPSLHEEAIQRARGEVRDAMLQYTSSADPTEREARKERMRQAEEHGEVEKAALLVAQAALNAEIDQQNREQINTTPERIPAIQRLGSFPSQERIPVSQRLGPISPVATGLEQESARIDPPSGEDRVPAARRLGPILDSPLGTEASDINPSGKKRRGRPPGSRNTQTKPAATGTSGARKRKVAHPKPSPTRKTLTRNKLIFEGKAISEEEAVTNALSLAREWLEAQGPKTTHKPQRAPPVAPRPPNCSVLNSHAAWKAESKLAGFGWTITEATGTVSFTGYESFVGSALVAEGLAMREALAGCKERGIRRVICESDSSQLIKAIDAG >A08p004510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2635953:2636282:-1 gene:A08p004510.1_BraROA transcript:A08p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP16 [Source:Projected from Arabidopsis thaliana (AT1G49800) UniProtKB/Swiss-Prot;Acc:Q5S502] MVIAKNRTEHYVVLVLVLINMVGSVLLATEGRPVKYSSTALTQLRDSPVYNGSVMSKLKPVESSAQDVSWLATVKQSGPSPGVGHHRAKGYKTFGRVRDESGPSPGVGH >A03p029240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12289997:12290298:1 gene:A03p029240.1_BraROA transcript:A03p029240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSIRLVKRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGAT >A10p037940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21324436:21326813:-1 gene:A10p037940.1_BraROA transcript:A10p037940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLYTFSCLFFFCSLLSASSIIAEVQHHNFVMRTGWADGPEFVTQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPALGSSFPFPKPDSQTALVLGEWWNANPVDVINQATRTGAAPNISDAYTINGQPGDLYNCSNKETVVVPVNSGETSLLRVINAALNQPLFFTVANHKLTVVGADASYLKPFTTKVLMLGPGQTTDVLLTADQPPKRYYIAARAYQSAQNAPFDNTTTTAILHYRNTTKTSPPITASLPAFNDTNTVTSFSRNFKSIRNVVVPKTIDENLFFTIGLGLDNCPKNFPKNRCQGLNGTRFTASMNNVSFVLPSNFSLLQAHSNGIPGVFTTDFPAKPPVKFDYTGNNISRALFQPVKGTKLYKLKYGTRVQIVLQDTNIVTSENHPIHLHGYDFYIVAEGFGNFNPKKDNSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHIKWGLGMAFLVENGVGELETLEAAPHDLPVC >A02p036900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21346228:21350444:-1 gene:A02p036900.1_BraROA transcript:A02p036900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT4G10120) UniProtKB/Swiss-Prot;Acc:F4JLK2] MARNEWINSYLEAILDVGTSNKKRFESNSKIVQKLGDMNRKEPQEKVFSPIKYFVEEVVNSFDESDLYKTWIKVIATRNTRERSNRLENICWRIWHLARKKKQIVWDDGIRLSKRRDEREKGRNDAEEDLSELSEGEKEKSDAVTTLEPPRDQMPHAYQVPASICSMHGLVRGENMELGRDSDTGGQVKYVVELARALANTEGVHRVDLLTRQISSPEVDSSYGEPVEMLSCPPEGSGSCGSYIIRIPCGSRDKYIPKESLWPHIHEFVDGALNHIVDIARSLGEQVNGGKPIWPYVIHGHYADAGEVAAHLAGTLNVPMVLTGHSLGRNKFEQLLKQGRVTREDINQTYKIMRRIEAEELSLDAAEMVVTSTRQEIEAQWGLYDGFDIKLERKLRVRRRRGVSCFGRYMPRMVVIPPGMDFSYVLTQDSQDADADLKSLIGPDRNQIKKPVPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQPLRELANLTLILGNRDDIEEMSNSSSVVLMSVLKLIDQYDLYGQVAYPKHHKQSEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPIVATKNGGPVDIVKALNNGLLVDPHDQQAISDALLKLVANKHLWAECRKNGLKNIHRFSWPEHCRNYLSHVEHCRNRHPTSSLDIMKVPEEPISDSLGDVDDISLRFSMDGDFKLNGELDASTRQRKLVNAISQMNSMKGGPSALYSPGRRQMLFVITVDSYDDNGDTKANLEDVIKNVMKTAGLTSGKGKIGFVLATGSSVQEVVEMTKKYLINLEEFDAIVCNSGSEIYYPWRDMEVDADYEAHVEYKWPGESLRSVILRLACTEPETEDDITEYTSACSTRCYAISVKQGVETRRVDDLRQRLRMRGLRCNIVYTHAATRLNVIPLCASRLQAVRYLSIRWGIDMTKSVLFVGEKGDTDYEDLLGGLHKTIILKGAVGSDSEKLLRSEENFKREDVVPRDSPNISYVEENGGPPEMLSTLEAYGIK >A04p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13922832:13928357:-1 gene:A04p022990.1_BraROA transcript:A04p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGTKAGKQKKRPLPESKETDHDDDLSTRKTRPKRAAACTNFKEKSIRISEKSATVAAKHHQTVDDEIVALHLTASSPQSGGDEPHQTRRLTDFVLHDTDGVSQAVEMVEHVDMFITGVILPTGECSDKERAKGVRCEGFGRVDNWSISGYEDGSPVVWVTTSLADYECVKPATSYKKVYDYFFQKAYASVEVYKKVANSDLMSLDELLVAVARAMSLETKCFSSDYLAIKNFVISQGEFVYNQLAGLDDTAKKDDARFVEIPVLVALRDASSSSILEYDAGQPSNGVLRIDGAAERKAMSSDQHMVDEDEKFARLVQDEEYMKSMQRPRKSSSSASVSKNGYIMTTEDEIANDYPLPAHYKNSQVETDELLLYHDDDYEVDIDDLPRKMLQNWALYDIDSRLISLELLPMKTCADIDVTIFGSGLMADDQGIWIDLDDPATSMPSEEHAGIPIFLSQIKEWMIEYGVSTVSVSIRTDAAWYRLGKPSKQYAPWFEPILKTARVAVGVFALLEEQTRMAKLSFEDVVRRVCEFESNHKAYISSDRAAVDRYVVGHGQIILQMFSEFPNKEIRRCAFITGLANKRAEKHNTRWTIKKKKILLKVNLNPRAGVAPVSKRKAMQATTTRLINRIWGEFYSIYSPEEPLQAVGAEEEAEEDEEEDEEENEDDDAEETEPEAAEVEEAHTLPKKIVGNCGKMETRWDGESLGRTSAGEPLYRQALLEGERVAVGGAVIAEVSGSQAIYFVEYMFESSDHCKMLHGRLLQRGSETVLENAANERELFLTNKCMTVQFKDVKGTVCFEIRTRPWGHQFRKEDAVADKLDRARAEERMAKELPTEYFCKSLYSPERGGFFSLPLSDMGCASGSCTSCRLREDEVERKKIKLNASKTGFSSYGVDYCADDFVYVYPDCIDGSKKANGKFKPGRNIGLRAFVVCQLLEIIVPKKSRKGSFEVNVRRFYRPEDVSERKAYASDIREVYYSEDTFVVQPEALKGKCEVRKKKDMPSCSEFPISENIFFCEQIYDPSKGSVKQLPLNIKPKYSTVKDDALLKKKKGKGVESETDSGIVVKPNELPKEMRLATLDIFAGCGGLSQGLEQAGVSTTKWAIEYEGPAGEAFRKNHPETTVIVDNCNVTLRAIMEKCGGQDECISTTEANELAAKLDENQKRTLPLPGQVDFINGGPPCQGFSGMNRFSQSPWSKIQCQMVLAFLSFVDYFRPRYFLLENVRNFVSYSKGQMFKLTLASLLEMGYQVRFGVLEAGAYGVSQSRKRAFIWAAAPEEVLPEWPEPMHVFNVSQLNISLSKGLRYAAVRSTQQGAPFRPITVRDTIGDLPPVENGESNIDKEYETDPVSWFQKEIRGNKNVPTDHLSQTMNEHNLIRCKRIPKRSGADWRDLPDEKVTLSTGLVVDLIPGCLAAKPGKKKQWKGLFGRLDWEGNFPTCVTKPGPMGMVGKCFHPDQDRIVTVRECARSQGFPDSYEFEGDIAHKHRQIGNAVPPPLAFALGRKLKEAVQLNNFAKSN >A09g516050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47557729:47558377:-1 gene:A09g516050.1_BraROA transcript:A09g516050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKVQLSQKKPWRKRSQNASNSKEPGGCSRIRRWTPMIIVAQPPEKKCTNRKETVSKNSLTVRRKKAVATNSVNPRTVPENKDIKSQKKPESEEVKSLKQLHKMENKENTVVAAGAGEEIQV >A04p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3275388:3278348:1 gene:A04p006320.1_BraROA transcript:A04p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLTTVAGISFRQPPPLCSFLFIIVLLVATFYTLHHPDATPPHLFSPNTHNSLTLRRLFLSSASNATVSSYLHSLTRHPHLAGTKPSLDTLTYVLTHFQSLRLDDTRVAEYEALLSYPTHISVTARFSNNTTVEFDLNDDVSSSSDVVTPYHAYSPSGSAQGSVVFVNHGEERDYRALEMIGVSVRGCLVLARKGETLGRGVIVKIAETQGALGVLIYDENDGGGLGGIERGTVMRGIGDPVSPGWPGVTGGEKLSVDDDRVSRRFPKIPSLPLSLHNAEILLASLCGARAPVEWRSGRVGSSQRVGPGRVVVNMTLQGEMKMKKIHNVVATIRGSEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIGRRFALLLESGWRPRRTILLCSWDAEEFGMIGSTEWVEEHVLNLGASAVAYLNVDCAVQGSGFFAGATPQLDSVLVDALKLVQDPDDVTLTVEETFKSQNNIIERLSRVDSDFSGFLHHAGIPSIDMYYGADYPVYHTAFDSYDWMIRNADPLFHRHVAMAGIWGLLGIILADEPVLPFDYIAYAEQLQAHRDALSKLLEGKVSVDPLSVAIQEFSLVAKEVTDEAKKLKEQAYSKNDVAVAAKRRELNDRMMLAERGFLDSEGIKGKEWFKHLVYGPAAEPESKLGFFPGIADAIETNSSEGIIKHEIWRVTRAIQRASKALKGGFT >A02p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9715818:9717176:-1 gene:A02p020700.1_BraROA transcript:A02p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABA DEFICIENT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67080) UniProtKB/Swiss-Prot;Acc:Q8LFP9] MAFSQPLSSSSLSMMNRSFVAKSSVSASLSLNKSLKIRFHNRWSFNGGSRIVLFPSNSSSLVHKKRSCVRASWMATSQIASSVFAVGTTAVLPFYTLMVVAPKAEITKKCMESSIPYVVLGVLYAYLLYLSWTPETLKYMFSSKYLLPELSGIAKMFSSEMTLASAWIHLLVIDLFAARQVFNDGLENKIETRHSVSLCLLFCPVGIVSHVVTKALTNSSTSNTNNQCK >A10p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9770536:9771084:1 gene:A10p006580.1_BraROA transcript:A10p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSAIRLCLCIFFALSIVSSARLRLSFPENEKMVVRGRSLMMVHANDYDEPSANGRHNPPGGRRGGGRRGGR >A06p040290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21791957:21793422:-1 gene:A06p040290.1_BraROA transcript:A06p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGSVTIKLWDLGGQPRFRSMWERYCRSVSAIVYVVDAADPDNLSVSKSELHDLLSKTSLSGIPLLVLGNKIDKPGALSKDDLTEEMGLKSLTDREVCCFMISCKNSTNIDQVIDWLVKHSKSAS >A04p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:501188:502251:1 gene:A04p001040.1_BraROA transcript:A04p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDFFSCFSEINSGMTMNKKKMRKGTNHKRFSEEQIKSLEVIFESETRLEPRKKVQLARELGLQPRQVAIWFQNKRARWKSKQLEKEYDILRANYNNLASQFEIIKKEKQALVSELQRLNEEMQKTKEERNEECCGEQRVALSSSTWSDNGKYEPEVRLNQGIVLCNDDIKTEYFGFEEESNHELINIVEQADDSGLTSSDNWGNFNSESLLDQSSSTYPWWDFWS >A09p003170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1866529:1868502:1 gene:A09p003170.1_BraROA transcript:A09p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26782, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G26782) UniProtKB/Swiss-Prot;Acc:Q9LW32] MKVPSKKTLFSSVSRLLHTERQTDRQNLTTLFNRYVDKTDVFSWNSVIADLARSNDSSEALRAFSSMRKLSLHPNRSTFPCAIKACSSLLDLFSGKQTHQQAFVFGYHSDVFVSSALIVMYSTCGQVEDARKVFDEMPVRNIVCWTSMIRGYDLNGNALDAVSLFKELLLLDGRDHEDVDSKGMVSVISACSRVAAKGLTESIHGFVVKRGFERGVSVGNTLLDAYAKGREGGVAVARRIFDEIVGKDSVSYNSIMSVYAQNGMSSEAFDVFRILMNDKGVTFNSITLSTVLLAVSHSGALRVGKCVHDQVVRMGLEDDVVVGTSIIDMYCKCGRVETARKVFDRMRKKNVRTWTAMIAGYGMHGHAVKALELFPVMISSGVRPNYITFVSVLAACSHAGLHVEGWRWFNSMKGRFGVEPGLEHYGCMVDLLGRAGYLQKAYDLVQTMKMKPDSVIWSSLLAACRIHKNVELAEISVARLFELDPSNCGYYMLLSHIYADAGRWKEVERVRTVMKKRGLVKPPGFSLLELNGEVHVFLIGDEEHPKREEIYEFLEELNVKLLEAGYVSNTASVCHDVDEEEKEMTLRVHSEKLAVAFGIMSTVPGSTVSVVKNLRVCSDCHDVIKLVSKIVDREFVVRDAKRFHHFKNGFCSCGDYW >A04p029590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17677783:17681715:1 gene:A04p029590.1_BraROA transcript:A04p029590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQISQDEVGPPINPRAGLRREQAGRGSYRGKILRSLCSNTVWRYAVFWKLNHRSRMVLTLEDAYYDNCGHNNNSPEVQGCSVVPKDMHGAHDSLGLAVAKMAYHVYSLGEGIVGQVAVSGGYQWVFPEYNGNCHSASEFHNVWESQISGGVKTILVVAVGPCGVVQLGSLHKVDEDVTLVNHIRHMFLALKDPLADHAASLMQCNLNNSLSLPKIPSECLHVEALPNCSGEVGKAMNVASVPYNTPSCLLMEKAAQAVQGSSCGSYSSVTFGFPIDLVDAKHESQVGTNIVSYAPHVLNNSSSSALATEAERLISSQSYPGLDSTFYDSSRTGKESSYQNEVFQLSENQGNRYIEETERMLEGKCKDALISSGYPFAGSELLEALGSGFKQTSRGGREELVKSEHGSTTRPTDDMSHSQLTFDPGPENLLDAVVANVCHSDGNARDDILSSRSVQSLLTSMAEPSGQKMHNQLPLTEVYTQQNPSDICGAFSSIGFSSTCPSSSSDQFQTSLEMMPKKIKKRAKPGESSRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFLQNVTKHADKLSKSATTKMQQKETGTQGSTCAVEVGGHLQVCSIIVENLNKQGMVLIEMLCEECGHFLEIANVIRSLDLIILRGVTEAQGEKTWICFVVESQNNKVMQRMDILWSLVQIFQPKANGKH >A01p022290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10977415:10979502:-1 gene:A01p022290.1_BraROA transcript:A01p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKSPLRIFIEVSMKELIRSFRRQRIRWRNAFLLGSIMTTIVILLHTPTFSIFSDDEETESSSSPIYLNGSLHLNIQIVSEAKVESFPSLTTTPVVKMNSSSEIKRVDEETNLPRKRQKRKRRKKTKDDLILTDPPPAPRHILSSSERRALSLPPKEALAFAKLEIQRAPEVVNDTELFAPVFRNLSIFKRSYELMELILKVYIYPDGEKPIFHQPHLNGIYASEGWFMKLMESNTQFVTKNPEKAHLFYMPYSVKQLQHAIFVPGSHNIKPLSIFIRDYVNMLSIKYPFWNRTHGSDHFLVACHDWGPYTVSEHPELRQNTIKALCNADLSDGIFVPGRDVSLPETSIRNAGRPLRNIGNGNRVSQRPILAFFAGNLHGRVRPQLLKHWRNKDDEMKIYGPLPHNVARKMTYVQHMKSSKYCLCPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFSEVLDWSAFSVVVPEKDIPRIKEILLEIPMRRYLKMQSNVKMVQKHFLWSPKPRRYDVFHMILHSIWFNLLHHNQTSTHVPSFRQHP >A09p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8931224:8933195:1 gene:A09p017270.1_BraROA transcript:A09p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP4 [Source:Projected from Arabidopsis thaliana (AT1G63780) UniProtKB/TrEMBL;Acc:A0A178WDJ3] MLRRNVRLRKEYLYRKNLEGNEREIYEKKRKIREALQEGKPIPTELRNEEAKLRQEIDLEDQNTAVPRSHIDDEYANATEKEPKILLTTSRDPSAPLTRFVKVISEIIETARSHDFTDVIFVSENRGKPDGLIISHLPFGPTAYFQLLNVVTRHEIQTKKEMGKMSEQYPHLIFERFTTQMGKRVMNILKHIFPVPKLDAKRIVTFSNESDYISFRNHVYDKGEGGPKSIELKEIGPRFELRHYQVKLGTLEQDEAEVEWVLRPYMNTAKKRKFLGE >A08p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13968205:13970259:1 gene:A08p020770.1_BraROA transcript:A08p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASSSSFAPASAAAMTMASSPLVSRFAAHRTTLRCDLLDQRRLPCSSWIQTKTRNPSAYPLFLNQLNNDAPRTKSYKEVIKPFRQMFAREISSQSNDSDISIAKVLLYIAAEDEAFLAFNREMDARFFMRERETIQVKTDSEEQLETIQTQSDPSETDSEELDGKSIPEWLSELDAISKQVEAELVSRGINSCNSVQVLEAVNTVLFDTRGFKRTSTCFDEDPKDSYLHSVLTSRCGTAFLFSIIYIEVCQRLGVPIVGAKVGEEFLVWPETENPEELFEVATSGKSLFAIVNGKCVDDPKSMASELTGKSLLGLDVATNRDIIGIALANLFRVHWKRASKPTPGQMLTAPLTELNNFRISNIPLLRPHDLRLAIAAAERLLMLEPNNWRVRRDLGMMHYYVRQCREAIIELSICIASFAIEEEEAKELKLFVEKLHRLFSLMSSPLDSDRLALR >A03p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12286741:12288318:1 gene:A03p029230.1_BraROA transcript:A03p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINDFLNLTAPFFTFFGLCFFLPPFIFFKFLQSIFSTIFCENLNGKVVLITGASSGIGERLAYEYASKGACLALTALRKNRLEEVAEIAREVGSPNVVTVHADVSKPDDCRRIVDETISHFGRLDHLVNNAGITKISMFENFEEITRTRSVMDTNFWGSVYTTRAALPYLRQSNGKIVVMSSSAAWLTAPRMSFYNASKAALLNFFETLRIELGGDVHITIVTPGYTQFELAQGKYFSAEGELVVDQNVRDVQVGAFPVASVSACAKEIVNGVCRKQRYVTEPSWFKVTYLWKVFCPELIEWGCRLLFMTTSEENALNKKILDNTGVRGVLYPEPIRTL >A06p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1582998:1588361:1 gene:A06p005350.1_BraROA transcript:A06p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWFSSSPCMWLTELSCSSSAVIESTSSLPVAIQWLRFVFLSPCPQRVLFSSVDLLFIVLLLFFALHKLFRPSSSSSTVNVNADVTKPLLANRGRIQTRTTAWFKTTVVAAVLSSFCSVVVCVLAFTGKRRTHRPWNVIDPMFWLIHAVTNAVIAVLVLHEKRLSALSHPLSLRIYWLSSFVVTTLFAVSGILRFLSAGSDVEDVASFLSFPLTVFLLIVSVRGTNGVIITTEERNGIKSDDVVLEKSDNVSLYASASAFSKMFWLWMNPLLSKGYKSPLTLEQVPTLAPEHKAERLALVFESNWPKPSENSNHPVRTTLIRCFWKEILFTAILAIVRLCVMYVGPVLIQSFVDFTSGKRSSPLQGYYLVLVLLVAKFVEVLTTHQFNFNSQKLGMLIRSTLITALYKKGLKLTSSARQNHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVTLALVLLYGSLGASVVTAVVGLTGVFVFILLGTKRNNRYQFSLMGNRDSRMKATNEMLNYMRVIKFQAWENHFNKRILNFRDMEFGWLSKFLYSISGNIIVLWSTPVLISALTFATALFLGVKLDAGTVFTTTTIFKILQEPIRTFPQSMISLSQAMISLGRLDSYMMSKELSNEAVERSIGCDGRVAVEVRDGSFSWDDEDNVPALKDINFKVNKGELTAIVGTVGSGKSSLLASVLGEMHRITGQVRVCGSTGYVAQTSWIQNGTVQDNILFGLPMVREKYNEVLSVCCLEKDLQMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYFLDDVFSAVDAHTGSDIFKKCVRGALKGKTVLLVTHQVDFLHNVDCILVMREGKIVESGRYDELVSSGLDFGELVAAHETSMELVEAGAESSSAAATIITSPRPSSHPSSPRTSMDSPHLSDLNDEHVKSFLGSQTVEDGSKLIQEEQRETGQVSLRVYKQYCTEAYGWWGIVFVVFFSLTWQGSLMASDYWLAYETSAKNAVSFDASVFIRVYVIIALVSIVLVSLRSYYVTHLGLKTAQIFFRQILNSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFMLGLVASMYTTLLSIFIVTCQYAWPTIFFVIPLGWLNIWYRNYYLTSSRELTRLDSITKAPVIHHFSESIAGVMTIRSFRKHELFRQENVKRVNANLRMDFHNNGSNEWLGFRLELIGSWVLCISALFMVMLPSNVIKPENVGLSLSYGLSLNSVLFWAIYMSCFVENKMVSVERIKQFTDIPAEAEWVSKENLPPSNWPFHGNVHLEDLKVRYRPNTPLVLKGITLDIKGGEKVGVVGRTGSGKSTLIQVLFRLVEPSGGRIVIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTDQYSDEEIWKSLERCQLKDVVATKPEKLDSLVVDSGENWSVGQRQLLCLGRVMLKRSRLLFLDEATASVDSQTDAVIQKIIREDFESCTIISIAHRIPTVMDCDRVLVIDAGKAKEFDSPARLLEKQSLFAALVQEYALRSAGI >A03g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:786135:787689:-1 gene:A03g500250.1_BraROA transcript:A03g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAVVDMLLIGSRVSSIQSHKRGLICHPRRFSNAAWSGKFVVDCSSFSSDPLLLSTAKGQAGKYTAVYQKLAQKHPSFRDNTDLIVTMSFIFSGILTPIPAFGFLFDNEEVKGPVIQYLIRPEECLKKFHPIDLEKVQFIGDSLNILRQEVEEYAKLTLEMWEPWIKERRYSDTPIVFYTKWKHGSSSADVIGLDWTVDMADGKRRLGSSVRDLRVHGNVDPSNLLSLIPALTEEIYRWIVYFVELKSSEDYWTYLHGIYSNLGHGVLVGTPEEALAHFFETARSLAY >A05p011690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5122929:5125475:1 gene:A05p011690.1_BraROA transcript:A05p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCINCTKTSDRDDEDEDGARGSTTPNTKEAVKSLTTQIKDMASKFSGASKQSKPPSGSSSSNLRRELRKYPDFDAASDSVPYPYMGGGSTSSTPAWDFTSSSHHQGGRADSKFTSMYGGERESISAQSCDVVLEDDEPKEWMAQVEPGVHITFVSLPSGGNDLKRIRFSREVFDKWQAQRWWGENYDRIVELYNVQRFNRQALQTPGRSEDQSQRDSTYTRIESARESRDWTPRHNYRPPGGSSFPHHFYGPPMDAARITTSSRDDPPSISNASEMQAEWVEEDEPGVHITIRQLPDGTRELRRVRFSRERFGEVHAKTWWEQNRDRIQTQYL >A01p058170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33213946:33216539:1 gene:A01p058170.1_BraROA transcript:A01p058170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSMKFAFVFFCAITWVMSIVAVPDVEAKQLAPEETPYVLLHREGFVLHQFIIFIKSFCSILPRITAMDLRVPFLLLIAVSCFPSSFASSSPSVCNHESELFRFDIHSKCPPSMYPTPPIEVDGDSLDRLMALHHDGNAYVSVLFYASWCPFSRALRSKFDTLSSMFPQIHHLALDHSQALPSVFSRYGIHSLPSILMVNQTSKARYHGRKDLTSLIEFYEESTGLKPVQYVSEPEPTTSVDATDGNMITWLRKGTSISEVFKGDPFLVLSLLFVCLQAAILVFPMAEQRLKALWASYVPNLNLERFGEVSQVFRRAVHMVDVRRLWLKLTLVKTRSFHERAKNARAWASSLASVSLGQTSSNQS >A01p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1451528:1452845:1 gene:A01p003230.1_BraROA transcript:A01p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLSSKRYTYIHESGSETRRGAIDIHHVIINRSRSTGYARLMGLAFFLVILGVSMYSFLEKDNPVRTLSWSCLLSGFFVVIQSRKLVKKESIIIMPTFGIQLETQYLSGKTVSRFIPIDKILKPVLVECVTPVTCYWSLSLFLRGEEQLTLVFKELRPSLKMLVPIWKALCTVISTDQSEIITEEKHVVSG >A08g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11564009:11564642:-1 gene:A08g506690.1_BraROA transcript:A08g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPYQEMKDMKKHKKHYDMLGYICDAQYGIPTRCPCGGEIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEIISFFDDGMHFRQPWAFGVEDEMRRLRMEVNDMAEEIAKLKRIITSTSRP >A06g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21665192:21665486:1 gene:A06g507740.1_BraROA transcript:A06g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYMLNNKCR >A08p031190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19069221:19071377:-1 gene:A08p031190.1_BraROA transcript:A08p031190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLTILQAFVTITLVMLLKKLITNRNKKKISLPPGPTGWPIIGMIPAMLKSRPVFRWLHSIMKQLNTEIACVKLGNTHVITVTCPKIAREILKQQDALFASRPMTYAQNVLSNGYKTCVITPFGEQFKKMRKVVMTELVCPARHRWLHQKRAEENDHLTAWLYNMVKNSGSVDFRFVTRHYCGNAIKKLMFGTRTFSENTAPDGGPTAEDIEHMEAMFEALGFTFAFCISDYLPMLTGLDLNGHEKIMRDSSAIMDKYHDPIIDARIKMWREGKRTQIEDFLDIFISIKDEQGNPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMVNKPEILRKAMEELDRVVGKERLVQESDIPKLNYVKAILREAFRLHPVAAFNLPHVALSDATVAGYHIPKGSQVLLSRYGLGRNPKVWADPLSFKPERHLNECSEVTLTENDLRFISFSTGKRGCAAPTLGTALTTMMLARLLQGFTWKLPENETRVELMESSHDMFLCKPLVMVGELRLPEHLYPTVK >A03p023390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9877908:9880277:-1 gene:A03p023390.1_BraROA transcript:A03p023390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLECRMYESKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLSIDLEELYVNIGWPLYRKHGHAFEAFKVLVTDPDSVLGSLTREIKEVGPDGQEVTKVVPAVTEEVKDALVKNIRRRMTPQPMKIRADIELKCFQFDGVVHIKEAMRKAEAAGNEDCPVKIKLVAPPLYVLTTQTLDKDQGIEILEKAIAVCTETIEEHKGKLVIKEAPRAVSERDDKMLTEHMAKLRMDNEEISGDEESGEEEEDTGMGEVDIDGGAGIIE >A09p081940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59500426:59501195:-1 gene:A09p081940.1_BraROA transcript:A09p081940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRNIAAPLLFLNLIMYLIVLGFASWCINKYINGQTNHPSFGGNGATPFFLTFSILAAVIGVASKLAGGNHIRFWRNDSLAAAGSASIVAWAVTALAMGLACKQINIGGWRGWRLRMIEAFIIILTFTQLLYLLLIHAGTFSSKYGPGYRDTDYATGQGHHVPGTHAGEHKAGVGTHMPV >A06p056430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29376305:29379715:1 gene:A06p056430.1_BraROA transcript:A06p056430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSLKRFQFRRWGKRIGNSTTTASAKLGNSEERTIVAFAFSSSCFGKPPRVLVKQFLWRLKSRLRLSRKSDTHNIQCSYDLRSYHLNFDDERPTFCIVRTRKLPVMSVCCASAQLTFPSHTTTPRRFTVRMSNQPPKPTTTTTSIFTSATKLLWGPSLPPGLLISTARTAWSTVWHLMMTQLAPSDSSGSYTRPTSQFRLNPTQLPSAASSELHLYVGLPCPWAHRTLIVRALKGLDDAVSVSVASPGQDGSWEFKDNTIPIRDKDKLIPGLDKANRCRNLKEVYKSRTGGYDGRCTVPMLWDSRKKDVVCNESYDIIEFFNTGLNELAKNPSLDLTPPELKGKIETWNRIVYPKVNNGVYRCGFAQSQEAYDRAVNELFSTLDEIEDHLGSNRYLCGERLTLADVCLFTTLIRFDPVYNILFKCTKKKLVEYPNLYGYLRDIYQIPGVAATCDIPAIMDGYYKTLFPLNASGIQPAISLSGHQDSLLLPHNRDSVGKAFEAQNAV >A07p029580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16572377:16573822:-1 gene:A07p029580.1_BraROA transcript:A07p029580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEASTTNPNSSRRVPRVANRLRTRLGMARRNVGERKAEALALGMSFAAFATLVVEKKNAADENTDVADLALIYASAVKESLANVYGTRIGSFGEISFNSTLRILKVTNDSVEKSKLEMGVSEAALRTERLDADDQTYETELEAEMSTVIMNWLVSSVINALAWMLYVSRAFTRTCMKENMLMCRQPFWLQIYFAILVILVVTYLIIHRSPGTKHSTRAPYSLLLLGGIFYGLLDMYLGDALDSIGKLWLFLWATVCLLRYVADTITLAMFGLVYGPRYVTQETKTRTMVPYWERASSLLVAILLFIPLINGFLPFATVGELGDQFGEWWTSHFSILASAVNL >A04p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7505141:7505880:-1 gene:A04p009910.1_BraROA transcript:A04p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT4G14270) UniProtKB/Swiss-Prot;Acc:Q94AR4] MTVRSPSSPLHLPVAHTGLPSTSFFKNSAKPFPNQKTVFSSDSERKASVIGVVKMSTVVDRSVSTLNPNAPAFDPVGFREVEDFSPKWWELVTTSKWFRDFWLSANSEEYEFSDMEDEFEELIMTSSGEAKMGGSSVRESDVGRYLKALLSMAESTKGKLYRSNVSCSAKYNQKRMNPNFYCRRNHHIYQPR >A04p008870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7982896:7984553:1 gene:A04p008870.1_BraROA transcript:A04p008870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD3 [Source:Projected from Arabidopsis thaliana (AT3G52290) UniProtKB/TrEMBL;Acc:A0A384LAZ6] MGKSWFAVVKKAFTPRPKQTKDEQKPHKAKKWFGKSKKLDVTTPGVAGSLPSNTLCVAREAKLKEIEEEQSRHAYSVAVATAAAADAAVAAAQAAAEVVRLTAVSRFPGKSKEDIAAIKIQTAFRGYMARRALRALRGLVRLKSLVQGKGVRRQATSTLQSMQTLARLQYQIRERRLRLSEDKQACARKLQQKHNKDFAKIGGNWDDSTLSRERVEANMLNKQVATMRREKALAYAYTHQNTWKGSSISQTFMDPNNPHWGWSWLERWMAARPNENQSVTLTPDTADKTSSAANSVASRARSEIIPRGRKPSPKRITPPSSRRMSSPGVRQVPSGDEDSKSRLSIQSEQPGNRRHSIGGSLPSTRDDESSITSSSVSQSVPGYMALTQAAKARARLSNLSPLGSEKTAKKRLSFSDSPSKTVRRFSVPPKLESSGNNKNKDSVLA >A09p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4108058:4113298:-1 gene:A09p007900.1_BraROA transcript:A09p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSKIMRRSIHTFLQHYHRATTAAAVALPFSTALLLSQPFFSSSSIHMKLNTLFRGAGFSSSLGFFNILSLKLSQTLSSSLLTLPFSLTFLLFSKAYIIKLLSNNHDSIYYFRLLRTYICNSFFILSANASAFALFFLASTILDSFGFSSRNFYTLFSLSSALIYSIILANAFVISNLALVSSPSSSSGGYTTLLKACLLIRGRTSTALALALPTNLGLAGVEALFRYRVMRSFYKGDRDVTSIAIEGTLIAYLYALFLVLDTIVNFFFYQSCVKNEEEQKIGGDDEYSIKIQICESENTKICIKGPKSQTDRFDGRVESSPIKPFEVDDLRLVFLGLFTVLFPYSSPLGGVFKMGGGSKSSKRARLYESDSEEISDQEQEVERESEDDDISDGIDDSEDHGMNEGGSDEGEDSEEDDDGNDKESGDEGDDDNKDAAMEELEKEYQELRSQEQDILKNLKRDKNEDAAKGQAVKNQKALWDKTLEIRFLLQKPFSTSNRLPHEHVKLSFCSEDEKVSTAYAELITSSKKTLDSLLELQGALFEKNPSVDQQADGKATLPHSESNTSDAEDTDDEWHRISDMQQRMCVFRNKAVDKWQRRTQVTTGAAAIKGKLHAFNQNVSEQVASYMRDPSRMIKQMQQSRSTVAVFGTVLDEAMEPNQEEKQLEGDPELVEDAEFYQQLLKEFFETIDPASSEAAFYAMKKFQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPRRVKIPPNSADLLKNLFGLKTRNGLACEV >A05g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18761499:18764327:1 gene:A05g506610.1_BraROA transcript:A05g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSMAQRTPLPDPALGLDPIGRVSLKPNPEGLATENEGVSLAPRTGADLTVIFCPETNVLSGTEFLRVTAIKFLQPRSQQTAYSPLRTMHKLCKDARITSAGLCVHDSNWKTDETRPRPRKRENLKLGAKRSAGKFAGKVPAIDLNPALDSVGPSSPTLHTRSVHTDVRVCPSAHTGRPWLSIRTHRTSGCPSVHISARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTHDVRGLSISTRISTLALPVDCLGDFGSRGLSVQYTQDVRGCPSAHTGRPFTVCVCPCVRQHTQDVRGRPSAHTGRPWTVHQYTYQHAGPSCGLFGTSVAVLQHTQDVRQHTQDVRGCPSGHTGRPWLAISTRPWLAHQYTYQHAGTVRGLPISTYISMLTTHISMLALPVDCPCTDFGQLMHHVSTHISILVLPMDCPCTEFGQLMHQVSTYISMLALPVDCPCTDF >A05p044310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26611923:26613832:-1 gene:A05p044310.1_BraROA transcript:A05p044310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYFVDILFDSEIPKTPSLSVPTIKPSQNPLLHLLITLSRVLYLPMATHSISRSILCRPAKSLSFLFTRSFASSSAPLAKSPATSLYTSSLLTRSRPLVAALSSAFRGGLVSVKGLSSQATSSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVVDPPEGDPTRDEIIDSYIKTLAQIVGSEEEARMKIYSVSTRCYFAFGALVSEDLSHKLKELPKVRWVLPDSYLDVRNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPKNFDRSRNFERRRENMAGGPPPQRHPMGGPPPPHMGGSAPPPPPMGQNYGQRPPPNYGGPPPPHNNMGGQRPPANYGGAPPPPPPPNYGGPPPPNYGGTPPQNNMGGAPPPNYGGAPPPNYGGPPPQNNMGGGGPPNAGWSGNNNNYQQQQGGGMQQPQYQNNYPPNRDGSGNPYQG >A07p029200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16401357:16403996:-1 gene:A07p029200.1_BraROA transcript:A07p029200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENVVDNKPKLGCGSIRTRLIQALRTNKLLVKSREKQKDLPTHETALSLREILLCSCIFYVYKRNRRLWDVLSAALAVIVVEYIGALMHSITQHNWITKPMKSTLQAKPKKKTMETQPALSTEEDSNMANEPSRTRDCHLTLLSSHPSLDLGETTYTHSCNETLVIWGAKTKFRLENHKVEKGYAQVLILERMKQQYWLNSSGMAHALVNVDPVRSTFFIGCQDSSIYAEQLRYSDYKNMERSIILSCLV >A04p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1134535:1136700:1 gene:A04p002370.1_BraROA transcript:A04p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSEEDPYTKPIGRWAVFFYGVGHMLNDITASCWFTYLLLFLTQIGLSPRDAAIVMLSGQVADGFATIFTGELIDRFGHFKIWHAAGSVLVAISFSSVFGGCLPCSILHNDSLSLETFSYSMFAAIFNIGWAATQVSHMAMVNCISLNSTSRVALTSCRNAFTMVANLSLYAIALVVFGVIKAGSKENTETQYRWIAYSSITVGCCFVVIFLMGTKEPRLRMDLKETSRRVRIPWVYWFKKLLYYQVAMVYLLTRLVLNVSQAYLAFFVIDDLQMDQSAKALVPAIIYIGSFVVSVLLQEIPWNGRRLKAYYSAGGIIWMFCGAAILLLPRDISSFMYAISVFIGIANALMMVTAISMQSVLVGSEVGGCAFVCGSLSFLDKMSCGLALYVLQSHQSTSPRIQLNNGQQSAYLSVTRYGLGLVPALCSLVGVVVTFFMELEAAGSLSKPLLDEPLLE >A01p057910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32822717:32824750:-1 gene:A01p057910.1_BraROA transcript:A01p057910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIKIGINGFGRIGRLVARVVLQRDDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHHELKVKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLIVHMSKA >A04p015110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5892815:5894905:1 gene:A04p015110.1_BraROA transcript:A04p015110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQVLPRVLVVSRRTVRKNKFVDFVGEYHLDLIVRYGCVPVIVPRVTGVHMLLESFKPIHGVLLCEGEDIDPSLYESEISSLSPEELQEIREKHASDTSIDREKDSIELALAKLCLEQNIPYLGICRGSQILNVACGGTLYLDLEKELTNKLPEERRTKHIDYNNYDEHRHVVRIVENSPLHCWFKDSLDGENMEILVNSYHHQGVKRLAQRFVPMAFASDGLMEGFYDPDTYNPEEGKFIMGLQFHPERMRSNDLDEFDYPGCPAAYQEFAKAVIAYQKKLNSSMSVPKTLVLDGEMESKRKILVRCFSLARYMYTRGATGKNPSKVSELEVGAEFLESNTALSTEQETRLKEMGATVRNGGSYMKKLKVDEEKRRIVRNMMNKMNIEQLSELMAFYHLIGNMCGEVLEQRLRGNVNECFKDM >A08g502870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5757011:5757307:1 gene:A08g502870.1_BraROA transcript:A08g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKRSMKRPMEDVYGADAVEGYNKGKMETTEHYRALLRLAKEQRQSESEWNDASSKVNSITVRMKLLDAIIKAEGKFDLVAELETLTAQHCEAEAE >A06p016840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7564786:7566709:1 gene:A06p016840.1_BraROA transcript:A06p016840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDRLARFLDSGIHESEDSKWFFLDPVRIINRSYTRFRVSPCGYYSRCFNSKHLNPQQPNELTNTRKRKRNKQKDPSFHLPSAAEQASNLRHQEASLFISEAYESLLEETELLSLIKGLSSDDDGGLLRTKCCEDEVSFVELGGVWQAPLYEITLSCDDNKEKCSEERVFKVFNNLVANETEEEVEAEFSNRRYIMPRKSCFYMSDLLHLRNLVPAKYEEGFNLIVIDPPWENASAHQKSKYPTLPNRYFLSLPVKQLTHAEGALVALWVTNREKLLNFVEKELFPAWGVKYVATMYWLKVKPDGTMICDLDLVHHKPYEYLILGYRFTELAESKHRSDFELLDKNKIIISIPGDFSRKPPIGEILKKHVPGSQPARCLELFAREMAAGWTSWGNEPLHFQDSRYFLKD >A06p010300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3689015:3689635:1 gene:A06p010300.1_BraROA transcript:A06p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 1F [Source:Projected from Arabidopsis thaliana (AT1G12610) UniProtKB/Swiss-Prot;Acc:Q9LN86] MDNNDEIMLAEMTPKRRAGRRVFKETRHPVYRGIRRRNGDKWVCEVREPIHQRRIWLGTYPTAEMAARAHDVAALALRGRSACLNFADSAWRLPVPESTDPDVIRRVAAEAAEMFRPTEYESGITVVPSYGDEVDLGFGSGSGSEERSLYGYVEQEEEEVSTTMMRLATEPLMSPPRSYMEGMTSNAYMEEEMSSYQDMSLWSYNY >A05p004310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1652395:1652706:1 gene:A05p004310.1_BraROA transcript:A05p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQTNVMFMVLALISALVFLQSEAVDRCPTGNINLVPGCFDALRLASDKDYSRLSRACCRAVYSLDSDTCFFLFYPSPAYSIKMFKNICLNVKYVPAGSRF >A04p001430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:680570:681088:1 gene:A04p001430.1_BraROA transcript:A04p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVKLKARQLFDEIPERGLALDCGPYNAFMNVNGAIQVMEEMEEKGIESDSVTFHFMFIGMMKCREFGFDRVCELYCKMKLFCQKGEVNLGLDLWKYMVEKGYCPHGHALELLTTALCARRRDNDAFECSWQTVERGRCVSKPVFRMLEKLEELKRKDTETA >A07g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15522105:15523211:1 gene:A07g506470.1_BraROA transcript:A07g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANVSSVLQLLFLLIILSGNAVDSTSIVKYLPGFEGPLPFELETGYVGVGVGEEEQVQLFYYFIKSERNPEKDPLLLWLSGGPGCSSISGLLYENGPVTVKFEVYNGTLPSLIATTYSWTKISSIIYLDQPVGTGFSYSRTHLASKPSDSGEVKLVHEFLQKWLNKHQEFLSNPFYAGGDSYSGMTK >A05g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3334223:3336859:1 gene:A05g500930.1_BraROA transcript:A05g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEPSETGPKRFNHKSQTEMAFDLNGRGSSAINQIKKQTVLVQYRFSIRFVKNQCLKKNRAKPDINGSITKWKKLCNQIKSNQILYPWIKALNFSKGDLESGEQANGTSVNSCKTRPHAGADRLLRRRFRSLEKETHSVETVTTTLEDPTSLKQVPCPHISDPAEKYLSLIVPAFNEEQRLPAALQETMDYLQGRASRDKSFSYEVVIVDDGSVDGTKRVAFDFVRKYTLDNIRILAVAREENSIRDPTSKHVDFRIGDVQVSAFGSRAHLEEKAIATRKWYRNFLMKGFHLVVLLAAGSGIRDTQCGFKMFTRAAARRLFTNIHLKRWCFDVELVFLCKRFNIPMLEISVKWSEIPGSKVSMLSIPNMLWELALMSVGYRTGMWKIHQA >A06p008190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2823459:2824240:-1 gene:A06p008190.1_BraROA transcript:A06p008190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U17 [Source:Projected from Arabidopsis thaliana (AT1G10370) UniProtKB/Swiss-Prot;Acc:Q9FUS8] MASDEVKVIGAWASPFVMRPRIALNLKSVPYEFLQESFGAKSELLLKSNPVHKKIPVLIHADKPVCESNIIVEYVDETWSASGPSMLPSTPYDRAIARFWAAYIDEKWFPSLKSILKAEGEEEKKAVLAQVEEGNALLEKAFNDCSKGKPFFNGDHIGYLDIAFGCFLAWLRVTELAGGHKLIDEVKTPSLSKWAERFCNDPAVKPVMPETATLAEFAKKIFAKPPA >A05g503200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9431369:9431581:-1 gene:A05g503200.1_BraROA transcript:A05g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLRKHEFEQIGDAQRPRLVAPARRSGLRERLEGVAAAGRSGSSFELRFVKRASDFRTSLQRGRSTR >A03p036370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15238754:15239024:-1 gene:A03p036370.1_BraROA transcript:A03p036370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATFIDILLAILLPPLGVFLRYGCGVEFWICLVLTLLGYLPGIIYALFVLTK >A04p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:736408:736892:1 gene:A04p001540.1_BraROA transcript:A04p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLGRIDPLFYTTMTKTLEIDIRSAEGLKINRRLIKKKKTFAVVKIGEKSRSSDLDDSGGSNPTWNFKSEMPMKGSVQFISIEVFYRTRCGRDKKIGEARIPATDFMGRNDDDVHGFFFFFFV >A02p052450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32092729:32098213:-1 gene:A02p052450.1_BraROA transcript:A02p052450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMS19 nucleotide excision repair protein homolog [Source:Projected from Arabidopsis thaliana (AT5G48120) UniProtKB/Swiss-Prot;Acc:Q0WVF8] MAALNNLTEHLEAFVDVTRSPTHHAESLKAIATSLEKSVLSINQLVVEMDMYLTTTDDVVRARGILLLAEMLDYLKSKPLDNAVVNSLVGFFTAKLAEWRSVRGALTGCLALIKRKGVAGLVTAVDAEAVAKSMAQSVQVQSLALYDRKLCFELLECLLEQYPEAMINLGDLMVYATCEAIDGEKDPPCLMVAFHVVELLARLFPSPSGPVASEASDLFEFISCYFPLHYTHTKGDEASIPREDLSRGLSLAISSTPFFEPYAIPLLLEKLSSSLPVAKVDSLICLKDCAVNYGVNRMKKHYKGIWSAIKDALYASTGTDLSFGLESLTSPGFEMNEIHIEAANLLQRLVKQDISFLGLVADDIRVKMIFDTISRYSRYEEMPDTSKLEVLVVSQILFVSARASVESCNTIFETFFVRLMNTLGIVEKTSSGDLVHNENSTGSTRLYHGGLHLCIELLTASKDLIPSSEENSSTPESAQQSWCSIVNRFSVSLIEAFTSAVQSSNDDCSADAYLGVKGLLAMGMFRGGFSPVSRSEFEKILTTLTSIITDNSAKTVKWELALKALVCMGSFIDQYHESEKAMSYMGIVVETLVSLVCSSPCGLPHPMILEATSEVCSTRPTYVEKMVQGFEEAFCSSFSDFCVNGNFKSIENCSQLLECVTNKLLPRLTEIDGLEKSLVHFAISMWNQIESSVVFSYDFNGREFVEAAMKTMRQIVGVASVESQNSIIQKAYNVISSSTLPAMESVPLTFAALEGLQRDLSTRNELMLSLFASVVIGASPMASIPDVKSLIKLFLVSFLKGYIPAAQALGSMVNKLGTGLEEACDTIFHESSVGNNTSSVDSSKTICGSETLLAKICLGFYGSLDLQTRAITGLAWIGKGLLMRGDKRVNEIALVLVECLKSTNSSGIALHPSAMKHAADAFLTLMSDSEACLNRKLHAVIRPLYKQRFFSITVPVLESLIVNSQTPLSRTMLHVALAHVISNVPVTVILDNTKKLLPIILEGSSVLSVESEHKETLFSLLLVLSGTLTDIKGQQSVSDNAHRIIECLVKLASYPHLMVVRETAIQCLATLLELPHRRIYPFRREVLQAVSKALDDPKRRVREEAIKCRQAWTSISSRSTPF >A03p060240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26117524:26118505:-1 gene:A03p060240.1_BraROA transcript:A03p060240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25130) UniProtKB/Swiss-Prot;Acc:P54150] MPALVASSPVISAASLSKPLHSLSKAAALPLFKPVCPFPKTARSISIYKPPMNNLFNRLGYGSRSQQDPSSAAIAEGPDDDVPSPGQQFAQFGAGCFWGLELAYQRVPGVTKTEVGYSHGFVHNPIYEDVCTGMTGHNEVVRVQYDPNECSFENLLDVFWNRHDPTTLNRQGNDVGTQYRSGIYYYTDEQEKLARESLEKQEKILNKKIVTEILPAKKFYRAEEYHQQYLAKGGSMGRRQSAEKGCTDPIRCYG >A08g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5457929:5460598:1 gene:A08g502540.1_BraROA transcript:A08g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAWSTRKDKCQVSADKYGSFEDNFVTFRHRKSTKTSSRFLPVNTKGNDKSYQNPVSLAHYRTKEISMRKGKSRKKETQQNDYLARSLRSDRAHTLLGRYVATEHAHCSRPSTHTLLGRYVATEHAHTARSLRSDRARTHCSRPSTHTLLGRYVATEHAHTARSLRSDRARTLLSRYVATELKPTLHSLRSDLLGRQSLRSDLPQRGPPLRSPLNPHRNAFFFVSIGVPVEILRRKQRPFRPSVATHRPVRPQRGPPLRSPLNPHRNAFRFVSIGVSVEILRRKQRPVRPQRGPPLRSPLNPHRNAFRFVSIGVSIEILRRKQVGLFLACFHSLRSDMSDRQSLRSDLSGLKGVLLCLFVSSQSEFPLRFYDENKWDSSRLLPLAIATEMASYVATCQASKGSSFAFSFESSSKRFSFRLNRSFR >A09p009190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4754657:4755728:-1 gene:A09p009190.1_BraROA transcript:A09p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGVCHSDLHVMMKGEIPFSSPWVIGHEITGEVVEHGPVHSLITRSSKVGSRVVGAFIMPSGTCSYCAKTSLLIIEPKELFTMGKLDDKLQKAMTLGATHIVNAAKEDAVERIRVGWVWMLLLKHWESCDDWGLLQAGSVGEIDINRLVRRKIITFESGKIKVIKSYGGRARQDLPKVVKLAESGIFNLANTVSSRYKFEDAGKSISRSQ >A04p012050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6097055:6099251:-1 gene:A04p012050.1_BraROA transcript:A04p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 2 [Source:Projected from Arabidopsis thaliana (AT2G39780) UniProtKB/Swiss-Prot;Acc:P42814] MACRRSLLLLLLATVLSVSAYLPETGTLAGDDVVVLNRSQREFDYFALSLQWPGTYCRGTRHCCSKNACCRGSDTPTQFTIHGLWPDYNDGSWPSCCYRSDFNEKEISTLMDGMDKYWPSLSCGSPSSCHGGKGSFWGHEKHGTCSSPVIRDEYSYFITTLNLYLKHNVTDVLYQAGYVASNSEKYPLGGIVTAIQNAFHITPEVVCKRDAIDEIRICFYKDFKPRDCVGSKDMTSKKSCPKYVSLPEYTPLDGEAMVLKMPTDE >A02g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3007563:3008130:1 gene:A02g500980.1_BraROA transcript:A02g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLSQKQAAATITSSCFERRPSAVSLPEAAQANNLLDTHDLNTRDFESEIVRDRLHLSLRTWGLTVGGGFASSLKAH >A07g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6577212:6578681:1 gene:A07g503330.1_BraROA transcript:A07g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLHKACRVVGYHVDARYAEKDKLRVYTMWTQDAELAWDKLEEQVYTVEKRQEINLGSKYVHDCSMQKTIMLKDGRYGHWKVHMKLLVRGINDAVWIAECISRGGEEHGDGRPEVDGAYLVGEKSVYIVEDEDSATLVGENGISSVESLLHKACGVVGYHVDARYAEMEKDKLRVYVLT >A02g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18293488:18294802:-1 gene:A02g506490.1_BraROA transcript:A02g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKKRENTYHPKSTDQYSSSCRKIQVGQPRAKWGISRGSVSIDLQCESKPCPGLCKLKRMEIILLKHEARSLLRHIVNDVPNGVLKHITLQKNEDKPVTNSRDTQEEHTSKICILNDFSFYEVRQKTILEKKAKQHQTHKQVSEENSTEDEKRNLKLLIRLIRNLLQLLKLPVMSRLIRMNLFLNQLMWVENGC >A08p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11435310:11437368:1 gene:A08p012360.1_BraROA transcript:A08p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGLRAVGSHCSLSEMDDLDLTRALDKPRLKIERKRSFDERSMSELSAGYGRHDGLHDSPRGRSVLDTPLSSARNCFEPHPMMAEAWEALRRSMVFFRGHPVGTLAAVDNTTDEVLNYDQVFVRDFVPSALAFLMNGESDIVKHFLLKTLQLQDSEKRVDRFKLGKGVMPASFKVRHDPVREMDHLVADFGETAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSETPECQKGIKLILSLCLAEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFYMALRCALSMLKPDGDGRECIEQITKRLHALSFHMRNYFWLDYQQLNDIYRYKTEEYSHTAVNKFNVMPDSIPDWVFDFMPLRGGYFVGNVGPAHMDFRWFALGNCVSILSSLATPDQSMAIMDLLEHRWAELVGEMPLKICYPCLEGHEWRIITGCDPKNTRWSYHNGGSWPVLLWQLTAACIKTGRPQIARRAVDLIESRLHRDCWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMLLEDPSHIGMISLEEDKLMKPVIKRSASWPQL >A10p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19891939:19893013:-1 gene:A10p034220.1_BraROA transcript:A10p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-hydroxynitrile lyase [Source:Projected from Arabidopsis thaliana (AT5G10300) UniProtKB/Swiss-Prot;Acc:Q9LFT6] MERKQHFVLVHNAYHGAWIWFKLKPLLESACHRVTAVELAASGIDPRPIQAVETFEEYSQPLIETLASLPENEEVILVGFSFGGINIAYAADKFPAKTKVLVFVNAFLPDTTHVPSHVLDKYMEMPGDFEDCEFSSHETKNGTMSLLKMGPKFMKNHLYQECTVQDYELAKTLHRQGSFFKEDLAKKEKFSEEGYGSVRRVYIMGKEDKAIPCDFIRWMIDNFNVSKVYEIDGADHMVMLSKPQQLFECLSTIAVDSN >A04p018530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11121895:11122287:1 gene:A04p018530.1_BraROA transcript:A04p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFAFLFLLISFALAGEIYAADSSSPFPPTTTSTTTVKSPPELLVGNNSTDGTDYSDYEVPINLAPGGVEVVEDYAPFNAGRGPDELAQPEDDLKISKGDNKPVNSASSFSSFSSNVVFFAAAGLFLF >A08p033530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20124100:20124544:-1 gene:A08p033530.1_BraROA transcript:A08p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKGEVVLRAEEVLFLLPSNLFNEVFRDCIGPYPQSYRGGSYHDRKPRMWHALNHYGSIKKPSSDDASTGRIKPRGTGVFLPARPVSGSEEKKPKKKTCPIISSHSRQVFLPKEWAY >A07p035620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19258143:19260844:1 gene:A07p035620.1_BraROA transcript:A07p035620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSIHSRLALIALLSATTFYCIHKYRRLKRLKTLSSKLNPSAATIRGKIFFISQTGTSKALAQRLRDLLASNNIAFDLVDPRSYEPEDLPKETVAIFVASTWEGGKPPKDGEFLVDWLSESAEDFRVGSLILSGCRFAVFGVGSRAYGEAYNAVGKELARRMVGLGGVEMVPVGEGDVDDGEMDGVFEDWCDGVIRVLKGGSAREGAEISQNGDVVSDGEYVDSTDEEEEEEGDDDIVDLEDIAGKAPSRKNGVVKVAKGDGKKEMVTPVIRASLTKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGKSWQWKMDDPKEIVKGALDLHTKMIKQMKGVPGVTAEKLQEGLTPRHCALSLVGEPIMYPEINALVDELHGKRISTFLVTNAQFPEKILMMKPITQLYVSVDAATKESLKAIDRPLFADFWERFIDSLKALQEKQQRTVYRLTLVKGWNTEELEAYFNLFSIGKPDFIEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALSLKSNGEYEVACEHAHSCCVLLGRTDKFKVDGKWFTWIDYEKFHDLVASGEPFTSRDYMAETPSWAVYGAEEGGFDPEQLRYKKERHHHPKPQPQAVLA >A02p039290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22382294:22386269:-1 gene:A02p039290.1_BraROA transcript:A02p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTKKVRKPSKRSKPSPLPSQYEFTPRTTEPPPRGNRRAGPTVSDYPPPRQLFEESTPRTQPRAASTPLSQPAPPPQPRGSQTSANVRPRQPTVSIRRQSPISSEAQNSQNTEAPEGPDEDEPVPNSPFPVEPNLSEDQTRLLNALLSQPGRERYTMSLSPTFEPGTMWDISSEGEGEGEGEGEGEGESESESEGEGEGEGEEGEDEGEGEGEGEGEGEGEGEGESESESEGEGEGEGEGEEGEDEGEGESEDEDEF >A02p021640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10188193:10189469:1 gene:A02p021640.1_BraROA transcript:A02p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIPSKGILKSEALKQYILETSAYPREHELLRELRKATVQKYGNLSEMEVPVDEGLFLSMLLKITNAKNTLELGVFTGYSLLTTALALPKDGRITAIDIDKEAYEVGLEFIKKAGVDHKINFIHSDGITALDQLVNDNQEFDFAFADADKSNYPNFHERLLKLVKVGGIIAFDNTLWFGFVAEDEEGVPDHMREYREALIEFNKKLALDTRVEVSQISIGDGVTLCRRLI >A04p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19684977:19687745:1 gene:A04p033890.1_BraROA transcript:A04p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSLSGSTGRRSSEKYRRLQLRWNCPKFSTTVRQSQPLSGNASGEGCVVERSRLCFYQCCSVPCQEAEKAMGCLDASRGGMFLHCASSCWKEFCQDYFSCPKQKQGSGWFRDYYYRLVRRMNKLLGPELSLDAKNPKDTNAAMALLSKVDMLYHVSCRWSLLEKHSCKASKLHLKPRRFKLFLEALEHQLLKDSRKSTSKRACHGETFSSASLENISSQSRERGLDNRPLKMILCDSQNVKKLGPGRASTKHGDSLGASLGDEKEDTAFRGGRQRRKQGSYLTLSSSYLNMVRTESGPLPKHSTGDSNEPRLATNAVSAGEWADTLTNISIGDLLSEAPDDIDSECVDQPATEGSHCLLRDASFASDSFDAAIAAHILRHQNKPPSSLLQIHAMHDEDTRDAFSFQKNRLAGSSKLAYVASPGGGEPSHLVEATSGDEGPRNFPDQQRDPMEEGPTTIKSPGKKTLCGFADVYYWPDSLGPMDLDIRSSKHTEDLNLNKSLDGLRRLIATSLDAFQNCSVFGFDSTKDTSNMV >A10p008450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8490876:8492499:-1 gene:A10p008450.1_BraROA transcript:A10p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVDISAPEVEFFGLTSTGLWRYLETMKTILGSKFPTVTENESEQVKGAIDFTGLIITRHFTVNDKSSSLKQDLHVFKIDKAVELTHVLSAPSATKTPIMETVPSNSINKEVQKTSVVDLVTTTLSANAFESPSCFSVLGDMDEAEIESMVSLILTRGGRETKPPIKYQDLEWKTTQGRRKHGPHGRGSKR >SC166g500070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:158974:160296:-1 gene:SC166g500070.1_BraROA transcript:SC166g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLQQVVFEPGGSFSVSIRSNNNLVQKTVSYKLDLQGFFTPEKQDLRSNLFEGREDGVILSICSKNRGETGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRQVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A03g509400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30382237:30382413:1 gene:A03g509400.1_BraROA transcript:A03g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSCFSGVCSNDGGYDLAPAIDLSGKDNTRVKHSSGEEGVEVMRSGCHGDACSYSGR >A09p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:641198:643000:-1 gene:A09p002930.1_BraROA transcript:A09p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLSSLAMATSPSDKLVQEEEVVTEEVDPAKTTEEEDEARTEEDQARTEEADPEKTTEEEDEVRTEEEDEVRTEEVDPTKKTEKEDEVRAEEDKARTEEEEVRTEEVDPTKTTEEEDKMRTEEEDEHKEEAIESLLESTRNLNIEDEESDQQTENQNRQEDPITTRRSDQAMERGSTSLASDQNRRLDSDPNTSAISLSVQESDQGTENKNPQEQSMIPRSGQRMERGSTSSARHQNQNRPLVLPNPPSQHMMMPPRLGPSVPPYQQNPYGLPQPRWLVVDHFYSDGHGLYGAMWRFRTITPFLPNQNTYPHQLVPMELPGQPGTELVCYSQSFGVLRQGQLVPHQEAPPMRPQQQNQFRSLPPMRPMLPQDDFVVHLGQVPVRPMMYYQEQNQIVPNAGIQAPARPSLPQVRAPMMQPPVLLYPPPIVNAVPVRPVMNQGGGQRFRFPMIQQHHGSPSAPWPEQNQQLQSPRESQGSNDGPFSSGGSQD >A06g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8213635:8214827:-1 gene:A06g502440.1_BraROA transcript:A06g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSYLLQLLVAFFMGRKSSAAWAAWCAEACLHLSSLSFALCGSETSSELKIWRPEVENHPCSSAFDFDRLIRDGQGEYSDQPDPCDGSEPRVIQK >A08p024540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15951859:15952956:-1 gene:A08p024540.1_BraROA transcript:A08p024540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLAVFSIVSATTTARTTGPSLPLITSHNSGDRHVHPLLLARSSPAVRGLGGLGAAGLPVNRRRLQCLPSSSPSFSGGGAGFGGYSGGSGGGGGGGSDSGDSKSKLGAGAGDGGVSVPSSDIIVLNVGGMTCGGCSASVKKILESQPQVASASVNLTTETAIVWPVPEARSVPDWQKTLGETLANHLTNCGFQSTPRGEVPEDIAGEIAP >A09g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5554001:5555776:1 gene:A09g501570.1_BraROA transcript:A09g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAN7 [Source:Projected from Arabidopsis thaliana (AT5G66460) UniProtKB/TrEMBL;Acc:A0A178UNQ8] MKPLCLIIFLSIVIQQSYLKLGADASSRDGFVRTKGVQFSLNGYPYYANGFNAYWLMYVTSDPIQRPKISAAFQEASRHGLTVARTWAFSDGGYRPLQYSPGSYNEDMFQGLDFAIAEARKHGIKIILSFANNYVSFGGKKQYVDWARNQGRPVSSEDDFFTDSLVKDFYKNHIKAVLNRFNTFTKVQYKDDPTIMAWELMNEPRCPSDPTGRTIQAWITEMAAHVKSLDTNHLLEAGLEGFYGQSSPQSKTLNPPGQFGTDFIANNRIPGIDFVTAHSYPDEWFVDASEQSQMEFLNKWVDAHIQDAQNVLHKPIILAEFGKSTKKAGYSPAQRDLVFNTVYTKIYESAKRGGAAAGGLFWQLLGNGMDNFQDGYGIILGQSSSTVNVIAQQSRKLTLIRKIFARMIDVEKWKRARGYGPVRKGGHNIPN >A06p032540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17426764:17429166:-1 gene:A06p032540.1_BraROA transcript:A06p032540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNLASLPPSIIYQILSKISHMITTQEVSGYWDHEKYGMFWSLFERIDANVSFACWCSKIKEHVFVVSTDGSKTKRRCDHCLWNLLLNSYYHLTIINKMQAATSCDLKFRSTNLTASTARPTNGMSKRVNVLCGYRSMPFSFRNGVSVSRADWQSSCAILSSKVASVDDPGGLADKIAVVNGHKNGSVDLSLVPVETTNGKLSQVQPLTITDLSPAPLHGSNLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFDVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQIPVHHCLLALPGVRTDCVSRVISHPQALAQTERSLDNLTPRAAREAFHDTAAAAEYIAANNLHDTAALASARAAELYNLQILADGIQDDTGNVTRFLMLAREPIIPRTDRPFKTSIVFAAQEHKGTSVLFKVLSAFAFRNISLTKIESRPHHNRPLRVVGDGSFGTAKNFEYMFYVDFEASMAETRAQNALSEVQEYTSFLRVLGSYPMDMTPWSMSPTEDA >A03p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11631375:11632638:1 gene:A03p027810.1_BraROA transcript:A03p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLTLTLSRKSAVEKMFQPSAASVILTASEHSIERLVIFFVVLVSKCKSPSTRLAVLLISNPNMENPEVSCDDELPLSHIVDEEDFRSYCANEQVQKKLDFYAEETVANYLALLYGLTVALQKNLLFVVAVTVSEFLYNQASRSFKWFNYLFCSFVVYMKMALQKSSEEKIEIPILVALRERMFKKTSNFDGFVLKLALLCDLDQALSLAQVAVCDLQILEQMVIVLLVVQVKVDVMDSESFFSK >A04g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7210706:7211685:-1 gene:A04g503270.1_BraROA transcript:A04g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEWKKKWEANKAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSALPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMRRMYPNEVFPNIQDP >A09p071280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55063539:55065042:-1 gene:A09p071280.1_BraROA transcript:A09p071280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFGSLWFGLYIPICSGFSSTHTSPSGQAYIYKLNRCYRMEETADLLPESLQPANEPFDVASKKLSCGQITNEEDESLNKKNKCCSDIVSDSNYKTGLSCHQDDQRRTRLVASIVETSLSHDAPTRRTIDLGHGSDLIYIQRFLPFQKSWTFFDYLDKHIPWTRPTIRVFGRSCLQPRDTCYVASSGLTPLVYSGYRPDAYSWDDFPPLKEILDAICEALPGSRFNSLLLNRYKGANDYVGWHADDEKLYGPTPEIASLSLGCERDFVLKKKKLQDSSREKRGDGGPAKKRLKRSSGEEDQHSFALKHGSLLVMRGYTQRDWNHSVPKRAKAEGTRINLTFRLVL >A03p020650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8480253:8482115:-1 gene:A03p020650.1_BraROA transcript:A03p020650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNGGISNGDVLVREKLIIDTDPGIDDSMAIMMAFQTPELEILGLTTVFGNVSTQDATRNALLLCEVAGFPDVPVAEGSSEPLKGGIPRVADFVHGKNGLGEVSVPLPSRKKCEKSAAEFLVEKVSEYPGEVTILALGPLTNLAIAIKRDSSFASKVKKIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTCGADITVVGINITTQLKLTDDDLLALRDSKGKHAKLLSDMCKFYRDWHVKSDGVYGVYLHDPVSFVAVVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKRWNGSNPWVGYSPVSVAWTVDVDGVLEYIKGMLMKP >A06p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3106980:3109829:-1 gene:A06p008900.1_BraROA transcript:A06p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHTKNISTWLISLWFTTLLILLPMVICTRQHRFDSPKQKSLLANEQDLVTDLPGQPDVSFRHYAGYVPVDESNGRAMFYWFFEAMDLPKEKPLVLWLNGGPGCSSVGYGATQEIGPFLVDANENGLKFNPYAWNKEANMLFLESPVGVGFSYSNTTSDYQKLDDDFTGRDAFSFLCNWFEKFPEHKGNTFYIAGESYAGKYVPELAEVVYDNNNKNNGSSLHINLKGILLGNPETSDADDWRGWVDYAWSHAVISDETHRIITRTCNFSSDNTWSDDECSEAVDEVQKQYDEIDIYSLYTSVCIGDSARSSYLDSKQFKTNSHTSSKRVPPRRLGGYDPCLDDYARIFYNRADVQKSLHASDGVNLKDWRICNMDIFHNWTYIKPSVLPIYEKLIAGGLRIWVYSGDTDGRVPVLATRYSLSALELPIKTAWRPWYHEKQVSGWLQEYEGLTFATFRGAGHAVPSFKPSSSLAFFSAFLTGIPPPPSR >A03p037970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15859424:15861483:1 gene:A03p037970.1_BraROA transcript:A03p037970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 1 [Source:Projected from Arabidopsis thaliana (AT3G09710) UniProtKB/TrEMBL;Acc:F4J1A4] MVKKAKWLENVKKAFSPDVKKLKHQSVEESVISYPVLVARSRSSPPQFEVRVDEVNYEHRKKKNLSPPPSEEQENVLGDSTPESVPPPVAPDRFAGEEAAAIFIQSTFRGHLARREALRMRRWARLKLLMEGLVVQRQAANTLRSMQTFTRMQSKIRSMRIRMAEENQGRHKQLLQKHAKELRGSKNGVNNQSKKQVEAGLLNKNEAATMRKERALAYASTHQQHLKSNLKHTYTMFMDPNNLTWGWSWLERWTADKESSEKEQTNTVKPAVKTSTNRSSHRGETTKSSNSKKLNSSTQPNTTSTSSSSTTSNPRKNKPNPPAIRPKTTDEITKSSDKNRRHSIARSSVSDDEGLARRRNMVPTKPAEEIPKSSEKNRSRHIIARSSVSDDEGLASSVARRSNMVPTKPARVNLKAQSSAAATKATKEESNDVLREKAPAAKKRVSPAPKPRRSTAPPKVENSVLKAVKTPCA >A08g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6485591:6486198:1 gene:A08g503780.1_BraROA transcript:A08g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLSDIHLGPGSVRIIPITQCTKKQDPFGIYVGFGSVRIHFHRIGFGSDFRVRCLKNHYLIDVTEIFNLLDWETKKRLFKLAYMILTLFLTIFWLIYSTVDDYEE >A02p026230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13744435:13747155:1 gene:A02p026230.1_BraROA transcript:A02p026230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGAVRECWLVRTPVTPPAVHGLIRRNEKPHQLGFPLSKRLSRGVVVAVQGGRGYESPWDEKPYETLPTGKKVYVDESDVVTFLDPPKELIPLDPTSYNPAAYLWKKIEDIPEERRHRLLQFIEPRLISRAWEIAGARYENPKLAKMTASKLFSTGGDAEISLEYFNCRTSNGPLVISWIRSFKMAVFSSNNGQIYGRVCGGPIVSTLANAFSPLYFEVTEAMEVMATEEPCDIACRFGDGLLAIEDYPQGFPRPAKHPYPFNDSVVIYIRHIGPGVCVGQAWQEGKEVQQVPQRLCSDILMVSYLSDLKRQLEASGCLHRSLTDLIKAEVPAAPVRLRDSGI >A02p047850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29827422:29834050:-1 gene:A02p047850.1_BraROA transcript:A02p047850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNSTEVERKRSRAAEEASSWKNSVERLKAIRQGGLGNLSASGGGYDDIKLEQPVDDEYDAIVKYEGILEEVLANVNPDDMDRERHRRRKQPATVPIRIRDSDDKCEHVAMENELMKEQEEEDVTVTLPQTSEKEAMCKGGTENGAVFDLDADGSLRFYILDAYEEAFGASMGTVYLFGKVKMGDTYKSCCVVVKNIQRCVYAIPNGSIFPSHVLFMLEQEVKYSRLSPQSFRVKLHEMASKLKNEISQQLLRLNVSNYSMALVKRSYAFEKPDVPAGEQYVLKINYPFKDPALPQDLKGESFCAVLGSHTSALELFILKRKIMGPSWLKISNFSTSLPSQRVRWCKFEVTVESPKVITVLVPEEKVVHPPAVVTAINLKTIVNEKHNISEIVSASLLCFHNAKIDVPMAGPERKRCGILSHFTVVRNPEGTCYPIGWKKEVADRNSKKGCSVLSFENSERALLNRLFLELNKLDSDVLVGHNISRFDLDACKVLSSMWSKIGRLKRSFMPKLKGNNKSGATPGLMSCIAGRLLCDTDLCSQDLLKQQVSYSLTDLSKTQLNQDRKQISPNDIPKMFQSSKTLVELIECGERDAWLSMELMFHLSVLPLTLQLTNISGNLWGKTLQGSRAQRIEYYLLHTFHSRKYILPDKISQRMKDIIKSSKRRISHGELDADLPLENDPSKKGPGYAGGLVLEPKKGLYDKYVVLLDFNSLYPSIIQEYNICFTTVPRSEDGVPRLPSSQTPGILPKLMEHLVSIRKRVKQNMKKETGVKYWELDIRQQALKLTANSMYGCLGFSDSRFYAKPLAELITLQGREILQRTVDLVQNHLNLEVIYGDTDSIMIHSGLDDFEEVKTIITKVIQAVNKKYRCLKIDCDGIYKRMLILRKKKYAGVKLQFKDGQTCEEIERKGVDMVRRDWSLLSKEIGDLCLAKIFYGGSCEDVVEAIHNELVKIKEEMRNGQVSLEKYVITKALTKAPEAYPDSKSQPHVQVALRMRQRGFKEGFNAKDTVPYIICYEQGNASSVSSAGIAKRARHPDEVKSDDSRLLVDIDYYLAQQIHPVVSRLCAEIQGTSPERLAECLGLDPSKYRSNDATSSDPSTSLLFATSHEERYKSCEPLTLTCPSCSASFNCPSITSSVCASISNKSETEESTFWLRLHCSKCTGRISPAMIANQVKRQIDGFLSMYYKGTMMCDDESCKHTTRSPNFRLLGDRERGTVCPNYPNCNGTLLRKYTEADLYKQLSYFCHILDTQFSLEKMDVGVRIQVEKAMMKIKPAVESAASIARSIRDRCDYGWVQLTDIAI >A06p029410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:1239:2381:-1 gene:A06p029410.1_BraROA transcript:A06p029410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPFRIFLNRVEISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMVRIEHLLRVNWKHWTKILDFCCSALSSLCWTFLKIKRMIELRSFKTAGVFVGANRRTGCKVFGGRVRTSCSYYLRILTLRRLAVVSKIIHRGLRTRVEFRRPMRLRRQMI >A09p066290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52671873:52697827:1 gene:A09p066290.1_BraROA transcript:A09p066290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAVVSETTEIVVGDSVEDVVDRLSGVDFLVVDSKRGEYVKALGLANTSKMGAVLVCKNATQKSIPGFKWHRVLRRGTRVVRSVFLPVGRGLDIAHVGASGGGGDLKKVHSPMTARSSSSPRRNHQYPIDDHDLISKLPDELLQMILSKLSIEEAVRTSVLSSRWVDVWKWRSHLVLDMNKVLDTTPDKDLAYVSFKLARSMTKVIKNHHRGHLESCIIHYDVLQCKNATLQSWIHTATQLKHTKILTLTNRMPGYLRGYKITSYLRLLPDTFSHHSLTSLSLCGFLVITPHAFGNCKNLKTLKLLNIAIPQASDLSEVLAACTSLEVIVLQVNFLSQYGVLKIENNNLKFLQVTFPYEIDRIEVYATCLDVLDIRFIKGKRENFILAGPNIQANKNAWVSDHGIHTPHLFYNVSSYLAQEKKIICRELLVSDFHDMRRDGSLSVTVDITDPKEVEIVKEVLLMWATNKMIELEIFFKNKKAPRDEGECSTNNRTHKILLEDAKPFPNAAFRVYNVRLYNFDGSNEEEFAFASRLLTQKTVVRKMMIETSSFPPTKKLNAEAAVAKLMELPKAMTTCSSSSSSSSSPRKLQYPIDDNDWISKLPDELLQLILSKLSTEEAVRTSLLSSRWEDVWKWRSHLVLDMNKVLETTPDEDLHRVSVELARSMTKAINNYRGHLERCIIQHYVSQCKDGTLQSWIHSVTRLEHTKDLTLVNYIPATRRCTRASLLSLLPDTFSHHSLTSLSLCGYTLIGPRAFSNCKNLKTLKLINVVISQASVLSGVLAACSSLEVVVLKVNFLTPRGVLKIENNNLKFLQLSFLYEIDRMEVYATCLDVLDIRCIKVKRDNFILVAPNIQVNTNAWLDDHGCIDCPHLYYHVSSYLAQEEKNIWHELLVSDFHEMRRSGCLSVSVDLTDPEDVEILKEVLLMWTDLLMEFEILFKNKKSPIEEGECSTNDRTHAKPFLNGDLWFYNVWLYNFDGSNDEEFAFASHLLMQKTVIIKMMIETSSFPPTKKLNAEAAVAKLMELPKHSVEDVVDRLSGVDFLVVDSKRGEYVKALGLANTSKMGAVLVCKNATQKSIPGFKWHRVLRRGTRVVRSVFLPVGRGLDIAHVGASGGGGDLKKVHSPMTARSSSSPRRNHQYPIDDHDLISKLPDELLQMILSKLSIEEAVRTSVLSSRWVDVWKWRSHLVLDMNKVLDTTPDKDLAYVSFKLARSMTKVIKNHHRGHLESCIIHYDVLQCKNATLQSWIHTATQLKHTKILTLTNRMPGYLRGYKITSYLRLLPDTFSHHSLTSLSLCGFLVITPHAFGNCENLKTLKLLNIAIPQASDLSEVLAACTSLEVIVLQVNFLSQYGVLKIENNNLKFLQVTFPYEIDRIEVYATCLDVLDIRFIKGKRENFILAGPNIQANKNAWVSDHGIHTPHLFYNVSSYLAQEKKIICRELLVSDFHDMRRDGSLSVTVDITDPKEVEIVKEVLLMWATNKMIELEIFFKNKKAPRDEGECSTNNRTHKILLEDAKPFPNAAFRVYNVRLYNFDGSNEEEFAFASRLLTQKTVVRKMMIETSSFPPTKKLNAEAAVAKLMELPKGYKRLPMTTCSSSSSSSSSPRKLQYPIDDNDWISKLPDELLQLILSKLSTEEAVRTSLLSSRWEDVWKWRSHLVLDMNKVLETTPDEDLHRVSVELARSMTKAINNYRGHLERCIIQHYVSQCKDGTLQSWIHSVTRLEHTKDLTLVNYIPATRRCTRASLLSLLPDTFSHHSLTSLSLCGYTLIGPRAFSNCKNLKTLKLINVVISQASVLSGVLAACSSLEVVVLKVNFLTPRGVLKIENNNLKFLQLSFLYEIDRMEVYATCLDVLDIRCIKVKRDNFILVAPNIQVNTNAWLDDHGCIDCPHLYYHVSSYLAQEEKNIWHELLVSDFHDMRRSGCLSVSVDLTDPEDVEILKEVLLMWTDLLMEFEILFKNKKSPIEEGECSTNDRTHAKPFLNGDLWFYNVWLYNFDGSNDEEFAFASHLLMQKTVIIKMMIETSSFPPTKKLNTEAAVANYKESSVAEYLSATAAGWSTRLIVETWKRGDPIATSVGLAVAAIHTRGRHICIVPDD >A09p047540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41295447:41299219:-1 gene:A09p047540.1_BraROA transcript:A09p047540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbamoyl-phosphate synthase large chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29900) UniProtKB/Swiss-Prot;Acc:Q42601] MSSNHCLHLSPNHSSIFTSSKLSNPRLLSRSAINYRAKPRLPSSTFLLPCLNRKASSVVTRVLNSSTPDEKTQPFSPEIVGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPETANRTYIAPMTPDLVEQVIAKERPDALLPTMGGQTALNLAVALAESGALERYGVELIGAKLDAIKKAEDRELFKEAMKSIGLKTPPSGIGNTLDECFDIAERIGEFPLIIRPAFTLGGTGGGIAYNREEFESICKAGLAASVTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDREYQRLRDYSIAIIREIGVECGGSNVQFAVNPADGEVMIIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITRKTPASFEPSIDYVVTKIPRFAFEKFPGSQPLLTTQMKSVGESMALGRTFQESFQKALRSLETGFSGWGCAKIKELNWDWDQLKYSLRVPNPDRIHAIYAAMKKGMKVDEIHELSMVDKWFLTQLKELVDVEQYLMSGPPLAEITKADLYEVKKRGFSDKQIAFATKATEEEVRSKRISLGVVPSYKRVDTCAAEFEAHTPYMYSSYDFECESAPNTKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMLNSNPETVSTDYDTSDRLYFEPLTIEDVLNVIDLEKPDGIIVQFGGQTPLKLALPIKNYLDKHKPMSLSGEGPVRIWGTSPDSIDAAEDRERFNAILNELKIEQPKGGIAKSESDALAIAKEIGYPVVVRPSYVLGGRAMEIVYDDSKLITYLENAVEVDPERPVLVDRYLSDAIEIDVDTLTDSYGNVVIGGIMEHIEQAGVHSGDSACMLPTQTIPSSCLETIRSWTTKLAKKLNVCGLMNCQYAITSSGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGKSLKDLNFEEEVIPKHISVKEAVFPFEKFQGCDVILGPEMRSTGEVMSISSEFPSAFAMAQIAAGQKLPLTGTVFLSLNDMTKPHLEKIAVSFLDLGFKIVATSGTAHFLELKGIPVERVLKLHEGRPHAADMVANGQIHLMLITSSGDALDQKDGRQLRQMALAYKVPVITTVAGALATAEGIKSLKSSAIKMTALQDFFEVKNESSLLV >A03p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1285572:1287611:1 gene:A03p002890.1_BraROA transcript:A03p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAVKYMPIHSATTTTAAAAEIRSFFSAVKPKKTSTFAYAFVITFVSFTLFFAFSPSPNSSSPWFSNIFSSSSFSSSTTTTAAAPSSSKTASVSSIFSYIIPNVTSTNPTNRSSDAASNTTVPVSVNSTSPSLSSSSVVKNSTLQAPPPENRTPVAKNSTFESPVVNRTEPVAKTNTSQPLLPTGSSNLSRTADKAPSPAKSPPVSVDLTSNSTSSAPSKPGEQTGSVSLLEQEIEKWSESLKDCEFFDGGWIMDDTYPLYKPGSCNIIDEQFNCISNGRPDKDFQKLKWKPKKCSLPRLNGGIMLEMLRGRRLVFVGDSLNRNMWESLVCMLKGSVKDESKVYEASGRHHFRGEAEYSFVFQDYNCTVEFFVSPFLVQENEIVDKKGTKKETLRLDLVGKSSEQYKGADIIVFNTGHWWTHEKTSKGEDYYQEGSNVYHELAVLEAFRKALTTWGRWVEKNVNPDKSLVFFRGYSASHFSGGQWNSGGACDSETEPIKNETYLTPYPDKMKVLERVLKGMRTPVTYLNITRLTDYRKDGHPSVYRKQSLSDKEKKTPLLYQDCSHWCLPGVPDSWNEILYAELLVKLNQLGQTRRNP >A02p007350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3086238:3087226:1 gene:A02p007350.1_BraROA transcript:A02p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHDETENKSYADAVGGDGDDAGETVKGETTNGDCKTDPVKVDEEDGSESLYSLVCITIGSILFPDSKTGYASSSPLLQRIRNSFAENGPKLREASKKTSREILQWTRRGSYLRALLVITMGTIGLVTTMALVVFALFFVAATFNAIIISLLVSLAAAGGFLALFFLSLAGIYIGALSVAAFVVSTVTISAVVSVLFASGWIGFFYAVWLGARGSLGLVKQSLSVMGGNTFSRHQHKYREVNIESSS >A08p025740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16518387:16519819:1 gene:A08p025740.1_BraROA transcript:A08p025740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLMRFPKIDDQAAIEEAASQGLQSMEHLIRVLSNRPDKHNNVDCSEITDYTVSKFKTVISLLNRTGHARFRRGPVHSSSSTAASPQKQQSQIVKISQTEAPIVSQPTRPAAVVAAPSRIVHSNQPSLTLDFTKPSIFGSKSSEIEFSKENFSVSLSSSCMSSGITGDGSVSKGSSIFLTSAPSQPVTSSGRPPLAAHPYRKKCLEHEHSLSGDFSGKISGSGCPCKRRRKNRMKRTVRVPAISSKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTFRGCPARKHVERALDDSTMLIVTYEGEHRHNQSAMQENVSSSGVNDLVFASA >A05p013510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5901602:5901982:1 gene:A05p013510.1_BraROA transcript:A05p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPAISDRYGEDMMMVEPIEFLFGKDTFKTTKVNVRDFIGVIKSETSRSNREEDDWTTRRLQAYLKSCGDSSITGFKEMCDFTVELVNVMNVITEEIFIKMNIKICPAVFQVWSWRSKKISNLLI >A10p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4294517:4295914:1 gene:A10p014260.1_BraROA transcript:A10p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIICTTPTTSPAEKEQEPKQDLENDQTPPLIFNPSLLNVQSQIPNQFIWPDKEKPSIDIPELNVPFIDLSSQDTTLEASRLIAEACTKHGFFLVVNHGVSESLISDAHRYMESFFDMPLAGKQRAQRKPGESWGYASSFTGRFSTKLPWKETLSFPFSNEISGSRTVQDYFSGTLGQEFEQFGRVYQNYCEAMSSLSLEIMEHLGLSLGIKKDHFREFFEENDSIMRLNHYPPCQTPDLTLGTGPHCDPSSLTILHQDQVNGLQVFVDNQWQSILPNPKAFVVNIGDTFMALSNGIFKSCLHRAVVNRESARTSMAFFLCPKRDKVVKPPSEILEKMTPRRYPDFTWPMFLEFTQKHYRADVNTLDSFTNWIITNKFTV >A09p065050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52181116:52182384:-1 gene:A09p065050.1_BraROA transcript:A09p065050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLCIVTLLMIFLLISTGIPKGEAQCMGERSFTSPPGICSQQIGSTVCNNACITEEYFRGQCETQGARTIWGALVALLWRGCPLPVSVLYMDAWLNRCIRFRVGRLEAVIFNLLSPNDSIAGCCFLLVLPVGSSWVGKRVRDVILCGGCGMWVDQKPYEPCVSRCEGAFLSDNSRQLVFSLRLRLLL >A09g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11944483:11949866:-1 gene:A09g503780.1_BraROA transcript:A09g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITESSRGKDSVMGLEVVARRSIDMLRGVVCGSEVSQRHLKVARVFVVGTRKKSPQSEVSERGRRVAPAGSDVMGATPQSRSRFRRNGAQKLTRSNVPERHLEVAPAQSELSRATLQGRSRFRRIATRGNDSGATSPSDTLTSLPNRSSFRPCSLFLTTHSLFPFIQSKVKMVKKTKGRLEAERQEAESQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESEDEPASTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLNTGNKHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGTVTEEDMRLLCPAIRPYTQPGVLPLPNTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMEIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGNDYVYHYYLQGRPVEVVLPNRNLTSLEIPGAEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQHSGYQPRNNQQGSYQPQQNPLPQQQSNPSTSTPQ >A03g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32425513:32426353:-1 gene:A03g510110.1_BraROA transcript:A03g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSLNSSILGSLAFRLNFAENRFFCHPSRPPKALIDKGTVNGKLSVEEECPSTSAANGFDGGEKVKQGGTKGSRLTRRASTDLNEGNYDRDEDNLALVMELLGVMPRKIALGGRYSRDLFNRHGDLRHIRKKRDQKPETQI >A03p061950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26915899:26917023:-1 gene:A03p061950.1_BraROA transcript:A03p061950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27410) UniProtKB/TrEMBL;Acc:F4JIU9] MGKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITSDGHRVGIKKALVFYAGKAPKGTKTNWIMHEYRLVEHSRSHGSSKLDDWVLCRIYKKTSGSQRQAVPPVQPCREEHSTNGSSSSSSSHHDDVLDSFPEMNDRSFNLPRVNSLRTLLNGNFDWASLAGLNPIPELAPASNGYGGYDAFRAAEGEAESGLRNLQMNSSELTQSFGYRSSGLSNGGFGLSGQTFEFRQ >A03p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12234040:12236268:1 gene:A03p029110.1_BraROA transcript:A03p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50280, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50280) UniProtKB/Swiss-Prot;Acc:Q9FGR7] MSMASSSLATQSFYTWFSLSHPLHLPQPYPLVRSSLCRKSISLSATSPSSPPPIFLSCFDDPPPPSVPELDNPTTISEEDEEEEEEDEEEEEEDPILKFFKSRTLTAEDPPQESKFSLQKNRRTSWHLASDFSDLDPETQPHPTKPVSVANQQTPGVHNNTPSVAVEILKTAKNLTENQTLGEMLSGFEKRVSEKECVEALVMMGESGFIKSCLYFYEWMSLQNPSLLSPRASSVLFTLLGREGMADMILLLLRNLPDKDEFRDVRLYNAAISALSASQRYGDALEVYESMDKINVHPDNVTCAVMITTMRKAGRTAKEIWEIFEKMSEKGVRCWSQDVFGALVKSFCDEGLKEEALVIQTEMEKRGIPSNTIVYNTLMDAYNKSNHIEEVEGLFTEMRGKGLKPTSATYNILMDAYARRMQPDIVETLLKEMEGLGLEPNVKSYTCLISAYGRTKKMSDMAADAFLRMKKVGLKPTSHSYTALIHAYSVSGWHEKAYASFEEMCKEGIKPSVETYTSLLDAFRRCGDVEKLTEIWKLMLRERIQGTRVTYNTLLDGFAKQGHYIEARDVVSEFGKMGLEPTVMTYNMLMNAYARGGQDSKLPQLLKEMAALNLKPDSITYSTMIYAFVRVRDFKRAFFYHKMMVKSGQVPDPRSYEKLRAILEDKAKTKNRKDKNAILGIINSKFGRVEAKPRGKKDEFWKYKRNRTSYKPQPH >A09p071610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55209275:55211375:-1 gene:A09p071610.1_BraROA transcript:A09p071610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLQKQTKPKGVDLADDEIILHVEGTMASQRVVRHIKKTSWPGRLTLTNYGLYFEAAGIINYEDALKVDLTKDGASSAKPISTGPWGAPLFDKAIVYESPDFEDGVVLEFPEMTSSTRRDHWLMLVKEITLMHQFLKKYNVESPLQAREIHSRTILGIIRLHAAREMLRISPPDPKKFLIFSLFEEVPKGDFVLEELAEISQKVGTAKTPCSSSSILRNMNMEQLGNILKEAEEDKCKEKGKVIDKEEMLVSLESAVNQTREEGKEIEKAKATTAELEEEGITESVAVLMELMRPMQDALPWFQEVLNWERPSRTLFVLSITILIVYKEWVGKAIAACLVWMVVRMAQARQMKLHTKSEDAVKVSTESDQTMTESIVSAQYGLIRLHQLIQHVNITIMKLRSIYTSKASKRASMVMALILALAIFFAVVPFKLVIMFGTIYCFVITSSVGRYMSNDQSNRRMKEWWDSIPIVPVRVLNSASK >A01p000150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:66036:66617:-1 gene:A01p000150.1_BraROA transcript:A01p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 15 [Source:Projected from Arabidopsis thaliana (AT4G38700) UniProtKB/Swiss-Prot;Acc:F4JUF8] MKSGIMSLIFALYLAVMVVTANSDSNYYGTTKPVHPKEEKVTRLRFYLHDILSGRNPSAVRIAHANLTGGADSAVGFGSLFAMDDPLTVGPGKDSKEIGNGRGMYVSASKDIRKFTIVMYADLAFTTGKFNGSSISVFSRNPVAEEAGEREIGIVGGRGKFRMARGFVKIKTHQIDMKTGDAVLRYDATVYHY >A09p024860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13799103:13801813:1 gene:A09p024860.1_BraROA transcript:A09p024860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFEFELFSNSFTQDVPSELGSLTKLAYLELGKNNLKGKIPASLGNLTSLKYLSFGSNYIKGGIPNDISRLTQVLYLDLSMNHFSGVFPPGIYNLSLLESFNIFGNGFSGSLRPDFGNLLPNIRELYVGSNHLTGLIPTTLPNISNLQMLGMEFNSLTGSIPSSFGNVQYLQTLELNNNSLGSYSAGDLDFLGALTNCTQLDVLTVSENVLGGILPTSIANISIYVTELSLGWNLISGSIPHDIGNIINSQTLLLANNLLSGRLPASLGKLSNLGLLSVSSNRMSGEIPFSIGNITRLEKLYLDKNSFEGTIPPSLGNCSYMLRLRMDHNTLTGTIPREIMQISTLVFLTMSNNYLTGSLPKDVGRLEHLGTLSVAYNKLSGELPQTLGKCLLMEQLYLQVNSFDGTIPDISGLVGVKEVDFSNNNLSGSIPRYLANFNSLEHLNLSINNFEGKVPTEGKFKNATIVSVFGNKNLCGGVLELKLSPCLSQELEKRAKHSSLSKKVVIGVCIGGSIFIILFIASVGLRCCEESPMSRLAMSEAVKELISIRESFFRSRRRAGR >A06p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4408033:4417813:1 gene:A06p011790.1_BraROA transcript:A06p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEDDFLSTTVPSCGCTCVSMTQPDITRISTTSLASNVWSNTTHSTVPSGISSLGSLPTHHRTEGEILSSPNLKVFSFNELKNATKNFRPDSLLGEGDFGCVFKGWIDEKTLTASRPGSGIAVAIKNLKPDGFQGRKDWLTEVNNLGQLSHPNLVLLIGYCTEGENRFLVHEFMPRGSLESHLFRRGPQALTWEIRMKVAVGAAKGLTFLQEAKSQVIYGDFRSAKILLDADYNAKLSYIGLANAGPTRDDDTHVATVFMGGQGYAAPEYISTGELTAKSDVYSFGVVLLELISGRRAMDYYSNNGVRCSLVDWAKPYLGNKRKLFSIMDTKLGGQYPQKGAYTVATLALLCLNPEAKVRPKMSAVLVTLEKLESAAKPGTKISWNPTASSASKVSSNTSRSTVPLSTSSYSTGNSSLGSIPTQHRTEGEILSSPNLKDFSFNELKNATKNFRPDSLLGEGGFGCVFKGWIDETTLAASRPGSGIVVAVKKLKPEGFQGHKEWLTEVNYLGQLIHPNLVLLIGYCSEGENRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAVGAAKGLTFLHEAKSQVIYRDFKAANILLDAEFNAKLSDFGLAKAGPTGDATHVSTNVMGTQGYAAPEYVATGRLTAKSDVYSFGVVLLELISGRRAMDISNGGVEYSLVDWAKPYLDDKRKLFRIMDTKLGGQYPQKGAYAAATLALQCLNPEAKLRPKMSVVLSTLEQLESAAKPGTKHKESPKARYSSAIMQKSPVRYSQDRPLLNVTPGASPLPSYSQSPRVR >A03g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25745111:25745534:1 gene:A03g507270.1_BraROA transcript:A03g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIQKTLIEHAEKLRQVKAVLEEVLYREPFGMAHGGNFSGIYRKVQLKPLKWDGEGEE >A10p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16302313:16303952:1 gene:A10p025380.1_BraROA transcript:A10p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSWKHHTLIQALISRGPLKEKEFQSIFTAVTGRNPGAAKKIFDKYLLEINKELSYVHFELRACRDQYDGQVCYGVVNTVSDDQSKLGTKYSVPQIAFFKGIIEAIAQDEAAQGCISGFDALNIRLENQLPSEASSSQQQQAPAAFKNFSMSQKDKTLDELVRDKWLCRTSEGNIGLGIRSLLDLRSWFRNNDVPSCEVCNEAGVKADLCPNEGCTVRIHKYCLKNLLSQRDDKVCSGCGKPWPLGKVVEAAVDDEEENETQATTALRSKKRKQRSQRDSAENFSSQASLASSSGATRRRVTRSDAHLN >A03p028710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12064032:12070854:-1 gene:A03p028710.1_BraROA transcript:A03p028710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEARLI 4 protein [Source:Projected from Arabidopsis thaliana (AT2G20960) UniProtKB/TrEMBL;Acc:Q9SKR5] KEVVGNEYDRGIIDAFGRGVARTDQVNVSGCYRTPRMDFYNSNRENKYGKRAGFLGHKSASKSNPSSPPHPTEAPLVTHFGIKRSESEYAFPVSDDHTTHWKQQQHASERVPNSGHRPPVYRHSTPDRPRENGKERGEDISYEPDADVTPRSNASMSPFRSSRTRTPDRRRRSTDFSRELYERMYEAQANVSPFHPSRSRSPAPYNMHDRERDYSRERYEAEGHMTSRKSAPSSPFHPSQSPPHTRTMPVRYQKGKDHFEGMDEADGEDVTPGSSPPMSPVHGATSRFPPPPFYSSSDEEDNHSTYLFPEIATGHRSRGVSGSNTPVHYKYQITATETYEQDMQFEPPELPDEAGSFTMQEITKMRGIDSSYKAGKEETQLVISEAYVSVASYKVRQSVSATLQTIMDKQGDIAASSKLQSSSTRSFYLESLATAVMELKSTALRDLTKARVAEIEAVVKDMDSVKIDISWLKTAVKELAEAVECFGLYDAAKMEKEECNRGMREGKVEMEELREELRRREKETKECRERVTEMAGRLGKLEMKDSRVTKSLELFQSKVHKFDGEYRVFQVCEMEMVSGKVVQGCPNAGNPFHECTAICLEILNSGNVHKKEKKLFGFGKRTPSRDTPSSSPARGSRSPLASYFAKKKVESDTSPSTDHTNGNFFSRLSPLQGRPSQLKNEPTNNMDSLPMSPSLAGYSGGDYFARRADQRGGEDNDMYSPRPFGTHPRTPEHPLRTPRHRPHTPKHRSDTSPWAHQEDPISLETRPRTPERVSNTSDTRPMTPVHDSAATARRPQTPENRMRTAQHGGRSPEFMARSPGPRSKTPEPQPTYFEPLSRTPKQRSKTPEPSPRIPQTHPISHRSLDSAALQTPRAAETRPRIHESRQKTAVQEGKSPGHREKISQTSFEMGQRSSQAYNYLGSKAESVYIENDDESVLLYPELILSPQERPLSRPITPSRRGYDTPTKQEERFDQLDECGSSDDDRFSFVDDEHDDNSIWRYPEITPKSGSTTPVHHKSPSKHDEPQRFSLILKENETREDVQSTQSESIVSVGDYKVRASVSATLEQILDKHGDIASASKLHSLATRSYYLDMLASVVFELQTTPLKHLKESRVVEMLAIVRDVESVKIKVDWLRPVLEEIVEAVKHYDQHEMSVMEKEVCQGDVLLVRQEMEKQGKELREKEKKLMEWRERTTEMAGKLGSLDMRKARLHKRLAFLSSKVDKFQGESLLQDIL >A10p004640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8932572:8935865:-1 gene:A10p004640.1_BraROA transcript:A10p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA helicase SDE3 [Source:Projected from Arabidopsis thaliana (AT1G05460) UniProtKB/Swiss-Prot;Acc:Q8GYD9] MSVSGYKSDDEYSVIADKGEIGFIDYQNDGVYNPLDEGPVVISAPFPFRNEKPQSITVGETSHDSFTIKNTTDEAVDLWTKIYASNPEDSFTLSVLKPPSKDSDAKEIQSFYETFTLEDRMLEPGDALTVWVSCKPKDIGLHTTVISVDWGCDNVERVVFLLAEDKISSSLATNRPYSRNRRGPRKDFAVDSYVTGSRPAKAVAQRAYKNRLPRYEIPKETRVMLEKKEVPDFLNEGLTVRNYAKYYKMLLIMEELQLEEDMRAYDMENVTMRRRGLYLSLEVPGLAEKRPSLVHGDYIFVRHAYDDVTAQAYQGFVHRVEADEVHLKFASEFHLRHTSGSVYNVRFTYNRINTRRLYQAADAAEGLDPNFLFPSLKSGKRMIKTKPIVPISPALNAEQICSVEMILGCEGAPPYVIHGPPGTGKTMTVVEAIVQLHTTQKNARILVCAPSNSAADHILEKLLCLEGVRIKDNEIFRLNAATRSYEEIKPEIIRFCFFDELVFKCPPLQALNHYKLIVSTYMSASLLNAEGVKRGHFTHIFLDEAGQASEPENMIALSNLCLSETVVVLAGDPRQLGPVIYSRDAESLGLGKSYLERLFECDYYCEGDENYVTKLVKNYRCHPEILDLPSELFYDGELVASKEDTDSVLATLKFLPNKEFPMVFYGIQGCDEREGNNPSWFNRIEISKVIETIKRLTANDCVAEEDIGVITPYRQQVTKIKEVLDRLEMTEVKVGSVEQFQGQEKQVIIISTVRSTIKHNEFDRAYCLGFLSNPRRFNVAITRAISLLVIIGNPHIICKDMNWNKLLWRCVDNNAYQGCGLPEREEFEEEPFHQEESSNAPPQYSVEEAWNMSGEVNNNGTKEKNEWSDGWNDDVAGTKENNDWSDEWNNNGGGNKEKDECSDGFNNNGGAKEIEECSDVWNNNDATREIEECSDVWNNNGGGTKGKEECSDGNDGGGSKEKEEWSDGCNNNGSGTKNTDEWSDGWNDNGGGTKNTDEWSDGWK >A08g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21643790:21644130:-1 gene:A08g510040.1_BraROA transcript:A08g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSFTLPRTAPPHGSLLLIFPIFSVVVDFKIQIWKVLFQNPDLQKMCTGSVWMGVAWIGGVWIAGAWMGYV >A02p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8971361:8982672:-1 gene:A02p019460.1_BraROA transcript:A02p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFPPLADAKGEKLFKLAKDLYAQGHHIKALEAILALSFLKGNDKNPVTYLHGTIFRDLARKTENPNLKFTYLLGSVEIFTACKPFSGVAAMSLFNLAQLLESKLYYKKALAQANQIVGAVKNLESLRHVIKTSESKLAQFKNGSYLVEDSDDSEDMVESEEHEETTKTESDFVRGLKSYWSGLNVDKRRNFMKVSIADFASYVQRLYGTEGRVALEEVLGSVRKHKRWRLWVCRSCSKEFSSAEECRNHLEKEHGAGFRHNVAMALAQRISESWGCMISDGGWEPLDTVSAVEMIKTRLQDMKAFVYENGWSKDLPVAADEERRKLLQEIRFLLVTFRDCNILSRSVIAWVKDLVVSHFEKLQVSKHSLAECGLVETPQSICFLERHELNQFLDLLKRIKCERDDGTELVCRAVDSVYNGTRVKEKIDFDMKFSSLLLDKRLLQCEIAQFDEEGAVSFLNASAHYAKANAGGDNIILWLTEKSSGDEKFHFQRPTRAHNLDVWLAVLGAVQFTCRTMGTQYYAKKLRIGDFTEVLVDAKNMCIGEDERRRNTPDGQRKTYASLLCDECEKKHLTTDSSNSLATRLYCSAVVDVLKAELHPKFGLPELEDCLNVIRDHRNVSDDVVLSSINHLKSVMTDKVPLIDSKIFLVENSRINLLNDLVRLSVFDYRSYILPLLKEFLLEGIVDMECKAKLAAAQADRLLEEEMKSQSKKKKNKISKEELAAVQALKLLSENNQDKEKNSGSKKKRRRNKKITSTSMPGVLDQNVEHDTSPSPKPGEEDSMEQDQEEAAKDMQNMPEAESPSKHLEPAHAEGPPIYNSALAMTLKALCHILKEYLLQNRNQIYDHREERVPCAIGNFFTAFVWKQMKEGLYSCLLSDLLASIEEVYSKTSYAAELLASILEFWPCWKCPEIESVVTHIFTLEEYERISCSKCKKMPNYPEQSSYGIIMAADSIRDLKCAFGNIKFEDILKIIRMEDKMLCDLKTGGCGKANVVHHIISRCPPIFTVVLEWEKDETEKEISETSKALDWEIDMSRLYEGLEPSTKYRLVSMVGCGEEEEEYICLAYKKKRWVRFSLGASAKEVVGNWNSVARYCGERKKHEVADFLSFQQGQIFFEQAKRADDSDVKFAFLLGAVECFSENDGFSSFCAASLFGLGNLLGSPLYLKKSIGKAKEYLAIMASFGSLTSEDEKSRKDVENVLKAAESSIAAGSPIQMWEPKVRESKKCTDHPSKIEVEGLRLYWSGLNVEIKRKFMEVSIADFTSYVRRFHGTEGGKALEKPVDTAAAAEMIKTRLEFVRAFVYENGWSRDWPLATDEERSKLLQEIRLLLLLFCERKILSCGLRDWMMRFLIKHLARFEVSKHTLTTECRLVETPQSICFLERRELEQILDLLKGIKCEREDGREVICRAVDSFYSSTRVKEKIDFDKQFSSLLLDKRLLRCEIAQFDDEGAVSFFNPDDHYAKAHARGDDIVSWLADDSSGDERFRFPRPVRTHNLDIWVAVLRAVQYTCRTLGTKYAKKLQLLGYDAGLVDAINLCVSENTKRLSVVTEHQCNKYASLIGDECERKREARDSHSTRLFLCSVRDALEEAPRPTFDFPDLEDCLKRIHGLKDLSDEVVLESIDRLRSMVADKVALVDTKMLLVENSRINLFNDLIRLSVFDHRFYVLRPLKEFFLEVINVAAAEADLLLEEKKKPQSKKKIHKSNKKTSTSMSVNLDLQGTSPSLQTTEKDFTEPPDNSLSSERNRLEISSNTVDEEEAAQGMQNMPGEESVHREGAARCNSALDMTLKALLNIKILKDDLMQNEKPLRDDLEKQVPELLHSYLLSDLLTSREVLSTSSDAAEIVVSILESWHSCKSQEIESLVTRLFTLEEYERMSCSRCRQKPNYPEQSSYGIVMAADSIRDLKCAFGNIKFEDILKMIRMEDKMLCDLKTGGCGKANVVHHIISRCPPIFTVVLEWEKHETEKEISETAKALDWEIDMSRLYEGLESSTNYRLVSLVKSDTGLQMFCPIVILIWSVRSSEYGKRMQVGCGEDKEHICLAYEKDRWIGIRHDAFTEEAVGNWESVIRFCGERKVRPKILLYEAAH >SC178g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:271953:283724:-1 gene:SC178g500150.1_BraROA transcript:SC178g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGANDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEAYVMEARGMSCYVLHLMGLIEGI >A06p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2624939:2626774:-1 gene:A06p007590.1_BraROA transcript:A06p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSRKFSDLKDVFRRKFSRLTTLYPSSSPITKSPFPPSRDDVAAIADLIEKQHWSKLKLLHVKDLNNPNELFHQLISSNLDPELCLRYYTWLIANNRNTSLSLELTFNLLHSLANAKKYSKIRSFLDGFVKKRSDHPVHSIFHAISLCDNACVNSILADMLVLAYANNSRLELALEAFKRSGYYGYKLSSLSCKPLMVALLNEKRFADVEFVYKEMIRRRIQPNVFTFNVVINSFCKKGKMEKAKDVMEDMKVYGTSPDVVSYNTLIDGYCKLGGVGKMYKADALLKEMVESKVSPNLTTFNILIDGFWKDGNYSGSMKVFREMLEQDVKPNVVTYNSLINGLCSGGKVSEATCMHDEMVSAGVEPNLITYNSLINGFCKNGMMKEALGMFESLKGRGIVPTAKTYNMLINAYCKLGKVEDGFGLKEEMEREGIVANVETYNCLIGGLCRNGNIEGAKKLFDQLSGKGLPDLVTYHILMDGYCSRGESRKAAMLLREGISKMGLKPRHLTYNILMEGYCKEGNLKGAANVRVQMEKERRLRMNVASYNVLLQGYSQKGKMEDANRLLNEMLEKGLIPNRITYEIVKAEMVDKGFVPDIQGHLFNVSTKS >A09p063980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51723583:51725443:-1 gene:A09p063980.1_BraROA transcript:A09p063980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNSTETPLLWSKDHEAEIQKPKLTSNVSTMKSNFFTDLPHKLRSKIDPEDPFDIDISKAVGLKKDEKEYYVRQLATLKSFEEVESFVARSEKYVMDEQSQVEDQAERAAQERAMQISNWANIFLLALKIYATIKSGSIAVAASTLDSLLDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLEATEQLIKNEPSEKMSHDQLVWLCSIMLSATVIKLVLWIYCRSSRNHIVRAYAKDHYFDVVTNVLGLVAAVLGNAFYWWIDPAGAIVLAIYTIINWSGTVMENAVSLIGQSAPPEVLQKLTYLVLRQGADNIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKLEELPEVERAFVHLDFECHHKPEHSIFSTIPNDL >A01g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9206068:9207347:-1 gene:A01g502740.1_BraROA transcript:A01g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A09g513010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39602306:39605060:-1 gene:A09g513010.1_BraROA transcript:A09g513010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSEVVWTSRKSSGLLGSRLNFSERFGFSDLDLICRFFRSGRLLGRLTFVWTSCKVVWKSSELPKSLLAKSSELPGSLDDLKLSRHRLVLQFKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSIL >A01p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24702698:24707959:-1 gene:A01p043800.1_BraROA transcript:A01p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLHSESRRLYSWWWDSHIPKNSKWIHQNLADMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRHAHKTMAEAFPNQVPFDLIEDSASSSCSEPRTPEKTPPGIQPFYDSDSAATSRRGLSHVGSSETDVESLKRALVELGAEKEALNLQYQLSLNKLSRLEKDLKDAQMDVNGLDERASKAEIESKILAQGLEKLEAERDTALLRYNQSMRKIADLEGSYAHAQEDVKGLTNRATEAETEAETLKQAQSRLHSEKEAGLTRYNQCLVMISTLEKKVKDAEENALMLSNQSAKAEDEIKALRQELLKVNEVKEGLSLRYQQCLETISKLEREVINAQENAKRLSSEVLAGAAKLKTVEEQCTVLESSNETLKLEADGLTHRLAAKDQELIQKQNELQKFQAMIQDEHSRFLEIEASLRSLKRLHSQSQEEQKVLTSEIQSRVDMSRELETRNQKLEGEISSVKEENRNLSDSSMISLETQRCEISTLKEVKGKLEEEVARQINQSSALQEEIHRLKDEINSLNRRYQTIMEQVKLAGLDPESLACSVRNLQDENSKLTELWSHQRGDKDALTEKLREMNNILRKNVGLEKLLLESNTKLDGSREKTKDLQERCESLRGEKSEFTAERANLFSQLQIMTENMQKLLEKNSLLEASLSGANIELQCAREKSKCFEEFFQLLKKDKAELTKERESLISQLNSNKEKLGVLEKNFTELQGRYADLQRDKHYKNLQVEELRVSLVTEKQERASYERSTDTRLADLQSNVSFLREECRSRKKEFEEELDKAVDAQVEIFILQKFIEDLEQKNFSLLVECQKYAEASTFSEKLITELESENLEQQMETEFLLHEVDNCRGAIYQVFKALQLEAADQKISRERVPVSRILGGISELKRSLSISEYEKQRLVIENSVLFSLLGEFQSDGMKVESEKQNAEKDLETIVHRYGMLKKDMLKLLEMNRKLKSELIDREKRELDLRAELQTEHLKFESLHESYMALHQEYSKALDENKTLDLTFSEIKGETHKLLEENDAILQEAVSLSNMSVVYKSFGFEKAEQVEAFAENLRSLQDVNRELKQKVEALEEKLRGKELESQEVNSKLEKLEEANELNDLLEHQISDKEEILRQKEMDLLEAEDMLKATHNANAELCQAVEELKKDCKESRQLRGNLERRIFELSDFSGRQDEEIKKLSSLKENLESEVELLHKEIQEHRVREEFLSSELQEKSNEFELWDAEATSFFFDLQISAIREVLLENKVKELTGVCESLKDEAVTKTTEIKQIRETVGFLEYEVAELKNQLSVYDPVVASLADDVKSLEQNALVLMKLPTLSDRCREDDEYPEAVVSQEPKGHSSTDQENGIVLLHDMKKRIKIIEQAVVGEKKRLGKQRRRSSSHRSKDRKLFEEIELEDKFSGEIRHQPRSPAMTDARNGSLMKDIPLDHVANSSFYGRSRRGSRGSSDQMLELWEESAEPVSTIKSLMSNKTSKKPMIPRLHRRSRNPSIESEKAVDKLELSRNTEDNAKIMERLLSDSRRLASLRVSLRDLKSKLDQNEKQGSKFTNPDFTRVRKQMKEIEEAILQLANTNEILSKEIEETGDTRDIYRKVVMEKSRLGSEKIEQMQQEMQSIERTVLKIEDGAAKSKGKTKFSESRTVILLRDIIHKGGKRTARKKKNRFCGCMRSSAKED >A03p060520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26207547:26209431:1 gene:A03p060520.1_BraROA transcript:A03p060520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPEKGRKRRKEVRVGNEENMEKIMHREVEKHRRQEMASLYASLRSLLPLEFIQGRRSTSDQLNEAVNYINHLQGNIKDMSSKRDDLMLLSGQSFESRNELRSDNSNHVVIRPCFVGVEIVFSVLQTPLSSVLHVLSEHGLCVLNCISSNVNGRLIHTLQAEVNDLALVDFAELKIYLLRLP >A02p001440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:647273:655439:-1 gene:A02p001440.1_BraROA transcript:A02p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIILFVSCLALASSALAADETETEFHYVTGAYADPSKWSSVKQEWKICGVGKRQSPVNLSPKVARLVHNSTALIQTYYKPVEATLKNRGYDMVVSWQEDAGKLVINNTDYKLIQSHWHAPSEHFLNGKRLAMELHMVHKSADGHLAVIGVLLREGEPNPFISRIKDKINSIADILQGEASIGKIDPREFGWDLTKFSEYRGSLTTPPCTEDVIWTIVNKVGTVSRQQIDILVDARRAGYETNARPAQPLYKRMVYLNDQSTISASALKLHLMGLLLRQRAPYLRLYSRLMASRPRLFSNPLSPSLHRHSSSLSSPLFSSLTPRVNVQLTKVLSQGLIQRNAISTRSFMSSTISTEAFQESATSKDYSSEQIQVLEGLDPVRKRPGMYIGSTGTRGLHHLVYEILDNAIDEAQAGYASKVDVVLHADGSVSIMDDGRGIPTDLHPVTNKSSLETVLTVLHAGGKFGGTSSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGVEHKQKYSRGKPISILTRSELPLESKGTKGTSIRFWPDKEVFTTAIEFDHSTIAGRIRELAFLNPKVTISLKREDEDPEKSQCTEYFYAGGLKEYVSWLNIDKSPIHDVLGFRKETNGATIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNALAKKSKTVKEKDINLSGEHVREGLTCIVSVKIPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTEHLELHPDVLDNIVSKSLNAYKAALAAKRARELVRSKSVLKSSSLPGKLADCSSSNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKMENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKQAHYCYDDADLKKITANFPANASYTTQRFKGLGEMMPEQLWETTMNPETRILKQLVVDDIAEANMTFSSLMGARVDARKELIKNAATRINLQHLDI >A09g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3885250:3885896:1 gene:A09g501200.1_BraROA transcript:A09g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESNTSRVFQTVIFYGRSVCTNPPSDLHSAAAWILHGARHGQAQATTLLKLFLQSTIYLIWRERNARIFTSTATPLAALRVSLDRLIRDRLLSGCCGSCLL >A09p073240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55920367:55925720:1 gene:A09p073240.1_BraROA transcript:A09p073240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVPLPPDKNRRENKPPDIASSSSRVSVVNDRLSDSPILLFVYFHKAFRAQLAELHRLAGDRVKTGSYLAVELRRKFDFLKLVYKYHSAAEDEVIFSALDTRVKNIAFNYSLEHDSTEDLFTSIFHWLHVLEEERGDTADVLREVILCIGTIQSSICHHMLKEERQVFPLLIENFSSEEQASLVWQFMCSVPVMVLEEIFPWMTSLLSPMERSEVENCVKQVVPKELSLQLVINSWLIDDDSQSSSFAALTKIMKEVQSENMNNSSHQADSSNGLFQRFWQWSKTSFSSPNTEHTLLHGIQLLHNAIKRDLLDIQKGLSQLKFPSLSLDLNVLVVRLNFLADVLIFYGNAFTKFFYPVFEEMVGQHSSASKQFTIDGHVENFKRWLHLETSTGSEDFVITLQDKLKSLILTVSKQFSLEEAEVFSTISKNCNIEMQRQLLYMSLHVLPLGLLKCVIMWFSAQLSEDESQSIIHFLSWEDSFPNKPFSHLLLQWFRFGYSGKTSVESFWDELSFMFKPRCPSEEEHTEEASGSIYNGSDPSLLGNKSKSSTWFQPVDLPPGYMNETPYSSAMNHQMLIPGNLKPLQQLPGDYIGDCLTMDWKPIDLLFLFHKAMQKDIDYLVRGSARLASDSSFLGEFHQRFHLIKFLYQIHSDAEDEIAFPALEAKGQLQNISQSYSIDHELELGRLNKVSFLLNEMAELNMLLLSINSNVVEKYENLCLSLQDICKSIHKLLSEHLHREETELWSLFRDCFTIEEQEKIIASMLGRISGEILQDMIPWLMESLIPEEQQAVMSLWRQATRKTMFAEWLKEWYNSNVVGEEIGETKTVPSEDSDPLDIVWKYLFEEAADEERGNTCSKPMEVLETSLKDSMSKPLVKVAPDNKAGVGNKEEIHKENSENKKVCTRVDEKMYKEETLGYQMRRTSSQSDQANKYECLLSMSQEDVEATVRRISRDSSLDPQKKSYIMQNFLMSRWIATQRIHNLEPSVISNNMEVVPGQHPSYRDPQKGIFGCKHYKRNCKLLAPCCNKLFTCIRCHDEEVDHSVDRKQITTMMCMKCMIIQPIGASCSNSSCNSSMGKYYCKICKLFDDEREIYHCPYCNLCRVGKGLGIEYFHCMKCNACMSRTLVEHVCREKCLEDNCPICHEYIFTSNSPAKALPCGHVMHSACFQEYTCSHYTCPICSKSLGDMKVYFRMLDALLAEQKMPDEYLNQTQVILCNDCGRKGNAPYHWLYHKCSCCASYNTRLL >A04p013090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4212364:4212663:1 gene:A04p013090.1_BraROA transcript:A04p013090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQMLSILRRNLQNLRKSPRVADETEMASTTQEARVVAHNERRDGANAVMMRFPFSIISCFAVPRVSGTDGLWMSGDYASVSEVNHLMVSDGMRYAILM >A07p049410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26242923:26245031:-1 gene:A07p049410.1_BraROA transcript:A07p049410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G76670) UniProtKB/Swiss-Prot;Acc:Q9SRE4] MEKTESEKKQSSSVSDVGAWGMNIVSSVGIIMANKQLMSSSGFGFSFATTLTGFHFALTALVGMVSNATGLSASKHIPLWELLWFSIVANVSIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHSRHYSREVKASVMVVVVGVGICTVTDVKVNAKGFICACTAVFSTSLQQISIGSLQKKYSVGSFELLSKTAPIQALSLLIFGPFVDYFLSGKFITTYQMTYGAIFCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLLFDSEMTFKNISGMVVAVVGMVIYSWAVDLEKQRNAKSTPHGKNSMTEDEIKLLKEGIEHIDLKDVELGETTKV >A07p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20144895:20145263:-1 gene:A07p037710.1_BraROA transcript:A07p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCQIHTTHSYFIVIFTFPKPFFIPLVFSPRYTPTLQIFTTLTQYLDSTWSSPFYTSSASVITSPDTSKHTINIYKLLLASTHYI >A06g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21186573:21187605:1 gene:A06g507570.1_BraROA transcript:A06g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGLVVFTLRYFAGPEIPRYVLITVGYTWFCSVSVIILAPADIWTTLSLPPNHPENGAISFLWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSVHVNLVFYLVLGFVGLLGLILLIMMHRNCIWQFH >A10g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10160666:10161302:1 gene:A10g504100.1_BraROA transcript:A10g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKYLKPFLFLCVNRQEARRKGETSSGHKKKLKGDLTVKQLALIQVVYCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSIKISLSLTEDDDDDPVMS >A03p026580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11117216:11118241:-1 gene:A03p026580.1_BraROA transcript:A03p026580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEKLVINELEQGRELAKQLISNLKHTSSVESSKIMISEILRIYQNAILMLSFKEDDKNILKRSREIEEKDSKTLSKKRKLSDKKTEEVKVFVGTGSIDDGYCWRKYGQKEIHGSSNPRGYFRCTHRFTQKCPAQKQVQRSDKDPSIFEVKYVGNHTCNNNSTSPKTTATSFSMFGEGNRVGLTEQTEDINKPTKTEEVVISLEDLEYKKDIFKTYSFSNDEIENVGGWKSNIFQENPTTSGSGMTSEIATATVEDWGTVDSYFSSLDNIIDLGQDLWS >A09g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9463932:9466982:1 gene:A09g502800.1_BraROA transcript:A09g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPPKNRSPRRSPTGSGSTSPPPRSSPSASIAVDSPPGSLDLLLVEDFTRSDPSDAKTVVSPTAQASEAKSPKSSDLATVNFSVPREESHVSKDCSLTASKAAETAPTTHLSASVSQGQNVIASASTQGQVVAVSASAQDQNNETHVSTQSQNVAGSAIQSQHNTAPTQGQIAADIWKAKDISVKKNLPLSNNQPQAVEKGECSKSSINNALPANPFSASGEDLEEGEICVDLTGFGGSHHSHTSSSSEDSLPDSLTGSGDEDEDKSNDGHDKYIEVISKRVIKARRDQNQIVFFMDDNDIIIDDLDAIKAHAVSYYQDMLGGISVPTISSPQDIAAL >A05p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31037466:31039222:-1 gene:A05p053630.1_BraROA transcript:A05p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILDGRTNGTLKKTKIVCTLGPVSRSVEMIEKLLKSGMNVARFNFSHGTHEYHQGTLDNLRIAMKNTGIMCAVMLDTKGPEIRTGFLKEGKPVQLVQGQEITISTDYTLLGDSNTISMSYKKLAEDLNPEDVILCSDGTISLTVLSCDKVNGLVRCQCGNSATLGEKKNVNLPGVVVDLPTLTEKDQEDILKWGVPNKIDIIALSFVRKGSDLDQVRKLLGEHAKRIMLMSKIENQEGVRNFDEILKNSDAFMVARGDLGMEIPIERIFQAQKMMIERANAVGKPVVTATQMLESMTKSPLPTRAEATDVANAVLDGTDCVMLSGETAAGAHPEAAVKIMARICKVAEDTLDYEAVHKKIQEAVPLPLSTVEDLAASAVAKAMKQSAKAIVVLTKGGYTAALVAKYRPNVPILSVAILDDGESRCSVAKRGLIYRGIVPVVANSGSTEEATKFAIEFAKEKEICKGGDSIVLVQYIDGSSVLKIMLVE >A01p001450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:584892:587170:-1 gene:A01p001450.1_BraROA transcript:A01p001450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene epoxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G37760) UniProtKB/Swiss-Prot;Acc:Q8VYH2] MAVDHLILTTFVASLFASLLLYLLCRRWSKKIVSKINEPLTVKPGNDDVDIIIVGAGVAGAALAHTLGKEGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVKEIDAQRVLGYALFKDGKHTKLSYPLDQFDSDVAGRSFHNGRFVQRMREKASTLPNVRMEQGTVTSLVEENGVIKGVQYKTKEGQELKSYAPLTIVCDESLSKYIESFYTLRKPVASTINTLAGALYKVFLASPDDARSEMRRACFDYLSLGGVCSSGPVALLSGLNPRPMSLVLHFFAVAIFGVGRLLVPLPSVKRLWLGARLISSASGIIFPIIKAEGVRQMFFPRTIPAIYRAPPAHSSPQ >A08p030020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18422375:18424789:1 gene:A08p030020.1_BraROA transcript:A08p030020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERSMEAVKETVDQSLREMRETFASGRTRSVKWRKAQLGAIIEMVKDNEEKMSDVLFQDLGKHSTEAFRDELGFVMRSATTALISLDKWVVPRKSNLPLLFYPATGKVISEPYGTVLVLSSWNFPISLSLDPMIGAIAAGNTVLLKASELSPNASALLAKLIPSYLDTKAIKVIEGGPDVATILLQHQWDKIFFTGSPKIGKIIMAAAAEHLTPVTLELGGKCPTIIDHHSVSKDMKAFGCEENLWRKVGILQWTSLYLCRLRSCRTEFCFYSGTYIDMFKPVIRSFFGENPKESGCLAKIVTKKHFQRLSRLLNDPRVKASIVYGGSMDEEKLYVEPTILLDPPLDSEIMNEEIFGPILPIITLRDIQESIGFIKSKPKPLAIYAFTKDENLKTRILSETSSGSVTFNDLMIQYMCDALPFGGVGQSGMGRYHGKYSFECFSHEKAIMEGSLAMDLEARYPPWNNFKLNFIRLAFREAYFKLVLLMLGLTKGV >A09p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8355792:8356783:1 gene:A09p016040.1_BraROA transcript:A09p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKPKVEDEKKTKKDDVMTSNGQKFTTIKGHLKIIEGLRQGKTKDDQSRSPRSSSSSYVMEIKMGNYKHSIQRSENTKRMETQDKGKKVMNLKQNTEDVHKMKNKKVWDCESTLYDSFELNSFNRQLDNAISSSARSMSMPHLPPPPSETTSSSATKKQPSNKISRSLQKLVKSIFRQKQSNTPLKACHGVDMDKYYVVFDKTGSLTTIPESRESIELGGSEINSLDRKTVSERFPPSRLAGVSCS >A07p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13874707:13876037:1 gene:A07p024080.1_BraROA transcript:A07p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSAFMSSIFRIATARSLISPKARVSSTNTFSSLFQTAIEVSTPRSFHSLIASTRISVSLSQTDAHYSTTVPTRSLRRRISKRNNPKPVLDQSKFQETVSKLPPRFTPEELADAITLQEDPLVCFHLFNWASQQPRFKHESCTYHTAIRKLGAAKMYKEMDDVVNQVLSVRHVGSENLYNSILYYFTKAGKLIRAVNIFRHMANSKNLECRPTIRAYHILFKALLGQGNNSYTSHMYMETIRSLFRQMVDSGIEPDVFALNCLVKGYVLSLHVNDALRIFHQMGVVYNCQPNSFTYDYLIHGLCAQGRTVNARELLGEMKGKGFVPNGKSYNSLVSALALSGEVDDAVSCLWEMVESGRVVDLITFRTLVAESCRKGKYDEARRLLGTLREKKLVDRDSYDKLMNVLRKDL >A10g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10528339:10528638:1 gene:A10g504280.1_BraROA transcript:A10g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLIKKKEVLQSSNHGVNTLRDQFRSWLKP >A05p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20231726:20234255:1 gene:A05p035750.1_BraROA transcript:A05p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDLEPVALTPQKQDSAWKHCEVYKYGDRVQMRCLYCKKMFKGGGITRVKEHLAGKKGQGTICDQVPEEVRLFLQQCIDGTVRRQRKRRRSSAEPLPIAYFPPEETQVVEGSYVNNGEVVAAGGGQSSGRTKQRTYRSRKNIVERSELANVEDLIGGDMDNLIPVAISSVKNIVHPSSKDREKTVHMAIGRFLFDIGADFDAVSSVNFQPLVDAIVSGGFGVSLPANEDIKSWILKSCVEEVKKDIDECRPLWKRTGCSVLVQESNKVLNFLVHCPEKVVFLRSVDASEILTSSSDDDDDDDDDKLCEVLKEVVEEIGDTNVVQVITKCEDHYVSAGKKLMEAYPSLYWVPCAAHCVDKMLEEFGKIDWIREIIEQARTVTRIIYNHSGVLNLMKKFTYGNDILQPAFTTYSTNFATMARIAELKPHLQAMVTSSEWNECSYSKEAGGLAMTETINDESFWKALTLANNITVPLLRVLRIVCSVRRPGMGYVYAAVYRAKEAIKTHLVHREDYMVFWKIIDKWWLQQQRLPLHAAGFYLNPKFFYNIGEEMRSEIHLAVVDCIEKLVPEDTIQDIIGKDINSYKNAVGIFGRNLAIRARDTMLPAEWWSTYGESCLNLSRFAIRLLSQTCSSLVGSGRNLTPLKKVYESKNSIERQRLSDLVFVQYNMRLRRLGVESGDDNADPLSQSNMELLEDWVSRNQVCIEGNGSSDWKSLESFKRTEVAAVVIDETEDLGTGFDDGEIFKGEKEVSDEGYFTNISEKLFT >A08p024460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15916250:15917644:1 gene:A08p024460.1_BraROA transcript:A08p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVWRRLVACFSSLKFYLTVLCLVATVFVLLQICSFQITQHSLSLPPALLTYLKHQPEQQSENKTAYLVEKLRESVTFLPLKDILFSNKPLEGHTWFMSSLLDNQTKGEAQYQEFPSDSSNGRLLCLKGIDEHDGSWNYYALAWPEALPTNAMLQEGLTFVSYNQYDYGNLWHGLTAAFPFVSWSLRNQCEKPQKWVLYHKGELRYWMGNWLSEILTATYGQEPEIIHFVDENKPVCFEKAVVMRHNEGGMSREKRLEVFDHLRCKVRNYCNIASSETSKPRIGMTLLLRTGARSFKNVSAVINVFKRECERVDGCVLSVSYSNNLTFCEQVELMKRTDVLVSPHGAQLTNLFLMDRNSSVMEFFPKGWLKLAGVGQLVYKWGANWSGMRHEGAWHDPFGETCKFPDTDKRCMSLVYKNAMIGYNETYFGEWARLVLGKVNIRKKEEIPEHNHVSLDVCSQC >A10p036870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20917790:20919366:-1 gene:A10p036870.1_BraROA transcript:A10p036870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKRLSKSLGGFCNHLQSSCDAFNQSLQRRPIPLDSASSTFIKSLNRRLSTAGSELNFLESMSFGTVSFEELLGHCNQIYKSNQEEVLNLQDRLADFGYVAGVEIDEARDEESEDDSESPSLQRSVLKRVDEDDLLDDSPNFKSLGLSDACLATLASESKAARFVSSAVKDPYTFLEESVKGKPAESSALPVTKTSEFSNEDENGAVEMGRTSRPTITLIKDEYESLPSFMKALASWEDLLSAVEKFNSVLCNKKETNGSYYFRADELPTLGLGHKEKAYTLLLTRMKRLVVETTEGVVSYRVA >A02p015520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6866025:6867703:1 gene:A02p015520.1_BraROA transcript:A02p015520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQSLRPLNSRRRKKKLKESFYLRTAYTPTLQTQNGTSMEAEDDHPSNKDLFFDGHHQSLSSTEAAYSPNAKTKVESGFGLFCVMRKRARGDDDDDMSSSDFDYVEKGDDFFCSDSDTDLSECSEFDVISQHVVEDKGLFGSESLSTCNSPCLSEDHKIGLGISSLPLTKTLEEPSRQWSVCGSVAAVRNIPLVGGAETLYQEEEADGEDEMSFSDIDAMVRRLNLIPDDSDSCLDMEERNMSKHPRHTLLGLEHCSVTSVPRGIISQGALAVLHGRDFKHFIRKQEVIIGRSSDGMNVDIDLGKYGYGSKVSRRQALVKLEKNGTFSLKNLGKRHIIVNGEKLSTGQIASLTSRSSVDIKGKVFVFMINKEAVRQFLKNNTRRNSKDDTKFRWCE >A02g511660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31223063:31225549:1 gene:A02g511660.1_BraROA transcript:A02g511660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIEHVHVSIKNQPIGNQISATSDTPWCHLGLAFYRPDNFGIEKYGKRIKEELYEALEGEFRFNQFKKKKIYTFSGLNHCVVQKVKSLISMFLGMIKGINRGVFGVKSDKKAEIERLVKLLECRNPTPEPTGELDKIGGCWKLIYSTITVLGSKRTKLGLRDFVSLGDLLQQIDIAQGKTVHVLKFDVRGLNLHDGEFRIVANFKIISKSLDNHARPVDEHFQEEHESSPGNLQPRGTIMDRRLWRTQQSTSATTRNLHGYDTPEKKSKPVPLSHDGSHTEPWYLHGFSGNEGSADTMHDVLSKGLDAVHKVREEGEAKTSSASQRSRSRSPRRTSLGPPPPPRAARNPEPQRQQHLPSHEWNSMLMHTLLAFQESSSPTQTRELLNSLKQDEFIDIFTKPSPVPWKRIKQRQFRRIHKNLKPGALLEYYISFSFSFRITNMGLIENRDPPLPSMGSLPSQSQFDDSYVSSGPTETQKSLEEGGEGDFTVAIPGMASSQVDEGSSTETVLDPFANRDRRITVETQTAPSTIIISIGLADGIGTALELPFRSNQTIRDIRNAIGQRYPDNDGLRFAIGGWSRLHGLECNCIQSFYLWYSSLPDQTLAFVLVQCPLTDETEKERDGKRIHIDVEKDSCFV >A02g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23501386:23507708:1 gene:A02g508720.1_BraROA transcript:A02g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRVVRTQAASAPREGGDEHVPPPVPPIDQDALRQMVQDAARQAAQEAVQQAVQEAARVAAQEVVRQMAAAQQGQQFPPVQAQGHQQPPIQPVPPVQVQGQQQPPIQHVPGIFQVPPPAPRVLPGQVPEVVPPVLPGQVPEVDETLMRVMRQMQTVGLETFEGTVDPGAAYKWKHRLASCLQTINCPLRLCLNIAELYLRGDALVWWDGVRSMRDGDMTYEDFLIAFDKKYFPHCIRQGRFLDGMRVDLRGRCSMVTYTSLEDLVEKAVVQEACIAEEQKYSKAPPKTGRTTEPQKRTWDQSNIQCYNCGKMGHLSRNCRSNPMGARAGPAAPAAQTAPVAQGVQAAPAAAYAPGACFTCGQFGHISRFCPTKGPVAKRQAITPRVYALGEANGAEPIADLEPSERDIGELSQPPSTEIRSVTPPPSHPLGNPQTVFSDWLSVGRLENRRYLSNHWVSRDFKATSVFVTLRRVRSRSFSPRSAATRPHAQEEVPRVNRTRRHLRRTFAGATAAGHCPFAAGKPPPHRRRVSAAAVDFPVSHHRPWPAAGDRSTVTRRSTRRGLEDSTVDLIVDVWTSDAALVDGGSETSGLATQIVWGVGAETFAFDAALEGGGTETDCTSDAVYIRMRRCGVYGLAICYHRIACVV >A09p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35688390:35690473:1 gene:A09p043860.1_BraROA transcript:A09p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGFRKVDPDKWEFANEGFLRGQKHLLKTITRRKPAHGHGPQQSQHSIGQNSSVSSCVEVGKFGLKEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMENRQQQLMSFLAKAVQSPHFLSQFLQQQNQQNEGSRRISDTSKKRRFKRDGIVSNNKDSASPDGQIVKYQPPMHEQAKAMFSQLMKMEPYKAGDDGFLLGNGTSTTTSTEGTEMEISANNITGISLQEMPTASEIQSSSSPSGATPENVTVAEFPTPDEAIPSPDDLSLPEFADMLQENIAEVPPENFLETNMEDLSPILDSDLQNP >A01p004300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1841186:1843266:1 gene:A01p004300.1_BraROA transcript:A01p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELKERHAVATETVNNLRDRLQQRRLQLLDTDVAKYSAAQGRSPVKFGATDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPNGQTVACGGLDSVCSIFSLSSTADKDGTVPVSRMLSGHRGYVSCCQYVPNEDARLITSSGDQTCVLWDVTTGLKTSVFGGEFQSGHTADVLSVSISGSNPNWFISGSCDTTARLWDTRAASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLYDIRTGHQLQVYQPHGDGENVPVTSIAFSASGRLLFAGYANNNACYVWDTLLGEIVLDLGELQDSHKNRISCLGMSADGSALCTGSWDSNLKIWAFGGHRRVI >A03g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29469614:29475295:1 gene:A03g508750.1_BraROA transcript:A03g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHREVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNLPEGYFTCYEAFIVRCRLWFPIPKILVRVLDRFKVAISQWTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVCLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTIDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKVEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASPRWPYLYFRDWPLVALNPLPLYAISSLEMFETRALGLGHDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRIWNAFLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNLLRRLAVIIFTIFGPDEAADERKLKLVAWASDLCRASTSDAFALTDDFTSPIRIEDEILDASYFRKLSSEQLRGHRGVSSGLVELAEGVLVIPLIASPCVARGPALIRIDRIVMRSLEIFPLVMDVLVVTRVLLFPLRICIADIRCLVRGFPSLSALTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRERKTFRVPLLDGRLLAGVLTGRSFPRDSCSIEWGGEIEPLPADFGGSAGTDSLGPCRGEHLFKLLESRGVGLRVGRRYVRYRSVEIGAAASVKGSLHVICVETKKTLVSQRTRISVNYHTSSNQNTRITTIKI >A06p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:5451:8835:1 gene:A06p028540.1_BraROA transcript:A06p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGISQEGDKAMCIVRDSGTDRRSVPSTVRPLHTPKSSGSAQIKMCNVRASQVAQTPGEDFRNKHKLQLTPVQLSSLSPQAPAFEEVHKESDTCNSPTAKNVETKVLCHCISSLGHSKDYRKCSMGHYAMRSVSCESLYGDSNTLLLLVSVLSLRGSLNAYDPWSVTSLCKGSYKGSSAGVILGSLRVKLMNPFCLSKKLVMPWRWCNGRVGTHLNKREVQVLEPHQRRTWSFPSFSKKAVKSVERGRSKPEAVKSVERGRPQTSSMKR >A06g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10254984:10257272:-1 gene:A06g503320.1_BraROA transcript:A06g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWYEVAPVDTMTFMVVIVDDGVGAGFGVLVLVCGIWWLRKFLIRRRKIRRQRKFFKRNGGLLLQQQINTREGNVEKTKIFSSRELEKATENFSENRILGQGGQGTVYKGMLVDGRTVAVKKSKVVDEDKLEEFINEVVILSQINHRHVVKLLGCCLETEVPILVYEFIPNGNLFQHIHEEFDDYTMIWGVRMRIAVDIAGALSYLHYAACSPIYHRDIKSTNILLDEKYRAKVSDFGTSRSISVDQTHWTTVVSGTVGYVDPEYYGSSQYTDKSDVYSFGVVLAELITGEKPVVTLLNSQEIRGLADYFRVAMKENRLLDIIDARIRDGCKTKQVMAVANLAKRCLNSKGKKRPDMRQVFTELEKICLLSEDSQMQVEIGDDADDDEDEEGMQIIDIESLWTVGATAPASSIVASSSSSDVQPLFPRPTW >A02p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5977831:5979312:1 gene:A02p013730.1_BraROA transcript:A02p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVQVVPIEEPASTATATATATTNEPETKSSDQMESQSDKPPVGMLVTIVNLCAIGVLPIFTFFLSLTLLGYAVWLLYMRSYECEDILGLPRVQTLASVGLLAVFVVSNAALFLRRKFPMPALVVMVVILLLMMFMGLAYAGVNEMQSRRFPATARWFKLKVMDDVNWNNIKSCVYDKGACNELFYQYPKEKPYNRRKMPPIKNGCCMPPETCNMDALNATFWYRRKDEGPPLETEVLYGGMGGILSDCELWRNDWSIMCYDCRSCKFGFIRSLRRKWWQLGVFLVVISILLLISHLLIFLATFWERFKGQ >A10p038450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21484399:21487709:-1 gene:A10p038450.1_BraROA transcript:A10p038450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVWIVGILLWMCCVWVCNGQGEYVLYKDPNQKVSDRVVDLLGRMTLEEKIGQMVQIDRSVATINVMRDYFIGSVLSGGGSSPLPQATAQNWVDMINEYQKGALVSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRQVLLINTWDPDLVKRIGAATAVEVRATGIPYTFAPCIAVCRDPSWGRCYESYSEDHKVVEDMTDVILGLQGEPPSNYNHGVPFIGGRDKVAACAKHYVGDGGTTRGVNENNTVTDLHGLLSIHMPAYADAIYKGVSTVMVSYSSWNGEKMHANTELITGYLKGTLRFKGFVISDWQGVDKISSPPHSNYTASVRAAIQAGIDMVMVPFNFNEFINDLLSLVKSKAIPITRIDDAVSRILLVKFTMGLFENPLADYSFSNELGSQAHRDLAREAVRKSLVLLKNGNKTNPMLPLPRKASKILVAGTHADNLGYQCGGWTITWQGLSGNKNTRGTTILGAIQSAVDQSTEVVFSQNPGAEFIKSNNFSYAIIAVGEPPYAETAGDSDKLTMMDPGPAIVTSTCQAVKCVVVVVSGRPLVMEPYVASIEALVAAWLPGTEGQGITDALFGDHGFSGKLPVTWFRNTEQLPMSYGDSPYDPLFAYGSGLETESVASIVARSTSASAASTKPCLVTVLCLFLFPSLSRVFQKMKSYKSLE >A06p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5795959:5797599:1 gene:A06p012680.1_BraROA transcript:A06p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPEDSSHYFTDFTLAEIVDMETLFKELGDQSLLKDFCQTVASSFSCSVNRKGKSSITWKQVQGWFQGSLEKQNQPKFKTAPSSPLLIVDLSNPGGVRDAGSPETVTYGKASDVSDLAFEAKSARDDAWYDVASFMTYRYLRTGALEVRVRFSGFDNQHDEWVNVQTSVRERSIPVEPSECGRVKVGDLLLCFQDREDEALYCDAHVVNIKRGVHDHRSCNCVFVVRYEFDGTEEPLGLESICRRPDSEE >A01g503670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11226024:11226602:-1 gene:A01g503670.1_BraROA transcript:A01g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPTVNIEKIHAPPTSVSTTVIQAMEALAISSVATLNSTSVSNGQVLASTPSSFVEILPTTTESEPSTPATIFKATVTDTPSSNSALSENAPLNTNHAATLGDFPVTGSVLLMPPPKVSNDIPPSTSGGLSFTPFTGDCTGYFIGYEMAQRSRHGRELKPSQKVQDMQWHTVRGRKSRGCRGRGRHGDQN >A02p023310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11182539:11184438:1 gene:A02p023310.1_BraROA transcript:A02p023310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTLGFVLPTGVSRRRGGFRVSLIRCSASPVTNPTASGLVEKPWDSYNARLVLEDGSIWPAKSFGAPGTRVAELVFNTSLTGYQEILTDPSYAGQFVLMTNPQIGNTGVNLDDEESEQCFLAGLVIRSLSISTSNWRCTKTLADYLTQRNLMGVYDLDTRAITRRLREEGSLNGVLSTEQTKTDEELLQMSRSWDIVGIDLISDVSCKSPYEWVDKTDPEWDFNSNSRDGETYRVVAYDFGIKHNILRRLSSYGCQITVVPSTFPASEALKMNPDGILFSNGPGDPSAVPYAVETVKELLGKAPVYGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNNRTGQVEISAQNHNYAVDPASLPGGVEETHVNLNDGSCAGLSYPAMNVMSLQYHPEASPGPHDSDNAFKEFIELMKRSKQSS >A05p043530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26252521:26254136:-1 gene:A05p043530.1_BraROA transcript:A05p043530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTWTSHSYVTPFLYPFQVVALFSNSDSCVRSECEDISSFRCPKNTFERLSLQTSALVFCSALPAKSNGFIRIDCFGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFGDVFDVDYFIQKMNGFIKVVKDLPTYISSKEPFRVDCSKRKGQFDYRETILPLLLEHHYISLTPAMSQRRDRYPQYAKATLCQACYSSLRLTTSLEKRALELLDAIPKPFLSLHLRFEPDMVAYSRCEYPNLSPSSLAAIKAAGGEDKKPWTGEIAQSWRKRGKCPLTPNETALMLQSLRIPTSTNIYLAAGDGLMEMEGFTSVYTKVFTKSDLLNREDFTRMHGNTKAALDYYVSINSDAYVATYFGNMDKMVAAMRAYKGMHKTLFLSRKAFAELTSQGLEEEELKKALWEVHRNDFEIGRGSALPDCFCEFKQ >A05g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9322982:9324454:-1 gene:A05g503150.1_BraROA transcript:A05g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNQTLELLQTQSMAMKPNGKSPITSEDLSRRYFHQLISAVGYRHSRGVFHWDLKFHIISRSPCFNLSGMFSGEYDRVERLLSGWTAARVLQHRSYRLLDSCNESFFQVWITMFGLKKPLESLSKHHKPSSSASASKSNPFDSDDESDGNKNHTLKPSNKISPQPSLPTTKKNHSFNPFDDADDEEEVEKRLKPSFKNHFRESGRSSDILGKMGTTGGRRKMLLLAVTNNILNFGFFLLQLLLAVKQCHKKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFYDTRERRLCYLAPEALCPWYISEVAVTGVRLMIQAEYSW >A01g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25325107:25325393:1 gene:A01g509360.1_BraROA transcript:A01g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKFGRSFSSSSPIGKNSDDEGRENEAESPYKGPLDTMESLEEVLPIRRGISKFYNGKSKSFAS >A09g504210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13115031:13115417:-1 gene:A09g504210.1_BraROA transcript:A09g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAELIPLLAACTRVTILLREGTRVTRPEPKPPRLVGAGDPTTGPHGQSGAPSLRSSLLSPNIGPLKPERLNRRSESFSIIINHRDPPEESHASSFFPPELNHLLRRTHQYPDTNKKTRPKKKEGN >A03p041780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17450522:17452957:1 gene:A03p041780.1_BraROA transcript:A03p041780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTVVPLRRRSNGNHTNGEAFNGMENIVKKPDDCYTNGNGGVERSKASFLTWTMRDAVYVARYHWIPCFFAVGVLFFMGVEYTLQMVPAKSEPFDIGFVATRSLNRVLASSPDLNTLLAALNTVFVAMQTTYIVWTWLMEGRPRATISACFMFTCRGILGYSTQLPLPQDFLGSGVDFPVGNVSFFLFYSGHVAGSMIASLDMRRMQRLRLAMLFDILNILQSIRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEEMMSKRHNLANGFSLISKDSLVN >A05p047400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27924622:27926289:-1 gene:A05p047400.1_BraROA transcript:A05p047400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGEGDKRWIVEDRPDGTNVHNWHWAETNCLEWSRSFFTNQFSNAVILSGEGNLFIKINKVEKLEGEAYVNVRKGKIIPGYELSVSLSWEGEAKDSEGKTILKAEGVVDMPYISDENADEDPEVRVSVKDEGAVGKALKEAMVKKGKGVVLEKVRVFVEAMAKGGPCRDELENKKVASKSVAAAAVEKTSVLPAVVVKEKEKKKVKTKEGFKTITMTEKFSCRAKDLYEILMDENRWKGFTQSNAKISKDVNGPISVFDGSVTGVNVEMEEGKLIVQKWRFGSWSDGLDSTVKITFEEPEPGVTIVNLTHTDVPEEDRYGNATVVENTERGWRDLIFHRIRAVFGFGM >A01p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8624300:8626357:1 gene:A01p017680.1_BraROA transcript:A01p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGRGPMGGGGGMLRAAGRAMTRTGVANGGIQDPFASSPASSSTTPAGNASVAHGDHKLGSSSGSNNLTLSAASGSLLNFPVAATAGWSGGAFSFINSGAYEDFEWVSEEGTEEDDSVFGSVPSVDEVHDAVSALQQVFDGSSFSQLVRDKYESYPEHGGGNQSPIATGMVHQAPSFGSDSDWMEPSVQLCHSRVLQPHAYDQVYNAFDLLRTEPSVQRMVISLSSDKAVWDAVMNNEVVREIKDLYNNGISQDEESSDETPGENNAAVDFIKWVFDNTMVKANEVFKKITRLVVELLNSHNDDGVNKKGKDAKFNNWLEEKLKTSVLLSIVVMLVVMVSRACNKS >A04p016630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10223759:10224040:1 gene:A04p016630.1_BraROA transcript:A04p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIACVLSCMLLVACVATHGRPHALLHFSFTCQGTPPRPHISQHVWGSCVATHGPLHVGSHAKITGTATPHASVCRAAWKCFMHVYTSFLC >A08p004310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2490474:2491538:1 gene:A08p004310.1_BraROA transcript:A08p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNEEFIKFLGKGAYGYVNLVRYTNPDDGSSFLSAVKNSYHEDYDTLQKELEILLKLRGSPRIVTCFGDSLQQGLSNHGNKVHKLLLEYASKGSLSAFMDHYADRKLPEPLVKDFTRMILEGLVSIHDHGYVHCDIKPDNLLVFPSRQDSYELKISDFGNTLEVGEVPKLWESEFPWVGTPIYMPPESVRDGFANKGIDLWSVGCLVLEMYTGVIPWEGVNINLLATRLRRDKAPEIPESLPSDAKAFIQTCFSRNPEERGSACELLLHPFLPRPQVEEEEKKTSNSFLLKLFKLRIRRTSSNKKPTADVVAVSDKKPLKLRFFPTKTTQFKRTLNKVLRLKKSTDFNLVSVH >A06p030420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14308212:14309016:-1 gene:A06p030420.1_BraROA transcript:A06p030420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITNGMLTQLQMGFNGKLLGCHLDIPLGMYRPKFSRSTSAFMSPRIAIIDATRLEPEATFEQDDVSMTEPVADLAYKKLEESDSEAELAELNTTIGYKEQDGSSIGFNSARDPFSFSNGPITRSQTKKMKEAIVGLVYFHPNSDSNQDQADNQTKIINYSVFNLT >A02p055650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33692136:33702552:-1 gene:A02p055650.1_BraROA transcript:A02p055650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPSTIKPKMDKTRSLGRKPKQPSSVSTEDGSDPKPEKPLPNYLKPTISSRPVKFLKKNSNRQQPRRRSFGRPPSSLTSPSTSSPRIQKTPKRLSSPREKPATALRSSSFHGSRCVPRGGTIVKSSHVAPKKSGLSSSSTSLKSKKESHEDVTGKKAPEKEIALDTASLSSTQEDEEKTLKVESDVQVGDDIEQPKDEEENKEVHVAVVHDYEAEIVVAKEKEERLMNEDNSEEKEQENKGELFEEVKKKIDEDDTSEKVDIDMSLKEVESVQESTEEQEEVKEEKEVNKEDKEKVEEEENEKSEVVIGKKGSSSAYNDVIASKIQESRKNKVLALAGAFQTVIDYETAASK >A03p051760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20396427:20398495:1 gene:A03p051760.1_BraROA transcript:A03p051760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSWVSSLLLLLLLILFFSKASSRGLADEQPPKDSSNSTTTQSPPLPPNVGDGKSNATSSLPASNSTSPDPKEPDSASPPPPPPPPPPQQLQAEGEKNVTVVSNTTEAVSPPPANQTESVDKGKLPEKMAPPPKSQESGEKGNPPPGKDPEKVDDAKGSSESVIVETCAGKSKICRTENSLVACTLSIEKDSSKWSILVQNEGVESLKAKIVLPVSSSPDLTLPKHQSQRVNISISVDTNKIILDAGKGECVLHMYPPKENTLSIHLPSYEKLVTPINGAYFLIVSVVIFGGAWGFCLCRKNRRADDNGVPYRELELSGGGTGLESVHDVETADWDEGWDDDWDENNAVKSPGGAANSARISANGLTARASNRDGWDNDWDD >A10p008790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5372301:5374838:1 gene:A10p008790.1_BraROA transcript:A10p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPDEFASPYSEDSIISIASRSDNQMSFLLSTIDYIDDVKFVDTLGIYRFFASPSNPLPRPEKYFVDCLLMEQEETTDIYNKLGFDFTLTRTMTMVRAKKNIVSYVRELKPCKDTSRIEVRIVRLWKNYNKESGNTIEMVVVDKEGTRIHASVGEQLIKKFDDKLCEGDAIILQLFKVYDAIGEYRTTPHPYKIGFFQTTFVGKDDDFPSAVPEKYFADFSDILGGNLDHSCLVDVVGQIVNFGSLENKIIKEKDNMRLLVELRDPKSKYFVGAYSISSGYNSIHILLNLTLEFIEEFKASLPDDSLALINNDSSQWSVGNATSIRARFFFLNERLTIREIIDSTLLYRLHPSLLNFLHMRILHV >A10g507000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21251582:21252733:1 gene:A10g507000.1_BraROA transcript:A10g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFKSASLSIAAAVPFISAATAAPFVSDATAALFVSTITATVSNRLGSSVLRKFTVSYAVRLSGDSRKAMSSGSSAPRIPCRCSSVLRVPWCCGSYFWCVRSVTQGSVFVDPRRKPPPLPSKPFPFHFSTGAAKTCAIDETCHYGEVLKLSPTCPARCADCSGSPPDAPPFSIVGRLDTAGDFPQPHTTVRWLVFGLLMITFWARLTMITADIISSRLSSGKHSSEMDMVSLILAKSQRSSGGFIGPFEVRIMIYLLVMKSFPLDSSGTSWLLVLPSSHKEWISYLLSMKGYTFSVLLLSSGFSFSTSLSSCVAVSTELEEATEITFGFLVGESWLSTSHYVTIFQLIAKVLSTHSSFFSNSLSTFYEDLSHLAYVVVYLFN >A03p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14056725:14058302:1 gene:A03p033210.1_BraROA transcript:A03p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLDFSSAFLHPRAAALATTSGVTISTKFHSTNVLSPYFPPSSTLHLPSPPSRRCFTCRIGDDDYCNEDESETDEDDYLVDCLTDEKSEELVVVGDDGVLIEVKKLEKSSRRIRSKIGMEASLDAVWSVLTDYEKLSDFIPGLVVSELVEKEGNRVRLFQMGQQNLALGLKFNAKAVLDCFEKELQILPNGRRREIDFKMVEGDFQLFEGKWSIEQLDKGIQGEALDLQFKDFPTTLAYTVDVKPKMWLPVRLVEGRLCKEIKTNLLSIRDTAQKVIEGVIHDL >A08g510350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22967348:22967655:1 gene:A08g510350.1_BraROA transcript:A08g510350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTIVYSPRLIIVSSKFVLTILYMSIICCSWDAASYDSLLGSNKLFSQPIPLNEMVDFLVEVWEQEGLYD >A06p004140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1037364:1038488:1 gene:A06p004140.1_BraROA transcript:A06p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLFEALMQLPSSTRDTVVKVLDHASQNHPTDHDEDYPKRMCLREEEECATGTVCVEPRLQSSDSEEYSSSRSSKTVTEEECGQCSMDDDDDDDETTSPSSSGTVEQRMILYKPQQEECEEDDVVSTELTLGSSNAQPSRQQEEPSSSSPSEDDTSALLLVELCRIRPMQLQTQTQTPHKFDSYKCDVCGKEFTSYQALGGHKASHRVKLQQPLVENANAEAGGKTRPRMAPSGKIHKCSICNVEFPTGQALGGHKRRHYEGVLSGNKRSHDEVIAGDMSSPNHESVETNMSGRKQSIVGDHKRSHDEVIAGDKSSPKHESDVTKSPGRRFIRLFDLNEFPLQEFDDNNMQEVDEFESAIVANKTLQLIDLI >A02p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16589050:16591075:-1 gene:A02p031570.1_BraROA transcript:A02p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYPSSLVDTSLDLTIGVTRMRVEEDSTTTALVDELNRMNAENKKLSEMLTLMCDKYNVLREQLMEYVNKTERDQVSPPKKRKSPARDDANSSAVVGGVSESSSTDQDDQYLCKKQREETVVKEKVSRVYYKTEASDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFKCACAPSCSVKKKVQRSVEDQSVLVATYEGEHNHPMPSQIDSNSGLNRSPGAANRSRSLAEPVTTIDLTETKKVTSPSRVDFPEVQKLLVEQMASSLTKDPNFTAALAAAVTGKLYQQNQTDK >A09p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1668105:1672636:-1 gene:A09p002430.1_BraROA transcript:A09p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLEPSQVVAAVKGLHWRTSLEIHKLLKDNEDFCISYNDGEEEGAEPVKIDVEKLVGMLPLHLLSVFISSDDEEDGKLRYLLSGIRLLNTFCDLTSRHPRLDQVLLDDVVLTTQMLDLVIFTMVALGGNRKESWKSDYDSLIEATMVASTLHLMHGFISPNSQDLVIVLLAHPKVDVFIDAAFGAIHNVVRSLRAKLLHRQTDQPKKLGVNSVGAVNAHCQQAEAALQFLHSLCQHKLFRDRVAKNQELCGKGGVLMLAQSILSLYITPEWVGAAVIIASISRINAKALSLLHHLFEAESVSFLDEVERSGSLHLAQTVASKVLELMRLGLSRASKASPPPHEYPMGFVLLNAMRLADVFSDDSHFRRFFTKHFTMVLSAVFCLPHGDFLSMLCSSDLSSREDDATLDYDLFTSSGWVLSAFSSPGELAVPQFKLNFQNNLTMSSYAHQRTSLIVKIMANLHCFVPEVCTEDDRNRFIQTFVSGLRKDPSSILVNLLPSSTYTPEAQRGTSVCKNISSLLHHAEFLIPNALNVEDLMILRVFCQQLEPLIRSEFEESQAEVKDNQGAGGSSSGKQLGKEPLNLNNDEASEDSDVRAEGATTKQGVNNEEMETAERSKESDNLETSGSETSSNRGGKGLVDQAEDGYLARTFTKRLKVSASSGEVKEDERAEASLLLEKQRTKRKRSIMSDDQMAMMEKALVDEPDMQRNSAWIRTWADKLSLQGPEVTSAQLKNWLNNRKAKLARASKHGPARDNNSSGDLPESPGDENTWQQQQQKPTTPFADKASASSSEGLKRGQQVMLVDERGVEVGKGTVLKTDGEWYGLSLETRQVCVVDVIELSGLYDYSKMSIPYGSDDVGRTFGEANSRFGVMRVAWDVSKLQ >A10g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14355214:14355877:1 gene:A10g505630.1_BraROA transcript:A10g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHCMVIPGAWASVGSLWEFVIDKKNMSWIVPLRSSMSLRELQNNMAKEFFTFTLHWTNDTTGYTDKRRRRFLLFQHFSTNSSMNLFITFDTFAMTIVSVYTAGRHNPVMHHNPVIVHVVVYMTGLCATSLNAEMAVYMYTLFKNAGA >A03g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9881728:9884862:1 gene:A03g503180.1_BraROA transcript:A03g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIASVFKALCLSLLFIAAVASRPTNRPKVFNVQRYGAKANGKTDNTKAFTNIWKSACTRKGGNSKIYVPKGTFYLGGIEFVGPCTNQIEFVIDGTLLAPSNPRDIKNDTWIQFRYINNLIISGAGTLDGQGKESWPLNDCHKNPNCPKLAMTMGFAFVNNSRINGITSLNSKMGHFNFFSVHHFNITDVTITAPGDSPNTDGLKFGFCSNINISKTHIGTGDDCIAILSGTTNMDISNVNCGPGHGISVGSLGKNKEEKDVNGLTVRDIVFNGTSDGIRIKTWESSASKILVSNFVYENIQMINVGNPINIDQKYCPHPPCEKKSK >A02g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22818778:22820262:1 gene:A02g508420.1_BraROA transcript:A02g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKYSEKRSEHGQLFLTILLIRDGQGEYSDQLDPCDGSEPRVIQNLIVYSLSRKSRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILEYLMEMMVIFIPR >A08g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4966163:4967693:1 gene:A08g502180.1_BraROA transcript:A08g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARSNHHNRLPLRERRKRWVGCFKALSCFGSKKGERRIVPAASRTPEGNLLSHHSPAPSIAQSPNCLLSLSANSPGGPSSAMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLTGKADCISGDDGLRSSSFPEREFSPHWDSLASPRTVKCSRSDSSYAQTPETNTTPKASQGSNFFCPATFARYYLDHDAPFSHAGGRLSVSKDTDVYPTNRNGQRIYKQDMEELEAYRASFGFSSDDVISTSQYVEITHVQDDSLRPRTTSDASKDEGINLYGAGEASLNLQKCGNLQDPLDMHNDHMRRSSTPGNGGQAKASRKYKTGLCSSDAEIDYRRSGRSLGEGKGDFAWHD >A08p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23134377:23136301:-1 gene:A08p040750.1_BraROA transcript:A08p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEDLPSTSKSTGAPSRPTLSLPPRPFSETFFNGGVGFSPGPMTLVSNMFSDSDESSRSFSQLLAGVVMPSPATGSEGNSNSSSSSVDAVDPRFKQSRPTGLMVSQSPSVFTVPPGLSPAMLLDSPSFLGLFSPLQGSYGMTHQQALAQVTTQAVQANANMQPPSSQVRSQNPTSAPDSSLLAQRETSDITIMEHRSQQSLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYKGQHNHEPPQNTKRGNKDSLHGRNNMKQQMSEASDSEEVGNGDTGVRDEPDAKRRVLEPAAAVAASHRTVAEPRIIVQTTSEVDLLDDGYRWRKYGQKIVKGNPYPRSYYKCTTQGCGVRKHVERAATDPKAVVTTYEGKHNHDPPAAKSSSHAAAAAAQIRHGGLVNLNQQQQRPVACLRLKEEQTI >A05p029890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15083671:15085335:1 gene:A05p029890.1_BraROA transcript:A05p029890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPLIDGDTFPSSDVESEESIDTELPTSIDTAQPEACKFSLTKPANEKVIQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMMDEEIEKATSPKDNSDLIEIKNSLKSLHSFLQNKHQSDIAQIDDNALSDTNDYLDEGTNCSDLYSVLNVDSFTQAYDTAVKSRTGRERFNIRQALTGNRKTKSEFYGKINMVHGELMKKADSLGELIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRHQVSAVMLRSGKNLAADTRNNTDVGKPDDTDKTGKSNSHPILLNDLHPNPSQENRKTIVEKAKEKEIDIELEEDTEIEDEIDRQYGTDVNRPERPTIERQPEKP >A03g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21066174:21067099:1 gene:A03g505880.1_BraROA transcript:A03g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEERRNSKSQKDHINMLSYVSDSEYGIPRRCPCGGRIIDEVRRKDDYDTLPGKRFFTCKNYEADGLHYRQPWVIGVQEEIERLTKRVEEAEEVMLGASNLVS >A08p003720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2115701:2117465:1 gene:A08p003720.1_BraROA transcript:A08p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G50940) UniProtKB/Swiss-Prot;Acc:Q9C6I6] MTRAILTKKKLVLSNAHRFISITNLSRCISTLILAEHESGSIKAQTVSTVVAANHLGESSSVSLLLAGSGSSLQEAASQAASCHPSVSEVLVADSDRFDYPLAEPWAKLVDFVRQQRDYSHILASSSSFGKNILPRLAALLDVSPVTDVVKILGSNEFIRPIYAGNALCRVRYTGAGPCVLTIRTTSFPVTPITESKKATISQIDLSKFKEDAVSRSRYVGQSTQDTERPDLGSARVVITGGRALKSVENFKMIEKLAEKLGGAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGIKDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >A06p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18663698:18669507:-1 gene:A06p034500.1_BraROA transcript:A06p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKRWNMKEDENCEVANGFGASGDVKSNGCDMSKPWNLKEEDDDKVVFDTSCNMIVNFDNSVENVRRRRCLRRKRKRSLGSSKFNSLEGFSRVDGLPPLSEIENIRREKSSKNLQELRQNSRFDRRLPNQHCPCFIGKGKFLVKDEEFDVYFSILDHRAALLRPLVETKTLGSWNIKCIINSGGTMETRKLWGGRSMEKLRQQRFFQWVKR >A02p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8420718:8422424:1 gene:A02p018310.1_BraROA transcript:A02p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPFSGDSPPLNCLHGFFSSSSIPGDVTSSDPPQGPTPTVTSATLRRNFAQTTASTIFPETHFTDPNSLPSLQESFSHFIQAYPNYTDTYGIDRIRSDHYFHLGLTHYTCLDYIGIGLYSYSQLLNYDPSTYQISSSLSESPFFSVSPKIGNLKEKLLHDGGQETEFEYSMKRRIMSFLKISEEDYSMVFTANRTSAFRLVAESYPFSKRKLLTVYDYESEAVDEINRVSEKRGAKVAAAEFSWPGLRICSSKLRKMVTAGKSGSTKKKKKKGVFVFPLHSRVTGSRYPYLWMSVAQENGWHVMIDACGLGPKDMDSFGLSIYNPDFMVCSFYKVFGENPSGFGCLFVKKSTISILESSTGSGMVNLVPTDDPISLHALEISRTRAESEEVDSCSSGIEFRGLDHVDSLGLVATGNRSRCLINWLKLADCSNISLGQGFLKKILFEEEYEGVKDRVLEKRKNEQGISVLTAALGFLANFEDVYKLWIFVGRFLESGFVETESVRCGVHVFEHKSREVV >A10g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2900736:2901070:1 gene:A10g500970.1_BraROA transcript:A10g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTFTAFELNVQSKSSLLAESMAMREALNKCTELGLVSICFESDSSQLINAINEGKIPLKNLGLFQTSSFYTLHSLLYHRLAK >A06p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4545938:4547952:-1 gene:A06p003730.1_BraROA transcript:A06p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPISSRLTSASLLPIPHTISLISAMSFSSSSSKSLKVPTFKEEDEQEKLRQVLKYHNQTKHYARGPRGLDWANQPNPFRRYLSSPLLPLQHSSSDHDSVLYSSLFDSSSLPPPKPISLSTISHLLLHSLALSAWKTTGASTWPLRVNPSSGNLHPTEAYLISPPIPSLSHLPFVAHYAPKEHSLEVRANLPSSFFPEFFPENSFLIGVSSIFWREAWKYGERAFRYCNHDVGHAIAALAVAAGELGWELKLLDGLGSDDLNVLLNLHEVPEIESEHPDCLLLVFPKGGGRDVVINIDYKGIASAIREGFTSLEWKGTPNVLSKEHLCWEIIYKTAEAVKKPSLVSNSSLIDASSFKGIGVVSRSSYKELTVSQVVRMRRSAVDMDGVTFIDKSAFYQMLMHCLPSGSSTKGEQLALPFRALSWDSAEVHLALFVHRVSGLPKGLYFLVRNEDHLGDLKRAMRSEFEWRRPDGCPDGLPLYMLAEGDCQRLAKGLSCHQDIAGDGCFSLGMVARFEPVMREKGAWMYPRLFWETGVVGQVLYLEAHAMGISATGIGCYFDDPVHEVLGIKDSSFQSLYHFTVGGPVLDKRIMTLPAYPGPTSDV >A01p021820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10634846:10636592:-1 gene:A01p021820.1_BraROA transcript:A01p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSLATFWRFGFFVIALRAVTYVAVGNEPFLTSYGGVYQNYVVPAMVNLQQSLVKANLASYVKLVVPCNADAYESNVPSQGTFRPELTQIMTQLVSLLNSNGSPFVVNIYPFLSLYQNSDFPQDFAFFEGSSHPVLDGPNVYYNAFDGNFDTLVSALAKIGYGQMPIVIGEIGWPTDGAVGANLTAARVFNQGLVNHLLSNKGTPLRPGSPPMDVYLFGLLDEGAKSTLPGNFERHWGIFSFDGQAKYRLNLGLGNRGLKNAENVQYLPSRWCVAHPEKDMTQVTDHLRLACSQADCTTLNDGGSCSQLGEKDNISYAFNSYYQLQMQNEKSCDFDGLGMVTFLDPSVGDCRFLVGVKSSSAGLMARWDIYHICIGLVVWALTRCYIL >A09p057710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48549932:48550872:1 gene:A09p057710.1_BraROA transcript:A09p057710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPQQQQRSSDSSPPQLKLPSFVVNLFPFLQPKSPASVNGADGAPKPAAGVSKDKETQNSTVSFPYNPPKSAEPLKVEAEPSSGSTSNSLVIWQVYALGGFLVLKWAWARWNERNATSDKKEEEEDDDDQAPHPEDD >A06g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1426407:1426876:1 gene:A06g500350.1_BraROA transcript:A06g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKKIVLKSSDGHIFEVEEAVALQSQTIANMIEDDCVDNEIPIPNINGEILSKVIVFARNTSSSPMVTRPLPLKRSSRLGMPMADMITGKTPEEIRTVLNIENDFTPEEEKEIRKENQWAFE >A10p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14930721:14933499:1 gene:A10p022680.1_BraROA transcript:A10p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer membrane OMP85 family protein [Source:Projected from Arabidopsis thaliana (AT4G09080) TAIR;Acc:AT4G09080] MTTFAINGQLTTLPAVTTTGCHLSTSSKYSAPSSSYELRYNAPSPRFPSLRCCCSSPNRSTEPSSRGHLLQSLGKSLFFGSISSSGGGGGGGRGGGDGGLWRDLFSLATPVAVAAEEHSPEWDSHGLPANIVVQLNKLSGLKKYKISDILFFDRRSKTTASAEDSFSEMVPIHPGKVYTKAQLQNELETLTTSGMFEKVDLLGNTKPDGALGLTFSFLESTWKNAERFRCINIGLMTQPDPIPPDSDMTDREMIEYMRKQDKEYKRRIENARPCLLPGPVQREMMLMLRDQTNVSARLLRRIGDKVLKWYHDNGYPYANVTNFGNLNSKELVCEVSEGDITRLVIQFQDKLGYVVEGHTQIPIIHREIPKQLRPGNALNMEAANQAVKNIFSLNLFSNVEINPRPDEKNEGGVVVEIKLREADRKSAEVSTEWSIVPGPGGAPSLASLQPGGSVSFEHRNIHGLNRSLMGSVTTSNLLDPEDDLAFKLEYVHPHVDGVNNPRNRVFTTSAFNSRKLSPVFTGGPGFEELVSPLLVDRVGVKANITENFTRQSKSTYGLVLEEITTRDGNSEISTNGVRLLPSGGTSVDGPPTTLSGTGIDRVAFLQANVTRDKTKFVNGAIVGDRTVFQVDQGLGIGNKFPFFNRHQLSLTKFIQLKRVEQGSRKPQPPVLVLHGHYGGCVGDLPSYEAFGIGGPHSVRGYTMGELGASRNILELSAEIRVPVKNTHVYAFAEHGNDLGSSKDVKGNPTEAYRRMGHGSSYGFGVKLGQVRAEYAVDHNRGTGAFFLRFGERY >A03p068570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30043458:30045222:-1 gene:A03p068570.1_BraROA transcript:A03p068570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMEVERKTTGWAARDPSGLLSPYTYTLRETGPEDVHIRIICCGICHTDLHQTKNDLGMSNYPMVPGHEVVGEVVEVGSDVSKFTAGDIVGVGCLVGCCGGCSPCERDLEQYCPKKIWSYNDVYIDGQPTQGGFARATVVHQKFVVKIPEGMAVEQAAPLLCAGVTVYSPLAHFGLKRPGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSNKKKEEALKDLGADDYVIGSDQSKMNELADSMDYIIDTVPVHHALEPYLSLLRLDGKLILMGVIANPLQFLTPMVMLGRKVITGSFIGSMKETEEMLEFCKEKGLSSIIEVVKMDYVNTAFERLEKNDVRYRFVVDVEGSKLEA >A10p028180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17571592:17573397:1 gene:A10p028180.1_BraROA transcript:A10p028180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLKTPSLHLTPSLLHAPSVPFKPFCVSFAGGRNTSVSLSRRASLRSVSGGNSLRLLNFVPFASGEAETTETELESSEPEVQETDGAEGENAGAEEEEAAVTIALLSSYKEALAENNEEKIAEIEASLKSIEDQKFQLEEKVATLSNELSVERDRLIRISADFDNFRKRNERERLNLVSNAQGEVVENLLAVLDNFERAKSQIKVETEGEERVTNSYQSIYKQFVEILGSLGVVTVETVGKQFDPMLHEAIMREDSAEYEEGIVLEEYRKGFLLGERLLRPSMVKVSAGPGPEKAGEGEGEEAIAQGSAEGAEATSS >A04g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19453804:19459407:1 gene:A04g507720.1_BraROA transcript:A04g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSFPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A01p038630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14704590:14707106:1 gene:A01p038630.1_BraROA transcript:A01p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 22 [Source:Projected from Arabidopsis thaliana (AT1G59990) UniProtKB/Swiss-Prot;Acc:Q944S1] MILSRSVSVLHSCRISSAPKLISHKLKVSFPLAYGSSALISLNRSEVKWVRVFASATETETEVERKGNDTFFADQAVSWKSLGLSDKVSVALRDSGFGRPSLTQAVCIPSILSGKDVVVAAETGSGKTHGYLAPIIHQLTNTNTPLDSEGEERRVPLKTISLVLCPNVMLCEQVVRMVNGLLGEDGHPLLRVEAVCGSQGWPDKQPDIIVSTPAALLNNIEPKRNRRVEFLRSVKYVVFDEADMLLCGSFQNQIIRLINMLRFDEKQVSRLATSKFGKPLEIDAESSAQFDLENEDDADFEEDGSISDEEEEEYLDDTTQIPTVENGAGPDIRKGWRRVRKIYTRSKQYIFIAATLPVNGKKTAGGLLKHMFQDAVWVSGNFLHRNSPRLKQKWVEVTVDTQVDALIEAVNNSSTDRTMVFANTVEAVEAVADILEKASIQCYRYHKNHTLEERANILADFRENGGVFVCTDAAARGVDVPNVSHVIQADFSSSAVDFLHRIGRTARAGQYGTVTSLYTEANRDLVEAIREAVKTGQPVETAFSRKRGFRNKLKKRAFLKAGEAKESQAVRA >A09p057530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48489121:48489940:-1 gene:A09p057530.1_BraROA transcript:A09p057530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein [Source:Projected from Arabidopsis thaliana (AT3G52090) UniProtKB/TrEMBL;Acc:F4J5R0] MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNIVRMQLHRDENVLFAGYQLPHPLKYKIIVRIHTTSQSSPMQAYNQAINDLDKELDFLKSQFEAEVARFSNPY >A06p035700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19357632:19360023:-1 gene:A06p035700.1_BraROA transcript:A06p035700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDWSWLGGGGKKKPSSKSKKDINTPPPPPPSSSPAGNTATAAGCMSAVFNIFDLQHLQFPINHHHLHHPKGVDAPRNSLESMEEEEETPCSPTRKDGNLNISMGIKIKTKPQIRSSTSSLAATESYSPSVKTPTLVARLMGLDLVPENYRSSPTPSSSSLNDLKTAARSSHTNRHRHYSLQRNSVDGGTRSLPETPRISLGRRSVDVNCYEHQRSSLHLKDNNNNNLSSERELGVNNVRCTRVKEMKIHEDKENRSPRDYARQIVMQLKENVSRRRRMGTDITNKEQQPRETHEPKKASRITITTHSSTSSPRLGQTETPKTKPASSLQTNSVSPKTMESTVKAQEKTRLPTVQEEAHGCKRQSKSVKKCKKQENFKSRLVKPPQTMQEEPFVRSPSTSNNNNNKSNNLLLSQGDKSSLSINDLVSFTSVPSLHTIIKKKDSSPHKSSKLQVDASSSQSSRNRASTEHPRFQSQSSSSSSLTPIAGGELEYITRTLRRTGIDRDTPISYAKWFSPSHPLDPSIFYFLEHFAITSSRPRNSPEGLSLRCNRKLLFHLIDEILADILKPHINLKPWVCRYPIRSRRSLKGSVLIDELSRRIEKFPLAECLVLEDIDSLVAGDFPETPVQSELAFEEEGEVIVAEIERGILEALVTETTTDCYDTWIKTAPVKRNDDVSGTWGVHVTRYPSNAGSHHDSWLRPPRG >A01g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21512190:21513429:-1 gene:A01g507570.1_BraROA transcript:A01g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A09p008280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4267253:4270883:-1 gene:A09p008280.1_BraROA transcript:A09p008280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCLSLEEFDIDFEFDAPRFYDFSRPELDSETDEIEFWFESAGNYPPSPFSPKFNWKLEPLPVKQITNTSSKTKPVDTGLNPKDKYNGFIYYNQTVKDVSKTKPKSKTKSSSSSTLTRPTVSLLARQNKPLDVYSVQLLTRCQRSLAKFGDNLSPILVSKLQNQDTKRENLEAKMDQINSNRRSKLTVPKEPKLRTAERSERHRSKVNSEIKQNAKSRITSPKINTTNKNINHEPSSAPLPKSNTVRSQDLKAFGLRTSLRAKERSSNAKINAIQEKEATNSRTLLKGRRAKGNHSGKIHCQVYESKIFPLDSKRPSKEGLGEATSIKYGTQKSCRTYINSTTMVSTRLFTLLLCFVSLSVSESKVLEPHAAESFNVSLIQKLGTSCSYRVIISTSCSSSRYTRDQISIAFGDAYGNQIYAPRLDDPSIKTFEQCSSDTFEINGPCTYQLCYVYLYRSGPDGWIPESVKIHSHGSKSDVTFPYNTLVPESVWYGFNYCKSASDSSVLGAIGLERIVLVVVGFIVAGLILFL >A01p033380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:24631:24807:1 gene:A01p033380.1_BraROA transcript:A01p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTNSLKTDTMKLINTATTCLRKTKEKLDEEDDELVRDGHRETHEYGDGGSPRERR >A04g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:288402:288709:-1 gene:A04g500060.1_BraROA transcript:A04g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLYINKLQLTSKSKENPGRRFFRYRPCFKWVGKANSEELALLADKQASKISARLLRFLSVLDLRSNSGSKSRSPAIAIAGPRPLC >A03p040050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16694884:16697011:1 gene:A03p040050.1_BraROA transcript:A03p040050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKHYLSKSLDIKQKMEVKEDTKGSISMVEANLPPGFRFHPRDDELVCDYLMKRTVRSLYQPVVLIEVDLNKCEPWDIPQTARVGCKEWYFYSQKDLKYATGQRTNRATATGYWKATGKDRSIHRNGSLVGMRKTLVFYKGRAPKGRKTDWVMHEFRLQGTFFHHPPNPLKEEWVLCRVFHKNNNEVDRDNNMRSCSNQTVSVSMDSYINFDHHHIINQQVPCFSNLNQTNQSGFVSKNPNPLSKPSSDQMVLRAVLSQLTKSGKESQSYGEGSSESQLTDIGIPNHNAWKY >A06p004900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1386087:1388611:-1 gene:A06p004900.1_BraROA transcript:A06p004900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVPKHSPVIKTTLHCVTSVKNFKIPYFIVFAHTYHHVLISLYNSRINEHLRTTQRKNLSYLNTRSRYIDMETVVVIVGAGPAGLATSVCLNKHSIPNLILEKEDVYASLWKKRAYDRLKLHLAKNFCQLPFMPHGPDVPTFMPKEYFIDYLDAYVTCFDIYPRYNRTVKFTMFDVSNNKWRVEAENTVTGEIEVYWSEFVVVATGENGDRYIPEVEGLNNFYGEVVHSSMYKSGRDYKDKNVLVVGGGNSGMEISFDLNNFDANTAVLIRTPRHILTKEEVYVGMTLLKYFPVRMVDTVVMMMSKARLFIYGDPSKYGLIRPNQGPFVTKFLTGRTPVIDVGTVRKIHEGKIQVINGGIRSIEGKTLTFENGLRQDFDVIVFATGYKSSVCNWLKNYEYVMRDDGFPKNPMPDHWKGEKNIYCAGFSRKGIAGAAQDAMSVAQDIKSILETARY >A10p016610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2957942:2958780:1 gene:A10p016610.1_BraROA transcript:A10p016610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQRRKKKGDYNSRNHDETRILVQLLVEAVNNNWRDSSGSFSKLTVESKILPELNKDVYRAKYFKHYQSRHKQGVATGKNAVGLGDGTDARTYKAGENSNEENWNDVDNIYEFNASTRDHELSEQYAPFDSQTVPESRTEKFQPRKRARSERGVSQKDENPAMAVSTQILNIIQQREERQQKETSERKINV >A03p029070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12220338:12221272:1 gene:A03p029070.1_BraROA transcript:A03p029070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKSLFASLLICLLFQISHGATKERLFSELEKGALEVTAKPSREGVLDAGIDKLSITWKLSSTATKEAEFTTIKVKLCYAPVSQVDRPWRKTENELFKDKSCPHKIITRAYDKSPQSFEYTLERDIPTGTYFVRAYAVDAKDHEVAFGQSTNEAKSTNLFSVQAISGRHKSLDIASVCFSVFSVLALLVFFVNEKRKAKIEQSK >A03p049430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:21149689:21149868:-1 gene:A03p049430.1_BraROA transcript:A03p049430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKKQCPYKIPINKKFSYCVATHCLADCKKQHGADASGSCSEEKGFCNCVANCKGSR >A04g508660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:23249185:23250417:1 gene:A04g508660.1_BraROA transcript:A04g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSRLLTLKLTRARPSLPSRLRPASHLSRPRPASSPSKLQVHPPPDPPPCTYPPVLPEARSPPKPPDPPDVPFNLVLLLMSDTASSQLVSKTPDLKSLMLNLVPVFSDGVISLVCVDDTSFVSKCLSPAVCSVFLYWCVDWSLHRFSPRDFIYPPLPFIMLVIVVVDSTMGCSIPIPNSISVSLPLPLIQVLSQRFLNLILGDELISLVWYLELSFDLSLFFALVRPFTAVCSPFTAVCSSISVVFKSLCAQWQLNRLMPHISIHHVNRVVYCPVSAFMEFVLLPISSSTLCGFGVGNVLLKIRDTSNTEVLIKGFVAMLKIVDCALVAASILGFISLPVVTNFQGFILLYSSMVAEIRGLLDIISCLSALYAPIFLCCICFLVIAVCCLPWMALSSCMHTFSIYGE >A01p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28843432:28862255:1 gene:A01p051440.1_BraROA transcript:A01p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKEIFSKDNFKKNKKTVLLSAAVALLLVAAVIGIAAGASKANGNRKKPLSPSSHAVLRSACSSTLYPELCISAVATSGGVKLTSQKDVIEASLNLTTIAVEHNYFTVKKLIKNRKGLTPREKTALHDCLETIDETLDELHETLEDLQMYPNKKTLREHAGDLKTLISSAITNQETCLDGFSHDDADKKVRKVLLKGQVHVEHMCSNALAMIKNMTDTDIANFELKAKSFSNNRKLKEEETTVSVDIAGAGEVDAEGWPTWLSAGDRRLLQGSSVRADATVAANGSGKFKTIAAAVAAAPDNSKRRYVIHIKAGVYRENVEVSKKKKNIMFMGDGRTRTIITGNRNVVDGSTTFHSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYQCDMLAYQDTLYPVKGSFPTYLGRPWKEYSQTVIMQSDISDVIRPEGWSEWTGTFALNTLTYREYANKGAGAGTARRVKWRGFKVITAASEAQRYTAGQFIGGGGWLGSTGFPFSLGTFTTLTHQCQTMASSINEIFSKDNFKKNKKLVLLSAAVALLLVAAVTGIAAGASKANGNRKEPLSPSSHAVLRSACSSTLYPELCISAVATSGGVKLTSQKDVIEASLNLTTTAVEHNYFTVKKLIKNMKGLTPREKTALHDCLETIDETLDELHETLEDLQMYPNKKTLREHAGDLKTLISSAITNQETCLDGFSHDDADKKVRKFLLKGQVHVEHMCSNALAMIKNMTDTDIANFELKAKLSSNNRKLKEEKTPEAVDIAGSEELDAKGWPTWLSAGDRRLLQGSSVRADATVAANGSGKFKTIAAAVAAAPDNSKRRYVIHIKAGVYRENVEVSKKKKNIMFMGDGRTRTIITGNRNVVDGSTTFHSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYQCDMLAYQDTLYVHSNRQFFVKCLIAGTVDFIFGNAAVVLQNCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLQRVRSHFPTYLGRPWKEYSQTVIMQSDISDVIRPEGWFEWTGTFALNTLTYREYANKGAGAGTARRVKWRGFKVITAASEAQRYTAGQFIGGGGWLRSTGFPFSL >SC234g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:34228:36829:1 gene:SC234g500030.1_BraROA transcript:SC234g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPAVLELFFTFWVFGRLSGRSNQPQQKMKYRSSESVEREEERDGVCSRWIKEALESCWLWSSHGKGKPFMEMATEEGQTRGLKLGDEVDQGNTTKSEVEATLGKSKLVRVVEGKGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRWCQERRKQDVIFSFLMEEICELVKHTCDVWEMNRKPDRWKGGTSCKKGRLRKLSKEWLMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQEKEGGADDCITRKEWRVGNGSESEEQEQNQEDSGQHDHEETQEVENVVQSSGDEQGESTGTEEIQAQSGGNEQGEPTGLGEETQDN >A03p004110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1764217:1767682:-1 gene:A03p004110.1_BraROA transcript:A03p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRMPLLKKKPHKLLDPPNGLEPRELVYQVRLTKEIFRDYQLYLKRINLYRQRVWTCKSTGKTSLTYEEALESEKLTSKKVQTLPGELVAPALHIIQFSTLSLKDLADTIATKLQSCFFTGAELYANRDGELHPCRILEMVTDEDGEPQYKVGFLDKDKEINESAVLSGEDLSWKKFPFSRNFLKSFIRESTCRSIPWVVNEYLAKAHGISRKIPKELQDKYVFQNGELVQQRKQDDKTGRENGKRKRAENDSHVAEETHRDVNESEKESINYPIEDLLLPPDHDDADITQRPRLSRDFNVSMDCAGDLLMVWDFCSSFGRQLHLWRFSLEDFENALCHKESISVLIMEVHACLFRFLINEDSDKFKALKRRSRKSKITLITWTEYLCDFLESVDTPDLCFDTGTIKRGHYGLLDPSVKLKILRELVNHIAETIAFKGEIDKLVEQRHTLGAARREEALAEARMKREEKERSKTGEESDGVLDNSRLENKKNSPQITERSEDSRKKESFAWEIKMENGSVSSKRNEISEKRLMGNVYLRKHKRQKTDTKITSKEEEEEVKEISGKKQGGKSSSEDEKRRGPEQRRQYYEGEMEKIVIHTNPLGKDRNYNRYWWFRSSGRIFVEDSDCKEWGYYTSKEEIQLDALMGSLNRKGERELSLHMQLEKFYDRICSTLQKRTKDIAEKIEMEEAVVRRSTRVRALLHENPASAFLRYVNKWKEE >A10p038530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21509181:21514257:-1 gene:A10p038530.1_BraROA transcript:A10p038530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSCLLPFPQSSTAPLASTCCCHLAASFSSFPHETVVSEAAAPASAPHVANSMGSASAAPPVANMAFASCNSQSKAFQESKSAKDFCVHVSSNFSIFMQMYLAMMDMECSLKFLTSIFLTLFLLGSYSAYENVRLVDAREDNEKHYVTLQVEASNAIGRPIPETLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQIIPSSIWPWSIIGDESTISVVTDRSSCFERNKIALRMEVLCNSSGCPSEGVGVYNPGYWGMNIEEGKKYKVTLYVRSTGDIDVSVSLTSSNGSLTLASEQIIALASEVSKWTKKEMLLEANGTDDGARLQLTTTKNGSIWFDQVSAMPVDTYKGHGFRNDLFQMMVDLKPRFIRFPGGCYVEGDSLSNAFHWKETVGAWEERPGHFGDVWNYWTDDGLGHFEFFHLAEDLGAAPIWVFNSGISHHDQVETARIMPFVQEALDGIEFARGDANSTWGSVRAAMGHPKPFGLKYVAVGNEECGKKYYKGNYLEFYNAIKKAYPDIKIISNCDGSSQPLDHPADYYDFHAFVSEYAARGKPDANKGNLLALGEAGFLLGLEKNSDVVGMVSYAPLFVNINDPMWIPDAIVFNSSHLYGTPSYWVQQFFTESSGATLLSSTMEGDSSYVEASAISFQSNGSDYIQIKAVNFANVTVELKVKMTGLDSNNTKASAKKKKVLTSASVMDENSFSNPEMIKPQESIGVMPGWNFTVVLAPNSFASFDI >A01p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11026124:11028856:-1 gene:A01p022380.1_BraROA transcript:A01p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQERTLNLFPYGLQEVAEVEEIEEDNDNESMTLSSVPENETSECSSSPETYPPIPPRPKTPREPMEFLCRSWSSSTSEISLALSSQKSNKQLNKTHTLSELAGVTSPAPAPLPPPLRAEKPASVVHARRTSAIGKWFHHRDFVGGKVSSISKRDKSRYENAHLHTAVSIASLATAIAAVTASGNQDGALMESKMISALASASELLASYCVELAELSGAGHDRVVSAVRSAVDVRSPGDLLTLTAAAATALRGEAALRTRLPKEAKNNAAISPCERALPETHDCSSELDSSSTTTEEQTSAQGVDESDLTCNGELMQCTRNGVLRWKHMKVYINNKSQVVVEIKSKHVRGAFSMKSKGIVNDVCETVTGLQSVKETENAEEKELYFGISTGKGLTKFKCKSKADKQTWVDSIRNLLHRVTAVETINTSLETTNIGEST >A02p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12708230:12710131:-1 gene:A02p024620.1_BraROA transcript:A02p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPNSSSLELSISLPSFSQLSSHPSSGEHMVRDLDINQTPKTEDREWIMIAAAPHANEEDSNSFGWRRKKLRLTKEQSHLLEESFIQNHTLTSKQKLELATFLKLSQRQVEVWFQNRRARSKLKHTEMECEYLKRCFGSLKEQNRLLQKEVEELRALKAVPASILTMCPRCERATDATDNAVKEGTAPRSQSRRTISSSSSLC >A01p002230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1031606:1032708:-1 gene:A01p002230.1_BraROA transcript:A01p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLLSQRMFNVSKIASQGLMNCRISSSSLAVRTRVPKEPGEATVDPEPADSWMDMTMMKMPSGESLREKLRENDRIRLDGLLPPPVKHAAATAEKTEALGITVRDAKKLLRAAQIEVVKTKLMETGRSWIPYNEFVGLCNDSCLDPAQGPWIAKMLDDTGNAIVLGDYVCLRPDQVTKSIEGLLPLPQIRNPNDPRRKELKELEATKKVIDEKAHSLVRRELWAGLGYLILQTAGFMRLTFWELTWDVMEPICFYVTSVYFMAGYAFFLRTAKEPSFEGFYESRFEAKQRKLMKSQDFDVGRYDELKKMFNPKPSSAAVSKILGALQN >A01p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1020191:1022949:1 gene:A01p002210.1_BraROA transcript:A01p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGWLTKILKGSSHKYSDGQANRRYNREDRSLDTPRYSAEGSDFDKEEIECAIALSLSEQEHVIPQDDKGKKVIEYKSETEEDDDEDEDEDEDEEDDDEEHMRAQVEAAEEEEKKVAQAQIEEEEKRRAEEAELGELEKQLAKARLEEEEVRRAKAQLEEDEQLAKALQESMNVGSPPPGYDSGSVFPSYPFLVPSRICTGCRAEIGHGRFLSCMGGVWHPECFCCHACDKPIIDYEVIPTNPAGLIEYRAHPFWMQKYCPSHERDGTPRCCSCERMEPKDTKYLILDDGRKLCLECLDSAIMDTNECQPLYLEIREFYEGLHMKVEQQIPMLLVERSALNEAMEGEKHGHHHLPETRGLCLSEEQTVTTVLRRPKIGAGYKLIDMITEPCRLVRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLNGYPNLRPEVEEGICQVLAHMWLESETYAGSTLIDIASSSSSSSSAAVAIASSKKGERSDFEKKLGEFFKHQIESDSSSAYGDGFRQGNQAVLTHGLKRTLDHIRLTGTFP >A10p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16689351:16691864:-1 gene:A10p026190.1_BraROA transcript:A10p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVEARRPETAMEETCNAKGAVAKQGEGLKQYYLQHIHELQRLLRQKTNNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDINKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >A06p051090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26854742:26856774:1 gene:A06p051090.1_BraROA transcript:A06p051090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLENKRSEGYRKYYLTNNQDNGDITSDGITTYDDMKWLQEDVQLMMETGLDAYRFSISWSRLIPNGRGPVNPKGLQFYKNFIELLVNHGIQPHVTLYHYDHPQYLENEYGGWLNRKTIEDFTAYADVCFREFGNHVKFWTTINEANIYTIGGYSDGLLPPGHCSSRSKCSSGNSSTGPYIVGHNLLLAHASASRLYKQKIYREVPYVGFSVFTIGFTPSTSSTNVEIAVQIAKAFIFGWMLGPLTYGDYPDEMKRIVGSRLPVFTEEESALVKGSSDFVGVIHYLAASVTSVTHVKSQRDHAVLPRFILYLAKSSLLCFTAREDSHWELYIFPLFSIHLISCFLFCLDKYAIVPWVMEAVLECIKESYGNPPVYILENGLSLSLSLSLSLSLSLSLNLSDLSNVLSSFSQFLCQFKRM >A03p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14566808:14567764:-1 gene:A03p034530.1_BraROA transcript:A03p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQEFEKPIFELHNRKVRSSRKRKNRLGESMNHHYEEIFCYYGLRESPRKKRTTQKPLRIKKKRLVRCGECGKGFRYEKCLRNHSETMHSGDALFSKLEMNERECEDGLLGNEQKLLGSLSNELRLVGIRTDSNGTSKELLGFLGDKKVMREDEVGAESFGEEVRLERVSLDDTEQKLGGQEAVFEVSNSASKGFQMNNEHRCRLCERVFSTYQALGGHQTFHRMKNNYEKSKHEMQRKASRS >SC209g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000067.1:1925:2449:-1 gene:SC209g500010.1_BraROA transcript:SC209g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09p076660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57481266:57481712:-1 gene:A09p076660.1_BraROA transcript:A09p076660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCLTGPGYCDNGVCKCPKLQVVDTNGAVVNHLGQCDTDLECYTMHCDRGDGYCDHSNVDGVCKCPKTCTSNPECSGMGCDTGRGYCNNGACKCPKLQAAETKKHTNGVDLNHLGQCDTDLECYTMPSCNRGNGYCDQKDGKCKCPK >A08p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14143770:14145280:1 gene:A08p020980.1_BraROA transcript:A08p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding protein-like [Source:Projected from Arabidopsis thaliana (AT4G19540) UniProtKB/TrEMBL;Acc:O49472] MASVTLLLRSLRRRETHAVSAYKFVFISPYSRAVALANKFNLKIGLLDADVYGPSVPIMMSINQKPQVNQDMKMIPVENYGVKCMSMGLLVEKDAPIVWRGPMVMSALAKMTRGVDWGDLDVLVVDMPPGTGDAQITISQNLKLSGAVIVSTPQDVALADANRGISMFDKVRVPILGLVENMSCFVCPHCNEASFIFGKEGARQMAAKKGLKLIGEIPLEMKIREGSDEGVPVVVSSPGSVVSKAYEDLAENVVNGLKELHDNPENEIQMKLNVPHSSHSS >A07p025330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14608148:14612207:1 gene:A07p025330.1_BraROA transcript:A07p025330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQGLLLAMIIVAFGTTTHLTQAQSDTTEFTSLDCGLHPAASPYTEPLTKLTYTSDANFTLGGQSGRVQKIYEEEYKPFTVLRYFPDGIRNCYNLKVTSGTKYLIKALFLYGNYDGLETAPKFDMYLGPNIWTTVDLKSSLGQGKEEIIHIPKSSSLEICLVKTGKTTPIISALELRPLRDDTYVTTNGSLKLLKRSYASDFLGPTIRYPADVYDREWEQLSLSFAFNFVNTSLNVNASTPYELPQEVISKAVINKNVTETLTYEWIMDEREDQALIYLHFAEIQSLRGNDTREFDITWKGMDENFTVSAYRPQKLQLETLYNTSPMKCRFLGCTVELVMTQNSTLPPMVNAMEAYKIIEFPDAETNPEAVAAVQNVRDAYELSRIDWQGDPCVPQMFKWEGINCSYTNTTIPPRIISLDLSSSGLEGVIASSIQNLTHLQELDLSNNNLSGEVPAFLANMESLLIINLGWNSLTGPIPQALRDREKKGLKLTFHGNPNLCLSDSCNNNKKKVLVPVIASVASVVALIALLALFFVFRKKAPLSEGTAATRELPRKSSIYSKKKRFTYSEVVELTDNFKTVLGEGGFGVVYHGSLSDTEPVAVKVLSESSVQGYKEFKAEVELLLRVHHINLVSLVGYCDEGGHLALIYEYMANGDLKQHLSGEAAGSTLKWASRLKIAVEAAQASVAAHSGDCFSPLRQHFLGSNHSPCRLIIDANSSRSVHSPNRANVAFSQHRYDFMSIGLEYLHVGCEPPMVHRDVKSTNILLDDRFEAKLADFGLSRSFCVGAETQVATVVAGTPGYLDPEYYQTNWLNEKSDVYSFGIVLMEIITNRSVIELTREKAHIAEWVKILISRGDLEKIVDSNLGGDYDSNTVWKILELAMSCVSHSSSDRPTMSRVVNVLKECLISENSRIGGQSQDGDSKNSLELTVDFGTQVTPDAR >A09g515340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45594698:45596366:1 gene:A09g515340.1_BraROA transcript:A09g515340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLANGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGRLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A02p034010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18425046:18438884:-1 gene:A02p034010.1_BraROA transcript:A02p034010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVYVLPLITKQNSLLSLLQTRIKIYLSLSYSIVMASSLLTYSIMIPTTGSTVVVRSVLPIESSLPSLRRLTYPHKKPTLFISFFSSKSQKAATSSIDLQPVMKRWPEYIPNKIADKNYVRVFDTTLRDGEQSPGGALTPLQKVEIARQLAKLRVDVMDVGFPASSEEELEAVKSIAKTVGNEVDAETGYVPVICVIARCKHEDIEAAWEALKYAKRPRIFLFICTSDIHMKYKLKKTKEEVIEMAKSSIRFAKRIGFSDIQFGCEDGGRSDKDFLCKIMGDAINEGVTTVTLADTVGNNIPQEFGEMVTYLKTNTPGIDNVVFSLHCHNDLGLATANTISGVCAGARQVEVTINGIGERSGNASLEEVVLALKCRGAYVMDGVYTRIDTRHIMATSKMDGILKHRSTYEIFSPEDIGAEKSQDSCIVLGKLSGRHAVKDRLRKIGYEISDEKFNDIFSRFRELTKQKKRITDADLIALVAYGDKIASEKLTINGNGSSLFV >A06p021700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10773563:10775230:-1 gene:A06p021700.1_BraROA transcript:A06p021700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDEL-tailed cysteine endopeptidase CEP2 [Source:Projected from Arabidopsis thaliana (AT3G48340) UniProtKB/Swiss-Prot;Acc:Q9STL4] MKKLLLIFLSSFLIVQSVHGFDYHDNELESEESLSNLYDRWRSHHSIPRSLHEREKRFNVFRHNVMHVHNTNKKNNPFTLKLNKFADLTVEEFKSAYTGSNIKHHRMFQGPKHGSKGFMYENLTRLPTSVDWREKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIKTNKLVSLSEQELVDCDTKQNQGCNGGLMDIAFEFIKKNGGITTEDNYPYEGIDGKCDASKDNGEIVTIDGHEDVPENDEDALLKAVANQPVSVAIDAGSSNFQFYSKGVFTGYCGTELNHGVAAVGYGSDSKGRKYWIVRNSWGPEWGEGGYIKLERGIDDPEGKCGIAMEASYPVKLSSSNPDKDDDVKDEL >A03p047380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22439485:22440905:-1 gene:A03p047380.1_BraROA transcript:A03p047380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCSLCGHYHKYEEGEVCGICGHRMPDPSDVPAPQVHVSAFSSEILPEFLFLGSYDNASRSELLKTQGISRVLNTVPMCQNLYRNSFTYHGLSDDKVLQFDDAIKFLDQCEKDKARVLVHCMSGKSRSPAVVIAYLMKRKGWRLAEKFYQQLEAFEQAVFGSREGMMSAMSLNDPPTFGFGFPKVNNVQAQVPVFNSAPASSIFSSPASSIPPQGFTFGAAPPKPTTGDDITMEGS >A04g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5019232:5019651:-1 gene:A04g502040.1_BraROA transcript:A04g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSRFDIGRYELVRIDRCSLMSSSETNARNRELRSKRRFDETSSSTNPQRPPWPRTENTPFDVSGYDDPKAAINSNECRQRPLSDNWDDYDSLFYNAWLGVS >A03p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16405934:16409775:1 gene:A03p039440.1_BraROA transcript:A03p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGKAQLLSNINAVTAVGDVLRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFVEDGVHSQNLIRSYRTASTLAIDKVKELAVSIEGKSVEEKKGMLAKCAATTLSSKLIGGEKEFFATMVVDAVMAIGDDDRLNLIGIKKVPGGNMRDSFLVDGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVESGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLNRVAAAAGGTVQTSVNNIIPEVLGTCEIFEEKQVGGERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDVIPRQLCDNAGFDATDVLNKLRQKHAMQSGEGASYGVDINTGGIADSYANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAGAMGRGRGGGRGRGMRRR >A03p057070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24755190:24755625:-1 gene:A03p057070.1_BraROA transcript:A03p057070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASICFFVSCVLMSFILNNVIDGEAGFTTMGNLCSQKDIFVGRCGPNGDETCTNEFVKNGGDRPYSCECNNFGKEHLCRCDFPC >A03g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11733927:11735974:1 gene:A03g503510.1_BraROA transcript:A03g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCTAIMELSFVNQVRPYRTAWRLQVKVLHTWKQFTPLSGETLEIVLSDAYGNKIHASCKKGYFDRLEKKVPVGAWRNIDHFLVTNNGGSYKTTNHQYKIVFIHTTDITPSTLQEENMFLSLVDFESIQSGKLDTANLIDVIGQVFELGDLETVQCHGKQRKKIEFSLRDISDQKIACCLWGKFAEAIHSFSQQAGEEIVVCLIRFAKIGTYQNQVQISNAFDASQVYFNPPIKETDEFMKRVELSNALTTFQSEKEKMERELRRDKWLLFPQKDIGELLASTQIGQCRIISTIYAIDKDWGWYYFGCNACKGKKVLPFSTSVKTVNGKETKSHVWWCEGCNQKITDVSPKFKIHVMVKDGTGEATLMLLDWTAQGIVPETALNLLDGSFDELEDIDSHNRHTSKQQADS >A02p047170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29486810:29488346:-1 gene:A02p047170.1_BraROA transcript:A02p047170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETVCVTGANGFIGSWIIRTLLDNGYTKIHASIYPGSDPAHLLKLPRPDDTNTEIKIFEADLLDPDAIARAVDGCAGVFHVASPCTLDPPEDPEKELVEPAVKGTINVLLAANRFNVRRVVITSSISALVPNPNWPEGKPVDESSWTDLDYCKSMQKWYPISKTLAEKAAWEFSEKHGTNVVTIHPSTCLGPLLQPSLNASCAVLLQLLQGSTETQEYHWLGVVHVRDVAKAHVMLFETPEASGRFLCSNGIYQFSQFAALVSKLFPEFDVHRFDKETQPGLTPCKDAAKRLIELGMVFTPVEDAVKETVQILRDKGFL >A04g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6560941:6561885:1 gene:A04g502940.1_BraROA transcript:A04g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAATQEAAMNGVLIGSNQPYGRRSHRDLRLCASHSSSRDHTHHVSSINDEDEEHWRSRVNGILYDQGPSDDHRYSSTLPP >A01p000980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:379600:381600:-1 gene:A01p000980.1_BraROA transcript:A01p000980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFCVPFREQLLEYYTSNKSVADAEENLMTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNEVVDILEKEAKATKTEHKTSSSSSSPEKIANGPKAPLANGVHKEPVVTWVHNIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLHSEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEPYTDVEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGPTK >A04p008560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8148872:8151094:-1 gene:A04p008560.1_BraROA transcript:A04p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRWDPGLCLRDWVVMGIQEHASDSQKRGFQSDHLGIILGFNKDIWVRLWKSKISMDWIGYPFGINMESWNNKGFGVWGAWMLWDFLDWRSESINLQRYGFMGKDLLQEITANRGQYKSLLEAHICSITLSSFDYNSDQKLILFSVIMTQSQLLNNNEVLKNGEGMRKKLKISVAHFDNSALIKTYSKTLIGRCMNPAEQQMKALEGNGGWFDEAKHDERARSYKGVVINGNMGQQNKEREGRQYYGKGKGKMADVQDSKWVKVAEKGHRRPYNNQGNYRGDGEGSRYKPGRDDARNDNSELGFGVQETRTGISSGQSGAVQEQRGPPKKDREEGEIKNNGEEDTRLPSREFQMELAKTQAEGTEVIVGTTDEERGLNMINGMVEKRDYTEEDLEMEIETINATLLENGDDMEEEEEFQTLSEEEAEQASRAQVLSEHALEEEKMVNGEADGEKGTGPEVGATKQGNRKRLYKPSISTAGSTKMRLASALLSPRKRAAAKMGPRQGDSSKPLESKGPSNPKLVNLKF >A04g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12905505:12907357:-1 gene:A04g506160.1_BraROA transcript:A04g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPGPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMNNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDEEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGCLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYTNEVFPNIPDQ >A04g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8537491:8537918:1 gene:A04g504080.1_BraROA transcript:A04g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKPETAIFTHLPFSPRISCDGDDVSPTNSSVSGESRFFTLSHFDSLSHFDSLHRIVMKTTFASPMAMKMTSEQNLYYLINSGQNLQTWMCSTQTSFLLNAIKTTDDI >A08p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15699755:15703643:1 gene:A08p024000.1_BraROA transcript:A08p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyl transferase 73B2 [Source:Projected from Arabidopsis thaliana (AT4G34135) UniProtKB/Swiss-Prot;Acc:Q94C57] MSSSNPQHKLHVMFFPFMAYGHMIPTLDMAKLLSSRGAKSTIITTPLNSKILKKPIDVFKNLNPNLDIDIEIFDFPCVELGLPEGCENVDFFTSNTNVDGNYMAFKFFISTSFFKDQLEVLLKKTRPNCLIADMFFPWATEAAEKSHVPRLVFHGTGYFSLCAGYCIKVHKPQNKVALSCEPFVIPELPGDIVITQEQIIDGDNESEMGKFMIDVRESELKSSGVVVNSFYELEPDYADFYKRFVAKRAWHIGPLSVINRGFEEKAERGKKASIDEAECLKWLDSKKQDSVVYISFGSVACFKNKQLREIALGLESSGTSFIWVVRENTDDKDEWLEEGFEERVKERGMIIRGWAPQVLILEHQATGGFVTHCGWNSLLEGVAAGLPMVTWPIGAEQFYNEKLVTQVLRTGVSVGATKHVKAMEDDIISREKVEKAVREVLVGVEAEERRKRAKKLAEMAKAAVEEGGSSFNDLNSFIKDNSHKLHVMFFPIMAHGHMIPTLDMAKLFSSRGAKSTILTTPLNAKILQKPIDTFKNLNPSLQIEIQILDFPCVQLGLPEGCENADFFTLTNNSDDRRAMISKFFLATRFFKDQLENLLEIRRPDCLIADMFFPWATEAAEKFHVPRLVFHGTGYFSLCASYCIRVHNPQKRVATSSEPFVIPDLPGNIVITQGQILDRGEDTEMGKFMTEVLESEAKSSGVVVNSFYELEPDYADFYKSSVAKRAWHIGPLSVHNRGFEEKAERGKKASIDEAECLKWLDSKKPDSVVYISFGSVANIKNEQLIEIAAGLEASDTSFIWVVRKNGDNTGDKEEWLPEGFEERVKGRGMIIRGWAPQVLILDHQATGVFVTHCGWNSLLEGVAAGLPMVTWPVGAEQFYNEILVTQVLRTGVSVGSNKHAIMGDFISRENVEKAVKEVLAGEEAEEMRSRAKKLAEMAKAAVEEGGSSFNDLSSFIEEFSS >A09g517730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53356229:53356820:-1 gene:A09g517730.1_BraROA transcript:A09g517730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPHIKLKLFTRRIHHRKPFVDPISDAPTLAETIHGADLSSWDPNPSQQDFLSKFKSCVPDHRRLQLPVQNRAISRSHYRQLEFPINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKTKVILILRT >SC268g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000116.1:17345:19085:-1 gene:SC268g500020.1_BraROA transcript:SC268g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQCKDARLTSAGLCVHDFPTGTEMPRTNIVQSKLNKFLPDSVRKQRARISVPTSIKHARGRKTEGRVVHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSTRRTRRTSVAVRVCPCVRQHTQDVRQYTQDVRQHTKDVRGRPSVHRGRPWPSVSTHRTSVSKQRTSVAVRQHTQGVRQHTQDVRVCPCVRQYTQDVRQHTQDVRQYTQDVRQDTQDVRGRPSVHISACWPFLWTVRVILAHVGCLFSTHRTSVSTHRTSVPVR >A08p035730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21065220:21073236:1 gene:A08p035730.1_BraROA transcript:A08p035730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCSQEWVLLANLYAFVYLPKKTLFLDIFSLFGVGSFVLRSMEKTIEREYMCKFCNKKLPSGKSLGGHIRIHTNQYSLLSSSYNGKNNNNKRVADQREITALTQQQQQLCCRECGKGFDSLKALWNHMNCCHCEGEKLVMDTETTSSGPTRKRSKKQFSSESFSNGSLSSSACEIDQDDKNTALSLMMMSMDSRGLTLVVNSLVAESSENNSDDDDDNGAILSDSYSSDSDYFMNGPKRPDSDILVDECLRNNNGDEFGVKEGRSKYELRKSKRVVLPGYESDSCAVDTNSKKAISANKNGKCHECPFCFRVFKSGQALGAMSTTHLNRSISISLLLLLLLLLLLTTSITYPAAEVNRTLTSRNFRYRTHRFIPRVHLPYYVTPCDSFSRPYARSMCFELQRIHRSSRKQPLVSPPPPEIDPRYGVDKRLS >A07p000470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:677347:678791:-1 gene:A07p000470.1_BraROA transcript:A07p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARRFTSAVFKRSSSTSSSSDKAAKENGTLGSFGRKAVSFVLITVTGGVALSALDDLSIYRGCSSKAMEKVMRNKAMIQAIGEPIEKGPWYNASLAVSQQRHSVSCSFPVVGPQGTGILHLKAVRNGEDSLFGFLQQRDWDILIMDALVHVPSNDGPQQTLRIDISDFGSALAGADYKPSEPEKPGTS >A04p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1361740:1362207:1 gene:A04p002850.1_BraROA transcript:A04p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLNVFEFAGFSDLRQILKDFSEDFRTTSQNTLGKCSNAFYARRLPTKSSGSLPTSYAESDLSQTLEKFSEDSWKTLGRLSEDFLGSFLMYFMLDDFP >A01g510650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29360185:29363665:1 gene:A01g510650.1_BraROA transcript:A01g510650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLRATYQGRSRSRATRWSDTPKSLATSRPETPKIGPGATPSSRSALFCCRKSRFLKDLFAIYFGRFALGKTYVLNIFCSHLWQIIFYLGEIHKNSLENSILDHPRSNPYAHEFSFPLVKKLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A09p055860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47735007:47737430:1 gene:A09p055860.1_BraROA transcript:A09p055860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEYMVKSKREISFIQIIQKATPLFNCMLRIIKQNSSLTEDVITVDGLSRGPFSMVTVVMDLKLIMKLLVKQIILLFLTPSHHRGETFILYPEIRWEKVSGVVNINNRWREKTQRTLPFLNRSISKGFPKLHTSIMPFISSKAGFAKAREICRVAKGAGKLIMGDKYVTEAIAKMDPLVTVLIVSADGGCADHVAKATEYNLAILELPTRSEVGEIVGYPSVSSCVLSFEGDEELFAELLQLATKIRKQTAASGSDL >A07p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10956850:10958116:1 gene:A07p018240.1_BraROA transcript:A07p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMKGDLLSKTRRLVGGLATREPVWLKAMEASPPPVFPRSNGNLKKIVLPEDPYVRRFSRKHPEAKLVDPNKASAFIPDPARVYGCRVLELTKNGISEDDAMSVANMEYLAERKEKKKAYKRLKELAVLQDKSPPPKPYLSTKKEMQIQEKKAPADPPSVRRLVNQLKQQKDVLLQDKPGGSANQDHWIDE >A07p026370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15084247:15085397:1 gene:A07p026370.1_BraROA transcript:A07p026370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAENLLGSPTFVDLGNGRLRCVETGHEVVAGDEEGYARNKRCRLGLIDHALSHGKSPLNMFSQCLVSRSKLVCKLTGDTVNKNEQHIWKHVNGKRFLLRLEQVERGAGTSGKTEKIQVIKHRRLKEDTDSDDSEFWMLKSSSGSESELESDEENCKDSHCDAKESEQLSERTKRMSIEIGPSSFASRKKKIRNSNESC >A10p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15799298:15800918:-1 gene:A10p024380.1_BraROA transcript:A10p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIKRSSINKTKKKTGFIVKMQLNNNNNRGGNNKTNLFFIFFRNYYRWVIWLFLSLYFFTSYFAGDQSSPSTTTTTTTSLLSNHQTSSSLPSRALIESSAILKPGGPFSGMKIYVYDLPARFNVDWVTASDRCASHLFAAEVAIHRALLSDSSVRTSDPEEADFFFVPVYVSCNFSTANGFPSLSHARSLISSAVDFLSDRYPFWNRTRGSDHVFVASHDFGACFHAMEDMAIEEGIPEFMKNSIILQTFGVNYKHPCQEAEHVVIPPYIPPESVQRAIDRAPANGRRDIWAFFRGKMEVNPKNISGRFYSKGVRTAILKKYGGRRRFYLNRHRFAGYRSEIVRSVFCLCPLGWAPWSPRLVESAVLGCVSVVIADGIKLPFSETVRWSEISLTVAEKDVKNLRKILERVAATNLSVIQRNLREPAFKRALLYNVPMMEGDATWHILEALRRKLDRSYRRSSVLSQ >A01p000410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:153497:153924:-1 gene:A01p000410.1_BraROA transcript:A01p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSM2 [Source:Projected from Arabidopsis thaliana (AT4G39250) UniProtKB/TrEMBL;Acc:A0A178UXD0] MASSSVSSHSSGSWTAKQNKAFEQALATYDQDTPNRWHNVAQVVGGKTTEEVKRHYELLVQDINSIENGLVPFPNYNTNGRLFQEEKRMRNMRLQ >A09p077620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57615370:57617215:-1 gene:A09p077620.1_BraROA transcript:A09p077620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLADKENTSPPLFEFCNCYKVATLTQTILNPVNVSSLKDRYVLGEQLGLGQFGVIRVCSDKFTGERLACKSISKDRLVTQEDMKSIKLEIAIMAKLAGHPNVVDLKAVYEEEDHVHLVMELCAGGELFHKLEKYGRYSEVRARVLFKHLMQVVKFCHDNGIVHRDLKPENILMATVASSSPIKLADFGLATYIKPGEKLNGTVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGVPPFWGKTKSKIFDAVRAADLRFSAEPWGNITSYAKDLIRGMLCVDPSQRLTADGVLAHSWMEELSEPGQEQYGRDGVGCEGLESGGCSFSTEYVSREQDYSFSMGQLEESIDNDCRSSFSSFLPADNNNVALPTSGFGGFSFDGEQLESTLPSMPSFTFFSPSPATTQNNNTHETDEKLRDSSPKRLLPSPDSCSQPEKREEEGESQIEAAGKTETRRERGNWSRMSGLHSKRNRTIGIGELDQLVVDVAVTESIIRWASCTHIPTAPSLTLSLVC >A09p055390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47403061:47404979:-1 gene:A09p055390.1_BraROA transcript:A09p055390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFKSLPVIDISPLLLKCDDPDMAEDPGVIQVVQQLDRACRDAGFFYVIGHGISEDLIKKVREITREFFMLPYEEKLKIKMTPAAGYRGYQRIGENVTKGKPDIHEAIDCYREFKQGKYGDLGKAMEGPNQWPENPHEFKELMEEYLRLCTDLSRNILRGIALALGGSPYEFEGKIAGEPFWVMRLIGYPGAPFTNGKLENDVGCGAHTDYGLLTLVNQDEDKTALQVRNLGGDWISAIPIPGSFVCNIGDMLKILSNGLYESTLHRVINNSPLYRVCVAFFYETNFDAVVEPFDICKDKYPEGRGESQVFKRAVYGEHLVSKVQTNFAM >A10p021380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14390707:14393463:1 gene:A10p021380.1_BraROA transcript:A10p021380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGASTITVTPSTPTPSSETKSRFERRTPNSKMSVASDSPVHSSSSDDLAAFLETELDSDSDSSSESFPIEQAHDADAQVSNHSIALAFDGTIMLKRQKLEYPEDETENVASLAFSGEISEASSSKVPCEHPGTFGNMCFVCGQKVEVTGVSFGYIHKGFKLQQDEVTRLRDIDMKALQSQRKLCLVLDLDHTLLNSTVLRDLKPEEEYLKSHTHSLQGDVSGGDIFMLEFMHMMTKLRPFVHSFLKEASKMFVMYIYTMGDRPYARQMAKLLDPKGEYFGERIISRDDGTVKHQKSLDVVLGQEKAVLILDDTENAWPGQKDNLIVIERYHFFASSCKQFDHRFQSLSQLKSDESEPEGVLSAVLKVLKQTHSLFFQDGGEDLSSRDVRSLLKQVRKEILKGCKVVFSRVFPTKCQAEDHPLWRVAEGLGATCATEVDASVTHVVAMDVGTEKVRWAIREKKFVVHRGWIDAANYLWKKQPEDNFGLEQLKKQGTDDVTVEK >A02p004130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1821489:1822865:1 gene:A02p004130.1_BraROA transcript:A02p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTMGSSMASLFFLWATFQQMFPDHLKIAIKEFFLSTLQQVSFVQRFSDHIINFFSPYVVISFPEYEEYRFNHAFAAIDTYLGAKAIDKAHKLKASQVKESKGLVLKRDEAKVRDVYQGVHVWWELVTTGTDHDGDRTHKLTFHRRGLEIVTGSYIKYVVEEGISIEDKTKQTKLYTNNPSFGWDTSGLWRRIDFEHPASFQTLAMDPSKKEEVLSDLEAFRNGKEYYKKIGKAWKRGYLLYGPPGTGKTTMIAAIANHLNYNIYDLELTAIKSNSELRKLLTATSSKSIIVIEDIDCSLDLTTGERKRDGDDLSSKKDSEKKEQSESRVTLSGLLNFIDGIWSACGQERIIIFTTNHMEKLDPALIRRGRMDMHIELSYCSFEAFKVLAKNYLDVDSHPLFGQVESLLKDTNVAPADVAEKLIAKNQRIEVDGCLEDLVQSLERKMKEQRGQDED >A08g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8168772:8169766:-1 gene:A08g504970.1_BraROA transcript:A08g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIKCNLFIVCDPLQNYFFSDSRLREGNLILHLSIVIDVPREKTYHLKPRLLRKVIVVKYRYEVISTKIKKSLLKAEIITWVSLEHGKQPAVLSDPNFLNRFSTFYFLII >A09g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4246153:4248222:1 gene:A09g501270.1_BraROA transcript:A09g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTIERYHTYRNDHETSNQYSEMYIQQLKQEASHMIAKIELLEFHKRKLLGQELSSCSLQELQEIDSQLQRSLGEVRARKAQMFKEQLEKLKAKEKQLLEENVQLHQKNVIDPWRGSIDQQKKFRVIDLNL >A09p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23200359:23201584:-1 gene:A09p041100.1_BraROA transcript:A09p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFCLLLFFLEGVSTSTCSSSYSHWVGVYFAESLNRLLRKNWKSFSTQNYFDPHGVFVSVLINTLFSLCYLIVKWKRAELRHRARLARSKQE >A02g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16373365:16374978:-1 gene:A02g505440.1_BraROA transcript:A02g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLIAEISVDMTKKDQWGYVEIIAKGSMGTTVSALGNYVEPVVAEFYAGLPNTKVEADAYEIAVQVRGHTYEFSPTMINEALHVQPLDEDEGPVVYTKDKRFGEIYEQHLAKAKGKAKKGGEGKLSSRSAHVSHPLPSFSSAPTLGNTTGPRRFSVHDLGSVSIPQGLLTQDDLQTVLQQTTRALQALTDIDTRSWSSEITSIQGRINEGVSLKEVLEKKQSNSEERKIGLFAEEEDMKSFH >A01p003260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1457953:1459800:-1 gene:A01p003260.1_BraROA transcript:A01p003260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEGEEEGFDSYRKGGYHAVRIGDPFSGGRYIAQRKLGWGQFSTVWLAFDTLSSVHTPLSLSLSVSFNLIRDRTTFHIQSIPKFSTNYVALKIQKSAQQFAQAALHEIEFLSAAADGDLQNTKCVVRLIDHFKHAGPNGQHLCMVLEFLGDSLLRLIRYNRYKGLKLDRVREICRCILTGLDYLHRELGMIHSDLKPENILLVSTIDPGKDPVRSGLTPLLEKPEGNANGGGGSSTMNLIEKKLKRRAKRAVAKISERRVSMVGGALGEEASSKSERSLDGIDMRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILKSGYSFSVDMWSFGCTAFELVTGDMLFAPKEGNGYGEDEDHLALMMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKYWPLDRLLVDKYKLPEGEAKEFAEFLSPVLEFAPEKRPTAQQCLEHPWMNVVSTQNDADNVESQVRNLKIKG >A07p023950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13817320:13818382:-1 gene:A07p023950.1_BraROA transcript:A07p023950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L10a-2 [Source:Projected from Arabidopsis thaliana (AT2G27530) UniProtKB/Swiss-Prot;Acc:P59230] MSKLQSEVLREGITAVKGKADEKKRNFVETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGKFPTLVSHQESLDGKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQLFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPPQRIF >A03p060040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25994380:25996979:-1 gene:A03p060040.1_BraROA transcript:A03p060040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISATSFPSSSSAVLALRSSLNGNIRSQNVACLRTTSLFQELSVKRSQLSGNAVATTTTHVPLTRGCKSQAIRAVLSGDGQTVVSADSKEAGLRGKLKKVVLAYSGGLDTSVIVPWLKENYGCEVVCFTADVGQGIKELEGLEQKAKASGASQLVVKDLTDEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAAEVGADAVAHGCTGKGNDQASTCNVRFELTFFSLNPELKVVAPWREWEIQGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDQPEYIEIGIESGLPVALNGKPLSPATLLSELNTIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAVQELESLTLDRESIQVKDSLALKYAEMVYAGRWFDPLKESMDAFMEKLTEKTTGSVTLKLYKGSVSVTGRKSPNSLYRQDISSFEGSEIYNQADAAGFIRLYGLPMRVRAMLEKGI >A09g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7425884:7429525:1 gene:A09g502080.1_BraROA transcript:A09g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVMEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTWQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRHAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A06p053210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28097294:28098154:-1 gene:A06p053210.1_BraROA transcript:A06p053210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA32 [Source:Projected from Arabidopsis thaliana (AT2G01200) UniProtKB/Swiss-Prot;Acc:Q8RYC6] MDQNTPAEFSHGSSNFHTYYSQTKKGGGGVIDLGLSLRTIQHETYLPSTPSLDGYGELIDWSHRSYSSNTQLKSEEPVNQRLPQRYYNDGEEGRGKLAYYVKVNMDGSVLGRKVCVLDQGTYSTLALQLDNMFGMQTVSGLKLFQDESEFSLVYRDREGIWRNVGDVPWKEFVASVNRMRIARRDDALLPY >A04g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7373056:7374572:-1 gene:A04g503340.1_BraROA transcript:A04g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTTRYIVYELMPNVSLKSYLHGSGSSRGSATTITWPMSMKIALDIARGLEYLHEDFGLAVVNGPKKKNLKLSGKLVTLHQYLLDGQLTEKSEVFAFGKKTVEKLGPGECETIITWAMLYLTDRTKLTNVIDPLLRHDGLETSFSSLRLTRSILFASYTDSFCAGSTLTVFFVFTMAAITISQLISLSPDSDKATFPVPFCLQKYL >A09g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21430734:21432282:-1 gene:A09g507320.1_BraROA transcript:A09g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFHSTTKSKEVKAIQRQLAAQHQISASIDRTRAKSLDGKSPRSTDERILASIDTESTPTGEQLIHKTIESMQKELTELSAYAYDNIGWHKVSIDNVQDRLQNISNVLEKMDDNWTTNDEATRSFIASWSRMCRDDVDACFPTSNSTIDAKSDQPINYTLALKRMKQPKLISNTKPDITACLGDWYTWDRILRTSLEGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERKFRERN >A01p055110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31335550:31339967:-1 gene:A01p055110.1_BraROA transcript:A01p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASQNLRTPANFMKDDDVSQECKNMISSLPSEKDFTGRKLYSYQGSWYYPNTLQAILNFQTHFQARDSDIILASLPKSGTTWLKALAFAVVHRNNLSQECENLISSLPSEKDFMGKNLYSYQGSWYYPSTLQAILNFQKHFRARDSDIILASFPKSGTTWLKALVFAVVHRNKYAPNLVSHPLLSDNPHNLVRFIELDLYVKNQRPDLEELPSPRLFATHMPFQTLHDSLRDSPCKVVYMCRNIKDVLVSRVYSLGPFDDQVLRYWKESLVNSNPVLFMRYEEMIEKPEVQVMRLADFLGCSFTEEEKQSGTVEKILELCSFGNLSNLETNKTGTSVCGVAPHAFFRRGGVGDWKNHLTHEMARKLDEMVEKKLGDNGEDVHFVVLSPRSNLVRQSGQEDWDFGEFL >A09p027160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15332408:15334857:-1 gene:A09p027160.1_BraROA transcript:A09p027160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAKNRGSEGREKHGETGGDIGGKEERVRIGSCVSTRGGAGGDASSAARRLPSQHLTSSSYAGDPPGLFKSKPRTPVDLVRQTRDLLLYADRSNSSSLPDSKRHEKMAELSRNIRDIKFILYGNSEAEPVAEACAQLTQEFFRQDTLRLFITSLPILNLETRKDATQVVANLQRQQVNYKLIASDYLEANLDLMDVLILGFENLDMALHYGAMFRECIRHQIVAKYVLESEHVKKFFDYIQLANFDIAADAAATFKELLTRHKSTVADFLTNNEEWFFADYNSKLLESVNYVTRRQAIKLLGDILLDRSNSAVMTKYVSSMDYLMILMNLLIESSKSIQIEAFHVFKLFVANQNKPAEIVNIMVTNRSKLLRLLADLRPDKEDERFEADKSQVLREISSLEPLVLA >A09p048520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43174026:43175531:-1 gene:A09p048520.1_BraROA transcript:A09p048520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEVTDIALVQPSSHQPSFNDQTLPLSHLDNDNNLNVSFRYLRVYSSSPSVAGKSPSAVVTASLAAALVHYYPLAGSLRRSESDNRFELYCAAGQSVPLVNASVNCTLESVGYLDGPDPGFVERLVPDPTREEGMLNPCILQVTTFQCGGWVLGASLHHAICDGLGSSLFFTAMAELARGASQISIQPVWDRARLLGPRDSPWVGAPVRDFLSLNKDFDPYGQDIGEVKRECFLVTDESLDRFKALLLDKSGLNFTTFEALGAYIWRAKVRAAKIEEDENVKYVYSINIRRLMNPPLPKGYWGNGCVPMYAQIKAGELLEQPIWKTAELIKQSKSNASDEYVRSFIDFQELYHKDGINAGSGVTGFTDWRYLGHSTVDFGWGGPVTVLPLSYKLLGSMEPCFFLPYSGDAGSKDSGFKVLVNLRESAMPEFKEAMDKFHKGDEFVLT >A05p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2915494:2917134:-1 gene:A05p007250.1_BraROA transcript:A05p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSTAPDAAVKTPKSFDLNKSPEPVSDSDPAFDELVSSFRRLLESFVVEFCPPPPATVDLFRLFVGVSHRGGFKAVTENAAWEEVGSECGLGLSAKLIYVKYLAAIARWLNRGDGGGVELPGVSDDLIGRFGDFVAQVKRKYELMREGMVSREVGGEFKWFISKARRRFDDKKVFLDSGSSSPGKRKRECSLETLKWLSEAAKDPCDVSIGSLPDRSKWDCYGSEEPWKELLLFRASRTNSDLACEKIWQKIQKMHPSLYQDSAGTSYNLRERLSFERGQFNERKAGISFEDGSGSEGSDEEDDESGGLVGPEFQAEVPEWTGITTESDPKWLGTLIWPLNKEQNNKNNLLIERDPIGKGRQDASCGCQNPGSVGCVRFHIKAKQEKLKLELGSAFYMWCFDTMGEGNLQYWTELELKKVMSLMPSPPTLIPSFFDELRSVLPSKSRGKIVSYFYNVTLLQFRANQSRMTPYEIDSDTDTQYRIATASEDPNLEANSSQKPVLLTPKKKRRR >A05p050690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29471086:29473955:1 gene:A05p050690.1_BraROA transcript:A05p050690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHIP [Source:Projected from Arabidopsis thaliana (AT3G07370) UniProtKB/TrEMBL;Acc:A0A178VGJ7] MKTRSCLLHDSFEDGLTRSEVIAHSVSTKKSKEIVERVSQLDVVVSNRLARRDSTHAPPEEKKISVEEQQEKKRESLRFDRIVLEMVTGAVSAMAAKQAERLKEDGNNCFKKERFGAAIDAYTEAITLSPKVPVYWTNRALCHMKRKDWTRVEEDCRKAIQLDHDSVKAHYMLGLALLQREEYADGVKALQRALDFGRGANPTGYMVEEIWEELSKAKYMEWELLSARRSWELNSLKETCVAALNQQRALDMSRTEESSEEDYSSHTDQLKALDRVFEKAAEEDKPTEVPDYLCCNITLEIFRDPVISPSGVTYERAAILEHINKVGKFDPITREKLDPSKLVPNLAIKEAVAAYLEKHVWAYKTGC >A02g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5755934:5758466:1 gene:A02g501790.1_BraROA transcript:A02g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESFKVCFCCVRSFKVKTSEPPQEIKTLFEDYSGDGRMSVDEMLRFVIQVQGEKHADSNYVKDIFHRLKHHGVFHPRGIHLEGFYRYLLSDFNSPLPPSGQVWQDMNQPLSHYFLYTGHNSYLTGNQLNSRSSTGPIVKALRSGVRVIELDLWPNSSGTEAEVRHGGTLTSREDLQKCLNAVKENAFEVSEYPVVLTLEDHLPPDLQKKVAKMVRQTFGGTLFRCTDEYKERFPSPEALKNKILISTKPPKEYLQTQVSQSATTDESVKAKKVADAEELIQDEDEETVAVEYRDLISIHAGNRKGGLKNCLNGDPNRVIRLRFDSSNYDPLVGWIHGAQMVAFNMQSHGRFLWMMQGMFKANGGCGYVKKPDVLLSNGLGCETFDPSSKSLQIKTVLKVKIYNGEGWNLDFPQDYFDRYSPPDFYAKASIGIAGIPLDTTSYRTETDTDEWFPVWDKEFEFPLRVPELALLCITVKDYDSNTQNDFAGQTCLPLSEIRPGIRAVRLHDRAGEVLKHARLLVRFVLEPR >A09p014660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7697049:7698411:-1 gene:A09p014660.1_BraROA transcript:A09p014660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDENKKGEASGETSDRENRLSRMNSESSLSEEDEERKPELGPMIALKEQLEKDKDDESLRRWKEQLIGVVDFEDVGETPDPVVKILHLTVRSPDREEMVLTIPDDGVPNPKGPWFTIKEGSKYTLVFNFRVTNNIVSGLRYNNTVWKTGVKVDSTKAMLGTFSPQAEPYQHVMPEETTPSGIFARGSYSARTKFVDDDNKCYLEINYTFDIRKNWQ >A02g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5824439:5825268:-1 gene:A02g501840.1_BraROA transcript:A02g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRSGQWVHKTRNMSQEGGQNGCSGAEGPAQVNRTGTSGQDRNENTCGRGLIVKQQDNASEKGSSSQRTCSGRVRPRLPSPVPLNISPLKSADSFVRRRKKFWTPEEVEALREGVKEYGKSWKDIKNANPAVFAKRTEVEI >A09p031900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19349940:19352030:1 gene:A09p031900.1_BraROA transcript:A09p031900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQQLDFRVMQSRPLSPSEGYENLVAITIDIKSDRSTPVYPPVLINISLHSSSPRYIFQQLVMEQETQDTNHLRLLAYLAEQISTAATSLGFGHNGFVMEIDYKVVYVVVRSDHPPLDESVSLRASLLRLVLSGSVGYRVETKGLKMETEPCSICLDNLVVSGRSNSKRGVPTRMTCSHVFHDGCLLEWLQRKNTCPLCRTVLYDRSTILNNNMIRPRVELSDLKHERRSGSYVNSIIIVVDTISDEIQVSPSVGVDISLPSFLGRHRIRRLIQDQLINRRWLSPKISRTATKLGFSRREVLQDQFASHRWLSDKLAPGISEIAARLGFGCNGLIVTITVKITPSPSKEEVLTRMVQLGKMRKEELKTSKMET >A03p026670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11137382:11139164:-1 gene:A03p026670.1_BraROA transcript:A03p026670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSADVTLSPVRNEPSMMPLVHANSCADSLPDETILIYLTLPGTLIPMRVLESDSIESVKLRIQSYRGFVVRNQKLVFGGRELARSNSNMRDYGVSDGNVLHLVVKVSDLQVLDVKTTCGKHCRFHVERGRNIGYVKKQISKQKGGDFVDSEVLYEGEKLEDQSLVNDICRNSDAVLHLLVRRSAKVRAKPVEKNFELSIVAPPRVDDVKRVIEADDGIVQPRKQLLNDFSLEPVIVNPKAELPEVVKDMVSSASDGLRSGNPPVRSREGTGGAYFMQGSSGNKYVGVFKPLDEEPTAENNPHGLPLSPNGEGLKKGTKVGEGALREVAAYILDHPKSGRRYMCGEEMGFAGVPPTTMIECLHPGFNHPNGIKTKIGSLQMFTENDGSCEDMGPASFPVEEVHKISVLDIRLANADRHGGNILMRKDENGKIVLIPIDHGYSLPESFEDCTFEWLYWSQARKPYSSETLDYIRSLDAEEDISLLKFHGWKMPLETARTLRISTMLLKKGAERGLTAFEIGNMMCRETLTKKSLVEEMVEEAEEAVLPETSEATFMEALSDVMDYHLDEVVHV >A04p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2354876:2355926:1 gene:A04p004610.1_BraROA transcript:A04p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPKVIGKAKVHYSTIIGKAQVQEQQQQEQQDLPRPSPFDELSAIFYPPKQETSRLGGGSTGDPSLKSSASRTSSSTKQQDLPRPSPFDELSAIFHPPKQESSGVGGCSTGDHSLMSNVFSGGSSNTGLLEEIVKKGKKEKKNI >A05p033690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:18937710:18938096:-1 gene:A05p033690.1_BraROA transcript:A05p033690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRVFLLRGSLETRVSAEEFGFLKETCDRYGEHGPVLHSRCIDCFKTLPMAYVLSDGVYTTHEGLFQSSSRVHEDSTLLLGSLEELDKVDMRQVGENDDGNITLNHVLWSCLWMADGLSESNYKGLL >A09p053800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46572800:46574772:1 gene:A09p053800.1_BraROA transcript:A09p053800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSTIARKTWELENNILTVEQPDSSSSDGIFYYDEASQTKVQQEKPWATDPNYFKRVQISALALLKMVVHARSGGTIEIMGLMQGKTEGDTIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKLAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGHKISDDHVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAESQLAHSRFGGIPASLHRKKEDEPPLAKITRDSAKITVEQVHGLMSQVIKDILFNSARQSDKTPSDPSDPEPMITS >A02p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31149598:31151625:1 gene:A02p050490.1_BraROA transcript:A02p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGVDVPPKGGFSFDLCKRNDMLIQKGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYQTGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGHKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLRTKITPLMERVEITEVGEAMEE >A03p067970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29787766:29789525:-1 gene:A03p067970.1_BraROA transcript:A03p067970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH85 [Source:Projected from Arabidopsis thaliana (AT4G33880) UniProtKB/Swiss-Prot;Acc:Q84WK0] MEAMGEWSNNLGGMYTYATEEADFMNQLLASYDHPGTGSASGITGGDHHGLYWSLGSHHNHLTLMPEASSFCFSGESSSYSVGNSGYYAVVPPAAEENNNVPMDFGLEDATINTNSYLVGEETSECDVEKYSSGKTLFPLETVVENHNEEESILQSEISVTTTDHHQKYLTGSKKRSRATSADKNKRTKVCKRGQKNIEMSDDTNNGEEDEGEKVKKRKSGTMMSRQNSSTTFCSEEESQCPSQDDGGEEEEDASKALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAFNGMDIGLNSPR >A06p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5259124:5260639:1 gene:A06p002270.1_BraROA transcript:A06p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRPPIPPDIENGTPPLPPLPPPARPPFRPPIPVPWYAWLVPLIFAANFITFATTMYLNDCPSRSDNCLLFDVLGRLSFQPIKENMLLGPSIPTLRRLGALERRLVEEGEKWRLISCIWLHGGLLHLLANMISLLCIGMRLEQEFGFLRIGALYVISGLGGSIMSCLTDSRGERVSVGASGALFGLLGAMLSELITNLTIYENKCTSLMTLILIIALNLSVGFLPRVDNSAHCGGFLAGFFLGFVLLLRPQYGYVNPKYIPPGYDVKHRKSRHKCYQHVFRFTSLAILLAGFIAGYTKLLREHTVESVPFRDVN >A08p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4984891:4985513:1 gene:A08p007570.1_BraROA transcript:A08p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKRKMIKAAHESPSRPNRLTRAGRTMTCGNCQQVGHNRNTCKNATHVVQSPKRKRGRPFNISEEEETNPKRPRRLKKTQFQSFINTPNVISSCPKASTSPYLSISTDETTRPPATDAARRPPATSRVRGRGRPLGKGQASREVISRRYGVYINPITNKLFEVYGDSSRIISSSKK >A09p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7951130:7953008:-1 gene:A09p015280.1_BraROA transcript:A09p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-10 [Source:Projected from Arabidopsis thaliana (AT1G63260) UniProtKB/Swiss-Prot;Acc:F4I214] MGMGTSTFVIRWVNLLTMLLAVAVIIFGVWMSTHNDGCRRSLTFPVLALGGFIFLISIIGFLGACKRSVALLWIYLVVLLIVLIAILVFTVLAFIVTNNGSGHTNPGLRYKEYKLNDYSSWFLKQLNNTDNWKRLKSCLVKSEQCRKLSKKYKTIKQLKSAELTPIEAGCCRPPSECGYPAVNGSYYDMSFHSISSNKDCKLYKNLRTVKCYNCDSCKAGVAQYMKTEWRLVAMFNVVLFLVLSMVYFVGCCARRNAASYRSKA >A05g501550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5546151:5546612:-1 gene:A05g501550.1_BraROA transcript:A05g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVGFEWNRSNLLVGGGWVVRNERGVVQCHSRRAFSNIHSLDEAKLVVILWALESMGSHRMTNIIVAGDFSELVGAVERPLAWPSFLHQVGEIELAMARIEGCRLRSVGNEANKGATFIAQSVTRQGLIRSYVQNGHPPWLFELFVNESRCL >A02g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26660152:26663453:-1 gene:A02g509980.1_BraROA transcript:A02g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLLCLLVVFAIITFVTHGSGPHCGLNDVTLRQSKSGMVESKPVWKVTLNNPCICLLTNLKLSCTGFESVVPVDTLIKTGDVCVLNKGIQGDFVFKYAWDTSFDFKVIDGTTIDYGRYYHCSCSKGPTNLYMSTDDADNVQTLLNGGSGTDLHTAAANVSAANAAVLDEFKKMFATYEKRSEEHDKLDSSNPSCGTTKVHGKRLEFATPLDRPGTSRERPSGQNPSEKSPAGKGTLRVLHLPQRTRKLMKSSTSTWIPATSPTIPRRTPTNIQEGSEADLLGKALR >A09p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1114127:1117040:-1 gene:A09p001510.1_BraROA transcript:A09p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stachyose synthase [Source:Projected from Arabidopsis thaliana (AT4G01970) TAIR;Acc:AT4G01970] MAPLNESLATTIDAIETKPIAVPKLKPRLQENCFNLSQGILRAKHSSPILSDVPQNLTFTPFATPSSTDAPFQTILRVQANAHKGGFLGFTKDSPSELLTNSLGRFEDREFLSVFRFKMWWSTAWVGKSGSDLQAETQWVMLKVPEIDSYVAIIPIIEGSFRAALNPGENGNVLISVESGSTQVKESSFKAIAYVHVCDNPYNLMREAFSALRVHMNTFKLLEEKKLPTIVDKFGWCTWDACYLTVDPATVWTGVKEFEDGGVCPKFVIIDDGWQSISFDGGEPGKDAENLVLGGEQMTARLHSFKECKKFRNYKGGSFIESDASHFDPHKPKMIIYKATERIQAIIEKQKLVREFGEHDLPELDEKIKKFSEELNAMFDGEQESFVSEDGSGSGMEAFTRDLRSRFKNLDGIYVWHALCGAWNGVRPETLTHLESKVVPFDISPGLDASMTDLAVDRIVEAGIGLVHPSKAHEFYDSMHSYLASVGVTGAKIDVFQTLESVAEEHGGRVELAKTYYDGLTKSMVKNFNGTEIIASMQQCNEFFFLATKQISIGRVGDDFWWQDPHGDPQGVYWLQGLHMIHCSYNSLWMGQMIQPDWDMFQSDHVCAEYHAASRAISGGPVYLSDHLGEGSHNFELIKKLAFFDGTVPRCIHYALPTRDSLFKNPLFDKESILKIFNFNKFGGVIGAFNCQGAGWSPKEQRFKGYKECYMSVSGTIHVSDIEWDQNPETEGSEVIYSGDYLVYKNQSEEILFMNSKSDAMEITLEPSSFDLFSFVPVTELGSSGVRFAPLGLINMFNCVGTVQEMEVTGGNSIIIDVKGEGSFMACSSSAPEKCYVGDKEAEFKWEEETGKLSFYVPWVEEAGGISRLSFTF >A07p030200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16864794:16866005:-1 gene:A07p030200.1_BraROA transcript:A07p030200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSLPPGFRFHPTDEELVAYYLDRKVNGRTIELEIIPEVDLYKCEPWDLPEKSFLPGNDMEWYFYSTRDKKYPNGSRTNRATRAGYWKATGKDRAVESKKMKLGMKKTLVYYRGRAPHGLRTNWVMHEYRLTHLPSSSPSSSIKESYALCRVFKKHIQIPKRKDEEMMMGTSVGKEKKEEDQEENMWRKCDKVMMERESDEDESLKISSAETSSSELTQGILLDEANNSSNFPLHFSSSLLDDHDQFFANYSHLPYYPPLQLQDFPQISINEAQIMSTEYSKQQDFQCRDSMNGTLDEIFSFSSSATFPL >A09g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5378960:5380055:1 gene:A09g501510.1_BraROA transcript:A09g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHEHLWSWSESNDYDSFLQEENLFSLLDQSLSFDYNSFVNPFNDLQNETWFSLQDSINLDPISTCSPAVDHMSMASVDLEAFSTLSQDVFGELWNENASNFNNYVEPGMEIIFHDGNNTAKEITRRRRRYREDRLIQNFSREDMKPYFKMPITKAAKELGVGLTLLKKRCRELGFSRWPHRKLTSIDGLMNNLKVRLVKTTHTNDHLGKMEGEVNKSKLMNALEILEAEKKMIEEFPDLEFEDKTKRLRQACFKANYKRRRLVSSSSMSTTSSVS >A09p010790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5472440:5474263:1 gene:A09p010790.1_BraROA transcript:A09p010790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDD1 [Source:Projected from Arabidopsis thaliana (AT5G66730) UniProtKB/TrEMBL;Acc:A0A178UMT2] MPVDLDDSSTVSGDASVSSTGNQNPPPQSAVKKKRNLPGMPDPDSEVIALSPKTLMATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVRKKVYVCPVSGCVHHDPSRALGDLTGIKKHFCRKHGEKKFKCEKCSKSYAVQSDWKAHSKICGSKEYRCDCGTLFSRRDSFITHRAFCDVLAEESAKNHTQSKELYPETVVRNNLPEPEQKSPAAVDSPPSVALVPAPAVSVETETEPEPANIVSSSVLPIRDSSAYNINDSSLLADEPENNTLEVIMEEAPRTIGLNGSNDHSNNNNKSVYAGLFASPSSSTSLYAASSTPSPSLFPPSSSMEPISLCLSTTGPSLFGATIQDPPQPHFLTPLLPPPPQPAISATALLQKAAQMGSTGSGGSLLRGLGIVSTTSSPMELSSHDPGFGLGLGLPCSSGSGLKELMMGNSSVFDPKQTTLDFLGLGRAVGNNNPGGGGLSSALWGGGGGMDVFGSGELSDKDTGRRSS >A06g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8821806:8824049:1 gene:A06g502710.1_BraROA transcript:A06g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPQLIFLNDIKPRKTACRIQVKIIHTWRHFMKDVGESLELILCDANGTKIHASCNKTYISEVGKHVRVGVWRNIDRFSVSAAGGAYRSTDHKYRLAFNGNTKITESTYRDDSMFLNLVDFKSIESGLLNPNFLIDVIGQVQDLGDLETIGCNGGKQRQKLEFSLVDICMQIESNLCFTKLEDDDPFPEEITNLVGQTFMFGVYIQKDNASGGCYKVGKVWKDLRMLMTSEISESYSAPAQASEEPLLIENQSDDIVSTPSSKRKEVNKEAPELHSTSKKQCTKAIKSEKIRKDKSASS >A10p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14468102:14470286:-1 gene:A10p021580.1_BraROA transcript:A10p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAELTTPKTTSPFLDSSSQLRLSSKLHLSNQFRHLLLPPLHATPSSKISCSVSQNNQAPVAVQDNGSVKTKKECYGVFCLTYDLKAEEETKSWKKMISIAVSGAAGMISNHLLFKLASGAVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVDIGTDPYEVFQDVEWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASPNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGLPVKEVITDHKWLEEGFTESVQKRGGLLIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGVYTNGNPYGIAEDLVFSMPCRSKGDGDYELVKDVEIDDYLRKRIAKSEAELLAEKQCVAHLTGDGIAFCDLGPVDTMLPGEV >A02p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14879757:14880861:-1 gene:A02p024380.1_BraROA transcript:A02p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQTWRTSLIAILTPLATNLLVEPAAFTPRQSSTLSLSVHARHDPALLDPAWKLRNRHRESSHQSPRGRAVSSSPSESSFTVSELQRDQASSSLLVITGSRPLYLRVSLPDPSRGLRCSILVVVELRRGWNQPRRRSRRNQALDLLQWRLLQYLQDRSPES >A03p025990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:10950320:10951477:1 gene:A03p025990.1_BraROA transcript:A03p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNRLFAVPIHHHRRFVNTASLSYVKRLSSIHNRVMMLIKLSNLDAAAEQARLAVFSRGDPHLTAETGVAIIDAMRSDRRYSDAYDLFHYYAANSKWDLITNRCSGPIIIALCDEGKLDEALELYKHFLLRARPSYRAQLALAQGLVDAGRIDEAVDKFYCVDRSVYGIFIRGFLDLGNLERANQLFQELKLSDDSDSVVQASAMFMEHWFKQEMDEKAMECYLSSKEEFSKIYATAANALLKVLLRYGKKTEACLLFGQMMEKDWSLRMSDSESCNIMVNECFKLGKISEAVEIFHKSVGTVSYPQLCYRNLITKFCEQDLLSEAEQFFAEMCSKKFFLPDVPTYRTMMDAYVKKGRVSDAVKTVNQTLDASLTYIAKMVLVM >A10p031650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18874253:18878123:1 gene:A10p031650.1_BraROA transcript:A10p031650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASLSVKDLNSLLSRSGSGSSSSPRTPGQTRPVKVIPLQHPDTSDEARPPSIPFDDIFSRWTAKIKRMSLLDWVDTLFPCFRWIRTYRWNEYFKLDLMAGVTVGIMLVPQAMSYAKLAGLPPIYGLYSSFVPIFVYAIFGSSRQLAIGPVALVSLLVSNALGDIADSSEELHIELAILLALLVGILECIMGFLRLGWLIRFISHSVISGFTSASAIVIGLSQVKYFLGYSIARSSKIVPLVESIIAGADKFQWPPFLMGSLILVILQVMKHVGKAKKELQFLRAAAPLTGIVLGTTIAKVFHPPSISLVGEIPQGLPTFSFPGSFDHAKTLLPTSALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANILGSLFSAYPATGSFSRSAVNNESEAKTGLSGLITGIIIGCSLLFLTPVFKYIPQCALAAIVISAVSGLVDYDEAIFLWRVDKRDFTLWAITSTTTLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDSPIYFANISYIKDRLREYEVAVDKYTTRGPEVERISFVILEMSPVTHIDSSAVEALKELYQEYKAREIQLAISNPNKDVHMTIARSGMVELVGKEWYFVRVHDAVQVCLTYVQSSNSEDKKEPSFLRRFGNNGSSSNNSSYSDIQPGNTLLKEALLSGEK >A03p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10708816:10709846:-1 gene:A03p025450.1_BraROA transcript:A03p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKVVVPESVLKKIKREEEWALAMKQEAEAAKKKSVETRKLIFKRAEQYAKEYAQKDNELIRLKREAKLKGGFYVDPEAKLLFIIRILSTPLTPKPRKFCSSCIFNGVFLKVNKATINMLRRVEPYVTYGYPNLKSVRELIYKRGYGKLNHQRIALTDNSIVAEGLGKHEIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELVRRMN >A10g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21714141:21714853:-1 gene:A10g507100.1_BraROA transcript:A10g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVVECYGTDLIKYEDLECEINMSFYKVKTRSFTRRIYGSLGRMDVLHGRLRDDEEEMYDHFTFQILFVNSLLNTHRRETLDSYPITFDTITLMERLIGGAMKIDAKIHHGQLFSHNAIFVETVSPTL >A09p080180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58806302:58812964:-1 gene:A09p080180.1_BraROA transcript:A09p080180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPRRTLLTFSLLRSSSPHVTLAPHLCFYSDMPKKQIKRVHGDQKWQVKPKMDAPSGSSSDRSVTTETVNSQLSGLSLEEKNTNAQVWKPKSYGSKVSVNQRKFLEDFTVDKSSCCLAQIRATFYPKFENEKTDQEIRTRMIEMVSKGLATLEVSLKHSGSLFMYAGHSGGAYAKNSFGNIYTAVGVFVLSRMFREAWGTKALNKEAEFNDFLEKNRMCISMELVTAVLGDHGQRPLDDYVVVTAVTELGNGKPKFYSTSEIIAFCRKWRLPTNHVWLFSTRKSVTSFFAAFDALCEEGTATSVCRALDEVADISVPASKDHVKVQGEILEGLVARIVSSGSAIDMENVLRDHPPPPFDGADLNLGSSLREICAAHRSNEEQQIKALLKSVGPSFCPSDLDWFGDESVDSHSKNADKSVVTKFLQAQPADYSTSKLQEMIRLMKEKRLPAAFKCYHNFNRANDVSPDNLFYKLVVHVHSDSGFRRYQREMRHMPGLWPLYRGFFVDINLFKSNKGRGQMALKSNDNAVKDASENSGQQGKDGLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKEGPAAYKAYYLRQMKIWSTSDGKQKELCKMLDEWAAHIKRKCGNKQLSSSIYLSEAEPFLEQYAKRSPKNQVLVGSAGNLVRAEDFLALVDGDLDEEGDLMKKDEVTPATPEPAVKEAVQKAEGLIVFFPGIPGCAKSALCKELLNAPGGLGDDRPMHTLMGDLVKGKYWPKVADERRKKPQSIMLADKNAPNEDVWRQIEDMCRRTRTSAVPVVPDSEGTESNPYSLDALAVFMFRVIQRVNHPGNLDKASSNAGYVLLMFYHLYEGKNRKEFESELIDRFGSLVKMPLLRSERSPLPDPVKSIIEEGIDLFQLHSRRHGRLESSKGTYAAEWTKWEKQLRNTLAANSQYLNSIQVPFESAVQHVREELKRIAKGEYKPPSSEKTKHGSIVFAAINLPVTQVHSLLEKLAASNPTMRSFLEGKKHRIEEKLERAHVTLAHKRSHGVAAVARYGQHLNREVPVELTELLFNEEMAAFTAHVGSVDGETIVSKNEWPHVTLWTGEGVTAKEANALPQLYADGKASRVVIDPPASVAGPLEFF >A08p040220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22971443:22973572:1 gene:A08p040220.1_BraROA transcript:A08p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPSSELVDNKVNLEIESSDTQPIKRRRRRKSMVWDHFTTETTTSGTTKAFCKHCNKSYAYMSGGKASGTSHLKRHIELGICDKCPNTNLITQRKDETASCPPPKKRRAANNVAMDQDGCNHEMAKMIIMHGYPLHMVEHSGFTSFVKTLRPQFGMPRFDTVHGDCVGMFLSEKQKLSEFIGEIPGGVSLSVDLWLSKESVGYAFVSGHFVDKDWSLSSRLLNVAVVACPDSDVALNQPVAACLSEWKLEGKVSSLTVSQSQVNKTCVDNLRGFLSFKNQHVLNGQLLMGECYARLISSMAKEALAAEEVQGPVKKVRDSVKYVLTNDACGEKFEEVKRLFPASAPYKDLVIDNIGRWDTSYKMLLVAYEHRQVFTCLETCYPGYKISISDEEWRKIESFCLCLKVLFEACEVLIMPKRLTANTFYDVMTKLQLELSRIAMGEEELGNVVSSLRERFDLYWRGCFLVVAVAVVLDPRFKMQHVKETFTESYGEDAEQWIKTVTDAFHDLYQNYSEHNLLDSYVVDHGFAETEVVQEPHFQQQDMPQDANTDEISPQSEQTTESHQQETQPKVERQQNVSEEYQDHTSFTDDVLLEEGSTLVTVGDSFSDFDIEISEMKPELDQYLDDCLVLKSEDFDVLSWWRLNSNNYPTLSKMAADLLSIPFSTVSPESVFDTEVKQMDSYRTSLPRGTLEALLCTKDWLKNQTL >A02p007890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3309002:3310514:-1 gene:A02p007890.1_BraROA transcript:A02p007890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSILKGSKRRRRTLLFLLQTIFRRRVLPSPAAAKITLPISRSFKIISFSKRMTSTKNPEKFSTDFAMGGAAAIVAKSAAAPIERVKLLLQNQGEMIKTGHLTTPYSTLSNCFLRIFKEEGALSFWRGNQANVIRYFPTQASNFAFKGYFKTLLGYSKERDGYFKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKESSVNGKRQFRGMGNFLASFLLGWSITTSAGVIAYPFDTLRRRMMLTSGQPVKYRNAVHALREIMKTEGFYALYRGVTANMLLGVAGAGVLAGYDQLHRIAYKHWVVQ >A08p041800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23551337:23553452:1 gene:A08p041800.1_BraROA transcript:A08p041800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMESSSKSKKESILIKYAVVSRLLVLFLTVLWRSFLQPYDTSAALNPPCLHHRDVPEDSPPLLVANSVSKTLENSVVWDSVYFLRITQCGYEYEQTYAFLPLLPFFISLLSRTVFAPLVALIGLRAVMVLSGYVVTNLAFVLAAIYLFRVSVIILKDTEASFRASVIFCFNPASIFYSSIYSESLYALFSIGGVYHLLSGASNVAVLWFALSGCARSNGILNAGYICFQTMHRAYEAFYLKRRVCVLLTGFIRCVCICLPFVAFQAYGYYNMCHGHKLDDELRPWCKAKVPLLYNFIQSHYWGVGFLRYFQFKQLPNFLLASPILSLAVCSIVSYMKTRPELFTSLGFQATEKEKSSSARLYSLKDALEPDVIVSSNEGSRDIRQRRSSRKKDVTITTNAAAESNSPEASGYFSADVLPFVVHLGLMAATAFFIMHVQVATRFLSASPPLYWFASHLIASPKHSKWGYLMWSYCAAYILLGSLLFSNFYPFT >A06p028600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:14071:19263:-1 gene:A06p028600.1_BraROA transcript:A06p028600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQELKTVKRQVEKRVLVLMIKLWNQMINKKKLKGMVVKLTGALLLNIASTRRRNQPGLGSGVPIQEGAQTKTEHSWLCEEEGYSIKAASMVRRVIAIREEEEVRIQVVHKEWDTCNSPTTKNVKTKVLCHCISSLGYSLVYRKYSMGHYAMRGVSCETLYGDSNTLVPG >A04p001320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:645576:646100:1 gene:A04p001320.1_BraROA transcript:A04p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTEVFLPKLFVQTLSILSFIRTVVFSLFRFLGLSDFLETDQTWPDYTSYPTRIPELPSPFSALLIREILPVIKFEEAVTSSSGEDLPESCAVCLYEFEGDQEIRRLRNCRHIFHRSCLDRWMDHDQKTCPLCRTPFVPDEMQEEFNQRLWAASGVHDFHSEFCPVTEL >A09g515150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45202346:45204048:1 gene:A09g515150.1_BraROA transcript:A09g515150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDEAGVRRNLEPSIGAWHFQIAIYFALGFFFLRLFLDTFFFQRIAVWLLSTTSSAPIKINDAATRAKIFKCKESLWKFLYYGACDVFVLKILYHEPWAYDVKLFFHAWPHQELKLPIKLYYMCQCGFYVYGVAALLAWETRRKDFAVMMSHHVITIILIAYSYLTSFFRIGAIILALHDASDVFMETAKTFKYSDKEFGASVCFALFALSWLLLRLIYFPFWIIRATSIELLDHLDMRLAEDTIMYYSFNTMLLMLLVFHIYWWYLICAMIVRLLKNRGKIQKMMNRAFCKHDRFGTSFHFSNQTFKLLKNLFSDSSLVIALLISVGG >A03p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15460903:15461490:-1 gene:A03p036910.1_BraROA transcript:A03p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFQNVPITNGVNVSKVELFPFNATVNDNPVFRITAVTPKSIASATTVSASMVLEGESELLQSSRRGYELCDCTNHCPVAPGGFELVFPHPYWRYKIKPGRYRVHVRMINQKNVFQESMNFFFWFSVNEA >A05g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29209866:29212981:1 gene:A05g509630.1_BraROA transcript:A05g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRVCFCFRRRFRYTASEAPREIKTLFEKYSENGVMTIDHLHRFLIDVQKQGKATREDAQSIINAASSLLHRNGLHLDAFFKYLFGDNNSPLAGHVVHQDMDAPISHYFIFTGHNSYLTGNQLSSDCSEVPIIDALKKGVRVIELDIWPNSSKNDIDVLHGRTLTAPVELIKCRKAIRAHAFDVSDYPVVVTLEDHLTPELQSKVAEMVTEIFGEILFTPPVGESLKEFPSPNSLKRRIIISTKPPKEYKEGKDEDSVQKGKSLGDEEVWGREVPSFIDRNKSGYKDDLVENDDDEDDDDEDDDDGDKSKKNAPPQYKHLIAIHAGKPKGGITECLKVDPDKVRRLSLSEEQLEKAAEKYAIQIVRFTQRNLLRIYPKGTRVTSSNYNPLVAWSHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYIKKPDILLKGGSDSDIFDPKATLPVKTTLRVTIYMGEGWYFDFRHTHFDQYSPPDFYTRVGIAGVPADTVMKKTKTLEDNWVPAWDEVFEFPLTVPELALLRLEVHEYDMSEKDDFGGQTCLPVWELKEGIRAFPLHNRKGEKYKSVKLLVRCEFV >A10g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6571191:6571993:-1 gene:A10g502400.1_BraROA transcript:A10g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREWDPGTRGVTGISVHQYGELEATHKGFKGLESTDLIEITGDLIQKGELGIEGFWCFLGVWSRNLQGMILNQKGEVAALECKEKIYGSVFLRVWKVWMGTRPSSPPASVRSVKWQLVMEKEQNSRRRRVSQTPNLMPPNLRSIMTTQEVEGLCEQLAYETLWFLVLAWMWWWFSWSFSYGFKTPEAMSARWFYYRGATVFGLIKPSTDITCVYGGV >A05p036680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20816154:20817830:-1 gene:A05p036680.1_BraROA transcript:A05p036680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPISSIRVGKIDDVQELIKSKPNKVPERFIREANERGVLVSHKTHLHHHIPVVDLSKLSKPHTDDDFLFEILKLSQACEDWGFFQVINHGIEVGVVEDIEKVAKEFFEMPLEEKKKYPMEPGTVQGYGQAFIFSEDQKLDWCNMFALGVHPPSIRNPKLWPSKPARFSENLEGYSKEIRKLCKRLLKYIAISLDLKEERFEEMFGEAVQAVRMNYYPPCSRPDLVMGLSPHSDGSALTVLQQSKNSCVGLQILKDNTWVPVLPLPNALVINIGDTVEVLTNGKYKSVEHRAVTNRERERLTIVTFYAPNYEVKIEPMGELVDDETNPCKYRSYNHGDYSYHYVSNKLQGKKSLDFAKILN >A01p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4920609:4921071:-1 gene:A01p010110.1_BraROA transcript:A01p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIKSREELNQKPRGRSGTWLLFNCTSWRRLSKPRTSVYVSVQALFQVLRLCSLTPITATAPPPLSIFVNLRRGSCISLVLRPAAPVLSSSTSRSYSAPVSLVVVASSLGTVGIVRIYSSVKLVNSNGDFPVFCKPGP >A04p008750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8045073:8045288:-1 gene:A04p008750.1_BraROA transcript:A04p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDNNTPIDTTNVIQTPLNVAEIDATCVTTAGTNTASIPAAITTTTLPVGNGVDETMHRSLFGAGLYQTG >A01p052870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29628896:29629565:-1 gene:A01p052870.1_BraROA transcript:A01p052870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTHVPSSSSINVDADKMAPKQKIRIKLRSYWVSLIEDSCKQILDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVNL >A01p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8053337:8054500:-1 gene:A01p016290.1_BraROA transcript:A01p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPQTPIPPHLYPQAVQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASALSSPPMILPVSSTHQPSSHLTSVCLLDVKVELKDKLHVVFFNEELETRDSLCCVCLGEFELKEELVEMPSCKHIFHLDCIHLWLYSHTTCPLCRSSVFIPSTKTLVDDNDHPDSSQTSPV >A04g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7363025:7367051:-1 gene:A04g503330.1_BraROA transcript:A04g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKGGERGARSEPLSRLIPLRLLSASTNQHFPAEPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNSKSPPSSLMVEQSIFDEFGVFSSENKRFNPSNIHHGAMMDTENMDLAQRLLVSEAREQFRGDDDGQEAVDASIVPVSYYPGNIFAEESPLEVWRIRPSVVDGQDWSNVERTKSTVDVCSSNVCFSSLFFLVGHPNLATYPEDWRESARIVALQKQDHWEDFTRERIQRSVDRIANQSWISEPHPHINQSTSKRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGAKRGASENRVGPSGLEVVEATPIATEQARTGGSSQGKSSKKSKKSAGGPKDSSEPEHPGADGSSKKGGKKRKAGDPPTEDIPKKKRMKKKELAPPRSSSVCEEELQALVPEAIPEVGTSEDDENETIALRRRRRESRVTEEVSRGALAGDLRSTEVPRGISTSGGQRDRLRNESPAHVTEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSSLRRAREQIRESEEAKKRMEEALRVSTREKADAIAREKALRKAFDETRTSDAAELQMCKESMNNLEFVVDKQRKEKADLEAKMAAELLRHSEEMDRLRKSHIDPAKQASAGAVVLKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKGDDALAGMPTADATAPAPTKFGRVSGPGEGDGRGNEDPPVVD >A05p021450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10201376:10204567:-1 gene:A05p021450.1_BraROA transcript:A05p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNSSYLTTPTKTPSSRRNNQSQSKMQSHPQDPATSESRSRFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDVSALEPRCRFQDEDSEEYGSPIVSATAVADVIRSRTEALLRKTKTAVSPKPIVMRAEYAHSPNLTIIDTPGFVLKAKKGEPETTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAVREIDSSFRRTIVVVSKFDNRLKEFNDRGEVDRYLSASGYLGENTRPYFVALPKDRSTVSNDEFRRQISQVDMDVIRHLREGVKGGFDEEKFRSHIGFGSLRDFLESELQKRYKDAAPATLALLEQRCSEVTDDMLRMDMKIQATSDVAHLRKAAMLYMASISNHVGALIDGAANPAPEQWGKTTEEERGESGIGSWPGVCLDIKPPNAVLRLYGGAAFERVIHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEASAEIARTAARSWLAPLLDTACDRLAFVLGSLFEIALERNLNQNSECKNTILDFLKTHRSPASHEVSLIADEKKAENMDGYVGFHAALRNCYSRFVKNLAKQCKQLVRHHLDSVTSPYSMACYESDYHQGGAFGSYYKPNQASGIGSFCFELSDTGRDEPMKDQENIPPEKSKAQETTPGKGEETHITVPETPSPDQPCEIGYGLVKKEIGNGHHHDGGGARKRVARMGGNRNIQPLRIQNGEGGLLFGTTENGMKSSSAYTEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGVDLFAVNDEKFMDMFVAPGAIDCIVVT >SC115g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:84766:93477:-1 gene:SC115g500010.1_BraROA transcript:SC115g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVSPGDRTPKVRGKDSLILRPWPDPIPMKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDLTGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDPIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCLLTRRIHQRKPFVVQISDPPTLAETIHGADLSSWNPNPSQQDFSIQIQILRLQLPVQNRASSRSHHRQLEFPINQLAKEATRDPIGGSVRPARVRVLSAHLGGPVSTICKTK >A06g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9605983:9607267:-1 gene:A06g502980.1_BraROA transcript:A06g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRFPFRMETPREPHFFKPLLPGFQSGFTIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIRESRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFEADADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A01g510630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29218074:29219053:-1 gene:A01g510630.1_BraROA transcript:A01g510630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVNVRGFLTEVSAMKVRYLFERITGSKTIHTIGLKETNHIDVWPSEGLNPLSQNEGWKKPYRNAVNAILERYGQTKIQKCDVAWMIRNHTDQPVFHSRDGIRKISSELAEMVARKCDIKGIFPSIFHIRCGGYKGVVAQNPNSSKKLSLGSRMKTFYSDHTKLDALAWTKEQHCFLNRQMISILSTLGISDNVLRKNLRKLLIR >A07p050970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27109451:27111075:-1 gene:A07p050970.1_BraROA transcript:A07p050970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADAPLESGLSSLRLAADKYRSILNDEKTGNIRWRYGGPPIFDIVNKLFEEERTHRQLSLTLSTSKANMATREEKDKYRSVLNDVGEVQWRYGHPPAFALVNQLFEEGQTKVWPEGSLEETVQNAIKSWEMEFSHKIRLQDFKTINPDKFKLFVNGREGLSAEETLRLGSYNALLKTSLPGEFQYYKPEEESFESSHDAFRSALPRGFAFEILSVYSGPPNIAFKFRHWGYFEGDFKGHAPTGEMVQFLGLGVLKVDELLRAEEIEIYYDPGELFGGLLKGPPILETKTTDTGDNTAEKHSCPFTH >A07p029650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16608868:16609193:-1 gene:A07p029650.1_BraROA transcript:A07p029650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGEREQILNLIPLAREKTQKANKRSAGDATLVVSVPKHRRSSSGHGPFKFSHSGPKSPLKAYKEELHEERTTNVS >A04g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7266947:7267722:-1 gene:A04g503300.1_BraROA transcript:A04g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELPQYRSVVVVGFGWLDTELKIVRDFFAFKNRNTVMGNGEYVSTFVMPELRDRLQYMIAIIKELYAWQSFATELETIKTLLICFPDSKISHISRVQNRISDSLAKTARSFYREICYIGCFILIWLPRPPQETEIETEAEVIAPMSNSGSRGSCGKIFLDR >A06p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:611881:614083:1 gene:A06p001420.1_BraROA transcript:A06p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAIGRRFSNPSNGFSLASIVKHTPFLIQSTSHFSSDGGAGRGRGRGGGSGSPAAGAGQFGFNREPEKTNEPVGQARGSSQSPGGYGHGRGRPIQSDPISPPFSSFVKPDSHSVGRGRGSLGSDPVSPFSPEPPRHSAPPQPQQPRFESQQPRFESQQPRYEPQQPRFESQQPRFETQQSRFEPQQPRFEPAKDGFQGSPPFAKLEATKDATSPPPPGAPNNIANALGSGAGRGKPFVQNEDNRHIQRSPQPPPQRQKRAQPPKDTAPRPQLSPEEAGRRARSQLSRGEAEGGGGGVRGRGGGRGRGRGARGRGRGRGGEGWRDDKKEEEAEQEALSVFVGDNADGEKFAKKMGDEIMAQLADGYEDICERALPSTAHDALVDAYDTNLMIECEPEYLMPDFGSNPDIDEKQPMPLRECLEKVKPFIVALEGIKDQEEWEEAIEEVMAEAPRMKEIVDHYSGPDRVTAKKQNEELDRIATTLPQSAPDSVKRFADRVALSLKSNPGWGFDKKYQFMDKLVLEVSQNYK >A09p015080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7894276:7895341:-1 gene:A09p015080.1_BraROA transcript:A09p015080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFSLFTNGSSSSSSRERDLLTPGLGDLPESCVASILENLDPVEICRFSKLNRAFHSASWADVVWESKLPQDYRLILEKIIGGFPEKLRKRDIYNFLSRVNSFDQGTKKAWVDKRTSDLCLYISAKGLSITGIDDRRYWNQIPSDESRFGSVAYLQQIWWFQVDGEIDFPFPAGTYSVFFRLHLGKPGKRFGWKVCNTEQIHGWNIKPARFQIWTEDGQHSSSQCMLTGSGSWNHYHAGDFVVGKSKSSSTKLKFSMTQIDCTHTKGGLCVDSVIVYPSSCKDRLRRV >A01g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11556665:11557946:1 gene:A01g503830.1_BraROA transcript:A01g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLGSFPKGYYSYLEGYYDLLADFSSTSLIPIFGIVKTHVQLYIIRLTDTKVANKVLIAKKESIEEGAEHFQYCNSTVHGVMLDDVARFLNLLTTTQFWASMAYLSQEHWKRRCWSITKSMENEIVVEAFMNFRTHT >A06g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27951597:27952564:-1 gene:A06g509620.1_BraROA transcript:A06g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKPLSLFSRLFSLLGHDCFNIITIGCKTEGNPHATDKGHGEHKIKARWIPTKVKVEEHVVVLNIDQNIDYPDQFLEDYTTNMAISPMDKSKALWEFHLVKLKYHMLDL >A01p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:889927:891611:1 gene:A01p001940.1_BraROA transcript:A01p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKLISSSSSSTNTSIYDTRGNNSNNHHHHPPSSSDEISQFLRHIFDRSSPLPSYYSPAMTTTASTAAIGVQGDPHADNPRSFVSTTPASKRAVDFSDVLIGSATAAAGCYGFSGGGNNIAQGSSSGTRVSSSSLGASGNETDEYDCESEEGVEAVVDDELPSKSRNSSKRCRAAEVHNLSEKRRRSRINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLNLHPLCLPGTSLHPLQLSQIRPPETSNDPLLNHSNQYASTSNAPDMINMPSAYTMEPSIRSHFGPFPHFTPHVEMSREGGLSHQRLNTSGDAAFDGQHPEIKDRLT >A10p031730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18903549:18904494:-1 gene:A10p031730.1_BraROA transcript:A10p031730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYDDSSSSDDDVLPAAAEHKEALPQQPQQKHKPSPAPSITRRSLKEKGKSEELPQLPDALLLLESPRLTHVSGGDHASVVAAAMAESALRKREFNGKSSSLPRRSKLPKRNLPHSKNSPDTLGNVLVPPQLKGRSNVATEDMSRLFVKKRLDSSKARSPDQG >A04g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11316777:11317158:1 gene:A04g505220.1_BraROA transcript:A04g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCYQWFSNNVGFYFLSFTGLVAAPEVLQQRTSPVKPYYHYIVGHLTRGLSFNFIFLETQTVEWRRLELRSQVSLASILAKPLPSFFRFGIACFSDFD >A09p014190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7281030:7283025:-1 gene:A09p014190.1_BraROA transcript:A09p014190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFFGKPNMRGSSPSSSSPTSSSSSPGTRRGKKNGSEKPKQPQRGLGVAQLEKIRLHGEMSCNSFDNYSSSLYPQENVRMQGEYSSIPSSSPSFTYASPSPSPSPSYGLYPNMIDVHRDQYERATMSWNPRYGILESHHYLEPNTTRHIFNEDPCFTRRSKSLGSGNPNSGSNDNKELDLELRLSL >A05p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:303014:307125:1 gene:A05p001550.1_BraROA transcript:A05p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFHRVCLRLSLSPLCHLSPPSASLKLSTLRCARGRRFSGNSLTTVMTSRSASRLSCLASVSPGSGEDGGGSSSNGSASISATAAEDDELALGTGYRLPPPEIRDIVDAPPVPALSFSPHRDKILFLKRRALPPLADLARPELKLAGVRIDGYCNTRSRMSFYTGLGIHQLLPDGTLSPEKEVTGIPDGGKINFVTWSNDGKHLAFSIRIDEDGNSSKPVVWVADVETGEARPLFKSQDIYLNAIFESFVWIGDSTLLVSTIPSSRGDPPKKPLVPPGPKTLSNEKSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASLDGTAKEVGPPAVYTSLDPSTDHKYLLVSSLHRPYSFIVTCGRFPKKVEVWTADGRFVRQLCDLPLAEDIPIASNSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEVSPRDIVYMQSAEPLAGEEPEVLHKLDLRYGGISWCDDALALVYESWYKTRRTRTWVISPGSNDVSPRILFDRSSEDVYSDPGSTMLRRTASGTYVIAKIKKENDEGTYVLLNGSGATPQGNVPFLDLFDINTGNKERIWESDKEKYFETVVALMSDQKEGDLRMEELKILTSKESKTENTQYSLQLWPDRKVQQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDPSKDGPLPCLFWSYPGEFKSKDAASQVRGSPNEFAGIGSTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVDEVVRRGVAHPSKIAVGGHSYGAFMTANLLAHAPHLFSCGIARSGAYNRTLTPFGFQNEDRTLWEATNVYVEMSPFMSADKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPHESHGYSARESIMHVLWETDRWLQKYCVPNTSDADSSPDQSKEGSDSADKVATATGGGNPEFEDHSKLRRSLL >A04p017710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10731200:10732965:1 gene:A04p017710.1_BraROA transcript:A04p017710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:Projected from Arabidopsis thaliana (AT2G04700) UniProtKB/TrEMBL;Acc:A0A178VTW5] MNPQAVSCSFGFVSAPLVSPRTSRFVVQAKSEPSEKSVEIMRKFSEQYARRSGTYFCVDKGVTSVVIKGLAEHKDSYGAPLCPCRHYDDKAAEVGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGKDQTITSDEIKETTAHM >A01g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24217326:24217620:-1 gene:A01g508940.1_BraROA transcript:A01g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYSKKFWIDYMLDNKCR >A04p023680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:14377755:14379563:-1 gene:A04p023680.1_BraROA transcript:A04p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G23770) UniProtKB/Swiss-Prot;Acc:O64825] MIFFFIILLQCLSLATAQQPYVGTSTTDCSVTDNSTSVFGYSCNGLNRTCLTYVIFRSTPPFSTVTSISSLFSVDPSLLSSLNNAATTFSTDQQVIIPLTCSCSGNYSQSNLTYTIRQGDSYFRVANDTLQGLSTCQALERQNNASSQSLFPGMRILVPLRCACPTAKQVSEDGVNYLASYTVVFGDTVDVISQRFGVETSKTLEANQMSFDDAGVFPFTTLLVPLQNPPSNLNSMTPPPPPPSPPPPPVSPNGKKQKRTWVYVLVGILGGALVLSLIGAAMFCMLKKVSRKKQEPDNLDSFTAKKTSNSYQESDFDPLDGLSGMVVDTLKVYKFHELQSATSDFTSSSSMGGSGYIGKINGDGAMIKKMEGNASQEINLLSKLNHFNIIRLSGFCFHEGDWYLVYEHASNGTLSDWIYAKSSLLSLTQRLQIALDIATGLNYLHNFADPPYVHRDLTSGNIFLDSEFRGKICNLGLARSTERDGDYVLTKHVEGTRGYLAPEYLEHGLVSTKLDVYAFGVVVLEIVTGKEASELKKEIDGGNDLEEFLVGGSFLPEGLVSFVVRLVMDCLKRDHLNRPSMDEIVLSLSKILTASKSWESSC >A09p064250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51846652:51848047:-1 gene:A09p064250.1_BraROA transcript:A09p064250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKSKSFLFSMSKLFHQHQQWREMGAMAKVRLKWVKNKNLDHIIDTETDLKAACILKDAIKRSPTGFLTAKSVADWQKLLGLTVPVLRFLRRYPTLFHEFPHARYASLPCFKLTETALMLDSQEEIIHQSHESDTVERLCRLLMMMRTRTVSLRSLHSLKFDLGLPDNYEKTLVMRYPDHFCFVKASNGNPCLKLVTWRDEFAFSALQKRNERDAVTSEDGLYREFKRGQSALTFPMSFPRGYGAQKKVKAWMDEFQKLPYISPYDDASNIDPESDLMEKRAVGVLHELLSLTIHKKTKRNYLRSMRGELNIPHKFTRLFTRYPGIFYLSLKCKTTTVILKEGYRRGKLVDPHPLTRLRDKFYHVMRTGFLYRARGLGMVSKEELLLDKVDDDGPEEEGSEEEEIVEGSELEEDSEDE >A05p036380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20602801:20606159:1 gene:A05p036380.1_BraROA transcript:A05p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFSVPSMIMEEEGRFEAEVAEVQTWWSSERFKLTRRPYTARDVVALRGHLKQGYASNEMAKKLWRTLKSHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERAKTPFVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAVSEHINRLVAARLQFDVMGTETVLVARTDAVAATLIQSNIDSRDHQFILGVTNPSLRGKSLSSLLAEGMAVGKNGPALQAIEDQWLSSARLMTFSDAVVEALKRMNLSENEKSRRVNEWLNHARYENCLSNEQGRELAAKLGVTDLFWDWDLPRTREGFYRFQGSVTAAVVRGWAFAQIADLIWMETASPDLNECTQFAEGVKSKTPEVMLAYNLSPSFNWDASGMTDQQMMEFIPRIARLGYCWQFITLAGFHADALVVDTFAKDYARRGMLAYVERIQREERSNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAAGMGEGTSLVVAKSRM >A05g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8121447:8121862:-1 gene:A05g502580.1_BraROA transcript:A05g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSNAVVLIVILAITFSSSSAVTETQAPSPPALTCTEELVMFSPCLPYVSAPPNNISDAPDPLCCSAFSTSVHSGAGNCLCYLLRQPMILGFPLDRSRLLSLSQI >A09p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14873086:14873888:1 gene:A09p026090.1_BraROA transcript:A09p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRKSVLSRALASPKKQFKLLSVSSLTRKKKSTHILHITVYIVLTSMIKNIPTQDLWSCLRRRQPRSVKSPKRKSRTDTPRDIRDNSNRHKYANNISTCSLLLLMKITYMHNVNRPMLLSFYEKCINSLKTH >A05p027310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000121.1:44057:47129:-1 gene:A05p027310.1_BraROA transcript:A05p027310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQPTKFSERKHQKYVNKELGCQVLIKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPEKSFNHPYEACKKSDSNSKGRHSLEPPTPQYPNGSGTLQFWLDRTHSFRISPNPGTKSVKENATKQPAFANLETVFVRKQCCN >A10p000980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:509674:511258:1 gene:A10p000980.1_BraROA transcript:A10p000980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARV1 family protein [Source:Projected from Arabidopsis thaliana (AT1G01020) UniProtKB/TrEMBL;Acc:Q5MK24] MERAMASEYRCVGCGFRVKSLFIQYSPGNIRLMKCGNCKEVADEYIECERMIIFIDLVLHRPKVYRHVLYNEINQETVNIQHLLWKLVFAYLLLDSFLKLLVKDRSLLLRGTNEHSSLVLVTVKVLVDVLSTNLAFLFSFAIAAKVLLHEVSRKREILLGILISSYFKMFLLAMLVWEFPVSVIFIIDMLVLTSNSMALKVMTESTTSRCIAVCLIAHTVRFLVGQIIEPTTFFTQFGSLVYLSSLFRIL >A03p015080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5965764:5966321:-1 gene:A03p015080.1_BraROA transcript:A03p015080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLELVVPCFGSQQFHHSAATVDDSISGETQSLMKQRRRRKRVRVAGQPGQEWRPSLSVISEHKPAITEKSEEERKVRRKSEVSGGDSSYRSGGSHVRFSSDGLGSNDFEPVIPTFSPTPFMF >A04g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12584641:12586201:1 gene:A04g506060.1_BraROA transcript:A04g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISNWVRYMAHKLEYSLTLSLKNHTKGKLNERELVGVVLKNLLYGRITYLHSGKGQEMSPTMGAHDNTLLVRKLPVVDTRYVFVGDAVVLKDPNETDKFLVRRLAALEGSEMVSTDVKEEPFVLEKDQCWVVAENQEIKSKEAYDSRTFGPVSMADIVGRAIYCLRTAVDHGPVSNSEFAMEEDSPLLAVELDVDELAKDHKA >A07g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1289365:1289647:1 gene:A07g500660.1_BraROA transcript:A07g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRNDVKSMSRRRSLRGKEIADETVAVEDVKGSLPERLFATDRYPCERINMYSAIDNLRALETH >A09g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:991954:992722:-1 gene:A09g500300.1_BraROA transcript:A09g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHQSTSGNGDQIDKTHRLGSNPSISPGLTKSGLRFRLIHFWEARNTAKEGMLSGFRTASHRGAVSLLIFGTFRLLNNFTKCIKSLVHQMKNLGKEHAAFSNIS >A04p032440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18979224:18980258:1 gene:A04p032440.1_BraROA transcript:A04p032440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQTDHLGVNKTGKNIRKSPIHQPNFSANANATARPQAQPQVYSVSKNDFRSVVQQLTGSPSRESLPRPPPQNNNSPRPQNTRLQRVRPAPLTEINRPSLPLPTMPLQQRPHSFVQPPFPQGTQQQQVVGHGDQSWSNTAESPISGYMRYLQSSLGDPGPSGNNMQPGHEQRPFMPAQPQTPPYVPAQPQPQPQPSQYQPPPGLVPSPVPRNLPFPQFNGPVPGTPTLPSPRFSQMYGGFPSPRYDGGFPSPWYNSFGPLPSPTGYPNMFSPRSPYPLLSPGVQYPQPLNPNFSFSQLAQPGSHGPGAGAGPSQPPPSPGLMFPPSPSGFFPIPSPRWGGY >A03p032700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13837547:13837925:1 gene:A03p032700.1_BraROA transcript:A03p032700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSNVKIVSAFVSQELSNAIFRRGYAATAAQASVGKGGAVVSAVMKKKGVEESTQKIAWIPDPKTGYYRPETGSKEIDPAELRAALLNNKQ >A08p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9649021:9650837:1 gene:A08p014420.1_BraROA transcript:A08p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVSDSNSPTGVLEEFFRTEEFESSQTTTTNKNPSSSSSRFRRVVHLLRSTSKKSLENLKVPFQYNNAVTSSLRRCSSLRDSLRFGSSSDSPVLAHSPRRIFSFSDLKIATNNFAPENLIGKGGYAEVYKGKFSNGQMVAVKRLMRGNSEEIIVDFLSEMGIMAHVNHPNIAKLLGYGVEGGMHLVLELSPHGSLASMLYSSKEKMKWSIRYKIALGVAEGLVYLHSGCHRRIIHRDIKAANILLTHDFLPQICDFGLAKWLPEHWTHHIVSKFEGTFGYLAPEYLTHGIVDEKTDVFALGVLLLELVTGRRALDYSKQSLVLWAKPLMKKNKIRELIDPSLAGEYEWTQIKLVLLAASLSIQESSIERPGMSQVVEILKGNLNDLKCIMKCRVPFYRKAFRDENMSRNIEKIRS >A07p052050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27526136:27532941:1 gene:A07p052050.1_BraROA transcript:A07p052050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEECWELIFRFIDQDDHRFLKSLSLASTSFLSIANRVRSTFTITDRTLPFLHRHLLRFHNLKRVRFHDFHGDINSILLQISLSCLDLESLDISGMQCFPDLKTKMSSNMKEFSCSGVRELRENDLVSIGVCFPSLQKLDISYPESLPSPVFDSAIISLSSNLKSLLKIDVSGNNLITDKSLVSLSQNCVLLREIIFRDCDFVSSRCIEFVLSNSRYLESLAINGIGWRPRESFSNDAFLLCRCLSELDLSDSFLSDELLRLIADAKLPLKKLVLSNCQCLTFDGVLYLLTKYQTLAHLNLEGSSFLSDEMILDLVVFLGRLTFVNFSFCAKLTGVSFFNIVERCVSLQCMRMEGTNFGVEDYSKELGVKSRIKSLYISGNHNLRDECLLKISRHCPFLETLAVDHCPAITGDGVLEVLRNCGEIKSLDISGCSGIKCVDALDFELPKLETLRACGTWIDDQALGCLNVTSRGVKEVVQSCTRLREINLSNCEVDDGIFIWMVCANPSLRKIVPPCCFSPTKELQKFLLRHGCVICQEIP >A09g518860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57725487:57726847:1 gene:A09g518860.1_BraROA transcript:A09g518860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDIDLYKIEPWDLLELCKIGHEEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYLRHSLIGMRKTLVFYMGRAPNGQKSDWIMHEYRLETDENGAPQEEGWVVCRVFKKRLPAVIRRMGDYDSSPSRWYDEQLSFMASELETNGPRRIVPNHHQQQHHQPFSYGINASAYALNNPNLQCKQELELQYSHLQSNQVHEEQMNQGNQSFSSLYMNSGNGQTMDQVTDWRSLDKFVASQLSNEEAATASASLQNNGKDTSNVEYQIDEEKDQKRVSDMGEEYVASTSSSCQIDLWK >A05g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29188164:29188480:1 gene:A05g509590.1_BraROA transcript:A05g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVWLEAALPLGIIGGMLCIMGNSQYYIHKAYHGRPKHIGHDEWDVAMERRDKKVVEKAAAPSS >A01p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7727841:7733123:-1 gene:A01p015770.1_BraROA transcript:A01p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEAEHQNRADENDEISFKDGDSDICQQLMDRYAKSSASQHRHLVATAAAMRSILTSESLPPSPSPYFAAAISSLDSSTADDPMAVSALLTFLSIVVPLVPDGGISAAMAGEAVGVLVKPIDEEGEKLGVASLRAGVKCIGTLLVGFCDLDDWESIRIGFGSLLKFSIDKRPKVRRCAQECLEKLFGSLRSSSVIKEASDTLYALLKEHELVLSELSSKKIVEGSKVESALKSENAEAAHVLNVLSATVPFLSAEVSSCVFSELCKLMGSQFSPLTRQILKAIDTIFKSSEDTVVVPEIEGVITSLTSYVSLHEKNPADTVLHVSTLLKSALEKANLVESTLCLRQLPLVCGSLAGLLTSEEDVASQASVILKDLISSYIDTNNLLAEGSLSSEDEDNLTGGDNINAARSVCTVFESTLNSCDGIPKEHILKVTALLIEKLGDLSYILAKDIILKLADMMENATEDTASSQYVQQCIGAAVVAMGPVKLLTLLPITLHAESHSCTNAWLIPILRRHIVGASLEYYVDYIVPLANSLMLTSKEAKKSGHGKKLRACGHELLRLLPAFCNYPIDLPKQFGSLVKLMVKFIKKKSFMHEAVAVSLQMLVNQNKRKPKPSTDMDEEDTNISEDAKPEVDSRFHYSKKASVKNMKTLASSSAELLQTLVDVLTASGTQISADFKAAIGCLASTLDSSVKKKILISLLNKFDPAGESENEGQVDQSNDSIDEEKDNRSATKTQLKRSAVLDLASSFVEGAKEDLIELIYNLVRQSFQATDEADLRGAYNTLSRILEEHGWFCSSHFAEVIEMLLSHKTPDDEASSKSRFACFHVLMAHGIQSSSEEENEKAFLILNEMILTLKDGKEEHRKAACDALVMVYTTLKNSSSMNSDELCPKLINMISGYISGTSPHIRSGAVSALSVLIYKDPEICLSSPELLSSVLSLLHTKSIEIIKAVLGFVKVLVSTSQAQDLQRLLQNLLYEILPWSSVSRHYFKSKVTIIVEIMIRKCGTRAVQLATPDKHKSFLQTVLENRSSKAKDKDETNDSQTTSIDSSREPRKRNYREASSETTARQDGGKEHKNFKRHKSTHHSDTNGRRTGPKRPGNRNFGKHREASGNNHKSGKDARKPNRFRKAS >A09g508960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:25119595:25120080:1 gene:A09g508960.1_BraROA transcript:A09g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLGMVGLRCTVFYCDWHDNTPDRGVRTDAFGVTSVNSRRKLQYYDPFILASQADQVCYINERKKERKKDDLKE >A01p025440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20099620:20105233:-1 gene:A01p025440.1_BraROA transcript:A01p025440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILNTVSSILASRVSSVDRDGALSLRNPVSIEFTCRRFAWSTLDSNSSGRRFVVRAAETDTDKAKSQVPDKAPAAGGSSINQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTPEDVAKSILCMMMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEQEVITQVWVLLLGGLGIAGVLDVWAGHTTPTLFYLALGGSLLSYIYSAPPLKLKQNGWVGNFALGASYISLPWWAGQALFGTLTPDVVVLTLLYSIAGLGIAIVNDFKSVEGDRAMGLQSLPVAFGTEAAKWICVSAIDVTQLSVAGYLLASGKPYYALALLALIIPQIVFQFKYFLKDPVKYDVKYQCTAIFGAGYIRDGFGIKSLNELCCDGSLCYCGPQIWAELASAKDGLKLGQRTYMLLKQPPFSP >A05p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5750834:5754683:-1 gene:A05p013200.1_BraROA transcript:A05p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITDGVNNMNLAGDSQKKNRIQVSNTKKPLFFYVNLAKRYMQEHNDVELSALGMAIATVVTIAEILKNNGFAVEKKIMTSTVDIKDGSRGRPVQKPKIEITLGKSEKFDELMAAANEEKEAAEAQCLPFSHLTHTLSLVAEASPSFHLSESKLNFEAPLLSTRQMKKEAVSVRKNMAKKLTHDDSKTSESPSVPVLGLDHVPNTASVVFKSSLEKAIEEESEDDDVFSDALDTLSLKHSVSGNVEATKRPMASEDPDQSRDFMLNRFLPAAKSMTMEQQQPQYAMKRQPSPFMSEPVRQIRDILPAATPKRYEYNTTPSYYHGLDDDTSDEDDEEASEYLSRRGCGMSPQLCLGMLSSVHGLKEKPYSLRTSSHDQVKSSKVAKLKSRFQSVKKLALHKLGSRAQSPVHPSVDTNLRTASVPSSPYRQTGCLSPYRSVGNSSPLHSAGFPGTRKEAEIMRANRLNKHIRNPKKSHDLLYPKSTRLDSSTSSVMEKTLHVDTDKNPKTSNVKILPETTSEKPKAVLEHQAVESLSISSAIKKMKAGDLDKNKSGCDLSPHDLPTPKKPSESWLLRNLPSVSSKISSRRYLFHPQKKDLKENSTSVTKWETIVKTSCIHRDHIRYSEELVARSSCQSTT >A10p001470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:774190:777502:1 gene:A10p001470.1_BraROA transcript:A10p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G02640) UniProtKB/Swiss-Prot;Acc:Q94KD8] MILHKMAFLAAILFFFVSSSIHVHSRETFACDTKDAATATLRFCQQSVPIPERVKDLIGRLTLAEKVSLLGNTAAAIPRLGIKGYEWWSEALHGVSNVGPGTKFAGPFPAATSFPQVITTAASFNASLWESIGRVVSNEARAMYNGGVGGLTYWSPNVNILRDPRWGRGQETPGEDPVLAGKYAASYVRGLQGNDRSRLKVAACCKHFTAYDLDNWNGVDRFHFNAKVSKQDIEDTFDVPFRMCVKEGNVASIMCSYNQVNGVPTCADPNLLKKTIRNQWGLNGYIVSDCDSVGVLYDTQHYTGTPEEAAAKSIKAGLDLDCGPFLGAHTIDAVNKNLLRESDVNNAVVNTLTVQMRLGMFDGDIATQPYGHLGSAHVCTPVHKGLALEAAHQGIVLLKNLGPSLPLSRHRHRTVAVIGPNSDATVTMIGNYAGIACGYTSPVQGIAGYARTVHNKGCADVHCMDDRLFDGAADAARGSDATVLVMGLDQSIEAEFKDRNSLLLPGKQQELISRVARASKGPVILVLMSGGPIDVTFAEKNPKISAIVWAGYPGQEGGRAIADILFGAANPGGKLPMTWYPQEYLTNLPMTEMSMRAIRSKRIPGRTYRFYDGPVVYPFGHGLSYTRFTHSIADAPKVIPIAVRGRNGTVSGKSVRVTHARCNRLSLGVHVDIKNVGTRDGTHTMLVFSAPPSGEWAPRKQLVAFTKVHVAAGENKRVQVNIHVCKYLSVVDRAGIRRIPIGDHGIHIGDESHTVSLQASTLGVIKS >A01p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23338953:23340473:1 gene:A01p035050.1_BraROA transcript:A01p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNLRRQEAPLHSELEAMRWGMESMLQYSSCHHFEIDCKDLIAMIKYLMFGRLSLRDLFIGLFVTLVVLFRFSYPDHLKFRYVLEESGDFGVFWSPLSAELHIHVRCLTMYGDLPMHPVAEVMFILVKSGQSASREEAVEEIKQHRSTVHPWFRSMVILKHGRIKPRSNHKLPEYPWTT >A03p031970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13523432:13525553:-1 gene:A03p031970.1_BraROA transcript:A03p031970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCCSTTTIILSVKFPPSIRTHTQSPRLNRLKNHKQRITERRSLIVRSITEDREAIDVKKSDTFDPQEPKGEEVDRDSDRLMSRGINAAIVLAAGTVAVTKLLSIDHDYWHGWTLYEILRYAPEHNWVAYEQILKTNPVLAKMAISGVVYSLGDWIAQCYEGKPLFEFDRTRVLRSGLVGFTLHGSLSHYYYQFCEALFPFQDWWVVPAKVAFDQTVWSAIWNTIYYTVLGLLRFQSPAKVFGEIKTTFWPMITAGWKLWPLAHLVTYGVIPVDQRLLWVDCIELIWVTILSTYSNEKAEAQTIGETNSSSTEFLWRHGSHLWPSSDSTAIVAEKKRAQFHVTWAMCINMIVMSCGGDGSLSSYALSYSLLYAIVVSNYMLHID >A08g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15265153:15270189:-1 gene:A08g508360.1_BraROA transcript:A08g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENNNTVTGQEQMVTLTPPVPETNPPQNEAKLQSTHDINSCPKNNADKRSEERKGKAPIHSQLPIVTGSGNPPARVSSEDLRKKHSSVKKTSSQWVRTNSVMQLPKEGINLDPPLGSRRNSKSLPISSQHPLSDQDKDNFCIDLKASLFDGNRKCSVSPSITSESESEPESLTNDEDTLDEDQEHYTTVGDDTSEAYSTPLLEWSVIRDNTSSVTLRLLVIQATIYRIWYERNARLHASPTATPQAAFRSIDKTVRQAILARKSKKKFRTLLGQWLKFS >A01p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24060774:24061915:-1 gene:A01p044910.1_BraROA transcript:A01p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AHP1 [Source:Projected from Arabidopsis thaliana (AT3G21510) UniProtKB/TrEMBL;Acc:A0A178V856] MELVQMQKSLQDYTKSLFLDNLKVEESVLGVIQKLEFMGVLDSQFLQLQQLQDESNPHFVSQVVTLFFQDSDRILNDLSLSLDQQVVDFKKVYPHVHQLKGSSSSIGAQRVKNACVVFRSFCEQQNVEGCHRCLQQVKKEYYLVKNRLETLFKLEQQIVASGGMIPAMELGF >A09g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6484438:6486126:-1 gene:A09g501770.1_BraROA transcript:A09g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSWDQLGEITSVAQLTGVDAVKLIGMIVTAANTARMHKKNCRQFAQHLEQLKISEMKKTRPEVQEPLEGLEDALRRSYLLVNSCQDRSYLYLLALGWNIVYQFRKAQDEIDRYLKIIPLITLVDNVRVRERLEVIDSDQREYTLDEEDRKVQDVILKQESTREAAASVLKRTLSRSYPDMGFCEALKTENGKLQVELQRSRARYDTDQCQVIQRLIAVTETVEDVDDETEKDESYDTVYPKKSSTRVLESSRHQEEWHTDLLDCCSEPTLCLKTFFFPCGTLAKISTVATNKQITSSEACSELMVYSLMLSCCCYTCSIRKKLRKTLNIKGGSIDDFLSHLMCCCCALVQELREVEFHGASYAEKKKKNMTPPSPQFMEE >A07p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9582973:9586089:1 gene:A07p015470.1_BraROA transcript:A07p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPQEEVAGAWGLPSRFGRHNHLPTDASLFSTSLPLLPHGKLQSSDSRYGFSFTDDATASHPFGKMLPDEEDLLTGMMDDLDLTQLPDSPDDYDLFGSGGGMELDTDSRDGFSMSGGPPRLSIPSLAGTGTVTGEHPYGEHPSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTTCKHRGFVMISYYDIRAALMAMRSLQNKPLRRRKLDIHFSIPKDNPAEKDMNQGTLVVFNLDPSITNDDLYVIFGAHGEIKEIRETPHKWHHKFVEFYDVRDAESALKALNRCEIAGKRIKIEPSRPGGARRSLMLHLNQELENDDLHYLPLLGSPMANSPPSTWMKSPVEGSPLQSVMSRSPVFGFSPTRNSNLSGLSSAPNSQAPGSKLAPIGRAQTGSNVFQETKMDNKYAGNVSPSGPLISNGGGIETLSGSEFLWGSPNSRSEPSNASVWSTSSSGIPFSSAHVRRSVPSPHHHLNVGSAPSGVPLEKRFGFFPESSKDTMFMNSFGLNGGSFPSKMASHGIMVEYRMMSSPRFISLLNPGRFTTSGFDGLYENERARRVENYSSQVESRKQFQLDLEKIMNGEDSRTTLMIKNIPNKYTSKMLLAAIDEKNQGTYNFLYLPIDFKNKCNVGYAFINMLSPDLLIPFYEAFNGKKWEKFNSEKVASLAYARIQGKSALISHFQNSSLMNEDMRCRPIIFDIPNSSESVQQVIGKETKSVDLRDSELNDDGGREKW >A08p019150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13100960:13103068:-1 gene:A08p019150.1_BraROA transcript:A08p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADLLRGFRNRRFWFPCKSFSLNATTLTFLAVCVKLSVDLNTCMPSRQDQLSKLSSNVFVCTVMANSMPSLGFMVTQDLLMNLVALGILVVTDVVNICIQLGTGAIYVFPQEHAFVIVLTLLMFVILTFSAITVPATKRFLELKYKKSYELALKQCPLYQTERRGGFCELKEDLMKFWMMAHTCSPQFVMARSVTCTTSGFLCFLSAVTLSEAILRSYFIQPSSLGFCGGDSDYKWSTTLVLLSQAAAVAIGTVAPASRWFTAVNLQCSSGASKSLRDELRVESYWVESLSEKKEHPLNFWMLHGRRSRKLAHDVNRCILDLCIAIQYGIVFASKLLRFIAVYCVSRILLCCHFTFRTHPDSSSGSNPSARQFVLHLEGEEELVDYMARSNREATNHLIQKGRKKQPQNLIDLLEATDPISKGFEGILEFDSDEVGSLAAGEPPNCWALPLVTLTSIAASLPNINPCLLKKLVKAVNEALVYVKKFEDVLDIEGELANSRKAAEVVWLGVDLYHKWLKVDLEKLSKQQRARPQEVFKELEDIAKKEFSESWEKNMLLKPSRWPIKILAANSMYRISQTLTKKYESRNIGTEDTLFREVERMVSDIVAGCFCNTARVVGMQCLVTAVEVREASVREAAMHLGRTEKVLEIVDRRCIPALSHHKVAKIDGWREFYKTNKCIPSSTTHPSTQCTTRQLILTIEK >A06p001900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000132.1:7688:7876:1 gene:A06p001900.1_BraROA transcript:A06p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMLCRLWQNYPRRRRLLFVCPASLTLKVKLFGSFAVARVLCVCCVVFALPLQRGVAAQR >A09p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9483546:9485273:1 gene:A09p018170.1_BraROA transcript:A09p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCLATTAKRLLHRCNNLPQKAKPRTHLPSSIFYHRWARASSTATNLRERLSRNPNDAIELFDKMNGKVLEAKELYEEMIRMSIEPDIVTYSSLINGLCMQDRVDEASEMFGLMVRRGCFPDVVSYNTLINGFCKAKRVEDGMRLFREMSQRGLVSSTVTYNTLIQGFFEAGDVDMAQEVFSQMDSPDIWTYNIMLGGLCDNGEVEKALVMFEDLQKSEMELDIVTYTTIIHGMCKAGKVEEAWGLFCSLSVEGVKPDVVAYSTMLSGLCSKGLHCEIDALYLKMQGDGLVLNGRKLCLRDGDITVSAEVIKELLTRATSEGCEKAFELRDSRLLHPVIEDG >A02p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26808024:26808581:-1 gene:A02p042720.1_BraROA transcript:A02p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHHTHSSFSLSFIFLFLFFNIFSLSTPKLEPLKPSPLGSYHQRKQPVSAILVFGDSTVDPGNNNYIETAFKCNFPPYGLDFKNSIPTGRFCNGRLVTDFIGSYIGVKEFVPAYLDPNLGINDLMSGVSFASAGSGFDPLTPTIGVSIQSLLFLFILF >A02p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27623845:27627048:-1 gene:A02p043860.1_BraROA transcript:A02p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKDLASGTVGGAAQLIVGHPFDTIKVKLQSQPAPSPGQPPRYTGAIDAVKQTVASEGPKGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSEPGVPLTISQQFVCGAGAGFAVSFLACPTELIKCRLQAQGAGGGASTTGSVVAAVKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREVPGNATMFAAYEAFKRFLAGGSDTSSLGQGSLIMAGGVAGASFWGFVYPTDVVKSVLQVDDYKNPKYKGSMDAFRKILKAEGVKGLYKGFGPAMARSVPANAACFLAYEMTSLMETMEQQRHSLSSLPMLSRLEHLDFVIKNLERQQNLSKWKDENTSTTRGLIDRGTAVREAYFKGSLLDRIAALETRLFQICLELESGSASSTSTGGSGETSNQRIKRDLTKTLPIFTSNVNPFHIPLQPPHDTHETEEKIEEEEEEEEEINTEKPLLEKKNKKKVANETCKPNKKTKSPKKWCRFNLL >A02p042520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26707182:26707879:1 gene:A02p042520.1_BraROA transcript:A02p042520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMVEDFPRSLQVVSQSLLPKVTLEKFLEDSRKTSCEVFRSFMPKVKNLGRLLKDFLLMNLLLEDFPPSLQEVFQSLLPKMVQRNDVNWSPPSLSLLKNDI >A08p035650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21036406:21040988:1 gene:A08p035650.1_BraROA transcript:A08p035650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEILDEKKKKKDEKAREKELKKLKALEKAKKLEELKAKQEKGGTNASQKKKSHKRDDAPEENPEDFVDPETPLGERKRLSSQMAKQYSPAAVEKSWYAWWEKSDLFKADAKSSKPPFAIVLPPPNVTGVLHIGHALTVAIEDTLIRWKRMSGYNAMWVPGLDHAGIATQTMVEKKLALKKLTRQDLTREEFLNEVWKWKDENACTILTQLRRLGASLDWSRECFTMDEQTSKAVTETFVRLFEEGLIYRDIRLVHWDCHLKTAVSDDEVEYMDIKEKTLLNVPGYEKPVEFGLLTSFAYPLEGGLGEEVVVATTRVETMLGDTAIAIHPDDARYKHLHGKFAVHPFNGRRLPIICDGTLVDPDFGTGCVKITPAHDPNDCELGKRHNLEFINIFTDDGKINTNGGPDFTGMPRFAAREALVEALKKQGLYRGAENKEMRLGLCQRTSDVIEPVIKPQWYLNCSMMAKEALNVAESKKLEFIPKQWLENIRDWCISRQLVWGHIIPAWYASLEKNERREVGAYNDHWIVARNEEEARKKAAEKFGGKNKFELRQDEDVLDTWFSSGIFPLSVLGWPDETDDFKAFYPTSLIETGHDILFFWVARMVMMGIKLSGGEVPFSKVYLHPMIRDAHGRKMSKSRGNVIDPIEVIEGATLDALNKRLEKGNLDQKELDMAIKGQQTDFPDGISECGVDALRFALVSYTAQSEKINLDILRVVGYRQWCNKLWNAVRFALIRLGDGYAPTMVLSPETMPLSCQWILSELNKTISKTVESLDAFDLSEAANTVYAWWQYQFCDVFIEAIKPYFANAPLAASERAHAQDALWVSLETGLRLLHPFMPFVTEELWQRLPSPKDCERKASIMICDYPSPIENWTNEKVEKEMETVLATVKCLRALRAALLEKQKNERTLANLSSLEVLLKGEHAAAPAGSAVETVNENLKVYLKVDRAMNAEAEQEKIRNKIAELEK >A06p037230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:20138766:20139656:-1 gene:A06p037230.1_BraROA transcript:A06p037230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVEINEGRRFMEDLEILRATGAEADQLPTFMKEMSPKFSEDMLGSFKMEGNSNPLEQLGLYMKMDEDEEDEPHFSQGEVDEQPFDRKERLTLSPHVAEKEDQLDSGNEKPVSEKGQENGNQEDEKPINQKEGEEQIENVPVNPHEKEGLVNGSEGGEEVVSEQAQDNGNQEDENLINQNEGKEQLENVPEQENGIEDKTDEEAEVVEKGDEETDVDKGDDDAEVMDEETQVVDIGEEEAEVFDVDMVKVETEVVHNGERKKLKLSTWESKNTNLVTGDKKLKLSKRKRKKLKL >A08g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2630305:2631416:-1 gene:A08g501080.1_BraROA transcript:A08g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGLKDVSKNQMMSTTTCTLVAEQPIFISEKSKGKSENNLEERKFFSDSLPIFNEYDEELMDSLMICEDTCDLLFLESDLVINNEQTIAELTFLQPEHSNSLILFSQDFEEEPFDYSHQEPLLGTRKPLEDSRPKVFYQSKNIHTHHEYQGGVSKEVLVVHEKKNSTKIINFERFSFLSEVISEQTNLSFVGLVSHIKQRSKSGSIKRLSTPLVPPFNPSVLIQTTPCGLRGMSFLSGSVDIVHIQCHG >A01g503420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10795495:10795893:1 gene:A01g503420.1_BraROA transcript:A01g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPRDMAEEVLCRITVTSLRPVRSTCKKWNRLSRCGVFAKTHLAHQAKVAEEAKDPLVVMLMDYRVYLIRFNLSNINNVVSCVKREAKLIGPDGSDQINVCEIFHCDGLLLCIPKGHSRLVVWNPYWGHT >A01p039030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14894055:14896143:1 gene:A01p039030.1_BraROA transcript:A01p039030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZCW7 protein [Source:Projected from Arabidopsis thaliana (AT1G59600) UniProtKB/TrEMBL;Acc:Q9SLT8] MRSLEELSPLETLEIEKGLSLVSRVKLSLTIHPLVPSVSKPIDEWQLKRSLIDFLKNSTFPSVTISEEDIVVRRHRDLKKRKRDEPVAHGSLLIRDLGFLEGKKKKKKDDDDDAKGLEKKFVEWRKVLVEKMNGIEVNLEGVKYTLTVVLPVSDDFERLKKDWEEFYAFGHPREGRREADTMIVRGVPSRWFAETRVSSKPSMLVAHTIFSTFGNIRNFNVAEDDNLGKDADEYSGDLVSGLYCKIVVQFEKYNDFVNAMKAFCGRSMQKEGTRLKADYELTWDKVGFFQNSRRASDHRDDGYRNETAGYNTDDLRRKRFRE >A05p016680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7429546:7431070:1 gene:A05p016680.1_BraROA transcript:A05p016680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGITWVGNVYHKFEAMCLEVEEIIVQDTAKYVENQVQTVGNSLKKFCSDVVQDFNPDETLDSEKQLPVSILHEYAPVCSSFKKKRDSMNQQTRDVKQEQEGKKDVFDVKFRGVDADDYDICTSPRQYSYGSPYRRTQLGRKQVTLKDSSSISSMVHRARVKHDVGTVKSSDPPPGGVVARLISKDKCQKGDRSKGQHGLRVVDSVRSHESELRTKNDHGLGVADSVRIQDSEIQPSVATSLPAGSDDCRKETDEDSTQTKSGSSSVSESEQKAEILQQLSGRSVEESCIIVDRDELHCVFSDMKENDKHKPYKKIRDAISSRMKQNREKEYKRLARQWYAEDVENGRECGDSSKQIEEDQSPEESEWEFL >A05p055520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32816639:32817454:-1 gene:A05p055520.1_BraROA transcript:A05p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDVDIGYNEQPISRISPVRTHKDKAVGNSTQVVDTLKYLLFLFHCPFYFQLRATYTPSLIVKPVTFSVCIAPISLFSFFFSAHIIIPCMVFGACNQSDVKSVPRLLSSPELIQGPSMRGIGVAFEWSDNQFPKEKTSSSQWIG >A05p016410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7300719:7301054:1 gene:A05p016410.1_BraROA transcript:A05p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRPSSSSATTSVILETPVSQSQPTERLVLRLNRKKKKVSWKDGTVDNEFMQKKSSKKCCIFHKQKPFDEDDSEEDEDNNHHHHDHDHNHEHCESGEASSSNDSKASD >A04p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1384963:1385588:1 gene:A04p002900.1_BraROA transcript:A04p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNMLGKWIAEKAQKNKIKVFQDALDAIAESENACLKDAAIELKNSREFGGRYESTHLERKKVFERHANGHAAMRPPLVAELVLFEKLKKIEIEALQNGDFTTQKILTRGLYFLF >A03p006480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2737430:2738883:1 gene:A03p006480.1_BraROA transcript:A03p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRPSSSLDEIRKAQRADGPAGILAIGTANPANHVIQAEYPDYYFRITNSEHMTDLKEKFKRMCDKSMIRKRHMHLTEEFLKENPNMCAYMAPSLDVRQDIVVVEVPKLGKEAAVKAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNRGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFSDGAAALIVGSDPDASVGEKPIFEMVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLEEAFKPLGISDWNSLFWIAHPGGPAILDEVEKKLGLKAEKMRATRQVLSEYGNMSSACVLFILDEMRRKSAKDGVATTGEGLEWGVLFGFGPGLTVETVVLHSVPV >A02p014950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6642246:6643750:-1 gene:A02p014950.1_BraROA transcript:A02p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFLSCFLPIYYGLSGFRECVYVRRLVVVSKDLRYPLAFVSGFLGDRRRLLKVSTTPFAQVGVSAKTTKRKESRIGKQPIAVPSNGPLGELALTYPPEVELIEEDSGFLRVTKTVETRRAKEMHGLFRTLIGNMVVGVSKGFEKTLELKGVGYRATVQGKELVLNLGFSHPVKIEIPDSLKVKVDKKIIIFGKGEKVKITMVIVSGYDKCEIGQFAATVRKWRPAEPYKRIGVCYSDEIVHRKKGKDRKQK >A09p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8634939:8636879:-1 gene:A09p016460.1_BraROA transcript:A09p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAREVVVIDKDLKKKIKDTVNKILKRSSLYQITEAKAREEASSELDLDLSKDPYKLIVREAVERFVEKAVKANVVSDVYKPPPSSFAVFNINSIEEPWLKFDHDDDEKPASAAVPIAVEEGDDDAPKTWDEVSKSLETHLNKPAAAKPAEVVSVEPPATPPRRLPRKSASFHTLDELETKARKQIAAQNPATTVKLKKTESMSELKPEFNRNESTQSNSGPRSVKENIFVLRDRERREKEGNKKPVMNWDPLREFPEKCPPGGGDGLVVYTTSLQGVRRTYEDCMRVRAIMEQQGVVVDERDVSLDAGVLSELKELLQEEATVAPPRVFVKGRYLGGAAEVTAMNENGKLGRVLRWARVERVGEEGRQTCEGCGGARWVPCLECGGSCKVAAVGVAAKGEGWGRCVKCNENGLIRCPVCFVN >A09p052290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45862621:45863557:1 gene:A09p052290.1_BraROA transcript:A09p052290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVSSVLFLLLLKSRSRRAEFWKEVKTEAPKLRLEGAKRSIARAAPKHLKTWRPLIVLDMVSSVLFQEYTCLLPASPAPRAPSTVFLLVALPPYAVNPSTAHELRAHAPRNVNSYSLAID >A04g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16955751:16958432:1 gene:A04g507100.1_BraROA transcript:A04g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCLTNNDEKLDRGARVWRRLGRFLQIWQAPPQGTRVRVYVNADEPLQFERRAGFANGDVIRVTLKYEDLHRHCFTCKRISHEEGTCPELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKGTSASKKLALRGLVYYS >A04p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23266769:23270296:-1 gene:A04p041300.1_BraROA transcript:A04p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3117 [Source:Projected from Arabidopsis thaliana (AT2G47940) UniProtKB/TrEMBL;Acc:A0A178VUR8] EKLSKKKSWPVLSSPLFFFSLSRLSPALDMSVSVACCCFSFLNAPARLLQSSSSPLCFVAASTLTPRASSSSKLNPEKKKKKYTLRVRDEHSSNDGPQTMAFKSPFGSSQQKKDKKESQPTTDLRTDPAKIHDASFLDAVVKVYCTHTAPDYSLPWQKQRHAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDRKYVAKVLVRGVDCDIALLSVESEDFWKGAEPLRLGHLPRLQDSVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEETENIGYVIPTTVVSHFLTDYERNAKYTGYPCLGVLLQKLENPALRECLKVPTNEGVLVRRVEPTSDASKVLKEGDVIVSFDDLRVGCEGTVPFRSSERIAFRYLISQKFAGDIVELGIIRAGEPKKVQVVLRPRVHLVPYHIDGGQPSYIIIAGLVFTPLSEPLIEYVLLSFASMQKKNINISSLFRKCLIIFSWKFGMDREECEDTIGLKLLTKARYSVARFRGEQIVILSQASHNDVLANEVNIGYEDMNNQQVLKFNGTHIRNIHHLAHLIDMCKDKYLVFEFEDNYVAVLEREASNSASLCILKDYGIPSERSADLREPYVDPVHDNEALDQGFGDSPVSNLEIGFDGLVWA >A02p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7804243:7804526:-1 gene:A02p017310.1_BraROA transcript:A02p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKFPLEILAVFVIISVILLPIAHAQSPSPAPAPTSDGTSIDQGVAYVLMMVALALTYFIH >A09p056370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47956519:47958129:1 gene:A09p056370.1_BraROA transcript:A09p056370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFSLDGGGGRGGGGNGQEEHRTNTNPPPPVSESWLWYRNPNVNANANANANAPSSSNAAALGTLELWQNHNQQELMFQHQQHQQRLDLYSSAAGLGVGPSSHSQFNISGETSNAGAGGTAAAALMMMRSGGGGGGTGVSCQDCGNQAKKDCAHVRCRTCCKSRGFQCPTHVRSTWVPAAKRRERQQQLGTVQPQTHLPRGDSGVPKRLRENLPATSSSLVCTRVPTHHNASGLEVGDFPAEVSSPAVFRCVRVSSVEDGEEEFAYQTAVSIGGHVFKGILYDNGPGSIGGGGYNVGESSSGGGGAQQMNLITAGSVTVATASSSTPNAGAIGGSSAAYTDPAALYPTPINTFMAGTQFFPNPRS >A09p013910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7200460:7201158:-1 gene:A09p013910.1_BraROA transcript:A09p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVDLPVHNHPLLPLTRFSHGRCKGCWSHGYIYGGYRCNELGCDTLFYKECAESLPDINHSSHPDHPLKLVRKFQSSICSLCQVWFDTGYFCSICDFKLDLGCAWRPSPPLTLENTDTHEHQLVLSNGVGSELSQSTRNCKVCSSEVLEFKQYYECHQCELFFHVKCTKISLEEYHTSHPEHPLKFLTGDEAPGYADKKCLLCGMEFNQELHHCDVCNQHLQRLCGKLITT >A02p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14460933:14461657:-1 gene:A02p028670.1_BraROA transcript:A02p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKNVVHAQEVPKVTTEESSAEVTDRGLFDFLGKKKEETKPEETIDSQFEHKVHISEPVVPEVKHEEEEKKHSLLEKLHRSDSSSSSSSEEEGEDGEKRKKKKDKKKTATTAEGEVKTEEEKKGFMDKLKEKLPGHGKKPEDASPAAPVVAPPVEEAHPAEKKGILEKIKEKLPGYHPKTVDEVKKEKETD >A09g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13575025:13582600:-1 gene:A09g504410.1_BraROA transcript:A09g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSSHVFIDGFSRSSTICISDCRRQDRNFWMTIHSSGSKSIIATSHTIFFDDMVARILNQMLIGLQLLFIDEKQDDYEVVRKVGRGKYSEVLEGINMNSNEKCVIKILKPSFLYLQLSFFSCEPLTTPLQMAASDGISAELAAQHTTFNDLGLGRPSQLLRISHMSRQWERFKSKNLCQLGDHCTHLSKSTDQIHEADETP >A07p010630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6687924:6690195:-1 gene:A07p010630.1_BraROA transcript:A07p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFKPAQHSVRGLGLTPDILACRSTKEPRKDNIGSCNDYMLVESIGERRARARARANMAAPNPANMAAAPNPPLDLPDYVRFSPKSPEVILLLKRFIRKEQMPHCAVKVLADSDLQVTVSTNAWTAAAYPYFEKDEYWFFLHRKQQANDKKNVDRRLGDLGTWKARGRSTNIYIGAQLVGITFFQQVRENLCSTNVWLPHEQSSPPASADPTAHSQVHPHEPVPATSAPHSQVSALPHQQHFLLLPSSPPAPSDPAAAHSQVHSHEHVPAHHALQKRR >A05g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11826408:11828236:1 gene:A05g504280.1_BraROA transcript:A05g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDHIPKQFRGDNLKDGVMQNFKNVPQYFYGLNPAQMDMFMTEDSPVRSQAEKVTEESISSRRNYLDNGGTWSMSGMNVADPRRYSMSVQMYRGGGGGGGGSARPRTAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMWLDYDNPAKPIYLYINSPGTQNEKMETVGSETEAYAIADTISVSHLTKTILLQITNCGMAFGQAAMLLSLGKKGYRAVQPHSSTKLYLPKVNRSSGAAIDMWIKAKELDANTEYYIELLTKGTGKSKEQINEDIKRPKYLQAQAAIDYGIADKIADSQDSSFEKPDYDGSLAMGRPGGGNPTASAGLR >A07g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21770771:21777223:-1 gene:A07g507840.1_BraROA transcript:A07g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWVVGLKGQSLGSLLQYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVALRLLSARFLFYLRAFWSFHYARFYISKTYVLNIFCSHQRQIIFYLLGSIEKYTKTLLRKESWRLVSNHFVNSWSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLGTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLMERRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRAYYLLDFYFT >A01p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4613663:4635389:-1 gene:A01p009570.1_BraROA transcript:A01p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETKEKRQKGLRVEGRRHCECRKRHSPHRDTHFRRERWRAYYLCKAQTSESTFRQAKREKETERNQGEDDIVELLWKSGQVVESSQTQRPPVPPPILRGSGSGGGGGEESAPLPPPPPLHSHQQQPSDDQNLFIGEDEMASWLLHHPLREEDQFHSHLFYSGVVSAVPSTQPQASVSLTPPQPPAANTVYTPTATERPMGQAIAARRAESVFNFWRLRENIHNTRGRVEAAAAPWVPVVKDSTQVGSSATPSSSVATATSGVLQAFAVPSLCQRGVETVCEVAGPSSSVVSKTETELLGIETEAGDDERKRKEREEPADDTEETGEEARGSTSRKRSRAAEMHNISQRRRREKINEKMKALQELIPRCNKTDKASMLEDAIEYMMSMGSGGMMPMMYTPNMQRFMPSSAMGMMGVNRPPFVPFPGMALPRPAHMEGLGPPYPPPRYPFPNVQAFDPSRVHLPTPQPDSNQPQFPGYVNPYSQFVGLQHLQQPPPPPQLQNQSSSQLSFSQASSSKEPEDKNQGMGESGGGERPRGVPSTLGSRLREVGIQRGASTSWGEDDIVELLWKSGHLVRSSQAQRPSLPPPPPVLRGSGSGSGGGGGEESAPLPPPPPPLYTLQQPSDQNLFIRLVTTPFTQPQGSVSLTQLLPLQPPPAAAAGSAIYTPTPAERPMGQVIAERRAENFFNFSRLRGDLHTSGGVEVAAAPWVPVVVRDLTQVGSSATPLSSATTESCLTPAMVTGGVAQTFAVPRAVEMVCEITGPSSFVVSKVETKQVQMQQATETEAAEERKRKEREEPVDDTERRREKINEKMKALQELIPRCNKMMSTGMPMMYTPNMQRFMPSSAMGMMGVNRPPFIPFPRMALPRPAHMEGLGPPYPPPRYPFPIIQGFDPSRVQQPDPVQNQPQFPGCLNPYSQFVGLQQMQQPPPPHLQNQSSSQLSFSQASSSKEPEDQDNKPKERDKRPTCKVMRSCKYRKLGSTHKDAHFSRAEKIGSLLFFLGGLWLNQKRISYYKKKIKLPFLVRGEDDIVELLWKSGHLVRSSQAQRPSPPPVLRGSGSGSGGGGGEESAPLPLPLPHSQPSDENILASWLNHHPPPGEDELRGNVHTGGRVEAAAAGAQWVKDSTQVGSSATPTSSAPESCLTPPTFTGGVAQTFAVPSLTRKVVETVCEIAGPSSSSTDTEQVQIQPATETEAADERKRKEREEAVDDTEETGEEARGSTSRKRSRAAEMHNISERRRREKINEKLKALQELIPRCNKTDKASMLEDAIESQASMDFGSLLRLQQIHLRGRMMSTGGMMPMMYAPNMQRFIAPSAMGMNRPPFIPFPGMALPRQVHMVGLGPPYPPQRYPFTNVQGFDPSSVHLPAQQPDPNQPQFPGYMNPYSQFVGLQQMQQPPHPTQLQNQSQVSFSQASSSKEPEDQDNKPIGKRGRGQRHFLRDELMFTILADPFPSRCCRLKKKESAIQANAQS >A06p047220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25103923:25106718:1 gene:A06p047220.1_BraROA transcript:A06p047220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP65 [Source:Projected from Arabidopsis thaliana (AT5G48570) UniProtKB/Swiss-Prot;Acc:Q9FJL3] MADDFDMEIPAEEAEEMDLDLEDDAESDPSLKVGEEKEIGKSGLKKKLVKEGEKWDTPESGDEVEVHYTGTLLDGTKFDSSRQVIKGWDMGIKTMKKGENAVFTIPPELAYGETGSPPTIPPNATLQFDVELLSWRSVKDICGDGGLFKKVIAEGEKWEKPKDLDEVYVKYEARLEDGTVVGRSDGAEFTVKDGHFCPAIAKAVKTMKRGEKVLLTVKPQYGFGETGRAASDCIQAAVPPNATLQMDLELVSWKTVVEVTDDKKVIKKILKEGEGYERPNEGAVVKLKLIGKLQDGTVFFKKGHGEGEEPFEFKTDEEQVIEGLEKAVMGMKKGEVALITISAEYAFGSSESKQELAVIPPDSTVCYEVELVSFIKEKESWDMNTQEKIEAAGKKKEEGNTLFKARKYARASKRYERGVKFIEYDSSFDEEDKKKAKALKIACNLNNAACKLKLKDYKEAAKLATKVLEMDGSNVKAMYRRAQAYMETADLDLAELDIKKALEIDPDNKEVKMEYKKLKEKVKEYNKKDAKFYSNMLAKMLEPHKEARKDAQAMSIDAKA >A08p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8321851:8323490:1 gene:A08p013250.1_BraROA transcript:A08p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLALFSPPNLKVHSMFEPQGKIYSLKSKKNTTATELDRTALLFLVFPAILLTLRSYVWEGCLPAFPVQLYQAWLLFLYAGLAMRENILRANGSDIRSWYSKSHPFILVFAMWLYHHYCAMAMALVSLTWEIKGQPNCVQKQKGVRLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLLLLCPILFTLQLLRTALTGVVGEWQVLICGILLVVMAIGNFINTVETLMVKSRFKAKMKRSKSRAELD >A09p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43787433:43800770:-1 gene:A09p049650.1_BraROA transcript:A09p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDREANKEDKKKMKKESVSLMGLFSAADRVDYILMFLGTFGTCVHGGTLPLFFVFFGKMLDSLGNLSTDSTAISSRVSKNALYLVYLGFVNLVSAWMGVACWMQTGERQTARLRINYLKSILAKDITFFDTEARDSNFIFHISSDTILVQDAIGDKTGHVLRYLCQFIAGFVIGFLSVWQLTLLTLAVVPLIAIAGGGYAVIMSTISKKSEAAYADAGKVAEEVSIASYVRLHLHVKNVFTNKVLSQVRTVYAYVGEKKAVNSYSKSLKKALKLGKRSGLAKGLGVGLTYGLLFCAWALLFWYASLLVRHGKTNGAKAFTTILNVIYSGFSLGQAAPSLSAISKGRVAAANIFRMIGNNTLQGSEKLDNGTTLQNVSGNIEFHQVSFAYPSRPNMVFENLSFTINSGKTFAFVGPSGSGKSTIISLVQRFYEPKSGEILLDGNDIKSLKLKWLRKQMGLVSQEPALFATTIASNILLGKEDAHMDQIIEAAKAANADNFIKSLPDGYSTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSTLDAESEKIVQQALDNIMEKRTTIVIAHRLSTIRNVDKILVLRNGQVIETGSHAELISRGGDYANLVNCQEPDPQSVMLESCKSLAGSLSSRRVASSRRTSSFRDDQEKTNEKDSNQEILSSSSMVWELIKLNVPEWSYALLGSIGAVLAGAQPALFSTGIAYVLNLFYSPFPSVIKRDVEKVAIVFVGVGVVTPLIYLLQHYFYTLMGERLTSRVRLSLFSAVLSNEVGWFDMEDNNTGSLTSILAADATLVRSALADRLSTIVQNLSLTFTALAVAFFYSWRVAAVVTACFPLLIAASLTEQLFLKGFGGDYTRAYSKATSVAREAIENIRTVASFGAEKTISEQFACELRKPTKNAFLRGHISGFGYGLSQCLAFCSYALGLWYISVLIKREETNFADSIKSFMVLLVTAYSVAETLALTPDIVKGTQALRSVFRVLHRETEIHPDKPNSILVTQIKGNIEFRNVGFAYPARLDIPIFQNLNLKVSAGKSLAVVGPSGSGKSTVIGLIMRFYDVNYGNLCIDGQDIKTLNLRSLRKKLALVQQEPALFSTTIYENIKYGNENASEAEIIEAAKAANAHEFISSMEEGYRTHVGEKGVQLSGGQKQRVAIARAVLKDPSVLLLDEATSALDTTSEKLVQEALDKLMKGRTTVLVAHRLSTIRKADTIAVLHKGRVVEKGSHRELVSKSDGFYKKLTSLQEVV >A03p043960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18388042:18390202:-1 gene:A03p043960.1_BraROA transcript:A03p043960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIEKHTLLSSFYLYLFFLTQISQQPLLIAMAELRSGVLFKLLEEMGVGKARRDVDHRPVLLQIRSIIPVLAAGGLWPNKGFFLRISDSTHSMYASLPREENDLVLYDKLQIGQLIFVEKLEFAYPVPMIKGIRPTPGRRACTGEPIDLIPKERIEKFCASLSDTEESYDQQVKRPRRTRWSSSSISEVNVSDFGLLKNLSSVMEERDDTESMVSNCSSSLSSAARRRSWMGLGDASKRRESLDPSMVKSHVHDMRLHEKSSSRTRRRDSVVSPSPKWAKSLSYGSGSNKSKNLLLPPRSNTLESSDSISRKRSWTETEILWNSLPPRVANLGKEILRQRDKAIRTASQALLEASAAERLLKCLRSYSELSDKRNQHHHNQQPPIGEFLSFQDELSKSRLIIQSLSTEETKHCNSRTGEERREKATQWIKSALATDLKPVSLPASKPTQSPARKSFTLIAQETNNREDNTRERDSGSGERKERLSRAASELRNLIKEEGRRWYLSRVEKYLDEISNVTKWREMSSQQVGETMYQIKRVSDWLDAMVKGEEEEQEEEEVMMMSESEREACGRVRNKIYRILLRHVEKTSLLSHQRNTNLMSHQQYY >A02g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17505195:17505688:-1 gene:A02g506100.1_BraROA transcript:A02g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWSRKNTEIDHQYVEMQSIISTNFYKGSMIFTNHNELESTPPGSLPPTSTSFLHLILFLAVLRKSYVPLSQALKSSKQELRLD >A05p046940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27791107:27792773:1 gene:A05p046940.1_BraROA transcript:A05p046940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETILRMIAPCWKRPSVKGEHSTRGDANGRCDGLLWYKDSGNHVAGDFSMSVIQANNLLEDHSKLESGPVSMFDSGPQATFVGVYDGHGGPEAARFVNKHLFDNIRKFTSENHGMSASVITKAFLATEEEFLSLVRRQWQTKPQIASVGACCLVGIICCGSLYIANAGDSRVVLGRLEKAFKAVKAVQLSSEHNASLESVREELRLLHPDDPQIVVLKHKVWRVKGIIQVSRSIGDAYLKRSEFNREPLLAKFRVPEAFQTPILRAEPAISVHKIHPEDQFLIFASDGLWEHLSNQEAVDIVNTNPRNGIARKLIKTALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSHLVSKSVSRRPLISISGGGDLAGGPSTT >A02g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11633736:11634141:1 gene:A02g503470.1_BraROA transcript:A02g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPFSVLPISFQLRRRNLYASPLLYQRLMSPFSFVFSCLRHSYVVNLYPSIHRASLLPLELLHLELRTPFL >A02p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6689144:6690517:-1 gene:A02p015030.1_BraROA transcript:A02p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A14 [Source:Projected from Arabidopsis thaliana (AT5G56320) TAIR;Acc:AT5G56320] MEFFWKMMSLMSLMMMVMCKSVDGYSSGWVNARATFYGGADASGTMGGACGYGNLYSQGYNTNTAALSTALFNGGQSCGACFQIKCVNDPKWCRRGTITVTGTNFCPPNFAQANDAGGWCNPPQHHFDLAQPIFLRIAQYKAGVVPVQYRRVACRRRGGMRFTINGHSYFNLVLITNVAGAGDVVSVSVKGTRTGWQSMSRNWGQNWQSNANLDGQALSFKVTTSDGRTVVSNNATPRNWSFGQTYTGKQFRG >A03p052670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19975089:19975687:1 gene:A03p052670.1_BraROA transcript:A03p052670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKGRNPLRKESTRPTTWTSARRCKTRVKERTDRLPPAIGGRLDGTPPSSKVLPLKNSSPWNHLHGCSSQQITYNRSHHRRSSSRLRKERRLGKEERETSINKTGRTPVLSCRRHREKRDAESKAGQLRRGNHKPPETKAGCTRRTDRVCQRQNRREKPATKQSYLDIFFVKNHSERTKKREKLLTQILYK >A10g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:118207:125184:1 gene:A10g500040.1_BraROA transcript:A10g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFVWVHRRCSEELDHQEDQDIPTEVHRPNRGRAVYRIDPRMDGKELRLDPRPISRTDHTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLEHTDRIDELIDPFDQFMHFDHPNLSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPATVLLLAAVQPAEGSFWIKFLSLNSLFSLELVFDCLGESYPSNSLLNYAIEVGNIKGTSATLVQPNVPSVLLSGVHIQTNPVEGNPILGSFKWYQSHSSGTMSSHEEQNRPENSVAGLSNLQMRALNDSFSNLINTGLEQIHQRLDELQVHRPNQGRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPATVLLLAAVQPAEGSFWIKFLSLNSLFSLELVFDCLVGLVSHIKQPVRDLPWRTCESYQAPLKSGISREHPQPLCDPTFHQFSFPEFISKRIQSRAIRS >A02p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1495082:1496395:-1 gene:A02p004060.1_BraROA transcript:A02p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKWYLDAVLVPCALLVMFSYHIYLWYKVRTEPFSTIVGTNSRARRSWVAAIMKDNEKKNILAVQTLRNTIMGATLMATTCILLCAGLAAVLSSTYSIKKPLNDAVFGSHGDFTVALKYVTILTIFLFAFFSHSLSIRFINQVNILINSPQDPSSDDFGSFVTTPEYVSELLEKAFLLNTVGNRLFYMGLPLMLWIFGPVLVFLSSALMVPVLYNLDFVFSSGNKEKCKVDCHGDSDDHCSP >A03p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4559874:4561047:-1 gene:A03p011320.1_BraROA transcript:A03p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSNALLGWPYYSHGKTKEELRHSLLCTTLDLEQTKMFAHEEIRKRDEQLIQLKDILTKTIKERDEALQKCERLMSDNLTRPQHQQQNHMTPPLSGASSIEDETLHPQQLTSNKSFTSSDCEESFMSPNDHVMNPHSSQLEEVSGNEIMDPLFPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWQHPPPLLKTFEIPLVTIQCPNVSNGCGKFNRKRVLFSDGSYSKTKYQKVLLH >A06p024420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15481510:15485596:-1 gene:A06p024420.1_BraROA transcript:A06p024420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYGEKTCPLCAEEMDLTDQQLNPCKCGYQICVWCWHHIIDMAEKDQSEGRCPACRTPYDKEKIVGMTVDPERLNSEGNMDRKKTQKSKLKPSEGRKQLANVRVVQRNLVYIVGLPIELADEDILQRKDYFGQYGKVLKVSMSRTATGLIQQFPNNTCSVYITYAKEEEAVRCIQSVHGFILDGKGLKGCFGTTKYCHAWLRNVACNNPDCLYLHEVGSQEDSFTKDEIIPAHTRVQQITGATNTLQNRSGSVLPPPLDAYCSDSSTAKPIEKVPSTTVTAAPSGSSVRSTALPAAASWGTRITNQQSLAISAASNGSLDNHRSTSENGTLAKPTAKAAHSHVSTSNTLQKPPHKEESRTLAQKSKPGMSKPSQNNIVVDPGSKRTVSPNRDPSSNQVSCIVESSYDSRVVEEPSAVENSFDSTNEIAEDVPNGSNLAAEVAWMGITTNAKDEDPGVPVASGAYCDQGSIRQPGNNVPDLEQCRNNPPTNTGAEADVSQNRIPGSSGEWDWRSDLQSQLQVNSALEVDGLSSINSSRRDVQAVVSHSTYRCTPSSSILDSVHTASRPFQTRETSDGFDSHTGSSFEIGSDRVHHPNGFSETSRSGSSMQHSLFADTEGRNNIQSAENDIISNILDFDPWDESLTMPPNFAKLLGQSDHWGSSLEQSNLLKQRNDQSRFSFARHEESNNQAYDNNNNNNNNNNNTYSIYEQPIQEFGVNRDIYHDKFGSQNGFASNYSGGYEQFAASPGFSSYKSPAVRSQVSAPPGFPAPSKLPPPGFPSHERANMSSDFVSGTRLHDSSSSVLRNSYRVPPPSSNLNTAGDIEFIDPAILAVGRGRIQNGMETGAEFDMRSGFYSQLNSFEKEASLHQLLAQRSQAAQQQQQQQVNVNNFTPSVSDHYFLLNKQWP >A07p046970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25286888:25289127:-1 gene:A07p046970.1_BraROA transcript:A07p046970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74030) UniProtKB/Swiss-Prot;Acc:Q9C9C4] MALTTKPHQLQRSFLSSNHRVSPQRYSESAPSCLSFRRTGVQCSVVSKECRVKGLKARQIIDSRGNPTVEVDLVTDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLQAIKNINELVAPKLIGVDVRNQADVDALMLELDGTPNKAKLGANAILGVSLSVCRAGAGAKGVPLYKHIQELSGTKELVMPVPAFNVINGGSHAGNSLAMQEFMILPVGATSFSEAFQMGSEVYHTLKGIIKSKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFFTKDGRYDLNFKKQPNDGAHVLSAESLADLYREFIKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPTRIAEAIKKKSCNALLLKVNQNWCSLPK >A10p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14394777:14395992:-1 gene:A10p021390.1_BraROA transcript:A10p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGEGKGEFINQNNSDYFLDSMSMLSSLPPCWDSSLPPPHPPPPQSLLHALTESGGPSQDGLQAQGTVSTTSAPVARQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSVSRLGGARAAGGPGFNGLTSEVGGRLNPLSGPSNGLNGKGNGTGSSNESLRSTEQRVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSTSNHSRGALFNPISNVVAAEEANVAVASASAAPAPEASTSMDDDVSASKA >A03p025510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10734827:10750542:1 gene:A03p025510.1_BraROA transcript:A03p025510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVSFSLALLMTVVVILTPSAVSGENGFSDLKIHTHLKRLNKPALKSIKSPDGDIIDCVPVTDQPALSHPLLINHTVQMTPSFNPESVFGESKVSSNTKNQQSSAISQLWHVNGKCPENTVPIRRTTKQDLYRASSVEKFGMKNQKSIPKRKSYEPASVLTQNGHQHAIMYVEDGVFYGAKAKINVWKPNVEMPNEFSLAQIWVLGGNFNSDLNSIEAGWQVSPQLYGDSRTRLFTYWTSDAYQGTGCYNLLCSGFVQINREIAMGGSISPLSSFGDSQYDITILIWKDPKEGHWWLQFGEKYIIGYWPASLFSYLSESASMIEWGGEVVNSQSEEGQHTTTQMGSGRFAEEGWGKASYFKNIQVVDGSNELRSPENLQVFTDQENCYNVKSGSGGSWGSHFYYGESNFKYTEKEAKAYNQVWYKTGECPNNIVLIRRKKKDILRPNPWRGTISILVMGMVGYTVALVVITVIASPCVYGKQLSDQQEIKVQRLLKRLNKPAHKSIKMRPSFVPEGGSTFTKKEAKAITQVWHKNGVCPDNTVPIRRTKKEDILRSKSIESFGKKRHKSFGKGTHQSNPGEGHEYAIMNSRKGNYYGTKFVINMWRPEVEVPNEFSLAQTWLASGDGYDTNTIEAGLQVCPVLYGDNNLRLFVYWTSDYYQSTGCYNTGCSGFVQTSKVITPGGSFAQSSGNWWLMIGEEYVGYWPGNIFTSLGDRATTVQWGGEIVNQRTDGRHTNTDMGSGHFADEWYKKASYFRKLETVDGANTLREPQGLYPYASNGNCYNIKAGGGGFAKGTIDLGGLEVSQVPTFNKVWSTYEGGPDNLGVTFFEPSSVPTGFSILGYYAQPNNRQLFGWVLVAKDLSSNNTLKPPVDYTLVGNTESLVTKQDGPGYFWEPVAPDGYTNSSQKPPLDKVRCVRSDLTEQCEADTSIWDQTESKYQPLDQPLEEHKLQGSA >A05p052190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30264630:30266022:-1 gene:A05p052190.1_BraROA transcript:A05p052190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSDKRTTVDPLLKDLDEKKESFRRNVVSLASELKQVRGRLVSQEQSFLKETQTRKEAEKRAQNMEMEMCKLHKRLEERNSQLHASASAAEKFIKDLEEFRSQLDATNQTAEASADSAESTKIQCSVLKQQLDDKTRSLREHEHRVTRLGHQLDDLQRGLSLRECSEIQLREELRRIEREVTEAITKAGIGSNDCVLQKFLEDVSPVNFERMNRLVEVNDVEVKKLKDEIRLMSGHWKHQTKELESQLEKQRRTDQDLKKKILKLEFCLQETRSQTRKLQRKEERRDMEIKEIRDLMSGRQQGSDKESWEKQKFWDNSGFKIVVSMSMLMLVVVSKR >A10p001850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:947911:955225:-1 gene:A10p001850.1_BraROA transcript:A10p001850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFVNGNTKRYSWWWDSHISPKNSKWLQENLTDMDSKVKQMIKVIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVIRHAQQTMAEAFPNQDPMMFGEESLIGPSTEEFDPQTPESYPPIRAPVYPSDLKKGALGISSSHMTTVKRNIAFMEDPQGLKIGKARRGLNFNDADGNGRNAKVLSESERASKAEAEIVALKDALAKVQAEKKANLAQFDQNLERLTNMESEVSRAQEDSRCFNERATRAEAEVETLRETLSKLEVEKESSLLQYQQCLENIADLEERISLAQKEANERASQAEGEIIALKESLVGSETEKEAALVQYQQCLETISNLEERLRKAEEDARLINQRAENADGEVESLKEKVSKLTQENEAYELQYQQCLETIADLKLKLFHAQEETQRLSREIEDGVAKLKFAEEKCVVLERSNQNLHSELDGLLEKLENQSHELTEKQKEMGKLWTTVQEEHLRFVEAETAFQTLQQLHSQSQEELNTLALELQNRSEILKDMEARNSGLLEEVQEAKEESKSLNELNLASAASIISLQEEVSRLRETIQKLEAEVELRVDQRNALQQEIYCLKEELSQMGKKHQFMVEGFGSSIKELQEENSKLKETKEREGIEKTALLEKLELMKELVEKNLLLEKSISDLNSELETIRGKLKTLEEAFAEEKSGLHSEKNMLVSRLQIATEDSKKLSEENRLLENSLFDANAELEELKSKLKSLEDSCHLLNDDKSSLVNERESLLSRMDVMRKSIEDLEKEQAELNVKVLELATERESCLQKIGELGVSLDTKDRDYASFVQLSESQMNGMKSKIQRQGREYQEELDRAHDAHVEIIVLQNCLQDWLEKSSSLVAENQNLKQASHLLEKLVSELEQENIGKQVQIDSSVDCIKVLRTGIHQVLMKLEVIPGDENSQDQKNIHEILSRLDEMQTMLLKIQRENHQGTIENLVLVEFIRQLKSEAVGLATGKKVLEKENESQRSQLLLLQDEIQKLICMNGELNTKVNQGLDREEVLKVEVEDVHRESAIENLVLVEFLKQLKSEASGITTEKKALEEELEAKRSQLLLLLDEAQKLGYMIRELTRKVNQGVSREEGLKVEVDDLHMQSAIENLILVEFLQQLKSEGVGIATEKNALEEELESHRDQLSFSRNESKNLSHMNGELTTKVNQGINREEVLKVKIEDLHRQSAIENLVLVEFLHQLKSEAVGITSEKKNVEEELESKSYQLSFLRDEAKNLNHMIRELTTQVNQGVDREEVLKMENEDLHTHSSIENLVLVEFLKQLKSEAVGIATELESQRYQLLFSQDDTKKLSHMIEELTTKVNQGADREEVLKVEVDDLHRQSAIENLVLVEFLQNLKSEAAGIATEKSALEEEFESHRDQLSLLRNETKELIYLNGELTTKVNQAVNREEVLKVEVDHLHMQSAIENLVLVEFLQQLKSEAVGLATERNTLAEELESQRYQLSLSRDEAKKLIHMIGELTTKVNQGVNREEVLKVENEDLHMQSAIKNLVLVESLCQLKSEAVGIATEKKLLEEELESQRSKLSLSRDETQKFIYMNGELTTKVKQGVNREEALKVKIEDVHSQVLQLRNDYATLQGENHKTLDEKTYLTKSTLRLEEEKRKLEEDISFLLSETIYQSNLIILLEGVVLEKLSEAVKLNEDLDRLEEEVREVGDKLTSRETENLQLGSLLEKTDAELLSARSANDQLEHEIADVKDELVQKEKELLEAMLMISIVQSEKSELSKAVEDLECRYKETKAIEEDKDKQVLKLQGDYEEQVKKTGHANEANMKLEADLLNLLMELEGIKAEKAKLNRELSKEKSEVEVWESQASTLFGDLQILAVSETLLQGLTYELAEAYNNLEIRSTSKDVEIYQLNQELSKEKKEVELWESQASTLFGDLQISVVQYTLLEGLIHELAEAYNNLENRCTLKDVEIDQLRGRVNNLEDANKGQEDLMSKYFQAIILLKESIESLEKHIDMPHELEDEPAKDTASMVDNKEGFLELEEMCLRIKAIEEALTKKLAVEELKTSARRSRRRSGSLRKQNHESEMITKDIVLDQVSDCSSYGISKRDILKIEDDHVFEAQTGKSLSEESLVVDKLEISDRFTDPNKEVNKRKVLERLHSDLQKLSNLHIAVEDLKSKVEREETSEKGKEDEYETVKGQIHEAEEALEKLLSVNRKLVTKVISGFEISDGSKSSVDLDEDERSRRRRISEQARRGSEKIGRLQFEIQRLQFLLLKLEGEREDRAKAKTSDSKTRTLLKDYIYGGVRGERRKRIKKRFAFCGCVQQPPPSP >A07p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6527246:6528891:-1 gene:A07p010400.1_BraROA transcript:A07p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHVLKCPISLRSYSSSLVGFFSKSHSPLLSERWVRAGDAASSIRCLASAIRGKNKKQRLDEACLERYQEYSRALIQSWILQGKVLVDGKRASKAGMPVANGVSIKITAEVPKYVCRGGLKLEAAIEKLDVDVSEKVVLDSGLSTGGFTDCLLRYGAAHVYGVDVGYGQVADKIRNDKRVTVIERTNLRYLPGLPQKVDVVTLDLSFISILKVMPAVMNVMNEDATLVTLVKPQFEARRSQVGRGGIVRDPEVHQEVLEKVINGIERYGFTNKGFIESPIKGADGNIEFLVRFDRGTVKGEEEEY >A04p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1185923:1193332:1 gene:A04p002430.1_BraROA transcript:A04p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIMLKSSDGESFEVEEEVARQSQTLANLIEDNCADGEIPITNVTSKILGMVVEYCKKHVVVVDGGDDSSSSSTSEEDLKKWDADFMPIDQSTMFDLILAANYLNVASLLDLATQTVADMIAACKDAAEIRAKFGIENDFTPEEEEEIMVADMISACKDPNEIRVKFEIKNDFTPEEEEKDRRKNQWTFHFYPILSEESITMANENPEVVVAPVRENGGADSSSSSKGKEEQLESEFSKKLEITEDANDENEEDVAEEEEGSKGETSTKKKKKKKKSKSKKKPQQTDPPTIPLIKLFPSGEFPEGELQQYKDDNLWRSTSEEKRELERLEMPIYNSVRQAAEVHRQVRKYVRSIVKPGMLMTDICETLEDTVRKLISENGLKAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMYDPLLAASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKIFPVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDAGHVPLRLPRAKQLLATINNNFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQYEHTILLRPTCKEVVSKGDDY >A09p047290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41053369:41055365:1 gene:A09p047290.1_BraROA transcript:A09p047290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIHGAGAGAATALTTFHSKKLVARSRTNLPGRSKRCIVAAGSDDGSKSFGSSSSLSLRHSHKLIPNNAVATKADTSATTGHELLLFEALQEGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLADKFGDLRVLDTPICENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYICNLEEAEMVRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDYLDAPVMCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >A06g500320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1367298:1367645:-1 gene:A06g500320.1_BraROA transcript:A06g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A03p041930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17548376:17550391:1 gene:A03p041930.1_BraROA transcript:A03p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYITFRSILVKRSVSTLPHLSQRFKQTESEIVQMFSLPNPEYSENPQEKWKLSRKDPSVRMLDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVRSVLEIDVEINVKTQFFKWAGKRRNFQHDSSTYMALIRCLEEARLYGEMYRTIQELVRNTYVNVSPGVLSELVKALGRAKMVSKALSVFYQAKGRKCKPNSSTYNSVIMMLMQEGQHERVHEVYSEMCNEGDCFPDTVTYSAVISSYDKLGRNDSAIRLFDEMRENNLEPTEKIYTTLLGVYFKVGGVDKALDLFEEMRREGCLPSVYTYTELVKGLGKAGRVEEAYGLYKNMVRDGLSPDVVFLNNLMNVLGKVGRVEELLSVFNEMGKWRCTPSVVSYNTVIKALFESKAPVAEVSSWFDKMKAEGVSPSEFTYSIMIDGYCKTNRVEKALLLLEEMDEIGFPPCPAAYCSLINALGKAKRYEAANELFKELKENFGNVSSRVYAVMIKHFGKCGKLSEAVDLFNEMKNQGSGPDVYAYNALMSGMVKAGMINEAHSLLRKMEENGCIADVNSHNIMLNGFARAGAAKRATEMFEAMKRSGVVKPDGVTYNTLLGCFAHAGMFEEAASMMREMKDKGFVYDAITYSSILDAVGNVDHVKDVL >A10p013840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4510226:4516058:-1 gene:A10p013840.1_BraROA transcript:A10p013840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDPEAFSSVMDGPRSGLLSLAGDKKCHCLQTLRCLVSFSLLSPCFLQIIDSSSRFVNQSYRKSLTAPIDRKTLLLKSSLSLKQTLRLNKSLSPNSKLLEFSLLLPPPSSYSSSRNSIVAYHPPVSSATNSHGEISGGPICLLTALVAPVGFVRTDPIGSSWRRGRTPVVTPHILSSIVFYRVIPEILFLCRGRSRDIKALHMPLKTLLVLHYLLSVLSDGFLMLLHEYRGRDA >A05p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27267610:27270328:1 gene:A05p045630.1_BraROA transcript:A05p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSSLLLLSFFLFVIIIFIFNKINGLRTSSVSKKKLTDHVSTHSYGPRFPQGSLGWPILGETIEFVSSAYSDYPESFMDKRQLMYGRVFKSHIFGTATIVSTDAEVNKAVLQSDSTAFVPFYPKTVRELMGKSSILLINGSLHRRFHGLVGSFLKSPPLKAQIVRDMHKFLSESMDLWSEDQPVLLQDVSKNVAFKVLAKALISVEKGEELEELKEEFEQFIRGLMSLPINLPGTQLHRSLQAKKKMVKQVEKIIGDKVRRAKNKEEDGAVAKDVVDVLLKDSSEKLTHNLIANNMIDMMIPGHDSVPVLITLAVKFLSDSPTALHFLTEENMELKSLKELTGEQLYWNDYLSLPFTQKVITETLRMGNVIIGVMRKAMKDVEIKGYVIPKGWCFLAYLRSVHLDKLHYDSPYKFNPWRWQERDMNTSSFSPFGGGQRLCPGLDLARLEASIFLHHLVTRFRWVAEEDTIINFPTVHMKNKLPIWIKRR >A04g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5283048:5286865:1 gene:A04g502180.1_BraROA transcript:A04g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYNDDRYGGARLYVGHLSSRTREPDLEHLFSKYGRFQPIIIDNVHLCCYGCSNSVSSSTFDDLCLGLTSQVVVGQIHRFWDSRNIKKNVEFIGVKPRLMESKIETLNLTLIEERLKLSCSFYLRILSRKRAVTTTEQVNRLVSPDRGRSKNAALPSTSQETCGRLDVEGMDHLGNSLQVVIDTLLLITAKGQAGKYTAVYQKLAQKHPSFRDNAHLIVVISLKPWLPFIPDTVISGILIPLPAFGVLSVMFVGDSLKILRQEVEEHAKVLGFVGAPWKLSGQLTPAMWEPWSKTYIKEKRCSVTPIVFYIKWKHGSSSADVIGLDWTVDMADGKRRLGSSVRDLRVHGNVDPSNLLSLIPAFTEEIVMNIAGPTGHILKPRTRCLGRDVRGSPGSFL >A03p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6487005:6494983:1 gene:A03p016290.1_BraROA transcript:A03p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORTAGE IN CHIASMATA 1 [Source:Projected from Arabidopsis thaliana (AT5G52290) UniProtKB/Swiss-Prot;Acc:F4KG50] MRGRFLNIDYFSTPPSQVYEALDFLNLPAPDDFPAPLFRDRSEEFLRFEPVEGFSLPIDNLPIGDALSKFLSDVVPDRVSVDYGAFEMDYSSIGDELRFILGRGDPQFLEKSEGDAIEEKVTLDFNIVQLETPEMDLEMETKLSSKIEDLQCLSKVLEIENEPVKFEESDVILQNSSDIKQKIYSVDCISSDYFTENSTSVKEDECFRKNRPWFRDAVFPLLEVDEVNLNELSSLSMLDKVFAVLERIEPRDMDTESSLVINSKELIGSKDYDILDILSTDCYSNKSVQSDVVPQDIDIVTILEIPNPEESFQFEQGKLAVDVVSVNFEEVQILDVEISDVFGSFCCLQQPIEPEICPGMFSQEMNFKSFDELVVSSELAFADDAFKSMPTPILHDYEITRSLELIYENYLSKIKPQAFPASNDIYLPLNLLEETKHNHEAHFCDYLSEAIVTCNIDYDLESLQGDRWVYDFVLSADAFCQPLVERCTEPFYGISTLDEHPPVNTSHGLLERPCPETGSGDCGRDDYAKKAALVFKSMSAFDDLTFFLDPQKAVIEENLESRVEAAKTANHKITSTDSKASYISVGMHPSSKTEDMKVHSVRPSENILALVRDFEKSYLTLVKDEQELISTLSEDKLKLLSISKGKLIDCIRKANVHKTPSADDKTFTFALLLAIKQMTWYLCFFGIRVAYLYLNKLCRSSNPMKLGLHTLYSSFESEHMSTERDITRSHPSLAVIQGILQSKVVRGNSKALLLAEKVFWSSLKRLLVSMGLSYNELNSPSPSGNQLNLNEATEPCSLPTTDCLLVAYERISPSFPVENFCVIVEYGGPNASPRVSSPLKLDSFPCFHFIKVELDMSSASGQLSAGVTVPYSLKMVKGDEFEMKTGWLEEVLNFVPLESLCSAESSESTKESKFDSVPQESGRKFGINEQGVLSDQRAVIVVNTKTVDKEMIISRRSTYQKVLAMEKEGVQVVERDSDLPVDLMLSPAICLVWYDCGKVCKKSGATIGASSSSLSWIGDIATNVLTSLSFGFSTCVMVFEGVPTCLATVMDSSDELYAAAGSLGINLQIFYSSSADLSDEIILRCIKSSVKSSQVHAKMPESESLAESFLTKFPSVNPLTAHVILSSSGSLLEFMKLPHSSKVQMLQKYHVPEESVELFSSLCRYGAREDSKSVMTDSASSVSSGADSDTHHLNVHSGSKRKQYITGKDETDMEDSIHCVPSTEFAGTKRKPSGDFQLDDPWSSRDHEMFHFDPVTEFPDAPLKPSGIIHPNDSWPSKDPERFDKKSGPGSSSKDTFWENDFGAEDNLPGFESWSFPVTDESMSQNRGRLFPVMGKANLHDTRNSENFMGDYKGEVINMENSKFRDEDFPPSPGYNRFSPVVSDVVEDQRKSKSARKLSFSEPIQPIFPTSAEINFSSGRLSTTPLRGYVDNFPAKRQRTLLEEVLTRRSAVSTTDHPFQEEISHFGGSPLLNAIRSPDPVRGSPWTVDFLNRVRERSTERKQQLSLLSHLTPSSLETRVDAKKPNIKRKSPSIIEFFKHKGGNARSSRVPEERKQKRFKNSSASPQNERFDSLLRSYTPVDKRAKQSLSFAGSGSGGQTKLLHVMIYFVNNSRNSNGLPLAQDQTYVNQQDNASFLFYRQQAELLLKQISKDPLLHPKMTILLDHHSFHFLVEKNICYIALADSSYPRKLLFHYLQDLRKELGNFGETALIQTTSKPYSFVRFSKIIGRIRKQYMDTRTQANLSKLNASRKQELDVVTEHLNDFMQRRQLSGYCVKMDTRDDHYSRYSCYFQIKLDYDR >A04p005750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3052254:3053192:-1 gene:A04p005750.1_BraROA transcript:A04p005750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 24 [Source:Projected from Arabidopsis thaliana (AT3G55230) UniProtKB/Swiss-Prot;Acc:Q9M3C8] MAKAFSLTILTFLLIASNVYSARLLDEVGPQPQLVPAIPDEEDENPPVATTTPTTTQPPIPIPLPGPTTGGHVPVLEFFMHDVLGGSHPSARVVTGIVAQTEVNGIPFSKSNNNIFPVDNAVPLVNANNINNIINPNTAPLLTGLSGSQANTVIQNTNGNSQGSLSSNNLPFVTAGQLPPAAALQQLMFGTITVVDDELTEGHELGSAIIGRAQGFYIASSLDGTSQTLSLTVLFHGEHDHHDTLDDAISFFGVHRTASHASHIAVVGGTGKFEHAKGYAVVETLHNQEDQHVTDGRDTILHFSIYLTYYKA >A02g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22809014:22809469:1 gene:A02g508410.1_BraROA transcript:A02g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGITTAAFVKIHEISDVKEMEYCKGSNKRNKIKGNMRKRSYLQIKVYKARPRLHSVWFFGMYRSSLYCYSAEW >A02g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26340566:26341761:-1 gene:A02g509850.1_BraROA transcript:A02g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNKLSEVSYNPKITSWRFRVKIHRIYHFYSYVTSNGPFYIYVLADEEGNKMEITIYGNSDRFRGLEKQEGKWVEIFRVEVNRSYPGFQNIHAIPHMDHMDRNYPIDTMAVVFNTEAHFNDPASPRMVFYIRNNIDSQIKCVATGAHAYAFRDGLENMKGRGQVIVVLKMWRVRKFLSYFGLPDLWLETEGGLSDFRFNPRLPEVEEFRQSLLRSDPYVQRYGAIGLL >A06g509750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28631253:28633692:-1 gene:A06g509750.1_BraROA transcript:A06g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKQHIDQIRRTKFSIGGDENPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYPEGIDPCLEFVITSDDITATGAPATLLIFNNEKGFSEKNIESICSVGRSTKKGNRKRGYIGEKGIGFKSVFLITSRPYIFSKGYQIRFNEDPCSFCSLGYIVPEWVDQHPSPADIQRIYGSGSSLPTTTIILPLKSDKVKPVKEQLSSVHPEVLLFLSKIKRLSIREHCQNPNLSTVNSIGIVSETDFMTRKSIDAESYTIHLSACETNSEKQCSYYMWRQKFPVRHENRVERRSEVEDWVITLAFPFGERLGRGDSSPGIYAFLPTEMVTNLPFIIQADFILASSRETILLDDIWNQGILNCVPSAFVNAFTSLVKKTDAPVSSLLPAFNFLPVKQSSYPKLNAVRESIKAKVCAEEIVPSVSHSGQKLFHRPCEVGRLVPAFWDILVKARSEKASLNNISSHGIYVLDSSFDRAENDDVLDFLGLKQVSYEWYAKCIQGCDLVRSVSEDTYVEVLLFLSENWQSMFQGTKMVKVPIIKYVVRKGVTSLSSLSDFSPRTLCFATVKNQEWLLDWSDEFRCMSNFVFMPQTTRTAFIACSKKETVLKWLKEKIKVTNLTFSDTQNVS >A07g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11156548:11157460:1 gene:A07g505180.1_BraROA transcript:A07g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLIGPVCEKNQDLVVADLLCRGSREWNVTRIESLLPQYLPNIMSIKPSVLGAPDSFGWLASKLGNYTAKSGLYGLDETDQPPTAGSDRKSIPVAMLGNLDGAKLPYLREQSLSTCGHYLEINQKRKGMDGSPTKLAPTSTWTEPNEYYTSRNDMRRQTGRVVVGFSQIIVMNGYYRGRQHSITQCPH >A05p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8456565:8461945:-1 gene:A05p018410.1_BraROA transcript:A05p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKFLERDDLETTSMEPLSPMSQMLSSPNLFIVITFGFKTKCNPSAFVEGFKTTLINAPRFSSKMVINYKKNGEPVWIPVSIRVEDHVTVPDLDYPNIENPDQFLEDYTSRIANIPMDMSKPLWELHLLNIKTSNAESVLLAKIHHSVGDGMSLMSLLLACSRKTSDPSALISNTSATKKPVKSMIPAWWLIAGLWFMIRVTFTTLVEFFKLMLTTCFLRDTKNPLMGNPDDGIQSWKVIHRIISFDDVKLVKNTMNMKVNDVLLGMTQAGLSRYLTTKYDGYTMAQKKKILEKIRFRGAVAINLRPATNIEAVEAFGKRIFGNTSLAFSNVKGPDEEISLFGHPISYVAGSALVGSQALNIHFISYVNKIIINLAVDTTTIPDPHQLCDEIVEALKIIKSAVQEKRSHNMEAHRMKTRFTKSVQLNAAWHHELDTRARPDNLDLTRRHELGLIARRLSPAAQPLSLTQHIRLSRLREKR >A06g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21784930:21785797:-1 gene:A06g507820.1_BraROA transcript:A06g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TETTQKSDPYSHLLRSSASFVGAVRRLLVCLVSIRSFFWCAVQVIPTLMWGGTSSIGSCEAELRYISGWLSCVSCLSTSFWLFESSQSRVVCSCVCLVVALCPNPLDISSVLHQGRRLRGVLVEFQVEISMWRQLEKFVWSVVPLKDVITGALGWLFLLLKWLVKLRGVEVVQSLGLKPTGFPDFLVRGCGAFAQLRAVFE >A09p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6827893:6829161:1 gene:A09p013300.1_BraROA transcript:A09p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LREMMGGNPSKKKQNVEYGSPTTPVQIKINSAYTEHLTSYERACTEDPKLESFDSSLHQRTHLVINNLAASSGVEIKSLSFESLREVTQCLLDMNQDVVKVILQDKEDIWSNQDMFSLVNMYFDSTAKTMDFCSELESCLNRARRSQVIIKFAVKQFEEEEYKKTLEELKRFTLAGDPFSKEFFALFDSVHRHQVMMLEELHRLKRRLDKKLKSVKTWRRVSNVVFVTAFVSVLIFSVVAAAVAAPPVVAALAGALAVPVGSVGKWCNSFWDKYEKVVRGQKEIVTSIRIGTYVSVREMDSISVLVRRVEVEIGSLLKNAEFAVGDERVVRLAIDEIKKKLDGFTETIEELGKHASKYCSDVTKARTVILQRIIRYPNGSTTEEAAWTEMLS >SC178g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:192246:192770:-1 gene:SC178g500110.1_BraROA transcript:SC178g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A10g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3982744:3983094:1 gene:A10g501400.1_BraROA transcript:A10g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSVVVISGSEPTSLVALRLEFRFREVEASKVPSLPVLFPGGGSFLSSAFAGFSLRGGFYLCFCGFGLVLMEELSSEDD >A09p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1297352:1301222:1 gene:A09p001750.1_BraROA transcript:A09p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G02280) UniProtKB/TrEMBL;Acc:W8Q6L8] MSNPKLTRILSMRDRVQDTLSAHRNELVALLSRYVDQGKGILQPHNLIDELESIIGDDDARKKSLSDGPFGEILKSAMEAIVIPPFVALAVRPRQGVWEYVRVNVYELSVEQLTVSEYLCFKEELVDGASSSDPFRLELDFEPFNANVPRPSRSSSIGNGVQFLNRHLSSVMFRNKDCLEPLLDFLRVHKYKGHPLMLNDRIQSIYRLQSQLSKAEDHISKLPEETPFPEFEYSIQGMGFEKGWGDTAARVLEMMYLLSDILQAPDPSSLEKFLGMVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALETEMLLRIKRQGLDITPRILIVDYSFSSLPPKVTRLIPDAKGTTCNQRLERVSGTEHTHILRVPFRSDKGILRKWISRFDVWPYLENYAKDAASEIVGELRGVPDFIIGNYSDGNLVASLMAHKMGVTQCTIAHALEKTKYPDSDIYWKDFDNKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHGAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPFTESEKRLTALHGSIEEMLYSPEQTDEHVGTLSDRSKPLLFSMARLDKVKNISGLVEMYGKNTKLRELVNLVVIAGNIDVNKSKDREEISEIEKMHGLIKNYKLEGQFRWITAQTNRARNGELYRYIADTKGAFAQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGVSGFHIDPYHPEQAGNIMAEFFQRCKEDPNHWNKVSDSGLQRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLSDDE >A03p069070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30293025:30293474:-1 gene:A03p069070.1_BraROA transcript:A03p069070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMGGADSQNPIHPLSSIFCNFLISLSEDNRSSSSSSQIKRNPKRKLEDYLDPALLRTISSTICRAETKKMEKKDVFVSSNQKTEFDWPVDRLDPLINNPNHDSGREYDGSRFFSPIIPSLNKRGRCER >A07p004250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2936586:2942058:1 gene:A07p004250.1_BraROA transcript:A07p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35A [Source:Projected from Arabidopsis thaliana (AT2G17790) UniProtKB/Swiss-Prot;Acc:Q7X659] MIADGAGEDEEKWLAAGAAAFKQNAFYMQRAIDSNNLKDALKYSAQMLSELRTSKLSPHKYYDLYMRAFDELRKLEIFFMEETRRGCSVIELYELVQHAGNILPRLYLLCTAGSVYIKTKEAPAKEILKDLVEMCRGIQHPLRGLFLRSYLAQISRDKLPDIGSEYEGDADTVTDAVEFVLLNFTEMNKLWVRMQHQGPARDKEKREKERSELRDLRAFLTYQVGKNLHVLSQLEGVDLDMYRDTVLPRVLEQIVNCRDEIAQYYLMDCIIQVFPDEYHLQTLDVLLGACPQLQPSVDIMTVLSRLMERLSSYAALNTEVLPYFLQVEAFSKLNNAIGKVIEAQEDMPILSAVTLYSSLLKFTLHVHPDRLDYADQVLGSCIKQLSGKGKIHDTRATKELVTLLSAPLEKYNDVVIALKLTNYPLVLDYLDSENKRVMATVIIRSIMKNKTIIATAEKVEALLELIKGLINDLDEPQGLEVDEDDFEEEQNSVARLIHMLYSDDPEEMFKIISVLKNHFLTGGPKRLKFTIPPLVVSALKLIRRLPEEGDNPFGKEASVSATKIFQFLNQIIEALPSAPSPDLAFRLYLQCAEAANKCDEEPIAYEFFTQAYILYEEEISDSKAQVTALQLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWLQDQETIQDGERVLRCLKRALKIANSAQQMTSAARGSTGSVTLFIEILNKYLYFYEKGIPQVTVESVESMIQLIKNEESLTSDPSAESFFASTLRFMEFQKQKGGVVGDKYEQIKV >A02g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22621041:22622895:-1 gene:A02g508230.1_BraROA transcript:A02g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTLVTSERSPASSFAANLAPRTLQLVVESPRVWWNSQKVRGFPLLLSSVYRTESSRADRRLVLLGCTTASSSLLLETSLRSKLTCSTSSTFVSFARDRGFLEFCFSAGDVSLGFWPEGLSRDVPSLSSGVAKSSHFPRTFVVPKGRIARVLAVKVSTCLVKVVNIFLNSSSVAVLAGALAAETSAARVCRLVSLPPLRGVCMLSASSRQTVGTEIHTVDFRLNEESKRTLISQRSRISANTTRQAIRTRNKDEKE >A07g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1227753:1228526:1 gene:A07g500600.1_BraROA transcript:A07g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQYNGLTQFHRENGHISMDNTSNATNRQSNGLQHSNRNSIQHSIPLRSVFKRILGDLKNIPVSSGIQRSSQGNLFVAVEPTKKRKLITGVILDDDDDADFELSDVSSNGTMRDDNDQEFDCSSIESTDSDEENAYVVNPKK >A10p008210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:11233751:11233972:-1 gene:A10p008210.1_BraROA transcript:A10p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNMKDKLAPNNNACKTTPAVTALMANAYANATVLKKIENLVVTFCHKKSTETSSRFFCLNRKGYNKIYQTP >A09p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45956968:45959282:-1 gene:A09p052510.1_BraROA transcript:A09p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:formin homologue 4 [Source:Projected from Arabidopsis thaliana (AT1G24150) TAIR;Acc:AT1G24150] MLKQPWSPLRLLPHLTLTFLSSILLLLPHHSFSQSDSPQNIETFFPNETLTAPPPSPVPLPQQNPQSPPPSSSDREKITRAILITAASTLLVAAVFFLFVHIYSVRRRRRRDRVINVANTLPPAPPLAEAALAREGFTRFGGNVKGLILDENGLDVLYWRKSQSSQRGNKSGSFRKEIVHGDDDDQEKNVIYSKNKKKSEMPLLRGRSSTSHSVVHNHPPPVKSESFEFAKPDPPPPPPVKQTAPAPPPPPPPSRSNGPSPAPPPPPPLKKTAALSKPPPAPRGSSSGEGSSSENGQVKLKPLHWDKVNPDSDHSMVWDKIDRGSFSFDADLMEALFGYVAVGKKSPDHDKPSSTTPSQIFILDPRKSQNTAIVLKSLGMTRHELVESLMEGNDFHPDTLERLARIAPTQEEQSAILQFDGDTAKLADAESFLFHLLKAVPSAFTRLNALLFRANYYPEIANHSNSLKTLDAACTELRSRGLFVKLLEAILKSGNRMNAGTARGDAQAFNLTALLKLSDVKSVDGRTTLLNFVVEEVVRSEGKRCLINRRSLSRTSSSSISEVISKEEQEKEYLRLGLPVVGGLSSEFANVKKAASIDYDTVSATCLALTSRAKEARRVLSQCGGDNRFVEKMVEFLDAAEEEVKVAREEEKKVMELVKRTTEYYQAGGPAKGKNPLHLFVIVRDFLAMVDKVCVEIARNLQRRVTGSPQQQRNAVKFPVLPPNFMSDRSRSDSGGSDSDM >A03p065330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28810454:28812937:-1 gene:A03p065330.1_BraROA transcript:A03p065330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTQETVKSEKVKPEKELRGGLSQLRDEESLGTIGDDMEEVHDEAIREVLAKDQVEDVQENSPVEPNDQDVREETSPALTASLDPSLVDPSLPSDPSAAQGQGLSLLEQKSDSRVVNNLSVSPVLRKDSGKEDVSVVAPVDEVDEVAVENREVEASPALPSLVESSLPSDPSAAQSQGLLPLLEQKSDSQVVNNLSVSPVLKTDSGKEEVASAVLPVNEVAVESHEVETSPALTPSSNPLVEPSLPSNPSAAQDQGLSLLDQKSDSQVVNNLSVSPVRKTNSNKEDVVSVVAPVDEVAVENREVETSPTHPSMVEPSLSSVPLAAKSQGQSLLQKSYDPRVVRNLSVSPGLRTPPRDGYNWRKYGQKQVKSPKGSRSYYRCTYSECCAKKIECSNDSGNMVQIVTKGLHSHEPPRKSSFSPREIRAASAVTPVLEVDTVVATVPTPPPTKENICQSPTTVERKRTYENEAVEEPEPKRRQVLLKNDNTQSSDFVSKPGKKHKLVVHAAGDVGISCDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVENTGAVVITYKGEHNHDTPVPKKRHDTPSSVMLSPASMRTRLEDQVNIPSSSQCSVGRESEKQSSEALDVVGG >A03p060210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26089703:26097272:1 gene:A03p060210.1_BraROA transcript:A03p060210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVNFVPSDLVFRIGKAAARRRDDILHIYIVFEYHMEFLETFGCIWSSKERLHEVAVHHIPERLIQSDCTKSLAFSHPETHIFDPGATFQSDVLKSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENYNFLGTFLQFEGTHQRQIIFYLWIIGEIHKNSIEKFISWILISLLRIVSRLKVDSLIDHLPSLVRYLITQGLIPMPMSQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSGLWTSTAWSDFSERLHEVAVHHIPERLIQNDCTKSLAFSHPETHIFDPGATFQSDVLKSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENYNFSGTFLQFEGTHQRQIIFYLWIIGEIHKNSLEKFISWILISLSGKYNIVVCLDVLIHYPQNKADGMIAHLASLAEKRVILSFAPKTFYYDILKRIGELFSGPSKATRAYLHAEADVERALRKVGCRISKSPREDSLPPSSTFPGSSKLFPCRSFLFIC >A09p045560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39962471:39965926:-1 gene:A09p045560.1_BraROA transcript:A09p045560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIMRYQMAKEGHATSVDSFHPDHGSYCEETKESMMREIENLKMNLQFYGGGHNLNLLTYDDLLRFQLQLECSLQNARALQQELWRSASPAPQSDHPCSAAAL >A03p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12874498:12875903:-1 gene:A03p030670.1_BraROA transcript:A03p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKPITTETVALTEKKMDMSLDAIIKMSKSNNKGKKLRAFNKKEKFNGAAKNTTVKAQRYMDSRSDVRQGAFAKRRSNFQGPVTTAVARDVASGAPIRGRPYNAGRMANTNQSRFITPPAQYGSAPRGFVSKQQQQREKIEQKQANGGGQRQGPQTLDSRFANIKEERMRMRMFTEKGSNVGNNGVGLQYHQQWGRRATRFPN >A02p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10619137:10622914:1 gene:A02p022330.1_BraROA transcript:A02p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSKNKISEEEKQFHGSSSRPKGGLITMPFIFANEICEKLAVVGFHANMISYLTTQLHLPLTKAANTLTNFAGTSSLTPLLGAFVADSFAGRFWTITFASIIYQIGMTLLTISAIIPTLRPPPCKGEEVCVVADTAQLSILYIALLLGALGSGGIRPCVVAFGADQFDESDPKQTTKTWNYFNGYYFCMGAANLVAVTVLVYIQDNVGWGLGLGLPTVAMFLSVIAFVGGFRLYRHLIPSGSPFTRLIQVAVSAFRKRKLRMVSDPTLLYTNDEIDAPISLGGNLTHTKHMSFLDKAAIVTDEDNLKSGQIPNLWKLNTVHRVEELKSVIRMGPIGASGILLITAYAQQGTFSLQQAKTTNRHLTKSFQIPAGSMSVFTTVAMLSTIIFYDRFLVKIARKFTGLERGITFLHRMGVGFVISIIATLVAGFVEIKRKHVAIEHGLLDKPHTMVPISFLWLIPQYSLHGVAEAFMSIGHLEFFYDQAPESMRSTAMALFWMAISIGNYVSTLLVTLVHKFSAKSDGSNWLPDNNLNRGKLEYFYWLITLLQVVNLVYYLWCAKIYTYKPVQVHHSKEVNSSFRDEFELSNRSLVDA >A09p018150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9471570:9472694:-1 gene:A09p018150.1_BraROA transcript:A09p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRKKAKTDDYGKRTQSLPTDLITEILLRLPEKSVARFSCVSKLWSSITTDPSFISSFETRSSRQRLLLCLQKGGELFVSSIPQHTQNSNMSYSSSLSFDHHHMTKFKASSSSTESVHGLICFESENPIVWNPSTRQFVTLPTIPKPCKSWKETILFLGYDPIEGKHKVVCIPDGKTCYVCRVYTLGSVQESWRTVKINHKHRSSWDTVGRCINGVIYYLTFPCQGDDYIVMSFDVRSEKFNMIKLPSGFCGHFLVTYKGRLACFNRYDHSRFWILEDAQKHKWSSQDILSPFGDCGMETDFNLTGFTHAGEFIYVPNTFRHLFYTLLYDPVRNSWRRFEFKEMAGDKSVSNGLVREYRLHAFPNHIDSQISL >A09g515870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46831810:46832057:-1 gene:A09g515870.1_BraROA transcript:A09g515870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLWVEVNVGDEAVEHIEGGRGAAKRRMALMILKNFWLGGFFRQTDSQARDII >A05p004170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1595146:1596156:-1 gene:A05p004170.1_BraROA transcript:A05p004170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKHVEKQSYTATLRVVFFTRRRLRQLLNHSPRRSSSIRSLIPLTLFYFKDEKYFESDIIRCKDGSKSFPRDRLNDNFCDCLDGTDEPGTSACPNGKFYCRNIGSSPKFVYSSRVNDRICDCCDGSDEYESSITCPNTCVMGGNVNYVYKPRTERKSIDRQLGSVTTDPNSSMTIASLQDMVKNLQGMKLVFALQFVLIGFLVILWMLRLRVRSSKRRRYLLKSVHPNRSVN >A09p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3839050:3843038:-1 gene:A09p007270.1_BraROA transcript:A09p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASATWTPTSLQLRLALSSRVRWKPRSVYLQPSRFASKSGLVIVCVSQRTGSDSSNPPADGLAGWDDSENDVKSSTAKKKSFIQGVVGAGVGGIVLVAGLSYAVVSFNKRSNPRVKQEMQPLTSHQESVILSSDETTSDEGKVANSEEANLKEDKIIEINGIGQQGDEASGEDKILGAEDSSFDGTDATENITSQSDPETPESEKIISEVVDSQSSNLGGADNPTSEVPDSLPNTETTNVSDLENQANSEKVDSMSSLSDSYATETVALGVLVPSQSDSSSDPHTVPLYDKRTAFSTMTEDLSEVNGTPEDLAAGSTSSVSDIDTEKETESSKPPVPESTYWSKNELNMNSQDELGDRGSPLETLSGGSAYYSAGIPAPSISFQVNPGNILVPAAADQVQCQAFSALQVLKVIETDTQPSDLCTRREYARWLVSASSALSRNTTSKVYPAMYIESVTELAFDDITPGDPDFSSIQGLAEAGLITSKLSNRDLFNDIEGTFLFSPESLLSRQDLISWKMALEKRQLPEADKKTLYKLSGFIDIDKINPDAWPAIISDLSSGEQGIAVLAFGYTRLFQPHKPVTKAQAAIALSNGEASVIVSEELARIEAESAAEKAVSVHNALVAEVEKDINASFEKELYIEREKIEVIEIMAEQVKVELDQLREKREEENLALVKERAAVESEMEVLSRLRREAEEKLEALMRNKAEISFEKEKVSSLRKDVEEESQRITELQYELEVERKALSMARSWAEEEGKRAREQARALEEARKRWETNGLRVIVDKDLQEDAEQSVLLNTVEPSSVPSTEERAQTLMGKLKEMGESVGGKSKEVIFIVMEKIRLWIMVLKEYVENLGKRVGEMREAAIVSAKGAVKDVEKGTAQVGDKVKRMAEECRDGVGKISQRFKT >A02p053650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32614850:32617369:1 gene:A02p053650.1_BraROA transcript:A02p053650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVPPSMSSTLHTSVEDRYPNSFYGSSGQELMNNPVPCQVVSGSNSGGYMFPSPSGFCNVSPLSTHGRASQTQPPVATTPSARQDCFLEAQSSSLIHHHPLPEFSDPLDEFFDFADHVPDLNPQAESSDVRVGSSVEVHEKSEWQSWADQLMSVDNGSEPNWSELLGDPSPHNPPAPTPSLDVPRQEIVANQQQHQVVSLEEQLNSSASGGATTKQRMRWTPELHEAFVEAVNQLGGSERATPKAVLKLLNNPILTIYHVKSHLQENLKKRRSVEITQALRLQMEVQKRLHEQLETQRALQLQIEKQGRYLQMMFEKQQKLEENKSSSSSKQCNGASAEVEFESGVVTQAGDQSESAVSVSRKRAREDE >A02p034230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18578535:18579447:1 gene:A02p034230.1_BraROA transcript:A02p034230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIDSEAESFLDYVTKEPKEIITQDCKNLTLKAQLEETSDDYSPRFLKRPGIDTLSPLPIFTDTASVLNEAVLSNLDQNIRGSVQRQQLHVNNSIFFVQTKNSINTQEQEDYSSRRRKPWEPCTMFDADFIFISGGFSRSSCCRDEYIQFREFSNSM >A03p019300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7862508:7867101:1 gene:A03p019300.1_BraROA transcript:A03p019300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHQRRESFSVTISNMGGGSTVVCPNTDLLWPFGKLSGLDGNDIRETAYEIFFTACRSSPGFGGKTALTFYSTHNNNDHHGEGGGAATSGGSPGVGSGSGFGFSGRKEVVTTPTSRVKRALGLKMLKRSPSRRMSTIGATGGAGTTSLSPGSGSGHVSPGAGFLTVQPSRPRRPLTSAEIMRQQMRVTEQSDSRLRKTLLRTLVGQTGRRAETIILPLELLRHLKTSEFGDANEYQVWQRRQLKVLEAGLLLHPSIPLDKTNNNAMRLREIVRQSENKTIDTSKTSDTMRTLCNVVVSLSWRSTNGNPSDVCHWADGYPLNIHLYVALLQSIFDVRDETLVLDEIDELLELMKKTWSTLGITRPVHNLCFTWVLFHQYVVTSQMEPDLLGASHAMLAEVANDAKKLDREALYVKLLTSTLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSRILGDDVTISQGKGDVKLIDYSGDRVDFYIRASIKTAFSKMVAEDTEECDDGGKGLVREMVPYEVDSIILRLIRQWIEEKLKGVQECLFRAKETEVSVRDFNVTFIHGTRRSRQSYIPTLPPLTRCNRDSRFLKLWKRATPCTASGKDFSHTAPPSDGHHPRPSTSRGTQRLYIRLNTLHFLSSHIHSLNKALSLNPKVLPATRKRYRHRNNNNSSYFDFTYAGIESACQHVSEVAAYRLIFLDSNSVFYESLYIGDVTNSRIRPALRVTKQNLTLMSAILADRAQALAMREVMKSSFEAFLMVLLAGGYSRVFYRSDHSLIEEDFENLKRVFCTCGEGLIPEEVVDRDAEIVEGVIQLMSQPTEQLMEDFSIVTCETSGMGMVGPGHKLPMPPTTGRWNRSDANTILRVLCHRNDRVANRFLKKSFQLPKRR >A04p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20608203:20609946:1 gene:A04p036180.1_BraROA transcript:A04p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNQADLVYSPRSSLQLWNVFVNWLDFFYQFFLKILRPLAHHPFLSSKAISDGFKPLPVIELPEMAAEPPVTTIQIASGRTSSEGEVGSIQRLTVVLDLDETLVSAYETSSLPHNLRTQAIEAGLKWFELECISSTKECNGEPKINYVTVFERPGLHEFLEKLSQFAHLIVFTAGLEDYARPLVDKIDTKHVLNERLYRPCTVSTQYRDHVKDLLCTSKNMCRTVIVDNNPYSFLLQPLNGIPCVPFSAEQPHDTQLLDIILPLLKQLSEEEDVRGALYDRFHMPEWFEKQGIPRSCWTSSQ >A08g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6496464:6498253:1 gene:A08g503800.1_BraROA transcript:A08g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSNMVLISEYKKAKEQHKRQNMPRRSDLNLARNVIKEFEHPAIQGKTMYSSLSDGSNERGKWRRIDSTANTDRLATMKEAPVVETVHPDPEEDNEPESQPQEGDD >A03p063300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27498349:27499760:-1 gene:A03p063300.1_BraROA transcript:A03p063300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPILLPNIGDEQPQQRKRKLESSGSSGAAPIQSFSKVDYGRNPWDDDEIDPLIEKEYNRQFLESDGFDVDYFYHPYGGELVPHIMEDKYDYPYDIGLFSRLGLHCYNLEKGTSLKLAAINKYNDELSFTRCLYYYITLEAIDTSSNSLCNFQTCVFKDFIPEQASFVAQTEISRLKVPSGPRSTFSGPERRWKEDGVDDYYKGKMPKWFTKDEMPAISSNKGQFYELQESDLQGNEWLNMYAEFAFHSKWIAHASNLRPFLPLEIKKVIIQTKEESQPCMKLKANNAIFYIIFKGNGDPSGAPVEYQAVVRKTMDGIPGHICLEVDCLAYKSS >A01p011610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5616524:5619221:1 gene:A01p011610.1_BraROA transcript:A01p011610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALSWIPKGSLKAVPDAAEPPSKEEIKELIESGAFVGSVDGSNEDGEDIEEEEEEDGDQISEVERAKAVAEAFGKSSNSKSSSMEVDEVAAAMKELDMDNYDEEDDGTPLPHSFGIELFSSGLGDLYYPSNELDPYLKDAAVYVYEESSNGSPNMYVHHHIVIPEFPLCTAWIDCPLKGGDKGNFVAIGSKDSPTIEIWDLDVRDEVLPCVQLGGIEEMKIVKKKKSKKEKIRKPIYKEGSHTDSVLGLAWNKEFRNILASASADRKVKVWDVATGQCKITMEHHTKEVQAVAWNHYAPEVLLSGSFDQTVVLKDGRQPSHSGFKWSVMSDIESLAWNPHNEHSFVVSLEDGTVKGFDIRAAQSGSDSDLKPSFTIQAHDQDKGVSSISYNTSASNLLATGSMDKTVKLWDLSNNEPSCITSHKPKAGAVFSISFSADNPFLLAIGGSKGELHVWDTLLDANVARKYGKNQS >A10p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19605156:19607472:1 gene:A10p033500.1_BraROA transcript:A10p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALILCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNNKIHKDLLKNECPHIVVGTPGRVLGLARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAGELNKLLIECNFPSICIHSGMSQEERLTHYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQARFEVDIKELPEQIDTSTYSKRLSLYFYEFSKSSWKFVYLCPLKVASSLSKNFRLQQASESFATIVKHHPL >A04p003080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1508799:1510455:1 gene:A04p003080.1_BraROA transcript:A04p003080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYIQRLFNTCKASLSTNGPVSEEALDKVRNMLEKIKPSDVGLEQEAQLVRNWSGPGNDRNGNHNSLPAIKYLQLHECDSFSIGIFCMPPSSIIPLHNHPGMTVVSKLVYGSMHVKSYDWVEPNPSELDDPLQARPAKLVKDTDMTAPCPATTLYPTTGGNIHCFKAITHCAIFDILSPPYSPTHGRHCNYFRKSPIRDLPGEIEVMNGEVISNVTWLEEYQPPDNFVIWKVPYRGPVIRK >A06p055820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29109397:29112942:1 gene:A06p055820.1_BraROA transcript:A06p055820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase eta [Source:Projected from Arabidopsis thaliana (AT5G44740) UniProtKB/Swiss-Prot;Acc:Q8H2D5] MPVARPETSDARVIAHVDMDCFYVQVEQRKQPELRGLPTAVVQYNEWQGGALIAVSYEARSCGVKRSMRGEEAKAACPEIQLVQVPVARGKADLNTYRSAGSEVVSILAQSGKCERASIDEVYLDLTDAAESMLADAPPESLESIDEEALKSHILGMSREDGDDFKESVRDWICRKDADRRDKLLGCGIIIVAELRKQVLKETEFSCSAGIAHNKMLAKLASGMNKPAQQTVVPYSAVQELLSSLPIKKMKQLGGKLGTSLQTDLGIDTVGDLLQFSETKLQEHYGINTGTWLWNIARGISGEEVQGRLLPKSHGSGKTFPGPRALRSLSNVQHWLNQLSEELYERLSSDLEQNKRIASTLTLHASAFISKDSDSHKKFPSKSCPLRYGVTKIQEDAFNLFQAALREYMGPFGTKPQGNKKETWRITGLSVSASKIVDIPSGTSSIMRYFQGQSTIPSSSTSGCPQEHVAVTPSVSESCSEQKSVETRASIPEEDITITYTSPDLDNSYRDSDIVLEKSLFMLSSNCCTLEEPTVQFPCQDASCQSNEAKEFPTQSGTQTKTIGRKINNSKEKNRGMPSIVDIFKNYNASPQARQETQEDSTVSLTSNRGNLSSSTSHNSEVNQEVEDRRDTNWGYKVGEIDQSVFDELPYEIQREFRSFLRPNKRPNAGKSKSGDGSASSSIAHYFQPLKR >A06p039560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21331809:21333319:-1 gene:A06p039560.1_BraROA transcript:A06p039560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFMESLVSSATPAGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVSLVKDYRSKVEGELSTICSGILKLLDTHLIPSATASESKVFYLKMKGDYHRYMAEFKSGDERKTAAEDTMIAYKAAQDVAVADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >A05p020470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9701159:9703164:1 gene:A05p020470.1_BraROA transcript:A05p020470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRNSNTKLHLQTQSLFPPNMEKTVDVEPRMDTNPFAEDSLTSRINPNKNADLSSSSSSSDMVNERRQSFSSQKNIGEGRSSGQRRVMLMESPCTPGRGVFSFSSNVSGRRRNFPSKWVDAEKWVTSGHESPAHSLKVVYSEKSRVTEEKVSLSPPGLILKDKLANEVLPSTEGFIFRDSDKFLQFQEEEEAQVQHRDMGTEMTPAGSVTASRCHTPFKSMSPARHNTPSKMSGPLTETKNVIDISEFADKLRLSGSAATQYYNSVTHWNSREEEEEEISKSLRQMDMESELRRSVSESKAVLWDGQDDKIKFCQRYQREEAKIQAWVNLQNAKAEAQSRKLEMKIQKMRSNFEEKLMKRMDTVHRRAEDWRATTRQQHAEQLHRAAETARKLTNRRGYLVSGRSSCGCIPCTNTCH >A02p044570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28088129:28089080:-1 gene:A02p044570.1_BraROA transcript:A02p044570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMALFSPPISSPLQNSNLTSKISLSLLSTKRFSLVSLTQASSSDNGTSATVSATTVEIPKPASPAKEEVPVKSPTESSSDETDLTTTTTTEIKFQDAKWVNGTWDLKQFEKEGKTDWDSVIVSEAKRRKWLEDNPETTTNDEPVLFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMSYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDKLKGLFEETTLYDKQWQATWKEPESSSSTVSSQK >A05g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26398103:26399935:1 gene:A05g508880.1_BraROA transcript:A05g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGVIEQKSNMLRWIPPGSPGLGGVEVKNEKHMRQDHLDASHQVFDELPHTQFYQQLYRLSLSPGISTVRSGNNVGDFSYIRRCPEANISRFYYIKEMFGVSVYEHEGCWQVEDAMRLARGRCQTEDLT >A07p011020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6904547:6905029:1 gene:A07p011020.1_BraROA transcript:A07p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSLDKRWKRRYRFLWLRGGEIPVCGEFSSTEGLRRDKGIRKRLRNHGILGDLLVILILIKTVSQRREGNMSRDCQSTDLGFVMEIEGINYRLVSIKVSGIFLWVFGFCQSLPGIVMVKSFHRCYALPWNYYIRVIGVSYYP >A10p026080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16628028:16629293:1 gene:A10p026080.1_BraROA transcript:A10p026080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSIHKRRATGGKQKQWRKKRKYEMGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTKTLVKSAIVQVDAAPFKQWYLQHYGVEVGRKKKNNAAASAAKKEGEDGEDAAPAAAAAPEEVKKSNHVQRKIESRQEGRTLDSHIEDQFASGRLLACISSRPGQCGRADGYILEGKELEFYQKKIQKKKGKGAA >A01p011110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5398473:5404242:1 gene:A01p011110.1_BraROA transcript:A01p011110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPSNPDTVLVNGLWDKENADLPIGYPIESLVSFINTALKQHDSRFMLNTLTAYGPNLPSERKHGIDYVCIPKESVACQAEFPVVGQCLKLVVEKPGETKVRIMRSTDVADKYLTTDLCLQLGQPEKRPILIIAGDGDYLSCFEEFPDSYLMLAEPKNSNRTLRVSAKVAWTWSSEDNAGQMISGGGLRHGNVAWSSKTVAGTGSGVGGYNINLWNAFFLLCVFFFETLELFLGPPSYTYPPYGVELNFWIRRVGVWLVECLIQREEKALPSLVSSAENEGWMRDYSGELGIFADNLLKNEEDSGLASEGRDLVGHNLVVQALSNAVARRKLGLLMCSTVQTERGRHLARALNCHSSEQQKPCGVCSSCVSHEMGKSWNNREVGLVGKFDFENLLDGNNNVTSQSPRVFISDDCDTLSSDCWSAVSKVKFFFPKLRDADIVYSLQLIASKEEIEIEKDALKLIASRSDGSLRDAEFVRTEDLCSFGSGTDTVNTVKKLRTIMETSVEPLALMSQLATVITDILAVSKEDMEKLRQALKTLSEAEKQLRVSNDKLTWLTAALLHLAPDQNYLLHHSSTADSSLNRTPLPLENNGGRERESSDHHLDPSSDAAAGEKTPTVHSVFSSPLKSTAEKFRGHIMQAFEAVLESPVTIEITSETKRDTRNNWSRSSLALVGQDHNMNGSGRSEIVEVIESNGRRHQQQKQEEERTERVGSSGKLMDAHSKTADLNEKPCLSLRSWNKRIYQEACYAGKPQEAHVARYGNFGTVGNEVEDGNKKDKATGVIETRVVREMSVDEISIKIKDYIKSLDVGVLSSSSVPERSRRKESNMKKDVVAVEEKKKEKEDEFYGQDRIVPECVFTDDFGFNEKLLEEGCSIDSLLDDLPQPDIDAFVHGI >A07g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8794617:8795107:-1 gene:A07g504370.1_BraROA transcript:A07g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDHHDLLLFWPYLHLYTLPAGLRKTEDMTSAVSLHDHFSGQLLLVLDYTDEILRGITVKTSPMKCVDWSLLCYCDTPRMLDQITETDLIKPSCVWSGFGSETECVVSDVVENILQRLMLEISHEHLSKSYSSSRRLFRTSSHFG >A06p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7021232:7023228:-1 gene:A06p015670.1_BraROA transcript:A06p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription and DNA repair factor IIH subunit TFB4 [Source:Projected from Arabidopsis thaliana (AT1G18340) UniProtKB/Swiss-Prot;Acc:Q8LF41] MATVASKLYSDDVSLLVLLLDTNPLFWSNTSTTFSQFLSHVLAFLNAVLGLNQLNRVVLIATGYCSCDYIYDSSLTLSGNSESGRTGMPALFGSLLEKLEDFIVKDEELIKEQEHDERIASSLLSGSLSMALCYIQRVFRSGHLHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRLMVPIDSCYIGTQNSAFLQQASYITGGVHHAPKQLDGLFQFLTTIFATDLHTRSFVQLPKPVGVDFRASCFCHKKTIDMGYVCSVCLSIFCEHHKKCSTCGSVFGQSKLDGASTVSDKKRKAPDS >A04p039920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22575862:22576225:-1 gene:A04p039920.1_BraROA transcript:A04p039920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSSKPFSHTPTRLVLYLKTQTHVRIPRLSRRRKMWREEKEMEINNIRLYMENQYMIQENEKLRKKALILHQENNALLSLLQTKNVSLVP >A07p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2019828:2021301:-1 gene:A07p005650.1_BraROA transcript:A07p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGERRVHPDCINASNPYHECVEYCFKKIAEAKAKLEKQGLVEAVSGQSRESLADKRIEEASSEEEEEDEEDNQEPQVDVTQLTGRKKKLYELKVKMNEARKSNQTDVGSEKKKMEAPTEPKGISKQKWVEARQKKIGKILDANGLDMSKAYMLDTQEAAETKYKKWEKEPTPSGWDVFNQKTLYNAYKKRTKNIQVDIEEYNRMRAADPEFYREASSLQYGKAPKISKDKIDKMAKELHDREEKRQEFSRRRKFREEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >A02g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24755896:24758450:-1 gene:A02g509290.1_BraROA transcript:A02g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3643231:3645657:1 gene:A09p006950.1_BraROA transcript:A09p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVVEVTVVEKAAEAVGVKSARRRVQRKDAAEGGDGLVKWERFLPKIALRVLLVEADDSTRQIISALLRKCSYRVAAVPDGLKAWEMLKGNPESVDLILAEVDLPSISGYALLTLIMEHDVCKNIPVIMMSTHDSVNTVYKCMLKGAADYLVKPLRRNELRNLWQHVWRRRQSTLAPGSFQLDESLGHQKPEGAQSSCTRPETEGESADVEKDSSKEAIDFIGASFTRNGQHNREESVRIELDLSLRRPNRSFLHPSSGSAFTRYVHKPLQTQCSVSPLVPDQRKNVTASEDDNIVVTNQYKSSEPPPSAPRNEVSFYNSADSPSPPSWPGQGSYPTTVPIKSIQFAGPNTAPASSPGSVSPHEYSSMFHPFNGNKPEGLQEQDVEERRLASSANEHRAIGNQCSTSYIDYHHQQQLVEKKNEEGYSSSVGKTQQSLREAALNKFRMKRKDRCFDKKVRYESRKKLAEQRPRIKGQFVRQVQSTETSTQAAPQ >A08p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23986207:23989496:1 gene:A08p042880.1_BraROA transcript:A08p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSALLTSAGINIGICVVLVSLYSILRKQPSNYCVYFGRMLSGGRVKRNDPRWYERFAPSPSWLVKAWETTEDEMLAAAGLDAVVFIRMVICSIRIFSVVAVVCISFVLPVNYYGPMMEHKEAHLEPLSIFTIENLNPRSRWLWVHCLSLYIISSAACALLYFEYKTIAKKRLAYIAASSSKPSHFTVLMRAVPQSPDQSYNETVREYFTNYYAPSYLSHLMVYRDGIIQRLLNDAEKMRQAIKHVSPDVSCTPSLKSCNFCTGPGPTKSFQILSDEAGSVKKLELDELTLTTTEEERPVAFVFFKTRYDALVASEVLQTSNPMLWVADLAPEPHDVYWKNLKVPYRQLWMRKIATLVGAVAFMFVFLLPVTGIQGLTQLKTLSKNFPFLRPLLKGDIMNRVITGYLPSVILVLFFYTVPPLMMYFSTLEGCISRSLRKRSACIRVVYFTIWNVFFVNILSGSVIRQLSIFSSVKDLPAQLAKAVPTQAGFFMTYCFTSGWAGLACEIIQPVGLIWNLIARVIVKNKDASYETLRFPYHIEIPRLLLFGLLGFTNSVIAPLILPFLLIYFFLAYLIYKNQIINVYITKYESGGQYWPIFHNTTIFSLILSQVIALGFFGLKLSTVASGFTIPLILLTLLFSEYCRQRFAPIFQKYPAEILIAMDRADEITGKMEELHNNLKAAYSQIPLCSQESSKAGCSPPCSDQELPASEQLKPENLEAEMLKAFQRSKSSHDLEVKSCPSGSPCRYSPGFAEIYKRT >A05p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25907523:25912102:-1 gene:A05p042880.1_BraROA transcript:A05p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLEAKGGKKGNVWDDGVHENVRKVYVGQGQDCISFVKFEYVDGSEVVAGDEHGEQTQQVEEFEVDEDDYIVYVEAFRETVTQETIVALKFETFKGKTNMHIETSPGVKFVLQGGKIVGFHGRSTDVLHSLGAYVSFSSTLDSLGNWLKVEQKGKAPGLRCSHAIAQVGNKIYSFGGEFTPNVPIDKDLYVFDLKTGKWSIAPATGDIPHLSCLGVRMVSVGTNLYVFGGRDADRKYNGFYSYDTTKNVWKLLTPVEEGPTPRSFHSMAADEKSVYVFGGVSATERLKTLDAYNIVDQKWKQCATPGESFSIRGGAGLEVVQGKVWVVYGFNGCEIDDVHYYDPVEDKWTQVETFGEKPSARSVFASAVVGKHIVIFGGEVAMDPQAHVGPGQLMDGTFALNTVTLKWERLDKLGEEKEVTPEIRGWTASTSATINGKKGLLMHGGKAQTNDRFEDLFFYEFNSA >A05p039920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24400730:24405049:-1 gene:A05p039920.1_BraROA transcript:A05p039920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid division protein CDP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G19180) UniProtKB/Swiss-Prot;Acc:Q8VY16] MPVLYTFPILPSSSLLRGISNRGTSIILHPPEVQISCFLVARSDPGEFDGFSCSYRRRRLNAGGGGGGAHVVDNAPSRTSSLAESTSTIEIPVTCYQLIGVSEKAEKDEVVKSVLNLKKADAEEGYTMEAAAARQDLLMDVRDKLLFEPEYAGNLKENISPKSPLRIPWAWLPAALCLLQEVGEEKLVLDIGRSVLRHLDSKPYIHDIFLSMALAECAVAKDAFEANKVSQGFEALARAQSFLKSKVTLGKLALLSQIEESLEELAAPCTLDLLGLPPLPENAERRRGAVAALRELLRQGLDVEASCQIQDWPCFLSQAISRLLATEIVDLLPWDTLAITRKNKKSLESHNQRVVIDFNCFYMVLVAHIAVGFSVKQTDKINKAKSICECLIASEGVDLKFEEAFCSFLLNQGSEAEALEKLKQLDSNSDSAVRNSILGKELRTTSATPSLEAWLKDSVLANFPDTRGCSPSLANFFRAEKKYPENKKMGPPPIINHKTNQRPISSMQFMNSSQHLYTAVEQLAPTNLQSPVASTKNIDESGASRPSVQLKRNLGLQQNKIWNGWLSQSSLIQRVSVAALLGCTVFLSLKLTGIRSGRLQSLPTWGSAKPHLESDSGNFRRNLASVNRKGVVGNIKTLLDMVKRHHGEHSDALYLKSSGLSATLSHPTSEVHKRPMLTEDAEELVRQWENIKAEALGPTHQVYSLPEVLDEAMLVQWQTLAQTAKAKSCYWRFVLLNLEILQAHIFADGSFAGETAEIEALLEEAAELVDESQPKNAKYYSTYKIRYTLKKQEDGSWRFCQSDIQIQK >A06g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11784334:11784970:-1 gene:A06g503810.1_BraROA transcript:A06g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEHTAPPIRIAYRIFTIGEEPIGVRITLYLKPYAIWQILNAEEVDTIRGSPFGKIAAISHNETRSLGLNGGKGIPSDVHTKGQTPRNSPEKMRLNEGQGVQSDVAIL >A09p006460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3380280:3381518:1 gene:A09p006460.1_BraROA transcript:A09p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAHEFFHTEDHFAVDDLLVDFSDDDDEENDVIADSYGADTTTAVADSSNSSSFSNTGLHTFHGDVQDGTSFSGDLCVPSDELAELEWLSNFVEDSFSTEHVQKLQLISGYRTRPDSKSEPGLKNLKSSSPVFTTDVSVPAKARSKRSRAAACNWASRELPKEAFYDNPFTGETIISSLYLSPPSSPTPTAQLWKKLAVDASRRKKDSSTDAGADERRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPVASPTFVLTKHSNSHRKVMELRRQKEMTKSHHDRHGKDTAMILDVSSDGDDYFIHHNVV >A09p069880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54352970:54355654:1 gene:A09p069880.1_BraROA transcript:A09p069880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member 10 [Source:Projected from Arabidopsis thaliana (AT2G24170) UniProtKB/Swiss-Prot;Acc:Q8RWW1] MAILKRPIAIRILIFFLFSVNVHGFYLPGVAPQDFQMLTSTKTQLPYSYYSLPYCRPEKIVDSAENLGEVLRGDRIENSPFVFKMRESQMCAAVCRVKLDKKSAKALKEKIVDEYRVNMILDNLPLVVPVQRPDQDNVVVYQHGFHVGLKGIFVGKKEEKYFIHNHLTFTVRFHRDIETDSSRIVGFEVKPFSIKHEYEGEWNEKTRLTTCDPHTKRAVTNSESPQEVEEGSEIIFTYDVDFQESEVKWASRWDTYLLMADDQIHWFSIVNSMMIVLFLSGMVAMIMLRTLYRDISNYNQLETHEEVLEETGWKLVHGDVFRPPENPELLCVYAGTGVQCFGMILVTMIFACLGFLSPSNRGGLMTAMLLLWVFMGLLAGYASSRLYKTLRGTEWKKIALRTAFMFPATVFVAFFVLNAIIWGQKSSGAVPFGTMFALVVLWFGISVPLVFIGSYIGFRKPALEDPVKTNKIPRQVPIQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIITCAEITVVLCYFQLCSEDYQWWWRSYLTSGSSAVYLFLYAAFYFYTKLEITKLVSAILYFGYMLVVSYVFFVFTGAIGFYACFWFTRLIYSSVKID >A04p003740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1866964:1867998:1 gene:A04p003740.1_BraROA transcript:A04p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAGK [Source:Projected from Arabidopsis thaliana (AT3G57560) UniProtKB/TrEMBL;Acc:A0A178V7F4] MATVTSNTPPKSFSSSSSSISNPLKPLNPKSPSLRFPPRNNHHHHRLSINAAVSPPSSDTPSPDYRFEILSESLPFIQKFRGKTIVVKYGGAAMTSPELKASVVSDLVLLACVGLRPILVHGGGPDINRYLKQLNIPAEFRDGLRVTDATTMEIVSMVLVGKVNKNLVSLINAAGATAVGLSGHDGRLLTARPVPNSDQLGFVGEVARVDPSVLRPLVDSGYIPVIASVAADEAGQAYNINADTVAGELAAALGAEKLILLTDVAGILEDKEDVGSLVKEIDIKGVKRMIEDGKVGGGMIPKVKCCIRSLAQGVKTASIIDGRRQHSLLHEIMSDEGAGTMITG >A07g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22543388:22544793:1 gene:A07g508160.1_BraROA transcript:A07g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEERARAEKLSVYLYIPNIVGYMRVVLNCVAFAVCFSNKTLFSLLYFFSFCCDAVDGWCARRFNQGFFQDLPITVICRKLELDSFLLLSSSVSTFGAVLDMVTDRVSTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSTFLAGKSSHKDVKDSTSWLFRLYYGNRIFMCYCCVSCELLYIILLLIAKNQTENLLNVVVATLTQISPLSFLLALTLFGWSMKQTVNIIQMKTASDVCVLYDIEKHHKKP >A02g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15161413:15163268:-1 gene:A02g504750.1_BraROA transcript:A02g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRPHKEGGGRRGRMRIYDDEEVFTRVPSSSSSPTTISTAAATVPESETPLTSRNSANPNPNPKRSSIPACGDGTFDRLMEEALEEIEAAYSAGDPHSQSLMGFVYGTVMIREKSKSKSFFRHNFAAEGGNMQSRMTLAFTYLTLRNMLCATNVSDSTSGTEYGGVQKLEAVSLAELNAYVLTSLHKTMSPPAHALYVLTQVLLELIAVFVAFDTAITKLTYARATEVSNPIGYGEQDPAEYNLPYFLQDIVLKSYISSQAYRVNFSFLHELFTVARTFDPNQRNPGPSFALHGEGNNRGDNISGENCAFCKYPVGDSFSKDTHLPEANDQTIGPASVVQEASNTYQVESNSENVDDPQDHHKEGPQSLVFTMERNRGTNVSPMHIVKALTLCFSK >A08p032470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19637493:19638476:-1 gene:A08p032470.1_BraROA transcript:A08p032470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGTSLF >A02p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1624901:1627405:-1 gene:A02p003750.1_BraROA transcript:A02p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLAEASQLRQLFDTLLSYEEQIRCHQPETKDETIQNCNHTHFPVDSPSRLSSPSPLDFGSSVYSSPLDPTFPSSQMHVVAEKTRDYETVVLEMIGGVLPENGKFFKAVSEAGSLVESMFVTGPVPKWKNPPVLLSSQITVLSNSNAGNWNYGGLEFGSGIPNRSSSGKMSQFDLNQMEEDRKEKNSPWLSVPQFGDWDQKSGGGAMPDYSMDFTKIREMRKQNKRDPSLASFGNEEELIKPPESSAPIAKLTTVHSENKQHFSPDHHHQPHSPSAKRSIFSCFNCCVKA >A03p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22445124:22446644:-1 gene:A03p047360.1_BraROA transcript:A03p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNase Z TRZ2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04530) UniProtKB/Swiss-Prot;Acc:Q8L633] MQLSVPISPSKPSPPIFPFSNRLGPPPIHHLIHTPRASFVTPLRVSGYFSSISRAIEEEEEYRKARAAVNRKGVELDGYAIEGVSVGGHETCVIVPELKCVFDIGRCPSRAIQQKFLFITHAHLDHIGGLPMYVASRGLYNLEPPKIFVPPSIKEDVEKLLEIHRSMGQVELNAELIPLSVGETYELRNDIVVRPFATNHVIPSQGYVIYSVRNKLKKQYGHLKGKQIEKIKKSGVEITDMILSPEIAFTGDTTAEYMLDPRSADALRAKILITEATFLDESFSNEHAQALGHTHISQIIENAKWIRSKTVLLTHFSSRYNIEEIREAVLKLQSKVSAKVIPLTEGFKSRYS >A01p052720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29562510:29562776:-1 gene:A01p052720.1_BraROA transcript:A01p052720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKDDIKYATAQTKQSEDEAIRVRYKHGTPLEGGKIAESEPVELFSSAQGIEKGKDQAASEITGEQKQMHRDVKDVPGTRTDDSPR >A06p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14834199:14834811:-1 gene:A06p025380.1_BraROA transcript:A06p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRGSKNIVSYVRELKPRKDTSRIEVRIVRLWINYNKESGNTIEMVFVDKEETRIHASVGEQLIKKFDDKLREGDAIVVQLFKVYDAIGEYRTTPHPYKIGFFQTTFVGKADDFPSAVPENYLADFSDILESVSCTVKESVTFVILVPDLTLVFVM >A08p044050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24417319:24419335:1 gene:A08p044050.1_BraROA transcript:A08p044050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNNSREATLPDPSSPPPPPRSTSSSGLPFSKNKCAICLDEIRKEDGKAIFTAECSHSFHFDCITSNVKHGNRICPLCRTEWKQVPLFVDNRVPAPTFPVQTGFEDDEPLVVQNGQPSGVAAAHRQALEIKLLPQVSAVEKSVARGDFAVLVHLKAAEGVSDDNDRPETRAPLDLIAVLDVSGSMEGTKLHLLKNAVAFVIDNLGERDRLSVIAFSSGARRLFPLRLMSERGKRQAIQAVNSLVAGGGTNIAEGLKIGARVIADKRWKNPVSGMMLLSDGQDNFTLSRSHSHSQVRLRADYESLLPSSRIPIHTFGFGVDHDAELMHTISQVSSGTFSFIETETVIRDAFAQCIGGLLSVVVLDQVVEIECLHEEGLKISSIKAGSYRSRVSSDGRTAKIDVGDMYAEEERDFLVILEIPRCDDESMPLVKIRCVYKDAVSREIVRMESEELSIQRPREMTGEEVVSVEVDRQLNRFLVSEAMSEARVLADGGDLEGAVGVLRNRERELAETQSARARDGLCLSLSSELGALQERMSSRRMYERSGRAYAFSSMSSHSAQRATARWPVCGSAAPQAYQTSSMARMVSRSQELGIKTPKPSPARRDRS >A07p048940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26055825:26056133:1 gene:A07p048940.1_BraROA transcript:A07p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQHKNTNSSSSTQSSLPDGATTGENNVNREKTVTQQPNSVADPLHHHRQSLAKVIALRPRKFTDAFMETQFRCEVCGERFTREEDRNIHRSGHYNNPFRR >A05p025230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12298599:12303893:-1 gene:A05p025230.1_BraROA transcript:A05p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRTNTNSGGTTNSNRPIEASTPPTETTLRTKDKYKWSYIQEKTLIQLFDKALSRDNYTLKNPSASGREYMVDKFNRAFNMNITYAREELINRRGVDESDTDSGDIPQTQEEEDVYRVLIDDDTHLLNENTNETVQHTGRRSHQRGRKNSQSSIRRGSNSQRSGDNSRLPIRRVSRGGRRRQSFEITIQDTISGYTEFQRQSLQQLRPGAFDQENYDEWKKAEEIFFALNIPKGRFYWTCFNTLKELVFWRKYFLDIDGSIDEDKLQLLEGMTGVSRNNEDVPKQLGAEQSFGSSYAQQWGTPPTAQQWGTPPTAQQWSTPPFAQQWNTPPNSQQWGTQPNVSRWGTPPYAQQCNSPQPTQPWGPTPNSQQWGTPPNSQQWGTPPGWGLSSNFQLGGPSRTNPTNVHYGFSVGSEEKSVKNTQQENAAVEWLERQKEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYSMAQLGWGPGVTILILSWIITL >A09p064350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51893461:51894831:-1 gene:A09p064350.1_BraROA transcript:A09p064350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYFIQRLFNTCKASLSPDGPVSEEALDKVRNVLEKIKPSDVGLEQEAQLVRNWSGPGNERNGNHNSLPAIKYLHLHECDSFSIGIFCMPPSSVIPLHNHPGMTVLSKLVYGSMHVKSYDWVDPDPSGLDDPLQARPAKLVKDTDMTAPCPATTLYPTTGGNIHCFKAITHCAIFDILSPPYSSTQGRHCNYFRKSPIQDLPSEIEVMNGEVISNVTWLEEYQPPDNFVIWRVPYRGPVIRK >A04g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5024013:5025617:-1 gene:A04g502050.1_BraROA transcript:A04g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERGQPSIDGDTFPSSDVESEESTDTELTTSIDTAQPEAGKFFLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEEIKLSIQDYLDPDDTKKSKFNAYYYRMVRQNPFRGSLPEHPQDHIETLEELIPDEYDRFKLFSFSLEGEALRWLNCLATGSLTCWEEIRKIKNSLKSLHSFLQNKHRSDIAQIDDNALSDTDNYLDEGTNCSDPYSVLHVDSFTQAYDIAVKSCTGRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKSDSLGELIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRRQVSAVMLRIRKNLAADTRNNTDVGKPDDTDKTGKSNSHPILLNDLDPNPSQENRKTTAEKAKKKAIDLELEEDTEIEDEIDR >A02g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23585398:23586260:-1 gene:A02g508780.1_BraROA transcript:A02g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFVNSSSKNLKVVQLFKVYDAIDVIGQIVNFGSIENKMIKGKDNMRLLIELRDQNSLLLKSGKRVEFNPNFTQPNMDLVDQFKI >A01g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17660537:17661812:-1 gene:A01g505990.1_BraROA transcript:A01g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFSPRFVRPDDFPVSRLAVNDLHGSLLVNTEKTSKKTSFLPGSLLARYIFRRLPINLPKSAPDLENMHIKKCSSGLKTETVSVRLYRSTFIEPTKYISKWKIRTIWLKTCNKNID >A07g500620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1257197:1257430:-1 gene:A07g500620.1_BraROA transcript:A07g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGVDGQWWEHPTYGDKESHAARVTLAYRIGSTAVGNGEHAPTFDMPELWNGLQGDDCNVKRAPGLAKFCDGAGKD >A03p041130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17164652:17172867:1 gene:A03p041130.1_BraROA transcript:A03p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 72, subfamily A, polypeptide 9 [Source:Projected from Arabidopsis thaliana (AT3G14630) UniProtKB/TrEMBL;Acc:A0A1I9LTL1] METLLTSLAMVVVLWWIWKIVEWVWVKPKMLESYLRKQGLVGTRYTPLVGDVRRTFSMLKEARSRPMKPTDDLISLVMPYSFQMLNTYGNTFFTWLGPVPSITIMNPQLIKEVYNKVYDFPKTHTFPLLALITDGLANADGDKWAKHRRIINPAFHFEKIKNMVPTFHQCCREVVCEWEKLVSDKGSSCEVDVWPWIVNLTGDVISRTAFGSSYKEGQRIFILQEELAKLIMQALGKNYIPGYRHIPTRNATRIKTIVREIQVILKGIISKRDEARKAGETPSDDLLDLLLVSNSGETKGNGLTTEEIIEDCKLFYFAGQETTSVLLTWTMVLLSQHQDWQACVREEVMQVFGDRDPDLEGIKQLKVMTMLLYEVLRLYPPVIQMNRAIHKEIKLGDMAIPAGVQVNIPVLLIHRDTKLWGDDAAEFKPERFKDGVSKATNNQVCFLPFGWGPRICIGQNFALLEAKMALALIVQRFSFELSPSYVHAPYTVITIHPQFEKMEISVALVIVSVAIVLVCWWTWRTLMWIWFKPKMLEGYLRRQGLSGTPYTPLIGDLKKNFSMTMEARSKPIKLTDDVSTRVLPYPVKMLNTHDGCVNFFLFFCSYLPTKDNRRMKAACGEIHVILKGIITKRLKAREAGDAPSDDLLGILLESNSGQAKGNEMSIEDVMEECKLFYFAGQETTSVLLVWTMVMLSQHQDWQARAREEVKQVFGDKEPDTEGLNQRKVKMEISVALVTVSVAIVVVSWWTWRTLKLVWFRPKMLESYLRRQGLSGTPYTPLVGDLKRNSSMMMDARSKPIKLTDDISPRVVPFPFEMLKSHGRTFFTWLGTTPTITIMDPEQIKEVFNKVYDFQKPHTAPLDSVIAKGLTSYDGEKWAKHRRIINPAFHLEKIKNMVPAFHQSCSEVIGKWEKLVTDKGSSCEVDVWPGIVNMNADVISRTAFGSSYKEGQRIFELQAELAQLIIQTFVKSYIPGYIYLPTKDNRRIKAGSREIQVILRGIINKRLRAREAGEAPSDDLLGILLESNSGQAKGNEMSIEDVMEECKLFYFAGQETTSVLLVWIMIMLSQHQDWQARAREEVKQVFGDKEPATEGLNQLKVMTMILYEVLRLYPPAVQLTRAIHKEMKLGDLTLPGGVQINLPILLVHRDTQLWGNDAAEFKPERFKDGVSKATKGQVSFFPFAWGPRICIGQNFALMEIKMALALILKKFSFELSPSYVHAPYTVITMHPQFGAHLILNKI >A04p022570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13608919:13611939:-1 gene:A04p022570.1_BraROA transcript:A04p022570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLILLRLSISSRLVTKGRARGTHASIDDLENQKRSHKKKLEQFLRKASEERDAWWSREHEKFEKSERKIKKKNIVAMADDHNVPPTMEAIHHKMIISFITKITSSSRDVVRLFLEAHQWDIDAAVSDFNQVVAVAAASARRNVPNSRDSRTQSSNPLRVDTCVSISPPPIRLRSPRSPSRARNPFSREAIQRAENFDEQENDLLNAAKESDDVERAPLPSSSRRLNSRSVSEILSDTPQVVRNIVTIWRNGFTLDDHPLSTLDDPDNAIFLEVVESLESPRVLDSPETKQRFLIKLIRRQQEDFPDSPKPFQGVGRTLAEPPASSDSLTTEPTPSMDPTAPATSIKVILADGTPIVSRFTTSHHTIRDIRDFIDAATPDASRDYQLLIMGTQFPPKPLTDLDQTIEQAGISNSVVTQNGKFQRLESLRRRRKERKKDQKKKK >A08p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10648495:10650398:-1 gene:A08p016400.1_BraROA transcript:A08p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLFSPHPSPLTALTMSFLHCQGISCLLTVAMECKLKLNVEIEAPTLESEGEHQTHFNIAGSKQCSNNGFNTIEHIGRLLLFNALLSFQRFKELYASNIICTEDEPYKGLSNLLAPAGDLFSYLDDLVKSLDIQAYIRECVWTAEN >A09g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7920540:7922396:-1 gene:A09g502310.1_BraROA transcript:A09g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRDSERGGGGKQNSINNNNNNNNYIYDESSSDTHWTSWLVPLIVVANLAVFIAVMFVNDCPKKIIGPNKACVARFLGRFSFQPLRENPLFGPSSSTLERMGALEWGKVVHEHQGWRLVTCMWLHAGILHLLTNMLSLIFIGIRLEQQFGFIRVGLIYLISGLGGSILSSLFLQESISVGASGALFGLLGAMLSELLTNWTIYANKAAALFTLLFIIAINLGLGLLPRVDNFAHIGGFITGFCLGFILLVRPQHGWESSRTNASLTKRKYSIYQYVLFIVAVLLLVERMVTNIANGVIASAVSPLRNGPVNHRHHHHHHHHHHYPLKTLICSIPTQNPIPPPNAKKFLDALVDADLNVSLSRIVVFTCNLEYTLWRRLYICMVNLPPI >A01p055900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31658606:31659345:-1 gene:A01p055900.1_BraROA transcript:A01p055900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLLDQPCLQDQERLRYLRHHRRDLLLHPPTASSFLWHKPHSSQITLIYLVL >A06p016730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7497026:7499452:-1 gene:A06p016730.1_BraROA transcript:A06p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNYDKLTSSRVAIIGAGVSGLAAAKHLAHHNPTVFEASDSVGGVWKSCSYETTKLQSTRVDYEFSDFPWPNRDETTFPSYVEIIDYLEAYAKHFDLLKFMNFGSKVIEVRYTGDGETPQMVDLGAYGNMLPGKPVWEVAVQSGDAGDIQWHAFEFVVVCTGKFGDVPRIPTFPANKGPELFRGKVMHSMDYCKLNKEEASHLLRGKKVAVIGYKKSAIDLALESALANHGEGGQACTMVVRTTHWVFPHYWIWGLPFFLFYSTRASQFLHDRPNQSFLKTLFCLIFSLLRAVVSKFIESYVTWKLPLEKYGLKPDHSFEEDYASCQMALIPENFFEEADKGMIRFKKTSKWCFYDQGIEFEDGTTLEADVVILATGYDGKKKLKAIVPEPFRTWLEFPYGVMPLYRGTIHPLIPNMGFVGYVQSNSNLHTSELRSLWLSRLVDGKFKLPSKEKMLEQFSKEMEVMRRSSRFYKRHCISTFSIQHADDLCNDMGLNPRRKSNLFLEAFSPYGSQDYRLDQKERK >A09p041660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23581447:23583024:-1 gene:A09p041660.1_BraROA transcript:A09p041660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSKADKKTAADAGAWMFNVVTSVGIIIVNKALMATYGYSFATTLTGLHFAFTTLMTIVLRCLGYIQPSHLPFTELLRFVFFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEMVLDKIRYSRDTKLSIGLVLVGVGVCTVTDVSVNSKGFLAAFVAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAATLLVVGPFLDYWLTEKRVDMYDYNLVSVLFITLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLVMGFFFFGREGLNLHVVIGMVIAVLGMIWYGNASSKPGGKERRSYSLPTTRQQKLGAASDSDDNEDKA >A07p025480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14662334:14663804:-1 gene:A07p025480.1_BraROA transcript:A07p025480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFPRSYPMSGGDDQHSYINNSSYQIAAINSVREKTRQCIIEKLDLPLNSDLGTFRIADYGCSIGPNTFHVVQSIIDAVKFKQVDAKNEISLMPLEFQVFFNDQPNNDFNTLFRTQPPSSEREYFSAGVPGSFHGQVLPRNTVHIGHTSYTTHWLSDVPENVDDKNSPAWNKNYIQCNHSIEEVTKSYKAQFTKDMKRFLEARAEEIVPGGLMIVLGQCLPDGVPLFKTDQGILVDTIGDCLMDMAKSGLTSEEKIGLFTIPAYFPQFSELKREIEKNRSFTIETMESVRHPLEDIPLSNQFLISMFRAILSTIIKEHFGEDVIDELFDRVAKKLAKYPIDFKECEKNVTYFLLLKRK >A06p055940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29179428:29180580:1 gene:A06p055940.1_BraROA transcript:A06p055940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKSRVVPTMKKLFERIPTKKALVVEASKSLTFDDSKEAINKEIEEKRTELEPKVVEIYEATSAELKALVKEPKEDGLMKHSAEVHKFLEALVEIGFPGSKAACEVSSTSSGPVTFIFEKVCLFLPAEKSREVDIVEEVVKTEEPAKEEEVISGDKEREIVEEKKEEVQPAPVPAPAAAEVKKTAVEEEKKAAAEDKKPVEEVKKEVVAAAPVAETPSTKAPETLVVETPAKTPEAPAKAPEAPAKAPEAPAKAPETPAAEPKKA >A01p020930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10212262:10215963:1 gene:A01p020930.1_BraROA transcript:A01p020930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAEVNEPTTAGTEKLHQPVKLEEEEEEQVVELERKTLSFPHGKRPDSADGSTITTNNSSSSSFSEGIPDLRNGEGEHIGIENLEVPESPVVGFHEEHHDGNVFFDGEEGIWKCRHCDWTYREESLLCFETKGSESADAEPDAHESHTAEKKEPVSENGSASEDNKGPSREIEEVQEIDLPKEVVAVVVQRTGDVIEEEEVDIDDVEDYNVEKVLDNQETHDLFCPNCHSCITKRVVLKKRKRKIRHAVPEDPKRVRGPDPIDPILRSEDNEPSPVGGDSSTPESFFYKCLSCFSIFIPKGVDSKPVAPSETVERLNTQPKPQEEATGHSNWFGSMFDSKKKEPPVQQGGAMPSISEASLPRDNPSVLGDETAGSTANIPSEAPALVQEGPVTSVQDVSEISVSQDNKPVDDTLLIQVANSNETSKGVNSGATVENGQQFLVPSVDEEKTQQKIDRDDATSAAEENHSPDKGRLSPIQPSRDMNISNIVTSGPDGVRVETIFHTEGVSHLFEGTDPRKPDFGLAKVTGVMDSGDGGPVRGIDIPPLPVSSLEEGTLTEPLVRPAVAGPIVEGRKVEILKSIVYGGLIEAITSLGVISSAAGSGASTLNILVLGLANLFGGLILIIHNLQELREEEPITTEDNQTNVQEEGRYKRLLGRRENFTLHVTVAIISFIITGLLPPIVYYFSFSKTHNRDYKVASVFGASLICIVLLAIAKAHVRSPRGSHLKSVLKYAMIAVSVSGISYVVGNFVDQLLEKYGWSDGSETPAGEMMLSLLGRKAGSFGFSSSY >A07p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24754837:24758956:1 gene:A07p045660.1_BraROA transcript:A07p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQQSVWFRVIGIWDPFVLAIKKKINLRAWRARPDLKMRTFLINQAKTLADQTRRQPHTSRRLLSLLPSTLVPAPLLTLSRLLYSTLETAASDPTSSLPVTLVSINHKGIKGLHHTIASEIESRDGFNLPQNAAEPSKTTPAAFYCKSPRFGQVPGTWHFRHTILPQQDKVPATVNRPREIHSSSGKSPAAAKQPAAKSAKKVSSKSSKKKPAKSAGGGKGSSGTLFPKRSKFPKHHRGRLRGISSGDNRICFGRYALQTLAPAWITSKQMEAARRAMVRHVPRSAKIWIRMFPDKAVTGRPCEVRMGGGKGPQEYWVAVVQPGKILYEMAGVPESVARKAITVGASKMPIKTQKEEMWRRSASFILDDERRRTSNSPPMADNTTRAPFQNPDAISAYYQTRAAHHGVITSDWLAQAQAAVGGGVSGEENSDSSVSELGKDKAFNVIEEFNGWRKQPDLAEAVAAIRALAAVIRASEASTMMELEIELKKASDTLKSWDKTSISLTAGCDLFIRYVTRTSALEYEDFNSAKSRLLERAEKFGEISCKARKIIAMLSQDFIFDGCTILVHGLSRVVLEILKTAAQNNKLFRVLCTEGRPDGTGVLLSNELSKLDIPVKLLLDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMAPALRPIEFGVKIPAKVEVEMSARDYTPPQYLTLLFTDLGVLSPSVVSDELIQLYL >A09g511910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35185328:35186558:1 gene:A09g511910.1_BraROA transcript:A09g511910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLVIIITLAFKRHNTTPSTIIITFAFKLHHNSTTQRKDSSSHLLSHLFSHLSANKMTEYNKFSEVSYNPKVRAWRFRVKIHRIYPFYSYVTNSGPFYTYVLADKDGFKIEMTVYGDYDRFRGLEKEEGSWVEIFLVEVERSYPDTMGVVFNTEAHFDDPGRPRMVFYIRDNMFNLRLSEVEDFRQSLLNNDPYVQKYGVESLV >A02p021310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10078527:10080184:1 gene:A02p021310.1_BraROA transcript:A02p021310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTYFKSQPTWLLVLFALGSISILKFTLTLLTSLYIYFLRPGKNLRRYGSWAIITGPTDGIGKAFAFQLAQKGLHLVLVARNPDKLKAVSDSIQAKHSTTQIKTVLMDFSGDIDAGVRRIKEAIEGLEVGILINNAGVSYPYAKYFHEVDEELLGNLIKINVEGTTKVTQAVLVNMLKRKRGAIVNMGSGAAALIPSYPFYSVYAGAKTYVDQFSRCLHVEYKKSGIDVQCQVPLYVATKMTKIRRASFLVASPEGYAKAALRFVGYEPRCTPYWPHALMGYVVSALPESVFESFNIKRCLQIRKKGMLKDSSSKKE >A03p013150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5150588:5154176:-1 gene:A03p013150.1_BraROA transcript:A03p013150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSAKYLLCAPLAAQVAYSLAYQEDYSRAFCCLNILIICGLKGLIHVFWSVYNNMLWVSRTLRINPKGVDFKQIDHEWHWDNYILLQAILATMICYMSPPLMMINSTILLAIPLWNTKGLIALLVLHVTFSEPLYYFLHRYVHRKNYLFTNYHSFHHSSPVPNPMTANNATLLESLLLCVVAGVPLIGSCLLGVGSVSLIYGYAIMFDFLRCLGHCNVEIFSHKLFETLPILRYLIYTPTYHSLHHQDMETNFCLFMPIFDVLGNTLNPNSWELQKKIRLAAGEKKRVPEFVFLAHGVDVMSVMHAPFVFRSFGSIPYTMRFFLLPMWPVTFMVMLGMWVRSKTFLFTFYALRNHLCQTWAVPRLGFHYFLPFAKQGINNHIEAAILRADKLGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNGVPKDVKEVFLIGATSKLGRAIALYLCRRGVRVLMLTLSVERFEKIQKEAPSEFQKYLVQVTKYNSAQHCKSWAPAGTHFHQFVVPPILKFRRNCTYDELAAMRLPKDVQGLGTCEYTMDRGVVHACHAGGLVHMLEGWEHHEVGAIDVDRIDLVWEAAMRHGLSSVSSLTD >SC295g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000141.1:6827:7363:-1 gene:SC295g500010.1_BraROA transcript:SC295g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMWIAINHQGGLHPTRLSGPSTSVSGPSTFRPRLDKLKTQSAGAFMSFDQVYLCARLCSPPEKTLSAGVPLSGQLLRCSAFAPPGAQPSLHQATSLSPPETPLSLKFRPTLTVVLSDSCGEKGKQNQNLEI >A01p003420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1512323:1514940:-1 gene:A01p003420.1_BraROA transcript:A01p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CPK5 [Source:Projected from Arabidopsis thaliana (AT4G35310) UniProtKB/TrEMBL;Acc:A0A178V3J8] MGNSCRRSSKDKIHQGNNNNTKPEDSNSKSSDRSTEIIIPQELPKEAHNKDPALVIPLKEPIMRRNMDNQAYYVLGHKTPNIRDLYTLSRKLGQGQFGTTYLCTELATGVDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHGNIVTIKGAYEDSLYVHIVMELCAGGELFDRIIQRGHYSERNAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSIFFKPGQIFTDVVGSPYYVAPEVLLKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPVISDSAKDLIRRMLCSKPAERLTAHEVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLREMFQAMDTDNSGAITFDELKAGLRKYGSTLKDTEIHDLMEAADVDNSGTIDYSEFIAATIHLNKLEREEHLVAAFQYFDKDGSGYITIDELQQACVEHSMTDVFLEDIIKEVDQNNDGKIDYGEFVEMMQKGNAGVGRRTMRNSLNISMRDA >A02p054600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33136505:33139766:-1 gene:A02p054600.1_BraROA transcript:A02p054600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYSSDDSHSPVNSTVIAIDKEKHSHYAVRWAVDHLANTIHNPLIILLHVRLKSSNYGGNGASDDLNQLFIPYRGYCARKGISMSEAVIDDSDVAKAILEYVNSNLVNNLVLGASAKNTYTFARSLMFSKHHDVQGAIMKSIPDFCSVYVISKGKVQSSRPAQRPITNTLAPPRVPSSGFLIQSLSDSEQDPVPTGQRSARRKPTEIYPHNRTPTNPTQERHKAPINGSMDFNNAFTQVAFQRNPTIQSSFSDESEGGMRGSIDVSSHNSDVYGASCSSDESNAQTTKDIEAEMRRLKLELKQTMDMYSSACKEALTAKRKANELNQWKIEEALKFEKAKLSEEAALAVAEMEKAKCRTALEAAEKAQRMAELEGQRRKQAEIKARNESEDKDRAMNALAHNDVRYRRYSIEEIEEATENFANHRKIGEGGYGPVYKGELDHTPVAIKVLRPDAAQGKKQFQQEVEVLSSIRHPHMVLLLGACPEYGCLVYELMENGSLEDILIRRGNSKPLSWRKRFEIAAEIATALSFLHQAKPEPLVHRDLKPANILLDKNYVSKISDVGLARLVPASVANNVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITARPPMGLAHHVSRAISRGTLKDMLDPTVPDWPLQEAQAFAAMSLRCAELRKRDRPDLGKDVVPLLIRLKNLGNDVGLTRADES >A07p037610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20099652:20106410:1 gene:A07p037610.1_BraROA transcript:A07p037610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLLRRRVSEDASKGRNRLYKVSLSLVFLVWGLLLLSTLWISHGNDHKGNSLAGSVQNGDQDEDSADDTYEPVDAPSLEYTSVHIPAAKEIKDTVDGNVTESKEDIRLVNQSEMVNSNTGLDNDTETNTSKLDQLSRDVPLGFDEFKSRVPTTKDESVANQVSGVIHRMEPGGKEYNYAAASKGAKVLSSNKESKGATSILSPDNDKYLMNPCSTEDKFVVIELSEETLVNTIKIANFEHYSSNLKEFEILGTLVYPSDAWVHLGNFTALNMKNEQNFTLVDPQWVRYLKVNFLSHYGSEFYCTLSLLEVYGVDAVERMLEDLISIQDKNIVRLTQERDSEKPVQVIEDGSKQKEKEQETSPESGVVKAEVAAERKKLMDTVEELKHHQPGSRMPGDTVLKILMQKIMSLDLSLSVLESYLEELSLRYMKIFKDMDVEAIKREKEVGEMRLELDEMKEREEKMKREAMEIREWRRKVETEIENGQNEKEKVMEKLEEVLEKMEWMEKKGVAVFTICVGFGALAVVAVILGKLIANTEKQNNLTPKDVDALLDQSLLQALHTTLKEKGPPFRGSTLWQGQVSGKLEIRVGDSLRQSEILIPLTSQSSTPAAERSRSTWIRQLNAPLEEIDPEIADIIELEKARQWKGFELIPSENFTSASVMEAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFHLDPSKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDENTGYIDYDQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVMCDYEDRINAAVFPGLQGGPHNHTITGLAVALKQVKTPEYKAYQDQVLRNCSKFAETLLSKGYDLVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIEEDFAKVAEYFDLAVKIALKIKAESQGTKLKDFVATMQSNEKLQSEMAKLREMVEEYAKQFPTIGFEKETMRYKE >A01p015620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7632555:7634722:-1 gene:A01p015620.1_BraROA transcript:A01p015620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKDSSEKPTWDRTSSSTTSIPGMLSVTRPGPKLMVWLICFIVFTYIIYMLKLVSTSRTCDDSVTFTTVSALSTNVSNVSSSSIKVASRRRRESEEEEVKVDAADEPTDLNHVVFGIAASAKLWKQRKEYIKIWYKPKHMRGYVWLDKEVKKNISSNDEDDDDLLPPIKISAGTAYFPYTNKQGQRSALRISRIVSEMLRLGLKNVRWFVMGDDDTVFVTDNLIRVLRKYDHEQMYYIGSLSESHLQNIFFSYSMAYGGGGFAISYPLAKALSKMQDRCIQRYPALYGSDDRMQACMAELGVPLTKEIGFHQYDVYGNLFGLLAAHPVTPFVSVHHLDVVEPIFPNMTRVRALKKLTVPMKLDSAGLLQQSICYDKHKSWSISVSWGYAVQIFRGIFSPREMEMPSRTFLNWYKRADYTAYAFNTRPVSRNPCQKPFVFYMSSTRFDKQLNTTVSEYIRHRVSHPSCRWKMTNPAEINTIVVYKKPDPHLWERSPRRNCCRVLQTKRNNTLWINVGVCREAEVTELK >A10p010740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6764313:6766544:1 gene:A10p010740.1_BraROA transcript:A10p010740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESAVTAMETTERATANQSSFKNNSNNGDTTDMELQILAAMRSRVTYLRNKAEYTSSSLSLFYYPVSSVTFASVRRLLEEDMGLEKYALDAHKSFVKDNLVKCLEDPGDADGASENPQETEKKDDVTPVKEEAVVREETVGDEGNREALMSDIKRALHKRASYIKANSEEITMASLRRLLEEDLKLKKDSLVPFKKFINKELDAVLQIPDPPKHSTKPTGKSVKKKVKSTASKKVGSEDDSDSDSEEVAVKKTLTQKRKRKIDSGKPVAGKKKAKHTEADSENDSDAGDTEMKAEKPLKQQGKETAITVYGKRVDHLKSVIKSCGMSIPPVIYRKVKQAPEEKREATLIEELEQILAKEGLSSDPSEKEIKEVKKKKERSKELEGIDTSNIVSSSRRRSATSFAPPPKPKITSESESDEEESENGEDNEEEEEEGNEETGEGSQSEEESNNDDDGGEESE >A03p026830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11185758:11193967:-1 gene:A03p026830.1_BraROA transcript:A03p026830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVYWYDFICFGIVLAAIVASLWFLLRRERGCVDVEDTTHNSFLQSRSGSESLGSARLRTSCWRGLHPGWLLFTRSISFLSMAALLAWDVLEWDASIFVYYTEWTFMLVMIYFAMGIVASLYGCLEHYKEPTSGVCEDAVENKVGDNEFRERLGVYGCFMETIFQTSAGAVVLTDVVFWLVIVPFLSNTHLGLNTQLMICMHTANAGFLILDTLLNSLTFPWFRMGYFVIWSCLYIVFQWIIHACGLLTWWPYPFLELDRPWAPLWYLGMAVVHIPCYGVYAAITTLTTVVTIEMASHERPCTTRRVRALLPQTLDLAGAIHLVELEHGELHLLVLEGARVLRICLAEITLLPLSNFLLKERWAYVERLGKSVRNHAALLRFQSPTWKRTEKTMSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVPQKKFERESTLFLHVVLFPTITPGRLLTLARGCSFSIFLAGFVSGYYPLISGVLSWYQRVEIAAGAARALEYLHEKVNPHIIHRDTKSSNVLLFEDDVAKVSDFHLSNQATDMAARLYSARVLGTFGYHASDVSSQVSKCSSFFLDKLICDDRTVRGCVQLRAGLPRGQQSLVTWMNTPIKLTFLILCIALTATAFVVPAKRADVTPEHEKTVAGICNVVEDKNLCSITLKTVPSNDPAFLVRYLATAAESSVKKGLNFLSEIKPKYKGNSFATNCITGCEKQLNNALEDFADFWKAAGKNITSMADNYFTCKKKMTSIFTYHSTCLDDIYDKTLLKEVQGGIGLGKRMSGESVDVFAGMDKVFNTLNIKTKLNQQDTDSLLPPPLSCCLGGLCSPIGRSSHRSRCHH >A03p026480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11079716:11080320:1 gene:A03p026480.1_BraROA transcript:A03p026480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVRSPDIPTRIFSDSNSSASHAFTRRRGTVSARDSSSFKIQKSRLYAKFSAPAKEDCKISRHDEENKESYYVNKGHAVQCLREELPSLFLKDPSFHIYRSY >A05g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10304567:10305072:1 gene:A05g503550.1_BraROA transcript:A05g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSSASYRPYSLTFVIIIAYKPRCVNLPVRSHSSVRRIQEVVSKVRALGSSSLESETMVCDGLSGLTDLYTCVSEDLFKFSPETQQTILNSGLMDELLEVFLKYLEVCGGATDGASRIKKSVVNLHL >A06p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2850658:2852181:1 gene:A06p008260.1_BraROA transcript:A06p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BPE [Source:Projected from Arabidopsis thaliana (AT1G59640) UniProtKB/Swiss-Prot;Acc:Q0JXE7] MDPGGMMNEAGAYNLAEIWPYPINGGATVNTSMRSGVSFGGPNLVHQFADSNLISNTNCNDPARMSHALSQAVVAGIAGARKRREAGAEDNNVVSGSDGHDANDGDSKKQKTTGCEDEVEVGTLDQKKQQLPEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMTPGIEVFPPKEFDQQTFENQGMQFGAQGTREYSRGASPEWLHMQVGGGFERS >A08p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3394423:3397267:-1 gene:A08p005880.1_BraROA transcript:A08p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGYRCWKVSLIRSPNSTLPKSLYTCRTLLKLTLSNNIVVDDSHVKLLSSCPVLKKLSVLRDEFDDNVTTFIVKVPSLENLIYECKSRSRHEIISNVFCFFFSKIEEFFTVFLLILYYVSLIYRLHVVEPLHSHGSKVFKLRLQPPTLFFYSDGIILKLIISSLLASQKGCSRNLPISWNQPSSVPVCLLSHLEIFQFKGYRKRREEKLFVAYILANSKCLKTAGISLRSS >A10p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13193010:13196355:1 gene:A10p019130.1_BraROA transcript:A10p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPRFCFFLFLFLNSSVFFFIPCFSVDEQGQALLSWKSQLNISGDALSSWNAAESNPCKWVGIRCNARGQVSEIQLQVMDFQGPLPVTNLLQLKSLTSLSLTSVNLTGFIPKELGDLPELEVLDLADNSLSGEIPIEIFKLKKLKTLSLNTNNLEGVIPSELGNLTNLVELTLFDNKLAGEIPRSIGELKNLQIFRAGGNKNLRGELPWEIGNCESLVTLGLAETSLSGKLPASIGNLKRVQTIALYTSLLSGPIPDEIGNCTELQNLYLYQNSISGSIPTTLGGLKKLQSLLLWQNNLVGKIPAELGNCPELFLIDLSENLLTGNIPKSFGNLPNLQELQLSVNQLSGTIPEELANCTKLTHLEIDNNRISGEIPPFKLTSLTMFFAWSNQLTGNIPDSLSQCEELQAIDLSYNALSGSIPSGVFQLRNLTKLLLLSNNLSGFIPPEIGNCTNLYRLRLNGNRLAGNIPAEIGNLKNLNFIDISENRLIGNIPLAISGCESLEFLDLHSNGLTGSLPGSLPKSLQFIDFSDNSLTGSLPSGIGSLTELTKLNLAKNRLSGEIPREISSCRSLQLLNLGDNGFTGEIPDEVGRIPTLAISLNLSCNSFAGAIPSRFSSLVNLGTLDISHNKLAGNLNVLADLQNLVSLNISFNEFSGELPNTLFFRKLPLSVLESNRGLFISTRPDNETQTRHRSAVKLAMSILVAASVVLVLMAVYTLVKAQRVVGKQEELDTWEVTLYQKLDFSIDDIVKNLTSANVIGTGSSGVVYRVTIPSGETLAVKKMWSKEETGAFNSEINTLGSIRHRNIIRLLGWCSNKNLKLLFYDYLPNGSLSSLLHGAGKGRGGADWEARYDVVLGVAHALAYLHHDCLPPILHGDVKAMNVLLGSRFEPFLADFGLAKPVSGGENTDIDSSKSSNRPPLAGSYGYMAPEHASMQRITEKSDAYSFGVVLLEVLTGKHPLDPDLPGGAHLVQWVRDHLAKKKAPGEILDPRLRGRADPIMHEMLQTLAVAFLCVSNKAADRPMMKDIVAMLKEIRQLDVGRSENDMMKGGKCEKWQPQLPPEKFVTPRGSSNCSFAFSDESV >A06p050340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26495693:26497515:1 gene:A06p050340.1_BraROA transcript:A06p050340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFHTFQVKALNFTMVLDGNGGGVWLGGGGGGGGGERVQEEENEEASWGRNQEDGGQFKPMLEGGGDWFTSSQPHPQDLQMLQSQQDFRFLGGFGFNPNDNLLLLQHSMDSSSSCSPSQAFSLDPSQASFLAAANNKSCLLNVVPSSANPFDNAFEFGSDSGFLNQIQAPVSMGFGSLTQLGSSVPDFLSARSLLPPENNNTAPLCGGGGSGFTPLELEGFGSPASFVGSRPKVLKPLEVLASSGAQPTLFQKRAAMRQSSGSKMGNSESSGMRRLSDDGDMDETGVEVSGLNYESDELNESGKASESVQNGGGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPTGSLPPTSSSFHPLTPTPQTLSCRVKEELCPSSLPSPKGQQARVEVRLREGRAVNIHMFCGRRPGLLLATMKALDNLGLDVQQAVISCFNGFALDVFRAEQCQEGQEILPDQIKAVLFDTAGYAGMI >A06p004890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1369164:1371291:1 gene:A06p004890.1_BraROA transcript:A06p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPSSEFSYQKGFSPPNSLPRELQSLVRELSKRFETKDSITSKVYRLECTISLVKVPSLSPSEDTDLYFLQLQPTETPTSTTNSGGCTDRLNGECYIPAVKRLDIFNGEIVHSSNYKSGHDLKGKTVLVARGGNSSMEMCFALNNLDARMAYFPVTMIDTMVMLMAKAMLFMYGDPFKYGAADQHKAFSPPNSLPDK >A07p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7489799:7491013:1 gene:A07p013430.1_BraROA transcript:A07p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIHGFMSTSNISHNTNLMIAAAAATTTTTSSSSSSSGGSATNQLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHMQICPFFGHPNPPAPCTCPLRQAWGSLDALIGRLRAAFEENGGSPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQAPLPPPHQPGISNSPNLQ >SC117g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:473769:474293:1 gene:SC117g500170.1_BraROA transcript:SC117g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A08p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:23853:24126:1 gene:A08p011320.1_BraROA transcript:A08p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKGSDTCNSPSTKNVETKVLCHCISSLGHSLVYRKCSMGYYAMINVSCETLYGDSNTLIPG >A10p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18437840:18440267:-1 gene:A10p030530.1_BraROA transcript:A10p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILKLPTSDSLLKDKVLGSAQIHEATKVEEDHQELESAKAEMSEVKEENEKLKGMLERIESDYKTLKLRFFDIIHQEEPSNNQTQDQNMIDLPKATTDLSSLDQERELVSLSLGRRSSSPSDNSSKKEEKTDATSKKVNDDEELTKAGLTLGFNSGNGRDPSVANPANSSLENNLEDAPGETWPPTKVTGKRSSPAAACGGDVDGEGGQQNPVKRARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCAEDMSILITTYEGTHSHPLPLSATTMASTTSAAASMLLSGSSSSSAAEMIGNNLYDNSRFINNNSNKSFYSPTLHSPLHPTVTLDLTTPQHSSSSLPSLNFNKFPNSFQRFPSTSLNFSSNSSSSNSSLLNQPATWGNGYSSYTPYPYNNVQFGTSNQVKTVQNNQSLTETLTKALTSDPSFHSVIAAAISSMVGSNGEQQMVGPRHPTSDSVQRTAATENNKGRGGYFSSLLMSNIIANNQTGASLDQPSSQLTSLSMFNNSSSSSSTTSFVNKEEKS >A06p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24862555:24865860:1 gene:A06p046480.1_BraROA transcript:A06p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQLYGLYLLFVLLLSVSSPVTSDEVVSCTMCSSCDNPCNPVQSYPPPPPPSRPPPSPSTTTACPPPPSPPSSGGGGGSSYYYPPPSQSGGGKYPPPYGDGGQGYYYPPPYSGNYPTPPPPNPIVPYFPFYYHTPPPELCNGIFLFYTFILGRQIPPNDTTDQAYRFESVLTVLNNGREELKQWRVLVGFPPPMRSSFNGTKLLALVGNITIFGGYPASDLKTAIQTARDLDQMAARIELVGTQFMVIPPAIPTLSNISLVNDGWLCPEPTPPTPLNNYSN >A04g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:779867:780394:-1 gene:A04g500160.1_BraROA transcript:A04g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNENVDDAGVGADDGGGTTRRKKQKQIIWEDEHVGVFLELLDIELAKIRYRQKLSKEVGRERICKEFLEKTGISLSWEPFKSKYDILRNMYGSYKRLKNFTGVSADDNTGLITKLQMLILKIEIAVVKHNKDDGLKKKSLVDLKKKK >A01p017540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8581965:8582998:1 gene:A01p017540.1_BraROA transcript:A01p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGKRTPSMNLAVQVSMRVLVIGTALASMGLMITNHEVASVYGIAFEAKYSDSSAFRYLVYADIAISALTLFTLVWACLAVRNRGLVFALFFFDLLATLTAMSAFSAATSEGYIGKYGNTHAGWLPICGYVHGYCNRGTLSLAFSFASFLLLFILTVLTASAARHH >A06g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22992392:22993552:-1 gene:A06g508160.1_BraROA transcript:A06g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGVIEQKSNMLRWIPPGSPGLGGVEVKNEKHMRQDHLDASHQVFDELPHTQFYQQLYRLSLSRGISTVRSGNNVGDFSYIRRCPEANISSYKAADANDSITKFEYIFSCGLFDCVEESIDLGSTTLIYEHEGCWQVEDAMRLARGRCQTEDLT >A09p008710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4495263:4497147:1 gene:A09p008710.1_BraROA transcript:A09p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLRISPFASSTIFNHLVTQDFQIPLHIVLNIIHTFFSLYSVFVSSQHYNKMASSSSSSLLSSPSSYAELKDAWHPSTTIVDTTASSYWFNWRVMICCIWMAIAMVITAFLIFKYEGFRRKRSGQGGEKEWSGNVYEDETWRPCLRNIHPAWLLAFRAVAFFVLLIMLIVIGLVDGPTIFFYYTQWTFALITLYFGLGSLLSLHGCYQYNKRVAGDRVDSIDAIESERARSKDSDHTLQQTQYSSNSAGFWGYVFQIIFQMNAGAVLLTDCVFWFIIVPFLEIHDYSLNVLVISMHSLNAIFLLGDAVLNSLSFPCFRIAYFFLWTIAYVLFQWTLHSLVHIWWPYPFLDLASHYAPLWYFSVAVMHLPCYGAFTLLVKLKHRLLQRWFPESYQSPR >A04g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7092127:7093716:-1 gene:A04g503240.1_BraROA transcript:A04g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKKYSTDLKSPTSPFSHINNKIKENKQKKHDALSLHKGDLENQALDSSLYMHLCRPYQKDALLEFKNEFHYNVMAGKTESWRNNTDCCSWKGISCDPKTGNVVELDLQDSFLNGPLRSNSSLFRLQHLQTLDLGLNNLTGILPASISNLKYLRNLTLYNCNLFGKIPSSLGNLSYLTDLDLSVNDFNGELPGSVGYLNRLTELRLVSANLSGKFPLALLNLSELTWIDLRSNKFEGMLPSNMSSLSKLIYFEISGNSFSGSVPPSLFMIPTLVDLDLGRNDFTGPLEIGDISSPSKLRVLYLGENNFNGPVPRSLSKLASLVSLDLSFWNTRRGNVDFTIFLHLKSLKLLHLSNMNTKTMVDLSLLSHLMSLGDLDLSGINLKINPTLNLPSPIKFLALSSCSIAEFPKFIQSQTRLIYLDISANQIEGQVPEWLWKLPVLWYVNISHNSFNGFKGSEDVIQKKCKSNA >A09p082840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59836049:59838552:-1 gene:A09p082840.1_BraROA transcript:A09p082840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wee1-like protein kinase [Source:Projected from Arabidopsis thaliana (AT1G02970) UniProtKB/Swiss-Prot;Acc:Q8L4H0] MFEKKGRTLLAKRKKSLGAIDTRRTKKSLEMEGHSLLRFGQLTKLSFDNRPPSNAAESSSELRNELGSVDGDGDCGEKGFILSQDFFCTPDYITPDNQNLMSALDSSKDQSPCPRSPVKLNTVKSKRCRQDSFTSKTSDYTWSSKHRVDERENDGIDTDEVMVDKIQANQTERTGYVSQTAVALRSRVMPPPCLKNPYVMNDSEIGYQRSKCASFLPAGIGGSGLSRYLTDFHEIQQIGAGNFSRVFKVLKRIDGCLYAVKYSTRKLILDSERRKAMMEVQALAALGFHENVVGYYNSWFENEQLYIQLELCDHSLSKKSSLKISEREILVIMHQIAKALHFVHEKGIAHLDVKPDNIYIKNGVCKLGDFGCATRLDKSLPVEEGDARYMPQEILNENYEQLDKVDIFSLGVTVYELIRGSPLTESRNQSLNIKEGKLPLLPGHSLQLQQLLKTMMDRDPTRRPSAREVMEHPMFDRIQG >A08g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6343207:6345229:-1 gene:A08g503510.1_BraROA transcript:A08g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIFSNLPSCNIVVTKPEVEASPANPRLIISLMNSADAALSPPSRCALISTLYPEKKRFTTAEKGKQKESEQLPADTPAAVPPREYTPKVPYSVPAKATRKDREEMKCRKMLEDLTVRLPLMDAIQMMPAQLYEGIDLRENII >A09p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1502972:1504261:-1 gene:A09p002040.1_BraROA transcript:A09p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASIPARNSALIAMIADEDTVVGFLMAGVGNVDIRRKTNYLIVDSKTTVRQIEDAFKEFSSRDDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVSQR >A05p016100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7167305:7169345:1 gene:A05p016100.1_BraROA transcript:A05p016100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKTIALTLFLATTLFASCNAAANATTKPLFPAILIFGDSTVDTGNNNYPLNTIFRATHFPYGIDLPDHKANGRFSNGKLIPDILAGKFNIKQFVPPFLQPNLSDQEIVTGVCFASAGSGYDDLTSLSTQAIPVSEQPKMFRNYIARLKSIVGDKKAMEIINNALVVISAGTNDMVLNFYTIPTRRLEFPFISGYHEFILKRLDGFIGELYSLGARNIAIAGLPPIGCLPIQMTAKFRNIFRFCLEQENKDSVVYNQKLQKLLPQFQSSHTGSKIFYADIYNPIFDMMQNPSKYGFTETKRGCCGTGFLETSFMCNVFSPSCPNHSEFLFFDSIHPSEATYNYIGDLLDTQRKGLFDVFEEAKPVLLVYLYSNSVTKNYFENLVKALENGLADVDSHAACSSKSTSSKSTACSSKTRGKGKGSSRSSRNQDDN >A04g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11784151:11784819:-1 gene:A04g505570.1_BraROA transcript:A04g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKYTKSLGELSRAFHRTPFGEIDKKSTITQEHNNQNPRDELLQRVFVYFSIDQKIKDNLPLVATKDALKKNRNRPNNRRGATSACRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGV >A07p041740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22500025:22502472:-1 gene:A07p041740.1_BraROA transcript:A07p041740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEVLQAIVLFILFWIWLSVMGGSSSKDSNRGGRSSRRYPGSPSASSSASSWGPYGDHHNSSYHTPSHPSASPAPSYNNPRWQTQKNLERKYSRIGDNYRSIDEVTAALSHAGLESSNLIVGIDVTKSNEWTGARSFGRKSLHYIGTTPNPYQQAISIIGKTLSVFDEDNLIPCYGFGDATTHDQDVFSFNANDTFCNGFEEVLMCYREIVPQLRLAGPTSFAPIIERAITIVEESGGQYHVLLIIADGQVTRSVDTEHGAVSPQEQQTIDAIVRASEYPLSIVLVGVGDGPWDTMRQFDDNIPARAFDNFQFVNFTEIMSKNVDPARKEAEFALSALMEIPSQYKATLELGLLGRRTGNCPNRFALPPPTYAAQSMRNSPRTSRSTSFQTRTPQAYDSGVAASTAPVSNARNDGQLCPICLVIPKNMAFNCGHQIVGKTSTFVPFAEAQSRFVSSFIKITLSGDLTSFRAQLELVFQLVLINGGGDMTSVLVCICFRCLYIICSVLHSHCT >A02p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6951776:6953654:-1 gene:A02p015730.1_BraROA transcript:A02p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFVLSLLMLSSFSVMPFTHADSGMIGVNYGRIANNLPAPEKVVELLKSQGINRVKLYDTDSSVLTALANSGIKVVVSLPNENLSAAAADQSYTDAWVQDNVKKYTPSTDIEAIAVGNEVFVDPRNTTAYLVPAMKNVQSSLAKFSLDGAIKISSPLALSALANSYPPSAGSFKPDLIEPVIKPMLDFLRKTSSHLMVNAYPFFAYAANADKISLEYALFKENAGNVDSGNGLKYENLLDAQIDAVFAAMSAVGFNDVKVVVTETGWPSAGDENEIGAGSANAAAYNGGLVKRVLTGNGTPLKPEEPLNVYLFALFNENQKTGPTSERNYGLFYPNENKVCDVPFSAKSAPVNDSKEKVPVKSPSHVGQTWCVANGKTSKEKLQEGLDYACGEGGADCRPIQKGATCYDPESLEAHASYAFNSYYQKNARGVGTCDFGGAAYVVSQPPKYGKCEFPTGH >A01g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13203454:13204401:1 gene:A01g504290.1_BraROA transcript:A01g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLQSPDHISSDLLHYADDPRGHAVSQPCLAAQCQCLRWNVDRCWVGMGGRQKSSLGRRWKMNFRIWKEKCMFLVAFGTTGHAPEKKRKSYLSPDLRPHLSLVGPEKVSIDSNNRVSIDTPFSPLIDATSELSNDVPSREH >A09p081830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59454421:59456251:1 gene:A09p081830.1_BraROA transcript:A09p081830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSCLKQGSVPMDNIRITPEATYEAVVADPKLFMGSLERLHSQLSTKFMVPIIGGRDLDLHKLFVEVTSRGGINKILHERRWKEVTATFVFPPTATNASYVLRKYYFSLLNNYEQIYFFRSNSHIPPDSLHTPPGAPGLMQGGAMRPPQELQGLAFTPQPRINPGGVLNGADVFGVVDGKFEDGYLVTVTMGSEQLKGVLYQLVPENTVAAHQSHHGGFTNAWNNNGPYPQGVVTGGVAKRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLNEQERLVYQGKAMEDKERYRTEMEDYRERLRTGQLISNAVPLQQRLPEQNVEIAEADVPIEEEEDDEGDSSGVSGESELHSDAEMEEPSLAPSGVNLNPNPSEIVVAPKEKASDVVVMETSPVKKAEEATVVVAAEQN >A08p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12503335:12504538:-1 gene:A08p018000.1_BraROA transcript:A08p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVLTQDMLLIHYNLEQKKRHFYQHINSNTHRSSSVVRLLIALLAKSNFSEQTDRQKENPKMDGHDSEDSSKQSTADMTAFVQNLLQQMVGFLLASVALLLGRINELEQSINDLRAEMGVEGTPPPPSKSGDEPKTPADST >A10g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6776951:6780599:-1 gene:A10g502470.1_BraROA transcript:A10g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLTKVRSSERTDQTDRAVPRASGLELRLEPRPDDRTDRTTARLPRQPRHSKTHARAILTLGREGTEDRHAFLSGGPSEQSRQRPYLYPVHPSALDRGYIKSHSASLDDPFIPSQFQKGRIKWYKSHSTVRYWLGGGRQILLAEEKSSLGVVNTDFQTNSLILLSCVHLLVKMFETDQRRLFSQFEVREFCDNLLEGVVKALKDVTKIQKKRTTTRAPVAKPSLFINKKPKGKYENNIEDLKDFSDSLPIFDEYGEELMESLIICEDECDLRSPKSDFMFNDEETNGLTCFEPKHPSSLVLISQDFEEEPIDYSHQGSLLGTRRPMDVDLCPIYDEEDELGPAFDEKPLSITPFIMENRLCFDPDTTPTPLSKEHCKELCIISYVPDLFDKVSYNEIKLSGLDHLENSFELDLQQLVFCSRKSFDSFVFKENSFSVSSYRHELITGILFASSYALDDFMVSTLLEHNSHRAETDFCGDSVLKSVYSYSESDLELLNCAIMCPDTILVYNTYFDRLHDDLKRLLHDKHDQSPGGVRNRSRDLADQFEIWRCIPPEKLEMANLLSDEPTINSVMPKVNIHVLDVQESLGLMVFQNIQKQTCLGQMEKPIKYWLREKMDFDQASKGHVLAHIRSIFFTFQSLGRGYIKRQSKFQSKTLFSQVFVSIFIVLFLAHFSLELYNLLAPSKLIYFVGLVRYIKHRIETLLLLDLCVISSNN >A03p021600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9201135:9203402:-1 gene:A03p021600.1_BraROA transcript:A03p021600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNIGSELQDSYNDQHNWVLDSSLDSRGHVPLRARTGAWKAALFIIAIEFSERLSYFGLATNLVVYLTTIIHQDLKTAVKNVNYWSGVTTLMPLLGGFVADAYLGRYTTVLVATTIYLMGLVLLTMSWFIPGLKPCHEEVCVEPRKAHEIAFFIAIYLISIGTGGHKPCLESFGADQFDDDHVEERKMRMSYFNWWNVCLCAGILTSVTFLVYIEDRVGWGIAGIIFTTIMAISLLIFLIGKPFYRYRTPSGSPLTPMLQVLVAAIAKRNLPYPSDPSLLHEVSKAEFTTGRLLAHTKHLKFLDKAAIIEESTPLDLQKRSPWRLVTLTKVEEAKLIINVIPIWLSTLVFGICATQTNTFFIKQAAIMDRHIAGTNSFTVPPASMFSLTALSLIISLTIYDKILVPLLRRVTRNQRGINILQRIGTGMLFSLTTMIIAALVEKKRLDRSENNEPMSVIWLAPQFIVIGVADAFTLVGLQEYFYDQVPDSMRSLGIAIYLSVLGAASFLNNLLITAVDTLADDFSAKSWFGKDLNSSRLDRFYWFLAGVTAANICVFVVVAKRCPYKKVQPNQVVVDSSVSVA >A08p029910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18414176:18415231:1 gene:A08p029910.1_BraROA transcript:A08p029910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTDLNLSFSEIEELWEGVKDTPKLKWVDLSHSSKLCNLTGLLNAESLQRLNLEGCTSLEELPREMKRMKCLVFLNMRGCTSLRVLPHMNLISMKTLILTNCSSLQTFRVVSDNLETLHLDGSAIGQLPTNMWKLQRLIVLNLKDCKMLAELPECLGKLKALQELVLSGCSKLKTFPIRIENMKSLQLLLLDGTSITDMPKILQLNSSKVEDWPELRRALFVWLPQVSAAAVAAASAAVAASMRNVVVRFGDADAAAAAAAYHIATAGFIGVSRRTQRSDAAAAAGSCVNERNKS >A04p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11203493:11205285:-1 gene:A04p018680.1_BraROA transcript:A04p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQQAAVSFLSNLAKAAFGLGTAATVLNTSLYTVDGGERAVIFDRFRGVMDQTVGEGTHFLIPILQKPHIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPEVMRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIKRAKDFNIVLDDVAITHLSYGYEFSKAVEQKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFSLNRYGEPLRYFNDQVVNLDLMKW >A06p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19854570:19855562:-1 gene:A06p036650.1_BraROA transcript:A06p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTTSSNSEAQSYIESPHSPLRFHSPLSDAGDPPESRYVSPEGSPFKLDNPKTIVTDGKFPPLPPPPPQFPPRHQRSARGAPTNSSSEKSPSSMVVFNRWVREEGPQTTTRKVGGGETTVNRARRDELVSIAALGFRVSEVILCVISFSIMAADKTQGWSGDSYDRYKEYRYCLAVNVIAFVYSAFQACDAACYIAKESYMLNCGFHDIFVFSMDQIIAYLLMSASSCAATRVDDWVSNWGKDDFTQMATASIAVSFIAFVAFAVSALISSYRLFSHASS >A03p002140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1015294:1016049:1 gene:A03p002140.1_BraROA transcript:A03p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDEEEELPSPEELIPLSQSLITPHLAIAFDLRSTHHRKNGSSGHPLPQTTPTQANSSAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVEGLTRENVASHLQKYRLYLKRMQSGGGNVGESDRLFASSHVPAHFLHPTGRQSSDHFIPPFVPMAATLPHQQFLHRQTSAVNFTSQANNNGKVMEQSLFLARQSQHQPVLIRPSSLHLQSRVANYAEDLESGAKTVLTLFPTRQD >A10p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17248916:17251409:-1 gene:A10p027410.1_BraROA transcript:A10p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCLPCFGSSAKDAASKDSVKKEASAKAKDASVTQSHHVSLDKSKSKGGSEQKKELTAPKEGPTAHIAAQTFTFRELAAATKNFRPDCLLGEGGFGRVYKGRLETTGQIVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKEPLDWNTRMTIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLGDGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNARAHGEHNLVAWARPLFKDRRKFPKMADPSLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTFDPNAASSQNSRSGGGGPPFIRTRDERRSMGDGSSLDSPAETRSRLGSPATHKNSPDYRRRDMVREVNAGSEAGSENGGGSGRKWGLSDVEGTESQRGSPASVGRGTRGTPRNRDLDRERAVAEAKVWGENWRERKRGEGREGSYETFVESGRVGQLSDLMSESEERLFNIVVEAQEHDANDERLGN >A04p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7903025:7905163:1 gene:A04p009050.1_BraROA transcript:A04p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MGDETVDVIEAKYISGLSAVMVATIQEAKDRISQIEYIFCSQLFPNFQSKSKAFEKVYSDARLAACDAWKEREKDLLSQIEELKVENLGLVKEKEKLSEENDKLVSMPLRLKSLEDYIAYLKRKWKSRSEEVGNSVELHSGLVQVLQVKGSDVLSEDEVKRLLSEVKSVKTKAESLEEKTLVTEDLLKKLSSMEQIKSENLALIKEKEKLSEENDKLISMPLRLKSLEDYIAYLKRKSKSRSEAVQSSIELHSGLVQVLQVKGSDVLGLDEVKMLLSEVKSLKEELSKKTLVTENLLKKVEHLSTEASESERKLSSCEEQKERLTTRLQVFEENVGRLEEMLRQKSDELEVLQGKLNLTEKEMLECKQKIVEKELGGMNMKVKDGSYLAELETLRCRNEEKSVELAMEVKKKNEAVSAFKKLKSQYNYLRKRFGLTTDSDLQQQSQLESESNQMGQHERPAISKSPERKHSEADKVRTGTGSRINHEKESVTNTLLRTPTTSISPITRSPGIRSDPSGAKSVQLSGSKRPASIWRDTRSRQSPGGKDPHDDFLDTPIENIKRVSTEEKHFPVAAAEKDADSDDETQDMNPKQSPSRQRIQVAETSRKSFKHVESVRKKAERENLKGIECKQCKKFYDAVHPENEGNGKSLRCEHHEGVSRHRYKYAPPMTPEGFWNIGFESEM >A01p039680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14195038:14196592:-1 gene:A01p039680.1_BraROA transcript:A01p039680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLSRPRDIVKRSTKKYLDEPLYHRLFKDGGSEVSVRQQLNQFLKGTKHVFKWEVGDTIKKLRSRGLYYPALKLSQVMEHRGMNKTVSDQAIHLDLVAKARGIAAGETYFVNLPETSKTELTYASLLNCYCKELMTEKAEGLLNKMKELNITVSSMSYNSLMTLYTKTGHAERVPGMVQEMKAENVMPDSYTYNVWMRALAATDDVSGVEKVIEEMNRDGRVAPDWTTYSNMASIYVDARLSEKAEKALQELEMKNTDRDFKAYQFLVTLYGRLGKLSEVYRIWRSLRLAIPKTSNVAYLNMIQVLVNLKDVTGAETLFKEWQANCTTYDIRVVNVMIGAYAKEGLIEKAKELKEKAPRRGGKPNAKTWEIFMDYYVKSGDTAQALECISKAVSIGKGDGGKWLPSQEAISTLMSQFEVKKDVNGAENLLEIFKKGTDDSIGAEIFESLVRTYAAAGKSHPAMRQRLKMEKVEVNEATQKLLDALCRQE >A04p006330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3384144:3386479:-1 gene:A04p006330.1_BraROA transcript:A04p006330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIENASKKPSMASASSNPETLFSTKTPDKTATLSTRPRDCDKPVRRQILTSPRKPETTVKLPERFEILDECFNGLVTAIRLLKLKGSLTSFANICPKIEYLTNRIFSYDHLAQMKHIYPEATEVKRVLKCDEVTSCMKPTLHINLNTDAIELEDTSCGTKYMQLRNVFYSKLVDFYKAHPKDEFPKELLPESFNFSKKDSDTISVVDVGAFKVENDGFDVQMDEMEQEEDKVNKVFPDSTLSDGTEECLLPNTESTPAKVLSTPSKDLSTPIKLMSATPTLQPSRRCITMTPDDDSDSVRPTNDLERRPSRTRCLNFDTLEEEDGTVSDESNDEANDDEASDASSDEDSDASYDEISLLHSMIEEPKAETVKQNLPKLVNVIHKMFHSTNRTVITKEELLHKMIACQIEIVDRKEVEEQLRLMLQLVPDWISETKASFGDVLVSINKMSTPETVRARLEEATSQDSSTVS >A02p043890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27672079:27675999:1 gene:A02p043890.1_BraROA transcript:A02p043890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TPLATE [Source:Projected from Arabidopsis thaliana (AT3G01780) UniProtKB/Swiss-Prot;Acc:F4J8D3] MDILFAQIQADLRSNDALRQSSALLQALQQSAAGRDISVIAKSAVEEIVASPASAVCKKLAFDLIRSTRLTPDLWDTVCSGVKTDLHFPDPDVTAAAVSILAALPSFSLPKLISDCSAEIASCFDSPSDNLRFSITETLGCILARDDLVTLCENNVSLLDKVSTWWGRIGQNMIDKSDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSKWVSSMVDIVWKKRSALMARSLVLPVETFRSTVFPLVFAVKAVASGSVEVIRQLSKANTSGANAAVVVDSNAEKLVGVSDLVTHLAPFLASSLDPALIFEVGINMLYLADVAGGKPEWASQSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSLFRRLLLMVRNLRAESDRMHALACICRTALCVHLFARESARRGQKPLPGTDIISLFEDARIKDDLNSVTSKSLFREELVAMLVESCFQLSLPLPEQKFSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRLKDGASQDQMLNETRLQNLQRELVKDLQEVNTPRILGRLIWTIAEHIDLEGLDPLLADDPDDPLNIIIANMHKVLFNLDAAATTSNRLQDVQAVLLCAQRMGSRHARAGQLITKELEEYRNHAAADTVSKHQTRLILQRIKYVSNLPERKWAGVSETRGDYPFSHHKLTVQFYEPSAAQDRKLEGLIHKAILELWRPKPSELTLFLAKGANSTSIKVPPTAYPLTGSSDPCYIEAYHLADTNDGRVTLHLKIINLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRNLVSQDPVHCSVTVGVSQFERCGFWVQVLYYPFRGARGDYDGDYLEEDPQMMKQKRGSRSELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVAEYTGTYTYEGSGFMATAAQQYGASPFLSGLNSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWHGGFVGMMIFGASEVSRNVDLGDETTTMMCKFVVRASEASITKQIESDVQGWCDDLTDGGVEYMPEDEVKATAAEKLKISMERIALLKAAQPKKTPKTQEENESEDEDDDDEEDDEEKEKKEKEKEEEKKKKEKEKGTLSKLTAEETEHMALQAAVLQEWHMLCKDRKLTKNN >A01g501690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6088696:6089400:-1 gene:A01g501690.1_BraROA transcript:A01g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKIVGGGLPPAFSLFPSLNKTFTFHQILVLIITFLAYDSFHASRKPPSIVKSVLGPSVNEQSNSPIDNGWAPFNGTEGTQRLGELDLAFLSSYALGMYFAGHLGDRIDLRLFLVFGMMGSGILTVVFGLGYWMNVHLLGFYMTVHIVCGLFQSIGWPCVVSVVGNWCGKEKRGLIMGVWNSHTSVGNIVGSVVASSVLDSGWGWSFALPGGLVIVSGLVVRIISDLNLATII >A06p007940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2754978:2760876:-1 gene:A06p007940.1_BraROA transcript:A06p007940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPNNHEFDQTSKAKVPFSWELKPGISRRKNRSGRDLLQCKLTPPPCPDADYSEEVLHSPLVVCPFTPTNSRSSLSSFRKKNVDPFLEAYRKCLENSPIRISSSMGRNVRGDHQDCYAKDKKKSLMLWLWSKYSCKFGTDGWSSVSRFRRSKKRIDSTKCNVKDYFFEPSMFGSYQFLQSEYIFLIWLYRSTLVTTCEGSGSVFVESEKNMSSQVRRDRRHQSSQQTWVPRGASTSVAVVNEPSHPPANNTAGVSESLAAGAASRPVYLGRQHNGSVRPSYNQHQRNNNVAAPPPHNRQRRNNNAPGATLLDNRQRVGSRTRPVYQGKRVAKEDNIALTDPNLPQLVQEIQEKLVKSSIECMICYDKVGRAANIWSCSSCYSIFHMHCIKKWARAPTSIDLLAEKNQGDNWRCPGCQSVQLTSSREICYRCFCGKRKDPPADPYLTPHSCGEPCGKPLEKELFAGAETTSEDLCPHICVLQCHPGPCPPCKAFAPPRSCPCGKKTVHTRCSERRSLLVCGQRCEKLLNCGRHQCEKTCHVGPCDPCQVLVNATCFCKKKVETVICGDMNVKGELKAEDGVYSCNLNCGKPLGCGNHFCSEVCHPGPCGDCDLLPGRVKTCYCGKTRLEEQTRQSCLDPIPSCSNICSKLLPCGLHTCNEVCHSGDCPPCLVQVNQKCRCGSTSRTVECYITTSSETDKFVCSKPCGRKKNCGRHRCSERCCPLLNAKKNDLSGDWDEHLCQLPCGKKLRCGQHSCESLCHSGHCPPCLEMIFTDLTCACGNTSIPPPLPCGTPVPSCQLPCSIPQPCGHSATHGCHFGDCPPCSTPVEKKCVGGHVVLRNIPCGLKDIRCNKICGKTRRCGMHACARTCHPEACDTYSESEAGLRVTCGQTCSAPRRDCRHTCAALCHPSQPCPDSRCEFPVTITCSCGRITATVPCDAGGGSTSNLSVDSIYEASVLQKLPASLQPVESSANRIPLGQRKLSCDDECAKLERKRVLQDAFDITPPNLDSLHFSENAAMTEIISDIYRRDPKWVLAVEERFKFLVLGKARGSTSTLKVHVFCPMQKDKRDTVRLLAERWKLAVSNAGWEPKRFTVVHVTQKSKTPTRIIGARGGAISLGGPHPPSFDPLVDMDPRLVVSLLDLPREANISALVLRFGGECELVWLNDKNALAVFHDHARAATAMRRLDHGSVYHGAVVVQNGPQSPSLGGNAWGKLPSSSSWDVQKANPWKKAVIQESDENWGAEDSPIGGSSTDIQAAAWRSATSNTPVVTSVNRWSVLEPEKPNTSALQPLVQTEGSSSSKAAGKQPVEGSSEEVVDDWEKVCE >A03p021530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9173761:9174462:-1 gene:A03p021530.1_BraROA transcript:A03p021530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVTPKRHPINHFTHNHPLTEVNVVGTYTCNGCKLYGVGKTYRCNECDYDLHEYCATCLPTLRNTWHAPDHELNLMSSPAHMTARVCYVCRVYIQGMFYKCKHCSFESHPLCTHGPTHASYPDATLTRQRSLHDPHAVQPPWSPHHYNQGNHFGSYPYGGGYHPHHQHEHQSQHPYMNMDSPKADSKKDSSKGKKKKGGILEGVQAAAALTGTVVAQFVVTTVAEEYIFHEE >A04p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14148531:14151669:-1 gene:A04p023340.1_BraROA transcript:A04p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCFQDSVSLFVTMVVVIVLLLPHPTLSATSTYSRRPDKFYVNCGSDSNVTYGNQTFIGDMISGDNSISFTRDGTDVINQFESSTAQEIYRTARIFRRPSHYKFQLGSLGLHFLRLHFSADSSRTELSTARFTVSATSGSNRPLRSFSLQNFNETPRVEEFLLMINSPKLEIRFVPDRSSVALVSAIEVFSAPNDLTESAKNLRTIYRLNVGGKKTRPEDDTLGRTWFPDDAFLYRKDSARNISSTQKPNHQSGSELIAPDFVYRTAKTIVSSEMISMINVTWSFKVKINYRHFIRAHFFDIKNNESQPSDFYLYVNGHWRLYVNPFDHHGSVTPFYIDVVNVSDGSGLLNVSLGNTEPYKDAGFLNGLEVMEFLESSSNGSSYRVYIVAGCAGVALFLVLGLLFILFLKRRRSKEMQPEVEVTVWSPLPLYRGGGSSENGPFYSGNNSPLVNLSLGLKIPFRDILIATNNFDEQLLIGKGGFGDVYKAILPDESKVAIKRGKSGSGQGILEFQTEIQVLSRIWHRHLVSLTGYCDENSEMILVYEFIEKGTLQEHLYGSDLPSLSWKQRLEICIGAARGLHYLHSGSEEAIIHRDVKSTNILLDENTVAKVADFGISKLVVRNQESISISTNIKGTYGYLDPEYMYTRILTEKSDVYSFGVVLLEVLCARPALSRHLPAEEQNLADWAMSCKSKKIVDKIVDQRLVGQIEPNVLRKFMEVAEKCLKDSGDERPSMADVSWELEYIMRLQMMTILGDSAASISSCGGDGSLVIPRLTVSDSFSCNSIAQEDQVKKYGSINSSRSQTRVLSQNEGSNTNHWRLGNLNLGYKDFLHRHFESSKQF >A09p063880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51679899:51685908:1 gene:A09p063880.1_BraROA transcript:A09p063880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKLRHRSMFVIIGDKSRDQIVNLHHMLSKAVIKSNPSVLWCYKDKLDISSHKKKRTKQLKRLRERGQLDPEKLDAFSRLLDVGRVTHCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGMIVLILRSLTSLTSLCTMVMDVHDRFRTESHSEAAGRFNERFLLSIASCKACVVMDDELNILPLSSHIRSITPVPTEMDSEGLSEAERELKNLKDELSDDFPVGPLIKKCCTLDQGKAVVTFFDAILDKALRSIVALIASRGRGKSAALGLAVAGAVAAGYSNIYITAPSPDNLKTFFEFVCKGFDALEYKEHLDYDVVKSANPDFKKAIVRINIIKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLVFLSSTVSGYEGTGRSLSLKLLQQLDEQSRAPSTGLDGSLSGCLFKKIELNESIRYASGDPIESWLNGLLCLDVATSLPNPSCHPPPSECDLYYVNRDTLFSYHKDSELFLQRMMALCVSSHYKNSPNDLQLLADAPAHHLFVLLGPVDESQSKLPDILCVIQVCLEGQISQSSALKSLRDGHSPYGDQIPWKFCEQFRDIEFPHLSGARIVRIAVHPNAMKMGYGSAAVELLTRYFEGQISPILEADNEADVEHSPIRVTEAAEKVSMLEEQVKPRTNLPPLLVPLNERRPERLHYIGVSFGLTLDLFRFWRKHNFAPFYVSQIPSAVTGEHTSMLLKPLKNDELEVNESEELGFFAPFYKDFRIRFSKLLSDKFKKMDYKLAMSVLNPKINFTGIDSSDGFLKTLDGVLSPFDMERLRVFTNNMTDFNLVYDICKTLAHQYFEEKIPVSLSYVQASVLLCLGLQESEFSSIERQMQLERGQIHSLLLKVLRELYKYLIGIAAKEIEVARPRLQRRVLEPHNVSVDDDLREGAKQVEEQMKEKTEGSTDAELQQYVIGDKEAEALKHSKIPASGIVSVESTKLENQNGSDKTPKKRSKDKHSSESKSKSSKKRKA >A06p037130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20107197:20109675:1 gene:A06p037130.1_BraROA transcript:A06p037130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRIZ1 [Source:Projected from Arabidopsis thaliana (AT2G42160) UniProtKB/TrEMBL;Acc:E5KGE0] MFVLRVHSVDSEQPISIEDEESGFSFAPSRRSQRPDKLVPSLKLTERKGLIHLYRNSSHSSLPNPSSRSTTLFVVAVPNYLSSLDFIRFCDSQIAHVSQILFIRNDAMEDRYSVLITLSDQAGADGFHKNLNGRKYAPSEAEVCHILYVLTVEHTEFDELAASSPVGFTELPTCPICLERLDPDTSGILSTLCDHSFQCSCTSKWTYLSCQVCRLCQQQDDIISCSICGKTDNVWACLVCGFLGCGRYKEGHSIRHWKETHHCYSLDLRTQQIWDYVGDNYVHRLNHSKIDGKSVEMNNRCLSHEGDCGLCECSEDTGISGAILNSKVDSIVTEYNDLLASQLRAQRQASYYESLIVEARSKQESSIAEAVEQTVVKKMQELQNEIEKCEEEKTEITEVNRKLIKEQDTWRKKAKEIEEREAALIGSKDETIVDLEEQIRDIRVFVEAQKTLKNMSSDSDGIREGTVLPVPINQEPVRRQKKKSNRRK >A03p028270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11849147:11851539:-1 gene:A03p028270.1_BraROA transcript:A03p028270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKNPDTPPTDSSGRGGGGGGNKSGKSLVRSASKADEDMTIFINRALELKEEGNKLFQRRDTEGAMLRYDKAVKLLPRDHGDDVAYLRTCMASCYMQMGLGEYPNAINECNLALEASPKHTKALLKRARCYEALHKMDFAFRDSRLVLSMEPENVSANEIFERVKKVLVAKGIDLVELEKSFLHVEPVGAARLRKIVKERLRKKKRKTERKSIEDAAKIEDDKKLEDKVVVEEKKVNAEKDVEGKSNQGIVESSKVEDGDGDSRRKKLEEEKKATSPVMDKEVIASEIDPKVTRTVKLVHGDDIRWAQLPLDSCVRLVRDVIKDRYPSLKGFLIKYRDQEGDLVTITTTDELRLAASTREKLGSFRLYVTEVSPNQEPTYEGSMNNEESTGKFAKGSSSVADNGSVGECVESEKASAGLDHWIFQFAQLFKNHVGFDSDSYLDLHNLGMKLYTEGMEDTVTGEDAQELFDIAADKFQEMAALALFNWGNIHMSKARRQIYFPEDGSRETILEKVEAGFEWATKEYNKAAEKYEEAVQVKYDFYEAYLALGQQQFEQAKLCWYHALGNKIDVDSEASQDVLKLYNKAEESMEKGMQIWEEMEERRLNGISNFDQHKEMLQKLGLDGMFCETSDEENTEKTANMSSQINLLWGSLLYERSIVEYKLGLPTWDECMEVAVEKFELAGASATDIAVMVKNHCSNENALEGMGFKIDEIVQAWNEMYDAKRWQIGVPSFRLEPLFRRRSPKLHDILENVFSGPQ >A09p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:439369:447779:-1 gene:A09p000640.1_BraROA transcript:A09p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCVNPFKALFPKKNNACISPHLLFLVNKFEISLTASIAELVPKDDDEDDFLTYSWMIDAMHSLCETHLGFTTLMTTDVDLPHVSDMEESLIEMYVDISSKLLDLCDAFTSELYRLNHGNMFLNIAFSNPDEFHSSHLDRWKQHMASKNPSIENCGKVLRSLAESLNHHYNSLYKKMTKKEKQYEKEKVLLRALYGVMANTLYIFSVFAAAFTRSSKNLLHLTIPKEMEEEEEVRWGQAFVELQNMVKPKTRNTSLSKRFGVIRDLEAVESGVEKLYAAVQEGCPNLLMVMEPLKQSVKELSERYDLIYKATRCLWDTVILARDARMFFSCGNPFKALFPKKNNACMSSHLVSLLNNFETSLRVSIAELVPKDDDKNGFITVSWMIQAMHSLCEIHQCISTLMTTDVDLPVSDMEESMYADISSKLLEVCNAFTSELARLNHGNMLLKFAFSDPDEVSLSHIDCWRQHMASKNPRIENCGEVLSNLVESMSDDHDLHGLKKKVNKKKKKQYEEEKLLMRALYGVKATTLYIFSVFAAAFSGSSENILYINIRKEMKEDEEEVPWEKAFMELQNVIMNTFLSNRFMVIKDVKAVESGVEMVYAAVQEGSVPNSLMVEPLKQSVMILSERFDHVSKETSFLFDTVNSARDALFERLRTKYEYELAEINMRCFFSCVNPLKAQFPKKNNACISPPLLSLLNNFETSLRLSITKLILKDDDKNAFLTVSWMIQAMRSLCETHQSIKTLVTDLNLLVSHTEESLMYAYSDISSKLLQLCDAFILELDRINHVNLLLKLAFSNAEEFSLSQFNCWRQLMASKNPSIENCGEVLSSLVESMNRYHRLYKKKQSEKVKVFMRALYGVMVKTLYICSVFAAAFSGSSKNILYLTIPKEMEEVPWEQAFMELQNMINREIKNTLFSDKFTGIKDIQAVESGAEKLYAEVQKKLAPNMLMAELLKRSVIEIPERFDIISNETRCLRETMISAREALFKRGWNKI >A02g512070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32720654:32724150:1 gene:A02g512070.1_BraROA transcript:A02g512070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYEVLEQIGKGSFGSALLVRHKHEKKLYVLKKIRLARQTGRTRRSAHQEMELISKIQNPFIVEYKDSWVEKGCYVCIVIGYCKGGDMAEAIKKANGVEFSEEKLCRWLVQLLMALEYLHASHILHRDVKCSNIFLTKDQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTALKPAFKAFDMQGLINRINRSIVAPLPVQYSIGFRGLVKSMLRKNPELRPSASDLLRHPLLQPYVQKVLLKVSYRGQGELPESESARRRSYPEQRRRPSGKCKSYGPSRFEVDQEDSVSSVKPLHTYLNRRKPVDLSTKVVRRPAVSKTSGVSYSSKHVPKPAATTRRASLPVSQKPTKGTKDSLYTPTIGILHQLNSPDVSVNSPRIDTIKFPLASYEEMPFTPVVRNKKTKGSSKGSYSPPPEPPLDCSITKDKFTLEPERLSDQNATAGGASSRASSGASRSRQRFDPSSYQQRAEALEGLLEFSARLLLDERYDELNVLLKPFGPGKVSPRETAIWLSKS >A04g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16228112:16230260:-1 gene:A04g506970.1_BraROA transcript:A04g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCFHVSSISCFLFVSSFFVNSLVSQPFPRPDQIEILLAFKNEFPILKCDFKKWPSSRKLTKSWTRNDVKSFSGVFFDSETGVVTVLKMRGACLSGTLNANSSLFRLHHLRYLDLSFNYLDSFPFLPQLGKLTNLESLDLSHMGLPGEIPSSISSLNRLTKLDLSDNELTGTFSPLLNLSRLSSLSLSNNLLSGNVPCSLLTMPFLGLQELVLNNCNIEEFPTFMQNLTKLVILRTVNNRLKGEVPKWLWSKPSLGALFLSHNSLNSFEGSPRMLLNSSLRSLDLRSNAFQGSFPVISPVLSYVLASDNSFTGEIPLSLCNQISYLRVLDLAHNNFSGSIPRCLITLVQDLDLGNNNLIGRLPDLFYKGGSLRTLDVSNNQITGKLPRSLTNCTSLEYLNVEGNRITDTFPFWLEELPNLKIIVLRSNMFHGPIYSPQHPLSFPELRMFDISRNNFTGSLPHDYFVNWSTPLLSAPQKIPQSTQFGGQPKSSFEGNLNLCGRPLLESCFQDKVPSTPEAQELEPSKQEQVFNWKAAAMGYGPGVLFGLAIGQVLYSYKPVLFFKLFRL >A02p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20923109:20925784:1 gene:A02p036470.1_BraROA transcript:A02p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEEVKRMVEEVKELHDSAASFISSSSHQELTLRQKASSVDASLRRLHSTLASDKSLDPKLEEDLHRARCMLADGDLSSFLPSKPQGRFVRMFLGPVNVRASRKDIQLKVKEEYNSYRDKTALLFLFFPAALMILRSFYWDGCLPAFPVQLYEAWLLFLYAGLAMRENVLRANGSDIRPWWLYHHYCAMGMALVSLTWEIKGQPNCVQKQRGVHLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFQAYVGVLLLRAALTGVVAEWQVMVCGILLVVMAVGNFINTVETLMAKSRVKAKMKKSKSRAELNHTS >A03p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3199710:3202740:-1 gene:A03p007870.1_BraROA transcript:A03p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMWLRQARSLLLVPLFKCLVAICLVISLLVFVESVYMNIVVIYVKLFKRKPEKIYKWEAMQEDIEVGNQNYPMVLVQIPMYNEREVFQLSIGAACRLIWPLDRVIIQVLDDSTDPTIMEMVSMECAKWASKGINIKCERRDNRNGYKAGALKQGMRHSYVKQCNYIAIFDADFQPEPDYLHRTVPFLIHNPELALVQARWKFVNANKCLMTRMQEMSLNYHFMAEQESGSTRHAFFGFNGTAGVWRLAGMEEAGGWKDRTTVEDMDLAVRVGLHGWKFVFVNDIEVKSELPSQFKAFRFQQHRWSCGPANLFRKMTMEIIHNKRVVIWKKLYVIYSFFFLRKILVHFFTFFFYCIVLPTSVFFYEVNIPTWSTIYVPFLITFFTAIATPRSFYLVFFWVLFENVMAMHRTKGTFIGLLEGGRVNEWVVTEKLGDALETKLLPQVKKPRNGILQRLNLKEMMVGIYILCCACYDFAFGSTLLYIYLFMQALAFIICGVGFVGT >A03p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2206225:2207077:-1 gene:A03p005260.1_BraROA transcript:A03p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTTRDSLTKAGFSEIQCNFIRRRTKITEVFTKSQSYFFLQSVKTIAVTSTAKGITSKQLLIGTIGDQILALGKRFVDPRWTLNPSQAEKEKEPSLSQIHYPSLLRSGAILGLVSVRAPKGLRGIVTAPAKLESTTHVFAYEVDLFYTRLACSFKDLRLADRRFQLRTSLDYNCSPRCSYIHHLIPFREEGTKRKIEVTGTGSF >A09p058330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49164306:49167053:-1 gene:A09p058330.1_BraROA transcript:A09p058330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 2-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52750) UniProtKB/Swiss-Prot;Acc:Q9LXJ0] MSLVSIPSPENSKTPPFSFCFFLLTFPFSLAQKPLFAATASPRFSSSFTIQRFVSKMTTCVSPCLTSPDSRVLTLLRKSVTPEGSRVSCFRMVQGKRNRLVSAQRSEPWSTSSSHTPNHLQSQDPFLNLHPEISMLNPRKDSSSAEDLGDSSPPSNYNEARIKVIGVGGGGSNAVNRMIQSEMIGVEFWIVNTDIQAMRMSPVFPDKRLQIGKELTRGLGAGGNPEIGMNAARESKEAIEEALYGSDMVFVTAGMGGGTGTGGAPIIAGVAKAMGILTVGIVTTPFSFEGRRRTVQAQEGIAALRDNVDTLIVIPNDKLLTAVSMSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVVDPSFSGQVSITLIATGFKRQEEGEGRPLQATQADASMGVTTRRPSSSFSEGSSIEIPEFLKKKGRSRYPRL >A06p044170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23749292:23751551:-1 gene:A06p044170.1_BraROA transcript:A06p044170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYDFEDTPSNYDDVQRQGGQDAVYDPNFVPDSVKSFVVHMYRHIREKNVYEIHQMCETSFQTLSERLFKDTPWPSVEAIAPYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSYDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLKQNDKAWNVYGVLNFLQALVEKSTIIQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKWLQPIDITQQGVYTSVIGCHIATIYHYGFANLMLRRYVDAVREFNKILLYIYKTKQYHQKSPQYEQLLKKNEQMYALLAVCLSLCPQTKLVDESVNSQLREKYGEKMMRMQRCDDEAFGIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKMFLYEVKQQQLLSGVRTFLKVYSAISIDKLSNYMEVDEPTLRTILLTYKHKTHSVGSDGKVISNADIDFYINNDMIYVVESKPAKRYGDFFLRQIAKLEGVINDMDRIKLE >A05p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27195186:27196440:-1 gene:A05p045470.1_BraROA transcript:A05p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTLIRSGASLMNRFLSKPTTNLLQNNLRSIQQIAPQGPELPPYFPPSFSNLQSSLHSPRNDTVTLKELTERGFLHPSGLPSLEFFLPEGGLSLVIAHLLMGYGIKLILRVSHCFYSPRGHSNLAPSGANVTMDSLLGFGVSVSGGVSINEDKLTQNVDAAAAAGTCGNQTNSPIVLLLFLSIMKATKGGRRVIARRIAKGRHRVTA >A04p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2560380:2562719:1 gene:A04p004940.1_BraROA transcript:A04p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYSASSPLIHATIIGQMKHNGLALLVMGLIINFIMVLKYIIQIISDVVSYVHGLQELMEFGLREGIGIHQGGFSLGRQSSILFYIVLLFFCNSYKH >A06g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11473327:11480247:1 gene:A06g503650.1_BraROA transcript:A06g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYRSSEDVPRPAARLRRSSVSSSRASGSSHEQNSVLAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLAHRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A10p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18820266:18824730:1 gene:A10p031540.1_BraROA transcript:A10p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 1 [Source:Projected from Arabidopsis thaliana (AT5G13680) UniProtKB/Swiss-Prot;Acc:Q9FNA4] MKNLKLFSEVSQNIQLHSTGDEAVQFAAYDIDQSRLFFASSTNFVYALHLSSFHNGRDCAKGLPVEVCSIDLEAGDFITAFDYLAEKESLLIGTSSGLLLVHEVESNVTELVGNIEGGVRCLSPSPTGDLLGLISGFGQLLVMTYDWDLMYERAVSEGGYVREADDVSVSCEGPSISWRGDGKYFATMGEVFESGSMHKKIKIWESDSGALQSSSETKYFMKGILEWMPSGAKIAAVYKKKSDDGCPSIAFLERNGLERSSFSIGEPGDANVAFESLKWNSASDLLAGVVSCKTHDAVRVWFFSNNHWYLKQEIRYPREAGVMVMWDPTKPTQLICWTLAGQVTVCNFMWVTAVMEDSTAFVVDNNKILVTPLSLSLMPPPMYLFSLSFSSAVKDIAYYSRNSKSCLAVFLSDGNLSFVEFPARDTWEDLEGRDFNAEISECKTALGSVTHLLWLDVHSLLCVSAYGSSQNKCLSSGSSETELHGSYLQEVEVVCHEDHVPDQVTCSGFGASVTSQTPLESPVMALAWNPSKRDSAFVEFEGGKVLSYASRSGFMETRINDNSISFPSACPWVRVAHVDAGGVQKPLVCGLDDMGRLYINGKSLCNNCSSFSFYSELDNEVVTHLIILTKQDFLFIIDTEDVLQGGEVALGNVYFVIDGRKRDEEVMSYVNVWEKGAKVIGVLNGDEAAVILQTIRGNLECIYPRKLVLSSITNALAQQRFKDALNLVRRHRIDFNVIVDLYGWQAFLQSAVEFVEQVNNLNHVTEFVCAMKNEDVTETLYKTFSFSKKRDKVLQAKDLSGNKVSSVLQAIRKALEEHIPESPSRELCILTTLARSDPPAIEESLMRIKSVREMELQNSSSDDNSSKKSRPSAEEALKHLLWLLDSEAVFEAALGLYDLNLAAIVALNSQRDPKEFLPYLQELERMSEPLMHFNIDLKLQRFDSALKSIVSAGDDYFPDCMDLIKKNPQLFPLGLQLITDLEKKQAVLEAWGDHLTDEKRFEDAATTYLCCFNLEKASKAYRECGDWSGVLRVGALMKLGKDEILKLAYELCEEVNALGKPGEAAKIALEYCGDISGGVNLLINAREWEEALRVAFMHTEDGLISVVKSSALECANGLVSEFKESIEKVGKYLTRTRRGSAASVTSSTATKARDLRRQRKSGKIRAGSAGEEMALVDHLKGMRMTEGGKRELKSLLICLVTLGEKESAQKLQQTAENFQVAQVAAVELADDTVSSESVDEELYSFERYALKTRSTGRGSDAFSWMLKVFVSP >A09p034360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20814351:20814904:1 gene:A09p034360.1_BraROA transcript:A09p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bbx23 [Source:Projected from Arabidopsis thaliana (AT4G10240) UniProtKB/TrEMBL;Acc:A0A178UTH9] MKIQCEVCEKAEAEVLCCSDEAALCKPCDITVHEANKLFQRHHRVPLQKPSSTTSSTAPLCDICKERKGYFFCLDDRALLCNDCDGAIHICNSHQRFLLSGVQASDQSLTKSSGCSTNLSSETYQIQSKASLNSQYSSEENEAGNSGETDKTPSVILSP >A08p046440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25281881:25282999:-1 gene:A08p046440.1_BraROA transcript:A08p046440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLSVNDLLACCGFLCGQGCNGGYPISAWRYFKHHGVVTEECDPYFDNTGCSHPGCEPAYPTPKCVRKCVSGNQLWRESKHYGVSAYKVRHGPQDIMAEVYKNGPVEVAFTVYEDFAHYKSGVYKHITGANIGGHAVKLIGWGTSDDGEDYWLLANQWNRSWGDDGYFKIKRGTNECGIEHGVVAGLPSDRNVFNGINTSDDVLVSSF >A03p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17266450:17268042:1 gene:A03p041300.1_BraROA transcript:A03p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTISRSFLRRPAKSLSSLLTRSFASSPAPLAKTSASSLSTLRSRPLVAALSSVARGGFVSLKGLSTQATSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVVEPPEGDLTRDEIIDGYIKTLAQIVGSEEEARMKIYSVSTRCYFAFGALVSEDLSHKLKELPKVRWVLPDSYLDVRNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNFDRSRNFERRRENMSGGPPPQRTPMGGPPPPPHMGGAAPPPPQMGQNYGGPPPPQNNMGGQRPPPNYGGAPPQNNMGGQRPPASYGGAPPPSYGGGPPPKYGGAPPQNNMGGAPPPPNYGGAVPPQNSMGGGPPNAGWSGNNNNYQQQSGGMQQPQYQNNYPPNRDGSGNPYQG >A08p041880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23588078:23589983:-1 gene:A08p041880.1_BraROA transcript:A08p041880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFVAAGFSFCSCPNINLESCPPPCLSSPEPENFQHRKKLRALLAVMAGLAISPPLSLTFSSRTRNAKPTSYLSRNQRNLTRRIVSALPSPYGDSLKAGLSSNVSSNKDPRSIAPRSGVIVAKKGNPPVMPSVMTPGGPLDLSSVLFRNRIIFIGQPINAQVAQRVISQLVTLASIDDKSDILIYLNCPGGSTYSVLAIYDCMSWIKPKVGTVAFGVAASQGALLLAGGEKGMRYAMPNTRVMIHQPQTGCGGHVEDVRRQVNEAIEARQKIDRMYAAFTGQTLETVQQYTERDRFLSASEALEFGLIDGLLETEY >A07p013810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7271176:7276336:-1 gene:A07p013810.1_BraROA transcript:A07p013810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNENNTPTPMDTSNVIQTPLNAAATGMTTASTTAATTSTILYAGNAADETTRRTLFGAGLYQTAQRKRKLPTRAPPPPGRLGSTRRGLGLGFGLGWRAVGPIRIILFGPS >A06g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15229281:15231113:1 gene:A06g505180.1_BraROA transcript:A06g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNYFRSWIDRPHLDPNTRLLTEEYQRGKTEFMGLVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKEKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLARDVVSLVQTQVYDEVSQLQTDDDDSAASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p042780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23170505:23172288:-1 gene:A07p042780.1_BraROA transcript:A07p042780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPEDPDLSNEDSAWRQLTAPDSVFFNRDTSSILSDFGWNLHASSSDNHHNLRFDPCLPPTSTVPSSVTTTATPDPIPASSHSSTVAAATASVVSTSNNPSATSSSSEDPTDNSTPKTPETPKKEKKQAQKRIRQPRFAFMTKSDVDNLEDGYRWRKYGQKAVKNSPFPRDSISSPRLLPQSTTEDGPAAVSSINPSEEGLLGDIVPQTMRNP >A04p000250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:137298:138552:-1 gene:A04p000250.1_BraROA transcript:A04p000250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLSKVTLDIFTKLEQKWLSHCDTTRKTRILSIDGGGTTAIAAGASIVHLEDQIRLQTGDPHAQISDFFDIVAGTGIGGILAALLVADDGSGRPMFTAREAVKFVEEKNSELFEIRHTGVFRRSRRYSGSSMERVMQAAFRREDGKVLTMKDTCKPLLVPCYDLKTSAPFVFSRAGASESPSFDFELWKVCRATSATPSMFKPVNVVSVDGKTSCSAVDGGLVMNNPSAAAVTHVLHNKRDFPSVNGVDDLLVLSIGNGKGSSSTPGRKLQRNGDCSTSCLVDIVLDGVSDTVDQMLGNAFCWNRTDYVRIQVSGLTSGGDGIVGPRKTAEELLKERGVETAPFGGKRLLTETNGERIECFVQRLVASSLPPSPCKESAVNPLADGR >A07p042070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22672182:22674255:-1 gene:A07p042070.1_BraROA transcript:A07p042070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVEGSSAPANRRDPYEVLSVARDATDQEIKSAYRKLALKYHPDKNANNPEASDLFKEVAFSYSILSDPEKRRQYDNAGFEALDADGMEMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSANVLEEAMNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEQQAESGVVVRVTSTAQSKFKLLYFEQDSSGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTVNALAAAKDPESAFFKRLEGLQPCEVSELRAGTHIFAVYGDNFFKTASYTIEALCAKTYEETTEKLKEIEAQILRKRNDLRQFETEYRKALARFQEVTTRYTQEKQTVDELLKQRDSIHSSFSVVKTPSGNNLSNGSSSKAQGDEPKGEGDSAGEEGGAESRDKSKRKWFNLNIKGSDKKLG >A01g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6732986:6733344:-1 gene:A01g501910.1_BraROA transcript:A01g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVLIKHVAALLKRMVHVVKLLLKRMVPVVKLYVRRMWNKIAGKKNKIAGQACCDKGPLPLTIHPQPLMKPQTLGLNASPNESVPS >A08p045820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25056026:25058189:-1 gene:A08p045820.1_BraROA transcript:A08p045820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNKGLAEQDLSKLDVTVLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDNPLCDVPGFENSKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMQLKHIIILQNKIDLIQENVAINQHEAIQKFIMVRFAYPLLHSHFIKLVKLRNITSFWQNTVADGAPIVPVSAQLKYNIDVVCEYIVKKIPIPKRNFISPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQLIEIRPGIVVKDERGNPKCTPIYSRIISLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEVNFFLLRRLLGVRIKGSEKQGKVTKLTKGEILMLNIGSMSTGAKVVGVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTIEVPPSPF >A05p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24863039:24863769:1 gene:A05p040680.1_BraROA transcript:A05p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKVLRSNLLEIYNLSLDHKGIAGQYHHNTGEMVPARLRKKKFTSAKDFKTQKCAWNEDPGDCGLFDRCIPTTRMKLAAEIYDEVADM >A03g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16720889:16724140:-1 gene:A03g504690.1_BraROA transcript:A03g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTLSKSLYLTYSDACISQSALATDSAAATLTLSLRLRRFASAAASPTTPLCVYSCARGSRSPPVLHYPMRLQISFPFPQLGLDGSYTRGIVIDTDLGKLRSALQEIVNPYENAIFVIGKTLAPSDEHNFISCFGFFDSTTHGEEVFSLHSDNSPSHGFEDVLPCYRGFTPNFLLSAHMPIVLVSVGDGPWADMRKMGDLIPKRVDLSGIISSPISVPFVSFFDLDLLSAKFLNFTEIMREGPSELAKERELSLTDLICDSDEEWIRGGADEDSLEHMNFLSIVMELGMSCSTRCLSICEISACSVAEDLASVETTYDASHARALGLLRLIRIYISLSGRNTELDVIITRELEKYLQVVGLYEDKEETCRRKGFWG >A04p035440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20424705:20428558:1 gene:A04p035440.1_BraROA transcript:A04p035440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSSSRSIGKRNSPPRIGEKFPERAILAAVKEQSCPICLENLTHRRAAVIPSCRHGYCLGCIRKWSGLKRSCPLCNARFDSWLVVNDLASRRFREERLPPLRDRETVTYHRRRSMDVLESSSSRRSRPLPWRRSFGRPGSVPDHVILERKLRWRASIYDRQLRAVRLHSRPSLLLVNDDHTKARIIERIEPWLRREVQAVLGDPDPSIIVHFASALFIKRLERENNGQSGQVGGIVMEDQVSSSLGIFLGDKEDIFWHELRCFAESSLTMETYDAVVEYIESKTNDETVGVLEVEFLQVRSPRDIDFYYANFSPSQEFLKRVPTIFLTSSMFLRIQGPPVSTTLIKSKDKSQDEVKTKKCDSIRSSSIARGGSVGSEFNSQTSTTTTTTSLHVLSETHINNLKVFPLDDLKTATNNFSRSLMIGQGGFGGVFRGIIQSPEDPRKKIEIAVKQLSRRGLQGHKEWVTEVNVLGVAEHPNLVKLIGYCAEDDERGIQRLLVYEYVPNRSVQDHLSNRFVLTPLPWSTRMKIAQDTARGLAYLHHGLEFQIIFRDFKSSNILLDENWNAKLSDFGLARMGPSDGISHVSTAVVGTIGYAAPEYIQTGHLTAKSDVWSYGIFLYELITGRRPFDRNRPRNEQNILDWIRPHLADIKKFKMIVDPRLEGNYYLKSALKLAAVANRCLMVKAKSRPTMSEVSEMLERIVETSAEEVSSDVPLMKSLAPKDAFEATRRERVKRRFVEVLIGVNGCPNLPTWSPKLVTSL >A07p005780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1961550:1964180:-1 gene:A07p005780.1_BraROA transcript:A07p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLSYNSLSSEESFLNKLVQNLTNLHELNLGLVDISSEIPQNISNLSSLKSLSLDNCNFFGKFPSNLLLIPTIQSINLYNNQGMEGSLPEFDGNNSLVLLDLSFTSFSGNLPDSINNLKHLNYLRLESSAFSGKIPSSLSNLSKLLVLELSNNFFSGQIPSSIGNLFHLTHLDLSSNRLDGQIPSSFVNLKQLTNLRLDSNMIGGNFPLPLLNLTRLKFLSLTDNHFKGTLPPNISVLSKLKTFEASHNTFTGTLSSALFNIPSLTLIDLKDNELTHVFEFGNSSSPSRLERLLLGHNHFRGPIPISISKLVSVRELDLSYFNTGMSVDFGIFSQLKELMDLDLSYLNTTGTVDLSILFSHLKSLSKLDLSGQHVSTSKMGSNSSLPPHLDRLQLLGCGITKFPKFVQNLQHLSDLDLSNNNIKGRVPKWIWKLPRLMNLNLSNNSFTRLQRSSNDVPVQDILMLDLSSNAFQGPLVIPPVTTEAMLVSKNNFTGKIPRSICRHRFLNVLDLSNNNFTGSIPRCLRNLSEYLSVLNLRYNQLSGNIPEIFTNATELTSLDLSHNRFVGTLPRSLKDCPVLEVLNVGSNKIDDAFPFWLSSLPTLKVMVLRNNRFKGLLYRPRHSFGYPNLQIIDIANNHFTGNLPSYYFAEWNMTTSKDFKGFRYIGDGGSYYHDSMVLISKGVEMKLERIFTLLTAIDFSGNKLQGMIPESVGLLKDLIVLNLSSNVFTGNIPSSLANLTELESLDLSHNKLSGHIPPALGGLTSISNITVSHNQLVGPIPQSTQFQTQSASSFEGNLGLCGLPLSEKCGDNVEKEQSQVLGSEEEEDEGILSWAAAAIGLAPGIILGLTIEYILNIPKTRWFMNTAERFRSF >A02p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6181144:6182149:-1 gene:A02p014100.1_BraROA transcript:A02p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFEPHSQESLKRRFHQDNTTTHQPRETTKRTTSFLPKSISTNPNISSSSGAAGRFSAFGLNVDDDLVSSVVSPVTVVLEGRSICQRIRLDKHESYQTLALALRQMFVDGADSTSETKYLDLSNAIPGHIIAYEDMENDLLLAGDLTWKDFVRVAKRIRILPVKGNTRKVRRNE >A09g511350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33953972:33959254:-1 gene:A09g511350.1_BraROA transcript:A09g511350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRDLEQAVTLEIYHSNFIVTVVTELKDLGSYLASIWRVKHARRSPDKLRGVWIRLKKMELLQSGTTRREEKKERGNELGWFSQMKTTLKRCGVWRNHEKEESLKGKVAEKDQTARETSGNCFSLEESTLLEKIEDVYENKINLRRVYEVKKVISGVKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVREPSNQAGEAGRTTPLDHERGDGSESGEQEQNQEDSGQSTGSDESVAQSTGSDESVAQSTGSEESGAQSSDEFIQQDVDIKETINKDVKTEERWAKWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHS >A05p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:204900:206078:-1 gene:A05p001360.1_BraROA transcript:A05p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1A [Source:Projected from Arabidopsis thaliana (AT2G47580) UniProtKB/TrEMBL;Acc:A0A178VZ67] MEMMQQEEVAAAAAAANKAGSEVTQNQTIYINNLNEKVKLDEVKKSLKEVFSAYGKIVEVLAFKTLKHKGQAWVVFDNPESASNAISKMNGYPFYNKPMRIQFAKTKSDVIAKADGTFVPREKRKRHEEKGGKKKKEQHHDSTRVAMPAYPGVYGSAPPLSQVPYSGGGGGVKSNLPEAPAPPNNILFVQHLPHDTTPEILQNLFDKYHGFKEVRMVAAKPGIAFVEFADEMQSTVAMHGLEGFTIQQTPMRITYAKK >A10p035110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20245368:20248256:-1 gene:A10p035110.1_BraROA transcript:A10p035110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSRSLGINIGSSEYSGSSVADDVSPRQVTFFGPGLRQCMIKDTTEQSQLKDVFSFREKEGVEEDHLYDGIPRLPPPQKPRSTRYTQTAVSKVTEASMLLGKAGLGKAKDVLDTLGSSMTDLSSGGFASGVATKGEELEILAFEVANTIVKSSNLIESLSKENITHLKVTVLYSQGVQNLVSNDFDELLRLVAADKRQELEVFLGEVVRFGNRSKDFQWHNLQRYFDRISKELTPQRQLNEDAVLVVKQLMVLVQYTAELYQELQVLDRLQKDYDQKRREEENSAGSSKGDGLAILKTELKSQKKVVKSLKKKSLWCRGFEEVMEKLVDIVHFLLLEIHNIFGDSDDKPLKKGAADSDKRLGPAGLALHYANMILQIDTLVARSSSITSNARDSLYQSLPPTIKLALRSKIKSFKVDKELSVTQIKDEMERTLHWLVPVAANTTKAHHGFGWVGEWGSAGTDFTSKPTGGDTLRIETLYHASKEKTENYILGQIIWLQHLVTKAKSDAQGASRLSSIKSSFNSTNQQLISEPLSVPLVTAEEQKMLHDVIKRKKTPCVSKSQDFDSEHYSRVRKCDPLSKSSEYFRGVRRSKSAAVVKRFSSGFSLVDFVIDKEKALDVIDRVDVPRDYRALLKEGSLSF >A01p052880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29631210:29631818:1 gene:A01p052880.1_BraROA transcript:A01p052880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDQTTNPPIMESKTRHPLHQIADTPTHKLLLKQWLKEEELILNRVSHKESQIDSVRREITQLYIFFFLFHSISLLLLFHASSSSSLSACKRSWIPSLCSLVSSLGLIWAVRYKSEVESHLEKLLEREKEDAKLLRKCVEELKKKGLEFDLLKEVDALRRAKSLRVESKVVRKWSARDFVTLFFFSVSCLVLAMIRLILCD >A09p012950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6600718:6601901:1 gene:A09p012950.1_BraROA transcript:A09p012950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase A5 [Source:Projected from Arabidopsis thaliana (AT2G18030) UniProtKB/Swiss-Prot;Acc:Q9SL43] MASSQKTILSLSPFAILFLYISLLDKTVSIRLSNQISDPVVDSPDRPLKSAVFALGSFWRSEAAFGCINGVVRTTAGYSGGTKVNPEYRKLGDHAESVQVEYDPRVVSYRQLLDVFWSSHDSRQVFGQGPDVGNQYRSIIFTNSTEELRLATISKEREQLKSRSSIVTTQIQQLVTFYRAEPDHQKFELKQHPFLLQLIGNMAEEELERSALATKLNGYAAELCPPRVQKHIDSRVNEIIRKGWPVLKDI >A07p021410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12514565:12516675:-1 gene:A07p021410.1_BraROA transcript:A07p021410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEVSIISKSSINRHIKHLPFFSAIFFLVASQIHKLTTSILVIECDTQALVIRNDLRNKHEKGNLYDPAEMSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVKCQSWLVGHSRLRPLSYRGADVFLLAFSLISKASYENIAKKWIPELRHYAPGVSIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELKKLIGSAVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKTKTKNKNRCAFLYHVFIHTRLDRSLLLPKKPVITAVIIFLHRNAGKTQVPISGSETIECGVCQNPFLVLARIDHHLTRFLCWLTG >A06p023690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15124660:15126091:1 gene:A06p023690.1_BraROA transcript:A06p023690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A08p032580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19675390:19684178:-1 gene:A08p032580.1_BraROA transcript:A08p032580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKSDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERNIAEASQQGDDDDDKCLIQISDLPGGDKTFELIAKFCYGVKLELTASNVVHLRCAAEHLEMTEDHAEGNLISQTETFLNQVVLKSWKDSVKALQTCSEVSHYAEELNITKKCIESLAARASTTDPNLLGWPVVDPMHSPGGSVLWNGISTGARLKHTSSDWWYEDASTLSFPLFKRLITVMDSRGGAREDIIAGSLTYYTRKHLPGLKRRRGGPEASGRFSSSGNVLSEEEQKHLLEEIQDLLSMQKGLVPTKFFVDMLRHHPWLAETERENLCRLLDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRTSVAGCFLVSDNLDGGSRQLRSGGFAGGSTEGGGWASAVRENQVLKVGMDSMRMRVCELEKECSNMRQEIEKLGKTSKVGGGGKTWENVSKKLGFGIKLKSHQMCSAQEGSVSKSNSENVKIEKLKDVKERRGKHKKASSISSERKLTTKKQEQSIIALTIIRVTKREQSQRSNGSVQIRRREMDRGDIEEAGEEEFPRLGGGKYRPVGAHDRAVVEMSSIDPGSSSSSSTLKNIRVVAPGDMGAGAREGPIPEDGVNVHQKESKLELFGFDSLVNILGLKSMTGEQIPAPSSPRDGEDISIMQGHPKPALKMGTMMGVFVPCLQNILGIIYYIRFTWIVGMAGIGQSLVLVLLCGLCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGISIGLCFFLGNAVAGALYVLGAVETFLKAFPAAGIFRETITKVNGTAVAESVQSPSSHDLQIYGIVVTILLCFIVFGGVKMINRVAPAFLLPVLLSILCIFIGMFLAKTDDPDTGITGLRLKSFRDNWSSAYQMTNNAGIPDPLGGTYWSFNELVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLSTTLLYVISVLFFGAVATRDKLLTDRLLTATVAWPLPLIVHVGIILSTLGAALQSLTGAPRLLAAIANDDILPILNYFKVADTSEPHIATLFTALICIGCVVIGNLDLITPTVTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKYHHWSLSFVGASLCIVIMFLISWSFTVVAIALASLIYKYVGLKGKAGDWGDGFKSAYFQLALRSLRSLGADQVHPKNWYPIPLVFCRPWGQLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYYECAEEAKEACKQLATYIEYKRCEGVAEIVVAPNMTEGFRGIIQTMGLGNLKPNIVVMRYPEIWRRENLTEIPSTFVGIINDCITANKGVVIIKGLEEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQLFCIAEEDSDAEALKADVKKFLYDLRMQAEVIVVTMKSWDIRSEGNSKEDSLEAFDAAQRRISDYLGEIKSQGSTPRLANGKAMVVNEQQVEKFLYTMLKLNSTILSYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYHRDVVTLFT >A07p043850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23988834:23990006:-1 gene:A07p043850.1_BraROA transcript:A07p043850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive poly [ADP-ribose] polymerase SRO3 [Source:Projected from Arabidopsis thaliana (AT1G70440) UniProtKB/Swiss-Prot;Acc:O64592] MMMAAQVEIVDNGEIIDTLSHHASGDYSSTILLREENHEYDVVKNCFLSGMSLHAAETTVVSVRKTSAQRITAKAKLAASNVFAEAMKRKNGGDANVRYGWYSGSKEEIERIVSYGFSSSEVEKFEKDDRSHGVGVHFLHHTCSKAAAVLGEADEEGIEHLLLCRLILGKPERIIAGSKQTYPSSSEYDCGVDDLENPRKYVIWSCNMNSYILPSHVVSFKSPTLRGLIRGGGGGLGRARSPCVSFPILMSILSKSLDRPRMNVILTTYVDFRKGKVRREQLIRKMREVVGDELLLDIIKNHRNSD >A02p048310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30048373:30049158:1 gene:A02p048310.1_BraROA transcript:A02p048310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRVTFLLCALTFLFLITSTSAAVTEKIMRKMAPRKLMIISSEHDNVMTSGAHEGSSEQLPVTSSGNSKNEDKRFGEKEEENALAKYLSMDYPRFRRRRPVHNNMPRSP >A09g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3170343:3171399:-1 gene:A09g500870.1_BraROA transcript:A09g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKAPVQCLFVVILAVLLSNHNVLTSDSSSPITSMFRQASRLLYDGSPPDPLNRHGSCLFNGSSVDDRFDVCGVLEESRTEHRPAPDSFFLHEASSVDCLFDSDEAHVNFVFDSDKG >A09p066970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52960563:52963305:1 gene:A09p066970.1_BraROA transcript:A09p066970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIIKRNKVTGYLMWYVIFSYPFWVQSGLLRMEAETSWTHYPYNYITYVPEADPYSEPSDDETKDQTFSMDTLLPDDLLERILSFLPIASIFRAGTVCKRWNEIVSSRRFLWNFSSNNNNSASQRPWYFMFTTTDEPSGYAYDPVIKKWYTFDLPCIETSNWFVASSCGLVCFMDNDCRNKIYVSNPITKQWRRLIEPPGHRSTDYTALSTSMNRAKQSYSVSVVKSKQVQGNFFQWELSIHLYSSETMTWTTSLTDVLTGWRGGDESVIIDNVLYFLIYSTGGNSDHRHGLIASNLSSTSSLMSSFIPMPCSLTCGRLMNLKERLVVVGGIGKHDRPDIIKGIGIWCLKGGREWQEMARMPQRYFQGFGELDDVFASSGSDDVVYIQSYGSPALLMFDMKLKCWKWSQKCPVSKKFPLQLFTGFSFEPRLEIAP >A07p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:774172:776544:1 gene:A07p000300.1_BraROA transcript:A07p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSPARNSTRDDGESEFLATSCGFSINPEEDFPEFAIHGDLLDIIDFDDLFGVAGDVLPDLEMDPEILGGDLSDHVNASSTITTTTSSSEKTESQGKANNKKGISGKGEEVVSKRDHNETPVAETVVNYDGDSGRKRKHSSSGSTKSNPISNNEGKRKVKKVDWTPELHKRFVEAVEKLGLEKAVPSRILELMGVHCLTRHNVASHLQKYRSHRKHLVAREAEAANWTRKRHIYGLDSTGANANGRNRNGWLAPAPTLGYPPPPPAAVASPSVHHHHFRPLHVWGHPTVDQSVMPHVWPKRLSPPSTAMATPPFWVSDTPYWPRSHNGTTPYLPTVATRFTTPPVAGIPQALPSHHMVYNYKPDHGFGGPRSLVDLHPSKESVDAAIGDVLTRPWLPLPLGLKPPAVDGVITELHRHGISDVPPAASCA >A10p030300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18338201:18339049:1 gene:A10p030300.1_BraROA transcript:A10p030300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTVLIAAVILAFLVAMPLPEVTAKKYTVGDNKFWNPNINYTIWAQGKHFYLGDWLYFVFDRNQHNILEVNKTDYENCNSDHPLVNWTRGAGRDVVPLNVTKHYYLLDGKGGCYGGMKLAVKVEKLPPPPKAAPVKNIGSVSVVTGLAQFMIPFALLRMW >A04p037540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21417870:21419453:-1 gene:A04p037540.1_BraROA transcript:A04p037540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYHSLCFLLLLSLCHGSHSHNRGVRPRRTPHLDGLLPNGNFEHIPLKSNMKGRQINGTNSLHHWQISGHVELVSGGPQPGGFYFSVPRGVHAVRLGSLASISQDVRVKRGLVYSLTFGATRSCAQDENIKVSVPGQANELPIQTVFSSDGGDTYAWAFRAMSDVVRVTFHNPGVQEDRTCGPLVDVVAIKEILPLRYNRGNLVKNGGFEIGPHIFTNYSTGILIPAKIQDIISPLPGWIIESLKPVKYIDERHYKVPFGLAAVELVAGRESAIAQIIRTVTGKAYMLSFTVADAQNGCHGSMMVEAFAGKQPFKLPFVSEGKGAYKTGHFRFVADSNRTRLTFYSAFYHTKLHDFGHLCGPVLDSVIVLPAH >A08g505050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8289820:8290383:-1 gene:A08g505050.1_BraROA transcript:A08g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKAKEANQDIGAIKTSYRTNQEEFINETGFPGFYTQQEHTENWFHTKKSNGLGDMPFTNQTINTASELVLFKESNSLLKECATQAHVWKPGDHSLHLRPLGELIPCTRPHWISQILHHLNLQFLEPICFKSQRLVFYTLGCDLAIFFHQPEAPQGSSDLPKVVQIQEAQQISHLAEILSFKPHG >A02p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17962616:17968680:-1 gene:A02p033630.1_BraROA transcript:A02p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSASKRFCSSSSPREPSSSSPRPSKRSKVKIDAALEPVRSSCPNQGPVSDPGEPELGSSDPHAEKTVATDVRVMENSPEVLATPTLAGEVVAEGERSKAGKKRANAPWAKLLSQYPQNPHRIMRGPVFTVGRLGCNLSIKDHSMPSTLCELKQAKHGAPSVASLEITGNGFLVQVNGKCYQKSSCVHLRGGDEVIFSVSGRHAYIFQPLKDENLAAPDKASSVNLCEARGAPHLETRAGDSSAGDGASILASLSKYRNLRLLPPIAKSAKRQQNPEVPVIPSSCNDCISDTDSDMDDADSDNDDAAIASVEKAAASTSDTASEDLNADGSGLDPFQEADGGNTPASGYEVRSVLDIRGISKLLDERREVRESLRDSDLSSTISTRRQAFKDSLRGGVLKAQDIECYNEGCFDSINVCPYERWKQVRKVCIDLPTTCPRILLSGPAGSDIYQEMLAKALAKNLGAKVMIVDSLLLPGGSPAREAESSKESPRRERLSTLAKRAVQDAQALQHKKSTSSVDADITGGSTLSSQALPKQEVSTATSKSYTFKAGDRVKFLGPPSSAVSSLQGSPLRGPTIGFHGKVVLAFEDNCSSKIGIRFDRPVPDGNDLGGLCEEDHGFFCAASSLRLDGSSGDDADRLAVNEIFEVALSEGEGGSLILFLKDIEKSLVGNSDVYATLKSKLENLPENIVVMASQTQLDSRKEKSHPGGFLFTKFGGNQTALLDLAFPDVGKLHEKSKEASKPVKQITRLFPNKVAIQLPQDEALLLDWKEKLDRDTELLKVQANITSILGILTKNRLDCPDLETLCIKDQSLLPESAEKVVGWAFSHHLMNCSEPTIKDNKLVISAESITYGLQMLHGVQNENKSLKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPTKGILLFGPPGTGKTMLAKAVTTEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTTNRSKILSVILSKEEMAPDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKVWVSNLVHLLTELSSLSYMLTAECCLGCRKKTVAEAENRPKPPLYSCTDIRPLTMNDFKAAHEQVCASVSTDSSNMNELQQWNELYGEGGSRKKTSLSYFM >A04p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18629062:18630170:-1 gene:A04p031700.1_BraROA transcript:A04p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSFFKAIIGSKKGKQTSRKSEKGFIKPKASKKNVTRSASLVFLSEDRAATRIQTTFKAYKARKMLRRLKGIARAKLLTEKQPVKKQAAVTLKYLHSWSNIQSQIKARRVGMVMEGRLIFKRLENQQKLEAKLHDIEVEWNGGTETKDEILERIHQREEAMIKRERALAYAFSLSSEVTLSCEQWKADGKTQWLGGYELGNTNWGWSWKERWIAARPWEVRYSLTPKKPKNLKTVCCKSETKPNSPAKRGVSLSSVSAKAPIPGAVNPRRLSFPGA >A03p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3340608:3342088:1 gene:A03p008260.1_BraROA transcript:A03p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSVKVGNLSSGATEHDIKEFFSFSGEVETIDIQGSNEHSAYVTFKDPQGAETAVLLSGASIADQSVIIEMAPNYTPPAAPHAETQSGGVGGAAESVVQKAEDVVSSMLAKGFILGKDAVGRAKAFDEKLGFTSTATAGVASIDQKIGLSQKFTAGTSLVNDKIKEVDQSFQVSERTKSALASAEQTVSSAGTAVMKNRYVLTGVSWAAGAFNRVAKAAGEVSQKTKEKVEAEQPSQPSESPQQPPEGYAPIHSSEYSKK >A09p057630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48516278:48517264:1 gene:A09p057630.1_BraROA transcript:A09p057630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLTPLVSIKPTVFSFPSQSVTSPHRQTNVLSLKPFPSLAGAQSSRVRFIPHAVETEEKPASDPNAESSRRVYIGNIPRTVDNEQLSKLVEEHGAAENVQVMYDKYSGRSRRFGFATMKSVEDANAVIDKLNGTTIEGREVKVNITEKPIASSSSPDLSLLQSEDSAFVDSPYKVYVGNLAKTVTKQMLENLFSEKGKVVSAKVSRVPGTSKSSGFGFVTFSTQEDVEAAILALNNSLLEGQKIRVNKA >A07g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21843026:21843976:-1 gene:A07g507910.1_BraROA transcript:A07g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDELIRQPGRETLPRLNPNYKTIPNTTWFGLSESGVSKSLLRIVYSGLLPKGWPTYAEIPPRYRDLWFRQFAQEYNWDSGLTGRVKISFDRYATRYYSGRIKTKAQSETNSKNKRSDRGGKGAYVHNLGSTSLLSREPNEGNPVDAFALLKSAHTNKQTGEIQDSLIKDVCDLVLSRPEQMTSSQPKILNLKMDLLLSPTP >A06p049420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26101493:26102203:1 gene:A06p049420.1_BraROA transcript:A06p049420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQQEPQEGGKSSYVQWSPQENNTLINLLLDCITAVHGFGFLVSLLSLAQVLPIAFTKLNKIRMAKRMSLLDKHGVKWSIKLWFEKERKRMRLVGGWKEFYDANDVKI >A10g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:85702:86693:1 gene:A10g500030.1_BraROA transcript:A10g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPKDVKGKGQYHSWSRPEHKLLLRLLVDAINQGFRDASGKFNKLTVESRILTTLQKEVGSKKTYGQYKNRMKILKGRYQVFADFLRCSSGFGWDSETKKFTADDEVWKVYLQAHPNNKYLRDDSFEDFEELRTIFEQNTATGQNAVGLGDSVDAGSYQFEENEKTNDNDFVHVIDEGGGIEHQQTCEPSSRKSIGEKLSHRKKARTDAYNSERKRWVKEAEEKEAEDKANNVWDAIKEIPDLDDDLRYEAMTLVHTLGMKSGFVNMSITDRCGWIKRNLRKPSG >A10p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18358935:18359700:-1 gene:A10p030360.1_BraROA transcript:A10p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGQAEIVETSKAIQKSGLMSRRNAILEFILRIVAFFNTITSAILMATTNETLPFFAQFIRFHAEYSDLPALTFFVIANAVVSGYLILSLPLAFVHIVKSKTQNSRVLLIILDVAMLGLLTAGASSAAAIVYLAHKGNNNTNWFSICQQFNSFCERISGSLIGSFVAVFLLILLILLSAIALSRRH >A09p073290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55930512:55935715:-1 gene:A09p073290.1_BraROA transcript:A09p073290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDMLTVLHPTPAVCGCPVDEARLLIKQIKLGMFAGPVGLFGGGESEFSVGIRYALVKKVRVSYFFFTYNNFTHCRKLIACIALHLSDLFHLCFQGFWSLDIYSGKGIVSGSDSASEWNELDLKTLSLRHQWHFHRAMEVEKAEKNITGEDDDDDSKLIYRGWKVMPFIIGNETFEKLGIVGSSSNLVIYLTTVFNMKSITAATVVNIYGGTSNFGTIVAAFLCDSYFGRYKTLSFAMIACFLGSVAMDLTAVINQLHPDKCGKEIGSVCKGPSIGQIMFLAGAMVLLVIGAGGIRPCNLPFGADQFNPKTKEGKRGVDSFFNWYFFTFTFAQMVSLTLIVYVQSNVSWSIGLAIPAILMLLGCIIFFAGSKLYVKVKASGSPIHSITRVIVVAIKKRRLKHIGSSSEGLYNYISKDFKNSKLSHTNQFRFLDKAAIQTPDDKLNMDGSPADLWKLCSVQQVEEVKCVIRVLPVWLSAALFYLAYIQQTTYTIFQSLQSDRRLGSGTFQIPAGSYMVFLMLGMTIFIPIYDRVLVPFFRKYTGRDGGITQLQRVGAGLFLCITSMLVSAAVEQHRRTVALTRPTLGVAPRKGAISSMSGMWLIPQLLLMGIGDALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGLASYLSSFLLSTVHNITEGSSAGNWLPEDLNKGRLEYFYYFVAGMMTLNFAYFLLVSHWYRYKDVVAKDNDMDKSSNEVDKVSV >A09p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2142093:2146668:1 gene:A09p003680.1_BraROA transcript:A09p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSNSVAVTMEKPDNFSLLEINGSDPSSFPDNKRKSISPKQFSWFLLLKAHRVVSALSWLLASVKNRIAFSSKNVNEEEDPKSRGKQMYRFIKACLVISIVALSIEIVAYYKNWNLDLINRRPSWEVFGLVEWSYMAWLSFRSDYIAPIVITLSKFCTVLFLIQSLDRLVLCLGCFWIKLKKIQPKLKDEELDLEDASNFPMVLIQIPMCNEKEVYEQSIGAAAQLDWPKDRILIQVLDDSDDQNLQLLIKEEVSAWAEKGVNIIYRHRLIRTGYKAGNLKSAMTCDYVKDYEFVTIFDADFTPSPDFLKKTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVTCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIIKSKISAGKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWIICYVPIFISLLNILPSPKSFPFLIPYLLFENTMSITKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLAFAEKEEKLHRRNSESGLELLSKLKEQEMNLAEQETPKKTFGGLVRPKNKIKKRNMVFKKELALAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIN >A03p017020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6786228:6790554:-1 gene:A03p017020.1_BraROA transcript:A03p017020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51070) UniProtKB/Swiss-Prot;Acc:P42762] MEVLSTSSPLTLHTRRLPSSSSSPVTSFAASSLSSFSSSYLGISLSNNRNHRLSTTPTNSRRFPRKKRSKLTPISAVFERFTERAIRAIIFSQKEAKSLGKDMVYPQHLLLGLIAEDRDPQGFLGSGVTVDKAREAVSSIWDKANSDDSGLSESSSSSYSRSTDMPFSISTKRVFEAAVEYSRTLECQYIAPEHIAVGLFTVDDGSAGKVLKRLGANMNLLTAAALTRLKGEIAKDGREPPSSKDASPKGRVGGPGRTKEKSVLEQFCVDLTARASEGLIDPVIGREKEVQRVIQILCRRTKNNPILLGEAGVGKTAIAEGLATSIAEANAPGFLLTKRIMSLDIGLLMAGAKERGELESRVTALISEVKKSGKVILFIDEVHTLIGSGTVGRGNKGSGLDIANLLKPPLGRGELQCIASTTLDEFRSQFEKDKALARRFQPVLIDEPSEEDAVKILIGLREKYEAHHNCKYTMEAIDAAVYLSSRYIADRFLPDKAIDLIDEAGSRARIQAFRKKKEDAICILSKPPDDYWQEIRTVQAMHEVVLSSRPNQEDGNNIVDESTELVEESSLPPAAGNDEPIEVGPDDIAAVASAWSGIPVQQITADERMLLMGLEDQLRNRVVGQDEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALAANYFGSEESMLRLDMSEYMERHTVSKLIGSPPGYVGFEEGGMLTEAIRRRPFTVVLFDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALIIMTSNVGSSAIAKGRQRSIGFILDDDEEEASYSGMKALVVEELKNYFRPELLNRIDEIVIFRQLEQTQMMEILNLMLQDLKSRLVALGVGLEVSEPVKELICRQGYDPAYGARPLRRTVTEIVEDPLSEAFLAGSFKPGDTAFVVLDDTGNPSVRTKPDSHNVRVTDKTSMA >A02p035030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19152966:19157773:-1 gene:A02p035030.1_BraROA transcript:A02p035030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERAPRNTPTAATEAPRLEGPPGFPPLFPELHGEERNMALQYVAHADETERRARILRVQQSIEEERVNPPAVLTKISHEVDKEKGLVFNYEDSPANDHPLMRKSSFPTRSAPAGDSNRVLLVGTSSGESNNIPSSPKGSTVVEAKIFKATSTGSLRNKKKKPRQRPPAWVRHVRPARNTVYGGIEDKEGSILIKGWLAKLGLMRLLLGAGKETRLKHTHQLWIGYQDVDESSHAGRSEFTSTHNKEARPPMYKQDSVVDLTLTVRDLWFPNSQVWNAQKLFETFTEEDALLILKIRPSPSGQDSDVWGFTKNGSYTTQSAYRMLSGSKKQQGDQNRFEAFPWVLWHLWKARNALVFEKTRLTPNSITTKALEESVIWFLAQQQVPEPTTIEVSTNSLIGTWEKPPSDMVKCNVGMAWSDTCLMSGSSWIVRDYQGQAIQHSRLAITGSSSKRESDIRSLLWAVQAMGDLRHKKVLFEASSLEVREALLNPLRFPELSPLILKILELLNRFEKWAVFHVSAHMNRIAKNIAESVILGTRLQSYVAAGGPCWLHKMLEEDANST >A05p052480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30672777:30674334:1 gene:A05p052480.1_BraROA transcript:A05p052480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFEATELRLGLPGGNHGGDMAMKNNGKRGFSETVDLKLNLSSTALDSVSGVDLENMKEKVVKPPAKAQVVGWPPVRSFRKNVMSGQKPTAGDAAEGTEKTSSSNGATSSAAAYVKVSMDGAPYLRKIDLKLYKTYQDLSDALSKMFSSFTIGNYGPQGMKDFMNESRLIDLLNGSDYVPTYEDKDGDWMLVGDVPWGMFVDSCKRIRIMKGSEAIGLGYSKGIRKVQEQKLRALRK >A10g504890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12154587:12155021:-1 gene:A10g504890.1_BraROA transcript:A10g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSWTSTNQFSGIGWVWKDNIGNIQLMGIRNLRRRETLNSELEALIWAMKSMLQHSTCQRFETDCKDMIAMLKDPQAWPNFSTKLEGIHVLQMCFPDFKISYFPRAQNEITDSLARNARSFHNFICFVGCSIPVWLPIPPQV >A03p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2060704:2063368:1 gene:A03p004940.1_BraROA transcript:A03p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEASGSAIVFLLLLFIHVAHSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCQPKKIVDSTENLGEVLRGDRIENAPYSFKMREAQMCNVLCRITLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIERGDPGSPAVVYQLGYHVGLKGQYEGSKEQKFFMHNHLAFTVRYHRDVQTDSARIVGFEVKPYSIKHEYDGKWSEKTRLTTCDPHTKRLVVSSSTPQEVEPKKEIIFTYDESEVKWASRWDAYLLMNDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRIPTNSDLLCVYVGTGVQCLGMVFVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYKMFKGTEWKRIAFRTAFLFPAVVSSIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYLGFKKPAVDDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLQITKLVSAMLYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >A05p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2426934:2428178:-1 gene:A05p006170.1_BraROA transcript:A05p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELENPGVMPKLISFLSSLLERVSESNDLTQRVTTQSQSVSVFDGLSRPPITIQCYLERIFKYANCSPSCFVVAYVYLDRFTHRQPSLPINSFNVHRLLITSVMVSAKFLDDRYYNNAYYAKVGGISTKEMNLLELDFLFGLGFDLNVTPNTFHAYFSYLKKEMTLLQPLSLVLVPPRPVITFNDEEASHQQQQQQLAV >A03p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4617348:4619163:1 gene:A03p011540.1_BraROA transcript:A03p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60490) UniProtKB/Swiss-Prot;Acc:Q8LEE9] MEHSPFFLLLSTVLLLLTASPLAQSQPAAAPAPPGPTNVTKILEKAGQFTVFIRLLKSTGVANQLYGQLNNSDNGITIFAPSDSSFSSLKAGTLNSLSDEQQVDLVQFHVIPSYVSSSNFQTISNPLRTQAGDSAEGHFPLNITTSGNTVNITSGVTNTTVSGSVYSDGQLAVYQVDKVLLPQQVFDPRPPAPAPAPTVAKSKKKKEDGDSPDDDSPADASLALREVGSVRSAVLVCVITNSWGGHQSINDRNDVDGIEKAADSECGEGSSDSMVAWKEQPMEKKKIRENMRESNELI >A04g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4153179:4158900:1 gene:A04g501710.1_BraROA transcript:A04g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSVRRFGQVALGFQRDEYGERLHGVALARPLGKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A01g508810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:23873204:23874199:1 gene:A01g508810.1_BraROA transcript:A01g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIQPPPSPMKSRFRKRPDLSLPLPHRDVALAVPLPLPPPPSTTSAPTSGSAISPNVSAAKSLSELERVNRIGSGAGGTVYKVIHRPNSKPFALKVIYGNHEDNVRRQICREIEILRSVDHANVVKCHDMFDHNGEIQVLLEFMDGGCLEGVHVSREEELSDMSRQILSGLAYLHRHHIVHRDIKPSNLLIDSEKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNHGRYNGYAGDVWSLGVSILEFYLGRFPFAVSRQGDWASLMCAICMSQPPEAPATASEEFRHFVSCCLQSDPPKRWSAQQLLQHPFILKSTRAPGS >A01p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25321795:25328557:-1 gene:A01p042670.1_BraROA transcript:A01p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLKNASKVIDARTRTWDDVAPIPCTLTRRGWCDFYCEVENVLYSVCDGKLRWYDGMSSSPEKKKEKKLEEELPSTPQPNPTPSLPDELLTSCIARLSRLYYPTLSLVSKSFRSLLASPELYKARSLSFRTESCLYLCLESSSDSRWFTLCRKPDKNKYDVSKNKKTNDDVSKNKSTSYALCKLPSLHSPPAVFSGLVSVGSDIYNIRSSSNVSVLDCRFHTWRKAPSLPVELMALSACAVDEKIYVAGIHGESLKNASKVLDARTQTWDDVVSIPCSLTRRGALNMRSVCIDGKPHVATDDGVVCYNSQVGKWELGEAKMGSFRFSDSYCEVENVLYSVCDGTLRWYDGEVNVWRSLEGLVGLPKFLPGVSVRLCDYGGNMVVVWDKNMLSSGEKKILCAEIALERRGVW >A01p041900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22571995:22572420:-1 gene:A01p041900.1_BraROA transcript:A01p041900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPRLDKVMNTLHKRGMGGSGVVDLFFRKELPLSFRVFDDEMRALVARNLEGGVNVHPQTSLTRLSEYI >A08p019050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13033430:13035569:1 gene:A08p019050.1_BraROA transcript:A08p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNASVAERRFIGKEAAACLPSDPKPKIKHHLPQSHLTVEIADSSSPPQSSHPFDLRLGSILSFLSSSLPSIPSATNQKLLRQVIRVRLICFHLRFLLLLSVPPLYVFFLLISFRFFLVFVFSILAFSFFLSISLKLALPHLPSIRLIIARLLSLKLRSSSSSQVVWSIGSKPVTEKKSNSGSWVHKYSSGDVYEGEFHKGKCSGSGVYYYSMKGKYEGDWVDGKYDGYGVETWAKGSRYRGQYRQGMRHGAGIYRFYTGDVYAGEWSNGQSHGCGVYTSEDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYQFGNGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWDDGVLSCPTEQSTRPGSSFSISHSKVLDTVQQARKTAEKAHEVVKVEERVNKAVMVANRAANSARVAATKAVQTKTYYSSGGDDPL >A07p014880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9257586:9262074:1 gene:A07p014880.1_BraROA transcript:A07p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGEQNPKQNSPQKIQFLNVCSGNSLEKSTVKLKKKIHMEMGRLTKLILGLWSKSANGEWRFEETSAYHGECVVINKNESLEGLLELIRIRLDLGILTPVALTYQLPDWMNLPGGAKTPPINLLTDKDVEIMTSVEDYMAEAVLFVTRGPELVAKYQFFCRSPFTIGDTTYLGEEVTEAQHRQAIRDLVGSHPIVCSKHILEIMFNEPQLLIVFRVALEIEMVYGLPNDEGETQDQAQFQRLTVDDIISMDGDGSMSPEDLTYFNPYEEAEILHLSTPLEVQPLRIWIDITQEYLYLDDMMDEEDSYEVYVGESPHENQGVLGLPLAANRRVSAPQPATIIIIDEDDDSSTTDSSDGINHNNNISNATPTQVNKPNSTDVQDNTPSFIKGDSSAGQETDSCKDVNMITQAAPEKGTDAEPCLELTLGVGNKTSVVAQVPLGTLDDSSSESDETCGGADFKQQLVLYALRHKFRFKNARSSPDRMVLRCINQTCNWRVYATKMKNVDTYEIRKVELQHTCSVDDRAGITKTSGFKCLKDMQNKQHILLLEKCSSQDLLRRMARRTTLTCAQNLALVSLSRAFLYRAHMRLQRQSKKKKSIEELVSSFYTVVTLASAYADNILPISNNVNSSEVKINGEGEKVAIFPPASKRPPGRPRKTRILSTGEIRMKTPRRRRHVCSRCKGRGHNKATCKVAI >A01p048150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27122468:27123413:-1 gene:A01p048150.1_BraROA transcript:A01p048150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g17950 [Source:Projected from Arabidopsis thaliana (AT3G17950) UniProtKB/Swiss-Prot;Acc:Q6DR24] QSICHFLLLPHLSSKPKANTTFLSPDPTSFNISSTGSFFHDRSITLGTLMGFSFTATMPMMPFRASSHRHVSPSISVSRATSSNATRRNLRKRPPPNSSERHRRRKWWRFCRDDDDDDAGNGMHRGSAECRRSSLGEYLEVERRSGDEAVYGSAEAELEGAVARYREQQPAAVGERALFADGRVLPPASAEVVSGEGTEAVTALCRFPVSLTGICSGGGG >A09p049150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43553909:43557696:-1 gene:A09p049150.1_BraROA transcript:A09p049150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKIRLRERPREDRSQPSNIPNDLTMEILSRLPVNSIVRFGCVSKRWSSLTRLPNFNNLFMSSRKPRLLLVTFSTGLKQYGILFPQHQNPDGSYPPFYSFQIKNINHPTYARSESVQGLILLPGFKIWNPTVRQFSSLPPPNEHNPNQNCKCYLGYDPLEGKHKVLCIVHSEEVWVLTLGAQESWRIPTKGIPKHYPEAYGPCINGVLYYKAHLFDYDWQEIIMSFDVKSESFSPIKYPKALSRLEFDMLPYEGRVALVNYKNNLSKVDLYILKDADGQEWTHQSFNNMICESTLRSPVVFKGVTDDGELVFAPYLLSESHCILYFDPSRNSTREALFEGVKGEIRRCCGIATRNIYIMDVFPNHIQSVVMRVFRKKVSLRWINKRRRERSSRHDKSQTKHIPLDLTMEILSRLPVKSIVRFGCVSKLWSTLTRHQSFINLFASRSSSGQPRLLVTFSTCYKKYGISFPQHQNPDGSYPPFYSFQIKNTSYMRYVRSKSVEGLILLPGFKIWNPTLRQFSALPHPSEHPSQDCECYLGYDPLEGKHKVLCIVDKEYSEELQVLTLGAQESWRVITKGIPMHFPTGGLGRCFNGILYYEARLLGDGKNIIMSFDVKSESFSPIKYPEGPSHLMLDIIQGRLAMVAFCSFSNIVDLYILKDEDGHEWTHQRFLNIFGKSKLWLEPVYFKGITDDGELVFAPNTFPEPYYIIYFDPKKNSTREALFQGVKGEIRRRLGPYIYYYNRVDFPNHIESLSSFLFVDLTLGDQESWRVITKDILGHCQTEAMGNASGEFCIARVLCDDHCIIVRFDVKSENFNAPIKFPDNLTNFVSHTIIVL >A04p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8370859:8371633:1 gene:A04p008350.1_BraROA transcript:A04p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHRITFSGQILNYQTTVAQLVELLGDENAASDLRCWVLFQLAGSHYDQKIEHMYEIAVFLATGKEVSKGQTKSWRKKELLPLNPTSNTLSSPGDEFWLRKSDESSQSSQDDAETS >A10g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12232130:12235593:1 gene:A10g504950.1_BraROA transcript:A10g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFSTLMAGFTTVLLRVINRDIWDIVDTSNISPDLCVMNIKLMLRRTSTRAVQAKLFCICFKLMIGRRDGLIVLQDDGSTGSILFAMRHHEAYDSKKKKLGPQDTEEGLNRSNKLFGDERYELLVESQELLQELRLGWTDFKSSRSSIFYASLIVYSLSRKFRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILEYLMEMMAGDLTLGREGTALASVRVPYDISPCLDELTIGYCFVGLKSLELYPIGVLVFFGCWSKAIGTIVRTSDRQSGNIDRVISGHLRSGNLVPLLGDPRLILLIMAPSRVPSVGLVSGRQLDVFSDDEPRGCAQCREGSSFHRLGDSPLGIEYSKWFLYLFSPRAYRDFQSFLRSDPFRVYAEYWSPEWSFTGKMRYLILLLGTPYLAGVFGMPRYLTTYRDDTCGQSPLPSWGMTLLWAEPAGSYEFITDPRP >A04p030100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17887641:17888553:1 gene:A04p030100.1_BraROA transcript:A04p030100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKQENSREVQSRTHRSGSKQASTKAPGYAPELRWRRTSTNKPSYLNWETKVQARIAAVNIEAQVTVKRRLVQQALFTAYATQRMQQRPRGTLSRTVGALKIRTTSEARRGSQSHHQRKPWLLLLEINERSPTSSSDSSFRLGPLEQDGEALKLAADGGDRGKQRRRRSEVKRQRRASFRQTTVADLTQIQRETNPRSTKTTVPPASIKTITTSPSLRRHWGHTQTSIWSPDPAVIRQKLEKEEQRGGEEANSFTGRGLDREERF >A02g510850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28739386:28739703:1 gene:A02g510850.1_BraROA transcript:A02g510850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDDSSTKNKLGWINGEHTDLKPAGETEDELKQSVDELDPAEESMHELKPAEVRVDELDELSELSDTTLELDELSYTTLELSELSDTEDGAGLADGRNEPCSA >A04p030740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18225964:18231389:-1 gene:A04p030740.1_BraROA transcript:A04p030740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) UniProtKB/Swiss-Prot;Acc:Q9SCV3] MSFPSMAESTRKASFQWRIPPPIIALLLLLPIVSGSFFKPFNVSYDHRALIVAGKRRMLVSAGVHYPRATPQMWPDLIAKSKEGGADVVQTYVFWSGHEPVKGQYNFEGRYDLVKFVKLVGSSGLYLHLRIGPYVCAEWNFGGFPVWLRDVPGIEFRTDNEPFKKEMQKFVTKIVDLMREAELFCWQGGPVIMLQIENEYGDVEKSYGQKGKDYVKWAASMALGLGAGVPWVMCKQTDAPENILDACNGYYCDGFKPNSKTKPVLWTEDWDGWYTKWGGSLPHRPAEDLAFAVARFYQRGGSFQNYYMYFGGTNFGRTSGGPFYITSYDYDAPLDEYGLRSEPKWGHLKDLHAAIKLSEPALVAADAPQYKKLGSNQEAHVYHGDGETGGKVCAAFLANIDEHKTAYVKFNGQSYTLPPWSVSILPDCRHVAYNTAKVGAQTSVKTVESAGPPLGSLSILQKVARQNNASYITKSWMALKEPIGIWGENNFTTQGLLEHLNVTKDQSDYLWHKTRISVTEDDISFWKKNGANPTLSVDSMRDVLRVFVNKQLSGSIVGHWVKAVQPVLFVQGNNDLLLLTQTVGLQNYGAFLEKDGAGFRGKAKLTGFKNGDVDLSKSSWTYQVGLKGEAEKVYSVEHNEKAEWSTLETEASPSIFMWYKTYFNTPDGTDPVVLDLGSMGKGQAWVNGHHIGRYWSIIAQKDGCDKTCDYRGAYHSDKCTTNCGKPTQTRYHVPRSWLKPDSNLLVLFEETGGNPFKISVKTVTAGILCGQVSESHYPPLRKWSTPGFMNGTMSINSVAPEMHLHCEEGHVISSIEFASYGTPRGSCEKFSTGKCHASKSLSIVSEACKGRNSCFIEVSNAAFQSDPCKGTLKTLVVMARCSPSQDTSETASD >A06p057930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30074655:30075663:-1 gene:A06p057930.1_BraROA transcript:A06p057930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLIAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLINRGIDPTTHRPIQESSASQDSKPTHLEAITSNTINISFASSSSTPKMEIFQESTSFPGKQEKISMVTFKEEKDECPVEENFPDLNLELRISLPDVVNHHHQGFVGEGKTTTPRRCFKCSLGTINGMECRCGRMRCDVVGGSKGSGKGSDMSNGFDFLGLAKKETNTCLFGFRSLEMK >SC240g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000091.1:23862:26364:-1 gene:SC240g500010.1_BraROA transcript:SC240g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGNGQRESTGSDESGIQSSGDWEVDPDGSNESGAQS >A05p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4742687:4744509:1 gene:A05p011160.1_BraROA transcript:A05p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMEICNGGISNGDVSGLSSKREKLIIDTDPGIDDSMAIMMAFQTPELDILGLTTVFGNVQTQDATRNALLLCEIAGFHDLPVAEGSSEPLKGGIPRVADFVHGKNGLGDVSVPSPCRKKCDKSAAEFLVEKVSQYPGEVTVLALGPLTNLAIAIKRDSSFASKVKKIVILGGAFFSLGNVNPAAEANIYNDPEAADVVFTCGADITVVGINITTQLMLSDDDLLALRESKGKHAKLLSDMCKFYRDWHVKSDGVYGVYLHDPVSFVAVVRPDLFTYKKGVVRVETQGICVGHTLMDQGLKRWNGSNPWVGYSPVSVAWTVDVDGVLEYIKGMLMKP >A07p036380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19540976:19545730:1 gene:A07p036380.1_BraROA transcript:A07p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMRDQSGSPYGDSTPRSPFSPYSGDERHRNLADSKRDTTTPRSPFSPFSPLSGDERHKTLAESKFQQALPCSDPSSPGSMHHGGHKFHEVFQMKQGRYDLQASKISEMMKSSSLDNAPTQSLLSVVNGILDESIERKNGEIPQRVACLLRKVVQEIERRISTQAEHLRTQNNIFKTREEKYQSRINVLEALASGSGKDSEIATQQLRQIKTEKSTWEEKKKNGEEDMLKLLKENCQYDLEISALRQELETTKREYKQQCTQMESQTMTEKTKWEEQWKNEEEDMAKLSKENDQFNLEVSALRKELEKTKKAYEQQCLQMQSQTMLATTGLESRLKELEQERKETNTAKNSLEERVNELEKMGKDAHTAKEALEEKLKELQEMEKETKSFNTSLEGKIQELEGNLVNWKNKVKEMDEISESKQQSWSQKEVSYKSFIDYQSQTLEELRFYSSSIKQEILKVQENYTEQFSQLGIKLIELSNAAENYHAVLTENRKLFNELQELKGNIRVFCRVRPFLPGQGAPNTVVEYVGEDGELVVTNPTKPGKDGLRKFRFNKVYSPAATQAEVFTDIKPLVRSVLDGFNVCIFAYGQTGSGKTYTMTGPDGASEEDWGVNYRALNDLFKISQSRKGNINYEVGVQMVEIYNEQVLDLLSDDRILSTISQNGLAVPDASMYPVKSTSDVITLMDIGLQNRSVGATAMNERSSRSHSIVTVHVRGKDMKTGSVLYGNLHLVDLAGSERVDRSEVKGDRLREAQHINKSLSSLGDVIFSLASKSSHIPYRNSKLTQILQSSLGGQAKTLMFVQLNPDAISYSESMSTLKFAERVSGVELGAAKSSKDGKDVRDLMEQLASLKDTIARKDEEIERLHSVKDIHHPHRLQKTMVKIKSLGQTDDINSETGEYSSQSRHAITDGESLASSVEAESEERLSEVTSDAASNGTQGSPDVAKRPPRISDRGKSTPSRSSVITRPLDKLRKVATRTTSTVSKVASGLTSSSSKKTSNASSLSKSSKRWA >A04g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19245007:19246815:1 gene:A04g507670.1_BraROA transcript:A04g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVTHPIVRYGGCTTGTWDHNLVRQLIDEEDVNLVLNTKINLSRADKLIWGFSKNANILEKNTKPAIFLFLIAALSEYVNLRTGSGSVCGQDSETICHVLFHCKTAKEVWDKSRFPLPSAGWSQNSDTAVWLNLHDNLPTEEYSTMFRGSVPEKWQKPPASFLKCNVGSSLDEGSSIAGAAWVVRDARGIVLLHSRRAFANVSSLQQANVMALHWAAEAMSDLKLKKVVFEFSALEVKKAMDHPLLCFGHFQPVSHALSAIYSIRDAKISYVTSSCNLIANLIAVSVTRDQRYQSYVARNGPAWLATQIGQEASA >A03p042620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17813021:17814852:-1 gene:A03p042620.1_BraROA transcript:A03p042620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIPMSPQLEQIHGEIRDHFRALANGFQKLDKIKDSSRQSKQMEELTDKMRECKRLVKEFDRELKDEEARNSPQVNKQLNDEKQSMIKELNSYVALRKTYMSTLGNKKVELFDMGAGVSGEPTAEDNVQVASSMSNQELVDAGMKRMDETDQAIERSKQVVEQTLEVGTQTAATLKGQTDQMGRVVNHLDTIQFSIKKASQLVKEIGRQVATDKCIMMFLFLIVCGVVAIIIVKIVHPNNKDIRDIPGLAPPAQSRKLLYLRNQEYMGK >A05p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26311863:26313413:1 gene:A05p043660.1_BraROA transcript:A05p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSKPQCLPLSLPVIDFSVPNLKPETPEWDTVRAQVRKALEDFGCFEALFDGASVELRKAVFEASQEVFDLPLETKLSAKSEKNRNNGYSGQVSGMPLFEGMGFDDVDNPEVVNKLTHKVWPQGNITFSNTVQSFAEKLIELNVKVRTMLMESFGLEKYVEEHLTSAKNRFHLFKYKGLDDNTEEDVGIDTHIDRHFLTILCQNDVVDGLEIRAKDGEEWFKAKPSQDSSYLVMVGASLHVLLNGRVHPPLHHVVITGKKDRYVAGLFLRPKEGLIINAPEEIVDDEHPRLYKPFNFEDYFKFTYIDTKKRDLPALKAYCAL >A06p047720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25313481:25315580:-1 gene:A06p047720.1_BraROA transcript:A06p047720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G47840) UniProtKB/Swiss-Prot;Acc:Q9FIJ7] MTMTMKRQRILIPRTNYKPWYGSVFKLIIVLMMIPSLILRKARERERGDMAGCVNYISPHVTRCSPRASPSLSFSGDSVHSLFRRSPSITAPRFQVVVAAEKAEPPPPLKIMISGAPASGKGTQCELITQKYGLVHISAGDLLRAEIASGSENGRLAKEHMEKGQLVPNEIVVMMVKDRLSQTDAEQNGWLLDGYPRSSSQATALQGFGFHPDLFIVLEVPEDILVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKASQYSLILYMFLCFSKVKLRLKTHNQNVSDIKGNRPKEEVFTQIDAALSEMLQQRNTDPTSTEGTLLQDFKLNDSCHGPNQ >A01p059720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34013096:34020449:-1 gene:A01p059720.1_BraROA transcript:A01p059720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGGGGGGSVGGGGKIKIGVCVMEKKVKCGSEVFSAPMGQILDRLESFGEFEILHFGDKVILEDPIESWPICDCLIAFHSSGYPLEKAQAYAALRKPFLVNELDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRTVPNQDLDYFVEEEDFVEVNGERFWKPFVEKPVNGDDHSIMIYYPSSAGGGMKELFRKIGNRSSEFHPDVRRVRREGSYIYEEFMATGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPTEKQMAREVCLAFRQAVCGFDLLRSEGCSYVCDVNGWSFVKNSYKYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKVNEPVQPNEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKTAVQLQDLLDATRMLVPRTRPGRESDSDAEDLEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKIPKNNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRFFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEAAKARLNEIVTSGTKMIHEHGSSEEFPWMTDGAGLPPNAHEVLRELVKLTKNVTDQVRQLAMDEDENLTEPYDIIPPYDQAKALGKTNIDSDRIASGLPCGSEGFLLMFARWIKLARDLYNERKDRFDITQIPDVYDSCKYDLLHNSHLDLKGLDELFKVAQLLADGVIPNEYGINPQQKLKIGSKIARRLMGKILIDLRNTREEALSVAELKESQEQVTREEAMSVAELKESQEQAALSLSASKKEDRNSQPKLFINSSDDLRRPGTGDKDEDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLICQNALERLCKTKELDYMSYIVLRLFENTEVSLEDPKRFRIELTFSRGADLSPLENNDDEAESLLREHTLPIMGPERLQEVGSCLTLETMEKMVRPFAMPPEDFPPASTPVGFSGYFSKSAAVLERLVNLFHNYKNSSSNGKS >A08g510570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24339794:24340321:-1 gene:A08g510570.1_BraROA transcript:A08g510570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLLPQFKCPPDSFSIQFRTSHSVSKHSKGSVFFQPQCAVSTSPPLLTSVLDVAKIRLPSFDTDSNPRVSDRQWTYTGTIGPSTEVIILPFSLKHFTKLSAKIIE >A03p064430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28012680:28017648:1 gene:A03p064430.1_BraROA transcript:A03p064430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGKMLFDLNELPTEDDDGSGVNQPQKAIPSASPNTSTGLLATPQDKANSRVFLHASTASGFQPFVRPLASQHTDVVVERKTDEVANVASSSSVVPDDAGAPEREEGELMESEVPASDSIDYKGMAMPETGVSSDDSKVTEKGCSTVGLDVASDSGLQKRNVNLSSEGSGKDSASIDGGPQEQGLTVKQRETKGVEASHAIKCANTTVKRKWDQQKETMLGKKRNRQTMFLNLEDVKQAGPIKTTTPRRQNFPQPVVTRTVRESRAGAEQGTSEQKSESNGESQPGLVAKTRRMNGDAGPSSEGTTTSVSRQGSWKQPAYSQSKPGQSSSRQVSLRSQSSADSKFGNKKLTSFKKPVTNSTQYQDTSVERLIREVTNEKFWHHPESCEANSYMKVRIKFVERRERGWYDVILNSLNECKWPFKEGDVAVLSTPVPESEGEHEDGGRVAGTVRRYIPVDTRDPHGAILHFYVGDSYDSGSNVDDNHILRKLKPKEIWHLTVLGSLATTQREYVALHAFGQLNPQMQNAILRPSPEQFPNYGEQTPTVPDCFTPSFAGHLHRSFNAPQLAAIHWAAMHTAAGTSSGAKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQQYYTSLLKKLAPESYKQVNEGSSDNIVSGSIDEVLQNMDQNLFRTLPKLCAKPRMLVCAPSNAATDELLSRVLDRGFIDGEMRVYRPDVARVGVDTQTRAAQAVSVERRSDQLLAKSRDEILGHMHNLRLREAQLSQEIAGLKRELTAAAFTNRSQGSVGVDPDVLMVRDQTRDALLQRLSAVVEARDKDLVEMSRLLIVEGKFRAGNSFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQLAGCPTLLLTLQYRMHPQIRDFPSRYFYQGRLTDSESVTTAPDEIYYKDSVLKPYLFFNISHGRESHRGGSVSYENIDEARFCVGLYMHLQRTLKSLGGGKVSVGVITPYKLQLKCLKMEFGNALGPDEVKEIYINTVDAFQGQERDVIIMSCVRASGHGVGFVADIRRMNVALTRAKRALWVMGNASALMKCEDWAALITDARERNCFMEMESLPKDFPVPSFIPKAPNARGFRPGGSRTRSIDMLHNETRSGTPSEDDERQQQLSTRMTTFPRNGNFRRENSVVDDSDQSWQHGTIQRRQNFGRPFGRRD >A06p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2881496:2883412:1 gene:A06p008320.1_BraROA transcript:A06p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAEKNRSFLPPLDFQLGATQPDSYTAAKTHGRGPSGCGNHFYYGGPGPGPLRSSSFRSDPLDTENPCFVDAAWDSTAKRAGSAWILNKHLPHHARSGSQIFDNVNSPLMAESLALRNGIEELIKAGVQSTTVFSDCQTLIRAIVNKSQIKEVYGVLQDIDRLSSLFVSIGFQFIPRSQNRETDFLAKQALQAHGCLISSLFEWHKLKTLIRMGVKFFYLGCHLVQITNDSVRSIALLPKLEEIDVTSMSSSSLISILKGHPDLQNLKASHCISKKVFNRSDVTPSVFESSILLTVMALMIEGLSNEYISKCSRLLRLKLGLCANISDKGIFHIGSKCSKLLELDLYRWAGFGDDGLAAISRGCKSLNRLILSCCG >A06p055830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29114487:29115398:1 gene:A06p055830.1_BraROA transcript:A06p055830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAMSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKAIGLPCPDYKRVHEGFKLAYTDMAQKYPCFGFSAKIPNVVWWKTVVRDSFVKAGYEYDEETFEKVFKRIYSTFGSAAPYSVFQDSRPFLRWARQKGLIVGLVSNAEYRYQEVILPALGLNKGEWDFGVFSGIEGVEKPDPRIYKLALERAGNIAPEEALHIGDSMRKDYVPAKSIGMHALLLDRFKTEAAKDWREAGAIVLPDLVAVQQLLESDKLKC >A09p070700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54759148:54764373:-1 gene:A09p070700.1_BraROA transcript:A09p070700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLKLYLLLLLVWSHHVDCASIVKFLPGFEGPLPFELETGYIGIGEEEEVQLFYYFIKSEKNPKEDPLLIWLNGGPGCSSLEGLFFENGPVGLKFEVYNGSLVSLVSSTYSWTQVANIIYLDQPVGAGFSYSRTPLDKTSDTNEAKMIHQFLQKWLSKHPQFLSNSFYVSGDSYSGKTIPALVQEISKGNYICCKPPINLQGYVLGNPVTHPEIDENYRIPFSHGMSLISDELYEYYLYYLIECWANNERVREALHVEKGTKGHWQRCNWTIPYNQDIISSVPYHMNNSISGYRSLIYSGDHDITMTFQGTQAWIKSLNYSIIDDWRPWMIEAQIAGYTRTYSNKMTYATIKGGGHTAEYKPNETFIMFQRWISGHTL >A10g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3576020:3578995:-1 gene:A10g501230.1_BraROA transcript:A10g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYLFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSRLPGSRLDFLKVIWTSCKVSSELPGSRLDFLKSSGLPGSLLTKFSSISSGVQACLCRGMIYNSFVCGLRLIIQSSTRRLKCKSSRIYEFIVFKVNCKNNLYVDQTTSSSLAYIRLLQAHIITNESNPPRIVSFYDSMNHKNFRIRILGFFSSLWRESEIYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5833094:5833553:-1 gene:A04p015000.1_BraROA transcript:A04p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPCWPPFPPFGPPGCGPPTPGCGPPPGCWPPGQPPPGSMPPGWPLGPPPPGSVPPRFPGWPSGPSPPGCMPPGWLQQQGDPGNSETPYPPKPPQNPSDPHKPGEVSTSDLPLDLMVRIANNEVKPPTGPNPGAESDPPLY >A03p031960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13518137:13519378:-1 gene:A03p031960.1_BraROA transcript:A03p031960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKGSMKKSNLDRFLHCTTPVVPPQSLPKTEIRSLNKIWHHSERENVEYFRLSDLWDCYDEWSAYGAGVPIRLTNGESLVQYYVPYLSAIQIFTSRSSLIRLREESEDGESSFSDSYSEESESDKLSRSAASDEGLEHDALLHPNDRLGYLYMQHFERSAPYARVPLMDKINELAQRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMEPEENGGEKERTRKEGEGVTLLPFGLATYKMQGNVWLSETDQGQDQERVLSLLSVADSWLKQLRVQHHDFNYFSRMAHRG >A07p033110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18101216:18102991:-1 gene:A07p033110.1_BraROA transcript:A07p033110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELDDDYVEYVPVAKRRAMEAQKILQRKGKVEEEEEAEKEKKKVAESSSKPSLLLQATQLKRDAPKVTATDQIILQEKEMMDRLSEKKTLMSVRELAKGITYTEPMTTGWEPPSHVRNMSRKQMDSIRKQWHVTVSGEEVPPPIKNFEDMMFERPVLDTLKDKGIVQPTPIQVQGLPVALSGRDMIGIASTGSGKTLVFVLPLIRIALMEEKRRRVGPGEGPIGLIICPSRELARQTYEVVEQFVGPLVEAGYPPLKSLLCIGGVDMRSQLDVVKRGVHIIVATPGRLKDLLAKKKMNLDACRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPAKIQVFARSALVKPVTVNVGRVGAANLDVIQEVEYVKQEAKIVYLLECLQKTTPPVLIFCENKADVDDVHEYLLLKGVEAVAIHGGKDQEDREYAISSFKAGGKDVLVATDVASKGLDFPDVQHVINYDMPAEIENYVHRIGRTGRCGKTGIATIFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEEAESIANASGVKGCAYCGGLGHRIGVCPKLEQQKSVVISNSRKDYFGSGGYRGEI >A04p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7598415:7601603:-1 gene:A04p009660.1_BraROA transcript:A04p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKAWLNKLKSKDKGKSSKKKEATSNVKEGPRTPGGEEALSNVTKEKAAAAKLYIENHYKMQMQSLQERKERRKLLEKKLAAAEVSEEEQNNLIKDLELKETEYMRRQRHKMGADDFEPLTMIGKGAFGEVRICREKGTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIGETVLAIESIHKHNYIHRDIKPDNLLLDREGHMKLSDFGLCKPLDCSNLQEKDFTVARNVSGALQSDGRPVATRRTQQEQLLNWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDDPMTTCRKIVNWRNYLKFPEEVRLSPEAKDLICRLLCNVEQRLGTKGADEIKGHPWFRGTEWGKLYQMKAAFIPQVNDELDTQNFEKFEETDKQVPKSSKSGPWRKMLSSKDINFVGYTYKNVEIVNDDQLPGIAEIKKKSNKPKRPSIKSLFEDESSGGATTNQGSFLNLLPTQMEEPEKEGSKCSSSG >A05p013500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5898517:5898849:-1 gene:A05p013500.1_BraROA transcript:A05p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL19 [Source:Projected from Arabidopsis thaliana (AT2G33775) UniProtKB/TrEMBL;Acc:A0A178VTZ9] MGIKFLLILCLLTLAVVAESANVTWSLTRSCVNGQGCIGDDDGLESLMDSETNRRQLAARRRYISYGALKKNNVPCSRRGRSYYDCTKRKRANPYRRGCSIITHCYRLTS >A06g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28982964:28983743:-1 gene:A06g509810.1_BraROA transcript:A06g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFQQRLDRHGKPFGDRVSSASLRPPGPRNKIAANLDQPRPSLERAKTPDAEQNEQSYTSPPYTRRRTSQAVDRRRDNRREQNHRSPNLQWRPKSPVLNQEVTPPKIPIHEEGPADVPETHTRKRGRPPKVRDNRNVIRLSPKSYSGMGSKKRNLVRLQASPGSTS >A04p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14958779:14967096:1 gene:A04p024640.1_BraROA transcript:A04p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGPQTSMQAHFMIDIGQKSQVPIVSYSATSPSLTSLRSPYFFRATYEDSFQVNAISSIIKLFGWREVVIVYVDNTFGEGIMPHLTDALREINVRIPYRSVISLNATDHEISLELSKMMTMPTRVFIVHMSTSLASRIFTKINEVGLMKAGYVWILTNGIADQLSSINETGIEAMQGVLGVKTYVRKFKELEKFRARWRKMFPEVELNAFGLWAYDAATALAIAIEEAGTNNMTFSNVDLGRNVSELEALGLSQYGPKLIQMLSQVQFKGLSGDFRFFKGQLQPSVFEIVNVIGTKERSIGFWTEENGLVKKLDQEQQSVGALSTWKDHLKHIICPGEANSVPKGWEIPTNGKRLRIGVPKRTGYTDLVDVKKDPITNSQEVKGFCIDFFKAVTEAMPYDVSYDFFPFEKSDGTPAGDHNDLVYQVYLGKYDAVVGDTTILANRSSYVDFTFPYTKSGVGLIVPVEDQVKRDSISFLKPLTWKLWMTSFFFFFLIGFTVWFVEHRINPDFRGPAKYQASTIFWFSFSTMVFAPKAGFARSSLIPFDTADDCDELLRKGPENGGVSAAFMEVPYMRLFLGQYCTYQVVEEPFSVDGFGFVFPIGSPLVADVSRAILKVAESTKGRDLEHAWFKKKDETCPDPVTNPDPNPSTKSRQLGVDSFWVLFLVAFAMCVFNLGKFSFFFFKKTQVNNLWQEFNQPDTDSYLNRVEKCSCSSSRHMPEPDNNTQEVNQANNQSETTNLTSLSE >A05p046350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27555124:27556658:-1 gene:A05p046350.1_BraROA transcript:A05p046350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGARIFGASQKYSSRDVAAHTTLKPRREGQHTQEEVEKKNLRDELEERERRHFSSKDKSYSDDRDRRRGNQLLLEGSKRDPEDRIVPRSVDADDSDVDLKSDDDDSDDESDDDDEDDTEALMAELDQIKKERVEERLRKEKQQQMEELNAKEEELLKGNPLLNNAPTSFSVKRRWDDDVVFKNQARGEMKAPKRFINDTIRNDFHRKFLHRYMK >A06g501310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4755420:4756067:-1 gene:A06g501310.1_BraROA transcript:A06g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFPDGLLLKILSFLPSKAVVTTSAMSKRWKPLYKEVKTFRYDYTLPNDGTFYIFMLFIRSRSSVESLQLKLNQYFLSVLIKPLVDDLVARSLRELRIEMLYNYFELPKSLYFYPQLETLKLEKPSLVDVPSNFSVIGLKKLHLLSVRFSNDESMNNLLSICPRLEDLVVRRSTYTNVMVFTIDDPTLKSLSIDNSYGKSRPKVFMGLGLMHLL >A08g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:947378:947752:-1 gene:A08g500410.1_BraROA transcript:A08g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEVIFSQQRTFWNGAGGNFSGIYRKVQLKPLKWDGEGEE >A01g501130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4222275:4222628:1 gene:A01g501130.1_BraROA transcript:A01g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSKEEDDSELKTMLECVNVAFTTWLHSRELVTLYCDVNTQSAPQNIIETKSAASSGSEQTATQDSKTVIDMGGHFHLTFGRETLLKKEIVDKMVLFSKEKEVNVDEGDPDKLFA >A01g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14331723:14333452:-1 gene:A01g504800.1_BraROA transcript:A01g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHNSYHTSPSPLPAPAAPAPVAAPVPAPPGPPGVMSVAQLVRQPGRDHLPYLTEYPYGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPRPVVFFFFYQKL >A04p019070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11404926:11405197:1 gene:A04p019070.1_BraROA transcript:A04p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKMRLDMGFENNQMPQVGVLNPRIYQQMGQEQAKVLQHHYHHPHEAQQENADKQGS >A02p008910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3672261:3672437:-1 gene:A02p008910.1_BraROA transcript:A02p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKRKKTFMFIRLVSAAGTGFFYVKRKSSKGLLEKLEFRKYDPRVNRHVLFTEQKMK >A09p001450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1080535:1082449:-1 gene:A09p001450.1_BraROA transcript:A09p001450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRLFSSSHLSHSSASNRRHIYLMSRNGLLLLVALLLLLGVSLRWSASPLFICPNKSSSSSYSSLPSNWRDYSLAQAAKFVAKDGTVIVCTVSYPFLPFLSNWLISVSRQKHQDKVLVIAEDYATLYLINEKWPGHAVLIPPALDSQTAHKFGSKGFFKFTSRRPRHLMQLLELGYNVMYNDVDMIKPLNQSHDLPPPDRNGVTYICSCMIFLRPTNGAKLLMKKWNKELQDGTKAYEGNDQPAFNWALNKTASQVDLYLLSQAAFPTGGLYFQNATWVEETKGKHVIIHNNYIIGYENKMKRFHDFGLWLVDDHAFESPLGKGKMKRFHDFGLWLVDDHAFESPLGKLE >A02p003180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1309984:1317484:1 gene:A02p003180.1_BraROA transcript:A02p003180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQTLHRPRSRIPQRTNRISFDLLSHLLYHINLFQLRIPNFHPLHDHPKPTHPFPTRSALPTALMLVSISMYAPAPKFVSTDARIVIMTSLSRELVFLILQFLDEEKYKDTVHRLELESGYYFNMRYFEELVTNGEWDEVEKYLSGFSKLEDNRYSMKIFFEIRKQKYLEALDKRDRAKAVDILVKDLKVFAGFNEDLFKEITLLLTLDDFRANEQLSKYGDTKSARGVMFGELKKLIEANPLFRDKLQFPVLKSSRLRTLINQSLNWQHQLCKNPRPNPDIRSLFLDHTCNQPNQPNGARAASPSPGTNHLMGGGGGVPKIAGFHPLMGGGGGLFQPAPGGPGGLPANLSGWMANQSVVPHPSAAPSGPMGLGSPNNAGAILKRPQTPSGPIPMEYQTADSNHVSKRSRPYGTSEEGGNIPVNILPVTYAGHPHGHNNTLSPDDLPKVVVTTLAHGSPVMSIDFHPIQQIVLLVGTIGGDVYLWDLGARQRITEKGFDVWKLDACSKELQASLNADATASVNHVAWSPDGTLFGVAYSKSLVHIYSFQGGSDIRNHLEIEAHTGSVSHLAFSYPNKQLSVVTCGDDRIIKVWDAVTGEKRYTFEGHEAPVFSVCPHYKENIQFVFSTATDGKIKAWLYDNVGSRVDYDAPGHSSTRMAYSSDGTRLFSCGTNKEGESFLVEWNESEGSIKRTYLGLGQRAAGIVQFDTTRNRFLAAGDESTIKIWDMDNTNPLTTIHADGGLPASPCVRFNREGILLAVSTNDHGVRILATDDGIRLLRTAETRSFAPVMKVPAGGGGFGSSSANAGITMADRTNSFAAMENNEVRTLVDGKPRIADDLGERSRACKVTEITEPSQCCSMRLADNVPVTKVSRLIYTNSGSGVLALASNAVHKLWKWQKSDHNLAGKATANAQPVLWQPASGIMMTNETSDTNPEDAIPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPLDNNIIAIGMEDSSIQIYNVRTDEVKTKLNGHQNRITGLAFSQALNILVSSGADSQLCVWSMDGWEKQSNKYLQVQHGRSLPAVSDTRVQFHLDQIHLLVVHETQIAIYDAQKLDWWMQWVRKEATGPITSATYSCDSQSIFVSFENGSVDVLTASNLRLRCRINPTAYLPPNPSSRVYPLVIAAHPSETNQFAVGLNNGAVHVVEPSETEGKWGTSPPLENGAAVPDN >A02p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:742209:743871:1 gene:A02p001720.1_BraROA transcript:A02p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGEAQINFVPKSEWKDQPDATILTIDLPGFTREQVKVTFVHASKMLRVTGERPLGYRKWSCFNEMFTVPRNCLVDKIHGSFSFNTLTITMPKETITKMPNLPETPKTVAERVEKLEEKRLLEVVRKKEEEAEKIKKLLEEKEGIIRKLQEEAKAKEMAEAKKLQEEAKAKEMAEAKRLQEEAKAKEMAEAKRLQEEARAKEMAEAKKLQEEAKAKEMAEAKKLQEEAKAKEMAEAKKLEEEAKAKGKLVEEATPEKSTQEKKPVDSTKSQLVDVPWPRLERFSVSKLHH >A10p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8363058:8367058:1 gene:A10p008630.1_BraROA transcript:A10p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLVLTKILCFLLVTMVIGSAMIQCSITYDKKAIVINGHRRILLSGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGTYNFEGRYDLVRFIKTVQEVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRSDNGPFKAAMQGFTQKIVQMMKEHRFFASQGGPIILSQIENEFGPELKALGPAGHSYVNWAAKMAVGLDTGVPWVMCKEDDAPDPIINACNGFYCDYFTPNKPYKPKMWTEAWSGWFTEFGGTIPKRPVEDLAFGVTRFIQKGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVQEPKYSHLKQLHQAIKQCESALVSSEPKVTKLGNYEEAHVFSAGKGSCVAFLSNYHMNAPAKVVFNNRHYTLPAWSTSILPDCRNVVFNTATVVAKTSQVQMVPSGSILYSVGRYDEDIATYGDRGTITALGLLEQINVTRDTSDYLWYITSVDIKASESFLRGGKWPTLTVDSAGHAVHVFVNGHFYGSAFGTRENRRFSFSAPVNLRGGANRIALLSIAVGLPNVGPHFETWATGIVGSVALHGLDGGNKDLSRQTWTYQVGLRGEAMNLISPSEASSVDWIKGSLAKQNKQPLTWYKAFFDAPRGNEPLALDLRSMGKGQAWINGQSLGRYWMAFAKGNCGSCNYAGTYREAKCQSGCGEPTQRWYHVPRSWLKPRGNLIVLFEELGGDVSKVSVVKRSVHYY >A04p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18153392:18156825:-1 gene:A04p030550.1_BraROA transcript:A04p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLTPNPKQRPISTTTRIQAPTSPFFLGSNDDRLEREQARAARVAASRRKSVVFARGPQQPEKESDPCFDKQQILELFHNCIKLASENKINQKNTWELNLIDHLCEIIKVEDENNAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGITRAGHDDTGDNEDAASSVGNATNQKKQTERKISPLSTLEPSFDALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCQVLFDSQEIPGKLVSSANQHDKSETIDLSSAKECLEQMVLNMRQKDEIVPSLRAIINQFDEENQRPSDTFSCGQKTTDSFDISHGNEASYANDVDGYDNFGASFDYEGQTGAAEENFGFNDAEPAYSNFPEEVEPDSLKDLDSDDRVENIDDYLFLSLGISSKQNSWAGPDHWKYRKTKVGPVDHPASENGSSPPAKKTRKKKQAEPEIDFTKALEEEMPDIFAPPKNPKSLLLPASRAPCQTQLPEDCHYQPENLVKLFLLPNVMCIGRRRRKCSGETRQQNDDYEHAGSWGNDNVNDDGPFDNGNDQSDAEDTTNSLISQPRQVNKIEVQYDKASKQVDVQVLKETLWECLQESPQPPIQDEEHQQEPLENRSFKELLASFPDDCKAAGTTKDISPHLCFICLLHLANEHNLRLISSQDLDDLMIHHA >A05p053730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31064935:31066818:-1 gene:A05p053730.1_BraROA transcript:A05p053730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSSSDSFPLLETSDWFQELSLGSDASEVPRNHYKGSLQQQYGHVPSGAYTAPSSHGNERRPSMNGGDPFGSYPWGYHTSVGYQDQSFGYGDNNNSNTLSHLMMDPHNSSFDQFGYNDHLYSNHGLYGLYGNVIDSGHAYGTFGYDSWKLGRGWYPVDGYRKTRSFNYGRGYTEEKADRLNELCRGPRNSDVKKKDAPVAVDLHRFNGENFPETFTKERFFVIKSYSEDDVHNSIKHGVWSSTPTGNKKLNAAYYEAQECCPVYLLFSVNASGQFVGVAEMTGPVDFNKTWHIIKDVPNSFLRHVTIANNENKPVTNSRDTQEVNVEDGAKIIKIFKEYMSKTCILDDFKFYETRQKMIRDKKIKQKKQVPDGASVETIH >A03g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23985899:23988753:-1 gene:A03g506670.1_BraROA transcript:A03g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRRKPGVLMLAASTKLGRLAVRGRGTFAATKKSATLPVTTAHSVAGDVQEEKSSPDLAGEPDSLGDIQGLTEAPSPCAVEGSSSSTKTISDHQPVHLTKDEDPVSVSPPSAYVAPTLSERIQKSTLLQELGTPTSHVSGAPFVLIPDENIESAKEEFKEFVFARFPGDVPSMGRIIGIVNAIWARSGPRIFVHKIGEGTYLLKVTNERTREALLSRQVWMIKGCPMFVAAWSPEFTPEQPQLTSAVVPVELRGVPYLLFNQQSLSRIATAVGKPVSLAPETERKENFEVAKVWVKVNLLNPLPDRIVSGFSSGREVEISVSYPWLPDKCTNCGKFGHRQHLCPASGSTWRPIVPPAARKDASPSSRSMSRESKGRKRSRPGRSARARRRDRSRGSDASVAVSTRPSSPQSVLPSVELECQHVESNDAPIAPKESTDDPQLSMDAESEESSENSTKEPAAERSCIKESSPKQVVSLDRNLGFSTVHVYHEDDGSASSSDVAGASNDPFFLVLNRKVKDWIRKYKPLFGAYLETKIQHNNASRISGALPVGWKHFANSDNQSPARIIVVWHPTVSVTIYQASPQVVTCGIFILADNLSLTVSFVYGFNQVEERQQLWDELAFINANTPASRYPWAVLGDFNQILRSDQHSQHLTSDVDTAGMEDFNLALQEAELFEAQANGLTYSWWNNQDANPVSKKIDHALFNQHWAQLFPDCFCEFLEPEQSDHAPCLVRMPSQTRRAPKPFKFFHHTVDHPDYLDTVRSSWSFETTQGSQQFRLARSLKLLKPALRNLNARNYSGITLRVQEQAAQVALIQRQLLTNPDTETARQEHEERGKLQVLAKAEQKFYRQKSRVQWHKLGDRDTTFYHKSVIQRASRNHIHFFRDAEDHMINTTEGIKEHAVDYFKGILGETDLMQSPAT >A08g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16926217:16926467:1 gene:A08g508950.1_BraROA transcript:A08g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRPPSLLSLTVNAAVLNLSRINDLSHLPDHIVPELFAVENLNPPILLVWVSRI >A09p001100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:884916:885611:1 gene:A09p001100.1_BraROA transcript:A09p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEAKAEQAAKADHKNAPSASSTPESYSKDSEGGGGGGGGDALRAICGAVFTILVLLGIIALILWLVYRPHKPRLTVVGAAIYDLNFTTPPLISTSVQFSVMARNPNRRVSIRYDKLSMYVTYRDQIITPPLPLPPLRMGHKSTVVIAPVLGGDGIPVSPEVANGLKSDEANGAVMMRVVVLGRLRWKAGATKTGRYGFYATCDVWMRFDRSSNGQVPLLAPSTCKVDV >A09g512680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37856019:37865160:1 gene:A09g512680.1_BraROA transcript:A09g512680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQHTQDVCGCPWLSVSTHRTSVANRVCLCVSICVRQHTQDIRVRPSAHTGRLWLSISTHRTSVADRVCPSAHTGRPCLSISTHISTLVLGLSTLTLPVDCLGDFGQRGLSVQYTQDVRGCLPAHTGRPWLSVCVRQHTQASVAVHQYTYQHVGPWTQHAGPSGRLFGTHRTSVAVRVCPFVSVSTHMTSVGVRQHLQDVRVCPSAHTGHLSVCPCVSVSTHRTSVAVHQYTYQYVGPWTQHAGPSRGLFGTSVAVRGYPSAHTGHPWLTVCVCEYPFMSVSTHKTSVCVRQHTLDVRGCPWLSVSTHRTSVAVRVCPSAHTGRRPWVSASTHRTSMAVPVCPSAHTGVCGCPPVHISARWSLDSALWPFPSTVWVILADVGCLFSTQNVRVCPPAHTGRRWLSVSTQRMSVAVRVCPFVSVSTHRTSVGVRQHTQDVRVCPSAHTGHLWLSVCVRGCPSAHTGHSWLSISTHISTLVLGLSTLALPVDCLGDFGQRRLSVQYTQDVNGCPLANIGRPWLSVSVCVCLCVSMSTHTRRLWLSISTYISTLVLGLSTLALPVDCSADFGPRGLSVHYTEDVRGCSSAHTGRPCVSISTHRTFMAVHVCPFVSISTHRTSVAVHQYTYQHVGPWTQQAGPSRGLFGTHMTSMAVHQYTYQHVGSWTLTLPVDCSGDFGQRGLSVQYTQDVRGCPPAHTGRPWLSVCVRQHTQASVAVHQYTYQHVGPWTQHAGPSGRLFGTSVAVRQHTQDVCGCPCVSVSPHRTSVGVRQHTQDVCVCPSAHTGHLWLSVCNRVCPSAHTGHLWLSISTQISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPANTGRPWLSVAVRVCLCVSRSTHTRCLWLSISTHISTLVLGVSTLALPMDCSGDFGPCGLSVHYTEDVCGCSSAHTGRPCMSVSTHRTTLTLPVDCSGDFGPRGLSVQYTQYVHGCPPAHTGHPWLSVCVRLCPSDHTGRPWLSISRHISTLVLGLNTLALPVDCSGDFGPRGLFVQYIQDVRGCPPTHTQDVYGCPCVSVCVCQHTQDVRGYPSVHISARWSMDSARWPFPYTVRVILAHVGCLFSTHWTSVGVRQHTQDVCGRLCVSVSTHMTCLAVHKYSYQHVGPWTQHADPSRGLFGLFWPMWAVCSIRTGPHTGRPWLSISTHIGTLVFGISTLALPVDCLGDFGPCGLSVQYTQDVHGCLPAHKGRPWLSVSTHRTSVAVRVCLCVSICVRQHTEDVCGCPLAHTGRQCLSASTHRSSVVVRVCPSEHTGRLWLSISTHISTLVLGLSTLDLHVDCSGDFGPRGLSVQYTQDVCVSVSTHKMSVCVRQHTQDVRGCLAAHTGRPWLSVCVRQHTQDVRGCPSVHISALHTGRPWMSASTHRTSVAVCVCPSMSVSTHWTSVAVHLYTYSTLVLGLNTLALPVDCSGDFGPCGLSVQYTQDVSHTGRPWVSVSTHRTSVAVRGCPSTHTGRPWLSHSTHFSTLVIGLSTLTLPMDCSGDFGQRRLSVQYTQDVSGCPLANLGRPWLSVSVCVCLCVSMSTHTRRLWLSISTYISTLVLGLSTLALPVDCSADFGPRGLSVHYTEDVRGCSSAHTGRPCVSISTHRTFMAVHVCPFVSISTHRTSVAVHQYTYQHVGPWTQQAGPSRGLFGTHMTSMAVHQYTYQHVGSWTLTLPVDCSGDFGQRGLSVQYTQDVRGCPPAHTGRPWLSVCVRQHTQASVAVHQYTYQHVGPWTQHAGPSGRLFGTSVAVRQHTQDVCGCPCVSVSPHRTSVGVRQHTQDVCVCPSAHTGHLWLSVCNRVCPSAHTGHLWLSISTQISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPPANTGRPWLSVAVRVCLCVHEHTHKMSVAVHQYTYQHAGTWSQHAGPTRGLFGTLTLPVDCSGDFGPRGLSVQYTQYVHGCPPAHTGHPWLSVCVRLCPSDHTGRPWLSISRHISTLVLGLNTLALPVDCSGDFGPRGLFVQYIQDVRGCPPTHTQDVYGCPCVSVCVCQHTQDVRGYPSVHISARWSMDSARWPFPYTVRVILAHVGCLFSTHWTSVGVRQHTHDVCGRLCVSVSTHRTTSVGVRQQTQNVRGSLCVSVCVRLYLSAHTGRPWLSISTHIGTLVFGISTLALPVDCLGDFGPCGLSVQYTQDVHGCLPAHKGRPWLSVSTHRTSVAVRVCLCVSICVRQHTEDVCGCPLAHTGRQCLSASTHRSSVVVRVCPSEHTGRLWLSISTHISTLVLGLSTLDLHVDCSAHTGRPWLSVSTYRTSVAVRVCPSAHTGRSWLSISTHISTLVLGLSTLILPVDCLGDFSPRGYSVQYTQDVRGCPLAHTGHLWLSVCVRLCLSAHTGLPWVSICTHTARWSLDSTRWPFLWTVRVILAHVGCLFSTHRTSVGVRQHAYDVYGCPCVSVCVRQHIQDIRGYPSVQISARWSLDSARWPFPYTVRVILAHVGCLFSTHRTSVGVRQHTQDVCGCPWVSVNTHRTSMAVPQYSFQHVGHWTQHADPSHGLFG >A07p032870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17975971:17982705:1 gene:A07p032870.1_BraROA transcript:A07p032870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MLN51 homolog [Source:Projected from Arabidopsis thaliana (AT1G80000) UniProtKB/Swiss-Prot;Acc:Q93ZJ9] MAPDGVEEADYESDPEELKRSLAARRREASDDEDENRGEKIQSADIDSDQSDEQSAIVEFDNDADEGLHVEGDDSYDEEDEEEEGDYGEDDDNNMEYKTARVAGEAEPKGVEAETEDSVVDGEEPKQKEPFAVPTAGAFYMHDDRFQEMDTAPNRRMRGGRRLWPSRDERKWGHDKYDEMNTQEKQYDKRSSRGQARGRGQGRGQEHGYSRGSNSKVFTNNGHQNQYPKAVTRGRGPRRNEVALRKGTQAPSVQTKLSQNSFVKVSHVDSGRAPTETDIIETEARINVVASSLNSASPPFYPSGSSSNLAQKDLQAGMGRLHVNGSPTPSGKKFGNAKSSTAWVRTTPSQTTSQGRGAPPPGKVLNQGDNVSSPMQIRGMPKESATETGVLLAQGKRALQPNGRGSFMYGGTQFMGPDGGMAAGHGNPNFPAFLPVMQFGGQHGGVPTFGMALPGYVQPEHGTGNPEMTWLPILTGPGALGASYPPPYAAIEGSYQAHKPGLPSSTGSSSQENSSNNPNVEEKPMERTEAKNNGTPQRSNSNPNKQPRRSSRFQVVVGYQILRKRKCVIHMYYCGRYSEMSLSKFLLLLHAGYAEHFGLLLLPIFLASSPAQRRTMMSGNNVYSPPDQSLSPNSNLCITIEESSEQLVVVEQDGDDDLPIVGANPPDDLHDCDGLLDDFPPQSNESEKCSLASPPPATGMEFDSYDDAYSYYNSYARDLGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTVKEPNSTRRKETRTGCQAMIRLRLIELDRWKVDEVKLEHNHSFDPERAHNSKSHKKACGGDGGGATKRKHEPPLDVQVRTIKLYRTNAVDSNGETSDDHLHSSKRLDLRGGGGGFRVLQDFFFQSQLTNPNFFYSMDLGDDGGLRNVFWIESRARAAYSHFSDVVVFDTTCLSNAYELPLVAFVGINHHGDPILLGCGLVADQTPETYVWLFRAWLTCVSGRPPLTFVTEHCKSIQTAVSEVFPRAHHRLSLTHVLQCVVGVQDSESFRMALNRVVYGYLKVEEFEVAWEEMIIRFGLTNHETIRGLFQDREQWAPVYLKDTFLAGALTFRLGNAAAPFIFSSYVHQQTSLREFLEGYESFLDKKYTNEALCDLQSSKSIPELKTTHPYESQMAKVLTKEIFTRFQEEVVATSSCSGVTQVHSSTGSASFSYVVKEREGEKVRDFEVVYETNAAAGVRCFCVCGGFSFNGYQCRHVLLLLSHKGLEEIPSQYILQRWRKDVKRLYVADFGSGGVDVMNPVQWYEHLHRRAMQVVEQGMRSKEHCRVAWEAFKECVNRVTEKSS >A01g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24429850:24430905:1 gene:A01g509050.1_BraROA transcript:A01g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFVSCFSDLEDFWDDLPVSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSWKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPVSRLEVIWKSSGLLGSLLTKSSGLPKSRLDFL >A05p005020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1949466:1957228:1 gene:A05p005020.1_BraROA transcript:A05p005020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g09950 [Source:Projected from Arabidopsis thaliana (AT5G09950) UniProtKB/Swiss-Prot;Acc:Q9FIB2] MHRFLLKLSSSSSSHSSTNPLRNSKNQLPLILPRFLSSTTASESPPPPRTSHPSNRFLSRSGHPYSADSFRKSRAFSSKSRALGVRCISSEAGRESIEYDVLIIGAGPAGLSAAIRLKQLCQEKNADLSVCVVEKGAEVGGHIISGNVFEPLALDELLPHWRQEQAPIEVAASSDKFWFLTKNRAISLPSPFDNKGNYVISLSQLVRWLGGKAEELGIEIYPGFSASEVLYDASDKVVGIATKDMGISKDGSKKETFQPGVDIKGRVTLFAEGCRGSLSEKIIKKYKLREEVNAQHQTYALGIKEVWEIDESKHNPGEVVHTLGWPLDPKTYGGSFLYHMNDRQVALGLVVALNYKNPFLNPYEEFQKLKHHPAIKRILEGGTVLQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEAAFGALHEGSNMNTYWDTLRGSWVWKELYSARNYRPAFEYGLIPGLAVSAMEHYVLKGKVPFTLKHGKADHEATDLARKCKPIEYPKPDGVLSFDVPTSLYRSNTNHDHDQPSHLRLKDPKIPEKVNLPEYAAPESRYCPARVYEYVEDEEGKPKLQINAQNCLHCKACDIKDPKQNIEWTVPEEQSITRSLALRGESLSLISEIMHRLVPRSGYPNSAELLRKSRALTANSRSLAVNGSRYVSNEAAREPTEYDVIEKEAEVGSQIIPVALDKILSHRRQEQSCFGDRGDSLFHLRSYKNVSDNDVYLCNSHINAHFEKGDSVSARNLFDEMPQRNSVTWACVVSGYNRNGEHREALLLLRNMIREGVFSNPYAFASALKGCQELEDSVGKLFGRQLHGLMFKLSYAFNAVVSNVLISMYWKCIGSLGSALSAFDDIRVKNSVSWNSVISVYSGAGDLRSAFKMFSTMQCDDSRPTEYTFGTLVTAACSLTDVSLLKQIMCAVQKSGFLSDLFVGSGLVSAFAKSGEVSYAKEVFNQMETRNAVTLNGLMVGLVRLKRGEEATKLFMDMKGVIDVSPESYVNLLSSFPEYYLAEEVGLRKGREVHGHVIATGLVDSMVGIGNGLVNMYAKCGSIDDSRRFFGFMMEKDSVSWNSMITGLDQNGCFQEALERYQTMRRHGISPGSFALISSLSSCASLKWGRAGQQIHGESLRLGLDFNVSVSNALITLYAETGYVKECRRIFSFMPERDQVSWNAMIGALASSEGSLPEAVSCFMNALRAGQKLNRITFSSVLSAVSSLSFGELGKQIHALALKYSITDEATIENALIACYGKCEEMEECEKLFSRMSERRDDVTWNSMISGYIHNDLLPKALDLVCFMLQRGQRLDNFMYATVLSAFASVATLERGMEVHACSVRACLESDVVVGSALVDMYSKCGRLDYALRFFNAMPVKNSYSWNSMISGYARHGEGEEALKLFEDMKLDGQTPPDHVTFVGVLSACSHAGLVKEGFKHFESMSDCYGLAPRIEHFSCMADLLGRAGELDKLEEFIDKMPMKPNVLIWRTMKDAEVKKEAGYSWVTMKDGVHMFAAGDKSHPDADMIYEKLKELNRKMRDAGYVPQTGFALYDLEQENKEEILSYHSEKLAVAFVLTTQRNSTLPIRIMKNLRVCGDCHSAFKYISKIEGRQIILRDSNRFHHFQDGDFTWIQRLFTQTIVLSASGKRSKMWEGAHMMIHDQPSHLRLWDPNIPGKVCRR >A05p040360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24692173:24693546:-1 gene:A05p040360.1_BraROA transcript:A05p040360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSVVGRPRHHGVMVGMNQKDAYVGDEAQSKRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLMKILTERGYMFTTTAEREIVRDIKEKLSFVAVDYEQEMETSKTSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPGIVHRKCF >A10p035310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20305643:20311795:-1 gene:A10p035310.1_BraROA transcript:A10p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) UniProtKB/Swiss-Prot;Acc:Q9FNP1] METEAVVRTVAGVDCFVSLPRQILHVLQSTSSSLLPPLLPVELRSGDRRWPVAWSGSSSSSSAIEVARVFAESISLPDGTVVQVRVLSNVPKATLVTVEPETEDDWEVLELNAELAEAAILSQVRILHETMKFPLWLHDRTVIRFAVVSTFPPKGVVQLVAGSEVAVAPKRRERNLNAKKGPDASNKDVKIMLRVQDTTRSAFHEADVKGFDVRVALTSVAYIHPETAEKYSLESLQMISVSPRIPLKGSVKKDEDLNKKNSDASKVVENDSHSSKKEPRRAILRLVFSDLAAKGHLMMVESLRLYLGAGLHSWVHLRGCNVNGNKEVPALSLSPCIFKISEKENVLDRGTDMLGNPNSNRRSSHPPSGLTTNVDVVDWSVHEKVVTALLSEGLHIEGEQDNAYQLKNKKGLECLTRLWSLAQRDAIASVTGVDVSSLILGRETLFHFEVGGLESYKSRDGQPSVVDLLEIRKKDKIVPLEILYVMTVSDESSLGDKFIAYELTLDRSEKKDNVVHIEPMLEKMNLGEPIFFSSAKERHCNKGVSPDISSLAWMGSVVSDVIKRMTVLLSPTAGMWFSEFSIPSPGHILIYGPPGSGKTILARAAAKYLEEQKDLLAHVILVSCSALAMEKVQHIRQTLSSVIAEGLEHAPSVIILDDLDSIISSSSDNEGTQASNAITMLTKFLTDVIDDYGEYRNTSCGIGPLAFVASVQSLEQIPQTLSSSGRFDFHVQLAAPATSERGAILKHEIQKRLLECSEDILLELAAKCEGYDAYDLEILVDRAVHAAIGRHLPCETNVSKYTLVKEDFTRAMHEFVPVAMRDITKSASEGGRSGWEDVGGVTDVKNAIKEMIELPSRFPKIFAKSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQSVRDIFAKAAAAAPCILFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDPALLRPGRLDRLLMCDFPSPPERLEILTVLSRKLPMADDIDLDPIAAMTEGFSGADLQALLSDAQLAAVHEFLSKEDRTEPGTTPMITDPLLKSIASKTKPSVSEAEKQKLYDIYSQFLDSRKSSREAKGKRATLA >A03p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21711685:21712739:1 gene:A03p050490.1_BraROA transcript:A03p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRILNGGGGEQLETFEEAGAATATNLGNKKPSMSPSVSSSSVSSSTSVERAEPVIDSFEEEDEFEWVAVEREDKAPEIEEVEDAFSALQLMFNEDDGDKSEDQVSDQSEFVDWIEPPLQLCSTSLLQPYMLDRFYDAFHLFQTDPSVQRMVMSLASDRAVWDAVMNNEVVRELITNAESERSEEDSGLSVNFIKRLLQRSAIKIMDAMEVVTKYVTDLFSGDDETVVPGDETVVLATGAAPAMEKLQMTVLLTIVVLLIVFVTRATRAR >A07p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12575763:12576721:-1 gene:A07p021460.1_BraROA transcript:A07p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRESGFLFCNLCGTMLMLKSNKYAECPLCKTTQNAKEIVDKQIAYTVSDEDIRRELGISLFGEKTQEDTELPKIKKACEKCQHPELVYTTRQTRSADEGQTTYYTCPNCGHRFTEG >A05p010710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4545154:4547167:1 gene:A05p010710.1_BraROA transcript:A05p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWR1 complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G36740) UniProtKB/Swiss-Prot;Acc:F4IP06] MESDKEEQMVFLDRTSRATRGKRMTKLLDDEVEEDEQFWNQEALKEEEHDDNYEAEAEVADEFDSDFNDDEPEPEAVAENEKEERDVPKKRLIYPGKTAPKKNKKKKIVSKLEDAHEDEEMGDKEEEEDEKEQNETQEDMESEKVIRKSTRTSVIVRQAERDALRAAIQATTKPIVRKKVGEEKRMTQEEMLLEAAQTEIMNLRNLERVLAREEEVKKKAIVHKDVYKGPQVRFLSKDGCNYLEFCNGASFSSEISTKSVPYPEKAVCVITGLPAKYRDPKTGLAYATLDAFKAIRERFMDEHNGLRKKMEMGELFETLAAANGFSLKRKRTMVPKTSRSFSLRNSARFCNLETPEASEDPDSDSD >A08p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21031183:21032778:-1 gene:A08p035640.1_BraROA transcript:A08p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALSVLCLALLVSVSEAAVTKPGIGEFLGCLRSWPSPESPITDDIFTADNTTTFLSFYLAYTKNTRFASPNYQTLMAIVTAKNLSHIQATVVCAKSNGVQIRIRSGGHDYEGLSYISSVPFVILDLFNLRSITVDVPSKQAWVQAGATLGELYTKISEAGETVNKTLEQGGIDVLYKWQLVSSKLPESLYVKAMSQVANGTRSGERTIAVLFYAQFLGGADELVSIMNQSLPELGLKSQDCLEMSWLNSTLFWEDLPVGTPASILLDRPSKPEKFFKSKSDYVKKPIPKEGIEKLWNGMLKINNNTVYMHWNPYGGVMDTIPATATPFPHREGNLFKIQYYTAWFDANATKGSLDMMKELYEVAEPYVSSNPREAFLNYRDIDIGSNPSGETTVDEAEIYGSKYFVGNLKRLMEVKAKYDPENFFKNEQSIPPARVKQ >A08g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12097425:12097758:-1 gene:A08g507010.1_BraROA transcript:A08g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEFKNIHAKIDGNYSDLNNKYMQLASHLKALESQVASMSSSSKQPMGSLPGKPENNSKESCNVVFSTTSPKIELSDHEKEEDEIERLPQLKHRL >A07g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18147906:18148341:1 gene:A07g507010.1_BraROA transcript:A07g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAEFCVTDGRKQTYFSIKTKLRSRPHNGSTGQAKKLTIEDLFMRTHALTHRLWRRRRTENIEEDLR >A04g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6313445:6317461:-1 gene:A04g502760.1_BraROA transcript:A04g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPAKPVLHLPQLEASRFNQLQTRQWRPGDHFNQSGYTLGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYQAPRKVPRKLSYPLKPSRFKPWFHHSTNSWVTPHPFCAYNILVLELKLLTQEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGERRFGDALDAGYVEPKPPDPSWITKHQTSYTHEYSNNSYHDYNSADDVNIYSFSGSRLSSEYLTWERTMDDWFTYYGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWEDLKDFMIRKYVSSRPSPEVRERYPRRFSSHGYKEAKRRVPKEGYRSLFHQDQIRPDKRSTVFYDQYQPYEVPKSMEKNLFSPDTLARHKAKSDKPILQGKAKVSPILDKFVYKSSPTGMNHLSLSKNVKTGPERFSSPSICEYPTLEVDSSPRKERPEPKTIIGFKRNLSAFQKAQYQEKWPRNYEVMIQSPKPAKQVVHLPQLEASRFNQLQTRQWRPGYHFNQSGDTLGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYQAPRKVPRKLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVSDFVTLLDLFPFLFVLER >A09p075580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56867975:56869287:-1 gene:A09p075580.1_BraROA transcript:A09p075580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNKDLTSHTLAHHCNINDIRNDEQIIAGSEGKPKEREKYMHSRWNPTPEQTMVLEKVYSSGTRTPTTQQIQDIASKLQKYGRIEGKNVFYWFQNHKSREKLKRRRGDQQGVTAINNVHEESLNKDNATANKASSSVSRRRGDHRVIHTRTSLSSSPTHPQKNNVDDGKREHYETGVKDEEATGQNQKNPIYTSEFKYHLKVASKPSQEADQQYTLNDDDDDEEEEEETRKSRTLDLFPVTENQETTGFAETNTKPNQLYCNYCYYYEFMPLMN >A09g500360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1506917:1507882:-1 gene:A09g500360.1_BraROA transcript:A09g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSQISVYSASGVLWLSISSLILVLRSSSTSVPVAGLLVPGLGSSNGFITAECSLFLWVSLSPVAVTVCFTSQLVNLVVASCTGCSALITTSCFIHLPTIQVVSLRFSNLFTGVVLIVLECCPGLSLVLVRPFTAVCSLFIALCSSACAILKYFCILLWQLDGVMSDISIPWVLFVDIYCSLSSSMECVPLLISSSTLSGFVSGSKTFKIRDTSDIEVLIKGSSKWCSIAYVCVAISRIVNCALAAVSISGIISLNVVFNSQGLLSLCSLVVETRGPLHAISCLSVLYASILLCFIVIVVCLARMALLSCFVNTFSLNGE >A04p019860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12168194:12170548:-1 gene:A04p019860.1_BraROA transcript:A04p019860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRGFYYNNVCLMEQFRQIGEVLGSLNALMVLQDDILINQRQCCLLLDIFSLAFTTIAEDIRQNLKLEEKHTKWKALEQPLRELYRVFKEGELYVKHCMDNSDWWGKVINLHQNKDCVEFHIHNLFCYFSAVIEAIEAAGEISGLDPSEMERRRVVISKKYDREWNDPKLFQWRFGKQYLVPKDICSRFENSWREDRWKLVEALQEKRKSNTDEIGKTEKRLADLLLKKLTGLEQFNGKLFPSSILVGSKDYQVKRRLDGDGHYKEIQWLGGSFVVRHFFNDLEPLSSEISSLLALCHPNIHQYLCGFYDEERKECFLVMELMHKDLQSYMKENCGPRRRYLFSVSVVVDIMMQIARGMEYLHGNDIFHGDLNPTNILLKERCHTEGYFHAKISGFGLSSVKSQSSSSSRARIHDDPVIWYAPEVLAEMEQGTKTTPKSKLTHKADVYSFAMVCFELITGKVPFDDSHLQGEQMAVNIRMGERPLFPFPSPKYLVSLIKRCWHSEPSQRPNFSSICRILRYIKKYLRKFPGDLGSHMASVTQIPFQLYSYRVSEREKMNPTNSKESSSTEASESEVEDPPNAVVIRDTKSLCLDTISEYSDTRSVYSEAPIKKVTASKNSDELVKLRKSPSLGSSTKLRSTGTSPVKARSSPKALPMSSFGRSIKTRKDSRLPLSPMSPLSPRRRRQQTGHASDSELT >A05g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25367134:25369174:1 gene:A05g508650.1_BraROA transcript:A05g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASLFSLFSPPYIPINTIAAAEMSSSDAQIAHAAVEYSTFASLRLGRTDSVIHAFIPANRASHYRPSLKAGCIVRLERFEVTRVAHMYKVTEHQFLIRFLPSTHLGEVHTHAPVIKSERFMMRRYDQPRAPRYNTIRNIKSFTERIKGSGSQGPMNDLYHYENSVIRGSNSIEKIFGVIQQNGWSVCCSSCRVGSLQRKPMCFFHLDRSHQVLLTEGTDNRDDKTHQERASLQITVRSPSQQSVKLHILFGTQAEVGQAIYIESASNIYDAPKISLRVGEASLSGSAADGNKRPRE >A01p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8531971:8534467:1 gene:A01p017270.1_BraROA transcript:A01p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERHERLNQQFTELEKEWAAMKTSKGSSAVSRITTEEAMEFVENSPRKLMLSLQHDEVCPEAEMRSPCRRKLFHGSDDDNDDETKMTPLSHSTCWSSNVMRVGCTNKNKKEMKKKKKKIGMIVCVSMVVILLMSMFLAVLIDGFDNRQPLRVSVPSLFAKTAAAKKSENVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATSLFKMMLKYRPEDKAAKKERLLKKAQAEAEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGAIVHQKTAACLCLTTVKNEDKMEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRMN >A02p028390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14350052:14351569:-1 gene:A02p028390.1_BraROA transcript:A02p028390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKAFIASLLISLLVLQLVEADVEISNKKNGYGSKIDCGSACIARCRLSSRPNLCHRACGTCCARCNCVPPGTYGNYDKCKCYASLTTHDGRRKCP >A05g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15020415:15028895:-1 gene:A05g505430.1_BraROA transcript:A05g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVYDSYIVVISGRWIMYDTGDWDFKLDSDRMGRAVYAKLITSVEALKRAIIESYGLVGVSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSACKVDKYINVFVTFKEEVDGKILYLRPMGNLLKSKEVASSNEMQVGSTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAFYASNGFGMREVDGTTCEVQNKVDTTEDAALGEGEDDDDEDYDFNLWHDFVGRNCEWDDDKDDDGGVGGGCRTNVTYGRVRGEVVTKTRSGRTNPSSNKGSGPSTNKQRTANPPSTFEDYVDEDRDYIGSSRISMENIEEASNNLGVKSSDQVANTENHPDPNQEEDPSLDNNSQMLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVSSRPTHDTSDGEDEDDDFVEPVPMCVSGGQTHETPDGEDEDDDFVEPVPQCVSGGQTHETLVGEDEDDDFIEPVPQSRSREEDARRRREKDKADDESLMKSVRAVELYGFEDVESSSNNEAVNDYTVDDIDFTLADADMYTGKLFSSKQELKISLHIYALKQRKISKMSGEIPPAVDELMENNLEDARASCTCLEFQKVGIPCRHAIAAAMFWNLKHSEFVADAYLKKTWNETTKGVTLPVPDPQDLFIPSEVSDLIMLPPKTKRPPGCPPTKRKRSAGEIPEGPKKKKLNTCSRCHISGHNKNYSQPSKSEDYGGHDSSDTEDCEVEDLIRRDQAELNYNYASTVQYPPQPEVKFGFLQTCYCGGRPKLATSRTVNDPGRRYYTCVNVNDGDCHVHKWWDDAVMEEMRARDTHTLQLSKKVDYLTFLNDYDPQLNKLKELQNETEQKLVRLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIFKTPNNLSSQHSTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCKDFKNDGLHIRHDCLSALEEELDCLRSQYAEDVSLRRELRFELAQMREEIKEFKQLIMPGQNDRRKIQAILLQFAYSRRTHRAVVDREEIRDGLETDEFTTVEALFEEAEEVEEGLKETPPSTPRKRRRTSPDPRSSKRARKAEKKGDPEDEGYGYDGEEASGFKDDEEGEYWEWMQMETDVDDDASDRTDDTLGSGHSGLPHQRLSIGSLWILPFHKYHPIEGHGSMRLLNIMTYTGMTIVNRQLFACRRPLTIITEFWTSLAQR >A08p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2494699:2500747:-1 gene:A08p004340.1_BraROA transcript:A08p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPGLSALVTGGASGIGRALCLALAEKGVFVTVVDFSEEKGKETTSLVQKANAPFHPGLNSPSAIFVKCDVTNRGDLIAAFDKHLATFGTLDICINNAGIANPARFDKDDSDGSRSWRHTINVDLVAVVESTQLAIKAMKGKQKPGVIINMGSAAGLYPMSFDPIYSAAKGGVVLFTRSLAHLKRQGVRINVLCPEFIQTDLAEAIGASFLQAIGGYMPMDMLIKGAFELITDESKAGACLWISNRRGLEYWPTPMEQAKYLVGSSSRKKTSFKVTSTIELPQSFEKIIVHALSHNFRNATCIVRTPLQLPIGPHQVLLKIIYAGVNASDVNFSSGRYFSGGSPKLPFDAGFEGVGLIAAVGESVKNLEVGTPAAVMTFGAYAEYMIVSAKHVLPVPRPDPEVVAMLTSGLTALTALEKAGQMKSDETVLVTAAAGGTGQFAVQLAKLAGNKVIATCGGSEKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGKMFDLCLNALAVYGRLIVIGMISQYQGEKGWQPANYPGLCEKILAKSQTVAGFFLVQYSQLWKQNLDKLFNLYSLGKLKVVVCMDPTFEQTTSRLKIITGEDILRRIALSYPLRSHPLFIRHFPRYYQPLSPSQTAVILSGSHYLCRLSTTTLRCISSQTSSDLVSEHPPFVRIYKDGRVERLAGTETIPASLTRQNGVVSKDVVYSPEHNLSVRLFLPHKSTELVTGNNNKLPLLIYIHGGAWLIGSPFSPIYHNFLTEVVKTANCLAVSVQYRLAPEYPIPAAYEDSWSAIQWIISHSNESGPSFPRRRQRRRGGNMAHHMAVRAGKEKLNARIKGTAIVHPAFWGKEPIDELDVQDGEARRRVAEVWEKLVSPGSVDGADDPWFNVVGSGSDFLGLGCEKVLVAVAGRDVFVRQGLGYAEKLKKSGWRGDVEVMEEEDEDHCFHLLNPCSENAPRFMTKFVEFITG >A10p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16590233:16591866:1 gene:A10p026020.1_BraROA transcript:A10p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVAGLVQSSFFWDPVMAATGRSSASSVLRPLSPSDKINLRLKRLSTATAVPRKRKCRCSPTSSQTGSSRCSIHRRLEIVKLLANLKPKRRRSTSIATTTSSSSSGSNEKKIGTLGLKARRRRSGGITTSDSGLNLRKTALVNSLAGLGTVEAERCRKYLKESMAKPLSLRFRCKYRPRPRPSRFYALHKDQD >A10p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17848441:17850020:-1 gene:A10p028980.1_BraROA transcript:A10p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTQDEDDKLIDHIQKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTEEEEETIINLHSLLGNKWSSIASNLPRRTDNEIKNYWNTHLRKKLLQMGIDPVTHRPRTDHLNVLAALPQIIAAANFNSLLNLNQNYVQLDATTLAKAQLLHNIIQVLSTNNNNNSSSWPLAMQTSDNLFGQSSYLENQNLFGQSQNLSHILENSHGEDFIVKNQTNDHPLDSFSSPVQMNAQDDYNSLPLLVPASPGESKQSQMMIKNKRIVHEHQHSQDTSNPSSSNSTQDHHQPWRDTIDDEASDSYWKDIIEQTYSEPWPFPE >A10p034970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20210467:20212456:-1 gene:A10p034970.1_BraROA transcript:A10p034970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAAVNNHHHGHQVFDMAVPQQPAFKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFSLVTLYSSTLLSDCYRTGDAVSGKRNYTYMDAVRSILGGFKFKICGLIQYLNLFGVAIGYTIAASISMMAIKRSNCFHKRGGKDPCHMSSNPYMIIFGVTEILLSQVPDFDQIWWISIVAAVMSFTYSAIGLSLGIVQVAANGVFKGSLTGISIGAVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVRSPPSESKTMKKATKLSIAITTIFYMLCGSMGYAAFGDAAPGNLLTGFGFYNPFWLLDIANAAIVVHLIGAYQVFSQPIFAFAEKSVSERFPDNDLLTKELVFKIPGFRSPYKTNVFRVVFRCCFVVLTTVISMLMPFFNDVVGILGALGFWPLTVYFPVEMYIKQRKVEKWSTRWVCLQMLSVSCLVISVVAGVGSIAGVMLDLKVYKPFQTTY >A04g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11439418:11443006:1 gene:A04g505320.1_BraROA transcript:A04g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKVSKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCNYIKDLENPRCEVTTEMAAFWEKMGVDIDIGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYWSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVIGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGCEWGESRTEGSQRTESYYRTEFLERALSCESELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKNKPRRCRSRFYQVLRTPLEWLTDHWRASYLDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A02p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26606431:26607035:-1 gene:A02p042370.1_BraROA transcript:A02p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFCSKLRSISLHSNRNLSFASVPHRLIHHSRSPQSTLGFATTSKWSFVSPSNPTSTGSISVPHHLVQVRNITSKDKMAKWKKKWRPRTPITSKVKKVKIKFYSSYKDRFRPLNDGTIRRWKEGKRHNAHLK >A05p051510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31440459:31441603:1 gene:A05p051510.1_BraROA transcript:A05p051510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVVKSFKDQFSADERLKESRNITAKYPDRVPVIIEKYSNADLPDMDKNKYLVPRDMTVGHFIHMLSNRLHLDPSKSLFVFVHNTLPQTASCMDSLYNTFKEGDGFLYMTYSTEKTFG >A01p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14309327:14311358:-1 gene:A01p039440.1_BraROA transcript:A01p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKMPEVYDINVEAALTIVPTEAPIVSSYNDRIRPLLDTVDRLRNLNEHIAESICTATEAIAARMQEELLFKTHPLLCMIDHDIVGIPVLAQKLIQIQATMIGRCLPVIVQKINEKMETSEFELKKLPMVMTSSGEALMTFMNIIGYVKECLLRILIQGDFSEYPDDQSMHSTARLADMLSKFSDNLQAKPEAANEFLMDEIKVLEECKCIGLPNFIPRSAFLAILSQRVDGMHAKPVEFIREIWDYVEVVLSSVITKYSDNFPQIQPSIKRAGRTLITKIKEQSVSRVVEIVEMEKQTDYTCNPEYMTAYTQKIANQASFVSHVQSNYSNYGDVGISHLRGYNSQLLSQAFDMNVRITAYWTIVVRRVVDNIALYLQFTVKNLVNSQFQKEIVAEMVDPRGGGEVEKMLDESPSVACKREKLKNSIKLLKESKDAVAAIVYRNSG >A08g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18009908:18013088:-1 gene:A08g509230.1_BraROA transcript:A08g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCFSPSDFVYITLNPHFLLLLFIQLLIRVLFRLSLVAMSSNGSSISEKPKGVESDSSPGPIKPIGTPHVSSNHSIGDLHSKRDKGEASVTSGLTKLSGKTAVSSGVLIGVPMSKNPNGAIIHSTKAGVSSGVGGKSAVSSRVRGKAIVSAEVVAFKDVLIGLEMLLIDQEETVIQGFIPAGRIDTYLPHMRAGGLYRLNSFFGSHNKNLYSVAEPSFTVTFSSTSVLSDLTDSPVCFLEDRFRIHGYEEFDAACDLRGDLYDYVGHIKLVNGQVLSDSLMLDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAASDFSEKFKASGGTARVVLVTTLNPKRFGGALALSSMTPSRVFLDKDVQTTEEYLTWMNANLSVANRVNADVVTKTETMTIGELLFYIQQEDAKVAWFECIATVADVVHGSSWYYIGCGVCHTKAAKGPTTLMCKKCGKPDIVGVPQYLAKISVYDNEDQASFVLLGDAGHELSGRKASELVASYFEANENVEDDHLVPVPQALIDTIGQTRKFIVKVSDHNLTGKTQALTVTKVLTPEDQEAEAQGTLQNGVADGDPSTCAGIVKRAADKVEAEDPKRAR >A09p015560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8085055:8088158:1 gene:A09p015560.1_BraROA transcript:A09p015560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVENRMVPENLKKHLAVSVRNIQWSYGIFWSVSASQPGLLEWGDGYYNGDIKTRKTVQASQVKADQLGLERSEQLRELYESLSLAESSTSCGSQVTRRASAASLSPEDLTDTEWFYLVCMSFVFNIGEGIPGGALANGQPIWLCNAHTADSKVFTRSLLAKSASLLTVVCFPFLGGVLEIGTTEHVAENLNVIQCVKTLFLEAPHGTLSARSDYQEIFEPLSNDKYIPVFGTEAFPTTSTSVYEQEPDDHDSFINGGGASQVQSWQFVGEELSNCLHQPLNSSDCVSQTFVGATGRVSCGPRKSKSQRLGQIQEQSNRVNMDDDVHYQGVISTIFKTTHQLILGPQFHNLDKRSSFTRWRRSSSSAKSLGEKSQNMLKQIVFEVPRMHQKDTPEDSGYKVGDETANHALSERKRREKLNDRFMTLRSMIPSISKIDKVSILDDTIEYLQELQRRVQELESCRESTDTEMRMAMKRKKPDGEDESASANCLNNKRKESDIGEDEPADTGYAGLTDNLRIGSFGNEVVIELRCAWREGILLEIMDVISDLNLDSHSVQSSTGDGLLCLTVNCKHKGTKIATTGMIQDALQRVAWIC >A05g501040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3657783:3657989:1 gene:A05g501040.1_BraROA transcript:A05g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMANNHVAEVNQEETNYDAYKEEEEDDCGEGMRIERSGDGFVIRLKCRCKLAFRILFSDQHLYFKSL >A10g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18245289:18246776:1 gene:A10g506370.1_BraROA transcript:A10g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKKPKDMPPRSSPAVSVESSVSTGPSASAPVLPITAFPSERSSDLEVPKLPESLIAPASSCPVNFQSSSPPEVAESEKPNSQISATVEIVPEDESTEATSGLPNSGKYPQIAILPAPVSPKSPIANRKSPSSNGAAVQTSLWKEKHTTADCTRTNANLDRSKVNTAKRNGKAPIQSQLPFVGSGVTDTGRPKNPSKVLSKTKNVPTKQWQPTKNNSINQPSHGVPAVVNGHKQPASSSHDLTLGDFFCGSSCWSS >A05p025970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:276369:277544:1 gene:A05p025970.1_BraROA transcript:A05p025970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSINGNTFPSSDVESEESTDTELPTSIDTAQPKAGKFSLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGKTYSNRSAIKIPGHDTKKSKFNADYYKINNSLKSLHSFLQNKHRSDIAQIDDNALSDTDDYLDEGTNCSNPTYVLHVDNFTQAYDTAVKSRTGRERFNIRQALTGNRKTKSEYDGNTEN >A02p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22462338:22464087:1 gene:A02p039440.1_BraROA transcript:A02p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNVCFRRASIDNMTDTSSDTSVEESIYAELLEAIDTAQPEAGKSLLTNLNNEEVVLGEPKGPTCNANNQIINKQGTAVSDKIYITQTNEKEQKLLLQDYLNLGRTYSNRSAIRLPESNIGRSEFNLDFLILPGSEPSPKRGRSNQTRGFEEPRPDLDDQEGESDDDEEIDRQTRDHVDQQTQDNADRQTSDSVDRQSLANTSKPIAPTDR >A03p065150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28439825:28441356:1 gene:A03p065150.1_BraROA transcript:A03p065150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF3-4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30690) UniProtKB/Swiss-Prot;Acc:Q94B52] MTGITTTSTISFNAIVAGTTKTVSHHPFSVNSKLFGCRLYLPELSVITLSPYHRRFLAITCRYGGGGGGGSRFPGDRRGRQKESEDDDALDISAIRSATVRLIDGQQNMIGIVSKEEAVRRAEEAELDLVILSPDADPPVVRMMDYSKYRYEQQKRKKEQQKKTTRMDLKELKMGYNIDQHDYSVRMRAARKFLQDGDKVKVIVNMKGRENEFRNIAIELLRRFQTEIGELATEESKNFRDRNLFIVLVPNKEVVRKAQEPSPKKKKKPADDEVSAAGITAT >A01g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22148619:22152569:1 gene:A01g507820.1_BraROA transcript:A01g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 46 [Source:Projected from Arabidopsis thaliana (AT1G61820) UniProtKB/Swiss-Prot;Acc:O80690] MKSFANVTLLLLQSFLFPLYSSSLHQTSPDGSSPFPSDFLFGTSSSAYQYEGAYLTDGKGLNNWDVFSHENPGDILDGGNGDIAVDQYNRFMEDIQSMNYLGVNSYRFSISWSRVLPKGRLGSINHLGIKHYNRLIDALIRNGITPLVTLNHFDYPQELENRFKSWLSPEMQKEFGYLADTCFKHFGDRVKHWITINEPNQQIILSYLKGIFPPNRCSMPFGNCSQGNSETEPFIAAHNTILAHAKAVQIYQTKYKKEQKGSIGIVVQTSWFEPISDSTADKNAAERAQSFYSNWILDPVVCGKYPEEMVNLLGSALPKFSIKEMKNLKRYKSDFLGINHYTSYFIQDCLISACNSGDGASRSEGFALKLIQKGNVSVGEVTDVSWLNIDPEGFRKMLNYLTDRYPNIPMFITENGLGELQKPETTVKELLNDTKRVRYLSGYLDALQTAMRDGANVKGYFAWSLLDNFEWLYGYKLRFGIFHVDYTTLKRTPKQSAYWYKNFIENHMCTRDLADKK >A01g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4279909:4281431:1 gene:A01g501150.1_BraROA transcript:A01g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMNRVLIAVTLALLASSALLPVSNAAKLSSAPRKEDVPYIKCQVCEKLASRLHQLVKEKQLQISPKKISEYEIIEIAENVCNLKKEEADWMLKIDIVEKGDKLQLVEQEEEGMCNSECKTIEAACQKVIGYSDTDVAEYIYKSKPDLASLVNHLCKDLTDACTKNPPPLPKDRVPGEPFVAKPSKDAEMDKIMRSMQGKVLKEKESSKKEEWRKTITKELKKKGDVLKRHAQKVSNRVRRWWTRVRSSSSKKPKSEKSEL >A08p035900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21158149:21160172:1 gene:A08p035900.1_BraROA transcript:A08p035900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FEZ [Source:Projected from Arabidopsis thaliana (AT1G26870) UniProtKB/Swiss-Prot;Acc:Q9ZVH0] MEERNNDGDHKMEEVLLPGFRFHPTDEELVSFYLKRKIQHNPLSIELIRQLDIYKYDPWDLPKFATGEKEWYFYCPRDRKYRNSSRPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRMPSLSEPSPSSKRFFDSPVSPNDSWAICRIFKKTNTTTLRAMPHSFVSSLPSETSIDTMSLSNTSHFSSEKILKTSSHFQVHHESMSSTPKPSSSPTSHVATINPFSYLDFTSYEKTTNVFNPVSSLDQQYLTNLFLVTQETQPQFPRLASSNEFPSFLLNTSSSDSAFLGECTSQIDLSVMLAQEQCPALVSLPQEYQEKGNGEIKSMGGCNDDHHNHCGTLIFGDTGPTVEENHRHHYQDIKHNMTLLESYYSSLSSSNGDLPVCFSTT >A03p022980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9685470:9689346:-1 gene:A03p022980.1_BraROA transcript:A03p022980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDRSRAFAKDVKRIVVKVGTAVVTGKGGRLALGRLGALCEQLAELNSDGFEVILVTSGAVGLGRQRLRYRQMINSSFADLQKPQSELDGKACAGVGQSSLMAYYETMFDQLDVTAAQLLVNDSSFRDKDFRKQLSETVKSMLDLSVIPIFNENDAISTRRAPYQDSSGIFWDNDSLAALLALELKADLLILLSDVEGLYTGPPSDPDSKLIHTFIKEKHQDEITFGDKSRLGRGGMTAKVKAAVNAAYAGIPVIITSGFSTENIDKVLRGLRVGTLFHQDACQWAPVTDSTARDMAVAARESSRKLQALSSEDRKQILYSVADALEANVETIRAENELDVAAAQEAGLEESMVARLVMTPAKISSLAASVRKLADMEDPIGRVLKKTEVADGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPETVGGKLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKSTTKIPVLGHADGICHVYVDKACNVDMAKRIVSDSKLDYPAACNAMETLLVHKDHEQNGVLNDLIFVLQNNGVTLYGGPKASTILNIPQAPTFNHEYCSKACTVEVVEDVYAAIDHIHRHGSAHTDCIVTEDPEVAELFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGNGQVVDGDNGIAYTHQDIPI >A03p020550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8444605:8445462:1 gene:A03p020550.1_BraROA transcript:A03p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >A07g508080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22172430:22172642:-1 gene:A07g508080.1_BraROA transcript:A07g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLCDLSEKKKKKNKQSKFSSLLFIFQSVFDVPWIVDPGQAGAQLDPTKVSPSDEATMVEPEANFGRAG >A02g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11859201:11860278:1 gene:A02g503490.1_BraROA transcript:A02g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTSVPHAHRLSHSSPTMEFGESYSIRINGVISRRVRKHTQEADKGVLGDGIEGYVNLVMEEMLQLNVHKSIIIIVGGANMRGWSEKMIDDELQIVRNAGVVQLQREKTCVIIAITLSMTKRKERRELMDSFVDGIRESAEKYMASSYANKVMQFFLGRSASDDIDLFKVFKV >A03p033270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14074956:14076893:-1 gene:A03p033270.1_BraROA transcript:A03p033270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIDGKWAPLIMITVINTINGMVNALIKKVLDGGIDHMVIATYRLGISTFFLLPIAYFWERKTRPKLTASVSFQLFVSALFGASLMQYFYLLGLRYTSATLGSAFWGTLPAITFIMALIFRLDKLNMKTKAGYGVVLGAMISLAGALTLTIYQGVPLSNSHEHATILNIHNGHENWIKGCFLLFIGVILFSSWMLIQANINSSYPCPYSSTVILSVFGTLQCALLSLIKTRHVEDWILREKLTIITVIIAGVVAQGMCTVGISWCIKQRGPVFTSAFSPVTLMSATVFDFLILHRMIYLGSVIGSVVVVIGLYIFLWSKSKQIVECKIVKLPTSTEKEEKEEEEEDHTNVNKIGRHLVIPMTP >A07p039800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21157059:21157979:1 gene:A07p039800.1_BraROA transcript:A07p039800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKKIAHEIGGVKRDALRFGLNGVKSDIVGSHPLESSYESGKRSHEAMKRTIIGHTYGTALPLKMDMDRQILSRFQRPSGPIPSSMLGLEVYTGAIDDFGFEDYLNDPRDSETFKPVDLHHGMEVRLGMSKGPVAPSFM >A08p015250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10051084:10052406:-1 gene:A08p015250.1_BraROA transcript:A08p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFFSVLLPLSVLSSPFLLNANAHTKLGFSTDLIHRDSPKSPFYNPTETSAQRLRNAVHRSVNRVVHFNGKDASVNSPETEITSDGGEYLMNVSLGTPPFPIMAIADTGSDLLWTQCKPCDDCYTQDDPLFDPKASSTYKDVSCSSSQCTALESQATCSTEDNTCSYTLSYGDKSYTKGNVAADTVTLGSTDNRPVQLKNIVIGCGHNNAGTFSKKGSGIIGLGGGSVSLISQLGDSIDGKFSYCLVPLSSENDKTSKINFGTNAVVSGNGSVSTPLITKSQATFYYLTLESVSVGSKNIKYPSVSDSYGSSSKGNIIIDSGTTLTMFSTDFYSKLEDAVASSIDAERTNDPQNVLSLCYSATAKLNVPVITMHFDGADVKLDSSNAFIQISEDLVCFAFRGDDQLAIYGNIAQMNFLIGYDTVSKTVSFKPADCAKM >A09p065470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52339839:52342553:1 gene:A09p065470.1_BraROA transcript:A09p065470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKYTSSSLIPLDDLRVYIDLSQSLFAASLTRSSMDEEYEVIVLGTGLKECILSGLLSVDGVKVIHMDRNDYYGGESTSLYLNQLWKKFRGEEKAPEHLGASRDYNVDMMPKFMMGNGKLVRTLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPVTPMEAMKSNLMGIFEKRRAGKFFGYVQDYDEKDPKTHNGMDLTKLTTKELIAKFGLDDNTIDFIGHAIALHTNDEHLHQPALDTVMRMKLYAESLARFQGSSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPDCTVEFDEEGKVTGVTSEGETAKCKKVVCDPSYLPNKVNKIGRVARAIAIMSHPIPNTNDSHSVQVIIPQKQLARKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQTELKAGIDLLGPVDEIFFDMYDRYEPVNEPASDNCFISTSYDATTHFETTVADVLNMYTLITGKQQLDLSVDLSAASAAEE >SC353g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000196.1:676:5234:1 gene:SC353g500010.1_BraROA transcript:SC353g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVNYTCDVWEMNRKPERWKGGTSCKRGRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELEPGRTGPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEEQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDEQGGVWDKSCQGSEL >A03g501830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5740306:5740665:-1 gene:A03g501830.1_BraROA transcript:A03g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLDTSSLLFALLSKCSLLTQTNLALSLLVASIASLALSLSPFYWSHPGGPAWGKYFLHRRHRTTVIPGPRGLPFVGSMSLMSNALAHCCIAATAEKLGAKRGRGNGFVERVVKKID >A03p005740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2395315:2398601:-1 gene:A03p005740.1_BraROA transcript:A03p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPPANGSSPSTIGDLWNRVASLIPTSDSIFPGKFSSLYRQTFAKKRHISFPLPLPNNISADTSRIYVALEEIMADVLSNLHDIQKSLEFWQSRAEGSNARKAYFMVFERGPVAFVDESVKLVRKGLSEEDSALQHLCQSSSSHMSDRMRVLMELRSLLASFLAQLYVELDKRGEDLLKNPEKSLPSLLVVIDRLFSNLEGSFSHLHATRESDSSIDGSYSMPLVFDRLPEVNEEGSQWTDCELTDAINLVHKNLEKLNSYLSVMVGKHRKPRRMTLYWVRYTCGAVGLSVFSIWLLRHSSLMGSSDIDNWIRDAKEATVSFFGDHVEQPLLAIRDELFDTFRKRHKGVMEAEEVQLTQDSLHRMLRNFCEQATPEKVSDNATDQEMLEVVMHRYEKELVHPIHNLLSGELARGMLIQVQKLKLDIETAMLELEQILRANEINFAILAALPAFFLSLGMLALLRTWLKQDSKAQGRGRIARIHRRLLVVEIEKRIMQYQSYIEQGRDKDAETVFGLLIYSLERLYRVVETPAKTSGEWDLVKKDLIELGRPQQQTSYKLTVTQRLTTVYDCLLPSLKRQ >A05p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11875139:11879683:-1 gene:A05p024390.1_BraROA transcript:A05p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGVQVNKAHKTRVSSKSSRNLHKTSLQDKGRISKPEGNHVKGAKAARLQRAKMVREQKRAAVLKEKRESGGLSSAPRVIVLFPLSASVDLNSLGEDVLKLLSTDGDVTSSSTVASSEYKLRATVADLIAFVASANEDISSFIDSFGSQCLSVLRSIGLPSTAVLIRDLPSELKKKNKLKKMCASRLASEFPKDCNFDPADTRDELHQFMGLFKAQRLSVPHWRKQRPYVVAQKVGMLVDDTTPGKCTLLLSGYLRARKLSVNQLVHVSGVGDFQLSKVEVLKDPFPLLNERRKQNSMELDDSHDEEVLKSLVPDPMKQEPLVTENTPDPLEGEQTWPTEAEMAESDRNQKQGKPKKRSLPRGTSEYQAAWIIDEIDEEDSDNGDSDDDHMVLDRGEDQYSNHDQDFEDDKETLYVQDMDNETHNDSEMMDDETLTREQYEDQIKKIKEAHAEDEEFPDEVETPIDQPARKRFAKYRGLKSFRTSPWDPNESLPQDYARIFAFDNVARTQKLVLKQALKMEEEEEEESRDDCVPTGSYVRLHIQEVPLVAASKLSSIVSTKPVIAFGLLQHESKMSVLHFGNLVCSANFSLPLTMLHSVTKYDGYEDPIRTKEELMFHVGFRQFVARPVFSTDDCSSDKHKMERFLHPGRFSWASVYGPISFPSLPLVVLKISDADAPAFAAFGSLKSIEPSRIILKKIILTGYPQRVSKMKASVRYMFHKPEDVRWFKPVEVWTKCGRRGRVKEPVGTHGAMKCIFNGVVQQHDVVCMNLYKRAYPKWPERMYPQLL >A02p037230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24548976:24550528:1 gene:A02p037230.1_BraROA transcript:A02p037230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSDLEESDDFGAFLEIFGVSTQDDHRARPSQSAQKARLVSADLELISSPIYKITPGKYMPNNTRCNKENQLQFSSDPASLKRSIRKGIRSSSIDNNTTIVNLDTSLVDRHSLTTVNQKHSSVDRYLPPDVDRYFSPNINRY >A08p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25299077:25301792:-1 gene:A08p046480.1_BraROA transcript:A08p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNTSDGPGEELLTKARKPYTITKQRERWTEDEHDRFLEALRLYGRAWQRIQEHIGTKTAVQIRSHAQKFFTKLEKEAEAKGIKALDIEIPPPRPKRKPNAPYPRKPGPSSSQAKQLVSSSECNQQAFLDLEKVPLPEKISTGKENQEENCSGVNKYPLPNKVVTPGKRKTFMESSDPSLRKASADNETSKASNVDNNVVHEKNKDRDDDDDDDDDDGLHSARHHPATTTSPSAATTTTSHQAFPAFRFQHDYRSFLHMSSTFSNLIMSTLLQNPAAHAAATFAASAWPFTTNAGDSSTQMSSSPPTIAAIVAATVAAATAWWASHGLLPTPVPLPAVPVPTPAAMDNVGNDHLEKQSTAFQEQNMASKSPDSSSDDSDETGITKIKADGDKEEVVAALQDSNVSHKKNPVDRSSCGSNTPSGSDVETDALEKEKEADANQPSVIELGNRRSKIRDNNNNQTTDSWKEVSQGGRIAFQALFARERLPQSFSPPQVEENVNGKQISDTSMPLAHNNLNKIQDSCKADQESAVDEEPGKSLKMRETGFKPYKRCSMEAKESQVGNANNHNDEKVCCKRLRLEAEAST >A07g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4206105:4208621:1 gene:A07g502010.1_BraROA transcript:A07g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKDNLNMSGLTAALNEEDRAGLVNALKDKLQNLAGQHSDVLENLTPAVRKRVEVLRKIQNQHDEIEAKFFEERAALEAKYQKLYQPFYTKRCEIVTGVVEVEGLPEEVKTEQGADKAAQEKGVPDFWLIALKNNEITAEEITERDEGALKYLKDIKWNRVEEPKGFKLEFFFDENPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNTKPITKTEDCESFFNFFSPPQVPEDEDDLDDDMADELQGQMEHDYDIGHQLGKRSLEEVNLLKVNKVRGLRSVSSSE >A09p080000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58709451:58715870:-1 gene:A09p080000.1_BraROA transcript:A09p080000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA-decapping enzyme-like protein [Source:Projected from Arabidopsis thaliana (AT1G08370) UniProtKB/Swiss-Prot;Acc:Q9SJF3] MEIGGPTNIQHVAHVTFDRFNGFLGLPSEFEPDVPKKAPSASATVFGVSTESMQLSYDSRGNCVPVILLLLQSRLYDQGGLQVEGIFRITGENSEEEYVREQLNKGLIPDGIDVHCLAGLIKAWFRELPRGVLDPLPAGQVMQCESDDDFVKVVRLLPQTEASLLNWAINLMADVVQFEHMADPLTALMYAVQVMKLLKSLTEKILGERGATSSHVDKRCSNEAEEGEKEEEEEEEEKDGVYIIEKEAPEIIRVVVDEMVMKNGDEERKNDVGEEGEIWGSKDPDWVGSDYWVWIGSGWIGAREEMILLLLGQFKSDREIFTTSDLNLRKMSQNGKLIPPNMDQSSTRLLNLTVLQRIDPFIEEILITAAHVTFYEFNIEISQWSRKDVEGSLFVVKRNKQQPRFQFIVMNRRNTDNLVEDLLGDFEYEVQGPYLLYRNASQEVNGIWFYNERECEEVARLFDRLISAYSKANQKPNTSSSKSEFRELEAVATMAAMDGPLEPSLTARDAPNDPAFVNFFSSAMTLGNGSASGPPYQSSATPLQPHQPTIASPAPLQSSSPIMSHFENNPDLISSNNSNVHTDLVTPSSFFAPPRTMTQPHLIPGSSIPTAPPQNFNDASHHQRQHGTPMLQPFPPPTPPLSLAPSHSVPVINRDKVKEALLKLVQENEFIDMVTRALQNAHQQ >A05p008250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3357739:3358016:-1 gene:A05p008250.1_BraROA transcript:A05p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDVKTIVKDKRFWAASFLIAWAAGLQGHIMWLQRQDSFKQKFGTIDEENQK >A09p015260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7945840:7946097:-1 gene:A09p015260.1_BraROA transcript:A09p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWSSRLSFLILVIFFFAGLHISSDARKLPSIMTATEEFQEQFRQQRFEGERMLSERKTGKKNDQIYGVSVREVPDGPNPLHNK >A06p000550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:301376:301771:-1 gene:A06p000550.1_BraROA transcript:A06p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSAAVVAVADVSTETWQLMWRIPSSQRINTRQLVDMAVCYPMYQLSRLVLCLWTFMCLPPDDSFYSYTYHEAQSSSSSSLSDDADDEDRLAFEHNLYHNHRVYDSDDDDDRSSSSSFDDYYNQYSHYD >A10p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16399376:16401088:1 gene:A10p025570.1_BraROA transcript:A10p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENNEMKEVKDEQELAPFDPTKKKKKKKVVIQDPIEDSTESQPEKSDSLPANDGLESSFAGLKKKKKKPVEISSSLNEESLDDPEDLDEHANDEEDAEGIDLQQQQRYPWEGSDRDYIYDELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMNFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYVTEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAQIKAGFVARVGRRKT >A01p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7065451:7066472:1 gene:A01p014540.1_BraROA transcript:A01p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPTDKISYPQQLAVTCTGVIWSRYSMVITPKNWNLFSVNVAMAGTGIYQLSRKIKNDFASEAEPVVAKE >A07p029730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16655192:16657211:1 gene:A07p029730.1_BraROA transcript:A07p029730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPHSNFFTDWFKSNPVHHYPNFSTNPPALPPPSSFFFFPHSGDLCRPPPPPTPPSSPPLREALPLLSLSPANKQQDHHGHLIQEPTSTSNDVDDYYHHHHQDDQNNDDHDITVALHIGLPSPSTQEMASFLTLSSSSSSSRTTHHHENMNNQKDLDNDYHHEGTGGGEDDDEDSVGGDGACRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSEYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGIDGFDDEDETASEVEQLDNDHESRRSK >A10p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6766974:6768104:-1 gene:A10p010730.1_BraROA transcript:A10p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase 2-3 [Source:Projected from Arabidopsis thaliana (AT1G44790) UniProtKB/Swiss-Prot;Acc:Q84QC1] MAMWVFGYGSLIWKTGFPFDDRLPGFIKNYRRVFHQGSTDHRGTPEFPGRTVTLEPAPGEICWGVAYKITKEEDKKDALLHLEVREKQYDQKEYLDFFIDINDSEPAVSGVMVYIASSDKKSNNNYLGPAPFEDIAKQIVKAEGPSGPNRDYLFNLEEALVQLGFKDKHVTDLATHVRLILYAATKDV >A10g503920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9789327:9789701:-1 gene:A10g503920.1_BraROA transcript:A10g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPKQNQTHNLKDKGAQKPNRNKQTLDCQPKSENRCRRKPTGHHHVFDRLAIRRHGSGWESACFCRYQRGDATDPRRRRRQDEEETLTRSSLQPDPNRSPDRRLEKKIQSSSINSTIFDFPA >A06g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21842267:21843153:-1 gene:A06g507830.1_BraROA transcript:A06g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSRTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A10p022240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14702333:14706290:1 gene:A10p022240.1_BraROA transcript:A10p022240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVKETCAILWKVIGFSMSTSIKFMRNHPILSLVSMFLLALYILLPSLLFFLIYSSPVLACVVVYAREKLGLRFSGSSSGPESCEGEKKGGRCHLRQQRSVRRNARMKVEEWDSQTSDEEKDKVILTSLYNDLLGRTPQFEESPKAIETNVVEEEKDKAFAEEDSRMSSQDLGYLNVEEEPMVCNCEVNEEKKHDDGKEEIMSNVNEHGISEVERNKRLESLIARRRTRRLFKLALDQHNKLQAEETTSPTQNNNLHITVPRNNNNNSFEKRRSYQSGLQVPGSAPSVMLQARSPFDIPYDPQEERPNLTGDSFDQEFSFFNPNDMFLCRHESFCRFAHFSPEHAQCMNSPVPASDISTTRKLLDLDNEYMDHNEQNIPCYAKPVTTKDDESGKNGDVEVNHETDTSEEDDDTSSCSEESEAELNRFNKAELREAISHSMDKFPGFLVNQARNDIPSPLPRGLLAPRLDDNNMFYARRGASSHNRTFSIASDMQVEVSELGSPPTTVDWLDDWSNGGESYTYDTDIDREIIRGEESRKRISQQCESRSGTGTKEDDSGIVTKPYQKCLSDEHLRTADEMSLIDRRSQTRENFEMRPSRSSDVPKSRSYGKLDGLLFHTSVSLSSITEEPETILDSVDVGNKVSLTSGDSSMKNLTDEEVVGVSGPPKIMDLSSIGHQQKDKILNSIQGEHDTDIHMKEHENEKPKECEAAQSILDASLDAPYVESFGREIEEEEEPDLDNSTKEVTQQREDKAVQGDLMSSPKLQESEVMGENGQELVKNSDENVKAMEQEETHDVLDASLIHPCTQLSEEYGNAKNDSNVILIQVQDGNNSTLDDSTDQQFSKEGESSGLLKDLHAESTQESNNIVNIEEESIGLEDAPNSHGSQSWTQQQGTCSSQKPEHDEIISQDIAKDMEYENTKPMEYEADQSVLNSSLDTPCVDSFEREMKEEEEPNLDNFTMDVTEQIEDKALQGDLTSSHFLTNLQESEVMEENDPKLVKKSDKSAKLVEQEKTHDVLEASSSPPYTQLIEGHENAKNDCDVTLLKVQDGNHSTLDESTDQKFSKEGENSGLLKDLHAEPTQEYNNIVNVKEELIDLEDAHDSQGSQPWTQQNGTDSSEIISPRTSEITQRPEHDVSHDTAKDKVATEAKDSTDTQKNVEENLKHEQVIEEDVEKELLTLQALHHNTGLTAREDDEESKKVVEAVHNSETKTSKTETDP >A09p033140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20073293:20078297:-1 gene:A09p033140.1_BraROA transcript:A09p033140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRSVACLWSRAPPSHRVTATAALTNPPTLYTGGSDGSIIWWSISSSESNSEVKPIAMLCGHSAPIADLAVCDPTTVSENGVTAADSVKEDPFVNCSALISACTDGVLCVWSRSSGHCRRRRKLPPWVGSPSILCTLPSEPRYVCVGCSYIESHSLDTVDGAESLSDTDAQNRRPSRCTVVVVDTYTLTIVHTVFHGNLSIGHLKFMGVVQLEEQESLLMADSSGRLQLVPVSDNVDKGEDVSESSKSSMRNWLNEGEIAISVITRGNLVALFLKNRGVFWLLNRGEAIGEISFVDDSPCSDFNFKEAMWLYSSTSASEGDENDIVSETFALLDDSGSAVLFDMSYIDGEFTYKKNGEVVTASDQHSEKSSFCFVQLRQSLLRVESSCCGTEQPFQWWPHITVWSLSLRNGDEKEFRLQCKMLGEGSYFDDWISSSGVDLKGSTENDLGSSVSDSGQCVSSSMVISENMYVPYAVVHGFFSGEIEIAKFDFLQGLDSPASSPRSDTDPLVSKQHLLGHTGPVLCLAAHRMLGAANGRSPSHVLISGSMDCTIRIWDLESGNVVMIMHHHVAPVRQIILAPARTEHPWSDCFLSVGDDSCVALSSLETLRVERMFPGHPNYPEKVVWDGTRGYIACLFRSLSRKSDPIDVLYIWDVKTGARERVLRGAASHSMFDHFCAGISEKSYSGSVLNGNTSVSSLLFPADEEKKPFYLKNYERIASLPTSKPSASQEKTREESSSASSLSQTIRYPPIKCACPFPGISTLIFDLSSLAVYCQAHENSDMRKMLGESSGKPTAQQKTSNNQSPVQETVDNPAEISPMDKAIGEYLIRFSLSFLHMWGIDTELDQTLTTHLKLKRPESFIVASGLQGDKGSLTLAFPGLNATLELWKSSSEFSALRSVMMVSLAQCMISLSHSTATASSTLAAFYTRNLAEKYPDVKPPLLQLLVTFWQERSEQVRMAARSIFHHTASFAIPLPLCSDFASEHAKLVRSLSEICLHEPEALSSEEERPTNGLDSEHIHEAPRLSQAEESELLSWLESFEMQDWISCVGGTSQDAMAAHIIVAAALSIWYPSLVKPGLAMLVVHKLLNLVMAMSEKYSSTAAELLSEGMETTWKLLIGPDIPRIVSDIFFQIECVSSSARAHQAVLSSSIKETLVEVLLPSLAMADVTGFLSMIESQIWSTASDSPVHVVSLRTLIRIIRASPRNLVLHLEKVVNFVLQTMDPSNTVMRKTCLQTSMAALREVVRVFPMVILNDSSTRLAIGDAITEINGASIRIYDMQSMTKIRVLDASGPPGLPNLLRGASESAVTTAISALSFSPDGEGLVAFSENGLMIRWWSLGSVWWEKLSRSLTPVQCTKLIFMHPWDGFSSNSSRTSVISSITSHDEETPLQETSKNISHAERLKQLVQHLDLSYRLVWANERKVVLTRHSVELGTCLL >A09p018280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9539384:9545956:-1 gene:A09p018280.1_BraROA transcript:A09p018280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAQNLISSKHVAVIGAGASGLIAARELHREGHTVVVFEREKQVGGLWIYSPKSESDPLGLDPTRPIVHSSVYESLRTNLPRECMGFRDFPFVPCVDDFSRDSRRYPSHREVLAYLQDFAREFKIEKMVRFETEVVRVEPVDGKWRVRSKNSDDLSEYEIFDAVVVCSGHYTEPYVAHIPGIKSWPGKQIHSHNYRVPGPFKNEVVVVIGNFASGADISRDVAKVAKEVHVASRGSEASTYEKLSVPTNNLWIHSEIETACDDGSIVFKNGKAVRADTVVYCTGYKYKFPFLETNGYMSIDDNRVEPLYKHVFPPALAPGLSFVGLPGMGIQFVMFEIQSKWVAAVLSGRVTLPAPEKMMEDLIASYAMLEALGIPKRYTHKLGKIQSNYLEWVAEECGCQPVEPWRTQQVDRGYGRLGSNPENYRDEWDDDNLIKEAYEDFASKKLISFLPSYFPKSGR >A09g516150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47743055:47743555:1 gene:A09g516150.1_BraROA transcript:A09g516150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILYRSKPINCIPSSQVSNSGAVLNVTIEKIQGGIYLRFFKMKIRSILSKEDSFRSLPSNDYLE >A04p031090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18403136:18405997:-1 gene:A04p031090.1_BraROA transcript:A04p031090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDHSLPFSTARNLPWILRSESKRISQSELAKRPDPFFLPDLLDGFEESKYGWLADDVKRLCELKRKLLNGSVSVEETETSQKKEKPDDVLSQESVTIGDCSGSDASHNEEDSSSIHTDDDNGTHPYGVGEEEEAELWRQMAFAQESSKVTVENLQDNDPKQVEDCEHSFIYKDDVGEVCRVCGLIKTPIESIIEVVYYKPKRSRRTYTREQEEDTETTRMDFTETHSNNILGDKMFIHPRHDNEMRPHQIEGFKFLCNNLASNEPGGCILAHAPGSGKTFLLISFLQSFMAMDPQAKPLIVLPKGIIESWKREFTKWAVENIPLYDLYSVKADSRRQQLKVLREWVEERSILFLGYQQFAKIICDDSISIDDEVSEDCKRILLEKPTLLILDEGHTSRNKETNMLISLARVKTRRKVVLTGTLFQNNVEEVFNILNLVRPKFLKRHREIVSRIMSKAEIPSGKHMNQSSIENTFFAAVELTLSRESSAKASMIKDLREMTRHVLHYHKADFKGLLPGLSEFTVMLNLSSLQRDEIKGLREMDLFKQISLGAALYIHPKIKAFLEANPSNGEKGFADNLLKKLDTLLKKINVKDGAKMKFFLNLVSLCESTGEKLLVFSQYIIPLKTLERLMTLTKGWRLWKEMFTITGDSSSGEREVSMERFNTSPDAKVFFGSIKACGEGISLVGASRVLILDVHLNPSVTQQAVARAYRPGQKRRVFAYRLVAADSPEEESFETCSRKEMMSKMWFEWNIECGGGRRDEFGFRDVDVDQCGDVFLETTKMKEDIKSLYTR >A06g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16615617:16616754:1 gene:A06g505780.1_BraROA transcript:A06g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFWHYLLYTRKKKRNHFRPNAKKKKKALDDRRFRSVSIRRFDDVFFPFFSCLQMPNSHKPHFLKPLLPDFHSGVHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGLSCCEIQYTHAHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLSEGATTCTALNIQCQEIILVNKEGNLWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A09g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12927035:12932872:-1 gene:A09g504110.1_BraROA transcript:A09g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETYESVGKALGVFDKSDVEGSRVRVFVNGDLPLKFECKIGFANGDVVKVTIQYEDLYRHCYSCKRLSHEEGTCPELNEDQRERNRLARIEQKEKEERATKEAFSIPQRQTPGAYIDFYRRESRGGEIRPTYQRSPNFRRENRREEENEHDLHKQLKERREVHSKNVWNRLDSGQYSELPRNRDRYHPYQHSSGAISKERTRDTASSSEWRPKRPQGDRYDKQPARPWNQTNTSSRSRRSPDSQRTISDNPRRQSSHAHTRGRNSRFPPSGTLEWRPVNKHRETGAPRGLLKKDDNPVNLIQLSENDDAEKSASRRSNTEVYDHVRDKQTNIYLDDTGKERENEVDTNMNVDKEPLIDTRANGLKHRSTHGREKEDRELEDEIDEYTKLADLADLDMTEDMVNQDDLLDDMELLKEHTRDDEMEDGRIEAISQLSPERQRTKTQMAIGKKTANHEVERGEIVEKGETVEQVKQDPKNKASAQQTSTLGKKRGARSPDLKGAASIQELPAARWRCQTDASWTNERDRAGMGFVLLEDDIPILFGTQGLSVAVSPLHAEAEGLLWAMQEVMRHGTRAVRFESDCEQLIKLIRDDEDWPSMATELDEIKDLSAEFIEFSIAYVPRSANIRADSLTKGKRSRVFGSPFVNCFAPSWLALNAGQEAAN >A01p054270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30527471:30531082:-1 gene:A01p054270.1_BraROA transcript:A01p054270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESWKFLRSVCLLSFLLGSCFVYQSLRVVVAQDEGTEPAVTLQVNASNGAGRLIPETLFGIFFEEINHAGAGFEAGGQNTPSNIWPWSIVGDHSSIYVATDRSSCFERNKIALRMDVLCGNKGCPPGGVGVYNPGYWGMNIEEGKKYKVALYVRSTGDIDMSVSLASSNGSLTLASEKIIASASDVSKWTKKEVVLEAKGTDHGARLQLTTTKKGSIWIDQVSAMPVDTYKGHGFRNDLYQMMSDIKPRFIRFPGGCFVEGEWLSNAFRWKETVGPWEERPGHFGDVWKYWTDDGLGHFEFFQLAEDIGAAPIWVFNNGISHNDEVETATIMPFVQEALDGIEFARGDANSTWGSVRAAMGREEPFELKYVAIGNEDCGKTYYRGNYIVFYDAIKKAYPDIKIISNCDGSSRPLDHPAEYYDFHIYTSASNLFSMYHQFDRTSRKGPKAFVSEYAVTGKDAGKGSLLAALAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFNSSHLYGTPSYWVQRFFAESSGSTLLTSTLKGNSSSLVASAITWKNNEQDYIRIKAVNFGATSVNMKVLVTGLDPNVMKVSGSKKTVLTSTNVMDENSFTQPEKVAPHESLLEMAEEDLTVILPPHSFSSFDLLKESAKIRMTVSDSSSTL >A04p007090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9043562:9045629:-1 gene:A04p007090.1_BraROA transcript:A04p007090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEARVGVVVEGGQRALHTATAVNNTVVDAGNRKLLQQQPQTCQQQQQSNKPSLNQKQGGSISHLVAGGISGAFAKTCTAPLARLTILFQIQGMQSETAILSSPSIWREASRIVNEEGFRAFWKGNLVTVAHRLPYSAVNFYAYEEYNTLFYSNPVLKRFKGNASLDVLVHFVSGGLAGMTAASATYPLDLVRTRLSAQRSSMYYQGVGHAFRTIIREEGFWGLYKGLGATLLGVGPSLAISFSAYNSLKTSWLSHRPSDSEVMVSLSCGSLSGIASSTATFPVDLVRRRMQLEGAGGRARVYKTGLLGTFKHIFKAEGMRGLYRGILPEYYKVAPGVGIAFMAYEKLKKLLSSAPN >A03p053600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22978662:22986272:1 gene:A03p053600.1_BraROA transcript:A03p053600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVAEVCRWSVRLLTTTNSPPQLNGGGNKSGLWRWRSFPGQPKRTVMWTWVCGFMLFTLGVISLFTGHVVSHLEWYSQQLSKRSLLDMSRTEAIDVWKSKYSKFFYGCSERGRNFPPAVQEHSSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFNDIFDVNWFISSLTKDVTIVKRVPDRVMRSMEKPPYTMRVPRKSTPEYYLDQVLPILSRRHVLQLTKFDYRLANDLDEDMQKLRCRVNYNALRFTKRIQSVGMKVVKRMRKMAKRFIAVHLRFEPDMLAFSGCDFGGGEKERAELAEIRKRWDTLPDLDPLEERKRGKCPLTPHEVGLMLRALGFANNTYIYVASGEIYGGEKTLRPLRDLFPNFYTKEMLANDELKPLLPFSSRLAAIDYIVSDESDVFITNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFMDRENMEWHTFAKKVKSCQRGFMGDPDEFKPGRGEFHEYPQSCICQRPFSYDKHSTEEDEEEDIPEEVHNNTRPGYGHLSSEDSERDEVFPDYCCKEIDQSTHVEEKERKCGSNLGVLVNDRNMRKKMCTVIVLLLSLLSVLPLPSESAVASVDLGSEWVKVAVVNIKRGQSPISVAINEMSKRKSPSLVAFHSGDRLLGEEAAGITARYPNKVYSQLRDMVGKPFKHVKDFIDSVYLPFDIVEDSRGAVGVKIDDGTTVYSVEELLAMILGYGSDLAEFHAKIPVKDMVVSVPPYFGQAERRGLIQASQLAGVNVLSLVHEHSGAALQYGIDKDFANASRHVIFYDMGSSSTYAALVYYSAYNEKEFGKTVSVNQFQVKDVRWDSGLGGQSMEMRLVEYFADEFNKQLGNGVDVRKFPKAMAKLKKQVKRTKEILSANTGAPISVESLHDDRDFRSTISREKFEELCKDLWERSLTPLKDILKHSGLKMDDIYAVELIGGATRVPKLQSTIQEFIGKQDLDKHLDADEAIVLGSALHAANLSDGIKLKRRLGIVDGSPYGFLVELEGPNVKKDESTKQQLVPRMKKLPSKMFRTFVLDKDFDVSLAYESEDILPPGITSPVFAQYSVSGLTDATEKYSSRNLSAPIKANLHFSLSRSGVLSLDRGDAVIEITEWVEVPKKNVTIESNTTSTTGNASTGAASDENSQENKEELQADAGNSTAEEPAVVDLGTEKKLKKRTFRIPLKVVEKTVGPGAPFTKESLAEAKTKLETLDKKDKERRRTAELKNNLESYIYATKEKLETPEFEKISTQEERKAFVQDWLYMDGEDANATEFQERLDSLKAIGSPISLRSDELTARPVAVEYAQKYLTEVKEIIKEWETNKTWLPKEKINEVSKEAEKVKSWLEKNEAEQKKTALWSKPVFTSDEVYAKVFTLQDKVTKVNRIPKPKPKIEKPTKKENATEEKSKGSEDSTNSTESEAAAKEEEGHDEL >A03p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6502297:6503332:-1 gene:A03p016330.1_BraROA transcript:A03p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLMHGLWSYMFSKTEFHVLILGIDKAGKTTFLEKLKTIYSISEGLPHDRIVPTVGLNIGRIEVSNAKIVFWDLGGQPGLRSIWEKYYEEAHALIYLIDAACPSRFEESKSALEKALRHEDLQGAPLLILANKQDLSNAVSAEELDRYLDLKKLDERVYMFEPVSGYDGRGIKESVEWLVGVMERSKRTETLRARTGYVPVPSS >A08g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8963416:8966564:-1 gene:A08g505340.1_BraROA transcript:A08g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGARKFTWEQRDEYGVYRDERGHARSVAGEMIPVTEDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDECEVSYIDTRINDVYCPLNNNVDGLSTKIELLQQDLDTIRKKDKQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLHAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKHVELLPYTATEVDKITSKIYTSLDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTLAKSIDGNSPRSTNEHIIASIDAESTLIVEQLINKTVESMLKELTDLSAYAYDNIGWHQVSIDNVQERLQNISKVLEKMDDKWTRNDEATRIFIATWQPQDDRYSTKDVKADQPINYTLALNSMKFFRQVGKSSKRDLEATIFKARFRKELLDIGQKEVNRTWWQPPLTPDTCLKSLHPVIDTPKLKGHFIRADDVEVDERKNNRSMRIQCCRSTPRDASTDED >A10p012210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10613608:10613938:1 gene:A10p012210.1_BraROA transcript:A10p012210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQEQVRSDIEGGSYRDGTKIVRMLVLSNSPIQLDCSFGPTRMFGLLDCSFGPTRPLGELDCSLGPTRLFRNLDSLFGLARHWGELNVHPARPDGEPG >A06p045990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24657163:24660269:-1 gene:A06p045990.1_BraROA transcript:A06p045990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKTRTLCSLLCFFSVILVSISQSNGQDLALACGASEPSSDPDKKKWEPDTKFLKTPNTDHATATYQDPSLLSTIPYMTARIFTAPATYEIPVKGDKRHLLRLHFYPSEYTGLNIDDSYFSVAAMDITLLSNFSAAITCQALTQAYLVREYSLAPTLKDALTITFTPSDKHPKAFAFINGIEVVEMPELFDTAVLVGFTDQTADAKSANLQTMFRLNVGGQDIPGSQDSGGLTRTWYNDAPYIFSAGLGVTLQASNNFRIDYQKMPVSTAPPDVYKTARSQGPNGDLNVNSNLTWMFQVDTNFTYIMRLHFCEFQQSKVNQKAFNIYINNRTAQGDTNAADIIAWSGGKGVPTYKDYAMYVDASNGGAGGEDVSLQMTPSKFAKPEFLDSQLNGLEIFKMDTMKNLAGPNPKPSPMQANQDGKREFRRDKRITAFIIGSAGGVAAILLCALCFTMYQRKRKFQGSDSYTSSWLPIYGNSHTSATKSTISGKSNTGSHLSNLAAGLCRRFTLSEIKHGTQNFDESNVIGVGGFGKVYKGVIDGTTKVAIKKSNPNSEQGLNEFETEIELLSRLRHKHLVSLIGYCDDGGEMCLIYDYMSLGTLREHLYNTKRPQLTWKRRLELAIGSARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPNMNQGHVTTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPSLPKEQVSLGDWATNCKKKGTLEDIIDPNLKGKINPECLKKFADTAEKCLSDSGLDRPTMGDVLWNLEFALQLQETADGSRQTTPSRGGESEDLAGEGGGMAVNVSGAGEHDVSDLSSEESSGIFSQIVNPKGR >A09p064870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52109088:52112958:-1 gene:A09p064870.1_BraROA transcript:A09p064870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKDVDFYCGFSRKELQSLCKKYNLPANRSSSDMAESLASFFERNSLNSVGFGVAGIQGSSATTSRGPVSRTWDVKRDSYGNELNITREGCFQSTVARGPGFILGDRTQSQERNGGLKLNEKGPMDPRLENRMKEVESGDSPSSSSFEFHVSMEEGISLSVDLNFNPSDWVSSMTSEVNVYDSIRRRKSPDSDLGTDNATKCKKHKSLEEDEDGDVRRESSLSPVMKDNTQVASDHHSNGELSLAPSAIEPCKDQNGVNLCLPESSGPGQIVSSCTESCSKSCCVNPVDSECVDPPGKKLTSDSVMVAAEQNHPAGDDLLVETPQNPSMESFQKVGAGSELSSSEAVEAYPSNALCSERIIDREATSYSESFKFRYNGVKNCLPPDGEEQEKSEVFSEQARPSSIWRVPSRALGRILLKVSCNDLGEMLVFSNLHEDYITTKFQRAKLLFTDQMKEYPLGLNVDKGKRETRGLFTWLSEFSTSRLFPESSETCLLLMAPLIL >A05p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2867534:2869978:-1 gene:A05p007130.1_BraROA transcript:A05p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIYTRHLSREGTGCVWLFMNMFDLRHGGSNHKLLLDRKRGSKRITGTETKVEKQLTCDCDCEVLSVKKLIEEEIDEKTHQKCDTTECRGRTKARNKKMRSRTCSKTNEDINALITGDDDHAEKKPDDQCPRTSQSDADSINNDDSEEKFSELIKRLIAQKESEVESCKSLVDDDAKEEGSSFLNIATSPVSEDSSNESRTQTIVVLKPEPSCFDLVSSPGRHATRNKSKKERFGSRFTLSRIRRRLKSPKSPCNAQHESDQDPDALSSPNMSQNSCLEEEIDTSSRRHDVSDGEVLPEANNDEGSKKSMCGIYIAAKKHLSEMLAEGGIDVDPHDKEVPRILGKILALPQFSTPENSPRISTMAHDVVEHQITEKPNIQESSSEDYSETLGLDSNKHEETASTALKLTAFNELSAGLDEDKFETHDENENTQPLDKETYEEKHSPCSPPSSSVKMSECQDNATDVPGKSSPVSVLEPFFTDDDTSPSTSRFSSVEMRLKPLCIRFEEPDSPRPEKDNNPKTRMDDKELALAYIQEVVTSSELNWEELLARSFYSEQVLEQALMDDIDFCSTTFCNDKKLLFDCINEVLMEFCRHGPWISLSKPAVRFCGPDMENAVEVVQEEVYWHLLPLPSPHTLDQIVRKDLARTGCWMDLRFDIGCIGYEAGELILEELLEEIISSFTDLFQ >A05p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2913869:2914748:-1 gene:A05p007240.1_BraROA transcript:A05p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILRSSLLCCIVFLLISNFYGSVEAGKRRIEITDDLDDVEDNEEDESWKTWGSKAAAPEFDPPPDFSNMGFDQIQEEMAKRTFAPVVGFVKLLLGVQRTKDMVVDIAMKWTKVLRTGGLGVRFMAVDRSTVMFNMQNGKEVTELREFVLRQEEAYEVKIGKQEFRRPGDPPLDDVVDKLKQSKGDEDGDGNSENDVTKDEL >A09p050210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44158958:44165205:1 gene:A09p050210.1_BraROA transcript:A09p050210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETDDLPQDSVDSAADGIENDHESNEQQELDPDQILSRFSKFLETAEEDKTQHEDALKELRGIISGKDDEIAHLTTKISELSGHQSENEEQLVAATDRVLVSLSNVFGQQELIHGSSVSEKIAHLENGVAFLSAKYTEFYYGADQLRKCLSSAEADLRFQEDFGSALGGACSELLELKQKETSLYDRLSLLEDENKKLVEQVNRDREMIESMSAESGKLKAELEQEKTRFINTKEKLSMAVTKGKALVQNRDALKHQISEKTAELENRLNELQEMKISLETSEVVKGQLEQSLAEKSDELEKCYTQLRDQSASLEAYEVAKNELEQSLAEKTKQLEDCLMKLQEMSAAFDESELIKGELVKSEALVASFQEMVSSRSSVIENIETILSNIDTPEEGQSLDIIDKVRKELDDLTFSFKRLEETAEQDSLEREEIVRRLVEISGLMTEGVEHHTSAIDLLVARSFDQIEKKIRDSSDSSYGNEELIERFQSALYASDLELSLCKEMLGEDMLASLQVSNLSNELKTVSRELASVREEKIALETDLERSEEKSALLRDKLSMAIKKGKGLVQDREKLKTQLDEKSSDIEKLKLELQHATGTVDSYKSQIDMISKDLERTKELEAELVAIVDERDQLKQSLSLNDTLLQKVMKSVETMSIPVDLATEDSSKKVDRLAVYFKEVQQARVEEEEELEKVKEEASTLASKLAETQTALKLVEDALSIAEGNINRLAEENSQLQAAKENVELELQKAVGEASFLSSELDEACATRNTLEAALKQAERNISDIISEKEEVQSSTATAEMELEKVKEEISDQNNKLAEAHSTIKSLEDTLAQTESNMDSLSKQIEDEKLLTTNLKTELEMLGNEVELERSKMADASLTIGSLEEALMKAENSLSVLQGEMVKAEAEISTLSSKLNVCMEELAGSSGNTESKSLEIITHLDNLQMLLKDGGLISRVNEFLEKKFRSLKDIDAIARDIIRNVGEKKLAGEMDNIAEEDSTVVKSFLSGLDDSVDIELENNKGDVADDEDEISSSLRRIAEGVKLRNKNFEKNFGVFSTSIDTLTAALMENMTASRDDVMKVMSHNESLKEQVRIAEDIVREQENTISALQKDLSSLMSVCGEATSKLQSEVKNDLLEVVQFQESHNGSGTELTEHPHENHGSECARSVKELSSATKKACTTLKLFETTSTAAAVVIRDMENRLKETSAALENVVVERDLNQTKVSSSEAKVESTEALCQDLKLQLEIYKAEEEKWHEKEVELSTLYDKLLVQEQESKEKLIPASDMRALFDKIRGIDMPSVDQVNGLDPQSPYDVKKLHAIVDSVSEMQHQIDLLSYGQNELNSTLAEKDLEIQGLKEAAEAKSTTELELVKTKNELSKLISGLEKLLGILAGNDPVVDSDFSESWTVLQALERKVASLLLESESSKSRAQELGLKLVSSEKLVEKLSLKVKELDDKLQSKAIQADVVLERSIFEAPSTSEISEIEDKGALVKKSISPVPTAAQVRTVRKGSADHLSINIDSESEHLMNHNETDEDKGHVFKSLSMSGLIPTQGKMIADRVDGIWVSGGRVLMSRPQARLGVIVYSLLLHLWLLASIL >A03p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9647235:9648395:-1 gene:A03p022890.1_BraROA transcript:A03p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A4 [Source:Projected from Arabidopsis thaliana (AT2G39700) UniProtKB/Swiss-Prot;Acc:O48818] MAIIKLAILFTTYLLFNLADARIPGVYSGGAWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGMSCGACFELKCANDPQWCHSGSPSILITATNFCPPNFAQASDNGGWCNPPREHFDLAMPVFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGHRYFNLVLITNVAGAGDIVRTSVKGSRTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWSIVPSNWQFGQTFVGKNFRV >A01p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10224571:10225455:1 gene:A01p020970.1_BraROA transcript:A01p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCAR4 [Source:Projected from Arabidopsis thaliana (AT4G27920) UniProtKB/TrEMBL;Acc:A0A178V0C5] MLKNILREVIVGCALPSFLCRDCHFLCVWFLLSAALEMMNADETKNVESEYIKMHHMHGELLESQCSSTLVKHIKAPLHLVWSLVRRFDQPQKYKPFVSGCVVKDKKLEIGSVREVDVRSGLPATRSTEILEFLDDNQHVLSIRIVGGDHRLKNYSSVISLHPETIEGRAGTMVIESFVVDVAEGNTKEETCFFVEALIQCNLKSLAYVSEILEAESIAQMV >A08p000220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:99579:100097:1 gene:A08p000220.1_BraROA transcript:A08p000220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSSPLVKRRQCVSDAVMEAFIASSDHSSLFPQPPLQERLQGLIEGAMRKLHDFVGEEEMTKKTEPRGTVNGVRPSNLVDKVNSFLSFNNCGGGESGSWMFGFRQGRRDAAVLGMMISYLLSLF >A04p025630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15522097:15528396:-1 gene:A04p025630.1_BraROA transcript:A04p025630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKSIMGLKKPEKNESEKGSSGKNKKWKLWRNPSGDLASSWKGIRHGRSESVSYSAAVATVLRAPPKDFKAVREEWAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMTVQGQAVQKLLDEHRSKSDLLKEVEEGWCDRKGTVDDIKTKLQQRQDGAFKRERALAYALAQKQWRSIPSSNLKTNSSISYLKSQEFDKNSWGWSWLERWMAARPWETRLMDATEAATPPTKHLKSPEVVKVRRNNVTTRVSAKPPPHMSSSSSGYDFNVSPGSTTPASVTTGLVSDNSSKNKPSYMSMTESTKAKRRTNHVMRQSMDEFQFMNNSGLFTGDLKSSSPVSSDPPSYVTNFSKPFGVSTRRAAAGGGGGGASSRFQSSYVGSFARRVRERDEFNEVAQLRELFRRNDPEAVIRIFESSPSMHSNPSALTEYIKALVKVDRLDNSELVRTLQRGIAGASQEQESFGDIAAFRNLGKGTKDGVLGTAAAPIHTISTERSSFKEQLWSTFRTIAVGFLLISGAGALIEDRGIGKGLGLHEEVQPSMDSNTKFADVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAGKKCSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKAEDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKDVTMSDLEFAKDRIMMGSERKSAVISEESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMALGMVSQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLEQATKLARAMVTKFGMSKEVGLVAHNYDDNGKSMSTETRLLIEREVKLLLEKAYNNARNILTVYNKELHALANALLQNETMSGKQIKELLADLNSPQIKKRQEVAAQQSQPVPPPSTPSPAASAAAAAAAAASAAASAAATAATKGKDMAPVGS >A09p012500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6379398:6381137:1 gene:A09p012500.1_BraROA transcript:A09p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDKRLDSMNQVVEEIMSIHRSLPPRPDIDDVEAATSLIQNVENDDQSRLDAVDREIKSSGVPQELFDVLQEMRRGLVRFQSKEQKREAAKVLDLESAHVVFDELIQRAYTNLSRPPLAPVVSPASLYCSDEALVKSKEMFTRDDTFVNKVKSSLYSDGFLAPRKPQVLDSTTLQAKNLTGHDGEKLSLIKLATLIEVSAKKATQELNLQHKLMDNLEWLPDSLGKLSSLVRLDLSENCIMSLPATIGGLLSLTRLDLHSNRIGQLPESIGDLMNLVNLNLSGNQLTSLPSSLCRLVNLEELDMSSNSLSVLPETIGSIVSLEKLDVETNNIEEIPHSISGCSSLKELRADYNRLKALPEAVGKIATLEVLTVRYNNIRQLPTTMSSMANLKELDGSFNELESVPESLCYATTLVKLNIGNNFANLRSLPGLIGNLEKLEELDMSNNQIRYLPYSFKALSQLRVLHTQQNPLEELPRDIIKKGAQAVVQYMNDLVEARNTKCQRTKQNKSWVVRICFLCKSTN >A02p059360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35439095:35439825:1 gene:A02p059360.1_BraROA transcript:A02p059360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLKSINPINNRVQDLLNATKNIGDLGFVLTFIFRTWLSNQNFSYNGWGMTEEKKVLAKWLKENVLRLGPIFIKNWPAVFYQSGYSSSRICFDQLSELQLQLVLVIVFCGNV >A09p021970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11920722:11924474:-1 gene:A09p021970.1_BraROA transcript:A09p021970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHLILHRSYCTVNSSFQISRLSKVGQINEARKCFDSLRFKAIGSWNSIVSGYFSNGMPAEARHLFDEMPERNIVSWNGLVSGYIKNGMITEAREAFETMPERNVVSWTAMVKGYVQEGMVAEAETLFWRMPERNEVSWTVMLGGFIDDRRVDDARRLYDMMPVKDVVASTNMIGGLCKEGRVDEARMIFDDMRDRNVITWTSMVTGYCQNNRVDVARKLFEVMPEKTEVSWTSMLLGYTLSGRMEEAEEFFEAMPVRPVIACNAMIVGLGERGEIGKARRVFDSMNERDDATWRGMIKAYERNGFELEAIDLFGVMQRQGVRPSFPSLISVLSVCGALASLEYGRQVHAHLVRCRFDVDVYVASVLMTMYVKCGELVKAKLVFDRFTSKDVIMWNSIISGYASHGLGEEALKVFHEMPSSGTMPNKVTIIAILTACSYAGKVEEGVEIFESMESRFCVAPSVEHYSCTVDMLGRAGRIDEAMKLIETMTVKPDATVWGALLGACRTHSRLDLAEVAAKKLFEIEPENAGPYVLLSSINASRANWGDVAEMRKDMRNKNVSKFPGCSWIEVDKKVHTFVRGDVRNHPEKTLISMMLEKTEGLLREAGYSPDCSHVLHDVDEEEKMDNLRLHSERLAVAYGLLKLPEGVPIRVIKNLRVCGDCHAAIKLISKVMEREIILRDANRFHHFKKGVCSCKDYCIHKHFISLDEHKSFSDFNIGFSEIVNKEALSDNSSSCCFSVVAMGSEGPTAVTINITGFKKFHGVAENPTEKMANNLKEYLAKNPQSKDVVLGSCTVLETAGQGALASLYQLLQSAINTKESESVTCGKTIWVHFGVNSGATKFAIEQQAVNEATFRCPDELGWKPQHLPIVPSDGPISTVRKNKTYSLFVHVPLFVAVDEETQMRFTASLLEVLTSVCK >A06p022580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12189301:12192281:-1 gene:A06p022580.1_BraROA transcript:A06p022580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQIMHVQPEFLATGGTNNYLYDQLWKLCAGPLFDPPKIGEELVTSINDELCQLKPVFNIPSKIRCNVFSIKLKVETTTDEIYAEISLLPDTSEAEIPTSKCENNIQNIKCFTKVLSASDTSKKGGFVLNKRHAIECLPPLDMSHLTPSQEINATDIHGHEWKFKHALKGTPKRHLFTSGWNEFAKAKKLVVGDSFIFLRGENGESRVGIKKAAHHQQENIPSSIISKESMHHGVVATALNAIKNKCMFVVFYKPRSSQFVVNIDKFRDGVNKKFSIGSRFLMKFEGKDFNEIRYYGTILKVRDFSTHWKDSEWRCLEVQWDEAATIPRPDKVSPWEIEPLTHSSDILKSGSNMWVPTLTQGQEVGHSSIQSSMSYSFATTMSKPNYNEQMVQAMKETSTTTATTSYRLFGVDLKVPAKTKDSIEPINSYKKSKISKIFEEEKVDHIQTRSHTKVRMEGAMERTVDLSIFDGYNQLIDELERLFDIKGKLHIHNQWKIVFINADGDIMLLGDDPWPKFCNTAKEIFICSKNDAKIGDADNKFSEGDPILTTTNLPPDVNNN >A04p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4010124:4012003:-1 gene:A04p012820.1_BraROA transcript:A04p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNEEHSEDSNLNGEDSFEAVKQRLKDRSKKVVQTRELLSKQAVQTREILSKQAVKIAKQAEEHERFINKVTHLVGVLGFGGFCFLLGARPQDIPLVYCFFYVIFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIVSVLIHLLPGLVFFTIRWWNPETFAAMHPVGTARRVSWPYVDDKPYLFTWLFLIPLVVYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNKLWQLSGILGDQNRMWMYILFQAIFTVATMALTVPIFLSYRLHVIFQILKISAAVWNGGSFLLEVMPRQVIQKEKKKKTEMQPIEEQLPAQHELVSPPTQYEAESTTET >A01g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20311828:20317053:1 gene:A01g506920.1_BraROA transcript:A01g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVLSRPTHDTSDGVYEDDDFVEPMRLDYSYSQPSESEDYGGHDSSDTEDREVEDLIRRDQADLNYNYASTVQYPPQPEVEFGFPQTCYCGGRPKLETSRTVNDPGRRYYTCDNVNDGDCHVHKWWDDAVMEEMRARDTHTLQLSEKVDYLTFLNDYDPQLNKLKELQNETEQKLVRLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIFKTPNNLSSQHSTISLHHTQQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCKDFKNDGLHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFELAQMREEIKELKQLIMLRQNDRRKIQAILLQFAYSHRTHRAAVDRLAKAGLKEEIREGLETDEFATLEALFEEAEEVEEGLKETPPSTPRKRRRRSSNPRSSKRARKAEEKGDPEDDWYGYDGEGASGFKDDEEGEYWDWMQMETDVDDDASDRTDDTLGSGQFRMDNYPGSSGTDSSTSDSD >A09p024550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13595534:13602538:-1 gene:A09p024550.1_BraROA transcript:A09p024550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKLAPAVSYISFLALYFSFYTVASTSSNSLQDDFINCLHQNTNVDFPLDKTFFTPDRNASMFIEVLNSTAQNQRYLTTSMPKPDFIFKPVHESHVQASIICSKKLGMHLRVRSGGHDFEGLSYVSHIETPFIIIDLSKLRQINVDIEDNSVWVQAGATVGELYYRISEKSKIHGCPAGIYPNLGIGGHITGGAYGSLMRKYGLAADNVLDAKIVDANGKLLDRTTMGEDMFWAIRGGAGGSFGIILAWKIKLVPWQQVADKLVEDLFLRVLFAIVGNNENKTVAMTYIGQFLGDKGNLMEVMHKDFPELGLTKEDCLEMSWIESVIHNSGFSRSTPPPPEVLLQAKSPLGEVYFKAKSDFAKEPIPVLGLKGMIKKILEEEAAVMIWTPYDGMMDKIPESEIPFPHRSGTSFMILYYRSWSDTENRPDMQNIHQILSLSRIKWIRELYSYMTPYVSSNPRQAYVNYRDLDLGQNSNNSKTSLKQAQVWGAKCFKDNFNRLVKIKTKSGPENLFRHEQSIPLLC >A01p023120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11413970:11415554:1 gene:A01p023120.1_BraROA transcript:A01p023120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNNIVDDVLSTQQEESASGANKYRGLVLKKKPLISQDPKRTWFDSADWALHKQEASPDKRRIAAIENLKPKYFQRTPSKELPPTCAFAYGQENQTDSSV >A06p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10498021:10500649:-1 gene:A06p021210.1_BraROA transcript:A06p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLSGSLLTKSSDKVVWTSWKSSGLPKSLLKKSSGLPGSRLEVSSGLPGSLLTKSSSISNGVQACLCRGMIYNCFVCAHIRLLQAHRISNDSDPPIIVSFYDFMNHKKCKIKILCFLAQCGKKVRDMLCLVHKNEKKKGNSILGALRASNWLFMVVRVLMTMVIL >A02p018840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8711157:8712542:-1 gene:A02p018840.1_BraROA transcript:A02p018840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQDEQEHEVYGGDIPEEDEGEMETDEYQEHAGEEEGAAAAEDEEPGSASRDLEDMKKRIKEIEEEASALREMQAKAENDMAAAQDPSSAISAAEKEEVDLRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEEEAVQNSLVLNETELHGRQIKVSAKRTNVPGMRQFRGRRPFRPMRGFMPGPPFYPPYAYGYV >A01p030660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13812627:13814956:1 gene:A01p030660.1_BraROA transcript:A01p030660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSRHRSMVLGYIGRSDHFNRGSSNRPFRQTDRAVYRINPQASGKELRLEPPPDDRTNRTTVRLSRSTRQAKTDGQAITHFNREESEPDHSLSLLVRLIRAEWPNERTDGSAGPYDQFLNFDDQNFSKARILQLSKDLGRAGTKLVHEPYPDDCPDRTASVLLLTAKEPLGLDKPGCQLKSHL >A09p079960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58688665:58691527:1 gene:A09p079960.1_BraROA transcript:A09p079960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQNKLKSFCIFIFSLLTLTPLAFSEIFLEEHFEGGWKSRWVLSDWKRNEGKAGTFKHTAGKWPGDPDNKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSVKIEQDIECGGAYIKLLSGYVNQKQFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNYPIKKDLECETDKLNHFYTFILRPDASYSVLVDNKEREFGSMYTDWDILPPRKIKVKNAKKPVDWDDREYIDDPEDVKPEGYDLIPREIPDQKAKEPEDWDEEENGHWEPPKIPNPAYKGSWKAKKIKNPEYKGKWKNPWIDNPGILSFLFLFSSEFEDDPDLYVLKPIRYAGIEVWQVKAGSIFDNILISDDPEYARSMVDDYFAQHRESEKELFAEAEKEKKAREDEEARIAREEGERRRKERGDRYGHRDRRHRYKRHHRRGYMDDYHDEL >A05p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26653405:26656904:1 gene:A05p044390.1_BraROA transcript:A05p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPIKHVSEPDTVADKLRRSLNMSNSKPDNVHVEFGSPITPLQTQPSGLTSAATTTSNSSFSSSSSGSVSGRAVHTPASTKSDSGRSNPSAVQSSRATAPSASQTSKTSNPSAAKSSKSTTSGSSNPAGAKAGSNPRPGRVSSASDSASGSTKRSTKSSTPPPQPVKILPGGNLFPSGKVHITGMTQGVPKRMILGPGSKSYGYGSVMRGTNNYSSTPAKLTTSSGSSSSGALVISRCSGGGGGSEVDTSWKRVMNSPNPEEVKRLGNEMFKKGCFSEALKFYDRALELSPSNATYRSNRAAALSGLGRIAEAVVECEHAIKLDPNFARAHHRLATLLLRLGQVDNAGKHFFSVEEPSDPMVVKVLEEVDRHLNKCAEARRRGEWNIVLTEVSAAMESGADMSPQLAMCKVEALMKLLRLDEAQTILASAPKIEILLPSSFTRIRFFDMISEAYTYFVKSQMELALGRFENAVTFVEKASEMEPRNSEIETLNRNVKMIVRTRDRGNGLYNSERYTEASAAYAEGLKFDPYNATLFSHRADCFFKVGMWESSIEDCSHALLILPSCTKARRQRAASYSKLERWAEAVSDYETLRKELSYDREIAESLFHAQVALKKSRGEVVLNMEFGGEVEEVFSLEELKAALTRPGVSVVLFMKAFDQQCKEISIFMNALCIRYPSLHFLKVEIEKCPGVGDAEKVRVVPTFKIYKVGIRMKEIVCPSKEALEISVRHYGL >A09p006140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3265681:3267036:-1 gene:A09p006140.1_BraROA transcript:A09p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITGKYRSRSSCRYQKLGHHYEKAATRTIRHNWGKKIEGRSKGFRLNRPRRLVLKALVLPRRISSIYARITDKMNMEATMRYLFLTISPMFFFLLTILASTEPRPTPILRHDNQSSDLFSAISDMRRESYYGFVTLLHILNDTDFVRSQEITFLMPNDEDISQADMSQESLETFILRHTIPAWLMINHMLRFPNKTLVPCSIPDRMFTITKSGGSGLFVNNARIVSPNICQNSRISCHGISNVITFNEDSFPKGRLSPKMLSSRINSSRH >A03p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2122220:2123843:1 gene:A03p005070.1_BraROA transcript:A03p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLHYGWTDVSISYLYGSGKISIFAGFWLWKESVPCRLMSFLFIPWIYVEFPVLRDTSSFRFDYVIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLFGYGVAFLGVAYYNHAKLKGLKAKEAQKKVQQGDEEGGRLLEEREGGDGDGKKNESEN >A09g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24236540:24244999:-1 gene:A09g508660.1_BraROA transcript:A09g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQAGDILGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYQAPRKVPRKLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVVAVEPEKLLEQTEREPEDTAELEPADVESDDHAEPEQVGPAACESADPAAPEPEDHAESADPAELQPSSNQPVNRVTELTHRVDSAGELASWRAVGGRTVAGHGGSGKRWLETAASGRDARWLRRTATARAFRARAEAKLREALAASSGLRLRRGWCLRLRLDERNTMVVLHARNSQWLGSYGVANGGVELNVITAHGCSGDEFRRPTH >A09p021320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11463706:11465581:-1 gene:A09p021320.1_BraROA transcript:A09p021320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 48 [Source:Projected from Arabidopsis thaliana (AT1G58340) UniProtKB/Swiss-Prot;Acc:Q9SLV0] MCNLTPSSSSSLLSSPKEKKNFSKLETCDADHPHYSDYTDDGSRDLNRWPTFLEGLEEVKAIGRISGPTAMTGLLMYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLSMGMEPICGQAYGAKQMKLLGLTLQRTVLLLLSCSVPISFSWLNMRQILLWCGQDEEISSVAQKFLLFAIPDLFLLSLLHPLRVYLRTQNITLPVTYSTAVSVLLHVPLNFLFVVKLEMGVAGVAIAMVLTNLNLVVLLSSFVYFTSVHSDTWVPLTVDSLKGWSSLLSLAIPTCVSVCLEWWWYEFMIILCGLLVNPRATVASMGILIQTTALVYVFPSSLSLGVSTRISNELGAKRPAKARVSMIISLFCATALGLMAMVFTVLVRHRWGRLFTTDVEILELTSIALPIVGLCELGNCPQTTGCGVLRGCARPTLGANINLGSFYFVGMPVAILLGFFFKLGFPGLWFGLLAAQATCASLMLFALLRTDWAVQAERAEELTSKTPGKTPPLLPIAKTKSRSPPDTDDMMRTMLV >A02p001730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:744693:747108:-1 gene:A02p001730.1_BraROA transcript:A02p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKKIKWNDNLLHTCDNRQPGTDKMKVAVSQILPKLKTLARFVALSFSFFLCLSNFRNPNLTKRSPSPFLSRFIAMEESFTDKTSVYDIKPLRTLKPVFPSGNHQSPPPFVCSPPFGPFPPGFSPFYPFTSSQPHTHNNSNPSSVTPLRSFRSDAEGSTPKRKTPKKLVRPDNVNFESGITSPERENGSRNLVTSVLTRYEALRRRFSQLEDAREAVSGINKRADLKAGSTCMSRGVRTNTKKRPGIVPGVEIGDIFFFRFEMCLVGLHSPSMAGIDYLLLKGDTEEEDTITIATSIVSSGYYDNEEGDPDVLVYTGQGGGNADKEKQTSDQKLERGNLALEKSLVRNSPVRVIRGLKEASPSVKIYLYDGLYEVKESWVEKGKLGHNTFKYKLVRAPGQPPAFSTWIGIQKWKGGLTSREGLILADLSSGVESIGVSLVNEVDDENGPGYFTYSTKVTYSESFKLTQPSTGCCDCSSSCKPGSLNCRCVRRNGGDYPYCGNGVLVSRKGMVYECSSSCPCLVCKLKVTQMGVKVKLEVFKTVDRGWGLRSWDPIRAGSFICIYAGEAKEKEQTMENDDYAFDTTRVYTAFKWNYERGLADEVDSEEVSEEPEMPLPLVISGKDVGNVARFMNHSCSPNVFWQPVSYEDNGQLFLHVAFFAVSHIPPMTELTYDYGVTRPSEAHNDNTLHGMKKCFCGSEYCRGSF >A01g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11456693:11457497:1 gene:A01g503720.1_BraROA transcript:A01g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNVSGFIEISSLSFTINSLIQALPRRLVLIIYFLTSISTRNLTDSYKTLNNGLVADYKGNAYVTNSAKTSSGKWIMTPSPLSNSQPLLAEADASFHDFGLNGIVYNSEGYLLLVLVVRATHGRCLRSAKKPGRQETGRDTVVVVSQKKLWFVQSQDNIDIKKSEPSSKTLKLLGFRKNMSTRIVNLKRRNKEFLCRE >A10p030340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18352714:18353882:-1 gene:A10p030340.1_BraROA transcript:A10p030340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKLGLKKGPWTPEEDQKLLAYIEEHGHGSWRSLPEKAGLHRCGKSCRLRWTNYLRPDIKRGKFNLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKPKNETPLGLSKNAATLSHMAQWESARLEAEARLARESKLLHYQTKASTHHHHHGFTHKTLLTNWTTKTNQDQQQLESPTSTVSFSDMKEPSTGLSAKMEFTGSSTGQTLMKEHENDWISSTIFEATQMEEGVEEGFTGLLLGGDTLGRSFSADKNERDGENSGGECNNYFEDNKNYLDSIFNFVDPSPSDSQPMF >A08g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6669859:6672612:-1 gene:A08g504090.1_BraROA transcript:A08g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVVENISGRQRCDRYHLQLVKMSSASSAEGHLPPTVSGNEHRSGRKNKEGETRGEKSYHEFTEMTLPKQRREDKKQKQTRASAFPTSEPSSSSFSLLCSLLYRDLSIPVRFAFGSASGGSVRLCLCLSGVAGQNASLLVLESWCVRCWA >A08g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18493927:18494430:-1 gene:A08g509370.1_BraROA transcript:A08g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNLLITFGPHIFRWASDSDSSLIKATLSCLIAISSLRRVKVNLIREKLIKDLTKLLTDPTTASVSVMEKYLKLLESLAFTKEGRSEICGGDGECLKTVVKKLMKVSTAATEHAMKVL >A01p018350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8914780:8915388:1 gene:A01p018350.1_BraROA transcript:A01p018350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSYLRVILLLLCATNLCASSSITRTSTRTITRSRTRTKTRTVSLHHVYQTSRTKCLGCHHDSLQFLFRQNLVRAQRLEAPLIWDRRLQNYAQNWANQRKGDCALRHSFQNGEFSFGENIFSGYGKNWSPADAVVAWASEKRHYNYGSNTCDPGKVCGHYTQMVWKNTRRVGCARVKCNSGAIFMTCNYDPPGNYIGQKPY >A03g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19127936:19131280:-1 gene:A03g505360.1_BraROA transcript:A03g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSRVDLESKGVSWRYGKRNKLEEQMSIHVALETRRDRDTEADLIEREKLLEVFLGRAEAVAELLEEILEELATVKEKNESLEQEVRKLRKVQQNGLSHFVHGSTSESGAKGACQEVRRDVRHGVRQEVLQRAAVSNKPKVVHQRNNMKVRQEVLKHGCATGTRKETERCINNCVRPSKKQHRMCCWFCGKVGHKKVECFAREKSRNMAKKVNKMFIKPKRVEEVLLAKSGLLDEVKEETSEEGCSFVRSDLEVNQEASSLEPGHEVVCGTKGKEIEVRQEVSALGADGEGLMVKETTHEGSLVLNRSWSKGSSTSASDRDAILVIPLQQGLGRMMMFWYLVSISHGGEKHGVVYISLGRKTHLRDRDTDADLIEREKLLEVFLGCAEAVAEVLDGEKT >A03g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13890705:13891746:-1 gene:A03g503960.1_BraROA transcript:A03g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQGAEELESLNHNRVELERLRRIESWLFPPMRYLLPEGFTLHVKYWEAMQSSDDININSTTSVKRRGKGPIVVRKTYCSFLDPSLEEHTASSLSDWFFRYHLQTQFLWLVAVVGGLQQSL >A09g511540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34636752:34638124:1 gene:A09g511540.1_BraROA transcript:A09g511540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLYGLKASPRSMKALAERRGVYHFLDVLSVPWELNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDRIGKLVRSVKWGWGLWHGDYFRDHTVLFICFLMSSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKIEENIEKLMATEFDHAHNNGLDLHWSG >A07g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12521256:12525910:-1 gene:A07g505550.1_BraROA transcript:A07g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVRIVHGVWEKTLTGEWRFDENPALEGETVLIKPEDSYEGLVEMIRIRLTLGVLTPVALTYQLPDWMLLPEGSRTPPITLSADKDVETMLSVREYMTEPVMYVTSGPELVAKYQFLCRTPFKIGENSFLGEGVTEEQHHHAIKELVGGHPIVCSKTMLEMLFNEPQLLIVYRVSLKIEMVYAPSNEAREKFPRLTVDDMIAIVEGEPLSTEEERNNVPNEEVLHGEPMDLEQLQPTIPNFQAPNIQGQLTPLEVEPLSQIPRFPPTWEEEHEEEAYWEEMLDAERHFAVNVPPAPRPTNGVLGLPIGPNLRVTAPPTPTSVLIVDDDEASYTASSDALNDSENNLVLPPPIPNSENVINLSEAVGQGEDSDAIQNGITAPLTQHAIGGPPTANPNNGGPSLDLTLGIGNTGHTAAEATIEIEDSESDVDGDSGNITLAPDDLFEGMVFKNKGHFKQHMALYALRNKFRFKNTRSSPDVLVMNCMSGTCQWRVYATKMKNVENYEGRKAKLHHTCSVDDRVEIVAGNFEQSGGMLATKINSLEYEVRDKEGASFHVNLSTNSCTCNVFQTLLIPCPHAISVAIKAKVRVETLVSEVYSLECLASAYKDDIFPISKINTEQNQQSGAGDLDILPPATKRPPGRPRKSRILSTGEIRMKAPRKKHVCSRCKGSGHNRATCKAAI >A02p024910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12562340:12565198:1 gene:A02p024910.1_BraROA transcript:A02p024910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICATARSSNAAVANNELMDSSNSIMHLVPHPPSYSSSLSKKEVGSFRRQTSAASITVVANGYPVVRRRPSTSSDRSSTKKAVTVGAPTRRVTAMPVVQQQQQPGRLIGTKTEPTSGEWPSWLASVAGEAIKGWVPRCADSYEKLDKIGQGTYSSVYKARDLETGKIVAMKKVRFVNMDPESVRFMAREILILRKLDHPNVMKHEGLVTSRLSSSLYLVFEYMEHDLAGLAATPGIKFSESQIKCYMQQLFRGLEHCHRRGILHRDIKGSNLLINNEGVLKIGDFGLANFYRGNGDVQLTSRVVTLWYRAPELLLGATEYGPAIDLWSAGCILVELFAGKPIMPGRTEVEQMHKIFKLCGSPSEDYWRRATLPLATIFKPSLPYKPVLAETFSHFPASAMTLINKLLAIEPEKRGSAVSSLRSEFFTMEPLPANPSSLPRYPPSKELDAKLRNEEARKLRAEDNKKRGGETVTRGRPKDLKTSQTPEFMDRGQSKVTCISHKFKTDEEGGTGFRIEPPRRGIQQNGYAHAFSMVHPSVANTEWNRGGGSIKRQTNAELKSRISQTGNLSGDSSRRASKRDYSTGNAPRKNRIHYSGPLMPPGGNLEEMLKEHEKQIQQAVRKARVEKSTSNKTRQQQRVTQEEMLGS >A06g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30238811:30240757:-1 gene:A06g510060.1_BraROA transcript:A06g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCL2 [Source:Projected from Arabidopsis thaliana (AT2G20770) UniProtKB/Swiss-Prot;Acc:Q8VZQ6] MTDRFFDNLMPDLSQSVAEEGDSLMNLLAMPYSFLSQNLQRSALGLKETVVMETWGFTGQLVQDFPLYSGTLGAAFLLFRAYQVTANPNDLSLFLQILKACLVMLLLPPLGRYPLFPYLLGMSLLYVAELVYVLSALLPPSVLIRLSSYIANELLYGIVGYLWACLFVNKYVGAETLSSTTINEKSPLMFEWYGQRYWGTAHGLAGIMHVLMDVQLKPDEGEDVKGTLKYLINNRFPSGTTLLAKRIGEEIFLCIGFWGESFWRLVKLQQRWFGTVDCSSGWGSVMGSVAMHMFFSPFTELLDGTSISTGQKPSPAFCLTEATSYSQKEKCTEVIVLIPCLKAYVGKMAYLFLDMVDPSQARFPGYEL >A08g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5624736:5625639:1 gene:A08g502740.1_BraROA transcript:A08g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFGEFGTFGDVLKSRMRPNDLVHKPPGACSGQMQRLSQAAGEIHYSMPDNWKMQRPNDKIKGRWMRVNENLS >A01p054930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30819222:30828249:-1 gene:A01p054930.1_BraROA transcript:A01p054930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 57 [Source:Projected from Arabidopsis thaliana (AT3G09720) UniProtKB/Swiss-Prot;Acc:Q84TG1] MERSANFLFGGTNFNRKKFAPDFAKFKNRKEDDDEDHNKKVSFFEQEEEEEEPEQEKLVSSSSSSKKRKRKSANSEPVEGFDVFKSSKKSRSKGKEVEQDTKDETLENPKKELYRRMEQDSLSRKQYNIHVSGENVPPPLKSFTELSSRYGCKKYILRNLAELGFKEPTPIQRQAIPILLSGRECFACAPTGSGKTFAFICPMLIKLKRHSSDGIRAVILSPARELAAQTAREGKKLIKGSKFNIRLMTKPLVKTADFSKLQCDVLISTPMRLKRAIKAKKIDLSKVEYLVLDESDKLFEQSMLKQIDGVVKACSNPSIIRSLFSATLPDSVEELARSIMHDAVRVIIGRKNTASETVKQKLVFTGTEEGKLLALRQSFAQQLYDELKCEDIRVGVIHSDLPPGERENAVDSFRAGETWVLIATDVIARGMDFKGINCVINYDFPDSASAYIHRIGRSGRAGRSGEAITFYTEEDVPFLRNIANTMTSSGCEVPSWILTLKKKKWKKHRPKRDSISTRPKDKREEEMVKKAKWLKNVKKAFSQDLKKLKHKSVEYQNSEISYPVLVASSRREDAAAIFIQSIFRGHLARRESQGMRRLARLKLLMEGSVVQRQAAHTLKCMQTLTRLQSQIRSRRIRMSEENQARHKQLLHKHAKELGGLKSGGNWNDSNQSKEQIEAGLLNKYEATMRRERALAYAFTHQQNLKGNMRSGNTMFMDPSNPTWGWSWLERWMADKPWESSEKVPSKNEKSSSSEKNRKHSIARSAVSDDEGLASSTARRRNTVPAKPTRGKQKAQSSSGVSAANTATTEENNEKAPIKKRVSTAPKPRKSSAPPNSILKKVAPILAGVAVAAAAYAGKYGIEAWQAFKARPPRPKLRKFYEGGFQAAMTRREAALILGVRESVAAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDMMLGKSESYGSPF >A09g511120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33689463:33693168:1 gene:A09g511120.1_BraROA transcript:A09g511120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTSSQISLLNNVKPFKTTWFVEVKVLHSWSQPSNYAGGDSLQFILADRTGVKIHYTYKRVFFPRFKKLQVGQWRFIENFFVTPSGGKYKPTSHEYKISITKIMNGSLDSRLRIKRAEFNFEFSENPTQFESSIDNQKPKIMMNRDDTKAKEDGSSSVVGDEMALGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDEGEKEKEVGDEGEKEKEVGDEIEPRRNDEEADERAIIPFGRQHETESHADSLLAFEAIPCLRNHFREDVNGASSGLPADVQDAVQTEKWNQGIQSESCQIEGPTNPIGGPSNNAQSGQAHADSVEATGATLGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEEVKILRLSIPGSDNPAVQDDGDGSENSESEEEDGDVGGDKESEEEDGDVGGDKESEEDDGGDNNEPDEEDGSDNNVEDAILDISKNVQREYGDVDMDGDDAEKSRKDDGKEAVPVKKVKVERGDNVRSPIQLRSRAAEEKTAEKRTRGAKQQKAAAEKEASAEKKAAAAAKKKAAAAAKKKAAAEKEAAAENEAAAEKEAAKKKAAAKKKAPAKKKQKKPKTKKVGKKIE >A02p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4530160:4532421:1 gene:A02p010520.1_BraROA transcript:A02p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRNCGLELLVASLTLTFVLINLVEANSDGDALYALRQSLSDPDHVLQSWDQNLVNPCTWFHVSCNQDSRVTRVDLGNSNLSGHLAPELGKLEHLQYLELYKNNIQGTIPSELGNLKSLISLDLYNNNLTGQIPTSLGKLKSLVFLRLNDNRLTGSIPRELTKIPSLKVFDVSNNDLCGTIPIEGPFEHIPLENFENNSRLEGPELLGLASYDTNCN >A05p048250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28284836:28286641:1 gene:A05p048250.1_BraROA transcript:A05p048250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 [Source:Projected from Arabidopsis thaliana (AT3G11220) UniProtKB/Swiss-Prot;Acc:Q9C778] MAAPNVRTSSFSRNISVVSSSPQIPGLKCGPNGTTFISTGIRDLDRILGGGYPLGSLVMVMEDPEAPHHMDLLRTFMSQGLVNNHPLLYASPSKDPRGFLGTLPNPGSSKEDKPTAPDLDQGESLRIAWQYRKYMENQKSSIDDYSNDFDMRKPLERQFLAGRPIDCVSLLDSSDLSVAQDHCATFLSKFPRNSSNITSIGRIAIQSFCSPLCEYSDKESDMLSFIRLLKSMLMVSNAVAIVTFPPSMLSPSSSTRLQHMADTLLSIKAIPDGDKELEKLLTGYKDINGFLNVHKVARINTQVPVILEAKTFSMSLKKRRFLALECLNQAPVDGSSGTSYGTSGSCSGSSKSGALDF >A01p003460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1530822:1534357:1 gene:A01p003460.1_BraROA transcript:A01p003460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAZ2 [Source:Projected from Arabidopsis thaliana (AT4G35280) UniProtKB/TrEMBL;Acc:A0A178UTT4] MVGEVDSNDPQTFVDGGGEVVPVNVRCSNGSKFIVRTSLESTVEFQSSGSSELRRLQADHALHMVRGSAPPASQTITPPSVTRGVASNTGGESLFGFNPLGSGNAMPRGMFGAAGLPNLERAQQQLAQNPNMVRDMMNAPAIQNIMNNPELMRTLIMSNLQMRELVDRNPELGHILNDPSVLRQSLEAAGNPELMREMTRSTDRAMSNIEATPEGFNMLRRMYENVQEPLMNATTNNAGSNLFATTLLGNQGAASNNPMTTNGETGTGNAVPNTNPLPNPWDAAGGQTTTAGRTNSGGDTRAPGLGGLGMLGGDPTVGATPDASQMNQFLQNPAMSQMMQSLLSNPQYMNQIMSLNPQLQSLMDSSPQVREMMQNPEFLRMLSSPETMQQMMSLQQSLLYGNRSTTTVVPPEERYATQLQQLEEMGFFDRAENIRALRATNGNVNAAVERLLGSIGHYHQSPRKKRTRTVASTSSPSPKKTKYTKKPDPNAPKITRPCTECGKTFWSWKALFGHMRCHPERQWRGINPPPNHRVPIRTSSSKHLNQRFSLMSEEDYEVASYLLMLSEAKPLSPSSSGERFECRGCKKVFGSHQALGGHRASHKNVKGCFAITNVTGDDPATVTSNQDHQQGKTVTFSEHHKCNICYRVYSNGQALRGHMRYHCWERERETVLVGALDLNVPVTRQDLSSSDTSGCSLDLRLGL >A06p051520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:27095978:27097870:-1 gene:A06p051520.1_BraROA transcript:A06p051520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g03880, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G03880) UniProtKB/Swiss-Prot;Acc:Q9SI53] MKSLTTKLKLLRPVLTSLCSYSSTDQTLLLNEFTRFCYQRDLPKALRVMDTLQSHGLWADSATYSELIKCCMSHRAVHEGNLVRRHLYFNGHQPMLFLANVLINMYVKFNLLTDAHNVFDEMPLRNVVSWTTMISAYSKSKQQQKALELLVSMLREGVRPNVYTYSSVLRSCEEMSDVRMLHCGIIKEGLESDVFVRSALIDVFAKLGEPEDALSVFDEMVTGDAIVWNSIIGGFAQNSKNDEALKLFKRMKRAGFTAEQATLTSVLRACTGLTLLELGMQAHVHIVKYDQDLILNNALVDMYCKCGSLDDARRVFNGMKERDVITWSTMISGLAQNGYSQEALELFESMKASGTKPNYITIVGVLFACSHAGLLEDGWYYFRSMKKLYGIDPVREHYGCMIDLLGKAGKLDDAVKLLNEMECEPDAVTWRTLLGACRVQGNMVLAEYAAKKVIELDPDDAGTYTVLSNIYANSQKWDSVEEIRTRMRDRGIKKEPGCSWIEVNKNIHAFIIGDESHPLIVEVKEKLKQLIDRMIGIGYVPETNFVLQDLEGEQMEDSLRHHSEKLALTFGLMTLPLGKVIRIRKNLRICGDCHVFFKLASKLENRSVVIRDPIRYHHFEDGKCSCGDYW >A08p015410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10120618:10121208:-1 gene:A08p015410.1_BraROA transcript:A08p015410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 12 [Source:Projected from Arabidopsis thaliana (AT2G30810) UniProtKB/Swiss-Prot;Acc:Q6GKX7] MMKLIVVLTVFSLLFATQFSNADEIESTSEAPAIHMKGGPGSLTPDECPKACDFRCSATSHQKQCLFFCNYCCNKCLCVPSGTYGHKEECPCYNNLKTKDGGPKCP >A03p027910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11668569:11673913:-1 gene:A03p027910.1_BraROA transcript:A03p027910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHVILTSEERNSPPTKMIKHAERRKVGEVAGGAAAECAAVWCCGPCAVVNLVVLAVYRVPAAVCKKACVMEQRRKEELNAWRQTKRQRFMRRRHGLLASAAAESTVHARLKEEDPTAEIVFEENVVNGELNDIVVLEDEMFERFYGAGFWRSPSQRDTSSGSI >A06p045010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24160067:24161195:1 gene:A06p045010.1_BraROA transcript:A06p045010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease DPD1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G26940) UniProtKB/Swiss-Prot;Acc:Q682U6] MCISISQVSRFRTNLFLGSSCCERVHGWFKNNSIPKLLDIRSSSVNSKSRWVRRNVSTTTQGGRSNTKSSVLGGAVPVTRIVDAESTAKIQQQQPFGNLQQRLAENKDLSNLLTVIVSDLETTGLHRVKERIIEIAAQDLAGGENSTFQSLINPGVPILNASIHGIRNDMVCRPEVPRMEEMIPIFLRYVQSRQKPGGYVMIVAHNGKTFDFQFLINEFNRCSYEIPHNWLFFDSLPLARESMKSVDATVKPKASLSALGDYYNLTRDGDAHRALSDVLLLSQVFQRLTIDLKLSLSDLVLRSYTTSDLIAAMAKNKKA >A09p071000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54933465:54937906:1 gene:A09p071000.1_BraROA transcript:A09p071000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMTCTRLTILTVAGILLQIIGLSIFVFGFFPVKPTLSGVSGSESYRHPLCDPAPNTNESEIHREKLRLLYQESSGISSRYDRLILMVIDGLPGEFVLGKDGQPPWKVWKESMPYTQSLLANGDAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQALLDDNLLGQFLRIGWKMVMLGDETWIKLFPGLFMRHDGVSSFFVKDTVQVDKNVSRHLPNELNNDDWNLLILHYLGLDHVGHTGGRNSPLMASKLKEMDDVVRTMHSRAMVDRSHDQGRTLLIVVSDHGMTENGNHGGSSYEETDSLMLFIGLSSNISDYAGATNTLAFQVDLTPTLALLFGVPIPKNNVGVLVPGTLNSLRDFEQLRALELNSWQLLRLMQAQLPSSFFVGFSCKCFLDGICEGFDSDISECSGDKEKQLICLFRNAAVLHGDWKSKKLTESSSAEDFSRALDAYNAFLKTASEWLASKTTEKPVFLLGLGVSAMLLSCVVCATLFLSLFKEVYNEPKDQVCSLKYLLNLEEVFILAVLLILVVSMGSSSMVEEEHYIWHFMVSTFYLLLLFKTVKSFSFSKGLNSLGEYKIGSIFLLLISGRLLRGWHQGGVNWTYFPDISKWLEQAGGSYVKWIQLISTFLVIGLGLFTLFRRGSKRKCVYIQAFVFSICGFLVMLHAWRYQGDIFGTDNGATVTAKVIYLLLSISAVGGALVLPWSMLNKDESFLAIAGDCLYMIGSAYVLCWCLLQLLLQQPINSGPILLLLIQILVVSFLSSKDVQVNEWVEIAALYYMGMAGHFALGNSNTLATIDVAGAFIGISSHSTILSGILMFMITYASPLLFLLSLVMYIGGKLRKHSHLAHSDTDLGQILKLKLGFPCLVPLCINSILLTAYTVVLLLMRNHLFVWSVFSPKYLYVCATTVCTYVGVCIVAVTVAYALSVTTFLRSKTQQLVVDS >A08p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20097243:20101319:1 gene:A08p033430.1_BraROA transcript:A08p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCAR3 [Source:Projected from Arabidopsis thaliana (AT1G29170) UniProtKB/Swiss-Prot;Acc:Q9LP46] MSLSRLGVRNVYGTSQQAEFYGNVDREDPEAILNGVAVSGLIGVLRQLGDLAESAAEIFQGIQEEVMATASRSNQLKMRLQHIEATVPPLEKGVLAQTTHLHFAYTGGVEWHPRIPHEQNHFIHDDLPNSFMDHYEECRDPPRLHMLDKFDINGPGSCLKRYSDPTYFRRASSSLVKGNNKFQNNNMSCKIKKKKSSSRSRDMSRLASMANQNARKTLTSFSFSGQTSSSKSASTSDMEKRSDLQDHHSHSYDCHSTATSSLKTGEKPKGGLVSSSLTPGSCTIASVLSECETEDEHDNLQFTPLQGHAAVGSSCVSWDEKAEIVEEPLGVQTDEASVVDRLDEKVSYGEGTGRVDIENSESGLQQSNGIDEVREIKAGSEIVREPRDSSEHETESEGECFVDALNSIESESENEQGLKTSLEAVSSPCGVTGEMLEKSSNKVEESCRSMDNGYLNATDEMNHQDPLESITISPSPRNDVCTTSNISSGEDKIGFTVVPAPENSLSDSSNPLCHSDHQKSEAKVSGEVEAIKIWTNGGLLGLNPSKPPVLEVPSPDCKAEERTVGSAEAEKDKSHDLVEHVLDTPSLGTQNLTVDQRECHETSSYGVFGGLSQKLFTNSFRRRDSLSHDNRQALPATIPENDEVMEEKSRFLFREEAPIDWFASSPPLQHMKISLNPVDNTLQASRLKLKFSDEDNNSNNTFPSFQLLPEAATSLLDSCSDDDTFCMTSDIDYLSDYHSLSDSEQWEEPNDSHERKEHDSCTHVDNNGEPSSLDTEAENGCVALNFSYLQSPVEPLPPPFPPAQWMVSKLAAETTSDKKITQSLELQDALRFAFEKHTSSSIVNKEEPNTVASAPKPETKVHVKNNVREDKQNANEKETDADDFLQQIRTQHVNLKPVVMTRTLSTAAAATTDPAINIKISAMLEKANSIRQAVASNDGDESDTWSDT >A08p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1764098:1765903:1 gene:A08p003110.1_BraROA transcript:A08p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYKEEQEMEIEALESILADDFKEIHSSESGLNTSNRCFQITVTPQDDDLEESSSIPPVLELLICRAVQLGLVFSHTENYPDEAPLLDVKSIRGIHVGDLTILKEKLEQEASENLGMAMMYTLASSAKDWLSEHYGQDDGDDYAEEEAAKEDEVIVPHGEPVTLETFVAWRERYEAELALERAKLMPESALTAPKEKKLTGRQWFESGKARGTVVTADQESEEEDDEDIDFEDEDFEDDEEDMLEHYLAEKSDARA >A07g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20166273:20172974:-1 gene:A07g507470.1_BraROA transcript:A07g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLCLTNNDEKLDRGARVWRRLGRFLQIWQAPPQGTRVRVYVNADEPLQFERRAGFANGDVIRVTLKYEDLHRHCFTCKRISHEEGTCPELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKDTTTLRERRAPRETRCQVDASWTQEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A02p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13138564:13140493:1 gene:A02p027140.1_BraROA transcript:A02p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCRAEGLKKGAWTHEEDHKLIAYVQLHGEGGWRTLPDKAGLRRCGKSCRLRWANYLRPDIKRGEFSQEEEDSIIRLHAIHGNKWSAIARRLPGRTDNEVKNHWNTHIKKRLIKKGIDPLTHKSLNGKSSDHPETPPDKSSVHQDDDDQKSNKNNALGSLSARFLNRVANRFGKRINQSVLSDIIGSGDPFTSFTTPTTSASECEKSSSSFSKPNSSDLLINENMILDATSLSSSTFSSDTSDPSVYDHIFDDLEDMTAFSSRFLNDVVSHDDEDFLMLDESCLEKTSFMRELTRILQDDKIETTMCSDSRVTPISEVDVSFEGIDNYFG >A03p043750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18308830:18310760:-1 gene:A03p043750.1_BraROA transcript:A03p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEYSSGGVGSLEPSIAAWHFQIAVYFAFGFFFLRLFLDRFVFQRIAVWLLSTGSKPIKMKDAATRAKLIKCKESLWKLLYYGACEVFVLNALYHEPWARDIKLFFNGWPNQELKLPIKLYYMCQCGFYVYGVAALLAWETRRKDFAVMMSHHVITILLIGYSYLTSFFRIGAIILALHDASDVFMETAKIFKYSEKEFGASVCFALFAVSWLLLRLIYFPFWIIRATSIELLDYLDMTSTEGTVMYYSFNTMLLMLLVFHIYWWYLICAMIVRLLKNRGKVGEDIRSVLGIRYNFSLFVCSCQWQIQRMMIRLCEHDKYGNMFPLPQPNHLLADEFVLSVITLLTRVGG >A04p035040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20263464:20265658:1 gene:A04p035040.1_BraROA transcript:A04p035040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLTAKRTVHNVSLSLSRRCISSCTSQTATAAPVDEFLGKLPPFGYTPPAYTGPSADAIINTRKEFLSPSNSMFCLYKNPLNIVDGKMQYLFDESGRRYLDAFAGIAVVNCGHCHPGVIEPVIKQMKRLQHPTVMYLNHAIAEFSEALASKLPGDLKVVFFTNSGTEANELALMMAKLYTGCQDIVSIRNGYHGNAPGTMGATAHSTWKFNVVQTGTHHALNPDPYRGVFGSDGEKYARDVQDLIQYGTSGHIAGFICETIQGVGGIVELAPGYQSAAYDIVKKAGGLFIADEVQSGFARTGDFWGFEAHNVVPDIVTMAKGIGNGFPLGAVVTTPEIAGVLTRRCYFNTFGGNAVAATAGLAVLNVIEKDTLQENASMVGSYLKGRLSQLKEKHEIIGDVRGRGLMLGVELVSDRKLKTPATVLTLHIMNQMKELGVLVGKGGHFGNVFRITPPLCFTKEDADYLVETMDYSMSRM >A01p046010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25937870:25941412:1 gene:A01p046010.1_BraROA transcript:A01p046010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSVAAGSCSKENQLIYKEWFEFADSDGDGRITGNDAIKFFSMSNLPRPELKQFFGVSVNENSCCSFRRSYADADAVLITDADLNADAVPSSMKRTRVWAIADAKRQGYLGFKDFIVAMQLVSLAQTGHEISHDVLHSDVDFKNMNPPVMEGLGVLMAKKKHSSSKSNDLSVNGSPSADASLTAHWFSSKSSKKISLSSVTSIVDGLKRLYIQKLKPLEVAYRFNDFVSPLLTNSDFDAKPMVMLLGAHIGPEPTTDRFVVVMSGPDERSIPGNTVAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHVAFVDTPGVLSGEKQRTQRAYDFTGVTSWFASKCDLILLLFDPHKLDVSDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFSDKPINEAATGPIGRELFEKEQDDLLADLKDIPKKACDRRINEFVKRARAAKIHACIISHLKKEMPAIMGKAKAQQKLIDNLEDVFGKVQREHHLPKGDFPNVEQFREVLSGYNIDKFEKLKPKMLQTVDDMLGYDIPELLKNFRNPYD >A05g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6578448:6579993:-1 gene:A05g501970.1_BraROA transcript:A05g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSRNTVGRGDAPRRLGCNWGGALVVLEAEKVRILGEVFRPVEAMDESGAGDRSTATKLPEKLKGEDVKKDETRVNFEG >A09g516240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48008209:48008809:1 gene:A09g516240.1_BraROA transcript:A09g516240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKTVFVEITFWNGAGRKFLRDIQEGSTEAAEVGWQKSLVDIIFCRFLIEFVFFIEKCTS >A09g514620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43653119:43659497:-1 gene:A09g514620.1_BraROA transcript:A09g514620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTNIVRVLLQNKSNIIKASVRKDSPRYVYQCTEVTSPTFEASDNDNSTRYLFWKENSGPSFAPFGKPYSFSVSSILQELVYQNLKPQILTSIKILTFYTESSNPKTTGKHHIFPEQNGMQQRSRQQSPDRHYREYTSKATGEGRADTFHERVDRHGNIFGARVATKQTRVPPPPKALENIRDDHHSWRGKGMEAETETQIQSYNSPPYTKRRDLGKERNRLKKVPFPQRGLSEWRVKPTEAAPSSDHRVSPGRMSLQNPVLQNTQGKAPQEANKEQTEAQILNELNEATLLYLSCPDPTEAAAMRQRVLAGDAMGQTQERGSSSDQRKETTQHLQIPSTSSKEQVMRELQETTKQYLSCVDPVEAAARRQRVLAGDAEGLMEKTAEAILARSAEQRRPLSPWERGIKSVSPPGIDFDVAMQPSDIEDTPLPAMRRATPHQDVDSLLERQVQSPNDGINSGRLKSIIVTPTEVRSEEQEEAREVVEIADDKENLLQFQSKKKKRSSNSIILRSPRLYPSILRGASSKKRKLSQIQHSPGNGKKTSGWRELKGSQNVSNNQARSKNKNHCPNDRKKPCNTTNSCNMQWKQEKIECYLPLLTKEIQLIQPSLSKAEDSFVWQPLPSGVYTTRSVVAFKRAVCLPPTGITCTILPWVCWSLWNARNQLIFEDKTLSSIETASKGMRLARKWITAQHPSILSPKLIPRTTKRTNPRMEDSSSSICRTDAAWNIQSKRAGLAWIFSDTTGSWIN >A09p049110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43537334:43538059:-1 gene:A09p049110.1_BraROA transcript:A09p049110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQRSEISSTEAVLLGALAPGVNAPTWNALRFAFLLLGLCLTFMLSIAFTSGQSMLLFHVGFLIVIASSLFVLLNWFLAQTGLVPVETQMQELNLAPTTDKSN >A03p062070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26964390:26966260:-1 gene:A03p062070.1_BraROA transcript:A03p062070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLISPIPSSASLPPIYSLYHFKTLQSNKTSSTSISGGFRSSWNLRIDSKAGKRQLGLMVSRNGGGGELDDMDEGQIENIGDQDEDDEEWTQAHASSSSSSPERWDVLGLGQAMVDFSGVVDDAFLEKLDLEKGTRKLINHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGCPSITDRPLNVAMAGSIADDPLGSFYRTKLRRANVNFLSAPIMDGTTGTVIVLTTPDAQRTMLAYQGTSSVVNYDSCLASLISKTNVFVVEGYLFELPDTIRTITKACEEAHRNGALVAVTASDVSCIERHYDDFWDIVGNYADIIFANSDEARAFCHFSADESPISATRYLSHFVPFVSVTDGINGSYIGAKGEAIYIPPSPCVPVDTCGAGDAYASGILYGILRGVTDLKGMGELAATIAATVVGQQGTRLRVQDAVELARSHDFRLNSVGTDVGS >A10p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4140629:4142556:1 gene:A10p014530.1_BraROA transcript:A10p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVNQSSIDENIAMFLQFGLSEDSDLTVNDDVIAREIQQQYPNNSDLTANDDVIAREIQQQYQNNLTYDDTAIALEIQKQEGNLPTSLSDDEKLARYLQQQDEWNNSYIPERDAPSTSRTIIQYDDDDHFSGHHTHTRTQSNSPSISSLDLSTNENTDPANMTYEELNELEDSMGNVDRGLSQRRISKLPTYKYGAETKTCCWQIRKKKFIATDTQCSICLVDYVMGDKITTLPCKHIYHKDCISQWLKQSK >A02p057960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34840685:34841644:1 gene:A02p057960.1_BraROA transcript:A02p057960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC3 [Source:Projected from Arabidopsis thaliana (AT5G62540) UniProtKB/TrEMBL;Acc:A0A178ULQ4] CSVVCFKAMATPARKRLMWDFKRLQKDPPLGISGAPQDNNIMHWNALIFGPDDSPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVSAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVMEIVEQSYA >A05p007170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2888257:2889829:1 gene:A05p007170.1_BraROA transcript:A05p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNYILVCCQIINKLINYHPVLFNIFSICFALSSSFIFVTIKAPLSASLQHIITTHSSRERTRSSDMEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELASWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDNAWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS >A04g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6621573:6624782:-1 gene:A04g502990.1_BraROA transcript:A04g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPD >A08p029030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18022388:18023803:1 gene:A08p029030.1_BraROA transcript:A08p029030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTKLFISIVSFLLYAHLILSSPVPDPEAIVEEVHKSINASVAGRRKLGYLSCTTGNPIDDCWRCDPHWETNRQRLADCAIGFGKNAIGGRDGRIYVVTDSGNDDPVSPKPGTLRHAVVQDEPLWIIFQRDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGIHIHDCKPGGNAMVRSSPRHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIIGSTAITLSNNYMTHHDKVMLLGHSDTYTRDKNMQITIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPDIRFSKEVTKHEDAPESEWKSWNWRSSGDLLLNGAFFTPSGGATSSSYAKASSLGARPSSLVGPLTVGSGALNCRKGSRC >A09p064900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52117834:52118992:-1 gene:A09p064900.1_BraROA transcript:A09p064900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLCVLKPFVVKFCLKVDFRIDNGDADGMMMTISVENHNEMGESSGQAMIEQDDDENHNEIGESSNQAMPLEQDEKVDPDSIPLAVSDMAEEEVQGGDEPYVGQEFESEAAAHGFYNAYATKVGFVIRVSKLSRSRHDGSPIGRQLVCNKEGYRLPSKRDKVIRQRAETRVGCRAMILIRKENSGKWVITKFAKEHNHPLMPGRVRRGCIYDQYPNEHDKIQELMQQLAAEKKRAATYKRHLEMLFEQIEQHNESLTKRIQHIVDNVRDLEQRDHQQNQV >A09g518430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56049679:56051231:1 gene:A09g518430.1_BraROA transcript:A09g518430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVSPYDTGSSHASDRHWPRVFASRGRKIGLRGFSLWEAISRATRQPENFSIESTSETLGSFLEDLKSIWGFLLRVPNRMDPAEERRETKRQTELINMQGYVADSEYGIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFTCKNYTADGFHYRQPWVIGVQEHIERLTKRLEEVELVINGLPEVNYQIERLERLKPSIGRLITSLDRFITSQCRSRTWRSYASTEKRQSSSENTNQSLNPFI >A05p025640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12506017:12506357:1 gene:A05p025640.1_BraROA transcript:A05p025640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLIKYFNKSSKSLKLMERGVKHVCVHIAVDKLRLFLKNYKFHLFIRIIIFDDEQVNPKSRVSTVLSQQLGFKSDNKRFQVVVSE >A09p047460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41256691:41257326:-1 gene:A09p047460.1_BraROA transcript:A09p047460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g29990 [Source:Projected from Arabidopsis thaliana (AT1G29990) UniProtKB/TrEMBL;Acc:Q2HIK4] MSSSMALRDLQRDLESKANDLAKIQKDIAKDHQLRKKYTIQLGENELVLKELDLLEEEANVYKLIGPVLVKQDLAEANANVRKRIEYISAEL >A04g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8416733:8417338:-1 gene:A04g504010.1_BraROA transcript:A04g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYSDMVPGFVFLLVIGPGQHCTTTDFLVLGSSTNMGYCWLLTEKWASSLPNSAYRPPGVYPSQHLPHHLIGEESSILGGRVCLVPIFSPATGDYYLPIVVFRWWCPISD >A05p024500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:11896441:11897958:1 gene:A05p024500.1_BraROA transcript:A05p024500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGVDTWKTRTRRTVTSKAGSSRSHEESNIQTERSYLQFIKIENKNTLFDPPCSSSVTRKCFDKPLDSKCFNVGAWDELFVRVGRNDKLIWNDNWSVADGTASFFMFPADKRVPSRWSPQGTFFVTAADHNMVIIWGSRYCTDFGQLLRFCHHQVEEFDISPGEKYLVTYSKPKPSDPNGLWLKIFDVRTGTAIVGLNNVDVADSPQWPLIIRWAGGKDDEFFAILSKNKTVSVYETKKFNLLGNAPLLLDDVIDISWSPTQAVLAILLKASGKQPVEVVLLQFPNNVKLAEKDLTGDCKMHWQSNGDYLAVNTCGGFEFFRIKEEGIPTDSLKVDKKILAFAWEPSGHRFAVIYGDEPNLSVSFYSMKTPGKVTELTTLSNRQADALFWSPKGNHIVLAGLKEGNLEFFDVDQLAQISPVANVVANQVAWNQSGKYVATVFTSPQEKFDSDCHSEPDDPRESFTIWSSDGKRLFVHQCQFLIIQLDWRTHGGIVDDDMLKKQ >A04p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17911315:17913212:-1 gene:A04p030160.1_BraROA transcript:A04p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHPWKKKSTTLLLLLSLLTIALLLLGLSQNKLVLITTTTSESDHHHRHKPSEVEEDSCLGRYIYIHNLPSRFNIDILQDCERVSRPNDKISMCKYVENFGFGPPISELNGDRVYSPSWYGTNQFMLEVIFHEKMKRYECLTRNSSLASAIFVPYYAGLDFRRNIRRRNVAERDAAGKELFKWLKKQPQWKAVLGQDHFLVTGRISRDFRRNSDNNSGWGTNLMLLPESHNLSFLTIERSPASHNEFAIPYPTYFHPTSAVEILTWQDKIKLATRPVLFSFAGAQRPSRSRNGLVRTQVILQCKSSFKNCRFLDCDVKANSCDNPISLMKLFESSVFCLQPPGDSLTRRSIFDSILAGCIPVFFNLGSAYKQYIWHIPSNYSEYSVYIPVKELRTGGKNKIENILRGIPNEKIVGMRENIIKLVPKIVYTKPNRQKPDEEALEDAFDVAVKGVVERIEGVRRKNQD >A03p016100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6404500:6405530:1 gene:A03p016100.1_BraROA transcript:A03p016100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTFMKFWLTNTTVNKPRRETRISESAVRSSAALEDSEVDLSEGDDSFFELEISLSDFSLHKNKTPEEKQTTFSVSKSKVIPLVETTSKPQSPTTPLNSGRKFRAFSFKKKENNRSLNVMFKTEDQTTTTTSFGKTTTTATSQLKPDTIFSEDSVSSVSSSKRFFDLIKPLYNKTTKKQSVNSVSTSPASSPATAREKQRNSKPSGIRRQLGKSRSASATLSPAKRVDESLQVQQDGIQSAILHCKKSFQGSESSMLSRSCSESYSQEKLSTSSSEDSYLFSRLSSESMSEKSFDSLASIKEQREKISH >A02p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23567412:23568214:-1 gene:A02p039510.1_BraROA transcript:A02p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQRFSSVPMTDLKGKGILYEEDDDPIQLEEEEDSQFGSSICHRLPIVHDEYSWIIPFWVEIIGIPLHLWTVKNLKNIGKKLGHVDTIELSAGRLLVEADTRKSLVFNKKVQSPRGDEVTIQFKYEKLFKHCSYCGFLSHEATHCPKKMEEQRLQAKEAGVFSRVHLPFEPNTRQSLLADRTERDRYHYDKCHTS >A06p047140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25081273:25083535:-1 gene:A06p047140.1_BraROA transcript:A06p047140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAVAPVVDPPVVGRAFVMQYYEILHKHPQHLHRFYHEISKVGRVGQDGVMRDFYTLDGIREELDTMSFGNFVSAKITSYHTQESHNGGFVVLVTGWFTLKDALKRKFTQTFFLAPQENGYFVLNDILRFDNGPEALDGDSSITPCPVAAPTGGIHGSEQSACVSVDSVCKEVSKDNASVAKESIVVPVSVNEEVPHTEKNYKEVAGDPMKVSDPDNEHGNVSKKKSYASVVAKDKSGVLAGSSLSPKQTPKDQEHQVTSDDSTEQKVQDQGHQVSSDLSPVEKYDAVFEAVDDTEYGHNQGFEAVAEGTSIYVKHLPGNATIDMLETEFKKFGAIGNGGVQVVSQKGFGYPFGFVEFKDADAAQKAIEASPLMIGGQKAFVEEKRSTARGNRGRGYGNRNVEGSGGNEYGHRDVIGDGGNGYGIRNEGGRGGGGHYGHGNYYNRGRRGGGRSFNRRGGYEYVASNNSY >A07p041550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22406802:22413096:1 gene:A07p041550.1_BraROA transcript:A07p041550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENDENDKKMRRSNPKKLKLITFTGAAGLLGLAVSFAIFAFNSHKQKSKKKGLPGCDTVCVNLSAKEILDLADEIISNSTRVHDAVALVPLNKLSYENVVLPLAELEARQLPLIQCCVIPKMLSPHDNVRKASAEAEVKIDAHLLSCRKREDVYRVIRIYAAKGESISPEAKRYLQCLVRDFEHNGLHLTATKREEVDRLRNEIDELSLRYVQNLNEDSSCLFFNEAELAGLPLEFLQSLDKTQNNEFKLTLESNHVAAILELCKIAKTRNTVAMAYGKRCGDANIPVLQKLVQSRHRLARLLGYAHFADYALDRRMSKTSTRVIRFLEDISSNLTDLASRELSFLKDLKRKEEGELPFGVEDLLFYIKRVEELQFDLDFGDIRQYFPISLVLPGIFKICQDLFGIKIEEVTEIDVWYYDVRAFAVFDSGSGKLLGYFYLDMFSREGKFNQSCVVALQNNALFSNGACQIPVALLIAQLAKDGGEAVPLGFSEVVNLFHEFGHVVQHICNRASFARFSGLRVDPDFREIPSQLLENWQVLREFHLEVNIRISPSHLWMKSAKHSNVGDIPSLHSSRFKSLFDQKIYSDDDVDFHQLIRSLHPKVMLGLPVVEGTNPASCFPRAVIGSEATCYSRLWSEVYAADIFASQFGDGHPNLYNGLQFRDKVLAPGGGKEAMELLTSFLGREPSTEAYIESRSKYSTIHEIIIIIIPKKPPLVNLSAQMEVSMSLNALTRLPLKNTGRLEEFGLARHSLFTSRTPCLERAQRRKALVVEAKGKKGMAARQYQRTPPPMPKIEDDGNPRFVIFIRMANVYLWYPLSIIAGGTTAKIMVAAKDNLLGKYIYKDTIARNIAAVIYRDEKEIQKTAIKQHRVLRTATEFRYGYKLVENGNMRAALSTSDVIELPTQDQLKTVFDKVKDFFGDAKESFGKISSLNPGTDEETEGSPDEKAKVQG >A07p029370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16466158:16467377:1 gene:A07p029370.1_BraROA transcript:A07p029370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAEPQFHVLAVDDSLFDRKFIERLLQKASCQVTTVDSGYKALEFLGLREGFEINDPDAVSTSPVIHQEVEVNLIITDYCMPGMTGYDLLKKVKESSACKNIPVVIMSSENVPARISRCLEEGAEEFFLKPVRLADLNKLKPLMMKTKLKNQKLEEIEAPSKDESGTVAAVVEPEVKDLTEIGIKILPLQSEVEPKQVHLQVVQQEEQTMSNNKRKSVEEGLSTDRSRPRFEGITTAV >A06p053710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28265052:28270629:-1 gene:A06p053710.1_BraROA transcript:A06p053710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC16B homolog [Source:Projected from Arabidopsis thaliana (AT5G47490) UniProtKB/Swiss-Prot;Acc:Q9FGK8] MASASQYMMEEDQTDDEDFFDKLVDDSYSPSSQAQASSSSSAKHLTFDDGSDSDDVRAFSNLSVANGPDGDGTLKEAADPGNNVAKEGSSEDKEEPSSEVDVVHNDADKLSDDVPLSETAKESSKVVNESGTHGVKEIDWGAFGVDSSVNDGRGFGSYSDFFTEFDGQLQGKAEVDVVAGGNVTNNTSVVASAGFEQHQGEMVQDSTSGQYVDDNSQSWENMYPGWRYDANTGQWYQVDASIISQGSYGNSANNWEGVASTDNSTSGVAGTAWNQASQVVNGYPEHMVFDAQYPGWYYDTIAQEWRSLDSYNQASQTAGAGQAHDQQVQNGHSLTAMYHSNTESSMYNVNDTKQTFKGQEFGIQSQQGSWDQSYYANNQQATNTWQSENGGQAEAAVTSASSPNFGGNQQVNNLYRTGSVAEQFKPNEMGVQGFIPQHMNVASVTQNGPLSFSNDFYNGQQQYVDDVQSFQSNQLFSPSAGRSPDGRPPHALVNFGFGGKLIVVKDDNGSLQNSSFGSQKGTGGSYISLLNLAEVISGSASYSSPGDNSLSYFSCLNQQSLPGPLVGGNVGSKDLHKWLDERIMNCESSVMDFSRGKLLKMLLSLLRISCQYYGKLRSPFGTDTTQKETDSAEAAVAKLFAFAKKDAVQNGYAPFSQCLQQLPPESQLQATASEVQNLLASGRKMEALQCAQEGHLWGPALVIAAQLGQQFYGDTVKQMALRQLVPGSPLRTLCLLVAGQPAEVFSTGSTSDLSFPGSPQQQAQFGSSSMLDNWEENLGVITANRTTDDELVITHLGDCMWKERGEIIAAHICYLIADKNFDQYSDSARLCLVGGDHWKYPRTYASPEAIQRTELYEYSKTLGNSQFILLPFQPYKVIYAHMLAEVGKLSAAQKYCQAVLKCLKTGRSPEADTWKQCVSSLEERIRIHQQGGYTANLAPTKLVGRLLNLFDSTANRVVGGMPPPAPHSTTGSLQAKEYHHQQQEATKLPYSQSVNTMSSLMPPASMESLHESGGTGRRTAVHTRSVSEPDFGRTPIQDLADSPKEKVEGVTKLKSSGSVAGSRFSRFGFGMLKDTVGRVLQARSSSKEAKLGAENQFYYDDKLKRWVEKGVEPPAEEAALPPPPKLGTYKNNSLGYENKSDMNPPSGSWNSSGPTPAENSSGIPPVSQSSNQFSARGRMGVRARYVDTFNQGRGNSQTMFHSSSMPSPKPPIPAKAKFFIPATPASSSNGHATEPAASETRQEENSADEVAASSGAPPQMTMQRFPSMDNIQMNGLGISANGDHHPPPASRRTASWSGNFNTSFTSPTSPSTFKPVLLNGSSIVEELQEVEL >A02g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24151792:24154233:-1 gene:A02g509040.1_BraROA transcript:A02g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWTRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIETTLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A05p054650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31277874:31283635:1 gene:A05p054650.1_BraROA transcript:A05p054650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxylic ester hydrolase [Source:Projected from Arabidopsis thaliana (AT3G02410) UniProtKB/TrEMBL;Acc:A0A178V7M1] MAEGGDDPQRLKKIAAAAYEYDNDSRWVDYWSNILIPPHMASRPEVVEHYKRKFYQRYVDPDLVVEPMASSSSSSQSARPSASTNANEQARSRNSGSVPRTTGPSATSGVSSGRWDQQTIQFSVNAWVFVIAVLAVLPLVPKNLSNRAYRLSFMGTACSSLYSLYSLYGRPSAWNMQGMQVYFQSIMGAKDFIYFIYCLTFVTSHLCLKFALIPILCRALEQLAKFLRRNFARSTIYRKYLEDPCVWVESNTTTLNILSSQAEIAIGFLLIISLLSWQRNIIQTFMYWQLLKLMYQAPVTAGYHQSTWSRIGRTVNPIIQRYAPFLNTPVTAEAKKGRDKRRGETKHEIQYFFTADMQSSSEIHHHERCRPVFPTENIGPADSDKGKVRRRVLRKSSAPQICRQQSFGRDIGHAAAETYLITRLSFDLLGYLGVGYRWITRLLALACYAILLMPGFLQVAYHYFFSPQVRRSIVYGDQPRNRLDLYIPPPTSAGLKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIIVACLDYRNFPQGTISDMVRDVSQGISFVCNNISAFGGDPNRIYLMGQSAGAHISSCALIEQAIKESRGERISWSASQIKAYFGLSGGYNLFNMVEHFHNRGLYRSIFLSIMEGEESFEQYSPGIRLKEPRVRKAASLLTHIVLFHGSEDYSIPCEASKTFAEALQGVEVKTDLIIYNGKTHTDLFLQDPLRGGKDELFDHIVSMIHANDSDGLSKDAVAPPRRRLVPEILLKLAGKVSPF >A05p044530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26771627:26773504:-1 gene:A05p044530.1_BraROA transcript:A05p044530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFQAWEEVQRHGLDLADRLAQSFTGLIQISPPSFPWPHHQKPKPFDLEFPSQHFDAIRDYSFGIINQPINGVAAVLDAGSKIGQAGVDFGSGLNLMVQQFFRRLPVPFLHEDSKLSGKSIRSHRAYVAAERLKETGFSKTDDSVTMSEEEVADSYLRTGGFLGRSKSFMDLQFPNGQLTYVSGEGLTVSTFVPLCGGLLQAQGQYPGDMRFSYSCKNKRGTRITPMVYLPDKSFALEVSQGLAWRRSGLLMKPTLQLSVCPTFGGSNPGVKAEVIHSLRDDLNLIYGYALNAHPSAFASVSFGRSKWNGNNGRTGIVVRADTPLAASIGQPSFSVQLNNSFEF >A01p022850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11257406:11258007:1 gene:A01p022850.1_BraROA transcript:A01p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMSLPKMKISCLHPQVHLSSSSLLAATEALPSLSIRSRTSYLVRCVSARPRRLTSKSIRSLEKAQPLEKAVALGPEGPLMEEFWDNVRRYGLYALTVSTGALSAVFEPIFELLKNPISAVLILIILGGSFYILSQVVSSMNTDIDSISLILCFTCHGGHRYLVLLLQSIN >A06g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15944860:15948540:1 gene:A06g505450.1_BraROA transcript:A06g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSEDPAHLEHTIRKDQHSISIDAAAFTSNDSRTQPSTDTQPSSSTDLHRSTSIDTTPRTSIDHQSRNMVAIVILRHDENGNLYDQDGHLHNATGQKLDTQGNKNILTYPPLSTSKSIDRTNQQSTDRERPISIDPSPPIDRRAPLTYRVWLPSIDSDQINTLRPPPKALANPPKPKTNPSDTTPEPMQVVKATEGRRQRKRMEKIPKHLKREANEKEMDDFTKRALRILVEKPFDEVYFTHRLWMFFRETKETEEDIRIMLDHVREMMKLRITLKKKSDPEKFAIPCVVKGQPQLGNDLGYIPACHCEAEYETEYSESIDIHTASSIDSNESPTTDGHYPTSLDEKQPVDHFTLPDQCYPDFAFQQPNKRGRDDYSIGSWAESGFHESFAVDNVIPSSNEDPTEEYDEDYWKEKAIEISMQDDKYSSHSSNNTSPPSIDRVYSASVDTHPHPTKRSYASIDTTPGTSIDITSAALEKEKGNISIPSRVTNTYIRSFALHITSHDTEAEKMNALTNLSEGTSRRSIQSKNHSSADKRLPSIDTPVSTLIDSHSKPKLSLSTKKNMSMDYDFLTPDEFGIFRDSDGQTRSMDGRMERSRRGSTT >A04p003340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1606257:1607317:1 gene:A04p003340.1_BraROA transcript:A04p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWLLFLLKMVKPTIVILNLTQRVAESAWKREAREARKKSPQDEVARKAASEVVAGATEAHGSSAGVIASGVPEVRKSLSDVRVATEAVALHRSHNRSTRVIWTYCGAVVDASMAPPSSSVLPFHPTRGSLLESIPKEAALEAFRCSSDNQDRRRMKGLNLRAGTSYSSGKDAYAMSFEFPSYTSLYDDGKAYVCCCREVRLDRDLSFLEDTFTKNDSYKRLFCSYELHLGWVNRNWEHYECNIQDARSGC >A10p020350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13810896:13813269:1 gene:A10p020350.1_BraROA transcript:A10p020350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVGARNFAATAVTSFPSWSSSRKNYPVVNRYSFSNLRCGFVKVRASGGGAGTGCVAVREDYADEEDFVKAGGSEILYVQMQQNKDMDEQSKLVDKLPPISTGGEGGGALDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFNDLGLQKCIEHVWRDTLVYLDDDNPITIGRAYGRVSRRLLHEELLRRCVESGVSYLSSKVESITEAPDGLRLVSCEQNTLVPCRLATVASGAASGKLLQYEVGGPRVSVQTAYGLEVEVENSPYDPEQMVFMDYRDYTNEKIRSLEAEYPTFLYAMPMTKTRVFFEETCLASKDVMPFDLLKKKLLLRLETLGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVIANILKHETTTSFTRHINTNISRQAWDTLWPPERKRQRAFFLFGLALIVQLDIQGIRCFFHTFFRLPKWMWRGFLGSTLTSGDLVLFAFYMFIIAPNNLRKGLINHLISDPTGATMIKTYLRV >A07p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18390452:18391273:1 gene:A07p033630.1_BraROA transcript:A07p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLYEKSNAWRWLVRKTRDSRPFFFTFATVCGVIPGIIGYGVMQFTNSTNPELEARLRQSARPETTMMGKVNQERLAEYLGELKQKQDTNDRYVAALKGETLTRKPYQRIQPVPKPNDTATTKPQ >A07p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8903596:8904918:1 gene:A07p011140.1_BraROA transcript:A07p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQWLFKLGKEIGKSESFSSNGTAHEAATTLTSCADRRTRKSSTRGPKHKNQRNCRRIWLWCQKDVADACFYSTLNLRRLGSLKREHLLRAMAKMKAQVGEEKEVPLTRFDPKKAIKALEPEKHEENKKEKVNSEKTKAKTKATLSRMKELIKWAAAAKSDKAAKFFTPKIMELRNGRKLKTMREANEESKRMSSASISLRWESCESCTTSSSSDHISIVSAPANFDSLGPKPPYQCRSRKGNWITTDSEFVVLEL >A03p011830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4736310:4738277:1 gene:A03p011830.1_BraROA transcript:A03p011830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSSSSSSSSSPRGSITSTNTQLVDLFADIALEVDQRAKDVILSKEEEDGPLCFFDVLADYYVNVSERGKDILDLMVQLWSQSFASHIFSLLFHKWLFEVEVENQEILLRYSSALVQGATNVFWIDIQTNTRRFQTLFRYLLEEVALEPIRLKNIPIQAQRELYLLISRFIFFYNSGMDKLDSFLRNFPEFPNAFLVGGAGDFLVIELTDQLQKLKVEPVLLHYLSQMKVLQGMELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDSLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLYSIVRLIFSRGEKPRQS >A08p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22724117:22725419:1 gene:A08p039510.1_BraROA transcript:A08p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGWMLNKQNAKHGDYNRTSTSSASSHHVKQESREEFSDWPHALLAIGTFGSTSTGVSQKESNNVHEEIEEEKKSISHSEQEEEPSSSDDIDDFTPDEAKKLQKELMKILSRTKKRKSDVNRELMKNLPLDRFLNCPSSLDVERRISNALCAVVDSSEESEDMERTINVILGRCKEISIESKKNKMKTEISKTSVSYLFKKIFVCADGFSTPPNPSLRDTLQESRMEKLLKRMLHKKINVQSSSKPTTSTTQRCLQGKKQLSLKSEEKEEETNERRNSSDGHKWVKTDSDCEFSSDSH >A09p009820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5031245:5032201:1 gene:A09p009820.1_BraROA transcript:A09p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSSSMQSSSGGGGDQEEYDSRADQSISALFNNSTTVSSNIAGQTQLDSLIANYFNTGWSTDNPLLSTATMKPTDGYRPPPPPILFTNPLQQDLRVVSDTNTSSPICSVPTDKKNGLATTRNLKKRSRVSRRAPTTVLTTDTSNFRAMVQEFTGNPSNPFSGLSSSPFPRSRFDLFGPSSSSSQPLKPFPHKLIPPSSTSHPYLNPPTNYHQSLLLNMNTQNIANPLRDQSLSLRTSNGVGHVDVGTNFEGLQNIMASSSSMTQPTLNTMHGLDMVPVERSDVYTTPVASGTENNNLGVVRNEGTVDLSWISTSD >A05p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:216441:217146:-1 gene:A05p001390.1_BraROA transcript:A05p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSFFFIPCMLLLSSLVTARADTITDGELLSSMIGVQGLIYCKQGSKLTPLQGAVARVTCETADEYGYEGEDVTVLSQATDAKGYFLATLSPSEVKGLKKKVIKIKECRAFLELSPADTCSYPTEINRGISGAILQTYRLLENKLKMKLFTVGPFVFSPEETQDKSIPDGY >A09p055760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47615099:47616468:-1 gene:A09p055760.1_BraROA transcript:A09p055760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFICLYGKDSASIVIKQPKRSPLFLRMIVLVFAMVCGLYISSVCLKQFSFQTSQLVSTPITTRIHYPKPQTFNRSECGHNPVRFFAILSMQRSGSGWFETLLNSHDNVSSNGEIFSVLGRRRNVSSIVKTLDRVYNLDWFTSASKNECSAAIGFKWMLNQGLIDNHQEIVDYFNRRGVSAIFLFRRNPLRRMVSLLANSYDRYAKLLNGTHKSHVHSPEEADALSRYKPVINSTSLIHDLQETESLAAKALEYFKTTRHMVVFYEDLITKRTRLEQVQEFLNIPVKNLSSRQVKIHRGDLSDHIKNWEDINKTLNGTEYEKFLRADY >A01p013790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6725684:6728041:1 gene:A01p013790.1_BraROA transcript:A01p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVTQIDGRRIPVSFMGGQSFELYGFWSERTGRVCLVGSAQVLSPFGTYKPFDARLMLTYSNESSIYGSLVKGVLESVSNQSDFKTVSILGARNTPLNYEYKLLEQANAECGRNSEESLSVESVLGGLCKVFEGRSHVYRTTDCGINRTCSSGVEYMSLLSLLCDGEKMKVVLSFSNTSGVSRLFSFDPRSALVAEGAWDVDKKRFCGVACRILNFTDSLSNAKVGDCSLRLSLRFPASLSIKRRAPVVGELWSAKTGRIEFSSLNDPLWRFSGLRYEYTESERVSKLCKSRSKSKGKHYPDAQTSDMRFVMSVKYPGGVRSARASPYFVGDRLYRELLVRGQGAGVPGIPMNVNSVTKSFTNITYRIRFLNPVSESRGDIFAEGTYDRDTGELCMVGCQSVKLNSTTTVDCSLAIRFKFSPIDSRSDDRLKGTIESTREKTDPLYVGRMEVVSRSIYVHQAKESVWRMDLEIAMVLISNTLSCLFVGMQLYHMSKHQETLPFISIAMVTLLVLGHMIPLLLNFEEIFKSSRNQESLFFENDRWLEAKEIVVRIVTMIAFLLECRLLQLAWSARKAAENHHHRDSVWKAEKMVCYVCLPLYITGGLIAWLVNRNRTPKRVVYIGKPRAARNLLYRPVTLKRSFQRPSLWKDLKSYGGLMLDAFLLPQILFNGFSNSDAKALAASFYGGHSFVRLLPHAYDLYRSHSYGKILDWSFIYASHKVDYYSTAWDIVILFIGFVFAVLVFLQQRFGGRCFIPKRFVEDLRYEKVVELQETGELHKSNDS >A10g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7851199:7853037:-1 gene:A10g502890.1_BraROA transcript:A10g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPAEGRTTNPHAPSDKNNRKNGLLYVVDENGKKWNTFHRETDPPSESPRATAPATVAQVDSAAGSSQTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESSEIKIPPPKPKSENSWSRNKDRKNQQKNQAKPHQDDQKPKVAEQIPHRDDDGDASADEDPPAARQRIEVIRAQPESSSDEESDFEEALDPLDLRVLLKRKTTSTNDKTLGSSDLRVELNAKRTKHSLSPGSSLATTEGNPIVDLRDQLNARFSDLRAKLDHKKA >SC246g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:9249:16085:1 gene:SC246g500020.1_BraROA transcript:SC246g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMETRVKELRAGGLAHSAGNSWRSAQSGERCVLVRISVDESWTVTGRVDGPGAGRFDQIGLRLRTGSGQASRRMDLRQKYKEKAKEKEKEVAPGDRTPKDQKWTVVREKHHEDRGHGKMCGDWVDYETCEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQLRLNQDTMETRVKELSECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWTSPGRLLGEPMVRVQDGSTKLVLGLGQGVGKLPECELRLSDRFVKGRKGEKPPMGGYGTVMGRFWEEGIENTEKIQERKRDTNLGSADLIQEIILECSWCVWACDQEDDLRQKDKEKAKEKEKEVAPGDRTPKDQKLTVVREKHHEDRGHGKMPRSGWIGESRIGSYRSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSATQSPDDRYAEWREESTGLSQPRNGPTLGRGFRGLGTISDNVLRMPRSNTLFGIGNPELHSIRDMVERSHDRKKLSRRNYHPKILGDRISERDSKNKDIIFLGRCVTAAHTRCPVATLQPSLSRCRSLRSDRTSDPIGRYIATKLEPKLGRYVATEQSFLSVATNRARPSSRSDRVLIPLGRYIATVLEPKLGRYVATERSSRIATELEPKLSRYVATEFEPKLGRYIATGLEPKFGRCIAIELFRTSIQHQSMHSRQTFKCNLPKTVASSVHAFRYSKSSIRLCELKTAESLFFIERTRSKCVKSEDGPKGPKTRLEAHPTIS >A04g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1562227:1568862:-1 gene:A04g500500.1_BraROA transcript:A04g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKYTKSLGELSRAFHRTPFGEIDKKSTITQEHKNQNPRDELLKRVLVYFSIDQKIKDNLPLVATKDRPRLVAPTSRSRLWERPRWVAARGRSESDFLSPGTKMASDFSLSLWTGRSKLRERPRWVAARGRSESELCVSGRENTSDFVQSLQRVALDRERPCRSERSLQGHLRLFGVMRTRATSWRRFRKVALRSGTQRLRDVAPGVAPTGRSGSGATLVGRSERSFQGHLRLFGVMRTRATSWRRFRKVALRSGTQRLRDVAPGGRSHARFVQWSPFHLF >A02p048860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30346042:30349935:1 gene:A02p048860.1_BraROA transcript:A02p048860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFEELRAETKLKLEETIVITMKNLDDEFLKLPLLRKSPLPSPTQALALDTRRLHFLSLRRKPIPFVKSPVVSGASSGSGQYFVDLRIGQPPQSLHLIADTGSDLVWVKCSACRNCSLHSPATVFFPRHSSTFSPTHCYDPLCRLVPEPVRAPKCNHTRIHSTCHYEYAYADGSLTSGLFATETTTLKTSSGREAYLKSVAFGCGFRISGQSVSGASFNGAHGVMGLGRGPISFASQLGRRFGNKFSYCLMDYTLSPPPTSYLIIGDGDGGGGVRSKLLFTPLLTNPFSPTFYYIRLKSVSVNGGKLRIHPSVWEIDGSGNGGTVVDSGTTLAFLADPAYRLVVATVRRRIRLPIAAEMTPGFDLCVNVSGVSKPEKFMPRLKFELAGGAVFVPPPRNYFIETEEHVQCLAIQSVNPKVGFSVMGNLMQQGFVFEFDRDRSRLGFSRRGCALP >A10p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18037701:18041454:-1 gene:A10p029430.1_BraROA transcript:A10p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g16260 [Source:Projected from Arabidopsis thaliana (AT5G16260) UniProtKB/TrEMBL;Acc:Q9LF02] MSGSDKLQAPQSSKDATDVGWYILGGNQESLGPYTFLELCDHFKNGYLQETTLVWAEGRSEWQPLSAVTELMSRISGAEVDHSAGGAPGLMNGYGARTNQEKQSNTASTEDEFEKWQKEIQEAEAEAERLKDDQDRPSSPPEGEDEFTDDDGTRYKWDKALRAWAPQNDPVVSVDPYGLEEMTFAEEDEVIPTINVLDTSVDNEDVSKDDVAGKKEEDNSDQAAEINNNGKRKLPEEETEKKEANKPPEAWFELKVNPHIYVTGLPKDVTMEEDDSGKPRIKLYSDKATGELKGDALITYMKEPSVDLAIQILDGAPLRPADKLLMSVSRAKFEQKGERFITKQTDNKKKKKLKKVEQKLLGWGGRDDAKIAIPGTVVLRHMFSPAEMRADENLCAEVEEDVKEESMKHGPFDSVKVCELHPQGVVLIRFKDRKDAQKCIDAMNGRWYAKRQIHASFDDGSVNHAAIRDFDAEAERLDQFAAELEAE >A09p083700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60197314:60199065:-1 gene:A09p083700.1_BraROA transcript:A09p083700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMPEATVQNILDQDSLKWVFVGGKGGVGKTTCSSILSICLARVRSSVLIISTDPAHNLSDAFQQRFTKSPTLVQGFSNLFAMEVDPTVETDDLAGPEGMDSLFSDLANAIPGIDEAMSFAEMLKLVQTMDYATIVFDTAPTGHTLRLLQFPATLEKGLSKLMSLKSRFAGFVLQMSRMFGMEDEFGEDALLGRLEGLKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDTHNIIINQVLYDDEDVESKLLRARMRMQQKYLDQFYMLYDDFNITKLPLLPEEVTGVEALKAFSDKFLTPYHPTTSRSNVEELERKVHTLRLQLKTAEEELERIKS >A09p057340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48399979:48405549:-1 gene:A09p057340.1_BraROA transcript:A09p057340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDNTFPQGAEEPHRRHHAVEAPEPQPFLKSLQYSVKETLFPDDPFRQFKNQTTSRQVVLGLKYFLPILEWAPRYNFKLFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAVLGSSKDLAVGTVAVGSLLTGAMLSKEVDAEKDPKLYLHLAFTATFFAGVLEASLGIFRLGFIVDFLSHATIVGFMGGAATVVSLQQLKGIFGLKHFTEATDVISVMRSVFSQTHQWRWESGVLGCCFLFFLLSTRYFSTKKPKFFWVAAMAPLTSVILGSLLVYFTHAERHGVQVIGDLKKGLNPLSVSDLVFTSPYMSTALKTGLITGIIALAEGIAVGRSFAMFKNYNIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAIAVMFTLLFLTPFFYYTPLVVLSSIIMVAMLGLIDYQAAIHLWKVDKFDFLVCMSAYFGVVFGSVEIGLVVAVVISIARLLLFVSRPRTAVKGNIPNTMIYRNTDQYPYSRIVPDLLILEIDAPIYFANAGYLRERITRWIDEEEDRIKASGGNSLQYVILDMSAVGNIDTSGISMMEEIKKIMDRRELKLVLANPKGEVVKKLTRSKFIDDNLGKEWMFLTVGEAVEACSFMLHTSKTEPASKEEPWNNVEQVTGNKHFFALSHRLYLFDSSSSFLSQTNRSYIRLKVHHWFNSFLSEMKTGKGKGKAKTTKEALKPVDDRRVGKRKAPAEKPQPSKREKKAKKDPNKPKRAPSAFFVFLEDFRQTFKKENPDVKAVSAVSTSLFG >A09p052920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46094589:46095121:-1 gene:A09p052920.1_BraROA transcript:A09p052920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKPGLRKPAFTKVDQLRPGTSGHNVTVKIVSTKMVLQKGRADGPQARQMRISECVVGDETGIVVFTARNDQVDLLKEGTTVTLRNAKIDMYKGSMRLAVDKWGRVEVTEPASFKVKEDTNMSLIEYELVNAVE >A09p064550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51966972:51968781:-1 gene:A09p064550.1_BraROA transcript:A09p064550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPLSFRRLSSIRRRKKGSSVKDDPNQSSNPSSPPPPSPINAAGSVAAGATGKVKKKAGGARLWMRFDRTGAMEVVECDKSTIIKRSSVPARDLRILGPVFSHSSNILAREKAIVVNLEVIKAIVTAEEVLLLDPLRPEVLPFIDRLKQQFPQRNALEATPNVQTFDPEAGEGLQSELPFEFQVLEMALEVVCSIVDTSVASLETDAWPVLDELTKNVSTENLEYVRSLKSNLTRLLARVQKVRDELEHLLDDNEDMADLYLTRKWNQNQQAEALLEGTVSVAPPHNTSNLYRLTSNRSASMVTSNMDEDDVEDLEMLLEAYFMQLEGMRNKILTVREYIDDTEDYVNIQLDNQRNQLIQLQLILTMASFAITAETLLASLFGMNIPCPLYNINGIFWYFVWSITAFCFVLFMVVLGYARWKKLLGS >A10g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13270313:13270626:-1 gene:A10g505300.1_BraROA transcript:A10g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDSRRSREQLSEGDRQRPSRGAIADLEQKLASAPQPDTKLRRSKKNSAPLAHEEKLQIST >A09g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21773507:21779739:1 gene:A09g507530.1_BraROA transcript:A09g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTEQTAHVGQNNPNSPREPVGRKLRAQQNGKRPVLRTPFGREARFRVLQPMWAKTPEQSTGRVSVLSPRTNVLICVLIDSQGRPVCGDGHTGTHTDSHGRPVCADGHTRTSYSPRGPKSPEQSTGRAREPSPTTRVLICVLMESHGRPVCAAGHTQTHTDSHERPVCADGQPWTATDVLYSPRGPKSPKQSTGRASVRSPRTSVLICVLMDSHGRSVCADGHTRTATDVLCVLADTHGRYVCTEQTAHVGQNHSNSPREGPAC >A05p002540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:865649:866023:-1 gene:A05p002540.1_BraROA transcript:A05p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTQLHGRSYNSQNTVLADGRAHKIWDCESPLYDSYELASFAHIIERKLLPFSPAHVRPPRLSLQAVMAKDKDNNNAYASSKTTTSSSQRRKSWWNRKKNYEIKKEIKKIMLPGCIFRTTLTN >A01p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14779843:14781259:-1 gene:A01p038820.1_BraROA transcript:A01p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G59840) UniProtKB/Swiss-Prot;Acc:Q6NQK9] MMMICLSLLTAKFSSVTSLPALVSAMEAARFINQIQIPKNPNRRSSSRPARFIRASSSQEPKSYRGPKPSKNLVADFVSRNDDSVRSLPIYVGGASLLAVLFNRAVSGIAPVADASSSQSRADLLALGLAVTNLLTGLVWLSIRPKTITPVEPQGVDCKVLEPNLPPSILSELLWAWESLKVATCCKSLVIVYNGICLLQIGMAAKSPDVNQAVLVNTAKLMTGSVYRGVFKSKAQSYLANLSLYPGRSELPFLPPNTQAVILQPLGDKGIAVIGGNTIRGFTSSDQASMDFFNWGEARCHIGEMLS >A02p005860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2512376:2512891:1 gene:A02p005860.1_BraROA transcript:A02p005860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPSAFHQYVSPPPKHRSSSSSSRKHSFPGTHQHPNIHRLFPCQYCPRKFFTSQALGGHQNAHKRERAAARRNLGVVAHAPSVIDDHDDATFFRSSYPCNYYYPNPPQGSTSVTGSAWNVPDQQTMMVMGGYVDPYPYPYPFAYPFGVSGDIGGVEEEEPELDLSLRL >A09p010430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5339030:5339569:1 gene:A09p010430.1_BraROA transcript:A09p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF010 [Source:Projected from Arabidopsis thaliana (AT5G67190) UniProtKB/Swiss-Prot;Acc:Q9FH94] MDGGGAADVAVAMTTRKRERPYKGIRMRKWGKWVAEIREPNKRTRLWLGSYSTPEAAARAYDTAVFYLRGPTARVNFPEFLTGEKFSEEDMSGDTIRKKATEVGAQVDALGTAVLNNRHRVFGQNGESGDSNKNFHRSYRNGESEEDDKSLKSGGWLLERVDLNKVPDPESSDDDWESK >A05p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19229162:19229973:1 gene:A05p034180.1_BraROA transcript:A05p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVGRSSHRRTQSAIDDKEVLAPNPDVTATTASHGIEVATEFKPVEHPVEPLDNDQPIQCPLPEPSILNDGRIWKERVSASMRRKGDLQIAKDEISAESDGSAPKPPRPSQPNRSILPSLSAPEHNLLNLLEECNAMQAVASKNG >A09p034670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20982252:20983300:1 gene:A09p034670.1_BraROA transcript:A09p034670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRSTIERYKKASDNTSTHSVQEINAAYYQQESAKLRQQIQTIQNSNRHLMGDSLSALSVKELKQVENRLEKAISRIRSKKHELLLAEIENLQKREIELDNESIYLRTKIAEVERFQQHHHQMVSGTEMTAIEVLASRNYFAHSIMTTGSGSGAGHGCSYSDPDKKIHLG >A05g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24099568:24099846:1 gene:A05g508280.1_BraROA transcript:A05g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHQWTMVAEYSAEAILRRVHALQNDDFMPPIEAILAPLEAELKLAIRGWEGSRR >A07p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12046704:12049069:-1 gene:A07p020420.1_BraROA transcript:A07p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSVVERTASMSELWCGEDVTTSRSDLHCGKDDDKLSWCEEGKMMIRNLRPVVFWRQTTRGWVFLPASHFYLGGRPTLTVHQTLRCYRRLLAPRLTFLHGGDGGFSGSIPVSV >A04p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16902921:16904474:-1 gene:A04p028100.1_BraROA transcript:A04p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRDSISSLPDEVLGKVLSLLPTNQAATASVLSKRWMNLLALVDNLAFSDESDPRGFCDFVDKTLVLILTNSSIIKRFSLRCEHRHDSCRADNWIRTVLERSFLELHLDSVYKHVIETKSFRSNTLVKLTLSNEFVLDGHFLPPRGVMLFPKLKTLSLLSVVSKNYEVYEYLISACPVLEELILLVVISAFSPSVKRLTISYPYRCYRKNPDIQMFQTPSLVYLDYSSSFVEVQYHVGFSLVVEARLDLIKERFSTMDDYGGDDSDSDSDSDGGDVLHDVTNLVEGISNVKTLHLSPNSLQAFHFYCKPTPVFHKLLTLSFESDKEKGWQVVPLLLNNSPNLETLVIKGLVHRVTDKCGDACVCIAREKKKKKMKEEEVCCLSTCQVKVLKILGYGGTSGERKQMKHFLGNLKCLETVRVGVQAENQQEENNVNNHYLRITNALTKLPRVSSNCQIHFF >A03p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18896147:18897106:-1 gene:A03p045200.1_BraROA transcript:A03p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTVADAGGVNRALYGSSPPSQKLLPHNLPIFSAFLALALAQFLKVFTNWYKEKRWDSKRMLSSGGMPSSHSATVTALALSIALEEGAGAPAFAIALVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSTVKPLRELLGHTPIQVAAGAILGCVVAYLMRSTS >A09p048970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43416104:43416796:-1 gene:A09p048970.1_BraROA transcript:A09p048970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSDVSQRMKSVHAERHVDVGVSLYMRVRHESRHVGARVSPHMQPEACGPTHSHSYGHFSLACHLYKYPATFHNFHSSHSREREREREKESGQLRVQSSFENRYFTEKASFLQSMIFCDSYAKSLPKSARPSQYIEVLKLDTPPGIPKNCPTTREGSTRVQFSPEQRQGWVLAKSSPINHLLIGIEH >A08p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13209184:13210377:-1 gene:A08p019320.1_BraROA transcript:A08p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTRPDKARCLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAIRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLSLRRYR >A01p054300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30517256:30517772:1 gene:A01p054300.1_BraROA transcript:A01p054300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTNFSPLEQRKSKAKRSCFSNRVPIKTINLKPAKMKLIETWKTRRSRDHQTQESPGDKRHLRHKRTLEAKPRSDEYTGAAGVSSEPKANGITKKKNPPSKT >A09g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22456347:22459577:-1 gene:A09g507870.1_BraROA transcript:A09g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIHEGFQQFHEACSHIHPVERLGLEVSCLAECLRPASARRPLFWIRPMYPLRRSVLGDLVYVRRGTRVLRGPGLVSRFSFGGIRGRPCGAVDIGSLKIPRDRPNRRFNEPFKTSQGEADPKRRFLQFDVQDICDNFEKEMMKALKDVSKIHMKSTSTRAHVAKSSLFISKKAKGKSENHVEEFKTFSDPLTIFDEYEEELIENLMSCEEKLTVLQSEHPSSLVLSPQVFEEEPLDYPHQRPRFDTRKPLDEDLGLIFDEEDEPDPVFDEEATSITSIVMKSHVCFDPGTTHVPLSPDLQEHCKQFDLLHYQPEMFVKISSLGVIRFGLEKVKDFCVSKSVFESMINSFKIFELDELLDQPRFQKDNGINSGVILSFDHFLKHSKDIDHFEKSLEFDLKQTDFCARKSFDSFVFKENGFDLSSSKYALITDDLFASSLSLDDVEKDMHVLKMNNIIAHLDKILVCNVYFDVHLERLKCVLLVLGKEILFFDLNKYMSCTFDPGLVASVFSIQERQVQPLRNERIDRAQQLEIWRSFVVKTSCLGDASDRGSVQNGYPNFRKVFCHESNFLGKPTHQGFTEAWNHLKLFTEEGVINFPNRKFSCPSIREYQTSKGDLGTRKKWPDLEPILHEPKVFTQSTSCPNQKHCKDHGLITSAHHENILNPRISKRKHIFTWLKTVLPKSFPDLFSLSCALKEIWKLGKPKFPRGPGDLLIPHAKQSEHEITTTKYKNPWARELSAKFLVLATLRRLNLIELQFEITKTEICLNRSKC >A01p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3105698:3113317:-1 gene:A01p006060.1_BraROA transcript:A01p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKATAQAFQAEGKISLDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIERDSLDEAAMKRFGDNGGQMLDPNHASMLKSAGASGQPAGQVLHGASSGMSPQVQARNQQLPGSAVDMKSDINTVLTPRTAVPEGSLIGIPGSNQGNNLTLKGWPLTGFDQLRSGLLQPQKPFMQSPQQSFHGLNMLTPQHQQQLMMAQQNLNSQTVNDENRRLKMLLNNRNMSLGKDGLGGGSVGDVLPNVGSSLQPGGSLLPRGDTDMLLKLKMALLQQQQHQQQGGGNLAQPQALNQHSLSNQQTQSANHNIQQQDKLGGGGSISMDGGGMSNSFRGNEQVLKNQTGRKRKQPVSSSGPANSTGTANTTGPSPSSAPSTPSTHTPGDAVSMPNLPHGGGGSSKPVIMFGTEGTTGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHEDGDQRDTVGRCMDVSKGFTFAEVNSVRASTSKVTCCHFSLDGKMLASAGHDKKAVIWHTDTMKPKTTLEEHTAMITDVRFSPNLPRLATSSFDKTVRVWDADNKGYSLRNFIGHSSMITSVDFHPNKDDLICSCDDDGEIRYWSINNGTCSRVYKGGSTQTRFQPRVGKYLAASSANVVSVLDVETQACRHSLQGHTNQINSVCWDASGDFLATVSEDMVKVWTFGTGNEGECVHELSCNGNKFQSCVFHPTYPSLLVVGCYQSLELWNMSQNKTMTLPAHDGLIASLAVSTATGLVASASHDKLVKLWKLTDHKRDTLSPCFDESIRRSLMGNEDSSEEVCSSGDMVTNLKASIRELSGKVREQNQRKCDVRDKLQQLRERINAEGVDVSVQEELIPLLRSLKELEKQESEVRSNCDAKRSALEDAVCDLEERVAKGEIPEEDLDVLLVESLDHLTSAKKELAATLREIVSLKRQIDDVPCQSELLQYGVLPLLLTYFKDDALYERRFSELNVCIQEKLQQTRKLYGTYNALLEIKDLMLKEISLLNSIGSQFQDVIGTPAGRVKLIDSMEGVMKGIQQERYNLVFKKSRDAVMLQKKSIPLQLQSKENVILYYEPSRKNALEMTEAEESSICYKQHHWLETRNVIR >A06p055540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29005073:29006932:1 gene:A06p055540.1_BraROA transcript:A06p055540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRSKSCRASTEPGGGGGRRRKPMSLLCSLHSSCLASTSHDSDDDVDQQVCNGRRRMESLNQGDAQDCYDDEELKDKNELELDDDCGVVVEEEAAVSNVGGSVQESSTPSRVFSHFKFLPGNISSRLSRASSSRSFNTTYPREVSVIQPARVESPRNPVIDNVVRDIDAMRYGEDRSSSRVVAAEDTPVTSASLLNRSASSIRRTLFGVQDQQTTSPLVREGRYQGLLEHRSDFLERRRRIRSQVRALQRLGSRFESVTGHHDRACVLSGLDQAGRCTCRAGTNRGSTTTTTTPTTDETNARASISRIVLLAEALFEVLDEIHQQSVVLSSQQPSVSSIGSVPAPNDVVDLLPIKQYTKSQSEDSSQVCPLCRGDICRHDPSPELH >A03g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17632309:17634428:1 gene:A03g504940.1_BraROA transcript:A03g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWINKSRLSRDYTNGVKKFLDFAFGKIKVDMLKCPCNHCSLSKSKLRQDIEGDLMCFGFLSSYTEWVLHGEDVDTTGKATEILHAPDDSNSTANLLDDLFPRIDMNTDVGSSSSDQPIVERSNITASLDDEYSKVFGPERSGRVRCLGRGPTPSKLLKMSNTPNLAASNSEVVELKSQVSGLQSQVQNLAGMIQQLVGATTIQTNGAVPNLAGVLSNLANQPNFADILSNLVNQQNSEATQNRDHLD >A09g510600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:32681919:32682584:1 gene:A09g510600.1_BraROA transcript:A09g510600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASAYEELIVPKMEFVPHPVHPAENEAWWVAHYGLMTPPKEKSFLVLIHRGVEKVDASRSTEVFLAIMRSFYHIPDAVEFRVPYPEECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLVIQHLIGILILSYEHGLSLYVDHFEALLRLQLVKDTDKHRLVPRNFMSVVKKFTSNFNSWKKFFFFVRTLQENQ >A04p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14254945:14257946:-1 gene:A04p023520.1_BraROA transcript:A04p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 16 [Source:Projected from Arabidopsis thaliana (AT2G23630) TAIR;Acc:AT2G23630] VILINGQFPGPPIEGVTNDNILVNLINKIDEPLLITWYVNGIKQRRMSWQDGVLGTSCPIQPNSNWTYHFQLKDQIGTYTYFASTSMHRASGAFGALNVNQRSVIFVPYHKPDGDFTLLVSDWYKMGHKALRKRLDSGRALPLPDGLLINGASKGLAFTGEHGKFYRFRISNVGISTSINFRIQGHMMTLVEVEGSHTLEEVYESLDVHVGQSLTVLVTLKAPVKDYFIVASTRFTKPVLTTSAILHYKGSKTRPSRPLPIGPTYHIHWSMKQARTIRLNLTANAARPNPQGAFHYGTIPISQTLVLANARTKINGKLRYTVNRVSYVNPTTPLKLADWYNIPGVFDFKTIKNIPTPGPSILGTSVLDFALHEYVEFVFQNNERSIQSWHIDGTNAYVVGYGTGTWNVAMRKRYNYVDAVSRHTFQVYPMSWTSVLASLDNKGMWNVRSQIWSRRYLGQELYVRVWNNERSLYTEAEPPLNALYCGKAKRPV >A02p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15594092:15598370:1 gene:A02p030550.1_BraROA transcript:A02p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKLLLLLKPVDMYPFLETDGVSLIKNHQVLQYLESRCKVHRDAITFCQEILNKKPVEWKPISRNDLSHPIRDVDMVITVGGDGTLLHASHFIDDSVHVLGVNSDPTQAHEVEELSDQFDASRSTGHLCAATVDNFEQVLDDILFGRVVPSKVSRISVKLNSEPLLSHALNDILIAHPCPAAVSKFSFKIKNKDCDTNPKTVNCRSSGLRVCTAAGSTAAMLSAGGFLMPMLSRDLQFMVREPISPGPTLSQMHSAFKPDQSLDVNWYSDHGTIYIDGCQVNYNVQLGDTIEISSDAPVLNVFLSQGFTQIRSRLYNANGRYSEHEYKKIQIQCNVCEAAEAAVLCCADEAALCWACDEKIHAANKLAEKHQRVPLSVSSSSIPKCDICQEASGFFFCLQDRALLCRKCDVAIHTVNPHVSAHQRFLLTGIRVGLEAIDTGPSTKSSSPASNDDKAIETKQFTLATSEPQNMDFDHHQVGLPETKVSDHVSTKLPIASSGSATGQWQLEEIFGLTDFDQSYEYMENNGSSSKADTSRRGDSDSSSMMRSGEEDGEDNNNCLGGETSWAVPQIHSPPTASGLNWPKHFDLQSVFVPDISSSTPYTGSSPNQRVGKRRRRRF >A10g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12353249:12354767:1 gene:A10g505020.1_BraROA transcript:A10g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPGSGFRVNHNYNFSYGPYSASLGVTTTGTRLDFAFYRSEAGHYRVPVLHAASAEATIRLINVLLVWMKIQVPNFTTLHVWSRSRVVPNLVPLLGDPRLSLLIMACGRVPSVGLVPTDSLAFPRMMSLGVVLNVERVSPFIVWGIVRRGSNTPSGFSTSFLPGLIGFSSDLWVLSLLVGLRKLSEEDWYPEWSFTGKMRYLILLLGTPYLAGGFGVPRYLTICGDDTCGPSPSPSWGMMLLWAEPAGAYEFSMDPRP >A07p046840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25230775:25233920:1 gene:A07p046840.1_BraROA transcript:A07p046840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIVDNALAVSKESVKTVTYESLNNIASCINGVSALLLTLLPGKANILEGLHGWELRPTLRGPRLPRWMQNGVSSFNHFIHELSVDSDTSSLDYSSGEEESDGPPPASPSSQCSRLSWASASAKSEGHWSDWITFILWWLILPLRILLWVPQYFLRLFFKRSSRAPASPRRNQHRPRFSKTNSSKYHDVPNRATDRRRGVVEDLHLAIEICIEAIFDFFHMATHLILSPSEAFGIMFSWFSPSSHSSKEKHDHVSDDETLQTATLGDADPSLTERPTRLYNTMNTDTRTCQDVITELGYPYEAIRVVTSDGYVLLLERIPRRDARKAVYLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSRDHVNKNISSKEYWRYSINEHGTEDIPAMIEKIHEIKTSELKLSQPNNTDEEINKEEPYKLCAVCHSLGGAAILMYVITRKIKEKPHRLSRLILLSPAGFHEDSNLGFTLVEYIFLFVSPVLSRLVPAFYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPAVSFRVAQHLAQIKHTGKFRMFDYGSRRGNVEVYGSPEPLDLGESYRFIDVPVDLVAGKKDKVIRPSMVRKHYKVMREGGVDVSFNEFEYAHLDFTFSHREELLAYVMSRLLLVEPTSVEQRQTSQKGMKLKKKKKEGTVV >A02g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15375497:15376299:1 gene:A02g504810.1_BraROA transcript:A02g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREWDLGTRGVTGISVHQYGELEATHKGFKGLESTDLIEITGDLIQKGELGIEGFWCFLGVWSRNLQGMILNQKGEVAALECKEKIYGSVFLRVWMVWMGTRPSSPPASVRSVKRQLVMEKEQNSRRRRVSQTPNLIPPNLRNETLWFLVLAWMWRWFSWSFSYGFKTPEAMSARWFYYRGVTVFGLIKPSTDITCVYGGV >A02p000390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:226377:227456:-1 gene:A02p000390.1_BraROA transcript:A02p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKALAFLLVLSFEIHKTTAAADADADSSFSFNGFAKSPAFEKNIALFRDSKLVNGGGSSIQLTGSVSRSEGRVLYKKPITLFQGTTTTKDRNFSGSFSTSFSFSMMSSSSKEIGSVLAFVMVPSGLDLRLFGRKDNTSSGLGFLLRNEVVAVEFGVSKRGNRVGVLVGRPESARIRNLSSFGGHFDGGKKLSCWIDYEASSKRIEVRLSVSNALKPVDPLVSYSVDLAKIWKGKKFMVGLTSANGNSSKPHYLHSWSFKLRHPSMRIHSQPLDPNAVSKTVKEVEVKKKKTVEVKGKKSKCVWRMLSALVLGAVCGTLGAMLALYLWTMCGNRRSMAVVPEECANEKSVVDEEAKN >A04g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2503259:2504344:-1 gene:A04g500930.1_BraROA transcript:A04g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHKRKQKSNKYGRCSSTVEVEVRLLQFWEARNIKRGGHLMGADMLLLDSKAMLIPATINVNRLPTYRGHLKGTVIRAANPAQTSSSEDSSQELSSPEDSTESQDLPSTPLTSENMLEKAESAAKALAVADSKVFEAKEASQIADTAPKKSLTDVLKDENVELW >A09p032260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19589537:19592424:1 gene:A09p032260.1_BraROA transcript:A09p032260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCLAFCTELEDVISMSFNAVTSLLDKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQLFEKCGNTDVEGVDSTNACYGGTAALLNCVNWVESNSWDGRYGLVICTDSAVYAEGPARPTGGAAAIAMLIGPNAPIVFESKLRGSHMAHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKHMCNKFEKLEGKEFSINDADYFVFHSPYNKLVQKSFGRLLYNDFLRNASSIDEVSKEKFTPYSSLSLDESYQSRDLEKVSQQVAKTFYDAKVQPTTLVPKQVGNMYTASLYAAFASLIHNKHSNLAGKRVVMFSYGSGSTATMFSLRLCENQSPFSLSNIASVMDIGGKLKARHEYAPEKFVETMKLMEHRYGAKEFVTSKEGIIDLLAPGTYYLKEVDSLYRRFYGKKGDDGSIANGH >A08p018510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12753844:12759792:1 gene:A08p018510.1_BraROA transcript:A08p018510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) UniProtKB/Swiss-Prot;Acc:F4JLS1] MDGKGKRCGSKKESKVFDFEDDADDDEPIGSLLKVMKHKTATKSKVETETTGKQMKKLTHVRKDSEDMDDTLASFRKRLKGHKKRVRNDKDVPPVDTVTDSNLIPSPDMADDASELGREGTVNEKSLDDIYNTKVKSSTRSVTLEKEADADDKSKRPLLNVHVYPPVDRKDVSVLSPDHHIHLGEPESESGHFREEKIVMCDCGIQFNFEDRSLESNSEVAICQKCKRSSHRDASNGGGIQVNTLKDGTAEASPVSVTPYEDEDFRGDAVSLPNSGKPSTLQRPERIARKRKHENMVYGEDMNWENEQGFLDCQSDKSFKGSDKCDFGPFISKEIEIGRAAAVAAGLKAQSVSPVEKTILKEVLKRKGSHQEYLRCRNSILGLWSKNVSRILPVTECVVGLSESEFPSAYLIREVYKFLDQRGYINTGVSSVRGKGGPSTNHDDDLSQGKKLEESYMASVANSEGDTFIFGQVKAVESTSEGKNCALQNDKTEIVGCATSAMLGSTSKTCEASIIDDCKHSVSINGLPADADAPKVEECPETVSVPKTALSSTPSSANCNQISGRDCVQCEVEDEKKVIVIGAGPAGLTAARHLQRQGFSVTVLEARSRVGGRVFTDRSSLSVPVDLGASIITGIEADVPSERMPDPSALVCNQLGLELSVLHGFCPLYDTVTGEKVPPELDDALQGEFNSLIDDMDLLVEEIGKDRANKMSLEDGLEYGLQRLRMPHEKVNIERFGIGNSINGSFSRTGITGTFKHDGRLKEDFLNPLERRVMNWHFAHTEYGCAAVLKEVSLSNWNQDEFYGGFGGPHAMIKGGYSRVAESLAEGLDIRLNNVVSEVSYTSDVSAMHNNKHKVIVSTSNGGEYLGDAVLVTVPLGCLKAETIKFSPPLPDWKYSSIKQLGFGVLNKVVLEFSKVFWDDSLDYFGATAEETDQRGECFMFWNVKKTVGAPVLIALVVGKAAVDYKDKSKSEHVNHAMMVLRKLFGGDLVPDPVASVVTDWGADPYSYGAYSYVAIGASGEDYDVLGRPVQNCLFFAGEATCKEHPDTVGGAMMTGVREAVRIIDILRSGNDYTAEIETLEKAQRKSVSIRDEVSDLIKRLEVVELSNVLARHSLLRNMFFSAKTTVGRLHLAKELLNLPGETLKSFAGTKEGLTVLNSWILDSMGKNGTQLLRHCVHILVRVTSDLFAVRLSGIGKTVKEKVCAHTSRDIRAIASQLVNVWLELYRKEKANREMKSLRRTNPTNISRIRRKQNSEDADSKGNLSNGNDTTTDGEIEDNQLPMSEEEKAVFATAEAARVAAEAAAKANVSVLQAFSEAYQSTSLQLPKIPSFHKFARREQYAKMDESDFKKKFPGNVLGRQDCMSEIDSRNCKVRDWYDFPASCLDPDSSRVQVDNCSQRSHSNELGSHSKFRECSRESGAAETSVFTGAWVDTGGSSDGVKDYNAIDRWQSQAAAADPEFFNRALHMKDEEGSMACSTGPPSWKHDQPANEGSMSQVTVNKEPRKSHIRGADRLKQGVVDFVASLLMTPYRAKKIDRDVYKSIMKKTATKVMQQTTDAEKAMAVPQFLDSKRKNKIRDFVDKQVDKYIAMAKVAKP >A05g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12938797:12945626:-1 gene:A05g504660.1_BraROA transcript:A05g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDPLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCGTRRYKSRKGKEATGVSGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGDDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQIMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVADVKGISQLRLNQDMMETRVKELGAGGLAHSAGNSWRSAPSGERCVLVRISVGESGTVTGRADGPGAGRFDQVGLRLGTGSGQASREEKGRNRQWAVMGRLWDGFGKKGCDWLVTVRPGGWGQFKSSSPSHFCHSGRFLLNLTQRETQRKFKRERETQTLDWPI >A01g510330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28246408:28247343:1 gene:A01g510330.1_BraROA transcript:A01g510330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKELRIYNAL >A09p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11080411:11080909:1 gene:A09p020860.1_BraROA transcript:A09p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFDWSLSSSSPIGKNSDDEGGENEAESPYKGPLDMMKSLEEVLPIRRGISKFYKGKSKSFMSLNNTESLSMKDLGRPDNLYSRRRRNLLNHRLCSRGGISKKPLKANFTVVSSCGDDSSSASNLKHQH >A10p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18917297:18919766:-1 gene:A10p031780.1_BraROA transcript:A10p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKFDPANHKACLSVLEDVTTNAKQIQDSVLEAILSRNAKTEYLRGFLKGKFDKQSFKKNLPVITYEDYRSYIDRIANGESFDIICDRPITVLLVSSGTSGGVPKLIPLTAEDMEQRNLHIKGLSEGKSLLFYFLTKESETASRIPVRTMITCVLKSVTPANKFIWYTFAPGELLSATTVSLLERIQISPIEIATCPDTTQSMYCQLLCGLIQRGNVSRLGAPFASSFLKVINFLGDHWSELCSNIKTGHVSPWIKDAQCLSGISKFLSAPNPELASIIEKECSKTSWEAIVRRLWPNAKCIEAVVTGSMSQYIPMLEFYGGGLPVISLFYGSSECLFGLNVNPLCKPCDVSYTTVPSMAYFEFLEVKKDQEAGHDPLVNPVVIDLVDVKVGHDYEPVVTTFSGLYRYRLGDVLRVTGFYNNAPQFHFVGRNKVVLSIDMDKTYEEDLLNAVTNAKLLLEPHDFVLIDFTSRVDFSSYPGHYVLYWELGSKVKDKKIEPERKVLEECCFTVEESLDSVYRKGRKNDKNIGPLEIKVVRRGAFDELMSFFVSRGTSVSQYKTPRSVKNEEALKILEATVVSKSFSRKVPSWELHELHSSR >A07p041880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22579474:22581456:1 gene:A07p041880.1_BraROA transcript:A07p041880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYERSKNTKNINHHRNPPSSSSSDLLPDGNGAAVTQKRKRRPAGTPDPEAEVVALSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETTEEVKKRVYVCPEPTCLHHNPCHALGDLVGIKKHFRRKHSNHKQWICERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDHCTMRRSQPSGHRLQQQQQHTANATQTASVAKNVDLSVGPVLPGHPLLRQAPPSGQQTSDFLYPFVGSCATTGSSIELQLLPSRASADETSLNLSIGMEPTTSSYEKGETSLLLGQREEAKRQIKIAELEFAEAKRIRQHAKAELHKAQLYREEASRRISATMMQITCHNCKKHFQAVAASAPPPILPQPPCTDESTSLAVSYVSSATTEGEKASDRASS >A01p047610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26847756:26848250:1 gene:A01p047610.1_BraROA transcript:A01p047610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLSTGESRYWPIYGSNTTERNSPYPSLLRLLRSHSPTSSHLFGFLALLISGCLLTFLLGVSLTATALGFIAFLPLIIISGPIWLPGFVLVGRCLTVVRFLVGTVAIVSWTYRYFRGMHPAGSDQMDYARGRIYDTASHVKDYARKYGGYFHGRAKDAAPGA >A01g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18132987:18134396:-1 gene:A01g506190.1_BraROA transcript:A01g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTQLVQQPGREHLLYLTPCPKGRRQTWFNRSGNRNSAWINRMMYSNLSKGQLTFTHFPPEDQEMWFRQFAQEFTWNPDHTNFIHDNFVHKVIDNYGKQIYEWKQKWLINKADENDGEPVDDFVLMKTAHTNKHTGEIDDGVVRDVISLIETQKEDEETRLSQLQTDLDATSTASTNLSRIRINEIVESSVPKKKGRLFGLGRRARSVSPSAPQPYVDPEGLMDQLKDKDDRIAALEQKMADQEAGWEATRKQNEQMMEMMYPNEQFP >SC117g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:398881:410823:-1 gene:SC117g500160.1_BraROA transcript:SC117g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWWSSWIKTAWESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEAGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESKLSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQNTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVRPASSEEEQVEPAKLLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQELLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQGKLSNCLIRMLRKLPWITLVRRSTPKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPF >A06p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18813653:18815679:-1 gene:A06p034720.1_BraROA transcript:A06p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRALFCGRIWNHSLFPKRSGNFRASFSTKRVGTHNGTFHCDETLACFMLRLSSRFSGAQIVRTRDHQVLEKLDAALDVGGVYDPESERYDHHQKGFTEVFGYGFNTKLSSAGLIYKHYGLEIIAKELQLDQKHPDVQRLFLAVYKNFIEAVDAIDNGIHQYDTDQPPRYVNNTSLAHRIGRLNLDWIEPDQSSSKEDEAFHRAMELAGSEFLQCVHFHGRSWLPARSIVMECLAERHDVDSSGEIMKLNKQCPWKLHIFELEEEMKIDPPIKYVLYQDDRSENWRIQAVSVSPDKFESRKALPISWRGLEKEKLSEESSIPGCVFVHMSGYIGANRSYEGALAMAKASFIA >A05p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3697905:3698605:-1 gene:A05p008950.1_BraROA transcript:A05p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMKLACLVLACMIVAGPITSNAALSCGTVSGYVAPCIGYLAQGAPALPRACCSGVTSLKNLARTTPDRQQACRCLVGAANAFPTLNAARAAGLPKACGVNIPYKISKTTNCNSKDCINDLKFCLTIYRWIIPVQQCEMSGGRMKLMQTFKYYVMDERILK >A07p001370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:180764:181608:1 gene:A07p001370.1_BraROA transcript:A07p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVQCLDIDGWYLCTLIDINLHLSRHLLVLIDNTDAHRSIVLPLVDLYMVSSGEMSFKLQNTPNECFTLGVSIIGNKDFRQVSGAAGSVTKIGQASMNQNLMVVATKLCSLLFNLYPRVLCESKP >A07g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8142984:8145473:-1 gene:A07g504180.1_BraROA transcript:A07g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPHDESFHLQEIHSRVKELEYRHRNCIDESGESIPCGFEALAQDYALQLETKVKEIAEDYCHVEESEAYLEYLRKELHSAEAESAKVSQEIERLSKAHAEDSTRLESDLEGLLFSLDFLSSQDVDKSKENLPSSSSMEVCDVDYDEKFKMFELENQIEEKKVILKSLEDLDSVRERLDATEQVEDAFTGLRVLKFDENFITLQLRTCIPKLDGLLGQHDLVHTTEPSELIHELLIHLKDKTTEITKVEMLPNDVYIGDIIDAADSLRKVTQTLADKITLCIARHKIFTPVACRQGDRKNYFGQFKKMYGEKLENNQAHV >A01p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8657579:8660921:1 gene:A01p017760.1_BraROA transcript:A01p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINYLSVSVASTALSFVGLQVLTELSLDKLIANGMIAKKSISLADSEHSLDLLLGSYFTIAFLAIFVLNVYILLLVSLKTLFFGDLFSVEAKTLVLRLSNYIIYKGIFLPLVIPPTIFQGVLWTVWLTVLCTLKMFQALARDRLERLNASPSSTPRTYFRAYSVLFLVLSVDFLWIKLSLMTYKTIGSSVYLFLLFEPCSIAFETLQALLIHGFQLLDMWINHLAVKNSDCRKSKFLDSMTAGSLLEWKGLLNRNLGFFLDMPTLVMALCHFLYIWWLHGLAFPVVDAVLLLYVRALLSVILKRIKGYIKLRVALGSLHAALPDATYEELRAYDDECAICREPMAKAKKLHCNHLFHLGCLRSWLDQGLNEVYSCPTCRKPLFAGRTETEVNPRTAEVSSDEQLARQLERQNNPGHPLATGLFPADIPNPIESDPSRELGLDPSWLQTWSGQGVDMAGPSSASRSVGLGRVQMMMRHLASVGESYAQNALDDAAWRLAENKLCCCDSEQSSPDVNDRFCVKNTERLLHFHFNLRRCWLIHWWSGDILWQSDLGFKHEG >A03p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7768033:7770990:1 gene:A03p019130.1_BraROA transcript:A03p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLEHLRPSSSSHSFEGSLSASACLAGDSAAYQRTSLYGDDVVIVAAHRTALCKSKRGNFKDTYPDDLLAPVLRCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPAVKKFEQAQNCLLPMGVTSENVAHRFGVSRQEQDQAAVDSHRKAAAATAAGKFKDEIIPVKTKLVDPKTGDETPITVSVDDGIRASTTLATLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMRRSVATQKGLPVLGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQFVYCRNKLGLDAEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDGVDELRNASKVEAQGFLSKDAR >A08g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11756942:11763876:-1 gene:A08g506800.1_BraROA transcript:A08g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTRTAGAFAVTPHKISVCILLQLYAPSAQMSLPFPFSSISQHNRLGFYLLSLTKSCDDIFEPKLEDLINQLREVGEDMDAWLTDHLTNRFSSLTSPDDLLNFFNDMRGILGSLDSGAAQDDQIILDPNSNLGMFVRRCILAFNLLSFEGVCHLFTSIEVYCREAHSSSAQYDESNDNLESLIQHDQMDMEKYIMDKATEEIELQKNASGRVPFHLHTPEALFKVTEGLLVTRKEKSRTNTKKAEATQLACASSSTVDDTLVDESLFLRTNYQIQGFLMEQADAIETHGSSLSSSSIESFLQKLQNLAPELHRVHFLRYLNKLHSDDYFAALENLLRYFDYSAGTEGFDLVLPSTGCSMYGRYEIALLCLGMMHFRFGHPNLALEVLTEAVRVSQQHSNDTCLAYTLAAMSNLLSEMGIASTTSVLGSSYSPVTSTASLLSVQQRVYILLKESLRRADTLKLRRLVASNHLAMAKFELMHVQRPLLSFGPKASVRHKTCPVSVCKEIRLGAHLLSDFSAESSTMTIDGSLSSVWLKDLQKPWGQPVFSQESGSRKSSTFFQFCDHLVSIPGSVSQIIGASYLLRATSWELYGSAPMARMNTLVYAALFGDSSSSSDAELAYLKLIQHLALYKGYKDAFAALKIAEEKFLTVSKSKILLLKLQLLHEHALHRKPAKLIHLPALCDHLVYCLKFIHSNFVKSISGNLKLAQRMCNELGGLASTTMGVDMELKVEASLREARTLLAAKQYSQAANVAHSLFCTCHKFNLQIEKASVLLLLAEIHKKSGNAVLGLPYALASISFCQSFNLDLLKASATLTLAELWLGLGSNHAKRALDLLHGAFPMILGHGGLELRARAYIFEANCYLSDPSFSVSTDSDTVLDSLRQASEELQALEYHELAAEAFYLMAMVYDKVGQLEEREEAATLFKMHITALENPQDEEPNMA >A05g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11517998:11521200:-1 gene:A05g504170.1_BraROA transcript:A05g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEDLANVELVEDLTEFGLDAVVHSEPEAEVGDIPSERVVSIPSEYTDERNSVGIVIYPGKPNRDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A04g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4411796:4415404:1 gene:A04g501840.1_BraROA transcript:A04g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLILVRDERGDLHDQEGHLRNVAGDDFWQVVKQEKLQEGDFEVETRHSHPPSPVYVKIDRHSDTSVDRHQETVIGRQPPVPIDRRAPLTYRVQMPKRDFAHLNTLRPKPKPSDNPPETIRIPSDDAADPMEVDRVPMERTLRKRKEKVTSCRRINDLGIIAACHCGAKYEIEYSASIKTHTTTSIDSAHQKSTEISYYPSIDTGVDRVREGDYSIGSWADDYHHESYAVETEILEPIADELHEVIRPSIEEKPPSSIDIRPKPKSTGPRWLRKSNRWTCTASIQSRIADILQMANGADNLFMQQRTVPAHQQRFAKEFYDTAGSIDNRFKKKYRHPTQPSIDKILLEENDEYRVYRDDQGCARDVDGHIINVSKEDIRKLMEKASRDEHNYICLLEHASSFTQTKLVPEIYTKDEINEMFYGVFGAQ >A01g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26595868:26596524:-1 gene:A01g509740.1_BraROA transcript:A01g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIPPAVESSWKDVSSGGCGFAMATSESGKLITCGSTDDLGQIYVTSGNHCETPEPFPLPPEVCVQKAEAGWDHCVAVTESHEVYTWGWKECIPTRRVFGQVEGDSCEMNTSFSAEQ >A01p058510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33337182:33338110:-1 gene:A01p058510.1_BraROA transcript:A01p058510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAAPNSGSDLNLGGKRGKSIEECQDMIQRSFRNPIVKFLMEQMEKSGCRVGDNFVKAVVCTGPVAGGFTKGRGITVCSNYLTIQDEVNQVVIHELIHAYDECRAKNLDWTNCAHHACSEIRAGHLSGDCHFKRELLRGFIKLRGHEQECIKRRVLKSLRGNPYCSEVAAKDAMEAVWDTCYNDTKPFDRAP >A03p052590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19995342:19996233:1 gene:A03p052590.1_BraROA transcript:A03p052590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCFPPSGKNITISTLDVELKIPDCNKCEKGMIAAISNFRGVESYTKDTENQKVAVSGSFDLEKLLKKLKKVTGGKGVEVVKEEEKDIPEPEIVEIVKEKDGETEVVQEVKTEENARPEVVFEPNSDEQKEKEKYMLFSDENPNAKCTIS >A02g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9134250:9135526:1 gene:A02g502770.1_BraROA transcript:A02g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRSFYPGARLTQSNEGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A03p056840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24647808:24653871:-1 gene:A03p056840.1_BraROA transcript:A03p056840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGDLAGGAMSDFFLHGGAFEVTRSRPHAFAWVDTWFGTLRKIGSDHRVDEAAMIEDISTDVFNKLNNSAQSSDFDNLVGMSTHMIKLKLLLRLGSNEVRMIGIWGPSGIGKSTIARVVFSHYSRSYFEGRPKQEWEEDLPRLRSILDGEIESILKFSYDALRVDDQDLFLHLACFFNDETLEIVEGCLEKNFVGVKGRLRGLAEKAFISFEGGYTEIHDLLARFGREIVHKQSIREPGQRQFLVDAGDICQVLCNDTLGSRNVIGIDLDFSELDTELKISDGVFERMSNVQFLRVKDRKPYPHSIDPMTCLPRNLKILHWDYFPLTCLPSNFNPEFLVKLILTESNYLEKLWEGNKTIRNLKLMNLTDSENLNELPDLSTATNLQTLDLSGCSSLTELPFSIGNAINLRRLNLSHCSSLVEFPSSMENVTTLEELLLTGCSHLANLPPSIGNLKTLYLENCSSLVALPSSVRNSFNLKTFFSSGCSNLVELPLYIPASYLKKFELRGCSSLRELPSSIGNMTNLEELYLGGCSSLVELPSSIGNMTNLRKLSLNLCSNLTALPININMKSLDELVLTDCSSLKLFPEISTNISVLKLAGTAIEEVPPSIMSWPRLRELVIKGCSKLVSLPQLPDSLEFLDADNCGSLEKLDCSFNKKKFNALRFVNCFKLNQEARDLIINTWTRDFATLPGETVPTYFTYQATGSSLSMNWNGLDTHYFPTFLRFKVCLLLVYKDNVDAGYRRCPEISCCINDKLNGVKPAGYSSYRLGAFSPTLGEHLFIFEIEGTVSAPELVFEFGSNNNKWEITECGIHPQETLAPSC >A05p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4379780:4382328:1 gene:A05p010400.1_BraROA transcript:A05p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKQRPGSLEVPQKKSPTATPRTARKLKTAEADPVSSPNPKLRTPKTQSPKVVADRRSPKAPVNEIQKRRTWGTPEAAASQLSQLQEELKKAKEQLSASEASKNKAQDEANETKQQLTEITASEDSRIDELRKLSQERDKAWQSELEAMQRQHAMDSAALASAMSEVQKLKAQVSESESVRMELKETLSLVEELRVEVYDAKEGEAKAHEVVSATEKQLEIANLTLEMLRSDGMKMSEACNALTTELEQCKSEVKSLEELVRQMEEERGSTNEEDDSEIDAAREEISQLKNAVEMTERRYHEEYIQSTLQIRSAYEQVEVVKCGYAQREAEFEEELKRIKAEREALHERLMDKEAKLRILVDENELLNLKIKEAEEVESDMMELRGNLMDKEMELQSLRSEMEKMGREKEEALERLGSLSEEAEKSGKRAENAREQLGAAQVTNTELEGELRRLKVQCDQWRKAAEAAASMLSGGNNNSNGKYVERTGSLESPLRRNVNMLSPYRDETDDDLSSSPKKKNGSMLKKFGVLLKKSQK >A07p028820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16260186:16262384:1 gene:A07p028820.1_BraROA transcript:A07p028820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWRRKFPLFETGVTMKQRKNSNLSIFVVVFSVFLFGIFMYNEDVKSIAEFPFSSSKPSDVQESQEDAKPITEVTALPVQEPIKNSDPAHDSAGNADPVQDSVKSQKLDHDSVREAELSKTEEEKKIELFAAAEEEDDVELPPEECDLFTGEWVFDNETHPLYKEDQCEFLTAQVTCMRNGRKDSLYQNWRWQPRDCSLPKFKAKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKTGSLSVFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKGVDFLVFNTYIWWMNTFAMKVLRGSFDKGDTEYEEIPRPVAYQRVLRTWGDWVDRNIDPLRTTVFFASMSPLHIKSLDWENPDGIKCALETTPILNMSMPFSVGTDYRLFSVAENVTHSLKVPVYFLNITKLSEYRKDAHTSVHTIRQGKMLTPEQQADPNTYADCIHWCLPGLPDTWNEFLYTRIISRS >A03g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28561674:28561959:1 gene:A03g508200.1_BraROA transcript:A03g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLEASKLWKKKVGSCSRMEENLDRDLRSVSIAAVNEVERESKLFSGTSTTGEIADSVQLSRSCEQNRGSGVLPEN >A09p029130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17413373:17417155:1 gene:A09p029130.1_BraROA transcript:A09p029130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQIMHVQPEVLDIDETNNYLNGQLLKLCAGPLFDTPKVGEKLVTSINDELCQLKPIFDIPSKICCNVFSINLKVENNTNDIYAEVALLPDTSDVELPIPKNENNIQNINYFTKVLSASDTCKTGGFVLYKRHAMECLPLLDMSQLTPSQELIAKDIHGHEWSFKHTLRGTPKRHLFTCGWNEFAKGKKLVAGDSFVFLRGENGESRVGISRAAHQERNIPTSSISKQSMHHGVVATALNTIKNKCMFVVFYKPRSSQFLVNFDKFVDRVNNKFSIGSKFSMKFEGKDLNEIRYNGTIVGVGDFSTHWKDSEWRSLKVQWDGTATIPRPDKVSPWEIEMLTQLSNISKSDYLKNKRQIDVYEFGSKMWSPTLSQGQESGQPSIQSSMRYSFSTMYNEQMAQAMKETSTTTATTSCRLFGVDLVNPARTKDPVEPIDSNKKLKISKIIEDEKIDHVHMEGVIERTVDLTIFDGYNQLIDELERLFDMKGELHMHNKWKMFFIYDDGDMMILGDDPWTKFCYMAKEIFICSKKDIKIGIADNRFSEGDPTLTTTILPPDKNWKCYSQNYFHSFRDLESSSGSASCVKWHQSVLFKSSMPSMDRLRVGVSMFPRIFFFAQATKRTYSTSFRLLIFLLDCPLGREEQIEKECSLCNELHRAYKLFPCLSAHSKEGNKEMS >A01p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5905591:5906206:-1 gene:A01p012100.1_BraROA transcript:A01p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINSETNGSHHRRLTFAAFLNTDLSADEEEQRHQAWDTTTTPTATMSSSPWNHTYYTNTTTTPTVSPSPWNQNYSPYYKSPWIYQTRTIEDDLDNSLIRYDRETRSSYIFLGCFWRSAIHWIRFQNIRVWKDLKDFSGFKSTSGLVNTIVITNINSI >A06g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17907371:17908624:-1 gene:A06g506330.1_BraROA transcript:A06g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEKSSAQRIVIPNRHKEKLVGLLHETGSREVVILCHGFQSNKDNQTMNNVAATLEKEGITAFRFDFSGNGESEGSFYYGNYNHEADDLRSVVQHFSNMDRVVPVVLGHSKGGDVVLLYASKYHDIRNVINLSGRYDLKKGITERLGEDFLETIKQQGFIDVKDGKSGYRVTEESLMERLSTDMHEACLKIDKECRVLTVHGSDDEVIPVEDAKEFAKIIPNHKLTIVEGADHCYTKHQTQLVATITEFIKTVILKNN >A05p019200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9034242:9035835:1 gene:A05p019200.1_BraROA transcript:A05p019200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDREDGYTSRGETNGQSPTIRDALHYVSAVRNTFHDDIGKYETFLEVMKDFRAQRDDHNAVIKRIKVLFNGHNDLILGFNTFLPKEYTITFPLEEEKPKTRVGFQDAFSFVTKIKARFSSDEHAYKRFLDIMEMYRKERKSIIDVYEEVTILFKGHDDLLVEFLNFLPNCAAISDMLPRHSDKVENCDEKLVVFSGGNPTGKSLAKEGQGGYLNVAENGQIQDHQSGQDGGRDTDRADKTASSVSQIIASFQRM >A03p001320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:664203:667210:1 gene:A03p001320.1_BraROA transcript:A03p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:iron regulated 2 [Source:Projected from Arabidopsis thaliana (AT5G03570) TAIR;Acc:AT5G03570] MEETETRVFLSSEQHQEEEEPLLPRSMVISLYLGYFLARWGARTWEFSVALYMIYLWPNSLFLTAMYGAVESGSTAIFGPIVGQMIDGMSYVKVLRLWLVTQNLSFIVAGGSVIALLLVPDLKSQNFPVFSALVVLTNLSGAIGVLSTLAGTILIERDWVVVMSEGHSPDVLTRMNSVIRGIDLSSKLLSPVITGLIISFVSLEASAVTFAAWATITVWIEYWLFISVYNGVPAILQSDERRSLRLSSQTDIASQYYVPLLNDENTQSRSGNMRILERISESPFVGAWRNYLNQDIVLPGVALALLFFTVLSFGTLMTATLEWKGIPTYIIGIGRGMSAGVGLTATVVYPLLLSRLSPLRTGLWSFWSQWTCLLVCVGSIWVQKEKVASYMLMAGVAASRLGLWMFDLAVIQQMQDLVPESDRCVVGGVQNSLQAALDLMANLLGIIVSNPKDFWILTLISFATVSLAGVLYTVHLYRIRKHLFHLEKIPLLNNFFIRNHSTN >A04p007950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8597591:8598071:1 gene:A04p007950.1_BraROA transcript:A04p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIWFVGSEKVKQGGRKGSRLSRRYHVASADLKCELVDLATGDLWEAATREICSTDMVIDHRHIRRLLRFWPMNKVLTQKDEFTEQDANDSSDFLVTILDFVSEKRPTLSECLLHPWISCGPRSIEPSLITTRDKNLKQS >A04p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:20341:23529:-1 gene:A04p036160.1_BraROA transcript:A04p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGVVVIDDDDNDQDVDLIGEEAEERPASLIASTKKKISWESIVIVVMPEDDETDMNKLEEQVRSIQMEGLVWGASKVVSVGYGVKLLRIIGTVPLDEEIYVFDGIVETHIMSFGRVNVATSGLYESLILIQPNEDEADMKKLEETVRSIHVAGLFWGASKLVPVGCGIKLLGIECTTVGHLVHLRRIVTLNTFVKEKIADNPYVKSCQTLCLNRISCKSGLVLKRLLGDKPDIKKLEESVRSLQTEGVVWGASTIVKLGYGFKYLRIIFTIVDDLVCFKTVLQKTGGIHLKRIYFFHHTNLLVETSSLLNFVAEIDFRISGVLQKEKQPVGFEVEST >A06p052640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27817714:27819616:1 gene:A06p052640.1_BraROA transcript:A06p052640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEKRNVDGDWIATLLSLEFFGTCVDHKHLRKNEKNVFCIDCNVEICRHCCNTEAHFVHRRLQICKYVYQDVLRLLDIQHYFDCSEIQTYKINGEKAIHLNSRPQAKDARPSTKSKNAASCVTCKRYIQDRPNRFCSISCKALRKSVSFVSLQKWNKVFWRKNIIIKKEV >A02g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24598794:24600465:-1 gene:A02g509180.1_BraROA transcript:A02g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCALRFDHSSQRKAVPGPDPSCGRGRGTKQEHRRVPRDHAVVLPHSKHGGVTVPRQGESADNPPEGYFTCYEAFVVRCRLWFPIPKIVVRVLERFEVAISQQNPVAIQHLVGILILSYEHGLSLSVDHFDALLRLHLVKDKDKYRLVPRSFMSVVKRFISNFNSWKKFFFFVRIDAASVEESCIPLLRRLPNDRPFINPLAPFPEDTLKFVCPDPVKAGNDSEPDDQSPDAVPAAATGWNSSKGKDIDLGDIEFSMDDYMLPGWDPDLAYSDGSSSSEVPIPDFDDFFAGLPSGFDAPPPTNETGRPKVVAEGSRIINGGLNLLGSAIKASHREAMVNRFKPEKAERDHARVQGEVLEREAQLTRDHARAIRKAERKGKREIFEVMKTRASQFQVEYGSLKDAFTSVGDFRECRGSVGSLWRTQANDYRIQGFWDSIPVSPDTIEVPTGFPDGGEEVDRPADAFSASLSGDFDFGP >A02p022310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10578601:10582364:-1 gene:A02p022310.1_BraROA transcript:A02p022310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKTVFSCLSLLLALILCFSPTHSSNTIGKGYRLISIEESPDGGFIGYLQVKQKNKIYGSDITTLRLYVKHETDNRLRVHITDAKKQRWEVPYNLLPRDQPPPVGKAIGKSRKTPITVQEISGSELTFSYTADPFSFAVKRRSNGQTLFNTSSSSNSGFGEMVFKDQYLEISTSLPKDASLYGLGENSQANGIKLVPNEPYTLYTEDVSAINLNTDLYGSHPVYMDLRNVGGKPYAHAVLLLNSNGMDVFYRGTSLTYKVIGGVFDFYFVAGPSPLDVVDQYTSLIGRPAPMPYWSLGFHQCRWGYRNLSVIEDVVDSYQKAKIPLDVIWNDDDHMDAKKDFTLSPISYPRAKLLNFLDKIHKMGMKYVVINDPGIGVNSSYGVYQRGMANDVFIKYEGKPFLAQVWPGAVHFPDFLNPKTVAWWGEEIRRFHELVPIDGLWIDMNEVSNFCTGLCTIPKGKKCPTGEGPGWICCLDCKNITKTRWDDPPYKINATGVQAPIGFKTIATSALHYNGVREYDAHSIYGFSETVATHKGLLSIEGKRPFILSRSTFVGSGKYAAHWTGDNQGTWQSLQVSISTMLNFGIFGVPMVGADICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDTVANSARNALGMRYKILPYLYTLNYEAHMTGAPIARPLFFSFPEYTECYASSRQFLLGSSLMISPVLEQGKTEVEALFPPGSWYHMFDMTQAVVSKNGKRVTLPAPLNFVNVHLYQNTILPMQQGGLISKEARTTPFDLVITFPAGASEGYATGKLYLDEDELPEMKLGNGQSTYVDFYASVGNGTVKMWSQVKEGKFALSKGWVIEKVSVLGLRGGGQASEVEINGSPVANEGKKIEVSSKEHTYVVGLEEEGENKSVMVEVKGLEILVGKDFNMSWKMGISGAN >A05g502010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6673955:6674233:1 gene:A05g502010.1_BraROA transcript:A05g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLFFGRNVKHTALFVWLPQVSAAAAAAASAAAAASMRNVVVRFADALTLPCPLPHIISRPPGLSASAAGRSVRTLTLPPVPASNETNNS >A10g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3612796:3613873:-1 gene:A10g501250.1_BraROA transcript:A10g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKLSKEPLKIHSWHQKIYGSTYIPICRGQGCVMSFTARFLPTQEENVCAVCDIAVIGVDASGLLALLSVSSPVINSDW >A03p039460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16415031:16415627:-1 gene:A03p039460.1_BraROA transcript:A03p039460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTERAQMILLKEISQHSSKEFGCPSSRLPLRKAPTTGPLSFTARQSLSGSHLLLPEEEIKGSSKQVHVWDVSKQHGPANDSRKRSLDHGNGDVSGASKCET >A09p058320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49162069:49164000:1 gene:A09p058320.1_BraROA transcript:A09p058320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTTKAFLLLSWLHILLCLSCQVYVQNLPHRVEIIREAIKLMDNKISLVNAFQDHLLLETASNVISLGSTKIFNRSFSSFLSKNHVYNKTTKAFPLLLSLIHILLCLSSQVRVIEARIHNTGVRICARPPPPCGDESMGGDQVSGHKDKPCKPIPRPSPPKCS >A05g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9274575:9276706:-1 gene:A05g503110.1_BraROA transcript:A05g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYRSWMDKPHLDPNTNLLTEEYVQGIGEFMRLVQQQPDAKSGFSRNYKVWYLHGKTGYEYGSTSEPQPVSELQPDISLEESRTDIDYGDLVIKGVVDLVEAEIASQSKPLSDDGDSTGASTNLSLLQINEMVENAVPKKKGGRLVGLARRASSYPASSSQAPYTDPIILEELHDKDERIGALEEQNTTILSENATICSENATILAELASQKKFNAEIMQKLDRLMSSSS >A03p017880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7295153:7295768:1 gene:A03p017880.1_BraROA transcript:A03p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIMTLLIVALSLIGLARSASFYEVGDTNGWTTKMGLDYYKTWSSSKTFYVGDSLIFQYNKDLHNVMEVSFKDYELCNPNSALATYHSEYEPVKLNRTGHYYFICGVPGHCECGQKLEPQTLVCGVG >A01p059470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34172408:34175137:1 gene:A01p059470.1_BraROA transcript:A01p059470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNLLTSALRSQRRLALNQATRASSSISALDSAATHSPPPPATPILMPYDHSAEIVKEKLKRLENPDQRFLKYASPHPILASHNHILSAPETRVTTLPNGLRVATESNLSAKTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTERRTVRALEEEIEDIGGHLNAYTSREQTTYYAKVMESDVNQALDVLADILQNSKFEEQRINRERDVILREMQEVEGQTDEVVLDHLHATAFQYTPLGRTILGPAQNVKSITREDLQNYIKTHYTASRMVIAAAGAVKHEEVVEQVKKLFNKLSSDPTSTTQLVAKEPASFTGSEVRMIDDDLPLAQFAVAFEGASWTDPDSVALMVMQTMLGSWNKNVGGGKHTGSALIQRVAINEIAESIMAFNTNYKDTGLFGVYAVAKADCLDDLSYAIMHEVTKLAFRVSDDDVTRARNQLKSSLLLHMDGTSPIAEDIGRQLLTYGRRIPTAELFARIDAVDASTVKRVANKYVYDKDIAISAIGPIQDLPDYNKFRRRTYFNRY >A09p078220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57945852:57947406:1 gene:A09p078220.1_BraROA transcript:A09p078220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYESATVSDDADRTAFRRAEKNYKLYYEQDSKFSRKKKLPKPVDLSSVLDFNSISQDFNRTGVLPDGIRVAESDSQVFCIENRPGFYFIPNALSLEEQCKWIRESLTSFSQPPNRTNHNAIYGPVADLFDSAKANKVLVQEDANGWKFYDGEEAKQRSCKSVSASVLLRKLRWSTLGLQFDWSKRNYDVSLPHNNIPDALCQLAKKHAAIAMPAGEEFRPEGAIVNYFGLGDTLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSKEDPPHAMYLRSGDVVLMAGEARECFHGVPRIFIDEENADIGALESELSHESGHSFAEYIKTSRININIRQVF >A10p012200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10613030:10613366:1 gene:A10p012200.1_BraROA transcript:A10p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIHVQRVLRVKKNVPLPLQPRRLYIVLLEAVFSFPFCPRSSLSLCENIPFSFDLRVYNWKLHIYPPNLTFIFSCRIKINRHYD >A10p036440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20742276:20744095:-1 gene:A10p036440.1_BraROA transcript:A10p036440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPNFRYVAVANDYRLVIRDTFSFQVVQLFSCLDKISYIEWALDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGVSYARWSPDSRHILTTSEFQLRLTVWSLLNTACVHVQWPKHGSKGVSFNKDGKFAAICTRRDCKDYVNLLSCQSWEIMGSFAVDTLDLADLEWSPDDSSIVVWDSPLEYKVLIYSPDGRCLFKYQAYECGLGVKTVSWSPCGQFLAIGSYDQMLRVLNHLTWKTFAEFLHLSTVRAPCCAAIFKEVDEPLQLDMSELSLDDNFMPSNYDASEGYISVRYEVMDLPVALPFQKPLVDKPNPKQGVGKLFLLQPNTI >A09g516360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48314677:48315696:-1 gene:A09g516360.1_BraROA transcript:A09g516360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVNAKGELHMNIKEQPDEFCLPTKKELEEESSGPPDLPTLQTRIKESVSGHSEMGDLKGSSSPLQITTMVPSPIFLWRFKVVLFLLWALCCCKIGWDSVMRMSIDLRDLFLYEAFLYYNPLLLVTMMVWLWGRRSHYDYEACSIHRKFSPTLNFNDVSPCQAMSH >A01p000440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:159844:160345:1 gene:A01p000440.1_BraROA transcript:A01p000440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCALKPKVLTSAGAPAPEEFETLLLGDQKVDAAKSLRNLFLQAMAEKKTMEDEKTTPEKTPVTTDLKTALSEAKSPPKEIKSPIKETKSPAAEKNVPAGEQKVRNEETVCEEKVMDDVTVKETETEAKPEEVESEAAAP >A04p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1650387:1651592:1 gene:A04p003370.1_BraROA transcript:A04p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSSNSRTLLQQGMVFPLVKLGSLALRTICKPIANRLKKQAGINPGFRQFIVNIAQANHRFTTKLQRQASGRVTDAIIRPLNEERAVQAAADLLGEIFAFTVAGAALVYEVQRNARGEARKEEKRQEELQEFRLRHKNMESEVEAMNQRISMISEALSKQQLAEEEAISKQRALAAQELSKQRKPAEEVSKPRGLAWLYSFVYCGSAPEKGS >A09p051270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45298860:45304721:1 gene:A09p051270.1_BraROA transcript:A09p051270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQIKIPRSLGNPTHCHRQFTVAKNRNVSSSESVGPTSNRPYISLDCTAFFVATNSLLDGQSSLSMTDTTDDIAEEISFQSFEDDCKLLGSLFNDVLQREVGSDFMEKIERTRVLAQVFASLSLFSKLFCDFSSRSGNVLLRSFRKTTSIDCDRFVLKGNGNSALNLRLAGIEDTAELLETQLTSEISNMSLEEALTLARAFSHFLNLMGIAETHHRVRRVRNLPQLSRSCNDVFSNLLQSGVSPDELYDTVCKQGVEIVLTAHPTQINRRTLQYKHIRMAHLLEYNDRPDLGLEDRETVIEDLVREITSLWQTDELRRQKPTPVDEARAGLNIVEQSLWKAVPHYLRRVSSSLKKLTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKEVSLMSRWMAIDLYIREIDSLRFELSMNRCSDRLSRLADEILEKEIAAQESWGTNVGRSQPKFPNQQGLSLPTQLPPRADLPLCAECGESQYPKLEVPVTDYIPLSRQDVQGISSDGYGPNLQIKTGNGHSVNSNGCQQSITPRGSSSSSSQLLLQKKLLADSQIGRTSFQKLLEPTPPKRAGIAPYRIVLGEVKEKLLKTRRLLELLLEGLPCEYDPWDYYETSDQLLEPLLLCYESLHASDSGVLADGRLADLIRRVATFGMVLMKLDLRQEAAKHSEALDAITTYLDMGTYSEWDEEKKLEFLTRELKGKRPLVPPNIEVGPEVKEVLDTFRVAAELGSESLGAYVISMASNASDVLAVELLQKDARLAVTGELGRPCPAGTLRVVPLFETVKDLRGAGSVIRKLLSIEWYREHIEKNHTGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGIPQTAVRQLEIYTTAVLLATLEPPQPPREEKWRSLMEDISNISCQNYRSTVYENPEFLSYFQEATPQAELSFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKDHADDLQAMYKEWPFFQSTIDLIEMVLAKADIPIAKHYDEQLVSESRRGLGSELRKELLTTEKYVLVISGHEKLSENNRSLKKLIESRLPYLNPMNMLQVEILKRLRRDLDNIKLRDALLITINGIAAGMRNTG >A09p064160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51817600:51818460:1 gene:A09p064160.1_BraROA transcript:A09p064160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETYVDAVGVDTTTTETTASSKNKKKLKVMVAIDESKNSFYALEWAVEHLKDVMSAEPETDQEGGLLTLVHVNPSGATASATDSVPELMKKAGVQSTNLFTPALELCRAKMVGDLRTCVKTETMILEGDPKEMICQAVEQNHVDLLVVGRRGLGMIKRAFLGSVSDYCVQHAQSPVLIVRPPKETSTSK >A05p041780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25322922:25326800:1 gene:A05p041780.1_BraROA transcript:A05p041780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAAKKFINDPSDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADVSAEKYDKVAVISGGGSGHEPAHAGYVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPQGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVIVGEDSALPPPRGIAGRRGLAGTVLVHKVAGAAAAAGLSLEKVAAEAKCASEMVGTMGVALSVCTLPGQVTSDRLGQEKMELGLGIHGEPGAAVVDVQPVDVVVSHVLQQILSPETNYVPITRGNRVVLMVNGLGGTPLMELMIAAGKAVPKLQLEFGLAVDRVYTGLFMTSLDMAGFSISIMKADQSILDRLDAPTKAPNWPVGTDGNRPPAKIPVPIPPSRSAKSAESQARPKELSQQGRVLEAAIQAAATVIISLKDSLNEWDGKVGDGDCGSTMHRGATAILEDMRHYYPLNDAAETVNEIGASISRAMGGTSGIIYNLLCKAAYAELKANTESEVTAKYCEIMLLECGSKALKSSIASVSKYGGATAGYRTMLDALIPAAQVLEEKLSAGEDPICAFILSAEAATKGAESTIQMQAQAGRSSYVSAEMLATVPDPGAMAAAGWYSAAARAVKEQYQGSS >A09p080360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58871544:58875449:-1 gene:A09p080360.1_BraROA transcript:A09p080360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLRRLLLSFLVLSFGISSFVQAQDQQGFINLDCGLQANESPYTEPTTKLIFTSDADFIKTGKSGRIQNVPGLEYIKPYTVLRYFPDGVRNCYTLSVVQDTNYLIVAMFTYGNYDNLDTPPKFDLYLGPNIWTTVDLQRKVNGTREELIHILRSTSLQVCLVKTGTTTPVISALELRPLRNDIYIPQSGSLKNRFRVYLTDSRDIVRYPLDVHDRLWSPFFMSEWKLLRTSLSVNTSDDAYDIPEDVLVTAATPANVSLPLTISWNVETPSDLFYAYLHGAEIQSLRDNDTREFNITAGPNVSYGPVSPEELLVNTLSNTSPVKCDGGACHLQLIRTLNSTLPPLLNAIEAFVVVEFPQSETNTDDVVAIKSIETSYGLSRISWQGDPCVPQQFLWDGLTCEYTNISTPPRILSLDLSSSEITGIIVPEIQNLTQLQKLDLSNNNLTGGVPEFLAKMKSLLVINLSGNNLSGSVPQALLDKVKKGLTLNIQGNPNLCSSSSCNKKKKRTMLPVIASLASLGVIISVITLLFVCMKRGPPIGKGFSPSQPSIETKKRRYTYTEVLVMTNNMERVLGKGGFGMVYHGYTNGNEEVAVKVLSPSSAQGYKEFKTEVELLLRVYHTNLVSLVGYCDEKDHLALIYQYMANGDLKQHLSGGSTMSWVDRLNIAIDAALGLEYLHIGCKPLIVHRDVKSSNILLDDQFQAKLADFGLSRSFPVGGETQVSTLVAGTPGYLDHEYYQTNRLSEKSDVYSFGVVLLEIITNKPVIDQTRQKPHISEWVKFMLTRGDINNVMDPKLQGVYDSGSAWKALELAMTCVFPSSLERPNMSHVVHELKECLVSENKRTRDINATSSLDINLSFGSDVNPKAR >A02g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17669523:17670622:1 gene:A02g506230.1_BraROA transcript:A02g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKARKVLAMTGNWSMRILNRSRALSTGVSFAFEFVVQHDIHIDGLGTYLLVAGYMKRSLCGAVALGAKRQSPRFFSPHAFSNQGEGSVYELSTFDVTRSNTNFRFTESPHAIRPTQILIYQGGDANRGDANPCDNMPSSGAVERSNNDSRESSDMNHGGFVVNGDVVVGGGETIDPNNSSVAGRNTREETPVT >A05g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17076226:17078549:-1 gene:A05g505960.1_BraROA transcript:A05g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIVHDDYPWIIPFWVQLIGFPLHLWTDTNLKNIGRRIGHIDTIELTEGRMLIDVDSRRPLKFSRKVEYEGDEVTIEIKYDKLFKHCATCGMLSHEKAHCPYIETGQSSVDHSVVFARMQLPARQNDRDNQGTDRNNHQSSLMRREMYSRNYRAAGARPDLRSRLRESHNNYPRSRENDRRAGSHAERIIRRRDEYKRSDRYGGGRARSGPYDRKDGQSWRAKAKQVNITNVEQNDGEVIVRNNVMVPYEHLSSAEPLASLSIGNDLLHSKEHKENSFGTRKLASTIVTPSRLGSTDNVTVRSWAVDVIEGRNLTFSPLAKEPMDEQIIGALSDMELVEQQGNESMETDVNDDDLLGVELMEMEGDDSWKADRVIDDVTKAKGSNDVKRTVKHKKLGVRRGVPLGSSSRKFEILRRGSPSKRTARSESLVSEKADKSRRYRCRKALSQWRIQNNVNSAKQVEELKEK >A04p008540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8167905:8169326:-1 gene:A04p008540.1_BraROA transcript:A04p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPPPLLFFLIFLSVASAIKLPLSPLSHHTDQLPNNDPYLSLRRLADSSIARAQQLKQPSSIKPDENALTAPSASAAVVKSPLSAKSYGGYSVSLSFGTPSQTIPFVFDTGSSLVWFPCTSRYLCTGCGFSGLDPTRIPRFIPKNSTSSRVIGCQNPKCQLLFGPNVKCSGCDPSTRNCTVGCPPYILQYGLGSTAGILLSEKLDFPDLTVPDFVVGCSILSTRQPAGIAGFGRGPESLPVQMKLKRFSHCLVSRRFDDTDVSTDLDLDTGSGHNSGSKTPGLSYTPFRNNPNVSNAAFLEYYYLNLRRIYVGSKRVKIPYKFLAPGPDGNGGTIVDSGSTFTFMDRPVFELVAEEFAAQMSSYSREKDLEKITGLGPCFNISGKGSVTVPELIFEFKGGAKMELPLSNYFTLVGSVDNVCLTVVSDNTVSSTGGRSGPAIILGSFQQQNYHVEYDLENDRFGFAKKKCIQ >A10g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15054255:15055832:-1 gene:A10g505840.1_BraROA transcript:A10g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPRGLRTDGSRGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPQYGQIGHLAMVPAKAPFRTYAGRSSTLHGQSVRYGEKDEPRLKCSERSDLQAGSAPCTDPWTAVYHPGLTLFSSKKEGYGFISVHTQFHLFRQTLKATHGETYGLAQDLCCRSNGKSSHIMLISKRPFFLAVIYYLAFRIGISINATSNEHGVWSLVTCVYMLRFTTLSQKGISLVTRQSTSFLLSSLALLCSLLL >A08p020600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13908804:13911492:1 gene:A08p020600.1_BraROA transcript:A08p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGVLKPSFSIGKLSSLAPEKILEPSVHSEEEVLEDGEEIDGGVRLMYLSNEGDIEGIKELFDSGIDANYRDIDDRTALHVASCQGLKDVVELLLEWEAEVDPKDRWGSTPLADAIFYKNIDVIKILETHGAKHPMAPMHVEAALEVPEYEINPDELDFTQSREISNGTYCMAMWRGIQVAVKKLDDEVLSDENQVRRFHDELALLQRLRHPNIVQFLGAVTQSNPMMIVTEYLPRGDLRELLKRKGHLKPATAVRYALDIARGMSYLHEIKGDPIIHRDLEPSNILRDDTGHLKVADFAVSKLVTVKEDKPLTFLDTSCRYIAPEVFTSDEYDTKADVFSFALIVQEMIEGRIPFAEKEDSEASEAYACKERPFFKAPSKHYPHGLKSLIEECWLDKPAKRPTFRAIIKRLESILHHMGHKRQWRIKPLTCFQKFEHKKKHNWDVSSPDGSSSGSHL >A02p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8266683:8269330:-1 gene:A02p018120.1_BraROA transcript:A02p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLDAFPFWYMFFNVFVVVLSLVFLKLFLHCWILPVRAQKKLGENGFSGPPPSFPLGNLNDMKKLKPALVMVENSKSSIKINHDIHSIALPHFALWQQQYGKVFVYWLGIEPFVYVADPEFLSVMSKGVLGKSWGKPNVFKKDREPMFGTGLVMVEGDDWTRHRHIITPAFSHVNLKAMKTMMVESTTNMLDRWAIQINSGNPEFDMENEIIGTAGEIIAKTSFGVKGENGTQVLKNLRAMQFALFNSNRYVGVPFSNILAFKQTLRARELGKEIDDLLLSIITERKRSLVEGEDHHDLLGMLLKADKGKFTATELVDECKTFFFAGHETTALALTWTLMLLAIHPEWQETIRDEIRQVIGDSEIEYNKLAGLKKMSWVMNEVLRLYPPAPNAQRQARKNIEVNGRLIPNGTNIWIDVVAMHHDPKLWGDDVNEFKPERFDGDLHGGCKNKMGFMPFGFGGRMCIGRNLTTMEYKIVLSLVLSRFEISVSPGYHHSPKYMLSLRPSYGLPIVVRPL >A08p032040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19448531:19449253:1 gene:A08p032040.1_BraROA transcript:A08p032040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRKKLTNGRVNSYSLSLDLMIEIFKRLPLKTLIRSLCVSKQWASIIRGRYFMKLFLNESLTRPKSVVFVFRKRYDGLSYSEVSLKIAHELVPSSSDAASSLATYHVTVHTLQRTKISPSVHGLICYGPPSELIVYNPCTRRSATLPKVNAGRRAINHYLGYDPINNDYKVLCIIRGMPKLSNRRGLAEEILVLTLGSSTHQDSWKMMNIQDNIIPHHSPLSEELCINGVLYYQAFTG >A03p059920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25919792:25921016:1 gene:A03p059920.1_BraROA transcript:A03p059920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSTPDDSVNRVVLDSIRVKRKTLQNLLEDCQRALELLNLTETGPGGDGIEASGSQEDNNSDSPEREEEFSSSSSDQGDPETDKLYDLIKSRVEGHDFREKIELAQVSLLQDLPEDGSSTWDVVSEDDVWGEGETEDDYVVVREEDIADGIACFMATYLSSLKQTKDISPDQLQKALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCKAISKLV >A01p055120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31332988:31335119:1 gene:A01p055120.1_BraROA transcript:A01p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNDPKNGGGGGGSGFFASLASSISSLGSAMTKSVNGLVGYEGLEVINPEGSTEDAAEEANRGRWKQEDRDGYWKMMQKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLLDMADETEDPYMRMVYASSWAISVYFAFQRTWKPFNPILGETYEMANYNGVNFISEQVSHHPPMSAGHAENEHFTYDCTSKLKTKFLGNSIDVYPVGRTRVTLKRDGVVLDLVPPLTKVHNLIFGRTWVDSPGEMIMTNMTTGDKVVLYFQPCGWFGSGRYEVDGYVYNANEEPKILMTGKWNESMSYQQCDGEGEPLPGTELKEVWKLADAPKDDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEMGDMSKSGYEKSSMEERQRAEKRTREEKGQSFTPKWFDITEEVTPTPWGDLEVYQFNGKYLEHREAADKSEENTDPKSIPFNPWQFQDMST >A08p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16410179:16412188:-1 gene:A08p025450.1_BraROA transcript:A08p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVTTASTKRAAKDQWVAAAMTDDQMVVELLIRLKHAGTTVSENPSTNLPPLQWGIRRRRSRPSRFGGGVLVTLKKDVDSARGSPKTPLSWSDGSGSGGGASASPPSAVAADGLEYISRQASCSTSTVSGSKAFPTNVITSSFSKRLKRKKLKSFSELKYEENLKLKERLDLQKEIASLRATLDEQNVRNQRLKRIKLDLNSGRIKNETPVNMIHNSQRESKCCRVESNKTASFFLPDLNMAPSEDEILHGTS >A01p008270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4017077:4019372:-1 gene:A01p008270.1_BraROA transcript:A01p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSNSKLGEDEAVQICRDRKRFIKQALEHRTSFASAHIAYIHSLRKVSDALREYIEGDEPHEFVTPVKNKVESNLEVSCLMASGTRPVQVEEKPPRSPETYHVETYGADSFLGMNINNSPNRPPPSPHNSQWDFFWNPFSSLDYYGYNYDNRRGMEDEVRRVREEEGIPDLEEDESSPRWFQDHHNIMKATEDCKTDQEGNVSEAETQEQEQGNNAVTRGDAKGEETQGFTVYLTRRPTSMGEVIKDLEDQFEIICNAANEVSGLLEAGRAQYISSNSELSAMKMLNPVALLSSRSSSSSSRFLITSESSSSEFSEESCMLSGSHQSTLDRLYAWEKKLYDEVKSGERVRIAYEKKCLALRNHDVKGDNSSAVDKTRATMRDLHTQMNVSIHSIESISERIETLRDQELLPQLLELLQGLARMWKVMAECHQLQKRTLDEAKRLLAATNLKKRQQSSLPEINTQRLARSALNLVAQLQNWRVCFQAWITSQRLYVLSLTGWLLRCFRCDPDPEKVRLTTCPHRIYEVCIGWSRLLNGLNEKPVVDKLDFFACGMGSIFARQLREEDQSQGRDGARSMELVEADKVEEEEERMMNAEKLAEIAVKVLCHGMCVAVSSLAEFAISSADEHSKLVNHPKEAETVQDIGT >A02p040410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25550176:25552020:1 gene:A02p040410.1_BraROA transcript:A02p040410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLHRCRCFRFWDACNQKKKGDLIGFDMVLLDEKISIAGHRLKTFKNLFREGVLYELESRVRTARDNLRGSKKEFNKTEDDLKSLQSVAQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLISGTRFAFTQNLSINMGDAEEVSQHYRLMSRHTRDCLGVSFRCYAHIPVSGQEYVQLSNCLIETLNKDEVIYSSLKLKPPATHHYSAFQTHTP >A06g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26234102:26235771:-1 gene:A06g509180.1_BraROA transcript:A06g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGRASEWEEFEWSKRAIHVSIRKQTKWWYAKRFLHPEIVAPYEYIFIWDEDLGVEHFVIRKSMYLAVVKKHGLEISQPGLEPYEGLTWEMTKKRDDTEVHKHAEERNGWCSDPNLPPCAAFVEIMAPVFSRKAWRCVWHMIQNDLIHGWGLDFAVRKCVQNAHEKIGVIDAQWIVHQGVPSLGNQGRPEEGKQPWEGGKVQERVDDVPRQIG >A05p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:992907:1000513:-1 gene:A05p002860.1_BraROA transcript:A05p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSKSSRKGKKAWRANISTEDIEDFFEKSTKDALSGGNLSAAPSEDLFHVDKSHDIPVKWKIEKHREKVLRCDSVLKKNPFVQVVSSSKPKSKISKKNTNVVESKTLKQAQKNVDDGSVMTDLWGDDDDDNNGEHEKNPRKIWKMTSTIPAVEVDPAGCSYNPTAESHEDMLAEAVAQEMQKVYKAELGPEPVPLTIDGEANIEDEAGLLSVCNRIINGKSAYFLGVDNGSEGEEEADAENESSEAGNKKLARTTKRVTRVVLNKRSRQKALRKMETKEKLKEKLSKEIDSLPKILKEIAKDDKEKQNKLIRKKIAKEEVLKIRPPRLGKHKYEAPPVQVLLTEEMTGSLRKLKACCTLARDRFKSLEKRGILVPSKNIRRSLYRALDKKKYLLILGKPFGATSDKPICHFPEKCAESALKFLGDLTHIYFVGNAPMAHMAIQPTEETPDLPSYKVNNIALIETKKEKCSNYSYGYTIFFIFCRFLFRCSVVAASKYKISNYEDFMWVTKDELLAFFPEHAEFFNNTIVS >A07g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16127731:16128130:-1 gene:A07g506620.1_BraROA transcript:A07g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCNGEKEQPWEKKKETKKLLLHHLRDLRRNTTEKVKSLASPPCLRLTPDPRQPSSTFYAHGGIKSNSLSFSSSASGFVSLPLAVEK >A06p053810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28299126:28300245:1 gene:A06p053810.1_BraROA transcript:A06p053810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKEDLGLSLSLGLSQDHTPLQLSQNPNSSISNNLHRFPWNQTFASTSDLGKIDVNSLPTTVDCEEEPGVSSPNSTISSTISGGKRSEREGISEHDDITPDRGYSRGTSDEEEDGGETSRKKLRLSKDQSAFLEETFKEHNTLNPKQKLALAKKLNLTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTEENRRLQKEAMELRTLKLSPQFYGQMTPPTTLIMCPSCERVAGPSSNHQHNHRPVPINPWVACAAGQVAHGLNFEALRPRS >A09g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11320321:11323332:1 gene:A09g503610.1_BraROA transcript:A09g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELVSFGIQKLWDLLSHEYEQFHGVEDQVNELKTDLKTLKSFLKDADAKKHTREVVRNCVERINEIVLDAEDTIETLILKDELGKRGSVRRLACIVPERRKISSEIGSLSEKIKKAVRDMNDFGVQRIIDDGKDPQPSQQRLEFAKVNESNLVGMEENVKTLLGYLVERDDVQVVSITGMGGLGKTTLARKAFHDNLVKKKFDRLAWVCVSQICDRIKVWQAILQHFRSKEEQIEIQNMKEITLQGELIGMLETSNSLIVLDDIWKEEDWDMIKPIFPHKPGSKVLLTSRNERVAGPGETYINFKPECLSDQDSWTLFQSIMPRKNASELTPEDEEMEKLGKKMLEHCLGLPLAVKVLGGLLAKKYTIHNWNRLSENIRSHLVGRTSDDNKNSLNHILSLSFEELPGYLKFCFLYLAHFPEDYDIYVVDLSYFWAAEGILRYETGDSIRDVGDNYIEELVRRNMVISEIDKTTGRFVTCRLHDLMRETCLSKAKEENFLHIVGISSPTLHSQSLNTPRRFVSQDLMITSLDVERDINNSKVRSLVVIWNSNSWMSLLVEGIFIRDFLKIWQIDAMEREVKLFWRVSNLGFTRLQLLRVLHLPGAKFKGRRLSNSIGKLVHLRYLSLDGARVSHLPSSLQNLKLLIYLSLDVLGCSHLRTLTFLLGMKELRYLALPRGRRKKRKLELNHLLNLETLINFSTKYCNLEDLRGMARLRTLGIDVTDETSLETLSASIDGLEHLENLVIVYDGVKGTKEWSTLLDFNNLKNLRLMTSIPLLSHELQFPSRLTTLCLSENGLKEDPMPILENLSQLKDVRLWTESFMGRRMVCSRGGFPKLQKLELKGLCEWEEWIVEEGSMPLLHTLSIESCWQLKELPDGMRFITSLEDLSFENMRDELKERLSEGGDDYYKVQHIPSVTLDKRHIR >A03p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4655342:4656391:-1 gene:A03p011630.1_BraROA transcript:A03p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aleurain-like protease [Source:Projected from Arabidopsis thaliana (AT5G60360) UniProtKB/TrEMBL;Acc:A8MQZ1] MTKEEFQRNKIGASQHPFATSKGSHSPTKRALLQTSAPSAKDWREDGVVSRVKNQGSCLEGSWAFSATGAVESAYHIKHGTGIELSEQQPIDCSSSFGSAGCIDGHPYQAFDYMKSKGINNATQYPYLAVQGLCRSNSDSVVNVTGYVAVALQQRDELELMKAVGTIGPVSVSLGVSSTLQHYISGVFTGSDCEVSVRLSYTLLQVPVSVQHHALVVGYGNDGSRDYPTI >A03g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12376697:12377930:-1 gene:A03g503630.1_BraROA transcript:A03g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCFTWEVEATMDFVRHESSILHHVHKNSVNHGFITAARANHWAMHHVYKTTDHPFVIRFIPTTTVSKVPLLTSPQLLELWTKFYVDTTIPAIETFTESSNGKQEEVVEMAFGMCNNQPFIWVVRPGSIIGSDG >A03p013370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5254749:5257375:1 gene:A03p013370.1_BraROA transcript:A03p013370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDAEFDPKVLHQSATGDYTFANVDNLEHCAKYLNQTMVTFGFPASLDLFSNDPVSISRTCNCMYLLLQQRQRDLEFRESANELRQRQQSDIARLEAKVERLDAQLQHKDREIATITRTEAKNTAALKSQIEKLQQERDEFQRMVIGNQQVKAQQIHEMKKKEKDYIKLQERLNQVLMEKKKESKSGMEIMNLLQKEGRQRGTWNGKKTDTDFYKKIVDAYEAKNQELMAENTNLRALLRSMQTDMRDFLNAPNGSANPSLAGNEKREADPSQSPLGGKTDVFDLPFRMARGQIEESLRTKMASIKERMVQLQDAPKGASVTSEATERELELEAQLVEARSIIQEQESIMCKHLPKSEQRKGIHGSIDS >A07p046500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25087677:25090638:-1 gene:A07p046500.1_BraROA transcript:A07p046500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIFFGVSTVLAILYAVQATAIWDQDKAMVQCVAKLTPCRPYVNSEAPPPLWCCHPLRKIVENDATCLCEAFKHPDMLALIHLTQEAALNLISSCGVTYDASSCDAEYYWRWSKARETLLINNNASSTSSSFQSLSLVFIIKSIFFILKRRRPNTKMTDLYVSLFISNYSPTPIQKVVSLVSRTGRDLQRYDTTGYRQVVGCIPYRYKNDGEIEVLLISAQKKGKGMLLPKGGWEIDESIEEAALRETMEEAGVTGHLEETLGKWQYQSKRHSMVHDGFMFPMLVSEQFEQWPESGFRQRKWVCLSEAIDLCQNWWMREALDAFIDRKCL >A10p026580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16838903:16841535:-1 gene:A10p026580.1_BraROA transcript:A10p026580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTLGRKISWPRSFSFRKMFDGRNSGHSSFSSRGDGRHTPEHELAVHAIPSAQRTRRGRQNRTSDMEAMKARFAKLLLGEDMSGGSEGVTSALALSNAITNLADSVFGEQMKLQPMYPETKMIWRKEMNFLLSVVDHIVQFVPSKQMGKNGAFTEIMVTKQRDDLLMNIPALRKLDSVLLETLDNFKDQKDFWYVPRDVEDTSNNGDWRRDENWWVPVVKVPSDGLSEESRKLLQSQKYSVAQVLKAATAINDVVLSEMNIPDHYIDSLPKNGKTILGDFLYKILTDEHFVPDYFLSFLDLSTEHKVLDLKNRIEASMVIWKRKMNQKEKDGRSQWGSSVSLEKRELLEVRAETVLVMLKHQYPGIPQSSLEVSKIKNNKDIGQAILESYSRVLENLASKIMSRIEDVLEADALVQRQLMAEAERRSAESEVESEYEETEKMESAETPNSRKLSELIGWRLSSDTKKHCSMSDIELFHKAEQEKTVVKSPRALEKLMMKSPRALEKPMMKSPRALPKKLSFLAKLENMRSPTERH >A03p033140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14018218:14020149:1 gene:A03p033140.1_BraROA transcript:A03p033140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGSRCNFWLPKKKRSCANTRIESSLFCGNHSQRSDGQWLPCPIDPSHSVLQENLESHVKRCPLLKQNVALSGQVFYQKGINAGNEEEDEKIGSCYSVCTSEMKRNLVYSMSVSKFHQLVKKIEEVHGGICNDIEDSHLSPEACNIWFNKEIDRKLPFQEKHVLQQGSILGNLEKIGALKRCNQSVECSEKDEDSVPAVVEFGAGRGYLTQMLADCYGVKKVYLVERKSYKLKADRSLRQKENLVLERMRIDIEDLNLNAVESLQGVPYVAVGKHLCGPATDLSLRCCLSRQDGESPVLRGLAIATCCHHLCQWKSYINKEYIIGMGISKDEFHALTWFTSWAVDDDHGSKVPGVEGSDLIASKEEEEGDKVEDDSLSSVEEVVKKMKPMERAVLGFKCKQIIDAGRMKWVKKHGLDSKLVKYIPASISPENTLLVAGKLSPVLA >A10p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15176307:15179109:-1 gene:A10p023160.1_BraROA transcript:A10p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQKTKNKYISIFSNGTSVLSSIYSFQANLEIKLLPLSWIPCLKGFVKMGGEKFEFLIWVSSISCLLCLCYGYVPADNYLINLGSPNNVTVTGRVFISDTLASNLLTSTSETLAASNRNSVSDIYQTARIFTGISKYRFSVAPGRHWLRLHFSPFHYQTFQMESAKFSVSSQTHVFLSDFTVKSRVIMKEYYLNVVTDHLELTFTPSRNSFAFVNALEVVSVPDTLFNGDPSFAGSHGSFNELSLQALETVHRVNMGGPRVTPDNDTLSRTWETDSEFLVEKNLVKNVSKIPSVRYKPEFATEETAPRSVYGTCSEMNSGANPASNFNVTWEFEVETGFKYFLRFHFCDIVSKSLNQLYFNLYVDSMMVVRDLDLSSYEINALAVAYVMDFVTESEKKSDRIRVSIGRSSIHGVYPDGILNGLEIMKMNNSKGQFSTGTFLPGGGLTKMKNVGLIIGATVGSLISLVVIGGFFILFKKRRGGNNHSKTWTPLSTNGAASASSGATVASLASNSSYRIPLAAVKEATNSFDENRAIGVGGFGRVYKGVLQDGTQVAVKRGNPKSQQGFAEFKTEIEMLSQFRHRHLVSLIGYCDENREMILVYEYMENGTLKSHLYGSGLPTLSWKQRLEICIGSARGLHYLHTGDSKSVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVMFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGQLEHVIDQSLRGEIVPDSLRKFGETGEKCLADYGVDRPSMGDVLWNLEYALQLQEAGVDCDQEDDNSTNMIGELPLRFNDYNNLGDTSVSVGVVTREGRFGEGEEEESCVDDLSGVSMSKVFSQLVKSEGR >A09p070990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54925882:54929100:-1 gene:A09p070990.1_BraROA transcript:A09p070990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIQIRKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEFCSSSMREVLERHNLQSKNLEKLDQPSLELQLVENSDHALLSKEIAEKSHRLRQMRGEELQGLNIEELQQLEKALESGLTRVIETKSEKIMNEISYLQRKGMQLMDENKRRRQQGTQLTEENERLGQQIYNNVHERYGGCESENIAVYEEGHSSESITNAGNSTGAPVDSESSDISLRLGLPYGG >A07p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27357351:27361287:1 gene:A07p051560.1_BraROA transcript:A07p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KS1 [Source:Projected from Arabidopsis thaliana (AT1G79460) UniProtKB/TrEMBL;Acc:A0A178WDK0] IFQTSFGRDGSNSSQVHTTAFRLFLVSPPCLFPPLPTSGALRSQVKCTHFLGTVLILRLYAPTLERRLDAVEHTRANNVSFEQTKEKIRKMLEKVELSVSAYDTSWVAMVPSPSSQSAPLFPQCLSWLLENQHEDGSWGLDHPSLKKDMLSSTLACILALKKWGTGERQISKGLQFIELHSASVTDETIEKPAGFEIIFPGMIEYARDLNLVVPLGSEVVDAMIQKRDLDLRRKIQDWDLVGRYQRKNGSLFDSPATTAAAFTQFRNDGCLRYLSSLFQKFESAVPTIYPFDQYARLSVIDTLESLGIDRDFKNEIRRALDETYRCWLRGDEEIRLDLATCALAFRLLLAHGYDVSYDPLKPFAEESGFSNTLEGYLKNIVSVLELFKAAQSYPHESALKEQCLWTKQYLEMELSNWPITSARDQYLKREVEDALAFPHYASLERLDHRRKLLRGFCLENTRVMKTSYCSDILKLAVEDFNFCQSIHGEEMKRLDRWIVENRLQELKFARQKLAYCYFSGAATLFSPELSDARISWAKGGVLTTVIDDFFDVGASKEEMENLIHLVEKWDLNCVPEYCSEKVEIIFSVLRDTILETGEKAFTYQGRSVTNHIVKIWLDLLKSMFREAEWSSEKPTRSLEDYMENAYVSFALGPIVLPATYLIGPPLSEETVRSPEHNQLYKLMSTMGRLLNDIQGFKRESAQGKLNAVSLHMIHEQDNRSKEEIIEWIKGLAERKREELHKLVLEEKGSVVPRECKEAFLKMSKVLNLFYRKDDGFTSHDLMSVVKSVMYEPVTLQDESLT >A03p028550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11990986:11992769:-1 gene:A03p028550.1_BraROA transcript:A03p028550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLLILSFLIFFIFSLKHLVGRWKCKFNLPPTPAWSLPVIGHLHLLKPPLHQILHSLSQSLGGAPIFRLRLGNRVAFVVSSLSLAEECFTKNDIALADRPKFTFGRLVEYNCTTMATTSYGDHWRNLRRIGAIEIFSSHRLDSFLSIRKDEIRHLILCLSKNSLHVFAKVELRSLFGNFNINNILRMIAGKRFYGDEAEQGDEAKRVRQLLDEAVSSAGVGHASDYVPFLRWFTSYEKGVKKLAVRVDEFLQGLLDDKRAQKEKGNTMIDHLLSLQETEPDYYTDVTVKGLVVVMIFAGNVTLTRTLEWAMLNLLNHPEVLKKAKTEIDTKIGLDRLIDEPDAKNLPYLQCIISEIFRLYPAAPLLAPHRATEDCIIGGYDFPRGTTLIANVWAIHRDPNIWEEPEKFKPERFERKGGDQTLMPFGMGRRACPGSGLAQRVVNLALGSMIQCFDWERIGEEFVDISEATTMRPATPLLAMCRARPLVHKILNAPFET >A03p007720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3145172:3146977:-1 gene:A03p007720.1_BraROA transcript:A03p007720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVPEVLEEIFLGLPLKSILEFKTVSKQWRSILESKRFAERRRRMVNIQTKLKIIVAVDRNLIQHELHRDEEVEMVYLHSNVTASRPSLSCDSLVCIPVPGWVKVFNPSTGVFLRFSSGPETMISRDFDPRFEIFPRCWRMGFGKDNITETYKIVRICFNDDLEICRRCEILDVNIGRWRRLRPPPYVLGFKRKSTCVNGSIYWVEVIPRHKLLALNLHTEEWRHLTLPQGTLGTSCQVANLENRLALAATYFSNHHWNVKIWCLHAPQEEAWSVIYTIRLFPSEHRYDGVFPLWFWARPVAVSKQGNLFFHDNCKRLFKYYPETDVVRCIHRDICVISPFVEDLVPLGRLDSVPDMMRTYGLRHLDHVPFSSRIPNFFRRMEFPSLDIVAFPASGLVLIQNRMPFFFLHRCSCIWLCEMFAEVSRLRLLDRRSSLNGHDVFPYLHGFLDDANVIGTCEVRAEIVLGSVAAVLTLHDCD >A06g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9819665:9824983:-1 gene:A06g503110.1_BraROA transcript:A06g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPSSKIPRDRLQRYMNYRSPKIAWGKTAYRILMRSVKSLSASSWTGDSYEVSGFALAINLWAMSSVNVLGKSLGKPCETSSSSDPLCLHWDSTRTPAIAEVLELEKINNVEVSTVIGLAEEYKHLVGATHSDDADFHSVVKLVQQGYKMRRSDWEKGFVDMFVATEDIGQQRKTKDEDAEHGEDLNHNEDEEEKKDEEEKTDEEENKDEEYQKDKEQRKDKNHSMSNSEKLDKLIQMVRDLDKRVVMIQNVLGVKFNDSSPNKIDCEYGASSGDRRSAQDYENEEDTINEEANSDDKKNAPDDENEEDTIAEAANSEDTIAEEANSSDGRSALDDENEKEICDEEAKSGTEHQREEENILGEIETTQKITQDEDTEKLESESCLKQTSQVTSPTPTFNTPNFDTRVSSPNPTFTSPKFDLLSQESHSGKGTNEVLMRDVYEIPVFQPLMKIKKRLVQQHSQEAENQSRDIAEKYWLGVVINLEKRNITAFNCAAMKFTDASLVPYVNAYAMALPFMVRYFFKDVSMDTSKFSIKIVSEGFPQVLKIEDSGVYALKLIECHAMRIVDLTKLSEEKIAIIREKLAKKGKLLSIAKDCEVEVSIQEDGFRGSWYRAILEQNPTRVTGKKLRVSYKTMFNEDGVSPLKETIERSFIRPVPPECLNEGVVF >A06p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20015628:20016310:-1 gene:A06p036910.1_BraROA transcript:A06p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIIVKANADVLTNFEVLDLINSKRASKGTTRVIAAIARSEYKVCDYLNETVASTQTLESCTTFSNKCKDFKLTKVEILNIINVRPFVDFKALLDPVCDHCGAQRTGDRYRWNTRTQMEKDQDETNNGGES >A09p079030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58285523:58287836:1 gene:A09p079030.1_BraROA transcript:A09p079030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPKSCVGSKMRSKRRKSRKRRKLQRKRAAASSHLSDGSFDHLDHPRTNPSFRASGEEAWFESNAAFETDCDDDFHSVTEDSLSLNGGERASVSSTITTSSTGDSDSNSNSNESMSQSNTNQPGSIDSSSADEGGPLPSNCLPCLTPISVVPCVEKGRSLSSSPPSSRKKASLKLSYKWREGHASGALFLSKLQLKRPVAGSQVPFCPVDKKMLDCWTTIEPNSFRVRGKTYLRDKKKEFAASHAAYNPFGVDVFLSERKINHIAQYVKLPVTTASTKLPSLLVVNVQIPLYPTTIFQGETDGEGMNIVLYFKLSDNYSKELPLHFQESIQRLIDDEVEKVKSFPMDTTAPFRERLKILGRVANVDDLHLSGPEKKLMQAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFETFIDRLKICVLDVGLTIQGNKPEELPEQILCCVRLNGIDFMNYHQLTQEL >A02p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7944933:7945814:-1 gene:A02p017580.1_BraROA transcript:A02p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKDQDRFCSTPKLPLFSYPMNRPYETPGLATPPVNIAGSVPFLWEEAPGKPRSSVRKPPRTNQSRENRGVARCLDLPPGLLLTGEACKSSTANEPSPTTVLDGPYDLRRRSLSLPRSAAVIRKLRGVPAPFGSSRWGSLGNCKELSEGIYDFSRFRDGGCDCQKDWAGGGYDFAGDGGTTVKLFRRLKRKGNLFNLSHATKSDFLARVYEGFKQVIPWRRKQENLQRTNSSTI >SC176g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:100313:106442:1 gene:SC176g500070.1_BraROA transcript:SC176g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLSLSKDVKTGPEIQKDTNSTSLLRSKVVHDLSPRDKEILNPKEEAPSSQGIKEHEFKGEEPPGTTPVMNQEKVQDTMQSMLLKEAKPVNKVSNQGKCQTPPRETGIDVCVLDVESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRGVILSYLLKEEPPDAQSIPKPKQYQGYTVSRSKPFQGGGNVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKGRPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEAEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYKAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNVQNRPSPSPSRPSSHSIAVHPSCPEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPNPPDPSWITPHHTSSTHKHLTHSYLYFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSCHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSFIHQEQIRPNQGHKVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKDVKTGPEIQKDTNSTSLLRSKVVHDLSPRDKEILNPKEEAPSSQGIKEHELKGEEPPGATPVMNQEKVQDTMQSMLLKEAKPVNKVSNQESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKDIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRGVILSYLLKEEPPDAQSIPKPKQYQGYTVSRSKPFQGGGNVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWN >A08g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:945306:945598:-1 gene:A08g500400.1_BraROA transcript:A08g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLPPTFIDSATHFTLEVL >A08g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14545866:14546595:1 gene:A08g508110.1_BraROA transcript:A08g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGNLGGRRRKVITWRWWWWSGPQWLLTQQNECHGGKIISYYLIRAQVYMFAEFDNETGDDQCFFSKSTGYVNTCGNCFKSSSKYGDVQKIEPVMVSNVNSPA >A09p051360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45342465:45343816:-1 gene:A09p051360.1_BraROA transcript:A09p051360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLSPGLVLDTGDDAMLVNHYTHLKTWDVCLSEKLRPLLDLRVSVTGQFTLILLNFVIYNVQGKSGVHYVQSFHRAQILRTAQIKIINKFNPFTGFQSPTYILKSRKDVSVDQIKAIQSRANHIRGCGIKMESTASCLRFGPFRVFFPCGEYLWLWLHRGMSTQRDKNLYRLSSNFVFISGLDINGCLTNSIMPRSSSSFQLAKDLPLGESTSLISTLSHMDSVKVMHQLDNLCSLMRESSSAERSRLTRMGSSDSSSRDRSIKSFLLYNAKSSRLPLVLTLALCRVGVVVFRSSYVNKRQ >A04p030230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18052180:18052779:1 gene:A04p030230.1_BraROA transcript:A04p030230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKETDQENSKESSSKMEIDSCTLKAVSSSPSEKIHLRPMTLSDIDDFMVWATDINVTRFCTWEPYTSREAGIAYINSFVLPHPWLRAICLDDDRAIGSISVTPVDSIRGEIGYVIGSKYWGKGIATEAVRLVAAEIFKEMPEMERLEALVDVDNIGSQKVLEKVGFVREGVMRKFMYLKGNVRDMVMFSFLPSDSLL >A08p041750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23540311:23542011:-1 gene:A08p041750.1_BraROA transcript:A08p041750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLENKDKHTSEPSRHVAEPAMSAAAIDGVAALRSVFQRVNQAAEKAGRASDRIRVVAVSKTKPVSLIRQVYDAGQRSFGENYVQEIIEKAPQLPEDIEWHFIGNLQSNKVKPLLTGVPNLVMVESVDDEKIANMLDRVVGNIGRKPLKVLVQVNTSGEECKNLEISNFFFLSSSDVSISTVLYMIISLILAAKFGVEPSGCVGLAKHVKEACSNLEFSGLMTIGMADYTSTPENFKMLAKCRSEVCEALGIPEEQCELSMGMSGDFELAIELGSTNVRIGSTIFGAREYPKKN >A07p002420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3841809:3844789:1 gene:A07p002420.1_BraROA transcript:A07p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSGGDEVADDGWFGGGSGPVPFPEISLPGTTPCGFVVSDALEPDQPIIYVNAVFEIVTGYRAEEVIGRNCRFLQCRGPYAKRRHPSVDSTVVSKMRQCLEKGIEFQGELLNFRKDGSPLMNKLRLVPIREEDEITHFIGVLSFTDAEIDLGPFPDLSTKPIPRRSRSFASASPTGDRNVSRGLGGIFDLSDEVIALKILSKLTPPDIASVGCVCRRLNELTKNDDVWRMVCQNTWGTEATRVLESVPGAKRIGWGRLAREFTTHEVTAWKKFSFGGTVEPSRCNFSACAVGNRIVIFGGEGVNMQPMNDTFVLDLGSTSPEWKSVLVSSPPPGRWGHTLSCVNGSRLVVFGGYGSHGLLNDVFMLDLDADPPTWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLNDTFLLDLSMDTPTWREIPVPWSPPSRLGHTLTVYGDRKILMFGGLAKSGTLRFRSNDVYTMDLSEDEPCWRPVIGYGSSLPGGMAAPPPRLDHVAVSLPGGRVLIFGGSVAGLDSASQLYILDPTEENPAWRILSVKGSPPQIAWGHTTCVVGGTRLVILGGQTGEEWMLNEAHELLLATSATTASSRHEEKRVF >A04p013010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4126180:4127400:-1 gene:A04p013010.1_BraROA transcript:A04p013010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRKFYDKIGMASPGNKNINEGLISREPELHETQIPIRRKRERELLRKLIHFDDRHTETMPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMSDEARLLKAYGELPENTRLNEGIVGDLDEDDDNAADDYVEFEDEDIDRI >A03p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9696274:9698160:-1 gene:A03p022990.1_BraROA transcript:A03p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFSNLQTSHLLGSVPAVISDEDKKSTNVHIASVNEGPSANMQIFPPHQGNNSKGYQTLESPTEGPEQQPSNNWKGYFNVYSYAQYFNVDTDVVLNRLMSSLYPTSGDFFSKIDANPDLYGLVWICTTLVFVLSSLGNCATYLVKKRTNSEAPWVFDVNYINLAASLIYGYAIIVPVAFYFSLRYMGSRADLLRFWCLWGYSLFVFVPTTLPLLIPVEFLRWVIILLAGGGSSCFVALNLRSYLEASNDLTVVLAVAFGLQMVLSIFIKVWFFP >A08p007100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4085764:4087402:-1 gene:A08p007100.1_BraROA transcript:A08p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-1-B [Source:Projected from Arabidopsis thaliana (AT1G47250) UniProtKB/Swiss-Prot;Acc:O23712] MFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLASVNKAQSELSSHQRKIFKVDDHIGVAIAGLTADGRVLSRYMRSESINHSFTYESPLPVGRLVVRLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFNESSREDLIKDAILAIRETLQGETLKSSLCTVSVLGVDEPFHFLDQDSIQKVIDTFENVPEEEEDGGEAEAEAAAAEQGGAGDQDVAPMEM >A10p000660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:353124:353690:1 gene:A10p000660.1_BraROA transcript:A10p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF023 [Source:Projected from Arabidopsis thaliana (AT1G01250) UniProtKB/Swiss-Prot;Acc:Q1ECI2] MKLSPPQPPALKNNDPTAAASAVKSCGGGGGETFSTTRHPVYHGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAALCLKGRKAQLNFPDEIDDLPRPSTCTARDIQVAAAKAANAVKITKAVDDVADVDDGDDFWEGIELPELIMTGGGWSPELFVAGEDATWVVDGDSYQYQFMACL >A07p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7996961:7999782:-1 gene:A07p012660.1_BraROA transcript:A07p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESLQISRKRMRFSSSSASETLLASKSQYQRHRSGRIEPTGDGLRFNQSPSVTGKITPKVLRTYPRVTIKDLRLRRVFTPSSIWEFKTKEQQSENHLCDSNVGEEGTKVDAGRLGNEHVKDFLKTTPLDSDSGPICEESNGSVVKRSGTNVCHKPVLHPCSRARIFKNPGSFSYKRLLPYLMQAADGTPSGQCSKPEQNPPNVNEENGEVAEVPKEEASEVMKAECQHPEPTKDVAQSVDGLISKYFGSGNTSPLKKVEPTKAVAQSVDGVINKHSAVSGNTSPLKKVIASSPNKKSACSRRKLFKTPGSVNYRRMLPYLKDIQEDNPCVLETVNHLDHNKDTEGNTPSPMLVSENEGAEDMVTENVTRELDTDKDKDKDKELVPCEAVSESPERSDTDKEQETQVKHVIPDTEKNLGTPQNALGSEVQFSSPIAGSGDSSEVALNNPFVQNLAGEETMTTDAKNREEQVEANSSDLTAELLDPSAVSGTPTSISPSKGILKRNVRGCRGICSCLNCSSFRLNAERAFEFSRNQLQDTEVMVLDLLGEISLLKESLEKQSSADHNESYKIQAGEAAKRANEAADLAKSRLYQMNDDLQVHCRIPNEQRAKVKFAHYVHEKTILQASQLDP >A06p057010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29648661:29650861:-1 gene:A06p057010.1_BraROA transcript:A06p057010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRVNSTGGERDGNATEENLSPAEMYPRGESQFQPDTHRALDEQLSRFPTGVDIETLDSSFAMLSLRQHNRWTNRSSLQQNQGINGGGGGWSLPPQREVGLQEMMNHYFQRTSPSLNDYVNDGGSYGQSSVSPDLSRDRDNGFGSWRSNEGFVNARMGPIALLAYKDPKSSLVLQEKIDECSKETIDVMFNDVISSIYELMEHPFASQVLHKLMHKCSSQQISHIIDVITLNQLGFIKMCIDPVGTRSIQSLLRCLHSEEQILRVVGAVSMGVLSFTRSNGAKHVIMQCFNQFPPSLNRDLIEVLAQNCFGLAIDQHGCCMLQQCLGTSCEVLTKRLIREIISNALSLCVNNFGNYVVQYVVELNDPNVTILLVQQLFGNYARLSRNKYGSHVVQKFLKIHYIDHSMIVYDLLKDIDTLLVDPFGNYVIQTAWFVCEDVLRVILMRHIERNKPLMRCNKFGKKVLDKLNL >A02p025280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12310711:12311090:1 gene:A02p025280.1_BraROA transcript:A02p025280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSFESSDYTAKLWAQLQILPSSPSHQINPVRERTHPTFLFKGTSTPSEEDGLESTKTYPVASEPAKREGQWSTKSTKSHSDIGMTTCPSKSPRRKKNQKTPFV >A03g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30819374:30819921:-1 gene:A03g509550.1_BraROA transcript:A03g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSSRNSLFLSPKSSRNPKAIYIRLRRPGGASVRVPSPEGFLLSAPRGVVVRVVLAESAFRVNGELFSPFSSSPVLRCRGEAARVLVAAGSGGGSLLRIYYAVLVRLR >A03p034110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14421171:14422491:1 gene:A03p034110.1_BraROA transcript:A03p034110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQAAAAAASFNGNLKKAVAGIKRINLDGLRWRVFDAKGQVLGRLASQISTVLQAKDKPTYCPNRDDGDICIVLNAKDVGITGRKLTDKYVGHLKERSLKDQMAKDPTEVIRKAVWRMLPTNNLRDDRDRKLRIFEGNEHPFGDKPLEPFVMPPRTVREMRPRARRAMIRAQKKAEQAENGGTEVKKGKKRTPSQVTA >A09g512410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36416021:36417925:1 gene:A09g512410.1_BraROA transcript:A09g512410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTELSGLDEIIWTELPPHNRIESSIGRNWPSRSGCPQMSWGSGLFIEDTSQSDSGWWPPVCHFAWLRTHARRHLVLHMSGCMSRTHAGRHHSSHMSGCMARTHARRHPSTHMSISMLRLHARRHLVLGRSTSCFYVSGCMDCFHARRHLLLRHLELLGRFTRFLTLLAYFRPAINPEYFSASGFRPISGFPVVLRSRHACFPSKLRAEETSFFTNVELLNRRASKNVMLPKHPSHQSKTSSNYGRATYSTHS >A03g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9247768:9253229:-1 gene:A03g503010.1_BraROA transcript:A03g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEGPHRHIAVYDGLRDPFNLCFFTSNAYESQGETAASSEMEAPSDNALVQASSLASGLLISEEVEQRFNLVKSVGEQCTHDDELRDLLAKKAAPVCYDGFEPSGRMHMAQGLMKIMSVNKLTSAGCRVKIWIADWFAYMNNKLGGDLKKIRVVGEYFKEIFQAAGMNIENVEFIWSSEEINARGDEYWPLVMDIACRNSLAQIKGCMPIMGHSETDELSAAHILYVCMQCADTLFLEADICQLGMDQQTVNLLARDYCDDTKRGNKPVILSHHMLPGLQQGQTKMSKSDPSSAIFMEDEEAKVNVKIKKAYCPPDTVEGNPCLEYVRYIILPWFSEFTVERDEKYGGNKTYKIFEDIVTDYESSELHPKDLKDALSKALNKILQPVRNHFKTNSRANKLLKQGYEITRTALSKEMEALSANPPNSASSSAAGSQMSEEVKKKYNIVRSIGEECIQEDELMNLLAKKPTPICYDGFEPSGRMHIAQGVMKVTNVNKLTSAGCQVKIWIADWFAQLNNKLGGDLERIKVVGEYFKEIWQAGGMNTDKVAFLWASDEINGRGGKYWPLVMDIARRNNLRRILRCGQIMGRTETEVLSAAQILYPCMQCADIFLLEANICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSNPSSAIFMEDEEADVNEKISKAYCPPRTAEGNPCLEYVKYLVLPRFNEFKVEIDGGNKTFKRFEDITAAYKSGELAPEDLKKALVKALNIMLQPVRDHFKTNERAKNLLEQVKAFRVTR >A02g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22446408:22448703:-1 gene:A02g508130.1_BraROA transcript:A02g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFYFSDLRNYLQNFVCIRGNLTFIFSCEPSVNRPFGPSSNSKRLLRLLSINNELSGVFTAKARLLRSDRAWLELGRNVATERDGCLVAMLRSDLFRAPVRCLVFPPQSFSSKTFAFNMSSSHGDKRSSDVEMGEATSPAPIPTSPVEAPACVADHLSFRERLVRRQVEKELVRAGTEFPSSSALAIAPGHRTEVVTLQDAETLMGSGVPDASALPAGLSMTPILVEDKERAADSMPPPPARKEIVLALRAPSSVPFAQPKGRKRKFTKGGDRESSQQGGSSIASGLRGKFMSLIDGMISECGSETSRLAGELSEFQGRWSETEAMLTAVKDSHSAKVSKLEVAIGELEKDLGKTVSSLLKEKKAGKAKSSEVRRLQHQIEGDAGLAIRGIREATDALRYEFQARLAKISAFLGSLECIWSRDLALATIEGRMAVVQSGRRGPTVQLQGRFAVVDGDFNLILADLKSACFLPTCSEDPEGKDPVVGENGGDATTGLDEATGEKGALKWSYFMFRDWPYVALNPCRSAAFFL >A09p008730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4505560:4505850:-1 gene:A09p008730.1_BraROA transcript:A09p008730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVYDLMRTCLLFLEALLWFNFIVYGYCYKEQHAEEEQDEEEEEEEEEEEEDIELGNDDHCCSLCHEDVKAFSEILRLSKCQRIRSRFSQLKKDL >A09p054450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46906530:46907673:1 gene:A09p054450.1_BraROA transcript:A09p054450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMKKVAQLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEESKGNEENVKRIQNYRKKVEDELAKVCNDILSVIDKHLIPSSTAVESTVFFYKMKGDYYRYLAEFSSGAERKEAADQSLEAYKAAVAAAETGLAPTHPVRLGLALNFSVFYYEILNSPESACQLAKQAFDDAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGDERAKGDEPQEEN >A08p038240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22171740:22174620:1 gene:A08p038240.1_BraROA transcript:A08p038240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSWPWKKKSSSEKPAPVTDQDQENGKKASYIQISFDQYSHLNGLKDDVHKYEAQVLNLQDHIKELDSKLSTANADITSKEALVKQHSKVAEEAVSGWEKAEAEASALKTHLETVTLAKLTVEDRAAHLDGALKECMKQVRSLKEENEQKLHDVIVTNTNQMDKIRDEFESKIREFEQELLRSGAENDALSRSLQERSNMVIRISEEKSQAEAEIEHLKNNIESCEREINTLKYETHVITKELEIRNEEKNMSMRSAEVANKQHLEGVKKITKLEAECQRLRTLVRKKLPGPGALAQMKMEVESLGRGGDYGDHHRQRRSPARPSSPLMSPMSHVSDFSYDNMQKIHKENDLLTERLLAMEEETKMLKEALAKRNSELQVSRNLCARTANKLQTLEAQRMSNPPSMASMSEDGNEDARSVAGSLMSDLSQTNKTKSANQLELMDDFLEMEKLACLPSTDSDAEIPPLKKRISTLLQSLPRDAAFEKILEEVQCAIEDAGGPNVKEIAMSSETTEETVTQELAHALSQIYHFVSYLAKEATPCQYTFSQKVHELSVTLDRVLSKEKTLVDFLFDLSRVLVEASELKINVVGFNASEVEIHSPDCIDKVALPENKALKDSSGEHYQSGCSQSSDSEIPDDCIGYEHKLSAVACTFTSEEFEGLKLEKEKAETNLASCEADLEATKSKLQETERLLAGVKSDLESARMSNGMAETQLKCMVESYRYLETRSSKLEIELSSLKSKIENLEDELHEEKENHQEALTKCQELEEQLQRNNQTCPVTAAAPKSKQDNELAAAAEKLQECQETILLLGKQLKSMCPQTEQFPSSPSQEQALNSEEENDYAVTSTNPQDKTSSPPPYKETPSMTTMRSPVGSKHKHTNSNSSSSSSGLTPEKHSKGFSRFFSSKAK >A10p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16101127:16102054:-1 gene:A10p025000.1_BraROA transcript:A10p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKECKFDYRKYAEAATRRLVYGFLGLVATVAVVIFFVWAILHPHKPRFVLQDVTIYEFNISQPNLLSSNLQVTLSSRNPNDKIGVFYDRLDIYASYRNQEVTLANLLPETYQGHLEVTVWSPVLIGSAVPVDPYLTPALKEDINAGMVLLNIKIDGCVKWKVGSWVSGCYRLLVNCPAFIPFSGQVVGAGPAIKDQLAQQCAVDV >A02p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:880237:880617:-1 gene:A02p002100.1_BraROA transcript:A02p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITLICSEGYKIPITGPLMKVEIKNNNTYILDVHCKSADDDIGSRALKNGKVTKGVFDIYDALRDFKRCTRCTWMAETDGLYGFSEKKPPPAAVFYKWLK >A09p067100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53008130:53017446:1 gene:A09p067100.1_BraROA transcript:A09p067100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGSSAPNGLFPNGLLPGKAASVTRPLDAERWAKAEDRTAKLIACIQPNPPCEDRRNAVARYVRRLIMECFPLQVEIFTFGSVPLKTYLPDGDIDLTAFSTNQSLKDSWANLVRDMLEKEERNENAEFRVKEVQYIQAEVKLIKCLVENIVVDISFNQIGGLCTLCFLEEADLFINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFDNSFSGPLEVLYRFLEFFSKFDWQNFCISLWGPVPVSSLPDVTAEPPRKDVGELRKSEAFLKEFSRAYAVNPVAQETQGHPFVAKHFNVIDPLRENNNLGRSVSKGNFFRIRSAFTLGAKKLARLLECPKENLIHEVNQFFMNTWERHGSGRRPDAPGNDLWLSRLGDPEPCLQADNVSNSSSSNRNQNSAPRSVPSRQNNGGTEVISKATYHAQKNSGNSYQLAQEGRSNQNASNGKLQQTVKPEIMVNNFHGRHLFARTRSSPELTETYGEALLPSRRSRAPEAGKRQTNSTRVDSIREKGLESESLSSSIRNEADSSSVRHTPSPRSPDSTVDMSSAVNSYCDDLGSVSVNEGLSAAGEYGMQQEEQDLVNSMAYVAGQGFNGHFPFPFNFSTGPHLPFPVTPAILASMGYGQRNVPGIIPSNLPFMETPWSANMQFPQNFVSPPFTHYFPSGSHPMSEKLSKAGNEEMGSPEVNVEESDHDHWYEQERGTPSFRLENGMHQANDKHHSSSAEHSFVPSSRKIRSTRGDDLENSHSPVRGSQIQSEERNPGSRSVSCASSVRSRTSSESSWDGSTTKSSKPARDKRNRKAVSGAASALYGKGKSVPGHSVQVEGDNREWIPVSSKEITERDLGPCPTVASFQLQRNHVHGHELAKTSGSESTVSLAPFILGHGMQRKEADGSGYTFVPTGPPVPFFAMLPMHNYQAGGNATSDTLASHLSVDEVVENHDPCKSFDSFRGLDLSEINVSSHSTGVGSYVEPREHKNDILNGDIMSHWQNLQYGRSCQSFQHPPVLYPPSVLVPPAYLQGRLPWDGPGRSLAYTNAVNQLMMTYGPRLVPVAPVSTRPPNIYPRYANETPRYRSGTGTYFPNPISPREQRPASGMRRGNYGHDRNDHHSDREGNWNAGTKARGSGRSSHNNRNQVDNKPRQDRSDRQWGSSYRHESSSYSSHHSQNGSIRSNNSQDAPGNVVYSVYRLPPGMTQNSVTSPEGQHNPPSAMMFYPYDHNSVDEAPYLNDGNHSAGGGGGFEDQPRYRGSHMSSPDDPSSPRFPRQEFWLNDACTLYSPVMKNGQCAQELVQSSTQASHEYQDEHKINQSVDAPPIQDAVSVSASCNDSRKVSRQDIELVQNLIERCLQLYMNRDEVMKILLDRARIEPGFTSLVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPLPQKFPLAPMPNGMHHMAPVVTMPMGYPVLQHPQMHVPGHPHIDAMGVSSYHVVNGVPANFQPRKMNSTNDMVIDTTLDDATPQVIPPNSGGMSVSPASVASSGHFPFDASDMVMDASVLDSAFTSEVGVGEGGAGNARDSLRSFDQIPWNFSLSDLTADLSNLGDVYVAELGGLGNYPGSPFLPSDSEILLDSPDREDIEEFFVDSVPGPPCSNSDEEKL >A06p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:950354:957155:1 gene:A06p003940.1_BraROA transcript:A06p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNAALLLLKSSSPPRVFSSTLRRPFPSRFRFSFSSSAAAMPGSEPSSEIQWPAKTVRDTYFDFFKGKGHKFWPSSPVVPHNDPTLLFANAGMNQYKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPTDRIYATYFGGDEKAGLQPDNEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNNDDPTCLEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKATGAKPYSGKVGVEDVDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRRILRRAVRYGKEILKAEEGFFNGLVSSVIRVMGDTFTELKEHEKKITEIIKEEEASFCKTLAKGIEKFQKAGQAVQGNTLSGEDAFVLWDTFGFPLDLTQLMAEERGLLVDVDGFNKAMEEARERSRSAQNKQAGGSIAMDADATSKLHKAGVLATDDSFKYTWFKDHESEVKAIYTGSAFLESSAAGDNVGLVLTSSSFYAEQGGQIFDTGLIEGLFGTFNVCNVQIFGGFVLHIGYLSKETGVVSVGDKVTCKVDYERRKLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVDPEDLRKIEAIVNKQIKDELDVFSKESVLSEAKRIKGLRAVFGEVYPDPVRVVSIGRRVEDLLADPENNEWSSLSSEFCGGTHITNTREAKAFALLSEEGIAKGIRRVTAVTTECAFDALNVASSLENEVEDASKAEGSALEKKVAALKSRVDSAIMPAAKKADIRAKIALLQNEVRKAQKKIAEQNLKISVKVATEAAESAASDGKTFCIIQLDVGLDAAAVREAVSKVMEKKGMSIMVFSTDETTNKAVVCAGVPDKSDKFKQLDVTEWLTTALGPLKGRCGKGKSGLASGQGTDASQVNAALDLAASFASLKLK >A05g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29428671:29429197:-1 gene:A05g509830.1_BraROA transcript:A05g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKDRRRGLSIEKERRGTGQGHALARAAVSQGHKTHNIWMSRIVVDNKMVEDCRSHVCAITTMHKTLTLGA >A06p019690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9629469:9635389:1 gene:A06p019690.1_BraROA transcript:A06p019690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPTGGQDLFDTYFRRADLDGDGRISGAEAVGFFQGSNLPKPVLAQVWSYADAKKAGYLGRAEFYNALKLVTVAQSRRELTPEIVKAAIYSPASANIPAPKINLAATPSPQPRGPVAQTPGVTSVAAAMRGPQMGGNVSTSNQQVVPGQQNQLTGPPPSQPPQNFQSQGMPPGGTIAPRTANQPVPSNWISGRSVGPSGQVNSQIPSSQSGYGLTAPNSIANNIPQPHMTPAVISSTTTRPQVPVPASAPLGAPSNQLVAKDPKELAASGNGFPSDSIFGDVFSVSSTQPKQHTTGTKSTMGISSVSTGTVVAPEVAQSVARQSSIPQHGSLSQHPVGVQNQLTGNLGQSFVPTGATSGTTGSTVGVGISASSQLTQRQSQPPQPQPQHQPQPQPRHQPHPQPQPEHHPRPHHQPQPRTQHQPHYQHQAPWPKMSPVDVQKYTKVFVQVDTDRDGKITGHQARNLFLSWKLPREALKQVWDLSDQDNDSMLSLREFCIAVYLMERYREGRPLPPVFPSTIISSESMFTSPGQSVAPHGNASWGHPHGRFILGPNIVGQVHGGSRPPAIPKGKPPRPVPLSPSDGLVQPTQPKRKMPELEKHLVDQLSKEEQDALNSKFEEATAVDELEKEIADSKQKIEFFHAKMQELVLYKSRCDNRYNEITERVSGDKRELESLAKKYEEKYKKSGNVGSKLTIEEATFRDIQEKKMELYQAIVKFEEGKLDDDIVKERTEHIQSGLEELIKNLNERCKQYGVRGKPTSLVELPFGWQPGIQEGAADWDEDWDKLEEEGFTFVKELTLDVQNVIAPPKEKSSAWKKELTVSSNESADVSSSDVVSKTEKKPSSGEEASEHSDGKTDRNGSLDDSNVRESIEADGSPRTKDSKSENGHDDGESTASAGKTVNYDSHDETDSVSSSNPDNGKDKDHEKRDNDFGFGFGFDDFSIKPIKTGSTLSNDFLPPKLSIFSDSVPSPPANANDGFTAKPSLFDGSVPSTPATTTASYSGNKSYFDESVPSTPAYPGNLFSEKKSFFDDSVPSTPAYPGSSFPEKKSFFDDSVPSTPAYPGSVFPEKKSFFDDSVPSTPAYSTSDFGGKPFASETPRSDSLFPGRSPFMFDSVPSTPAAHDDFSSNSFSRFDSFNSNNNNDAFSLSRSDSMRSTSEPDPFASRFDSFNYQRYDSFNAQSYDNNNASETPKASLSRFDSIGSTRDSDYNHGFGFDDHDPFGSTGPFKTTTTTAETPRSNDHWNAF >A06g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21068324:21068624:1 gene:A06g507540.1_BraROA transcript:A06g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHILILTNVIWQIAGQHNLMILDQFECFFKEKLKLLSGEEKDPHSVTTKRLLGEESKSSPK >A08p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1418486:1424138:1 gene:A08p002480.1_BraROA transcript:A08p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIFITLVCFVPLLTIGLAALGNFITPQNPNPKSFGNLEAKSCRELPEIRIPSRRLSPFRAASPFSLLAAPLSLSLGRLSLLAVSNREWWWWSCGVRCDTENGWRLKRKEYESPRNGWGVWEMNRNGIRTTEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQEKGRVGPLELCRTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPNDGGSSWGKKDDGGSSWGKKDDGEMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKRGRPRKIASIDAESLRRTTGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRVAGPKPDGEQKSPVRSLKPEIGIRGEFRLTGGELPALRPIRVKTRRPFIRNLEAKPCRELPEIRIPSRRLSPFRAASPLSLLAAPLSLSLGRLSLLAVSNREWWWWSCGVRCDTENGWRLKRKEYESPRNGWGVWEMNRNGIRTTEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQEKGRVGPLELCRTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPSWKWGGTGVSNLTSNPGDKNARNGFDFYHSCVAWTPDVSGTSNDLVIINITELASVDPIILIRSIPVVDFNPRSFENGVECVICLSELAHGDKAKILPSCKHWFHAHCIAAWLE >A06p005670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1829261:1831422:-1 gene:A06p005670.1_BraROA transcript:A06p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRIPSLMEPFLRRVSARWPVIVQATTWTVLLMITVAVASFAPELAFVSTVSSTCGRGDGFVKIPMDFPGESVCVPSHMVKRSRFDLFMPPIFAAVMVTASACLIRSCFGTEDMDDTPNSRQIDFMETFSSSRFLVSPPLSVAGVVDLCRQLQGPHASRAAVVMKLLNQVIIYNLWRERNTCILRDVLMTQEAFYKVVDRGMKDRLLSLPSVIGTYVGVATAGVFIIWYTHSSFMGIDLSQDGHSLVSYSQLSHWGQCSSWEGFKVSPFTAGSQTFSLDTNPCEYFHQGKIKASTLSLSVLVAIEMFNSMNALSEDTETL >A03p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:954986:957295:-1 gene:A03p001940.1_BraROA transcript:A03p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSRNGFLHSVSAAMWRPRDDADDSVSQTNGDSVSGELRSPLPSEVLNKPPEQLTMPKPGATNIEIEIHPESKLETQEVTKPEETKEETPAKPPKKPKHMKRVTSAGPQDREFYSLGRKLGQGQFGTTFLCVEKSTGKDFACKSIAKRKLLTDEDVEDVRREIQIMHHLSGHQNVISIKGAYEDVVAVHLVMECCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACYSLGVMHRDLKPENFLFVSKDEDSLPYYVAPEVLRKQYGPEADVWSAGVIVYILLSGVPPFWAESEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKKRLTAHQVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFNMIDADKSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFTYFDKDGSGYITPDELQQACEEFGVEDVRIEEMMRDVDQDNDGRIDYNEFVAMMQKGSMTGGGGPVKMGGLEKSFSNIALKL >A02g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9986516:9989694:1 gene:A02g503010.1_BraROA transcript:A02g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSAFSRDSRSEKPKDGEAGDFSGPIKPIGTHDVSSGLSIGNPHSKKAKGDALVSSPSLTKPSGNRGVSSGVSIGSPNSKNPSGPIIQTTKTSVSSGVRSKAAVSSGVRGKAIVSANVGRVMSFKDVKFGAHEGELRFRLIHFWEARNVRTKLLIGLEMLLIDQEETIIQGFIPAGRMDTYLPHMRAGGIYRLHNFFGSNNKTLYRVSEPSVTITFSSTSVLSDLEDSSVCFPEDRFRFYGYEEFNAACDLKGDLYDYVGHIKLVNGQVLNDSLVVDEAEIASTRRVLLHVQTHDGPVMKMYLWDKAASDFGERFKASGGTASVILVTTLNPKRYGGALCLSSMVSSRIFMDSDVQATQDYLNWLNSNLDVAKRVDADVVTKTETVTIGELFSYMKQADAKVAWFECIATIGDVVHGSGWYYIGCGGCHTKATKGPTTLMCKKCGKSDIVGVAQYLAKISVYDNNDQAVFVLLGDSGHELSGKKASELVESYFEANEDEGSDHLVPVPQALIDTIGQTRKFIVKVSTHNLTGKTQTLTVTKVLTPEDPDIGVNLEESDGERVKRAAEKIEGEEPKRAKCG >A03p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5138147:5138835:1 gene:A03p013060.1_BraROA transcript:A03p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLKTMRERKATLMAEVRFLRRRYRHLRQRDQPVKQPPGVKKVRGRSNGGKKSKTQIVRVEVSPDNKRSEAEVKHVSLPDLNHTGNETKTSLEKRVPLFDLNQISGEEEEETEAGNNSEERMRVEDSKRMSIIEMQQQKEMKLSSCRNGENGSNKRKISWQDPVAPLRV >A09p071370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55097531:55100718:1 gene:A09p071370.1_BraROA transcript:A09p071370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crossover junction endonuclease EME1B [Source:Projected from Arabidopsis thaliana (AT2G22140) UniProtKB/Swiss-Prot;Acc:C5H8J1] MEDHILISDGEDPATPLPSLSKRPRKDPISAILISDSDPTPFKQQPESSSTPLFVPDTPLSDEFSLVKCSFASNREDKFSGKRVISLDSEFEDSPGPVLADIGLDSGSSVADSAEITQPSFGDNISWMHEVSVRTSLTNDTIEVDSEQEKENISIENMGRKKQIRSCKSRIITGGELPKKQLSREEKIRAMEEKKLRKEEEKLQKAIEAERKKLEKEKQKWEKGKLALKSIVAEIDTKVVEGSIGGLLLSRFSEKGISFRVAPNPIERSIVWTMTIPEDIALAFPEGPTICYVVLVYEAEEFCNLVADEKFLENITRVQDRYPSYTVCCLTNKLMSYVKKREKEEYKNPGSWRRPPIDEVLAKLTTHYVRVHSRDCVDEAEVAEHVVGLTTSLASCQFRKKLTMLSVNANGALVSKDSVDKHLIKKSPWLKALVAIPKVQPRYAVAVWKKYPSMKSLLKVYMDPSISIHEKEFLLKDLKVEGLVGGDIRLGEVCSKRIYRVLMSPSGTIKTDDVENGAAFFTH >A01p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11777065:11781645:-1 gene:A01p023800.1_BraROA transcript:A01p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKGKFQEEEEAAAVARFHKIILGWDYTQLLKENEMKNKKDTKAKLSVVKNTYKDVDDYFETFEPLLFEEVKAQILQNQDPEEEASGSELRLVMECSEADGFHILQVTDERERVEDDNKVNKYKSLGPNDLLLLSKEEVKGSSFPSSYGFAIVENRLSSTSLRLRMYLAEEVVQITKKTKSSRTKPFIRSLSNIRSLITSSANLVDKRVHTLKLCGLSTIIREYTALRSISSLPFKDLIFTAAEKSCGYGDDAWKISGPLHDYFTENLNKSQKEAIDVGLSRRSFVLIQGPPGTGKTQTILSILGAVMHATPARVQSKEHELKRRVQMTIEEKYNHWALASPWILGVNPRDAIMPEDGDDGFFPTSGNDMKPEVVNANRKYRIRVLVCAPSNSALDEIVLRLRDENAQPYAPKIVRIGVKPHHSVKSVWLDHLVAQRRGSAIDKPKQGTTGTDDDSIKTSILDEAAIVFCTLSFSGAPVLAKSNRGFDVVIIDEAAQAVEPATLIPLATRCKQVFLVGDPKQLPATVISTVAQDSGYGTSMFERLQKAGYPVNMLKTQYRMHPEIRSFPSKEFYEEALEDGADIEAQTTRDWHKYRCFGPFCFFDIHEGKESQHGATGSKVNMDEVEFVLLIYHRLVTMYPELKSSSQLAIISPYGYQVKTFKDRFKEMFGSEAEAERVVDINTVDGFQGREKDVAIFSCVRANDKGGIGFLSNSRRMNVGITRAKSSVLVVGSAATLKSDPLWKNLVESAEKRNRLFKVSKPMIDFFSEENLETMKVTEDMDIPDGPGFEDEAPPVANFGGDDDNDFGDGDQDDAAFAEDD >A09g512220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35783235:35785793:1 gene:A09g512220.1_BraROA transcript:A09g512220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCMKRSCPFGAFLRRTLKANSPETVLKRNAQTDDITIGQLKEFEGKKLVSATKEGLKLEESEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVIVSDRVVDSPCCLVTGEYEWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDILLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDDVVEADAEMPSLEDDADAEGSKIEEVD >A04p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14756184:14757598:-1 gene:A04p024380.1_BraROA transcript:A04p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 17 [Source:Projected from Arabidopsis thaliana (AT2G24570) UniProtKB/TrEMBL;Acc:Q0WTF3] MTVDIMRFPKMEDQTAMQEAASQGLKSMEHLISVLSNRPKDHNADCSEITDFTVSKFKKVISLLNRTGHARFRRGPVQSPLSSSSSSAASPPLPPVSQQTPPSQLSPPAPVLTPGSFVQSHQQSLTLDFTRPTVFGAKTKSTEIVEFAKESFSVSSNSSFMSSAITGDGSVSKGSSIFLAPAPVASSGKPPLAGLPYRKRCFEHDHSQNLSGKISGSGSGKCHCKKSRKDRMKRSVRVPAISAKIADIPVDEYSWRKYGQKPIKGSPHPRGYYKCSTFRGCPARKHVERALDDPTMLIVTYEGEHRHQKSAMNENISSSLVFGSA >A08p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8175946:8186318:-1 gene:A08p014470.1_BraROA transcript:A08p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALVDGVLCPSSKDLKLTLRYVEMLSDVESFLAYPWGRESFLMTVPRFLPPLVVAPGENPLQVMRDRLSQKTTVCYGFPLALQLFLFDDVPLLLEKIPDAGNTTTFIDSPGACSSPSTILTFNEIVAVEEDPDLSVHFTVIPDEERLLLVDQNEDRQVTSLVQKLLCGETFKPEDFPGGDESFFPKLKVLDAAQEEGACPTPVRQRNLRPRNTAPFEVENISSSGNSGEENRQCSERCRHENLKHWISQRFEGMENSIEELRTLICKSLGLPEGSKRNAWKRKAMDDPQVRRTLSPDDSIVSETEGRNRKGKKRKTVGTRLVGKKTLPRRRSGGGKEEVSRKNPPSSERESGHQNDDDHAKENESDNARFPPSGGNKGDQQQETQSNALVLFGDVLDVEPVILRLCSVWLSSGNNNSCEYLRISSTFQHAVTSPGAWQKRNPTYRYEQGSPVAWEKTKPNCYSSVGSVRFFHPSWDGNPSSKYKVASTGIETCPLLALLTDLMQGKSAPEGGEGHQKWVGAPPVGYESGQTSNQNDGERLEQVYAPMGFVEALVKEINSEIPGSDGEIRATRGGEGAQPKENESVAARMTPTTGIDFAQKTGADNGGESGQTSNQNDGERLEQVSAPMGFVEALEIRATRGGEGAQPKENESVAARMTPTAGIDFTQKTGADNGGEFVEEDPDGGEEARTADKQPQSVDSVETSNMEISKPVEAVGKVAPPKAGVEASVKEINAKLQGTEDEERYDSCKDDMSTDSQIQENPRDLCGETDADSEDVGSGGKRHRMRSSKISGVYTPDPRVKKLFKSEEKVEYKPIAKTNRTQFKKFPEILRENPEQMWDIATGHSVCNHFFFEIAEPGKLMSDEHMHVIMNMLWRRHGIYLQKERMVILDLYFIKTIQSNWSAFSADNDKLQFEWGKNVAQYVTGKSKGQKMKLGLGRDVDTVYTPMNWGGDHWVGLCIKLTEGHVTVFDSYVPHIEIEVAEGHMCSVVQSLPYLLKKYAGHKFYKVKEGLRIYSWSRTEDIYHNKRGGDCGPCAANFIEMHAAGLTEEMSRITDKDVDRFREQYAMDCYEEFLGDAKEFFATQETINCWISEGRSRKVVSAIIARLCFQRCANDVQNSVIIVSGRRQAKDHKKTPTSDPNSMEAHVLPNLPQEIVCKIIELVGEESFYNIGPFLRAEKRGYALAHEPSVLKKCDVSEMEDGFVTCQIRQGCQFREFHLKCVSAGNRKAIYYEGLFTAPSIGFEESIKILEPNVPMHGFSTLAVAIFNVCLGNDKEASKVFQLFAAYHHDLRSDDTCEMGESIENQLKAFGAEDLNCNKYGEFFKFPDDGVIKTPSKIPSMSTSSSSDGASYVDMLYAVNDSNLGIPDRCPCGSAIIIQISTEAAAIPKKYFVCKDFKNDGLHRKQEWTAAIKDETRRLKKTVDDHESRIRSLGRVEYRIDRIDEDAQKNDGEIANLGYQIT >A02p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12795725:12803856:-1 gene:A02p024390.1_BraROA transcript:A02p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGYSEESWFLGIFSFNRVNQAAKYFAKIESKNSEEIPTDALNNSDEIPTDSFRRTRHFIRSNAIFFPISLRLTFSPLRFPPTPLFSLAISGDFSLLPRDFHSHNHIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSAAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDPCNTTLYPDLCFSSLSTFANSIHNDSNRLARVAISLTLSNTLHLVSYLQNAYGNCVDHGCDGPTAAIIKDCSENLKDAVDEMRDSMKQMKELVSTGSVQSFRFQMSNVKTWLSAALTNQYTCTDGFEDFHENGSIKDDVSSRVDDVKKLTSIALALVNRYADKAIPL >A05p042790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25844343:25844782:1 gene:A05p042790.1_BraROA transcript:A05p042790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSVSKWSPSNRVLLETSVGILQEEDEAAEAEVLERWPEKDNFKECQCHKRGPMAHRVKSGKRKKLNQK >A07g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5360722:5363412:-1 gene:A07g502630.1_BraROA transcript:A07g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVPDLRKIMLDLRKIMPDLTWIKLDLAWVVMNLKTDMDSHPADHPDIPVGVLIVTTVHPMGSDEPGHQLKNLVKIIFSMYCFYTFSLIPIIFVCRLVVSQHTTFVLRWLTLDRGYIKSHSASLDDPFNPSQFQKRYLLLVVSEPLWLVCFHTSYHLLISSSFSFLISFWIRAVPLLPCDRHFKKKKIDNFWLESFLKRNPGGVVEEKPCWLKRNPALGQLRRIHTKISSLMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNGFDFVQKQKKRQNRCDDEKLVKSGDRPFTKAKRSNRDVSDQNELQTYASLEKMLHKAIHVVRQLKKKGNNNTSSAPKHQSNFSSLSNSDLKTNVLSSDKSKAVKPTSKAHSTRCFKCHRIGHYANKCQNQKPLVTLENENVETEPENEDPLPIFDDFTYDPMEGLDEKKNLGHQANQERSSSIQKPDQTQDLRTNLFEEEGNDVPQSTDHYMEPAQHGVQDVLNISTEVHVFHRARLEKDHARLEKDHARFDLDQARLSLGRDEPEDRHGFSPGGPSGHSRRSPYRYHRASNGSSQDYIFYPIIFVCRLVVSQHTTFVLRWLTLDRGYIKSHSASLDDPFNPSQFQKRYLLLGSYPTPS >A09p068200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53546335:53546847:1 gene:A09p068200.1_BraROA transcript:A09p068200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGPKDDLKLSKMKKEVVVGVRILKKSTRISSSSSSRSWIIRDRCLFLDVASDVPALAECVRLQSHVPEGYELLIDSMANTY >A05p015380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6852431:6854764:-1 gene:A05p015380.1_BraROA transcript:A05p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNLFSIPTISSPSQITLSPLTFLPRRLAITARSHRRRRPPDRRDMSSIVSIPELPRRRDSEESLLLDSRISVAEGDSVNTDVEGVRDGSVRGQARKGRNFSSRKKVLGVELSPDNFAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVITGLSSLPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLLGAFSWSLMAGFVDSKYSAASCILLGSLSVAFSDVVVDSMVVERARGESQSVSGSLQSLCWGSSAFGGIVSSYFSGSLVESYGVRFVFGVTALLPLITSAVAVLVNEQRVVRPMPGSGQKENITLVNPGFLQTSKQNMIQLWSAIKQPNVFLPTLFIFLWQATPHSDSAMFYFTTNKLGFTPEFLGRVKLVTSIASLLGVGLYNGFLKTVPLRKIFLVTTVFGTGLGMTQVLLVTGFNRQLGISDEWFAIGDSLILTVLAQASFMPVLVLAARLCPEGMEATLFATLMSISNGGSVLGGLMGAGLTQVFGITRDSFDNLSTLIILCNLSSLLPLPLLGLLPKDSPDNVASKDDADIEMKSN >A07g501070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2121543:2122001:1 gene:A07g501070.1_BraROA transcript:A07g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFAEKTANQGSLEALEVNVSGTCVLCNLEIEAHYHIFFECSFSRLICEPFAAEVWIFPPADLHYVAAWINQPRVNADAHATSVIKLYFQSAIYLLWKERNARVFTPVSSPSSVILASLDRMMRDRLLSYPASSSFSSSLLLFYISCIRSL >A06g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6149798:6150840:-1 gene:A06g501620.1_BraROA transcript:A06g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKDKQLISQSQALLILLEQPETTRVKPQDKFNQVSPKWLQAGIDGNGWLPPRSSVWRRTPTNKLKLPQYLLTCNLTSTPRSFWLLIRPRDTKDTAEISSHTKASNWSLGGRELKCRRRDIFARVVLKTLGNSIESRKEDKYRFWSHAMVVVIRPKGQRETRLEGDTRQEKRRSRRVTVSGESQISDLTQIRAQTVTTSRSKGSRVNFNSSHLASVPERSKDALLSVRSDGEAPSNPEQPNKEERRGGDNKGERRYQKRENQETRGDRIYGPATARKLRRWRARGRES >A08p010460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8591063:8593558:-1 gene:A08p010460.1_BraROA transcript:A08p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1 [Source:Projected from Arabidopsis thaliana (AT1G42550) UniProtKB/Swiss-Prot;Acc:Q9C8E6] MAAEYSGSRSSNTQLLAELEALSENLYQKPQAPVGRRTNSLALPRSSVPSLVTSAEDVTVPKPRSRRLSLSPWRSRPKLEAEEEENMAQKISIKTPEESPLVGSKAKEERKGIWNWKPIRGLARIGMQKLSCLLSVEVVAAQNLPASMNGLRLGVCVRKKETKDGAVQTMPCRVSQGSADFEETLFIKCHVYYSPANGKGAPAKFEARPFLVYLFAVDAKELEFGRNMVDLSDLIQESVEKMSYEGARVRQWDMSWGLSGKAKGGELVLKLGFQIMEKDGGAGIYGKQGELGIKPSSKPKNFSGSFGRKQSKTSFSVPSPKMTSQSQTWTPASGVEAASDLQRIEHLNLDDPEEKPAPKTEEPEQRVEEDDQEPPDFEVVDKGVEFDDDVETEESDGTIGERSFGTKEQHVNVDDPRHMIRLTELDSIAKQIKALESMMKDDRNGEEGERESPRLDEEEQTVTKEFLQLLEDEESENLKFYQHKMEISELRSGESVEEESENYLSDLGKGIGCVVQTRDGGYLVSMNPFDTVVMRKDTPKLVMQISKQIVVLPEAGSATGFELFHRMAGSGKELDSKICLLMAMDELMGKTGEQVAFEGIASAIIQGRNKERANTSAARTVAAVKTMANAMNSGRRERIMTGIWNVEENPLASAEEVLAVSLQKLEEMVIEGLKIQADMVEDDAPFEVSAAKGQPNPLESTIPLDEWLKENRKQKTLTVFATVQLRDPTRRYEAVGGTVVVAVQAEGQEDGLKVGSLHVGGVKSNGAEKRRLTAAQWLVEYGMGKKGKKKSNVKRKEKEEEDEELLWSLSSRVMADMWLKSIRNPDVKLHC >A04p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18032046:18038605:-1 gene:A04p030360.1_BraROA transcript:A04p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRHRNSLPVPDDLAMEIFTRLPSKAMARCRCACKLWSSMLRRQDFTELFLTKSCARPQLLFVREDYSVREIVFITSPQPENPEENSYVVATNHLARFPRSNGFYGCTNGFFCYGADWFFKRLKLPVICNPSTGQSLTMPRLISKKRYGVQSYLGYEPMEKEFKLLSMNSSRNGERISVEHQVLTLGTKNLSWRLVECCVPHGPSTKWICISGVLYYAASADGSSVNSMVLCFDLRSEEFSSVKFGKAMPDSTTTMVNYNGKLGLLMSGDSHYVTRSSTSFKLWVLLDAAQHEWSNHVYVLPPSWEDVVSETMYIAGMVGTKEIVFSPSYQRVPYYVIYFNVERKTITKVGIQGLEAFQGTSFKTYLNYVENFSLMSFLFLLHRPKRHSAMSRRVTRSTTRLDRNSLTLPVEVVIEIFLRLPLKSIATCRCVCKLWSSVLRSQDFTDSFLTKSCSHPQLLFACSDYRHEIHFLSSPQPENPEDNSHVVAANHLARFPTSYGLFGCTNGFFCYGANQGKNKAVIVTVVCNPSTGQSLTLPRLNSKERYRVENYLGYDPVAKVFKVLSVFDGKEHHVLTLGTKKLSWRLVECSIPHYSSRKGICISGVLYYIAAEPRSSTGESMVVCFDLGTEKFSFVNLSRLKRALPQSTTLVNYNDRLGLLMASEDTSYVFRTCKRFKLWVLRDAAKHEWSKHVYVLPPLWKDVVVDRMCIAGMVGVNEIVLAPWFQYVPSYVIYYNVESKTIRKVGIQGMEALQGRRLNTYLNYVENYSFGVFLFFFFSLASSFKNLPLVEDSTRNLELHSLSVLSIRQNFVRDHREKAIKLLCIYDMPSAQALNRVFMLHKNTMRICFASDLRRLRIMILSAPALQKEKEYYTKSSIYSMAIKLLCIYDMPSAQALNRVFMLHKNTMRICFASDLRRLRIMILSAPALQKEKEYYTKSSIYSMAIKLLCIYDMPSAQALNRVFMLHKNTNLRRLRIMILSAPALQKEKEKRQFFERFTLDVLFLTFFSDNFCENLML >A10g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12065210:12067341:1 gene:A10g504870.1_BraROA transcript:A10g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVSYRRLGRARSLHSDRTLARVRSLRSDQASARARSLRSDRLGQALGRYVATELWLELGRYVATERDERSALRFVHPGPALVADTGSDSEPDDQNPVVAPAAVPESSSRKGKDIDLGDIEFSMDDSMLPGRDPNLAYGDGSGSSEVSIPDFDDFFAGLPPGFDAPPPAKESARPKVVAEGSRIINGGLKLLGSAIEASHREAMVYRFKAEKAERGEKEGQREIVEVMKTRASQFQVEYGNLKNAFTGGYFRECRGSVASLWRTQADDYVFEKEMSLMKSDMNERAHAEALIPSIDERIRGLWDSIPVSPDTEEVPTGLPDDGEEVDRPADAFSTSLSGDFDFGP >A04p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10873977:10876720:1 gene:A04p018030.1_BraROA transcript:A04p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB23 [Source:Projected from Arabidopsis thaliana (AT5G40330) UniProtKB/Swiss-Prot;Acc:Q96276] MYRLRSCHSSDNYIYSIEIHLFESSDQKSHSVLRRMRMIRDGKDQEYKKGLWTVEEDKILMDYVRTHGQGHWNRIAKKTGLKRCGKSCRLRWMNYLSPSVNRGNFTDQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLGLGDHSYEAKPACDTETPASLVITTTKTSSPPSLVVTTTTSHQDVGDEKVSTIRFDTTDDDSKLNTKSKPVYSIQPDVEVAATIPNLFDTFWVLEDNFDLSSLTMMDFANGYCL >A05p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29434418:29436732:1 gene:A05p040080.1_BraROA transcript:A05p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRVLLADLKAGRCSNVAEVRLLRFWEARNVRKGGELMSVDMLFVDENSTLMQGSVGANRQLRFRDRLSEGSLYTLTGFDVTRSNTNFRLSDAPFSIRFNEGTELDKIPTSVRPIPTELFRFMPYSQILELANTGKQLPDIIGELSAIRSTITDRLPGARRVMLTLLLESGENVCVSMFDSMALAFHTKLDSYGREPRVIIVTSVNPKIVGGRLFLNGTSGTHLYFDSETSAGKELFDTLPGHGADPGSSTSKVVHAQKVEPMTISELNKFITTADSQIIEFLCTAEVTGIQLDDGWCYIGCSGCSKKLIREISSFTCVSCNETNAVPALRYRVKLSVSDHTDSASFLSFDMEMAKLTNIQASEAAQIVGIGVDAQVDTELPRSLADIVGKTYTFQLKLNDFNFSSKHQTFTISRIFPERLLAPMPAFVVAEGADVPDDAPPEIVAQPPNANVGTTSTGADSPASTDASAAKRPPTAKDQDDVDKTAPKKAHVE >A05p008740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3615054:3621988:1 gene:A05p008740.1_BraROA transcript:A05p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNDGMLEEKSRRWTQLNSKRYGDNKRRFGHVETQKEDMPPEHVRKIIKDHGDMSSKKFTHDKRVYLGALKFVPHAVFKLLENMPMPWEQVRDVKVLYHVTGAITFVNEIPLVVEPIYMAQWGTMWIMLRREKRDRRHFKRMRFPPFDDEEPPLDYSDNLLDVEPLEPIQMELDEEEDSPVYTWFYDHKPLVKTKLINGPSYRRWNLSLPIMATLHRLAGQLLSDNLIDRNYFYLFDKESFFTAKALNMCIPGGPKFEPLYRDMDQGDEDWNEFNDITKLIIRSPLRTEYRIAFPHLYNNRPRKVKLDAYHSPMVMYIKTEDPDLPAFYYDPLIHPISSNTNKERRKKKVYDDYDDEEEEDDFTLPEGVEPLLKDTQLYTDTTFAGISLLFAPRPFNMRSGRTRRSQDIPLVSEWFKEHCPQSYPVKVRVSYQKLLKCYVLNDLHSRPPKSHKKKHLFRSLAATKFFQSTELDWVEAGLQVCRQGHNMLNLLIHRKGLNYLHLDYNFNLKPMKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGNLLGRQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIRKNKAKTILQHLSEAWRCWKANIAWKVPGLPVPVENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCKKNLGRLTRLWLKAEQERQHNYLKDGPYVTSEEAVSIHTTTFHWLELRKFSPIPFPPLSYKHNTKILILALERLKESYGGAVRLNQQQREELGLIEQAYDNPHEALSRIKRLLLTQRNMKEVGIQFMDLYSYLIPVYEIDPLEKITDAYLDQYLWYEADKRGLFPNWIKPADSEPPPLLVYKWCQGINNLQGVWDTSDGQCVVVLQTKFEKLFEKIDITMLNRLLRLILDHNLADYMCAKNNVLLAYKDMSHTNSHGLIRGLQFASFVVQFYGLSLDLLLLGLTRANEIAGPPQTPNEFMTFCDTKVETCHPIRMYARYIDRVHIVFKFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWGIKDILPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRILPKIRTAQSNAKDGVWNLQNEQTKERTAAAFLRVDDEHMKAFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVVFYAPKELGGLGMLSMGHILIPQSDLKHSKQTDVGITHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYGLKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTEFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYLGFQVQLDLTGVFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDHELEALEIETVQKETIHPRKSYKMNSSCADVLLFSSGKWPMSKPSLLAESKEAFDQKASNKYWIDVQLRWGDYDSHDIERYTKAKFMDYTADSMSIYPSPTGVVIGIDLAYNLHSAFGNWFPGSKPLVTQAMNKIMKANPALHALRDRIKKGLQLYSSAPTEPYLSSQNYGEIFNNQIKWFVDDTNVYRVTIHKTFEGNLTTKPINGAVFVFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNVVIKGSELQLPFQACLKIEKFGDMILKATEPKMVLFNIYDDWLKSVSSYTCFSRLILILRALHVNNEKAKMLLKPDKSVVTEPHHIWPSLTDEQWIKVEVALRDLILSDYTKKNNVNTSDLTQSEMRDIILGAEITPPSQQRQQIAEIEKQASQVIAVTTKTRNVHGDELVVSTVTPYEQAAFSSKTDWRVRAISATNLYLRVNHIYVNSDGVNESGYTYIMPKNLLKRFICIADLRTQIAGYLFGISPPDNPRVKEIRCIVMAPQRGSSQAVHLPSSLPENDLLNELEPLGWLHTQPNELPELSPQDVVSHSRILENNKRQWDVDKCIVMTCSFTPGSCSLTAYKLTQSGYEWGRVNQDAGGRSDPRGYVPTHYEKVQMLLSDRFLGFYMVPENGSWNYNFMGTKHAVDMKYSVRLGYPKEYFDVEHRPTHFLEFSNMEDADMAEGDREDIFG >A05p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2952574:2954557:1 gene:A05p007360.1_BraROA transcript:A05p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVRQMRTGWADGPEFVTQCPIRPGSSYTYRFTIQGQEGTLWWHAHSSWLRATVYGSLLVLPPAGSSYPFPTPHRNVPLLLGEWWDANPVDVLRESIRTGAAPNISDAYTIDGQPGDLYKCSSQVPINVGETILLRVINSALNQPLFFTVANHKFTVVGADASYLKPFTTNVIVLGPGQTTDVLITGDQPPNRYYMAARAYQSAQNVPFGNTTTTAILQYKSAPCCIGGAKKGISIKPIMPLLPAYNDTNTVTRFSQSFKSLRKAEVPTELDENLFITIGLGLNNCPKNFRSRRCQGLNGTRFTASMNNVSFALPSNYSLLQAHHHNIPGVFTTDFPAKPPVKFDYTSSNISRSLYQPDRGTKLYKLKYGSRVQIVLQDTGIVTPENHPIHLHGYDFYIVAEGFGNFNPKKDTAKFNLEDPPLRNTVGVPVNGWAVIRFVADNPGVWIMHCHLDAHISWGLAMAFLVENGKGLLETIEEPPADLPVC >A08p010440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8584641:8587137:-1 gene:A08p010440.1_BraROA transcript:A08p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase GAPB, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G42970) UniProtKB/Swiss-Prot;Acc:P25857] MATHSALAVSRIPVKPRLQSKSAIHSFPAQCSSKRLEVAAFSGLRVSSNGGEASFFDAIAAQITPKAVGTSSPVRGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLEVVVLNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGASKVIITAPAKGADIPTYVVGVNEQDYSHDVANIISNASCTTNCLAPFAKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGLTAEDVNEAFRKAAAGPLKGVLEVCDTPLVSCDFRCSDVSTTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGEVAAGSGDPLEDFCKTNPADEECKVYEA >A03p021120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8724866:8727087:-1 gene:A03p021120.1_BraROA transcript:A03p021120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRHFPGSSQFFDDDEHDQGWNHIHPEHPYTSLERSGTSENDRPHAYLAENLLSEGVPVSSHWNSSIGPSAYTAPGHGVERPHYNPGTSAPPHVPFMSSAVATFSAPHETYVTSASASNCNSHTWSNDSYADLPMENVRGALKRKAPYDASNYEMGSSSHYHGDRASSDMHFPSELHMGKSITHDHDPHYMPWLMDPTHRSNNLSIRGESSSRNVRSRPTLDLETGLDSHPTHHNVEHPSSGQFPGQASHRDKEWNYPRLSPVPGGINGFSPETSNFLPARSVVNNTSGDTSGYHQGITGNRNSAASHCFPGTSTQSASSSRFSHRSTPTYRASSNGLRLGHVASSSSDRSHFVNDTYPSRHLRPPPHISWRSSGRPGRRRSSYERFQPPFDEAALHERFSSQELLALGERIGSVNTGLSNNAISSCLSETAYYPLYQTDEQRKCAICLEEYVEGEELGELKGCGHDYHGGCIKKWLSMKNSCPICKSPALPDASSKNP >SC313g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000158.1:19185:28322:-1 gene:SC313g500010.1_BraROA transcript:SC313g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKRGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLSDPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDVMLV >A07p039280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20878403:20879943:1 gene:A07p039280.1_BraROA transcript:A07p039280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTREHPRLILSNFITPEECKELEFIHKSCSTVGYRPNVFSTTLSHLIATNSPHLLIPFVSIRERLKEKMEETFGCEFELFIEFTGLISWCRGACIGWHSDDNRQYLKQRHFSAVCYLNSYGKDFKGGLFRFQCGEPATIAPSAGDVIMYTADDRNIHSVDEVTDGERLTLAMWFTRDSSHNEDSNLISRLSQCSSHEFPLPSPASANMYWFCPHQNANLNTGFDICLARLHLLGFDLHSLQEEDRSLEASEQLMGPIQLAKGGELLARKFTNVLHALQVVQFCNWKASELKTSKVEYDDVEEVKAMSQPQLETINALNAVFLLDKGLVTTIFGCLCSNGEEKDSLNLTDVSSAITSWEEYTCKLLKELVSSLPQWITYQTIHKVESG >A08p015650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10242957:10244786:-1 gene:A08p015650.1_BraROA transcript:A08p015650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G14050) UniProtKB/Swiss-Prot;Acc:O23266] MLIHQYLQQLKLCARNRTLTTAKSLHAQIFKLGITQCIPLANTLVNVYGKCSAASHALQLFDEMPHRDHIAWASVLTALNQANLSVKTLSMFSSNSGLLPDDFVLSALVKACANLGSIHHGKQVHCRFLVSEYSHDDVVKSSLVDMYSKCDSPDSAKAVFDSIRVKSTISWTALVSGFAKSGRKEEALELFRNMPIKNLYSWTALISGFVQSGKGLEAFSVFTEMRRESVDILDPLVLSSIVGACANMAASIAGRQVHGLVISLGFDSCLFISNALIDMYAKGSDVIAAKDIFSRMRHRDVVSWTSLIVGMAQHGQAEKALDLYSDMVSHGVKPNEVTFVGLIYACSHVGFVAKGREIFQSMREEYGIRPSLQHYTCLLDLLGRSGLIDEAEKLIRTMPFPPDEPTWAALLSACKRQGKGQMGVRIADHLLSCFKPRDPSTYILLSNVYASASLWGKVSEARRKLGDMEVRKDPGYSSVEVRKETEVFYAGETSHPLKEEIFGLLKKLEEDMRRRNGYVPDTSWILHDMDEQEKEKLLFWHSERSAVAYALLKGVPGSPIRIVKNLRVCGDCHVVMKHISEITEREIIVRDATRYHHFKGGKCSCNDFW >A01g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2344130:2345089:1 gene:A01g500550.1_BraROA transcript:A01g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLHGSLSNYWTVNTSTTNSWLANKLIKMRGKVYTWIQLRVGNGVNCRFWTDNWSALGSLQGYFAAGSASRQGIPLTATLSDLNRNGSWTLPRPRSEEMVQAQIALTMVTLGEEEDSYEWVVTGTHTVKLFKRLILLCWKGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A01g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6954107:6959929:1 gene:A01g501990.1_BraROA transcript:A01g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHRLCSSHMADIKGKGILYEDDDAPIILMDQDDTLIANEFSLSLIGKILNPKKQNVEKLLQKMPSQWGMADRITANDLGNGKFLINFSSEEDLSSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWVIPFKVQVIGLPLHLWTDKNLRNIGARLGNVHVDTLDVAEGRMLVDVDSRRPLKFSRKVESKDGDEVTIEIKYEMLFKHCSMCGMLTHEKDHCPSISDMRSRLQTHTERPAIFTRMQLPQEQAQRYAFHNERRASDLSRQGPHMEAPTRYLPSSGYGEEDRKKAQRKPYSDEIRSTHADRIVRHHSDRSRSNRYGGSRASKGPYDRPQRQTWQAKAERTKHPVPSVRSREIVPYEQSSPIMNDGMNGPIGHQGIRSGDGNTAKRLASTIVTPSRSGHDMEENVTKRAKGLTRSLSFTSLSEQEPAATDGDNQIIGALNDMDIEDQQEEEVMECDAPDEDLLGMELKEMEDTTARHDANMKTTCQDDNVTEAKALKTSKQGTRANVPLGFQSKKFEVLRRGSPPWFNANETIPPHVQATNNVANQVLSLGNICLLDGSWTASDRFSGCGWVWMDSREDIQLMGTRNFTRCESALHSEVEALRWAMENMLQHSLCQSFGTDCMELIAMINEPQEWPRFATELEKIETLLICFPDFKITHVPLVRNQLPDFLAKSARSFRRELLFIGCSIPVWLPRPPQT >A07p027530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15699357:15702068:-1 gene:A07p027530.1_BraROA transcript:A07p027530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQNDNIETMLCGGVEKANVAVAADPLNWGAAAEQMKGSHLDEVKRMVEEYRRPVVNLGGETLTIGQVAAISTVGNGVKVELAEASRAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKNGVALQTELIRFLNAGIFGNTKETCHTLPESATRAAMLVRVNTLLQGYSGIRFEILEAITSLLNHNISPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGESLTAEEAFKQAGIASGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVQSVLAEVLSAIFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILEGSSYMKLAQKVHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRYATRSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLTASNNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVAICQAVDLRHLEENLRQAVKNTVSQVAKKVLTTGVNGEMHASRFCERDLLKVVDREQVFTYVDDPCSASYPLMQKLRQVIVDHALANGETEKNVETSIFQKIGAFEEELKTVLPKEVDAAREAYGNGNAAIPNRIKECRSYPLYKFVREELGTKLLTGEKVVSPGEEFDKVFTAMCEGKIIDPLMDCLKEWNGAPIPIC >A02p007680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3239021:3241644:-1 gene:A02p007680.1_BraROA transcript:A02p007680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLLRRDLQFLCKRNKIPANMTNLAMADALKSLEIVEGLDEYMNQNDANVLQSPASVAKLPQSTVTRTTRRKTAMKAEPQSSSQLVNRLKSKSLDGEMEQEQKTNNVKFEASVAKTPAARSTRKASAAASCKSKVQESKKGELVQSAYSTRRSTRLLEKCMADLSLMTKETEQKVSAQEKNLDGSEERSEEAEVVPGRDLSASMEKEWENSDQVIEGLEISVENTETMEVMTDEKESENSLVQEDKHEETLQANEAICEEGAEKKETDEEILENCVDFDEIPVLEHANTETNNDNKELKDIQAFEPEKVNIFHEETVVGQPDGDSETEPEEEDSGVDSDNTISEADLIQAGHQETEKEIQGNDPCLDHCVDLGEIPVLEHANTETNNDNKELKDIQAFEPEKVNIFHEETVVDQADGDLETEPEEEDSGVVDSDSTISEADSNQAGHQETEKEIQGNDSETVKINTFDEATMVDQTESEEDDSGVDSDDTISEADSNQAVQGSDIAEEEMILSESEGSVTAPTSPSLLVEEAKVKTAPLSPFAAESISAQFPRPNKLAATIPSKNSAMKLVSVDNNNKENNMEMMVNVNDNGEINAEAKKQKKKVEFDEENLKDVSIRQLVKLVKELSIKGSNNRTALQMLPGNNQITE >A05p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29344980:29346523:-1 gene:A05p050430.1_BraROA transcript:A05p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSKRHSRTLVCERCNSQPATVRCVEERVSLCQNCDWSGHNNNNNNSSSSSNNHKRQTISCYSGCPSSSELASIWSFCLDLAGQSGCEQEMGLMNIDDDGQNNQNCNEEKKDVAGSSSRPETSSAAPATSSFPKDVRVCEDDFYGNLGMDEVDLALENYEELFGTAFNTSGELFGQGGIDSLFQKHHQAAAPEGGNLVQPAESNDDSFMSSKTEPIICFTSKPAHSNISFSGVTGDSSAGDFQECGASSSMQLSGEPPWYPQTSQDNNASSHSVTRNNAVMRYKEKKKARKFDKTVRYASRKARADVRRRVKGRFVKAGEAYDYDPLTPTRSY >A06g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19938418:19939526:-1 gene:A06g507180.1_BraROA transcript:A06g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEILSRLPVKTLSRFRYVSKLWLSSIITESIKTRSLAHPRQLVVFYQSSSYISSNTYPLNTNTRFVDRDSCRTLLQRSYSIYGYVRGLICYFTNSNLYAIYNPTTRQNVLLPLVGYSKENKALDDEFSSLDPAGKCYFVVGLSIKDWRKIEIQDDIPPPRSNGVCINGIIYYLGGTLTSSSVLVLGRFDVRFERFYHIQMPIDVEMNQLEELS >A08p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7533636:7534752:1 gene:A08p009570.1_BraROA transcript:A08p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWNKNPKKRSRVALPNILDVPFEKEAPETKSQRLEDDLEVGENDGRLDLEAKKLADSFRAQGDKLAEEGRYEEALGKWEAALNIVPQNAVIHEQKAQVFLEIGDPWKALMAATRSTELDPSWAEAWTTLGRAQLNFGEPDSAISSFETALSINAESKEAKEDLQAAKQLIKKREQLQTSGQDTDAKRFVVGDKKIEPN >A05p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4195356:4198306:1 gene:A05p010030.1_BraROA transcript:A05p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYGMVTGKAGKSGYGSASTAEDVTHSIDAKHLTAIITGGTSGIGLEAARVLGMRGAHVIIAARNTKAANDSKEMILQMYPNARIDCLQLDLSSIKSVRSFIHQFLALNVPLNILINNAGVMFCPFQLSEDGIESQFATNHIGHFLLTNLLLNKMKSSARESGIEGRIVNLSSIAHTYTYTEGIMFDYINDPDRKKAYGQSKLANLLHSNALSRKLQEEGVNITINSVHPGLITTNLFRHSGLGMAVLKAMSFFLWKNIPQGAATTCYVALHPDLKGVTGKYFTDCNVTTPSNFATDTTLADKLWDFSIKLVDSLP >A02p003600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1682352:1684687:1 gene:A02p003600.1_BraROA transcript:A02p003600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium transporter 7 [Source:Projected from Arabidopsis thaliana (AT5G09690) TAIR;Acc:AT5G09690] MSPDGEPVTVDPSTVVTVKRKTTKPSRSWISIDTTGQRTVLDEDKYAIMHRVQIHARDLRILDPNLSYPSAILGRERAIVLNLEHIKAIITAQEFQRRLPVVQGDAEAGEEDESPFEFRALEVVLEAICSLLAARTTELETSAYPALDELTSKISSRNLERVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADIYLSRKLAGVSSSVSVSDEPLWYPTSPTIGSKISRASRMSLVSVRGDDENDVEELEMLLEAYFMQIDSTLNKLTALREYIDDTEDYINIQLDNHRNQLIQLELMLSSGTVCISMYSMIAGIFGMNIPYTWNDEHGYVFKWVVSLTGTFCAALFVIVLSYARYKGLVGSWWSKENKQD >A09p056480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48034804:48035529:-1 gene:A09p056480.1_BraROA transcript:A09p056480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAEIDTGAPFRSVKEAVTLFGERILLGDNYINKSAEENLKKAEDENKVLSQLLETLTQELETTKEKLNHSLKKFPEHPQVDDDLKFIEHSTVIEPDSITEIKMNRFDQNDVYDEDRLETRRSVKFANPPLLTKVIERKDDKKNQAIVKKQTKKMKPLVPLAAWLFARNRSR >A02g500460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1460535:1461911:-1 gene:A02g500460.1_BraROA transcript:A02g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTMGSSMASLFFLWATFQQMFPDHLKIAIKEFFLSTLQQVSFVQRFSDHIINFFSPYVVISFPEYEEYRFNHAFAAIDTYLGAKAIDKAHKLKASQVKESKGLVLKRDEAKVRDVYQGVHVWWELVTTGTDHDGDRTHKLTFHRRGLEIVTGSYIKYVVEEGISIEDKTKQTKLYTNNPSFGWDTSGLWRRIDFEHPASFQTLAMDPSKKEEVLSDLEAFRNGKEYYKKIGKAWKRGYLLYGPPGTGKTTMIAAIANHLNYNIYDLELTAIKSNSELRKLLTATSSKSIIVIEDIDCSLDLTTGERKRDGDDLSSKKDSEKKEQSESRVTLSGLLNFIDGIWSACGQERIIIFTTNHMEKLDPALIRRGRMDMHIELSYCSFEAFKVLAKNYLDVDSHPLFGQVESLLKDTNVAPADVAEKLIAKNQRIEVDGCLEDLVQSLERKMKEQRGQDED >A09p059560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49729331:49731455:-1 gene:A09p059560.1_BraROA transcript:A09p059560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSISSNAVRCYTETLRLTPRQQQCGRVKPTPSSFLSFNSSTILVQTLGASPSPVPRRSITVRAKMAASEGSISGSNRMLVFVPPHPLIKHWISVLRNDQTPCPIFRSAIAELGRLLMYEASREWLPTVVGEIMSPMGAASVEFIDPREPIAVVPILRAGLALAEHASTVLPANKIYHLGISRDEETLLPFVYLNKLPDKFPENSRVFLVDPMLATGGTIIAAMDLLKERGLSVQQIKVICAVAAPPALSKLNEKYPGLHVYTGIIDPEVNEKGFIIPGLGDAGDRSFGT >A09p065800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52470263:52472118:1 gene:A09p065800.1_BraROA transcript:A09p065800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGGSFVAVRRISQGLERGNVYHSSSTSSSAEAVAGSAAWLGRGLSCVCVQGSHGDARPSFDLTPAQEEGLQRLQSRMDVAYDSSIPHHQEALKDLWKLAFPEEELHGIVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARSFPKSFQDLLRKQVGDRSVWEYPFAVAGINITFMLIQMLGLEAVKPQSIVGETFLRFLSVNESAFDLLYCIAFKLMDQQWLSMHASYMEFNTVMKSTRRQLEREIMVKDITGIEDMPSYSLLSQ >A03p006560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2765409:2767527:-1 gene:A03p006560.1_BraROA transcript:A03p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSVYASVGKKKRNVQESVVFVLQLRVPVQSDLQRQLKGVAPKTTVERLACLRNQIQLVAEDTGGSAISELRTALEEYLSLLTGLIKKKNDGMEGCVEFKWKTLGDDCRRDAVDLLLKASGYLEFCIREILTRFPPDIKSKLPDDMQESVLQTLSIQALGQGTEIQLGLAVDSQKATLSVKRRLACEQVIYFSQAYQCLSGCDVVSHGCAKKLLRFIYWKFLEAKAAAYYYHGLVTDKGNEPACHVSAVCCFLAAAELLAESKKACFSFCLAPPVTRAPPMWGVMKHLSQKIPEVAFRKSQTYGYLLEEEEKAMQCLPELPDFQLSLRPEEFELPEIEAGSSEGNQTHLLSEHLEDYSDNHDDDEDNDH >A03p052290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20145349:20146251:-1 gene:A03p052290.1_BraROA transcript:A03p052290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPEEETHPKNDSGSDQTSERDTNPPPPPSPQSQPPPTQTQQQSYPPVMGFPGYPQAPYQNYPNPPYNHNQYAYAQAPPASYYGSSYPPQQNPVYQTPPPSGFFRGILTGLVFIAVFLCISTTITWLVLRPQIPVFSVTNFSVSNFNLTEPVFSAQFTANLTVENPNTKLTSYFNRIQGFIYNQNAVAEEDFLAMEYFQPVYVETKKSALIGETLTAGGEGQRKVPSWVGEEMKKERDTGTVSFNLWMAVSVTFKTDGWSARERGIKVFCGKLKVAFEGGSGNGAVLLPKPLPCLVYV >A08p038730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22377918:22381606:1 gene:A08p038730.1_BraROA transcript:A08p038730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGNQFAKDHSAKAKDKTRADLHSRIRVTIQSVDSISKRIQRQLQSQILKAFSKGVKVESKARMSIPLSEFIQYRVRKRPQLKRRIWSELLEETGFGSVDLRSGFIYFCKVSSRSGDFSFLFKEVWFCVLVVDIMGKPARWLKSVLLGKKSSKSSGSKDKEGAVNGKEVVVVSKIEESDVVSDLPSFGNATVSSSAVVEETQNIEHEVVSDDEIQLPESQVQPTDSSNAASVVIPDDSLSDSDKIQQEVAATTLQAAFRGYLARRAFWALKGIIRLQALIRGHMVRRQAVATLCCVMGIVRLQALARGKEIRRSDIGVEVHRRCLGNKLPEDSVVETHTYLGIKKLTANAFAQKLLASSPKVMPVHLDNDSSNSIWLENWSASCFWKPVPQPKKTSVRKTQKKIEGDFAKPKKSVRKVPAPNLDNPSAAAQTSFEFEKPKRSSFRKFSTSQSVELPPLEEPPQVDLEKVKRGLRKVHNPVVENSIQPQSSPEKEIEKPALALKEHVTVSAFDEKETVVEILHTHGPLETNEAAPDSPLVNQIEESQENVMAEEKEDVKEERTPKQKKSAGKENKKSVKKDSPVSATTTTQAADCQESSNGNQSSTPGLPSYMQATKSAKAKLRLQQGSSSPKQQGAEKVTRRYSLPSSGNNARVTSDSPKTTRVSNSGGKTGKKTEKPLGREGNGKTTQVEWKR >A03p002060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:987689:989661:1 gene:A03p002060.1_BraROA transcript:A03p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT5G04960) UniProtKB/TrEMBL;Acc:A0A178UR22] MASYGKINEHEQAKLEARRRTRKRIAIIAISSIVLVCIVVGAVVGTAANSNGKKPSSTEGNGNGDSISVSVKAVCDVTLHKDKCLETVGSAPNASTLNPEELFNYAVQITLTELTKVLNGFSDNKHTDNATSAAMGACVELIELAVDQLNETMTSLKDHTASSSKSVADLRTWLSSVETYQETCMEALVEANNPNTTTFGETHLKNSTEMTSNALAIITWLGKIADSIKLNHRRLLATADADLPMMSARGLLESGDLKKIANIVVAQDGSGKYRTISEALVEVEEKNEKRTIIYVKKGVYVENVRVEKKKWNVVMVGDGQSKTIVSGGLNFIDGTPTFQTATFAVFGKGFMARDMGFRNTAGPAKHQAVALMVSADLSVFYRCTMDAFQDTMYAHAQRQFYRECDIFGTVDFIFGNAAVVFQNCNILPRRPMKGQQNTITAQGKKDPNQNTGISIHNCTILPLDDLTDVQTFLGRPWKDFSTTVIMKSFMDGFINPKGWLPWVGDNAPDTIFYAEHLNFGPGASTKNRVKWRGLRTFLTKKEANRFTVKPFIDGKKWLPSTKVPFKSDF >A05p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9929652:9930985:1 gene:A05p020970.1_BraROA transcript:A05p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEIRERLESVRVRNTTFLLQLFERKKNNIEDFLGSLLDPKFKYMRRLLEERKTYIFPKFRREP >A03p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15773420:15776817:1 gene:A03p037720.1_BraROA transcript:A03p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAB2 [Source:Projected from Arabidopsis thaliana (AT3G08010) UniProtKB/TrEMBL;Acc:A0A178V658] MWLRKDQPKRINLLLHIHHLLLCFPQSSLLSMATLSFNTTRMRTPSLPRISRPSSFTKPIKAHLSSSSQTLSKRHRFVSRSLPDTKEETLIIKQEEATEDDDPTSELSYLDPETDAESIKEWELDFCSRPILDSRGKKIWELVVCDASLSLQVTKYFPNNVINSITLKDAIVSITQDLGVPLPEKIRFFRSQMQTIITKACKELAIKEVPSKRCLSLFLWLQERYDTVYTRHPGFQKGSLPLLSLDNPFPMNLPENLFGEKWAFVQLPYSAVREEISDFDEKFVFGATLDLDLLGIDVDENTLIPGLSVASSRAKPLAAWMNGLEVCSIEADSSKGCLILAVGISTRYVYATYKKTPVTTDEAEAWESAKKASGGLHFLAIQDDLDSDDCVGFWLLIDLPPPPV >A02p025010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12484298:12488217:1 gene:A02p025010.1_BraROA transcript:A02p025010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQATNQPRVPAVTQVSAAAAPAVEALQTHPNSSLYVGDLDKSVNEAHLLDLFNQVAPVQTVRVCRDLTHRSLGYAYVNFANPNDAMRAMDTLNYTPIKDRPIRIMRSNRDPSTRLSGKGNVFIKNLDVSIDNKDLYDTFSTFGTILSCKVEMTLSGSSRGYGFVQFEKVETAQAAIEKLNGMLLNDKKVFVGHFVRRQDRTRPENRAVPRFTNVYVKNLPKEIDDDELKKTFGKYGDISSAVVMKDGSGNSKCFGFVNYERSEDAAVAVEKMNGISLGENVLFVGKAQKKSEREEELKRKHEQEKLNRFEKLEGSNLYVKNLDDSVDDEKLKEMFSEYGNVTSSKVMMNSGGLSRGFGFVAYSLPEEASKAERKPLYVALAQRKEERRNYLQTKFSQMRPNGTMTPIPMPGFHQHPSGGAMAGPPHHQMYIGQNGQGLMPSQPMGYGYQLQLMPRPRSGLADFVMPYPLHRQNQHGPWAGFRRGATNMQQQHFQQQQQNASSGMRYMGGVGNRGGMGSLPVDASAISQNASQAPQKPSPLPISKLTSALALASPAKHSQMLGEQLFPLVEKQEPVHTAKVTGMLLQMDQAEILHLLEAPEALKTKVSEALIALRLSANSPAVSSA >A03g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8845901:8846648:1 gene:A03g502830.1_BraROA transcript:A03g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTDETMDRLFPKPERKEMLRSTYVMFDAEDFSIPNPHLLKENILTAIKKEGYRGRINIKGYFGDKKTIPQELLDKYLEAEIYSKIFEGDRVARMNMMLVELLFWAMSHYPHGTNVLIITKNQNILERHKVWNVIERLVERDFYFAIEHPDTFFPPTSPTCA >A04p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:313835:316417:1 gene:A04p000560.1_BraROA transcript:A04p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTTTTSPMARALVHLHSSRRPPSGVCLTPHSPSSRRTPRLVCMAEPYLIRKMESVEKTWKELSVKLADPDVVSNPSEYQKLAQSMSELDEVVSVFRRFKDCEKQLQESKVLAKEAGDDEEMAEMIGSEINSLSKEIEELEKQLKMLLLPTNPLDARNILLEVRAGTGGDEAAIWTGDLVRMYQRYSERSSWKFSLVSCSEAEHGGYKTCVMEIKGNRVYSKLKYESGVHRVQRVPQTETQGRVHTSTATVAIMPEADEVEVVIDPKDIELTTARSGGAGGQNVNKVETAIDLVHKPSGIRIFCTEERTQIRNKARAFQLLRAKLYEIKLREQQEKIRDQRKSQVGTGARSEKIRTYNYKDSRVTDHRLKMNFALTSFLEGGLEDAVQACAALEQKELMEELSESVAAS >A03p019310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7867923:7869071:-1 gene:A03p019310.1_BraROA transcript:A03p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLDLIDNILFRLDHISVIVMQCTDKFLRTYISDPKFDSYRFSLVGSSLFYISRHAPFYVTCQKNIISSYPCYILGSCSGLLLLDIGGCVFVANPFTNRSRPLDHSGSKILYDIVTCVKWVDKAMCVGFAVDRIQNQTKKRFKIVCIMEMQMMYGFEISDGHSWRLSETTITSSSKSDLAKGTKPVYLEGTLHWLRNDGSIIAFNPETEQACFIPSVFHQEPETELFFASDDKINRLALVSGTKEEISVYTLAENAKWALARQIKNVFMEQCELEFWSLVMYDGKRLVVREKKRNLEGVFHVYDMEANNWGVLGSTFWSSKGVTDFYKLTPSLSFVEEDEVKDTIPCYDPQASYLTAVMRSTDSPLAFGGQLQNLKLEP >A04p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13967070:13969799:-1 gene:A04p023060.1_BraROA transcript:A04p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNRK2.9 [Source:Projected from Arabidopsis thaliana (AT2G23030) UniProtKB/TrEMBL;Acc:A0A178VSC8] MEKYAMVKDLGFGNFGLARLMRNKKTNELVAVKFIDRGYKVVLTPTHLGIVMEYAAGGELFDRISSAGRFSEAEARYFFQQLICGVYYLHAMQICHRDLKLENILLDGSPAPRLKICDFGYSKSSILHSNPKSTVGTPAYIAPEVFGRSEYDGKSVDVWSCGVALYVMLVGAYPFEDPKDPRNFRKTVQKIMAVKYKIPGYVHISEDCRNLLSRIFVANPSHRITLKEIRSHAWFLKNLPRELKESAQAVYYQRNVNLTNLSPQRLREHKKLK >A03p005250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2204638:2205048:-1 gene:A03p005250.1_BraROA transcript:A03p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKANQKPKSHAPPRSFFSCGFFRRCTQSVLSPTSPHQQPRLKQTTTTSSSSSSSASTSQSFTQWRFPNHLDQTPSTVTPPPPPLPVTATFQETFQIEELQLISVSESDKLLSLQLLERVIVPDPPSHPTCPPGLM >A10p010250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5835337:5837595:1 gene:A10p010250.1_BraROA transcript:A10p010250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFVNSSSKNLKVVQLFKVYDSIGDYRTSSHPYKIGFFHATFVAKPNDFPSEIPENYLADYTEIPGGKADNSRLVGNLPNDSLALTNNDSTQWSVGTAISVRAKFFVLNEKITIREIIDSTLLPAHVVVGLLPQSSSSLLAKPYVPFGAATKLYSNGQELYRVDTSDPHRFTKALMCPYGLHALIRSFFVTRPICTNLLRETLNRCSLPDLRSSAQRLSRSCNSFVFNHLKSSLIPTPLRINLLRRSSCINILRFHRWALHALLISHLAHTCVAGWEAGSMRWMGDGLILSVRMKTRWKDGEDGQLAVPFNPI >A01g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4389802:4390227:-1 gene:A01g501170.1_BraROA transcript:A01g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIQKSQLMDPSLWSLHYLSFIHHKEPYEIHLVSSENVVGARAALARSASFQALLVGLFNVDSDYFMLVVVTYLGVHLMISHGSPVVEQVSLVKFVMSCFAVLLVFIKLSRFPPIFILLPDVII >A02g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14065855:14068493:1 gene:A02g504230.1_BraROA transcript:A02g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPAILSTVQSEKQNYLTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGIPGSKSQSHKCSRCGTGGHNKITCQRPIG >A09p062920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51278311:51279766:1 gene:A09p062920.1_BraROA transcript:A09p062920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYGIKVNKRKERKERYDKEEDEEEEQPKFEQKQKQKERVKRAKREVTSKAEEEDDEENEIAEEAAADELDVGIPIVVSDDKKDQTGVIFVLEKASLEVAKVGKTYQLLNSDDHANFLRKNGRDPAHYRPDITHQALLMILDSPVNKAGRLKAVYVRTEQGVLFEVKPHVRIPRTYKRFAGIMLQLLQKLSISAAGKREKLMRVIKNPVTGHLPPNCRKIGFSYSSEKLVNMQKHLSTVCNETDTVFVLGAMSHGKIECDYIDDFVAISGYPLSAAYCISRICEALSTNWNII >A09p012510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6382891:6386049:-1 gene:A09p012510.1_BraROA transcript:A09p012510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFLCLWWFFASTVVVVVTAADEKTEVQRELNQTPTWAVAGVCTFFIVVSVVLEKLIHKVGTVLWDRHKKALLDALEKIKSELMVLGFISLLLTFGSSYILKICIPSHVAHTMLPCPAPAPLEKEEDDKGEGHRKLLWFEHRFLSETSSTKCKEGYEQLISSEALHQLHILIFFLAIFHVVYSFLTMMLGRLKIRGWKHWEKETSSHHYEFSTDTSRFRLTHETSFVREHTSFWTRIPFFFYVECFFRQFFRSVGRTDYLTLRNGFIAVHLAPGSQFNFQKYIKRSLEDDFKLVVGVSPVLWASFVLFLLLNVEGFKILYIGSALPVIIILAVGTKLQAIMTRMALGITDKHAVVQGMPLVQGNDEYFWFRRPQLILHLIHFALFQNAFQITYFFWIWYSFGKNSCYHPDFKIALVKVAIALGVLCLCSYITLPLYALVTQMGSRMKKSVFDEQTSKALKKWRMAVKKKKGGKASTTTKRLGGDGSVSPTASTVRSSLSIRSLQRYKTTGHSMRYEGLDPETSDLDTDNEALTPPMSPAMPTAQGIELAVKVEKDKLETKTGETSHDGENHSKEFSFVKPAPLKEPSQDR >A02p020020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9313778:9316961:-1 gene:A02p020020.1_BraROA transcript:A02p020020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQRRRWSLQGKTALVTGGTKGIGHAIVEELAGFGVIIHTCARDEAHLNECLSKWKNKGFQVTGSVCDVSSWTEREKLMQTVYSLFDAKLSILINNAGAIRSKPTIEHTAEDFSFHISTNLESAYHFSQLAHPLLKASGCGNIVFISSISGVVSLSISSIYSATKGAMNQLARNLACEWASDSIRANSVAPTFIATPLVDNAFDDEFKKVVESTNPLGRIGKPEEVASVVAFLCMPAASYITGQTICVDGGLSVNGFSYQPHA >SC179g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:702147:706485:-1 gene:SC179g500350.1_BraROA transcript:SC179g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFSKTLVFVVFPREPCLYFPCIFETLCMYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWCEYQGAFPQPFVSPFDPHTLRSLSTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNIFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVAYVPRIAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAANSDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSECRTSQSYLWRPDMMHLFLPKESCADYMEALKNAKRKNKREEDKRFKPPDLSQERHHDGALSLFSLYFRDLVHVQGFSFIFWSWPLVSVSIFLSKTFVFSFLLACRRLRALVWCVISDLLPRSIKEPFRSLLCHHSIHIP >A04p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4513338:4515317:1 gene:A04p013560.1_BraROA transcript:A04p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLTLVLFLSTLLFSSSFAFQSDELLLDDEEFGLEGGSHPRSPEPVITDSPPKQTPSIRRRYSDPDLDSKIQFTLEHAFGDSDFSSAGTFSARLKTWSHGGQTLTKLRFARNEFSDEEKHAFQNLLKGDDFYRIRLPSNVVTPPGREYVIASVRARCLPRDGLDEHIAIHMDGANILAVSYGSPGACPYPRQLKLPGKWTFNSHTILKSSEQAPRTPIFTEEILGSSENMEGEAEAPVERSFWAKYWMYLIPLGLIVMNAVTQASNMAEEQPAGSQGQAPAAIHRGSAPRRR >A06p004640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1265370:1265753:-1 gene:A06p004640.1_BraROA transcript:A06p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSFQVTNQKNLERKANLPSLLCDPQTGIQSSEERVSAKKRLSIQTEKRIRAGLGDSNSDSKNFQEINVQYLEDTFQPSILNNITQSSSLNIFVFGRLGPCERSPIRTLSEDRVHVSLPVGLLHNF >A10g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8162919:8165678:1 gene:A10g503130.1_BraROA transcript:A10g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLIIVRDDNGDLHDQEGHLRNAASQRIDAQRAAIPESDIDSTGTYQPVDEAARPRTDITISYLTSQIEEMQRELVEIQRYIASRPEASTSNMQRNQDINRQSRKNIDRQSHIKNQGELVPEVTSDMSDAINHGKKISGNTYDTLVIHQFKLECLGARLQNLENTTATMNEKWRRGDETMRDFTGTWFNKSIEEMETCFPTSTCFPHYYPNHLQRQLPRISTDETLPISIDRTSPAATDDNILTSIDIYSDSSKDTKVDQPVNYVTLVENVKDKNRTWWLQPLRLDSHIVLGCRSKRTSELTIEQDQWSIFRDNNRLMHTL >A06g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12056912:12063928:-1 gene:A06g503940.1_BraROA transcript:A06g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEVLEASITKLQAFAWKLKAPEKILTRNLARRNMRSDNYCPRCGELDESVTPSIFECPPAPEQEWDPYPWIIWYIWKARNDKLFRGIDRDPLELIRYAESECYAWFVANDKVKPVVQDDNTEEFQVISLGNICLLDGSWTASAHFSGCVWVWMDSGGNIQLTGKRNCTRRESALHLENFGTDYKELIAMSDEPHVWPTRNRISDFLAKTARSFHKELHFIGCYIPVWLPRPPQESKDWNAGLLDDYVHPEDIPLIRSMAISSTHRRDTFCWNYTKSGQYTVKSGYWVAQNLLKQEEEKEILEPSITKLQAFAWTLQAPRKMCHLVWQLITGQIAVTRNLVRRNMRCDNYCPRCGEIEEFVTHAIFECPPALQVWSLSATPTSPGIFPVASVYTNMDYLFWRKNNIIAPDQDRDPYPWIIWYI >A07p016540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10176270:10179048:-1 gene:A07p016540.1_BraROA transcript:A07p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLFLVTICLSLAFSGKCSDDYSRNDFPEGFVFGSAFSAFQWEGAIAEDGRMPSIVDTFAHSGNGSNGDIACDGYHKYKEDVRLMYDMGLDALRLSISWSRLIPNGRGPVNSKGLHFYKNLIHELKTHGIEPHVTLHHNDLPQALEDEYGGWIDRKIIGDFTAFADVCFKEFGNAVKFWSTINEPNIVAWALLATVLLHVGWSNCSKGNSSTEPYIALHNMLLAHASTARLYKQKYKASHKHNGFVGITCFAFWMVPFTSSEEDEMATQRAKDFLLGWFVVHNYLEVLHPLMFGDYPNVMRRIVGKRLPKFSEEESYLVKDSSDFLGLIHYPTTYTADLSSPRQGDYASDMHASIIPFGNSSLVNVDLLPWSLEGLLEYIKQNYGNPPIYILENGRPTNHQSSLNDAGRIEYLHSYIAAVLNSVRNGSETRGYFQWSFMDLFELMEPNYTYGLYYVNFSDPERKRSPKTSALWYSCFLNGTTNCSEELSASSSPGLFSEKVPI >A08p034780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20620605:20628515:1 gene:A08p034780.1_BraROA transcript:A08p034780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVHVRYTSSIPPYIYVILREEPNGPHSLNNSSSNKTVFHLLENDFIRCSSCQSRRLPLNVKKNGKFMGISFSSLMTGLKEKGSVCNHIPQIREGRSGGMTQHGEFINSEGRELQSAESTCSGTVEVSGTGQSYSRTEEINNAFANEVSWDRSSMSSTQAPTHRPSKRTYGAIQTVNFLCLSWRKLAGMNVPRREFAFAVVGGLLYVIRGFSSDGECLQSSEVYDPETNHWSLMDDCPDRPDFHRAFAFSFKSKLFVVGNESRFIDIYDPRTETWEELDSGQSLSVYSYTVVRNKVYFFDHNSDYKKPELGVFDPEENSWSSVSVPRCPGAYWCKVGEWNNKVILVSPLGGRTLIRDLDKENASKWRDTHIKPSGSNPTISKSWRSSKMETSQSSLIPGLTDDVAELCLSRIPHSGFRIIAQVCRRWRAFLRSEHFSAVRKLTGSVEEFTCVLMESQFVRDGRFVKYLFGEVFDVSGNCLGRIPTFPGPFVSGFGVAVLRGRKIVFFGGYTRDERFAIKGTTIYASAHVHEFDPATNSWRKLANMNVPRHNFAYAVVNGLLYVIRGFSSFGDSLLSTEVYNPKTNQWSLMDCPYRPVWRGFAFSFKSKLFVVSNESRFIDIYDPKTETWKELDSGQSLSVYSYTVIRNKVYFFDRKMPGLGVFDPEENSWSWVGVPRSPGGYWFRLGEWNNKVILIARLGGCKALTGDLDKDNASKWRATHIKPSGSNATVVCRGWRMFIKSKHFADVRKLTGPVEEFMCVIMDGRFIRDGRFVRYVYGEVFDASGNNLGQIPRVPGPFKNGFGVAVLGGGKIVIVGGYAEVEGFPIDGNRISASADVYEFDPASNSWRNLAPMNIPRHNFAYTVVNGLLYVIRGFSSFGDNLLSSEVYNPETKKWSLMDCPHRSNFRCTFAFSFNSKLYVVGGNKS >A09g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7455947:7457953:-1 gene:A09g502130.1_BraROA transcript:A09g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQESYKSRLFHFKNMSEHSASRHVKSWSSDCAMKIDGSDSFDDDDNDLMFRSQPGNFGSVERPPLPSSGDATPNRSDKIGTPRMVSSESMEAQLQAAMEQMKERFSKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQRRLEPMPADRKARWRRELGWLISVADHIVEFAPTQQTNKDGSSMEVMTTRQRTDLLCNVPALKKLDAMLLDCLDKFKDQNEFYYVKKDSPDSSETRNDEKWWLPAVKVPPNGLSEMSRRFLQSQKECVNQVLKAAMAINAQVLSEMEIPESYLESLPKNGRASLGDVIYKMITVEMFDADQFLIEMDLSSEHKILDLKNKIEASIVIWKRKMVQKDTKSPWGSGVSTEKREQFEERAETILLLLKQGFPGISQSSLDISKIQCNRDVGLAILEGYSRVLESLAHTVMSKIEDVLYADQLTQEPTNAPSKNRYLVKETMKEERLSFSEDTATGTSLSDVMQWGNKNNEVKKESYYGDREKPLLSKVTGLMTTNKKSSYLETIGVMRSPTARYS >A05p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20589961:20591024:1 gene:A05p036330.1_BraROA transcript:A05p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G21740) UniProtKB/Swiss-Prot;Acc:Q9LSZ0] MSHWRHKVWRHLSSFHGASYSTRPSRINKTMLNDLRRIRPMIQRRIENRAKDYPIQEIVPVAKDILKARQNLLTNVTVLLKAFPVLRCKFCSEVFVGKEGHLIQTCRSYIRRGNNRLHEWVPGSINDVLVPVESFHLHNMSQGVIRHQQRFDYDRVPAILELCCQAGAIHPEEILEYAKVHDNPQISDEDIRSIPTEDLKYVGANALTAWEKVRAGLKKLLLVYPSKVCKRCKEVHVGPSGHKARLCGVFKYESYQGTHYWEKAGVNDLIPEKVVWHRRPQDPLVLVNEGRNYYGHAPAVVSLCSHAGALVSNTRYACEMKPQGLSYPLSN >A04p012510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5044261:5044587:1 gene:A04p012510.1_BraROA transcript:A04p012510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWGGGEIINEVSRDPKYPTDFDTLPGRKYFTCKNFENDGFHFRQPWVFGVQEEVERLSKRVDAMAAEIAELKYNLSRPNPTPP >A09g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25170558:25171258:1 gene:A09g509000.1_BraROA transcript:A09g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSRKKKRKQYEDENNPSLTISQKPNRNLGVVVFQDVTNIPQIQVDEIGQEISPSKKNRLKATIGLRRLKNRLRQAGINRTSSSIEGIIDTQNNITNQTTTEKFGTILNILNDTNASKEEHAPVRRTFGKPICWTQFWSRSK >A05p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1123347:1125346:-1 gene:A05p003150.1_BraROA transcript:A05p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMSESAKPYFAMVCLQFGYAGMNLLTKTVLDRGMSHYVLVAYRNAFATAAIAPFAFLSERKVRSKMTFSIFMHIFVLALLGPVIDQNLYYIGLKLTSPTFSSAVSNIVPAITFILATLFRMEKVEMKKVRCQVKVVGTLVTVVGSILMILYKGPFINFFRSHLTTTATASSPLAGDYFKAAVFLLLASLSWASFFILQAWTLKKYAAHLSLSTMVCFMGTLQSLALAFVMEHNPSALNISFDMNLLASAYAVRSFSLNFTTGIMSSSIAYYVQGLMMQRKGPVFVTAFNPLVVVIVSIMSFFVLGQGIYLGGYGNNRVIGLVVLMVGVYAVLWGKHVDDDDKETRCEDNILVAVKCCSVNSDLSMMPTIDEVDEDVERGKVQAVEKETSLVVVVFCRENVDNVSRC >SC261g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000110.1:4615:7173:-1 gene:SC261g500010.1_BraROA transcript:SC261g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEDRRNEWGWFSQMRATLKRCGVWRNHEKEESLKGRAAEKDQTARETSGSCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTHLDHERGNGTESHEQVHNQEDSGQHNQEMTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A03p043670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18272889:18275385:1 gene:A03p043670.1_BraROA transcript:A03p043670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPFTSVKHIPHAPSEAIPQNVDSTPAHLENSPSRTSTTVKASPFFPFYTPSPAKHRRNKSVGGESKSVTSTPLRQLARAFHPPSPAKHIRDVLRRRKEKKEVTLPSASKSEQEDREEVGLDKRFGYSKEFESRMELGEEIGRGHFGYTCSAKFKKGELKDLEVAVKVIPKSKMTTAISIEDVRREVKILRALSGHNNLVQFYDVFEDNDNVYIAMELCDGGELLDRILARGGKYSEDDAKEVLIQILNVVAFCHLQGVVHRDLKPENFLYTSKEENSQLKVIDFGLSDFVRPHERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDVPPWPSLSLEAKDFVKRLLYKDPRKRMTASQALMHPWIAGCKKNMSIPFDILIFRQIKAYLKSSSLRKAALMALSKTLITDEVLYLKAQFALLAPNINGLITLDNITSALAINATEVMKESRIPDFVALLNGLQNKGMDFEEFCAASISVHQHESLDCWEQSVHHAYELFDMNGNRVIVIEELASELGFGSSVPVHTILHDWIRHTDGKLSFLGFVKLLHGVSTRQPLAKTR >A05p053030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30416440:30417536:-1 gene:A05p053030.1_BraROA transcript:A05p053030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFSVTALAFICAIASFSDKFSNQNPSAEIQILNINRLKKQSYGNDEVSLTLDISADLQSLFTWNTKQVFAFVAAEYESPMNSLNQVSLWDVIIPDKEHAKFRIQTSNKYRFIDQGHNLRGKEFNLTLHWHVMPKTGKMLADKIVMPGYSLPDAYK >A10p007630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10948967:10951487:1 gene:A10p007630.1_BraROA transcript:A10p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLQSQVTELHRAQEELEQSAEKLNQLESENLVLRVENQSLNTASNKKRRLQTQIRSMPTLETPNSKGDTTRPPTMLNRDGAAHGKAKGTQPYDVEDTESEPDSDKKVPKGGTTTKSSMTAYLEQMFSKRLDAMQYMVGVLLDK >A04p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15956521:15958436:1 gene:A04p026530.1_BraROA transcript:A04p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEDIDAELAHGSSTLLLPGGQPPGEQPPVEVQEAPPLPHAQYGGRILFGCSLFGCNHVVEAKGQRYCSANHQNIAEILSSQDQAYVVVDGFFVKDSRERCFQIVLALLKRVEDNLGSGLPLIKDDTIFHSHPRDWSFISSAFLDGESDRSWLFFHSKSNPNFKTFYTEGQRVRWSRSRRFLHKYLAFEGIKSTVAYLRPDYEKKSDVRVGEGDPFGLKGWALIEYFYKAQSLFCLSFSSEKNNGIFSTVKESTQKRVRSDPGESGRTKTKKKKSSSSVQPSLVSEEDPVKVLILSKKRELEAIYTKAHIHVSDEVDPCKFFLLILLYLRHLSKKQYSVFVLCAGADVSDFDSTQLSDCISILEDRLESAKLEALVREPIIVAVGELMHAKREEEWFSEFNKRGNLFNLLTGDETTIERAAIARILVSKIPSMIVSLTGIVESWETERGTSFLYDKHLLLDLLNEEKQKL >A09g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13442462:13442949:1 gene:A09g504360.1_BraROA transcript:A09g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVFSLLWKKNSTESITLERERESRSTLGPADGRRVSERAVAGALCHSPRCSSSASTGLPSPTALSELWLSPQSSSSSREVPTVMVDNRLESVAAGPSLREVGASAAQSSPALFPGGEGFHSLALPALGFLS >A03p057480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24948024:24948482:1 gene:A03p057480.1_BraROA transcript:A03p057480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLQGFKIFKWIIRSRRIQTGKRQCLTGILNPVSRICYLARCLRRGASRLCGGKKTVQTRLGNDPESLGVPKGHLVVHVGESGDDTRRVVVPVFYFNHPLFGELLEQAERVYGFDQPGRITIPCRVSDFEQVQMKIAAWDHCRRKWSFKIL >A05p009900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4143777:4144862:1 gene:A05p009900.1_BraROA transcript:A05p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWSGEEDALLRAYVRQFGPREWHLVSERMNKPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQEKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREEKESNKRVEPIDESKYDRILESFAEKLVKERSSVPSAVMASSNGGFQQAPPPNNNNNHVIPPWLATSNNGNNVVARPPSVTLTLSPSVAATPPPQQQPIPWLQQQQPEASPGGLVLGSMIPSCSGSNESVFMSELVECCREVEEGHRAWAEHKKEAAWRLRRLELQLESEKTSRQREKTEEIETKMKALREEQKMAMEKIEGEYREQLVGLRRDAEAKDQKLADQWTSKHIRLTKFLEQHMGCRQRLLDRP >A07p030540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16995697:16999222:-1 gene:A07p030540.1_BraROA transcript:A07p030540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYISATGIKKVTISNPGGGNGKGGGGGCAAARRFSGRTLLLLLLVLAIVLPFVFVWFAFLVLESASGCDSPLDCMGLRLFSGGDTSLKISEELTRALVEETGQDGNGIGKKGSLESFDELVKEMTLKRRDIRAFASVTKKLLLQMERKVQSAKHHELVYWHLASHGIPKSLHCLSLRLTEEYSVNAMARARLPPPESVSRLTDPSYHHVVILTDNVLAASVVISSTVQNAVDPDKFVFHVVTDKKTYTPMHAWFAMNSASSPVVEVKGLHQYDWPQEVNVKVKEMLEIHRMIWRRHYQNLKDSDYSFVEGTHEQSLQALNPSCLALLNHLRIYIPMLFPELNKIVLLDDDVVVQRDLSSLWETDLNGNVVGAVFDSWCGNNCCPGRKHKNYFNFSHPLISSNLRQDDCAWLSGMNVFDLKAWRQTNITEAYSSWLRLSVSSGLQLWQPGALPPTILAFKGLTQSLDPSWHVAGLGSRSFKFSEEILKSAAVLHFSGPAKPWLEISNPVVRSLWYRYVNSSNIFVRKCKIMN >A04p002680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1310758:1313582:-1 gene:A04p002680.1_BraROA transcript:A04p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLITSASSHISVLHLVFNMSALCSLGVVLELLITFSTTIVLVVFSAVTMLGWINVVFVFSLKKSGAYYLSFLEIESLTDASLPSVMFIGNGWTLQASAVPFGGVPCCIYFKKKVNLIFAFSENFQMEKKGQQHSTHDSFLTHHPVLSIIALSVIFIAIDPFHMSPIGGREFKPVKHEVAPYKQVMENWPRDNLSQLGQHGKLEFVDQVFGPESLEFDGLGRGPYTGLADGRVVRWMGEAVGWETFSVVTSKWSEETCARGVDSTTNKQWKHEKLCGRPLGLRFDKETGNLYIADAYYGLLMVGPEGGVATPLATHVEGRPILFANDLDIHRNGSIFFTDTSKRYDRANHFFILLEGESTGRLLRYDPPTKTTHIVLEGLAFPNGIQLSKDQSFLLFTETTNCRLVKYWLEGAKTGEVEVVADLPGFPDNVRMNKKGEFWVAIDCCRTPAQEVLTNNPWIKSIYFRLPIPMKLLAKAMGMKMYTVISRFDEDGEVLEVLEDRQGKVMKLVSEVREVQGKLWIGTVAHNHIASVPYPLTMN >A07p042310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22948540:22950119:1 gene:A07p042310.1_BraROA transcript:A07p042310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKKEEVESSGAMNNIGNYQSDLFFHQLISPNHHQDPSQSESFGGPSNNVGSGSTIFSQDSVSPIWPTLVQPPFDPFPPPSPASFYGSFFHRSRAHHQGLQFGYEGFGGATPATHHHHEQLRILSEALGPVVQAGSGPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSILPNTTKTDKASLLAEVIQHVKELKKETSVISETNLVPTENDELTVAFTEEEETGDGRFVIKASLCCEDRSDLLPDMIKTLKAMRLKTLKAEITTVGGRVKNVLFVTGDESSGEEEMEEYCIGTIEEALKAVMDKSNVEETSSSGNAKRQRMSSHNTITILEQQHHR >A09g513930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42152063:42157679:1 gene:A09g513930.1_BraROA transcript:A09g513930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHSSGSRRSNTPAEEEDVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDYTLAEEEAVLAIPTGPITRAMTRRLKEAVGSILKISKEQEDCL >A09g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7430089:7434515:-1 gene:A09g502090.1_BraROA transcript:A09g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLWFYLGVFQCFDFTQVEPVISVKDTSILYDIQFQSQRVTWCYDQRIWDPGISYSWRINESVQEEPPWCVAVGEIEDDGQSQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEERDEAEEGDEADVSDEDEEGDKAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRTESLSGNVVLRRYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQCKN >A01p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27621380:27623051:-1 gene:A01p049130.1_BraROA transcript:A01p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) UniProtKB/Swiss-Prot;Acc:Q9LSP7] MADEKYNRKNPAVKRILQEVKEMQANPSDDFMSLPLEENIFEWQFAIRGPADTEFEGGIYHGRIQLPADYPFKPPSFMLLTPNGRFETNTKICLSISNYHPEHWQPSWSVRTALVALIAFMPTSPNGALGSVEYPKEERRTLAIKSREAPPKYGSPERQKVIDEIHHYILSKATVVSKPLPLECNQTASTESVAHSQTEPQEAITVVEEPSIVTVDNIADDRIIEEAAEAVNREANASPTAGALATVEVAAKASGSGEQTMVRRAAQKPVDDRLFTLAAVGLAIAIVVLLLKKFIRSSGYGTGFMDQS >A08p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:519732:520289:-1 gene:A08p000830.1_BraROA transcript:A08p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFKDPLHVLMTVLLSTNDDDDGHEEAVVDDHRNREDPKVDAKEDECEVVCPTLTLTSSLRKVDSNKKEKKKVQWVDLMGVKELAEIREFEPSGEDDIDSDGEKNCVCVIL >A02p003300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1376683:1378397:1 gene:A02p003300.1_BraROA transcript:A02p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MFTMCQPLRSKANLVMLFKGYRRFVRTTCRVSIPGGSLGNELKAPPRFLRDKKIVPDADPPHKEDIHKLYQLFEQSKRLTILTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFTRSSRSRRRYWARSYAGWRRFAAAQPGPAHTALASLERAGRIDCIITQNVDRLHHRAGSDPLELHGTVYTVMCLDCGFSFPRDLFQDQLKALNPKWAEALESIDHGEPGSEKTFGMKQRPDGDIEIDEKFWEEGFNIPVCEKCQGVLKPDVIFFGDNIPKERATQAMEAAKQSDAFLVLGSSLMTMSAFRLVRAAHEAGAMTAIVNIGVTRADDIVPLKISARVGEILPRVLDVGSLGVPAV >A01p043050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25067966:25068784:-1 gene:A01p043050.1_BraROA transcript:A01p043050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPFADNRAEEANMQLVAGGGINDGVMETPNNYSVPTECTVIRSRISTPQIIALVAAITNHQASSKRRRGGRIRKKHLSEEELIERKRHVNMLNTDRKGKPTSFNVCKWKREKAASASIVHHIEVNNFKKMKNKKKKKKTPTHIYFD >A09g516400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48444471:48446844:-1 gene:A09g516400.1_BraROA transcript:A09g516400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVEVVWTSRKSSGLLGSRLDFSERFGFSDLDLICSNNVFQDVDDLQLSRHRLVLQLKKKTSRFNYIQTTEISLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILVFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07p001630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4234333:4237364:1 gene:A07p001630.1_BraROA transcript:A07p001630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhanced ethylene response protein 5 [Source:Projected from Arabidopsis thaliana (AT2G19560) UniProtKB/Swiss-Prot;Acc:Q8GWE6] MAYVSMGEAHRRITEYLNRFCDAVSYQDSSMLCRLLSFSSNSPSLLSLADALNVFQDASSLIRQSDKFSEYGEILAHLFRSLQSYRVGNLVEAYLAFEKFANAFVQEFRNWESAWALEALYVVCYEVRILAEKADKELTSNGKSPEKLKAAGSLLMKVFGVLAGKGPKRVGALYVTCQLFKTYFKLGTVNLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADTKLSYALQHCNPKRERNIRMILKYLIPVKLSLGVIPKDELLQKYNLHEYMNVVQALRKGDLRLLRHALQEHEDRFLRSGVYLVLEKLELQVYQRLMKKIYIIQKLSDPARAHQLKLEVIAKALRWLEIDMDLDEVECIMTILIYKNLVKGYLAHKSKVVVLSKQDPFPKLNGKPVGS >A01p056510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32070174:32070975:1 gene:A01p056510.1_BraROA transcript:A01p056510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIQIPRKNFARSSDPTTKRLIKDPEMKNRKVAEKRQSATFSDASVEITKDPSDSTPISQVSVAISDSEAESFVQGSSIGLLSTPEISLLADESPVSTITDKDFHIDADQIQSVVDLPASVESLRAEISDLKKLISSAENHEERNCIDGVLTRKSRIVLLAFVLWAVLAAIVVSVRSGERVAYYGPLPT >A03p063670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27639167:27643148:1 gene:A03p063670.1_BraROA transcript:A03p063670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSVFLILFGVIAIAIVVHGQGQAGFISIDCGSPPNINYVDTDTGISYTWDAPYINSGVNANVSEQYGYPANPVLPFPLADVRSFPQGNRNCYTLTPSDGKGNLYLIRASFMYGNYDGKKALPEFDLYVNVNFWSTVTFRNASENVIKEILTFAESDTVYVCLVNKGKGTPFISALELRPMNSSIYGTEFGRNVSLVLYQRYDTGFVNGTGRYQKDVYDRIWSPYSQPSWNTTTASGYIDIFQSGYKPPDEVIKTAAYPKSDDEPLELSWTSDDPDARFYAYLYFAELESLKRDESRKIKIMWNGSPVSGAFNPSPEYSMTLSNSRAFTGKDHWISVQKASDSTLPPILNAIEIFTAQSLDELPTIAEEVYAMEGIRSTYKVQKAWTGDPCSPRLFPWEGVGCIYNDSNHHIKSLNLSSSGLHGPIALSFRNLSHLESLDLSNNNLRGFVPEFLADLKQLKYLNLKGNKFVGFIPKALRKQSKAGGLALIVDEQNICHSRSCRDGNNIIVPIVVSTLLILLIAALVIICIIRRERRIVDSGAYSGPLLPSGKRRFTYSEVSSITNNFDKVIGKGGFGIVYLGSLEDGTEIAVKMINDSSFGKTRGSSSSSSQVSKEFQVEAELLLTVHHRNLASFVGYCDDGRGMALIYEYMANGNLQDYLSSENAEDLSWEKRLHIAIDSAQGLEYLHHGCRPPIVHRDVKTANILLNDNLEAKIADFGLSKVFPEDDLSHVVTAVMGTPGYVDPEYYNTFKLNEKSDVYSFGIVLLEIITGQRSIMKTDDGDKMNVVHYVEPFLEIGDIDGVVDARLHGDFSSNSAWKFVEIAMSCVKDRGVHRPTMNQIVSDLKQCLAAELAREPQSLLEKEEKNRKTTPVRNYSISDYISSSGSVSLTFGDNNTYGPTA >A03p031280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:13185998:13186399:-1 gene:A03p031280.1_BraROA transcript:A03p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISASLIIFLTFNILFFTLTTACGGGCGSIPKPKPKPTPSPSSSGSCPRKTLKLGVCANVLKDLLKIELGTPPVKPCCSLLKGLVDLEAAACLCTALKANVLGIKLNVPVSLSLLLNACGRKTPRGFICA >A01p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5394312:5395985:-1 gene:A01p011090.1_BraROA transcript:A01p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKGSDHPHRGTMGEGEYFSGNDYTMVFWNMDDYPIPVGMDDFGSIRINIIEALERFGYHGETDVNVHCAQLECDVRDELSKARIDYLPEATKVYLTSTAKVCSLDMTAFLVRIALPQPPINIAVIAKPKAELVRVLKCLKSRGHTLMLIHPPDGEQLSFSVDTLLAHAHLGDSKEEEDHLSKGEEEDHLSKGEEDTSVGLGPYYYYCQYLSQKAEKEKEEEVEDPYKILDFLEPIRPSVKGAMTAVFWDAQYCPFPPGSTPDEIYNSIELALVKRKFTNKTTIWAYLGDDDKNGSALLGDKTWASRIYFFSAGDKASRRIRMTNDICFWAHESSCQPVRESLFIVSDQFRGDLYYVELLHNLVPACLHLFCITPTQDINKPESPEWPELFFDKGAYRLLLEISEIPAARSCSKKRKTDAGMLFTMEDEEETSGMESNE >A09p081430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59262847:59263672:-1 gene:A09p081430.1_BraROA transcript:A09p081430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAAAEEQIVTERLRRKLEEVNVSAQSQLSPIQDHINFTLQQAYFKCAYECFDRSRKQEEIANCVEHCSVPVVKSQQYFEGEMAQFQERMNRSLMVCQDKFEASKLHKNRVDAAKDMEGCVNQSIEESLNTLPHIVQRMKTAFSIRD >A02g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16352544:16353390:-1 gene:A02g505410.1_BraROA transcript:A02g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLYYPHLPQILDAWRRFMCEKQVISLVETMKSVFSQSCRRLTWKSSGQCRDDLHGSRPSLFVKKNLTYIRLTWKSSQTTYTEVVRLTPSYTEVVRPTTYIEVFQDFIPSFWSNLAYLGPTTYIEVVQDFIPRLWPNLTYLGRVLCKLSDGRLS >A04p003280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1570068:1594894:1 gene:A04p003280.1_BraROA transcript:A04p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGDKFTWVIKSLSSWPSLIFNPFVNGVCKLYSDASEKIEKESMDVSGFQVLPSQVESVRLIFKRHPDIAVEFRAKNQHLRKACMGFLLSLIETLCQSLEELSNEDLVEADVALTYLKDAGFKVDWLEKKLDIVKDKKEKEQSSLARLQEMEDSLLKLKQQCSDMDALVGKEEEELSDTRTPMRSFITSMAMQVGKKFSWVIKDFPSLQCKICHSAPVLIGDCKWFLHAYPKGNKVDYLSLYLEVADSESLPSGWRKYVKFRLSIVKQNLKSDTELQETHCWFDEEARCWGFQSMIPLTKLHDEKEGFLVDGDLVIVAEVDVLEVIGNLDESEESEESCRPVKKSKHGGGEESIDSLKEAHLGKETMDVNGFHVLVSQGESVKRIFEMHPDIAVEFRAKNQHLRNACMSFLLSLTETLCVSLQELSNEDLVEADVALTYVRDAGFKVNWLEKKLETVKEKKEKEKCSLIRLEEMKDSLLKLKQKCSDLEALVEKEEAELSAIRTPSSFDDIQNKKLSYKVIELMSELACIHMLSYTKIHDKNGGFLVNGEVKVVVQVDSEEDSKIEQKNGPVSSVLLKEASAVMESIDVNRFQVYPSQVQSVRLIFERHPETAVEFRAKNQHLRTRYINFLLSLIETLYQPLQELSSEDLVEADIALTYLKDVGFKVDWLENNLDLLKARKEKERACEARVQEMEVQLHDLKHKFEIEKAELSAARAPLSFDDFRNLKFKAWMSMAFKFLLRRHPDIAVEFRAKNQHLRNACMDFLLSLIDTLCQSLEDLSNEDLVEADIALTYLKDAGFKVDWLEKKLDIVKDKKEKEQSNLNALVEKEEEELSDTRKGMQWFYPMTMDLAYTSVVLLPKFLDKDSGFLVNDQVKIVVEVDALQDIPVETEKTEENDCVLLKEGSSIMESIDVNGFHVFPSQVGYVGRLFEKHPDIAVDFRAKNQHVRNACMSSLLGLIQTLCKSLHELSNEDLIEADIALKYVKDAGFKVDWLEKNLDQVKEKKLKELSGLAMLQETEEKALRLKRKFEELDALAEEQKNELSATRTSLTFDDVKNHGGALNEEDHLDDQELFIFATRLCAYPKGNNVDYLFLFLEVADHESLPCGWRRNVRFSLSIVNQNTIKRSTQNDEQKWFGEESPRWGRVSMFPLNEIHAKESGYLVNGELKIVAEIEVIAAIGKLDIAEETSTIIETMDVNGFQLFPSQTELVSRLLERHPEIASDIRTKNPNLRTGYMSLLLSLIDTLRQSPHELSKTDLAEADAALGSMTNAGFKLDWLEKKLDDMAEKKEKEEAGETRVREIEEELKDLNQKCSDLEALLEKQKLELSAAKAPISFDDLDRRTIMEKQSRKKITWTIKNFSSLQCQKLCSDPFVVARCKWRLCTYPKGKNVDYLFLFLEVADHDSLPCGWRRNARYSLTIINQNSVKRYRQNDEQKWFDEKTPRRGRVSMFPLNEIHAKESGYLVNGELKIVAEIEVIEVLGKFDVTEETSTITETMDVNGFQLLPSQMELVSRLLKRHPDIASDFSTKNPNLRTGYMSLLLSLIETLRQSPHQLSYTDLVEADAALESMTNAGFKLDWLEKKVDEMAEKKEKEEGGEIRVREIEEELKDLKQKCSDLEVQLEKEKLELSAANAPISFDDVLGGFYLVFLLVFNLGSVMLFG >A08g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7370332:7374758:-1 gene:A08g504470.1_BraROA transcript:A08g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSKTVTTKLTFKTSEEENENFSDKYFFEIDSFLRKTLRRKNETSDESSKKVVTQRLNAPRSVATQRPSVRPAWSLRNDQARAKARSLRSDRALPKRRYDTSPCILVYPSMLSPEDRSKLNIRDLWKIRVFLVSLFKRKSTVRISVPTNAPRPKPKDSENPPETVRTPSDNGEESMKIDRVPLGKTLRKRKGKVEKHLRRRANDKEKESFRKRVLRIPIDKSFEDAYYTHRLWMFFRETKEKEEDIRRMFCEGREKMIKRITLKKKSDHGQFAIPCTVKDHLSLQVEPSNKLLTFVDCSQKNSEGIVKDLEVQISNALVPVDFHVMDIKLNWNSSLLLGRAFLSTVGAVCNLHTNQLCLTLIDPDTQYDPIPVKKSQTLSRRINDPGIIAACHCEVEYETDYSASMKTHTATSIDSCRQISTERRHEESDDSRPYDWENDCYNPAIATYTRQNLPTEVYDEDYEEERATEYIAMINEENKLLHHSSWKRHVPSIDETSSPSINTQPHWRNKKRASTDTAYYKSVDTDVNRAREGNYSIGSWADEYHHENFAVETATYAPEAYKLQDSFADEELLNMQRRDDTDQIQAEAAWEKTRFSQSIDTRHQQLIDTRDPQSININNTTDPDGHAKAINGGTLHVSREDIADILQTANGADNLFMHHRSNPEQKVTKEFYDTAGGIDNSFIHKSCHPSRPSIDTTVPVSVDRHHEFSRRAYDLYGNRKFSWEEKDEYGIYRDDQGCERGMDGHTISKSNENIRRLLERASKR >A08g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13776465:13777059:-1 gene:A08g507850.1_BraROA transcript:A08g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLANKIEAKCWRIAQRIDEIMEIDMGVKGRYTRTKSPLQAEDEGLLWTMQVILKFGHREMVFQSTVNNWLYSFKRRKIGLRWTRSSTKYKLYPKNFFFFFFFEFSEFSELSIAYIPRSLKFCTNSLAKGVRSRASRSAFVNPFVTKLASPTS >A08g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12593963:12599180:-1 gene:A08g507230.1_BraROA transcript:A08g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVLWLTKLDVPWGSAPDKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIFMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKVIMFGLQRKNTKEKSPRPSASQSSFKSSLNYFDECVSVQEKPNRWSNEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVFEEEPLDFPHQCPCIDTRICLDDDLGPIFDEEDEPGPVFDEEVTSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNYLPDMFVKVSTDDVIRFDLDKMKDFFVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALEEIMIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDKTWYFLRSLRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLDTSDRGSVQGGYLNIPKAWNLMKIFTDEKVMNFLNRRFFSPSIREYQISKRDSCPRMNRPEPKPILHEPKLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEHDKHDQFPRRASAGECLRTYILRTWNWKYLREASKIDLRSNPFEEGGNDVPWGCAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKVIIHVLNVQKSIGLDGFQKDSKTSLFSPNGETDKILAKRKDGFRPGLKGTCLGPYQEYILHLSKSWSWLYEEVVQFLGEVISKFRSFFCWTVLNPLGSEFISTLPKSDPYFGSIKALPVFVLTEKVTAFHGVGSRLTA >A05p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17871710:17873693:-1 gene:A05p032390.1_BraROA transcript:A05p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MOS2 [Source:Projected from Arabidopsis thaliana (AT1G33520) UniProtKB/Swiss-Prot;Acc:Q9C801] MKLSFSLPSKSKPKVTAAAAVDDGTSKEFVTEFDPSKTQADSTPKHVIPPIENTWRPHKKMKNLDLPLQSGNTGSGLEFEPEVPLTDSEENITYGLKLRQKAKDDEEEEDRNLAPIEQLMMQSLRKDLESLADDPTLEDFESVPVEGFGAALMAGYGWKPGKGIGKNAKDDVQIKEYKRWTAKEGLGFDLDKSKLVVDKVKESVKLDVNGGDLFFVGKEVRIVAGRDMGLKGKIVEKLGNDLFALKLSGSEDEVRVGLSDVADLGSREEERCLKELKVKEKDKKASKRSRGTERVSRNEVRVSEKHDRGERRVKKPSWLRSHIKVRIVSKGFKSGRLYLKKGKVVDVVGPTTCDIMMDETQELVQGVDQELLETALPRRGGPVLILLGKHKGVYGNLVEKDLDKETGVVRDLDNHKMLDVRLEQVAEYMGDMDDMRCSLQIYEEPRWSYAFVEFTDKIDIGFSVCLPVIALTVLSVRALCKSTYMAWNWSGLAILC >A08g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15978085:15981086:-1 gene:A08g508650.1_BraROA transcript:A08g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPRSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKTSGTTYLLVVWKSSGSLVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILSFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A03g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19913773:19915611:-1 gene:A03g505650.1_BraROA transcript:A03g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRCAVFTSPSTFLPSYSSTGKRVSFKVIRCSSSVSIPLSSSKRKNYLRRKILRTLGPPKLQEIETPRIVPPNDDVFTKKEEEEDVEELSSVVASSEVNGVLSKLSPKLVAKYGLCLVGMFVFQTVCAVLFLGNEKTQESSSLSLDLKGRNEGRDDDVVVSLEDVEMNEKIAEIRMMAREARRSEEKSGGGGGGDREEDGALNPGGGVEIEREIEARLSNIERRLNSQRKGLAGLRVEPLDESRDDEKSLMFEKKYKFKGEKPPKGNVKGFGGSSEQNGNVSDSRDGLKNAGDESKVAGPSDSKMISGAAQGSEQSRPSNQVMKSSNSENRKSNTEAGSGFGRSGQHGEVRKGNTMRRVKEKQNKTWWLKLPYVLRILMRSSIDQEVSEGYFTMRTEPMEQNKDQVSHMIAFEDQTDATNFSYLLESVFEDLEDFSANVVPISTKDLYNEVSSGGKNVIVVKKRQLKLYAGQPFEDVETALHTLIQEQ >A03p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14142277:14144258:1 gene:A03p033410.1_BraROA transcript:A03p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAPDVDDRVDYEDGSSSEMEEEEHVEEYEEEEDDDDNQDVDREEGQEELAEDEDNNIDIDIETAEDEEKSPSPIDEEKEEYSFLLSLPPHGSEVFIGGLPRDVGEEDLRDLCEPIGDIFEVRLMKDRDSGESKGYAFGKTIRCSLSETKNRLFIGNIPKGWDEDEFRKVIEEVGPGVENIELIKVKALYVKNIPENTSTEQLKELFQRHGEVTKVVTPPGKGGKRDFGFVHYAERSSALKAVNDSERYEINGQELEVVLAKPQAERKHEPSSYAYGAAAATPAPFALPTFGGFAAPPYGAMGIAGSFAQPMIYGRGAMPTGMQMVPMLLPDGRVGYVLQQPGMQMAPPPPRPRRVDRNNGSSGRSGRESSHDDDGNRGGRRYRPY >A03p053090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22784812:22787906:-1 gene:A03p053090.1_BraROA transcript:A03p053090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 3 [Source:Projected from Arabidopsis thaliana (AT4G16144) TAIR;Acc:AT4G16144] MKKIDLKKVARKIEVDNRIPLRNYYRIADNLLRQAGIYREEKNVVDLYIMLLRYSSLISETIPFHRDYQASLPQARLGSRKRLRAVINELESLKPEFDRRVDELNRADDESYSVVGSGFPVVSYSSDAVEWPPVNRASYFRPGDINKPALPTTSQTSGMFNSKPIDQQFQKLSFDFLPPNQATLSRHSFLGPSGLKSQWVAPKSEIKVQYPSSTDWGTAENSGLIDAGPSASSTSLNGDSQGVSTLNSVLSLDDGRWQRHSEAVTSQFISDATEDPFQFVGMKQPSPPPVLAQVHQEYAQICPSKVADPRPGPALPSLEEKDGSNAYQHLHVPVRIMEDFLRLARSNTERNLETCGVLAGSLKNRVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDRLSLFPLGWIHTHPTQTCFMSSVDLHTHYSYQIMLPEAVAIVMAPTDETTPHGIFHLSDPSGVSVIRNCQQRGFHPHEESEDGNPIYEHCSHVFLNAKLKYEVLDLR >A02p002050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:862061:865402:-1 gene:A02p002050.1_BraROA transcript:A02p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSIMMKGPTRCRTPPCAHSSLSINSLSLSLYHQSSQPSSSSMAFSFILLLSSVAAAFLLFIRRIRYRRMGLPPGSLGYPLIGETLQLIKAYKTENPEPFIDERVARYGSVFMTHLFGEPTIFSADAEMNRFVLQNEGKLFEGSYPASICNLLGKHSLVLMKGSLHKRMHSLTMSFANSSIIKDHLMLDIDRLVQFNLDSWSSRVLLMEEAKKITFELTVKQLMSFDPGEWSERLRKEYILVIEGFFSLPIPLFSTTYRKAIKARRKVAEELTVVVMKRRTEEEEGGERKKDMLAALLAADEGFSDEEIVDFLVAILVAGYETTSTIMTLAVKFVTETPLALAQLKEEHETIRVMKKSDSESLEWSDYKSMPFTQCVVNETLRIANIIGGVFRRAMTDVEIKGYKIPKGWKVFSSFRAVHLDPNHFKDARTFNPWRWQSNSVTRSPSNVFAPFGGGPRICPGYELARVALSVFLHRLVTGFSWVPAEQDKLVFFPTTRTQKRYPIIVKRRDCGLSSTLR >A03g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18558608:18561092:1 gene:A03g505190.1_BraROA transcript:A03g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKALKAHFDTLHFVTDSMQGIQERCACGQRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELGFMKTRVEKCEEYKSLVVKLEVENQELKAEVEKLIARVSQLEYAHKKMASYSPGFVSLLTSQNGEFSTPGFVNLSGDEEVTGARPPGIKAAKAAKKKKGVQEESNQTDLRTVLEMKDKLNKQKLLEKLLEKPDPLSEMEMSLKLKLMSEITEESNVLLFSVTGGIDDHGSRAREQSTGAQHCYLLLFYVMCSQLCLVVLCHGFKNFIKSLTWHPHPTTKNKF >A05p055390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32711857:32713112:-1 gene:A05p055390.1_BraROA transcript:A05p055390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCSSKKRNNNIAQEGSRAGNAMSRLLGGYDFKTFIYLFILLPLSIFFIYLHGQKLTYFLRPLWQSPPKPFNILPHYYHPNASMELLCTLHGWNIRHSPRRVFDAVLFSNEVDMLTIRWNELNPYITQFVLLESNSTFTGLSKPLAFADNRHKSFEFVEPTRLSYGHVGGGGRWKKGENPFLEESFQRLALDQLIKLAGIKEDDLLIMSDVDEIPSGHTINLLRWCDGYPPVLHLQLRNYLYSYEYYLDSKSWRASVHLYKPGKTRYAHFRQSNSLLADSGWHCSFCFRHIRDFVFKMKAYSHTDRVRFSHYLNPKRIQDVICKGTGLFDMFPEEHTFREIIAKLGPIPRSYSAVHLPRYLIQNADSYKYLLPGNCVRETA >A09p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15387185:15388454:-1 gene:A09p027250.1_BraROA transcript:A09p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASENDDTSLNNQLKPDSEPTSVNLSPPPPAEEKPLSKNAQKKQLKQQRYEAKKAEKKAQEKEHKRKEGERKHKEWEETLANATEEERLKLIESRRSLRKERMEKRSEEKEKKMERLTKAKEIGQNIVIDVDFPHLMSESEISSLVQQIMYCYAVNGRSSSPCHLWLTGVQGEMSTQLDKLPGFEKWFIEKESRCYIEAMADRKENLVYLTADSETILEDLDPKDIYIIGGLVDRNRFKGITMNKAQEQGIKTAKLPIGEYMKMSSSQVLTVNQVLEILVKFLETRDWKTSFFTVIPQRKRTGVDPVVDCSKSERLSEEHQEEDDHLERKKVCVEAPLESGS >A05p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14298036:14300063:1 gene:A05p026540.1_BraROA transcript:A05p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGDHHTVPLSVLLKRESANEKIDSPELVHGQFNQSKKGEDFTFVKTDCQRVMGDGVSTFSVFGLFDGHNGPAAAIYTKENLLNNVLAAIPPDLNRDEWVAALPRAMVAGFVKTDKDFQERARKSGTTVTFVIVEGWVVSVASVGDSRCILEPAEGGVYYLSADHRLEINEEERDRVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDLDVGEYIVPVPYVKQVKLSSAGGRLIISSDGVWDAISAEEALDCCRGLPPEPSAEHIVKEAVGKKGIRDDTTCIVVDILPSEKLAASVPPPKKQGKGMLKSMFKRKGSDSSSNIEKEYAEPDLVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEVKPGEGVSIHAGTANCRKLRPWDGPFLCASCQEKKDAMEGKRDRHSSESD >A10g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5261666:5263975:-1 gene:A10g502000.1_BraROA transcript:A10g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSLRSDRAWLGFGCYVATRRRVYVATELGLFGLIPKGYFFVKTSYRLFFTKITSLLLLSLSKIRSPRIFGWILAIDLSKRTFYLSLHPFPLFSQEKCKDVEQEKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHLGIEEEDVSRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVKESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLTYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGVLNLLGSAIEAGHREAMVYRFKAEKAERDLARMQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPSIDERIQGFWDSVPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01p000860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:350512:353358:-1 gene:A01p000860.1_BraROA transcript:A01p000860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSILEKMGCSNSKEASTKKKKNEPLLLCKERKRFVKQAIDSRCALAAAHVSYVRSLRNIGACLRQYAEAETVAAVASSPSHNSSSYPDDDDDGDSVDSPLSHNTKPKPVLSLSYTKSANSTVTYTMNPLDMSELSPPPPPPPLRPRRPETSSWDYFDTCDEFDSFRLTDNASVVGFEKQRKQSNAEDFKTEQRKQSSADEGEREDPSEFITHRAKDFVSSMKDIEHKFFRASESGKEVSRMLEANKIRVGFADTTTGKGNSVAFLSALKRACCRGRKSHSPFFKEPLHQQVTKVIVWKRTSSSRSSTSRNPLIEDHDDEESGSDFTQELIRMWKAMLECHHSQYITISLAYHCRNTSKTGPENALKRRIWLELLEETECFGLSFADLVNSMTSYVEALNGWLHNCVLLPQERSTRNRRPWSPRRVLAPPIFVLCRDWSAGMKSLPSDELSRSIKEFSTDMEMLGEEKEDSVLVSEDLSRVHSSLAKLLERLKKFSEASLKMYEDVKVKSEEAVVAYTNHSNCGRPLLRARLSESAAPGLWLIRQSRSKVAQVHDTGTVRKLVGTRPKLGLTQGLYAIDLTNFTMEAAAPVKQNHSTVLYLL >A09p066400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52721540:52722889:1 gene:A09p066400.1_BraROA transcript:A09p066400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-tetrahydrodipicolinate synthase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G60880) UniProtKB/Swiss-Prot;Acc:Q9LZX6] MAALQGYGLISTNSPLHFPRTHQFDDYKRKKARWVSPIAAVVPNFHLPMRSLEDKNRTNTEDIRSLRVITAIKTPYLPDGRFDLEAYDNLVNTQIEKGAEGVIVGGTTGEGQLISWDEHIMLIGHTVNCFGSRIKVIGNTGSNSTREAIHATEQGFAVGMHAARQNIYRRHDSSFSNLEEYTENGVVVWSGNDDQCHDSRWDHEATGVISVTSNLVPGLMRKLMFEGRNSELNAKLLPWIDWVFQEPNPIGVNTALAQLGVARPVFRLPYVPLPMSKRVEFVQIVKEIGREHFVGERDVQVLDDDDFILIGRY >A04p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4489953:4492106:1 gene:A04p013480.1_BraROA transcript:A04p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ubiquitin-like-specific protease 1B [Source:Projected from Arabidopsis thaliana (AT4G00690) UniProtKB/Swiss-Prot;Acc:O65278] MAYAATKRKRGVRQDRFSDKPASDSTIPPRLSRYKVREFHARCRGFLRFRSLVRRNKRRTTSVCLGISHHEASNLFGYKFDSSKPIHEDEVIDLVDEEDSSIEQAAKEYSSPSPPRAPKGSYLSVPLIRRSPRLKKKRAEVSRELFIPLKEEEDAQVKSAFSVRNRMKVLVFHKNSGIEIRGETLQCLKPCAWLNDDVINLYLELLKERETRDPQRDFKCHFFNTFFYVKLTRPSYNYKAVRRWTRHKRLGYNLIDCDIIFVPIHGVVHWTLAVINIRECKFMYLDSLNGSDPTILTALAKYFVDEVKDKNGKTIDISSWDMEYVKDLPLQQNGYDCGMFMLKYIDFYSRGLGLKFSQTDMPYFRVRTAKEILRLQAD >A10p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21038815:21040119:-1 gene:A10p037210.1_BraROA transcript:A10p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYKATCKDVSGGSWYYGAQANRGAQFVSSSSLFLCAFSGKFPWLLITVLELFLLLNSWHMRVELLFHEHQFKVRFISISSIRVSTIKLKTVKQETRSLRFKKDQHYSSFSSYTARLRVPKTLTRAQKEQRAIEVIQGLRLERCQDTMIGHIHKNMICIRFREHFSSTSQASNVNQDSLINLIPKPPI >A03p036790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15403658:15405638:-1 gene:A03p036790.1_BraROA transcript:A03p036790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKASEMFSKSLIEDVHRWGCMKQTGVSLRYMMEFGSTPTERNLLISAQFLHKELPIRIARRAIELETLPYGLSEKPAVLKVRDWYVESFRDMRAFPEIKDTADEKEFTQMIKAVKVRHNNVVPMMALGVNQLKKGMKLYEKLDEIHQFLDRFYLSRIGIRMLIGQHVELHNPNPPLHTVGYIHTKMSPMEVARNASEDARSICFREYGSAPEINIYGDPSFTFPYVPTHLHLMVYELVKNSLRAVQERFVDSDRVAPPIRIIVADGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLEEDVDLGTADVPLTMAGYGYGLPISRLYARYFGTDAYLHLSRLGDSQEPLP >A03g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8366021:8368280:-1 gene:A03g502680.1_BraROA transcript:A03g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLGQPNVISIKGAYEDSVADHMVMDLCRGGELFARIVEREYYSERKAAYLAKAILDCCLKGENFTDVVGSPYYIAPEVLNKDYSLEADIWSASVMIYMLQSGLAPFWGSLSRNGRRNLQLGSGGRKRKKDIRMGVCSDKTSGEPLNIEAYAARYKGRTKIIRLLFIAKHLDEESCHNQALKMPYYEIKKGNNVQLFRDVVERYKDKLGSDYMLDLAWTEAELVHHSYEFGSMSDALNCYNMIIKFKTSQSEIFVHPMTMYSNVMTGKSHR >A10p040220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22183171:22186081:1 gene:A10p040220.1_BraROA transcript:A10p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNEEGEGSRYPVTDQKPVETKERLSGEDKANGVVMDVRNGSAGGAGGGLQIPISQQTPATVCWERFLHVRTIRVLLVENDDCTRYIVTALLRNCSYEVVEVANGVQAWKVLEDLNNHIDIVLTEVVMPYLSGIGLLCKILNHKSRRNIPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKILWQHVWRRCQSSSGSGSESGTHQTQKSVKSKTIMKSDNDSGRSGENENESNGLNASDGSSDGSGAQSSWTKKAVEVDDDSPRAVSPWDRVDSTCAQVVHSNPEVPGNHLIAAPAEKETQEQDEKFEDITMGRDLEISIHGNCDLTLEPKDEPLTKSTGVGKGPLDLNSESRSSKQMHEDGGSGFKATSGHQLQDNREPEAPTTTHCKTVDTNEAAIKNPEEPMHVEHSSKRHRGAKDDETIVRDDRNVLRRSEGSAFSRYNPALNNNKLSGGNLGSNARHDNNCQELIKRTEAACDCHSNMNESLPSNHHSRVGSNNVEMSSTTVNNAFTKPGAPKVSPAGSSSAKRSLFQPLPCDHHHSSHNLVHVPERKLPPQYGSSNVYNETIEGNNNNNNTVNYSVNGSGSGSGHGSNDPYGSSNGMNAGGVNMGSENGAGKSGSGDGSGSGSGNVADENKISQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRKTAAATDDNDVKTPRDS >A09g514530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43380191:43381023:1 gene:A09g514530.1_BraROA transcript:A09g514530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTSSRNLLSKSDNLDEVIAGFLSRWERTQYLFSEGPHGQEMESVVGRVVVSGHVCSGGWPGELCCVEVWLGEVWVGESWSGEKCIGVFWVSRSGILQTIHRILTKTFSGFCITIEGDLVVNNSHNHGMRKLKSRDCVFVEKEEVAAFAIPLEQ >A05p037610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21367950:21370447:1 gene:A05p037610.1_BraROA transcript:A05p037610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKITLGNESLVGSLTPSNKKSYKLTNKIQEGKTPLYSVAFNFIDARYFTCFVSAGGNGINLYNCLEDGSISLLYAFADEDKEEEFNTASWACGVEGNPFVVAGGEKGIIRVIDVNNEKIHKSLVGHGDLVNEIRTQPLKPQLVLSASKDESVRLWNVETGICILIFAGSGGHRNEVISVDFHPSDKHRFVSCGMDTTIKIWSMKEFWTYVEKSFTWKDDPSKFPTKFVELPVFTASVHTDFVDCNRWVGDFILSKSDEILLWEPILKENSPGEGTSDVLLRYPIPNCNLWFIKFSCDLSLNYLSIGNEEGKIYVWDLKSCPPVLVTVLSHNQSKSVIRQTAMSTDGNTILAASEDGTIWRWDAINKEQAVTVEAQPPPILHQYRRREGKSQLK >A02p012630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5515290:5516950:-1 gene:A02p012630.1_BraROA transcript:A02p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVDKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A08p001100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:635824:636705:-1 gene:A08p001100.1_BraROA transcript:A08p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVCRLSSKIHSLTQRLSKTTNVHASSIPSPLKSSLPSAATSRINQSFRRLPVELSSCVSLFPSHSAVASARLVSSLSAESMSWGLVPQGISMPL >A09p051350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45335713:45339474:-1 gene:A09p051350.1_BraROA transcript:A09p051350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK10 [Source:Projected from Arabidopsis thaliana (AT1G26150) UniProtKB/Swiss-Prot;Acc:Q9C660] MATPVQPPTAEVPPSPPLSPGGTAVSPTREPIDGSSPEIPNPPPLSTPPPAAPLSSPPPEPSSSPPPPTGAPPPTPTLPADPPPPPSPATSPPPQPPISPPSFPPPETSPPPTPSSPDPPLNPPPPPLPEISPPPPPPLHEPAVSPPSFPPPEVSTPPPPSSPESSPPPPSLPESSPPPPPSPDRPSISPPLFPPPKSSPPPPASDPPRNPPPLVPPLHSSPPPPSLPPPRPPPSPPGSQRPALSPPPPRDPEHPQQPPPPDSKRPPPPEKIHPPSKPSPAPLPSNSSSSPSPPLLPSPPSPQKSVPDSGNPPQQNNLTPPVTNNSSNSGFSTVALVGVSIGLVLVLLGLIGVIFWCLKRQKKRPSSIGGGYVMPSPIVSSPRSDSTPSKTNSSAPLVGNRSSNRTFFSQPEPGGFGHSKELFSYEELVKATNEFSDENLLGEGGFGCVYKGALPDGRVVAVKQLKIGGGQGDREFKAEVETISRVHHRHLLSLVGYCISENRRLLIYDYVPNNNLYFHLHAAGTPGLDWATRVKIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLDNNFHPLVSDFGLAKLALDCNTHITTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELVTGRKPVDTSQPLGDESLVEWARPLLSHAVETEEFEALVDPKLGRNYVGAEMFRMIEAAAACIRHSAAKRPRMSQIVRAFDSLAEEDLTNGMRLGQSEVIDSAEQSAEIRLFRRMAFGSQNYSTDFFTHNIYNSRDENVVMEEDCGVLSCCCQCLVLQVTTFVFFKVPCKLGQKIKKFAKRRCGRTLQPRTEEDVVKEERWYGNGVVFEEGSSRSNCIEVTEGMLSMSKEFGFGSFWRHEDLLTL >A06g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14370344:14375885:-1 gene:A06g504730.1_BraROA transcript:A06g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRNRSAHMADIKGKGILYEDDDEPIKLTSQGDSTILDEFSLSLIGKIPNPKKQNVEKLLQKMPSHWGLADRITANDLGNGKFLFNFTTEEDLQSVLCKGPFHFNFCMVVLVRWEPIVHDDYPWIIPFWVRLIGIPLHLWTENNLRNIGSRLGHVDKVEHTEGRMLIGVDTRRPLKFTRKAESPEGDEVTLEIKYEMLFKHCSTCGMLTHEKEYCPSLEVKNRLQPQTERHDVFARVQVPLDKRHNQPKPYQNNVLQPCYGLELSAGRYKPSRSSRYDSTDRKRDEETNHRHSDRIMRRRDEHSRNNRYGGSRVGTGPYDRKPELTWRQKPLGERNGNRVELGTNSCDVVPYEQSMVSRSDGKPSNEEMRSPELTVTRRLASTIITPSRADIPMEENVTKRAKEATRSLSFTALSDQELQDGVGNGQIIGALSDMEIADPHDGEMMDYDVSNDDLLGLELTEMGSGSRQDSTKEAGISAAKVTRSRRQSVKTNVSLGIPSKKFGILRRGSPRKRSTSSHSDDDQISPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPRPDDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRTISSSVHGSSTINHAGSLTSVLLLTANDLITKG >A09p080840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59046517:59048387:-1 gene:A09p080840.1_BraROA transcript:A09p080840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTFTYSSYVARNIASSAAARVGSGDLRSCLDYCLRPRIFNHSQIPDLDKSSTSPSSPPVSMYTTIARELLEESPLVSGMISVMKLTGAAPDLLGMNSSSVVLGISPFKTSSVIPFLRGSKWMPCSIPATLTTDVAEIDRGGSVKVELCRDKGSSFGNGWVNKMLNMCSEDAKAAFTAVTVSLLFRSALAEPKSIPSLSMYPTLDVGDRVMAEKVSYLFRRPEVSDIVIFKAPPVLVEHGYNCTDVFIKRIVASEGDWVEVCDGKLLVNNTVQEEDFVLEPIDYEMEPMLVPEGYVFVLGDNRNKSFDSHNWGPLPIKNIVGRSVFRYWPPSKVSDTIHHHEQAIQKGAVDIS >A10p021590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14470606:14475526:1 gene:A10p021590.1_BraROA transcript:A10p021590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMDKWVAEFLIRSQHNPTVSPTNLLSALRFGDSDECVTLKVSSVLRDLHDSLLRGSVDEGTLDLLEILEKLQRSVITESHKSAYCWTAAECTLRFMWPLDPLDGLFTDALERIWTKRIGILKESGSGLVSDELVKWETDLKKAVEDPVMYQRIRESNIRYTAISFLNQLLKEQWGLLGSSSLEAVAQRRFRKRKGENNVEGDGVRSREGPNGVDERTERLESGNIDNANENGDNRDVEGVGCLEDDGIDKVNEQLAAEEEGTLGAQEQEHESSRDKGDEMAAWELKDYLLEIQRQIDPSTRQVQEPNDAIDHSVNVTSQPSRVNRTGTSGQNHIETPQQDNASEKGSSSQGTWSGRVRPRLSSPVPLNVSPLKKINSPVRRPKKFWTPEEVEALRAGVKEYATLFAKYGKAWKDIKNANPAVLAERTEVDLKDKWRNLVRTLNCMRPEGSRRQQKNQMFNEYVETDPTGRYGRFAEVLGRGAMKTVYKAIDEMLGIEVAWSQVKLKEVLRSSVDLQRLYSEVHLLSTLNHKSIIRFYTSWIDGKLPGAFYRVEDIEAQRFIGKCLVPASKRVSARELLQDPFLASDDSWMVYASGARNLKPFLNENEMDRLKLEDNETEELDSEDNKIYLKLPIANENGLAKNVSFCFDIVNDTSIDVATEMVKELEITEWDLVEIAKMIDGEISSLVPGWRSEEDDESLHDFHTPYHSSSSPSSSRASLSNYMAPGRQDWLQDDFHDETYSQSSSNSGSYSNLNYISVDEHISSQPPAMNRTHNVTRFCPEESYHLHSGQANMYAASSSSSNLRLASDNRVLTRNRSLVDVQGQLLHRSLVEEARKRRLIKTVGDVENVGFQSPYAVSRKPRSSRR >A03p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5393533:5403820:-1 gene:A03p013680.1_BraROA transcript:A03p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRIDYEIISDPLNPRCVVACFNYSIFGEVTEEDKLLLERIRGKEDNTSPKYTDQEERNLINKQIRKSQGFDVDFSKFRCLFDFYPSFLDECHSTLITETDRQFFGRLAQESIADYNIREGTSFEFVEVEKANLYRNKGYIYFITFVAKDPCDQTKVFQAKVCNVFCREIEHSFCRLKPCQKGECDEDSKRAVKKPKYNTRSNKDFEENGEQLVTSDLGFDMASSSRIDYEIISDPFNPQCVIAGFIPLWEDNEKEKLLLERIRGKEGDTSLKYTPQEERDLINGQIRNSQGFDVDFSMFRCLFNFYPSSLDESHSTLIRETDRIFFGRLAQESIADYNIKEGTSFDFLEVEKANLYRSKGYIYFITFVAKDPCHQTKVFQAKVCNVFCREIEHSFCRLKPGQQVECDEDSKRVVKKPRVDYEINSDPFDPECVIASFNALLGEVTEKEKLLLERIRGKEDNTSPKYTDQEERDLINKQIRKDLTWIFPSSDAFSISTLRFRLAQESIAGYNTREGTSFKFVEVEKANLYRNRGYTYFITFVAKDSCDQTRVFQAKVCNVFCREIEHSFCRLKPGQKGSDMASSSRIDYEIITDPFDPRCVIACFSSLIGEMTEKDKLLLKRMRGKEDDASPKYTPQEEYDLINEQIRKSQGFDVDFSKFRCLFDFYPAFLVEENHSTMRTETDRLYFGRLAKEAIADYNTRE >A09p065180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52215829:52219676:-1 gene:A09p065180.1_BraROA transcript:A09p065180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSAVEFLVPSTWEIEVAVAASAFLIASYWLFAYRVDGDDDVGFDPSRNPDDSGDAMFDKDKIGQLRGGDTQTNAPYIIKVELLAAKNLIGANLNGTSDPYAILNCGSEKRFSSMVPGSRNPMWGEEFNFPTDELPVKIKVTIHDWDIIWKSTVLGSVTISVEREGQTGPVWHSLDSPSGQVCLNINAVKLHVNASRAITGYAGARRRVTLDQQGPTIVHLKPGPLQTIFDLLPDEVVEHSYSCALERSFLYHGRIYVSAWHICFHSNVFSKQMKVVVPLGDIDEIRRSQHAVINPAITIILRMGAGGHGVPPLGTPDGRVRYKFASFWNRNHTLKALQRRVNNYHAMLEVEKKERAESALRAHSSSKRGGGGKVQVKAPVDIAAVPVKFQAFIKEEVLVAIYNDGFPSKPEQFRNVLLADDSTYTNEYRSARKDKNLNIEPWHSAEEYDGQVREIKFRSICNSPMCPPDTAVTEWQHVVLSPDKKTLVFETVQQPHDVPFGSYFEVHCRWRLEVKEETSSVLDVRVGVHFKKWCLMQSKIKSGAIDEYKKEVEVMLEVALSHLKSHSSSSSRGDTDKNSALSLPPIPENTS >A02p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8901614:8904280:1 gene:A02p019250.1_BraROA transcript:A02p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 6 [Source:Projected from Arabidopsis thaliana (AT5G49820) UniProtKB/Swiss-Prot;Acc:Q93YU2] MPLKHSSPDTISSSSDSVRLLSRETLRISASLASPPDDLLPQALPDSSHFLRSTLRLICCEEIDGRRWKYVAESDGSGKFKRNSVVRAVSLESPRTPFDEVGSFLRSYVVPEGFPASVNESYVPYMTFRALKHFFGGAMGVFTTQTLLNSVGASRNSSASAAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAAVELGTAAVPHLFLPLACAANVVKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLMGTGFSILISKRNPSLVTTFGLLSCGYLLSSYQEVRSVVLHTLNRARFTVAVESFIKTGRVPSLQQGNIQEKIFTFPWVEDRPVMLGARFKDAFQDPCTYLAVKPFFDKERYMVTYSPTKGKVYALLKDQASSDDILKAAFHAHVLLHFMNQSKGGTSKSVEQLDPPAFAPREYELESRIAESCEMVSTSYGIFKSSAAEQGWRMSESLLNPGRARLCHMNDEEE >A09p005300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2874099:2876639:-1 gene:A09p005300.1_BraROA transcript:A09p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQHFTGLRCSPLSSSSRLTRRAAKNFPQNKSSSVSPTIVAAVAMSSGQTRERLELKKMFEDAYERCRTAPMEGVAFTVDDFAAAIEQYDFNSEIGTRVKGTVFKTDANGALVDISAKSSAYLSVEQACIHRIKHVEEAGIVPGMVEEFVIIGENESDDSLLLSLRMIQYELAWERCRQLQAEDVVVKAKVIGANKGGLVAMVEGLRGFVPFSQISSKAAAEELLEKEIPLKFVEVDEEQTKLVLSNRKAVADSQAQLGIGSVVLGVVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLGSDLPDDGVDLTVGDIPPAVDL >A02p051420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31565165:31566510:1 gene:A02p051420.1_BraROA transcript:A02p051420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFVFIVLIRCWSVPWSDLRCLGALATSPERLSQVAPARATSSSRSRFDGARHEETRRERPPGAAMLGRSACSAWTIFMLFQGPFGHFSKLDHPRSNPYVHEFSFPLVKKNWFDNLLYYII >A07p038520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20486046:20487118:-1 gene:A07p038520.1_BraROA transcript:A07p038520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDHRRWMDQTASCGYFSLDISNYQHIGHSYASNREEEIKNPNQSNYGKRSKKDTRVCGSFRHSSKASVVRGHWRPDEDAKLKKLVALDGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMQAHNLYGNKWAMIARFFPGRTDNSVKNHWHVIMARKFRGQSSVYRRRKTVIPLKPLVNPNPQYSCNDFDPSRSDTIHLVSNDKNHLMLPIPCFPGYAHGNESPLMLGMLENQMMVDDHSARTREATTFDFLNQTEKCEMLGEGMNEQKKPHFFDFLGLGTV >A01p056420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30724875:30725152:1 gene:A01p056420.1_BraROA transcript:A01p056420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFLRNQRYARKHNVKSGENATTED >A04p009710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7577724:7578805:-1 gene:A04p009710.1_BraROA transcript:A04p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGTSLF >A03p070730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31110587:31112908:-1 gene:A03p070730.1_BraROA transcript:A03p070730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BES1/BZR1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G36780) TAIR;Acc:AT4G36780] MRLRRLYKSKLTVSLKKKTPHFYLNPPIQKRKHHTFTCLPFVACFWAAIGRLLASVAVKFVVFLEFLRRNLLPPSSSTVAFVFLALLRRLSGPPLPSSVSIFSGNTAFSISLSPRYKFIPPIGLRVTVITKRNALSLVRQTDKDRKRFREIRAFSMAAGGGGGGGGGGSSSGRTPTWKERENNKRRERRRRAITAKIYSGLRGQGNYKLPKHCDNNEVLKALCLEAGWIVEDDGTTYRKGSKPIASDMLGTPTTFSTNSSIQASPQSSAFPSPAPSYHGSPVSSSFPSPSRYDGNPSSYLLLPFLHNIASSIPANLPPLRTSNSAPVTPPLSSPTSRGSKRKLMTQQLPRHPLLFAISATSSPTRRAGHQTPPTIPECDESEESSFEDSGRWINFQSTAPSSPTFNLVKQTSMVIDMKRSDWGMSGMKINGSEFEFENGTVKPWEGEMIHEVAVEDLELTLGGTKARC >A04p008180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8476614:8476941:1 gene:A04p008180.1_BraROA transcript:A04p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQWPLARLPHLRFFSTRSLFRGLGCCSTNFSLSVLGNYGRLVVLVSLATSLNARSSITSQHHIGQARGRDYGVCGHVLLGKTSCAGRLRAN >A03g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11035370:11036823:1 gene:A03g503380.1_BraROA transcript:A03g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNRFGVYEGFKKFDCLDDCFLFQMANPHEPHFFKPLLPGFHNGVTMPLSFFSHHIQGKTNGKKWKLRSDASDQTWEVIQEGRRFTGGWKDFTTAHDLQISDILVFKHEGDMVFHVTPFGPSCCEIQFTHPHGIKEEADVDDTHSFSFNYCFLAEVTASNINEDKLYLPVEATTCTALNKQLKEIILVNKEGNSWTASLRYSEADDMFYIRKGWRKFCEENICTIGDLFVFNVVGDGNTTPLMCVCPERKECSELLIKHLSRMNGDIASSSRKLENRLEM >A04p018090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10910531:10911409:1 gene:A04p018090.1_BraROA transcript:A04p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIRKYVPSNELLEDLCIRFVLNAPEEDKQSFERILFLVECAYWYYEDNVVENDPRLKSLPFKGFTCLLFKSCELFSPYLAHIDDIFRDFSSYKSRVPVAGAIILDETYERCLLVKGWKKSSTWSFPRGKKSKDEEDYACAIREVLEETGLDVSELLKKEEYIESTFRGEQTVRLYIVAGVKDDTAFAPLTKKEISQIAWHPLDRLDDGGGVTGLKLYMVAPFIANLKSWISKHPSSVARRPEKPLKSICVWNAKTTTKTERSYNKRASKQSPEFNTLALLPAKEPCSSA >A06g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19197799:19199427:1 gene:A06g506950.1_BraROA transcript:A06g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREINTTHPLLVLTGDRTLGSTGHTGTPQIRTSSPSRAYGTLMPERINRRGEISSTPPLPPEARSDDGGTKEASPPRREKGSGDGTDAHAPADRRIPFEKTFLSLTFLSLSRHIYTNSASFSTLSLFLQWLQSLKFKIREQKNLSLFSLLYLSQREKAIIAGSFPVVPCIGFVSGKGGYHSTVLAGLCLPRVGLLFRLKRCFGSIYAESKSCRGRLLFPVLPVSGMSPVERRLVRPATTYLWVKLVTSLLKNTYVFLPSLELYTCELSWQNHDLPFAFFCYWALTLFVIFMFAFLGLYCTLSLFNNTS >A03g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19428801:19429336:-1 gene:A03g505480.1_BraROA transcript:A03g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWDAVPLLQSYGRRAPSGGQGVEEGRRENKKEGDEVTENLLDIDPLENMVRLRDDVFKCRRIKKEKLQRISRRVVDTTEAMSGVVVNACYFQDHTAPSYLNELIRHGQLLME >A10p033150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19418837:19419916:1 gene:A10p033150.1_BraROA transcript:A10p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGSNDSDQISPYVSNRDQRHLMAHQPVEDRIIRALRHRLRLLSRPNDATFHVLGATCNVYTVTLTATPTCTCPDRKKPCKHILFVLIRVLGIPLDDKCLRQRKLRPCLLYRLVSAPTRPDCLASFHLQQRFLQLFATVNSHYGNTNSSSTPAPENEVEDEAATCPICLDDINVIKSVNGEHVGGEESERAVVKCRVCKNKVHDECMLKWRASRGRRPAICVVCRSRWRRGSGSSRTRNVGGSNEINFHGNCYLNLAPYVNEEDEDGVGTSQRSC >A05p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8996048:8998600:1 gene:A05p019110.1_BraROA transcript:A05p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQTMDVVVHVSSSGGNIFRPDNALTPEPRRQTHLSAPAPEKILTLFALRLAVLEKIASRLGSLGFVWATVVLLGGFAASLETSDFWFVTVILVVEGARIFSRSHELEMQHQSKYTISGINTFRLLVRQFIRIFRKEAQIAGNNDISSVQERKTEQRTARQIERTRTWTTSDVPMLPYTGWVFVSRNVSRVCYWLQIASAFASIIISMIKLIRQDYGGSELKSRSTNLHSSLTLFYSLALAEALLFLVEKAYWEWMISVYKILDKVNQECGLERSGTDSVRRFFYNAYSRCLNGSIFDGLKMDMVVFAMELLVSNSPDEQLIGAEILYRFSTSQDYSVDTLQKIGTNLEIIERLVEMLNWRNKNQEVMRMSSAEILSRLASKKQNSLRVAGIPGAIESISSILDSTRDSGQATDEIGENSINQTDRWTFNNLGLLILKRLARDHDNCVKIGKTKGLLSKIIDFTYAEKRFLKDPNLAANRILAVKRSLKLLRKLVKTTGATGRNLRRDISGIVFTVSNIRETLRHGKKQPGLQKLGAEILTSLALDEGATEKIGGTGGVLNGLLCIFLNDDIPGNQTSGVRDSVGETIAMLAQGSQSNCQRMLRSDDVLQGLVEALNNPLIRSNAARILRNLCAYTDPNQVMEQLKEVKSAGATVLKAIMSEKSKPQEVMVGLAPHIFRLMSADESRELFEEAGVTKEEVANALVNILKRHEQPVPKVPRIRRFAIELTIQMMRTNPETVKTFLNLGMKNELETVFETAAEVENFDIFSGTVGLARHGLTINELTEDAIRLLS >A08g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9394074:9398406:-1 gene:A08g505610.1_BraROA transcript:A08g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSKKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAWEGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKFIHRIITKKFPKKN >A03p070720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31108270:31109556:1 gene:A03p070720.1_BraROA transcript:A03p070720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKKKPSSLAATTTTGDGIDDDGAANAPIPIEDDQTTNTAGTTTPSITARTIAPPLKIFVVFYSMYGHVESLAKRMKKGADGVEGVEARLYRVPETLSQEVVEQMKAPVKDSEIPEITAAELAEADGFLFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVFAGDGSREATETELALAEHQGNYMAAIVKRLAQP >A08g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9283737:9285750:-1 gene:A08g505550.1_BraROA transcript:A08g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSFRLDETGINHRPSRDARREVADSYKEILTSLKDIWERKKKEPSKEIQIQEVVVNIDLLGNIKDIGLNVEEELGRLKDMEKEYESVFELSVVPDWSLSDFNLPQILEDSVTRDHVSPSIADEESRSHYSCLSFDNVVKEDELLRIREDPKQDQSCWIGENPFGQCELCTHGETIPRVNLNSPTSSERRDSTPKKAKTAGADHNSNSSNEVPIAKPFHWQFYHAKDCPITEDPDSVVHLVRHFKQAGCPLPSLRNMTERDAYVKMVVANANDMEANNEFPATLEKRLKDISRSNELYEIKKVRKSAHELVTSLEAQIESFAIEYADDLCRATYEPKKILADGYLDVLISLKDKWERKKITSNCETQLREVMANINLLKEIMNYNWQASDELSHLPHILEDSPDEFFTKIPPEVNDPGGDSGQLDGQREDGEFGADRRT >A09g505290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:16478138:16478428:-1 gene:A09g505290.1_BraROA transcript:A09g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTQLSQQHFSHISNTLSARLCSPPEKTLSAGVPLSGQLLRCSAFAPPGAQPSLHQATSLSPPETPLSLKFRPTLTVVLSDSCGEKGKQNQNLEI >A10p025150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16163207:16164775:1 gene:A10p025150.1_BraROA transcript:A10p025150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFFSPLTSALLFLTLSLALYCSIDPFHHCAISDFPNFIAHEVVSPRPDQVPWERDSQNSLQGSKILFQDQVQGPESVAFDPLGRGPYTGVADGRVLFWNGENWTNFAYTSSNRTEICDPKPSALSYLRNEHICGRPLGLRFDKRTGDLYIADAYMGLLKVGPEGGLATPLVTEAEGVPLGFTNDLDIDDDGTVYFTDSSISYQRRNFLQLVFSGDNTGRVLKYDPIAKKAAVLVSDVQFPNGVSISKDGSFFVFCEGDIGSLRRYWLKGEKAGTSDVFAMLPGHPDNVRTNKDGEFWVALHCRRNYYSYIMARNPKLRMFILRLPITARIHYMFQIGMKPHGLVVKYSPEGKLVKVLEDSEGKVVRSVSEVEEKDGKLWLGSVLMNFVAVYDL >A08p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13003445:13005636:-1 gene:A08p018990.1_BraROA transcript:A08p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCIRLEFLPSDVNLASLHYLNLTGCARLRSFPRISSNISRLLLGGTSIVEDEDCFFIGIISRLTELVWSDCPMRYMPSDFCAEYLVELIIPGSKLVQRIVNLSGFYLTPSALFLKRFGDSRLDILEPPTDPKDRSVNIGVRWYVGGKSGVHYFYLDVDSCKMDHLVMFHFGFPLGEVYSPPSKLGYNHVEFEFFLHNYACSCVRFGPGLNGGAESENNGDVMKRLREARGECGESSRCLVASSTFDTLSRKGPIPTRRVFWSSCRFLVNRSDTNCWDCEIPCPTLSYLINTPCLIIQCGTLIDISQPNPVILLPFIRAAPSLTVSFFYYRDDKAKPCYSHYVYDLNAETENSDEVTQCRCVTEES >A08g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12969510:12970594:-1 gene:A08g507390.1_BraROA transcript:A08g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTRENDVLEGRKFLVHAALKAKRTAIIDVLLDEYPSMAEERDEEGNTCLSFGAYIGFSAGVLKLLNRSIQSVYVCNDDGSFPIHCAVEKRHFDVFYILVRHCPNSIYLLNKQGQNIFHLVPKSGKSSLWFLLRLHLLISIKKKQLMGKQDVDGNTPLHLAAINWRPRTLYFFLSRFGIGYSTTDKEWLYIRNNSGLTALGVVESNMQPNYIFVERLTLMVLSFFHNKTITKRSDITAGDKSKDYANTLLVVAALIATVTFAAGFTIPGGFNNSTPKLAIGNSS >A03p060160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26071300:26072452:1 gene:A03p060160.1_BraROA transcript:A03p060160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGANDERRTPLMNLGVQVSMRVLAIGTALASMGLTITNHEVASVYGINFEAKYDYSSAFRYLVYAEIAIAGMTLFTLVWACLAVRRRGLVFALFFFDLLTTLTAISAFSAAMSDGYIGKYGNTHAGWLPICGYVHNYCNRVTLSLALAFASFLLLFILTVLTASAARHF >A05p019780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9277810:9278166:1 gene:A05p019780.1_BraROA transcript:A05p019780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIHSRRAFSNIVTLDYAIFITFLWATNLYFNRIIFAYDFKELFLAVKKPHQWHTLRYQADELNRVLSLMKDYQLMWVLVEENRGAACNKTRKIPFLGANGHPAWLFELFVNESRTL >A08g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9176967:9177907:1 gene:A08g505430.1_BraROA transcript:A08g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDAHQSISTTGQLALSILWYIWIARNNLVFSDKDITATESLSKAIAAAREWGSCQLGMGPTSSTQMAPTTRESNCALIKTDVAWNESLKLAGLGWTVEAQNGRSSHYVPAHHVRSPLAAEALASSLKALKSKSSLVGLYGVMADILSLAASFECIFFNWISREKNLEADKLAKQILSVELVLMAPLTLD >A02p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5118191:5120352:-1 gene:A02p011670.1_BraROA transcript:A02p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEKPLDSTHGDSATSSNQNSFVTFIFVLNKKAEGSYAITEETSTNVQQWRRKNLSLQIPSRTTGLSPEDSVVIKMPPTPSPTPRRVNFSLTSTSPGPGPTSSNVPPRGKSSLKNLIPKAGFKPKTSHTDIEKGQGDVVYSPSALQEKASISRSLSLSKLFTPRIKRTSSLPVTPIVLSKSESAHGGSSAAPHTPSSKGRVQIARSLSVPVNDKEASLKRMDSFFRVIPSTPRVKEGDVFSNASEAGNTETCEADGEDIPEEEAVCRICLVDLCEGGETLKMECSCKGELALAHKDCALKWFTIKGNKTCEVCKQEVKNLPVTLLRIQSMRLSGVHQIDVTGYRVWQEIPVLVIISMLAYFCFLEQLLVEKMGTSAIAISLPFSCILGLLASMTASTMVMRRFVWIYASVQFALVVLFAHVFYSVVELQPVLSVLLSTFAGFGVCICGSSVMVEFVRWKRRWRARSLDQQQLNHAQTLDATTSQHHPNAS >A07p045700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24766193:24771811:1 gene:A07p045700.1_BraROA transcript:A07p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKISRTGRKFRPKVSTESATPDSPEQLNPKSIVLSAKSKAVVESHGGEGSGFSQSSLLHVSPDHEVSFVLSLYPNGYSIGKPSENQAVQQTSFRDAPKVLHLYDRAAESLLSAIEAGRLPGDILEDIPCKFVDGVVICEVHDYRKHTPEQVSPVINKVRLKMSLENVVKDIPSMSDNSWTYGDLMEVESRILKAIQPELCLDPVPRLDRLSENPVSAKLDLSLSTLRRKRLRQMTEVTVVSQNKIHGKKVCIDRLPESSERGNMQGHLLMHQTHHNQAFQNLGTNMPVGLRNQALQDAPTSPLPLVQPQQQRYLGTGNIRNMQDQGSNAVSVSGASPGGLDAMLPYASDSMNPGPSFHRKRDSQEVQLSSMPGLNKRTRVSHMGPDMVPQQQLGQRMDGPHGTDTNWKNALLQQDMLRRSIQYPNANIQRFSPQQIGGAMNQEAGPMQFPASQQGPMRYTSKEEPFETGKTDGNIRNNMPGVGSDANDLDPRIQPRMPHNVFNRSNFPQTSWNANPGQQIEKDLKKEEQFSRRVSSQSPRLSAGAPPQSPLSSKSGEFSGGSMGTHYGAVAAAQKDKAVTSIPAIGATQSVGSSGNDAMQQRQHQMAPKRRTNSLPKTQVMTSVGSPVSVNTMSVPVNARSSSVGTQALGDHSILDRFAKIELVAARYQLNCKKHKVDEYCRRPRSYDQGYLMDCLPKLSNNEEFKDEYKVLSKSILGGSMNTCKTRVMNFLRVDRVMQGNVSSLVPRIRTRLVMSEKPDGTVAWYQGDIDDGDTCPSEDHLLVLPNTHIADLLAAEFKSLMMSREGYLMEEHIQAKSNRGDADPSSSQPNAGNGFPRGNSANDMQQNGDGAAGQAPGEASKLGITGSAPINTTQNIHANARMLPPANSQAMQMSQGLLSGVSMPMQQQQLDPQQQAALLSQLQQKNQQSMFTQQNPQMQRASMNMPTNPLSAINSMSQSSGMQPGGQMANKYSPYQLQMLQQQQQAIQRKILMGQGSGVGMGNNMAALGAFGNQLNMAGRGIVGSGISSSMSGPGISNMGQNPMNHPASNLNVISQQIRSGALPPHQSAAVLANLSPQQMNQQTPLSPQQMNPRTPMSPQISSGAMHPMSTSNLEACPASPQLSSQTHGSGGSITNSPMELQGPKSNSAGNNP >A05p020020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9396321:9397328:1 gene:A05p020020.1_BraROA transcript:A05p020020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATNATSYWCHMCSQTVNAVMMEDEIKCPFCRSGFVEEMDEDRRATTTTSIWAPILMEMMNNSATRNQSAESVENENGNGRDLDSQLQEILRRRGRRSSVSVVQLLRGVRALQPESSSNRDDDDNNHPSNGRLIVISPHHQIIAVPRSLVTDSMPDGSSLSDYFIGPGFEALLQRLAENDPNRYGTPPARKEDVESLAIVKIQEPSLQCSVCLDDFEVGVEAKQMPCKHNFHADCLLPWLELHSSCPVCRYQLPTDETKTTADSATNDNNGVNESSSASSSSSSQGTENSDANRHEGEEEEENDDGNRNTFSIPWPFSSLFSSSSSQDRNSSD >A04p010720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7044548:7044823:-1 gene:A04p010720.1_BraROA transcript:A04p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSLFDTKQIIRQSLTTESSTPKGFLAVYVGKNLKKKRFFVPVYYLSKPSFQALLRKAEEEFGFDHPTGGLSLPCDEAFFFTLTSQIR >A10p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6577669:6578627:-1 gene:A10p011080.1_BraROA transcript:A10p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQNQSSTSSCNIHPIDFVEGVCPICLNERLLVLAYLQRRHAPSPSPSYHTIQEPKIYSQKSSKKKNIRLFSLLGSFQLRHHNSDHRANSIISPEDSFISINFENNGTTSWEKEKESYQLEHSTASCDHQYQHITKKEIIPRLMRRPLLTWSKRIGRLIHVISFRRRSSAKVKGDDGLSRSCLKPSPLTKKENAKS >A09g516620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48927372:48927671:1 gene:A09g516620.1_BraROA transcript:A09g516620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A01p025860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19903697:19910987:-1 gene:A01p025860.1_BraROA transcript:A01p025860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRDGLLLGKKMILKSDYLPACQNKSVNPRIETAPNYHQARSLHVHGVAMPTAVGIRNLLDHIGAHKASNQVQVLWISLREEPVIYINGKPFVLRDLDNPFTNMGMKRLNVDQMEEDLRGDASRHGNKILVTDELPDGEMVDQWEPVYQELQAEGYLVKYARVPVTEPKDTDFDALIRKISQADINTEIIFSCQMGRGNTTAGMVIATLLYFKRPGASDNSFGRIFTTGRNITYDLPNSEEDKIRRGEYAVIKSLIRVLEGGVKGKRQVDNAIDRCASIQNLREAIPTYSSSILHQPDEKKREAAVSLFVEYLERYYFLICFSVYLDSEGAFLQTGSLDHVSFADWMQARPELYGILRRFLRRDHMGALAAMKPSLTKVEESTDGRPHEMSEVAALRSGLVLGSQTVLKSDHSHGCRNASLRERVDGAPNFREVPGFAVYGVANPTVDGIRSVIERVWSLRGRRPVFWHNLREEPVIYINGIPFVIREVERPYKNMLGYKGTDRYTVEGVEALLKEDILREAIRYNGAIMVIHETEDQNVFDCWEHVDAYSVQTPLEVFKNLETEGFPVKYTRVPVTDGKAPRSSDFDTLTWNIASASKDTAFVFNCQIGKGRTTTGTVIACLVKLRMNYGRPIKVLTDSIVYDDSLSGEEEHGRAFGMDDILLLRKFTTLFDNGVESREALDAVIDRCSAVQNIREAVLHYRKVFNQQHVEPRLRNAALKRSAEYLERYFWLITFAAYIGSENLDVAFVKGGYKNWLHEKPEVQALKWSVRVRPGRFFTIPEELQSRQGDAVTESIINKRSGSVLCKGSILKKCQRTSSRLQIDEEGEGSTETIREEAHVYINGIPFVLRELHKPVDILTHVGIAGVVVETWLKEDILSEVRETGGRMLLHREEYSTASNQSQVIGYWEYIQPEDVKTSAEIYAAQERDALASNVDAIHGCKDELWGKCGYNQQSPDEMILMIVRFLPAKEGARVSVLSKRFQKLFTIIEDPELDENQENLRGFLDRVSALPELITRIRRFSLKYKERVNSADFALVNKCICHVLKLGVMDFQMCLDGVEKGYSLPPELFTSKTLAKLTLGKGFEIEALPRDAFLPALQTLVLDSVRFFSLRGCAFQGLLSASPELRELSLRRISIGRVFSAEFDGPHHQSISFDTPNLQYLEYSDLVAHDYPIMNLPALQEAKLTLQMLVNTQWDNVDLPHDVDIYWSNPKKLLKGIRNVKVLHLSSSSTFEGLNYFSKSIPILEDLHHLTITHEDIEYCWEFLPCLLERSPNLKTLIIRGGLHYGQDDVCRCLSSYSCLVTSALEVVEVSLHNSTSRAEWDQLEHFLGKLPCLQLLKVRCGGKISREEKLRMARELCQLPKASSKCKIVCT >A09g512260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35830829:35831711:1 gene:A09g512260.1_BraROA transcript:A09g512260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDGVLFFSWISKSLDVLPKGGGKGQALAYLLNKLKAEGKLPVKILVCGNSGKDTELFTLNVYGVIVSNSQDSREQCKDNPREDTIDELGKNHGDKKDKKFPIWTDQVLATEATPRTWIVKLNKWEQTGN >A08g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14142207:14142875:-1 gene:A08g508010.1_BraROA transcript:A08g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEYDLTSLLEAEAALYAEEAESSYNIGEPVQCPPQPFQYLCGGHTNAEEMRAFERQLSLLKDQVRESDQKLAKLEKTLCDELCKKTSWITILGVSLLLSLLLLIAEQRVGATSSTALDKQCKETILVNKEGNSWNVSLRFSESGGKYYITRGWRKFCLDNRCEIGNLFAFNVVGDGKTTP >A09p059270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49637636:49638825:1 gene:A09p059270.1_BraROA transcript:A09p059270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCGGLDYKDAESHISASSPNKCSNNGSNHVSVTGSEDAQESDGDDSGNIHQYLNEESKDITEPVITEPLLPLKSSDDEGEDKDLAKNMLSVSPQVSAAIVIPAIKGSREKHGKSVEKLSVSWAEDVYDPPPSIVSHTRSKKQQQHQKSKSSLKKSGKKGHKGSSSSSSSSSSRGSKDKKSSSSSSSRSKHSRDKFGWATQMPIAAASS >A09p054500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46933577:46934457:-1 gene:A09p054500.1_BraROA transcript:A09p054500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLHDGTLCSTKRDQMKDYGESFHGSFKRIKQEDQTPARLEKNSTLFDQRLKSDNARLIKPDVQLHLDTKFHSETFEDRRTYLDLELNLSSSSSSTIKTIVKKDESSKGKNLIMSPSKKRKSGDIKLSRSPSWLAFEGGDDKDDQKKQEMVTTVCMKCHMLVMLCKSTLVCPNCKFTHPNDHSSTKNF >A01p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:700767:702089:-1 gene:A01p001590.1_BraROA transcript:A01p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNLLLLFISLFLTVNLVSAQLRRNFYAGSCPNVEQIVRDAVQKKVQQTFTTIPATLRLYFHDCFVNGCDASVMIASTGNNKAEKDHPDNLSLAGDGFDTVIKAKQALDAVANCRNKVSCADILTIATRDVVNLAGGPRYEVELGRLDGLSSTAASVEGKLPQPTDDVNKLTSLFAKNGLSLNEMIALSGAHTLGFAHCTKVFNRIYSFNKTTQVDPTVNKAYVTELRASCPRNIDPRVAINMDPTTPRQFDNVYYKNLQQGKGLFTSDQDLFTDRRSKPTVDLWANNANLFNQAFVNSMIKLGRVGVKTGRNGNIRRDCGAFN >A01p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16986126:16989174:-1 gene:A01p035550.1_BraROA transcript:A01p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein phosphatase 2A regulatory subunit B''delta [Source:Projected from Arabidopsis thaliana (AT1G54450) UniProtKB/Swiss-Prot;Acc:Q9SLI8] MAQSKAMESLTLDIELLQLPETSPMSMKSNQDFVKKLFDQWLALPETNRLVASLVNDIKSGVALNVVSGGFSATNSGSNSPLASMFPARNGPPLSPRNSTSSPRITRQRTGLSNLSSPLKVVSDHVKELIPQFYFEDGRPPPNDQKEQCIAKINTLFHGHEDGLQLQEFKLVTSEICKVPSFFSTSIFRKVDTNNTGFVKREAFIDYWVKGNMLTKEIATQIFTILKQPDKKYLVQDDFKPVLQELLATHPGLEFLQGTPEFQDRYAETVIYRIYYYINRSGNGHLTLRELKRGNLVDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYSNHALTYRIVDRIFSQVPRKFTSKTEGKMCYEDFVYFILAEEDKSSEPSLEYWFKCIDLDANGVLTRNELQFFYEEQLHRMECMAQEAVLFEDILCQLFDMVKPEDEGYICLNDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQERANPTWTEWDRFAHREYIRLSMEEDVEDASNGSAEAWDDSLEVSF >A01g510550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29029008:29036152:1 gene:A01g510550.1_BraROA transcript:A01g510550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLGFHQFPSNMVVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPERRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGATSQSDPLKSLPKAGATCRSDMPRSLRSPEADYLLIFGSIEKYTRTLLRTNEHSSRHRACLELCLGLSSILDHPRSNPYTHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEHNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEPPEADYLFFGSIEKYTRTLLRTNEHSSRHRACLELCLGLRLICFDIPQNWFDNLLYYNICLRSLENS >A02p007370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3100844:3103184:-1 gene:A02p007370.1_BraROA transcript:A02p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALADLINLDLSDSSEKIIAEYIWIGGSGLDMRSKARTLPGPVKDPSELPKWNYDGSSTGQAPGDDSEVIIYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAKIFSDPTVAAEETWYGIEQEYTLLQKDTKWPVGWPVGGFPGPQGPYYCGVGADKAFGRDIVDAHYKACLYAGINVSGTNGEVMPGQWEFQVGPTVGIAAADQVWVARYILERITELAGVVLSLDPKPIPGDWNGAGAHTNYSTKSMREDGGYEIIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKDGKGYFEDRRPASNMDPYTVTSMVAETTILWKP >A03p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7405973:7409990:-1 gene:A03p018150.1_BraROA transcript:A03p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDMLDMYSGEDDFYSDDYKDSDNDDDDDDDDDDDGEPDYGFVEEDADDSAMIASHRSQKNYCVLREEDILRHQVDDIERVSVVLSITEVEASILLRHYHWSVGKVHDEWFADEERVRNTVGILENPVVPPSVDTELTCGICFDSYPPEKIVSVSCGHPFCTTCWTGYITTTINDGPGCLMLRCPDPSCLAAVGHDMVDKLASDEDKEKYNRYFLRSYIEDNRKMKWCPAPGCDYAIDYVAGSGNYDVSCLCSFSFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPRCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGAWMDHGERTGGFYACNRYEVAKQEGQYDETERRREMAKNSLERYTHYYERWASNQTLEKLSDKQCTPESQLKFILEAWLQIIECRRVLKWTYAYGYYLPDHEHAKRVFFEYLQGEAESGLERLHQCVEKDLLQFLNAEGPSKDFNDFRTKLAGLTSVTKNYFENLVKALENGLADVDSHAACSSKSTSSKSTGCSSKTRGKGKGSSRTG >A01p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:370927:375028:1 gene:A01p000950.1_BraROA transcript:A01p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPVRNPHTSTADLLSWSETPPPDHSSSSAARSHQPSDGISKVLGGGQITDEEAQSLNKLKNCSGYKLKEMTGSGIFTNEPKGVSESDSATGLRYYQQTLNGVSQISFSADGNVSPKKPTTLTEVAKQRELSGNLLTEADLKSKKQISSAKIEEISGHNIFGPPTEIQPPRSLAAAQQEARRGNRDMGEPAPRNLRTSVKVSNPAGGQSNILFSEEPEVKTSKKIHDQKFQELTGNGIFKGDVSPGTGDKQLSSAKLREMSGNNIFADGKSESRDYFGGVRKPPGGESSISLDESKRNQRESVTEEKPNFLSWYKHHLRNFFHAVKFHKERKGTSVVNPDDGEDDGLEKKPMVKEEPIIIVQAIILPEISDPSLLLKEQSRRSLYTSLPALVQGRKWVMLYSTWRHGISLSTLYRKSQLWPGLSLLVVGDKKGSVFGGLVEAPLIPTDNKYQGTNNTFVFTDKSGQPTVYHSTGTNRFYTLCSKDFLALGGGGRFALYLDSELLHGSSACSETYGNSCLANSQDFDVKHVELWGFVYGSKYDEILSLSRKTETICRWS >A09p014840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7740556:7743285:-1 gene:A09p014840.1_BraROA transcript:A09p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSNVLPAPEDPVLSVIFACRDDPCPVKLNLSVGAYQTEEGKPLVLEVVRKAEQQLANDLYCDKGYLPIDGLADFNKFSAKLILGDDSHAVKENRVVTIQCLSGTGSLRVGAEFLAKHHQQRVIFVPNPTWGNHPFIFTLAGLSVEYFRYYDPHTRGLDFEGMLEDLGAAPSGALVVLQACAHNPTGIDPTLEQWEQIRQIVRSKGLLPFFDNAYQGFASGNLDSDAQSVRMFVSDGGECLIAQSFAKNMGLYGERIGALTIVCTSEDVARKVKSQLLLVVRPMYLTPPIHGASIVTTILKNSDMYKDWTIELKGMADRIISMRQQLHEAIQARGTPGDWSHIIKQRGMFSFTGLNEKQVRMMAKEYHILHDL >A01p052430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29446753:29447328:-1 gene:A01p052430.1_BraROA transcript:A01p052430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISREKYRQTRLQQYKNTMNMSLSSEKKEVLNVHGHVAPSEVGIYQNAKFSLEVTKLSRLVHLETSREFSGRIYADPTCIFESKW >A06g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11575178:11576609:1 gene:A06g503660.1_BraROA transcript:A06g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A08g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20680358:20681427:1 gene:A08g509770.1_BraROA transcript:A08g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESNEDAEAVLSDKPSPVALNDPPREDAQDEKLTELIAELDRERKAREAAESSKSELQASFNRLKALAREVIKKRDEAKRERDEAFKEKESLSKELESVSKGKDEMKLKLDEAVRYRDGLKGEIESSSHMLVSGIEKISGKVRSFKSFSGLPKSQKYTGLASIAYGVIKRAIEIVEELVREIDKSRNEAREQVDQRNYEIAIELERGVSENEKRIGELEKDRLEKVSVLEGEVVEMKQLVDEYDGKLKALEVKMVAQRPLLVEQLNLVSKIHDQLYEVVRIVDVNSSEELDLSKSFFMPQEIEMEENIRESIFELTKAVSGKTQSLVEEKSHEVKI >A01p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6277435:6287933:1 gene:A01p012770.1_BraROA transcript:A01p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVVSGGVVSGLGKGVTASSIGLILKSCGFRVTAIKIDPYLNIDAGTMSPIEHGEVYVLDDGGEVDLDLGNYERFMDIKLTRENNITTGKVYKHVLEKERRGDYLGKTVQVVPHITDAIQEWIERAAKIPVDGQSGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGSENFCLIHVSLVPVLNVKTKPTQHSVKDLRGLGLSPNILACRSTKPLEDNVKAKLSQFCQVPMENIVTLYDCPNIWHIPLLLKEQKAHEAILRVLNLTGIAKEPALEEWSLMAKMSDKLHVPVRIAVVGKYTELLDSYLSIHKALLHASVARRKKLVIDWIPASDLEQGAKKENPDAYKAAWKLLKGADGILVPGGFGNRGVQGKILAAKHARENKVPYLGICLGMQLAVVEYARNVLGLADANSAELDPNTKNPCVIFMPEVNPAMVPRFESSGLTFPGKDETGQRMEIVELPNHPFYVGAQFHPEYKSRPGKPSPLFLGLIGAASGELDNVLQQSCQESIVSRPHSNGKLERLYWKGFGLTRSLKMSSTVWWEGVEKTRVLIAADSGCGGNKPGELLTLRHPKSENGTCYLFNNGMLQEIQWFKQSYGSWFLGDYISQDGSLYMATPVDPVFILLPVFDQARMKKGDDPGKFRQLDEILFVEGYPEYQHLLSLAEKCMEIVCQTQEVGSMKFYRLDNSKVLAWLTCKVRSLKKALPALDKNYAAQDEKQTLVDAVSIVGEYLKTEPWLKLLYDRLGLEFVDPTTKETNTETFPNAIENKMEYSNSLQERANKKTGKPGKQTKQAKVETGSKNIRDMFSRASMELESFRVGLTPTVFYIPGFVTDQEQTQLLDHIYGGSGSKWKTLKNRRLQNWGGMVHEKGLVPQELPSWLTKITAKIHESTGLFPSNINHVLINEYHPDQGIMPHQDGPAYFPVVAILSLGSPVVMDFSPHLRLRSSSDDDISRECGGPERDNQHSFSVLMMPRSLLIFKDDAYSDYLHGISDSPTQCYKQVVNEAEALAYSSGDSRKDGDKILHRDQTRVSLTCRLVPKVHKNLFSSHTPRRSFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGNSVAKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPDMVVFLNKEDQVDDAELLELVELEVRELLSSYEFNGDDIPIISGSALLAVETLTENPNVKRGDNKWVDKIYELMDAVDSYIPIPQRQTELPFLLAVEDVFSITGRGTVATGRVERGTVKVGETVDLVGLRETRNYTVTGVEMFQKILDEALAGDNVGLLLRGIQKADIQRGMVLAKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTKIMNDKDEESKMVMPGDRVKIVVELIVPVACEQGMRFAIREGGKTVGAGVIQSIIE >A03p040560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16920930:16923848:1 gene:A03p040560.1_BraROA transcript:A03p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLKRGKQEKKPVVSDGAEKVIVAVKASREIPKTALIWALTHVVHPGDCITLIVVVPSHNSGKKLWSFPMFAGDCASGHKKSHSIALPEIKSDLTDTCSQINLQLHDVYDPNKINVKIKIVSGSPCGAVAAESKKAKANWVVLDKHLKQEEKPCMDELQCNIVVMKRSQAKVLRLNLVGSPRKEAELPTGPEAASEKHTKGLPVTPISSPELGTPFTSTEAGTSSVSSSDHGTSPFLTLGMSGYMKKDGALVIKENDDNSGSETESENQSLASTSMRFQPPPLCSICQHKGPVFGKPPRVFSYAELELATCGFSRANFLAEGGYGSVHRGVLPEGQVVAVKQHKLASRKRETLEWAARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDNEPLVGDFGLARWQPDGELGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDITMPKGQQCLTEWARPLLEEYAVEELVDPRLGDRFVESEVICMVHAASLCIRRDPHLRPRMSQVLRILEGDMIMDGNYASTPGSEAGWN >A04g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9540793:9543252:-1 gene:A04g504610.1_BraROA transcript:A04g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14741469:14742272:1 gene:A06g504960.1_BraROA transcript:A06g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTQGIKIQTFSFHSLKYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAVIRHGDRTLKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRIPGRESDSDPEDLEHVEKLRQVKAVIEEFL >A04p037360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21329787:21330336:1 gene:A04p037360.1_BraROA transcript:A04p037360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGRRSTLNPNAPLFVPAAVRQVEDFSPEWWQLVTTSTWYHDYWISQHQGADGFYDNGESGEVDVADLLPESFDFDDIEDVFESVFDHQGYGGYVGQQMYHAPSDFGLGKNGEMVRKSSGNRSPRSIVEPAKYAEKPAKWGNQKVAPRNIHQPR >A01p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13378674:13381280:1 gene:A01p031160.1_BraROA transcript:A01p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 4 [Source:Projected from Arabidopsis thaliana (AT3G44900) UniProtKB/Swiss-Prot;Acc:Q9FYC1] MEFGDDRSLYLRDTWREANTICGVLPMNPSSSGIWPSTKLQDPKANIEFWNYMFPHVEIIFLIVTVLWQFFHFFFKRLGMIRFTSHMLTGILLSKSFMKENTPARNFFTTEDYKDTLFGLVGACSYMMFWFLMGVKMDLSLVRNTGKKAITIGLSSVLLSITVCSFIFFVILRDVGTKKGEPVVNFFEIIIIYSIQCLSSFPVIGNLLFELRLQNSELGRLAMSSAVISDFSTSVLSAVLVFLKELREEKTRLGSIFIGDVVVGNRPLKRAGTVVVFVCFAIYVFRPLMFVIINRTPSGRPVKKFYIYLIIILVFGSAVLADWCKQTIFIGPFILGLAVPHGPPLGSAIVQKFESAVFGTFLPFFVATCAEEFDTSMLHSWTDFKSIFIIVFVSFVVKFALTTLPALLFRMPANDCLALSLIMSFKGIFEFGAYAFGFQRGSIRPVTFTILSLYILLNSAIIPPILRRIYDPSRMYAGYEKRNMLHMKPNSELRVLSCIYRTDDIHPMINLLEATCPSRESPVATYVLHLMELIGRASPVFISHRLQTRKSEDTSYNSESVIASFDQFHKDFFGSVFVSTYTAISVPKTMHGDICMLALNNTTSLIILPFHQTWSADGSAIVSDSLMIRKLNKSVLELSPCSVGIFIYRSNNGRRTIRETAANFSSYQVCMLFLGGKDDREALTLAKRMARNARIKITVVCLVSSEQRANQVTDWDRMLDLELLRDVKSNVLEGVSIIYSEQVVDDASQTSTLLKSIANEYDLFIVGREKGRKSVFTEGLEEWSEFEELGVVGDLLASQDLKCQASVLVIQQQQQMI >A05p043970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26486265:26489160:1 gene:A05p043970.1_BraROA transcript:A05p043970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSNSRGFFNTSGVSDRNTERVTARSKPSLSHVDEYVRSVFGSSTRKSFEEDSLGVDDPFVRSLEWGDVSLRQWLDKPERCVDVFECLHVFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSADSLEDDPVSHKKREDAGSYNKILERQVEKLEEEKKQPFPMKHVLAMETSWYTSPEEEFGSPSTCASDVYRLGVLLFELFCPVPSREEKSRTMSSLRHRVLPPQILLKCPKEASFCLWLLHPEPSCRPSMSDLLQSEFMTEPRDNLEEREAAIELRDKIEEQESLLEFLLMIQQRKQESAYRLRDTVSLLSSDIEQVAKRQLVLKQKGSSFSDLSFPEEPSTLLASRKRFRQVIPPEETNDEESLFLESSRLMRNFKMLETVYFLTRRRQLKASAALGKSLTRHSPLSSENGRGSEKSSVSNSAAPKDLSQNDSRQGGWIDPFLEGLCKYLSFSKLRVKADLKQGDLLNSSNLVCSLAFDRDGEFFATAGVNKKIKIFECDSIVNNNRDIHYPVVELASRSKLSSVCWNSYIKSQIASSNFEGVVQIWDVSRSQLVTEMKEHKKRVWSIDISSADPTLLASGSDDGTGASIGTIKTKANVCCVQFPSDSGRSLAFGSADHKVYYYDLRNPKIPLSTMIGHSKTVSYVKFVDSSTLVSSSTDNTLKLWDLSMSASGVNETPLHSFAGHTNLKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVMSYMFSNTDSTSGLEVDDASQFISSICWRGQSSTLVAANSNGNIKILEMVA >A07g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19619984:19620758:1 gene:A07g507360.1_BraROA transcript:A07g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICKFLTLIFLAIVVLYPVQATAQGGDPHLMSCMQKLMSCQPYIHAVNPPPPPSCCGPMKEIVVKDAPCLCAVFNDPAILKTLNLTKENALDLPKACGANPDISLCSKTASLPPTAPPGPTSGCSSVQAVSYIGLSFLLAFVARILY >A09p066010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52540881:52545845:1 gene:A09p066010.1_BraROA transcript:A09p066010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLPILRQASDSSDKITSADPYPMDPLLDLESGTNHELSKPVPGVWRVPTTDLCCIYRVPNCLRRVSPEAYTPQSVLIGPLHYSLKLQALKSRGDITNARLMDYLNMEEHKKFYLAEFAKRPEGKNIIDGFKRVIKEDEAVIRASYLESTAWIKSPKFVEMILHDSVFILEFMLRCSVEAATTEKTWDPLIDEPCLAQEINRDLILLENQIPFFILEKLFDPTVSKLRKKTFHQLTIKHFEFQDEKGSDAKFRHFTDLLRRVRVETVPDHAFERCYESMYQMYNADKLDGGGIKFEAVENPLSVLVTFEKGVLKIPKFLADDDAEITIRNIMALEQCHYPFNSHVSTGDNNGLAWNHGSVAKMVNKLCLGVLDNGSFYSDIATKVIKHYGNSCNKSRSILRRVYFSNLWRGTATLVAAFLLLLSLIQAVTSIIDVIKE >A05p055380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32707725:32709184:-1 gene:A05p055380.1_BraROA transcript:A05p055380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKTGIKKERIPSQKEEEGTVRKGPWTMEEDLILFNYILNHGEGLWNSVAKASGLKRTGKSCRLRWLNYLRPDVRQGNITEEEHLLIIQLHAKLGNRWSKIAKYLPGRTDNEIKNFWTTKIQRHVKMSSSKNTNNIRHCLGNSQSSVITATDQGSSSKGLISPATTTTSFHVMEQSNDSYWNVEDIWPLQLLNDDHQ >A06p023030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11879106:11882625:1 gene:A06p023030.1_BraROA transcript:A06p023030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47060) UniProtKB/Swiss-Prot;Acc:Q9SD67] MITQFEFLQPVGIHDRFRFATCCSNSLLYSHSSSFFFDRSRVFRRNPNRFIPNSIPLTLHKKKLVTAFINDKRFNLWDGFSRKKRGTVVNCQEDDKKASSSESGGEGKEDQDSPANSSKRKREKERKDRVWWSKEKRREWQPIIQAQGIGVLLLQLGVVMFVMRLLRPGIPLPGSEPRVQTTFVRVPYSEFLSKVNSNQVQKVEVDGVQVLFKLKDDGKWQESETSSRLSESSESLLRTVAPTKRVVYSTIRPGDIKTPYEKMLGNNVEFGSPDKRSGGFFSSGFLVLFYMAVLVWLIQRFPLSFSMSTTGQLKTRKTGGSDGGKVSGGGETITFADVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIASNDEREQTLNQLLTEMDGFDSSSAVIVLGATNRADVLDPALRRPGRFDCVVTVETPDKVGRESILRVHVLKKELPLGNDVNLGSIASMTTGFTGADLANLVNEAALLAGRMSKTTVDKIDFIQAVERSIAGIEKKTARLKGSEKGVVARHEAGHAVVGTAVAKLLTGQPRVEKLSILPRTGGALGFTYIPPTNEDRYLLFIDELLGRLVTLLGGRAAEEVVYSGRVSTGAFDDIRRATDMAYKAVAEYGLNQKIGPVSVSTLSGGGIDESGGSPWGGDQGKLVDLVQREVTNLLQSALDVALSVVRANLDILEGLGAQLEEKEKVEGEDLHKWLSMVVAPEELAVFVKGKQEALLPARASSS >A02g510620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28168282:28170906:1 gene:A02g510620.1_BraROA transcript:A02g510620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYTLLADLRARRCSNTAEVRLLRFWEARNINKGGELMSVDMLLLDENSTLVHGSVSALRQLRFRQRLTEGSVYNLSGFDVARNSPKFRLSDGPVSIRFNEETAFEKTSVRNIPTEHFRFRSYDQILELANTGKQLPDVMGELCAIRSTITDRIPGAQRVMLTLRLERDSTIRVSLFDSLALAFHSKLDCYGREPRIVIATGINPKMVAGGGTDQSASSSKVVQAQKIEPIHVSELNQFVVTAEPQIIEFLCTAKVTEIQISEGWCYIGCSNCSKKKNSLERRLHSRVSHAMKPMPWLNSAAFLGFDTEVAKLTHVLASEAAQIVGVGATAQVDVDLPCSLADIVGNTYTFQLKLKYFNFFANHQTFTISRIFPAQELAPIPTLEEGADVTEPALLQNVAAGPEGIAAITSSVAAPSPEADGTFSQREEVAAEEADLAGNASKKARVE >A02g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22680196:22682272:1 gene:A02g508290.1_BraROA transcript:A02g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELASKVPVGEWINIDNFSLTGVGRTYRTTNNPLKMNFIHKTDISESTLRIENNFLDLVDFETILSGKPDENILIDVIGQVLDLGDLDTVNCAGGKQRRKLEFTLRDINGLSLPCCLWGTYAENVHTACQKSEDGLLVCLLRYAKIGHFRGEVQISNAYDSSQIFINPDIEEAEAFRQIESGESQAITLSETGHNKLDKKFVSHKWLQYEQKNLGELFESTEPEENAEFPDAITSLIRQTFMFGVYIEKDNATGGGVCYKVGKVWKDLSMLKVLTREESVSAHTQGTINSSGSEAPLLLHDSECNESASTPSKRKSEENIEVPNITSTSKKQCSKVVKKERK >A09p044190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35942578:35945189:1 gene:A09p044190.1_BraROA transcript:A09p044190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSSEAEDEQIVQVREALMCLNGKRFEGLRTARFLKHTTMSIEDDVFDLPLDAFICRPESVDPEIWTAKISFPGWGSPSPNWVEWVNAMAETHATVWRKAGVYDAILASRYEIKKQDELMMALVEKWCIETNTFVFPWGEATVTLEDVIVLGGFSVIGNNAMAPVKREEMKEVEEKMKKVKREIEGELGKKCSVGLWMKEMMKSGNEIEHEAFLVTWLSRFVFPSAGDLVNDVLFPASIQLAKGVTLALAPAVLAGIYRDLGLLKEHLAGYGETDTVVVKSPLQFVQVWAYERIMELQPPGQPGQLSPGEPRMARWHQHGGGQDLYGYPENVRAVLDSTTKESFDFRPYTKPLHNFKFPRFYMEDNCWVHLHSDDKNIVAFGRCLRFCKLVGVHCIEPYYPHRVALQFGYDQDVPGVVSARNETPELAWKDYIRPISGDMIYIPARVNAGDVTVRYIRWWKLSFAMLQSEAKKLSHKLLASPPRQKPSTTTAAATTTTKVTSRETKTKKGSLGRSSSSGSLIGSEKGDKHRPEWVQRSPPGWRKSPDRSSSSAIGGAKDLKGVLRGVGRTKGHGHVTFQLPVPSPKRSPPSNKTNTPLEKQNDSTVKKPRMIPRVADLAKRSSSSSQVPRNTSFVPLPHRRDNYVKNNNYSSRRASKEPYKAPSLSGYPSKRKKSPRSVDIVNSPGQKNSLSPQGSKESPKSPPSVSGSSSLTRKNLPRPQELNKSSSSSLGSVSLTRKKPPRSSEDASLCGNSSSGGHSIVKRNTESKRESPRKAQELKATNVKFLEEIVTLREHVGEEGEVTYHIPKQQFEDLSQGVQDVLHDLHSIKSALNIQDDDE >A05p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2505038:2506666:-1 gene:A05p006310.1_BraROA transcript:A05p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDGLALSLSLGFSQPKEPSLRLNLMPSTTSSSFPHMQNHKNNHLPKKTHHSSWPHLFQPSGTTRITAERNSNFGSFLRGFDVNRPPSVAVVVDLDEETAGMSSPNSNVSSVSGNKRDLAAAARGEGDETEAERASCSHGGGSCGSDDEDGGNGDGSRKKLRLSKEQALVLEETFKEHSTLNPKQKLALAKQLNLRTRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDNLTEENRRLQKEVSGLRALKLSPNLYMQMTPPTTLTMCPSCERVSASSSTVAAPPPPSSSSAH >A07p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15786468:15787678:1 gene:A07p027750.1_BraROA transcript:A07p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-targeted copper chaperone protein [Source:Projected from Arabidopsis thaliana (AT3G53530) TAIR;Acc:AT3G53530] FVSAKFLSNMFCASQASTATASHDERSVAARAIDRHNPIIKDGRRSFTAPCSSGDDYIAPYRQLSKVTRIPSSSGEGKMIQVVEKGRKSTSGSLLKLSDNISLARKSFGCVALPACDVTESTPPGSTRYLLGSEPVSSGKDTVVTEEGEPSLAKRGSGATDVEKKKKTSSGSDQASKVVVLRVSLHCHCRGCQGKVKKHLSRMQGVTSFSIDFASKKVTVTGDVTPLEVLGCLSKVKNAQFWTPPPPPPSSLPRATLEN >A02g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20936664:20949100:-1 gene:A02g507440.1_BraROA transcript:A02g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGIYRCMPSGIRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTGPSSSIDPSRPTTIDTTLCTSIDNVSSKMVNIIILTQDENGNLYDQAGHLRNATVVRNENLEEGDFKIESSMSLGGSQWCRPMSMKSHRSTDHDEDRWTDCSRHRSTSSADSTECNAVRILTHEEFAAKHPHPPSPFYEKSDRSVNSTIDRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLAYPPEPTRNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHGLWMFFRETKRKVINSVDYGRELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDENYWKERAIEMSLQDERLETHNFTNTFPTSFDAVHSTSIDTHPRPAKQPLTSIDTSKRTSIDIRAAAKIQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTQSNKMNTLPSTSTEKSMKSNHLKNTNSAEIALPSIDVTVSTSIDTTLNPNLSNSKKNNYTNIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDTADILQVTNGPDNLFSQQRGTLDVILTDHNNHAGVTTTETNPDLSRQPKGQASIDGIIETSIDRITPTSIDMDNQTSIDKRYECGSRAFDMYGARKFTWEQRDEYGVYRDERGHARSTAGEMIPVTKDNIRKILERASLFEESHICLPEHATPFTPTRLEPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMRTEIDSLRQQLEKEATTSASIDAQCAKSIDVSLPTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELL >A10p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14019267:14021133:-1 gene:A10p020660.1_BraROA transcript:A10p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQNLHLPLRTRSSLKKPLLIVLLICITSVLLICTYMYPQHSVKSPACEGLSSRGCQAALSGWLDVHVRKHTDEEVAARVVIRDILRMPPALTSRSKIAFMFLSPGTLPFEKLWDKFLQGQEGRFSIYIHPSRLRPVHISRHFSDREIHSDHVTWGRISMVDAERRLLANALEDPDNQHFVLLSESCIPLHTFDYTYRYLIHGNVSFIDSFEDPGPHGTGRHMDHMLPEIPRQDFRKGAQWFTMKRQHALIVMADNLYYSKFRQYCRPGVEANKNCIADEHYLPTFFHMLDPGGISNWSVTYVDWSERRWHPKTYRARDISLKFLKNITSDDMSVHVTSVGKRGDELHWPCTWNGIRRPCYLFARKFHSDSVNKLVRLFPNYTSTVV >A03g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5535778:5536247:-1 gene:A03g501790.1_BraROA transcript:A03g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNEKLLKYGDTKSARNIMYTELQKLIEGNPLFDVKLPFPSFKVSQLRTLINQRLYKVLNILEFNSTRQIMPIIVHDKDGKL >A03p000140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:216570:217291:1 gene:A03p000140.1_BraROA transcript:A03p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSGRKALQPRNIFNVPAADTQLQLKRAKAPPPLHDDAKDNLVPVPLPVVESMDASLAEELNAFKKKLERLREDRERTEKLLEERDGALDLKMSQLLQRGEIQKSLEIQVDRLFRLKELHSYSSKILPVRSLRAKEQEKRKSFSFNFQERADEPEADSREIASDLSKEVNY >A10p040980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22513414:22516159:-1 gene:A10p040980.1_BraROA transcript:A10p040980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSSLSITPPPFLPSTTKHTCFSSSSSATTRCSFVNSPVSIGVSSPRRLPISLVRKQSKTFFGVVSASSGSRDMTSVTQASGDGDEAPLLDPESYSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVIVVTTHEGVPQEFYGAKVIGSRSFPCPWYQKVPLSLALSPRIISEIARFNPDIIHASSPGIMVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWSVIRFLHRAADLTLVPSAAIGKDLIAAGATAANQLRLWNKGVDSESFNPCFRSQEMRIRLRQENMINGEPEKPLVIHVGRIGVEKSLELLKSVMDKLPDARIAFIGDGPYREDLEKLFAGMPAVFTGMLQGEELSQAYASGDVFVMPSESETLGLVVLEAMASGLPVVAARAGGIPDIIPEDQEGKTGFLFSPGDVEDCVTKVRSLLNDSETRDIIGKAAREETEKYDWRAATTKIRNEQYSAAIWFWRKKKAQVLGPLNWLVKRLFPVPPQVNA >A08p028510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17822041:17823558:1 gene:A08p028510.1_BraROA transcript:A08p028510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSPAVPMPFMPFPETQMELAGIMLGKGYHNGQYSTQDSDNNGDSRQETSRSVSESRRVLSSRINSPNFNMKNHSSSADISAAGEEINGSDERSTEKKMISRTESRTLFEFKSVPLYGVTSICGRRPEMEDAVSAIPRFLQSPTNSLMDGRFNPQSAAHFFGVYDGHGGSQVANYCRERMHLALAEEIEKGKPMLYDGDTWPEKWKRALFNSFLRVDSEIESVAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTALPLSTDHKPDREDEGARIEAAGGKVIRWNGARVFGVLAMSRSIGDRYLKPSIIPDPEVTAVRRVKEDDCLILASDGVWDVMTDEEACEMARKRILLWHKKNMVAGDASLLTEERRGEGEDPAAKSAAEYLSKLALQRGSKDNITVVVVDLKPHRKLKIKALN >A05p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24289890:24291477:1 gene:A05p039700.1_BraROA transcript:A05p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNYNHSSSFILLFLSLHFLSILATRNNLITDRNALEIIIGGGESSNDYSPAPSPEPEDCPPPPPPEPEDCPPPPPPPPPPPQFSSPLIEKVYPVIKKFQNLVENDPKKILKTWVGTDICAEDKYIGLECAKFPGTNDLALASIQFNQFNFGGKKLRLDNFLNKLEEVTIFHANSNNFVGAVPEVSNLKYLFELDLSNNKLSGEFPSSVLKATNLTFLDLRFNSFSGSVPPQVFNLDLDVLFLNHNNLVQRLPENLGSITALYLTFANNRFTGPIPESIGDIKSLQEVLFLNNKLTGCLPYQIGKLNQATVFDVEFNQLTGPIPYSFGCLDKMEQLNLARNKFYGTIPEIVCELSALKNVSLSFNYFTQVGPKCRELIKKKILDVRMNCILDLPYQRTPWECAKFFMRKQKCPKSKSFFYMPCDKAPHRIKPEQEELDGQASPPVSYRALNPNRIRNL >A10p038930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21666682:21668839:-1 gene:A10p038930.1_BraROA transcript:A10p038930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSVTSLAPGFRFHPTDEELVRYYLKRKVCNKPFNFDAISVTDIYKSEPWDLPDKSKLKSRDLEWYFFSMLDKKYSNGSKTNRATEKGYWKTTGKDREIRNGSRAVGMKKTLVYHKGRAPRGERTNWVMHEYRLTDEELKRAGAPLDAFVLCRIFQKSGTGPKNGEQYGAPYFEEEWEEDKMTFVPEQDALSEGLAVDDDVYVDIDEIDEKPENLVVYDAIPVQTNYCHGESSNNAESGNYEDSGNYVVDSGGYIEQPTETFEEDQKPIIRDCSIQPCSLFPVEQIGCGVQDQHAVNLETSNNNVFVADPCYSDIPIETNYLPDEPFMDPSNNLHLCDGLYLETNDLSGGGLQDDFNFEDYLNFFDDEEAQNLTLDVSQLLGSEDAPPDQEGLDQKQPSPEELEKEVVEKKESGEGSSSKQDADVTDFDSDSKYPFLKKSSHMFGVPPSFASQFQTKDAAIRLHAAQSSGSVHVTAGMIRISNMTPAVDSDMGWAYDKSGDLNVVLSFGLVQRDDAMSKTGGTSATRAMLIFLCLWVLLLSVSFKIVTMVSAR >A09p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21353008:21354322:1 gene:A09p035580.1_BraROA transcript:A09p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNESDERARDAEARVRDLVDEIGRMSEELQIRKREIGIDQAKKFGTEKECIIQNLAKAKQETELVSLQNRNMDKENRQLLRQQSPPGSAETSHKSASAKMLLCYSHTRDSLKMMSSPIEKKLEFSSSPEISRNPLSLVGNYSPDSRINKK >A01p045230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25573231:25579092:-1 gene:A01p045230.1_BraROA transcript:A01p045230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSSNGLLPTSMSGRHDDVEAGGSARTQDEHHEQLEHDPDDPFDLDNTKNASAQSLRRWRQAALVLNASRRFRYTLDLNKEEHYESRRRMIRAHAQVIRAALLFKLAGEQQIGAVASSTPSASTGNFDIDLEKLVSMTRNQNMSSLQQHGGVKGVAEKLKSNLEQGIEEDEKEVIDRKNAFGSNTYPKKKGKSFYMFLWEAWQDLTLIILIIAAVTSLALGIKTEGLKEGWLDGGSIAFAVLLVIIVTAVSDYRQSLQFQNLNDEKRNIQLEVMRGGRTVKISIYDVVVGDVIPLRIGDQVPADGVLISGHSLAIDESSMTGESKIVNKDQKSPFLMSGCKVADGVGSMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIVGLTVALVVLVALLVRYFTGTTQDSSGATQFVKGTTSISDIVDDCVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVETYAGGSKMDVADNPSGLHPKLVALISEGVAQNTTGNVFHPKDGGEVEISGSPTEKAILSWAYKLGMKFDTIRSESAIIHAFPFNSEKKRGGVAVLRGDSEVFIHWKGAAEIVLGCCTQYMDSNGTLQPIDSQKEFFRLAIDAMAKNSLRCVAIACRTQELNKVPKEQEDLDKWSLPEDELTLLAIVGIKDPCRPGVREAVRICTSAGVKVRMVTGDNLQTAKAIALECGILASDTEAVESTIIEGKVFRELSEKEREQVAKRITVMGRSSPNDKLLLVQALRKNGDVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAMSSGDVPLKAVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQSFYQVAVLLVLNFAGLSVLGLSQDSNHAHAVEVKNTMIFNAFVMCQIFNEFNARKPDEMNVFSGVSKNPLFVAIVGVTFVLQIIIVTFLGEFAHTVALSWQLWLASIVIGLVSWPLAVVGKLIPVPRTPMSVYFKKPFRKYRASRSA >A01p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4958806:4961833:1 gene:A01p010160.1_BraROA transcript:A01p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPPMKNPMDDLFGEDSDNDSRSSLSKSSSSGNASSSSSSGSASSSGASSSKGGDGDGGGADSSSSSDSGSSGGREDHGGDSYRSNDNVDSGSYPYEEEEDDERDLFGSDNEDYTNTPALSTYSIPVLPAGWSSDNNGGRGGTGRGRWGNGRGGAGLLPRPGPFPGGREGRGGFGGRYQNYQRDERFVSELKLSKSKETLSRKSTVFQEPCELTSYSRVEGGEVFFDERGLRLFKRHVSEDIGADLNQGYDTFIEKIDLGSEGFGDFLASIRAKNISLENIHFVTFRNNLNKILGAAYNRNEPWEMGVHKRNGTIYLDVHKLPERPQSDLDRRRCYWGYCFESLATEDPGRAYGEDIHHVDANVEFVSVVKTKLGAHRVLMGAEMDCCDETDEGRRIYIELKTSRELDDRTVERFEREKLLKFWIQSFVAGVPYILVGYRDDGGRLVRTERLRTKDIAHRARLKNYWQGGVCLAFADEVLCWLYGTVKENEDYTLQFVHPFMRLELLQAQSCPDAITNHVHLLQHPSSPPPPQ >A07p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20072841:20075130:1 gene:A07p037520.1_BraROA transcript:A07p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g71490 [Source:Projected from Arabidopsis thaliana (AT1G71490) UniProtKB/Swiss-Prot;Acc:Q9C9I6] MLIESLFKSLGHYVSHGRLHEAFKAFSLIRLQSSSSDLLLQSAASLLSACVDVRSFSSGLQIHAHCVSSGVEYDPALVPKLVAFYSSFNLHSEAQSITENSGILRPLPWNVLIVSYAKNELFEEAIAAYKRMMRKGIRPDAFTYPSVLKACGETLDFESGRVVHGSIEVSSHKGSVYVCNALISMYKRFGNVGVARRLFDRMSERDAVSWNAVISCYASEGMWSEAFTLFDQMWVSGVEVSVITWNIVSGGCLQTGNYQGALGLVSRMRSFPTGLDHVAVIIGLKACSLLGARQLGKEIHCLAIRCSYDGIDNVRNTLITMYSKCDDLMHAFTVFQQSEDKSLSTWNSIIAGYAQANRSEEASYLFREMMLHGLQPNSITLASILPLCARVANLQHGREFHCYILRRECFKDYTMLWNSLVDVYAKSGEIVAPQRVSDSMKERDEVTYTSLINGYGNQGEGRVAVALFKEMIISGIKPDHVTMVAVLSACSHSKLVHEGQRLFRKMQCEYGIRPCLQHFSCMVDLYGRAGLLGEARDVIQRMPCEPSSAMWATLLNACHIHGETEIGEWAAEKLLEMKPENPGYYVLIANMYAAAGSWNKLAEVRSFMRDLGVKKVPGSAWIDTGSGFILFSVGDASSPRACETYPLLDGLNQLMKNAAGRAINDEQSSDEELLQEDTISLAVSNAPFADELQAGNGCHRERVTVVGLKTLFPGEEEDLGAGRETETPKKR >A08p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21850518:21852983:-1 gene:A08p037460.1_BraROA transcript:A08p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHSVFLVAIFFCLACTELVNGQPRNDCQTSCGNVTIEYPFGTSQGCYYADDPSFSLTCNETDQKLLFGNIEVINMSPSGELRVWKNISYACYNSTGNLTDYSYHTTTLGNLSLSRKNEFTIVGCNAYAYLSTFGTQNYSTGCISACDSPPAENGGCNGAGCCSTDVSVPLGSREYRTRPARLASMTSVYDFNPCIYAFLTENSTFHFDALEDLKNLRNVNKFPLVLDWSIGHQTCEQVGNRSICGLNNSTCFNSTRGTGYNCKCLEGFEGNPYLSNEHGCQDINECTTNSTIYKHNCSDPSTCRNKVGGFDCKCKSGYRLDTTNMSCKRKDFGWATILLGTIIGFLSLLLLISCVQQRMKQRKTAELRQKFFQQNGGGMLVQRLSGPGTPNANVKIFTEEGMKTSTNGYDQSRILGQGGQGTVYKGILPDNSIVAIKKARLGDNSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSLFGPSLTWEQRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLTTMVQGTIGYLDPEYYHTGLLNEKSDVYSFGVVVMELLTGQKALCFERPQQSKHLVNYIASAMKENRLHEVIDEKVINEKNWKEIEEAVRVAMECTRVTGEERPLMKEVAAKLEGLTVTKAKHQWSDQYPGEVTENLVGVGILSEQGDTSSTGYDSIKNVASMHVAAGR >A09p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19661652:19665935:1 gene:A09p032390.1_BraROA transcript:A09p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPEKMISEPEKMISEPNKMTSEPEKMISEPEKMIPEPETMIPEPETMIPEPEMMIRKPGTTVPVRNGEECSPQSPVNMITHLPDDLLLNCLARVSRLYYPILSLVSKKFRSLIASLELYQTRTLLGHSESCLYVYLGFSNDSKLDPRWFTLCKRPTRNPSPNPNLGWFTPCFGLRRILTKRLSNNLMVPVPTSNFSAVYWPYGAIGSNIYTIVEYEHGEFSTDRVFYLDCRSHTWHEAPIMWMIRSSPLIRVLDGKLYVLNGFPSNSIEIFDPKTQLWDYVRCPIAEILGTRSSLISFAIDGGFYLYGDKCMVYKPKENKWDVVEYETGLVWASFRLSCVINNVVYSSKLSRVLKWYDSEGRLWRDLKGLDKLPKLPKSFSRLRLVNYGGKIAVLWEKSGGVSSREKKMIWCAVIACLPIVNKTNSNKPKRNLR >A03p013330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5238413:5240003:1 gene:A03p013330.1_BraROA transcript:A03p013330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAPFPDIGRKAKDLLNKDYIFDQKFILTMLSATGTEFVATGLQKDDLFFGDISTLYKGQNTIVDLKIDSHSSVSTKVTVKNLMPSAKAVISFKIPDHKSGKLDVQYVHPHATLNSSIGLNPTPLLDLSATIGSQTVSLGGEVGFDTASSSLTKYNAGISFNKPDFSAALMLEDKGESLRATYVHTVNPTTSVGAELIRRFTNHANSFTIGSSYSVDPFTTVKTRLSNNGKAGMVVQREWRPKSLITLSAEYDSKAVNSSPKVGLALALKP >A09p021380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11514657:11519205:1 gene:A09p021380.1_BraROA transcript:A09p021380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 9 [Source:Projected from Arabidopsis thaliana (AT1G58320) UniProtKB/Swiss-Prot;Acc:P0CW98] MSEHEGKDVKKVTEGQWTTGLYDCFSEDIPTCCFTWFCPCVAFGRIAEILDKGETGQGFAGLMVVAMSHIGCGWYYASTYRAKLRRQYSLPEEPCSDGAIHFFCCSCALSQEHRELKYRGLDPSLDAAFKNTRMERTNNLGRDLEWFKEQGYDIPEQLAHCEIYSKYFKDIVENDPPAFISDFYNIYFAHRASGRKIGTMVSERILDNKELEFYK >A03p036070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15100896:15102910:-1 gene:A03p036070.1_BraROA transcript:A03p036070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal adenine nucleotide carrier 1 [Source:Projected from Arabidopsis thaliana (AT3G05290) UniProtKB/Swiss-Prot;Acc:Q9MA90] MVVDLESVSEATSGAVGSLLSTTILYPLDTCKSKFQAEVRAQGQQKYRHLSDVLWEAVSKRQIMSLYKGLGTKNLQSFVSQFIYFYSYSYFKRAYSQRTGSKSIGTKANLLIAAAAGASSSRMQTSEFGESKGLWKTLTGGAWGDAFDGLEISLLLTSNPAIQYTVFDQLKQRLLEQKTAKAENGSSSPVVLSAFMAFLLGAASKSVATVITYPAIRCKVMIQAADDSKGKETKKPIRRTRKTILGVVCDIWRKEGMLGFFKGLHAQILKTVLSSALLLMIKEKISATTWILILAIRRTLFLTKGKLKSP >A08g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21214506:21215776:-1 gene:A08g509900.1_BraROA transcript:A08g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNFKDQILIDVAAWHWVEKKNILFRLNTRKKKFALGHKEAIVFLISLGRKATKARKKAFLSATKAIVLLLISLGDKSDKGEKKKLFVYTNLEFRFLKFYSYLFPFPAMVDKGQVISLCRSLLRAGHQYPDYNIREYAKRRTLEGFRMNKNLTDHSKVEEAYAEGKKQLEVVERVVKVYLAYPPKTKNIMELKLQ >A10g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4981216:4981906:-1 gene:A10g501850.1_BraROA transcript:A10g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCAVSYTDFSSILPSLLANVDSEAEMKGTEASHHKLSNGSSSINLNITSSPIEGDDDGPSAQKPMAVSEDQSCKAVLERVNFLDNPSKEELASCFTDVMTQIAQDVAYDVRGSPFSHNKIGQYFYLVATGCGFALSILFIFVDASPV >A01g510980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30535139:30536634:1 gene:A01g510980.1_BraROA transcript:A01g510980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKPATCPEIKEKVCDDGISALPTDLLVHILSIIPTKDAVATSSLLLKFYSRRLKKLNLICVVYKDEDSLVKLLSSCHVLKTLVVVRDTNDNVINFSVKVPYLNNLAYINGDDDEVRSSGSLTVDCPQLKYLHLADFSEDDCLIETMIPNLTMAKVDVGTPPNENFLKYLSSVMFLHLHWVDETELFCSSVNYSQLKECILCPSPAEYWRAGISMRYSKDCNDKMKKKLRKDLRSMYRVSASFELLFPAKIKWRSLALSYTFSLVSTDILSRDT >A04g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7801524:7802306:-1 gene:A04g503640.1_BraROA transcript:A04g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEQQVAEFRFWLDKTKMSFSHGECRGFKRDPRESIFQLHILSSLEKQLVAEFKCLLKSSVLSQHQRLNTYVCLVTVVLAGKFGAVE >A09g512070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35347267:35348295:-1 gene:A09g512070.1_BraROA transcript:A09g512070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELRDMKAHKAYYNMLHFVSEAQQGIPKLCPCGSITKEFVDEDDTYDYLPGKRYFICTDYQNDGLHFRQPWVMGVQQEIERLKLNFLEQEKLLRECEALKVQVKMLLERVCELERRAKGQLTLAHHNPFLFPVKVMMTHFGNMLGLRNHVRDRRTHQALKNDLIENV >A10p034350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19980757:19981948:1 gene:A10p034350.1_BraROA transcript:A10p034350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSLLVFDGWVSLSDISGEYTENSSCCIMPYLSCGMILLVGSPGLVSISPSFSSEKCPLSPSLLSVKGDVFLVSLPSISFSFFTSLLSCGAVCTGSEDAIKITHVFLVGAKLKIVCKDSVTLKSEVVGEAVTGRRGRYRVSVKGDRQDQQCLAVLVNSPISNCQFPDPGRNTATVILTRSNGAASTRHFANAMGFFRDEPLRGCATLRKQYLAEGDYRAI >A09g517280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50756675:50759854:-1 gene:A09g517280.1_BraROA transcript:A09g517280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSAFSRDSRSEKPKDGEAGDFSGPIKPIGTHDVSSGLSIGNPHSKKAKGDALVSSPSLTKPSGNRGVSSGVSIGSPNSKNPSGPIIQTTKTSVSSGVRSKAAVSSGVRGKAIVSANVGRVMSFKDVKFGAHEGELRFRLIHFWEARNVRTKLLIGLEMLLIDQEETIIQGFIPAGRMDTYLPHMRAGGIYRLHNFFGSNNKTLYRVSEPSVTITFSSTSVLSDLEDSSVCFPEDRFRFYGYEEFNAACDLKGDLYDYVGHIKLVNGQVLNDSLVVDEAEIASTRRVLLHVQTHDGPVMKMYLWDKAASDFGERFKASGGTASVILVTTLNPKRYGGALCLSSMVSSRIFMDSDVQATQDYLNWLNSNLDVAKRVDADVVTKTETVTIGELFSYMKQADAKVAWFECIATIGDVVHGSGWYYIGCGGCHTKATKGPTTLMCKKCGKSDIVGVAQYLAKISVYDNNDQAVFVLLGDSGHELSGKKASELVESYFEANEDEGSDHLVPVPQALIDTIGQTRKFIVKVSTHNLTGKTQTLTVTKVLTPEDPDIGVNLEESDGERVKRAAEKIEGEEPKRAKCG >A06p056470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29391670:29393948:1 gene:A06p056470.1_BraROA transcript:A06p056470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPYPGAMQAMQVGSYFVEQYCQVLQQQPHLIHQFYSESSKMIRVDGDSSDSAVTLLHIHNMVMSLNFTAIEVKTINSVESWEGGVLVAISGSVKTKEFINRRSFMHTFFLAPQEKGYFVLNDIFQFIDEGLVFSHHQSSCLSETKHEVRLNPPSPHPEPQVHDDYVLEQEASDYVNAVQINDDLVDKYSLQEDLHQPLHEDYYEDEVAVEETPREEVVHEPRAAPPPEEPVGEKSKMSYASILRVAKEAAAVPVAATQPSYNKNSLENNDWDQQLRSPSPQLAAPPASAQQSNAFTDYGAEADGGFGFEDFEIKSVYVRNLPSNISASEIEEEFKNFGTIKPDGVFLRTRKDVIGVCYAFVEYEDMASVENAIKASPIYLGGRQVYIEGRRPNPAGVRGARGRGRGRGGYPTEAPRGRFGSRGVSGRGNQEGGGDYRPRGNGNYRGGR >A08p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10224272:10224864:-1 gene:A08p015630.1_BraROA transcript:A08p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLINIGARRRGPRRTIFKAYRTWENPDLSLAVTRHGSLYLGYSAQIAFSQLLGTDRSLSVARIDLSRSLDSLSCGYSARIALSRLLVSDSSLTVIWHRSFSRNHSDQSLAITRLALMRLLGIDCSLAITWLGSLSRSHSDCSQVSSMT >A09g517200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50480078:50480963:-1 gene:A09g517200.1_BraROA transcript:A09g517200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKSKKKGKLNSQLYSPHIDIGDFVDGREDEQIRAPVWRGRCDGEQVRDPLCRRRRDGEQVRAPLWRGRDDELNFDIYMFKYQFLSSYQNVNRDGPYILLRKDMRRARSYASEGPKDYNLLGNVKPRFSNQH >A06p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5326521:5328241:1 gene:A06p002090.1_BraROA transcript:A06p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVKSSSKVPVLDLTSRQDLNPNTSTWRSISREACEALEEYGCFVALYDGVTQELDDSIFAAAEELFDLPTDTKRKNVNEKPYHGYVGQMPVIPLHEGLGIDYVTNKEEAQRFTHLMWPQGNHQFCETAHGFSNAVAELDRLVVRMIFDNYGVEKHYDSHVGSKTYLLKFLKYLAPPESISMAAFPQHTDKTFLSILHQNGVNGLEVKSKDGGWISLHLPPKSYVVMAGDISMGWSNDRIRSCEHRVTMEGDKTRYTLGLFSFIKGLVSVPEELVDDEHPLMYKPFDNIALINFYATKEGREAKSTLKAYCCI >A01g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1790198:1791207:1 gene:A01g500410.1_BraROA transcript:A01g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDGVADKRRRSSRKTMATRKDEGGSEVRRRSSRQTMKSPSPTPEASKRLIAGPKAASVDKDGCNEVGLLEAVMRDAGLVTETVLPKAGGELIPAIEGDDTGSLLAEHVRGDYIPSGYVEEVGDEVVHEQGNVGLLKETRKPADVDEVGIDDEIGSGDDLTDDATYTCGGG >A02p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28410242:28411864:1 gene:A02p045250.1_BraROA transcript:A02p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAGNCRKRLADDDFAEDVDPFGGSDWMYGGRSLRSQGNDDALATLADLAPPPQKLKPIRCVVNKASLEDRHPLDILAGSLDRLPEMGFLEDFDAPLGSKIADVEESGLLTRGVGKGLRFETEVQGQIHGTSRDGVSLSPSFDSDSEGDSSQGVGKAVTGKRKRQSREKREHFMEKLVGKMMRRQEKLHNQLINVMEKMERERVRSEEAWRQQEMDRMKQNEEARREEMSRSSTLISFIKSVIGEEIKIPNAFVHAQPLQTIPRQCEWDQTQGDVKFVFPGGRRWPQEEVQALIASRSEVEEKTGVVHKGAIWDEISTRMKGRGYERSAKKCKEKWENMNKYYKRVMESSKKQPEHTKTRSYFEKLESFYKTNSVSAEHSGEKEQ >A08p031480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19185093:19188708:-1 gene:A08p031480.1_BraROA transcript:A08p031480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTVTIVLLLFAFLQNVAAKKRQHSIVASNAAVATDHGQCSKIGMNVLRQGGNAMDASVAVALCLGVVNPGSSGLGGGSFAVVKMASGEETAYDFREVAPLRATEDMYAGNLELKKKGPLSVGVPGEVAGLFTAWKQLGKLPWKQLVYPAEKLAAEGYMISKYLYMQMNATRDDILADKGGLSELFASNGELKKPGTIVRNPKLAFTLKQIAEHGPKVFYNGTVGVNLVNDIQKLGGIVTLKDLNSYKVKVKKPLSNDILGYRLLGMPPPSSGGPSMVLILNILSQYGIPKGVAGPLGVHRLVEALKHAFAVRMNLGDPDFVDVTKVVSDMLSPKFAQELKKKINDDKTFDPKYYGGKWNEIHDHGTSHFSIIDKERNVVAMTTTVNGYFGATKLSPSTGIVLNNQMDDFSIPMKPQPDVPPPAPANFIRPGKRPLSSMSPTIVLKDGKVKAAVGASGGLYIIPGATQVFLNHFFLNMDPLSSVMAPRIFHQLIPNRISYEDWKTVYDDHFELPKETRDVLEKKGHVLTPIADGAISQLIVVESGGNSNGTSRLVAVSDPRKGGFPSGY >A06g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27051111:27052941:-1 gene:A06g509330.1_BraROA transcript:A06g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISVPKMASSSAPVANAAVAYSTFETLRLGRTGQSVVGRLIRFWDSRNINKNGEFMGITILLLDELDLVIHGFIPANGASHYRPNLKPCSMVKLDRSEASGSDLKNNAATTRVVVCLLIEPVRTVKRRISHVALEELTGREFVFQIGNTPFYFTPNHRTFTVSTITEATILENPGKDGEDILPGSEGAVGLAALSSGPTVLGDKLGEECTAADPPEVSGAENKLKRPR >A05p017960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8194126:8197332:1 gene:A05p017960.1_BraROA transcript:A05p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTNSVVHYHSFVSSPHDPIRSDPYINIPSITQVTKKKKKKQSKFLNLQKRPPPFLTGHRFKGILLEEEEEAMGIDAKDVCVIVWKVIRFSTNTTCRYVKRYPVASCVSAFVIFLYTFLPWIFYFILCSSPFIACASFYLRNHLNGEEEQRRDRGSREGRTEKAELKHQRSVRRNARREVEEVGKDWDSSQASEDERGKVILTTLYGELLPETITPDLESFKRDTKLLGPEESFVESNLDNEDYVVVQDPLERVCDGETELECSSSSSSEEEEEEEEEEEKETNTVIVAWTEDDQKNLMDLGTSDIERNKRLENLMTRRRSRRLFLLAAERSLMDMEVPRICIGRNYYGLDRDNYEADGVLMPGSAPSVMLPRRNPFDLPYDPQEEKPNLTGDSFQQEFADANPKDIFFSRHESFHHRIFPSESQNDYNLESLWRKTLNGRPKPLQGSNDQLPLMRESDVEAGEVRIETDSIRNDDSDSNTTFSPREREKDFNVSDQSDASETFCKRNEDRVGKSLAGLVPRSGGSSSMANARQRYMEHFGYSIKRNHVSTHSVDSDLQVEVSELGSPPSSVDGNDSSDEERSLFINESEISMEMGLNGGESEVLPVGKVEQELNETKSLASPEVKEERTLEPMDLKKLPGNSADEIKMSYDSDEPEPSERTYQESEEPSVRNDQEVMQQLPEDEASDVNHHGNPEDSAASPASVLEDMLPLSHTHSEDLDHTSDGLLPNVDAPAESSSNQSNEQSDPTGETTVETVCLNATETVQEKQEGSEEPLINDESKSAEDRRSLSTDASAEELDSHNN >A10g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9032838:9039972:-1 gene:A10g503460.1_BraROA transcript:A10g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTTYTTSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQPSSEYSVPNQKQPTNQQPAQPAQTAPQDEMKSLANMMSQLLQGQQIQGKALNQPPVTAPADEEEAELPAKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKYDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVFETLDVGLAPLCYQAQVLYPDLVRQVLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRD >A07p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17699842:17700846:1 gene:A07p032140.1_BraROA transcript:A07p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSSSSSSIESSCKSNPFGGSSSNTRNLSTDLRLGLSFGSSSGQYYSGGENHEYEVVAADHEMIMEEEEDQNECNSLGSFYVKVNMEGVPIGRKIDLFALNGYHDLITTLDYMFNASILWAEEEEMCSEKSHVLTYADKEGDWMMVGDVPWEMFLSSVRRLKISRAYHY >A03p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9592349:9594335:-1 gene:A03p022730.1_BraROA transcript:A03p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYRKLAICGGEGGSEWDDDVYEGVRKVYVGQDLKRITYIKFDYVKVDGQVVTREYGTKDQNPKEFIVAQHPDEQIIAVEGSYNKVGLLGTDVITSLVFKTSKGRKSPTFGPNLLGLVNGTKFEFEDPGKKIVGFHGRAGDALDAIGVYFVINSLPPLLSPIYKLDAQGGTEGRVWNDGSFDAVKRLRIGQDNVRITYLEFEYAKGGKSEQLHHGVKGGTPSEFVLDFPDEYIKSVEATYDKPNLFQNTVITSLTIKTSKGRTSSFGYTKGKKFVLEQKDCPLVGFHGKVGDAIDALGAYFAPLVPAKKLPSVGGNGGVAWDDGVYDGVRKIYVGQGNDGVSFVKFEYTKGTELVSGDEHGKATILGAEEFVLEDGEYLTALVGYYDKIYGVDEPAIISLQFKTNKRESIPFGMSAGEKFTLGESGHKIVGFHGQASDVIHSVGVTIVPITE >A10p039870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22043796:22045354:1 gene:A10p039870.1_BraROA transcript:A10p039870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGEKKAVTVVVMKLDMHCEGCGKKIKRLLKHHKGVEDVEIDYKADELTVIGNVDPAASTVVFKTKLHCEGCEHKIKRIVSKINGVSTVAIDSAKDLVIVNGIIDVKQLLPYLNEKLKRKVEVVPAKKEDEAVVVAAAVQAGEDKKDKGVGEKKESGDEKKKEVAPDGGATVDVKKSEYSGYGYQPQPMYYYPPGQVYGQHYMMQGQSSSQSYVQEPYMNQGYVQESYTNHGYGQHYMMQGQSSSQSYVQEPYTNQGYGQQGYGQEATPPQPYQGYGDPYDPYAHMRAPDMFSDENPNGCSIM >A01p054750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30912022:30912335:-1 gene:A01p054750.1_BraROA transcript:A01p054750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISAFLVILFLVSSCMVKVTVTMPAIKCQTDEDCLKKYGRCKVTGALPICPHYNCICYHEMHTPSLSTSNS >A04p040220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22691026:22697301:1 gene:A04p040220.1_BraROA transcript:A04p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT2G45910) UniProtKB/Swiss-Prot;Acc:Q8GUH1] MALVTPIPAMSERAGSMRFHGMTTTSPGSRSSRSSVTEEPLSRLIEEKIFVAVDKHVAKSKSTLVWALQNTGGKKICVVHVHQPSQMIPVMGAKFPVSSVKEEEVKVFREKEREKVHMILDEYLRICHQRGVRAEKMFIETESIENGIVQLISELGIKKLSRKAIFVRREAPAPCQIWFTCKGYLIHTRETADDGASEYVASPRPSINANDLLQALSRPEPGSVQRLGSNGSSTEHSERVSNGSLNTTDDEERDFDGSGVRGSATVMSTVDENSGRSSPSNFPDGVDDSFHDKIRQATSEAQSSKREAFAETVRRQKAEKNALDAIKRVKQSETAYSEELKRRKDTETAVSKEKERFVTIKKEQEAVTEEVQTATAQKHTLENQIAEADTTMETLNKKLDIAVKLLQKLKSEREELQSERDRALREAEELRTLATETTSTLQQQLLPHYFTDFSFSEIEEATNRFDSTLKIGEGGYGSIYVGTLRHTQVAIKILNPRSSQGPVEYQQEVDVLSKTRHPNIITLIGACPEGWSLVYEYLPDGSLEDRLTCKNNSPPLSWQNRVRIATEICAALVFLHSNKAHSLVHGDLKPANILLDGNLVSKLSDFGTCSLGRSKTDLTGTAPYLDPEASSRGELTPKSDVYSFGVILLRLLTGRPALRIANEVKYALDSGSLNNLLDPLAGDWPFVQAEQLARLALRCCESVGDNRPDLGTEVWRVLEPMRASSGGSSSFHLGRNEQRIAPPYFICPIFQEVMQDPHVAADGFTYEAEAIRAWLDSGHDTSPMTNAKLSHNSLIPNHALRSAQLNRKDKDKAQKILDNVERIHIEMDSVEKRIIQYLISEKGVKNLVMGSLQTQNFVSPQAMEEEEAATCQVLEEKLYVAVGREVWKNISNLMWALENSQGKKICILHIHQPSPTIPVLGTRFEASTVDEESVRAYRGKETAKTDKILQEYLSICLKKGVQAEKLCVEMDSIEKGIVEVIYQHRIRKFVMGAAADKHYSIKMEDLKSKKANFVCQQAPATCQIHFTCRGNLIHTREARVDEVRALSVLLSDFQRLVLPQIRSDVQNNTSSMDVISSEVLISDIQEELNDSSSLAFPCSGMGLNMMNFIINSTKLWQKLTIQNHEHCE >A10p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21349159:21350327:-1 gene:A10p038060.1_BraROA transcript:A10p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTEYARVLVISLCTLNFCFYRINSYDNGGWERGHATFYGGADASGTMGGACGYGNLYSQGYGLQTAALSTALFRSGQRCGACFELRCVDDPQWCLAGSIIVSATNFCPPNYALANDNGGWCNPPLQHFDLAQPAFLQIAQYRAGIVPVAFRRVPCEKPGGIRFTINGNSYFDLVLITNVGGAGDVMAVALKGSKTNKWQSMSRNWGQNWQSNSYLRGQSLSFQVTTSDGRSVVSYDVVPKDWQFGQTFEGGQF >A08p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11278012:11287416:-1 gene:A08p012040.1_BraROA transcript:A08p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVNGYQTPGRNHVSVSEVDDFCIALGGKRPIHSILIANNGMAAVKFIRSVRTWAYETFGTERAILLVGMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEVTRVDAVWPGWGHASENPELPDALKAKGIIFLGPPAASMAALGDKIGSSLIAQAADVPTLPWSGSHVKIPPDSSLVTIPEEIYRQACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNADEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVSALHSRDCSVQRRHQKIIEEGPITVAPKETIKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGIEHGGGYDSWRKTSVLASPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTGGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASATSAAVVSDYVGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDVVRGGSGSYRLRMNNSEVVAEIHTLRDGEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVSDNSSIDADMPYAEVEVMKMCMPLLSPASGVVHFKMSEGQAMQAGELIAKLDLDDPSAVRKAEPFHGGFPRLGLPTAISGKVHQRCAATLNAARMVLAGYEHKVDEVVQDLLNCLDSPELPFLQWQECFAVLATRLPKDLRMMLESKYREFESISRNSLTADFPAKLLKGILEAHLLSCDEKDRGALERLIEPLMSLAKSYEGGRESHARVIVHSLFEEYLSVEELFNDNMLADVIERMRQQYKKDLLKIVDIVLSHQGIKNKNKLVLRLMEQLVYPNPAAYRDKLIRFSTLNHTNYSELALKASQLLEQTKLSELRSNIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSASLAVEDALVGLFDHSDHTLQRRVVETYIRRLYQPYVVKESIRMQWHRSGLIASWEFLEEHMERKNIGLDDQETPEKGLVEKRSERKWGAMVIIKSLQFLPSIISAALTETNHNEHVSAGAPLSGNMMHIAIVGINNQMSLLQDSGDEDQAQERVDKLAKILKEEEVISSLCSAGVGVISCIIQRDEGRTPMRHSFHWSMEKHYYAEEPLMRHLEPPLSIYLELDKLKGYSNIQYTPSRDRQWHLYTVTDKSMPIKRMFLRSLVRQATMNDGFMLQQGQDKQLSQTLFSMPFTSRCVLRSLMDAMEELELNAHNAAMKPDHAHMFLCILREQQIDDLVPYPRRVEVNAEDEETTIEVILEEAAREIHRSVGVRMHRLGVCEWEVRLWLVSSGLASGAWRVVVANVTGRTCTVNIYREVETSGRNSLIYHSITKKGPLHGTQINDQYKPLGYLDRQRLAARRSNTTYCYDFPLAFETALEQLWALQQPGVKKPCKGTLISAKELVFSNSEGTSLMPVERSPGLNEFGMVAWSLEMSTPEFPMGRKLLIVANDVTFKAGSFGPREDAFFLAVTELACAKKLPLIYLAANSGARLGVAEEIKACFKVGWSDEVSPENGFQYIYLSPEDHARIGSSVIAHEIKLPSGKTRWVIDTIIGKEDGIGVENLTGSGAIAGAYSRAYNETFTLTFVSGRTVGIGAYLARLGMRCIQRLDQPIILTGFSTLNKLLGREVYSSHMQLGGPKIMGTNGVVHLTVSDDLEGVSAILDWLSYIPAYVGGPLPVLAPLDPPDRTVEYVPENSCDPRAAIAGVNDNTGKWLGGIFDKNSFIETLEGWARTVVTGRAKLGGIPVGVVAVETQTVMQIIPADPGQLDSHERVVPQAGQVWFPDSAAKTAQALMDFNKEELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYRQPVFVYIPKMGELRGGAWVVVDSQINSDYVEMYADETARGNVLEPEGTIEIKFRTKEMLECMGRLDPKLIDLKARLQDAKQSEAYANIELLQQQIKAREKLLLPVYIQIATKFAELHDTSMRMAAKGVIKSVVEWSGSRSFFYKKLNRRIAESSLVKNVREASGDDLSYKSAMGLIQDWFSKSDIAKGKEEAWTDDQVFFTWKDNVSNYELNLSELRAQKLLNQLAEIGNSSDLSALPQGLANLLNKVEPSRREELVEALRKVLG >A02p035200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19220492:19223049:-1 gene:A02p035200.1_BraROA transcript:A02p035200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTFFRLIFLIVTAGFIISFTSTNFPHAPSSTTGLLDCTDSSSSPLCASRNFLFNKQKPQFSKQDPKPKPKNHDHLSDTLNHPLDPLTVMEFNKVRSLLSSDALFSTGAPHAFHSIVLEEPEKNLVRAWEKGNPLPPRKASVIARVGPDTHVLTVDLSSGRVDIVDSPVPVSGYPMMTLEEMNEVTFAPFSSADVNRTIVSGGVNLTDVYCFPLSSGWYGRKEENKRVIKSQCYSSQGTANFYMRPIEGLTILIDLDTKQVIEIVDTGPNIPIPGSANTEYRFKNLGTTDKTRGLNPISIEQPRGPSFVIEDNHLVKWANWEFHLKPDPRAGVVLSQVRVHDPDTQETREVMYQGFVSELFVPYMDPSDAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRNAAYMDGVFTTADGTPFVRENMICIFERYAGDIGWRHSESPISGLPIKEVRPKVTLVVRMAASVGNYDYIIDYEFQTDGLIKAKVGLSGILMVKGTSYQNKNQVNKDKEGNEEELHGTLLAENIIGVIHDHYVTFYLDLDVDGPDNSFLKVNLKRQMTEPGESPRKSYMKAVKNIVKTEKDAQIKLSLYDPSEFHVVNSAKTTRVGNPTGYKIVPRATAASLLDHDDPPQKRAAFTNNQIWVTPYNKTEKWAAGLFTYQSHGDDTLAVWSDRDRDIENTDIVVWYTLGFHHVPCQEDFPIMPTVSSSFDLKPANFFERNPILRAAPNFEHDLPVCEVKYVSA >A06p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21757973:21759377:-1 gene:A06p040170.1_BraROA transcript:A06p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLKLDEDDGDDEKRLSGEDSVPNQSVSESQSPRGVKEDISELTKTLRSQFWGVASFLSQPSPSPDLQERNQSPDDAEEEDEDLIAGIRNDFAEIGGRFRTGISKLSENLPVSDFTKIASNFLQLGSEGADPKDYGDVIGVTEELVTFVRDLAMHPETWLDLPLPDEDDDDYTFDEFEMTDDQHEHALSMERLVPSLASLRIELCPEYMSENCFWLIYFVLLHPKLTQHDASLLSTPQVLEARAMLSHELQKLNRAPVEGESSEANAAVVEPLAVPYNPSQESLAAKPVNPQEYETDKHTVESKEIQVVDKSVIEERNSSTDSSSSSRFVNVQAEDVEEEEDADDWLNDEESSDAVSGMEGGPTSKHPLGEEDEEDVSFSDLEDDDEERDVPVSSKRSTNSSSPDWVQI >A09g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18756356:18756769:1 gene:A09g506270.1_BraROA transcript:A09g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLGFLELKERQRVCENQDSVIHEFTPVGRTNHYMPSLKAGSIVKVVRFEVARGSSMYKIIDHPFLICFISLTIIDEVITDAHEINLQLRLDSSTISK >A07g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1805421:1806032:1 gene:A07g500930.1_BraROA transcript:A07g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLDCLTDSLPQLNTSFLQFQVRAIAHAKTKMLRLSLFENAKFLRQRSHENVEKSHELLDRLCESSNGCFTSKKISELMIADMLLLDKKATLIQGSVSASLDLHTCL >A01g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9243396:9245225:-1 gene:A01g502770.1_BraROA transcript:A01g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDMKARKAHYDMLHFVADAQQGIPKLCPCGSITKESVDEEDTYNYLPGKRYFICKDFENDGMHFRQPWVMGVQQEVERLKIRVHEHEKLLRECDELKAQVRMLLRRVSDLERVVKLKTMYQMKTKDAVSSLFIHGFFVYVLLCI >A03p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2574779:2580955:1 gene:A03p006120.1_BraROA transcript:A03p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTTELDFFGLEKKQTNNVPKPKFKKFLDRRRSFRDIQGAISKIHPEIIKSLLASGANHADSSTISPSVPSTPKADYPQIPISPVQAPLTISGTVPMTIFYNGTVSVYQVSPNQADDILKVVMETAPKKDKSIVKDHLVIPPTTLRTKLFGKNLEGDLPIQRTRSLQRFLEKRKERLVSISPYFPTSG >A09p068510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53659584:53660875:1 gene:A09p068510.1_BraROA transcript:A09p068510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT3G63440) UniProtKB/Swiss-Prot;Acc:Q9LY71] MKYLHACFLRKRNMLIVRSFTTLLLLSCIAFKLACCFSSSISSLKALPLLGHLEFEDVHPASKDFGNRYQLLPLAVLHPKSVSDIASVIRHIWMMGPHSQLTVAARGRGHSLQGQAQTRHGIVIHMESLQPQKLQVHGTGGPTPFVDVSGGELWINILHETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGKGEILNCSERQNSDLFHGVLGGLGQFGIITRARIALEPAPTMVKWMRVLYLDFAAFARDQERLISSDDDKFDYIEGFVIINRTGLLDSWRLSFTPEDPIEASKFKSDGRNLYCLEVAKYFKLDQDKKDVMNQVRSERIIV >A08g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12321248:12322095:1 gene:A08g507090.1_BraROA transcript:A08g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQCTVLEVKVIKGHGTTIDVVLVNCVLHEGDQIVGPIVTTIRALLTPHPMKELRVKGTYVHHKEIKAAQGIKITAQGLEHAIAGTALHVTEPDDDIEAMKEQAMEDMESVLSRIDKSGEGVYVQASTLGSLEALLEFLKSPAVKILVSGIGIGPVHKKDIFNVRPSTFKFTERFL >A06p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17676925:17677851:1 gene:A06p032930.1_BraROA transcript:A06p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKGRICLSATLLCSAIRALRREREFLARRINSRLTPKKREELYMKWDVPLEGKLRKLQFVNKLWTDPYDSRHMQENAEIVAKLVGFCERGNISKEMFELNFAMPSYQNTRFLVKFETITIRLPCMILAPVPRPLDSGYNKPQF >A06g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20571759:20572258:-1 gene:A06g507400.1_BraROA transcript:A06g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTVYIRDTQGLKIQTFSFHSLNYYSQISPLILLRYYDDVACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAVIRHGDRITKQKVKLNVSFGAKKYVYPWIQTQVGWSNGKVFYH >A10p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15559194:15562619:-1 gene:A10p023930.1_BraROA transcript:A10p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLNTEFAEVEEEENDKGKRLGVSPSSSSSCSSGSSSSSSTTASASSIYSELWHACAGPLTSLPKKGNVVVYFPQGHLEQGAMVSYSSPLDIPKLDLSPQIFCRVANVHLLANKETDEVYTQVTLLPLQELSVLNGEGKEVRELGGDEEKNGSSSVKKTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYKQQRPSQELIAKDLHGVEWKFRHIYRGVYSFLNIYVCRDENGELRLGIRRSARPRNGLPDSIIQKYSSSSILSLVANAVSNKSMFHVFYSPRATHSEFVIPYEKYITSIKNPICIGTRFRMRFEMNDSPERRCAGVVTGVCDMDPYRWPNSKWRCLLVRWDESFMSDHQERVSPWEIDPSGSLPPLSIQSSPRPKRPWAGLLGTTTPQGNPITERGGFLDFEESVRPSKVLQGQENIGSATSSSPLQGFDVMNRRILDFAGMQQSHANPLLLSSRVKDRFGEFVDSTSLDLDRFPRVLQGQEICSLRSFPQIAGLSPGKASLGYNGAFAYQANKTSFYPLASQGIRSSHIPYQSAGDKSLSHPSSRKFDAQGEGGGLPKIDMMGAEKGREVNMNASTTGCKLFGFSLPVGTPASNQQSSSKRICTKVHKQGSLVGRAIDLSRLNGYNDLLTELERLFNMEGLLRDPEKGWRILYTDSENDMMVVGDDPWHDFCSVVLKIHLYTKEEVENGNDDNRSCLEQAALMMEASKSSSVSQPDSSPTGH >A03g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4151018:4151905:-1 gene:A03g501400.1_BraROA transcript:A03g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVYWAEIEFGPYSHNLHLVAQLTSPLLVSSSTRSQTHQNSGWSSSCAILMIRSGEGFAKFLLRSVAMSLLMLLVFLMLHLLFETVMMLLDKTPLSLTPNPFSSLPFPKSPDHQLLLYAEILVSLQPPSCLRRSLRVVIFLSQCFFIELCHPFLIPFLQFLHLELKIPMPRLSFPQVTYP >A10p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19649289:19652705:1 gene:A10p033640.1_BraROA transcript:A10p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aberrant root formation protein 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) UniProtKB/Swiss-Prot;Acc:Q84VX3] MSAATVSYLHRVREILAGSLRSVEAGESLDLESLVTELASCLNSLSENLASNASDDEQENDGTSNARDDDHENDVTLNASDDEQENGVIIQVLDEILKFLSSPQIDQDVMDALSFELPKAISKFAGLSSRCLELAEAIVDRFVEACNPRDMLSVLCEALDAARVSLSLSSSSTPLLHGLSKVFISVRRRHYEQLKVAVPIVLNVLKDMSLEPDIQPEGLFDKALGIAVSIKDVASKLEKEEGTNVRSLLGLYLMQITAVLSVSIKDKVDSGVPLVMQLKPLLAYCGLTHLGLITGNDPERLLSTISKDDDDFLNSFHDINLGASLLFIWGKISPEVADVANDVDELQSNPAKRWQAYGMLKHILASGDLLWEFKRRTIEVLLDIVKGAAPSQCNEEIDWFTSILKCLVYFSQAVTLVIMYAPDADLRKKTFETLKRIISDIPVPQRFDVLKALVTNSQSSTMRGILLDQVKNNLSTSSLQATDCDAHVSELVELVLKPPHGGPPLFPDQSDEVLAALNLYRFALLNDGNGFLSKKTLERDYKGWLLPLRTIVSGSIAENQREKDHDQESSLEIRCILNRIESLLYWCIELVEERLKSN >A03p003190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1389540:1393771:1 gene:A03p003190.1_BraROA transcript:A03p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAQSFDNQSSDLRINVMVVDDDPVFLGVVSRMLEKFKYRDPSFKEISVIAVKDPIEALSTLKTQRHNIDLIVTDYYMPHMNGLQLKKQITREFGNIPVIVMSSDSNIEHESLACGAKCFLPKPIRPTDIPQIYQVALTYKRNDKSILWTEHNYRDTDVSIPQQIQLHTEQANVLKTNNKRFSPISDSRPVNSSNGSYVSTDGSGENRKRKSNGGSGDDSRPLKKPKIKWTDCLHDLFLQAIRYIGLDKAVPKKILEYMNLPYLTRENIASHLQKYRIFLRKVAEQGFSCSRMLPTKGIDSIFLQAHLRDPCYNNYASSSSSLYDTTINNRSFYSKPIQSYGQSRLLSNTAEPVRFNQMPYNYMNRSSTYEPRGIGSNLTIPTISNLSFPIQPSQNEGRRSLFEPTVMANKTVQTSQAMGFGQHGLSAINGNSFNNNMVSSYGRLTPTQPGMMSYENLTPSQPGVRSYVNSTFDQPGMNNQRSLTPDQQGMRSYRSLTSNQLGVNMNGSFSQTPNQPGMSSYGISTSNQPRMNNHGSLTLDQQVMSSNGSLTSNQLGMSSHGSLYPNQPGLNSYGSVTYNARVNIHESLTPNQPGASNFSYGMQMFLNNENTTYKPQAHDNATTQPNLEIPTLENLSLCDELLCEISNFQIDHNKQQEEAVSTNKFELPANFETELNQFFSLEENGDGNFVNINQGRSDGETSNIVAAPETNYPVFNMNPNHEQEQGVPGFVDWSSLDPKDFANEYDFVDSLLTNDMN >A10p034240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19903373:19904532:-1 gene:A10p034240.1_BraROA transcript:A10p034240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSSGDSGLKKGPWTPDEDEKLVKYVKKHGHSSWSALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSPEEEQTILNLHAVVGNKWSTIANNLPGRTDNEIKNFWNTHLKKKLIQMGVDPMTHRPRTDVLSSLSQLISLSSNLRGFVDQEQIMLKLQTEIAKLELFQYLLQPPSMYNNINPNDFDTRSLLSSIASTTNNNLNLGSYLQDFNSLPSLKTLNTNIGPSSVFPQNLDDNHFKFFNQRENLPVSPIWLSDPSSSNQSFLPSLDPSYSMADDLIRNQYVIEDVNSNLTSSSCQESGASVSAAWPDHLLDDSIFSHIP >A06p049640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26202900:26205380:1 gene:A06p049640.1_BraROA transcript:A06p049640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTRSLSRHCSRTCKWSLSSLLQSDSSRNLVLSSSPVTSNVMLQPGNSSSLMHQVLKGWSRAMSTSRGRSMRSKVESRMRKESGKTLREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYDLPELPSPVHDPELFTPEQIQAFKKIGFKNKNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMATMIARLSGGVVINIHNVKTIIMFRGRNYRQPKNLIPVNTLTKRKALFKARFEQALESQKLNIKKTEQQLRRMGVNPEDPVAMASIKRVASTFFNAIDKKEGSPYVFHGDKQSERGTSVVNTEETEAADEDSDQEELDRFIAEIEEAADKEWEEEEAAEQEESGRIRYWNREEFAGRSRGPEMRSYGDSGHGFRRNERDTRSQRRSNDSDEDDNDSDQLDSEDDDEIPKRFDRPRSNTRRQGQGQDFVRRSHDPRPRVRSDEDVLSDLDNTMWDSGDEEDAAPANYISSSDEEDEDENKSKQPRFSNNNSKTKSGKQRDEDWDSD >A03g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14242640:14243108:-1 gene:A03g504020.1_BraROA transcript:A03g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENEKLLKYGDTKSARNIMYTVLQKLIEGNPLMYKVLNILEFNSTRQNMPIIVHDKDGKL >A08p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17841295:17842271:1 gene:A08p028620.1_BraROA transcript:A08p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVLNPIMLFVFLPDYHRDQSQRDLQIPFQSDLFHMVFTEGVLFAKKDFNLPKHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPGGRPFGGPSGDRPRGPPRFDGDRPRYGDRDGYRGVPRGGDAGGEKGGAPADYQPSFQGSGGRPGFGRGAGGYSAAAPSGSGLP >A01g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11200387:11201154:1 gene:A01g503630.1_BraROA transcript:A01g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVKNFTNQRFRNPSICEYQTFEEDSSPKKKRSEPKPIIGFKMYISVLQKAQYQDKWPRNYEIMIQSPELAKPVLHLPQLEAITSKTLNIGDQEINLYIQKVHPVIQKSQTSPHKSYNVLESLPACLGAPRCTRLHWIRRIPIRPEERPSLLTLAKPILFKECILLLQFGSTQTYLWKPEHHLNHPEDIQEILSFTST >A06g502750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8973387:8974388:-1 gene:A06g502750.1_BraROA transcript:A06g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMCWSPPPRLHLLSFPPQLRPLADPPSCTPLHLPLEAPSPPKPPDPPDSPFHLVLLLLFDTSLTFPQKISKSPDLEFLLLNMDFVISVGVVSLVSIGDTSLASKRLLPAGLSQRFSNLCFTDVLISLVWYLGFSHGSCMYLALVRPSTAVCSPFTVLCSYTFVVFKSFCVQLWQLDGLMFYISIHPMDRVLSDVYYPGSFIMELVFLPVSSTTLCGFGAGSSMLEIRDTSNTEVLIKGCLAMLRIVNCALDAVSISGCISLFVVVNSQGFVSLFSLMIVEFRGLLYVIGCLSAVFAPIFICCICFLVIVVSLVMMAMLSCFMNTFSILGE >A06p053230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28099301:28101570:-1 gene:A06p053230.1_BraROA transcript:A06p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPSLSSTAVASTVVPTTTVPVPPPPHATTSYPESLDSSPRSRTTDGWDDLHAPSAVSSKLRLMCSYGGHILPRPHDKSLCYMGGDTRIVVVDRNSSLASLVARLSNKLLDGRSFTLKYQLPSEDLDSLISVTTDEDLENMIEEYDRTISAPNSTKPSRLRLFLFTSKPEATQSMGQILESSAKSDDWFLNALNSAGLLNRGFSDSDANVNRLLGLDDGLRSSPGDNGDNLDSSVKDDDGSVKSGKQQQQIQDPPPPQLPQLQQGGQDVHSMPDSPMLDTSSSFGSTSSSPLPANLPPIRVHVEEAGGVKGMQDQRMGIEEQFARFNVGNKQQQVQQEDGFAAISSPPPPLPVTISLPAAPVNAAAANVSSEFQTRVFSDDERSDHGVPAGYRKPPTPRSQPQNLPPQQVHHVKSNSGGHELPSPHSVSSDSSMNNPVYQQRPSLYQDPMSQMPSGSTVVTGMINPSDPNTLLPQNHMQNQDPGYILHPQFEQQSAPSQQQQQQQQQFIHAAAPPQYIHHHPSGGLPMQTYIQVYPSQQPQSFHRQPGQLDQQQPYPAVYYVTTPVPPRPYNMAVPQSGSVSEAPGSVHSNHPQAPPNSMMAPPPNSQLRSVPGGKPETGVYTTPQGMAGAQMVHQIPTSQQQFMGYSQIHHPPQSGSAAIPSYGYEYADNAHKQMFYTQPVGHAQYQTMTGPPPAMVLPDGSAAAKLPAENMTQQIRSSQPL >A01p053500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30015908:30019304:1 gene:A01p053500.1_BraROA transcript:A01p053500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSNNGQYSLEKTLPPHPHLPKLEPPRGTRVSSEEATHQSSFTVDMMKLIRQSGNAISKRISILHENGAVSKKDLNESDVAEFEISGVKVLVKLKSEEEIRGRVAFFSRSNCRDSTAVRLFLRERGFDFSEINIDVYAHRERELIERTGSSQVPQIFFNEKHFGGLMALNWLRNSGEFDRRIKELLAEKCCGDAPAPVMYGFDEEGEGGGVDEMMSFVRVLRQKLPIKDRLMKMKIVKNCFSGAEVVEILIHHLDCGRKQAVEIGKKLAKKHFIHHVFGENDFEDGTHYYRLLEHEPFISNCYNFRGSTNDMEPQDAVMVGQKLFKIMTAILESYSSDDHSRVDYMRISQSEEFRRYLNLAQDLQRLNLLELSTNEKLAFFLNLYNAMVIHALIRIGRSDGMIARRSFFTDFQYVVGGYSYSLNSIRNNIIRGGHRQSYAFIKPFMRGGNTHHKLGHPKLNPLVHFGLCDGTKSTPVVRFFTPQGVEAELKQAARDFFRNGGIDVVLDKRVVHLSRIIKWYKEDFSEEKKLLKWIMSYVDANKAGLLTHLLGEDGGGSVHIVYQDYDWSINS >A09g512140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35527542:35533965:1 gene:A09g512140.1_BraROA transcript:A09g512140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPAKGSPPRQWGDPAECMTSRHTRSNAQGPLHQLTNEELARLERQNRQLPIPTTTNMGDHQDDLTAAFALMQQQMQQTIQANDANQRNAPEEVDQLIKNNQNHVFIMEESPQDKGTAYITSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNAENAQGNQVQNNGYQRGYGNQGRTFVLSPAQNTQFHNQKQPTNQQPTQPAQTAPQDEMKSLANMMSQLLQRQQIQGKSLNQNNLLWLFNQLQNLSPPPPPPRDYVPKVPYLVPAKATRKDKEDMKCRKMLEDLTVRLPLMTLIRAEAEQNVQNIDAEGYAKMLDSARTMERLVAYLNILTKSLAVKSCSNLNRTTKYRLSEGQRHVSNSAADKLEYGNRTTDKPSSIDTRRPSMHTARSLRSERASVPLGRYVATKLEPSSRPSVRSVRSLRSDRTLPKRRYDTKSCILVYPTMLSPEDRSELSSCLSTILRHQSNFAVKTAESSFFIERSRNKRFESEDGPKGPRTRLEAQLTIS >A08g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7971431:7972689:-1 gene:A08g504780.1_BraROA transcript:A08g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARSLHSDRAYTLLGRYVAIEHAHAARSLRSDRAHAARSLRSDQARTLLGSYVATEHTHRSRRTHCSVATYRTSTHTARSLRSDRAHTLLGRYVATEHAHRLRPVKPEKSPPLGFLLNPHRNAFRFVSIGNSVEILRRKQEGLFLACFHSLRSDLSDRQSLRSDLGTRLGSLSLAIATEMTSSLAIATRQASKGSSFTFSFESSSKLFSFRLNRSFRRKFTTKTSWTRLGSLSLAIATEMTSSLAIATCQASKGSSFTFSFESSSKRFSFRLNRSFRRQFTTKTCWTRLGSLPLAIATEMTSSLAIATCQASKGSSFAFSFEVSVQPFSFVKKKGE >A04p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8955004:8957249:-1 gene:A04p007280.1_BraROA transcript:A04p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARQLRALQSHPDNKVCVDCAQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNERLNSFLSQYGIAKETDIISKYNSNAASVYRDRIQALAEGKAWSDPPVVKEGVHKKPPLAAQGGGGGGGGWDSWGNEDSYRSDMRRNQSENDFRGSGGGGGGGGGARAKSKSSEDVYTRSQLEASAAGKESFFARRMAENESKPEGLPPSQGGKYVGFGSSSGPAPRSNQQDDVFSVVSQGFGRLSMVAASAAQSAASVVQTGTKEFTSKVKEGGYDHKVTETVNVVATKTTEIGHRTWGIMKGVMAIATQKVGEYTKEGTTSWNQHNESESNGYYQNYGSGNKEANPSAGGGGSQLPSTGHYNNSQKSNSWDDWGENDTPKKNEAVAPKGSSASNDDGGWTGWDDGFDGHYQSADDKKSVGHNGKPDTAWTGGGFL >A04p011110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6897270:6897773:1 gene:A04p011110.1_BraROA transcript:A04p011110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCCSTRKKIRFTRQRETFQGLDSWQTQVTEYIAFCKNGEDCYRTIPTRIGVHFTSQGISDMVLRGDFLYVYTTRPYVRLLDLSGHEGFMQVTKRSFILPFHPAFPPSSEEITYASRLKVSYNIAVTRSGDVLLVKSMVFKATTSEMMMFHFYETDRTKLHLLHPS >A02g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25529854:25531151:-1 gene:A02g509600.1_BraROA transcript:A02g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWGSRGHALERGERIISLIFARKLGFALCGDFICDAELSPIFSPFLDRFGAGLSPPGPEMDPADERTDCKRKLEHINLLSYVSDSEHGMPKRCACGGRMIHEVRVKDEFDTQPGKRFFSCVNYEEEIEMLRKRVEEADEVIKSVPMLVESVEAQVKRLSLLLDKLTGDVYNLTVQVAALERLN >A03p020200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8298702:8301234:1 gene:A03p020200.1_BraROA transcript:A03p020200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAFFHRLAHDTLLLSMSQVRSFKASTWEDTITRIRLCWEQARAVVVVPVFKFLVALCLIMSVMLFVEMMYMGLVVAYIKLFKRKPEKVYKWVAMEEDDVECGGEIFPMVLVQIPMYNEKEVCEQSIAAACKISWPSNRIIIQVLDDSTDPASKELVKKECERWSKEGVNITFEIRDNRKGYKAGALREGMKHSYVKQCDYVAIFDADFQPEPDFLFRTVPFLIHNPKLALVQGRWEFVNAGQCMMTRLQEMSLSYHFMVEQQVGSSTFAFFGFNGTAGVWRISALNESGGWNDQTTVEDMDLAVRATLRGWKLLYLDDLKVKSELPCSFNALRSQQHRWTCGPANLFRKMAGQIIRSENVSLWKKLYMLYSFFFMRKLVAHVLSFCFYCVILPATVLFPEVTVPKWAAFYLPSLITLLIALGRLRSIHLLAFWVLFENAMSLVRTKALVMGLFETGRVQEWVVTEKLGDGLKAKLIAQAPEEHHVSFRDRVHLMELLVGVYLLFCGCYDIIYGKQTLFLYLLFQSMGFFVVGFGYVGKYVAASSS >A08p019010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13010303:13011260:-1 gene:A08p019010.1_BraROA transcript:A08p019010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIKKLAKPLKGYLSNDNTDNCFNGKTVSATSRVKKEEETTNILGFHGEILGPKQEPARLEKNTTSRSKSLRGTSTTTLVRHDASEDMVNCKRVASGTKKQVGSKRVVTSMINGESKEAAVVVNKPSVCAAASGEKRPLTVPKGPNFHCIHVPKSCCCTNRMASHVYKSPV >A01p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28679920:28680516:-1 gene:A01p051100.1_BraROA transcript:A01p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKLEDKLKLTTKDAVVIFVGTAAAVTLLCIAAAFLNRNSRGKQVADAEWAFVESRTMDQKRNCKWSKVKRRLMGSFCWSSAAKWMEMETRPPPQRALLAVKERSLNAVDQVWQRPILMGEKCELPRFSGLILYDERGDPIQHSPSQEEVKQTPLVRTTLRDLL >A01g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26941168:26943966:1 gene:A01g509880.1_BraROA transcript:A01g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIAKDVLDKLNATPSKDFDGMEGLDAHLTEMESLLDLYDDGVKMVAISGPAGIGKTTIARALHSLIRDRFQLTCFVDNRKRNYQPGLDDYGLKLRLQEQLLSNILNQNGMTVCHLGVVKERLGDKRVLIILDDVDNIRQLEALANETTWFGSGSRIVVTTENKELLQQHGINNTYHVGFPSDVEAIDILRKYAFRKRYPHDDFEVIAERITELCGKLPLALRVVGSSLRWKNVEEWEEVMQRLETVLDRDIEDVLKVGYESLDENDQSLFLHIAVFFNYKDGDLVKAISADCDHLEVKRGLQILSNRSLIDLSTNGKRIVVHKLLQQMARQAIHKQEPGKRQVLVNADEICGVLENETGTGVVSGISFDISRINEVSIGKKAFKKMPNLRFLSVYKSRADGNDRMYIPDKMELPRRLRLLHWEAYPNKCLPPTFHPRYLVELDMTCSQLEYLWQGAQLLANLKKVDLSGSSHLKELPDLSNATNLETLDLRGCMRLGEIPSSFSHLHKLKKLKMGGCINLEVIPAHMNLASLDSVNMQGCSKLRKFPDMSTNIRKLDISKTAVEDVPASIAMWSRLESLSMWNNGKLKAITHLPLNVLCVNVSYSGIEKIPDCMKALHQLQELNLSGCRRLASLPELPGSLNNLRADDCESLESVFFHMKHTPDAVLSFINNFKLSQQARREIIIGSLFRGSALLPGREVPAEFHHHRARGNSLTIPHSAFSTFKVCLVISPNPKKNREYMTSQLLCCRIFGKDDLYPTDREFYIGDDVSKYRAEHLFIFHSHLLDDYINPANVRTDIMFEFSSPSSVFNITECGAKICTQQTIQESYESGSEPLHTAYSKITSKL >A06p019340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9451628:9451894:-1 gene:A06p019340.1_BraROA transcript:A06p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPKKNGRSFGFIKTFMRLVMCFNATAEGDQRERSKTLSRRSSLVHSIKSSPSNVFVSGPMVDEERDEKIKDVILYCKMNSPLNIP >A09p067180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53043965:53047745:1 gene:A09p067180.1_BraROA transcript:A09p067180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDGDDSRSSFPSCYQDQLYTELWKACAGPLVEVPLVGERVFYFPQGHMEQLVASTNQGIESEKIPDFKLPPKILCQVLSVMLKAEHDTDEVYAQITLKPEEDQSEPTSLDPPIVEPTKQMFHSFVKILTASDTSTHGGFSVLRKHATECLPALDMTQATPTQELVTRDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVSSKRLVAGDAFVFLRGENGDLRVGVRRLARHQNTMPASVISSQSMHLGVLATASHAVNTQTMFLVFYKPRISQFIVGVNKYMEAMKHGFSLGTRFRMKFEGEESPERIFTGTIVGIGDLSSQWPASTWRSLQVQWDEPTTVQRPDKVSPWEIEPFLPSSPASTPSQQSQPKSKRSKPVESSSLSPGQASFLGVQAEPPPPPPPASSCYRLFGFDLTSNPPAPIPLDKQPMDTSEAAKCQDPITPSSVNEPKKQQTSRTRTKVQMQGIAVGRAVDLTLLKSYDELIKELEEMFEIQGQLLPRDKWIVVFTDDEGDMMLAGDDPWNEFCKMAKKIFIYSSDEVKKMTRRMKSSSSLENEFYDKVYGCNVNNINNVVIMKLCNYLRIFKNLLASSSWNDHKHQQEQDEEEEMIITSKLQLVLAAKSRKRQYTSSPVISHHVSLSLLSSPDDLSHSRASVPFAWEEEPGKPKQHTLLRVPPNYPKRLDLPPRLLLPREGTKTPLACDHPRFPAALKRWFRLRKDRADDDNDVVGQCSLVVSSENENDMKITRTTSRLHCLYDVARCYLWEVPWKKKKLKRDDI >A04g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11966517:11970787:-1 gene:A04g505690.1_BraROA transcript:A04g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSPASFGTQANALLRKNLTYQRKHIWTNVRLILVPLFLCLILLAIQHVLDALMKGVSDMTGDCKSNADLSGGICPIPNPPMLPAMSQIPQHELRSVKTDFLPYKDLPDKSSISANIFATSFAVNSSDLLPTLANNSLGSPIAADKDNYADPGLAPGLPIYNIQPLCIANSTWPLSLEKIQTEVKCVQGLCLWRNNSADVNNELFKGSYRGNPAGITNEIAAAYDLMSTDKKNFNVTIWYNSTYKEEFSTGPVKLVRVPRSINLISNAYLKFLKGPGLRILFEFVKEVPKHATRLNTDIASLLGPLFFTWVVLLLFPVILTSLVYEKQERLRIMMKMHGLGDGPYWLISYAYFLTISVLYVASLVIFGSVIGLKYFRLNSYTIQSVFYFIYLNLQIAIGFLVSSIFSKVKTVTVVAYILVYGTGLLGSFLFQTMLENQSFPEEWIVALELYPGFSLYRGLYEFSQYASRGNGMKWQDLSDSGMGEVLCIMSIEWFLALIIAFYIDQVFSSGKHPFFFLNPFKKSSSIPSKPTVQRMDSKKVSIDMGKIDVSQEREKVQQLRNEGSAGHAILCDNLKKVYPGRDGNPPKMAVRGLYLDVPSGECFGMLGPNGAGKTSFISMMTGLLKPSSGTALVQGLDICKEMNKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNIKGSALTQAVEESLKSVSLFDGGVGDKPAGNYSGGMKRRLSVAISLIGNPKVVYLDEPSTGLDPASRKNLWDVIQCAKQNTAIILTTHSMEEAEFLCDRLGIFVDGALQCIGNSKELKSRYGGSYVFTMTTSSEHEEDVERLVSTVSPNAKKVYHLAGTQKFELPKQEVRIAEVFRAVEKAKSNFTVFAWGLSDTTLEDVFIKVAKSAQAFISLS >A06g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23118095:23119083:1 gene:A06g508180.1_BraROA transcript:A06g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLCLDELDLTQQPPAQMARDLRDNEWKFKHIFRDIAISIRLNDLIKLEDLTETTAMIRTEKFRVRKYEQIMVLANTNMDQPDNLHQKFELAGLKPKVMVATNVNQKWLEGAFSSM >A10p024270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15730640:15741271:1 gene:A10p024270.1_BraROA transcript:A10p024270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.4 [Source:Projected from Arabidopsis thaliana (AT5G60770) UniProtKB/Swiss-Prot;Acc:Q9FJH8] MANGLGEPGSSMHGVTGREQSYAFSVQSPVVPSDTSAMFSLPVDTEHKAKVFKLLSFAAPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLNLTRQDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVADAGGYIAVRFMIGFCLATFVSCQYWMSTMFNGQIIGLVNGTAAGWGNMGGGVTQLLMPLVYEIIRRLGSTSFTAWRMAFFVPGWMHIIMGILVLTLGQDLPDGNRSTLEKKGAVTKDKFSKVLWYAITNYRTWVFVLLYGYSMGVELTTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPIGGWASDISARYFGMRGRLWTLWIIQTLGGCFCVLLGRATTLPTAVFSMILFSLGAQAACGATFAIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSTSTFTTEQGLTWMGVMIMACTLPVTLVHFPQWGSMFLSSTGDEVKSTEEYYYLKEWTETEKQKGMHEGSLKFAVNSRSERGRFVASGPDRTPEHIFHPTLNI >A04g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10985466:10988589:1 gene:A04g505040.1_BraROA transcript:A04g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVFQIWKTSGTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSEHPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWSPSLRLIIQSSTRRLKLDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASNWLFMVVVVLMTMTIL >A05g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14212088:14213454:1 gene:A05g505100.1_BraROA transcript:A05g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDPNTNELRLVFLLSYLESIRLEELNTPSQHISFAPFVLILDENIAEAKEEFKEFLFARFSGDVSNVRTREVILSRPTWMIAGSSAHHYNRPGQTSRVPYVLFSRERVSVDRA >A05g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29412205:29413311:1 gene:A05g509820.1_BraROA transcript:A05g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQSFSLMLALDVVPETRYLNKERELIGVDMLLLDEKVTLLHGFIGENLLNTFRHLQIRKSTMGKLQVSLSELKLGRSPQSDVTRLAVLLHEKLIILDVDPRIIIATSINPKPDGGDAGPSPQKYEK >A10p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:403847:408494:1 gene:A10p000830.1_BraROA transcript:A10p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNISSEGLYVNYLKLTAKHQSICLTKLVLSRAWSQRLPNLASNPSEIHLSRSPKAFSIPKEQRMSTVGELACSYAVMILEDEGISITADKIATLIKSAGVSCESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGAPVSAAAPAAGGGAAAAAPAAEEKKKEEVAEESDGDLGFGFCCYTSNSSSSCLPRFLRLSLSFSLFYSVPLNLIGLNYIEMATSFSATLPLHGSQENRLLLPPIRLAPPPSSFLGSTRSLTIPSSRRLYHAHAARRSPVVGVQEVVKEKKVTNSLLITKEEGLVLYEDMILGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLTQSDSVVSTYRDHVHALSKGVSARAVMSELFGKVTGCCRGQGGSMHMFSKEHNMLGGFAFIGEGIPVATGAAFTSKYKREVLKQDCEDVTVAFFGDGTCNNGQFYECLNMAALYKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAVTRARRGEGPTLVECETYRFRGHSLADPDELRDAAEKAKYAARDPITALKKYLVENKLANEGELKTIEKKIDELVEEAVEFADASPQPGRSQLLENVFADPKGFGIGPDGRYRCEDPNFPHKLFTYLGSMIMAASCFATPLSSSSSSRSSSNAIPKCKTLIPSCSYLKASSTSFHLSSLSRHYVAQRLQIKVSSSELSVLEEEKQEEVEVDGETGEETEAEPVVMKKPRPCELYVCNIPRSYDIAQLLEMFQPFGTVISVEVSRNPQSGESRGSGFVTMGSINSAKNAIASLDMKEVGGREMRVRYSVEMNPGARRNSAALNSTPKKILMYESQYKVYVGNLPWSTQPDDLRDHFSSFGTVVSARVLHDRKTGKNRVFAFLSFASLEERDAALSLNGTEYEGRKIIVREGIERTES >A02p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6136624:6143059:1 gene:A02p014020.1_BraROA transcript:A02p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRATSWRRFRKVALRSGTQRLRDVAPGDIIWSNGKSSCPDVSKQGTTGSWLSQELDSTAQERMRWVQRNYMIYNYCTDAKRFPQGLPKECLAAMDRSTFILSILLTRTATTTTFFSPVYAGTFDMEFDITWGDGRGKVLNNGELLTLSLDRASGSGFQSKKEYLFGKIDMQLKLVPGNSAGTVTAYYLKSKGDTWDEIDFEFLGNLTGDPYVMHTNVYTQGKGDREQQFNLWFDPTADFHTYSVLWNPHHIVFLVDGIAIRQFKNLEHRGIQYPKLQPMRLYSSLWNADQWATRGGLVKTDWSNAPFTASYRNFRADACVSFAGKSSCPASSPRWFTQKLDLTAEDKMRVVQSKFMVYNYCTDTKRFPQGVPKEKQLLKQQQQSEKMAGFETKLMLTLSLLLLIGVCTGSFYDNFDITWGDGRANIFESGQLLTCTLDKISGSGFQSKKEYLFGKIDMKMKLVAGNSAGTVTAYYLSSKGETWDEIDFEFLGNVTGQLYVLHTNVFTGGKGNREMQFYLWFDPTADFHTYTVLWNPLNIIFLVDGIPIRVFKNNEAHGVAYPKSQPMKIYSSLWEADDWATQGGRVKTDWSNAPFSAYYRSFSDVDCCSRTSIWNWVTCNANSNSWMWTTLNSNQMGQMKWVQDEYLIYNYCTDYKRFPLGLPTECNLA >A04p025200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15278851:15282044:-1 gene:A04p025200.1_BraROA transcript:A04p025200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYDYNTILYYTSSRLNYFLFIHLDLKNSFAHMGVHWREMDVVLFCFLWWLSIFSDCGDAAISRESPLSLGQTLSSPGESYELGFFSPNNSRNQYVGIWFKKITPRVVVWVANREKPITSPAANFTISGNGSLILLDSRNKVVWSSNRGEPSTNKCHAKLLDTGNLVVVDEVSGTLLWQSFENPGDTLLPLSSLTYNLAAKEKRVLTSWKSRTDPSPGEFSVELTPQVPSQLVTMKGNKVYKRSGPWDKTGFTGVPQMDESYASPFSLVQDVANGKGNFSYLQRNSQLLTRVIVTSEGYVKTSHYNGTGWVVDFVTPANKCDIYGACGPFGLCVTSTPIKCECIKGFVPKHKEEWKRGNKTSGCVRRKELLSCRQEATKSSTDAFYRLSNVKPPDLYKYAASFADKDQCRQGCLGNCSCTAFAYVTGIGCLLWNQELMDTVQYSAGGEFLSIRLASSELAESRRTMMIIAGSICLSIFVILAFASYKYWRYRAKRNAWKSGLEQEEISGLTFFEMNTIRAATDNFKISNKLGQGGFGPVYKGILSDRKEIAVKRLSSSSGQGTEEFMNEIKLISKLQHRNLVRLLGCCIDGEEKLLIYEFMVNKSLDSFLFGLLPSPLCFFMFLNTRRVVGTIGYMSPEYAWTGMFSEKSDIYAFGVLLLEIISGMKISSFNCGMEGKTLLEYAWESWLETDGVDLLDQDIASSCSPVEVARCVQIGLLCIQQQAVDRPNIAQVVSMITTTTDLPRPQQPVFALQNHDQESTVSVLESGNHMTQTAIYGR >A05p022950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11085164:11087239:1 gene:A05p022950.1_BraROA transcript:A05p022950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKKGTRGKGKENLSREKSFDSLSLSLSLSHTIIITNKLLISSLFCLPSPAQIPTQVNSSLLLSLVFVGMITRIISDLMDMPTAVAAGESVLGTIKIAVMPIAKVFTMCFFGLLMASKYVNILPPSGRKLLNGLVFTLLLPCLIFSQLGQAVTLHKMLQWWFIPVNVVLGTISGSLIGFLVATIIRPPYPYFKFTIIQIGVGNIGNVPLVLLAALCRDKSNPFGDSEKCSIDGTAYISFGQWVGAIILYTYVYQMFTPPPEGFDGEEENLPLKNAASPDQVPLLTQNYPKDTPPAQARLPVQSAEPREREDSKITHIFVYLYEKLKLKQIIQPAIIASILAMILGAIPFTKKLIFTNNSPLFFFTDSCMILGDAMIPCILLALGGNLINGPGSSKLGFKTTAAIIIGRLVLVPPAGLGIVTLADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGRESAAVLFWVHIFAIFSMAGWMVLYINILF >A03p012490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4959004:4960520:-1 gene:A03p012490.1_BraROA transcript:A03p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB119 [Source:Projected from Arabidopsis thaliana (AT5G58850) UniProtKB/TrEMBL;Acc:A0A178UF86] MEHRRIAHGAAPPLTAVERFLYGQNNDALSTNKQKGPKERHPPIVRRTAVTEIVNDNKENTTFGPKKEKHLVVHGRSPNGGMVVKDATTKKRPYKNLIKGQWTTEEDRLVRQHGERKWAIVSEKLEGRAGKQCRERWHNHLRPDIKKDGWSEEEERVLVEAHTRIGNKWAEIAKLIPGRTENSIKNHWNATKRRQNSKREKKRQANADNNDSDLSPPAKRPCILQDYIKSIDNNNKDNDDNKNENSISVFSTPNLDQIYSDGDSASSVLGDPYDEELVYLQNIFENHPASLDNIGLSQTSGEVNQSSSSEFMIKNPNPNSHNVVQTHHHDQAPVAVPPNTSHLASDIYLSYLLNGTTPSYADPYFPSYSSSTSSTTVEQGVHNELLVPQANSTSERRDMDLIEMISASTQGSNNSFPLF >A09p054270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46834164:46836290:1 gene:A09p054270.1_BraROA transcript:A09p054270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAVVYPQDTSGYLSNCKGFMFYDLSYREEVVAQDTKNNIDTLGQEQSFVEKNEEERQWRDYHHKYPLIPLLDEKLDLPATDMENHPPIQPRRKRRRTRSNKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYAQRGDQASIVGGAINYVKELEHILQSMEPRRTTTTTHEVDTSTSSLMSPFSEFFTYPQYSTKSSSSATENSSSPAEIEVTVAEGHANIKIMSKKKPRQLLKLVDSIQSLRLTLLHLNVTTLDDSILYSISVKVEEESQLNTVDDIATALNQIIRRIQEE >A02g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12391162:12391863:-1 gene:A02g503700.1_BraROA transcript:A02g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLVSKLHVKHQEERGVAKFKSWRQHPKRSVQIMAQAMSPFGGSKKKEEAIQAMNKPQESDHTAKTMKR >A06p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1522938:1528143:-1 gene:A06p005230.1_BraROA transcript:A06p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYVEKLQKFLEEETESLLEETIVLKLNPAGLHYIHLRLDSLRELERMLSGAPVDYLRAYVSDLGDYRALEQLRRILRILTSVKVVSTLPSPARDPTPLSLIPFGRLKVLELRGCDLSTSPAKGLLELRHTLEKIICHNSTVSCHLVKLVLRNNALTTLRGIENLKSLEGLDVSYNIISNFSELELLWSLSLLKELWLEGNPVCCARWYRAHVFSYIALPDGLKLDGKQIGTREFWKRQIIVAHRHSEPASYGFYYPAREEGNEEENCNRKKKMICRLASIDCEEESTYVNSDQETVSCDHENKDNLKCDQDADIFGLIRKVENLKKERSVLWLREFKEWMDHSSEDFADVSKDSLGTNMENKYCTNTTGTSRQHSGTRRCSSRSLRASRDKSHRKNLECNGSSMDHKTGMDDLKYVEGNATQTMTSDISSLGLQATNQSQEFAPVEPNNLFSTAPSGGKLAENGNASTLDIGQDIMGSFSSTYPGSPPHYQKDVLHRRQNLVEEILQLSADSYSVASSDSTSSCSEDDNYDSESEYSNHENSRLTDLIDVNRLREEIPGCEPKVTSSLDSQPQNGSSIIGSLRTDGSMKENTLSELHSGEHICHLVETKTSIRKPIKRYVSFRKEESCITNAEASLIGDADICFSGSDHLLGSSLSMMCSSSGRNIRCLGTDRTHEGKGASVEEYFSAKLADSSSQETCRTYMSCDYILQKGSKYKQREAVLLLSTLNKLYVLLVGVSTTYQGSTLSVLCSHEISELQDVSVGLGLQLVRLRFLEDAEYIFVTKCIEKTTELLNIIQVFDSQDSKCSLGSLENIQVDLFEKEICGGLKLSIFQYNILQFQSSTLGEALWRWRSLFVAGGRLFICNEDLAQLSSQRAYSSSVPYYSLDSCCYISDISETMVESQGRVVSLKIKETRGVDSVIWKLKWCCTEKALKFVTLVKALHPDSAQCPLAVSYRR >A09p023650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12952908:12955460:-1 gene:A09p023650.1_BraROA transcript:A09p023650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKYKLGRKLGSGSFGEIFIGKNVQTGDEVAVKLEPARARHPQLHYESKLYMLLQGGTGIPHLKWYGVEGEYNCMVIDLLGPSLEDLFNYCSRRFNLKTVLMLADQMLNRVEYMHVRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKRLTPVEVLCKSFPPEFTSYFLYVRSLRFEDKPDYPYLKRLFRDLFIREGYQFDYVFDWTILKYPQFGSSSSSSSKPRSSLRPALNPPVPSAERPEKPSAGQDSRERFSGALEAYARRNGSGSGAVQADRSRPRTSENALASSKDTITPQNYERIVERPISSTRHASSSRKAAIVSSVVRATSSADFTENRSSRVVPNNGRSSTAQRTQHVPDPTSRPSSSSFSRAVPSRTARDTALQNFELLTIGNGKRK >A06g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5479494:5480504:-1 gene:A06g501460.1_BraROA transcript:A06g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLQQAAESGSIDELYDLIDENPYILENIDAVPFSTQKGISPLQLAVNMDQDDFVCRMIWLDGGLARVKGRNSITPFHLLALKGNADLVARSLRKSPECIQDESVDRQNALHLAVMHDRFDVLQVLTGWIQRMSQRDADSIESRVLNNVDIDYNMIARHVLPSDASAIALGKLPSKHIEEKAGTRQSTR >A06g501440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5396209:5396397:1 gene:A06g501440.1_BraROA transcript:A06g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMLCRLWQNYPRRRRLLFVCPASLTLKVKLFGSFAVARVLCVCCVVFALPLQRGVAAQR >A06p022750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12065383:12066006:1 gene:A06p022750.1_BraROA transcript:A06p022750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMDFGRISVDETTTTSSDMSTEKSIDAAHQTSIDDTPPKAGKLSLTYNANKGIVLGEPKDHEIKLPRQDYLNPGRTYSNRSAIKLPKDDTKKSGVSLEYLVLVRQNPFRGTISEHPHDHIEYLEDMMDDEYNRCKIFPFSLEGDAKKCLDQLPTGSLTCWKEIRNTFINQFFDETCY >A04p027540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16587846:16591859:-1 gene:A04p027540.1_BraROA transcript:A04p027540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAMKLSCPSDNVLRFTVATRLFSSELVSIDESWKKKYISLPSCRGGTRKSGHYVPGVHLQDSSIAEKILPRTKTGERLGFVRTLLIDNYDSYTFNIYQALSTINGVPPVVIRNDEWTWEEAYHYLYEDAAFDNIVISPGPGSPMCPSDIGICLRLLLECRDIPILGVCLGHQALGYVHGAHVVHAPEPVHGRLSGIEHDGNILFSHIPSGRNSDFKADKDSLPKELVPLAWTINDDTGSFSEKRFLVPVNNSVSPPRDGSIVSVSEKLENQSYWPSSHVDGKQDRHILMGIMHSTFPHYGLQFHPESIATTYGSQIFKNFKDITVDYWNHCKYPSLLRRKINGTTKMQVPGACELLKELSRSRNTGNGSSYNGNPVTSLSTAKKNGVDVLEPNAKLLRLKWKKLERLAHKVGGARNIFMQLFGKSRGHDTFWLDTSSSDKARGRFSFMGGKGGSLWKQLTFSLSDQSEITSKHGGHLLIEDAYSSTEKRFLKEGFLDFLRKELSSISYDEKDFEGLPFDFYGGYVGCIGYDIKVECGMPNNRHKSKAPDACFFFVDNIVAIDHHLDDVYVLSLHQDGTVETSFLKDTEEKLISMNVSSTRKWKDQTNPPIDSSTSFVPDKSREQYINDVERCMQYIKDGESYELCLTTQNRLKIGNTDPLGLYLHLRERNPAPYAAFLNFSNANVSLCCSSPERFLKLDRHGVLEAKPIKGTMARGSTPEEDELLKLQLKLSEKNQAENLMIVDLLRNDLGRVCEPGSVHVPNLMDVETYTTVHTMVSTVRGLKKSDISPVECVRAAFPGGSMTGAPKLRSVEILDSLEKCSRGLYSGSIGYLSYNGTFDLNIVIRTVVIHEGEASIGAGGAIVALSNAEDELEEMVLKTRAPANAVIEFCNGGSLIREETSSSCVLKGR >A10p038190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21392000:21393894:-1 gene:A10p038190.1_BraROA transcript:A10p038190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSASLDSWREYFRRGDSDIFGIIDHAIMVAAADCPNRFKSRRDKIAELLFSCRVNRCTGCDHVELSVPGGDGEEEANNIGDAAVDGGEEAGGSKESKANSSRGDNNHTYDDEAEALSDAIEEFSMVSKEVCRIKEILLNKDVESHSVILESLRKLKLMSLNVDILKSTEIGKAVNGLRKHGSDKIRQLAKTLIAEWKEIVDQWVSTTKEIAGAEGTPESANPSVVDEDEEEEEAFPSLPYDVDIFTPEANGFEMLNGDFFDSLDFDGNLCNSGDYNTSREKERRPHNVAKRRPEGTQTRIQDAPFRSIKPSSHADETRRPLKPNTEQRMKNEVVSVHKSEKPMIPRRKPLARPQQEKLKGLDADAKFEFAKRKLQESYQHHENAKKQRTIQVLETIPKQGNAQKPQLKRPGMNNNRNWANGRK >A03g503560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:11899790:11900371:1 gene:A03g503560.1_BraROA transcript:A03g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHMTPLPPLSRVRLVSTLSPLYMVRSPSLQATTIAPQAVVTTFTLRLERFSTFFGELLESSPRLLQVPVLCCSSSNWTAFFWVCSPTPMASDSLQRVSMEGQPPPLSPAIQASSETWLNCSQNPMIGFFKVDFDVCAFLRTQALGLQVKLLFGSLLSLATSIFHFVVVIFVYELTVENSSGCNRLSLLGF >A01p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3423323:3425043:1 gene:A01p006790.1_BraROA transcript:A01p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFYDKRLSQEVSGDALGEEFKGYIFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSQDLSVLNLVIVKKGEKDLPGLTDTEKPRMRGPKRASKIRKLFNLTKEDDVRKYVNTYRRKFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKANAQAADYQKLLASRLKEQREKRSESLAKKRSRLSSAAAKPSGSPKFRIGPG >A04p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20850185:20851408:-1 gene:A04p035690.1_BraROA transcript:A04p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVACSQWVRYLARDWRRYTGQVYMKLRLYARDVVLSGKDHLELCLQLKADPKAKAAKAAKAVKSGQAFKKKDKKIRTKVTFHRPKTLTKARDPKYPRISATPRNKLDHYGILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >A05g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:324756:326480:-1 gene:A05g500070.1_BraROA transcript:A05g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKNSKKPPTKPYPTGSGSSHSVLKQPTPEIPLAVYSEIRTKQADLSLSSAPPGPISPSEPSMPASVAIAASTPKLNETLTSATVASAPQASVPEQTNNPSPSSQMGLIEKVQPPTATLNGPSGKSLPATSSSTDLDPATPAISPHLAVPIDSPQDAVVENPSLLISENRLPASQTIKEGQPQLKPTFAEISATPARKSDLNSQISLPIRSPMPIISTSKAVTDTPSSSTVAFDYDVSKGGLIVDLSPHFVPSSAAPSEDESYSSQQGFSTPESLSEGEDNPDDEND >A04g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11417345:11417663:-1 gene:A04g505290.1_BraROA transcript:A04g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLQRQRKKIEEKHELEEEIGGSENVRTPNYLVESFVGEFVSAVKSAGELTSTVRRTRQCRRI >A09p057700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48547708:48549503:-1 gene:A09p057700.1_BraROA transcript:A09p057700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFSWDEVKADKHRENYLGHSVKAPVGRWQKGKDLNWYARDKKQGGSNTDAMKEEIQRVKEQEEQAMREALGLAPKSSTRPQGNRLDKQEYTELIKRGSTAEDLGAGKADAVWVHGIGYAKAPRPWEDPSTLAPSQTEDKGPAPLPADAPVIKTVEDVPKDTERSQEKDKHEERKPAKRDREERHERRDKHEKREKRERHEKRHSRDSDDRKKHKKEKKDRKRRHDSDSD >A01p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18944157:18947314:-1 gene:A01p027470.1_BraROA transcript:A01p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGFGYGSFSGAPYPFWLSSPSASPFPATYPSNLTQGGGFDPTISHGSYHRSPSDRSSNPSLTHMPSSSFYVNNGGSYGPRGEDSYTYMIPPSGLHHPSSSDYLLETQRFDRIPYKSDMLIRNSQEPFYYPILSSGGKYHPGPPVVLPQALFDGSQTGVRLGDGRSCTDVVCSHAPDNIQSSLPLSENPDLGNETVGSAGLRSPRASHPLQFDSKSSEKRQGSGVSSLYQTPKTFLADSENGVSETSLENAIDDLNCDEHRSWNHFMVSSSEGPSAPTIMFSVGSESCVAMKADNGTAAQSAVNCKAPSHVQATEKQIYDMRNGDNKTTSLTDKGIKGSSKSNADDVSTGQLPERHLCDQEGFLSPASCPRVSSVVNAMHNLSEVLVYECFNNGSWLKPEQLENLDKVVENLTKCLKKSTGNKTITGEASNPTQAMHVSCPNVIDLNMASNVVAKDCEGFSVKPLDRFGLKEPVDKDKYENEMTQSIKNILASNFPDGEENHPQTLLYKSLWLETEAALCSSACMARYSRIKSEIDNLKLQNREISAGASTFMQEPFLNPQKPVSIIKHGSNSGNDIVTMSHAPQSFRFNSDSVSSVLSVMSRSFMGGLEQEHHENFKPDTAISGKIRDAIQQESPGFTTEEKHSDVIDRFQILKQQETKRKLMSQNCSEARIGDQEENPEASEVANIGRSSQMSDVMDRFKMLKRREAEQVEEPLNRLDTDSDSDKDQPRNKTQICDHLWESMMIIGGNSVKETCASTEEPSASGEGYVSPISDWEHVRKDN >A07g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3316301:3319280:1 gene:A07g501630.1_BraROA transcript:A07g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRKRKNPSTTCVGVSSRTRARKAVSAGNEPTRETTVVSLSLLIHKVINSVLVPTVGEEIMLARIIDEEREYHCEGSTSDTWNHWLNVKQKKIFWKELYDLDVAARVFKKKKDKEKVTFLEDSSSKSGLESLKALEEKILGAMSEGFSGLKSVVEAKLGDMDVRMSKFEKNQRQLRRRAKKIEEKLTSIESNKNEERNYGEDMDFGWEDRDYGRTEGKENSEKAKEDKENSGSGEEKDVVSGGENSKDGEKDKGNVEDEEEKENEADKTELGTREKDEVSEEDYDTEEEAEKRRVEADALWRSILSEETEYLEKEAEKVAKGTPTPPRGRPKRLAARKIVLTPPEEFLRGPTVTAPSPIETEKEAETVVEEEGEEMAVEAEESDEEEEGVEESPTKKIAEEMVEEEEGVEESPTEKIAEEMVEEEEAVEESPTEKIAEEMVEEEEAVEEKEAEEAEEAEEAMEAEEAEEAEEGEEEADKVVEKEAETVVEEEGDKYTDEKKQMWALVVYKASEEMADGTTEVRRDGTNEVRTGFKLRCKQKIMMYGKPMGKKNPQRPQSEESAPVIARTPREKRKPQRLQSPYTQVKTEDIDGPKKKRKTK >A03p045950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19317039:19318697:-1 gene:A03p045950.1_BraROA transcript:A03p045950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDEVIWQAIRHNHCSYMAKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPKNLWERIKLPRNYEKALELIDKHLLYWPKLLQHKVKQRLTKMTQIRIRTRKLNLKTREKIMTMARRDIKREPRREEKALKAAQLEKSIETELLERLMKGVYPKILDYPELVQKEVEVEEEEEEEEEEEEEPEIEYVEGYDELLEEVEEDIEDFYGGFQSKESHLDNDDDYDFEDDEDGEEQVVIHKKGRALKKFDGNGKSKKKSKVVVEVEQDDGDTRQILKSLKL >A01p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3392442:3393767:-1 gene:A01p006680.1_BraROA transcript:A01p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSFLDISLNLNTNSLDRSFPEKLPKKEVKVSTSADLKRKWMVKDESASELREELNRVNSENKKLTETLATVYENYYALQHHLEKLQSRRQSPETDQLEQPLKRRKQDSDESLSLPNGLSSGINENSSSNEAHHHHHQQQQQYEQKNQVISCKRSVTDSFNKAQVSTVYVPAVSSDTSLTVKDGYQWRKYGQKVTRDNPSPRAYFRCSFAPSCPVKKKVQRSAEDPSILVATYEGTHNHLGPNASEGDATSQVGSRTMTLDMVHGGHSLALEKNERETMQEVLVQQMASSLTKDSKFTAALAAAISGRLMEQSRT >A02p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3922049:3925374:-1 gene:A02p009300.1_BraROA transcript:A02p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRT (Chloroquine-resistance transporter)-like transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G19380) UniProtKB/TrEMBL;Acc:F4K139] MATSSGRLIAGSTASMSSIKRRNANPPQSISLICRNQIQGAPPLVLLRPSQRSRPWPIEAVPSAKFWDGSDDFEVAKEEKEKKPDASPGGKPDDHALAEKHDRTTEIVIAAAVTAALGVGNRVMYKLALIPLKKYPFFLAQLSTFGYVAVYFSILYFRYRAGIVTKEMLSVPKIPFLIVGILESLALTSGMAAAANLSGPSTTVLSQTFLIWQILFSMIFLGRRYRINQIFGCVLVAFGVIVSVASGSGAAHSFKDAGIFWSLLMVLSFMLQGADTVMKEIIFIDSKKRLKGASLDLFVVNSYGSMFQVICIALLLPFLSKLWGIPFYQLPSYLKDGGACFLNIGAKTTGCEGAPLLPIMFVMMNMAYNISLLRLIKISSAVVSSLASTVSVPIAVYFFTLPLPYLGVASALPTGFVAGTVILVLGMLLYAWTPSSQTPDSVIPSPPST >A04p005620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2966822:2970648:-1 gene:A04p005620.1_BraROA transcript:A04p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHQTRERSIIAPSLFPILCKNISEKYNIRVNSIAPGLLKSEITQGLMQKEWLKNVTERTIPLKVQQTVDPGLTSLKQMLKQLEPWCELKDKVVLLTGASSGIGREVCLDLGKAGCKIIAAARRVDRLESLCSEINSLSSTGIQLAAPLELDVSSDAATIQKAVKQAWDIFGKIDVLINNAGIRGNVKTSLDLTEDEWNTVFRTNLSGPWLVSKYVCSLMRDAKRGGSVINVSSIAGLHRGLLPGGVAYACSKGGVDTMTRMMAIELGVYNIRVNSIAPGLLKSEITQGLMQKEWLKNVTERSIPLKVQQTVDPGLTSLVRYLIHDSSRYVSGNTYILDSGATIPGLPIFSSL >A05p021500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10229169:10231921:-1 gene:A05p021500.1_BraROA transcript:A05p021500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVWCKPSAIEDSPRDKSSSVVSRPVTSSSRREEPLRRTKEHPDVVSVRPNVSRGESLSSRREKRKTENVAASNFPMGITIAKGVEGEYVAAGWPPWLASVAGEAIKGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIQILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPAVKFSESQVKCYLQQLLRGLDHCHSRGVLHRDIKGSNLLIDNSGVLKIADFGLASFFDPNQTQPLTSRVVTLWYRPPELLLGATRYGAAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWVKSRLPHATIFKPTQPYKRIVDETFKEFPQPALALLETLLSVNPDGRGTANSALQSEFFSARPLPCDPSSLPKYPPSKELDARMRDEESRRQAGGNKGHQERRGGTKESRAIPAPDANAELVASMQKRQSQANNNRSRSEKFNPHPEEVASGFPIDPPRSSSQAFEPNRESQGNIMVPHKRASHSGPLTRRSASAKGGRRNYQDPSQKVSSIAAADYSAIPGFAATRTSASQQEACRGMSRLPGSFKETSEEANQEENGRSNKKDSVLLGYGSKGHKIHYSGPLVVPSGNMDQVLKDHDRHIQEAVRRARIDKARVRKHQAEEASSQQVSTNHPSSVSSR >A04g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11323043:11333327:-1 gene:A04g505240.1_BraROA transcript:A04g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSTATRLQSYDGDSSNGDDKRSSLSLVTPSLWKEHPVTTNNNQIIRFKISSKKMSSTPHDSPLHDSPIHDSPLHDSPIHDSYLHDSLQLDSNEFCTTLKLPGRIDKFTVRTLYEMFKKKARSMPTLERLSLGTAILTEAVIMAENPSSKIPRDRLQRYMNYRSHKIAWGKTAYRILMRSVKSLSASSWTGDSYEVSGFALAINLWAMSSVNVLGKSLGKPCETSSSSDPLCLHWDSTRTPTIAEVLELEKINNVEVSTVIGLAEEYKHLVGATHSDDADFHSVVKLVQQGYKMRRSDWEKGFVDMFVATEDIGQQRKTKDEDAEHGEDLNHNEDEEEKKDEEEKTDEEETKDEEYQKDKEQRKDKNHSMSNSEKLDKLIQMVRDLDKRVVMIQNVLGVKFNDSSPNKEDCENGASSDDENEEDTIAEAANSEDTIAEEANSGDGRSALDNENEKEICDEEAKSGTEHQREEENILGEIETTQKITQDEDTKKLESESCLKQTSQVTSPTPTFNTPNFDTRVSSPNPTFTSPKFDLLSQESHSGKGTNEVLMRDVYEIPVFQPLMKIKKRLVQQHSQEAENQSRDIAEKYWLGVVINLEKRNITAFNCAAMKFTDASLVPYVNAYAMALPFMVRYFFKDVSMDTSKFSIKIVSEGFPQVLKIEDSGVYALKLIECHAMRIVDLTKLSEEKIAIIREKLAKKGKLLSIAKDCEVEVSIQEDGFRGSWYRAILEQNPTRVTGKKLRVSYKTMFNEDGVIPLKETIERSFIRPVPPECLNEGVVFKEGSVVDAYFNNGWWTGVIVVERPDGSFLVYFDDPPDIMRFIRSQLRPHADWIGSKWVKSKNKVLSQHMFTRGKLVEMTREISESEKEKIWVRALVITEVRKQGDDRRKFLIKRCTISQNSSDEAEGKHLIVDICKIRPSPPRDLCAEYSLNDYVEVVVTHGWRKGRVTEILLENKYKVYFDATKEDAVFNYTEIRLSMEWLGGGSWIRAHEREFENNAGTPIRPGQESPSNTLATDEDDTLNDDATKIRSDQESPSITLVLESNEEDKVNDDATEITSSLERHRNTSVLEATEAETQNHETIYGKELPLPHESEDMMDDVATPIIDPQEIPRGETMSESNDKIALPKRITETGTKGVVLQRINKRSNLKLLWALSSVNQLGTFFGISDDGIQFPLCLHWKETKALTIEEVNRFDQMEKVDVKCILGDPRLHSDLVEDVDYEFGRVVDLVKRGYRLKRHDWLNRSVDIAVAEAEVDENNSVPGIDATDQEKIEFLNNKVVSLEERVKYLEGLLNIRGETMKETEKSKETEAATKTKVNGQNADYELDENEVLGVYIDAKRKEIAKRKKNGVRPPREVGHQDEDDVEVEVNEEQPQEEEEQQQEDDTEDDVDDSDKESENPETNEGQTQEEEEQHQEDDAEVN >A06p048920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25891403:25893187:1 gene:A06p048920.1_BraROA transcript:A06p048920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNFLAFSLSLILIFPPISSASIRFIPRWSSSSNREGTVIKQKTSASSLVIDPTRVTQLSWTPRVFLYKGLLTDEECDHFINLAKGKLEKSMVADNDSGKSVESEVRTSSGMFLSKRQDDIVANVEAKLAAWTFLPEENGESMQILRYENGQKYEPHFDFFHDQVNQQLGGHRIATVLMYLSNVKKGGETVFPMWKGATAQPKDDSWTQCAKQGYAVKPMKGDALLFFNLHPNATTDPSSLHGSCPVVEGEKWSATRWIHVKSFERPVSRTTGCVDENESCEKWAKAGECKKNPVYMVGSETDQGYCRKSCKACSS >A08p031070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19035016:19041446:1 gene:A08p031070.1_BraROA transcript:A08p031070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEVKAANEKLRADFRAKTELLENLKKVQNKQLIEIQEARSVIEKQGFESEEKAREISELKRTNEDLQRCLREKDSVLKRLNEVNDKLRADGEEKNRGFEEERRKLVLALDEASEKNIDLERKGNAYRAEIEGLKGSLAAAEKKKTEAEKTVRALKEARGRDDVAVKLEEEKAQVEEKLKWKKEQFKHLEEAYEKLNNTFESRKKEWEEERSTILDEIYSLQTKVDSQIRISEDLEKKLQMCNSVLTQEETRRKHLEIQVSELKAKYEDAFAECRDARTQLDELVGKRDEEVAELRHSLSTKEAYFKEMKYENGKLEQENRELLASLRELQEATIQGSGSSALSKLKNKLRNLENVHKNCSANLRSRESEWRSQLEKMAEEMSDYKSQLGSKEAAVNELELELENFHSSADIMRLQYEEISVMFLVLSRTVSEAQSRLVNVTDEQTKDERSKEKRCSILIEELEQKSVALAKAREETEAERERVACLLKRVETLDHFEEQNLQMQKEVERYRQTVEESSKLQTQMKEKLKEAEIDFEEKLLQVCDALDNTNSDLVAEREKVMNLTRQIESFGVIKEKNLVMEKELQKHKEMLEESEKRRMVLEELESDSKENIRELCSKVDTAYAKLAEEVEKNVSLIRKTESIDQNEEQRHRELESYKERLEKVTKSQTLLQEKVVEVESHSKRKLAEVSEALEAANCELSDKTSEAYQLEFQLWVWKSIAKRLKVELEQNQNLRKRVEASLLEQVTLGDAMRQERNELVDKLKAAAMSDSEKEILIKIMRENDKNLEEVQREVELSQQESLTRELEGALFAHITAERVLQNERDELESSLKSVSLLLEEKQNEAIMVYKAWEKLAADKIITEVETEAKKLMIIELEEDISSISQKLERSDEYVSCFRVELESKQGELKEVTTQMQEKLRTSEADKTELVKQVTSLSSERQDLLCFISELENGMSKQCDEDTKLMKALEKTVQHYDGFGKENNNVGSPRLVMKHEDVASLVVSHMSTMGEVTVGSQCHTLLDMRDFTGARSLVKMNKQLGKDWQKLDQVEAICDVIIAAENRLPNGFMDYYGMLRATRFGPVVLDDFRRLMKLLDWRCNGLPSSQEAAQYAYQAWSMLSKPVMKARYDLDISSPMVRGNNHVGVAQLGFPEGSSFVLKEQSPNQDIGRGNDNEVVVISDDDDDGDEDIITMARTAKVFKINGKRVKFIPLKKKKRKDSSTSNLEQGMRPLSQRYNATAYSATIGRNFFTNGASSSNLFTSRGYSANAKPKSKTESKEMAAKKHSDAERRRRLRINYQFEALRTILPNLIKQDKASVLGETVRYFKELKKLVNEIPTTPSLEDSLRLGQCKNRDFARVVFNCSDREGLMSEVAESMKAADAKAVRAEMMTVGGRTKCVLFVQGVNGNEGLVKLKKALKPVVNRKLEAENNNNAGSLLPQQQ >A02p014690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6534964:6536584:1 gene:A02p014690.1_BraROA transcript:A02p014690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFHYSIDLNEDQNHHEQPFLYPFGSSSSILHNQQPHHHHNHQANMFNGGSSSSYDHTVPKKERRLKLTIRKKDYHDDRTDFPHQNPTKLNSGSDKWLMSPKMRLIKKTITNNKQRIDHTNSNDNNHKEDHYPLDHKTTFKEDHDEELKKVSTRTNTAATTEKRCNTINENGYGNNNGVIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAMAAAVAAGDDQEVVAARLQQLPVKKKLQNKRKRSNGGDKYNLSSPVVAKTKKCKLKEEEDDAAIVAGDSEISKSTTSSGSSVLPNKFCFDDLTILLSKSSAYQQVFPQDEKEAAILLMALSYGMVHG >A09p012790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6531064:6532924:-1 gene:A09p012790.1_BraROA transcript:A09p012790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDNPLPNYHRNTLKRASSFLLLLIFKRQGQKDSKMAHSWDQLGEIASVAQLTGVDALKLIGMIVTAANTARMHKKNCRQFAQHLKLIGNLLEQLKISEMKKTRPEVQEPLEGLEDALRRSYLLVNSCQDKSYLYLLAMGWNIVYQFRKAQDEIDRYLKIIPLITLVDNVRVRERLEVIDSDQREYTLDEEDRKVQDVILKQESTREAAASVLKKTLSRSYPEMGFCEALKTENGKLQVELQRSRARYDTDQCQVIQRLIAVTETVEDVDDETEKDESYDTAYPKKSSTRGLESSQHQEEWHTDLLDCCSEPTLCLKTLFFPCGTLAKISTVATNKQITSSEACSELMVYSLMLSCCCYTCSIRKKLRKTLNIKGGSIDDFLSHLMCCCCALVQELREVEIHGASYAEKKKKNMTPPSPQFMEE >A03g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27660651:27664924:1 gene:A03g507820.1_BraROA transcript:A03g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLFGKPKPETNALQTLDKLNETLEMLEKKEKVLLKKAGQEVEKAKEYTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPTTVLPSVPAGRQQARYFTIRGRPVCIDKHEQAMYIWISMSKFKTLSGRTYNNNCRQGEGKKARPKYLEASSTIFISNIFPERGEKGVSRVSDFIHHHEQRIVMSKKRGLSLEEKREKMLQIFYDSQDFFLLKELEKMGPKKGVISQSVKDVIQSLVDDDLVAKDKIGISVYFWSLPSCAGNQLRSVRQKLESDLQGSNKKLAELVDQCDALKKGREESEERTEALAHLQDIEKKHKELKNEMVQFADNDPATLEAMRAAIEVAHQSANRWTDNIFTLRQWCSNNFPQAKEQLEHLYTEAGITEDFDYLELSSFPLSSSSHDPETPKQLLVEDQA >A09g511190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33787468:33790850:1 gene:A09g511190.1_BraROA transcript:A09g511190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQISLSEMKPKRCTRTIVTAVLWFREAKNVKKSGELMGSICLLLDDQDLIISAPQKKVFSNDPKAKEDSSSNVGGEETTMVPESGEANESEENANEKEEEKESSDKVEEKESSEVEEDNEGEKEVVEEEKEARDEEKEVGEEEKEPGKEEKDPKEGDKMKLQRNDEEAEERTTQSVREHETESHAEELLALEAIPSLRNHFRESVNGARPGYTPWLPADVQDASKQGEETYENLVKLRKVVGPMTTWCISRSLDQDNTEREETSFFEEQFGIDFAARTAQVEGPTIPAIGGGSNNAKSGQTDAYSVEAPGVEPLKAMEGRLMNAISDGTKEVNKKVKSLSDRLTLVENEVKSLRVSVSGMRELSSEGESDNPFDQDGSDNPSEEDGSDTPSEEDGGDTLSEAHKDGEMSAAAEQLETEMLEKENAEKKKKKRARKDDGKELLLSKQPKVCDRGRSPIWTRAQEEAAQKEAARKEAAQKKDAKLKGGEKKQTKNTAEKKAAQKEAAAQKKAAKKMKTCRKNKQTKKR >A10p019910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13582895:13584790:-1 gene:A10p019910.1_BraROA transcript:A10p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSNLKQLNKLSVPAQQTPISSFLTASGTFHDGDFLLNQKGLKLTSDDKQSRASDSKELDFEITAEDLETVRVIGKGSGGVVQLVRHKWVGKLFAMKVIQMNIQEEIRKQIVQELKINQASSQCPHVVVCYHSFYHNGAFSLVLEYMDRGSLVDVIRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKISDFGVSASLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMSVLECAIGRFPYLESEDQQNPPSFYELLAAIVESPPPTAPSDQFSPEFCSFVSACLQKDPPARASSLDLLSHPFIKKFEDMDIDLGILVGTLEPPVNCLR >A05p019220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9047513:9048892:1 gene:A05p019220.1_BraROA transcript:A05p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVAADTSAVHSILVHKNNEDKIMLLDSHKLIAASGEPGDRVQFTEYVQKNVSLYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHFRSDMSVEEAIELVDKCIIEIRSRLVIAPPNFVIKIVDKDGARTHAWRQSVQDVTTASV >A05g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9064693:9065231:-1 gene:A05g503000.1_BraROA transcript:A05g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVSSPVWLFQVSTLYTGKVFESNLEEAPLRFRLGKKYFRYLSLYSEEELKKEDVPKNLWLVYEVDAVKVK >A06p005580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1785260:1787296:-1 gene:A06p005580.1_BraROA transcript:A06p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESYEDALERLGELLSNKSDLGNKVAAKIKKLFGELEEFESKKSSDAVKQIKSGFIHFKNHKYLKNPSLFKALAKSQNPKFLVFACSDSRVSPSHILNFQPGEAFIVRNIANMVPLYDKTQHSGTGAAMEYPITKLNVETILVIGHSRCGGIKGLMSIEDDSAPSRSVFVEDWVKIGTPAKNMIKQEYGDLSFEEQCTYCEKEAVNVTLGNLLSYPFVRERVVKGKLSIRGAHYDFVNGTFELWELDVKTTPACFFS >A09p068700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53737808:53740232:-1 gene:A09p068700.1_BraROA transcript:A09p068700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide-binding protein alpha-1 subunit [Source:Projected from Arabidopsis thaliana (AT2G26300) UniProtKB/Swiss-Prot;Acc:P18064] MGLLCSRSRHHTEDTDENAQAAEIERRIEQEAKAEKHIRKLLLLGAGESGKSTIFKQASSDKRKIIKLLFQTGFDEGELKSYVPVIHANVYQTIKLLHDGTKEFAQNETDPAKYTLSSENMAIGEKLSEIGARLDYPRLTKDLAEGIETLWNDPAIQETCSRGNELQVPDCTKYLMENLKRLSDVNYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMMETKELFDWVLKQPCFEKTSIMLFLNKFDIFEKKVLDVPLNVCEWFRDYQPVSSGKQEIEHAYEFVKKKFEELYYQNTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLLEAGLL >A04p031270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18471517:18472759:-1 gene:A04p031270.1_BraROA transcript:A04p031270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPCLEGMKNVKSEQGEMLTKPFLDLCKTILPVIDKFGAAMTLVKADIGGNISRLEKNYLSDPDKYKYLYTFVQGEIESKTAKGSSSCTNGLLWLTRAMDFLVELFRNLVAHQDWSMSQACGESYQKTLQKWHGWLASSTFSMALKLAPDRKKFMDVISGSGDIYADMERFCTEFGPFLQENHKFLASVGMDDMKAS >A07p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16156445:16158298:-1 gene:A07p028620.1_BraROA transcript:A07p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPLISFLLFSAAVLLTFPAAISSIGVNYGTLGNLPPPTQVANFLKTQTSIDSVKIFNVDPNILRAFAGTGISVVVTVPNGDIPALANGMQARRWVSANILPFHPQTKIKYISVGNEILLTGDNNTISKLLPAMRTINSALVRVGVRDVKVTTAHSLNIIAYDLHGAPSRGRFRPVWEKNILASILAFHRQTKSPFMVNPYPYFGFDPKNVNFAIFRSPYKAVRDPFTRKIYTNMYDALMDSTYSAMKALGYGDVNIVVGETGWPSACDAPWCSPANAAWFNLNIIRRAQGQGTPLMPKRRFETYIFGLFNEESKPGPTAERNWGLFRSDFSPVYDVGLLRGGGRGHPAPALPAPSTAGGKWCVAKSGATNAQLQANIDWVCSQGGVDCKPIQAGGSCFNPSSLRMHASFVMNAYFQKNGRSDGSCHFSGTGVVVRSNPSDGACKF >A10g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8563647:8565302:1 gene:A10g503290.1_BraROA transcript:A10g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVDISAPEVEFFGLTSTGVWRYLETMKTILEHESEQVKGAIDFIGLIITRHFTVKDKSSSLKQDLHVFNIDKAVELIGVPWIPKVPEFESAPHQISTARGHRSFYILSLENGLPIFFFTSTFQQKELSDQETTTSKVAPSSGTDFVTDHEPLFVSSYLSTESQVAPAASPSLITSTTILADVLSAPAATTTPIVETVPSNNINMEVQKTSVVDPVTPTPSANAFESPSCFSVLSDMDEAEIESMGSLSLTRGGRETKPPIKYLDLEWKTAQGRGKHGPCGRGSKR >A09p015120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7906368:7908323:-1 gene:A09p015120.1_BraROA transcript:A09p015120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSSLLSPTRRLRSEAVAATVSVHFPMNTQRLDLPCSSSFSRKETPSLGRSISLDNNSNNNNKPIERKSGGCSLKQSIKLPPLATTRGNTEGFSWNNDNNIVKKKKSLKRFAEENKVDKSCLSRVKRQRGGDDDHNRGFWFEHLTAQNSSQALPFSLTCSSDDYEEKVWFAPSEVISQPLQSNNPNWANSVITTELAGLGDKDIESSSRPAAVKEASGSSTSASSDSRHRVPEPTNGSRNQYSHRGGNTEERTINNNHMNDSQRDFELVNLLTGCLEAIRTRNIAAINHLIARTGELASPRGTTPMTRLISYYTEALALRVSRMWPHIFHIAPPREFERTLEDDDAWRFLNQATPIPKFIHYTANEMLLRAFEGKERVHIIDFDIKQGLQWPSFFQSLASRSNPPRHVRITGVGESKLELNETGDRLRGFAEAMNLPFEFHPVVDRLEDVRLWMLHVKEGEAVAVNCVMQIHKTLYDGAAFRNFVGLVRSTNSVAVVIAEQEAEHDSAQLETRVCNSLKYYSAVFDVMHKHLGADSLMRVKIEEVLFGREIRNIVACEGSHRQERHVGFGQWRRMMEQLGFRSLGVSEREVLQSKMLLRMYGDGDEGFFNVERSAEDGDGGGVTLRWLEQPLYTISAWGIGGSSSF >A06g509140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26194774:26194953:-1 gene:A06g509140.1_BraROA transcript:A06g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVIGVCIICLCTSYIVLCFCITVVLCNFLGYSVLKCTRPKVDLFNTTPKEYIKVKTH >A01p017730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8646120:8647181:1 gene:A01p017730.1_BraROA transcript:A01p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKDKIENPPAAASSSEEEEEEEESGSSVEASGSSSDDEAGHVQSKLTQKPPKKKPESDSEEDESDSDSAPPTKTKPLNAVVTSGSVAGSSSAKRSLKQADDEEPKNKKVKTSATEQEVVKKISGEDAKKMFQRLFSETDEIAMLQGFLDFTSTRGDPYENMDAFCDYVKTLIDFNASKAQIVTKLQRCKKKFVNIVKNALKKGRTEDKVTCSKDLDQKAFELSRKIWGVNGVLPAKPRKKSNDVVKSPPSPSHTPKKEVEKRKGSVVVDAQLSSSREVALFFKAANVSVFGLDESTVSAVWDMVEDGAKKREVEEKLKKLKDMQVELCLQRTALLDTTAKMIFKDNASSST >A07p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19506843:19508482:1 gene:A07p036280.1_BraROA transcript:A07p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKQRTPKVSRNPDMIRGVGKYSRSQMYHKAVDAALIKAIEAVPELKTYLGARFSLKQGMKPHELKQSATLAAASTSLSKHRFHLGLTHHNSPPLLIISNGLASATKAVLWRRCAHIQPKKQERTARTTRTEMTAKKGPMEPISICAVGSISLLPNGCCGCCWEGYNNEVLLLVLVIAIGSYDV >A01p005420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2320876:2325302:-1 gene:A01p005420.1_BraROA transcript:A01p005420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIQEEENGTDEEVLGSSLTMEKVAAAKQYIENHYKAQNKNIQERKERRWILERKLASSGVPKEEQINMIKDLERKETEFMRLKRNKISVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMVMKGQVEHVRAERNLLAEVASHYIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLREDVARFYIAQSVLAIESIHRYNYIHRDIKPDNLLLDKDGHMKLSDFGLCKPLDCRTLPSIQENRATDDEAVTEPMDVDGCFPDTDNKRSWRSPQEQLQHWQMNRRTLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPLSTCRKIVNWRNHLQFPEDAKLSREAKDLICRLLCNVDHRLGTGGGAQQIKVHPWFKDVVWEKLYEMDAAYKPEVNDELDTQNFMKFDEVNSPAPERTKSGTSRKNLAPKDLSFVGYTYKNFDAVKGLRHSLDIRKNLTEVFSNSVEMARTMSLDRSPAEVMPVERITGEAAEAPQMVSSMDDPMVI >A01p016510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8187191:8188705:1 gene:A01p016510.1_BraROA transcript:A01p016510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIRPIRQLSPLYHHRLRNLRHLFSKKLPLNPPSSTPTLLLLSPSFSTARSPPRRRLRRPPEALSPPTLIAEEDGGSDESESESSRSRNQRKRDARRAVRWGMELASFSSDQIKRVMRAASLGEEVYDALMLAKRLGADVREGKRRHFNYIGERERESYIIYSIAFTKSLADVGVCLLGKLLREVEPELMDTLINATNQGDLVRIQALIASAKDGADDAGVGDFIDTETESEDEGESSEEYVAIAARWFDGLISQNVELTKEVYSLQSVDFDRQELRKLVRKVQLVHEQMKEVNPEKQKEVDAALVTAEKSLNRFLHSMAKQMQSEESSLYL >A02g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22205998:22211100:1 gene:A02g508010.1_BraROA transcript:A02g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGYCPGSSEPDGCTKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNLETESVNQNTKQDEPIKFKAPIAEPDQDACPTSPTPSDFRSINPMTASDYIKNEPHLQGRTLTPNPYSTFAEYPPLSYSKAVAEKSSKAETQSSTATPNATSNTTPNTKPVYYTKPFKQRLITTPFTKPTNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEISHYKNMTNPNLINYSTCKFLNLFYPRPWFYSSSYNNTFFHPRLSYRRLHLYRLQKCLFPSFFTQTL >A03g501480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4401697:4402128:1 gene:A03g501480.1_BraROA transcript:A03g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLMTTPFAGSLTQCKKTKNLSLQRAFKVTSMQTPLEELYNVKVEPKVSQRRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPAGSKKFMQLLANKKSYSVHQFDWLTRENDPVLEAVGDPSRYQKLLPWES >A09p022790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12539214:12541016:-1 gene:A09p022790.1_BraROA transcript:A09p022790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDLHYILLSLWCFSFLSTATAEDSPIITRIAFGSCANQSAPQPIWEAINKYDPQVFIWLGDNIYGDIRKPNRLFGKERTIGPWRNSPRFVPSSEEEMKVRYAKAKANPGYSRLLRNARVVGTWDDHDYGLNDAGKEFDRKAINQRLMLDFLDEPLDSPRRKQAGVYASYTFGPSNKRVKVIVLDTRYHRDPLRSDGSILGDTQWGWLEEELRGPRSEITIIASSVQVISNLSATTGPLFYMESWGRFPKERKRLFQLIDDTKRNGVIFISGDVHFGEITRYDCAVGYPLYDVTSSGLVQSVEKVFPRPLRFVVRLLFWYTPNTMRVTNDNCRYKSCTYGQQNFGAISIDWDANPATVRLEIRDVNGLTALSTNVSLSELQPRGSKSLTDPTTKGKSQRHCTLEAELQGITRYRLAVLVYFIITVLVMALVGLLIGVVLTITACVYKCKVD >A09g516950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49808417:49808946:1 gene:A09g516950.1_BraROA transcript:A09g516950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVGDKAVNQLDNSVNLNLEATIAINLSIENKEAAEKIHGGTTQVFLISVGPRYLLLQVKCIRWLNLSPISSLVLDILEYKSINDGEKHGKQLEAVSNVKLLKNWLKSQNFHEHCIFSVIESCCPLCSIERNFHISFPELTIPL >A07p035880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19334054:19336535:1 gene:A07p035880.1_BraROA transcript:A07p035880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPKSSKAEKIDKLDLFSSLWKQRPFRVIIAIGCLYLLMVTVEIPLVFKSWSSTSNSASIDSLSRPEKLETEQQEPQFETIPNPPSSKPDSKPTLNRTVREHHRGLLSSLRFDSETFDPSSKDGSVELHKSAKEAWQLGRKLWKELESGRLEKAVEKPEKNKSDTCPHSVSLTGSEFMTRENQLMELPCGLTLGSHVTLVGRPRKGYPKEGDGSKLVSQFVIELQGLKTVDGEDPPRILHFNPRLKGDWSKKPVIEQNTCYRMQWGSSQRCEGWRSRDEEETVDSHVKCEKWIRDDDNYSEGSRARWWLNRLIGRRKRVKVEWPFPFVEEKLFVLTLSAGLEGYHINVDGQHVTSFPYRTGFTLEDATGLTVNGDIDVHSVVVASLPTSHPSFAPQRHLELSKRWQAPLVPDGPVELFIGILSAGNHFGERMAVRKSWMQHVLITSSKVVARFFVALHGRKEVNVELKKEAEYFGDIVLVPYMDSYDLVVLKTVAICEHGALAYSAKYIMKCDDDTFVKLGAVINEVKKVPEGRSLYIGNMNYYHKPLRGGKWAVTYEEWPEEDYPPYANGPGYVLSSDIARFIVEEFERHRLRLFKMEDVSVGMWVEHFKNTSNPVDYRHSLRFCQFGCVENYYTAHYQSPRQMICLWDKLLRQNKPECCNMR >A05p046780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27724077:27726108:-1 gene:A05p046780.1_BraROA transcript:A05p046780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLSRSNRDKLQQFVAITGASDKNALQALKASDWQLEAAFDVFYSQPQPRSNGDMRRLEELYNRYKDPYSDMILAEGISVLCSDLQVEPQDIVTLVLSWHMNAATACEFTKEEFFGGLQALGVDSIGKLQEKLSFMRSELKDEQKFHEIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEREWPLVNHWCDFLQDRHNKAISKDTWAQLLEFARTVDPALSNYDAEGAWPYLIDEFVEYLYDKSVVEK >A03p016630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6629728:6631560:-1 gene:A03p016630.1_BraROA transcript:A03p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAIPSPSPANLPSSTHHESTTRISPPLISAAVGLSLFFTLSLCFCKSNRKRRSSAAVVSSSTPPQKPPLHEFSYSTLRRATASFSPENKLGQGGFGSVFRGSLPRSSIGGSGVVAVKVMDSGSLQGEREFQNELFFAGKLDSPRVVSVVGFSRDRKRRRLALVYEMMENGNLQDALLHRKAPELIEWKRRFLVAVDVARGIEHLHGLNPPVIHGDLKPSNVLLDRGFNAKIADFGLARVRSEQVAVTVNDESNDVESVMTNTTESNFEFADQSPVSVCKVNESPETTATSVSVSPEMEVVKRNGKEMENRDWWWKQEGGGGGGGGKVEDYVTQWIGSEVKKEWVAETSEAASLSSAKMEKKKSSKRLEWWLSLDEEDKKKKKKNRRMVREWWKDENRKEKKKKKNTLESEFQSDDVSSSNSITSSSIDWWLDGLSGEQWRARRKNSRDSAKSCGVSSTPSMRGTMCYVAPECCGANNIDDVSEKCDVYSYGVLLLVLVSGRRPLDVSGPASEIIQRANLMSWARKLARRGRLGDLVDEKLQCLDQEQAVLCIKVALQCLQRSPVSRPSMKDVLGMLTGAMSPPELPREFSPSPQSQFPFKTRRKQRR >A01p010320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5023391:5024975:-1 gene:A01p010320.1_BraROA transcript:A01p010320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDKGVKKGPWVPEEDDKLTAYIEKNGYGNWRSLPKLAGLNRCGKSCRLRWMNYLRPDIRRGEFSDEEESTIVRLHALLGNKWSKIASHLPGRTDNEIKNYWNTHMRKKMLQMGIDPITHEPRTNDLSPILDVSQILAAAIGNGQFGSSNLINNNTALEDLLKLQLIHKMLQIITPKAIPNITSSTNSLNPKLDSVVNSFTTNSVNPKPEQAAIQLNANEQHDFINQSANEDFMMPPFENIWDSFEDNQLPGLVTVSQKNINSGTGMMPGYYGDQLSEIPSNGSISVSPETSGLNYPGTTQHLTGSDVMEDWEKFLDDETSDSCWKSFLDLTSRTSSPGPW >A03p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10796019:10797427:-1 gene:A03p025660.1_BraROA transcript:A03p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDRGGGIMGSGGSSRSDLLTGAIDRKRINEALDKHLKKTSPSTSKAKSHLPDQSETDSEEEGSDVSGSEGDDDTSWISWFCSLRGNEFFCEVDEDYVQDDFNLCGLSGQVPYYDYALDLILDVESSNSDMFTEEQNELVESAAEMLYGLIHVRYILTTKGMAAMLEKYKNYDFGRCPRVFCCGQPCLPVGQSDIPRSSTVKIYCPKCEDVYYPRSKYQGNIDGAYFGTTFPHLFLMAYGNLKPQKPTQSYVPKIFGFKVHNKQ >A08p027010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17149097:17150908:-1 gene:A08p027010.1_BraROA transcript:A08p027010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-[acyl-carrier-protein]--UDP-N-acetylglucosamine O-acyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G29540) UniProtKB/Swiss-Prot;Acc:Q9SU91] MISLLKARERLLNLTPLLSSSIRRRLSSNPSYSCEDTRGSDVFIHPSAVVHPNAVIGKGVSVGPYCTVGSSVKLGNGCKLYPSSHIFGNTELGESCVLMTGAVVGDELPGSTVIGGNNIIGHHAVVGVKCQDLKYKDGDECYLCIGNNNEVREFCSIHRSSKASDKTVIGDNNLIMGSCHIAHDCKIGDRNIFANNTLLAGHVIVEMKSLRAAYRKIFMSTETSSLEERLTKMEHNQELYSVPAVVSMLHSIRDSLAEGRRGICKFRQWLDS >A06p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10589138:10589740:1 gene:A06p021400.1_BraROA transcript:A06p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIFLAPAAAESWTNHRLRSGSTNVSHQTCVIRLRVELAGDDGEDSDMFVVFYTKMTTIVAVINGQSLDELTGKQYLFQIRLTPYNFTPNHLTFTVSAITEDVAVKTHSRVKASTSPTSGRPE >A06g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9421228:9421604:-1 gene:A06g502910.1_BraROA transcript:A06g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A05p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25567851:25568472:1 gene:A05p042250.1_BraROA transcript:A05p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGEIQSAYLSGQGRALFQMCSSKENISVDVIVRKKKLYELSEHFMEIDREHDPIPICSYNMVNSRTRQTRAKVTPSWFRVEKLLLSSTMRLQTN >A04p032180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18813107:18814445:1 gene:A04p032180.1_BraROA transcript:A04p032180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFSWCGSDSRPMQPHNRPMQPHNPAGHYQRDDQSVVQTQSIAVPAIPVDELRDITDNFGSKALIGEGSYGRVNVTYKIFGVLTRKRCIQFWRCSAGAPYRPTKLSKDKVKECVDTRLLGEYHHKAVTKLAVVAALCVKDEAKLRPDMSNVVKALQTVQDFTFLSGF >A08p027380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17300125:17305789:1 gene:A08p027380.1_BraROA transcript:A08p027380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIFFFFVTTFHSYYYVSAQPPAPPLSNGDLVANFEPSLAIVTGVLAIMFALTFVVLVYAKCCHMDLLSGSGDGRRQDRLTRQGFFFNRSTNSSARFSGLDKTAIESLPMFRFSALKGSKQGLECSVCLSKFESVEILRLLPKCRHAFHIGCIDQWLEQHATCPLCRDRVSIEEDTSVYVNSFRFLNESARREDSSLEIYIEREEEEERRRRQREEVGSSSRFSIGGSFRKILKLGHKEKPLLEQQGNDKDENKVMHKFNHRIFVSDVVFKNRWSNVSSSDLMFLNSEMVCSISSERFSFKRSDEEDQRGNLRIKEDMENKLSSMKTMLLSESKDSGSKLRSVMTETGRRSVSEITTVPRLSIAVHGDCSGSNAATASETEERRRRLWLPIASKTAQFFSEAAVLQIQPTSTLLDDNLSRAHQTKLSYTAETQASRTRPLDWLHELSNHIVNIFPHTPQGHANLRDSQSGNLEHDQPRFENPILNKLDTHLSGLLALVSPEEYFAGKPGQSTVLRVPGLGVKLIGLIGLGQSASSAAAFQGLGEAVATVVKASQSSSVVVALSSHDNESKLSSASALASGVVLGLFEDGRYKSESKKPSLSFVDIIGFGTGPELEKKLKYAEDLCLLTKQQKWLLHNSDVFTANILNEEQCRELKMGSYLAVPAASADPPFFIHLVIDLRVALLKPNLHLLEKD >A09p032230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19553511:19556825:1 gene:A09p032230.1_BraROA transcript:A09p032230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASDFPTQTIQEAIQQEDAVNETKEEGEVTTYKTKSIQFLGRNTPIILQNENGPCPLLAICNVLLLRNNLKLSPDSYEVSQERLMSLVVDRLIESNSKVNLFFLLARIDDFEFTPECAIFDLLDIPLYHGWIVDPQDVETADAIGSKSYNALMGELVALETQNVEDENPGEDSVDFVAATTAALGVPSPCLSKTSSFQDSPPAAVELRKLRKGDLEEETELLQALQLSQGNDPPPNTVGDSAFTFSDASPTSTLGDNLCHLEQFKSDGDKASENDQLSPKKSGDGTGPDAESANSNEKAISEVTSSEALSMDKTDLESTKTDCTSESLLKSDAASVNPDLTCKSQRDDVADAFTSPVSSDEPMYEGEECVNAVAPPVCADKEPVYEGESLLGKRAEKNVDDCSSEGNGLTAKEGEVIRNFLKNSASQLTFCGLFRLQEGLKERELCVFFRNNHFCTMFKYEGELYLLATDQGYLNQPDLVWEKLNEVNGDTAFMTATFKEFKIDSSTTGASGTWDEQNAVTNTADYLASMPDTGIEVNSDLQLAIALQQQEFEDQSPRSNPTPQPPTSVGASRLITGPQVPRSSPRPPSSAAASRQEGKSSKDSKCRIM >A05p036810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20877492:20879470:1 gene:A05p036810.1_BraROA transcript:A05p036810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKDEANRITIPPLFPRVHVNDTGRGGLPQPFDGKSKRYPLPSPTNKICDSPSTLSLSLAPQANNTRRHGRPEKSQFTQICNTSPASKFEGKVIHPSARGSSVTNTKPSSIIQNEYHFKNLTNLDSLKAPLVRRSETNPQANTDLSLQFCTSSSSIAGGGGEAAGSKVALDNLQIRELLLQDESKNRSLNMMKTQLYRRRAVDVSNVETQKKLKTVPPREQDVLDRSAIDSLSGMSASSNDVARVIGDKMFWKMRTYMINQQKIFAAQVFELHRLIMVQKMVAKSPNLVLESKLNGLRSGSMVASKVKKPNTENHKPVTEEYPEHMKPKLPLPSITKELMKPIWQQQLLTPPGNQWLVPVMSPSEGLVYKPYAGPCPPPPSAFMVPIYGQDSLDTSAFKFPVSTQFSHNYFPQPNARTTVLDQTNPFGQLQRWSSTSSHMTQAIPFSLKKSQESNDSDVHGSTASSPPEKHKFDVLPLFPTEPTHHNDAHEQ >A08g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6265336:6275912:1 gene:A08g503430.1_BraROA transcript:A08g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRNKIFFALFFDFLYFILKRHFTRADHLEVDERKNNRSMRISADDRHQEMPRQMKINIDRYLKTKAKPNYQNALTSLLKPIDRCPQLTIDRCWQRCIGRRLNRLSIDTLLCLHLTDENGNLYDQAGHLRNATDRKIDAHGTLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTNHDEDRWMDCSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMPFRETKVTKEDIRRIFHQVRGKMKHMITLTKKSDPGKFAIPCRTVISSVDYEKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFALQPPTRRGHDDYSIGSWADSGFHESFAVDTIITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDALHSTSVDTHPRPAKQRLTSIDTHKGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPIHSISKLNDYANIDYGFLTPDEFGIFRDTYGNARAMDGRILQVSREDIADIFQVANGPDNLFSQQRGTPDVIKTDPNNQAGVATTEINPDLSCQPKGQASIDGTTETSIVRVTPTSIDIDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGAAGEMIPVTKGQQSHICLPEHANSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRATSIDVSLPTAQIPAEPRCSTQHRDEWKVSYINTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKAKSASIDMLRGPWIDGKKPVELLPYTATEVDKITSKIYTALDTMEERLDKRCNDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQTSASIDRTKAKSIDGNSPRSTNEHIIASIDAESIPTGEQLIHKTAESMQKELTDLSAYTYDNIGWHQVSIDNIQERLQNISNVPEKMDDKWTRNDEATRSFIASWSRMRREHEDLTIDRRRDVYIVRHRQPHNDRYSTKDAKADQHINYTLTLNRMNSKRDLEAAIFKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQIPRDCLYVLLEDKQKGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQVPLKNQGQAKLPKCPDEAFSYSRIGLARTHRSMSSTDNRSMLAKVYPSTS >A09p045620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39991920:39995460:-1 gene:A09p045620.1_BraROA transcript:A09p045620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPSMERENGASDSTAATTTTTTTEITSPPPMNSPRQALIERLKDYGQEDVFALWDELSPDEREFLVRDIESLDLPRIDRIIRCSLHSQGLPVAAIEPVPENWVSTVDGRTMEDREKWWKMGLKTIYEGKLGVVLLSGGQGTRLGISDPKGCFNIGLPSGKSLFQIQAERILCIQRLAAQVVGEGPTRPVTIHWYIMTSPFTDEATRKYFSSHKYFGLEPDQISFFQQGTLPCISKEGKFIMETPFSLAKAPDGNGGVYGALKSSRLLEDMASRGIKYVDCYGVDNVMVRVADPTFLGYFIDKGAASAAKVVRKAYPQEQVGVFVRRGKGGPLTVVEYSELDQSMASAINQRTGRLQYCWSNVCLHMFTLDFINQVATGLEKDSVYHLAEKKIPSMNGYTMGLKLEQFIFDSFLYAPSTALFEVLREEEFAPVKNVNGSNFDTPESARLSVLRLHTRWVIAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEISL >A10p031950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18989690:18990560:1 gene:A10p031950.1_BraROA transcript:A10p031950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGEPVIGVPYYAGQNPYQAGIVPPNAIYGDPMGAPIQQTFYRDTPAPFNCLYCGNTGLTDIRSKPGVAAVVACMTPFMLGFCFLCPSMDCLWNKQHHCPQCGNKVADFEKSDPCLVMDPPQWTQSSFALPA >A06p039120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20998598:20999077:-1 gene:A06p039120.1_BraROA transcript:A06p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIFRTQNSTLCVLSPKSMSGLSFPCVENGNDNMVFLFLYVDQVVRVIVIQKILIEHAEKLRQVKAVLEEGENFSGIYRKVQLKPLKWDGEGEE >A04p006690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3565071:3566800:1 gene:A04p006690.1_BraROA transcript:A04p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPKNSSKYTYSCDGHTFNFLVENNGFVFLVVADESTGRSVPFVFLERVKEDFKKRYEASIKNDEPHPLADDDEDDDLFGDRFSIAYNLDREFGPILKEHMQYCMSHPEEMSKLSKLKAQINDVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWIQSLQMKLMVGGAVLSFILIVWVVACGGFKCSS >A03p016710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6669119:6670571:-1 gene:A03p016710.1_BraROA transcript:A03p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypersensitive-induced response protein 4 [Source:Projected from Arabidopsis thaliana (AT5G51570) UniProtKB/Swiss-Prot;Acc:Q9FHM7] MGNTNCIVGGCIDQASVGVVERWGRFEHIAEPGCHFFNPLAGQWLAGVLSTRINSLDVKIETKTKDNVFVQLICSIQYRVVKTNADDAFYELQNPREQIQAYVFDVVRALVPMMTLDALFEQKGEVAKSVLEELEKVMGAYGYSIEHILMVDIIPDPSVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAEAKYLGGVGVARQRQAITDGLRENIMNFSSKVEGTSSKDIMDLIMITQYFDTMRDLGSSSKNTTVFLPHGPGHVRDISDQIRNGLMEANSARVSDEV >A01g501070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4112391:4112972:-1 gene:A01g501070.1_BraROA transcript:A01g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGTCLTSTPTSEAPLSQVTHVVSSTEINPHLPSGSEIVPPLLIFSTDISMECQDTSPARCITYSLTSQPQQENTTALTNLSNTLSPLVDSQSAPTSTNFMKSSPSNIINNIVQKPLVVDPLTTTPQAGAFESPSCFTVLGVVDEAEMEQISSFSLTRSGRETKPPIKYQDLEWKTVHGRGKHGRRGRGSFR >A01p050440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28402720:28403870:-1 gene:A01p050440.1_BraROA transcript:A01p050440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDGLELEITELRLGLPGRDVTEKMMKKRGFTEMIMTSSGSNSEQCESGVVSSGVDVEKVNETPAVKTQVVGWPPVCSYRRKNSCKEVSTTKVGLGYVKVSMDGVPYLRKMDLGSSQGYDDLAFALDKLFGFHGIGVALKDGDNCEYVTIYEDKDGDWMLAGDVPWGMFIESCRRLRIMKRSEATGFGLQPRGLDE >A07p003250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3390334:3391964:1 gene:A07p003250.1_BraROA transcript:A07p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRRTALALLLVVVVLTWQKGTMAKDAKSAAEMSKKMATETVSWAGWVSDRITTGLGIKKKEPESAAQRTKNYAYKAAQYIKDSAYGMAGDAKDMAYEKASNAKEMASEKTGYVKDMAYEQAGHAKDYAYDKAGNAKDMAYEKAGHTKDYAYDKTDNAKQGAYDKAAIAKDIAYEQAGHAKDFAYDKAGNAKNMAYEKAGHAKDYAYEKAGDVKEVAYDKASNAKDMAYEKVDNVIDMTYDKVGSAYSSAKDMAYDNAGNTKDMAYDKAGNAKDMAYEKAENVKDMTYDKVGSAYGNAKDMAYDKAGNVKDMAYEKAGNVKDMTYDKVGSAYGSAKDMAYEKAGDAKDMVYDKVGAAYGSAEKAKDYGYEKTSDVIRMATDKSSEAYEGAKERSNSAKDMVADKGEGAVKYGRDKATEAMDESVEYIKEKSHKAKDGAAKGFRETMDKVKETSKHAYETAKEKASHVAEEIRERYVEL >A09p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:124561:126147:1 gene:A09p038250.1_BraROA transcript:A09p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGSTGEISPETSRKIHRVTQSGHRPNPALDSKTSSKNIKFLLLAFSGDFRLSWGFGHGAVFSVSVHRRSVCSVSTHRDVRRPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGPPWLSVCPSRTRRTSQYTQGRPSAPPKGRPSAHAGRPWLSVCVSVPSVPHMTSLSPPQTSVQHTPDVRGVLGQYTQAVRDVRQLHISACWALPVACSGCFWPRGLFCSVHNRDVLSAHAGRPCPVPLAHTDSFAVVPRISMLALPVDCPCTEPCTDPSCWPFPWTVRVLIRVLIRVLIRGLIRVLNSYQHADHTYQHAGPSPGLSVY >A01p028610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18190245:18191790:1 gene:A01p028610.1_BraROA transcript:A01p028610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMMMILLLLSIICVTILFLKKQSTGKKISTPRSPSTVPVIGNLHQLGRYPHRSLCSLSHRYGPRMLLHFGRVPVLVVSSADAAQDVLKTHDRVFASRPRSKIFRKLHYDGRDVGLAPYGEYWRQMKSVCVLHLLSNKMVRSFRDVRKEEIRIVMENIRKSNSSRINLSKLLASLTNDVICRVAFGKKYGGDTDFMELIERFEKLLGSFSIGTYVPWLAWLDWISGLDAEVEKTKNDFDEFLERVVQDHVDGGGDKNDFVHVLLAIQREKSIGFEIDRISIKAIIMNIFVGGTDTSFTVMEWAMTELLRHPECLNILQEEVRGICKGKSNVSEEEIQDMKYLKAVIKETMRLHPPLPLLVPHESTHDVQLRDYYIPTGTQVMINVWAIGREAATWGPDAELFRPERHLDTSVDFRGQDFHLIPFGAGRRICPAISFATVLIELVLANLVHQFDWRSTDDDQTDVPEAIGIGIRRMLPLYAIASSTT >A03p008840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3554721:3555540:-1 gene:A03p008840.1_BraROA transcript:A03p008840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTALDFTFPAKPSFAGGRTRRVIPSPRLFGSRVKVCSLPPPSSSLKAESCLKRDVNRQLSSLESMFCYDKPIPEEIIDEPVGLSMSEREIGDNKRCICCEAKGALLCATCSGTGLYVDSIMESQGIIVKVRCLGCGGSGNIMCKSCGGRGHVGQ >A03p069930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30717533:30718877:1 gene:A03p069930.1_BraROA transcript:A03p069930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGHNNKEARVTGERSPGDKGTLDSYLKASSLDDKNTICSLAKLRLSTQRYTLHGHWLQRSTATGRLSIEEEPSMTSFDFFLQNGPHDRDQTKRLIYGMGANRLAEQLECSSDEEAKEKIRSFLKILSLQSRLGLTKQFHFCQEKGYMMNSCWKLILSYAKEAGIVAAIQHGKCGFTSRPSTCETEDWEKHGGSLEPLQALMIKDIGKNLSVF >A09p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19985155:19988192:1 gene:A09p033050.1_BraROA transcript:A09p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYGFDPMKTVRQDEFASPYSATSIISIANIDDNQTRQLPRPEMCYADCLLMEQEETTNIYKKLAFDFTLTRTMAMVRAKKNIVSYVKELKLRKDTSRIEVRIVRLWRNYNKESGNTIEMVVVDKEGTRIHASVGEQLIKKFDDKLREGDAIVLQLFKVYDATGEYRTTPHPYKIGFFPTAFVGKADDFPSAVPEKYFADFYDILGGNLDHSSLVDFVGQIVNFGSLENKIIKGKDNMRLLVELRNAKYNSTHILLNPTLNFIEEFKSSLPDDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIREIIDSTLVGTFVTLRTISTIDTERCWQYLSCKYHNKKVMPTTNVDGDGRPLFFYNTCDKEHSDVISRFKLIAHVKDDSGEANFLLFDANAQQIVRHSAAELYDENKFVDKTTIVHQLKP >A03p018260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7450029:7453302:-1 gene:A03p018260.1_BraROA transcript:A03p018260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFELEDEVMFPNLIVVRIDGRDFARFSQVHEFEKPNDEAALNLMNSCSAAVLEEYPDIIFAYGFSDEYSFVLKKTSRFYQRRASKILSLVASFFAAAYVTKWKEFFPHRKLEYAPSFTSKVVTCATPEVLQVYLAWRQQDCHAKNQYETCFWMLVKSGKTISETQEVLKDTQKQQKNELLFQKFGINYKTLPELFRQGSCLFKTKVEETVKHDENGNPVKRLRRKAVLVHSENIAARSFWNEQPSLYNDIGHFTKDIGRSEPDFVRSFEFENKLLPLTWVVVRIDGCHFHRFSDVHEFEKPNDEKALKLMNTCAVAVLEEFEDIQFAYGVSDEYSFVLKKESELYKRQSSKIVSAIASLFTSTYVIKWGEFFPQKVLKYPPSFDGRAVCYPTYKILLDYLAWRQVDCHINNQYNTCFWVLVKSGKTKTQSQDYLKGTQAREKNELLSNQFGIEYNSLPLIFRMGSSVFRLKEPAAVENGVVSGKKLKLEGEVVVDHCNIIERCFWEEHPHILSYS >A07p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26133856:26135853:-1 gene:A07p049130.1_BraROA transcript:A07p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAVEDVLSELAGEERNDRGLPPGFRFHPTDEELITFYLASKVFHGGLCGIHIAEVDLNRCEPWELPEMAKMGEREWYFYSLRDRKYPTGLRTNRATTAGYWKATGKDKEVFAGGGGGGGVLVGMKKTLVFYKGRAPRGLKTKWVMHEYRLETDLSHRHTCKEEWVICRVFNKTGDRKNVGIHNQISYLHNTSLSTTYQQHNHYHHLEILPPLLEPSKTLTNFPSLLYDDTHQNYNNNLLHGSSAHNVDEFKTLINPAVSQLNGVIFSPENSNYNNEDDNNFGVKTEQYSNGGNNDLDVRDYLDNPFCQEAGYGLLGLSSSPGPLMLLDSPYVL >A07p019120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11362426:11370418:-1 gene:A07p019120.1_BraROA transcript:A07p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSQHRTVTAPSSSRSYQFHPARAKIIDLFNLYLGRGSRQKPDEPARDPPPNKSQKRVHAPSRDFPPGNEQFIVDFEQLHTQFNDPDQLRAITESVSVTMVLQCSNHAPRAEFLLFALRALFRIGFVNWDTFLPSLLSSVSAAESSLNQAASSSATSSQSLAPVGIGSPGNEQASLTPLAMRSSQRVRAAAVNSLRQISCKIILIGVEFNLKPVTHAEIFQHMMSWLVSWDMREMGTEKSLSEWLRSCLEVIWLLVDEGQSRIPFYELLRSGLQFIENIPDGDEALFTLVMEIHRRRDAMAMHMLMLDQHLHCPTFGTHRISSQTPVNVSAEAVAHLRYSPITYPSVLGEPLCGEDLAMSIPQGSLDWERAVRCIRHAIRTTPSPDWWKRVLVVSPGYRPSAQAGPIPGAVFTSDMICEAIIDRIVELLKLTNSDANCWQEWLVFSDIFFFLIKSGCTDFVDFIDKLVLRLNGDDNHILRTNHVTWLLAQIIRVELVMTALNSDLKKVETTRKILSFHREDRTDPNNPQSVLLDFVSSCQNLRIWSLSATTRAYLNNDQLLKGKQIDEWWRSKGERMMDYMNLDDRSIGMFWVVSYTMAQPACETVINWLSSSGMAELPGLQPNERVMMMQEVTPLPMSLLSGFSMNLCLKLALQMEEALFVSQVVPSIAMVETYTRLLLISPHSMFRSHFTQLAQRNASLLSKPGVTLLVLEILNYRLLPLYRYQGKSKTLMYDVTKIISALKAKRGDHRIFRLAENLCMNLILSLRDFFSVKREGKGPTEFTETLNRITIMTLAITIKTRGIADADHLVYLQTMLEQILATSQHTWSEKTLRNFPSLLRDALSGRVDKRGLSIQAWQQAETTVINQCTQLLSPSAEPSYVMTYLSHSFPQHRQYLCAGACMLMQGHPDKVNSANLARVLREVSPEEVTANIYTLVDVLLHKVHVDLQRGHNIKEILDKHDANLAFFFWTHEMLPLDIFILALIDRDDDPHALIIAKIILERPELMQRINMYCANRGPPEHWLFTQVFKRNELQKALGNHLSWKDSRYPTFFDDIAARLLPVIPLVVYRLIENNAMDTADKILTAYSHFLAYHPLRFTFVRDILAYFYGHLPGQLVVKILRVLGLSKIPFSESFPQYISNPSSPTCPPLDYFATLLLNLVNNVIPPLSSSSSNCSSRSGSMADMLNSSSARSLHGKTPGASQPGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPVSAAQIVSSLVQIIVNIQSTLIQSGNGFHGAANGVGQGSVLPTSPSGGSTDSMSASRSTCMNTASFVSRSGYTCQQLSCLLIQACGLLLAQLPPDFHTQLYMEASRVVRETWWLTDGKRSQGELDSAVGYALMDPTWAAQDNTSTAIGNIVALLHAFFSNLPQEWLDGTHLIIKNLRPVTSVAMLRVVFRIMGPLLPRLANTHALFNKTLALLLTTMVDVFGKNSQTQVPVEASQIADLIDFLHHVVHYEGQGGAVQSSSKPRPDILALIGRAADSLRPDVQHLLSHLRTDPNTSIYAAAHQNAAKTNTS >A07p005330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2226347:2229072:1 gene:A07p005330.1_BraROA transcript:A07p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKCRDLLGEVKKYLASITSIKESPQKDLKSLNVKKDHECKDEYLAVFGQSEAITFAKFYSLFKTVFIHIWIKEFQKMVTRLKSNEPKESYKPSGNKQINNGSPDSNHRRP >A03p056410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24396982:24400753:-1 gene:A03p056410.1_BraROA transcript:A03p056410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENEAVPDEFRCNRSDGKQWRCKRRALEGKKMCETHTSQLTLKRSKQKAAAESTRSRRGDEASETEREGLGRSKKKRERAEAVDEAVRKMKLKRGDLQLDLIRMALKREAEKKKKKPKKKKKKISVNKRFGDFVGEELTKVLPYGIMAISPPSPTTSNVSSPSPCDVKVGEEPVSLTKRRFRSKNIEPLPFGKMQVVPFKGKLVKAKKRCHWCGTRGFEDLISCLSCGREFFCVDCIEKRNKGSKEEVGKKCPVCCGTCRCKACSATISGVTECKESRKVRSDIDRVLHLHYAVCMLLPVLKQINAEDKVEVNLTEPQIHSSDLTSDEQELCCSSHDSAVVDSEKMCTRSSSILRLSSDQDCNQRSLSKKVGLVKCSNGVESCKQSLKKALVDWKREEVKRCSNNLSLRSLFSLELTSKLETSAEEIVSCYELPETLDKHWGCPFCLGSEKPSSSSSKSRLKEASRRRGDASDNFLFYPTAMDLQQNNLEHFQTHWSKGHPIIVRSVLKRGSSLNWDPIALFCSYLKKSNSKTSNTTGCADWFEVDIGVKQVFLGSLRGEAETNTCQERLKLEGWLSSSLFEEQFPNHLAEILRILPIPYYMDPKRGILNIVAGLPDVIQAPNLGPCLNISYRSGDEYANIDSVKKLGFETFDMVDILLHVTETVVTTKQICRIRKLMQNIGKVRSKTPEKRKESRFCRGKIDTSYAQRDWSDESSSSDSESSQHRLGSSEFRAEERESCNDSCGEEGSLSNSCGAKWDVFRIQDVSKLLEYIKNHCPELVPMDSTKTQVSHPLLEQSYYLDEYHKARLKEEFDVEPWSFDQCVGEAVIVPAGCPYQNRKNKSCVNAVLNFLSPEHVAESIKLVDELSQLPQSVKTKANKIEVKKMAIYKVSEAIKEIRELTSSDSTAASRL >A04p004580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2299127:2301448:-1 gene:A04p004580.1_BraROA transcript:A04p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSDEEQNLPENNEGSRCSSSSCCSARISRCFSLRCVLILAFSAAVFLSAVFWLPPFLGLSDRDDLDLDPRFKDHRIVASFDVEKPVSFLEDNLLQLENDITDEISFPMTKVVILALEHWGRNLNRTMVIFAIDPKKKTSKIPTEIESLIKAAFVSLVTDQLSFRLTESLFGQPFFFEVLKFPGGITVIPSQPVFPLQKAQLLFNFTLNFSIYQIQSNFEELSSQLKKGINLAPYENLYITLSNSRGSTLAPPTIVHSSVLLTFGTSSRLKQLAQTITSSHSKNLGLNHTVFGKVKQVRLSSILPHSPVKPLPPSPSPSPQPETHKHHHHHHHHHQEHAPEPSPAAKSLAPASAPIKHLHLHRRSPPPCPYEQRGRPKGNNALNHHTAGPTPAPHRSQQHAPAPNHTPASHHHAIPVSSPLPHVVFAHIPPPTRMSPGEKTPAPSPTPTPSSASIGPTFRWVSWKLLVIVAVVIICL >A07p049670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26396023:26397811:1 gene:A07p049670.1_BraROA transcript:A07p049670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEGGSLNLCFEKMEGNMITEWKDIPVELLMRILNLVDDRTVIIASGVCSGWRDAISFGLTHLSLSWCKKDMNSLVLSLAPKLVKLQTLVLRQDKPQLEDNAVEAIANHCHELQDLDLSKSLKLTDRSLYSLARGCTNLTKLNLSGCTSFSDTALAYLTRFCRKLKVLNLCGCVEAVSDNALQAIGENCSQMQSLNLGWCEKISDDGVMSLAYGCPDLRSLDLCGCVLITDESVVALANRCVHLRSLGLYYCRNITDRAMYSLAQSGVKNKHEMWRSVKKEKFDEEGLRNLNISQCTYLTPSAVQAVCDTFPALHTCSGRHSLVMSGCLNLTSVHCACILQAHRTHTALPHPAH >A06p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15377109:15378836:-1 gene:A06p024620.1_BraROA transcript:A06p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPDDHHHLSFPSYVLHQEHITPNPNPNPNPTASNSNKRKRNLPDPDAEVIALSPNSLMTTNRFICEICNKGFKRDQNLQLHRRGHNLPWKLKQRTDKEQVKKKVYICPEKTCVHHDPGRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVVSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFASVPPAAAAYLNNSSDAEVNLGNSKPNHQQRLLDLTSSQLDRHGFNVNRNNINGFMGQSSTNQLPLAANVFASSSSPSPHSASALLQNLWQLQGQSYQQWLLNKNNNNIIQSGMPNNQEDHDTIRRELVINGSSFSSEARTSYNQNGGQEIASMSATTLLQMAAQIGSKRSSSSSNNGMAFGLVTSSIFNNKEMENKIKTKEFDERGFTRDFLGVGSQNRHRPILMVNHNLPTTNDGTPTTYMNHKT >A09p060960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50384942:50385588:-1 gene:A09p060960.1_BraROA transcript:A09p060960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNLSPVVSFPSLEVFVGVPYCSGGVSFRVRSEQSLSSSSDLQDKCERRRVVITLVLVAPWISLLHLVQKARKDFMTVSDNKDAYSFLYPFGWQEAVIEGQDKVYKDVIEPF >A10p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16555138:16556187:-1 gene:A10p025940.1_BraROA transcript:A10p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C4 [Source:Projected from Arabidopsis thaliana (AT5G20500) UniProtKB/Swiss-Prot;Acc:Q8LFQ6] MTMIRSISMLTLLVTLAASISMVSSSSSADFVKKTISSHKIVIFSKSYCPYCRRAKSVFSELNQVPHVVELDEREDGGSIQSALGEIVGRRTVPQVFINGKHIGGSDDTVDAHESGELAKLLGVSENTRAEL >A04p018600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11173278:11176038:1 gene:A04p018600.1_BraROA transcript:A04p018600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGFLSSLWQFILFIPYFTGLLLLGVLKGIVLCPVICIIVAIGNSAIILGLLPVHVFWTLYSFSCAKQLGPILKLFLCLCIPPGIILWLVVSIVGSILGGALYGFLSPIFATFDAVGQGKSNPLFHCFYDGTWSTVKGSFTVVCDFRDVCFHSYFSFMDDLRTSSADDHHYYEIRLLQIPGALITAVLGIIVDFPMISLIALFKSPYMLFKGWRRLFHDLIGREGPFLETMCVPIAGLVILLWPLGVVGAVLGSVVSSVFLGAYAGVVSYQESSFFFGLCYVVASVSIYDEYSNDVLDMPEGSCFPRPKYRRKEEEGGTTGGLSRPNSFKTTPSRGGSNRGPMIDLKPLDLLEALFVECRQHGEILVTKGIINLKDIEEAKSSKGSQVISIGLPAYSLLHELLRSIKSNSTGLLLGDGRTEITTRNRPKDTFFDWFLNPFLILKDQIEAANLSEEEEDYLGKLVLLFGDSERLKSSESPPLTELRKAELDAFARRLQGLTKSVSRYPTFRRHFVELVKKLSNDLDKKHNRFEGGGSRPVKKTVSRIFSQKSFKKKTSDNNGSDQDSPNRGFRDVDIV >A05p020940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9901143:9901661:-1 gene:A05p020940.1_BraROA transcript:A05p020940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKFSSLLLLSMMVFALIILPIDSAVPGVYYKCMPDGCTLTPPCAAKCESMGFQNAGECRIYSYGGVCCCQCTDKACIN >A06p008420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2917606:2920548:1 gene:A06p008420.1_BraROA transcript:A06p008420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKVIELDRVETPTKSFNIDWDNVLVDEGVPDLEIVDKTPPPHEPTFSGDDSAVCVKSLKESELDDYLKRQRSLLASFADKLPDKGERIRSRIGRLEYEKQRRLLRRAKSVRPLDTDECQILKSSECLKFKVLKDVCKKANTATGSKEASRSTFVSHFSVNLKVEARPVKLFSEDLGREKWKGKAGGETATKQSNGWRSLPRLGKSLIGETNFYSGFKDPKGKREHDEASVSRKRKTKETSPYLLVDDDDDEDNVVGYDTPRELSCKASLSQRSSCRKKSDDKVINLDEEEPESPVVVEEALELPEGLPGDICYPLRDDPDLVQVSLDDLKCLSPRECLTSPVINFYIRFLQHQVFAANQTAADCHFFNTFFYKKLIEAVSYKGNDKEASFVRLRRWWKGFDLFRKSYIFIPIHEDLHWSLVIICIPDKEDESGLTILHLDSLGLHPTRSIFNNVKRFLIEEWSYLNQDACLPDLPISEKIWRDLPDRINEAEVPQQKNDFDCGLFVLLFIQRFIEDAPKRLKLQDLGMIHKKWFEPKEASALRIRIWNKLIELFLESNQTV >A04p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5808682:5809591:-1 gene:A04p014940.1_BraROA transcript:A04p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRMPRNVYEPLKTYFLKVNINCHGCNRKVKKTLRKVEGVYSVDIDTDQQAVIVRGNLDPEILVKKLNSRGKYAELLFMSAFHGNHHDNRSLRNAPYNFRNNHFNNVPSYERQSDGEMMKMMMANNMKPVMMNDADYFQMSDSSEDFQELFGETAQRHNYDEEVHPNLMRDMDLGYSNAYPAAETMNMHIPGRSNNTMMIERSFHGQMMNGPSLVPQFMNQEQFSARQLNGFYY >A08g510590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24453196:24456648:1 gene:A08g510590.1_BraROA transcript:A08g510590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKDTAKIRKTLGGTSDQTQPCSDSTKVSPYRDVDKEGVVVKEEDQLALDVKGLNLDDQPTWKKAQTFTFEELKVATGNFRSDCFLGEGGFGKVFKGTLEKLDQVVAIKQLDRNDLPYGKKPLDWSTRMKIAAGAARGLEYLHDRMKPPVIYRDLKCSNILLGEDYQPKLSDFGLAKVGPSGDNTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDSTKERKDQNLVGWARPLFKDRRNFPKMVDPLLQGQYPVRGLYQALAIAAMCVQEQPNMRPVVSDVVLALNFLASSKYDPNSPSSSSRRRNASLHRDRDDEEKRPDLLKETESEILKSIPSTMVNQTSVTIAQAPSPLFFTQVSAEPGDSKLQFRLIHFWEARKHAKGGILIGIEMLMINEQRQQYELSHHTFTYSAELIFFYLISRALSPSGSLLKTVLQLKRGSIYTLTNFFASNSKVMYGVADQKLAFRLSASGSIPFQILKPTAILKGIFMMLLVTLSWLDGQALHQRPVLRTKDGSTSQKIMVHLQIKDGPVMNVYLWDQAAYYPYEHRTYYP >A08p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15242017:15244613:1 gene:A08p023140.1_BraROA transcript:A08p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSLCPDEQNPLRKDGAQPPQPAQTHRGGATTAAGLDNGGDGGGIPSFSEFSLADLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPHPKQFAEEAWGVGKLRHNRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVGYYIAEALDYCSTEGLPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVTYSFGTVLLDLLSGKHIPPSHALDMIRGKNIIQLMDSHLEGKFSTEEATVVVELASQCLQYEPRERPNTKDLVATLAPLQTKSEASVASCIMLGINKQEEAPSTPQKPLSPLGEACSRLDLTAIHQILVMAHYRDDEGTNELSFQEWTQQIKDMLDARKRGDQAFREKDFKTAIDCYSQFVDVGTMVSPTVFGRRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLNMNTDAADMLNEAAQLEEKRQRGGKGS >A01p027920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18611663:18612567:-1 gene:A01p027920.1_BraROA transcript:A01p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKISGKGKRPGKGGNRFSKNIGLGFKTPREAIQGTYIDRKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFAKKAFTGM >A03p026120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10997562:10998515:-1 gene:A03p026120.1_BraROA transcript:A03p026120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKKHEAERLRAVDLSIIDGVRSVETSKPFQVANTVSLDPKAEPLLERRKRPSNSEYIDINEPVSVSVSSGLAPASTVAQQTLPQGMIPMWAFPSNAVVPTVGAFFLVQNPSNQPRILAYPAATAALPATYVAAVQQASSMARPPPPPQVVPNFTGSVMAPSSSSSIATKNMLRDFSLESYNKKELHQFMTTTAAPRSSNH >A01g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8938135:8939264:-1 gene:A01g502640.1_BraROA transcript:A01g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDILLPSGETKEVEFAYDKLEKHCFKCFSLTHEKNDCPFLDNTRDKSPKRLGISQNNTMIRLDDRRRKYEERKREQAPKDPHYRENPTPYARRIEYSDRREDSRYHSRQNQSYVPVTSEYRRVREENSRRPLSQTPAASVRTGRRKENPVSEHPSRELETRYNDKRNARVEQENLRSHDSGSKSIQSPVIPADVLTSTDLRRSLPAREEGNRTNALQATTSPNDRLPAKNRLSLPSNEKSLLATQGTSTGSSRLQDIEIQYFEETMNPILIGSNSRPSGSRPPGVPLSPPDVASPIRTLSEDRRHVSLRLGPLPTSSPSDVPIHSRLSEGSRIITRSVEESLENAKLT >A02p020880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9830690:9831337:-1 gene:A02p020880.1_BraROA transcript:A02p020880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDLTVSVPLLKPETRIYLNDGVICSLLLVSLSVVSSSQPKLVIWVLAFVICVRCGVWLSDVLFRVGIAQDICSLVQLQCYRVLWKGSE >A08g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14922561:14924497:-1 gene:A08g508200.1_BraROA transcript:A08g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEKPRSESVNVAKAGQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPYDKMKSKELTAWPRRCPSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGKRLPGGAWNYHAGLIPSFANLRRFWGGGGGGGVDVDDIYAPVNFKNQHWIYFRRKACACLNKLSNTRIYIL >A08p000930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:545345:545521:1 gene:A08p000930.1_BraROA transcript:A08p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMMVVFMVVAVAFSAVRQAAAATVEAPAPSPTSDAAMFVPALFASVVALASGLLF >A09g511690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34804012:34804566:1 gene:A09g511690.1_BraROA transcript:A09g511690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQKFMLRKFDHLQAFATTNLELSDLTCWKKIVFQICVTPLNFIPHHRTFTVAGISDHINPETFNTNEAPFVGGEAAKHRLLPATRFKVKAMTQIHPVLRESRVAASTPVSEVTKL >A09g511380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34142300:34144152:1 gene:A09g511380.1_BraROA transcript:A09g511380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRTSVAVRVSVCVRGCRPAHTGRMWLSLAVPGCPSAHTGRPCVSVSTHRTSMAILGCPSAHIGRPWLSVSTHISTLVHGLSTLALPMDCFGDFGARGLSAQYTQDHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVHGCPPRSWLFVSTHRTSVAVRVCPCVSDNTHMTSVAVQKYNISTLVLGLSTLTLPVDCSFDFGPRGLSVQYTQDVCGYPPAHTGCPQLSVALALPVECLGDFGPRGLSVQYTQDVRGCPPAHTGHPWLSVSTHRTSVGVRQHTQDRTHDVSGYPLLHISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDIRGCPYEPVCVCMCPSAHTGRPWLSISTHIRTLVLGLSTLALPVDCLGDFGPRGLSVKYTQDVCVCPPAHTAHPWLTHRTSVAVPSCASAHTGRSCVSVSTHMTSVCVYQHTKDVRVCPCLSVSTHRTSVAVRQYTYNHDGPWTQHAGPSHGLFG >A04p003810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1895281:1895574:-1 gene:A04p003810.1_BraROA transcript:A04p003810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYTEMLDAGVRIAARFHSHCPQTARLYYHPPSDSHHHHHGVTDLMGGGVLGGSGQDSTGLVGELGGSGTAAGCGLKASQGQGSEDAKDLLLFSVV >A03p021400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9091402:9092112:1 gene:A03p021400.1_BraROA transcript:A03p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDTTTFGSGAEAVVPTPSTTIPTTVFPGTTITSNSTFIIIGPPPPFPAPPRSINFTPLILIFAVVAIIAVPAFVYALFFTFPCSSRRRNSTSSRRRSSSFSDDHVTVDITSPNTTDRDSSTAAAPDSGVKFKKDTHSKEIGNECTVCLSVFGDGEEIRRLSACKHAFHVSCIETWLKDHPNCPICRADVPVKQTEANVNGNGNVNRSGGGNRRVSATNRDDDWRQGLPDASSLV >A08p003480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1954600:1955970:1 gene:A08p003480.1_BraROA transcript:A08p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEMLSPTPQESKRNRLKPHIMVFPYPAQGHLLPLLDLTHQLCLLGNVTVSIIVTPKNLPHLSPLLSAHPSAVSAVTLPLPHSPSGAENVKDLGCTPHIMASLRQLRDPIIKWLRSHPNHPVALISDFFLGWTNDLGVPRFAFFSSGAFFASLVHYVSDKRHLYDQTEPVCISDLPRSPVFKTEHLPLTPQSPLSRDVNIVRDMTMNFSSHGCIFNSCNCLEEEYMEYLKLKVGHNRVFGVGPVSSVGLGKGKSELNVDVKTLFSWLDGCPDGSVLYICFGSQKVLTKEQCDALALGLEKSLTRFVWVAKTDPIPDGFEDRVAGRGMIIRGWAPQVAVLSHVAVGGFLSHCGWNSVLEAVASGTMILAWPMEADQFVDAKLLVEYTGVAVSVCEGGKTVPNPHELGRVIAESMGEQGRELRVRAKEMGKKARAATEVRGSSTIDLERLVKELGSL >A09p070770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54816275:54822151:1 gene:A09p070770.1_BraROA transcript:A09p070770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seco-amyrin synthase [Source:Projected from Arabidopsis thaliana (AT1G78500) UniProtKB/Swiss-Prot;Acc:Q9SYN1] MWKLRIAAEAGDGPHLFSTNNYVGRQIWEFDANSGSPEEREEVDRARQNFSLNRSCFKASADLLWRMQFLREKKFKQKIPQERIENAEEITYEDARAALRRGVLYFAALQADDGHWPAENSGSMFFNAPFFISLYITGHLEKIFTPEHRKEFMRYLYNHQNDDGGWGIHVEGHSVMFCTVLNYICLRIFGMDQESVCARARKWIIDHGGAAYTPLFGKIWLSVLGVYDWSGCKPMPPEFWMLPLSSPFNGGTVWIYLRDIFMAVSYLYGKKFVATPTPLIAQLREELYPQPYSKIKWSQARNLCAKEDLYYPQSFLQDMFWKSVHVFSENLLNQWPLNKLLRQKALRKTMEIIHYHDESTRYITGGCVQKPFHMLACWVDDPDGDYFKKHLARVSDFIWIGEDGLKIQSFGSQLWDTALSLEVLLAADMDDEISSTLIKGYDFLKKSQLTENPPGDHRKMFREITKGAWTFSDRDQGWAVSDCTAESLECCLIFESMPMLGEKMDAEKLYDAVNLLLYFQSKNGGLAVWEPARGNTWLEWLSPVEFAEDTVVELEYLECTGSAIVALVRFLEMFPGHRKEEVESFIRKGIKYIESFQMPDGSWYGNWGVCFIFGTFNAVRGLVAAGKTYSNCDAIRRAVRFLLDTQNAEGGWGESYLSCPIKKYTPLEGNKTNVVNTAQAMMGLIMGGQMERDPSPVHRAAKLGVREAYMVDSGMVVGHISALLMAFLLPPAPYRLGDKCCLS >A03p045610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19167075:19167823:1 gene:A03p045610.1_BraROA transcript:A03p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGALRRSSLPSRQTLSAALTSFDSGLSHHFSSAATVSSVFVDRNLVGNGSTLSSNLISRFISPSSPNSWMVSPARIAFQGYATECESNLRDSKSVAKKKKESTSKIKGTRICIAIRSFDNPEKEAWCLPPHTRYAAMPDTRTLYTVLRSPHVDKKSREQFEMRFKKRFLVIKAQSHELSKKLFWLKRYRILGAQYELQFHCKTRLDMAPVLANINGSTIGQ >A05g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18479915:18480437:1 gene:A05g506470.1_BraROA transcript:A05g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGCSNKYPDLQSKSAYIARLLTKIGQASMNQALMREGDKSTQGFTFQTCSKNLIPCIPRPKTSRVELN >A07p006010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1837860:1839383:1 gene:A07p006010.1_BraROA transcript:A07p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNGGSVQIQNENGSRKLPNFLQSVNMKYVKLGYHYLITHLFKLCLVPLMAVLITEISRLTPDDFHQIWLHLQYNLVAFILLSALAVFGTTVFIMTRPRSVYLVDYSCYLPQASLQVPYKKFMDHSALIDDFNESSLEFQRKILERSGLGEETYLPEGLHCVPPRTTMAAAREEAEQVMFGCLDKLFENTKINPRDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNVKSFNLGGMGCSAGVISIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGTAVLLSNKASDRRRSKYKMVHTVRTHKGADEKAFNCVYQEQDDNGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQILFFVTLVWKKLFNAKLKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLSQTHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGNRVWQIAFGSGFKCNSAVWVALHNVKPSVSSPWEHSIDRYPVKLDF >A08p025410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16390406:16395879:1 gene:A08p025410.1_BraROA transcript:A08p025410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDDEHDPPILDDKTEAEAKSSERDMAPQGPATSIHRSGSRPQLDLSKAEIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLLYFSRHEDYSNDDDKRKKTIKERLGLTNGKLRSYPVLGGRLHFVKFETHKINECLDFIHSKQLHRRDPYPWSSKTLPLGTGVIKVTGGGAYKFADLFKERLGVSIEKEDEMHCLVSGANFLLKAIRHEAYTHMEGEKEFVQIEPNDLYPYLLVNVGSGVSIIKVDGEGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNSTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISENKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGDMQAMFLRHEGFLGAMGAFMSYEKHGLDDLMSHQLVERFPMGAPYTGGNIHGPPLGDLNEKISWMEKFVRRGTEITAPVPMTPSKTTGLGGFDVPSSRGSALRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDQGEREYWLKVLSEHLPDLVDTAVASEGGTEDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPDLLEELDSMSEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGSGGKQPHRHKRALLFVDNSGADVILGMLPLAREFLRRGTEVVLVANSLPALNDVTAMELPDIVAGAAKHCDILRRAAEMGGLLVDAMVNPGDGSKNDLTSAPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVVLEGMGRALHTNFNAQFKCEALKLAMVKNQRLAEKLINGNIYDSVCRYEPPSLS >A09p053530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46454590:46456686:-1 gene:A09p053530.1_BraROA transcript:A09p053530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 6 [Source:Projected from Arabidopsis thaliana (AT1G23200) UniProtKB/Swiss-Prot;Acc:O49298] MDHKPPRCLYTKYIIPVIYVLSISHTNAYFITSCKQTPYPNVCAHHISNSPLNTLDYQTDGIAFHDLVVSSTMDQAVHLHRLVSKVKRRRSFHKHAKSALLDCLELYEDTIDQLNHSRRSYDFNFSAHDRQTSLSAAIANQDTCKNGFKDFNLTSSYSKYFPIHVHRNLTKSISNSLAVSKAAAEAVAEKHPATAFTKFNKQGSSGGGGGGAGRRLMFWDNQFPSWIPLTDRKLLEGSVTTAKPDLVVAKDGSGHYTSIQQAINAAAKLPRRNKRLVIYVKAGVYRENVEMKKSVKNVMVIGDGIDSTVVTGSRNVKDGTTTFRSATFAVAGSGFIARDITFENTAGPEKHQAVALRSGSDFSVFYGCSFKGYQDTLYLHSRRQFLKNCNVYGTVDFVFGDATAVLQNCNIYARKPMSGQKNTITAQSRKDPNENTGFVIQSSTVSTAAETYLGRPWKQYSRTVFMKCSLGEMLNPAGWLPWSGDFALRTLYYGEYGNTGAGASVSGRVKWPGYHVLKTATEAGKFTVENFLDGNYWITAAGVPVNAGL >A07g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3105654:3108117:-1 gene:A07g501510.1_BraROA transcript:A07g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p081970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59506041:59508218:-1 gene:A09p081970.1_BraROA transcript:A09p081970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMFPSFQLLELNIISAQDLAPVARKMKTYAVAWVHSERKLTTRVDYNGGANPTWNDKFVFRVNEEFLYADTSAVVIEIYALHWFRDVHVGTVRVLISNLIPPSRRPGYRTSNNEYRRTPPPGMRFVALQVRRTSGRPQGILNIGVGVLDGSMRSMPLYTHMDSSAVGYRDLLGEEDRHLQHLHLNSNKGSSKNPQSPSTSRQFQSVVSRPELRRTKSDTSSMVVSDLLSRAERSRLATRKPASAVGSSESEAVPTTTDSEDAYTPPSNTHNVPRQRFGHDSIESDLTEPSTRVKPNVHVATQEPPPYGSYHQSRKTPRKTPMHEKQRPVKDYNRGRASPYLSRHGTPLRSNIVSSTPMQPNGIRSTPMRSNIVGMSPTMRPNMVELTPMQTPRRSNMYGTTPRRSNIVGSTPIRSNYKATPMKSHHDYGTPMRSSNLAGRRILTDSELGPSSSEVAKNKSHETESSILSDWSVDDSSIEGARSKLEMWRTELPPLYDIGSSQVSSTDYDGSVVYAANGGRSSRRKTPAAKNANRRHSSEGNGLFSCFSKICGVECSFVCGGGGGDAADESKKGGGGGRVHRTYSADDLSSL >A03p035670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14968566:14970740:-1 gene:A03p035670.1_BraROA transcript:A03p035670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering locus K homology domain [Source:Projected from Arabidopsis thaliana (AT3G04610) UniProtKB/Swiss-Prot;Acc:Q9SR13] MATNQPSLNEEPKPDCRKSALRHYKVLISLSELSAACKDLLKRDVMVSGKEEPESSLPPSMDGLLRVHMRIVDGLDGEASQAPPPTKVSTRLLVPASQAGSLIGKQGATVKAIQEAAGCIVRVLGSEDLPVFALQDDRVVEVVGEPTSVHKSLELIASHLRKFLVDRSIIPYFESQMQKPTRQMDHMPPPHQAWGPPQGHPPSGGGGPGYGHNPPPYMQQQPPRHDSYYPPPEMRQPPMEKQPHQGISAYGREPPMNVHVSAAPPMAAQETRGVPGEMTVEVSGTGSQVQTAIQLIQNFMAEAGAPAPAQPQTVVAPEQQGYNPYATHGSVYAAAPPNPPGGYATDYSSGYGY >A09p080460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58904109:58906263:1 gene:A09p080460.1_BraROA transcript:A09p080460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSGVYIHVIEDVVSKVREEFINNGGPGESVLAELQGIWETKMMQAGVLSGPIERSSAQRPTPGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLPTPLPGTADNSSMYNIPTGSSDYPTPGTENGSHADVKARPSPYMQPPSPWTNPRLDVNVAYVDGRDEAERGNPNQHFTQDLFVPSTGKRKRDDSSAQYQNGGSIPQQDGASDALPMATLEGDTLCITFVGDESVPRDFICSSSKIPQVDGPMPDPYDEMLSTPNIYSYQGPSEDFNEGRTPAPNGKAKPNKMIQTSTPVTAQNDIIEDDEELLNEDDDDDELDDLESGEDMNTQHLVLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKVLCVSLHSVKLGVTLALGEFEF >A03g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9261152:9261854:-1 gene:A03g503030.1_BraROA transcript:A03g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGLLDFWKYLKKRGYPREVNQKIPRNKLENGEDDDADDQFYEEYFDHDLGRDEDEYERHYARIRMLKKRKRKLRGSEKGIVHDNDDVISLENHKVLKNKIGGQPLFISHGWIKWG >A09p049690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43880842:43884673:-1 gene:A09p049690.1_BraROA transcript:A09p049690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRCSPGRELPGNKHRRGHSIEYGILFRDKDEDLTLFNELQDKERDDFLLHSSDDLEDVFSTKLKHFSEFNIPVQGESSRLLTAEGDKNDYDWLLTPPDTPLFPSLDDEPPAATVGRIGRPQSQISLSRSSTMEKRRRSSRGSASPNRLSTSPCADNMQQTRGRPSSARHPSPASGPRSVTPPVRRISPSPGKPSRSSTPTSRRMSTGSTTTIASPALRGTSPVRTSSRGNSASPKIKVWQSNIPGFSLDAPPNLRTSLGDRPASYVRGSSPASRNGRDAAASTRSRQSVSPSASRSVSSSHSHERDRLSSHSKGSVASSGDADLQSLQSIPVRSSEPAISKRASLSPNSRTSRSSKLQSPGSAPRRPFEAALRQMDHPKSHHSMFRPLASSLPSTGIYSGKSSSSYHHLMLRHSSPTVGSNSSSSQVTGFMPDTKGSDPIPVVQSEVENLDYPDKHGQVNAFGLVDLLNEGSRHESSFSDQLGDLDISQAVECESSVNEEASHHASDVENTSTFGSHHLRNDFLEEVGLETMEVCVRCGSHYRVTEETRSEINICPDCREEHNFEETDPSGTTRALDGNFLKQPQDIFDEKESFVDTSPAVDVLESLPDAMVEAEILKTGENIGQCGDSYKQEHNHLRESPLSRALGEQDVETLDHPDEIQSSTGLSHTGTTDTQLSEKHHDLKIGSSEVRGVPLLIKRSVSMKSPITKVNNSSGLTISYEGFSYLRDISISLRSSTETTSASSSWDYGSSIRKGSHVRHQSGSTLDMETHRYDTNSKSLSSMSSSSGVSSHTCKALNVMPAESFEVCASQITCTPDETHQESHPELRETNVMNADFGEDDGGSIGLSTKVVGALAEQKLVITDNECCENGDGDDVANNVSKVEISESPAHVRSTSELGALPVSDDHSRLQEKGGNESPQHGLSTMTASEIELESCAPEKHGIGVHDEILESECNLNAVEDCSKNSMGHDSLDHNSLAPVNEILDESTVIVECPGGKETKSLTLEEATDTILFCSSIVHDLVYEAATIAMEKAKDVAAEEEMLHPTVTVLGKSNPNRSSNYGRTKEKKKQSSKARRKQRETEAKTEVDIENDENASEAAAMMSNVGVPPPPSKGESLKPPPKLENKCNCSIM >A04g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7545889:7546329:1 gene:A04g503470.1_BraROA transcript:A04g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINDVSEYICDTLCILCENEFKDIYVVFEQMESDLHHVIKANEDLKREPNVYHHDLKLKNILANANCKFKVCNITS >A09g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20507758:20508422:1 gene:A09g507000.1_BraROA transcript:A09g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTEDRRHSKRQTHHINMLGFVADSEYGIPRRCPCGGRVINEVAGKEDYDTLPGKRFFNCKNYEADEFHYRQPWVIGVQEELERLTKRVEEAEQVMMGVSNLSKRIESVEEQVKILNEQVSDLNDQVSDLNEQVYDLSVQVDTLEKVCFD >A04p014900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5784972:5786013:1 gene:A04p014900.1_BraROA transcript:A04p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASVPVSGEKDGASSILTQKRKRSSKSSPDKRLSPALLDLNVLDCPICFEAFTIPIFQCENGHLACSSCCPKISNKCPTCTLPTGHIRCRAMESVVESVCVPCPNAKSGCTENLSYGKQLTHEKECDFSPCSCPEQDCDYTGPYKDLYRHYDSTSHHERFNWFLCGQPFTAQMDISDKILIKRGCNLIILIAVQCFREPCGVYVTVSCIAPPSPKVRDFSYDITYTTEDGYSMIYKSPAVKRILKVSFETPQDNFMLIPHSLLRGDLLKLEICINELNQE >A05p050650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29446695:29451175:-1 gene:A05p050650.1_BraROA transcript:A05p050650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQSRVESWIRDQRARFLRVSWGPLQWKFRWPPWNGEDADQRVKLRREYEKRKKQIHDLCLALKSESVEDLQDLLCCMVLSECVYKRPASEMVRAVNKFKADFGGQFISLERVQPSSDHVPHRYLLAEAGDTLFASFVGTRQYKDIMADANILQGHIFQDDVAEDECIAASEALQSDSQKNNGEGLRNPLDAKAKQRRDKPKPAAHRGFLARAKGIPALELYRLAQKKQRKLVLCGHSLGGAVAALATLAILRVVAASSTKKGNENIHVKCITFSQPPVGNAALRDYVHEKGWHHYFKSYCIPEDLVPRILSPAYFHHYNEQRISMAGEASEAEKAKGKEQEQLVIGVGPVQNSFWRLSRLVPLEAVKKQLDRYRGKKEDPAESSSASESVVSSAPIEDVLMEPQSLEIEEGGDGISLKPLPDSGNGQTVNGKSEGKTDSSNGFGNSWRRVPSLPSYVPFGELYLLGTASVESLSEGEYSKLTSVRSVITELRERLQSHSMKSYRSRFQRIHDLCMDIDGFFGVDQQKQFPHLQQWLGLAVGGSVEIGHIVESPVIKTATSVAPLGWNGVPGDKNAEPLKVDITGHGLHLCSFVHAQVNGNWCSTTVESFPSTPAYSSDNVEQTELQKIRVTIGAPLKRPPSNQIVEDPLVPMFSPVDSNTGLVKEGISLGFFQEDKFVRPEGLEDLYIFCTSDFATVAKEVEVRTRRVRLLGLEGAGKTSLFRAILGQTMLSSMTHVENLQIQSDVQDCIVGGVCYSDTVGVNLQELQLEASRFREELWKGVRNLSKKIDLIILVHNLSHRVPRGNATTQQQQPALALLLDEVKSLGIPWVLAITNKFSVSAHQQKSMIEAVLQAYQASPNSTGVVNSIPYVISGSGGSSLPWAAVNAGNEGSLGAQKLIFAPLDLVKKPFQRKDTVFPVDGVSSLCQLVHRVLQTQEEACFQELAKDRLLVELARSRAVDGSQGKSSSMSAAAVGASLGAGLGLVLAVVMGAGSALRKP >A05p015050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6635543:6639034:1 gene:A05p015050.1_BraROA transcript:A05p015050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNYMEPVDDVNNNNNGETDNNENENNNNMNGGASFGDEEFDSENQEYGNTQDPRAAKRKRYHRHTQQQIQEMENFFKECPHPDDNQRKELSRQLGLDHLQIKFWFQNKRTQNKNHQERHENLQLREENTRLRADNHHFREGLANASCPNCGGPTAVGEMSFEEHHLLLENAKLTEEIRQLSEVAKYTGKAVMRYPVLPTPNQAPPFEPPMITNGSLGSVKEADKPLLIELAVGAMSELIALAQMNEPLWKEGVHGMILDLNEYTRNLQNGLGPKPVGFRTEASRETAIVFMRHMEIVHRLMDVNLWSTMFAGMVGRAITHDTLLTGRQGNLDGTIHLMTAEFQVLSPLVSNRECYFVRYCKQHGEGLWGVVDISIDHLIPNLEPKCLRRPSGCLIQDMPNRVSRVTWVEHVEVDDGGELHAMFKHLLNSGQALGANRWLSALDRQCERLAIMMAPNIPSIEPGGQITVTNNAKQSLLELVERMSRGFFDGVTTSNADIWMNLGGYTGDSVKVTTRTSLNDPGRPEGLILCAAHSFWVPAPPTTVFDYLRDENNRVNWDVLFLGGNPQKLTHIFNGRDNRNCVSLLRSPNTSQSEMMMIEKSSTEPAASFLVYAPVDVPSMEKVLNGGDPKYVPLLPSGFAILPDGTAQPGKAGGSLVNVAFQMLVDSYPSGSLTFSSVSTIESLILAAANKIKACFTQQTP >A06p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5801121:5806272:1 gene:A06p012710.1_BraROA transcript:A06p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKQAVTVRDLVEEAKKRIVILVVCVVGLSYLLSLTSSSVLVNLPAAACLIILLRYFSLDVEMKRKSAAYNNSKPSLSLNKPPELLKAAPRSDWRSKVNSQVVEDAIDRFTRHLISEWVLDLWYSRITADKQGPEELVFIINGVLGELSRRFRNVNLIDLLTRYVLLVTIASPRNESTKLYDWFRDLIDIICRRVEIFREYQGKTERKQRRSLSFEDRDSELRRVMAADDKLHPALFSPESEHKVLQHIVDRLITLTFRPEDLHCAFFHYTVRELLACCVMRPVLNLANPRFINERIEAAVISRIKTSDGSSAAKETSQSEDLSEVSHDPFSRYLDPSVTGVELVQLKNEQEKRKNATEKQHVADLAKDPLLSMDTRSSRSWNSLPLPSKVVDDIKDLQEHGGEGWGDVLDKMSQRKTETLSPEHLESVWAKGRNYKKKEGEKVVERVPPRWSSKDSCNDADDARPKNLSEGTANARGTSQHKVVNRESYLSDYSSAEEDEDQTKSSHSYTSEDEERVTGLNSPGTRVWDGRTNINPVVSRIHHPLENSGRRFGKHSKGDEYYEQAPRHQSSRKRSRRSGHIGNDDSDDSENDSLARSCSGTSATSSASYISVAGSDLPNTLKSSLLVDSFDKLRCEVLGANIVKSSSKLFAVYSIAVTDESNHSWSIKRRFRHFEELHRRLKVFPEYNLHLPPKHFLSTGVDIPVIQERCLLLDDYLKICVEVISQFFLIYELSFLYYAQKLLQLPRISGSIEVRDFLSVDSQTYAFSSSFSIIETLTVKHVRKTSAVSTNLANVTPGPLPSRENLSSENGKSGQHIKNNVMVDDLKSKVKAPGNDQTKTSDSDVRNSKENGGLKKGIQHADAVAFTGLPTEWVPPKLTLPLLDLVDVVFQLQEGGWIRRKAFWVAKQILQLGMGDALDDWVLEKIRILRRGTVVASGIQRVEQILWPDGIFITKHPKRQQQSSSSDEEQQQEAERRAKFVRELMIEKAPATIVSLVGQKEYEQCAEDLYFFLQSSVCLKLLAYDLVELLLTSAFPEMEQSFKQLQEEKHLFVSLTLIHMTPSLSLTLTYHISSLLLTCH >A09p049310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43660723:43663948:-1 gene:A09p049310.1_BraROA transcript:A09p049310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGSFAISSKALVFCRPCYGSIRDLPFSLRTPTIGRCGCVGAIAAPRNLVKPRKEESSGKDIRGGRKSKDKTTPWKKLDANEFGIQRSMIPESTRMVLNKLRKKGFQVYLVGGCVRDLILDRIPKDFDVITSAELKEVRQVFPRCQIVGRRFPICHVYVDDIIIEVSSFSTSARTGKAPNKNFRKPVGCNERDYIRWKNCLQRDFTVNGLMFDPLENVVYDYIGGVEDIKNSKVRTVSAAKLSFIEDKARILRAIRIAARLGFSLTKDVAVSVKELSSSLLRLDPVYLSFFSLVLLLLVRFGLNIFSRLLQSRVQMEINYMLAYGSAEASLRLLWRFGLMEILLPIQASYFVSQGFKRRDGRSNMLLSMFRNLDRLVAPDRPCAELLWIGILAFHKALVDQPRDHTVVASYCLAIYSDISLSEAMEIAKSNTKQHNSNFQELSAQDKDIAGSKLSQQVMSLAESVKSAAKNMHDRDYLANAMSKYPQAPSSDMVFMSRPLLERVQRMFGSVRRKGDGERGVPSLDRRINYKSLALGDFHETRRVFARIVFDTVYPPKI >A10p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13261710:13264189:1 gene:A10p019310.1_BraROA transcript:A10p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMTSLGGGGGGGGGGRFMTYSSSLSVPPSAPQTPSYSGGLRSQSSLFVEQEKYLSELLEERHKLTPFFPVIPHARRLLNQEIMRVTTLLENATVLTQSGLNPLGNGRIFQNARADLSGWGASQFPSERSVPSSPGPNWLNSPGSPSGLIAKRTLRVDIPVDDYPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPLKEEMMRGKPGFEHLNEPLHILVEAELPIEIIDARLMQAREILDDLLTPMEETHDVYKKQQLRELALLNGTLRDEGSPMSGSVSPFSGLGMKRAKTREDV >A02p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13729643:13730924:-1 gene:A02p026180.1_BraROA transcript:A02p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNSNNKNNIVVVFDFDKTIIDVDSDNWVVDGLGFTDLFNQLLPTMPWNTLMDRMMKDIHDQGKTIEEIKEVLKTIPIHARVVPAIKSAHALGCELRIVSDANMFFIETIVEHLGISEYFSEINSNPGFVDEHDTLRISPYHDFTNSSHGCSHRTCPPNMCKGLIIERIQESLAKEGKKKMVYLGDGAGDYCPSLKLKAEDYAMPRKNFPVWDLISQNPMLVKAAIREWTDGESLERILLGTIEEILLEEENEKKMLTSAENNCKMQTISVGINVHHEPIMPRALRVSQSG >A04p019630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11774591:11777151:-1 gene:A04p019630.1_BraROA transcript:A04p019630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 18 [Source:Projected from Arabidopsis thaliana (AT5G41610) UniProtKB/Swiss-Prot;Acc:Q9FFR9] MAANTSACPAFMKATSNGVFQGDNPIDFALPLAILQIVIVIILTRLLAYLLRPLRQPRVVAEVIGGIMLGPSLLGRSKAFLDAVFPKNSLTVLETLANLGLLFFLFLAGLEIDTKSLRNTGKKALGIALAGISLPFALGIGSSFILRATISKGVDSVAFLIFMGVALSITAFPVLARILAELKLLTTEIGRLAMSAAAVNDVAAWILLALAIALSGSDTSALVSLWVFLAGCGFVVAAICIIPPVFRWIARRCHEGEPIEETYICATLAVVLVCGFITDAIGIHSMFGAFVVGVLIPKEGPFAGALIEKVEDLVSGLFLPLYFVASGLKTNVATIQGAQSWGLLVLVTFTACFGKIVGTLGVSLAFKIPMREAVALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFMTTPIVMAVYKPARRAKKEREYKHRTVERDNNTNTQLRILTCFHGAGSIPSMINLLEASRGIEKGEGLCVYALHLRELSERSSAILMVHKVRKNGMPFWNRRGNNNADQVVVAFQAFQQLSRVNVRPMTAISSMSDIHEDICTTAARKRASIVILPFHKHQQVDGTLETTRGDYRWVNRRVLVEAPCSVGIFVDRGLGGSSQVSAQDVSYSVVVLFFGGRDDREALAYGLRMAEHPGISLTVLRFVTSPERVGEITRVDVDNENGKIVKSDEEVMSEIRKKSSVDESVKLVEKRVENAAVDVRSAIEEMRRSNLFLVGRMPGGEIALAIRENSECAELGPVGSLLISTESPTRASVLVIQQYNGAGTAPDLASAGSELDTD >A03p023360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9867295:9868869:-1 gene:A03p023360.1_BraROA transcript:A03p023360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSQSSENSKKTDNKDEEEDEEERSADQSPSKNSYLEENGSHHHNNDQIKKSGGSVRPYNRSKTPRLRWTPELHICFLQAVERLRGPDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDTNQGDQRFSFEHGAGYTYNLRQLPMLQSFDQSPTSLGYGGGSWTGHRQHVYHSPWRGLTARDNTRTRQTLFGSQPGERFHGVSNSILDDKNSTVWFRINSHEAAHANNGVGEAVPRMHRSFLEGMKTFNKSWGQSLASNPNSWTASKPQSHIATTLSSNQRDNPLVAEKMENVLKKKRLLLSDDCNNSDKDLDLSLSFKVPRSHNNLGDCLLEEEKEHADSKGLSLSLYSSSFAKLGQTIRKEDQYYHKKRKCSVLASPLDLTL >A02g510980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29154453:29156292:1 gene:A02g510980.1_BraROA transcript:A02g510980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KATATAMKSTGKSPVSTNKSPMAVYINHVSPGPADCKRLDPSEVQKNLTEQHYCNSFAYAALNAVHYGHGGLQLIVVSSVMYILITSESGGKTEKFMNMLPINPSASVRCVEVLGFSHLWRPFSHSGDRILLRISHLAIVRLYNIHPLYRWTDYICFLLLSRGNNGTVDNNGGDCVEGSVCRVF >A07p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12729696:12730615:1 gene:A07p021720.1_BraROA transcript:A07p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMHDYGNGTCCRHAVGRAVGMLVLPTVLSDYGDWVPMVVKVVDVAWESQEMSNASEGIARAELCDEPNARESRPLSASCPSTFNERVIISYVLSRQSDLEKQIEALYLTKSEMPYEQEELINAAVYRVDALEA >A10p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:109619:111030:-1 gene:A10p000200.1_BraROA transcript:A10p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRVAVVSGSNKGIGFEICRQLAKNGMTVILTARDEKKGLEAVEKLKRENGFSDQAILFHLLDVSNPDSIASLASFVKTRFGKLDVLVNNAGVGGANVNVDVLKSQIAEAGAPTDISKIMSDTYEIVEEAIRTNYYGVKRMCEAMIPLLEASDSPRIVSIASTMGMLQNVSNEWAKGVLGDGENLTLEKIDEVMNEYLKDYREGSLQDKGWPTVMSGYILSKAGVIALTRVLAKQNKSIIINCVCPGFVNTEINFNTGILTVEEGAASPVKLALVPDGHPSGLFFDRTNVSNF >A03p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13298013:13300480:1 gene:A03p031550.1_BraROA transcript:A03p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFPVSLGGPHDNPKQPQSSDEQPHRAMIDEVDFFRSEKRDDQNIITDETNRVHVKRENSRVVDDDDRSTGINTGLNLLTAHTGSDESMVDDGLSVDMEEKRSKIENVQLREELKKAAEEIQRLKEMLSQTTNNFNSLQMQLVAVMRQQEDNHHLAMTGSKDMTNKRHEGSEMVPRQFMELGLPTAEVSSEERTTVRSRSPPSLLENSSSRQRGKRLLEREESPETQSNGWGNPNKVSKHNASSSNDNVSAIDQSTAEATMRKARVSVRARSEAPTLSDGCHWRKYGQKMAKGNPCPRAYFRCTMAVGCPVRKQVQRCAEERSILITTYEGNHNHPLPPAAMYMASTTTAAASMLLSGSTMSSQDGLMNPTNLFARTMLPCSSSMATISASAPFPTITLDLTESASNVNNQTNNNPLMQFPQRSGFTELNQSGLPQMMGQALYYNQQQSKFSGLQIPTQSLNAGENVSAATAAIAANPNFAAALAAALTSIINGPNNHKNGDSNTGNNNVRTSSVERQ >A09g515560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45921667:45923985:-1 gene:A09g515560.1_BraROA transcript:A09g515560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHGRFPPSPPVSSSPASSSSPNSQSPSPPDLKQRVIACLNKLADRDTLALAAAELDSIARSLTHDSFSSFLSCIHNTDSSCKSPVRKQCVAVLSLMSRHHCDSLSPHLAKMVSTVIRRLRDPDSSVRSACAAATADISAHVTAQPFHLRREAAHRDADPGRRLERADRSCAVSGGGGGGCGGSGVGAAEESEAFKAKAALLSAVGSIIAAGGAGTKPVLDWLVPVLIEFLSSEDWAARKSAAEALGKVAAAEELASQYKKASTAALESRSIGCFSSVTRSSSIEVGYKSSRPKKATPIMKRSPSLPVSRSYGTTRQQKENLPKRNVTMLAASIVDDKKGPQFPPVKQSLEDRSSESVESKEDDEASSGGPDIIKHTISEKSREDKKGGHGFCGGLRSGSRVAPCSDDGDDSCDPVVKKCKDDVDESRKDNEELSLIREQLAMIENQQSSLLDLLQKFMGSSQSGIQSLESRVSGLEMALDELSCDLAVSNGRVLKSNSCGGESCSKLPGTEFLSPKFWRKTEERPMQTRTRNAASEMAAQENSFDQGISTDVNKVGQRGGGSVYQKRSARNQFQDSMHTTTRLST >A04g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13370636:13376911:1 gene:A04g506280.1_BraROA transcript:A04g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNFPGPGTITTPTLLLRFLVRTCGSEGRLGPTQLKRTNPPLSCCIPMKSPALSIPLAVYYDPFPPRLGVTQSYRRLYLYPAVFERYGRRVSHTPHQSLGDSKVADSVPDLALGSLDERKGVFDLRSTSLMTRKNRDLRFYLNVLNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGGFVMAQLRLGPTQLKRTNPPLSCCIPMKSPALVHPASGSLGCSPYPLQYSKVADSVPDLALGSLDERKGVFDLRSTSLMTRKNRDLRFYLNVLNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGGFVMAQL >A10p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15410523:15411646:1 gene:A10p023630.1_BraROA transcript:A10p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIKLPESWAEITVLQELNRWKTKTDLRLFAMANTWGDDKILSFNDVVLRRSDLDILNGPNYLNDRVIEFYLSYLSTLHTSPTISLIPPSIAFWISNCPDTESLKDFIKPLRLLDSDELNDNLNVELAEGGLHWSLLVYYKETNSFFHHDSFMGANQWNARQLYKAVSSFVSDGDAAYRECSDTPQQKNGYDCGVHLLATAQVICKWFSSGGMKNRDELWLGDVKKTVPNVVNHLRDEILGLIRRLMSEKSSSK >A04p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16968645:16971858:-1 gene:A04p028140.1_BraROA transcript:A04p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYASSSSVVLCLLLIFTFAFVSSSARLSLSFPENEMRVVRERSLMVSTNDYGEPSANGRHDPPRGGRGRKR >A09p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9323960:9325724:1 gene:A09p017920.1_BraROA transcript:A09p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSIQCFLFILLTIIVTMISCSNAQREVEDESEFSYMRNQENGPEKWGKLKPEWKMCGKGEMQSPIDLMHKRVRIVSHLGRLTRNYKPSNATLRNRGHDMMVRFEEGSSSIKINNVEYQLHQLHWHSPSEHTINGRRFALELHMVHESLNGSLAVVTVLYKIGRPDSFLNLLENKLSAMTDPNEEKNIGMIDPRGIKFGSRKYYRYIGSLTIPPCTQNVIWTVVREVKTVARNQVKLLRVAVHDHSNTNARPVQPTNMRVVKFNRPKSC >A02p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7729495:7730728:-1 gene:A02p017200.1_BraROA transcript:A02p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEVEEETSSSQPSTLPFRATFDSSNPLGFLEKVFDFLSQQSDFLSKPSAEAEIAAAVRAAKEKLRKAEKKSVEKKPVEKESVAASSSEPMEVEEAAPIAPNKGNGYDLENYSWVQTLQEVTVNVPVPSGTKARSVVCEIKKNRLKLGLKGQDPIIDGELYRSVKPDDCYWNIEDQKMISILLTKQDQMEWWKCCVKGEPEIDTQKVEPENSKLADLDPETRSTVEKMMFDQRQKQMGLPTSDELQKQDILKKFMSQHPEMDFSNAKIN >A03g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16256098:16257084:1 gene:A03g504520.1_BraROA transcript:A03g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVELEGKSYCCRHCKTDIALCDDVVSKSFQSRHGKAYLFSKVANVYAGKKEDRMMMTGMHTVVDIYCVKCGSYVGWRYVWGPDGNNYWVAQEVEAGDSDTDD >A04g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23145908:23146254:-1 gene:A04g508620.1_BraROA transcript:A04g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGDAALQRRTGVWWDLNSCPVRVRGCIESAVHKQMGHRSKVVIYAMGNLEYISSDLLEEIASSGILLVHAPCGGNDFRKIDGQLSLTSSQ >A03g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15325717:15326880:1 gene:A03g504350.1_BraROA transcript:A03g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVVEFIKELQQLVQVLESKKRRKTLNRPSFPYDHQTLEPSILAAAATTINTTTRVPFSRIENVMTTSTFKEVGACCNSPHANVEAKISGSNVIGLECHLSLEDLTLEVQKSFVPEVIVPTN >A08p038560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22310275:22312826:-1 gene:A08p038560.1_BraROA transcript:A08p038560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLLPSRFQSHLQKLDPKRRLLASTIASHKTLLFAFLWIAAFASVFLWQRTSYIGGYVVGPVAGGRFTVFGKAKPLRPVPRLRPAVFDLKDFGGVGDGVTVNTEAFERAVAEISKLGGGGGGQLNVQPGRWLTAPFNLTSRMTLFLAEDCEILGVEDEKFWPLMPPLPSYGYGRERPGPRYGSLIHGQNLKDVVITGHNGTINGQGQSWWKKHQRRLLNNTRGPLVQIMWSSDIVIANVTLRDSPFWTLHPYDCKNVTIRNVTILAPVTGAPNTDGIDPDSCEDMVIEDCYISTGDDAIAIKSGWDQFGIAYGRPSTNILIRNLIVRSVISAGVSIGSEMSGGISNVTIENLLIWNSRRGVRIKTAQGRGGYIKNITYKNVTLDNVRVGIVIKTDYNEHADDNYDRKAYPTLSGFSFSGIHGQGVRVPVRIHGSEQIPVRNVTFRDMSVGLTYKKKHIFQCSFVKGRVIGSIFPRPCENFDVYDEEGRLVKPATESTVPDIDYDI >A06p013460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6105344:6111053:1 gene:A06p013460.1_BraROA transcript:A06p013460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSDEESEISESEIDDYSETPYLLLQNGKYKVKVNGTLRCPFCSNKKKQDYKYKELMAHASGVSKGSASRSAKQKANHLALARYLQNELAGDAEPLPRPPPVPPQLNESEAKPGEVYVWPWMGIIVSPLKETDDKEALLDSACWLKELSRFKPVEVHAFWVEQGLIVGVVAKFNSDWSGFASATELEKEFESIGCSKKEWVEKRGGGSVSKAYGWCARAEDYHSEGPIGEYLSKEGKLRTVSDISQEKAQDRNSVLEQLSDIIAMTNEDLNKVQYSYNKTAMSLKRVLDEKKTLHEAYANETKKMQQMSILSIQKILNDKERLSNELEKKMQKLSEWSKALDKKEALTELERQKLDEEKKKNDAMNISLQLASHEQKKADESVLRLVEEHKRQKEEALSKILQLETQLDTKQTLEMEIQELKGKLQVMKHLGDDDDEAVKQKMKEMNDELEDKKSELEGLEQMNSALMTKERQSNDEIQAARKKLIAGLTGLLGAETDIGVKRMGELDEKPFLNVCKKRFSEDEATVEAATLCSTWQENLKDSSWQPFRLVDEDDEQLKKLKGEWGEEVHNAVKTALEEMNEYNASGRYTTSELWNFKVGRKATLKEVINFISNDIKTDDGGSRSNDTDQTRSDLPLRSVLGFSIEMTMVTKQDDAIEIEIRNVWNENLHHEMSLISQAINYFPYVAMDTEFPGTVCKKVTTDTNPRRDDSTCYESLKTNVNMLNMIQLGLTLSDDQGNLPTFGTNKRQCVWQFNFREFNLRTDMYAPDSIELLRRSGIDFDRNSRVGIESKRFADLLMGSGVVLNEEIQWVTFHCGYDFGYLLRLLTGRNLPEKQSEFLYLVKMFFPRVFDIKHMIGFCYDLFGGLSSVAERLEVERVGFSHQAGSDSLLTARVFRRMKETRFAGRSLDMYCGVFDEAAPVVVPPVAEPAAIIPEDMDLLTALELTLRKARAHGGVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEDTTALNIVKKHIESN >A05g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23641401:23642053:-1 gene:A05g508130.1_BraROA transcript:A05g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSDKIRLAFFPSPVTKAPARQTTVALPLKICADDDKEICNCCWQFANMIPSILSAMVPVTVPKKSEKKFNDD >A05p031960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18123054:18128993:-1 gene:A05p031960.1_BraROA transcript:A05p031960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVPSSSFLLGFGFNGIGGSVFCAFSAEGSTTADISNFTEQRFLRICNNTVSYFPLCFLFGMDELEHPSRLFETGYEPTSKKRVNNYFNLRWIEVIKSALEDEDLTMLNASQFGPVLKMGSHTFSVMFLHYLLSRQLITEKDFELWWLFVGKPIRYAIQDFALLLDRKFSVNVVSAKAVDQKGQAFVRSFIRSDEAGGKLYRGLGDNKDEAVDHLVALARDDYPFEHNTWACGVKADDVKAKKGHPLPSESSDEQEIEETDRKYWQQGGGDDVVHSGEGRGQPSMRQGEAPIGGRPTSAGVGDLVRQAAEAFEAQLLPMFEGYMVSMKDHISKELSKLMTKVASANSSIAAVETFVKTELATLRNGTAGVDMYGGDLFSGYSPAMRSPSHGPSSPSRQRNKGNAETRVDVEDSPQIDELDGNAAIGKKSCLSETAPGDPKSDRPESLIEETVTGATPTSSSGVDVFVDVSEECIFLTVQPSSSIPASDGGNAVVSASAEAGTTFLQPAVSSLVTSETDNPQAPSTLLSSPFSAPLSDTPPPASSAVVEVPLDVSHTNTEAAPGSTVDAIPTELPVSPSSIHEVRTDVSDTVRGPSAASIVETVPTQSSTIEPMLHDVEDDVGGSVATEEDEILTKKTPSKQRKAGRAVKLTTVVPSQACTRYSKRERRNPDRYTPSEGPEKQEPGKRARRGEKEKENVVASVAEPSIPIKETTSLIGGFTPFLPPNPVKRATFLEAMKDAKTQSAAKDSAFQVHTLMPLFDSSRLLLRRSSLTEIDCVVAFIRKRKDGLPRFRFDFIEASFFSDLLTNFGEFKACSAKEAFSFSPSLKKQFTDRPQWFTQVDILHIPVLMNKRQWVGVIVDLNMWVMYVVEANPGCSSEFELTSVLTAASILFPHLISRLDMPCVVGHPGCSAVVALMLLEMHAVGKDVTVMKFTEEQTQTAGENYAVDALHLCQAVPIPSTQ >A07p042160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22727230:22728981:-1 gene:A07p042160.1_BraROA transcript:A07p042160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT17 [Source:Projected from Arabidopsis thaliana (AT1G68470) UniProtKB/Swiss-Prot;Acc:Q9CA34] MAYNKKHVKIDLWPEKEEKEKKYSKNREALKLTVPTFLLFCSICLIFLILLSPFTSPPQTTSFAASSPPRTCEQNFTVYVYDLPKEFNTNLLQNCRHLNIYTDMCPHVANNGLGQPLHPGGGDSETWFATHQFIAEMIFHARVKNHPCRTWEPNNADIFYVPFYGGLYASSVFREQNLTKRDELAVGLVDYMSGQRWWGRSNSRDHFLAFGRTAWDFMRSSDEASTDFGANILMQVPLVRNMSVLTVERQPWDGDNQFGIPYPSYFHPYTSAEMLTWQDKMRRVERPHLFSFVGGPRKGLEKAAIRDELIRQCADSSRCELLKCESGGSRCHDPMTVLGVMARSRFCLQAPGDSFTRRSTFDAMLAGCIPVFFSPHTMYTQYMWYLPDDKRSYSVFMDEKNSTLIEQELSRISESDVVQMREAVIAMIPKMTYAHPNATNYNLPDAVDVALEALAMQAKAKVVYSL >A05p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20844343:20848482:1 gene:A05p036760.1_BraROA transcript:A05p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHPQALRLCALICITFPFTYRFISLDCGSPPNEPPYNDVQTGLTFSTDNGFVQTGKTGKVQKEIESLFPKPVWNLRYFPDGIRNCYTLNVTQGSKYLIRALFVYGNYDGLNEYPSFDLYLGPNLLETIDLSLWGTGGMFEEIIHKPISKTLQVCLVKTGISYPMINTLELRPLQNNSIYNTQSGSLRHFRRNYFSTASRTVRYPNDVSDRAWIPNFNEKNWTQVTTNLTVNTSNGYNPPQVVMASASTPISAFASWNFTWLLFPSTTQFYIYMHFAEIQSLQANETREFSVLVNGDPMHERYSPKPLSTETLSYFTPQQCDKGNCIVELLRTSKSTLPPLINAIEIYTVIDFPLLETNQDDVLAIKSIQNTYGLSRISWQGDPCVPIEFLWDGLNCDNSNASEPPIVTYLNLSSSQLTGIIAPGIQNLTNLKELDLSNNNLTGGVPEVLAGMKALLVINLSGNNLNGSIPQDLLKKKGLKLISDGNPDLICADELCANKSAGSKKKSSVVPIVVSVVVVVVLGSALAFFFVFRKKKTPNSADPRTTRSSVPAIMTKNRRFTYSEVVTMTNNFEKILGKGGFGMVYHGTVNGTEQVAVKVLSHSSSQGYKEFKAEVELLLRVHHKNLVRLVGYCDEGENLALIYEYMANGDLREHMSGKRGGSILNWETRLKIVVQSAQGLEYLHNGCKPPMIHRDVKTTNILLNEHFQAKLADFGLSRSFPVEGETHVSTVVAGTPGYLDPEYYRTNWLNEKSDVYSFGIVLLEIITNQPVINQNRENPYIAEWVGVMLTEGDIQNIVDPKLHGDYDSGSVWRAAELAMSCLNPSSARRPTMSEVVTELNECLAYENSREGTSQNMTHRVL >A03p037560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15715549:15717410:1 gene:A03p037560.1_BraROA transcript:A03p037560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIQISKMQSDSGKLFIGGISWDTNEERLKEYFSSFGEVIEAVILKDRTTGRARGFGFVVFADPAVAETVITEKHNIDGRLVEAKKAVPRDDQNTATRSNSSSLQGSPGGRTRKIFVGGLPSSITESDFKTYFEQFGTTTDVVVMYDHNTQRPRGFGFITYDSEEAVEKVLLKTFHELNGKMVEVKRAVPKELSPSPARSSPLGAGYSYGVSRVNNLLNGYAQGFSPGGYGLRMDGRFSPIGAGRSGFASFGGSGYGQGLPTGFTGGSSFNGNGDYGRGMSPYYIGNTNRFGYEGGSGGGGNSSFFSSNLWGNNGGRSYNNNAANSSSNTYMGGGSTSGNNTLNGPFGNWGAPGGGNSGVGNENLNFGYGGNGESGFGLGGARNIGPPSKAAPSSSFSSASAGYDGAGLAEFYGNGAVYSDPTWRSSAPETEGPASFSYGIGGGGGGPSSDVSARSSSPGYVGSYSVNKRQPNRGNLSSY >A03p000250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:243716:245245:1 gene:A03p000250.1_BraROA transcript:A03p000250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETSRSLLLRKMMVRVLLMGVLVILVRFAYVVTITGESCNRGDFCFFSLPQNLNLVISGGSSPIRSTSSSSSDDLYTSRDWIQSVRFYSSIFQDLISDGYLSPESNTLCVETATGQDVFALREIGVKNSIGISKKAFRPLVVRGEGHAIPFQKNTFDFVFSGGGRLGKSLKQLEFAEEITRTLKPRGIAVVHVGATDTYSFNSFLDLFNSCSLVKMRDLDGFDSSMPHVREFVIRKVEHVEGGHPHHQNSGGGGGGGKCWIPGYKRDLIRDAEPLIPEEPLKPWITLKRNIQNVKYIPSMVDIRFKSRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFDVFAIEADKAFHDEYKIKKKVQLLPYAAWVRNETLSFEINHDPGKQVEAKAMGRGMGRIQPVTKSSSSLAGEVNLIQGFDFADWLKKSVRERDFVVMKMDVEGTEFDLIPRLIKTGAICLIDELFLECHYNRWQRCCPGQRSQKYNKTYNQCLELFTSLRQSGVLVHQWW >A01p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3997355:4002167:-1 gene:A01p008230.1_BraROA transcript:A01p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAGIEVWRIENFSPAPIPKSSIGKFFTGDSYIVLKTTALKTGALRHDIHYWLGKDTSQDEAGTAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEAEEHVTRLFVCRGKHVVHVPFARSSLNHDDIYILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGTCEVATVEDGRLMADADSGEFWGFFGGFAPLPRKTANVEDKTVTFDIKKLFCVEKGKANPVEDDTLKREMLDTNKCYILDCGLEVFVWMGRTTSLDDRKIASGAAEEMIRSSERPKSQMIRIIEGFETVPFRSKFDTWTQETNTTVSEDGRGRVAALLQRQGVNVRGLMKAAPPKEELEAFIDCTGNLQVWRVNGQEKILLQAADHSKFYSGDCYVFQYSYPGEEKEEVLIGTWFGKQSVEEERASAVSMASKMVESMKFVPAQARIYEGKEPLQFFVIMQSFIVFKGGISSGYKKYIAEKEVDDDTYNENGLALFRIQGSGPENMQAIQVDPVASSLNSSYCYILHNDSSVFTWIGNLATSTDQELVERQLDLIKPNLQTRAQKEGSESEQFWELLGGKTEYSSQKLTKEPESDPHLFSCTFTKEVLKVTEIYNFTQDDLMTEDIFIVDCHSEIFVWVGQEVVPKNKLLALTIGEKFIEKDSLLEKLSPEAPIYVIMEGGEPSFFTRFFTSWDSSKSAMHGNSFQRKLKIVKNGGTPVADKPKRRTPASYGGRAGVPEKSQQRSRTMSLSPDRVRVRGRSPAFNALAATFENQNARNLSTPPPVVRKLYPRSVTPDSAKLAPKSSAIASRSALFEKFKTPPQEPLIPKSIKASSKTPESPAPESSLKGKEEKKENDKEGEKSMSSKIVSLTIQEDAKEGVEDEEDLPAHPYERLKTTSPDPVTDIDVTRREAYLSSEEFKEKFGMTKEAFYKLPKWKQNKFKMAVQLF >A08p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1286270:1287698:-1 gene:A08p002300.1_BraROA transcript:A08p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLGYSPKLFIRKPVLVRASGGGSSSLVQTPPCFILGADPCGADHVLLEYAYSMKNHPRLRKKAPEQLVYNDAEVTTIGSSHGWVASLMHGVGTLRLHDDLNPVASDANPKRILLPPLVTVPHCQTQIVTNVSLSSPSPEDEDCVVAVKFLGPQLSYCRPSSALGSKSKWFNIRIANPSFFSSRVMFSEKLNMFRIPGAGGQLIGSWDLCKDQHTPKILVLRYRYLPELSEAEREVMDTCFTTEHLVESQSTGETFLVNCFRQSVNGGTSWETKAVMVFRVLPLGNALYTQDIGDLTIFISKSEAFCVRASSFPDVRPNEVYILEDTEIAFFRLADSDISGELGDDDLDLADLDLEKMFERLSSDEFA >A02p018250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8392881:8394579:-1 gene:A02p018250.1_BraROA transcript:A02p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSNPLTFPFFTSEPLASKPFFKPIKASVQAPPPDFDFRNSLASDSRTAIASTFPELLDLADNGTLILVQKQSFGPTPRWRKEFVEPESIWLVGTSHISQESASHVERVVRTVKPDNVAVELCRSRKVFFLLCSKFEIFVVSDSKHINCRAGIMYTSGVGEEDQNLKSGALSLSGTGFLGAVGRSLDLGGQTALALRLLLAVFSSKLSSVADRPFGDEFRAARKASEEVGAQLVLGDRPIEITLQRAWDSLKWGEKINLVMGVTRAITSSSSVSAAEIKEQETDESNGSLQLYERLSFSYPSLLQPLIHERDTYIAWSLKRSKAVNGCKTVVGVIGKGHMNGVIYALVSDSGDLRFRDLVGRGDSSDGGGTTTSTGWIQMALKSLARDTILGLLLWELYDQYLKFMMMTQNPS >A08p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13283220:13283952:-1 gene:A08p019490.1_BraROA transcript:A08p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPSQPDLNLNISRRREEQDDKEQVERRLVYQSKASDSVRNASGHLINTVKFTSNYEPTKIYHYQEHNESQDQDSGSILMVSQNQTLGHYYYSPTPPFFFSEVNGQHANPNYSYKLHHRQAQPQPQRCTAKRGVRAPRMRWTTTLHAHFVRAVQLLGGHERATPKSVLELMDVQDLTLAHVKSHLQVISPLV >A04p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21824939:21834203:1 gene:A04p038370.1_BraROA transcript:A04p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLRSLVAKAYRVRQGRTFSSSSSTTNPIDNKGKRSVINTVNNLSNMLAWFTAGYVFKFGWEASALYKSKRKSDKLWEEYRQGRTVACVDYPRNDMFGSNTFVVYVAMLANLRSLVAKVDLENQTACDVLFFSLFVRDLSDFRPTLCSQAYRVRQGRTFSSSSTTNPINYKGDISIYEYLFSRGLVSFIGFGVASCITGDSVSKFDEELKAIDKDAVEFLEKRGKLPPGSLDAIIHGRQIKACKHLHYIAKAYRVRQGRTFSSSSSSAPNPVGDEGGISIYEWLLPRGFATLTGFVVASYFTGDSASKFDEMVKEYNKDAAEFLEKRGKLPPSWAYRVRQGRTFSSSSSSSAPNPIDDKGKRSVITPVWEYVNRLAPWFIGGYVFKFGLEISALMKSKLKSIELHKEYLREFERYHQEKEQSRSLRPVS >A07p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6054244:6056188:1 gene:A07p009740.1_BraROA transcript:A07p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTESMNVVHSRIQQLEPENASKIIGYLLMQDHGDLDMIRLAFCPDSVMHSMINFVKYELAKDPRYNSPPSDHASTLSFGSFTGSSIQPPSVSVSPPLRTGYWENSTEVDSLHSNVQFLNFEDSMTSSEFSNGFFSRDHQCLPLRTSRRSPSLPEFPVKICHYFNKGYCKHGHNCRYFHGQIIPEREGFSQMFNPNNLSDEEHVVSPGSLEKLEGEIIELLKSRRGAPISIASLPMMYYEKYARTLQAEGYLTESQRHGKAGYSLTKLLARLNNTIRLIDRPHGQHSVILAEDVSKFVEYMGERNEHGAILTGSRQIYLTFPAESSFTEHDVSNYFSKFGHVEDVRIPCQQKRMFGFVTFVYTETVKLILAKGNPHFICGARVLVKPYREKSRSSRYLDNNKPLHRMRYGSQYIDRDLEMNTLPPRVSESSRLMRKQFLEEHEQSVSKSLPTNYSYLGFSDDFRITSDAEQEEQVGRLCYLLDYLNTEENVMNITTNYKDTDRRIHCDPLDNQVLNLPESPFSSLSGKEISTVT >A02p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1818870:1820724:-1 gene:A02p004120.1_BraROA transcript:A02p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVSRLIRFKSSFAQTRFVSASYSGGGRYLSTDSNKIDEPFDAEEAETVHVPPPLTEKLLVLGGNGFVGSHVCKEALDRGLSVSSLSRSGKSSLQESWATRVTWHQGNLLSSDSLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEKGVKRFVYISAADFGLANYLLSGYYEGKRAAETELLTRFAYGGIVLRPGFIYGTRSVGSMKIPLGVFGSPMEMVLQQAKPLNQLPLVGPLFTPPVNVESVAKVAVRAATDPVFPPGIVDVHGIQRYSQQKSR >A08p031710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19342339:19343102:-1 gene:A08p031710.1_BraROA transcript:A08p031710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKVPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIYTRATNT >A04p023880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14515059:14517091:-1 gene:A04p023880.1_BraROA transcript:A04p023880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYGSKRAGMANKLQQPVTDTTRPPLVPAEKNNAVSATRRSKTMEVSSRYRSPTPTKTRRCPSPNVTRTVSSTSQPLSKRAVSAERKRSSTPTTPTNPSTPVSDVSIDLPVSSKKLSTGRVPEGLWPSTMRSLSVSFQSDSVSVPVVKKEKPFVTSSIDRTLRPSSTSVSRKHTPERKRSPLKGKNVYVTQSENGPQSRLIEQHRWPSRMSMNRSLDLGDKVVRTTSLSLSNKTSKPLQKSSSDTARLLSAYENGGLVMSPTNSENGSNSLNRLLSASSLDRATSARVHPLSAPGSRAASPSRSSFSSSSSSSSSHSRGMSPLRGANLSCLRASTPPPRGVSPSRLRQTNASTSSPTSVLSFMADVKKGKKASYIEDVHQLRLLYNRYSQWRFANARAEGVRYIQRLIAEETLYNVWHATSELRYHVTSQRIYLQQLKLEIKIEDILSKQMSCLEDWDILEREHISSVAGAIADLEANTLRLPLAGGTKVDLGSLKLAMSSALDVMQTMGSSICSLHSQMEEMNKLVSDLAVIATKEKYMLGKCENLLASTAVLEIEESSLMAHLLQKKQEEMMQSAID >A05p054150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32213687:32215298:-1 gene:A05p054150.1_BraROA transcript:A05p054150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQVSLPPSTTTCLSFKVHRRQPELVTPAKPTPRELKPLSDIDDQQGLRFQIPVIFFYRPNLTSNLDPVQVVRRALAQTLVYYYPFAGRLREGLNRKLSVDCTGEGVLFIEADADVTLAKLEEADALLPPFPCLEELLFDVEGSSELLNTPLLLVQVTRLKCSGFIFALRFNHTMTDGAGLSLFLKSLCELACGLHAPSVPPVWERESLIASASARVTHTHREYDDKVQPEAVVVGDFLVSRSFFFGPEEISTIRGLLPADLHNTTFEAMTSFLWRCRTIALSPDPNTEMRMTCIVNSRSKLSNPPIPSGYYGNVFAIPVAIATAKDLMEKPLEFPLRLIQEAKKSVTEDYIRSMMALMATRGRPMFVAAGNYIVSDLRHFDLGKVDFGPWGKPVYGGTAKAGIAVFPGVSFYVPFMNRKGVSGTVVAISLPVQAMEKFVEELDGVLHVT >A02p017560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7941154:7941774:-1 gene:A02p017560.1_BraROA transcript:A02p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKIVQGENCPIARWIYRGICMLASVSGLIMLALDLFWPEFSSLSPPEQKDGPGVLVLCSAPFLIFAVVVVRSLYLPVPQSFDLQGGGRQLLSSFLACMTLSMCAVSTKRYVEGLIFSFSLLSGLCSAVLLEWPIKDVCVQHVVYTYFVLALTGYLGYQRPVWPAYLFVVALVIVNGFLFYGVLRLDLPEPPEPEEEDSKDSPV >A07g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17551185:17553343:1 gene:A07g506890.1_BraROA transcript:A07g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFTEQTNKEGAPEKKKKNESVVEKKKAAVEKKKAEAEKKKKDSVIKKKQAAVKRRREAVKKKRDAEKKKIETAEKKRKRDSGVDDESSSNPTKRPQTASSPEHQADPDHYPPLSTELPSQDDREGTPSPSVPIEPQKSPTQTPNEAENPLQAPITSTNRESGSPEAAINNDGQTIGSNNIDSNSHEAAIGSAAIDNDAPRTVESDDMTVEADRPAGFFFNPSNYGKVLRGRSKAGYFIEYFLLQAVEDLEFCTEFPWGRYTFDDCMKEIFHVRDHFRDGIPEHAQWVFPGFINPLEILAFECIPVLNILVVPKRNTII >A10p040330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22234225:22236300:-1 gene:A10p040330.1_BraROA transcript:A10p040330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin-like1 [Source:Projected from Arabidopsis thaliana (AT5G02620) TAIR;Acc:AT5G02620] MKEDNKKTMTKQITTRRDDTPLHTAVREGKTEILLEMIGEHDDDGAELKELLAEQNQSGETALYVAAEFGHTDMVKILMKHSDSVLAGTKAKNGFDAFHIAAKNGNLKVLDVLMEANPELSFTFDSSKTTALHTAASQGHGEIVCFLLDKGVDLAAIARSNGKTALHSAARNGHTEIVKELIGKKVGMVTRVDKKGQTSLHMAVKGQNAEIVDALMKADPSLINAADNKGNTPLHIAVRKNRAEIVQTVLQYDEVSRVAVNKSGETALDIAEKTSLHEIVPLLQKIGMQNARSIKPAASVEPSGSSKKLKETVSEIGHEVHTQLEQTVRTRREIQGIGKRVNKMHTEGLNNAINSTTVVAILIATVAFGAIFNVPGQYTDDPKNVPSGYTLGEARAASRPEFLIFVVFDSFALFISLAVVVVQTSVVVIERKAKKQMMAIINKLMWMACIMISVAFLSLSFVVVGDKERPLAIGITAIGALIMVSTLGTMCYWVVANRIEGSKSSPASMMSDADLVDHKHNRKLYAV >A04p008430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8206749:8211409:-1 gene:A04p008430.1_BraROA transcript:A04p008430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPRLVATAFTLALVSIFLPLPFSLAGEITSIESVPDLQRLMYVAVDGYPCVRLLNLSAEIGCSNPGLSKVVAPIIKLKDVKDLVQPHTVLVTPDEMEDFFTRHVPLVSNDLSFASKIGGVLIESGSSFQQKLKGLSPDNMFPQAEFSPYGNVEYKWNPTASSIMWKAYNFPVYLLSESGMSAVHEFLSKKERKHKTYTSYVAEFSMVMETTKAGTHNSEACLQEGTCLPLGGYSVWSSLPPINVSSSNNRKPIVLTVASMDSASFFRDKSFGADSPISGLVALLGAVDALSRVEGFSNLKKQLVFLVLTGETWGYLGSRRFLNELDLHSDAVAGLSDTLIETVLEIGSVGKGLSGGINTFFAHKTRVSSATNKTLDALKIAQDSFASKNIKILSADKTNPGIPPSSLMAFMKKNPQISAVVLEDFDTKFANKFYHSHLDDLSNVNSSSLVAAASVVARTLYILASDNKDTSSSALGSIHVNASFVEELLACLLSCEPGLSCNLVKDYISPTNTCPGNYAGVMSGEPSSNPYLGSVSDVSRFLWNFLAEKTSVQKGNTTSVCSKGSCSRTDQVCIKAESNKEGTCVVSTTRYVPAYSTRLKYADGAWTILPQNTSDSMGMVDPVWTESNWNTIGLQVYTVQHSAYDNAVLVAGITVTTLAYFGIMIAKSFITKALKQD >A05p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8328567:8329175:1 gene:A05p018210.1_BraROA transcript:A05p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDFPRNFLEVFCTKLWKISPRTLGRLSETLGRLLEDSEILGRLLANFVISLLMYFMLEDFPRSLREVFQSLMSKVVQMNDVKWSPS >A03p012780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5066092:5068078:-1 gene:A03p012780.1_BraROA transcript:A03p012780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase WNK4 [Source:Projected from Arabidopsis thaliana (AT5G58350) UniProtKB/Swiss-Prot;Acc:Q9LVL5] MNTHQVPEYVETDPTGRYGRFEEVLGRGAMKTVYKAIDEMLGIEVAWSQVQLKEVLRSSVDIQRLYSEVHLLSTLNHKSIIRFYTSWIDVRSHTLNFITELFTSGTLRQYKNKYLRIDIRAIKSWARQILEGLVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLARMLRDCHAATSVIGTPEFMAPELYEENYNELIDVYSFGMCFLEMITSEFPYSECKNPAQIYKKVVAGKLPGAFYRVGDIEAQRFIGKCLVPASKRVSARELLQDPFLASEESWMVYARGAANLKPFLNENEMDRLKLEDDELGRSRMTITGKLNAEDNTMFLNVLIADENGKAKRVSFPFDIMNDTSIDVAKEMVKELEITDWEPVEIAKMIDGEISSLVPGWRYEEEDAHDEANSQSSSNSGSYSNVNYISVDEHSSQPDAKTRTHNMTRFCPEERSHLHSGHDNVCAASSSSNWRLTSDNRALTRNRSLVDVQRKLLQRSLVEEARKRRLFKTVGDVENVGFQSPYAVSRKPRSSRR >A01g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12339282:12340213:1 gene:A01g504100.1_BraROA transcript:A01g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRLIVRSTVQECGLARFTRIDGSSQNRLYGSPTPFLVAIYYTRVWTRQIYSVLHHHCVFITLNCLKHQRFFTESALRSSYPIPRCPSQALTILHKVGFTIVSSFSSSHELLCKSVDSPNLFDTTSSLRFHQITPSQALTVLDIVSYVTRSRELLSSTKIHRLFTFKTHLFGFSTSLSTSLCSFEYML >A01g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:180033:180742:1 gene:A01g500040.1_BraROA transcript:A01g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTGVLTVSHPVCKGDSEKWCSSLYHYRQLLFPGNGGSDSTDSAGSRLRKSGGSDSTVLSPAPLRFDPNFGSIEDDCRCSSKHGSMVFEFYRSSSVLGSKIELGCAFVLISAEAVVLFRWWSDYCGDGVCMPMNGFVTWSRGGGLVTRVPRREDYDTCSLRASRGKEEGVRSMGLGPNRFTVWVALMCLGFLVASVFTFWAWSFGPYPFNKL >A10p030970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18628761:18630273:-1 gene:A10p030970.1_BraROA transcript:A10p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDLERARFMISSAVSTWLLLCLLTVTTAAPVQRTCTFPAIYNFGDSNSDTGGISAAFEPIRAPYGQGFFHKPAGRDSDGRLTIDFIAERVGLPYLSAYLNSLGSNFRHGANFATGGSTIRRQNETIFQYGISPFSLDMQIAQFDQFKARSAELFSQIKIRSEREKLPRQEEFAKALYTFDIGQNDLSVGFRTMSVDQLKATIPDIVSHLASAVRNIYQQGGRTFWIHNTGPFGCLPVNMFYMGTPAPGYLDKSGCVKAQNEMAMEFNRKLKETVINLRKELTRAAITYVDVYSAKYEMMSNPKKLGFANPLKVCCGYHEKYDHIWCGTKGKVNNTEIYGAPCRNPATAVSWDGVHYTEAANKHVADRTLGGFLTDPPVPITRACYRQ >A04g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16488601:16491140:-1 gene:A04g507030.1_BraROA transcript:A04g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDSLSPLVVEKSEVVLVKPSKPTPDVSLSLSTLDNDPMVESIVQTICVFAPKPYLEDQANHDLAYLLQHALSHALVYYYPFAGKLHRKSDDNRLQLNCKPGDGVPFIRATAACTLSSLNYLDSAGDEAYQLVPCYEPVKGCQGYDPLALQVTKFACGGITIGMAHSHSVADGVGAAQFFRAMIELASGKTQPSVIPVWERERLTFNGDIGGVVDFPEAGSFIATSANTLTGDMGREILNITSEDIMKLKKTIANDEQITNENEEKVVVTTLEIIAAQVWRARCRALKMSPDEAVVLGMAIGIRSFIEPPLPEGYYGNALISGSVAMTANELTKSSLSRVVRLIKDMKRAALDKRYVFGKLCEIERRLKDMSSTIGIGKGVLTVTDWRQIGLQYNGWGGLVNIIPLVPMTIDLCVLLPASKADPGMSGGVRVLVTLPRDAMAKFKEEIKLSTN >A10p037010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20966269:20970045:-1 gene:A10p037010.1_BraROA transcript:A10p037010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA 2 [Source:Projected from Arabidopsis thaliana (AT5G06460) UniProtKB/TrEMBL;Acc:A0A178UEQ1] MEPFVARETTLASASSPRKKRRIDHTESAADGSPILTSISNIELNSTAPMSVEAVGNSSTNHEIDEDLHSRQLAVYGRETMRRLFASNVLISGIQGLGVEIAKNIILAGVKSVTLHDENVVELWDLSSNFVFTEEDVGKNRALASVHKLQELNNAVAVSALTGELTKEQLSGFQAVVFVDASFEKATEFDDYCHSHQPPIAFIKADVRGLFGSLFCDFGPRFTVLDVDGEEPHSGIIASVSNENPAFVSCVDDERLEFQDGDLVVFSEVEGMAELNDGKPRKVKNVKPYSFVLEEDTTSCGTYVKGGIVTQVKQPKVLKFKPLREAIRDPGEFLLSDFSKFDRPPLLHLAFQALDRFSSQAGRFPFAGSEEDAQKLVEIAIEINESLGDARLEDVNSKLLRHLAFGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPIFQFFYFDSLESLPKEPLDASEFRPQNSRYDAQISVFGSTLQKKLEDAKVFVVGAGALGCEFLKNLALMGVSCGVQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAATAAAGINSRLKIDALQNRVGPETENVFDDSFWENLTVVVNALDNVTARLYVDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPDEYMKAMRTAGDAQARDTLGRVVECLEKEKCNSFQDCITWARLRFEDYFVNRVKQLIFTFPEDANTSTGAPFWSAPKRFPRPLKFTSTDLSHTNFVMAAAILRAETFGIPTPEWAKTPTGLAEAVERVIVPNFEPREDAKIVTDEKATTLSTASVDDAAVINELIAKLERCRLSLQPEFRMKPIQFEKDDDTNYHMDMIAGLANMRARNYSVPEVDKLKAKFIAGRIIPAIATSTAMATGFVCLELYKVLDGAHKVEDYRNTFANLALPLFSIAEPVPPKVVKHQDQSWTVWDRWVIKGNPTLKELLKWLKEKGLNAYSISVGSCLLYNSMFPRHKERMDKRVVDLARDVAKVELPAYRRHLDVVVACEDDNDNDVDIPLVSVYYA >A03p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14255542:14261235:-1 gene:A03p033660.1_BraROA transcript:A03p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDIFNLFVFVFMFHRLLLFVMFYNKKMKPRISYTRNFLLSLKDKDVCTKLPNLPTEFNDLLLRDTEDPSPERLRISGDFGLRRNDYSSSPPTRGELGSNSRGTHGRWEGRSGGWNDKDADSSHSDRDPGERGRRSGLPSRRPWQPPEHDGLLGKGSFPKPSGFGAGSSGPRPQSNDTYQLRRSNEPYHPPRPYKAEPYTRRDARDSLNDETFGASDSTSEDRAEEERKRRASFELLRKEHQKVFQERQKSNPDLRKNDFDFTELLGESKDEKERPSRSDEVHNTPSDPGSSNHSFPPQSNAPRPLVPPGFASTVLERKQGGKPQAETSQHERSPLNPKGVNMVNAGITIGSSEMLVEGEAVRVYSPDTSEKAANIPSFVGISTNTVNQDKSFENISSISTAAEAQGYPIKTEQAPVTLEHKKSLEDGPSILDKIFNTAINLNSGDSSSITQKIVVKVEETKSPQTVKSSKFAHLFLEEDNKPDEDPPSSRPPGGLLSLLQGADKLQGHATKNIDKLSNTSASKPVTAVPPVLTCEDLEQSILSEVSEINLPPPPSLPVDQDFSVPSLKKTKQRKASVDDQASQHLLSLLQRSADPKSQDTVTETRLPPSVKASTAGEADPGKSLTLENLFGSAFMNELQSIGEPVSGRAMVADAPGVTLRSDRSMGELSQRNQIRPDGLTGGLQGLPGDGNFLGVGDPVNPQKYMSFPGSHNQEPEVTFDISGKLATLNSGPRNDRPTMGGQDGPFLQRHPQQYAHVKPQLDFMGQGSIRGQHQDSSPNHPFPPNMIHHRPPFQNSTTSGLPEFDHLPPHMMHMQDNLQRQHLMQGFPGGGPPHHQSPNVNNQMQGLIPELNPSQGFPFAHRQPNYGIPPGSQVNRVEHPASLQALLGIHQRIDPSKQSPAMGQAGGPNRQGSMGHELDHGFGYR >A06p009680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3417841:3418374:-1 gene:A06p009680.1_BraROA transcript:A06p009680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVVRFVVKLIKMALSVLKEVSKLREEYEKSRNEREEECEKLRKDAEEEEAAEEKEKEAAEEKEEEEEEEETKWSKMDEEMERICGVCGAGDPFVWGN >A10g504220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10469257:10469940:-1 gene:A10g504220.1_BraROA transcript:A10g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A08p019540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13307378:13309285:-1 gene:A08p019540.1_BraROA transcript:A08p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDKDVKKGPWMPEEDDKLRAYINKKGYGNWRSLPKLAGLNRCGKSCRLRWMNYLRPDIRRGEFSDEEESNIVRLHALLGNKWSKIASHLPGRTDNEIKNYWNTHMRKKMLQMRIDPTTHEPRTNDLTPILDVSQMLAAAFSNGQFGNSNLFNNNTALENHLKLQLIHKMLQMITPKAVPNINSFCTNSLIPKHEPVVNDFNTNSVNPKPEPGAGQLNAIGGQQVFINKSDNEDFMPSFGHDWDGFEDNQLPGLVTVSQENLKSAKPGTNTTTEVNYKTGPDMMPGYCGDQLREIPSTGLISVSPETSGLKYRGTTQHSSASDVLEDWEKFLDDETSDSCWKSFLDLTSPTSSPCPL >A09g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18999291:19000693:-1 gene:A09g506360.1_BraROA transcript:A09g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYNQPSSSDEFDMTSLLEAEAALYADEGHSSFSIGEPVHNPPEVDDGIPTRCYCGSEAAIATSYTRKDPGRLYYTCENRDDGGCHIWKWWDVAVTEEVSEVQRELRLLKEQSFKCDQKLIKLQKTVCELKNNSESTNGYALEEELQRCKPECREARFQQYGHGCCKSRVKIVTGCELLKVTGASVFLACEDCHGWLCFTLM >A04p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6728843:6731180:1 gene:A04p011420.1_BraROA transcript:A04p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTFALASSAHRILCPTFSSSHHILRFSLSDRHRAFSAMAGAGSDEFVKGNVYPNGVAVITLDRTKALNAMNLEMDLKYKSFLDEWESDPRVKCVIIEGSTPRAFCAGMDIKGVAAEILKDKNTPLVQKVFTAEYTLICAIAGYKKPYISLMDGITMGFGLGLSGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAHSPGGGSVGAYLGLTGKRISTPSDALFVGLGTHYVPSENLASLKEAILSANLSADPNQDIEAALSKYSGNTESEAHLKSLLPQIESAFSSNKSVKETIEELKNYQQSTEPAVVEWAKEALKGLEKGAPFSLYLTQKYFSNVACAKGKAENELATLNGVMKTEYRIALRSALRGDFAEGVRAVLIDKDQNPKWNPASVEEVDESEVEALFKPLSSEVEELKV >A03p038130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15919949:15923016:1 gene:A03p038130.1_BraROA transcript:A03p038130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEEQESDILFATDDEESRVDVFCNDEDEISRKSSQLSMNDSTPWPRSYRQSVDILTGVTPPSISFLHRRGSQTSFTSSIASLYKRRPNSILNSFASSTSKQPLLTDKDDVSVKSYVSSQLKLSITDLSYEEQNLCSFPQSILNGINLLCGVSLLTMPYAVKEGGWLGLIILFIFGIITCYTGILLKRCLESSPGIHTYPDIGQAAFGFTGRLIISLLLYMELYACCVEYIIMMSDNLSRFFPNVSLNVAGVSIDSSQIFAIATALIVLPTVWLRDLSLLSYLSAGGVFSSMLLALCLFWVGSVDGVGFHSGGQALDLSNLPVAIGIFGFGFSGHAVFPNIYSSMKDPSKFPLVLITSFGFCVVFYIAVAICGYSMFGEAIQSQFTLSMPQQFTSSKIAVWTAVITPMTKFALTLTPIVLSLEELMPSSEKMRSNGVSMLLRTILVLSTLVVALAFPFFAIMGALMGSFLSMLLAFILPCLCYLSILRGRLSKIQMGVCVLVIIAGIVSGCCGTYSAIGKLVGERS >A06p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22254217:22255843:-1 gene:A06p041280.1_BraROA transcript:A06p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSKNNIRYQPRKASSRSTQAFTVIILLLVVIMILLGLGILSLPNANRNSSKPNDLTNIVRKSQEQSSGGDEEGNGERWVEVISWEPRAVVYHNFLTNEECEHLINLAKPNMVKSAVVDEKTGGSKDSRVRTSSGTFLRRGHDEVVETIEKRISDFTFIPVENGEGLQVLHYQVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVDDGGETVFPAAKGNISAVPWWNELSKCGKEGLSVLPKKRDALLFWNMRPDASLDPSSLHGGCPVVKGNKWSSTKWFHVHEFKV >A03p014950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5923896:5925697:-1 gene:A03p014950.1_BraROA transcript:A03p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSTLSLSSTKPQRLFDSSFHGSSISAAPVSVGLKTRSFSTVSVRATAGYDLNAFTFAPIKESIVSREMTRRYMTDMITYAETDVVVVGAGSAGLSCAYEISKNPNVQVAIIEQSVSPGGGAWLSGQLFSAMIVRKPAHLFLDEIGVPYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGNRVGGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGLIDHVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAGHLALKALGQPNALDGTYVGDLSPELVLAVADSAETVDA >A08p023090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15212395:15217644:-1 gene:A08p023090.1_BraROA transcript:A08p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFILCYLPHNLSFCEYLLFFYIILGVSVTEKANMSCVLVLYLSFIVLSGDGIISEGASRPHVVNVGAIFGLNTLHGKVANIAMKAAEDDVNSDPSFLGGSKLRILMNDAKRSGFLSIIGALKFMETDAVAIIGPQTSIMAHVLSYIANELKVPMLSFTALDPSLSPLQFPFFVQTAPSDLFLMRAIAEMITYYGWSDVVALYNDDDNSRNGITSLGDELEGRRCKISYKAVLPLDVVITSPREIIDELTKIQGMESRVIIVHTFPKTGRMIFEEAKKLGMMEQGYVWIATTWLTSLLDSYSPLPPKKIEAIRGVLTLRIHTRESRKRRDFVARWNKLSNGTVGLNVYGLYAYDTVWIIARAVKSLLDSGANITFSSDSKLTSLKGGTLNLGALSIFDQGPQFLEFIVKTKMSGVTGPVQFLPDRSMLQPAYDIINIVGDGFKQIGYWSNHSGLSVIPPESLYSKPPNRSSSNQHLYNVTWPGGTSETPRGWVLPNNGRRLRIGVPNRASFKDFVSSVNGSNKVEGYSIDVFEAAIELLPYPVAHEFVLFGDGLKNPNYNELVNNVSTGVFDAVVGDIAIVKRRIRIVDFTQPYIESGLVVVAAVTKLNDTPWAFLRPFTPPMWAVTAAFFLIIGSVIWVLEHRINDEFRGPPGSQVVTILWFAFSTMFFSHRENTVSTLGRVVLLIWLFVVLIITSSYTASLTSILTVQQLNSPIKGVDTLMSSSERVGFQVGSYAENYMTDELNIARSRLVALGSPKEYATALQNGTVSAIVDERPYVDLFLSEFCGFAIRGQAFTRSGWGFAFPRDSPLAVDMSTAILGLSETGQLQKIREKWLSKSNCSNLNGSHSDDDQEQLGLHSFWGLFLMCGVACFIALLIYFFKIVRDFCNDHKPLEEAIVPSPESSHSNTLHMFLAYFDAKEDKTKRRLKRKRNHDLSVKFPRPM >A02p053170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32380036:32386783:-1 gene:A02p053170.1_BraROA transcript:A02p053170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFKSFAGNPREAAAMAMVQSSSYRVLSGGSCSNLRRNTPLDSFLAKGRSPVKAFSFMFSTQPNNEFGHSSKRRSRGPVMAAKKASEGEKQEDGKYKHTVDLPKTGFGMRANALTREPELQKLWDENQVFKRVSDSNYGGSFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVQYVPGWDCHGLPIELKGNSAVLGPGSEKGTYTTKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALLGYIYRGRKPVHWSPSSRTALAEAELEYPEGHISRSIYAIFKLVGGVKTSLLEEFMPNICLAVWTTTPWTIPANAAVAVNAKLQYSVVEVQSSSEDESASTSNKKKMMGKVLKNQQKLFVIVATDLVPALEAKWGVKLIITKTFLGSDLEGFRYTHPVDKRDCPVVIGGDYITTESGTGLVHTAPGHGQEDYATGLKYGLPIVSPVDDGGNFTEEAGQFRGLSVLGEGNSAVVSYLDENMSLVMEESYAHKYPYDWRTKKPTIFRATEQWFASVEGFRKATMEAINNVKWIPHQAVNRITAMTSSRSDWCISRQRTWGVPIPVFYHVQTKEPLMNEETVDHVKSIISQKGSDAWWYMSVEDLLPEKYRDKAADYEKGTDTMDVWFDSGSSWAGVLGKREGLTFPADVYLEGTDQHRGWFQSSLLTSIATKGKAPYSSVITHGFVLDEKGMKMSKSLGNVVDPLMVIEGGKNSKDAPAYGADVMRLWVSSVDYTGDVLVGPQILRQMSDIYRKLRGTLRYLLGNLHDWRVDNAVPYQDLPIIDQHALFQLENVVKNIKECYENYQFFKIFQIIQRFTIVDLSNFYFDIAKDRLYTGGTSSFTRRSCQTVLSTHLLSILRVIAPIVPHLAEDVWQNLPFEYRNEYGSAAKFVFELKWPTLNEQWLSFPAEDILFWERLLELRTEVNKVLELARNGKLIGSSLEAKVYLHTADAGMASKLLKMCEAQNEADTLQRIFITSQVEVLSSVEKEMVSNVQHTGEYREGENKVWIGVSRAKGSKCERCWNYSGQVGSFSDHPTLCGRCFNVIVANPPQPAVAAVIS >A10p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19729874:19736554:-1 gene:A10p033820.1_BraROA transcript:A10p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINKSFLLSTIQQLSFVQRFSDRVINFFSPYVVISFPEYEEYRFNHAFAAIDTYLGAKAIGKAHKIRASQVKESKGLVLKRDEAKVRDVYEGVNVWWEIVTATDGDRTHKLTFHRRGLEIVTGSYINYVMEEGKSIEAKNKKMKLFTNNPSLNWDTSKKSLWRHIDFEHPASFQTLAMDPVKKEEILNDLEAFRNGKEYYKKIGKAWKRGYLLHGPPGTGKSTMIAAMANHLNYNIYDLELTAIQNNSELRKLLTATSSRSIIVIEDIDCSVDLTGKRRKRDGDLSVKKDGEQGKEDQNQSRVTLSGLLNFIDGIWSACGQERIIIFTTNHIEKLDPALIRRGRMDMHIELSYCGFEAFKVLAKNYLDVDSHPLFGEIESLLKETKIAPADVAEKLMAKNHKVDVDGSLKDLVESLEKRKKQQRDHGDDHKKKLSGKKLRIFRELKLLTATSSRSIIVIEDIDCSVDLTGKRRKRDGDLSAKKDGGQGKEDQNQSRVTLSGLLNFIDGIWSACGQERIIIFTTNHIEKLDPALIRRGRMDMHIELSYCGFEAFKVLAKNYLDVDSHPLFGEIKSLLKETKIAPADVAEKLMAKNHKRDVDGSLKDLVESLERRKKHQRDHGDDHKKKIGGKKLGIFRGLF >A05p001050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:44148:46315:1 gene:A05p001050.1_BraROA transcript:A05p001050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLNLIAFLRRRIRKAKISGEDPTPSSNEFSSTAAIHPNPEKPIRVATFNAAMFSMAPAVPNNNNSTAGLPLRSKSTLDRPRSILKQSPLHPINNNMKQERFAKSRLRVSINLPYNEISRQLSFREDHSPLRPALSFSGHLPSTRTVLDVLRELDADVLALQDVKADEADQMRPLSDLAAALNMNYVFAESWAPEYGNAVLSKWPIKNSNVLKIFDHSDFRNVLKATIDVPGSGEVEFHCTHLDHLDENWRMKQVHAIIQSTDVPHILAGALNSLDESDYSSERWTDIVKYYQEMGKPIPKAQVMRFLKSKEYTDAKDFAGECESVVVVAKGQSVQGTCKYGTRVDYILASADSPYQFVPGSYSVLSSKGTSDHHIVKVDLVKASAVNVDEEQPKRQTQQRATTTTIYNNPSLTKASWRTHYYKA >A05p007730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3115185:3116207:-1 gene:A05p007730.1_BraROA transcript:A05p007730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFDDSFVILGDDASESVPVSAYDGSVHVDDSTDDVFAAPSSDYGGYSNGADVYGSSGGHDGPILPPPSEMESDEGAALREWRRQNAIQLEEKEKREKELRNQIIEEANQFKEEFHKKRELACENNKAANREKEKLYVETQEKFYAEASKNYWKAIAELVPKEVPTIEKRRGKKKEDDPKKPTISVIQGPKPGKPTDLSRMRQIFLKLKQNPPSHLKLAPQPPSEAAAPPKNVPETKPTEAVAAT >A08p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11508913:11511811:1 gene:A08p012620.1_BraROA transcript:A08p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYRQSGNDRFSVRGGGGGSASDHVAIGIRNGAGGQGKANRWKRSVVRPERIRRGGVGSVVFVLCLVLVVTVLAYYYLSGLDSFDGDFLTNVTRIDPGKVLEFGHGSVVHGRDSRYWDKDDRRRDDDYNEDEVEHKPIQVKGVGLYNEAGRNELNKYEAEYQASLDMDPDDAIDSHDSQGDDEYVGHDDDDKEKPTEALHSMSKELDDGDTSKRSSLVRKVGKSGKTSRSDTKRRGRGRRSSGGACEMKLLNSSQPIVEPLNTRKSARFSLQYVENEEKPDGEELWGPRFAGHQSLQERQDSFLAEDKKIHCGFVKAPKGSPTTGFDLTEDDTNYISRCHIAVISCIFGNSDRLRPPANKMISRLSRKNVCFIVFVDEITMQTLSAEGNAPDRAGFIGLWKLVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCLWEEVAQNKKLNKYNHTVIDQQFEFYKADGLTRFNASDPFKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRMNPDKPFNLHMFKDCERRKIAKLFRHRSEEKRNLIQAALQQ >A02p009520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4020863:4021483:-1 gene:A02p009520.1_BraROA transcript:A02p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP8 [Source:Projected from Arabidopsis thaliana (AT5G19650) UniProtKB/Swiss-Prot;Acc:Q3E9B4] MDKRMRLRVPSIVRSSLNSCRSRGLYDVVDTSAVASHTTSSERFFLTKAPRVDSHKPKPYAFPPNPFYEGSRSFRDIRKKIKTKRKQRSSQFGSDPLLTSSFKSSGSWCWSCSEEEEESDDRDTLVSSRSFSSDYSKGESFAVVKKSHDPYKDFRKSMVEMIVERQIFAAAELQQLLQCFLSLNSLQHHSVIIQVFLEIYATLFST >A10g501420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4030231:4030725:-1 gene:A10g501420.1_BraROA transcript:A10g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNQPQTSSSMAIGPQTSQARSLRNDQACTRSDRACVPLGRYVAAEHEYRSVAVGVENGYDKVNVQTSAKMSVSIILRQLCFVKNVTTKDLALKPCSSLGWIRHRLSQGNGYVSKTATDKFEYNDRNTDKPSTVATQQPSMHTARSLRSDRARAKHAHGSVAT >A05p025050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12237811:12240359:1 gene:A05p025050.1_BraROA transcript:A05p025050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLTSKSILGSTKPGSSPDLRRLSSPAVQISIRTRPKKNLQIQATGSSYGTHFRVSTFGESHGGGVGCIIDGCPPRIPLSESDLQFDLDRRRPGQSRITTPRKETDTCRISSGVSEGMTTGTPIHVFVPNTDQRGLDYSEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAPGALAKKILKQFAGTEILAYVSQVHQVVLPEDLVDHENLTLEQIENNIVRCPNPEYAEKMIAAIDAVRTKGNSVGGVVTCIVRNAPRGLGTPVFDKLEAELAKACMSLPATKGFEFGSGFSGTFLTGLEHNDEFYTDENGRIRTKTNRSGGIQGGISNGEIINMRVAFKPTSTIGRKQNTVTRDKQETEMIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCHLFPINPELQEPLRTASPVELEQPQNAAAL >A09p082920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59865127:59866755:1 gene:A09p082920.1_BraROA transcript:A09p082920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRRLLAKANDSKVGSLILMAVVFGSCLANGEYLGGGRGLSGSSGAVFDITKFGAVGDGATNTFKAFLKAWIQVCDSPVPATLLVPAGQYLAGPVIFAGPCKSRVTVEVQGTIIATTSGYATPEWFLFERVNDVLLTGTGTFNGKGEDIWKEGCGKKTNCNLPPTSLKFRNLKNLEVSGITSVNSKAFHMFLVKTEFVNIHNIKLLAPAESPNTDGIHLSNADHVSITNSKMATGDDCVSIGRGSNNVTIQGIICGPGHGISIGSLGKYKKEEDVSGIHVSNCTMIETDNGLRIKTWGGSDPSKAADIKFENIQMQSVKNPIIIDQNYGSRGGDSQVAVSDVLFSNIRGTTITHNVVQLNCSKSVPCAGVNVVDVNLNYVGKKGKKQSASGGLVGAICDNAKVVFGGQLSFPTCAK >A05g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20852212:20855048:-1 gene:A05g507380.1_BraROA transcript:A05g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTYGTTYLLVVWKSSGSRLEVVWTSRKSSGLPVKSSGSRLNFLKVFWQSRLNFLEVTAYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLTYIRLLQAHKITNESHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQKWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3505078:3506152:-1 gene:A04g501400.1_BraROA transcript:A04g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRRLLPVAIVAEKRVVAVLTTIAEDDIPNISHEFEPVEQSKSSCSALTIKICVLYVSGHGLLQVLPYTTASRIEGGAGERLAVFNYGMFCALLAK >A06p046130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24711153:24711618:1 gene:A06p046130.1_BraROA transcript:A06p046130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQLEKLRGHWRNTADDCMRIIESEVTKPEAEPNAQSKGSHPMSTLLLVMPQQSPLSYKSVIDTFSASPFYLAVYDWESKS >A09p073770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56135202:56138687:1 gene:A09p073770.1_BraROA transcript:A09p073770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQQNGQRYGITEPISLGGPTELDVVKTRELEKYLQDVGLYESKEEAVRREEVLGRLDQIVKTWIKTISRAKGLNDQLLHEANAEIFTFGSYRLGVHGPGADIDTLCVGPRHATREGDFFGELQRMLSEMPEVTELHPVPDAHVPLMGFKLNGVSIDLLYAQLPLWVIPKDLDISQDSILQNADEQTVRSLNGCRVTDQILRLVPNIENFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLYPNALPNMLASRFFRVYTQWRWPNPVLLCSMDEGSLGLQVWDPRRNPKDRLHMMPIITPAYPCMNSSYNVSASTLRIMTGEFQRGKDICEAMEANKADWDTLFEPFAFFEAYKNYLQIDISAANVDDLRKWKGWVESRLRQLTLKIERHTYDMLQCHPHPHDFQDASRPLHCSYFMGLQRKQGVPAAEGEPFDIRRTVEEFKHTVNGYMLWIPGMEISVSHIKRRSLPSFVFPGGVRPSHASKGTWDSKRRAEHRVSSTASAATTTTATTTNEASSESKAGSNSPGDEKKRKRGDDETLADQLRNSKHVAVPVPAENGEGGSPDPSVGSICSSPMKDSCTNGKSDPINTDPQENVVVFNKEDAPESHPIEKIATPQAPTSEETEELEGSFDFGNQVTATANIPPFEATTSNGSPLFSNEALEELEVLPMRQPEVTHRAPVQQRKPIIKLNFTSLGKTNGK >A01p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5598202:5600881:-1 gene:A01p011540.1_BraROA transcript:A01p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g18840 [Source:Projected from Arabidopsis thaliana (AT4G18840) UniProtKB/Swiss-Prot;Acc:O49399] MSACSSTPLPILTFTEKAKSLSEIQQAHAFMLKTGLSRDTFSASKLISFAVANPEPKTVSYAHSILNRIDTPNAFTHNSLIRAYANSPTPETALTAFREMLLGGPVAPDKYSFTFALKACAAFRGVEEGRQLHGLFLKSNLDSDVFVENTLVNVYARSGWFEVARKVLDEMPERDVVSWNSLLSAFVEKGLVEEARELFDEMEERNVESWNFMVSCYAAAGLVEEARECFDEMPVKDLVSWNAMVSGYQHAGCYGEALEVFNEMLKSCAEEPDGFTFVSVLSACANLGSLSQGEWVRVYIDKHGVEIDGFLATALVDMYSKCGRIDKALEVFRGASKKDVSTWNSMITGLSVHGLGNDALEIFSEMVYEGFKPNGITFIAVLSACNHVGLLDQARKLFETMSSVYGVEPSIEHYGCMVDLLGRMGRFEEAEELVNKVPPDEASVLLESLLGACKRFGRTEQAESLANRLLELNPGETSGYVQMSNLYASDGRWDEVTEVRRKMRAERVNKKPGCSMIEVDGVVHEFLAGFKSEEPLNFENQETG >A01p010090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4911463:4913214:-1 gene:A01p010090.1_BraROA transcript:A01p010090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSKRKTPPGILLLRRIRGRNWSPKMFRYAILLITFIAYACYHASRKPSSIVKSVLHPEPSTKPPREHINTYPWPVGNVFVKEEETDVDHVNKGWEPFNGKGGTSRLGEIDVAFLACYSLGMYVAGHLGDTLDLRLFLTWGMIGSGFFVGLFGMGYFWDVHAFWFFLVMQMAAGLFQATGWPSVVAVVGNWFGKRKRGLIMGIWNAHTSVGNICGSLIAAGVLEYGWGWSFIAPGFVMSLGGVLVYLFLAAYPEDVGFPDINSNSGKFIKRRRNIEEVDVEVAGESSGSEPGYEHKTGVGLLQACMIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTPIGGEYMSVKTAGNLSTLFDVGGIVGGILAGYVSDKFKARATTAATFMYAAIPAMLVYHFYGGVSQTVNILLMMVAGLFVNGPYALITTAVSADLGTHKSLQGDSRALATVTAIIDGTGSAGAALGPLLTGFLSTLGWQAVFYMLVVGALCAGLLLTRLVIAEIREKLGYVDEVPASEPLLDEGR >A04p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18889132:18890245:1 gene:A04p032350.1_BraROA transcript:A04p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TO1 [Source:Projected from Arabidopsis thaliana (AT2G35010) UniProtKB/TrEMBL;Acc:A0A178VSZ4] MKGSFSIVRQVFQRRFSTLRSSRPSALSSSAPSLIASPSSTPSQIPRNSLLPASTFVSSIASNFSTTCFLPSTRSLCSSAGGGNGVVIVKSEEEFINAMSKAEGGSSPSIFYFTAVWCGPCRFIAPVIEELSKQYPDVTTYKIDIDEGGLSNTLSKLSITAVPTLQFFKEGSKKGEIVGADVAKLKNLMEQLYK >A07g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15352933:15357382:1 gene:A07g506400.1_BraROA transcript:A07g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMGIPATKSLDQIRSMAGSDSKDPSFCSRQSQDSVNFSNPKMQNYSKLKKSVDYILALEEKLQNASNENAKLRLMQKEDGKLSRRMESKFSPTQTLADQLTENLQHLTSQVQEENSFGVGQLLPYQILSKDGKPYIMNCETKVFSPEEITDMVLTKVKEGRRVCMPPPPVPVFEDSSSDDTSDEESWLNKNDAATDTDDEDYESPDEDIDKALYEGGDPAGIMMDCPDIAPLLEKLPAGFEYPFLTNEIASDLLDETRLKMVMEALPSTSRLMLFRCLNSMYIYWKKKSVHLKQYLTSRSRRKRVLVAAGEVRNQLDHLLCWAYSSSDLVSASLVLHGLEKEYFPLCPMYLCENVDPSQLQSNVIEEEEHRCYGSNMPSALLYIKKYGIPKEASKEFNCMLARGVGADEKRYYISEVLRFPTLEAALMRLKTHPVGATLAMFTGCTDEGIYRGPMKEGSEYMGDHEVVMACCEVKKGEMVVKCKSSYGKHCCNRGYIYVSIEVLLILAGALRKQGAKLGDICHIRPQYLLSDFYSVEMDVDAEGQSESIVKGIENVVRSYTEKPNRIILAISPANQDRAASDAIIISREVDPSGEITFGVLKNIDLMDKGTDAVHRLGKSSVLESIVGKDSLPRGSGIVTRRPLVLKLQTIDDGTREYAEFLHLPRKRFTDFAAVMKEIQDEIVRETGRSKAISSVPIHLSIYSPDVVNLRLIDLPELTKVAVDGQSESIVKRIENVVRSYIEKPNDIILAISPVKKDLTSSDAIKISREVDPSGESTFGVSTKIDLVDYGTNAVE >A01p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29964193:29966044:1 gene:A01p053630.1_BraROA transcript:A01p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCIYGCSAVSFFFLFILTASALPQTLEPGHHNITGSGQINSNSVLVALLDSRYTELAELVEKALLLQTLEDAVGRHNITIFAPRNEALERDLDPGFKRFLLQPGNLKSLQTLLLSHIIPTRVGSTQWPEESSKRVKHVTLGHGQVLHLSKTKGNVNRLVVNSAVITRPDDLTRPDGLIHGIERLLIPRSVQEDFNRRRNLRSISAVLPEGAPEIDPRTNRLKKSAAAAAAVPAGAPPVLPIQSAMAPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNSVRRFGKVKYETLRFPHKVAAKEADGSVKFGSGDRSAYLFDPDIYTDGRISVQGIDGVLFPEEEEETVKKPSGSVKKVVQTRRGKLLEVACRMLGAIGKDSYISKC >A07g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16722624:16723464:1 gene:A07g506700.1_BraROA transcript:A07g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILLYHPHLPQVHRAIETYDSWWVTFICSSRRLTGKSPGCRRLTWKSSDDLHGSSPSDDLHCSRPWFYSEILVKPCLSWTTSM >A10g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:587065:588301:-1 gene:A10g500170.1_BraROA transcript:A10g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLIGVDLRIPPHHLLPFASRVIHDLGTSSSWRSPLTSSKSIARCAETASHKANNAQKVPTRLSQNCVWVLTEAGIIALVKNRTFDMTIVIGDGANDVSMIQMVDVAVGISG >A01g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12549369:12551446:1 gene:A01g504150.1_BraROA transcript:A01g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSNVEMGEATSPAPIPTSLAEVPACVAGHLSFRKKLVRRQAEKELAQTGSEFLSSSALVIAPCHGTDVAAPLPQVLPAGSSTTPMFVEDKEKATDSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQQGGSSLASGLRGKGLSLTSFYRSQFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTTTCRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAIVRSFQSETPSTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFNCEMFYSRPVSRVLPRDVNSAGVLKVSNDCAVTNRLSFFLSRFLPYSYRCKVREKFSAYMTCLIRIEHLSGDRNAIILVSDDVCEFDVISIGLGGVCHFVCSEETNCEAFFVMSRDAKG >A10g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1069504:1075655:1 gene:A10g500340.1_BraROA transcript:A10g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKQNGKAPVSSDSEERVMSACSSVALPTNPFLGGSEPDQEDAYWPRNAPHRRGTVIQGFIPPGRIKKYLPDMKQGSVYQLDNFYGSKNKPVYRVSDHIATVSFTWNSEMWVLHEVPISFDEDRFRFHSYEDFEANCDLKGDLYVSKFIPVVLLAISRHMKLVNGQTLIGHPILDEVEIATSRHIMVHVQSHDGLMMKLYLWDQAATDFCKKFNSCANTATVLLVTTVNTKRLGDTLALHGSSWTMTSNQPKITSPEVVTKRETLTIADIFSYMTQESAKDAFFECTATIDDVVHGSAWYYIACSACHSKATKGATSLICTNTRCEKVNTTGVAQYRAKISVYDNSEQAFFVLLGDAGRELTGRHASELVSNYFKANKNEGPDHEVPIPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKILSLDTPPPTDASVENTIAATSEETMQTGNEVCEPSKSRGGSANEESKRTSASADPEKSKRPRCENDISHATHSSKNLPTSGRDFKCALSRYGQLLEGRLTGNIQPNDPKNLTEGDIYEFSGFSVIHNFRHQKLTQLPYYIQIDQKTITSKVTNIGPIFPFPNFSPQNYKNLLRLATTPTYLPDVVGQVLIIQKIIPHHPELNIDATIGLRLNRSTIVKLILCDKQAADFSILQSNKNRKFKVVIITTIIPKLFQGKLLLSSSPATNFYFNKSIDYIKHFKGRIRDHVKACTKESL >A03p009750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3884664:3886757:1 gene:A03p009750.1_BraROA transcript:A03p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFSRAIVSLPEELVAAGSITPSPKTTGAALVNKFVEKNPSVVTVQVGDYVQLAYTHHKESPLRPRSFGAKDEIFCLFQGSLDNLGSLKQQYGLAKNANEVLLVIEAYKTLRDRAPYPANHVVAHLSGDFAFVVFDKSTSTLFVASDQEGKVPLYWGITADGYVAFADDVELLKGACGKSLASFPQGCFYSTALGGLRSFENPKNKITAIPAKEEEIWGATFKVEGATVLAD >A09p060280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50033864:50035556:-1 gene:A09p060280.1_BraROA transcript:A09p060280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G54640) UniProtKB/Swiss-Prot;Acc:Q42529] MAIAFKSGVCFLHSPKPQVGIRHSSPDSSLSFKRLAPIAALSTSSPTLGLADTFKELKKQGKVAFIPYITAGDPDLSTTAEALKVLDACGSDIIELGVPYSDPLADGPVIQAAATRSLEKGTNLDNILDMLDKVLPELSCPVSLFTYYNPILKRGLGKFMSSIRDVGVQGLVVPDVPLEETEFLRKEALNNSIELVLLTTPTTPTERMKRIVDASEGFIYLVSSIGVTGARASVSGKVQSLLKDIKEATDKPVAVGFGISKPEHVKQIAGWGADGVIVGSAMVRLLGDAKSPTEGLKELESLTKSLKSALL >A01g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17636604:17639536:-1 gene:A01g505970.1_BraROA transcript:A01g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYLLVSGSRLEVVWTSWKSSDKVVWTSRKKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLDKVKSSGLLKSRLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSSLRLQISKLIAKITSALTRRLPGKSSTARRLSNIHKASPSTQNHTNEIHPPRSVSFYDSMNHKNFKIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFIVVVVLMTMVIL >A06p057080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29677950:29680160:1 gene:A06p057080.1_BraROA transcript:A06p057080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERASLGYLSEGLNFEHGSTSSNGLMDHWDGLGDNDLQDYMIANSESLAHSVYPEQHRFSLGEEASSSGTKNEASGHNEQLRNEIIDLDSDSEQPSGDNQNVNLNAEYIELDEDIDVVEANGSGNRASQPGRPFQETSVRTGSSVDASCKRKAIEGSSSGQSSLGGYHHGESSISWTPVSTEYRSVNGLNISGSRGEVSATASNFLVSSSRNTCVRSNPSETVSPAAFSAGTVVRRPVPPPHSNVVPSDHHGQSLGNIFSRNPNPPAPVSRNMLPPFQWTESSLAGESFNSTVPTERNNLHLEETRSRSITAASVLENPLFNPAPDLSRNANLSIASSSSVSRTGSSTGVQAPSSNLAWTPHRNLPPHQRRRSELAHRSLISSLSADARSGDHHPPLRSLAPPAPQDGLVLQPGGGDSSRAYSRAGLWLDRQVDSLVGAPQSLRALAAASRGRNRLMMQNILEVMRRDPNHNLRLEDVMLLNQSGIFDGGAAGMHDRYRDMRLDVDNMSYEELLALEERIGDVCTGVNEETISNRLKQSKYKSSTKSPEDAEPCCICQEEYTEGDDMGTLECGHEFHSQCIKEWLKQKNLCPICKTTGLNTAKKRKIG >A04p011660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6567507:6569333:-1 gene:A04p011660.1_BraROA transcript:A04p011660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMAVPINVLSLNLQKPNLIAVLRSRVLYGTAESLSFTRLFKPSSYRIRVRSFQKENIGKNESEDEMLKSESTRVSEKKRVSLIAKLGIGLGLALTITVICVTLKGSASGGTPFDVKSLSKASSSSIGFTFNAFGNRFVIPANAPGWVYFCLLMAAGFGLFISEEALNIWVGITLARMLTLDGTWQSFAESFSRNAPYIMSTVSWLQWFFFDVFGGHLKRGVCISDMIPFYLGKLFRQSGASDDVCSKLGIGKEKAMGITQAVQKYGNLSVERFSLGVRNPTAFFAGALGISPECFFAGVCCGGLITLPIQLVIGFLLRERPMFAVATVATVVGIWTIFPYMVAAATALYLYIRSRYTPKT >A02p004740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2057261:2057785:-1 gene:A02p004740.1_BraROA transcript:A02p004740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGFKIGSSFRLATAFTWCLLIASTYVPYTTSAARFEVRNEITKFPGRNRQLSFECWSTTNDLGLHALKPGESKSWSFKAVYIKLPFMYTYFQCRFFVGFGSPDGQVATVFAGERKFRYECDDQEEECIWVVKREGLYIRKITRDDKGQRLYEDALKLAWIGGTNYFPIYEDQ >A06p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14760755:14762306:-1 gene:A06p025500.1_BraROA transcript:A06p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABSCISIC ACID-INSENSITIVE 5-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G44460) UniProtKB/Swiss-Prot;Acc:Q8RYD6] MHVHITRFIGLKTMPGPESETSTFHVFNHDLQTQLQTYPQETAVEEHAPVGRQNSIMSLTLDEIQMKSGKSFGAMNMDELLANMWMTVEENNGGGAGAQQDGEKPTILPRQGSLSVPVPLCKKTVEEVWFEIQNGVQQPPPSSIAGQNPDEDNRRQQTLGEITLEDFLVKAGVVQEPLKTTMKMSSSDFGYNPEFGVGLHCQTQNNYGDNRTVYNENRPFYSGMGESSSCMTGSGRSDQYLTGLNAFRIQKRIIDGPPEILMERRQRRMIKNRESAARSRARRQAYTVELELELNQLTEENMKLKKIVVMNRSTQITKEKNGDKLRRIRRMASAGW >A09p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8379999:8381040:1 gene:A09p016060.1_BraROA transcript:A09p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMKSKEYMSQKMPREVLTLNQIMNSPDYEGTTMFVQKTKKNHHFYSRWADGLELDYVNGEMKTDQLAKGPYKLSSMYI >A05p050980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29672409:29675264:-1 gene:A05p050980.1_BraROA transcript:A05p050980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVLTWWIPLLILQSFLLAIAFGSNEVEEEFSESLLLKPLPDRKVLAHFHFENRAPPSNSHGRHHHLFPKAISQLVQKFRVKEMELSFTQGRWNHEHWGGFDSLSSMNAKPVGVELWAVFDVPHSQVDTSWKNLTHALSGLFCASINFLESSTSYAAPTWGFGRSADKLRYGSLPREAVCTENLTPWLKLLPCRDKDGISALMNRPSVYRGFYHSQRLHLSMVDSGEEGLGSGMLLEQSLTVVLQPDTVYDGKDLQPSWSLSSLFGRKVVGKCVLAKSSNVYLQMEGLAHQALWKNEEFELSTQPDRILRENSSFLYIFNIDKSSDSEPFDLGLTWKLPSKWSCQQAPLHASRFLMGSGNERGAIAILLKATESQDKLSGRDLTNGGRCTIRANVFQIFPWYVKVYYHTLQIFVDQQQNTSGEVLKKINVSPSTDKMSSGMMEMMLELPCEVKSAAISIEYDKGFLHIDEYPPDANQGFDIPSALISFPDHHASLDFKEELSTLPLLSKFKDKSLVRSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRIGEEERFLKSKGKKTGGLKQLLSRFTAKIRGRPAESPSSESTAAAQSSVLSSKLLFKIILVAGVAATWQYFSTDK >A07g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1082284:1082818:1 gene:A07g500530.1_BraROA transcript:A07g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVKFPRINLNYDGLGQKGKSVNRPRSEYIRSPRREARRDNFFTANLALRAIRQLSISGTSGKLGFSYFPNLNGNQQCEFRFQQK >A07p028800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16252980:16254308:-1 gene:A07p028800.1_BraROA transcript:A07p028800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTQADVVYSHRSLQLWKTLVNCLAFFYQLFLQILRAVGYHPLLSSSADGFKPLPNIELLETAADDSPASVEIESSIEVQHSRFQRLKVVLDLDETLVCAYETSSLPAALRNQAIDAGLNWFELECLSSDKEVNGKPKINYVTVFERPGLHDFLEQLSHFADLVLFTAGLEGYARPLVDMIDTRKVLSSRLYRPSTVSTQYRDHVKDLLSTSKNMSRTVIVDNNPFSFLLQPSNGIPCVAFSAGQPNDTQLLDVILPLLKQLSEEEDVRRTLYDRFHMPEWFEKQGIPPSCWNS >A02g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1136596:1136959:1 gene:A02g500310.1_BraROA transcript:A02g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTVTIVTGAHDLSSSIRVESDSSLLINAIKRKEPLSEIHGVLSDIAMLSSHPSFNLSFHWIPRIQNVVADSLAKEALSMVEGVMTLT >A05p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9089162:9089501:1 gene:A05p019340.1_BraROA transcript:A05p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVMPDKKFHEAFMICCPISLNCLTVLTEHFSGSLISFRTDVTRLIACLAKSRSSAFLPSSKPHCLDISTSFSISSLELSLQEYK >A04p024090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14599994:14603157:-1 gene:A04p024090.1_BraROA transcript:A04p024090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLTPSTSSHMQTPATTFDHEDFLNHIFASAPWPSVDETHAPQPPPSDGFVDSRNQQIMMMPLSSHQQNDNVDGSSAHALYNGFAATRSLPFHIPQGSGGGPMHQQGQTQRQPQQQASASTDTGGTAVSPSHSRTKVRARRGQATDPHSIAERLRRERIAERMKALQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAYVSSQISEAGGSHESASSAVAGGNQPTGNSNDGLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATSHTRNSLIPGAADVGGSPSSSNLSGKTVQTMNTLKLNSNGNGMPEGSSSIAVKEAVTISKP >A08p042020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23652093:23664646:-1 gene:A08p042020.1_BraROA transcript:A08p042020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSRNFATLSLMIITVLLRTKIVAGQEALLGKKVLPLCHRECMPICMKVTEATQEICEGACQAGCVQLQGRGTGLSATDQGVDMLIVQLGNLLNTDVASMHYDKYYPPLPRVPARNHRMINSVVFGVPIKSHHRVSDVLSRTSGPSFGYVTSVSVRTNARPFLRGDGNGRFGRFGGKFVPETLMSRLRDLEEELDFVLSDHEFQAELTTALRDYVGRETPLYFAGRLTEHYRNKYRTTGDGPEIYLKREDLGHSGSHKMNNALAQAMIARRLGCSRVVAATGAGQHGVATAAACAKLCLECTVFMGSTDIEKQSSNVLSMKLLGAQVSSLEGTFQDASSEAIRNWVENLKTIYYLSGTVVGPHPSPVMVREFQSVIGKETRKQAKRLWGGKPDVLVACVGSGSNALGLFHEFVGDEDVRLVGVEAAGLGLDSGKHSATLAVGDVGVYHGSMSYLLQDDQGQILRPHSIGVGLEYPGVGPEISFYTATDKEAIQACMLLSRLEGIIPALEASHALAFLDKLVPILHDGAKVIVNCSGRGEKDIELLSKEACPLLFLESPRSTQQICEGACQAGCVQLQGRGPRGTGLSATDQGVDMRNMSSTKIQIRGQPLSKVLTRNHRMINSVVCGVPIKRHHRVSNVLRTSDPPLGSVPTRTDESQFLRGDGNGRFGRFGGKFVPETLMSPLRDLEDEFNFVLNDHEFQEELTTALRDYVGRETPLYFAGRLTEHYKNISQTTGGGPEIYLKREDLSHCGSHKINNALGQAMIARRLGCKRVVAATGAGQHGVATAAACAKLSMECTVFMGTTDIEKQSSNVLSMKLLGAQVKSVEGTFKDASSEAIRNWVGNLETTYYLSGTVVGPHPSPLMVREFQSVIGKETRRQAKQLWGGKPDVLVACVGSGSNALGLFHEFLGDEDVRLVGVEAAGLGLDSGKHSATLAVGDVGVYHGSMSYLLQDDQGQILKPHSIGVGLEYPGVGPEISFLKETGRAEFYTATDQEAVQACMLLSRLEGIIPALEASHALAFLDKLVPTLRDGAKVVVNCSGRGDKDLDTLIQRGMPSSLC >A01p004340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1860193:1860582:-1 gene:A01p004340.1_BraROA transcript:A01p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSNMKQHHQYGAYAEPRISFSSGFAATKNDMVKYKEAPVSSDDFEFGVNNFSMTTADEIFFDGMILPLKEEVNTTKRMSTLREELSEEDSDSPRSKSKGSSGWWRERLGLGFVKSKKDHKRSSFYHH >A09g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28108455:28109763:1 gene:A09g509590.1_BraROA transcript:A09g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRWDPGRDDGDLVIVDEELVEMNRRSTLRLRQFAKGMGKRDRGSLRKQRIQMDQISILIIIKMNSQVNQDIISDLSIIGVALQIKKSGGVCFEYGIEKSEIRVFWSVILSSCIRFLCEKKITSINYGGSGFVGDYSACEKNAQNQEVDQVLSEDEKNAETEDLAKKQGTRTRLFKPVRGFVSPRKRAPAKTDTRKGDNSKQAESKGASNPKSGYAKNYFMDQALLVVRFRGQSLAIGFHGFIYFTIIRNELCFDYEMEGYELLVGLCGNECVIASTFGIGLSVLVVMSCGQWLEGFQGAYLNEILDAKRYSWMRVALSGGVYMGGIGQGVLDPGVNEV >A10g501820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4920692:4921009:1 gene:A10g501820.1_BraROA transcript:A10g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVSVGRSRSATVSRGHHTWQLRRAAAARVAHARVEAKIMEALAASSGLQLQRGRCLRLRLDEKNTLMAFHARNYQQLGRYCRFTKTAETKLKNACDSGGYLG >A03p012370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4922946:4924126:-1 gene:A03p012370.1_BraROA transcript:A03p012370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAFGSPHHHLSTLRDSPYSVEISIDGDSSDLDSLSEVDLESGGVTSPVKKLHSGGGKKRRARRRKKKKKRTEGIDCRICHLPLKTNKEDEEEENSDEQEEDDEAREDDVEEEYYGLPLQLGCSCKGDLGVAHSKCAETWFKIKGNMTCEICGAMAINVAGEQSNQESNASVHSQTTAGQTQTETRGTWHGRRVMNFLLAVMIFAFIVSWLFHFKILK >A01p027310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19036174:19039843:1 gene:A01p027310.1_BraROA transcript:A01p027310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSNSRLPIIDISPLLVKCDDPNMKEDTGVVEVVRKLDRACRDVGFFYVTGHGISESFMKKVKEMSHQFFELPYAEKLKIKITPAAGYRGYQRMGLNLTGGKQDFHEAIDCYKEFEQGKYGETGKAMEGPNQWPENPQEYKELMDKYIKLCIDLSRNILRGISLAFGGSPYEFEGKLVGDPFWIMRIIGYPGVNQENVIGCGAHTDYGLLSLINQDDDKTALQVKNLAGDWISVTPIPGSFVCNIGDMLKVLSNGVYESTLHRVINNSPRYRVCVGFFYEINFDAMAEPLDIFKEKYPGDERSQLSKRVVYGEHLVNKLQTTFANLMEHN >A06p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7082650:7085173:-1 gene:A06p015910.1_BraROA transcript:A06p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8A [Source:Projected from Arabidopsis thaliana (AT1G18550) UniProtKB/Swiss-Prot;Acc:F4ICA0] MPVSTRSKAMMNHEQSRRQEYTNPHQGLKEKMRALTLLYEQQKRASFSLRNNPNHLHHSPKPQDLDSCKKLHKDPNFADDETKENNVADADRVFGTNTAPVKSSTVIRKLSMGNGAEKGENFEACGGSRIMVFVRLRPMGKKERENGSRCCVKVLNKRDVYLTEFTNDNDYLRLKRLRVRHFTFDSSFPETTTQREVYSTTTGDLLEAVLEGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFAKVRERSLDGNHTVHLSYLEVYNETVRDLLSPGRPLILREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRCNETSSRSHAILQVVVEYKTRDGSMNVISRVGKLSLIDLAGSERAIATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNHSFGETQNTLHWADRAKEIRVKGCEVNEEVVVQVSEGPDQAKLVLELQEENRELRVKLVEQEEKLLTLEAETLAAAANNNISPTPPSISSLMTPPSALTAQQKKKPRHSLLSGTCFTPESSKRRKAEDAVKELQLTVKALKMEMERMKREHVVQMKKQKEELMKELCSKKSEKTPERGKETTTRRIVTRGSLRPKEREKELIKSPSHRFASPAAPAKKRSFWDITVANSPSLDRRKTRSHVLPVNQEAPSMLLQPGFARPRTTTTTTQKKH >A10p026490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16800846:16803213:-1 gene:A10p026490.1_BraROA transcript:A10p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSELRKLSRSGKPTWAVLVAILALTHILLLLSYGRYLLPDGRRLKLPNEHSALMTPSQNALLRNTLAVNVSEAPKGLLENNGSGLRNETEDDEGFVDIVDFESFEDVNDSAIVKEVAGRSESGSLFPSEKIVMQNVSLQSQKNSGGSAIAAPVLENSSLIVTKKVSKKKKKMRCDLPPKTVTTIDEMNRILTRHRRSSRAMRPRWSSRRDEEMLVARKEIENAPLVTIDRELYPPIFRNCCPIKGNLDIFDSVIYLRSYELMERLLKVYVYKEGSRPIFHTPILKGLYASEGWFMKLMEGNKRYTVKDPRRANLYYMPFSARMLEYTLYVRNSHNRTNLRQFLKEYTEHISSKYPFFNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDISLPETYVRAAKNPLRDLGGKPPSQRRILAFYAGSMHGYLRTILLQHWKDKDPDMRIYGRMPLGVASKMNYIEQMKSSKYCLCPKGYEVNSPRVVESIFYECVPVIISDNFVPPFFEVLDWSAFSVIVAEKDIPRLKEILMSIPEEKYVKMQMAVRKAQRHFLWHAKPERYDLFHMVLHSIWYNRVFQVKRR >A02p047440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29591637:29592769:-1 gene:A02p047440.1_BraROA transcript:A02p047440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLQRSVFFGFPLTVCSPGFIFYGVKDLLLIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIKAKGLLLSSIRDPSPVVFFEPKWLYRQAVEEVPEHDYMIPLSEAEVIREDNDITLVGWGVQLTVMEQACLDVEKVLALYYFKSKIIDLKSLLPWDKETVEASVKKAGMLLISHEAPEQRSLQQFSS >A06p047450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25204766:25205674:1 gene:A06p047450.1_BraROA transcript:A06p047450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVSIVELKKGGRTLSLLDHLSLRGYNIRYYTLPDSFNLESLLVENTPRGKAIGRCRGRGRDKRGRWISFLLHIAFVWLLLLSPLGQKLRIVHPGS >A09g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:751305:751988:1 gene:A09g500140.1_BraROA transcript:A09g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLRSLVAKAYRVRQGRTFSSSSSSSSSSTTNPINYKGDISIYEYLFSRGLVSFIGFGVASCITGDSVSKFDEELKAIDKDAVEFLEKRGKLPPGSLDAMYKS >A10p008530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8438756:8440095:-1 gene:A10p008530.1_BraROA transcript:A10p008530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVPSCRNGAKFILSISRLSPSRTKTLMVCFKSSFPSFDVTYVVLPLPEEHHPPAFLTRFGISRSICSEEESCPYILHVLEKALQMTIRRVLPLASACSQQHSYNIQSLITLLGLHSYSAYQFCLQPPGAPAFI >A09g515790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46680667:46682063:1 gene:A09g515790.1_BraROA transcript:A09g515790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLGTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAECFDIPRNWFDNLLYYNICLMSLENS >A06p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000241.1:374:1004:-1 gene:A06p031410.1_BraROA transcript:A06p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTESFSHEETSVAVSCCVSVTSVAVRGRQTKTQDDQVSKPQDVRQHKKDVRQHTQDVRGCPCVSVCPSVHTGRPSVHTGRPSAHTGRPWPSVSTHRTSVIVRQYTYQHAALSCGPVRGDFGPRGLSVQYTQDVGQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLSVY >A01g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9539827:9545308:-1 gene:A01g502950.1_BraROA transcript:A01g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGDSKSKGKEVSQGLSENTLDDADEDSDVNQQSHGARKQNKKFFDSLDIDHQAIPNDLVSQIIGKLPIKSAIRFCSVSPNWSRVICRDIAYSWSQASKKPRILLTAIGDYRMPHNRVYYSVCQNSHPQGWELEKAKLPGFERSLLYLCKPVRGSTISYERRGEAAICNPSWGIFRPLPDLRVTGLKKYNTTLFHLGYDDQMGIFKVLCVGSSPPKPFQFRVLSIVDQPWTWRIIGGNTNWDSVNYRRIPGAGYSGVFVNRKIYFQAGVSALICFDVISEELGRITVPEGVQIKANSLMLVNNKLGFVDTLSIWIFEEETWVRLQYSIPSELPPSNKCLGTIAQDVFVFISSVWFDDPLTVFRYHASTQLLDVMQFDDPVGKWWGCSPNIKAFIDYIDSPYPDYYGRMPQAIPERRLEEKGVGIPLLQFLLARYSAATVDCPGSPKSVRIVVVGEKGTGKSSLIVAAASHSLPPIVPHVLPDTKLHLELPVTIVDTSSRPEDRNTVAKELGNADAVVLTFECERPETLERLSTYWLPRLRRLEVEVPIIVAGCKLDLRDDNNPVSLEQVMSPIMHEFREIETFIECSALKQLQAQEVFCYAQERALHPTGPLFDQESQSLKPRCVIALKRIFILCDRDRDGALSEAELNDFQVKCFDAPLQPSEFEGFMRAVQEKLPVGVNERGLTVTGFLFLHEFSIEKGRLDTTWTVLRKFGYNNDIRLADELCPPSLFKRAPDQSVELTDVAIEFLKGMYVLFDDDGDNNLTPQEIDDLFSTAPESPWTEAPYVDAAEKTAVGGLSSDAFLSLWSLMTTLEPARSVKLLIYIGFSGEPSSAIRLTRRRRLDRKNQRCERKVFQCFVFGPNNAGKSAVLNCFLGRSHADNPGSTAYAANMVDESGGSKKTLVMREIPEDGAKGIFSSKESLAACDIALFVYDSSDESSRKRATELLVEAATHGEATGHEVPCLMVSARGDLDSFPISIQESTWVTQDMGIEPPVSISFKLGDFSYFFRKILTAAQHPHLSIPETEAGKAVKRFNRLINLVSIGAAAVVFGLVAAARKR >A09p079780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58612406:58614702:-1 gene:A09p079780.1_BraROA transcript:A09p079780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVPGVLLKLFQHMNTDVKIAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEQDDLILSDKLQLGQFIHVDRVESSSPVPILCGVRVVPGRHPCLGTPEDIVATQFLSGNGLKPKERVKATAKGGVVAPNKSESCESKKPSSLSRAKSGLSLDVRKEPLRKLRTSKSIPSSPTSCYSLPTSFAKFANGIKQQQTVKPEKGRFLLKVESPSVGKKLPLIKNFVQGIEFGAKALRKSWEGSLDIRASDRSGLKKLTKRDSTPDARSLAAPRKSTSSEKLPSKQERANVFAKSSKEQSKTQSTKKVEATRIVETKDKTSRTKSITIDKKSTTENGLPGSLVKVPVNSKRLASASTQWSSLPSSLSRLGQEVLRHRDAAQVVAIEALQEASASESLLQCLIMYNDLMSTAKMDDPLPVVEQFLKLHSSLKNVQIVTESLSRLVSSTSSVEDEANRSEETVKAALEKQKLAASWVQAALVTNLSAFSVYSAKPAASKSKPVIILESQGNNTTSKPRGNVQNRPTFGSKLVAQGMIRKSSQKATTVAGGSESPPPKWVKGNGLNEATDLAEKLQTVSQDWFLGFVERFLDADVVETSSNLSDNGQIAGMLSQLKSVNDWLDEIGSKENGEGLQEVSKETIDGLRKKIYEYLLTHVESAAAALGGGGSVSSPRHKPIETKAKR >A07g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7444226:7446518:1 gene:A07g503760.1_BraROA transcript:A07g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRFEYRYATEDELEEMKQREFAGWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCLMMIRPGLDSVVAGVVRGASLGVRPAIFRIPFRPTAPTAPAPAPPGPPGVMSVVELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSALDKGHPTFTDFPTEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKLMDNYGKQMYEWKKKWEINKAEENEGEPVDDLALMKRAYTNKNTSQIDDGLVRDVVSPVQTQVLDEVSQLQTDYDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETHKRLNEQMMEMMKGMYPNEVFPNIQDP >A03g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3744869:3745322:1 gene:A03g501250.1_BraROA transcript:A03g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGKSPSPPECLQSPPGPPNLDSLQGVDDAIDKSMKVLTTMSRRMTRNKWIVGSGILALILTIIFDHLIQGFSLQYSKHINSSWLCLYMVHVLFIDARSAAATQILKGKRLE >A05p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6651331:6654493:1 gene:A05p015100.1_BraROA transcript:A05p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit AXL [Source:Projected from Arabidopsis thaliana (AT2G32410) UniProtKB/Swiss-Prot;Acc:Q9ZV69] MERDEQEATMSEPTKTKYDRQLRIWGEVGQAALENASICLLNCGPTGSEALKNLVLGGIGSVTVVDGSKVEIGDLGNNFMVDKKSVGESKAKTVCAFLQELNDAVRANFVQENPDSLILTDPSFFSQFTLVVATQLVEDSMVKLDRICREANVMLVFARSYGLTGLVRISVKEHTIIDSKPDHFLDDLRLNNPWPELKRFVETIDLKTPDPIAHKHIPYVVILVKMADEWAKTHSSNLPSTREEKREFKDLVKSKMVSMDEDNYKEAVEATFKVFAPRGISKEIQEIIDDRYAEVGSNSSAFWVMVAALKEFISNEGDGEAPLEGSMPDMTSSTEHYINLQKIYHTKAEDDCLSMEQRVKDILAKVGRDPSSISKQTIKSFCKNARKLKVCRYRTIEDEFSNPSAAELQKCLASEDYSSAIGFYILLRAVDRFAATYKNFPGQFDGGDREEDASRLRAIAVSLINEISCDGYELPEELCNEMCRFGAAELHVVAAFIGGIASQEVIKLITKQFVPMLGTFVFNGIDHNSQSLTL >A01g511390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32630340:32631058:-1 gene:A01g511390.1_BraROA transcript:A01g511390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRRADKCCGSDVAFTSKHHWVTNRRFTRIHRSSLTPHHHHRERTDVDGAEVVSASWRQNQLAERGASPRLPQHNRAFTPETDPPWAALFQSSGKGEERGNESETKIESFKSGLRSSDNGMHAHAPTGHRTRL >A08p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10307564:10311237:-1 gene:A08p015770.1_BraROA transcript:A08p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF724 domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT1G11420) UniProtKB/Swiss-Prot;Acc:F4I8W1] MQPITKDTEVEVSYEEDGVKGAWYRAILEDSPTKSQRKLLRVRYRTLLKEDLSSHLTEIVDHSLIRPVPPKDDGAEEFEEGSVVDAYYKGGWWSGLVVKRKEEDGTYLVYFDSPPDINQFERKQLRAHLDWSGSKWVRPENKELGKSEFSSGTMVELRWSSAWRPAMIIKKVENEERFIVKYCDDTSFRCSKRSRISVIDSREVRPRQPLFSVGEYELLDRVEVVVGSVWCEGVVRGIVFKGRYMVSFGETKVASVQVSCSDVRPPMEWEDGIWHKRPKTKSKFFGKFYCRKRKRGHVQHKSDLNDTVRTPTSNVEDTQATDTMRVLPFANKSPLWKIYGTMEVFKKLPQRPHFSPLIESTSEDFREGSALGMMATFSGLLEKLKDMEADVTVSELDSLKDTFTKLEEHGFDVTRPLSRINKLLALKGRQLKILEGRRGLDKERMDESSKRHKAELEFGETERKMVEVKRKILELQRQETALKEKKEAAEEQKDQACRKIWKVESCARDLGVKLEDVEFDFETIVKKSEMCVTEADVIDEIETGHGTYEDRMEMLRNITRSFRTGDKTLKILSNPQQKVDDLAAKSLGNLKNLRDSHAALSVGISGTVAGEPSSVTRLYLSVKPH >A07g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6668278:6673286:-1 gene:A07g503410.1_BraROA transcript:A07g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCARLIDCPDSPEEEEMGPIPDMMFAGGEEPVGVRVLTYQSSTVPSLTEGVQEIGSSSESDSEEIEGNGRDIFTKKQNLNPAHARNVDKRGNVYVCSILCEDSTRPIDEGSCEWSDEEEDFKVDNLVALINANHEFQTSQFRGGVRKSDVDRMRQRSKLTSKGRKSSNVQSNSERFDPGNVASLVIEKITPQLAIMDKNINLACAMVDAIEGKVVVHVDDLFVKLKEEMIKCVKDMVSAMVKDVFEGQNGPSNIPSAAPPEAAALSTHSTPARDLNANTIENVLRNLSDYSTPPRSKHMTQVNLPSTNKDDVATGFVCVTPQPETCAQSANSENRTRQISLQQRLEAYKRQEHNITDEPSFSLGLTQEEMNQGQLNMVPAEVPLRNTTSEMNVDDNIAEVQVSRKSKRQRTVPSTLVDDYQCGRHIMTRVRESQKFVFPLDSISEMERKYVQLSTKLNDKFTVNVAGLFASGKDIRLILERSRFMSAKVIDILIRVVRRSTLLHLSEEGRSSVALLDTKFVAAINKTFPKFVKSRNKEGYMFPKGLRDIFPSANDAAVHPTRYYFPCNLGNKHWVGICFDAGIGVITVLDCNISLYKERSLETDLKPIVQMLPYLARFACQPIGDDNVIQCYDVARPKFVSQNKNPSDSGLMAVLLMANHAVYGTEACKNIGHERLEAEGRRAAILMSTALRVRLAHGAWDRNDDGHWTFQRKPTALGYTVLIKPTETLEDLETIIRDRLKLNPDTPLVMAYHPPEWLLEPEGTRTPPTTLTSTAAVEEMMSLRSWFLELTLYVSSGAEDVGYYQFLCETTLTIGGATFVFEGLADNELVASKEILEEIFSEQETVGIYKAHFQIENAKKHGRQNAASSSVASNEVTGEGSASSPPASED >A05g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4428750:4429413:-1 gene:A05g501180.1_BraROA transcript:A05g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASSFEHAHTTQTTQNHRETEKKHKEARTYGRGRELRTPRRYEVKDTGSFTWLCRHRNALAKMERTEKQNKRRRRSEARTTETEASGWRRFAREAYGGGRTVDEESGNGGCVEREETRFT >A03g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19461720:19462533:-1 gene:A03g505510.1_BraROA transcript:A03g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWDYRRMKLTNEKLSFKGNDRRLKYIGKKNRERFLILTSFSRRVSNVLSIPSDPLIPCEYNFRIRCSGFLFVNPSSLRNTTPQKLLKLLKPVVE >A04p011000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6937921:6938973:1 gene:A04p011000.1_BraROA transcript:A04p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF062 [Source:Projected from Arabidopsis thaliana (AT4G13620) UniProtKB/Swiss-Prot;Acc:Q9SVQ0] MEDQFPKLEPRFMHEKLLSSGLYGFLSSSTPPQLLGVPIILEGMISPTLSSSQSYMASLNELTSSIHHQQSPVASVPWNFLDSFPQSQRPDDIHHPSKPPNLSLFLKEPKLLELSQSNSNMMPYHNYTPNSLHHESDQTRSDQWVDISKALTNYPSKGFGNYWLSTTKTQPMKLASGTRTKKVVQTTTPTKLYRGVRQRHWGKWVAEIRLPRNRTRVWLGTFETAEQAAMAYDTAADILRGEYAHLNFPDQKHQLKSGPLRCMIASLLDSKIQQISASSQVISSGNSPSPSSPKVAGTLEPKNNIKTESGEVVMMKKQKINKEVMEGDGVQLSRMPSLDIDLIWDALSLP >A10p020260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13755574:13755833:1 gene:A10p020260.1_BraROA transcript:A10p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRCACPVTSGITKPLRSPLRTMLLLQQLLLVACGYLNSLPKPSRTLVAS >A05g510550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32818407:32824195:1 gene:A05g510550.1_BraROA transcript:A05g510550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSRDEKGKRKEETPALRKPLVRIPETNVSDLIDRNKLTLIGRVTNPAIQKTRPLLDLQLPSREVVEVEVEYEKLGKHCFFCKSLTHEDSEKHRCPLSRGHTEDRRTLGITQQNTLERIEEGRRRQEERRYSRFPSLPDRKEARWTNSRNANREIEISSRGYPSRSEMGKSLDVEENRRRYDDRHLAYRYSTPRETQSQHDSLERISTSRRTQVYKAKESTGPAPTRQSQGLPAREAISTSHLSPVAAPPPEHRRRNLDSRLSDPRSGNISSEERISAKERLSVNTRRTSGSEKIGKEVGPPQENDKLPESPSPSTRAITAITRPSSSTIFETGRLGICERSPIRTLSEDRIHVSLRLGPLRDEEENEEDNAFDLRLQQTLASKAAGKKVATQTKDKKRPARNSPQVETELHVMLKCPFASKVWELVPYAAWNSSTGNCGIGWLLRDADNAIAESSSSHQRYVPSALVAEALAVKAAITAAISSHVSSIRVYSDSKTLISLLNTQGQDVVLKGVLHDISVLARSFSSISFMFVPRLAIVEADLLAKAALFSIDSV >A05p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:5758:24925:1 gene:A05p000890.1_BraROA transcript:A05p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTASQVRKNLSSCFEPLRNKFEALQPSVEDQSSKVFILACVWVLDKFRSVLTRFVGSRANEGEVRDVGDGKSLVAYTGGPSNNDYIRRSDMDALIKMLKENGNKSSINYGYSFGASLIENITDSIESDSRSARIQNAFIEKQPHLHHEGGEETGAQESSSDRRGDDLASHDQGGVETTQDGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGQKMGLACGSARREEMEERGNEWGWFSQMKTTLKSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSRVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGSTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTLSRPHQASRTPKSNIHSSYNQIVTKSQLYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYATKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHQSDFRFKCVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYITSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKEGRDSPELKIKELFNLFKRKKTTNMACPETSQGLSPFLLFSLKRSLGERRFWSCLASNAPNQVCTSDFQVLPKMESLTVFQKVAPGNQEERRGLKHEWSKGKAHTRKWRGVEIPNSKSVKFK >A05p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27414249:27417071:1 gene:A05p046040.1_BraROA transcript:A05p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVADQSADMLQKLSLDPQAKASEIPEPNKKTAVYQYGGVDSHGQVPTYDRSLTPLLPSDAVDPSVCYVPNAYPQFYYGGYGNGDWSEYTGYQNPEGVDMSSGIYGENGSLVYPQSYGYAAYPYSPATSPGPQVGGDGQLYGAQQQYQYPAFFPTGAFASSVATPNQGDLSANKAGGVKTAETKNVASAAGMAKGSNGTVTGKPNNQTTHNTASNLYGTGAPGGGFAGGYGYDGFYAPVPCYDGSKYSDVQRSGSGVASSYSKSTTVPSSRNQNYRSNSHYTPASMTGYGTGQGYYNRVYQNKVYGSYGSSGRSGMSYGSSGYDSRTNGRGWVSTTDNRYRNWGRGNSSFYGNENNADGLNELNRGPRAKGTKNQKENSEDSLEVKEQTGDSNVAEVVETENTCIVPDREQYNKEDFPVDYENAMFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLAAAYQEAQQKPGGCPIFLFFSVNASGQFVGLAEMTGPVDFNTNVEYWQQDKWTGSFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKIVKIFKEHTSKTCILDDFSFYEVRQKTILEKKAKQQQTQKQVSEEKTTTDEKKETATADSAKKESPPATSDVKADENGSVAKPVSVVANGC >A09p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7932893:7934395:1 gene:A09p015220.1_BraROA transcript:A09p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIETTENDDARPLILTGGGRSSVRRQGLREAARLLRHASSGRRRMTTMMREPSMLVRESAAEQLEERQSDWAYSKPVVVLDFVWNLAFIAVAAAVLGLSSDEKPNTPLRVWTVGYGLQCVVHMVCVCVEYRRRSNRSPSKSFTKHLESANTMFSFIWWIIGFYWVSSGGQELAQGSPQLYWLCIVFLGFDVFFVVLCIALACVIGIAVCCCLPCIIAVLYAVAEQEGASKEDIDQLTKFKFRKVGESEKHTVDEEEQAKGDSGGVMTECGTDSPLQHTLPHEDAECCICLSAYADETELRELPCGHHFHCSCVDKWLYINATCPLCKYNILKNSNYEDGEEV >A09p063200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51399023:51401430:1 gene:A09p063200.1_BraROA transcript:A09p063200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARKRTSSVAKSENPPPATKEHKPTVASGEDFSLAPPKLGVIFVISSLLCSLYLYLLCFHYNVDNELKRPILINAGLSLVGFFVTLKLIPVAARYVLRRNMFGFDINKRGTPQGEVKVPESLGIVVGIVFLIVAIIFQFFNFTEDSLWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSFATLPLLMAYAGHTTIVIPKPLVSYVGLEILDLGRIYKLYMALLAVFCTNSINIHAGLNGLEIGQTVVIAAAILIHNVMQIGASVDSELRQAHAFSIYLTQPLMATSLAMLAFNWYPSAVFVGDTYTVFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLAGIVKCPRHRLPKFDPATGLLTGTRDGTLVNVYLRIFGRKSEKSLCIHLLVFQALACAFCFLLRHFLAGWYK >A09p068540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53665284:53679429:-1 gene:A09p068540.1_BraROA transcript:A09p068540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKGVGRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSDDRDLTLVGEIPSSERFHRLAWGKNGSGSEEFSLGLIAGGLVDGNIDLWNPLSLISSESALAGHLSVHKGPVRGLEFNAIAPNLLASGADDGEICIWDLTKPSEPSHFPLLKGSGSATQGEISFISWNRKVQQILASTSYNGTTAIWDLRKQKPIINFADSVRRRCSVLQWNPDIATQIMVASDDDSSPTLKLWDMRNTMSPVREFTGHQKGVIAMEWCPSDSSYLLTCAKDNRTICWDTNTAEIVAELPAGNNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGAEENNLSTACLRAPKWYKRPVGASFGFGGKLVSFHAKAPPKGASSIPSEVFLHSLATEQSLVSRTSEFEAAIENGDKTSLRGLCGKKSEETESEEEKETWSLLKIMFEEEGTTRTKLISHLGFSLPSVEKDHAVDALSSDLNGIGLEDTAPEPVKINEAAAFAMDNGEDFFDNFPSKPDTPVSTSSKDFMPPDTDSSAKVEETQEIPEEEEEGSDKVFDDAIQSALVVGNYNEAVDQCISANKMADALVIAHVGGTSLWESTREKYLKTSGAPYMKIVSAMVNNDLTSLIYTRSLKFWKETLALLCTFAQGEQWASLCDVLASKLMAAGNTLAAVFCYICAGNVDRTVEIWSRSLANDRDGRSYAELLQDLMEKTLVLALAIGNKKFSASLCKLFESYAEILASQGLLTTAMKYLKVLDSSGLSLELSILRDRISLSAEPETNAAASGTQLQSTIPYNQEPTQAQPNVLSNPYDNQYQQPYTDSYVGGYVPSASHAPMQQSTMFMPSHQAQPAPQPSYPPAPASNAQPSMRTTFVPSTPPALKNADQYQQPFVGFHSFTGPSNNAYPVPPAPNSYVPPGPSQVGQNLNPMMPQAVAPGAGAIGFTPMSAPGVAPRSVIGSVQPASPPMQQATPAPAAPPPTVQTADTSNVPAHQKPVIATLTRLFNETSEALGGARANPSKKREIEDNSRKLGALFVKLNSGDISKNAADKLAQLCHALDSHDFGAALQLQVLLTTSEWDECNFWLSTLKRMIKARQSTPNYNVTPSHTNPINLLPLPDPNYSIMACIKGVGRSASVALAPDAPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSDERELTLVGEIPSSERFHRLAWGKNGSGSEEFSLGLVAGGLVDGNIDLWNPLSLIGSQSALVGHLSVHKGPVRGLEFNGIAPNLLASGADDGEICIWDLTKPSEPSHFPLLKGSGSATQGEISFISWNRKVQQILASTSYNGTTAIWDLRKQKPIINFADSVRRRCSVLQWNPDIATQIMVASDDDSSPTLKLWDMRNTMSPVREFTGHQKGVIAMEWCPSDSSYLLTCAKDNRTICWDTNTAEIVAELPAGNNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGVEENSFGTAPLRAPKWYKRPVGASFGFGGKLVSFHTKPPPKGASSIPSEVFLHSLVTEQSLVSRTSEFETAIENGDKTSLRGLCEKKSEETESEEEKETWSLLKIMFEEEGTTRTKLISHLGFSLPSVEKDHAVDALSSDLNGIGLEDTAPEPVKINEAAAFAMDNGEDFFDNFPSKPDTPVSTSSKDFMPPDTDSSAKVEETQEIPEEEEEGSDKVFDDAIQSALVVGNYNEAVDQCISANKMADALVIAHVGGTSLWESTREKYLKTSGAPYMKIVSAMVNNDLTSLIYTRSLKFWKETLALLCTFAQGEQWASLCDVLASKLMAAGNTLAAVFCYICAGNVDRTVEIWSRSLANDRDGRSYAELLQDLMEKTLVLALATGNKKFSASLCKLFESYAEILASQGLLTTAMKYLKVLDSGGLSPELSILRDRISLSAEPETNAAASDTQFQSTVPYNQEPTQAQPNVLSNPYDNQYQQPYTDSYGGGYVPSASHAPMQQATMFMPHQAQPVPQPSYPPAPASNAQPSMRTTFVPSTPPALKNADQYQQPAVGFHSFTGPSNNAYPVPPAPNSYIPPGPSQVGQNINPMMPQAVAPGAGAIGFTPMSTPGVAPRSVIGSVQPASAPTQQATPAPAAPPPTVQTADTSNVPAHQKPVIATLTRLFNETSEALGGARANPSKKREIEDNSRKLGALFVKLNSGDISKNAADKLAQLCHALDTHDFGAALQLQVLLTTSEWDECNFWLSTLKRMIKARQSVR >SC178g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:50916:54735:-1 gene:SC178g500030.1_BraROA transcript:SC178g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLGGLRNQPGSLIDPNTLVSYPCWSLSGVSGSQEVSSAHHLSKKRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQGTQEEEGHHLCHEEGRLLPTFCGKSTACSKEGREDVPTHQMSVERTVDMQRKSITRRVHKGSDTCNSPSTKNVETKSLVYRKCSMGHYAMRGVSCETLYGDSNTLVPVTSRCKGFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGQFLASLRWLRSLLRGGDPNQFYEEGKPFSKKAVKSVERGRPQTSSMKRKDLD >A06p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11549185:11549636:-1 gene:A06p023710.1_BraROA transcript:A06p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEIFRKSSEVLCPKWYKFWICNLLLGKSSNVFYARRLPTKFSGSLLKSSGQSGTQRNDVKCSSSLSMLRNDIYLYV >A06p047700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25308545:25309554:1 gene:A06p047700.1_BraROA transcript:A06p047700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQMQTSTTIFKNPTPSTAADALTGRFFSGTVAVRRETLTAWRGVRCSGGGVGDAGKKKAVPNSNYVVPLDKFSSSSSITRPLIEILRDLNKKIPDNIVKSHDPGSSAASSGFIPWFHANRMLSFYAPGWCGEVRDVIFSENGNVTVVYRLTIRGSDGEAHRESTGTVTIPDDHIEDPVAAAEEIAFCRACARFGLGLYLYHEE >A05g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17101385:17116075:-1 gene:A05g505970.1_BraROA transcript:A05g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFFAQLNALESGEVELTFSNLAEWVTGSKLELDLTVAGAFWSILELKGHFIRADHVEVDERKNNRSMRIQCMPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSASLDATTSSSIDTHNQPSTDTKPSSSIDPRRSTTIDITPRTSIDTVSSKMVNVIILTQDENGNLYDQDVDLRNATGQKIDAQGTSESNVDRHNTPPIDRQAPLTYRVRLPSINNDYINALKPPPKPLASPPEPKPNSLNSSPEPVQEDQETEGRWLRKRKEKIPKNLKREANDKEMDGFTKRILKIPIEKPFDEAYFTQQLWMFFRETKYESEYETEYEIEYSESIDTHTFPSIDSNESTMTDARNNTSLGVDQPVDHFALPNHCYPHFAFQPPSKRGRDDYCIGRLADSGFHESLAVDTVIILPNEEHREEYDEDYWKEHAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPFTSIDTHTGTSIDIRAAAKIQKLENILSPTRDPDGNAHAINGRILQQRGTPDIIQTDPNKHVGVAAAEINPDLSCQPKGQASIDGTTQTSIDRITSTSTDKDDPTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHAQGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLQLEKEATTSASIDAPHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVSYINTRVNDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLQTYEDMHDRFISPANSSSIDRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRIGGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDEHLIASIDAESTPAGEQLIHKTTYAYDNIGWHQVSIDNVQDRLQNISNVLKKMDDKWTRNDEATRNSTIDAKADQPINYTLALTSSKRDLEAAIFKARFHKEFLDIGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERKLEREKLGTNFYLQFQILV >A03g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28233142:28236155:1 gene:A03g508050.1_BraROA transcript:A03g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEDLVVKVKCYHSGKFEKKEAIVDYVDGEVTMFEVDYNCVFTSLVSKLTERNIVTGKVWFKLPYENIEDRKPLWENVEKNKKKLETAGRWYKEVDIYIEKDGIEEARENGVHEEMAIEPIHEGEEHGSDDEVEDPTYGVEASDDDSVDFEAGLSENSESDDEVEVVEEEIEILEDVDYEEQIPDEDEVYPATDDSSGDEEEQAERLVKRNVLDGVFSLRQLFSTGEEFKENVIRYILKTRRNVVFDRWEKTKLGARCDEKDCGWRIYCSVENPIGKWMVKTYEDEHQCHPVGRCKQIKSPVIADLFLEDIRRDPEMSAPEIKDEMKRRYNIIISPPQSQVARRMIFDKLQAETNEQFARLRDYEHEIKRPKGKARIKGVHESPSKKKVGRKGREGHCGLCGEKGHNSRRCPHESQEDKAKRRRLNEEAQLEAQVQDQLQDQEEANDQAQEVAEMEADFMAQLVEDQSQFEVQDISSTAPQPTQVLRRSNRLASLLF >A07p047600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25535502:25538430:-1 gene:A07p047600.1_BraROA transcript:A07p047600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC2 [Source:Projected from Arabidopsis thaliana (AT1G74850) UniProtKB/TrEMBL;Acc:A0A178WNJ2] MNLAIPNPNSHHLSFLIHNSTFITNRRLFANNPNRLTFLSGGKRPSSVAKINAKTKDLVLGNPSVSVEKGKYTYDVESLINKLSSLPPRGSIARCLDIFKNKLSLNDFALVFKEFAGRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLDKCLEVFDEMPSQGVARSVFSYTALINAYGRNGRYETSLELLERMKSEKISPSILTYNTVINACARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLSACAIRGLGDESEMVFRTMNDGGIVPDLTTYSHLVETFGKLGRLEKVSDLLSEMASGGSLPDITSYNVLLEAYAKSGSIKEAMGVFHQMQAAGCTPNANTYSVLLNLFGQSGRYDDVRQLFLEMKSSNTDPDAATYNILIDVFGEGGYFKEVVTLFHDMVEENIEPDMETYEGIIFACGKGGLHEDARKILQYMTAKEVVPSSKAYTGVIEAFGQAALYEEALVAFNTMHEVGSNPSIETFHSLLYSFARGGLFKESEVILSRLVDSGIPRNRDTFNATIEAYKQGGKFEEAVKTYVDMEKSRCDPDERTLEAVLSVYSCARLVDECREQFEEMKASDILPSIMCYCMMLSVYGKTERWDDANELLEEMLSNRVSNIHQVIGQMIKGDYDDDSNWQIVEYVLDKLNSEGCGLGIRFYNALLDALWWLGQKERAARVLNEATKRGIFPELFRKNKLVWSVDVHRMSEGGMYTALSVWLNDLSDMLVKGQDIPQLAVVVSVRGQLEKSSAARESPITKAAFSFLQDNVSSSFSFTGWNGGRIMCQRSQLKQLLSTQEPTSEESQKSSLVALTNSPIFAAGTRTSTSSDTNNSSGNPSQRRTRMKKELAGSPA >A07p040290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21401716:21402713:1 gene:A07p040290.1_BraROA transcript:A07p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMVTPGDVLGNAAELKAGKGAYVNDTTIYASLTGSRRIVSPLPESLDQRATVEVTGHKAHGLIPEPGSLVLARVTKVMARMASVDILCVGSKAVREKFPGVIRQQDVRETEIDKVEIHQSFRPGDIVRAMVLSLGDSRAYYLSTAKNELGVVSAESAAGETMVPISWTEMQCPLSGQTEQRKVAKVA >A01p059240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33713934:33721508:-1 gene:A01p059240.1_BraROA transcript:A01p059240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVLRRSQNLAFTRISTKKTPSLTSISRFAHAESSRNASPRSLRFFSTTPTDENPISSPAELTHEESNASGLGFSESGDFDVNGEDGESNVARIEASGNGSVVAEEVSQFDEEKFESLLSLLRSDEEALEFGLKALDLDLNSALVARVFESPGISGKNLIRFLKWATKKAEEINVTTSLLESLLVVVSGDRRRLDAYALWDLVKEISESESSLVLNLEIMNDLIALFGKLGKSKAAFDVFSKTEELGFTLNAKTYYVTLEALCKRSFMEWACVVCEKMLKSGVLPEGDQIGNIITWFCKEGKAEEAYSVYELAKGKEKLPPSRSVATLISALSKNDGTVGFAQELLSDLSGEARRQGIKPFSDVVQSLCRMKNVKDAKGLVLDMISKGPAPGNAVFNLIVHACSRSGDLDEAKEVLKLMESRGLKPDVYTYTVIISGYSKGGMMSEAREILAEAKKKHKNLSPVMYHSLIRGYCKIEEYDEALELLNEMESFGVKPSADEYSKLIQSFCLKALDWEKAEMLFEEMKQKGLHLNAITRGLIRAVKEMQTEGKATEDANLFQSSFVSAPGLKASVALTASFKPSSPCTNLVTSSASFICAEKVNVFKKRMTSTSGTEKKY >A07p045920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24862456:24864288:-1 gene:A07p045920.1_BraROA transcript:A07p045920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g72670 [Source:Projected from Arabidopsis thaliana (AT1G72670) UniProtKB/TrEMBL;Acc:Q9CAI2] MGGSGNWIKSLISNKKPITDDHQLGDKNSKKKWKLWRTSSESFISSSKGFKSRAGSYGTPSLGSDPPSFSADDSFAASVAAVIRAPPKDFLLVKREWAATRIQAAFRSFLARQALRALKAVVRIQAIFRGRQVRKQADVTLRCMQALVRVQARVRAHCNRTPSDGQELEKPSEEKNDPAKQAEKGWCDSPGSVNEVRTKLQMRQEGAIKRERAMVYALTHQPRTCPSPNAKVNKQGSVKKSSGSCKSSPGWNWLDRWVADRPWEGRLMEGGPVNSSDNNARKSESSVSEHDAVQVRKNSLTTRVLARPPPMSSSATSSETSSTSQSPVPFSGSFLEEGGYYRKPSYMSLTQSIKAKQRRSGSSSSACSRTPFEKKQSMSFNGDVDVKRSAGSDLYPPAQVTGRHMWAKSQRG >A09g518440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56178442:56179548:1 gene:A09g518440.1_BraROA transcript:A09g518440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPKTFRAGSGPNHPFVPHRGLLEFGFPVCRDGILTAARGPGPYKTHAGRIWVKAQRVLRGRHNPRPLPHLLSLHLKKKSQETKMAIRVLYSTTPPTSTRGAAPPTDSSSPRLRPPSELLSIFFPPPLELLSFLELPLELSISSSEASCTVQQQQQQHLLKLTPMKHHVLHTRGPARNVLCSKQGGFGQRFKYRGSRRAYPQRTAGERDRSGAGQCGAGGTKCHLYLFGLGSNGAALAMSVSFWFYAVILACYVRFSTSCEKTRSFVSDDFVSSVKQFFHFGVPSTAMLCLEWWLFELLILSSGLLPNPKLETFVLSI >A09p013700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7105341:7105921:1 gene:A09p013700.1_BraROA transcript:A09p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDLMIGTSYTVSNRPPVKEISLAVALLLFGIVGIVSGFFMAYNRVGGDRGHGVFFIVLGCLVFLPGFYYTRIAYYAYKGYTGFSFSNIPSV >A06p052250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27639507:27641930:1 gene:A06p052250.1_BraROA transcript:A06p052250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine receptor 1 [Source:Projected from Arabidopsis thaliana (AT2G02220) UniProtKB/Swiss-Prot;Acc:Q9ZVR7] MNNLTGNIPNDLLRLQRLNLLGVQENRLSGPLSPEIGSLSGLVRLDVSTNQFSGEIPDVFGEMTRLKEVLAQSNRFTGGIPKSLSNSPSLNLLNLRNNSLTGTLQLNCTAMIGLNSLDLGTNRFSGRLPENLPVCKRLKNVNLARNSFQGQVPESFKNFQSLSFFSLSNSSLSNISSALRILQSCKNLTTLVLTLNFHGEALPDDKPLRFEKLKVLVVANCKLTGSMPRWLSSSSDLQLLDLSWNRLTGAIPAWIGEFKDLFYLDLSNNSFTGEIPKSLTKLQSLTSRNISLDEPSPDFPFFMKRNESARALQYNQIVGFPPTIELGHNNLSGPIWEEFGNLKKLHVFDLKWNALSGSIPSSLSGMTSLEVLDLSNNRLSGSIPSSLQKLTFLSKFSVVGNNLSGRIPSGGQFQTFPNSSFEFNDLCGEHRLPCSEDGVNETTTLIIHSRRRSRGAEIGMAVGIAFGSVFLLTLLTLVVLHARRRSGEVDPEMEEESMNQKDLEEIGSKLVVLFQDSDKDLSFDDLLDSTNNFDQANIIGCGGFGLVYKAMLPDGSKVAIKRLSGDCGQIEREFKAEVETLSRAQHPNLVLLQGELISWVVRMKSEGRASEVFDPFIHGKVNEEEMFRVLEVACLCLSHNPKLRPTTEQLVSWLDDV >A10p014590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4102051:4105034:-1 gene:A10p014590.1_BraROA transcript:A10p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeodomain GLABROUS 7 [Source:Projected from Arabidopsis thaliana (AT5G52170) TAIR;Acc:AT5G52170] MNGDFDISKGDDEFESDSFEAMSGDDDDKHEQRPKKKKKMSKYRRHTSYQIQELESFFKVCPHPNEKQRLELGSKLSLESKQIKFWFQNRRTQMKTQLERHENAILRQENEKLRVENGILKEAMRSPPTCNNCGGAATPGEVSHEQQQLRMENAKLKYELDKLCALSNRFIGGSISLEQPSNGGVGSQDLSLGHGFTRGTSTFMDIAAVAMDELIRLAEVDNPLWTKCSKSERDSMKHDQYTSIFAGSKHPGFAAEGSRETGLVLINSSTLVETLMDTNRWAEMFESIVAVASTVEVISNGSGGSRNGALLLMQAEFQVMSPLVPIRQVKFLRYCKQHGDGLWAVVDVSYDVNRESQDLKSYGGLKRLPSGCIIQDIGNGCSKVTWIEHSEYEGSHIHPLYQQLLGSSVGLGATKWLATLQRRCESYTTLLSSQDQTGLPLAGTKSTLTLAQRMKRNFYSGITGSPIHKWEKLVAENVGQETRILTRKSLQPSGVVLSAATSMWLPVTQQRLFEFLCDSKCRNQWDILCNGASMENMLLIPQRQSEGRCVSLLQHAGKHQNESSMLILQETWNDASGALVVYAPVDVPSMNMVMSGGDSANVALLPSGFSISPDGSSSWSDQIDKNGGLVNHESKGCLLTVGFQILVNSVPTTKLNMESVQTVNNLIACTIHKIKAALSIPA >A10p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9226747:9228554:-1 gene:A10p005260.1_BraROA transcript:A10p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDAEDLVLHMILSKVGPENTARVACVSKRLQVSASEESLWSIFCSLDLNISTPLHDYIPMEILSFLQEATLRKGVTEDDLEELETALNVQLPLTTRLLYRFVDGQELSSSSSSAGVDEGPLGLIGGYSAYWHKVNVYLLPLKEVVRQTIDIMAGDHNTISKNIVVVAVSAAPSSKKMFFLDCTNGQLYTENTSSHQMLPCVPESLVCINGDQQQDAMLLWLEEHGRRLQTGATKVREQDNIKSISLFPEIPPLCSIFVTNGVQVRASSVFMPEVSNHLDNPPVYSYACSIRMSLMPNFNRRHRSSWQMYSRHWVVRADDAVIGDVDGEVVLLKNPLLQAKEEEFVYRCISQFPTSNLSVEGSFTFVPGSLQDPKEPQFEVNVAEFPVKLPDYIF >A06p044930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24121710:24123521:1 gene:A06p044930.1_BraROA transcript:A06p044930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLFIFIALLLSSCTGLSTATDQTCGNKVVNTIFVDLAGSGKHRTVQSAIDSVPEPNSQWIKIKIKGGVYVEKVEIPLTKPCIIVEGEGQRVTTITYNAHAATDVSSTFTSHPSHVVVRNLTIMNSYNRLSIRSRPSWDIKPAVALTVYGDKSAFYNCGFLGLQDTLWDVQGRHLFKNCYIEGAIDFIFGSGQSIYEDCHINATAGVLAPIVNFGYITAQARWSLKDPSGFVFLRGSVTGTMNVYLGRAYGPFSRVIFFQTDLASVVVPQGWFPWNYAGHESRFTYAEVECKGAGSHLSRRVPWINKDVSTLAKDQFATYSFIDQDGWLSNIPPF >A06g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6223036:6227286:-1 gene:A06g501650.1_BraROA transcript:A06g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAVTLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAISSDQGRTTGNTWTRNQGYDENTSASSTNPEDTPRPIANVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRAPRTRTKENRLSTQTGRTSKLDINPKPTRQLNQNIRKIATIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A10p036400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20719983:20737770:-1 gene:A10p036400.1_BraROA transcript:A10p036400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYRTVHVDFFIKPSFHQQTPVTNSQLLRFEHFIQKMFFQIIQGVFTGVEALALLAFAGITLGGSAVGLALSTPLFILFSPILVPATIATTLLTTGFTTSGGLGIVALRIFWKLFNTHSNQISDLILYPKMLSSLIQIFQVFQVTSAVVVTAVLFALAGITLAGSVVGLIVATPLFVIFSPVLVPATIASTLLATNLSAGALFGVTAAALIPESKPAGETSHKPKDKPAGGPTDKPESKPAGETSHKPKDKLAGGPTDKPESKPAGEASNKPKDKPAGGPTDKPAGGSVDKPKDKPAGGPTDKPTNKPTGGAANKPAGEAANKPTGKPKNKPAGENKPPGWYRNEIQNETAQTDQTQGSMFSFFNLFPFLLPMFEVIKMVVASVASVVYLGFAGVTLSGSAVALAVSTPLFIIFSPILLPAIAATTVLAAGLGGKKVAAAPEASPAASPSLSLLGIPESIKPSNIIPESIKPSNIIPEGIKPSNIKDKIKDTIGKVKNKIKAKKEEKSKGKSEDSSKGKGKSKGEDTTTDDDTTTDEDKHGSGAKHGKGESKHGKGESTHGKGGKHGSEGKHGSGGSSMGGGKHGSGGKHETGGKHGSGGKHESGGSPMGGGKHGSEGKHGSGGASMGGGKHGSGGKHESGGSAMGGGKHGSGGKHGSEGKHGGEGSSMGKNSLSKKKKEFHYRGQAMDASSTSESSDGSSDGSSSDGSSHGSGELVQHESHSQASIFSRFFRMFSFIYPLLNVIKLIIASVTSLVCLAFSCVTLGGSAVALIVSTPLFIMFSPILVPATIATTLLASGLMAGTTLGLTGIGLIMGLVRTAEGSSLARLAQTPLKLFKFSGGFGGSWGGKSFSGTFGNKGSQSSGNIPGWLKNLLNGIPAGGAVPAAGEAAPAPAAGGAAPAPAAPPG >A10p030230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18308560:18310869:1 gene:A10p030230.1_BraROA transcript:A10p030230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLHISPSMRSITISSSKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGANKCSSIDCLGRRLGPRLLGRVDDSERLARDFFKILNEASTQDIPDGLKLPDSFNHLVSDTKNNHYDPKTFALVLRAMMEKFERDIRQTKFAELTNKHFAASSIPKGIHCLSLRLTDEYSSNAHVRRQLPSPERLPLLSDNARHHFILSTDNILAASVVVSSAVQSSSNPEKIVFHIITDKKTYAGMHSWFALNSVDPAIVEVRGVHQFDWLTRENVPVLEALESHNGLMNYYHGSHVAGANLSETTPRRFASRLQSRSPKYISLLNHLRIYIPELFPNLDKVVFLDDDVVVQRDLAPLWDVDLGGKVNGAVETCHGEDASVMSKRLRNYFNFSHPHIAKHLNPEECAWAYGMNVFDLKAWRKTNIRETYHSWLRENLKSNLTMWKLGTLPPALIAFKGHVHVIDSSWHMLGLGYQSQTNVESIRKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIKNCHILE >A04p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21092693:21094587:-1 gene:A04p036760.1_BraROA transcript:A04p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP DNA-binding protein, Disease resistance, Vascular developmen [Source: Projected from Oryza sativa (Os03g0336200)] AGDSRPRHRHSLSVDGGSSTLESIEAKKAMAPDKLAELWVVDPKRAKRIMANRQSAARSKERKARYIMELERKVQTLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQVMEQQAKLRDALNDQLKKEVERLKFATGEVSHADAYNLQMSHMQYSQQPQQPSFFQHHHQQQQQQTDAQNLQQMTHQFHLFQPNNNQNQNPQLMHHATSNASGQSHSFAEAMHEDHLGRLQGLDISSCGRGSNFGRSDTVSESSSTM >A05p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6361772:6362746:1 gene:A05p014530.1_BraROA transcript:A05p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB1303 [Source:Projected from Arabidopsis thaliana (AT1G56200) UniProtKB/TrEMBL;Acc:A0A178WKB3] MQVDNNYNLSIYRGHLLLLASSLLPPPSSSCSTMAMAASILHASPLSVNINKNLRIRSSGLVGGIERRDRVSSLSAVGMGLGSRKRSLLICNSAANAKCSESQGQTQTVTRESPTITQAPVHSKEKSPSLDDGGDGFPPRDDGDGGGGGGGGGNWSGGFFFFGFLAFLGLLKDKEGEEDYRGGRRR >A05g500940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3363515:3364144:-1 gene:A05g500940.1_BraROA transcript:A05g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILNTEEEHPPFHLRIAEYDVDERGEPSTEPWRDPSGEPLRDRSRDPSNKSGGEERRTVGRRSKRGDRWLEIRRWRRQENRRWRTVGGKDRRTVGRRSKRGDRWLEIRRSRREEIEGRRSMARQFGSSGGDRREEIEGRRSMARDSSVEKRGDRRKEIEERRFVGREEEKARKLNHNTYPNRLSPPRFHRPITLSHVSPNDDNKNILN >A10p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19247420:19251480:1 gene:A10p032650.1_BraROA transcript:A10p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydropyrimidinase [Source:Projected from Arabidopsis thaliana (AT5G12200) UniProtKB/Swiss-Prot;Acc:Q9FMP3] MALRPMEDAIFFFISLLLLLPSPSVSDSATQFCSAERGSGVESCGIPSYSSSSTRILIKGGTVVNAHHQQLADVYVEDGIIVAVQPNIKVGDEVTVLDATGKFVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGNLVAGFEAYENKSRNACMDYGFHMAITKWDEGVSKDMEIMVKEKGINSFKFFLAYKGSLMVTDDLLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATSRAIRLARFVNTPLYVVHVMSVDAMDEIAKARKAGQKVIGEPVVSGLILDDHWLWDPDFKIASKYVMSPPIRSVGHGKSLQEALSTGILQLVGTDHCTFNSTQKALGLDDFRKIPNGVNGLEERMHLTWDTMVGSGQISATDFVRITSTECAKIFNIYPRKGAILPGSDADIIILNPNSSYEISSKSHHSRSDTNVYEGRRGQGKVEVTIAGGRIVWENDELKVVPGSGKYVEMPHFSYLFDGIEKSDANYLSSLRAPVKRATRRSRRSRAMTTVSLRKQNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGCNKDTKGTAFVVYEDIYDAKQAVDHLSGFNVANRYLIVLYYQHAKMSKKFDQKKNEEEVAKLQEKYGVSTKD >A08p006210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3526014:3527455:1 gene:A08p006210.1_BraROA transcript:A08p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGMLNVKKWVVMYPVYINSKKTVAEGRRISLSKACESPNCIEISDCCKHLKLPSAVEIDKAYPRDFMQVGRVRVQLKREDGTFVNPAIASRKQLMQKIAELVPRHPERVKKQESQKAKKQEPQATTSTAGTSSKSGKGGKKKR >A07p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5723264:5724136:1 gene:A07p009300.1_BraROA transcript:A07p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFITWFPSSTPLKVDLSNLSLIFSVFKPFERFFRSGSDFGRLLESFLKYNALEVFNQMVLIFYLDISGSHFENLMGSLLRSLLKYNAKSLLMESSSIPSGVQACRRGMIYDSFRY >SC179g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:381044:382357:1 gene:SC179g500180.1_BraROA transcript:SC179g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPTIQGDLVSLSSKPSLAPTHRLRETSTVQRRAIQFEAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQTARIGPIRGQRVELRG >A06g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16935777:16936850:1 gene:A06g505870.1_BraROA transcript:A06g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLEVIWTSWKSSDEFCLMSFLLIYLFCRFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWNPSLSL >A01p011620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5628461:5630622:1 gene:A01p011620.1_BraROA transcript:A01p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLISLPLSLSLPLDLPINRRQLPPNSFAQVITVRSKISSSFLLIVFFVMAEISGNGHGDAREGAVVVNINEEHERQQHKEAIHISKSMKKQDSLLSISVPFLQKLMAEILGTYFLIFAGCASVAVNAQHDKAVTLPGIAIVWGLTVMVLVYSLGHISGAHFNPAVTIAFASSGRFPLKQVPAYVISQVIGSTLAAATLRLLFGLDQDVCSGKHDVFVGTLPAGSDLQSFVIEFIITFYLMFIISGVATDNRAIGELAGLAVGSTVLLNVIIAGPVSGASMNPGRSLGPAMVYNCYKGIWIYIASPILGAVAGAWVYNTVRYTDKPLREITKSGSFLKALQNSSSR >A01p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6665921:6667045:-1 gene:A01p013660.1_BraROA transcript:A01p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLTKLKPPQITFYCSALSVFITVLLTLQLVSQHLSHWKNPKEQKAILIIVLMAPIYAVVSFVGLLDVKGSEIFFLFLESIKECYEALVIAKFLALMYSYLNISISKNIVPDGIKGREIHHSFPMTFFQPHVVRLDHRTLRLLKYWTWQFVVIRPVCSILMIALQIIDFYPSWLSWTFTIVLNLSVSLALYSLVVFYHVFAKELAPHNPLAKFLCIKGIVFFCFWQGIALDILVAMGVIKSHHFWLEVEQIQEAIQNVLVCLEMVIFAAVQKNAYHVGPYSRETKKKLDKKTE >A06p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6127145:6129949:-1 gene:A06p013540.1_BraROA transcript:A06p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 7 [Source:Projected from Arabidopsis thaliana (AT1G15990) UniProtKB/Swiss-Prot;Acc:Q9S9N5] MYKSQYISGQREKFVRLDDLDSSASHSTGMMTKRNCFGFPVKNRGSEKKRASKSFREGVKIGSEGLFSIGKSVTRAVFPEDLRISEKKIFDPQDKTLLIWNRMLVISCILAVSVDPLFFYLPIVDNSGSSCIGIDTKLAVTTTTLRTILDVFYLTRMALQFRTAYIAPSSRVFGRGELVIDPAKIAQRYLTRYFIVDFLAVLPLPQIAVWKFLHGSKGMDVLPTKTALLNIVITQYIPRFVRFIPLTSELKKTAGAFAEGAWAGAAYYLLWYMLASHITGAFWYMLSVERNDTCWRFACKVQPDPKLCVQILYCGTKFVSSRETEWIKTVPELLKSNCSAKADDAKFNYGIYGQAISSGIVSSTTFFSKFCYCLWWGLQNLSTLGQGLQTSTFPGEVLFSIAIAIAGLLLFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRSLPQNLRERVRRYDQYKWLETRGVDEENIVQSLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTESTYIVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRGLLKEGDFCGEELLTWALDPKAGSNLPSSTRTVKALTEVEAFALEAEELKFVASQFRRLHSRQVQQTFRFYSQQWRTWASSFIQAAWRRHSRRKNAELRRIEEEEDEMGYEDEYDDDDAEEEDERTPVFTRTESSSRLRSTIFASRFAANALKGHRLRSTESSKRLLNLQKPPEPDFDAE >A07p020240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11987373:11988300:-1 gene:A07p020240.1_BraROA transcript:A07p020240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYLLTRVSILWNKQQVELLFPETAHLIYLIQPSRLKAEDSFTWQRTKSGTYGLLATKSSSKASKSHEQRNGMQCKWKVVLAGTIVCNSNASWTRDIQKAGLGWTLAQDKTTFKVKDSTYTTHVKSPLLTEALALREVVTSAVNLSLSNVWF >A03g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8262686:8263153:1 gene:A03g502660.1_BraROA transcript:A03g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCGSGLASYLGLGVLPLFVGVNSGTEGDKCISVLMLLCWSIAANLIMIASPWGDGAYEARGEPSQGPMDRLSWDRRVFTTTVKDSNLFKFCLCVGDVRVLPAYLYALLKLC >A07p050030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26675219:26681584:1 gene:A07p050030.1_BraROA transcript:A07p050030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKIARASEYLAITGGGIKDIKLAKSSWVLPWQSCTVFDVSPVNYTFEVQAMSSEKLPFVIPAVFTIGPRVDDPHALLLYAMLMSQHDKHSNHVNELVQGVIEGETRVLVASMTMEEVFKGTKEFKKEVFEKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEVGAKERTGLTIQNAAKIDAESKIISTQRNGEGTKEEIKVKSEVQVFQNEKEALVAKADAALAIQKAALTKSSRVAEVEAAKAVAMREAELQTQVEKMNALTRTEKLKAEFLSKATVEYETKVQEANWELYNKQKKAEAVLYEKQKQAEATKAAADAAFYAKQREAEGIVAMANAQGTYIKTLLGAVNNDYSAMRDFLMINNGVYQDIAKTNAIAIKDLQPKISVWNQGGADQGMSGGGMKDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLRGAEPS >A08p005810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3350433:3354526:-1 gene:A08p005810.1_BraROA transcript:A08p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi-associated nuclease 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G48360) UniProtKB/Swiss-Prot;Acc:Q5XVJ4] MLTGQESLLRLIGKRRRCLPNRHNLLSTPTPNSLNLDVNDSGNLISPTGDNIRSPETPPTYPGNSDDLSVSRKNKKKRRLTQTTLLQWSGSKQSQHSPLIHPPKQQQSILEFDDDSSSEEISKTVALDEANGDEAIQTFIVGRKFSDVQDLEAGEKICLLRHPENIKDPNAIKVLSSDSGLSEILGYLPKDVSQCLSPLIDQYDLKFEGTINSVPKNSPEAVSVKVVCRKMTSDVWKESESAGGDFKLMWEKVLQVVEHQMQFPPKTTRYQLNFSVLVQEVLRSCSHLFTADEKAFLESFTSLSEDSQRLFIRLYTRKGPWFRLSNISYPEVSDSLQALKDLTVKGFMTSVENANDLNYQTMKEIIELLNVTELRDILSVNKVFSRGSRKRDLINSLCSYYNDGTRINVGTMILERTGLCAKISSTSESLIWRVERLFFLNGEQDLSSFVLLDLGIIKYPIYKCIDSEQIFSDRTKLLAYEEVFYLSILLKQKKHVCLYSISKLQLQAIEVAQLMDESLDCEDSGTVLKCIMIAETRISSSSSSESALFNCFTAPWVYSKMVLLGVSFLENQKRHVENKPSPLLLTCSDDSVDTLVSGFRYNQAVYLLRRLLSCFSCDGRRGYWTVRLSTDLEHMGRPNESLSVAEQGLLDPWVRAGSRIALQRRILRLAKPPRRWKTPTFPNLVDNKIPEVTIQGRSLNCEVGMKNRFYGEDGEQCGVEQLALQYYNGEGGGWQGMHTESSIWLTIFGLLMWDILFSDVPGVFQTRFQTAPLDLETESFYQTRKETIEAQLEKVASGMAEEILIISYETHRGTACRGVAWERFSLEELRAAVACVGGKCVASLCRYLAQDYRSWCSGMPDLLLWRFKENGYEGEAKLVEVKSEKDRLSEQQRAWLLLLMDSGFNVEICKVRPACV >A04g508050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:20543003:20543224:-1 gene:A04g508050.1_BraROA transcript:A04g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPSAADSQVLNLVTNPPDYESYSRRVREVRSENLLDLLVRVLLTTERDVGASRDEIELLLNLLKQLENDAA >A01p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11134761:11137253:1 gene:A01p022620.1_BraROA transcript:A01p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCLICLSLILLPLISIDLSDLNIQSLANYAINEQNMRSKVNLVFVKIVKEKEQMVSTKKYNLTIAAKDGGGNTKNYEAILMKCLICLSLILLPVISVVEGNLGGWTPIKDLSDPNIQAVAKYAVDEHNKQITGNLVFVKILKGKEQVVAGKTYSLTIAAKNGGAGTKNYEAAVVETMRSHHFGL >A01p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27467209:27467763:-1 gene:A01p048820.1_BraROA transcript:A01p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGPVKHVLLAKFKDDVTQEKIDELIKDKVKTKLNEGKDVSIENLHQGFTHIFESTFDSKEAVAEYVAHPLHVEFANMFLGSLDKVLVIDYKPTSV >A10p023720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15459677:15471388:1 gene:A10p023720.1_BraROA transcript:A10p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMIRGALLGIISMTYCVCMSFQQDTTFVFNGFNQVDHRLHLDGSARILPKKDVLQLTNATTTQMGRAFFEQPIEFKPSEPVSFSTHFVCALVRAAEAGGHGMAFFVSHSTDFEGAQPTRYFGLFNPNGSASTRVLAVELDITKTLDVLDINDNHVGIDVNSPKSVVSAKASYFSDKEGRKIDMKLLSGDPIQVWVDYEGTTLNVSLAPLGNQKPSRPLLSSTSINLTEIVQGRRMFVGFSGSTGSSVVNQYVLGWSFSKSMASLQKIDVSKLPKVPHPSNKNKVTSLVFDVLLGLLALFVLGILFGAYMYRRNLYAEVREEWENVYGPLRYSYKSLYKATKGFSRNEFLGRGGFGEVYKGTLPRSIELREVAVKKVAHEGEQGMKQFVAEIVCMKSLKHRSLVPLLGYCRRKHELLLVSEYMPNGSLDGYLFNDDKPTLPWWRRFAILKDIALALSYLHTEADQVVIHRDIKASNVLLDAEFNGRLGDFGMSRLYERGADPTTTAAVGTFGYMAPDLTTMGPSTGTDVYAFGVFLLEVTCGRRPVEPHLPAAKRFLIKWVCECWRRSSLLDAIDPKLTEFSSQEVERVLKVGLLCANLAPDARPSMEQVVQYINGNLGMPEFWPDSPGIGALIPTAFSQLPSLSLSSSSSHNSMFITHSLLDGRSLLGIIWMICCVCTSLQQETTFVYNGFDQGDHRIHLDGGARILPKNDVLQLTNETTTQIGRAFFEQPIEFKPSEPVSFSTHFVCALVRVGDVSGHGMAFFVSHSTDFLDISKAPDVLDISDNHVGIDVNSAKSVKAENASYFSDREGRKIDMNLLSGDPIQVWVDYVGTTLNVTIAPLGNQKPSRPLVSSPSINLTEIVKGRRMFVGFSGATGSIMVNQYLLGWSFSKSMGSLQKIDISKLPKVPHPSDKNKSSSTVRNALLGLIAFLVLGLLFGAYMYRRNLYAEVREEWEKEYGPLRYSYKSLYKATNGFSRDEFLGKGGFGEVYKGTLPRNIELREVAVKKVSHEGEHGMKQFVSEIVCMRSLKHRSLVPLLGYCRRKHELILVSEYMPNGSLDHYLFNHDRPTLPWPRRFAILKDIALALSYLHTEADQVVIHRDIKASNVMLDADFNGRLGDFGMSRLYDRGTDPTTTAAVGTIGYMAPELTTMGPSTVTDVYAFGVFLLEITCGRRPVEAGFSGAKRFLVQWVGECWRRSSLLDVVDPRLTEFSSGEVERVLKVGLLCANLAPEARPSMEQVVQYVNGNLALPEFWPYSPGIGVLTPTAFSQAQLMVPSLSLSSSSSNNSIVGDKLILKIAKTLFY >A09p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21437804:21440350:-1 gene:A09p036050.1_BraROA transcript:A09p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome-1 [Source:Projected from Arabidopsis thaliana (AT4G08920) UniProtKB/Swiss-Prot;Acc:Q43125] MSNSCSGGGGCSIVWFRRDLRVEDNPALAAAVRAGPVIAVFVWAPEEEGHYQPGRVSRWWLKNSLAQLDSSLRSLGTCLITKRSTDSVASLLEVVKSTGASQIFFNHLYDPLSLVRDHRAKDALAAEGIAVKSFNADLLYEPWEVTDELGRPFSMFAAFWERCLSMPYDPESPLLPPKKIISGDVSKCVADTLIFEDESEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLLRIKQVAWANEGNQAGEESVNLFLKSIGLREYSRYISFNHPYSHERPLLGHLKFFPWAVDENYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDSREFDRIDNPQFEGYKFDPNGEYVRRWLPELSRLPTEWIHHPWNAPESVLQAAGIELGSNYPRPIVGLDEAKARLHEALSQMWQLEAASRAAIENGSEEGLGDSTEFVEAPIEFPRDITMEETEPTRLNPVRRYEDQMVPSITTSLIRPEEDQESSLSLRNSGGDSRAEVPRNMVNTNQARQQEARADPVSNQVTAMIPEFNIRIVAENTEESTAESSSSGRRERDGGIVPEWSGYSEQFASEENGIGGGSTTSTYLQNHHEIVNWRRLSQTGYVTRHKERNFIRTVTPVKRGWTC >A06g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12900825:12903358:1 gene:A06g504170.1_BraROA transcript:A06g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLSEVFHFLEGSRVQGSSPGFPLVGTRGTHLPGIRGSESCLDAGGNNTGIFFLQKFAPYFSTLSSKSGNNLCTQVNRGKDRSLRVQSISDSNFFYLPGDDKVGALACGSRAGRRFGCEKIPVERNPGFWKLRSLNRGDRKEIFKVPALWSEVLAGKGASLATSSRDSNPRVAFPSDACNFILLSRLLSRFFLPSASEMGTESGQDSSSIGSRVRSNRLRVVPAESMDSSDSSLDLTAAAKNPKALVTRNTSPAEGSQYPPIGPPSPGEVVSDFGVDEVPVYEGYFASGFRDHIPSLIAKISETLGISPAQLNPPAWRTLIALQNLGDLYGFVSGGAEVLCSYSIVPLNSAEWRYYFHPRSKEPLVREVRKKERKKLMAFEGNWTEKYLPCVDYFSGRDTIEQVSKLPLECHQVSFLVSEAALKRCSVWGEMSGSKGDEALAEYKKALEVMSAKKAAPKRAISIEDDEVQLIGSNKHRAGAAAAPSSSKKKFNVSGSSPKDSPSAPYDWATVLNNLNTKVIPSTPVLLASEEDSSTAIQSLQGDLLEVASQLYHLAERMESAVSTKVEMDNLTSQLRKEKDTVLAKDREIKELTLRGIGCCGECLLEEPAEGKGGGADRPEGYRCDL >A08g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11331705:11332645:-1 gene:A08g506590.1_BraROA transcript:A08g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSFPARSDDAEKFYGKADRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKELRIYNAL >A05p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:135919:147376:1 gene:A05p000200.1_BraROA transcript:A05p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRVCPSVSVTTHRTSVAVHQYTYQHVSGRLWLSISTHISTLVLGLSTLALPVDCSGDFGPCGLSVQYTQDVRGCPSAHTGRLWVSVSTHRTSVAVRVCLCVSISTHRTSVAVRSTHISTLVLGLRTLTLPVDCSGDFGPRGLSVQYTGCPWVSVSTHRTSVCLRQHTQDVCGCLCVSLSTHRKSMAVHQYTYQHVGPCTHHADPSRGMFGTHRTFVAVHQYTYHYVGRWTQHAGPPRGLTHRTSVGVRQHTEDVCVCPSAHTGRPWLSVCVRVCLSAHTGRPWLSISTHICTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVCGCPQAHTGRPWLFVAVREHTHDVCGCPCVSVCVYQHTQDVCGCPSVHISALWSLDSARWPFPWTVRMILAHVCCLFSKHRTSVGVREHTQDIRGCSSAHTGRPWLSVCVCVWPCVSLCGRVWPSAHTGRPWLSISTHISTLVLGLSTLTLHMDCLGILTHVGCLFSTHRSPWVSASTHRTSVAVRVCPCVSICVRQHTQDVRGCPSVHISLRWSLDSARWPSPWTVWVIFGPRGLYVQYTQDVRGCPLAHTGRTWLSVCVRVCVCVRQDTQDLRGCLSAYTGRLCVSVSTHRTSVAVRVCLSAHTGRPWLSISKHISTLVLGLSTMALPVDCSGDFGPRGLSIQYTQDVRGCPTAHTGRLWVSVSTHRTFVAVRVCLCVSVCVRQHTQDVCGCPSVHISARWSLDSARWPFPWTVRDVRGCSWPSVSTHRTSVAVRVCPCVSVCVHQHTQDVCGCPSVHISALWSLDSARWPLPWTVRVILAHVCCLFSRHRTSVGVRQHTQDIRGCPSAHTGRLWLSVCVSVWPCVSLCGRVWPSAHTGRPWLSISTHRTLVAVRVCPCVSVSTHRTSMAVRSTHISTLVLGLRTLTLPVDCSGDFGPRGLSVQYTGCLWVSVSTHRTSLCVRQHTQDVCGCLCVSLSTHRKSMAVHQYTYQHVGPCTHHADPSRGMFGTHRTFVAVHQYTYHYVGRWTQHAGPPRGLTHRTSVGVRQHTQDVCVCPSAHAGRPWLSVCVRVCLSAHTGCPWLSISTHISTLVLGLSTLALPVDCSCDFGPLGLLVQYIQDVCGCPQAHTGHPWLFVAVREHTHHVCGCPCVSVCVYQHTQDVCGCPSVHISALWSLDSARWPFPWTVRVILAHVCCLFSRHRTSMGVRQHTQDIRGCPSAHTGRLWLSVCVCVWPCVAVSHTQDVLLSISTHVSTVGLGLSTLNLQWTVWVSLAADWLSVQYNTGVRGCQPAHTGRPWLSVCVRVCPSVSSAHNRTFVACPSVHNRIWSLDSARWPSPWTVWMIFGPRGLTHRTSVGVRQHTEDVCVCPSAHTGRPWLSVCVRVCLSAHTGRPWLSISTHICTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVCGCPQAHTGRPWLFVAVREHTHDVCGCPCVSVCVYQHTQDVCGCPSVHISALWSLDSARWPFPWTVRMILAHVCCLFSKHRTSVGVREHTQDIRGCSSAHTGRPWLSVCVCVWPCVSLCGRVWPSAHTGRPWLSISTHISTLVLGLSTLTLHMDCLGILTHVGCLFSTHRSPWVSASTHRTSVAVRVCPCVSICVRQHTQDVRGCPSVHISLRWSLDSARWPSPWTVWVIFGPRGLYVQYTQDVRGCPLAHTGRTWLSVCVRVCVCVRQDTQDLRGCLSAYTGRLCVSVSTHRTSVAVRVCLSAHTGRPWLSISKHISTLVLGLSTMALPVDCSGDFGPRGLSIQYTQDVRGCPTAHTGPHTGRPWLSISTHISTLVLGLSTLALLVDCSGDFGPRGLSVQYTQDIRGCPQAHTGRPWLFVAVRQHTHDVCGCPCVSVCVYQHTQDVCGCPSVHISALWSLDSARWPLPWTVRVILAHVCCLFSRHRTSVGVRQHTQDIRGCPSAHTGRLWLSVCVSVWPCVSLCGRVWPSAHTGRPWLSISTHRTLVAVRVCPCVSVSTHRTSMAVRSTHISTLVLGLRTLTLPVDCSGDFGPRGLSVQYTGCLWVSVSTHRTSLCVRQHTQDVCGCLCVSLSTHRKSMAVHQYTYQHVGPCTHHADPSRGMFGTHRTFVAVHQYTYHYVGRWTQHAGPPRGLTHRTSVGVRQHTQDVCVCPSAHAGRPWLSVCVRVCLSAHTGCPWLSISTHISTLVLGLSTLALPVDCSCDFGPLGLLVQYIQDVCGCPQAHTGHPWLFVAVREHTHHVCGCPCVSVCVYQHTQDVCGCPSVHISALWSLDSARWPFPWTVRVILAHVCCLFSRHRTSMGVRQHTQDIRGCPSAHTGRLWLSVCVCVWPCVSLCGRVWPSAHTGRPWLSISTHRTLVAVRVCPCVSVSTHRTSMAVRSTHISTLVLGLRTLTLPVDCSGDFGPRGLSVQYTGCLWVSVSTHRTSLCVRQHTQDVCGCLCVSLSTHRTSVAVHQYTYQHAGPWTHHAGPSRGLFRTHRDVRWFVVSIHSTYVWSVSALRTERPRRRVCRVFCFVSTHRMSAGCPSVTYQQLVLGGLSIAGPFPAGLFRVILATWAFGQSIQTLWVSASTTQARPWLFVVSVRTHTTVCGCPTSVAAHQYTYQHVGPWTQHAGPSRGLFGTHRTSVAVRVCPSAHTGHPWLSVSTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTHDLRGYLSAHTRCPCVSVSTPRTSVAVFVCPSAHTGRLWLSISTHTSTLVLALSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRQWLSVCVRVCPSVSVSTHMTSVAVHQYTYHHVGHWTQHAGPPRGLFGTSVGVRQHTQDVCVCPSAHTGRPWLSVCVCVCPSAHTGRLWLSISTHISTLVLGLRRPWVSVSTHKTSVAVRVCLSAHTGRPWLSISTHISTLVLGLSTMALPVDCSGDFGPRGLSVQYTQDVCGCPLAHTGRPWLSVSTHRTFVAVCQHTRTFVGPVRCVRQHTQDVRGCPSVHISARWPFPGLFGDFGPRGLSVQYTQDVCGCPQAHTGCPWLFVAVREHTHDVCGCPCVSVCVYQHTQDVCGCPSVHISALWSMDSARWPFPWTVRVILAHVCCLFSKHRTSVGVRQHTQDIRGCPSAHAGRPWLSVCVCVWPCVSLCGRVWPCVAVSTHRTSVAVHQYTYQHVGPWTQHADPSRRLFG >A07p046680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25165272:25166865:1 gene:A07p046680.1_BraROA transcript:A07p046680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSFLPPTLQFYPPSRLVPTRLTLSSSTVCCLSVDRRINHASTLKNDEASALRAVITAGGTAGHISSALAIGDELKSADPQAQILFIGFPNSMESTTVPSAGFDFSAIPTVGYSSSRPFLCFASFLRFPLLLIKSTFESYKLLREFKPQIVVGTGGHASFPVCFAAAIMRLKLVIQEQDSIPGTTNWILSLFADTICTPFNCTVSNLPKRAAAKCVVYGNPIRQALRRYVSKRAARVSFFGQWAGAVSDARVVLVLSGSLGANAINIALLNCYLQLLSEHENWFFVWQTGVEAFDEMDSLVRSHPRLFLSPFLRNISVAYAAADLVISRAGAMTCSEIMALGKPSVLIPSPHTDEGDQERSASLMADIVGSKVITEEELDTITLRAAIEEILGNEELMREMSERALRAGKPDAALDVAKHIISIVKPEDK >A04p035720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20842745:20844513:1 gene:A04p035720.1_BraROA transcript:A04p035720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTWKPFLTVISLQFGYAGLSIIAKFALDRGMSPHVLAAYRHIVATIFIAPFAFFLDRKVRPKMTLPIFFKIALLGLLEPTIDQNLYYTGMKYTSATFTAAMTNVLPAFAFLMAWIFRLEKVNIRKIHSQAKILGTVVTVGGAMLMTVVKGPLVPLPWVNPNDSHQDSSNPGVTPDLTKGALLIAIGCICWAGFVNLQAITLKSYPVELSLTAYICLMGSIESTIVALFIERGNPSAWAIQLDSKLLAAVYGGVICSGVGYYVQGVIMKTRGPVFVTAFNPLSMVIVAILGSIILAEVMYLGRILGAIVIVFGLYSVLWGKSKDEPSNSFSDMDIELPRSTPQVVTFSLKANTETDTMDASVVTSRHNTNESV >A08p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7987985:7988554:-1 gene:A08p009900.1_BraROA transcript:A08p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PQTVVPGVRHSTFESPSLCHCVIHGFISAGLANHYMSSLKVGSIVKVDHFEVSRCSNMYKITDHLFLICFIPPTIIDEVITDAPKSISSHDYTIRQSPSDCEHKPRTQVYINILHLCLYYVLIS >A04p029710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17754604:17761071:-1 gene:A04p029710.1_BraROA transcript:A04p029710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMETNKNKFIEDWGSARENLEHNFRWTRRNFALIGIFGIALPIIVYKGIVKDFHMQDEDAGRPHRNCVSSPLKGSPFRKRPARRRKSSKSKTSTNPRVDSSTNLSRRLIFQPPSRVLPEPIGDGIFVKYELGKELGRGEFGVTHECIEITTRERFACKRISKEKLRTEIDVEDVRREVEIMRSLPKHPNIVSFKEAFEDKDAVYLVMEICEGGELFDRIVSRGHYTERAAASVAKTILEVVKVCHEHGVIHRDLKPENFLFSNETETAQLKAIDFGLSIFFKPGQRFNEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGAPPFWAETEEGIAHAIVRGNIDFERDPWPKVSAEAKELVKDMLDANPYSRLTVQEVLEHPWIQNAERAPNVNLGDNVRTKIQQFLLMNRFKKKVLRIVADNLPNKEIEEIVQMFRTMDTDKNGRLTFEELRDGLKKFGTVCPDGDVKMLMDAADTDGNGMLSCEEFVTLAIHLKRMGCDEHLQQAFKYFDKNGNGSIELDELKEALFDDDKLGQGGDQWIKDIFFDVDLNKDGRISFDEFKAMMKSGTDWKMASRQYSRALLNALSIKMFKEDGGNNGPKFHSMEFPVARKKANILDPKNKSMELVHSRTYKPSGLRN >A05g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3547988:3550674:-1 gene:A05g501030.1_BraROA transcript:A05g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKQETLTEEPVSPVSQLFVSPCVYSIIVFTLGFKTRCNSTAIVEGINNTWIKLPRFSSKVGSSIIHWAMACRLCLFCMLAHEKHQTRAFPTTATTRKHVESKNLWWDTKTLLVGKFGNKVQSRKVIHRIISLDDVKFVKNTMNVKVNDVFLGMTQAVEAIAKRMFGHTTVTFSNVLGPNEDISFFNHPMCYIAASAMFGPHTLIIHYVSYVDKLIINLAVDTAVIPDPHLLCDDLVESLNIIKFAALEKGLHKMKI >A05p036430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20629513:20631174:-1 gene:A05p036430.1_BraROA transcript:A05p036430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCLKIVRLNNLKNRVNRRILILRRFTRLLWSRIVACAPRKSRRYLLLSRAATPSPSVSRPQPPPITSLDASGGEAVRRISVREHDNSHRRSDSDLVSLKISLLGDPEIGKSCFLAKYVGEDKEVEMRILDKGISCTDKMLSMGGARISYSIWELEGAERSRDLIPTACKDSVAILFMFDLTSRCTLNSVISWYQQARMSNQTAIPVMVGTKFDEFIQLPIDLQWTIASQARTYAKALNATLFFSSASYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPLIDF >A07p012470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8123194:8124555:1 gene:A07p012470.1_BraROA transcript:A07p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSATADTSKTVKLERYNSYLRKIHSTKVLKASSKVLFRATLLIALVLVLLFAVKYHPHSDSHHLHRRSFLSTGLFSSSSSLGEGAAWEKRVRQSSTAKRQHGLSVLVTGAAGFVGSHCSIALRKRGDGVLGFDNFNDYYDPSLKRARQHLLEKNQVFIVEGDLNDGPLLRKLFDIVPFTHVLHLAAQAGVRYAMKNPQSYISSNIAGLVNLLEVAKAANPQPAIVWASSSSVYGLNTENPFSEEHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILHGKSIDIYRTQDNQEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRGPAQLRVYNLGNTSPVPVGRLVSILEGLLGTKAKKHLIKMPRNGDVPYTHANVSLAYRDFGYKPTTDLAAGLRKFVKWYVSYYGIQPRVKKENSHADESA >A09g518450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56238746:56241206:1 gene:A09g518450.1_BraROA transcript:A09g518450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSKLKILSQTIVAMEPKGHSPISSLRTTNKKTVASSASTKPNGKSVDSSATAMKRNGKSAVSSAIPMKSNTSAAVSSAHDDKMMFFRDVKLGPQEVDLRFRLIHYWEARNPNTKTLIGQEMLLIDEEGAVIQGYVPSGRVGSYELISGCVYKLKNFFGSRNKTQYRVSDHNATVTFAWNSELSVVDNPPVSIPEDRFRFHNYEEFQANCDHKIDLYDYVGHMKLVNGQTITDHIVLDEAGIAEKRHLCVHVQTHDGPVMKLYLWDKAASDFCEKFKSYGSTPSVILVTTVNPKHLGGTLALTSMASSRVFMDTDVQPSRDYLGWLGSNSEIANKINAEVVTKPETATLEELFSYIKLPTAKVAWFECTATIDDVIQGSAWYYISCDGCNSKAFKGPTSLICNNKKCMKSEVTGVAQYLTRISVYDKSEQAVFVILGDAGKELTGKHASELVARYFESNESVEADHCVPVPQDLLDTIGQTHRFIVKVSDHNLKGKTRTITVTKILPPEAPHPIEPLVEDAIPATSDGFLKTGSEVSGSSSGLVDAAGGRVRKASEGIESDEAKRSKSG >A10p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19344743:19346434:-1 gene:A10p032930.1_BraROA transcript:A10p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTNQQIGTWKRKRILVGSLLICWLILMFFTPKVPLHSFRHHVFADKRNFMGEIWGWTLFYASVSSLAFGSAYYHLKPDDNRIVWDTLPILIAYSSLFSSFLVERAGERVGLSCLVLLLFISVFSVAYARQVQIEVIICVLVDGRVFNDLRLCLTFQLIPCLVIPVMTVLLPPKYTHSRFWLLATAAHAVSKIEGLADSKIYNFNGYTISGHSLGHLCSALAMVLLTVMLLYRSIRFPRLSELKGHP >A06p048540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25691837:25699307:-1 gene:A06p048540.1_BraROA transcript:A06p048540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPTGEFRGESQPEQRKSGTPRLYIKELVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVQFEEIIDKGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNKYVEKIDELNKELETLNEKRSGVVQMVKLAEKERDNLEGVKDEAETYMLKELSYLKWQEKATKMAHEDTLAKIAEQKESLQNLENSLKNDREKMDESNKELKELESVHEKHKKTQEGLDNELRECKEKFKEFERQDVKHREDLKHVKQKIKKLEDKLQKDSSKINDLTKECEDSRDLIPKLQENIPKLQEVLVDEEKALEEIKEKAKVETEAYRSELTKIRAELEPWEKDLIVHKGKLDVASSESELLSNKHEAALKAFTDAQKQLTDISGRKEDKSAATTSIKADIEKKKLEAMEARKIEEESQREHETLIPQEQAAREKVAELKSAMNSERSQGDVLKAVLRAKENNQIEGIYGRMGDLGAIDAKYDVAVSTACGGLDYIVVETTSAAQACVELLRKGNLGVATFMILEKQTNHLQRLKERVKTPEDVPRLFDLIRVKDERMKLAFYASLGNTVVAKDLDQATRIAYGGNREFQRVVTLDGALFEKSGTMSGGGGKPRGGRMGTSIRATGVSGEVVANAENELFKIVDMLNHIREKMGNAVRQYRAAENEASRLEMELAKSQREIESLNSEHNYLEKQLASLEAASQPKTDEIGRLKELKKIISKEEKEIEKLEKGSKQLKEKALELQTSIENAGGENLKGQKAKVEKIQTDIDKSNTEINRCNVQIETHQKMIKKLTKGIEDGTREKERLEGEKEKLLTVFKSIEQKAFAIQEKYKETQKLIDEHKDALTEAKSNFEKLKKSVDELKASRVDAEFKVQDMKKKYNELELKEKGYKKKLNDLQIALTKHMEQIQKDLVDPDKLQATLMDNNLNEACDLKKALEMAALLEAQLKELNPNLDSIAEYRSKVELYNGRVDELNSVTQERDDIRKQHDELRKRRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAVSQKTPA >A09g519280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59545226:59547118:-1 gene:A09g519280.1_BraROA transcript:A09g519280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTVSADVCFTGGRRYEDLRRPTDHQPLQRSDSDIYHFSAANPNGDDHDHDHDHGHRRERSYDYREHKYDARRTLEERRRYRSSQDTVSRRYPRHASKERQRSFDSRYSHHKDPSPPEREVTSRAQREHHGHRETSYSSPPRRGRPETRRDESVVVNDNRAELGRNYPIREQQAPNPQEVLNEARAEVRETMLQYTQCADPTESAARRERMRIAEEKGQLEKSAIRIAQANLGGSDTIEEEPVRSVEKSASRTPAVLRLGPPNLPLPLEGPPVITEKRKPGRPPGKRKKAKYK >A01p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13919319:13919669:-1 gene:A01p030530.1_BraROA transcript:A01p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDVDVDSSKTNSNSEDSKSEKEKGKRNLYVGSQALNYRKDHMEILEIGKLRMYFTLEDTFIIVIVQKRKGKRNLQSYSY >A08p025730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16506478:16508152:1 gene:A08p025730.1_BraROA transcript:A08p025730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G31600) UniProtKB/Swiss-Prot;Acc:Q94B65] MEVQPEMEPTSSISLIAAVSYGIASMAMVFINKAVIMQYPHSMTVLTLQQLATSLLIHCGRRMGYTRARGIDLATAKKLLPISIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGYLFGKGKPTTQVALSVLLTAAGCVIAALGDFSFDLFGYGLALTSVFFQTMYLVLVEKSGAEDGLSSIEIMFYNSFLSLPFLSFLIIVTGEFPNSLSLLLAKCSYLPFLVMLVLSLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVSGLVVNTAGGVWYSYAKYRQKKAKPAKTLSDLEAHKK >A01g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12007296:12009162:-1 gene:A01g503960.1_BraROA transcript:A01g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEGELLKVVVLLMLSWCCLHSAGARILLRSEAEVFDGWLLAIYLLGVVLGFDLFLLVWDSFSLVACLGHLRSSGVSPPRSFSLALQCRSCALVKFSGLVLKSKCLFSGPRIFIHTIGEGEFLLRVPSATTRQMLLGRTCWNVAGFPMFVANWSPDVTPDEAPLTNAVIPVELRDVPYLLFNKESLSRLATAVGKPVSLAPETERKLNFKVAKLYVKVDLTKPLPRKIISGFSNGKESEIAVSYPWLPLRCDLCKKYGHSREKCRAQQGNASHRSRSKSPPKHGGRTRKSSRSSGVKLSTGTSSHHLINTPPEIEEGEFVPGKDPVSEEGVPVVKDLPPDIPEEKRNLDVGNVSNTTDPVPPLGEEACTNEEAVIEGSGEQSEYLKRETTFKQGGSDHNSRGRSVGSNQQSQAATEKPFILVTGRKSGRKAKPSK >A06p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:673123:674760:-1 gene:A06p001540.1_BraROA transcript:A06p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGRAISTTCGHLLCTEDASKILSNDGACPVCDQVLSKSLMKPVDTNPNEEWVNMAMAGISPQIRILFVNVVLFTLGISCSYVLQLNSVPLCLKSKLKCVMKSAYRSIMFYVSQRDLEMQCKMNRVVAQCRQKCEGMQAMLSEKMEKAHAAYQKVSKRCQMMEQEVENLTKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKRTAIQPANNFYPRHQEPDFFSNAPVNMMENREPIRRDRSFFSPATPGPKDEMWPARQNSSNSGPFDISNNSPAIPSDLGNRRAGGGHPVFGGGAGGGHHAFGGGGGGNANPQSTLRNLILSPIKRSQLSRSRPQLFT >SC223g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:212744:214586:1 gene:SC223g500040.1_BraROA transcript:SC223g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKTVTTELPPENPQRSSILWDSNQTIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPIDRPLGRYVATELELARSLRSDRAIVPLGRYVATELEPKLGRYVADRAIVPLGRYVATELSQARSLRSDREIVPLGRYVATELSPKLGRYVATERSDRARAPASVRLRSGPNAIVPLWVATVCDRAQPSSVANVSPSDRPTRSYVATELGPKAVAYASTGETRCSVATYCGPQLGCALPGRYVATGLEPKFGRCVAIEPFRTSIRYQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFHNQKPVNHSMVRAWPTRKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCFNAHTQIRNKIYFALFSISYFYRCYSRFPYLNGNRQCEFRFPQFGARRRGTYGSI >A02p013520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5925182:5928114:1 gene:A02p013520.1_BraROA transcript:A02p013520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMVSIVKKRDAASENKKDAVKKKRDATKKSVVVVKNRKLDGGVHGGSSSNPNKQLGIAREKPHFHRTLNAFFSRNHQWLHHFSPLYVDDCYTCERYYKTYAATFLLFRSYQLGRKLPEFRDCFPQSFLATTASTFCKKKEEEKMTTQKKEMEVVKDLDLERYMGRWYEIASFPSIFQPKNGIDTRATYTLNPDGTVDVLNETWNSGKRVFIQGSAYKTDPKSDEAKFKVKFYVPPFLPIIPVTGDYWVLYIDPEYQHAVIGQPSRSYLWILSRTAHVEEETYKQLLEKAVEEGYDVSKLHKTPQSDTPPESNAAPDDTKGVWWFKSMFGK >A06p001710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:758859:759389:1 gene:A06p001710.1_BraROA transcript:A06p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLKPDPNPVERNEKEVRYRGVRKRPWGRFAAEIRDPVKRTRVWLGTFDTAENAARAYDAAARDFRGPKAKTNFPPTVLELNANKEGRFARSPSQSSTVDSVSPTGRRLAALPQLELSLGGGGSGGGACYDQVPVARPLYFYNNMKAFSPAGTCGGEKKPQPLNLDLTLAPPAE >A08p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17170638:17173116:1 gene:A08p027090.1_BraROA transcript:A08p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRLKLIFWTCVSILAFLELGAASNIGICYGRNADNLPSPNKVSELIQHLNIKFVRIYDYNIDVLKAFANTNIELMIGVPNADLLAFAQFQSNVDTWLRNNILPYYPTTKITSISVGLEVTEAPDNATGLVLPAMQNIHTALKKAGLDKKIKISSSHSLAILSRSFPPSSATFSKKHSAFLKPMLEFLVENDSPFMIDLYPYYAYRDSAEKVQLEYALFESSSQVVDPATGLLYSNMFDAQLDAVYFALTAMNFKSVKVMVTESGWPSKGSPKETAATPDNALAYNTNLIRHVIGDPGTPAKPGEEIDVYLFSLFNENRKPGMESERNWGMFYANGTSVYALDFTGESAVPGPVSPSNSTTGVSPSPGDNGNSTVTIGGGGGAKKWCVASSQASVTELQSALDWACGPGNVDCSAVQPNQPCFEPDTVLSHASYAFNTYYQQSGGSSLDCSFGGVSVEVDKDPSYGNCLYMIAPSTDGMNRTMAGNITGNITAIDSPLASPSTSNEGIRQMVVSVAVSALLPCFVVSLSLLW >A09g502750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9247222:9247446:1 gene:A09g502750.1_BraROA transcript:A09g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAERKTVEEREGDERCGESSECCEGDGGYEAELGAGITGYDGGRGLEEGRWSQGTVGMLRDSRALTCCGLWV >A07g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11234229:11234873:1 gene:A07g505210.1_BraROA transcript:A07g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELFGLFLTYGFTEDPEYPMAIQCDEKLCHPLGCESIQLWGINEMLMRQIYKQSCDQ >A06p027120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13369693:13371774:1 gene:A06p027120.1_BraROA transcript:A06p027120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 9 [Source:Projected from Arabidopsis thaliana (AT1G66760) UniProtKB/Swiss-Prot;Acc:Q9C9M8] LPYFLTRNKMKSTEAPLLVKNKQKSEEEERERIRWEKMKKVASMAAPMVAVNMSQFLLQATSTMIVGHRNELSLAGIALGSSFANVTGFGILVSALETLCGQAFGAEQYQNLGPYTFTSMVYLLIIAFPISILWIFMNQILILLHQDPQVAELASVYCLWLIPALFGYSVLESLVRYFQSQSLIFPMVLSSLAALAFHVPLCWLMVHRFEFGVKGAAVSIGISYWLNAVFLWVYMKRSQTCLKTRIYMSKDAFLHTKIFFQFAVPSAMMCCLEWLAFEVITLLSGLLPNSKLETSVISICLTTSTLHYNLVNGISDAASTNVANELGAGNPRGAHDSASAAVIIAAVESVIVSSTLFLSRNVWPYAYSNVEEVTRYVTKMTPILCISILMDSFVIVLSGIVRGTGWQKIGAYVNITSYYIIGIPIGLLLCFHLHFNGKGLWAGLVSGSTLQTLILFLVVGFTNWTNEANKARERILDEKVWRDDSFVN >A04p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12734751:12737662:-1 gene:A04p020890.1_BraROA transcript:A04p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCRNKCGGCYRQFNKKEHLVEHMRTSYHSVHEPTCGICNKHCRSFDSLREHLIGPLPKQECKNIFSICGCRFCLTILESPNARRIHQERCQFSNVNYGLTARMAVLGLRDNPTIDYTSSRSPRVVALSCKMVGGGSDGSLDLCARVCITDESENVIFHTYVKPTLPITNYRYETTGIRPENIRDAMPLKHAQRKIKEFLCYGEPMWKIRPRSGKARILVGHGLDSHLDCLQLEYSSFMIRDTAEYPPLMKTSKLSNSLKYLTQAYLGYDIHVGMQDPYEDCVTTMRLYKRMRNQKHKTDAYPLASDTHNTNNYASWRQSELESMSEDELLNLSRSDYYCWCLDSVP >A05p052640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30597577:30613767:-1 gene:A05p052640.1_BraROA transcript:A05p052640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLVSYLEPDYSEFVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTSNRNINFVTELFTSGTLRQYRLRHKRVNIRAVKHWCRQILRGLHYLHNHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFDYPYSECTHPAQIYKKVMSGKKPDALYMVKDPEVKYFIEKCLATVSLRVSAHELLDDPFFRIDDGEFDLRSVDVDYPVMPPLVRQPHHHLADYYNYPSNSSSLNRQYSNGYHEYQNRWAYNPGETEETHGIELFECRNENDQEEDKSSGNVDISIKGKRRDDGGLFLRLRITDKEDTALSVATEMVAELDMDDHGVTKIANMIDGEISRLVPSWRPGPEFEESLAAAAAAAANANICSNCVSNRTSMGSVMDFLRTNPGANVAQCCRNGCGETHGRFEEITIRETEVRLREIWKLQQQQESRELSSIDSGQNHSEEEEEEVYEDPEITFSCEASNTLNHLTGSGSFSFLPSLYCDEVEKTEDQVQQEFRWLKAKCQMDIREMHDEQLKSMWSETGEEGQLLFLLMPLKLDRDLHLIPGAIATMAEKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDNVESAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKIFSATRHLNLLEEEGAAGDGGESDTDENDDVAIPETNEEPEDDDPEEEDLTAVTVTVSASSTDAVTVALPAGSAVPVSVIPVDSSDPKWHRVTEIVHHQRPLPPPTPIDDSRRLFQRLWTDEDEIELLRGFLDYVATHRGGNSSHPPDTAPFYEMIKSKLQLEFNKNQLVEKLRRLKKKYRNVMSKISSGKEVFFKSPHDQSTFEISRKIWNQTGKIIGFEDNNAMDFEETNTNGNYFNSPGGLNPSNVEIDSENGVERRLMMSSSSGGSRKRSRSRIGKIEEDNKPVITPCDGNIPNAASNVNLNEPATAVIGGNIGVLIEETVKNCVSPVIKEMMNGTTSMMMAAMGGGGNGHGFGSFSPVFTRPLGYGVEGGNKAVSDERWRKQQILELEVYSRRLELVQEQIRATLHELKTMPSGTVVLSLSLYLPPLTPLTPSIPISFVDQSLFSPAWNYKDLYSMEYSIKDDVTQLIGNTPMVYLNNIVDGCVARIAAKLEMMQPCSSVKDRIAYGMIKDAEDKGLISPGKNILIEPTSGNTGIGIAMVGAARGYKVVITMPASVSIERRIVLLALGAELHLTDPSKGVIGVIVKAEEILSKTPDGFMLEQFRNPSNPQSHYETTGPEIWRDSAEKVDMLVVGVGTGGTISGAGKFLKEKNKDFKVYGVEPAESAVLSGGQPGPHGIQGIGAGLIPDNLDFSVLDEVIQVTSVEAIETAKLLALKEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSGGERYLSTPMFDSIRCEAENLAIE >A08g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5613616:5614383:1 gene:A08g502710.1_BraROA transcript:A08g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTHYRKEPNFQYQNNYQQKPFYNNHQSSYQSKPIYHSPKSQAGSSNSAPQESTTDLALKQIFKSQVRQEKTIGDELKKLHTKVDWSYTDLNNKFSNLASNFKVFENQFSSMTSTSKDPIESLPGKSEQSPKEYCYVILSTTSFEFEVEHKVVERVEIRAVEMADEKVVQPVRHKAENPVIGKVVRFLTKAQNKVISKFRKDIGM >A04p034340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19908752:19910015:1 gene:A04p034340.1_BraROA transcript:A04p034340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSIQAYLDSSNWQQAPPSNYNQSGAGASATGGHDLGPQLQPQSQPQSNGSGSSGSIRPASIVDRARQANVAIPEAALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNRRTKSSNNNNNSTATSNNTSFSSAASGNASTISAILSSNYGGNQESFLSQILSPVRLMNTTYTTDNSMSLLNSGGLSQDLRSIQMGNSGGSLMSCVDEWRSTFHHQQPQSLGGGNCEASSNTNPSSNGFYPFESPRITSALASQFSSVKVEDNPYKWVNVNGNCSSWTDLSTFGSSR >A03g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5068864:5069901:1 gene:A03g501670.1_BraROA transcript:A03g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRLEYNPKQEKPYKFDIEEPFSTVDMSRRLEKDVLTSVLSTNTAGFCVLYIFSQQQNAMLRTYKLSHVKELLSWLKSDTLQAPATLRCLVAHATIYHLWKQRNNVLFNSTHIPPESIIKASTFSDVTFQTPRAFINSIWIPSVAITIALSVGLSRSLKKTPPSYRFKRGNSQVVPTAL >A10p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11780437:11781763:1 gene:A10p011510.1_BraROA transcript:A10p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQPEFDYLFKVLLIGDSGVGKSSLLLSFTSNTFDDLSPTIGVDFKVKYLTIGEKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKCHLQESERAVSKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLTAEGSSGGKKNIFKQNPAQTSNASSSYCCSS >A02p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6406897:6409752:-1 gene:A02p014450.1_BraROA transcript:A02p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARVGNKFRLGRKIGSGSFGEIYLGTHIQTHEEVAIKLENAKTKHPQLLYESKLYRLLQGGTGVPNVKWFGVEGEYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMITRVEYFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDNTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGSLPWQGLKAGTKKQKYERISEKKVSTSIESLCRGYPSELASYFHYCRSLRFDDKPDYNYLKRIFRDLFVREGFQLDYVFDWTILKYQKSQLTAPPSRGVLTPAAGTSAGEEERVRPTMDSSRRRASGALDNSAATRAPTMPRESLFAQSAGSSRRVTSEELHYAGGGVRNSAVVSTTEGKRSSSTRKQYDSAMKGIETLQVSDERFHHR >A09p081920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59487727:59489422:-1 gene:A09p081920.1_BraROA transcript:A09p081920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNNNKKSLNISSMFGNVIPDSDIFSRRCIWVNGPVIVGAGPSGLAVAAGLKREGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPEDYPEYPTKFQFIQYLEDYATHFDINPKYNETVQSAKYDETFGLWRVKTVSKSGLLGSCEFEYICRTLVVATGENAEKVVPDFEGLEDFGGDVLHAGDYKSGGRYQGKKVLVVGCGNSGMEVSLDLYNHGANPSMVVRSSVHVLPREILGKSTFELGVTMMKWMPVWLADKTLLFLARIVLGDTDKYGLKRPKIGPLELKNLEGKTPVLDIGALPKIRSGNIKIVPGIIKFGRGKVELVDGRVLEIDSVILATGYRSNVPSWLKDNDFFSDDGIPKNPFPNGWKGEAGLYAVGFTRKGLFGASLDAMSVAHDIACRWKEESKQHKKTAAARHRRCISHF >A01p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8859439:8871474:-1 gene:A01p018190.1_BraROA transcript:A01p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVCKQVQPLARKGKKKHNGKDEFDRVKQAEKKKRRLEKALANSAAIRAELEKKKQRKLEEQQRLDEEGAAIAEAVALHVLLGEDSDDSSRVMLGQEKGCFKMDLFTGERTNYVPRQSCASYAVQGIGFVSNGYGLGDSNWSPFMRESWDSNMGVSADLIAAQAVSSLRISENTDRNAFFPSRCHNHTPVYHQTLSLLPTMEAALATCTLPSPRILKPKPRFRCSLPNPKPISPNSPTFKSPPPSKTNLFTTAVSSSPAVAPTNSPPEPEPGSDSSSEKFDWYANWYPVMPICDLDKKVPHGKRVMGIDVVVWWDRNESQWKVMDDTCPHRLAPLSDGRIDQWGRLQCVYHGWCFNGKGDCKLIPQAPPDGPPVHTFKQACVAVYPSTVQHEILWFWPNSDPKYKNVLETNKPPFIPELEDPSFTKLVANRDIPYGYDVLVENLMDPAHVPYAHYGLMRVGKPKEKVDREGGKPLEITVKRLDNEGFFARQEWGYANFIAPCVYRASTEPLREEDKDSVTSEKGPLKNRKLSLIFICIPVSPGRSRLIWTFPRNFGVAIDKIVPRWVFHIGQNKILDSDLHLLHVEERKILERGPENWQKACFIPTKSDALVVTFRRWFNKYSGAQVDWRGKYDPSLLPPTPPREQLFDRYWSHVENCSSCKKAHKYLNAFEVILQIASVALIGVMAVTKQIAMSNVARSVVVVAAVLSFAASKWLSQFIYKTFHYHDYNHALEMDPTTPLLSHGGKVVEDYAPARTWSDVKGVLCTESAKLWSIAAPIGFNVICQYGVSSVTNIFVGHIGEVELSAVSISLSVIGTFSFGFLLGMGSALETLCGQAFGAGHVHMLGIYMQRSWIILFVSCLLLLPIYIFATPVLRLLGQAEEIAVPAGQFTLLTIPQLFSMALNFPTSKFLQAQSKVTVIAWIGFIALLLHVGMLWLFIIVFGWGTNGAALAFNITQWEITIAQIVYVIGWCNEGWTGLSWLAFKEIWAFVRLSIASAVMLCLEIWYMMSIIVLTGHLDDPVIAVGSLSICMNLNGVEAMLFIGINAAISVRVSNELGLGRPRAAKYSVYVTVFQSLLIGLVFMVAIILAKDHFAIIFTSSEVLQRAVSKLAYLLGITMVLNSVQPVISGVAIGGGWQGLVAYINLGSYYIFGLPFGYFLGYKANLGVMGLWAGMISGIALQTLLLMFVLYKTNWNKEVAETSERMKKWGGSTETTSKEVLA >A05g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3889131:3891006:-1 gene:A05g501080.1_BraROA transcript:A05g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRAQLLGDHPRPRWASSTSDDELKFSYAAMVFLGWINVAMYMLAPVLRPTNVWIGPNASMLVEPNSIFIKSVKVENVYGSEPGLQLFGFYASPPVAVMNWSESRLVSVSHRSYGSQGCPYYLNEGASLNISYNVKPEGCSVRLVVDKVMATRWLWEEPPIDLTALTLNLIQGSGVIQLNISKTESYQLNVANPNLKDVELDIDVKAVVYDTKEPSFYKCNFSNSACTFNTMPFVGTSIVLTSPAHRQRVLSGDQEWFIRISYQARCTSYAIVTGLVICFILLSIKLNNWLQHHGEERYVMDDDSSIISLLVNKDDDV >A10g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21148461:21148818:1 gene:A10g506970.1_BraROA transcript:A10g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSFYIIIYPNFLFLPWPQPQNIDLEIRHAFLGRFILDWAPTKGVKAVTRRRSVRDYLPPRSLHCVSVRQSHASYSLVLSTARNHIS >A01p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9360816:9363678:-1 gene:A01p019340.1_BraROA transcript:A01p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLEVTGPESNPPEQKFESNIVIADEDGEEENDSPIEEVRLTVPITDDPSQPVLTFRTWFLGIISCVVLAFVNQFFGYRSNQLMVSSVVAQIVTLPVGKLMATALPTRKFRLPGTNWSGSLNPGPFNMKEHVLITIFASAGAGGAYATSIITIVKAFYHRNLNPTAAMLLLQTTQLLGYGWAGMFRKFLVDSPYMWWPSNLVQVSLFRALHEKEEKCEGKQTRLRFFLIVFFVSFAYYIVPGYIFPSISSLSFVCWIWTRSVTAQQIGSGLHGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANFFGGFIVYFYIILPIFYWSNAYDAKKFPFYTSQTFDFTGQQFNTTRILNQKTFDINLPAYESYSKLYLSVMFALIYGLSFGTLTATISHVALFDGKFIWEMWKKATLTTKDKFGDVHTRLMKKNYKEVPQWWFVSVLIVSFCLALYACEGFNKQLQLPWWGLLLACSIAFTFTLPIGVILATTNQQMGLNVITELIIGYLYPGKPLANVAFKTYGYISMSQALYFVGDFKLGHYMKIPPRSMFLVQLVATLVSSTVCFGTTWWLLSSIENICNKDKLPVSSPWTCPGDEVFYNASIIWGIIGPGRMFTSKGVYPGMNWFFLIGLLAPVPVWFFARKFPEKKWIKKIHIPLIFSATTAMPVAKAVHYWSWAFVGVVFNYYIFRRYKAWWARHNYILSAALDAGTAIMGVLIYFTLQNNNIYFPDWWGSENTDHCPLAQCPTEKGIVIKGCPVF >A01p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20026034:20026692:-1 gene:A01p025540.1_BraROA transcript:A01p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 5 [Source:Projected from Arabidopsis thaliana (AT3G51600) UniProtKB/Swiss-Prot;Acc:Q9XFS7] MEGFIKLSTLLIVCMLVSAPMASEAAISCGAVASNLGQCINYLTRGGFVPRGCCSGVQRLHSMARTTRDRQQACRCIQGAARALGSRLNPGRAARLPGACRVRISYPISARTNSSGEHESIYTLKCFNFHKNNVTKLVCFISFFIYDRPFKWPSLLCIPLRR >A01p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2416325:2417454:-1 gene:A01p005600.1_BraROA transcript:A01p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQLTPDLFLVAGNADSFVVDDLLDFSNDNGQPDDGLEPFPDSSTVSTGTLADSSNSSSSLYTDGSVFSDDLCVPCEDLADLEWLSNFVEESFSKEDQDKLQLLSGLQKPQTTGLTQTKPEPEPEPELDQIFIPTDTDDSNVSVPAKARSKRPRSAASTWASRLLAGSDESYPKKKQLRVKEHDGSTGELEGEGGERRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPASSPTFVMTRHSNSHRKVMELRRQKEMRDEHLMSQLRCENLVMDIRSNGEEFLISNNNNNHVAPDFRHF >A03p047440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22413759:22415250:-1 gene:A03p047440.1_BraROA transcript:A03p047440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 7 [Source:Projected from Arabidopsis thaliana (AT2G04780) UniProtKB/Swiss-Prot;Acc:Q9SJ81] MSVFYALRTEREKVKEAMAKIQFSIFIAVAFIVFSASTNAKTASPPAPMLPPTSAPAPAPHHVNLTELLSVAGPFHTFLDYLLSSGVIETFQSQANNTEEGVTIFVPKDDAFKAQKNPPLSNLTKDQLKQLLLSHALPHYYSLSEFKNLSQSGPVSTFAGGQYPLKFTDVSGTVRIETLWTRTKVSSSVFSTDPVAVYQVNRVLLPEAIFGTDVPPMPAPAPAPVVSSPSDSPSADSDEANASSPKSSHKNSAQTLALAPVAMVVSGLVALFL >A08p022120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14706046:14708151:1 gene:A08p022120.1_BraROA transcript:A08p022120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRAQALLSISKLKTSFVLGSFSRCHRFSSSSSQHSTQFQNVGFIGLGNMGSRMVNNLVKAGFNVTVHDINRDVMKMFTEIGVSARETPYEVAQDSQVVITMLPSSSHVMDVYTGTNGLLHGDNAIRPALLIDSSTIDPQTTRKISLAVSNCNLKDRRDNWDKPVMLDAPVSGGVLAAEAATLTFMVGGPKDAYLAARPILESMGRTSIYCGGSGNGSAAKICNNLAMAVSMLGTSEALALGQSLGLSATTLTEVLNTSSGRCWSSDKYNPVPGVMEGVPSSRDYNGGFASKLMAKDLNLAAASAEEVGHKSALISKAQEIYKKMCEDGHETKDFSCVFRHFYNGKDEV >SC160g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:243718:244929:1 gene:SC160g500150.1_BraROA transcript:SC160g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKLQVFSGCVFGLVGVISSLSPRFSKLFTSSCFKMDSGMKMKVAVVFMGNNYLVWSRMVKTAVGSKGLWGHITSGTAPKPSLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSVA >A05p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1884310:1885278:-1 gene:A05p004860.1_BraROA transcript:A05p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDERCEEYLFKIVIIGDSAVGKSNLLTRYARNEFNPNSKATIGVEFQTQSMLIDNKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDITRTSTFENVGRWLDELNMRSANRVLLLIERFNFPVNEKSHRNDDDAHSDTTVAKMLIGNKCDLESIRAVSIEEGKSLAESQGLFFMETSALDSTNVSTAFEMVIRDIYGNISRKQLNSDSYKEEVTGNRVSLVKNESEGTKTFSCCSR >A03p062590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27184241:27185529:-1 gene:A03p062590.1_BraROA transcript:A03p062590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYENESNLDRFLRCTTPVVPSLSLPKTQIKNLNRLWYPSESESVEYFRLSDFWDCFDEWSAYGAGVPILSETGETLVQYYVPYLSAIQIFTSHSALIALREETESGDSGSETCSEEWRWEGWSSSEEGVDHQEPLDRLGYSYLQYFERCTPYSRAPLMDKIKGLGERHAGLRSLRSVDLSPASWMAVAWYPIYRIPMNRSIKDLSTCFLTYHTLSSSFQDVQKEKRERISVGAFGMATYKTQGRLWDNDRLLCLLSVADSWLKQLRVHHHDFTYFTTTPYHL >A08p045500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24934300:24936653:1 gene:A08p045500.1_BraROA transcript:A08p045500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLPTWILAMMCLFFFVGAMENKTHDKISSLSRSDEIEWNRHAVTNPDEVADEVLALVEMSARNHTERRKLGYFTCGTGNPIDDCWRCDRNWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPSDHDAVNPRPGTLRHAVIQDRPLWIVFKRDMVIQLKQELIVNSFKTIDGRGANVHIANGGCITIQFVTNVIVHGLHIHDCRPTGNAMVRSSETHFGWRTMADGDAISIFESSHVWIDHNSLSHCADGLVDAVMGSTAITISNNHMTHHNEVMLLGHSDSYTKDRAMQVTIAYNHFGVGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPKNPFAKEVTKRVDTPASHWKGWNWRSEGDLLQNGAYFTSSGAAASGSYARASSLAAKSSSLVATITSEAGALPCRRGRQCSS >A03p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3243814:3247988:1 gene:A03p008010.1_BraROA transcript:A03p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPHVKPTRFHQLLPSRLFSAAAPLQQYFTDNKPPIKPWPKRLFPKRLVSMITQQQNIDLALQIFLYAGKSHPGFTHNYDTYHSILFKLSRARAFVPIESLMAELRNSHPPIRCGENLFIDLLRNYGLAGRFESSLRIFLRIPDYNVRRSVRSLNTLLNVLIQNRRFDLVHSMFKNSKESFGITPNIFTCNLLVKALCKKNDVESAYKVLDEIPEMGLVPNLVTYTTILGGYVARGDMEAGEKVLGEMLDRGWEPDATTYTVLMDGYCKLGRFDEAAKVMDDMERNGIEANEVTYGVMIRALCKEGKAGEARNMFDEMLDSGFMPDSSLCCRVIDALCEDCKVDEACSLWRKMLKKNCMPDNALLSTLIHWLCKEGRVAEARGLFDEFEKGSIPSLLTYNTLISGMCEKGELTEAGRLWDDMVERKCKPNAFTYNVLIEGLCKKGNVKEGVRVLEEMLENGCFPNKTTFLILFEGLQESGKEEDAIKIVSMAVMSGKVDRECWELFLRKFAGELDKGAVVLEELLLHEYPLPVVDSEDPSLIFMLPIYPSPYFLDPTSGENSPVFRAFSAVVTMADFNDDGMDAVQRRLICILVDENDRVVGHDTKYNCHLMEKIEPENLLHRAFSVFLFNSKYELLLQQRSKTKVTFPLVWTNTCCSHPLYRESELIEENVLGVRNAAQRKLLDELGIVAEDVPVNEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKLQPNPDEVAGIKYVSREELKELVKKADAGDEEAVKLSPWFRLVVDNFLMKWWDHVEKGTLTEAADMKTIHKL >A08g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11914252:11915202:1 gene:A08g506870.1_BraROA transcript:A08g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCIMHGRLMDQKILDGNTEVAPVATRVHVNGLKEGLRGLFPLPLIVATSSWRQEKKTRKMHISFCEIPSNVSVNDFELESDFYINIVVGQDDSGKSTDTGHLIDKLHGLGSFRPPSTTALSLLFLNILISSRTWLVVPPRMIVLFLSLTPPLVVSKLMNATTPIYSKGKYIEIIREVFSYLKKFGYDT >A02p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13057727:13058447:-1 gene:A02p026890.1_BraROA transcript:A02p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYILCITLLIFKSPIFLQLVLAQVPATCASMLLSLAPCGPFVQGFVQLPAQPCCNGLNQIYSQQPSCLCLLLNNTSTVSPAFPINQTLALQLAPLCNIPANSSSCSSLAPSNSSSVAPPPSSSTGSQVSIGAKNNSSVKAIATPVAPRPTSFLGLGYGLRSSGFKSEIQLNLSEY >A09p082530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59736384:59737065:1 gene:A09p082530.1_BraROA transcript:A09p082530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVPLELTRGSANWARVVEEIVKLEKKTFPKHESLAQTFDGELRKRNAGLLYVAVDGETLGYVMYAWPSSLSASITKLAVKESCRRQGHGEALLRAAIEKCRSRKVQRVSLHVDPTRIAAVNLYKKHGFQVDCLVKSYYSADRDAYRMYLDFDDSV >A06p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19824926:19826114:1 gene:A06p036550.1_BraROA transcript:A06p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCCCVQVDQSTVAIKETFGKFEEVLEPGCHFLPWCLGQQVAGYLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALANNANDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDVFEQKNEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKILQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVRDVATQIRDGLLQGSFADQS >A10p029330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17996658:17999068:1 gene:A10p029330.1_BraROA transcript:A10p029330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGLPFLLNPRGGTCTLAPSNPPEDVLFRANFHLLIDGWFGDYDVTDNNCEDFAIYCKTGLLVCNKARFGTSGQVNSAVSVNFAALVLGFSGLPVVGFGAYCYGRLAGDVSLLASDVGMTARLRVDVIKVPVERLSAMLKLYDSPREGWLSIAGSKFRAKVNLLCQEHNKKKMGLFSNKISRDELKAGDHIYSWRSYIYSHHGIYVGDGKVIHFTRRGGLEIGTGTYLDKIIQFSVPRHGGDNPCPNCGHQSILDGVISSCLDCFLAGGSLYLFQYDVSKAILVAKQRGGTCTTAPSDPPEEVVHRARYLLSGNGFGEYHLLDNNCEDFAIYCKTGLLVFSVTKSGSSSQVNSVCAAGGLASLTLRFLGVGRAAGQAASLAVSPAMVVSAATRAVTTTFGLVTTGFAAMAVAEYSKYCIGRVAYDVGVRKDVRKVPVEELAALLAVLEGSLDNLDNTNSDKNK >A01p059780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34042877:34049120:1 gene:A01p059780.1_BraROA transcript:A01p059780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix protein Sin3-like 1 [Source:Projected from Arabidopsis thaliana (AT3G01320) UniProtKB/Swiss-Prot;Acc:Q9SRH9] MKRIRDGSGSQFRRTLGSSRGELYGQSPVPGSGDTEEEGRGEGGRIASGDVTSQNVKDALSYLQDVKDMFHDQRETYDRFLEVMKDFKALRIDTRGVIARVKELFKGHNHLIYGFNTFLPKGFEITLIEEDEAPPKKTVDFEEAIHFVNKIKTRFKHDEHVYKSFLGILNLYRKEKKGISEVYNEVSILFEGHSDLLEEFTRFLPASLPSHSAAQHSRSQAQRYKDPRSGPPLIRQMQVEKEHRRERAVASRSDYSADHNDLCDDKTMVKMQREQRKRADKENRERRGRVLDDREADQDNLHHFPEIRKSSRRAECPEAYSGSASHSEKDNLKSMYNQAFVFCEKVKERLCSQDDYQTFLKCLNLFSNGIIQTKELQNMVSDLLGKYPDLMDEFSQFFERCESIDGFQHLAGAMSKKSFSSEEQASRSMEVEEKEREHKLDLEVVNETEQYKEEYVGKSIQELDLSNCECCTPSYRLLPSDYPVRTASQRSELGAELDMLLESVSSAARTAENLLNIITEKKIAFSGSFRIEDHFTALNLRCIERLYGEHGLDVIDILHKNPATALPVILTRLKQKQDEWKKCRDDFDKIWAKVYAKNHYKSLDHRSFYFKQQDSKNSSAKSLVAEIKELKEKSQNEDDILLSISAGYRQPINPNLDYEYFSRDMHEDLYKLVHFSCEELCSTKEQLSKVLRLWENFLEPVLGVPPRAKGTDPVEDVPKTLDVNHSTSTNEEANGSCGADTAMLASRKLISVANGDQNASSGASNLGEIGLLNKDSTGKEDLQDADTANGDGVTSSAVKLQKEHETGNRADQRSVMPIPMDINERASTSNLSTPSGENNHGVLEKEDLAGSHEIQAKPSSTLSDIHHIKTSPSTQAGDGGKSIALASGIRSDSSTDNMNSDEPEGPLTIEKEEGELSPNGDFDDNVGLHEDLGVKSTSKPENLADAEVENEDEDSENASEGGEECSHDENREEESGEHEEVDSQSLAGDTELLRQSERVLLSARPLSKHVAAVLRDGRTKDIRVFYGNDDFYVLFRLHQILYERILSAKRNCSGDELKSKNSKDTDSLDPYARFMKGLYGLLEGSVENTKFEDECRAIFGNQSYVLFTLNKVIYKLVKQLQAVVADEMDNKLIQLYEYEKSRKPGRVIDSVYYENARVLVHDENVYRLECSSSPSRLSIQLMDNIVEKPEPYAVSMDPMFASYLQTEFLSTSREKKEEGHNIVLRRNRRPYSGLDDLAALCKAMEGVEVVNGLECKMSCSSYKVSYVLDTEDFFHRKKKKKKKIEHISQQRNKDRVERFHRFLSASR >A01g510950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30215194:30221410:1 gene:A01g510950.1_BraROA transcript:A01g510950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKTIFMAFFIINTLVSCVYPCLGQEDVDDKPLINPGEFDTLDVLSPASQEYNIYMLENLPPKYKTYLGTCADKMGPSGISECNEDVLREILTNKPVSRDCCLMVVRAGKECYMKIRKFMFQLYQLKRFASQVSFKTNEVWNRCSAEVEMSCAYPCLGQEDIDDKALVNPGEFDTLDALSPASQEYNIYMLENLPPKYKTYLGTCADKMGPSGISECNEDVLREILTNKPVSRECCLMVVRAGKECYMEIRKFMFRLYQLKRFASQVSFKTNEVWNRCSAEVEMSCAYPFLGQEDVDDKPLVNPAEFDTLDALSPASQEYNIYMLENLPPKYKTYLGTCADKMGLSGISEFNEDVLSEILTNKPVSRECCLMVVRAGKECYMEIRKFMFQLYQLKRFASQVSFKTNEVWNRCSAEVEMSCAYPCLGQEDVDDKPLVNPAEFDTLDALSPASQEYNIYMLENLSPKHKTYLGTCADKMGPSGISECNEDVLSEILTNKPVSRECCLMVVRAGKECYMEIRKFMFRLYQLKRFASQVSFKTNEVWNRCSAEVESPSSSHDHMI >A08g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18493208:18493923:-1 gene:A08g509360.1_BraROA transcript:A08g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLWSVSYLFTDPTTASVSVTEKFLKLRESLASTKEGRSKICGGDGKCLKTVVKKLMKVSTSATQHAVMVLWSVSYLFKEEKALEAVTSTKILFGAEGCFNHLGVLHVQVISCSLYGDDDVDNSMLHNYYYFYNLR >A08p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12708689:12709463:-1 gene:A08p018430.1_BraROA transcript:A08p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIFFSFENGLFIFSTSSSSSIYKNVIAINTPTTMNNQFEALNAPQIDLHFFFLNSYDLKIISLSLSLHIHKKKTKILILNFFMVHRVIEAYDSWWLTFVCDSGCVEKTYIYSPRRLPSKSSRCRRLTWKSSSMQRRLHGSRPLDDLHESCP >A02p059000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35286077:35286751:1 gene:A02p059000.1_BraROA transcript:A02p059000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNKKRTSTDLSEYVENKKNKKPKMNADDTSSSLPELPQGIIHKKIFKKSQIKVDFLEEVFRRRAWIAHKLFGFLVEKSLNPKVEFRRVEALELISEELVGNVPEKQVRRAKVHKFCGRVFRMVSSLRLTKSFLKGLGPDGQRGCETALGDLFLNLKNAKD >A01g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16482791:16483564:1 gene:A01g505540.1_BraROA transcript:A01g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIWRLSIVIIKVVRLHEEILNRMRRVCYIYKRERRTPSFFTIETCRTDNLDYKVSVKKKILFFSSIVMAIIQLKDIDRDIRKALSRLVIVRAIFELKDMGQRHKDDIHNDMYPTFLQ >A08p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:345743:350613:1 gene:A08p011530.1_BraROA transcript:A08p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGCSKGVFLVFLSVLGESGLCSSVTRLVWIFLMETNNLGMEMKHSSSITLKLEEETGGSWSRWAKAVLRSCVLWSSHKKGKPLRRMATEAGQAWSLRYEDRVVQENHTRCGIEAAHGSRSDLKKVCGVKRANTDLRRGKEELHQLVGKLKYLWRELDLLRSRTSDPEVIQERLEQDVVLSLLVSLNSSYGQLIMQVAKDDERVDVDGLCELVQSSYKVYEKSKRLIRIRDGTRCKKGRLRRLSRTWVMVRKTQRKSRQCGYFGNDMETRLIKEFAQHVVRGECSYSAYMGSSVEESVVMKGQGTKGADDPITKKEWDGFVKYHQGDSGHHDQEVTQEVENFPQVDEQGEVHDQEEVSETETEVQAEIKAWEVTLNLFGEGITSKGEQGVVWIRFGHSWKGEATLQPVQACEASQQPASLDFTCFESHFEIPFVSALSLHL >A02p033990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18406938:18409126:1 gene:A02p033990.1_BraROA transcript:A02p033990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYQMVYRSLKELFPQIDSRILKAVAIEHPKDADEAAAVVISEIAPKFDPYLPDISLYPPGNKGVDIAMPNGSSSSSSRTTPLAPDTDHQTELTNGDLHIQSKAIISPSDESRVVSSDPLCEGSDQAELSTSADSSQVTQKPSRSDDVREDSLTAENCDAELDGAFSSIVTQGCKIDHLQQIIEDAKSNKRTLFTVMESIMNLMREVEIQEKDAEKVKEDAARGGFDTLQKVEDLKKILAHAKEGNDMDAGQVYGEKSILTTEVNELENRLLNLSEERDKSLSVLDEMRGVLEMRLAAALEIKNAAEQEKQEKEGYARKALAEQEAIMEKVVQESKLLQKEAEENSKLREFLMDRGRIVDSLQGEISVICQDIRILKEKFDNRVPLSQSVTSSQTSCKLASSGSSMKSLLLEKPLELSYETPEASSSNKSPKALVEERKNERKELLEDGWDIFDKETEL >A07p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8941443:8944036:1 gene:A07p014400.1_BraROA transcript:A07p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLGSVRCSTVSLGPTEHVISTGQVVTMMLLGSRRTLSILELVLLSSIDIINSTIRESRSSDPLLRLCPCFHPNLRRSSSLSFHGLPLLVIVGSGLEPSSNGGSSLGGKTRSRGGSWREKIGLHVQVVIPGDEGYHRSVNAGFSPGGGSSLSFTAAGSSSREGEVFSAPSLPVLTPEGRGSHSSTLMIVRVRMDELSVEDGALHRRRLDGDYDKRRKAEISSLGFKYPFDGVEPFKVRGTAGMRQKDDKPTRASGYGQYMRVVNPSLCIDGAQPLVYLPPPPPSSHFPANGKFHERCYYPTSVSMQSQSKRSVFACNAALNAKCSQGQTQTVTRESPTITQAPTHGKEKSPKLDDGGSGFPPRDDGGGGGGGGGGESFSGGFFLFGFLMFMGYLKDLEGEHETSH >A02g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5344367:5344735:-1 gene:A02g501640.1_BraROA transcript:A02g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKFAVVPFIIISLLLSPSLFMLSEASPCGGKCNARCSKAGRQDRCLKYCNICCEKCNYCVPSGTYGNKDECPCYRDMKNSKGQPKCP >A07p032730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17913733:17914509:1 gene:A07p032730.1_BraROA transcript:A07p032730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGEEEVAEKRRRRRREGVQVSLRGGCLAASATAIAVMLMATEKGVADIYGLPLPLNSSWSFSPSYQYVVGACTVTILYSLLHLCLGIYRLLTGSPITPSRSQAWLCFIFDQLFSYLIMSAGSAGIGVTNLNKTGIKHTPLPDFCKTLSYFCNHVALSLLLVLLSFIFLASSSLFNVHVLSTL >A06p046160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24720997:24723730:-1 gene:A06p046160.1_BraROA transcript:A06p046160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAQQFTGLRCSPLSSSSRLTRTPAKNFPQNKSASVSPTIVAAVAMSSGQTRERLELKKVFEEAYERCRTAPMEGVAFTVDDFAAAIEQYDFNSEIGTRVKGTVFKTDANGALVDISAKSSAYLSVEQACIHRIKHVEEAGIVPGMVEEFVIIGENESDDSLLLSLRMIQYELAWERCRQLQAEDVIVKAKVIGANKGGLVALVEGLRGFVPFSQISSKAAAEELLDKEIPLKFVEVDEEQTKLVLSNRKAVADSQAQLGIGSVVLGVVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRDRGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLGSDLPDDGVDLTVDDIPPAFVRILGKGSFGSVHLLEYTKPDGSMFYKAMKISAINRHDSLHREFQILSKLRGCPNIIQSFHKTRTLTHKK >SC150g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:143890:148561:1 gene:SC150g500070.1_BraROA transcript:SC150g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNDEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKINLRRVYEVKKVISGVKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVTTPLDHEMGNGSESGEQEQNQEDSGHHNQEDGAQSSRDGQGQSTGSDESVAQSTGSDESVAQSTGSEESGAQSSEDLGSYLASIWRVKHARRSLDKLRGVWIRSGQG >A03g502630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8195378:8195623:1 gene:A03g502630.1_BraROA transcript:A03g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALDSELDEIQAVSKEFSELSIAYISRSLKFRTNSLAKGVRSYLDQLL >A09p062430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51082299:51084050:-1 gene:A09p062430.1_BraROA transcript:A09p062430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKMSESAKPYSAMVCLQFGYVGMNLVTKVVLDRGMSHFVLVAYRNVFATAALAPFALLSERKGRPKMTFPIFMQIFVLALLGPVIDQNLYYAGLKLTSPIFAGAVTSILPALTFIISIIFRMEKVKTRKVRFQAKVVGTLVIVVGAILMILFKSPLTIFLRSHLIHDASSLAGEDYLKATVFLLIASLSWASFFVLQAATLKTYSSHLSLSTMVCFMGTLQSTALTFVMEPSLSALHVGFDMNLLASAYAGIMTSSIAYYVQGMMMAKQKSPVFVTAFNPLIVIFGSIIGFLVLGQRLYLGGVLGMVILTMGVCAVLWGKEGDEEENSDEEEVFVEVVKCYKGCGNNSLSMPRIDEEVDVEMQSTRKAKTVVALL >A07p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5756232:5760290:-1 gene:A07p009350.1_BraROA transcript:A07p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive protein 4 (ORG4) [Source:Projected from Arabidopsis thaliana (AT2G06010) UniProtKB/TrEMBL;Acc:Q8VY85] MSTERRPMTNEENAMFLDILQEAPLFGHRKSRSLVGSYLYLILLAGYAVLAAGAPWIFHHLHHLTPSLLCCCDVALLILTGIFQQYFVFQVQKIRLQGYYSFSQKLKHVVRLPFAIASYGICPTMSVSLDFTGYERTAAMLLVIVWDPQISILSTSSLQRIIMIAESVCAGFFMSLYIGYVHQYNSVNSRPDVLKSLYSPLQPSSSMDGLRYYEGRLSDQQTALLQYQRENLHFLSEEILSLQEKLSKYEQSDDGSTPQVDLAHLLASRDQELRTLSAEMNQLQTELRLARSLIAERDAEVQRVNNTNSQYIEENERLRAILSEWSMRAANLERALEVERMSNSELQKEVAGGRRKQQMVETSEQPPLVVCFGSMEPPPSSRAEEPPSWDELYKINLMPSELFLKFRKELQGLRVGVNLELYNEPTNDYHAKLVLKPLSPERKWKFIYEPLHQEVRVLSKKIPLTRFLNLQVGVGHNFQMNAMGWKWKLTSCLGGDGVSRIRNKTTLGLTPGVDLRFGWRADFVLPEVTGALGTEEPFFNMSSGRLEASLDRVEAILTHSEYL >A04p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2621607:2624361:1 gene:A04p005070.1_BraROA transcript:A04p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVIFFDCFVSLFLYIVCSYNFSETLAVANIGVFWNMDDSPIPDGLDPTSVKEFIEGAFEDMGYLGRLIKVRAYCEDRSKLISYCDAAGIVLQNRVSKVGYAEVDYMLVDILTWGQYNEAPSNLMVISKNISEGTELFGVLEDLKLLNYNILVSSLGKDATVDLVCLSTYLFGGGKPVDQSISSHGVSKKLANVAKTGVFWNLDDCEIPDDIDIYQKVKSALANQGCHGQMSIWAYCEEDKEPLPGITLVSVGDETARFKKMLRDILFWALQNPVPYPRTTVPSLMVISNISRNIEFAYVLQRLSSRDYNVLLTVPDEKEYICSVWLYPSLIESRTKFPICTRSDKSLHDIKTGIFWNITGCTFPNDDIHLDELNQNFKLAIENQGHHGEVSIKAYWHGSSGLYDWLHGTITLQNRVSGDPGMKHNTMFLDILLWALDNPAPSNLMVISNTISEETELSSLLQDLESKGYNIFVAHAEEAASPVLPPACLEWHFNTLIAGGNPNNRTNYSRDVLNMIQNDLSFRRKGCHEANTAVFWDIEDCPIPGGMDPLTFLQNIKLALVNHGCHGNVSIMAYCDKSRSLDDFSLSDTSPITLVPTGNKDARIKKMFTDIFYWALENPQTSSVMVVITKNFPWHLSDLLCDAFESRNYNLLLADPYAVGYVDSVWLSTSLFGGGNPIDLKERKNHRSPIMLSSF >A02g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15138807:15139573:-1 gene:A02g504740.1_BraROA transcript:A02g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLIINVSPSLPNLRLEAKLRAEKGGKKNQEAIIADNGGCQDQGKDKIVTIAMENSRRRRSKESRHYLSMDLSSEDIAFLGQQNTYRREEMNN >A09p063100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51363436:51365586:1 gene:A09p063100.1_BraROA transcript:A09p063100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQQEAMSSSIEESLKSMSLDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGSDPSQTDPANQTGSGARAAVVGGVIPVNSVGYEVFLLLLQFLYSGQVSMVPHKHEPRSNCGDRGCWHTHCTAAVDLSLDILAAARYFGVEQLALLTQKQLTSMVEKASIEDVMKVLIASRKHDMHQLWTTCSYLVAKSGLPTEILAKHLPIELVAKVEDLRLKSSMPLRSLMPHQHDLTSALDLEDQKIRRMRRALDSADVELVKLMVMGEGLNLDESLALVYAVENCSREVVKALLELGAIDVNYPAGPTRKTALHIASEMVSPDMVAVLLDHHADPNVQTVDGITPLDILRTLTSDFLFKGTVPGLTHVEPNKLRLCLELVQSAALVMSREEGINNDNNTVMYPRLKDEHMSGSSLDSRLVYLNLGGEHGNQMEGMSLHHHHEPPTMYHHHHHHF >A09g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10661221:10662337:1 gene:A09g503360.1_BraROA transcript:A09g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHEPHFFKPLLPGFHSGITIPLGFFSKHIEGKTNQKTWKLRSDASDKIWEVIQEGRRLTAGWKDFATAHDLRIGDIVIFKHEGDMAFHVTPFGPSCCEIQYTDPDIIKEEADAGDADDNEIRGTRAMSSFSFDYCFLAEVTATNQEEDKLYLPMEATQSTALNKQCQEIILVNKEGNSWTASLRFSESDDMYYIRRGWRKFCLDNRCLIGDLFVFNVVGDGKTTPLMCVCPERKECSELLIKHLSRKNAHIASSSRVI >A09p058930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49482622:49484443:1 gene:A09p058930.1_BraROA transcript:A09p058930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSIYLYFLFISLLVLIIFKKLLPSKRKLPPGPTGLPIIGNLHQIGGLLHSTLHKLSLEHGPVMLLRFGVVPMVVFSSKETAKEALKTHDLETCNRPKLVGNGLFTHNFKDIGFTQYGEEWREMKKLVGLELFSPQKQKSFRYIREEEGDLLVKEISKSAQTQTLVDLRKALYSFAAGVILRLAFGQNFHECDFIDMDRVEVLVQEAETSVCALAFTDFFPTGLGWLVDRISGQHSRMNKAFSRLTSFFQHVIDEHKKAGQTQDRSDLVSAMLDMINRPTKSGVTTMIWTMTELTRHPRIMNKLQEEIRTKLGSNKERITEEDLEKVEYMKLVIKESFRLHPPAPLLLPRQTMSEIEVHGYTIPKNSMIKINTYAIGRDPKCWTKAEEFIPERFSDTSINFKGQHFELLPFGAGRRSCPGMALGMANLELGLLNLLYFFDWSLPNGMAIEDIDMDEAGDLNIAKKVPLELVPTLHHW >A05p016270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7231425:7231868:-1 gene:A05p016270.1_BraROA transcript:A05p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGRGGEDGRPPQMMMIDDRRITRAGTMMMLILTKTRISSIASLCLLLSLWKTRDRRRQLGWKTWDSRQ >A02p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10481952:10483544:-1 gene:A02p022150.1_BraROA transcript:A02p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHEENYLDLNNLPDDFTKDSNKQALEEGSSSGQRKKKGSKEGEDESGKVYECRFCSLKFCKSQALGGHMNRHRQGMETETLNQARQLVYRNDTLAPPGITPFGYHTADPTIYRSVYSSPMVYAESSSTTLVPQPLQPPYPYSSNQYSHNQTNDYYLSRTFRGSKSISPNPNLSITTNVNNMSDCPLKSSYTCVGAPIGQTGHCPSRGSLTSVRPRLNRH >A02g501800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5767517:5768581:-1 gene:A02g501800.1_BraROA transcript:A02g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVTGVFSPEPPDPPEPPDLTSAFTTPSTFVCVPVLTFTISDLDLKIQTFREIDLSSQIFKETFLLKDVGLVILIVVFIRPLTAVDRFVSITSYHEAHPSLVFTMSPTTGSRSPPSLEPLILLLDTSLQVIDLKLSVSILLASMMSFECSLVPCYGTLASVRFSAVCSLVSGFTPSNNSLRLLPHRLWQIRKETLVVSIFSVFLDCLSFVKYDCLPYVPFGLSGSVTGSSVPKIMYASMFVLLKGSSIWCFVASACDAELLIVKAASVVVSISGVRPVLVLSNSQSFISLLSTIGVEFRGLLSDIMCCLCVMFAPILWCYISLFCFAMVAAYVLAGLALLFLDVNNFSSYGD >A05p018940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8862956:8864476:1 gene:A05p018940.1_BraROA transcript:A05p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLSCSAADLAVLLGPNATAAADYICGQLSTVNNKFTDVAFAVDNTYLLFSAYLRLPRLSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGYAFAFGSPSNGFIGKHNFGLKDFPSASADYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSADGWASPFRTDGDLLFSTGAIDFAGSGVVHMVGGIAGLWGALIEGPRLGRFDNGGRAIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYESGTINGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLSGHWNVSDVCNGLLGGFAAITGGCSVVEPWAAIICGFVAALVLLGCNKLAEKLKYDDPLEAAQLHGGCGAWGLIFTALFAREKYLNQIYGEKPGRPHGLFMGGGGKLLGAQLIQILVITGWVSATMGTLFFILKKMKLLRISAEDEMAGMDMTRHGGFAYMYYDDDESHKAIQLRKVEHRSPSPSGVTTTSV >A09p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14991496:14993184:1 gene:A09p025910.1_BraROA transcript:A09p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLSRVGKPLVCKNILRHNINVVRWCSSMPTYPYMLLDYMLNLADSWKDSSDGHITIGKCSSKEQKHIEIKASVITDDVRNVMSLGYYNGALKLGMLEKEYLTSTNNVRLYIKDREGSTTSSTLGLHNEDWVVAIKFNGPQIKLYRPACPTESMWTNVKAMPRSISTSSSLMYSKKDQRFYVPTPGSDYLYSLDPNSKENDHLEAFGSRCGRDELVCHNSPLCGGSFRRTILRQMDQLEIDDKGLEQVTRRTGQFMVYRAEDHPRIEEHRKELSYTEDIGDFCIFLGQGEPFCVRASMHPGLRANYIYFSGYNLLTLVLMTSPTDVAISFTLSYTIKGVYH >A08g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4615065:4615441:1 gene:A08g502000.1_BraROA transcript:A08g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYMKVQLKPLKWDDEDEE >A04p029650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17724892:17731012:1 gene:A04p029650.1_BraROA transcript:A04p029650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX1 [Source:Projected from Arabidopsis thaliana (AT2G31650) UniProtKB/Swiss-Prot;Acc:Q9C5X4] MACVADESQIEIDIHGRHVEAPSRYVYSVASSSCNVAVGSHSLMSKKVKARKLPMVERFEVEGSSDVSADGDCCRPGDYKLLRTEIVRVYCRRRKRSPRGSGNAESLKLDERNQKRRRIGEGSSGLRSGLRGCSGDKQKEASRRKGSSVKSQDKVSIASGSTKRWFRLSYDDVDPKSFVGLQCKASVFWPLDASWYTGSIVEYSLERKRHIVKYEDGSSEDLVLDREMIKFFVSREEMELLHLKICTNDVTVGVRDYEEMVVLAANLEDSQDFAPGDIIWAKLSGHAMWPAVIVDESVIGERKGLTNKVSGGRSILVQFFGTHDFARIKVKQATSFLKGLLAQSHLKCKQPRYLKEHRLPERMTQLQKGADSERTNSPEEVSSNSDIDHMSDGEVWLRPTETVDFRYTIGDLQIINLGKIVTDSQFFKDENHIWPEGYTAMRKYTSLKDHSAYALYKMEVLRDAESKTRPLFRVTADSGEQRASLATTDNLFWQFKGLTPSACWNKVYNRTRKVQSATDSPIFGDELNGSDMFGLSNPEVIKLVQGLSKSRLSSNVSISKHSLGKRQDHLTGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRIMVHAGCYGEIEPCDGALWLCNLCRPGAPDIHPRCCLCPVVGGVMKPTTDGRWAHLACAIWIPETCLSDVKKMEPIDGVNKISKDRWKLMCTICAVSYGACIQCSNDSCRVAYHPLCARASGLCVELESEDKIFLRPKEDEEADQCIRMRSFCKRHRQTSTACLESKDMIKPTTHKNSDYLPPPNPSCCARTEPYNFLGRRGRKEPEALAAASSKRFFVENQPYLVGGYSRNEVSTYECIRGSKVSQMNTPTNIVSMAEKYKYMKETYKKRLTFGKSGIHGFGIFGKLPHRAGDMVIEYTGELVRPSIADKRERLIYNSMVGAGTYMFRIDDERVIDATRAGSIAHLINHSCVPNCYSRVISVNGDEHIIIFAKRDIAKWEELTYDYRFLSVDERLSCSCGFPGCRGVVNDTVAEEQLSKIYVPRSDLIEWTG >A06p023190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11821546:11821773:1 gene:A06p023190.1_BraROA transcript:A06p023190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLETDMHEACLKIDKQVRVLTVHGSGDKVVPVPDAEEFAKIIPNHKLEIVKKADHGYTKHQTQLVSTVLEFIK >A06g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10782544:10783924:-1 gene:A06g503530.1_BraROA transcript:A06g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFTPLSGPELSSTKLKERLTEQETKKHPFTSSAIELVVCIESSLMNCLRVLILVLFLFVCVLSPMAEGSLKKECIRWSKTGRNVCKQLSASGGAKQSESEANEMPCSNKAMGPLITIKATYHVPFETNTIRGIGSECSRFLIEVKIH >A09p078160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57921806:57923908:1 gene:A09p078160.1_BraROA transcript:A09p078160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMETNSKSRKELVLIKYAVFSRLLVLLLTISWRSFLQPYDTSAMLNPPCLHHEEVAEESPPLNGVSKTLENGVVWDSVYFVRISQCGYEYEQTYAFLPLLPFFISLLSRTVFAPLVPLIGLRGVMVLSGYVVSNLAFVFAAIYLFRVSVIVLKDAEASFRASVMFCFNPASIFYSSVYSESLYALFSIGGLYHLLSGASNVAVLWFALSGCARSNGILNAGYICFQTMHRTYEALYQKKRICVLVTGLLRCICICLPFVAFQAYGYYNICHGHKLDELRPWCKAKIPFLYNFIQSHYWGVGFLRYFRFKQLPNFLLASPILSLAVCSIVSYMKTRHELFISLGFQATEKEKRSSARLYSLKDALEPDVITSSNDNRDIRQRKPRRKDVTVINAAAKSNSPETTGYFSADVFPFVVHLGLMTATAFFIIHVQVATRFLSASPSLYWFASHLIASPRHRKWGYLIWSYCAAYILLGTLLFSNFYPFT >A03p056350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24360918:24364923:1 gene:A03p056350.1_BraROA transcript:A03p056350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPFNEIYKNLLFKQITNTENNVPQIPFSFSITAVVEEVELPLIDVSRLIDGAEKEREICKEEIARASREWGFFQVINHGISMDVLEKMRQEQIRVFREPFDKKSKSERFSAGSYRWGTPSATCLRQLSWSEAFHVPMTDISDNKDFTTLRSTMEKFASESEALAYTLAEVLAEKSGRKSNFFKEKCVRNTCYLRMNRYPPCPKPSEVYGLMPHTDSDFLTILYQDQVGGLQLIKDNRWIAVKPNPRALIINIGDLFQAWSNGMYKSVEHRVMTNPTVERFSTAYFLCPSYDAVIECSGDCPTYRNFSFREFRQQVQEDVKKLGFKVGLPSGSSHESLQAIEYPFTRHPRTNHRRSLLSLRS >A02g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20490146:20494486:1 gene:A02g507220.1_BraROA transcript:A02g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSMMLPVYPSPSISYSEASWKHNKKFAKELSIEEEYHQLRSWFLHRRCSCALLRYMHRFWVPLLNPTSSSSFSLPPPPLSNPRRRSNFSPLVIIASAVFAAPSDVNNSVPGKNGAYTSLVALDSISDGEGGGWDWIYHKENKRPLSSCSSSYQLRPIARETMGSRSQSEDQSTFDPNYTPPNTVDFATQEVLATLAAAAEAGDQIASQEAGVTRADGKQQGSRKGLSVLLMILMIPMLKSWSLEQPAASRNVMLHSFCVQPAGRLVRACAIRRYWTGPAFSRPQPARDGTASTREMLSPRWDGTGRDGPTCLTSLDSVFHADWSKMALHIMFRFIRTRWMHRVKIKTLAGLSGWSTG >A03p054360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23333742:23335486:-1 gene:A03p054360.1_BraROA transcript:A03p054360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLTCSTTRVAFSSSSSSSSWCSGSGGFRKLFDSPASSRSELQRKRSSRLNGLSLEKPRSVKASSSSSSQSSGEVIDDELAVPSVGSFSGGELAGPSGEVTSVGEFVGGSGGGDFSGWDKVGAVVRLSYGMGIYCGMAVAGRFICEVAGIDYTGGFNASLDAITAGLGYASPPIMALLFILDDEVVKVSPHARAIRDVEDEELRGFFHGMSAWQFILVVTASSIGEELFYRAAFQGALADIFLRGTDLISDSRGMVALTGILPPFVPFAQAFAAAITAALTGSLYYMAASPKDPTYIMAPVLKTRSAREELKKLFAAWYERRQMKKIYSPLLEGLLGLYLGFEWIQTNNLLAPIITHGIYSAVVLGNGLWKLHHHQQRLRLRVQKLETEVDNKNSR >A05g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17026124:17027425:-1 gene:A05g505940.1_BraROA transcript:A05g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKRSSDVEMDEATSQAPVPASSVEQVRADTELPSSYDLAVALDHGAEVQVPQNAGSQVETSVPCVPGASVQPTGSSTTLILFEDKEKAAESMPPPPARKEIILVLRAPSSAPVVQPKGRKRKFTKGGDGESLQQGGSNMVSELRGKFMSLIDGMISECGSEASRLARDLTEMQGKWSETEAMLKAIEGSHSAKVSKLEVEIGELERDLGKTASSLLKEKARKAKSSEVRRLQRQIESDVGSTSCGIEKAKDALRAEFQARLAKISAFLVFLECIRSRDLALATVKGGMAVVWALQSETPPSLEAEETRLSDCKGDSAAVDGDFYLVLADLKSVCSLPTCSEDPEGKDPVVGENRGDAAPSLDEVMGEEEA >A07p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1097473:1098985:1 gene:A07p007290.1_BraROA transcript:A07p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWLKPHHFTPSPIPPEPGTPRQGVTEAVKKIASLWSTIGKDISNKQPQMNGLVLPVENTPPPTLKDNKIFHFPWPACMNQASRNLFCDVEPIQIGWHTSMSTLKPNSQSSAHQAHEITENDTATILVKVVTATTFTLGNTALSQSHVVEEIPSKSSHFNHQQFHMEPEIPVIYGKGTGFDEFRETFSYNLTKGGRTTKPTQKLQDMEWTKVSGRGKGGHRGRGNHIL >A03g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21533715:21549171:1 gene:A03g505990.1_BraROA transcript:A03g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMVTLDQEFIGSKKLQEKGVGEKDIARETVAEPKPKAVQVCRVCNVTCQSPIVFESHLRGQKHAAMLSQSEANSKKLQDKGDGEMDQPREAITEPHLQSQSAQDNSKCLGKHVAPGFVASDGAKSGVSTKHITKETNVWPVFCHVCQISCESKVAYANHICGKIHQQKRERMFERDAMLSKENAERLKKVLSKSQTAFASQNHAAMVKEQTEAKSSIPKGLRLERVQLPADGNVTKKFEDGSKHKPPPTPSQPVKDFAGLKEQAAKREEAKVQPDNFWTRLWGKKRKKDASDKKILVDMFLWVLENPAPANLMLISGDGDFSYALNRLRMLRYNILLAHPLQASPFLVASARTSWMWRSLIATRSCCSFGSEHALSTQAMDSGSVFNKADKLKGKAPGQQGTRRKKLQKEWRVCNVACKSTDTFTMAREQAPQALIDAKECVQLDNDSQSRDAFMKCLEKQNKELMETIATSERSGREFWHDFKERLDKSGVAPLSVDHVFSELSRDFHVPKEVRECFEAILMKLEPTQNDIEIEKLEDMTKKKKKSTVIESKYEPYVCTICNVVCAHPSVFESHHKGRKHAAKFNKHIDDLRDRHQLQEKIIQDNGLPKDMTVIGDIAEPYVCSICNVICAHPSVFESHHKGRKHAAKIKKQADDTLSLKQALLGDQQIQDNGVPKDMKKELEIKLVEAPENMDYLDKQRQELGERCATNSGRSVEDFFQTFEEVVENDYKSLSNEECFFIELNSEFSASEESRECIDAIFKKPEVSQDANLTREFENIPSQNLEMNSGDSESSSAGGATEHPEEYMMNMKKEKVTKSSVSTKPITKEPKVLQLVWCQICRISCESKVAYANHICGKIHQQKRERMSEREAMLSKETAERLSKTETAFDSQNHAAMVKEQTEARAQEDKEEVKEINAISENLTRAFIGMNQESSVPKESRGCLDVIPQRVKAPADVNVTEKLEDESKHKPQTTPEEPLKEHLGVAAKRGEAKFQLSTFNYCQTSLI >A02p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14001261:14004118:1 gene:A02p026720.1_BraROA transcript:A02p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPTQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFLAADSLQKVIVLSLLFLWCKLSPNGSLDWTITLFSLTTLPNTLVMGIPLLKGMYGDFSGDLMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRRSNASRSDIYSRRSQGLSATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGGGRNSNFGPGEAVFGSKGPTPRPSNYEEDGGAKPAAGAGRFPYQSGGSGGGGAHYPAPNPGMFSPQSGGGGAAAKGNGAVVGGKRGNGQDGNGRDLHMFVWSSSASPVSDVFGGGGNHHSDYAAATNEQHKDVKISVPQGNSNDSQYVEREDFSFGNKDDDSKVLATDNNISNKAQQQQPKVMPPTSVMTRLILIMVWRKLIRNPNSYSSLFGITWSLISFKWNIEMPAIIAKSISILSDAGLGMAMFSLGLFMALNPRIIACGNRRAAFAAGMRFLAGPAVMTVASYAVGLRGVLLRVAIIQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLLYYILLGL >A05p016820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7503539:7504624:1 gene:A05p016820.1_BraROA transcript:A05p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTEDVKRKMTPSVPANYVSILQLRERWIKEKERKQRKEQEEAKDEERRCNQHAEEQQTIGDDLKKPQEDLDEMCLNQTNQKHWGRNTKEETAAIGSERGENEGLPEKKKRGRRNRKKKRTNQEVEECGSIKPPAEMHTPVKDQIRVYKKKGEKAMEKQRAGSREEAVTAIETQLEHLSIKRVQVHKHQSDQKHWGMNSKKDECTEDGEAGWGEEEETAAIRSERGGAEGLPEKRRGRRKRYGRNKKITTQEVQECGSIKPLEYTVKESNTPVKDQIRVYRKKENKSIEKAVTATETQFEHLSIKRGQETKKLKAQTRHDVPLGRVRMPIETTTMVWMKKGQEERAGDGNGSGVKTFNA >A02p015960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7121636:7123732:-1 gene:A02p015960.1_BraROA transcript:A02p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAKQIGFSNPLLRRNPSSPSLQRPPPSLSFPSTALPKRTVLSLSKPLHLSSLTAKSPVRCSAYEADKSEPQPTDAAAAAAETKSEAAKKLKIGVYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLMMLISWAVGIVETPKTDFDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPASVYLSLIPIIGGCALSALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAFAVEGPQMWADGWQKALSDIGPQFVGWVAAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAILGTFLQSFDKARHGVLRSDVELVNFG >A03p056250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24321375:24325466:1 gene:A03p056250.1_BraROA transcript:A03p056250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVSEKKPSRRMCRRCNSDNTKFCYFVNSSQPRYTCRYCRRSWIYRWALRNIPMGGERGRKTKRQKIDQPSVSQVNSAENHFGSFSVVLALPAQNAPPKDRMEVSDGSFYQGYHDVGSNGANQEDPNKNLNASTDHMIKNNNNNNLNVFVRGDNQVNEEKPPARVCPRCDSDNTKFCYYNNYSLSQPRYSCKNCRRYWTHGGTLRNIPIGGSGRKTKRPKIDQPSAENQQVNNHQPFLHGQETNEFVGTFNGSSSSDVVAGNHFGFHEIHGAMVNNVPPVRSFPPMEALNISDVLSRQDYYDVGSNDLIDNPLINRPTEDDLNMWNESYSNTMNVNHNASTSGRRGYL >A04p034950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20212686:20214461:-1 gene:A04p034950.1_BraROA transcript:A04p034950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFKTATKLLSQGGLVLDHELSGHNCESVEEAGMWSLAQQVDEEVVEIERSQCSRNIAWIEQKSTKSHRFEKVEFACGFNDFVQAVQNTDEYPSLQYQVMEMDLYLDDWWLVFEHQQTKELHSLIKMLLSGL >A03p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18002001:18003639:-1 gene:A03p043110.1_BraROA transcript:A03p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVVSEKMKLVVALITLQFCFAGFHIVSRVALNIGVSKVVYPVYRNLLALLLIGPFAYFLEKKERPPLTISLLAQFFFLALIGITANQGFYLLGLYYATPTFASAMQNSVPAITFIMACALRLEHIDLVRKHGVAKVLGTLVSIGGATVITLYRGFPMFHKGLNLHEDEETESKNSQNWTLGWLYLMGHCLSWAGWMVLQAPVLKKYPAKLTLTSFTCFFGLVQFLVIALFVETDLNNWIIVSWEELFTILYAGIIASGLVVYLQTWCIYKGGPVFVAVFQPLQTLLVAAMAFLVLGDQLYSGSVLGAVFIMLGLYLVLWGKNQERRQMVEETSQQDPESLTKHLLEEH >A05p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:805996:808868:-1 gene:A05p002400.1_BraROA transcript:A05p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor 11 [Source:Projected from Arabidopsis thaliana (AT2G46530) UniProtKB/Swiss-Prot;Acc:Q9ZPY6] MENVVDDQLYMELWKACAGPLVEVPRYDERVFYFPQGHMEQLVASTNQRVVDKDIPVFNLPPKILCRVLNVMLKAEHETDEVYAQITLQPEEDQSEPTSLDPPLTEPAKQTVDSFVKILTASDTSTHGGFSVLRKHATECLPSLDMTQATPTQELVARDLHGYEWRGHQTGDLRVGVRRLAKQQSTMPASVISSQSMHLGVLATASHAFNTTTMFVVLYKPRISQFIISVNKYMAAMKKGFGIGMRFRMRFEGEESPERIFTGTIVGTGDLSPQWPASKWRSLQVQWDESSTVQRPNKVSPWEIEPFLPSTLTTSPTQPYSKSKRSRPIDPSVSEITGSPVASNFLSRFPKSHEPSPSLKLLFQDPSSERNSNKTEAPATSCCRLFGFDLKSKPASAPNPPDKQLITVDSNNSGSTKCQDPNASKDQKQETSSTRSRTKVQMQGTAVGRAVDLTLLRSYDELIRELEKMFEIEGELRTKDKWAIVFTDDEGDMMLVGDDPWDEFCKMAKKLFIYSSDEVKKMSSKSLLDDECTMVHLEPDQRTVKL >A05p039770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24316782:24317373:1 gene:A05p039770.1_BraROA transcript:A05p039770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCKVSEQFCFMCSSLLIPDFYVPFLVWRLCFSMPSIGWALLEITAGHGSIFNGYVIYLPSSITSVRNVVKVISFEEIIEI >A06p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1430977:1432132:-1 gene:A06p004960.1_BraROA transcript:A06p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSVCGECNLKPWKYKCPGCSIRSCGLPCVKAHKQRTGCTGKRKATDFVTLSHFDDNLLLSDYRMLEDTKRVAESAKRMRDQICKNPYFKESQRSKLRAAAARGSTNLLFLSSGMLNRERNQSRYDNRSKCISWTIEWRFHSTDVVIVDHGVGEDTSLCSVIENHLKPGPWIHKLKPFCDVDLFSLKLFIQKGAKATTFKELDIKASLRKQLAKVAIVEYPVIHVYLPSQSYDFEVIRDFYQLNTTTPDPNGSLYYSHGDTTEGKITSREEEIEEDGR >A09p073630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56073382:56074246:-1 gene:A09p073630.1_BraROA transcript:A09p073630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRFTVAVFFVLYSVSSSNAATAPPSGGGGGGGDAQAMPCIQKLMPCQPFLHSVIPPPPPSCCLPMKAIVANDATCLCSVFNNVDMLKSLNLTKDNALDLPKACGANPDISLCKASPAGGTTTNSTSPATPKTPPVSSTGSGSTGASSSTTSPTSSAPAINFAGLSFASTIVALATTFF >A09p072430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55533774:55537097:-1 gene:A09p072430.1_BraROA transcript:A09p072430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic checkpoint serine/threonine-protein kinase BUB1 [Source:Projected from Arabidopsis thaliana (AT2G20635) UniProtKB/Swiss-Prot;Acc:F4IVI0] MRKGRSGRRSFRYLSLKVDRKIRKSTEDVCSGRTSGEDLDELLYECISTYKEDPRYRNDVRFLKICFLYLEGSEDFESVYKEVEENEICIGHSLLYEWYATFLELKGLWIRAHLVYQTGLSREAQPFDRLKEAHSFFLQRISKRTKPSSLVKVGETLFVNPWETSTVDGLILKIKPQLVKYDGYYATNKAFPSKANLSFLQNFSRNKIIDIGGRKYQIKGCAGQGGFAQVFKACIDSNPDETVALKVQKPPFPLEFHMYRQLDRRIPENQRSSFGLAQRVHMYSDCSILVCDYLSHGTLQDVINSYVVVGKSMEEVLCMYYTIEMLYMLETLHSVGIIHGDFKPDNLLIQYPPKNLTETGFHEKTGSWSNQGLCLVDWGRGIDLSLFPSTTKFTGDCRTSGFRCTEMKENKPWKYWNVDLWKELFTKLLNSETCEDDTETLRSLRKSMEAYICSDPKLMDKLNELLAKQRVSLCSS >A05p013570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5916004:5916570:-1 gene:A05p013570.1_BraROA transcript:A05p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDTQKLTLELFPLTPNVVMNVVPISDKIDLARMRLLSNEEREEEQQYGVSTELNLFTDPWTIKKELTITDISYLNHLLLNTSTIHHHVLRYLPECDRKIVQEGWPLAVDVYDHDTDSTHQMLLRKRTKCLYYVLTGGWFVDFVCRRALKIEDEIGMFWDRFDSTLHFSVLSREPVDTLLADHLRHQ >A03p060090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26024684:26027098:1 gene:A03p060090.1_BraROA transcript:A03p060090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEENPHERLKQKLSELEKVWTAMKEGKSSSAVSCITVEEALELVENSPRKLMISLQHDQDGPEAEMKSPYRRKLFHDSDDDETRTTRLSHSTCWSSNVMRAGDNNNNNNKINKKHEKKKKKNRGSIVCVCVIVLLLWVLVVLLINGFDQLSMNREIYNLAPKKGVKAVTKKKPEKVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATSLLKILLKYRPEDKAAKKERLLKKAQAEAEGKPVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIIKGKSRLGAIVHQKTAACLCLTTVKNEDKMEFSKVLEAIKANFNDKYEENRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRMN >A09p052170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45778455:45786083:1 gene:A09p052170.1_BraROA transcript:A09p052170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAGVGLSRILIIAGAGYTGTIMMKNGKLSDILGELQSLVKGMERSEGDYDDSDAVASQVRRLAMEVRQLASARQITVMDGVSGANLQALVVPAAVLGVLGYGYMWWKGLAFTDLMYVTKANMATAVANLTKNLEQVSVTLAAAKRHLTQKIQSMDDKVEKQIDLSKGVKNEVTLAREDINSLELDLASLNNLISGLDGKLDTLEYKQDVTNVCMLHLYNYFGGKSTKLPDMEQLQLQRPVNQKARNLLADVETKVGLKNFAEELLGSNGTEEGGATTVKVIGITKSNDKSRPLLSRYFLELGEFVYWDGGHVLLPICLMPMFTVQKKSFTVSGPSSSTEKLHSVDPRWSYLKKWSVTLPPSPQSSQSDPASPISTTSLLGTEDTMQQLMVSRGSELGEKLIAASIATVTSPKNQSQVIVQDPENALTVRQDDSSPIIKAASQEYPWAAKMKSVCNLNKVTVHVYLEDGTPKVTVPSHVLLQGIENQKEFVVGQFYYAPPGGLVHVVVTRIWGKKCPRETESTLVSLVDKEERDTTISLKVIVPHAPTLIVDATSDSVQKEIFPHTPTSIVDDTSDSVL >A01p014070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6854867:6857463:-1 gene:A01p014070.1_BraROA transcript:A01p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinaceous RNase P 3 [Source:Projected from Arabidopsis thaliana (AT4G21900) UniProtKB/Swiss-Prot;Acc:F4JKB6] MKLNKPPLLCCSSSSLLCAAPRSLCETFSPYSLIPRRVKVSSSTLANAKLVTLKSCAAKFPLCSSMATSDHPRSRHHRDESPTKPNKKKKASHNQEKNLLVSLHSCSKSKNLSSALSLYDAAIASGDVRLSQQHFQSLLYLCSASLGDPSLQTLAIDRGFQVFERMVGSGISPNEASVTSMARLAAAKGDGDYAFKVVKDIVAVGGVSVPRLRTYAPALLCFCERLEAEKGYEVEEHMEASGIALEEVEISALLKVSAATGRENKVYRYLHKLRECVGFVSEETSKVIEEWFCSEKASEVVRIGSDVELLRAAALKNGGGWHGLGWVGEGKWVVKKGKVSADGECLSCGERLACVDTNEVETENFVNSLVALAVERKAKMNSCEPMEDFSEFQEWIEKHGDYEAILDGANIGLYQQNFVDGGFSLPQLEAVVKELYSKSGNKKWPLILLHKKRVNALLENPNHRNVVEEWISNDVLYTTPPGSNDDWYWLYAAAKFKCLLVTNDEMRDHIFELLSTSFFQKWKLRHQVRYTFVKGSLKLEMPPPFSVVIQESEKGSWHVPITCKDGEESLRNWMCVTRQS >A03p039790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16558032:16563022:1 gene:A03p039790.1_BraROA transcript:A03p039790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGECKWLYPWFIVSFTGLSATSSVSVCSSLWLLEDLLGEEETKDQNSVAALLDHHRRLNRQESQQLPAEVKDDYKSEEDKKDLLKKKQKRRLQRDVVKMQGELEDEQALNKALRDMLRGPVMSQPRLSLLLLPPQAKLIEELGTVEAEILCLEKRIQDLKLDVHSERKENQELEANLDGEEEEERMMTPKRLLLRQNHLPCNADNAITKMRSDDLKQRYKSYSYENPHLVKDIQNNSLGTHASIGSSMEFSSRSHSSSFYDGTSRTQEKKKNVQETTPNGISEDLVKCLMGIYLELNRSSREREGSRTVSKLSLSHLKNASFKRKSVYDQNASNLDPYGVVMGTSFRDIGEYKNFIHITRTSIDVSRLSDCSTSIVNLRVLKEKLSKVDLSFLNHKKKMAFWINTYNACVMNGFLEHGLPSSKEKLLTILKMAKIDVGGTQLSALDIEGSILQSPCEPRETVSTGENEARLQKRYGFRCIEPNLMFVLCRGDWSSPALRVYTAEDVVNELIKARTEYLEASIGISGRKKIVIPRFLHKRFRDFAEDEGSLVEWICSQLPPVQRCLQVKETAVEWLKKKGESSLNKLVEVRPHEYEFRYLLPL >A05g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25516333:25517022:-1 gene:A05g508690.1_BraROA transcript:A05g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNENSEELRCVIAVIRQYKVKLNVTEEKLLNLILKYNGGKPRAEVREAPAFLPGRESDSDPEDLEHAEKLCQVKAVIEEVL >A10p015060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3818571:3820376:1 gene:A10p015060.1_BraROA transcript:A10p015060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLPFLNATGISLPSSGSIRRRSHIVSRQDLPEFSSKPVSSPRLSFSRNGRHERFLSPEVIRSVAVRSQLSTPLISGNDEWGTWTALFATGAFGLWSEKTKVGSAVSGALVSTLIGLAASNLGIISSEAPAFAVVLNFLLPLAVPLLLFRADLRRVVKSTGKLLLAFLIGSIATTVGTALAYYLVPMRALGPDSWKIAAALMGRHIGGAVNYVAIANALQVSPSVLAAGLAADNVICAVYFTSLFAIGSKIPAETSPPPTSGKSDCCCGVWFCPKNESFPCHMPLSYAATNKDSETTNKIPVLLIATGIAVSLAICKAGALLTKYFGISGGSLPAITAVVVVLATVFPSQFGRLAPSGEAMALILMQVFFTVIGASGNIWTVINTAPSIFLFALVQIGTHLAVILGIGKLLNIELRLLLLASNANVGGPTTAAGMATAKGWNSLIVPGILAGIFGIAIATFIGIAFGVKVLKFM >A08p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13842468:13843996:1 gene:A08p020460.1_BraROA transcript:A08p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVDESGFAPLNLTKFTRDEEHMKEEDFPFEVVDQSKPTSFLQDFHHLDHDHQFDHHCHHHHGSTSSNPSLLGAPRTLSCINKVPLQHCSYQENLVDFYESKPHLMNHHFQASDNQYFTRDHHHQEISLVDEHNPVDLEQNNMMMMRMLPFEYPPTAIIKPTNFMMPDEVSCVSADNNCYKAMSFNKTKPFLTRNLSSSSSSSSWKGKNNTTLVKGQWTAEEDKILVQLVEKYGLRKWSHIAQVLPGRIGKQCRERWHNHLRPDIKKETWSEDEDRVLIEFHKEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRPSLLQDYIKSLDLGVLSSSSVPARGRRKESNKKKDIVAVEEKKKKEEKFYGQDRVVPECVFADGFGFNENLLEEGCSIDSLLDDFPQADIDAFVHGI >A09p064820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52085565:52087154:1 gene:A09p064820.1_BraROA transcript:A09p064820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYHRRGLAANDTSPGYFVRLDKPRAVDDLYIGKRGKMRRWLCCVCHVEEPYHSPENEHLRSPKDYNDKDKKPQAAVKPDVLKEPPAIDVPALSLDELKEKTDNFGSKVLIGEGSYGRAYYATLEDGKAVAIKKLDNAAEPESNFEFLTQVSRVSKLRNENFVQLFGYCVEGNLRMLAYEFATMGSLHDVLHGRKGVQGAQPGPALDWIQRVRIAVDAAKGLEYLHEKVQPAVIHRDVRSSNVLLFEEFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRSATPAAAPSQEI >A10p017000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2682043:2683580:-1 gene:A10p017000.1_BraROA transcript:A10p017000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase ILR1-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) UniProtKB/Swiss-Prot;Acc:O81641] MAISSIPHSAPLLLLLLVIVSVNGEYPPRLLLEAQADKDWLLSVRRQIHENPELLYELHQTSALIRRELDQLGVSYSYPVAQTGIVAQIGSGSPPVVALRADMDALPLQELVEWDHKSKIQGKMHACGHDSHTTMLLGAAKLLTKRKHLLNGTVRLLFQPAEEGGAGAFQMIKEGALGESEAIFGMHVHHGLPTGQVSIISGPSMASTSIFSVRIFSGGASSCVDPLLAASSTILALQHIVSREADPLLSYVRVLSVTFMKSSGASDELPPYVVEFGGTLRSLTTHGMSWLRRRMKEVVEGEAQVHRCQADIDMHEEDHPMYPATVNDHKLHEHAERVLKLLVGPENVKPGEKIMAGEDFAFYQQKIPGYYLGIGIRNEQVGSVHSVHSPYFFLDENVLPIGSAVFAALAEMYIQDHQNQTKSGQ >A08p005330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3077501:3078802:-1 gene:A08p005330.1_BraROA transcript:A08p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEMAKIIRDVLMLRENQAPKVGASLSRSEPRKILMVGIKPHIPDLNVKPCSDSDEKEKREIAKEFQNLVGLKTHDACYVNHKLLYELEVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQEKELVKPHMILHSGAVSNWEMFDKDFKTFRRLPKVPSSDYCFFHSDKETISVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSTSHGKTAFFAGGIKMDENGNPVVVQTVEKYNADTKRWTMINGMHKARKFSSGCFLRGKFYVFGGRHDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLETSLNELRVYDINTNIWKKLGVVPVSANVAFGWGIAFKSMGDRLLVIGTSHSWHKKTVVHSCRPSPDVEEQHWEEIKHWCVGAELPQFIHNCCVMFA >A09p061560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50708652:50709917:1 gene:A09p061560.1_BraROA transcript:A09p061560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTGTQQKCKACEKTVYPVELLSADGVSYHKSCFKCTHCKSRLQLSRYSSMEGVLYCKPHYEQLFKESGSFTKNFQSPVKPAAEKSSPELTRTPSRVAGMFSGTQEKCATCSKTVYPIEKVTVESQTYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKSASIKRSAAAAVAAGAPAAAVPES >A04p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:998226:999551:-1 gene:A04p002040.1_BraROA transcript:A04p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTCNILPKVRRPTIIRATLESSANSNTTDGYQNILMMRHGDRIDQVDPLWLDTAARPWDPPLVHDGMVRAFRTGQRIRSQIRFTIHRVFVSPFIRCVQTASEVVNALSAVDLDPSATTSKDVLSIDKSKLKVSIEFGLSEMLNTISIKPEIVPKDRKFEFLISDLESMFPEGMVDHNAVPAYKEMPLWGETVQGCTDRYLSVVNTLADQYPSENLLFVTHGEGVRTTFATYKEVEVYDIEYCACAELRRHVSSQGGSTKAGHFEVITRLGQSGIKYH >A04p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22195801:22198221:-1 gene:A04p039270.1_BraROA transcript:A04p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKPSHSDHPLTLLHSPPYGHSYTCDACGQYGSGFTYNCSECQYDVHVGCAFIPETVKREDHDHPLTLVYNTPCKGREDGAMFICDVCEEDMSENLWVYYCKECDYGTHVHSCAVYEDHQPKKGGGGGGEEGRGGGGGGESSSAAARMKSLMKAQDEMAALQLEARIRKNTNDAILEDSPTSHKTTKTLDTYQEHMGSGKTRANPTNRPTVRHPSHDHPLRVFKSQEGDEIICSGCELELIGQAYKCTKSECNYFLHKSCFDLPGETLHKSHPNHPLTLVHSPPYDQSIFSCDACGEHGSGFTYHCSKCQYDVHVGCAFVPVTVQREDHEHPLTLLYNTPCKGREDGVTFICDVCEEDMQEHLWVYYCKECDYGTHVRSCATYEDTAPKKGEEKGETSSAASEVKSEMDAKMEMAMMQVQLDAIDAAGSYVGSWEPRRKYYW >A05g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7955558:7956091:-1 gene:A05g502550.1_BraROA transcript:A05g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLSQGSLAVSRGIGDAHLKRWVIAEPETKMLRIDQDHEFLILASDGLWDKVSNQEAVDIARPFCVGTEMKPLLLACKKLVELSASRGSSDDISVMLIPLRQFI >A09g502910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9799157:9799444:-1 gene:A09g502910.1_BraROA transcript:A09g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCILLITFNCKFIKTYFRKKAQDGDHNLQNARRRVTIGLHRQTVAMEKLRERGAGVPEEELSCGREEWPRSCGCLFPGFYQLLFLIDKIQTDF >A05g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27523865:27524306:1 gene:A05g509160.1_BraROA transcript:A05g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANVDTTQNLISLSTHSPPHKRGADWISGDRRVVAPLVPPPVLYVVRRRFNKVVHTRSEVLVSLCLLRSGDSKGQFPVRALRWFWTGWWGPSPVKSFGFCSPEVSPFCNPP >A01g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19031212:19035011:1 gene:A01g506590.1_BraROA transcript:A01g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPNLDPNTRLLTEEYQRGITEFLGIFMAEKRFEYRYGTEDELEEMKQREFAGWIFTYVSAGLARGETFDDWIREMVVGLYFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLGIVGLRCTVFYCDWHDNTRDRGVRTYAFGVTSVNSRRKLQYYDPFILASQADQVCYIKYPQVRNRDDPWVTVTRLNPRGRVQGSSELEDPLQPSTSGNLRAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSVLFLGISSEYSDGIPRMVGVSSEIPLIPQNSLGIFRGTR >A09p056900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48196907:48200403:-1 gene:A09p056900.1_BraROA transcript:A09p056900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLKSGHNNRLPFNQLTIYLTAVLISYFVRNDDAKMIEGITTEILNTLINSTPSRDFDGLVGMGAHMEKIEPLLRRDLKEEVRMIGIWGPPGIGKTTIARFLFHQLSSNNDNFQHTVFVENVKAMYTTIPVSSDDYNAKDICEVLSDDTAGTSSVIGINLKLSKAEERLHTSESAFERMTNLQFLRIDSGYNGLYFPQSLNSISRKIRLLEWNDFPMTCLPSNFSPQFLVKLCMQGSKLKKLWDGIQPLRNLKWMDLRSSKNLKKIPDLSTATNLTYLCLRGCSSLENLPSSIGNATNLLNLDLSDCTRLVNLPSSIWNAINLQTFDLKDCSSLVELPLSIGNAINLKSLNLGGCSSLKDLPSSIGNAPYLQNLYLDYCSSLVNLPSSIENAINLQVLDLKYCSSLVELPIFIGNATNLRYLDLSGCSSLVELPSSVGKLHKLPKLTMVGCLKLKVLPININMVSLRELDLTGCSSLKKFPEISTNMKHLHLIGTSIEEVPSSIKSWPHLEHLRMSYSQNLKKSPHALDTITELHITDTEILDIGSWVKELSHLGRLVLYGCKNLVSLPQLPGSLLDLDASNCESLERLDSSLHNLNSTTFRFINCFKLNQEAIHLISQTPCRLVAVLPGGEVPACFTYRAFGNFVTVELDGRSLPRSKKFRACILLDYQGDMKKPWAACSVTSEQTYTSCSAILRPVLSEHLYVFNVEAPDRVTSTELVFEFRVFRTNIFPTNTLKIKECGILQLLEEADDEHRQSFSSDDDDY >A04p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14335183:14340077:1 gene:A04p023660.1_BraROA transcript:A04p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSCSSSQGAEQVETHGGLTMDEMLLDVDVEEAAVNESVLNPELDGLNAEKHSTTDVVIEETENLGGDNVEGESSSPSEPKWLQQDEPVALWVKWRGKWQAGIRCARADWPLTTLRGKPTHDRKKYYVIFFPHTKNYSWADMQLVRSIYEFPDPIAYKSHKVGVKMVKDLTVARRNIMRKLTIGMLNIVDQFHSEVVVESGNDIIFWKEFAMEASRSTSYQELGRMLVKLHSMILQRYMDPNWLENSFPSWAQRCNDAMNAESVELLKEEFDNCIQWNQVKSISDPQMQLMVLSEWKTWKHDVTKWFSISRRSVAEVAHEDSNKSIFNSDVQASHKRPKLEIRRAETTNTSSQMEPDTSPQENTNAPVVVMKDEDVIMNTPVNGTDLWDGIVVEASGSQLVKTRETNDLSLTVVKKPFGSGNKSQQCTAFIESKGRQCVRWANEGDIYCCVHLASRFATKAIKSEASPAAAEAPMCGGVTVLGTKCKHRSLPGMLYCKKHRPHTEMVVMTPDNNSSSHLLVKRKAAEMIEATQYQDVVVVPPPPFVPNGTTTSFTEMLEHCSNEDSLCVGSCSENSYVPCNEFATKHTLYCEQHLPNWLKRARNGKSRIISKEVFVDLLRSCSSREEKLPLHQACDVFYKLFKSLLSLRNSVPVDQQLEWAISEASRDADAGVGRFLMKLVSHEKERLARIWGFNAYGEEDASESPLAITNGKGEETRDEEKWSFVGFACAICLDSFVKPKLLEAHVEERHHVQFAEKCMLLQCIPCGSNFGDKEQLLVHVQAVHPSECKTLTVASESQNHPEASNSQIVVSQNIESTSGGVHRYVCKFCGLKFNLLPDLGRHHQAEHMGGLVTSRGPKKGLRFNSTYRMKSGRLSRPNKFKKSLGAVSYSRIRNRAGVNMKRRIMQDSTKSKTESSPPPSDSTNFDRSEDAHCSVVSNILFSKIKKAKLRPNNQDILSAARSACCKVSLENSMEAKFGVLPERVYLKAAKLCGEHGVQVIWHLKGYICSNGCKPSKEPNLLSPLIPRQENDRSEIAEDAGEASDNGLEVDECHCIMEAHHFTKRPFGKTNVLCNDISFGKEPVPVSCVVDEDLLKQCEKKPWECFTYVTKPMLNPSVDLSKEKLQLKCGCPGSVCSPVTCDHVYLFGNDFEESRDIYGKSMRCRFPYDDKERIILEEGYPVYECNELCGCSRTCHNRVLQNGIRTKLEVFRTENKGWGIRACEHILRGTFVCEYIGEVLDQQEAKKRRTEYGEDGCSYIHDVDANINDIGRLIEGETDYVIDATTHGNVSRFINHSCSPNLVTYQVVVESMESSLAHIGLYASTDIAAGDEITRDYGRRPVTSGQESGHPCHCRATNCRGCFF >A08g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8000867:8007471:1 gene:A08g504800.1_BraROA transcript:A08g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYRSWMDKPHLDPNTNLLTEEYVQGIGEFMRLVQQEPDAKSGMLRCPCSTCNNNKVIKEFDVWTHLYMKGFSRNYKVWYLHGETGYEYGSTSEPQPVSELQPDISVYMAELRMTHRHATEDELQQLRDNGFAVWLRSYVNDGLARGFVFDDWIREFVQGPNYVVKSYPKFCVWCYISSFGRKLQYYDPFILGSQADQVCYISYPRVTYRDDPWVTVRRKSLAKYRRDSDDKESEDVPRPAARLRRSSVSSSRASGSSHEQNSVIAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVSKRKGARLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A09g504990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15240759:15240983:-1 gene:A09g504990.1_BraROA transcript:A09g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGEFVEEDKVVIAHKEVHDAAFGGCARKREEDGKEAKENVENGSQGFGCAREDKETQTNSVSKSCRKLLVI >A03p068550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30033540:30036132:-1 gene:A03p068550.1_BraROA transcript:A03p068550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAASSTISVATTNPLKSLSLSSRSPLPSASSISFPSRNPRRLVLVSCTTGDGSKPTILVAEKLGDAGVKLLEDFANVDCSYNMTPEELNTKISLCDALIVRSGTKVGREVFESSRGRLKVVGRAGVGIDNVDLRAATEFGCLVVNAPTANTIAAAEHGIALLAAMARNVAQADASVKAGEWKRNKYVGVSLVGKTLAVLGFGKVGTEVARRAKGLGMRVIAHDPYAPADRAHAIGVELVGFGEALATADFISLHMPLTPATSKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAKDSPLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVVLAEKLGRLAVQLVAGGSGVKNVKVSYTSARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADFTAKQRGLRLSEERVVLDGSPENPLETITVKLGNVESKFASSLSESGEVKVEGKVKDGVPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRKQAIMAIGVDDQPSKETLKKIGEIPAVEEFVFLKL >A08p012030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11276452:11276640:1 gene:A08p012030.1_BraROA transcript:A08p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAP1 [Source:Projected from Arabidopsis thaliana (AT4G13520) UniProtKB/TrEMBL;Acc:A0A178UU77] MRPMQLDMLAEMDDAGSSMAMDVDDIEAMEMLSEGGLISENKLADADFFNKFDDDFDDTDIN >A06p001840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:823457:823909:1 gene:A06p001840.1_BraROA transcript:A06p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSASMNPSLFRVICILHSVIALTSGTLMMFYTEKASIFGPGSEIASKLKGSTPHDELLIQISQSFSGLLLFAIGLILFMVSFVKDREFHGFFAGGSVILYVLMASWRVLFEWKIEDLAYEWPKQALGDIALGISWVFFLVYSWREKYD >A08p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5207360:5207648:1 gene:A08p007860.1_BraROA transcript:A08p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLQYLSCQSIKTDCKDLITMIKESQAWPSLATELEAIKTLKICFPEFKISHIPRAQNGISDSLDHLKFE >A10p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3002558:3007206:-1 gene:A10p016530.1_BraROA transcript:A10p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRGKTKQASSRRLNRSLKEDIVSQLPDPLICHVLSLLSTKEAVGTSILSTRWRNLWLWVHRFELSHWEFLDFNAFVSFGNRYFDSTRLSCIHNLKLTIDENEASYLTPWIDALVKRKIQYLCVRRTGGGSSFHEMPLSLYVCETLVSLKLVQLTLVDTEFVSLPCLKTMHLYNNVFPKETTFERLVSSCPVLEDLMIDVLRNDAKVYRVHSRSLKRLRFLRSSSLQSDSVPGVVIDAPLLCSLRINDGVSKMFIVKDMECNAKLDISFDFGLEAFDESNVSSISHIRNFLPGISRVRDMTISAFTFEIIHHYSKLEPLPQFDCMTRLDVIVCASILQWLPTFLERCPNLTSLELELRNDEEMHPREMNQISFSSVPECFLSSLEFVDFAIWGHFPEMKLVRYLLESSTSLNKLTLNTLNQGRSHSIGKGKDIYMVGHKEAKHACFKGLRLRFKEDIISQLPDPLICHILYHLPLQEAVKTSVLSTRWRSLWLWVPRLELNSQDFTDLNAFVSFGNIFFNSDRASCIHKLKLTITIGEIDNDASYVSSWIDAAIKRKVQHLDLHWCGVSNFFTEPLKLYNSETLVDLKLSQVLLDDATLVYLPCLKTMHLEDNWYPSEATFEKLVSSCPVLEELNLTIHEKDTKTFRLQSRSLKKLNLVRAYFHFRSSDPGVVIDAPLLCCLSIYDYASESFIVNNLESVAKMDISLFFGSGVFDERKRSNVHSFLLGITRVTDMTLCAKTFEIICRQYSELDLLPRFGYMSHLHVTSRISDLKWLPTFLDSCPNVKSFVLSWFCDYEKMISEEMSFPFVPQCMLSSLEYVDFKVQILGLTAEMKLIRYFIENSAILKRLTLRLVGNSARDNYTLKELLRIPRGSTNCEVVIL >A07g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1390387:1391523:-1 gene:A07g500700.1_BraROA transcript:A07g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQIEELRASQTQQSEEIRKDLGGEISALKDIIEKYFANSPPFNQREGKQAESSSDLTAADTNRRPVPPDRFPPDQTAAKIAKLHELSLAHTPAKTSRPAFNSSQRSSFTQNKNQYSSTTPTTPNTTSNQNKPILATPPHKRISFDEMQERKRKGLCMYCDEQFTPGHQLKHRRSEFLLLEADPTEFDEEIALEEQIRETTIEDEDDK >A06p028910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:330008:335406:1 gene:A06p028910.1_BraROA transcript:A06p028910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACEQSQPWD >A02p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30527489:30527729:-1 gene:A02p049220.1_BraROA transcript:A02p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNALSLQGCEMLRSGCLMPTQTMVKLVILQVWQAAIYELCKERNRRLHDGLTLPPVHIHEIHI >A02g512190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33121993:33122470:-1 gene:A02g512190.1_BraROA transcript:A02g512190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNQTWWFFMAAIACGRNIVTITNQGWSSRAKERNLRGVWCQEIEAVRAVLVERERPRVGRDKANGVTGVEVHDNEHSGFRRECGDGRDECIWVSKRDGFYQRRVVRDEGSRKEFVDVLKSKWVWKW >A10g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10544794:10547202:-1 gene:A10g504290.1_BraROA transcript:A10g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVLKVEALKKLLCSCVFESFWERFKFKTGCGVSSEVVWVEDRGCEGFYKSFNSR >A04p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:678856:683239:-1 gene:A04p001410.1_BraROA transcript:A04p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLSCESRFPIFSTCPRKTLHLKPPRVSSGPEPGAKTLTENLISLLRAVPDWADEIKERGMQQKRTLYTHEKWVEHRSSLRHVRHLVSSFSSRVILSLIPPVFFFTSVAVVIASYNSAVALEWLPGIFPILRSSSLPYQLTAPALALLLVFRTEASYSRYEEGRKAWVRIIAGTDDLARQVICSVDGSGDELVIKDLLLRYIAAFPVALKCHVIYGSDIARDLRNLIEADDLSLILESKHRPRCVIEFISQSLQLLKLDDTKRDLLESKMLHLHEGIGVCEQLMGIPIPLSYTRLTSRFLVFWHLTLPIILWDECHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCDLVHSNIQEALNRFEPNQNQIKSNREITLFENSVYIGINNLVGRRLESATIIDNQELRRRYQWEKDDPLTEASNILLPKFGGGCGTLVAMTTETGRKKRTPQILKTDTSHTALKLVRFSSLKQPGFGLNKLKQQFGIFLEHHAEKWVANMTTSAEDPIEPEPDRLGLGAKVSRQMKRRPSDDPLDQKLQAKFDAGRRKYARSEAECAGTSKNAGDDDSEDDDDESESKSQAFGKKRQNTSTRR >A06p005810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1888084:1888789:1 gene:A06p005810.1_BraROA transcript:A06p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRFVGRRFLAAASARSESTTAAAASTVKIAKNPLEEFFEFDRSQDEDKPVVYGRGWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLQAQNMMFPNPERIPKVRRSMCRIKHVLTERAIEEPDPRRSAEMKRMVNAM >A02p054050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32895370:32897590:1 gene:A02p054050.1_BraROA transcript:A02p054050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFREEKGNNPEIIRESQRRRFASVEVVDEIIRLDKEWRQRQFEVDNFRKEFNKLNKQVAKLKISGADASEVIQQTEKNKRDATEKEAEVREAYAALKAKLETVGNLIHDSVPVDNDEANNAVNDVWGEKLVASPGFKLKNHVDLVELLDIADTKRGAEIAGARGFFLKGDGLLLNQALINFGLTFLKKRGFTGLQPPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHIDEWIPPNDLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKIEQFCITSPNDNESWKMLDEMMQNSKDFYQELKLPYRIVTIVSGALNDAAAKKYDLEAWFPSSETYRELVSCSNCTDYQARRLEIRYGQKKSNEQAKQYVHMLNSTLTATERTICCILENYQRENGVEIPEVLQPFMGGETFLPFKAKPVAADTKGKKSKA >A03p018650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7609759:7612094:-1 gene:A03p018650.1_BraROA transcript:A03p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIDPSVAIMALIVAILVVPMGCQRPKVVNLGAVFTFDSVIGRAAKVALEEAVSDVNADRSVLKETELRLFMEGSSCNVFHGSFGAFKVLEKEVVAMIGPLSSSIAHTLSDIAKGLQFPLVSFAATDPTLSALQFPFFLRTTPDDAHQMSALVDFITFHGWKEVISVYSDDELGRNGVSALDDELYKKRSRISHKVPLSVHSDEGSVTDALKKSKSLGPRVYVLHFGPDPLLRIFRIAQKLQMMTREYVWIATDWLSVTLDSSLSDNGTLKRLEGVVGLRQHIPQSVKMHQLTHKLKSNGSMNAYALHAYDTVWMIAYGIEKMLNEGINITFSYSEKLIHAEGTKLHLERVKIFNSGRVLLEKLLQVNFTGIAGHVRFGSGRNVIGCDYEIINVGKTGVNTVGFWSRNGGFSVVPPDSRHTHKKTGFVSDEKLGNITWPGGGREKPRGWVIADSASPLKIVVPNRVSFVEFVTEENNSSHQIKGLCIDIFKEALKFVPYSVPYIFESFGDGHSSPNYKHIIQMVTDGVYDAAVGDFLIVPTRSKLVDFSQPYTSTGLVV >A01g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19727735:19728739:1 gene:A01g506720.1_BraROA transcript:A01g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKNRPPYKYYPSLSLRLPLQQFVSVEGQSSICWGQKRLRRNYHPKILVDRISERVSKRRDVIFVKITYTRFLRRSILWDSNRTNQARSLRSDRATKLGRYVATERSSRSRPSDRPARSLRSNRARAKARSLRSDRAIVPLATELSQARSLRTLVPLGRYVATELSQARSLRSDRAIVPLGRYVATELSQARSLRSDRAQAKAPSLRSDRALVSLGRYVATGTGLEPKFDRCVAIEPFRTSIRHQSMHSRQTFECYLPKTVASSVHFSRYPNSSIKLRGLETAENS >A01g510520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28905762:28907474:-1 gene:A01g510520.1_BraROA transcript:A01g510520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSTICNDRYFYSETCFYFYRFGATTGWVFSADEKGTRLLLLESSSTLEVFKRMVLEDFDMEEDSLPDLELSYLPNELINTSTCPPVIIANDRQLQNFVGFVQKCVSTRLCVTSKAKVENLNEPDFDLNKSPADSSSAQEEGNSVDRGNEPAPVFVERQCEKKKEKIRRVEC >A02p027270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13233202:13245854:-1 gene:A02p027270.1_BraROA transcript:A02p027270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILGLKSTLHFLNMCFWATYKSFNVQSNEREGVHGSKPDLGDDIVGADTWIQKLYSERKDGPAKEGESNFVFPTWTNDTKSDCCRWEEVKCNRSSGRVTVISFGLMYMKESSLLNLSLLHPFEDVRILDLGWNRFSGLFDAVEGNKSLRRLRKLEILFLDLNEFNSSIFPFLNAATSLTKLSLKANNMDGPVPVKELRDLTNLEVLDMSWNRFNDSIPVQGICKLKNLQELDLTGNKLVGHFPLCLTNLTRLRVLDLSSNQLTGSIPSSLSNLESLEYLSLLDNNFEGFFSFGSLTKLSMLRVFKIQLVPSVIPSWIGEFQHLSMLLLSNNLLEGEIPISLFNLSYLGLLDLSANMLSGDIPPHVNSERRVVLLLHDNNFSKGIPDTLLLNVSILDMRNNRLSGNIPEFINTQNISILLLRGNNFTGRIPHQLCGLSNIHLLDLANNGFSGSIPSCLSNISFGSGKEDTSNDFDFVYGIFNGLTTISPSLGHRGNDVGAYFRSLVVLEQFSMDYLASFLTKIEFPTKHRYDSYMGGNLLELCGLDLSQNKLSGEIPVEIGGDLLKLHALNLSHNYLSGEIPRSFSGLKTVESLDLSFNRLHGEIPPQLSELSSLGVFKVSYNNLSGVIPQGGHLSTFDANSYLGNPFLCGKPTNKSCNSNNIQEPDDEVEDDEYTIDMVSFYWSLAAAYVTILLGVIASLSFDSPWSRAWFNLVDAFLRKVRSRTTWLMVFAVLLSLCLMFSMTATAVAGDSLDSDREVLLSFKSHLESRNPTERGKYNEWETTEKQDVCHWPGITCTPDKSRVTGISLTDSTISGPLFGNFSALTQLTFLDLSSNTIGGSIPDDLSRCHSLKHLNLSNNIIRGELSLSGLSNLEVLDLSVNKISSDVRSSFPLICNSLVVANLSTNNFSGRIDDVFNECRYLKYVDLRYNRFSGEIWSGFRRLVKFSVSGNRLSGNISASMFRGKCNLQVLDLSGNGFVGEFPGQVSNCQNLNVLDLWGNNFTGNIPAEIGSISSLRGLHLGNNMFSRDIPETLLNLSNLVFLGLSRNNFGGEVQGIFGRFTQVKYLVLYGNSYVGGLYSSNILTLPNLSRLDLSYNNFSGRLPSEISQNLTFLILAYNNFSGDIPHEYGNMPRLQALDLSFNRLTGSIPASFGKLTSLLWLMLANNSLSGEIPREIGNCSSLLWLNVANNQLSGGLYPELTNMGSNPTPTFEVNRQSEDYIVAGSGECLVMKRWIPAEFPPFIFGLETLTKRSCRSLWDHVREGKCIFPVCPPGSTVGPLDVSGYFQLSGNKMSGEVPANISQMKKLSMLHLGFNEFEGKLPIEIGLLPLVFLNLTRNKFSGHIPREIGNIYNLQNLDLSYNNFSGNFPTSLNDLNEMSKFNISYNPFIHGVIPSRGQLATFGKDSFLGNPLLQLPSFFNQPGNNNSSSGERDNGREEDEDDESAIDMLAFCWSTVSFYVVALIGTLVLIYFDCPWCRAWLRLVDTFMSSLKKCFRSYYQSCETYRKIHEASRIDSHKLIPMWFRDRREKEQQRLCKIQRDDDAVTSQAPQEPTTPNAGDALRSLFLLLRIIYEQAVTRSVKTENQDAFQRLLPFDIGKSLFPKGFLLCCSTRRIKCGLFLIKSCVQGEDIFGGRVVIKVSYFGFHVPTFMTSVMRQAV >A04g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:359449:362244:-1 gene:A04g500080.1_BraROA transcript:A04g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILHDMRSRMLSTMAIKSTSLAICLFFSLATIATAYYSPSSPPVHQSPEYKPTLSSPVYIPKPTLPPPVYTPPVYKPTLPPPVYTPPVYKPTLPPPVYKKSPSYPHPSYVPKPTYTPPTKPYVPKPTYSPPTKPYVPKPTYTPPTKPYVPKPTYTPPTKPYVPKPTYSPPTKPYVPKPTYTPPTKPYVPKPTYTPPTKSYVPKPTYTPPTKPYVSKPTYTPPTKPYVPEILKVVDGIILCKNGYETYPIQGAKAMIVCSEPGSYGKKDVVIYSDPTDSKGYFHVALTDIIKNLLHCRVKLYTSPVETCKNPTNVNKGLTGVPLSMYGHRYHSDKNLKIFSVGPFYFTGPKAAPTTPKY >SC163g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:186388:190125:-1 gene:SC163g500150.1_BraROA transcript:SC163g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTYGYSFGASMIAKTIETSHCVADIARMDRDPNVGRRNQHESSPAPVEANQSPHLDREGGRDSETQEDGQDGTGLSEEEEESVSGSHNQGDQSQGEGEAQAEAPEPSALNDEKC >A07g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13569113:13570184:-1 gene:A07g505880.1_BraROA transcript:A07g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWNSSESRLDFLKVIWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLKVVWSCLLKWNPSLSL >A01p057140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31922945:31928266:-1 gene:A01p057140.1_BraROA transcript:A01p057140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D zeta 2 [Source:Projected from Arabidopsis thaliana (AT3G05630) UniProtKB/Swiss-Prot;Acc:Q9M9W8] MSTEKLLATNGVKSDGVIRRTAATANCLTGGRQIFEELPKAKIVSVSRPDTTDFSPLLLSYTLELQYKQFKWTLQKKASQVLYLHFALKKRLIIEELHDKQEHVREWLHSLGIFDMQGSVVQDDEEPDDGALPLHYTEDSVKNRNVPSRAALSIIRPTIGRSETVVDRGRAAMKGYLNLFLGNLDIVNSKEVCKFLEVSRLSFAREYGSKMKEGYVTVKHLREVPGSDGGRCCLPSQCLGCFGNSWAKVWAVLKPGFLALLEDPFSGKLLDIMVFDSLGFQGTKESPEQPRLAEQVKERNPLRFGFKVTSGDRTVRLRTTSCRKVKEWVKAVDEAGSYNPHRFGSFAPPRGLTSDGSQAQWFVDGHTAFEAIAFAIQNATSEIFITGWWLCPELYLKRPFQDHPSLRLDALLETKAKQGVKIYILMYKEVQIALKINSMYSKKRLQNIHKNVKVLRYPDHLSTGIYLWSHHDKIVIVDYQVCFIGGLDLCFGRYDTAEHKVGDCPPHTWPGKDYYNPRESEPNSWEETMKDELDRRKYPRMPWHEVHCALWGPPCRDVARHFVQRWNHSKRNKAPNEQTIPLLMPHHHMVLPHYLGTREIDIISTAKPEEDPNKPVVLSRQDSFSSASPPQDIPLLLPQETDADFATIGDIKFERGSRQALDGRDEYPGETSEESDRDETVNEWWWQIGKQSDCKCQIIRSVSQWSAGTNQPEDSIHQAYCSLIENAEHFIYIENQFFISGLEKDDTILNRVSEALYRRILKAHEENKCFRVVIVIPLLPGFQGGIDEFGAATVRALMHWQYRSISRDRTSILYNLKALLGPKTQDYISFYGLRSYGRLFEDGPIATSQIYVHSKLLIVDDRIAVIGSSNINDRSLLGSRDSEIGVVIEDKEFVESSMNGVKWMAGKFSYSLRCSLWSEHLGLQPGKVKMIEDPIKDATYQDLWMATAKRNTDIYDKVFSCIPNENIRSKAALRHNVALCKDKLGHTTIDLGIAPEKLKPCDSDSWEMLKETRGHLVCFPLHFMCNEEDLRPGFVETEFYTAPQVFH >A08p044470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24574243:24577435:1 gene:A08p044470.1_BraROA transcript:A08p044470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLRRTPLVLYILFVFHLHHDFTSVSSRSYSLDTNKESLHLNESKPVVDVFEGKARELAFVIKRRGIGGAGGGGGSTTSGGDGGSSGSSTSGGGGGGHSSVEGGGVSGQSWSNSGGRFGSSYAGRNGTRGLHRSSGRQNIRGAVCAAGWLGLSILVETDVVGFEGKTRELAVVIKKSFGGGRGGGSGSRGGGVGGRSRSRGGAGVIYPARSHFHRSSGSMNLRGAVCAVGWLCLSVLAGLFLI >A09p083310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59991621:59992296:-1 gene:A09p083310.1_BraROA transcript:A09p083310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGELACSYAVMILEDEGISITSDKIATLVKAAGVEIESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGAPVAAATPAAGGGAAAAAPAAEEKKKEEVAEESDGDLGFGLFD >A04g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12509439:12512391:1 gene:A04g505980.1_BraROA transcript:A04g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEIFQIWKTSGTTYLLVVWKSSRSHLEEVVWTSWKSSGLPGSRLDFQEVVWTSRKLSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITKGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A06g507370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:20342868:20343143:1 gene:A06g507370.1_BraROA transcript:A06g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLRYSGTSIEEHDENAWIWIVPVDRCPRQFSRYVPTGSTMDRSLRRDQTNGLVIRYVATDSIVDRSLATDSLRIDRYIATDREAWSVAT >A05p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9018718:9019967:1 gene:A05p019180.1_BraROA transcript:A05p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKAREIFTLKVKVSLEFIKNIQLIKLGTGKYSGLITGQKYAGRVAIAQIDREARGVTTQGIRKWCQSLSKLSVYEARHVSAGMSVSCSLTSRSTRCRKSCGRGGVSWNVKEACIRACGRPCVATHATTSMHDDTHAIGWLILPGWQLLYIPSYLVHFHSMRHTKETPKRGLEREKEVRPINCQEVPKDCLEEKEVKTSPFKTLTLGFGINITVRRRRFGGEKKGLVNFRNQKVKPHLLITVTSRKDHSARGTVGASVDWKSFAKDSFSRYMNICFAFGCIHGPLCIIF >A03p051710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20412691:20415256:1 gene:A03p051710.1_BraROA transcript:A03p051710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYHVRSCSLPARLPSNGLNHIQQLLSKLPTDNNNSLSLLSQLYESISHLFNDSPASSLLPHHSFFTHLLDLSLVHLDLCSKLRDITCRIKDCLRDLRSAFRRRGHGGDFTIRCHVKAFVRSRRLIHKDLAKLLLLLKQTDHPSIESTHPLITLLRQVCSQTCRSFRTVMLSLSSSVPKPRPSRWALVSKLVIKNVTNTSAQVHSGDRTEFQMMDEELQRFCSAKEIKKEGIKSLIALLDNVDVVVEDLEESLESVPSRWALVSKLVIKNVTNTSAQVHSGDRTEFQMMDEELQRFCSAKEIKKEGIKSLIALLDNVDVVVEDLEESLESVYRRMIQARVSLLNILSLHI >A03p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3773849:3779178:-1 gene:A03p009420.1_BraROA transcript:A03p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGHGKCSTVYKGRKKKTIEYFACKSVDKSRKSKVLQEVRILHSLNHPNVLKFYAWYETSAHMWLVLEYCVGGDLRTLLQQDCKLPEDSVYGLAYDLVIALLFLHSKGITYCDLKPSNILLDENGHIKLCDFGLARKLDDITKSPSTGKRGTPYYMAPELYEDGGVHSFASDLWALGCVLYECYTGKPPFVAREFTQLVKSIHSDPTPPLPGNASRSFANLIESLLIKDPAQRIQWADLCGHAFWKSKINSVQLPPQPAFDNMIGIYTKPCLSEHNGDRPCKTPQKSREKDPKGGSKHKENSTQDSRGHETPQKGTPVGLKTQTKFPSKATEEKHGGRPGAKRQVNILRLSRIAKANLQKENEKENYRRPLPNSNENCAEVKIDNTDMELDFDEHNDEDGPDESEGNENTSRAQDERVLSQNESHRRQGVRSKNVPDENSSANGTPTSGEARGCHEEQSEPIEVSAALLSASPQVKTHRGRDVSGINVHHDSSKSPYSLSDVLWHLSDLSVRPVMPSRKSDKEAVPSLSFEAPQPSDFGKMRKQELEPLNNRIITVLSGSSAGISEKQNLIRYLETLSTNADAANILTNGPIMLVLVKVLRLSKTPAFRVQIASLIGLLIRHSTAIEDELANSGILDSLTNGLRDKHEKVRRFSMAALGELLFYISTQNEHKDSKPTESPSKETRSTSGWQVSSALISLVSSMLRKGEDDLTQLYALRTIENICSQGGYWASRFSSQDVISNLCYIYRAAGKQESIRQTAGSCLGRDDFRITLLQVLECIAEEAPLVTQNAEIIIREVLPSLAAIYNGNKDGDARFLCLKIWFDSMTILLTECTQLEQQTSEDLKSVSNSHFLPLYPALLQDEDPIPAYARKLLLMLVEFDYIKISSILHQNTVSQCFEFLLGDLSSANVNNVKLCLALASAPEMETKLLSQLRVVRRIGNLLEFVNAKDMEDFLEPTLSLCRAFLLRSLGNKKGLRSNYTKEPTLLSEASFTFEVDPQECVRDIADFGSNIGLFLDLAASDDTSIAVADIASECVVLLLKAASREAAMGFLTNLPKITPILDSWRRRKSTETQVLVLTRILHCLGYACKQYLSHAMILSIPGYDIYKINAIVSEIKNSDVAGLNSVASLVAVELQRLPR >A04g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9269635:9271043:1 gene:A04g504470.1_BraROA transcript:A04g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYAKDAWRKYLIQLQAHPLRTKAITAGVLAGCSDAIAQKIAGVKKIQFRRLFLLMLYGLCYGGPFAHYFHKLMDALFKGKKDNTTVAKKVLLEQLTSSPWNNFLFMSYYGLVVEGRPWKLVKQKVGKDFSTIQLTAWKFWPIVGWINYQYVPLQFRVLFGSFIASCWSIFLNLKARSAVIKNA >A03p050790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21799450:21802510:-1 gene:A03p050790.1_BraROA transcript:A03p050790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM2 [Source:Projected from Arabidopsis thaliana (AT3G49670) UniProtKB/Swiss-Prot;Acc:Q9M2Z1] MKLLLILLLLLHISHSFAAVHQLSELRALLSLKSSLTSNENDQQHSPLASWDLSTSFCLWTGVTCDASLRHVTSLDLSGLNLSGTLPSSVAHLPLLRNLSLAANQISGHIPPEMASLSELRRLNLSNNVFNGSFPDELSAGLVNLRVLDLYNNNLTGDLPVSITNLTELRHLHLGGNYFAGRIPPAYGSWPALEYLAVSGNELAGKIPPEIGNLTNLRELYIGYFNAFDGGLPPEIGNLSELLRLDAANCGLRGEIPPEIGRLRRLDTLFLQVNAFSGKLPPELGTISSLKSMDLSNNMFTGEIPPSFEQLRNLTLLNLFRNKLYGAIPEFIGDMPGLEVLQLWENNFTGSIPRKLGENGRLVILDLSSNKLTGTLPPNMCFGNRLVTLITLGNFLFGSIPDSLGKCESLTRIRMGQNFLNGSIPNGLLGLPELSQVELQDNYLTGELPLPISGGVSVNLGQISLSNNQLSGPLPPAIGSFSGVQKLLLDGNKFSGAIPSEIGRLQQLSKLDFSHNLFSGGIPPEISRCKLLTYVDLSRNELLGEIPNEITSMRILNYLNVSRNHLVGSIPVTISSMQSLTSIDFSYNNLSGLVPSTGQFGYFNHTSFLGNSDLCGPYLGPCNQPHHVRPLSATTKLLLVLGLLFCSMVFAIAAIVKARSLRNAAESKAWRLTAFQRLDFTCDDVLICLKEDNIIGKGGAGIVYKGVMPSGDLVAVKRLATMSHGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCANHETNILVYEYMPNGSLGEVLHGKKGGHLHWDTRYKVALEAAKGLCYLHHGCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRSMTDSNKECVLKVIDHRLSSVPVHEVTHVFYVAMLCVEEQAVARPTMREVVQILTEVPKIPLSEQLAVESDVTEKSPAVDEEDLLSN >A09p056190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47874939:47876378:1 gene:A09p056190.1_BraROA transcript:A09p056190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSAIALDRMLEPGAASTSPSLHYSKPPLSKLENGTRPQMSPALYATPDAIPLPNSPSSSSFPPSPYIINHKSRGPRLLKSSSEANVASQQKPLEDEGVTGGADVKVSPRRKSTSFSFPISEATEEDYSNGVYDRQVGNFSFDGKLGNGKLELDNAANNDLEWENNLPEHFTAKVDKESESEDFYDPGESASFTSNTDAGEEGSHRLATPVGEFYDAWDELSSDSGMQSSVNNNETELREIRLSLLMEIEKRKQTEEALEQMQTHWQMLREQLAQVGVFVPIDPTASTNNMNLSEELRCQLEVARFVSDSLGRGMAKAEVEMEMEAMLETKNFEITRLSDRVHYYEAVNREMSQRNQEAIEVARRERQKRKKRQRWIWGSIATTITLGSAALAWSYIPASRPSSEASQTLKDD >A09p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41539670:41541042:1 gene:A09p047910.1_BraROA transcript:A09p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCISSSRIVSLHHQKPFLSLKLRPCSSGFSVPTHRTTAVCFNPLRVPLDRQRTAAAVSRKAEKQRKRGSSVVCYAAPMSLHNLQWVSAISCVALMVARGTGIHKSFVVPLLALTAPSGIISWAKGEYGIWTAFIALLARLFFAFPGELELPFIALLLAIVAPYQVMSIRGKQEGALISLAISCFLAFQHFSRAGSLQKAFDQGSVVATLGIIGVTVVSVLFLI >A07p028340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16026898:16029225:1 gene:A07p028340.1_BraROA transcript:A07p028340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVIDGTSEDIFNTLMSLDPLRSEWDFCFYKGSVVEHLDGHTDIINVQLYSDWLPWGMNRRDLLLRRYWRREEDGTYVILCHSVYHKKCPPRRGYVRACVKSGGYVVTPVSKGKQSLVKHMVAIDWRSWNLYMRPSSSRSITIRVVERLAALREMFKAKQGQGFAEFVSGEFLETKPCLSKVNIRPLITEAKRVDLELVKADEMEKPSSARHSLMDLNDVSDEFFDVPEPSELDSLIDNSPFSQGHSQLKIPSPAGIVKKLQDLANNKKGYMDLQEVGMDDKSTFFYGATLQKDPNFTMPCSWATADPSTFLIRGENYLKDRQKVKANDTLMQMIGADWISSDKREDNLGGRIGGLVQEYAAKDGPEFFFIVNMQVPGSAMYSLALYYMLKTPLEEHPLLESFVNGDDAYRNSRFKLIPHISKGSWIVKQSVGKKACLVGQALEVRYTRGKNYLELDVDVGSSTVARGVTNLVLGYLTNLVIEMAFLIQANTENELPELLLGTCRLNYLDVSKSVQER >A03p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3029927:3032126:1 gene:A03p007310.1_BraROA transcript:A03p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like F-box protein 1 [Source:Projected from Arabidopsis thaliana (AT5G15440) UniProtKB/Swiss-Prot;Acc:Q9LF38] MLTNPREMILPKQYRCTHSPTCQCTRGHLSEDVLLLVFQHLNWNPKLVATLSCVCKWFDDFAKRVLWKEFCKTRAPRMMLDLQSSGSHCIDGNWRALGKLLIYCSGCTQGGLFNSTVQIPGHFVYRTRFSRTLGRSLLPPQCRTDVLYVSDPCEHLDQGEEGDVGLFRGIFKSFPTSRVRKVIINKAVPFHPSEVCPYCKAKLWSMLQAKIIPQSACIRLEAYEDCIEYFVCLNGHLLGICTLAPLSDSEEAVPSEDSNHTEKKQDNGLVKENGLKRRHSLLGGSENGPSPQKRLTSSNQCDIDV >A06p037970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20511790:20514657:-1 gene:A06p037970.1_BraROA transcript:A06p037970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSKKRPRPSTRSQGRPSRDLFYQSPTDYSDEETQGIFEFMKKLKQADDETCMFNRFCCAIECYRSGSGTYISLKNRLLSILRGHKGLLDEFHQLKSSFDSPVIRNNEKKENMQSDVGRTVGFLKKIEALGESAYRAFIGALAFPGDIEILVEQLDVILRDHTSLKEEFETFLVDSRLLERKREESVDVTPSYQIRPEAEEGSSSSGGVLNEKYYLVSPYDPEVAWQKKHQRCLNKKRNYLEDKYMKEDMLMGDIAAVIRFGKDALKLSEKPPLGFNRVLDWFCGGKDVPQEYKTHPKRAAICMLPMLQNTHEEMTRAKTSRVISVYNRASQKGRRRPSFLDMASFEGFEPVFGEVVPERSDPGSGLLRRCLFHVYASDSLHLTVHVTDFISGAWETILSVSQLDDMRDSVGIGGSWSEFLDYTVASLKSENVKLLLGDHSVSKGVESARLVSQKAKGMPRIVVTLTKMAESSASEAMATLSLELFRSFKRKQHLQGKMSTSAAANDEKDKMDSTHNQLDVMAPSTDHQQDSPAKQSAREANTIKPSKRVPAHRRTRKRGALLQDSDEEDG >A01p012310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5998920:6000641:1 gene:A01p012310.1_BraROA transcript:A01p012310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHFTNCISFPSSLPPHQSRAPQRFDLSRVSFTVRRFQIQSSVRSDKSQIPTRFFVSPVSRGTRNQAQGALFDYLHSTRSFTFTDAEHISKNSPRFLSFLLSKIDDNERDVSRALSKYLRYNPINEFEPFFESLGMCPSEFEQFLPQRLMFLSDDGVMFENFHALCNYGVPRGKLGRVYKEAREVFRYESGVLVAKLRGYEDLGLRKGTVIKLVSSCPLLLVGGVDGEFASVVDKLKRLPVGCDWLGRDLSDSKTYSWGRILETMEFLERMGCKEEKLSSLLRTYPALVIEGSGKKFCVLFGRLFKVGLQVDEIYSLFIDNPEMLSDKCVKNIRKTLDFLIGIRMETHFIRKILLSHTELIGSCSLQAPRTVCVSLNVSQDELCQMLKNEPLRLFSFVTTTKKRKSKLLSEDARKHAEKTAFLMRLGYLENSDEMVKALKQFRGRGDQLQERFDCLVKAGLNHNTVAEIIRHAPIVLNLSKDVIEKKIHSLTELLGYPIESLVSFPAYLCYDMQRIHQRFSMYMWLRERDAARPMLSPSTILTCGDARFVKYFVNVHPEGPAVWESINQSSA >A01p046430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26124616:26127473:1 gene:A01p046430.1_BraROA transcript:A01p046430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYRHVSSPITPPTFIPAHSPSASPRLSKPHPITPPISASHPTGDSTPMMTSSSGCPLARVRLSDILPYEGAPSPSYAKAVEALSASLMRYNASVIELGSEDTALMRCGLEAARLYFRTRSSVSGKPNRGLSLYRAGRSVEDLDSSPPCMAEVFRCLGKVARAALSAVARHLRLRSDVFNHMLDDFPLAPNEVSSSVLLASYAHASIQNGKPASGGGSIEIEKGLLTLFCSDGSGIQVCDPNGRWYAADSGCGIGDILLITGKALSHATAGLRPAASYRATSDHFSGTDTRGRASLAFRLMPKSNAILDCSPVEAAGHVIPQSYVPVSVSQFMDNLLAENETPVTPPVKTNVSRDDVCKEPSLRSVLSDPVSGAFLEDAIVVSCGHSFGGLMLRRVLEMSRCTLCNAEIEPGSLVPNHALRAAASAIKQQDDKRLFHNAAMRRRRKEMSDQMDVEHGDPATDDGLQQRVVHYPFAVNEKVLIKGNRRTPEKYVGKEAIVTSQCLNGWYLLKIVESGDSVRLQYRSLKKMTNDDRGGGGLPVQPVESNSL >A05g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27714030:27715264:1 gene:A05g509220.1_BraROA transcript:A05g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFKPDVLKGKVALITGGGSGIGFEISSQFGKHGASIAIMGRRKQVLDAAVSDLRSLGIPAVGFEGDVRKPEDARRVVESTFNHFGKVDVLVNAAAGNFLAAAEDLSTNGFRTVLDIDAVGTFNMCREALKYLKKGGPGRDSSSGGGSILNISATLHYTASWYQIHVSAAKAAVDAATRNLALEWGTDYEIRVNGIAPGPIGGTPGMSKLGPDEIENKAGDYIPLYKLGEKWDIAMAALYLSCDSGKYVNGLTMVVDNGQWLSRPRHLPKEAVKELSRVVEKRSRAKPTSKL >A03p003350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1445824:1449406:-1 gene:A03p003350.1_BraROA transcript:A03p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSKKLFIFNLCIIFGILVTRRCNATTYFVGDTSGWDISSDLESWPLGKRFSVGDVLMFQYSSTHSVYEVAKDNFQSCNTTDPIRTFTNGNTTVALSKPGDRFFVCGNRLHCFAGMRLQVNVQGNGPSPAPVGAPRAAPAGILQPSSKKNNPATGVASSAAHIGGRGLRGIYLRVSIYSNVYIFSDLNAYESEYSGIWMQIHNKLQIFSLKTFFSSLENLAMNLSAITQGNDNDAPAPGNEFAQFAAGCFWGVELAFQRVSGVTHTEVGYTQGFLHNPSYEDVCTNTTNHAEVVRVQYDPKECNFESLLDVFWSRHDPTTLNRQGKDVGTQYRSGIYFYTPEQEKLAVESMERHQKQMESKIMTEILPAKKFYRAEEYHQQYLSKGGQSCGIACNSPLMCSAATA >A06p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14643713:14645357:1 gene:A06p025670.1_BraROA transcript:A06p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTEEMSKALKASTPGFCDMPSTIVRASIVQASTVYNDTPKTIEKAGEFIAQAASDGAQLVVFPEAYIGGYPRGYRFGIGVGVHNEAGRDCFRRYHASAIVVPGPEVDKLAEMARKNKVYLMMGAMEKDGYTLYCTALFFSSEGRFLGKHRKVMPTSLERCIWGYGDGSTIPVYDTPLGKLGAAICWENRMPLLRTSLYGKGIELYCAPTADGSTEWQSSMMHIALEGGCFVMSACQFCKRKDFPEHADYLFTDWYDDQHQEAIVSQGGSVIISPLGKILAGPNFESEGLVTADLDLGDIARAKLYFDVVGHYSRPDIFNLRVNENQNKPVTFVSKTVKAADDSEPQDN >A10g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4045620:4051593:1 gene:A10g501430.1_BraROA transcript:A10g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEMLFGEFGTFGDGLETRMVILVHKTRSQLSQRPTAAAKPNSWENAHAPAPYVDGLEGQEELCFINANGTWYKKEPNFQYQNNYQQRPLYNNQQGGYQANQSPQTQGSSSQTQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASHLKALESQVASMPSSSKQPMGSLPGKPEKNPKESCNVVFSTTSPEIELSDHEKEEDEIERLDAARKVEATNLQKVEHKAAKQVEERADNKLKKVKLEEATEVEPSSYDKLPFPQRVLTKAQKKVLSKFRKDLSDVGIRLPKISDMREAHVMEMLFGEFGTFGDGLETRMVILVHKTRSQLSQRPTAAAKPNSWENAHAPAPYVLVAAAK >A03p033980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14393087:14394214:-1 gene:A03p033980.1_BraROA transcript:A03p033980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCVPNYHIDDTPAATVRSTKAADIPTLDYEVAELTWENGQLGLHGLCPPRVPAPSKYSTGAGGTLESIVDQATRFPNPKPSDELVPSFHHRYSRVGMDALVPEQQSQPATGVGSCSDGHPMDCGKRARVAPEWSASGSQRLTIDTYGFTSTSLDDNSSSGGKPFPKTTNIDDHDSVCHSRPQMEEEEEQKQTGGKSSASTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKVPHFTCIINCR >A07p039920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21199962:21200447:1 gene:A07p039920.1_BraROA transcript:A07p039920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKTTKEMEEKLCRRCKGSYRDSSNNASSCRFHPSFFVCRRHDDQKRYYELGPEDPPYAAKFYDCCGAEDPNAPGCVTSPHISYDD >A05p039860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24372430:24374789:1 gene:A05p039860.1_BraROA transcript:A05p039860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFFFFLLLSFSISQALPPPRGFYLNCGSPSTTKLNDINYTSDRGFINVGNTTTIKQKDLLPILSTLRYFPDKSSRKHCYNFPVARNSKYLIRTTYYYGNFDGKNSPPVFDQIIGGTKWSVVNTSEDYSKGQSSYYEIVVGVPGRTLSVCLAKNANTLSSPFISALDVQSLEDTMYNSTDLGLYKLSLIARNSFGVDGEMISYPDDKYNRLWQPFSDKKHQSVTSQSSVNPSDFWNIPPAKAFVEGFTVTKGKALELKWPPFPLPATKYYIALYFQDDRSPSPLSWRAFGASINGATFSRKLNVSTNGVMVYSGQWPLSGQTTITLTTAKGSPMGAVINAGEVFQVIPIGGATNISDVTALEDLLESIDEPPVDWSGDPCLPLANSWTGVTCSKEKITKVISLNLTNRGLAGSLPPSISNMTALKDLWLGKNNLTGPIPDLSPLTRLETLHLEDNQFNGSIPESLAQLPNLRILSIKNNKLQGTIPSALLQRKGLTIQASPENMPSTNNTGPS >A04p004860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2519762:2520370:1 gene:A04p004860.1_BraROA transcript:A04p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTKMALTTKTQDESIESVCLTTAMWETLEKERLMGYRVFTRGIWYALTIFSCFGLLIYGKQVLLYPIRFGVTAYFAVMLLRCLFFVERPSPNFPVQKYNRFVTSLIILPFMFYVPFNYPTMDVFISIFSLLAGGISIYQLSCTMDIGHALLLLFLAFANGLLAIDFASQNQHPFYGVYLIFFFQSIVYAYNFNFLANVI >A04g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1166586:1174002:1 gene:A04g500290.1_BraROA transcript:A04g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIMLKSSDGESFEVEEEVARQSQTLANLIEDNCADGEIPITNVTSKILGMVVEYCKKHVVVVDGGDDSSSSSTSEEDLKKWDADFMPIDQSTMFDLILAANYLNVASLLDLATQTVADMIAACKDAAEIRAKFGIENDFTPEEEEEIMVADMISACKDPNEIRVKFEIKNDFTPEEEEKDRRKNQWTFHFYPILSEESITMANENPEVVVAPVRENGGADSSSSSKGKEEQLESEFSKKLEITEDANDENEEDVAEEEEGSKGETSTKKKKKKKKSKSKKKPQQTDPPTIPLIKLFPSGEFPEGELQQYKDDNLWRSTSEEKRELERLEMPIYNSVRQAAEVHRQVRKYVRSIVKPGMLMTDICETLEDTVRKLISENGLKAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMYDPLLAASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKIFPVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDAGHVPLRLPRAKQLLATINNNFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQYEHTILLRPTCKEVVSKGDDY >A04g507880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:20170369:20171004:-1 gene:A04g507880.1_BraROA transcript:A04g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLSPAKSFGPREILAVDTLFTTNPNACLVILSNSLDTPQGHTILNPFLSLGFNLVAVTLDIPFLVENTPAEPWLMKLKSGDVDPGSISLFMNLSDLTRLAVLYKYGGVYLDTDIISLNDMTRLRNGIGVQTLDQETKKWKTLNNAVMVFDPYHPLMREFLHEYAATFNGNKWGYNSPCLVTKFIRRLGHKAEFNLTIFPPDAFLSSELA >A09p058940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49485267:49486714:-1 gene:A09p058940.1_BraROA transcript:A09p058940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEDDVVLPRFFKVFLSETASESMAIPMSFNEHLEDPLPQKAKLQGTGGGVWTVTFTTIRDCAYFTSGWSKFAEDHELKDGEFLTFVYDGHHTFEVSVFGHGRCKETRAVVETVDLSDSGEEEEEEEDSSVEDDGEVEKVSQSIYPVDSNQTVSDDAVVAWLSSMEVTGNPCFTTNLKQRTYELLIPANVVREHALTFGDNIKYIDAEGVMKGVRGKWAEDRVCFKGWDSICRRNRLKERDTVNCEMLHIRKKVHSVKITVTRG >A08p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24279777:24282805:1 gene:A08p043680.1_BraROA transcript:A08p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTQISPAKSQTGSRSFTKALALAFNEWLLMVMLFANSIFTYVITKFADYSQLQSPCLICSRLDHILGNTKDLKTSHWDMFCSKHKSEISSLVYCHAHGKLVDVRGMCEACLVSFDTTNKSNAESYKLLVGKLDDQPSTSPRHCTCCNQLWIPQIDSTGEVLAKPETLAKIGLVIDERTGKETCTPKKSVRFNDLPHVGYTELKVHSDTEQEDVFSEDEGVAVKEKDHRIQNVDLETSEKVLKEEEIISLDDSFVTSRAMEHSEAALEEKEDLIQVQDTSIISDSKESPADALLEVSELITVNVVPEISEEVLKEEEITSLDHLLLASRGMEDLIQLRDISLTSDFKELPKNILIEESELTGTSTSVAAETHEDLLVEDTVLEEKEELVHLQDTSVTPDCEESSAGALMGETKLLCINDVTTSTSVAAETSEDVFMGETVSKEKGEMLHLQDTSLTPDFKQSPAHASWEEAELVCLSDATSTPVSADPRDILMGEAILKEEEELLHLQDTSLTPDSKESPADALMEKAELVCLNDVTTSTSSDSDTNPEHVLKETQLMPLHETSPEEVPESFTTTETPIETYKERDTNQADMTSLESEYVVVSPSKSTNSMLEYSNENYTSRVSQDLKALLTQISASRISPRISIVDQETKNLDNDMQLLIQKRMLERNESTLSLEGVSVSEIEGESEVERLKRQVDHDRKFLTGLYKELEEERSASAVATNQAMAMITRLQEEKATFQMEALQNLRMMEEQAEYDLEAIQKLNELLVEREKVIQDLEAEIDYFRSKNVTERVSDKVQNCLSGFDEERLYITSCLKKIENMVQEDVDGEAHVDNLARQESVSELRERVEKLKGDFDFLEHVVSSLGHGSEGVQFVEEIASHLQTLSMKRHNHTEC >A08p009050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4519213:4519897:1 gene:A08p009050.1_BraROA transcript:A08p009050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVEGNVRHDLLSCWLELHRRIRYLSMDGDLSTSFELAFQCHQFEVNQHPAAEVMPVLLKSVPSASRQEAVKEMKGCRSMKHHWYRSTVIPENRPSLFRD >A02p060150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35900871:35901363:-1 gene:A02p060150.1_BraROA transcript:A02p060150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLYIRLFSKDTSFASFWIQHVSKIHLYIELSEQFITIVVHGQTFQKKLIVWYCVGGDGVNHVVFWPKNNLSSQN >A10p040340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22237822:22238649:-1 gene:A10p040340.1_BraROA transcript:A10p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKVHELRERSKTDLQSQLQEFKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVISQKQKLALREAYKSKKFLPLDLRPKKTRAIRRRLTKHQSSLKTEREKKKEMYFPLRKYAIKV >A09p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1151943:1155100:1 gene:A09p001600.1_BraROA transcript:A09p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR2 [Source:Projected from Arabidopsis thaliana (AT4G02080) UniProtKB/TrEMBL;Acc:A0A178V5S8] MFMIDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGEGFKWLSQYINLHAYDHPGLRMGNTIGSRKLETAAMSGGKVVLSDGRVQNLEEETTVAEIMLENPQHVVVEFDPSSITFNKDGKTVKKKLTPLPADNTLEPGKIYLVLPAKRSGGAKSSSSSAVMTSEEIRKMLFSATAMVRSSFSYYEGILPWFTTRSYNNNNNNPAVDAVVAATSVGKLEAAETEEEEGRPEFLSRQLSGRGWKPSLDPIKEKKAKKKILHQLLSF >A05g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8206379:8209913:-1 gene:A05g502610.1_BraROA transcript:A05g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTISDLSRDLLVEIFSRVPLTSLSAVRSTCKTWKALSKGQILGQKSAKKQFMAFMMIEHHYSIYSLRFELQGIRNENYCYDEDLGKHISMPTHQVEISQIFHCDGLLLCVPLDQSRLFVCNPYLEQSRLVQTKNKFHRKSDMYLLGYDNNNNHKILSFSIVDSNIKDIEVYCFSSDTWRAKLVSKLKSVTVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGPKKF >A07p035480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19200703:19201242:-1 gene:A07p035480.1_BraROA transcript:A07p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTELGSPTSNSSVSTTGLLNSGSETDLQQRDLLDERKRKRKQSNRESARRSRMRKQQHLDDLAAQVTHLRKENGHFIAGIAVTTEHYVTMEAENSILRAQLLELNHRLDSLNEIVDFVESSSFEMETGQGGGLVDYGGGGGGGGGGFYDGVMNTLNLGFYNQPIMASASTAGDVFNC >A07p038780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20622483:20625001:1 gene:A07p038780.1_BraROA transcript:A07p038780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSVKLVFLLSLIIPEMTEVNCLGGKCPEGKKNCNCLSPVTPMVETNVVCHKDDDCKKYCPKGCKPSNPCFVVYVCEGSYLADARVMTEEDVNCLGDKCPQEKKNCNSSSPLAPTMETTALCYEDNNCNKYCPKECNSSRPCVCACNGGQCFCQC >A10p019300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13259102:13261253:-1 gene:A10p019300.1_BraROA transcript:A10p019300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G56130) UniProtKB/Swiss-Prot;Acc:Q9FKT5] MEETIPFKNLHSREYQGHKKKVHSVAWNSNGTKLASGSVDQTARVWTIEPHGHSKVKDLELKGHTDSVDQLCWDPKHSDLVATASGDKSVRLWDARSGKCTQQAELSGENINITYRPDGTHIAVGNRDDELTILDVRKFNKSLYKRKFSYEVNEIAWNMSGDLFFLTTGLGTVEVLSYPLEKMQNDLKPVDTLTAHTAGCYCIAIDPKGRYFAVGSADSLVSLWDMSDMLCLRTFTKLDSPVRTISFNHSGEYIASASEDLFIDIANVQTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKSAKYYGDEGVFRIFGFDSS >A06p000410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:356940:358349:-1 gene:A06p000410.1_BraROA transcript:A06p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLTHSSTSVVRKASRFLLTSRRFCDTSRINGDEETLKITWETSEMDCGFAEEHEKISVRRTFLESTKLSASQVLDTLKQDCPGFNTKLALDELNVPISGLLVREVLLGILRRLSFDNKTRCAKLAYKFFVWCGGQESFRHTANCYHLLMKIFAECGEYKAMCRLVDEMIKDGYPTTARTFNLLICTCGEAGLAREVVEQFIKSKTFNYRPFKHSYNAILHSLLGVKHYKLIEWVYEQMLEDGFSPDLLSYNVVMFASFRLGKTDKLYRLLDEMVKDGFSPDLHTYNILLHHLATGNKPLAALNLLNHMREVGVEPSVIHFTTLIDGLSRAGKLEACKYFMDEMVKAGCTPDVVCYTVVITGYISGGELEKAEEMFREMTVKGQLPNVFTYNSMIRGFCMAGKFKEARLLLKEMESRGCNPNFVVYSTLVNNLRNAGKLLEAHEVVKDMVEKGHYVHLISKFKKYTRS >A05p055370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32705413:32706379:1 gene:A05p055370.1_BraROA transcript:A05p055370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREPTRVMVAVNESTIKGKPHPSISSKRAFEWTLEKMIRSNTSDFKILLLHVHVVDEDGFDEVDSIYASPDDFKESNKSKGLHLLEFFVKKCHEIGVSCEAWIKKGDPKDVICQEVSRVRPDLLVLGSRGLGRFQKVFVGTVSGFCVKHAECPVLTIKRNADETPSDLADD >A06g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29083:29631:-1 gene:A06g500020.1_BraROA transcript:A06g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSVEFTTFINQPPKVNKITSSSATLFHNPKRQKLTPTSPERIFSPQSLFVRGNFHPVSKTWTIDDAGTSTSHSTIASFVASPLLAETLAMQNAMISAHICGIKSLSLEALLKKLPVFTYDYRLTYLFNALVSFNVTSEFHPLALNSLLVTFD >A07p047760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25583228:25587124:-1 gene:A07p047760.1_BraROA transcript:A07p047760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MPVSMELPVFSTLRVPPLFSRLPLLPSLGIQFSSAASARLNCTARKARRRICVMCLVRDSASADRDGDWIEVVVIGSRKESIIDSCLDSPFPSLPLRFWGISRDSSGDSVLQQRLHHQDNVLKTMNPVELIQSPPKAFILVASAGYGSDQVEAINILSAVRSGGSLAVAVLLRPFSFEGRRRLEEVNELAKKLQQHTSFCIDIDIEILLQKDLVTLDEALRNANNAVSKAINAASSLISGMHGNFIDVMHKDLKELEGSEVTTILESYKEAKVGFGVGHNLKTSILQAIYDCPFFRPGVKDLKAIICIVASSVALQKKDVKTILRTFRQTMEYTGDIIVSTVHEPDLEPKVLVTTFFILSSEEEPSSKGNIFSGVFPFVLNIFKKYRSQLQKETSIGLGEAPVAMEDSADSSTVKISNQSVEGLEVDSEELVEVSESGGDSEYLVKEEEPSRNSRLGLGDENIEDYGAIQRGPIANWNMDPGYQIEQKRPADSGDTAVLSLGVVNLPVGVRPSKNLNSSLSVASQPSRKAGSRDESFFNLNSSTKGSSDDTASTLLSEKYADFTKQRNLSARAASMLEAERDSSKRWSPIQEMQYRGGLFKGRCQGGLPEGKGRLVLGDGSIYDGMWHNGKRSGLGTFYFKNGDVFQGTWREDLIHGKGWFYFHKGDRWFANFWKGKASGEGRFYSKSGEIFFGQFKDGWRDGHFLCIDVDGTRYSETWDDGVLISRKQMDAGD >A03p041910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17541074:17544883:1 gene:A03p041910.1_BraROA transcript:A03p041910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTNRVEDMTSPNTATARETIVEIHSVCLPPKKTTFQKLKKRFADVFFPDDPLERFRNQTWRNKVILGLQSLFPIFTWGSQYDLKLFRSDVISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGSMLSESVSPTQDPVLYLKLAFTSTFFAGLFQASLGLLRLGFLIDFLSKPTLVGFTAGAAVIVSLQQLKGLLGIVHFTGKMQFIPVMSSVFNHRSEWSWKTIVMGVGFLIILLTTRHISMRKPKLFWISAASPLASVVISTLLVFLIRNKTHAISFIGHLPKGLNPPSSNMLYFSGTHLALAIKTGIITGVLSLTEGIAVGRTFASLKNYQVNGNKEMMAIGFMNMVGSCTSCYVTTGSFSRSAVNYNAGAKTAASNIVLASTVLVTLLFLMPLFYYTPNLILAAIILTAVIGLIDYQAAYKLYKVDKFDFFTCMCAFFGVLLVSVPLGLAIAVVVSVIKILLHVTRPNTLEFGNIQGTQIYQNLKRYREASRIHGFLILAVESPIYFANSTYLQERILRWTREEETRIKENNGSTLKCIVLDMTAVSSIDTSGIEALFELRRRLEKQSLQLVLVNPVGSVMEKLHKSKIIESLGLSGLYLTVGEAVADLSSTWKAHGQPRI >A08p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14136200:14141067:1 gene:A08p020970.1_BraROA transcript:A08p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IALQRIEAEKKRHDERRGYHRTADSRSSFKPLNESPSYSRRDANRAGNGRYLAQKEYRNREQSILSRTARSNSGQYRSNTPSLQYRVVERIRPNSGSSDPQQNSTCQPDGPVTRAPLIRSTYDPQNLEGSEITPTRTLKERLGPSSDHAEANSNSRERKSALERISEPSSSREQTARRSPSFESGRLQLNDTVMGDATTDQGRRQESPSADRVPATLRLGSSRTTLSTRRGTIPLAPQSKVASNRKVTRTPRKRVARSPLLIPSLKKTTETRSSTSTRRRLVVDKDPKLPCDKADNWNVKTSPKLKDFLWRVIRKAIPVSSNLERRGVPSFNCKKCGAHEEDLHVFLTCPLAEEVWNLSPIARRPVSSTPSMAELLKQGNTYTPLPPTGLSAPLWPWIIWNLWKSRNKLVFENKTYTAQEIVLKSITDAKEWSEAQASQKDTSQHTSTHTGSLSRSSYPPPTNLTGMLVCNVDAAWNSVSGNCGIGGVFSGYNASNLPTLSEAHSHESSALIAEALAIHRAVALAVYSNVRSLAVLSDSLSLWLSS >A03p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1814341:1816044:-1 gene:A03p004240.1_BraROA transcript:A03p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVPAAGIVKKRTFKKFSYKGVDLDALLDMSTDDLVKLFSSRIRRRFSRGLTRKPMALIKKLRKAMMTLEQKRDAPAGEKPEAVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATNSSSFATRVGHSLVQEEITKVPQYKKLEEPEIPDEPELPLPEEPEIPEEPEIPEEPEEPEVPEEPEEPKFEFPSWIPSFPFPGAGGGSLETEKTKSTSTAEEVNVKGTSVSEENLSALNKNP >A08p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11942566:11943307:1 gene:A08p017090.1_BraROA transcript:A08p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g14630 [Source:Projected from Arabidopsis thaliana (AT4G14630) UniProtKB/TrEMBL;Acc:Q56XY5] MKSLSCIAVLSLLALTLPLAIASDPSPLQDFCVGVNTPASGVFVNGKFCKDPRIVNADDFFSSVLNRPGNVNNAVGSNVTTVNVNNLGGLNTLGISLVRIDYAPNGQNPPHTHPRATEILIVQQGTLLVGFVSSNQDGNRLFAKTLNVGDVFVFPEGLIHFQFNLGRTPAVAIAALSSQNAGVITIANTVFGSNPAIDPNVLARAFQMDANVIRDLQNRF >A07p037310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19954782:19958200:-1 gene:A07p037310.1_BraROA transcript:A07p037310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNTASSEEFSFPLLASQDSSQTSGSDSPPLWKHSPEKTRLGYDDGRLIIGRYNDDDGQRKSFSYVETRSLWNVNAEEKMDMLWEELNEEAPPPPRSQSLRIDLGGDKRSSLFPDESSAVGCGMKLTKKRPSTKMKMSTNVLVLMRVLKKILVIRSSSQRSPAKTNPPWIKPAVKLPMLHSVMAPTRNLIRDEELGAISDDDDSPSGKRSKLDRFPLSRWELALSLGVFLVFSSGLFCIYITMPAAEFGKLKLPRSISDLRLLKDNLANYANEYPAQFVLGYCATYIFMQTFMIPGTIFMSLLAGALFGVIKGVILVVFNATAGATCCFFLSKLIGRPLITWLWPDKLRFFQAEIGKRRDKLLNYMLFLRITPTLPNLFINLASPIVDVPFHVFFLATLVGLIPAAYITVRAGLAIGDLKSVKDLYDFKTLSVLFLIGFISILPTILKRKKIYE >A09p023020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12678353:12681208:-1 gene:A09p023020.1_BraROA transcript:A09p023020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNSSSSSSSGSTRGSKAPSSTHTKIGKKLNSGENGVVNRKKLNREMITALQQDVEKLRKKLRLEENIHRAMERAFNRPLGALPRLPPFLPPMTLQLLAEVAVLEEEIVRLEENIVHFRQELYQEAAFTSSKTNTECLLPDSRSASSNARESEFPFLPKTLSVKESRRVKKNQLSASSTINMHTAQTQPNKSLKAQKLKQENPRPRKTNAEPRSYGGADEKCDPNKISEDLVKCLSSIFMRCQENEEDKTSRDPYEICSSFRSRDIGPYKNFINVKAASVNQNRMSSSYAFLIRQLKGLLGRLSSVNLQQLNQQEKLAFWINIYNSCMMNGFLEHGIPESPELVVTLMRKASINVGGHFLNAITIEHFILRLPYHSKYISPNSSKKNEMAARSRFGLEFSEPLVTFALSCGSWSSPAVRVYTTDKVEEELEAAKREYLEASVGISRTKMGIPKLMEWYSHDFAKDTESLLDWICLQLPTELRKDALNCLQQGMSQSPASTRIHIIPYEFSFRYLFSI >A03p048910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20897599:20898636:-1 gene:A03p048910.1_BraROA transcript:A03p048910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEGIGLILARATELRLKITDSIDSPIPAVSGNELPFPGEGKKDGTIGNQDKEFDSIGSGDVEEEEEEEADEQLLRIRDALESLESQLASLQNLRQRQQYEKQVALSEIDYIRKVLVEKLKDYKGKELQVLREASTFAGERVDYENDLLLPPYPVHPPLSLGLDNNNGYLPLLPCKQKISDENGFGSGHVRKETEVGSPHGVVRFLGSVAKIMLPIIGVISILFASRYGPEIRKRGKRTPNQCPPGKVLVIEDGEARCLVKERVEIPFDSVLAKRDVTYGYG >A04p020920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12752833:12753607:1 gene:A04p020920.1_BraROA transcript:A04p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQEESQENNKLQWSDEMTRFLLELITLEKQDGNSKGKNLSEQGKQNVLKELKKQFPVAITWNKKVTWTNEMPHTLLQCIIVEKQSKDEGNRFFNLSQKANIVKKLNEQFKIEMSWKHAKNRWDNLKKFYNMYKMNPENPRLRTIFFDYAQLDDIFGDY >A08p023350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15387176:15388471:-1 gene:A08p023350.1_BraROA transcript:A08p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKNLADSFVKEVVDPTVSFAEDSARTVAREVVDPTVSFAEDSARTVVREVVDPTVAFVEDSAKTVVREVVDPTVAFVEDSAKTVVREVVDPTVAFVEDSARTVVREVIDPAVAFIETQFQRPRDVIEQEKILDNLLASNGSRFPGDDYHSPDRKNWMSHLSCEKLTLNKIVWPGTHDSATNGIGIDVVTRPLGECQTLSIYEQLVRGTRLLDVRVQEDRYICHGILASYNVDFAIDDVIRFLSETHSEIIILEIRTEYGHKDPPEFESYLTNKLGQFLIHQDDNLFNKSLSEILPKRVICIWKPRESPRPSRGGLLWNSDYLKDNWIDTDLPWTKFQSNMNHLKDQPSISSRRFFYRVENTLTPQADNVVVWVRPVTDRIRRYARLFVSRCIAEGCIDKLQIFSTDFIDEDFVDACVGLTYARINGRI >A08p045690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25005550:25006577:1 gene:A08p045690.1_BraROA transcript:A08p045690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSNDDETKDGLENKKPFVSASDFSVPIIDFAGVHADALSREGIVEKIKDAAEKWGMFQVINHGVPLTVLEEIKDRVIRFHEEDTEVKKSYFSRDYTKTFNYFNSFEREDLSVGNWRDSFACYMAPDLPNPEDLPVACRDAMIIYSDHVKKLGGLIVELVSEALGVSSETLKRMDCSKGLQMICHYYPPCPQPDLTLGTRKHTDNTFITILLQDQVLHQDCWVDVTPIPGALVVSVGDFLQASSFNFKLIMMTNNKFTSVNHRVLANRVGPRISVACFFCYPTNRNSTA >A03p011030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4419850:4420621:-1 gene:A03p011030.1_BraROA transcript:A03p011030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIMLVFLLVILIVTSQFEWRQPLLELDAAPSLSHKHQQIAKREDAVKEKIILSQERHIQRLNELVRTLQMQLLRCKGQENETRNATETSRLSKQFIELERKHIVED >A05g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:393943:395339:-1 gene:A05g500100.1_BraROA transcript:A05g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDAICFNVETVEYKNISFTVWDVGGQDKDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWRRKRRLQDSSLLVLLYTSK >A03g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27973451:27976989:1 gene:A03g507940.1_BraROA transcript:A03g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGCKVVVFFAILYTVTAEIYIVTMEGDPIISYKGGVNGFEATAVESDEKIDTTSSLASSLMLLACHSDLVTSYGRHLERKHDMLLGMLFKEGSYKKLYSYKHLINGFAAHLSPDQAEMLRRSPGVKSVSRDWKVRKLTTHTPQFLGLPTDVWPTGGGYDRAGEDIVIGFIDSGIFPHHPSFASHHTSVPYGPHPSYKGKCEDDPRTKLSFCNGKIIGAQHFAEAAKAAGAFNPDVDFASPMDGDGHGSHTAAIAAGNNGVPVRMHGYEFGKASGMAPRARIAVYKALYRLFGGFVSDVVAAIDQAVHDGVDILSLSVGPNSPPTTTKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITTVAAAIDDRRYKNHLTLGNGKMLAGIGLSPSTRPHRSYKMVSANDVLLGSSGVRYNPSDCQKPEVLNKKLVEGNILLCGYSFNFVAGSASIKKVAETARHLGAAGFVLVVENVSPGTKFDPVPSGIPGILITDVSKSMDLIDYYNVTTSRDWMGRVKSFNAEGSIGDGEGFALISGTSMAAPHIAGIAALVKQKHPQWSPAAIKSALMTTSTVMDRAGRPLQAQQYSETETMTLVKATPFDYGSGHVNPSAALDPGLVFDAGYEDYLGFLCTTPGINPHEIRNFTNTPCNYKMRHPSNFNSPSIAVSHLVRTQTVTRRVTNVAEEEETYTITSRMEPSIAIEVSPPAMTLRAGASREFSVTLTVRSVTGVYSFGEVTLKGSRGHKVSLPVVALGQKR >A01p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:278772:280946:1 gene:A01p000700.1_BraROA transcript:A01p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLLKRVFFHRASSLIHHRHRPAVSFLRSDFSTSSSPPQIPPFGYEPRPYNGPSAEEVFEKRKKFLGPSLFHFYQKPLNIVEGKMQYLFDETGRRYLDAFAGIVTVSCGHCHPDILNAINEQSKLLQHATTIYLHHAIGDFAEALAAKMPGNLKVVYFVNSGSEANELAMMMARLYTGSLEMISLRNAYHGGSSNTIGLTALNTWKYPLPQGEIHHVVNPDPYRGVFGSDGSMYAKDVQDHIDYGTSGNVAGFIAETIQGVGGAVELASGYLKSVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQDVVPDIVTMAKGIGNGLPLGAVVTTPEIASVLATKIQFNTFGGNPVCSAGGHAVLKVIDKERRQTHCAEVGSHLIQRLKDLQKRHDIIGDVRGRGLMVGIELVSDRKDKTPAKAETAVLFEQLRELGILVGKGGLHGNVFRIKPPMCFTKDDADFLVDALDYSISRL >A01p016420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8122896:8129653:1 gene:A01p016420.1_BraROA transcript:A01p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSPQSLRWKSNPLIVSESYVFNPKALNFQASSLSSPYRRTRNWRISSSSEENAANSSDGGDLKKSLSGIVGNQVEELLSREENKSLLDGLEKASMRVETAKRELAEIVRQELEAKLLQDYVDKLESRAAEIAECQQEIIAARTMVEEAERSLSLAETPATESSENGYSIDKDKERLESAKAAAIAAAVGTVAEVPFALSQVSSIEQLVLPLGVAFASCALFGVTFRYVIRRDLDDSHLKSGAVAAFGFVKGLGMLSRGPPLELSWESLLSHGIDGAVLVSQSVLIFAFASISLDFCFKLKLLKPFPSSAQMAVFGGKRRKKMVRGSSSSGGAVVRGGSSVKQRGFSMNPKDYKLMEEVGHGASAVVYRAIYLPTNEVIAVKCLDLDRCNSNLDDVRRESQTMSLIDHPNVIKSFCSFSVDHSLWVVMPFMAQGSCLHLMKTAYSDGFEESAICSILKETLKALDYLHKQGHIHRDVKAGNILLDDNGEIKLGDFGVSACLFDNGDRQRARNTFVGWHRKSCSPEMDIIPRLISGRLVLLMTIQNAPPGLDYDRDKKFSKRTFSCEQSFKEMVAMCLVKDQTKRPTAEKLLKHSCFKNTKPPELAVKSLFADLPPLWTRVRSLQAKDAAQLALKRMATADEEAISMSEYQRGVSAWNFDVKDLKTQASLLSDDDGLEESQEDEEIFHTRFHNKVNDSPVLYENMNGKEKVSTTEVEEPNCEEKFTFITNASSVTPNSEQEVSEAKVNKPVRRQSQSGPLTSRAVVSHSASEKGQIFERSESEHQAAPSVKRAPSFSGPLNLSTRASSNSLSAPIKYSGGFRDSLDEKSKGNLVQKGRFSVTSGNLDLAKDVPLSIVPRRSPQSSPLRKSASVGNWIPEPKIPTVQPQTIKELSSQPMSPSLIIPQLQHIFQQNSVQQDLLMNLLNSVQPAETADGSQSGKLPPLPRSETNGTVDSVPSERERMLLSSISELRAKLNDLTEELDSEKSRYNQLQQKLKAFTGRELV >SC349g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000192.1:21711:27143:1 gene:SC349g500010.1_BraROA transcript:SC349g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMAGDQKGELSKKEKLFLEEFTASLDKACKDQIRSRSTGVIGLPSKTTSWFCKEDIKKLSQVIMGVEKQFRETHTTRPSLEEQNQELITSMDVKKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLVKFCELLISDWTGRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEPDQNALLLDHVKVWKPPDLQKLQYHFRDYQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGNYLDSKKRMKPDLLSTGTGQTVMSTRIFEKRGYSIDQSIKKGSLAKLEMQLSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSLLNERVMGSTRRVILCLLCLNFSEFSTSQSYLWRPGEHDKVTNHVFKSSFIDYTDMMHLFLPKESCVEYMEALKNAKRKNKREEDKRFKPPDLSQERHHDHVSLLRDRVSPQTCLVYLFASTCLCFWRPSPAPPPTPREVINTRLALIMHLQSRTDSPVFMVGHEIKRILRGNQHKESVRELIKRVCED >A09g518620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56820116:56820972:-1 gene:A09g518620.1_BraROA transcript:A09g518620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLQTISTIYSIPLAIAAAASTRISNELGAGNYRAAHIVVYAATSFALMESVVVSLSLLLGRNVFGYVFSSDKATVDYVAKMAPLVSITIILDSLQGVLSGIARGCGWQHIGAYINLGSFYLWGIPFAATLAFWVNLKGVGLWVGIQTGSLLQTFLLALVTGCTNWENQALEARKRMALA >A09g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20409452:20411661:1 gene:A09g506950.1_BraROA transcript:A09g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSAASMKPSAAPMKPSAAPMTHSVAPMKPTGQSGVSGDTSSKKRNGKAVFCSDVSSDKNDGVVMFRNMTFGPQEGELRFRLIHFWEARNAFTKILIGLEMLLIDEEGTVIQGFIPPSRIDTYLRHMIPDSTYRLNNYFGSKTKKVYRVADPDVTIAFSWNSVLSVLTDSSIRFPEDRFRFHGYEEFEAACDLKGDLFDYIGHIRLVNEQTLTEGLVLDEVEIASMRRILIHVQTYDGPVMKLYLWDKAATDLCEKFKSLGKPPSVILVTTVNPKRFEGALSLSSLSSSRVFFVMDVQATREYLAWFESNSEVANRVNAEIVTKAETATIGELLSYMKQEDANVAWFECTATIDDVVRDSAWYYIACGRCKTKATKGPTTLMCKKCGKTEITGAAEYLTKLSVYDNNDYASFVVLGDAGQELTGKKASGLVESYYEAYEGALDGHVVPVPQALIDIIGQTRTFVIKISKHNLEGKTQSLTVTKVLPLDGSALGCDLAADVVVSPTEVALGSGNGEEGSSIAKRAKCG >A06p054370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28516873:28518006:-1 gene:A06p054370.1_BraROA transcript:A06p054370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLNADYDLSMVDAFLNSSSPGETTLKERLHAVVKVTHEAWCYAIFWKPSYHDISGEPVLKWGYGVYKGEDETDKTRRRRKTNAEEKLQRNKVLRELSLTISGVSFPVKDEDDDVELTDMEWFYLVSMTCSFRSGSGLAGKAFATYNPVWITGLDMINGSGCSRANQGGDLGLQTIVCIPSDNGVLELGSTEQIRENTGFFRKIRFLFNFKGYGAMNSSKPRPVYPPIQNNIIFSTATSSTSTTVRSSFAEDIKLEESDHSNIDVKAKLKRKRQKKPTHGREEPMNHVEAERLRREKLNQRFYALRAVVPNITGMDKASLLEDTVRYINELKLNAENAESKKDAVQIQLNKLNEEIAEQNAVLEFVDAGEKQWRMI >A02p010490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4519488:4519844:-1 gene:A02p010490.1_BraROA transcript:A02p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHYKLNGHVKEEVYEKFILHLRTLGPVAVGFNNFPNYSLDDFGFHILSPTPIELVRPGFEYNYTKHVALLMRLRIDVEGNEYVELFEISGQNWRDSGFVQLAMHEGLTNFAIEMEI >A08p026480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16873149:16874537:1 gene:A08p026480.1_BraROA transcript:A08p026480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATTTDFFKPFLSPFSNGNKAAQQRGSRQKNNVVWLNRKSPNRSLRVNGLFGGGKKDNNDDNGQSKAGILGNMQNLYETVKKAQMVVQVEAVRVQKELAVAEFDGYCEGELVKVTLSGNQQPIRTDITDAAMELGSEKLSLLVTEAYKDAHAKSVLAMKERMSDLAQSLGMPPGLSDGLK >A04p002700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1313670:1316973:-1 gene:A04p002700.1_BraROA transcript:A04p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDDNNGSFEIDDKSHLDLVTPTTTTRPTDAAADTDDGGGGSLLSQPGIRRRRKKSMVWEHFTIEPTSPGSSKACCKHCRKSFAYITGQKLAGTSHLKRHIQLGICPMSRGGGDQLTQISPDAKDVVVACAPPKKRQRAAASSSAPLDQDRCYGEMAKMIIMHEYPLHMVEHSGFAAFVRALRPQLGMASFHAIHADCVAMYLSEKQKLSAFIGEIPGQVNLTVDLWSSDQSVGYAFMTGHFIDKDWNLTRRLLNVALVPSPDSDFALNQPVAACLADWNLERRLCSLTVGGYLVNKNAVENLRCCLSAKNQHVLNGQLLLGSCYARLLSSMACDALGAEDLQTGIRKVRDSVKHVKSRDSCSERFDELKAQLQTRSEKDLRIDNQTKWDTTYSMLLAAYEHKEVFSCLGNCDLEYKISMSPEEWRKIEVLCSCLKILFDAASVLTGPTRRLTANDLYHEMTKLQLELSHAAMSEEADVRNLATPLREKFDEYWRGCFLLLAVAVVMDPRFKMKLIEFSFSKAYGEDAEKWIRSVDDAVHELYHDYAEQSHSLLEAYVGHGNDGFSETEVHFHPEYNHSNELSHDQIYEQPGGDSNLLNEKPRDHALDGHESQEAAQTDQTQLVEELPLENQLVEDTDMSQETQPVDEILEDTQSVEELAQEAQLVEEKHADNDILVEEVEHERQPEEEMVQNTEPVEQVVQEAQLVEEKHGDSDIQPVEELGHETQPVEEMVENTEPVEEVAQEAQLVEEKHGDSEIQAVKELEHETQPVEEMVEDTEPVEEVAQEAQVVEEKHDDIQPVEEAEHDTQPVEEIIEDTQPVEDLAQPVETIPEHSKNPQNGDSQSHAMPQEEAAFTISQEGHHVDVLLQEGHHLEASSQEFPLITIGDGFSDFELYISEVGSREQMKSELDQYLEESLIPRSPDFEVLGWWSLNRTKYPTLSKMAADVLSLPFCTVSPDSVFDTDVKKMDNYRSSLGHVTLEALFCAKDWLMHASTSENNMKREPEMLYKPAEKSLV >A06p053560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28214375:28215555:1 gene:A06p053560.1_BraROA transcript:A06p053560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACLQTFSCMQGKKGVWIKLPRHLIGLAETAVKEGFWFHHAEKDYLMLVYWIPKEDNTLPFNASHRVSIAAFVINHKKEVLVVQEKTGRTKGKGIWKFPTGVVNEGEYIHDGSVREVKEETGVDTEFVQVLAFRQTHKTFFEKSNLFFVCMLKPLSFEINAQESEIEAAQWMPWEEYTNQPFVQNHELLRYMTDICSAKTNGDYEGFTTIPVSEHDQQGNLYFNSRDLLPRH >A07g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3760789:3762065:1 gene:A07g501830.1_BraROA transcript:A07g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRITGFDEYMNLVLDEAEEVSIKKNTRKQLGRILLKGDNITLMMNTGK >A09g512570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37312451:37318692:-1 gene:A09g512570.1_BraROA transcript:A09g512570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHARRHLHVHLPFSMSGVHASRHTGLCMSVRMRRSQVLRHLVLLCVELHETVSCTSTPPSCVDTKQVKWLTPRPDPLDQATSSFSVDLRYFGSSGEFSSSDQSRIFFRSRSDELNILIDSKLSLTLRIKFPSLRFPEKFDKTETRFFFFKTGYYSVCPSAHTGRPWLSISTHISTLVLGLRTLTLPVDILGDFGPRGLFVQYTQDVCGCPPEHTEHPWLSVSTHRTSVAVRVCRCVSVSTHKTSVAVHQYTYQHAGPSCGLFGTLTLPVDCLGDFGQRELSVQYIHDVRECPLAHTGCQWLSVSVRVCPSMSVSTKDIRGYPSVHISARWSLESARWPFPWTVWVNLAHVGCLVSTHRTSVGVRQHTGHLLLSVAVRQHTQTFVAVCGFPSAHTGRLWLFVCVRVCPWVSPEHTGPHTGRLWLSISTHISTLVLGLSTLALPVDCLDDFGPRGLSVQYTQDGRGCPPAHTGHLWLSVAVRPHTLDVRGCPCVSMCVHVCPSAHAGLPWLSISTHISTLVLGLSTLALLVDCSGDFGPRGLSAQNTHDVCGCPSAHTGRPCVSVSTHRTSVAVRVCPCVSVSTHSTTVAVHQYISARWSLDSAHWPFLWTVRVCPSAHTGRPCAVCVCPSAHTRRPWLCISTHISTLVLGLLTLALPVDFLGDFGPRGMSVKYTQDVRGCLWLSVSTHRTSEAVRGCPSAHTGRLWLFVAVRQHTQDVCGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPCRGLFGLFWPTWAVCSVHTGRLWVSVSTHRRPCVSVSTHRTSVAVRVCPFMSVSTHRTTVAVHQYISARCSLDLARWPFPWTVQDVCGCPCVSVAVRVCLCVSVSTQRTSVAVHQYTYQHVGPWIPHADPSRGHFGTHKTSVAVHQYTYQHAGPWTQHVGPSHGLSGPHKTSVGVLQYTYQHAGPWTQHAGPYCELFGTHISTLVLGLSTLTLPVDCLGDFGQRELSVQYIHDVRGCPLAHTGCQWLSVSVRVCPSVSVSTQDIRCYTQDVCGCPPAHRTSVAVCGCPSAHTDVRGCLWLSVSTYRTSVAVRVCSCVSVYVRVCPWVSPAHTGRPWLSVSTHRTSVCVRKHTQDFRVCLCVSVSTHRTSVAVHQYTYQHTCPWTQHAGPSRGLFGTSVAVRQHTLDVRGCPCVSMCVRVCPSAHTGLPWLSISTHISTLALGLSTLALTVDCSGDFGSCGLSVQYTQDVRGCPPAHQDVCGCLTLTLPVDCSGDFGQRELSVQYIHDVRGCPLAHTGCQWLSVSVRVCPSMSVSTQDIRGYPSVHISARWSLESARWPFPWTIWVNLAHVGCLFSTHRTSVGVRQHTGHLLLSVAVRQHTQTFVAVCGFPSAHTGRLWLFVCVRVCPCVSVCVRGCRQNTQDVRGCPSAHTRRPCVFVSTHRTSVFVCVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDGRGYPPAHTGHLWLSVAVRQHTLDVCGCPCVSMCVHVCPSAHTGLPWLSISTHISTLVLGLSTLALLVDCSGDFGPRGLSAQNTHDVCGCPSAHTGRPCVSVSTHRTSVAVRVCPCVSVSTHRTTVAVHQYISARWSLDSARWPFLWTVRVILAHLGCLFSTHRTSVGIRQHTQDVRVRPQHTQDVCGCPCVSVCVRLHTHDVRGCPSVHISTRWSLV >A05g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:884288:884878:-1 gene:A05g500190.1_BraROA transcript:A05g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSVQFPEDQVEETRMNLPGMMFADGEEPVGVRVLTYQSSHAINSILSALDEEEIQFIRQSSFAIVSSVMENVDSGLLLYK >A03g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4548608:4549700:-1 gene:A03g501530.1_BraROA transcript:A03g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTVLLRSPAVHAYGEGGGCRLLVEEKKIQDPTEHDWIYHKENKSLLSSCSSSYQLRPMYVVVTGAACSVEKRHAALLSRPARGTACKGLCN >A02g512670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34647686:34647942:1 gene:A02g512670.1_BraROA transcript:A02g512670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVFLLSRPCEAFGVDELVLGMSVLRDSSLLDVKLFLASELYRGSLRIGSLVDSGGRGTEQLRG >A01g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15171357:15172445:1 gene:A01g505130.1_BraROA transcript:A01g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSALDKGHPTFTDFPTEKQHLWFRQFLQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEINKIPNSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLDAQSIATLGDRMAEENEGWPVDDLALMKMAYTNKKTGQIDDGLVRDVVSLVQTRVYDEVSQLQTDDDDSAASTNLSRVRINEIVESVSSFFLKFNSFIL >A05p049300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28719322:28720731:-1 gene:A05p049300.1_BraROA transcript:A05p049300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMQAIETSQPTVAPPAVHSRQLGAQLSGSMSFSSQMSKEDEEMSRTALSAFRAKEEEIEKKKMEIRERVQAQLGRVEEETKRLALIREELEGLADPMRKEVALVRKKIDSVNKELKPLGHTVQKKEREYKEALEAFNEKNREKVQLITKLMELVGESEKVRMKKLEELSKNIDSIH >A04p030950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18359873:18360495:1 gene:A04p030950.1_BraROA transcript:A04p030950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVAFPYHHRTPPPDFASRETGKSAVNRSVLALRFRYVRMTKLTYEGMILAETPRSRTLTTVKAVSGGGVSLPPLDLTEDNIRLVLSEARVELAQLFDLSVGITGQVELVELDGPFVKISLRGKFWHTRAMVLARIGNYLKQRIPEILEVEIEDEKQLDDSPANF >A10p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21727963:21731388:1 gene:A10p039160.1_BraROA transcript:A10p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRFYLVTDSSDDDAANPTPGTLRHAVIQPEPLWIVFSGDMGIRLRHELIVGSYKTIDGRGANVQITGHGCLTIQQVSHVIIHNVHVHHCKPSGNTLVASSPTHVGFRGVSDGDGISVSASHHIWVDHCSLGYCSDGLIDVILASTAVTISNNYFHHHDEVMLLGHDDRYTADTGMQVTIAFNHFGEGLVQRMPRCRHGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPINPNAKEVTKRVDSNEKHWGKWNWRTDGDVMVNGAFFVPSGDGVSPAYARATSLQAKAAGFIDQLTVNAGVFGDPSGRNGQGGGFSGITDGGGTITRGYSKDGPSGGGSDSDGGLFSMIFGSNTNAASAALRQGHVWSILFTILLNWYFSNHRR >A05p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21047272:21048749:1 gene:A05p037050.1_BraROA transcript:A05p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRFPRKNKECLNIPCIIRISRHEHRISFNSSLTPEKLICGVCHRKIDTNYGRYSCVKCCNYGVHSKCATRENVWDGEELEGKPEDPYEDISSFIEISEGIIQHFSHQDHHMRLDEKTDRAFDENNYCQACTLPICDDIIYSCIQCDFILHKECAQLPRKKHQVTHPHSLSLQVGHQGCALFECKACLRSSNGFAYLCDEEDYEYMLDVCCASIAEPLDHRCHQHPLFLTFEPRSKQICSVCHKSRGHRLNCGECGFVACFGCATTLPNKLRYKHDEHVLLFSYEEEVNGQYFCEVCEKEANPKNGVYMCHDCNVILHIKCLLGKDENIMHGVVIEYPEVDVSILLNDRLSRNICKCCDKLCKHKIVYEVSDFEICSLKCLEFCCSIFYYVCLMFIFSFSMFYS >A01p041430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22241156:22242677:-1 gene:A01p041430.1_BraROA transcript:A01p041430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLCPCFSNPQQLGANSPRDSFDEGLTGYRGHSSKLFALFTFRSRGKGSSRQKYITEEIKKYGNVKSSGKIFKFKELIAATDNFSMECMIGEGGFGRVYKGFLTSLNQVVAVKRLDRNGLQGTREFFAEVMVLSLAQHQNLVNLIGYCVEDDQRVLVYEFMPNGSLEDHLFDLPEGAPSLDWFTRMKIVHGAAKGLEYLHDYADPPVIYRDFKASNILLQSDFNSKLSDFGLARLGPTEGKDHVSTRVMGTYGYCAPEYAMTGQLTAKSDVYSFGVVLLEVISGRRTIDGDRPTEEQNLISWAEPLLKDRRMFTRIVDPNLKGNYPLKGLHQALAIATMCLQEEAETRPFMGDVVTALEFLAKPIEVVDDTNNTDTTTPAPVSEAQKSASDS >A09g519210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59124579:59126508:1 gene:A09g519210.1_BraROA transcript:A09g519210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLLLDQSSEIVSQQLCDGCGMLFRELSRFVLERCICSHKGLTDSIYPHGNQSYLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWQKLLDFGEVKISYISFFDIKKHETVNSRWDLELGQEQMRFDIGKEKEVKLVKKQASEVFLKDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQKCYIFGRRGSFNS >A04g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20394107:20396620:-1 gene:A04g507970.1_BraROA transcript:A04g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMANAGLQIDSVLGRKTENLKEIYSVGRKLGQGKFGTTFLLRGQEVRSRTRLQNDRQEDVEDVRREIQIMHHLSEHPNVIQIVGAYEDAVAVHVVMEICAGETFTDVVGSPYYVAPEVWKKRYSHESDVWSAGVIIYILLSGVPPFWDEMEQGIFEQVLKGGLDFVSEPRPSVSESAKDLVRRMLIRDPKKRMKAHEVLCHPWARVDGVALDTPLDSAVLTRLTQFSAMNKLNKIAVKDGRIDYSEFVEMMQDTGFGKMGLVTSSMRTIEVVSVASSDPVEIATQDDKPMRELKQTLGNDNETEEEERRITNPGEPT >A02g512730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34889829:34891137:1 gene:A02g512730.1_BraROA transcript:A02g512730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKNTEVLIVLAHFDWSVYYPKRLVEFTLCLCLLRLSLIDALTSAAVYLTVALPDAKDISVKCEPQGLFTFSALGAQGKLFEFSLELYGKVVPEERYKLEPYIKVDWNKWCDEDEEFVDEDCESSDDDGLLKFQQP >A01p026260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19684093:19684567:-1 gene:A01p026260.1_BraROA transcript:A01p026260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEAWSRRRLSERRGRGGETHREGFHFQHEAFSPDAMEEDASAAVGDSGELRQEEIQRWWVWIGGMTIIAVEILPSVYCLSVAYINTHDLAMLIQGSINVYRFNTFSEGSVYE >A07p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7186620:7187294:-1 gene:A07p014230.1_BraROA transcript:A07p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLRLPQTHLRKIFRPVLASRPVSKSNPFHHTLQICVWKVRNKVAGQIGDAYLCYDRLSPITPEKKPERRSNRRP >A01p022130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10779862:10780251:-1 gene:A01p022130.1_BraROA transcript:A01p022130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVNGYTTGVWHTVKTPEISCLPMWVNLKSIHDSYFTRLGISHISSGLGEPMLKHNSFRPNKHGKDKIFFENEMDSQSKHGNIYLVDVEYSWIPTTCERCGAFRQGRKSVSFLLNCKLLHQKSKLNL >A09p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2848070:2848733:-1 gene:A09p005210.1_BraROA transcript:A09p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVATLSSPPPVSLPLSSSRSSLFSNCFTVTTRPKTRSLVAIRPEQRRKALTCNALFGLGVPELAVIAGVAALLFGPKKLPEIGKSIGKTVKSFQQAAKEFESELKTEPEETVADSSTVAMSNKAEEKTEVSSSSKENV >A06p056310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29335738:29337734:1 gene:A06p056310.1_BraROA transcript:A06p056310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSLLSFSLALLIFLHGSTAQQFPNECQLDQLNALEPSHVLKAEAGRIEVWDHHAPQLRCSGVSFVRYIIESKGLYLPSFFSTAKLSFVAKGQGLMGRVVPGCAETFQDSSVFQPGGGSPFGEGQGQGQQGQGQGQQGQGQGQQGQGQQGQGQGFRDMHQKVEHIRTGDTIATHPGVAQWFYNDGNQPLVIVSVLDLASHQNQLDRNPRPFYLAGNNPQGQVWIEGREQQPQKNILNGFTPEVLAKAFKIDVRTAQQLQNQQDNRGNIVRVQGPFSVIRPPLRSQRPQEEVNGLEETICSARCTDNLDDPSNADVYKPQLGYISTLNSYDLPILRFLRLSALRGSIRQNAMVLPQWNANANAVLYVTDGEAHVQVVNDNGDRVFDGQVSQGQLLSIPQGFSVVKRATSEQFRWIEFKTNANAQINTLAGRTSVLRGLPLEVISNGYQISLEEARRVKFNTIETTLTHSSGPASYGRPRKADA >A10p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14034554:14037160:1 gene:A10p020700.1_BraROA transcript:A10p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFLILVQKPMATERPPSFELAKGINGLDKIVLRQSRFRSAEVYLYGGHVTSWKNENGEELLYLSSKFSNLGTLESHGFARNRIWEVDASPPPLPTYSSPNAFVDLILRPTEDDLKTWPHNFEFRMRVDLGSEGELTLTSRIRNTNADGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLKNRERFTEQGDAITFESEIDKIYLSTPTKIAILDHEKKRTFVVRKDGLADAVVWNPWDKKSKTISDLGDEDYKHMLCVEAAAVERPITLKPGEEWKGRLELSAVPSSYSSGQLDPKKYYEETSEGRRKDDMVFWFSQWNK >A07p030070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16806471:16808528:1 gene:A07p030070.1_BraROA transcript:A07p030070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYLGRNGPKNPNIERFRNNFVGLQFYSSSFSPSTSLGRRRIRRRTTADAASSQSNLREMAWLVATLSLIVIWVASIFKVFFGATSSSKAAKLDDISLSWTSGNKKNVLFVIAHPDDESMFFSPTINYLASSGYNLHMLCFSTGNADGMGSIRKDELHQACAVLRVPLQQLKVLDHPDLQDGFGQVWSHDLLAKVIGEEVSNHDIHTIITFDNYGVSGHCNHRDVHHGVLKFLQTNSERNIKAWELASLNIFRKYSGPIDIWLSIFSSKRNASKAIIINEQPWKSYKAMAQHLSQWVWFRKLFVSFSSYTYANTLDRINP >A03p024960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10502631:10503252:1 gene:A03p024960.1_BraROA transcript:A03p024960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSHFLSRCSSYEADFDSDSDISTTSSCSSYSESEEEINNVFSYELSTKKLENKKKSNVLLEGYVVDTVVNDDLKRTKSLTDDDLEELKGCVDLGFGFNYEEIPELCNTLPALELCYSMSQKFMDQDHHHTSSPEKIESPVSSLASWKISSPGDNPDDVKARLRFWAQAVACTVRLCT >A01p011020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5354547:5355773:-1 gene:A01p011020.1_BraROA transcript:A01p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYIADEDYDYLFKLVLIGDSSVGKTNLLSRFTKNEFSIESKATIGVEFATKSVHVDEKIIKAQLWDTAGQERYRAITCAYYRGAVGALLVYDITQHVTFENVEQWLKELRDHTDANIVIMLVGNKADLHHLRAVQEDEAKSFSERENMFFMETSALDATNVEQAFTHVLTKICRVMSRKAFEGTGDPTSLSKGQTIDLGSKDDVSVVKSSGCCSG >A04g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3871927:3874967:1 gene:A04g501570.1_BraROA transcript:A04g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTWVSNRLRPNGLSARFVVDRYTDALSYKGAVELQPKENHPEGEARRQYHQTTGKHDYKGKGVASERERNASLARGGPGRRSREQERAVPKYVRQAGYLPPKELQDSYLMATDGINGLRNQDVGNHLDDNQKLMLDAFKSGGTKEMSGTKTRKALQFEEEPSVEMNQEDEGKTQVEAKGCGGSMEISVETVENTSDEVQGLKNTVETSDLNVSLESQVPKPSEEKLEIHEVELGSGVEGGSLEMVAGLGNEDGNLEYVMSEEGEEEDQEEFSLEDTNTDDTEMVMADARVLEKGPQTDVVGELTEEKGRQKKKSGKLQAAATGGNAKKRTVQCFASPRKKVMAKHFSKVGEKGPAPKKALAKTKPDQVVLSRSQKVCFGVSLFVSETGVLWYEFPVIKCMSWLIGSGGVDKSVRTRENGTSGECLVVCSDIEMSVIQVTQLVRDGSMITNRGLLSLLPVRLGNGVLIVEQ >A10p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18866496:18868533:-1 gene:A10p031630.1_BraROA transcript:A10p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHRSSSSSKNVGNCLPSKELLDDLCSRFVLNVPEEDQQSFERILFLVEYAYRYYEDNAVENDPTLKSLSLKEFTSLLFNSCDVLRPYVSNVDDIFKDFTSYKCRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGEKSKDEEDYACAIREVLEETGFDVSKLLKKEEYIEFTFRQQRVRLYVVAGVADDTSFAPLTKKGISEIAWHPLDHLQPASNEVITHGVAGLKLYMVAPFLASLKSWISKHPAPRPRRRDKPLRALCVWNAKTSSGGGNGTATERYNKKPEVNRPRDTEPGNSFRSFKLNMSAIFESA >A05p004790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1854317:1854790:1 gene:A05p004790.1_BraROA transcript:A05p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADKPINTDVPEKDVFTLHFLQSLSNLRRQNTFNSPEKTNDRVKKIKKAAYVSMARAAGGTNRLWSRALLRRAAKENSKVVRFPRRKKRVTCLRRRRSNRRDPVEEEEAERLRNLVPGGGGMETSKLMEETAHYIKCLSMQVKVMQCLVDGLAPK >A04p025440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15409437:15411108:-1 gene:A04p025440.1_BraROA transcript:A04p025440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHCTMRGAVGVLLLRFSNGVVSSRSILNSANHHHRRLLLTIPNSLSSLSSISTHTTSNFTHEQKKCTNRQVRKIWISSPLCMGRRSSKIAGRKGAQDSKKAKLYCRIGKEVVSAVRKGGPNPVSNTVLATILEKAKDLDVPKDIVERNIKRASEKGQEDFIEKIYEVYGYGGVSMVVEVLTDKINRSVAAVRSVVKDYGGKMADSGSVMFKFRRVRVVNIKVTEADKDQLFIIALDAGAEDVIDPPSYEDDTDEDREERYYKIVTSNENYSTVLSKLRDEGVNFEPDNGSELLPLTTVEVDDEAMELNKELMQKLLELDDVDAVYIDQK >A03p021170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8741376:8743886:-1 gene:A03p021170.1_BraROA transcript:A03p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAETMQKSKFKRICVFCGSSQGKKSSYQDAAVDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPKELTGETVGEVRAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHEKPEYAPCRESVTSKLCWEM >A01p005750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2996550:3000597:-1 gene:A01p005750.1_BraROA transcript:A01p005750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMVERATSEMLIGPDWAMNLEICDMLNSDPVQAKDVVKGVKKKIGSRNPKTQLLALTLLETIVKNCGDMVHMHVAEKGVIHEMVRIAKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLSSYPPNLRNAGGPSNEVPDPSAEPDFPTLSLSEIQNAKGIMDVLAEMLSAIEPGNREDLKQEVMVDLVEQCRTYKQRVVHLVNSSADESLLCQGLALNDDLQRVLTSYEAIASGNPGTSVHTEKPKSEAEKSLVDVDGPLIDTGDGSNQANGATSSSGNGVLNQLALPAPPVANGSAHSKIDLLSGDDLALVPVEPQPSASPVASDQNALALFDMFGDNTNSQSPATAPTGNSVTQSSPLNPQLHQLPTSQAGEAGLQHSNGFPPQGGYPQFEQPSYGQGASSPWISQPAQQLQQPQQPSYEGAQDSTAFPPPPWEAQHQDFSPTAESGSPFSPQMNQTQVAYTHAQQYPQMPQTSQPFNNNSPYPQMPSGMYMQQPMPNQALGQGYPPQQQQMMMAQFYAQQQQQQQQAYGNQMGGYGYGYNQQQQGSSPYLEQQMHGMSIRDQASHQAPPSSSSYLPPMKPKNKPEDKLFGDLVDISKFKPSTKPTSGRAGTM >A08p001480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:878813:881215:1 gene:A08p001480.1_BraROA transcript:A08p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRLKQQQQQAMMQQAMMQQQHHSLYHPSLMAPPPPPQMEPLPSGNLPPGFDPSTCRSVYAGNIHTQVTEVLLQEIFASTGPVESCKLIRKDKSSYGFVHYFDRRSAGLAIMSLNGRHLFGQPIKVNWAYATGQREDTSSHFNIFVGDLSPEVTDAALFESFSAFNTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAINEMNGKWISSRQIRCNWATKGATFGEDKHSSDGKSVVELTNGSEDGREISISNEEAPENNPQYTTVYVGNLAPEVTQLDLHRLFHTLGAGVIEEVRVQRDKGFGFVRYNTHDEAALAIQMGNSQPFLFSRPIKCSWGNKPTPTGTPSNPLPPPAPVPVPGLSPMDLLAYERQLALAKMHPQAQHSLRHVNAAGASAAMYDGGFQNVAAAHQQLMYYQ >A01p037890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15102501:15115690:-1 gene:A01p037890.1_BraROA transcript:A01p037890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPATASHSPSVSVLLDALEQSVSTPSIANGLSQRLLRSLQLSNENKLSFKALNGPCRVLRLACTQARESRKSLCVDPLLESSDDSGVLVDSPHNKSHSEDCSFECVETCIGIFSEFFSSTDDAKVYVLRSSVCVDCLFELFWEKAVRNNVMKHIVDLMKITPLCDEDKTAKLQVCSKYLETFTQVKERENDFVDLSVDLLAGMRDMIKTDSRYYQALFRDGECFLHIVSLLNGNFDEANGEKLVLNVLQTLTSLLANNDASKFAFKALAGKGYQTLQSLLLDFFQWQPTQRLLDALLDMLVDGKFDDKGSALIKNEDVIILYLNVLQKSSESLQCYGLNLFQQLLRDSISNRASCVRAGMLNLLLDWFSLEDAESVILKITQLTRTIGGHSISGKDIRKIFALLRSERVGNQQKYRSLLLACLLSMLNEKGPTGFFDMNGVDSGIVIKTPVQWPVNKGFSFCCWLRVESFRGDGKMGIFSFMSKNGKGCFAAVGNDGLSYVSLNLKRQCVNVHTNLVSKKWHFICVSHSIGRAFWGGSLLRCYVDGELVSSERCSYPKVTDVLTSCFIGTSITLPHIQDNEGLESIRDVFPFFGQIGPIYLFNDALSSDQVQAIYSLGPSYMYAFLENEMTGPFSDNPFPSAILDGKDGLAPKVSFGLNAQASDGRRLFNVSRVSDHLQDRLVFEADIMVGTQLCSRRLLQQIIYCVGGISVFFPLITQTDRCESEALQEEASSMPAPEERMTAEVIELIASVLDENPGNQQQMHLLSGFPILGFLLQSIQPKQLNLETLSSMKHLFNVISSSGFAEQLVEDAISSIFLNPHIWIRATYNVQRELYMFLIQQLDNDPRLLGSLCRLPRVIDIVWNFYWESERYRSAKGSKPLLQPARTIAERPSRDEIHKIRLLLLSLVFALSFIPTFHPYFRQNITSGDVKALIAFFETCQDVACIEDVLHMVIRAISQISVLASFLEQVNLIGGCHIFVNLLQRDYEPIRLLSLQFLGRLLYDVPSEKKGPRFFTLPVGRTKFLSQGHKKIGGRTQPIFVAMSDRLFQYPQTDNLRATLFDVLLGGASPKQVLQKYNQVDKQRSKASNSHFFLPQIFVFIFKFLSGCKDGSARIKIISDILDLLDSNPMNVEALMEFGWSAWLSASVTLDVIKDYRSELLNHDDLALNEQHFVRGLFCVVLCHYILSVKGGWQQLEETVNFILLHCEHNDAPYRSFLRDLYEDLIQRLVELSSEDNIFLSHPCRDNVLYLLRLVDEMLVREFGSRLLFPANSADFSEDLLQLANREDHSLGLDENFQRLLTEETSRNTESQHSCTTITELMTNERWWNLYDNLWRIICDINGRGPMKMSPKSLATGPSIGQRARGLVESLNVPAAEMAAVVVSGGIGNALSGKINKNVDKAMLLRSEKCPRIVFRLVTLYLCMSSLEKATRCVQQVTSLLPSFLSADDEQSKSRLHLFIGCLLYVRSQYGKLDDGARFHVISHLIRETVSCGKSILATSGMSKDDSSESGGIFKEVGSIQNLIHKDRVLAAVTDETTYMKTLLSDRTRQVKALGERNNEASSLESNSKKPFDDELQSGLKTVLTWDENRRVAVQLSHEEQQQNVTEKWIHMLRSLMDERGPWSATPFPNNIVNHWKLDRTEDSWRRRPKLRRNYHFDERLCHPPSTSTATENETTNVINESKSGVIHLPEQMKRFLLKGIRRITDEGGSESCENDNSQSEQNLLDTSAEIQFSELVRSSSDLKDVVQEKVDASSLEVETSEVLTSVPCVLITPKRKLAGCLAVMKNVLHFSGEFLVEGTGGSSVFKNFSTAKGGDVTKTDSKQNLVKWSSPYERETSLDLESEKNNQKPLKKFKRHRRWKIGKVKSVHWTRYLLQYTAVEIFFQESVPPVFLNFASPKNAKEVGMLIVSTRNEFLFPKSVPRDRTAVISFVDRRVAMEMAEAARDRWRRREITNFEYLMILNTLAGRSYNDLTQYPVFPWVVADYSSETLDFSKASTFRDLSKPVGALDSRRFEVFEDRYQSFSDPDIPSFYYGSHYSSMGSVLYYLLRLEPFTSLHRSLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLGDICLPPWAKGSPEMFIARNREALESEYVSSHLHEWIDLIFGHKQRGKPAVEAANIFYYLTYEGAVDVENMEDELQISAVEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPASINLTSILPATTNPPSSVLYVGVLDSNIVLVNQGLTLSVKIWLTTQLHSGGNFTFSSSQDPYFGVGSDVLSPRNIGSPLADNVELGSQCFATMQSPQENFLVSCGNWENSFHVISLTDGRVVQSIRHHKDVVSCVAVTADSSILATGSYDTTVMVWDILRMKTPEKRVKNMHAEALRRDIVLADAPSHILCGHDDIITCLYVSTDLDIVISGSKDGTCVFHTLREGRYIRSLKHPSGSAVSKLAASHHGRIVLYGDDDLSLHLYSINGKHLASSESNGRINCLELSKCGEFLVTAGDQGQIVVRSMNTLEVVRRYSGAGKIITSLTVTQEECFLAGTKDGALLVYSIENPQHRKPNPIWSIKS >A01p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:804128:807108:1 gene:A01p001760.1_BraROA transcript:A01p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFALILILSSLFLFISTKILLTRSKRKLNLPPSPAISLPLIGHLHLLKPPLHRSFRSLSKSIGNAPIFQLRLGNRLVYVISSRSMAEECFTGNDVVLANRPKFIVSKYVGYNATHLIAASYGDHWRNLRRIAAVELFSTQRLNAFLYIRKDEIQRLISRLSRDSLHGFVEVEMKSLLANLASNNIIRMAAGKRYYGEENDEAKFVRQLVSEVVTSAGAGNPADYLSIVRWFTNYEKRIKNLGNRFDAFLQRIVDEKRADKEKGETMIDRLLSLQETQPDYYTDDIIKGLILTLTIGGTDTSAVTLEWALSNLLNHPEVLKKARAEIDDKIGFGRLVDEPDIANLPYLQNIVSETLRLYPAVPLLLPHVSSDDCKVAGYDVPRGTMVLTNVWAMHRDPMLWEDPELFKPERFEKEGEAEKLLPFGMGRRACPGAGLAQRLVSLVLATLVQCFEWERVGEELVDMTEDKGVTLPKLVPLRTMCKSRPIVGKLI >A02g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22872787:22874757:-1 gene:A02g508460.1_BraROA transcript:A02g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSQQRRRKLTDVFPKILILFSDLFAEFSSKTVATVGNTPYRHMGLSFRNKSAHHADIKGKGIIYEDDDAPIKLVDRDDSFAIKKFGLTLIGKWEPIVHDDYPWIIPFWVQLIGFPLHLWTDRNLKNIGRIIGHIDTIELTEGHMLIVVDSRRPLKFSWKVEYEGDEVMIEIKYDKLFKHCTTCGMLSHEKVYCPYIETRQSSMERSDVFTRMQLPAHQSVRDSQGKDHNYHQSSLMKREMYSRNSREVETRPDLRNILRESHNNYPRSWENDRRSGSHADRIIRRKDEYQRSDRYGGGRARPGPYDRKEGQSWQAKSKPINITNVEQNGDGVTVKNNEIVPYEHLSGAGSMAPLSLGDDLLSSKENKENSTGTRKLESAIFTPSRLGSTDNVTVRSGAVAVADGRILTFSPQAKDPIDDQIIGALSDMELVDQQGSGLMDTDVNEDDLLGVELMEMEGDDPLKADRVNADFTKVMGSIDEKRTLKHKKLGVRRGAPLGSSSRKFEILHRGSPSKRTGRSGSLVSERAEKSRRYRSGSKKESNGSK >A03p046570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19657386:19659940:-1 gene:A03p046570.1_BraROA transcript:A03p046570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTLGVASARFFFSGHPPTTHNICVSSHSVSSRRKFSVMASGSSGGGEEFVKGNVYPNGVAVITLDRPKALNAMNLEMDLKYKSLLEEWESDPKVKCVVVEGSTPRAFCAGMDIKGVVADIFVDKHTPLVQKVFTAEYTLICKIAGYKKPYISLMDGITMGFGLGLTGHGRYRVITERTVLAMPENGIGLFPDVGFSYIAAHTPGRGSVGAYLGMTGRRISTPSDAMFVGLGTHYVPSGKLASLKEAFLSADISKDPHQDIQATLAEYNSDPDSEAYLKMMLPQIETAFSASKSVKETIEELKKYQQSTEASVAEWADEALQGLGKGAPFSLYLTHKYFSQVACAMGKTDNEMATLNDVMKTEYRIALRAALRGDFTEGVRAVLIDKDQNPKWKPASLDEVEETEVDALFKPLSPEVEELSV >A09p073500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56019688:56020845:-1 gene:A09p073500.1_BraROA transcript:A09p073500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGHMDVYASGALYEGLRGTKTFVLAAHRHRVCFMLELGLHSPSHTSRSNSPAILRNPKYLSLLHHLRFYIPEIYPQLENIVFLDDDAVVQKDLTPHFSLDLHGNVNRAVETCLEAFHRYYKYLNFSNPLISSKLDPQACGWAFGMNVFDLIAWRKANVTARYHCRQEQNIERTLWKLGTLPPGLLAFYGLTEPLDRRWHVLGLGYDVNIDNRLIETAAVIHYMGT >A07p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10916927:10919887:-1 gene:A07p018170.1_BraROA transcript:A07p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEWSTHCETFLPSMSEDFNTCSWSAYRRGGDGANLHYRNGSIRDSYAAADSSFSGGYGYERDFMKQTMLEHEAVFKNQVHELHRLYRVQRKLVDEVKGKNSKEEFSFSDYTSETASKRQLPRYGEGSSSQACNGRLQNGFCSRDGNEVVPVKARRKMIDLQLPADEYLDTDETGDNEEITILPPFKRSKSGRGDASHQSNSSGSCLDVKNSNGLADLNEPLKCQDSEPVSLSRDMHAHYGRNNADVQGLCLEKNTSQNGWMVLEAGNSRSTHRDQLPSHSAQAFSNNGFQPQSYPTTDHNKVIFPGYRDLEVRSKNPQASYDSHVESSVASNTPRLHNDYRPDFVRPWSHWSSSWENPRSSSHQKSYPVQKNPYMNFVAHARTDSTFEMRSPASNGIYHGFSSGSKEAVLNFPSAGFRPNGSVGEVVKNQNFESLQGPKKQERSAGLPWLKPKPLNRSEVSNGFLDLNASTNQSIDGTDTGDGLNSISPQKSLRSSTSCSYNANVRRVEMINPHSRKIIGCPIFEQPTIFKEEVNHLVKRDLDINLPCDASVCNDQHGTKAFRVGKEEGNKAGNFRHYIDLNSCASEDDEDSALHSSLRVKTKGIICIDLEAPPTLESEEEEDRESEKSNEETWGLMKGKDGNSLDELIKEAAQAIVAISLSDHQRLPGDAASSSTVAAGKSPLSWFADIITSQGDELERKADHEGDSSGEIDYFEAMTLNLHPTKEEDYMPEPLVPENLIFEVTGSNRPRRGQARRGRPKRDFQRDTLPGLPSLSRHEVNEDIQLFGGLMKSREHTWNSGVAARRNSKRKRIISQAPVCPSMAQPMNESVSVVELEDSKLTGWGKATRRPRRQRCPPAGNAATVILT >A03g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4883079:4883672:-1 gene:A03g501620.1_BraROA transcript:A03g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILLTSVSITMAKWGASQCVMQWGASQCVMQWGASMYYAVGSRQTHYIMVLHVFAQPSEERHTVTVQRFRRTSQIRRWRSYPPKPQRCARTHHDRRWSSKCYSRGQNGVHDVMNGHVSSEDERAARLQPRRV >A05p029670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14876314:14878109:-1 gene:A05p029670.1_BraROA transcript:A05p029670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDLPVSLVGGRVRPCRVFTDPFFPPVASFGEVSETDSEVIPRAHLKQRGSLVLDDGPRFEIQEGDLKVIRRKYGIHSSMKMRSSLEFERAHDGGPGEIAIFEAYLVAGFRRIVPSLVAEVSFFFSFCPSQLTPSSWKTLMSIQVLGELYGLDTRVHEVLYSYYFAPLTIMPGFYHLQPRDGAPLEPFYYPTFWRTVGWSAKKTPFLCSPPRLTRLTPPAARTRPLPSRTVIGDSLLMGVRQRLLTELFLLRNRVRDMAAQRDLLVWQVRASARWELMKEWMEGRTECWFPEEEYRRHLLGSEGSDHHFGGCPQDPKTAWGPESFNFKGPYSAILGETTTGTCWDFVFYRSEAGHYRVPVLHAAFCRKPLSNLEGAGVGENPSARLCYFSRLEK >A06g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17577149:17579668:1 gene:A06g506150.1_BraROA transcript:A06g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSLILSIGEVKLARISRGGAMVVRRSTDCRFELGFYNRSAISDGNNELPRRSKKIKDASDDHAMVTKNILIALEQKLYAFQELKMGHPLYSQALVVREKYPSVQFMSGTVIEDAETAKNIQILGGLLQLYGDHEVGRVTSNLQQRKFYKGWKFKYKPLNLQRKHDSRDLLQLILGDSIYKLKHKGRMKHLQPVSDSVLDRRMIEQIHQGHLQCFLEQRLRRGLKVVSMLRELGIGCAITVSLKKKQSKNWWLKYKLHTLLRDDHVSVGYTRAVLSCSVFDSVQHCSVHELGHMRSLLWRTMQRVIVMMLSGDVVKKVLVLHYLVLHDVIQLDEVMFRFDTSVVWVWLAILQHHERRDFVCVIRGEDDTLQSLEYGGLSAYFFSKITTQFCSVYLSHYCAYILWSYWSVHEGNIGALKIQVAVPADRAGYKGRSEITGSSMDLSDVPGVWESRSVDFIVFRKVCSYKLEE >A01p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18430883:18432278:1 gene:A01p031980.1_BraROA transcript:A01p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMKVTPLKDVKPYKSGWKVHVKVLHSWKQYNPVHGDTLEMVLSDEAGCKIHASCKRTYMESKGRLLPVGAWRHIQNFTLSPSTGMYRATDHPFKMSIIQNTAITRSPLNNEDMFLSLVDFQTVLGGSLKTCLLIDVIGQVVDLGDLETIQVSGKPRMKVEFTLRDMNDARVPCCLWGKFAEILYEGCSKDEDGKPICLIRFAKIGRFRGELQITNAFEASLLLINPDIAETEAFKQMFVDEVKPLAICEGRDEILDLEEVKSIQDKRDKWMLFPKRTIHGLLESTQFQFQA >A01g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17442988:17446043:1 gene:A01g505830.1_BraROA transcript:A01g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDDSEEQFSFNTDYSPPATCDLGTQQLIARLAAEEERNDLRADEADDGKKQARKRKLISLVDSEEESDVEITPPTQSTKPRRQTTYGTAKRKPMLQSTLDGGSGSSARARSQKNVPLKARQRHGRIKAIPSPMHDQFKQHGSAEVMARSRSSLKQLVWCFL >A10p018380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12866903:12867483:1 gene:A10p018380.1_BraROA transcript:A10p018380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLNASLSDICTEGVWNIRPARSDRQLCIQTFLTSINLSNDADSTEWIVAIGLSSRLEQLLNMAHCAQPDPLKGQTDIMWLNGGPHLRPLQSGGDQNSLLWSGNKLTLYIHRQCFKPYTSIITTIDRTTRNWIHSKLDINPGQSSQMIRFWFSDDLRSTFLLLCF >SC362g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000204.1:4261:4574:-1 gene:SC362g500020.1_BraROA transcript:SC362g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLRGMDGEDELAPPNLPMHLSRFMVVAPFSLQQETACPRPELANHTQGSSHWLFASTKHLLDSL >A07p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1698851:1699612:-1 gene:A07p006420.1_BraROA transcript:A07p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSHB1 [Source:Projected from Arabidopsis thaliana (AT2G16060) UniProtKB/TrEMBL;Acc:A0A384KL50] MESEGKIVFTEEQEALVLKSWSVMKKNSADLGLKLFIKIFEIAPTAKKLFSFLRDSPIPAEQNPKLKHHAMSVFVMCCESAAQLRKTGKVTVKETTLKRLGANHSKYGVVDEHFEVTKYALLETIKEAVPEMWSPEMKSAWGQAYDHLVAAIKAEMKPSP >A02p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8200304:8201284:1 gene:A02p017950.1_BraROA transcript:A02p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVPSDKSADSYSVSLLLSPVVSVWDCIVRKMRYTYVPEWTNREVMDNSSLMRKQDQPIVGNGKKKKKKQGKDEADRIKQAEKKKRRLEKNLAASLAIRAELEKKKQRIKEGHQEGDEESLAKKKKQDKDELERVKRAEKKKIRLEKSLANSAAIRAELEKKKLKKLEEQRRLDEEGAAIAEAAALHVLLGEDSDDSCRTMLNQETGFKPWDCTAKLNLSAGGRNGFFPHLAVHRSRVRDCNWSVSYESFARGCDSNNMGISADLISAQAVSSLQISENASVDAVVFNGMFRR >A09g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2242018:2243277:1 gene:A09g500550.1_BraROA transcript:A09g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSIHMSLSYEKLFLTDIQVNNNVPSNQRVVGQNLEQGNNGFAHPTMANYPEFPNYHQEQVIPIPFMIQQENAAPFGALFLSNYTVSLTIITFRLSAASTTVFSCSTREHMTSNQNDGYYNLDQIMAVTSEPSSLIGPPNNLIPNRLYSYPFSLLIDFYSSIVSEKLQTAQCEVLL >A02g511420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30410997:30411957:-1 gene:A02g511420.1_BraROA transcript:A02g511420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWITSFYGRGPNNRENGLRPKRRRPGSLPDTRVKEAGDRHTCRDLEHQCDTRRPPRLDRNRFANPSEIFTDTPPSDETLTVLHRSIFTPGSSLSKEVSSDFESHQTLDRRAENHRSSSLERRHHRFADARTIEPPPKPLFFKPSYLSAESIIDLLRDLLRLKRAAISANRTLIQPELRQGKPGEDNGKTRTQKNRRPYEGLRQRYVRIRADRSPET >A03p008350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3358933:3359244:1 gene:A03p008350.1_BraROA transcript:A03p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGAKDPWKGEEWGTSENQNLNQKKQKKPSGVKMVARARKQMPRGLEDKYEAYFLPRKPWPKALAFYGSFILGGIGAGMLIETWINKKVKEDGGVIWEFDK >A01p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2399472:2406351:1 gene:A01p005570.1_BraROA transcript:A01p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFVFFFIVLYVLTANPKLIASWDESPIRFYNPPTPSPAPSPEPNPEDESSLSCVDDLHGVGSLDTTCKLVADLNLTRDHYISGKGNLDVLPGVRLVCNVPGCSLTVNISGNFSLAENSTVIAGSFRLAAENADFAVGSAVDTTGLGGEPPSGASGTPEGVEGAGGGYGGRGACCLSDTTAKLPGDVWGGDVYGWSSLEKPEVYGSRGGSTSNEVDYGGGGGGMVAMEVLGRVGLNGSVLADGDSGGVKGGGGSGGSIFVMAHKIRHSQPKIFIHGGSSFGCQENAGASGTLYDVVSESLTIDNQNKTTFTDTLLLEFPYHRLFTNLYIQNMAKVAVPLRWSRVQVQGSISLSNGGELNFGLARYASSEFELFAEEVLMSNSAIKVFGALRMTVKVFLMLKSRMFIDGGGVTMLGTSMLDISNLLVLKESSVIQSNGNLGVHGQGLLHLRGAGDTIEAQRLILSLFYSIKVGAGAVLRGPLQNTSTGGLTPKLYCQREDCPVELLHPPEDCNVNASLPFTLQICRVEDITVEGLIKGSVIHFHLARTVVVRSSGTITGDGMGCKGGVGTGRFLRSGIGSGGGHGGKGGSGCYNHTCIEGGDSYGNADLPCELGSGSGDEESSDSVAGGGIIVIGSLEHPLSSLSLEGTITTDGETPRKTLKTISNTSLGPGGGSGGTVLLFLRSLDIAKSAILSSIGGNGSLKGGGGGSGGRIHFHWSDIPTGDVYHHIANVKGRVYVRGGLGASEDNVGEAGTLTGKACPEGLYGLYCEECPVGTYKNVTGSDKALCSLCPARDLPHRAVYITVRGGVAEAPCPYQCVSDRYHMPHCYTTLEELIYTFGGPWLFGILLVVLLLLLALVFSVARMKFISGEEVHGAATTHHGSQIDHSFPFLESLNEVMETSRVEESQGHMHRIYFLGPNTFSEPWHLSHTPPDEIKEIVYEAAFNGFVDEINAIAAYQWWEGAIYIMLSVLVYPLAWSWQQSRRRLKFQKLRDFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLAHLDFFLGGDEKRNDLPPPVHERFPMPLVFGGDGSYMAYYSLQSDDILTSLLSQLVPPTTWYRFVAGLNAQLRLVQQGKLRSTFRSVMRWIETHGNPALKRNGVRVDLARFQTSPSSSCQYGILVQTIVDEVASPRDVNETEQQHPWGVQIDNSSLHFTQSPTSSINHFRHRDGGEIIDIGSLQFLKEEKDVLSLLSFLIHNTKPVGHQDLVGLVISVLLLGDLTLMLLTLLQLYSISILDVFLALFILPLSILFPFPAGVSALFSHGPRRSAGRTRVYALWNITSLVNVVVAFVCGYIHYHGSSAGKKIPYLQPWNISMDENEWWIFPGALFLCKVLQSQLVNWHVANLEIQDYSLYSDDSELFWQS >A05p049390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28771036:28773211:1 gene:A05p049390.1_BraROA transcript:A05p049390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLAMFKKIMKGGGHKKPSKSESNEPSSYNLGGSNVVVSHASRGALVPPSQVTTATPPPPITSVTPLPLFRDVPVSERQALFLRKLQNCCFHFDFTDTTKNVREKEIKRQTLLELVDFIQSGASKIISELCQEEMIKMVSLNLFRCLPPASHENTGQEPADPEEEEPYLEPSWPHLQLVYELLLRYVVSTDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHGGIGELLEILGSIINGFALPMKEEHKLFLIRVLIPLHKPKPIAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCTKENLFLQELEEVLEATQPVEFQRCMVPLFQQIARCLNSSHFQVAERALFLWNNEHIVGLIAQNRSVILPIIYPALEKNVQSHWNQAVHGLTANIKKMFMEMDPELFEECQRQYEEKQAKSKEVEEQRQFTWKRLAEAAAERDGVGGEDYMITS >A09p061350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50597853:50602084:-1 gene:A09p061350.1_BraROA transcript:A09p061350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEIDRSRAFAKDVKRIVVKVGTAVVTGKGGRLALGRLGAICEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQTELDGKACAGVGQSSLMAYYETMFDQMDVTVAQMLVTDSSFRDKDFRKQLSETVKAMLKMRVIPVFNENDAISTRKAPYKDSTGIFWDNDSLAALLALELKADLLILLSDVEGLYTGPPSDPKSKLIHTYVKEKHQEEITFGEKSRLGRGGMTAKVKAAVNAAYGGIPVIITSGYAAENIAKVLKGLRVGTLFHQDAHLWARVVDTTSRDMAVAARESSRKLQALSSEDRKNILLDIANALEANEKIIKTENDLDVAAAQEAGYEESLVARLVMKPGKISSLAASIRQLAEMEDPIGRVLKKTEVADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPKTVGGKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSCKVDMAKRVVSDAKLDYPAACNAMETLLVHKDLEQNGVLNELIYALQANGVTLYGGPKASGKLNIPEVKSFHHEYSSKACTVEIVEDVHGAIDHIHQHGSAHTDCIVTEDSEVAEIFLRQVDSAAVIHNASTRFCDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIMRGKGQVVDGDNGVAYTHKDLPVLERTKAVQNGH >A07p047310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25423626:25425328:1 gene:A07p047310.1_BraROA transcript:A07p047310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTWFDDDGIKKGEWTAEEDRMLVAYIKEHGLGDWRTLPKRAGLQRCGKSCRLRWLNYLKPGIKRGKFTPQEEEDIIKFHSLLGNRWAAIAKQMPNRTDNDIKNHWNSCLKKRLVRSGIDPMTHKPTVNVAKATSSSTTSSPTPTPSSSSSTSSSFSSTGSARLLNKLAAGISSRKHALDRIKNVIMSEPRQAVEEDEMMIGSKEDEEVTGCSMEIDENLISMTSFDEFLTCDFTPTYTTGFVDAFGGYSLVEPYDLYQSDFYHETSDDQLDLFLL >A02p027280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13249850:13250549:1 gene:A02p027280.1_BraROA transcript:A02p027280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSGPGGKRFEDKIVDTLKKYVNEGAYLSKKWLKKHLLIQSFAPSSHTMLPCQLKILNQRSRYIPTPATLRSMWWDRTMEGYNCSSNEQLQIWSKELTLIIYRCMHTSTGMNTSTYTLNFSDKTHTRSMRLKSMDSSLLSLVASITFKPQRQLLAQIAS >A06p039990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21611857:21615862:1 gene:A06p039990.1_BraROA transcript:A06p039990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELRLVLIISFVSVLVFSTSSSPLPRFPRQNRARIQLFGGDRNDYQYETKYFSQQLDHFSFADLPKFSQRYLINSAHWTGASELGPIFLYCGNEGDIEWFATNSGFIWEIAPKFGALLVFPEHRYYGESMPYGSRDEAYKNATTLSYLTTEQALADFAVFVTDLKRNLSAEASPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPQTFYNIVSNDFKRESSSCFNTIKNSWDAIIAEGQKENGLQQLSKTFHFCRALNSTDDLSDWLDSAYSYLAMVDYPYPADFMMPLPGHPIKEVCRKIDGASSDASILERIYAGVTVYYNYTGKVGCFELDDDPHGLDGWNWQACTEMVMPMSSSQKNSMFTAYDFNYSSYKEDCWNTFRVNPRPRWVTTELGGHDIETTLKSFGSNIIFSNGLLDPWSGGSVLKNLSSTIVALVTKEGGHHLDLRPSTPEDPKWLVEQREAEIGLIQGWIRTYRLEKEAQFPLLKPEWEHIDVKREELRKEVEYITRQREAFSMYLKDECDNIREERDALRNQHKHDMEALNREREEFMNKMVDEHSEWLSKIQRERADFLLGIETQKRELEYCIENRREELENSLREREKAFEQEKKLEEERIQSLKESAQKNLENVQVELKRLDSERLEIKLDRERREREWSELKDSVEELKVQRKKLETQRHMLRSEREEIIHEVEELKKLENLKFTLDDMSMARMQLEEELDLQNGVSTVSNSEDGYNSNNGSSPSSATPFSWIK >A09g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16939716:16940127:-1 gene:A09g505360.1_BraROA transcript:A09g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A05g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20576832:20579650:1 gene:A05g507260.1_BraROA transcript:A05g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSSDYDEKVLFLKDLSLGPHEAQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEQGTVIQGFISPGRIETYLPKMKRGSVYKLDNFYGSRNKSVFRVADHTVTVSFSWNSELTVLLNCPSQFDDDRFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIHEAPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAAREFCKKFKSYEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPDIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGSSWYYIACSGCHSKVSKGPTSLLCTNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEVPIPEALISTIGQKHKFCVKVTEHNLSGKTRSLTVTKILSLDTPPATASSEDNHTTATSEETSQNRVDSADGSKGACCSSELERAKRRKCGN >A06p002390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5186897:5192047:-1 gene:A06p002390.1_BraROA transcript:A06p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDREERRRRIMERGSDRLALITGQLHNVDPSSPSFSSSSSTSHQRTYSESFMPQTQSEHRQIQESPSLKYQFKEEVKPREEPKLSTTHQRPIKREPTKPEETRSVKTQIQQPRSFFSSKKLNASIISSERSRSLSSLTIAVFVILLPRLNILRSGTILALRPLWLLLLTDCAIVMSHLTMETSGGGLSHETEEEVKSKDGSNGENWSDAEKLLERGVVLYQALRGMFIDCNSDLYKNVSNKAYLIKATERDIESREAFEKGFKAAHIKEKKREDQNLSIIIMSTENIQHSSLPSQRLLGKVALITGGATGIGESIARLFHKHGAKVCIVDVQDDLGDKVLKTLVPNSEDDESACFIHGDVTQENDISNAVDFAVKRFGTLDILINNAGVSGAPCPDIRNNSLTEFETVFNVNVKGAFLGMKHAARVMIPAKKGSIVSLCSVGGVVGGVGPHAYVGSKHAVLGLTRSVAAELGQHGIRVNCVSPYAVATNLALAHLPEDERNEGVVAGFRSFAAANANLKGVELTVDDVANAVLFLASDESRYVSGDNLMVDGGFTCTNHSFKVFR >A01p046410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26117740:26120404:-1 gene:A01p046410.1_BraROA transcript:A01p046410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKDNNDLASNVAVTAEQATKINDADARSPENRQTGVVPESGSGSSEKGEEGAETAAEAVDDDESGSNSVGELLPPRSSSSARVPFTNLSQIDSDLALARTLQEQVWLFLFASDLRASIVEYVVVVAQERAYMMLTMNSEISDYGSWETGSYVYEEDEFDDPEDEDEDEYETDDDPQEDAPDVNANGDDQEDGGRNAETEEAGYSDDEAFARAIQEAEAREMADRLSALTGLANRVEVLEDDDHTSEDAWDEMDPDELSYEELLALGDIVGTESRGLSADIIASLPSKRYKDGENQNGTNESCVICRLDYEDDDDLILLPCKHSYHSECINNWLKINKICPVCSAEVSTSSAGQS >A01p017340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8546888:8548850:1 gene:A01p017340.1_BraROA transcript:A01p017340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPD1 [Source:Projected from Arabidopsis thaliana (AT4G24972) UniProtKB/TrEMBL;Acc:A0A178V0R1] MEVDEYQTRILSHILFSSLLSLYPFVFYLGDTTSSHSQREVVERRTSQKIYAVSHVGREDESEWILHRLQFFFPTVVLMSRRRLLLSATILSYLLHGMALVSVVASGVEEVRDNVDLTKTTTLATTSTHRKMLRLSHETRVEPDRIGEKCKKSDIVVNQAATEPMPNGIPGYTVEITNQCMSGCNISRIHVSCGWFSSAKLVNPRVFKRIHYDDCLVNNGKPLPYGSTLSFHYANTFPYRLAVAFVTCS >A04p016270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10018991:10020250:1 gene:A04p016270.1_BraROA transcript:A04p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLAFCYPLISQEKIFSRIYLPRSSRFNLPRSCASFVEQHCLKMFTEEVLKNVFPLLEGEDLAACMGVCKQWRHIAKDDFYWKCQCAKKWPSVCKRTKPPTGTYYKMFQTFSKRRLNRTLPPPRLSFENLEFFVDIWSEDKPVYSGLIPGLAMETGIKPLPSGISNVLRTHLAKPDYKMVVPAEPRFTVPLNQTVSVSMLVGRNDSDKVARIINRSVFEYIDRSSYRALAFEYLDLSPYYPFVSGIRAWVSLLFMDAEDINDGVLDVFGIQLDFCDVAETKEEVLWLLDMLDWK >A02p043000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26972080:26974522:-1 gene:A02p043000.1_BraROA transcript:A02p043000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYGISSNLVIYMTTKLHQGTVKSSNNVTNWVGTIFLTPILGAYVADAHLGRYLTFIISSAIYFLGMLVLTLSVSIPGMKPPECSTASAEDCEKASVLQLTIFFGALYILAVGTGGTKPNISTIGADQFDESDPKEKIQKISFFNWWMFSIFFGTLFANTILVYVQDNVGWGWGYGLPTLGLAISISVFLLGTPFYRHKLPMGSPFLKMARVIVASFRKARAKMPHDHTRELPSLEDERKGTFPIQSTQSLRFLDKASLKTGIIGQWNLCTTTEVEETKQMLNMLPVMCVTFVPSAMIAQINTLFVKQGTTLNARIIGNFSIPPASLSAFVTVSLLVSIVLYDRVFVKIARKFTGNPRGITLLQRMGTGLIFHILVMTVASFTERYRLKVAADHGLIHQTEVKLPLTIFVLLPQFVLMGMADAFLVVAKLEFFYDQAPESMKSLGTSYSLTSLGIGNFLSSFLLSTVSKITIKRGRGWILNNLNESRLDYYYLFFALINFVNFVLFLVVVKFYVYRDEVTHSVDVKEEESKVMGIEEDE >A01p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3492380:3496837:-1 gene:A01p006950.1_BraROA transcript:A01p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKYFLMFLLLSMVTQGQCRCAFSDLQIGAVRTGRQVAGQPEWKVTVVNTCNCPQKQVTLSCGGFAPVNPVKPWLLRPQGRTCLLINGEVMPAGATAEFAYAGQPYIFRPVSSRLKLISPRKKKMSIFLCFSWVFLLLTFVSLISFVRKIKENKTFYLPPSPPTLPIIGNLHQLSGLPHRCFHHLSIKYGPVVLLHLGFVPTVVISSSEAAEEVLRTNDLGCCSRPKTVATGKLSYGFKDISFAQYGEYWREMRKLAVVELFSLKKVHSFKNIREEEVGFMVKKVSESSLKQSPVDLNKTFFSLTASIICRVALGQNFNESGFVIEQDRIEELVRDALVALGSFTCSDVFPGGLGRLLDWLFGGHKRINKVFEELDAFYQHVIDDHLKPEAAGKKAIDSTADIVALLLDMMEKQGKKDYFKLNISNIKGVLMNIFLAGIDTGAITMIWAMTELVRNPKVMRRAQEEIRTTLGLNKEKITEEDVEKVGYLKLIIKETFRLHPAAPLLLPRETMSHVKINGYDIPPKTQIQLNVWAIGRDPRRWTDPGEFIPERFANSSVDFRGQHFDLLPFGSGRRSCPGMSMALASVELGLLSLLYFFDWKLPEGMVSEEDIDIEEAGNLTVVKKQPLLLVPVRHH >A03p018230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7436843:7438487:-1 gene:A03p018230.1_BraROA transcript:A03p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESPQSIYDFTVKGIEGKDVSLSQFKGKTLLIVNVASKCGLTDANYKELNVLYDKYKDQGLEILAFPCNQFLGQEPGNNEEIQQTVCTKFKAEFPIFDKVDVNGKNTAPLYKYLKAEKGGLLIDAIKWNFTKFLVSPDGKVSQRYSPRTSPLQFEKDIQTLLGQASS >A02g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17017514:17022611:1 gene:A02g505860.1_BraROA transcript:A02g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKIDQNFPQARRTLIALSSFGNREKGRFEGDLAVAGVVLAGNTPPVLRDLVSLRDSFSIKSPKTAEARTSPITELVPHRSALTLSAIVSSKPIDSPQRDVFPSISTSGNFKDVITDAEFVRACEMKDERVDLIIDMQRNKYDWSKHVWAYTETVKPFQYSSEEDGSDEEAAVETSETEIEEEIESTRVSPTKKRKNRFRDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQSMFNSSFTALGLEVREIIEDRFTKLEEKILSSQTQGGAPANTQTRGTDPFWTPSAGAAAAATAPASVSGRPPAPTRASTEAPASVSTRGLAPSRSAASAPYRSRASATAHNGGHANAAKTRSQTKDADLSDVFGSLFSTLDVNIGTQEYLQKTMDKQSEGPSDFTTPVTSFRPQIFKTPFLIDSDDIEVRCKAKDYELVFLPEEKWAKLTEWTLNPTVLQIGPSTFDAELASRIIGPNIWLKNFDMDAMMYLFREKTTLRRWSPDRVAFLNCMFSNQIITAYGNRPTRKLLKPDPKPGSTHPQRQKVNLEIARVAQDMKQKLKITTVAMVVVGAIVGIWTSLTV >A05p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28866914:28868829:1 gene:A05p049650.1_BraROA transcript:A05p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHGLHRSHHHNAPFPPSPAPPSSTSSYVPNMTVEPYCHVDSSLRSLAGKAEGFGRAAVGGLNGPVCHVTSLADEGPGSLREACKRPEPLWIVFDVSGTIHLSSFVNVSSHKTVDGRGQRVKITGKGLRLKQSENVIICNLEFEGGVGPDADAIQIKPKSSIIWIDRCTLKNYYDGLIDITRESTDITVSRCHFVNHNKTMLIGADPSHVTDRCIRVTIHHCFFDGTRQRHPRVRFAKIHLFNNYTRNWSIYAVGAGVEAQVYSQCNIYEAGEKKTVFKYITEKAADKEEAEAGFIRSEGDWFLNGAKSCLSHEKEHRVFSPTQHYSGWTVESSTEKLKKYIKHSTGWQNLPLPSDQLPTTA >A06p008750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3055220:3059886:-1 gene:A06p008750.1_BraROA transcript:A06p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 4 [Source:Projected from Arabidopsis thaliana (AT1G10870) TAIR;Acc:AT1G10870] MATFINLEDSPMFQKQVCSLEGTAEELKDRCQKLYKGVKKFMGVLGEASKGESAFADCLEEFGAGHDDPISLSIGGPVISKFINTLRELASYKEFLCSQVEHVLLERLMNFINVDLQEAKESRHRFDKAAHSYDQSREKFVSLKKNTRGEIVAELEEDLENSKSTFEKSRFNLVNSLMTIEAKKKYEFLESISAIMDAHLRYFKLGYDLLGQLEPYIHQILTYAQQSKEQSKIEQDRLARRIQEFRTQSELDSQQLAANAEVSGVNGNRVVGTIPYKNTETSLTADKEVIKQGYLLKRSSSLRTDWKRKFFVLDSHGSMYYYRNNGNKSMGSQHHYSGSSDHTGVFGRFRARHNRSASLTEGSLGYKTIDLRTCLIKLDAEDMDLRLCFRIISPQKTYTLQAENGADRMDWVNKITAAIGTLLNSHFLQQSPVQYTGSVPPKGVVSMDQSQHNDARRNMGDDVSTILRGIPGNNVCAECNAPDPDWASLNLGVLLCIQCSGVHRNLGVHISKVRSLTLDVKVWEPTILDLFRNLGNLYCNSLWEGLLHLDDDSENESTFSRASISKPSPDDSFTVKEKYILAKYLEKALVIKDESKGNPSSAACRIWEAVQSRNIREIYRLIVTSGDVNIINTKFDDITDLDAYHHADASEEGVKKRRDPTACQRIKDSNEPGNCLQGCSLLHVACHIGDSVLLELLLQFGADPNMRDYHGRTPLHHCISSGNHKFAKILLRRGARPSIEDDGGLSVLERAMEMGAITDEELFLLLAECA >A03p009920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3950727:3955051:-1 gene:A03p009920.1_BraROA transcript:A03p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRTSSSSSPKGQGVNFSAPRTFVVKLRSKCKETFFPDDPFKPISQEPNGLIKTKKTLEYFVPIFEWLPKYNLQKLWYDLLAGITITSLAVPQGISYANLASIPPIIGLYSSFVPPFVYAVLGSSNTLAVGTVAACSLLISETFGEDLLKKDPNLYLHLIFTSTFITGVFQFALGFFRLGILVDFLSHSTITGFMGGTAIIILLQQLKGVFGIVHFTHKTDVVSVLHALFTQRDEWKWQSALAGLCFLIFLQSTRYIKKIKPKLFWVSAMGPMVVVLVGCLVAYLVKGAEHGIQTVGPLKKGLNPPSIQYLTFDAKYLPLAIKAGIVTGLIAMAEGIAIGRSFAVMKNEQTDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNYNAGTKTPMSNVVMGLCMMLVLLFLAPLFSYTPLVGLSAIIMSAMLGLIDYEEMYHLFKVDKFDFLVCMSAFFGVSFLSMDYGLIISVGLSVVRALLYVARPSTCKLGRIPNSAMFRDIEQYPGSEEMSGYVILQLGSPIFFANSTYVRERILRWIRDEPEDVEFLLLDLSGVSSIDMTGIETLLEVRRILVPKGIKMVIINPRFEVLEKMMLSHFVEKMGKEYVFLSIDDAVQACRFNLSTSKSEPCS >A06p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25631209:25633645:1 gene:A06p048380.1_BraROA transcript:A06p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT3G29160) UniProtKB/TrEMBL;Acc:A0A178V9G9] MDPSSNRFGSTGVESILPNYKLGKTLGIGSFGKVKIAEHLLTGHKVAIKILNRRKIKNMQMEDKVRREIKILRLFMHPHIIRQYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEGRNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSRCNIKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSSDARDLIPRMLVVDPVKRITIPEIRQHRWFQTHLPRYLAVSPPDTVEQAKKINEEIVQEVVNMGFDRNQVMESLRNRVQNDATVTYYLLLDNRFRVPSGYLESEFQETTDNGSSPMRPAEAAASPVGHWVPTHMDQYGLGARSHVPADRKWALGLQSHAHPREIMNEVLKALQELNVCWKKIGHYNMKCRWVPGFSDGQNTMGNDQIHFRDESSIIEDDCAMTSLTVIKFELQLYKAREEKYLLDIQRVNGPQFLFLDLCAAFLTELRVI >A07p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20651611:20657068:1 gene:A07p038840.1_BraROA transcript:A07p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPC4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/TrEMBL;Acc:A0A178W7Q9] MTDTTDDIAEEISFQSFEDDCKLLGSLFNDVLQREVGSSFMEKIERIRILAQSALNLRLAGIEDTANLLEKQLTCEISKMPLEEALTLARAFTHSLNLMGIADTHHRMHKLIDVTQLSRSCDDIFTQLLQSGISSEELYKTVCKQEVEIVLTAHPTQINRRTLQYKHVRIANLLEYNSRSDIGHEDRETLIEDLVREITSVWQTDELRRQKPTPVDEARTGLNIVEQSLWKAVPHYLRRVSNSLKKFTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTKEVSLLSRWMAIDLYIREVDSLRFELSTDRCSDRFSRLAEDILEKESSEKKSDRGQSSFLNQQNSSLSTQLPDKAQHPSCIEDGDSQHPKFEINTTTDFVPPNLQKQNEEDSPKIDSKSNADDTHTGGLTSRGSFSSTSQLLFQRKLFAESKIGRASFQKLLEPPPLKRAGMAPYRIVLGDVKDKLVKTRKLLELLLEGLPCEYDPRVSYETSEQLLEPLLLCYESLQSSGAGVLADGKLADLIRRVSTFGMVLVKLDLRQESARHAEALDAITTYLDLGTYSEWDEEKKLDFLTKELKGKRPLVPPTIEVVPEVKEVLDTFRVAAEFGSESLGAYVISMASNASDVLAVELLQKDTRLAVTSEHGKPCPGGTLRVVPLFETVKDLRAAGSVIRKLLSIDWYREHIQKNHHGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKITLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGIPQTAVRQLEIYTTAVLLATLKPPQPPREKKWRNLMEEISTISSQNYKGTVYENPEFISYFHEATPQAELGYLNIGSRPARRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVSEKGYADDIQEMYKEWPFFQSTIDLIEMVLAKADIPITKLYDEQLVSENRRGLGDMLRKELMTTEKYVLVITGREKLLESNKSLKKLIESRLPYLNAMNMLQVEVLKRLRRDEDNNKLRDALLITINGIAAGMRNTG >A08g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8411400:8417443:-1 gene:A08g505090.1_BraROA transcript:A08g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLECTIRRGQRSTSLDATTSSSIDTHTQPSTDTKPSSSIDLNRSTTINTTPRTSIDTVSSKMVNIIILTHDKNGNLYDQADHLRNATGQKIDAQGTVIPDADATGAAQPVDEDARSKPLADYNRPDENEKLEERDFKIESSMSLGGSQWCRPMSMNSHRSTDHDEDRSTDYSNHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDQQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQEAEGRRLRKIKEKIPKNLKREANDKEMDGFTKRVLRIPIEKTFDEAYFTHRLWMFFRETKVTEEDIRRMFHQTREKMKHRITLTKKSDPGKFAIPCIVKDFHVLDIELNWNSSLLLGRSFLATVGAVCYMNKNKLCLTLIDPNIHYDPIRPKRNVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTRFKDTYINRIAPPKPPTHIKANTQPKKMNTLPSTSTEKSMKSNHLKNTSCAEITLPSIDASVSTSIDTTLNPNLSISKLNDYANIDYGYLIPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLLSQQRGTPDVIQTDPNKHVGVALTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDNSTSIDRWDKYGVYRDDCGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTGLAPEPYTKDEIDEMVFGICGAQEKLGEELKTLVEDTQHPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPHATLIDVSLPTAQIPAEPQCSTQQRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMIERLRTYEDMHDRFISPVMIDLNRLSSQFLHAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSIDGNSPRSTNEHIIASIDAESTPISEQLIQKTVESMQKELTDLSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNEEATRNSTKDAKVDQPINYTLALNRMK >A09p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15177820:15179258:1 gene:A09p026880.1_BraROA transcript:A09p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSSFFDSQPGSRSWSYDSLKNLHQISPSVQNHLKRVYLTLCCALVASAFGAYLHVLWNIGGILTTIACCGSMIWLLSCPPYQQQKRLSLLFLSAVLEGASVGPLIKVAVDFDPSILITAFVGTAIAFICFSGAAMLARRREYLYLGGLLSSGLSMLMWLQFASSIFGGSASIFKFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYVKHALTLFTDFVAVFVRVLIIMLKNSADKEEKKKKRRN >A08p038160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22122527:22124637:1 gene:A08p038160.1_BraROA transcript:A08p038160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIRSSYVSQFHTRNSKPSSSSDQIPSKSLLFSSFNHNPLINLVYKRNPRMQSLSFSSSTTVKSSLIDPDGGELVELVVPKSEIELKKKEADSMPMVKLTKIDMEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKDGSLVNMSLPIVLAIDDQTKEQIGVSKNVALVSPQGDIVGSLRSVEIYKHNKEERIARTWGTTSPGLPYVEEHITPSGNWLIGGDLEVFQAIKYNDGLDHYRLSPKQLRKEFDNRKADAVFAFQLRNPVHNGHALLMNDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVEKKMAFFDPTRAKEFLFISGTKMRTYARTGESPPDGFMCPSGWNVLVKYYESLQESDDSSKQQQTVVSA >A09p083860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60259574:60265796:-1 gene:A09p083860.1_BraROA transcript:A09p083860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEENEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECKRIVSESEIMKEDDFKWPEPDRVGRQELEIVMGNEHISFATSKIGSLVDVQSSDDPEGLEMSCFFAHLSALQDQAYLEKKPIELPGGSMCLKFGCYLRCLISTLHPQIFLCSLSSKVETTMNPAEYDYLFKLLLIGDSGVGKSCLLLRFSDDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLAENRAVPYETAKAFADEIGIPFMETSAKDATNVEQAFMAMSASIKESMASQPAGNIARPPTVQIRGQPVAQKNGFHLWMMNLPASCATLQRKYLSALQDQAYLEKKPIELPGGSMCLKFGCYLRCLISTLHPQIFLCSLSSKVETTMNPAEYDYLFKLLLIGDSGVGKSCLLLRFSDDSYVESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLAENRAVPYETAKAFADEIGIPFMETSAKDATNVEQAFMAMSASIKESMASQPAGNIARPPTVQIRGQPVAQKNGCCST >A07p003030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3446960:3447278:-1 gene:A07p003030.1_BraROA transcript:A07p003030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITFFLLFALVIACATMVSVPTAEATYCLDSKDCPDVRCKIGEIERCRQNHCTCVPQFMVSHAG >A06p053440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28165783:28167509:1 gene:A06p053440.1_BraROA transcript:A06p053440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 2 [Source:Projected from Arabidopsis thaliana (AT5G47810) UniProtKB/Swiss-Prot;Acc:Q9FIK0] MANATSIKDLPSLTGLHHRRNPLEENPFFHPSHGFYISPSDVVLSQVVYDLSDASQPHVAYHRAGPRREILYEPSSVKAAIVTCGGLCPGMNTVIRELVVGLWELYGVREIYGIPAGYRGFYSMEAVELNPKLVHNWHKRGGTVLATSRGGFDLCKIVDAIQQNGYNQVYIIGGDGTMRGAVKIFDEVSRRKLKVGITGIPKTVDNDVGIIDRSFGFQTAVEMAQEAISAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEMGFYLEGKGGLFEFLEQRLKDHGHAVLVVAEGAGQEIIPRNEAQKQERDESGNLVFLDVGVWLKSALKEWWEREHPKELFTVKYIDPTYMIRAVPANATDNSYCTLLAHSSIHGVMAGYTGFVSGPINGNYAYIPLEEVAQTKNEVNTSDPKWAWVRSVTNQPDFETNFKG >A09g516900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49719738:49720061:1 gene:A09g516900.1_BraROA transcript:A09g516900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLISAAMLTNDKMKFGLRTRGERGISDGRCCREVGTSGSPAETEWIVRLCRVCLELRR >A03p021670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9036858:9040807:1 gene:A03p021670.1_BraROA transcript:A03p021670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VACUOLELESS1 [Source:Projected from Arabidopsis thaliana (AT2G38020) UniProtKB/Swiss-Prot;Acc:Q93VQ0] MANVSVAAEWQLLYNRYYRKPEIYQMRWKHVDLSRNKVACASFGGPIAVIRDDSKIVQLYAESALRKLRIFNSAGVLLSETVWKHPGGRLIGMSWSDDQTLISIVQDGTIYRYNIHAELIQPNVTMGKECFEQNVVECVFWGNGVVCLTEGGQLFCISDFKTMKPYKLADVPGLTDDDMLQPTCLAVREPQYTMSGNVEVLVAVGDEIYVVDEDEAQSIRFDEPSVEDSEMQNDDNGNLIGPVQKMIVSPNGKFLTLFTHDGRVVVVGMESKQIAIDYSCEADENLRLIRSSLSEAVESCIDAAGHEFDVNRQRALLRAASYGQAFSSNFQRDRVQETCRTLRVLNAVRDPDIGIPLSIQQYKLLTPVVLISRLINAHSHFLALRISEYLGMNKEVVIMHWACAKITASASTPDAHLLEILLDKLQLCRGISYAAVATHADNCGRRKLAAMLVEHEPRSTKQVPLLLSIGEEDTALVKATESGDTDLVYLVIFHIWQKRPPLEFFAMIQGRVLARDLFVAYARCHKQEFLKDFFLSTGQIHEVAFLLWKESWDMGKNPMASKGSPLHGPRIKLIEKASNLFSQTKEHTFEVKAAEEHAKLLRIQHELEASTKQAIFVDSSINDTIRTCIVLRNNRAAAKVKSEFKVSDKRWYWLKTFALATIKDWEALEKFSKEKRPPTGFRPFVEACIDADEKAEALKYIPKLSDLVERGEAYARIGMAKEAADAAAQANDGGELLERFRKTFSQNAIFDTLKMPFQGVS >A03p053210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22838685:22839341:1 gene:A03p053210.1_BraROA transcript:A03p053210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVFRWAREKLEKEHRESKESGKLKLEREKKDKDAAERQRRAVEASQRATRLEAQMMKVEERRKGGGYIIPPWILKETNMPPQDEEEVFRWDDEEEGAEGEVGDAPGTGLKVYL >SC209g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000067.1:46395:49426:-1 gene:SC209g500030.1_BraROA transcript:SC209g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGAVWIRFPHSWRGWRQAVSGYVCCLFLCGWVYLRFSGGNMDMKHESIGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGVKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPAS >A08p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1784741:1787807:-1 gene:A08p003150.1_BraROA transcript:A08p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEVVSASADPSPPLEWRFSQVFGERSAGEEVHEVDVISAIQFDNSGDHLATGDRGGRVVLFERTDAKNSSGGARRDLEETYYPLRHPEFGYKTEFQSHDPEFDYLKSLEIEEKINKIRWCQTANDALFLLSTNDKTIKFWKVQDKKIKKICDVNSDLSRTVVNGEVPEANSSSLRLPVTSHESSPVARCRRVYSHAHDYHINSISNNSDGETFISADDLRINLWNLEITNQSFNIVDVKPEKMEDLSEVITSAEFHPTHCNMLAYSSSKGSIRFIDLRQSALSGSKSFFTEIIASVSDMKFAKERRCLLSRDFMTLKLWDINMDSGPVSTFQVHEHLKPKLCDLYENDSIFDKFECCISGNGLQAATGSYSNMFRVFGVSPGSTETASLEASRNPTRRHVPVPSSPFKALSRVVSRESPGVDGNSNALDYTTKLLHLAWHPSENSIACAAGNSLYMFLTVLHGECDMHHGKMTGKQ >A07p021340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12475357:12488088:1 gene:A07p021340.1_BraROA transcript:A07p021340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.1 [Source:Projected from Arabidopsis thaliana (AT1G20150) UniProtKB/Swiss-Prot;Acc:F4HSQ2] MCLELLHTWSNAKYKVRRPIEMTRCLRATFILFVFLLVIQNCESETSKSGDYIIYMGAASADESTGNDHHIDLMSTMLKRSGKTPMHRYKHGFSGFATHLSEDEARLMAEQPGVVSVFPDQMLPLHTTRSWDFLVQESYQKESTYFTEMNSQPELDVAVGDTIIGFIDSGIWPESESFNDMHMQPVPDRWKGTCMRGKKTEPDAFRCNRKLIGARYYNSSFLLDPDYETPRDFLGHGTHVASIAAGRIISDASYYGLAGGIMRGGSPTSRIAMYRACSLLGCRGSSILAAFDDAIADGVDIISISMGLFIDNLLEDPLSIGNLGPSSQSVVNAAPWMITVGASTIDRGFESNILLGGLDNIRLIKGAGINIANIDRTQSYPIIHARSAQKIGANEEAARNCAGNTMNRTIVEGKIVVCDNDYGNQAIQWKSEEVKRLGGTGVIVIDDKSMDLSYIDPSFLVTIVKPVDGLEIMSYINSTREPIAMIMPTRSRTGHELAPSIPSFSSRGPYLLTRSILKPDIAAPGVNILASWLIGDLNAAPQGKEPPLVNIESGTSMSCPHVSGIAARLKSENPSWSPAAIRSAIMTTAVQITNTGSHITTETGDKATPYDFGAGQVTIFGPSSPRLVYETNQIDYLNFLCYVGFTYDQIRRISNRIPQGFACPQQSSKGDISDINYPSISVSNFNGEESRRVRRTVTNVASRLIGEEDTVYNVSINAPKGLRVRVIPRSLHFKNIGDTLRYQVIFSSSTSTLKEDAFGSITWSNGMYRVRSPFVVIQVEDSEADLVLASTDHILEPTNGTEIEQLQSEKGVELKVLSTPVKLSVHSKVTIANGSNSQSTTPPFAGTPPAPDELTIMEEIPSHIIVSETNLESGDNSLAFMYTPTHGSPFHNDIGQFSVHDVGDGMMSDAMANLNISRGGRPIKPVQKYQDMD >A04p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:367326:369422:1 gene:A04p000740.1_BraROA transcript:A04p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRWSELCIVLFALSYAICVIAGKSYYDVLQVPKGASDEQIKRAYRKLALKYHPDKNQGNEEATRKFADINNAYEVLSDEEKREIYNKYGEEGLKQHAANGGRGGGGGGMNMQDIFSQFFGGGGSREEEEKVVKGDDVIVELEATLEDLYMGGSVKVWREKNVIKPAPGKRKCNCRNEVYHRQVGPGMFQQMTEQVCDKCPNVKYEREGYFVTVDIEKGMKDGEEVSFYEDGEPILDGDPGDLKFRIKTAPHARFRRDGNDLHMTVNITLVEALVGFEKSFKHLDDHEVDIGSKGITKPKEVKKFKGEGMPLHYSTKKGNLFVTFEVLFPSSLTDDQKKKIKQVLA >A05p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2811352:2813504:-1 gene:A05p006950.1_BraROA transcript:A05p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKQWVWRNREYVHSMGSLANGLTWLLPEKFSASEIGPEAVTAFLGIFTTINEHIIETLPTTRPHVGPSGTDSSSLSYPLLISILKDLETVVEVAAEHFYGDKKWNFIILTEAMKAMIRLALFRNTGYKMLLHGGETPNDDKDPNQPNLQNRAGNLDRNHRFGNQQNHWNLEGRAMSALSSFGQNARTSPTALSPTSGWSRRIQHQQAVIEPVVIKEKRRTLSELVSEKGVKGALFVMGEVLFITRPLIYVLFIRRYGVRSWIPWAISLSVDALGMGIVSNLKLWGEQSKQINFSQPEKDELRRRKLLWALYLMRDPFFTKYTRQKLESSQKRVEPVPLIGFLTEKIVELLVGAQSRYTYISGS >A01g511300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31510703:31517178:-1 gene:A01g511300.1_BraROA transcript:A01g511300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENQGYPSFPARPPPPPSSSSSPFASAPPPGGAQTVGFRPPSSQPTRPFTPSGPPMAPPPVGVMRPGQSPFVSQIPGSRPPPPAYGGLPGGGGSFQRFPAPPPPFPGSQNPPLSGPPATQTLAGHLSPPMSLRPQQPMGPGGYTSPPGPGFQQSAPPVNPSYPGVGPSFPGYPSNQAPPVSFQSSSQGPRPTYPPQTGGFGQHPGQQNLHPSYAPPTSNVQGLAEDFNSLSLSNIPGSLEPGLDPSSFPRPLDGDVEPNSFAEMYPMNCHSRYLRLTTSAIPSSQSLASRWHLPLGAVVCPLAEAPEGEEVPLVDFGSSGIIRCRRCRTYMNPYVTFTDSGRKWRCNICSMLNDVPGEYFSHLDATGRRMDMDQRPELTQGSVDFIAPTEYMVRPPMPPTYFFLIDVSFSATKSGMLEVAAQTIKSCLDNLPGYPRTQIGFITYDSTLHFYNLKSSLSQPQMMVVSDLDDIFIPLPDDLLVNLSESRNVVEAFLDGLPLMFQDNVNVESAFGPAVKAAFMVMNQLGGKLLIFQNSLPSLGAGRLKLRGDDPRVYGTDKEYALRVAEDAFYKQMAADCTKFQIAINVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSIHGDKLRHELARDLTRETAWESVLRIRCGKGIRCSSYHGNFMLRSADLLALPAVDCDKAYAMQLALEETLLTTPTVYFQVALLYPLNELRYTASCGERRIRVHTAIAPVVTDLGEMYRQADTGSIVSVYARLAIEKTLSAKLDDARNAIQQKIVKALREYRNLHSVQHRLGSRLIYPESLKFLPLYGLAICKSTPLHGGPADASLDERSAAGFTMMALPVKKLLKLLYPSLFRVDEWLLKPSADHDDLKDVLRRMPLAAESLDSRGLYIYDDGFRLVLWFGRMLSPDIAKCLLGADFAAELSRVTLQEQENGMSKKLMRLIKKVRENDPSYHPMCFLVRQGEQPREGFLLLRNLIDDQMGGSTGYVDWMLLLHRQVQQNALRLSLKETPELQIFFLSRVRCRRVASINRLSEPLLSNKVFRFELTDKKNNSVSRIFRDMAEEAHKVTLNVYDLSQLSASLLGKVIEGVWHTGIVVYGNEYFFGGGIQHLPAGTTPYGAPLRTVEMGETHVPKDVFEMYLEEISPRYTAESYNLITHNCNNFSNEVSQFLVGKGIPDYILQLPSEVMNSPMGGLLMPMIQNLEATLRAGAVPNAPQFKPQSQPVGPNPSEKASKSPVVVQPSASKEKVKEDPLGDARTKIQEEITREFASLMAQGTLRASEAATIATKRVMQKYGHLNVSA >A10p036020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20571041:20572977:1 gene:A10p036020.1_BraROA transcript:A10p036020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-13 [Source:Projected from Arabidopsis thaliana (AT5G07130) UniProtKB/Swiss-Prot;Acc:Q9LYQ2] MEQVWLFCVLLVFVASLVNAEVHFHEFIIQETPVKRLCRVHNSITVNGQFPGPTLKVRNGDSLVITAINKAQYNISLHWHGIKQMRNPWADGPEYITQCPIKPGGSYTYRFNIEEQEGTLWWHAHSRWLRATVYGALIIRPPLSSPHYPFPVLPKREFTLLLGEWWDRNPMDVLNMAQFTGAAPNVSDAFTINGQPGDFYRCSSQETLRFLVGSGETVLLRVINSGLNQELFFGVANHKLTVVAADASYTKPFSTNVIMLGPGQTTDVLLTADQPPAHYYMAAHAYNSANAPFDNTTTTSILEYKDAPCVTSQSQARAIPAQLPGFNDTATAAAFTAQMKSPSKVEVPLEVDEDLFFTVGLGLFNCPTPNTQRCQGPNGTRFTASINNVSFVFPRQNSILQAYYQGTPAGVFTTDFPPVPPTTFDYTGNVSRGLWQPTRGTKAYKLKYKSKVQVILQDTSIVTIENHPMHLHGYEFYVVGTGIGNFNANKDTSSFNLIDPPRRNTIGTPPGGWIAIRFVADNPGVWLMHCHIDAHIFWGLAMVFLVENGEGHLQSVQSPPLDLPQC >A10p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11360872:11364132:1 gene:A10p007540.1_BraROA transcript:A10p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3beta-hydroxysteroid-dehydrogenase/decarboxylase isoform 1 [Source:Projected from Arabidopsis thaliana (AT1G47290) UniProtKB/Swiss-Prot;Acc:Q9FX01] MVMEVTEKERWCVVTGGRGFAARHLVEMLVRHEMFHVRIADLAPAIQLEAHEEAGLLGEAMRSGRVHYVSADLRDKAQVIKSFQGAEVVFHMAAPDSSINSYKLHYSVNVQGTTNVIDACVEVGVKRLIYTSSPSVVFDGVNSILNANETMPYPSKHNDSYSATKAEGEALVMKANGRNGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGSNLYDFTYVENVVHAHVCAERALASGGEVSAKAAGQAYFITNMEPIKFWEFMSLLLEGLGYDRPSIKIPAIIMMPIAHLVELVYKLLGPYGMKVPQLTPSRVRLLSCSRTFDSSKAKDLLGYAPVVPLQEGIKRTIDSFAHLTPQNQPKKEVNDRVQWKKQIVIAIVILITLYLNFVATTGYSAILIPVLVASMIFFFRGIFPEKMKLLGSKKDD >A05g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11868272:11870311:1 gene:A05g504340.1_BraROA transcript:A05g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRITLNLGILTPVALTYQLPEWMILPDGPTTPPINLLTDKDVELMASVMDYMADAVLYVTSGPELVAKYQFVCRTPFCIDDKTYLEAGISEEQHRKHVLEIMFNEPQLLLVFRVALEIEMVYGLDNDAADTDDPLTGDDSMSLEGAVPLSPNSLNNSDPNDEVLYGEPITIEELQYTLPHYEAAAMVHEAARLGVQPLNLWEEAPDEEDYLEGMIQQGGSVLPNLQRPTIIVIDDDSKGSYTGSSEGFNVMENNTALPPPVPQVSDSMVEGNNGQAGLTGESSAEITISNNVTNGEMPNAWNPTTDAADREPFLDLTLGVGIGNTSADPEPRSETQDSSSETENGSGGMNYTKERCIKSRADFKQQMALYALRNKFRFKNARSTPEGMVLTCISSACK >A03g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10654528:10656849:-1 gene:A03g503280.1_BraROA transcript:A03g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQFPKRILEEGAETQIDKINNTCRRRTLDMVRSVLKDEYEEVLQDPGELFPFISASGNSDVIDSDQFFREDEKHDERVGRIVALINAKQDWSEFDWEVHALPRSVELSDSEEGVDVGDVTETHVEEPSLVEEPAVVARRGKRKVNDRGAETRKKQLLCQRAAEHNSGISGQMKTFIEGLFTSLKEVVQKDIQERFDKVDKEMAQLKEVVSKILGPSDTMGKERATDILCPSATMEKDQFRETSQSLSPLAAKEKGKGKADETGVPPTVRRSPRPRKEIETDDMLDFLKNLSQSSKNKDMGTQEYLQDAVGNLSQASHVRGFDPSQKSSAEEAVEISTPLSSSKPAEYKTLSLKDTDLHEDRVNDIDYSLVFVPEDSWVKLREWCSTSKQHLKIGHYKKMSVL >A05g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9528128:9530453:-1 gene:A05g503260.1_BraROA transcript:A05g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p046200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24973059:24974109:1 gene:A07p046200.1_BraROA transcript:A07p046200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTVRTYGFGRADEATHPDSIRATLAEFLSTFVFVFAAEGSILSLDKLYWDHAAHVGTNTPGGLVLVALAHAFALFAAVSAAINVSGGHVNPAVTFGALIGGRISAIRAIYYWIAQLLGAILACLLLRLSTNGMRPVGFSLASGVKAHNGLVLEIILTFGLVYVVYSTLIDPKRGSLGIIGPLAVGLIVGANILMGGPFSGASMNPARAFGPALVGWRWDDHWIYWVGPFIGGALAAFIYEFMVIPTEPPAHHTHQPLAPEDY >A02p042950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26937889:26941078:-1 gene:A02p042950.1_BraROA transcript:A02p042950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.3 [Source:Projected from Arabidopsis thaliana (AT5G46040) UniProtKB/Swiss-Prot;Acc:Q9FNL8] MTLQEVGDDYTKDGTVDLRGNPVRRSQRGRWKACSFVIVYEIFERMAYYGISSNLVIFMTTKLHQGTVQSSNNVTNWVGTSWLTPILGAYIADAHLGRYITFVISSAIYFLGMALLTLSVSLPDLRPPKCTKTNVEDCEKASVLQLAVFFGALYILAIGTGGTKPNISTIGADQFDVFDPKEKIHKHSFFNWWMFSIFFGTLFATTVLVYVQDNVGWALGYGLPTLGLAISIIIFLVGTPFYRHKRPMGSPFTKMARVIVASLRKAQAPMSRDPTCFHELPLLEYESKRTFLIHPTRNLRFLDRASLKTGPTNKWSLCTTTEVEETKQMLRMLPVLFITFVPSMMLAQVITLFVKQGTLLNRHITSNFSIPPASLVGFTTFSMLITIVIYDQIFVKLARKLTGNPRGITLLQRMGIGIFLHILIMIIASVTERYRLKVAADHGLVHQTAVPLPLTIFVLLPQFVLMGLADTFLEVAKLEFFYDQAPESMKSLGTSYMTTSLAAGNFMSSFLLSTVARVTKNQGRGWILNNLNESRLDHYYMLFAVINLVNFIFFLMVSKFYVYRAEITDSANEKQETKVLDNNKE >A01p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1219962:1222584:-1 gene:A01p002660.1_BraROA transcript:A01p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVDQSLREMRDTFASGRTRSVKWRKTQLEAIIEMVKDNEDKMCDVLFQDLGKHSTEAFRDELGFVMRSATTALNCLDKWVVPKKSNLPLLFYPSTGKVISEPYGTVLVLSSWNFPISLSLDPLIGAISAGNTVLLKASELSPNASAFLAKTIPSYLDSKAIKVIEGGPDVATILLKHQWDKIFFTGSPRIGKIIMAAAAEHLTPVTLELGGKCPTIVDHHSVSKDMKSVVKRISGGKWGSCSGQACISVDYVLVEKSFASSLIEMLKPMIRSFFGENPKESGCLSRIVNKKHFQRLARLLNDPGVQASIVYGGSMDEEKLYIEPTILLDPPLDSEIMNEEIFGPILPIITLRDIQESIGFIKSKPKPLAIYAFTKDENLKTRILSETSSGSVTFNDVMIQYMCDALPFGGVGESGIGRYHGKYSFECFSHEKAIMEGSLAMDLEARYPPWNSFKLTFLRLAFREAYFKLVLFMLGLKK >A07g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18315922:18320840:-1 gene:A07g507090.1_BraROA transcript:A07g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAMYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFCRPEDLLSFRRPLSKSSNDFLCFMNFSVVVQKTFKRPLSKSSNDFLCFMNFSVVVQKTFKRPLSKSSNDFLCFMNFSVLIIVLVFPFTALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A09p027010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15263522:15264320:-1 gene:A09p027010.1_BraROA transcript:A09p027010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRAFVVWCVLLAAVLAATAVASVDDAKQAGANQVDELKSSCKYGNCEHGGEISFEEAHNAKNELNQEIGGFDEVIGGKEDESKYNQGGQKGGGGGGQGGQKGGGGGGQGGQKGGGGGGQGGHKGGGGGGQGGQGGHKGGGGGGGQGGHKGGGGGGGQGGHKGGGGGGGQGGHKGGGGGGGQGGHKGGGGGGGGQGGHKGGGGGGGQGGHKGGGGSGGGRGGGGGSGRGGDGGM >A02p012750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5590002:5590496:-1 gene:A02p012750.1_BraROA transcript:A02p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDNMHNMPPPSSSMMNNGSMNGGGGDHHKMMLMHMTFFWGKNTEVLFSGWPGTSSGMYALCIIFVFFLAVLTEWLAHSSLLRGTSGDTANAASGLVQTAVYTLRTGLSYLVMLAVMSFNAGVFIAALAGHAIGFMLFGSRTFRNPSGDRETKDLLPASGCAC >A06p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9913932:9915740:1 gene:A06p020270.1_BraROA transcript:A06p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNQNIKVLTLDSLPVGLRFRPTDEELVRFYLHRKINGHDDDVTAIREIDICKWEPWDLPGFSVIKTNDSEWLFFCPLDRKYPNGSRQNRATIAGYWKATGKDRKIKSGKNSIIGVKRTLVFHSGRAPKGTRTNWIMHEYRATEDDLSGTNPGQSPFVICKLFKKQDLSLADEDSKLDEAADQDVLSPTAATSSPGETRSQVSVVVKTEDVKRYDISESSLLVSSACVEATTAQLGDIDYLAFPELESLNYTMFSPSHSQLQSELGFSLNAFQSGLSDFSGNHSNSSQVQTQYGTNEVDTYISDFVDSILDLPDDAVPEQEGSAPHQIAYAQHSVGDMSNDVSRTGIIKLQARREQPSGCATDYIVHGSASKRLRLQSNLEGIKSRSLELQTIKREVEEDRDGEAMKKGKPSKNKTGLLFRKFVSVRCSSGGLLRAAVVAILFLMSVCSLTADFRAASVMI >A10p035430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20344161:20346904:1 gene:A10p035430.1_BraROA transcript:A10p035430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRTALLYHRFSRQHQLHRPFTTKPDNNTFLHPNQSELAQNLITIFTRQPFSPSDHDLLRLAPELNTKIVETVLNGITRWASAHLFFNWASQQQGYRNDMYAYNAMASILSRARQNASLKALVKDVINSRCIMSPGGLGFLIRCLGNAGLVEEASFVFDRVREMGLCVPNVYTYNCLLEAVSKSSLSSVGLVEEKLEEMRRCGFDFDKYTLTPVLKVYCNAGEFERALSVFNEIISRGWLDEHISTVLVVSFCKWGKVDKAFELVEMLEERGIRLNYKTCCVLIHGFVKESRVDKGVELFEKMRGMGMEADIALYDVLIGELCKVKDLERALSLYLEMKKSGVRPDRGVLGKLIRSFSEESELSRITKVIVGDIDTKTVMLLYKTLLEGFIRNGLVNDAYSFVQSLMGNHESEIVQLLKDHNKAILPDSDTLSSVIDCLVKANKIDMAMSLSHVIVQNGLTPSLMMYNNIIEGLCKEGRSEESLKLLGEMKEPSQFTLNCIYGCLAERCDVEEAVELLKKMRFYGFEPWIKHSTLLVKKLCENGRAVDACKYLDDVAGEGFFNHMVAYTAAIDGLVKNEGVDRGLELFRDICASGHSPDVIAYNVMIKALCKGSRTTEADDLFNEMVSKGLRPSVATYNSMIDGWCKEGEIDRAMSCIARMYEDEKDPDVITYTSLIHGLCVSGRPSEAISRWNDMKSRDCSPNVITFMALVQGLCKCSWSSEALVYFREMEEKEMEPDSAVYLSLISSFLSSGNISAGFEIFREMVCKGRFPVLVDRNYLFAVDATNEFVEDYRTSCYLTGLVKDGRLPIVAAAQR >A10p020540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13943838:13946585:1 gene:A10p020540.1_BraROA transcript:A10p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEMTDQQTAVSLANDGGNEAELTDQKVLSHWWVRTEEQGKETFSIIVANDGSSSSANLQVMLAATIDNGCLKIPLQEVSSPKEGAFSSPTVEKSSFSDHVLVKSILCRPDSGSGLTGQKVRIFGWVRTRRLQGKGSFTFLEVNDGSCPAYLQVIVDASLSDDLSKVIAKGTCVVVDECLKLPPQGTKQKIELKVEEVVSVGTVDQIASVASIRNAFAFATYSFFQSQSFLYVNTPIITTSDCEGAGEMFQVTMLISAAEKLERELIENPPPTEADVEAARVVVKERGVAVAQLKSAKANEQEITASLAELTDAKLNGEVDYSQDFLGRQAFLSVSGQLQLESYACALGSVYTFGPTFRADNSHTSRHIAEFWMTALVEPELALADLEEDMDCAEAYVRYMCTWLLDNCYDDLEVMAKNVDDGCIDRLKLVASTPFVRVTYTDTIELLKEAVAQGETFGNQVEWGIDLASDHERYLTEVVFQKPVIVYNYPKGIKAFYMRLNDDGKTVAAMDVLVPKSLLEEMGLPVEPYEWYLDLRRHGTVKHSGFGLGFERMVLFATGMDNIRDVIPFPRYPGRADL >A07p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17148413:17151952:1 gene:A07p030890.1_BraROA transcript:A07p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NLP9 [Source:Projected from Arabidopsis thaliana (AT3G59580) UniProtKB/Swiss-Prot;Acc:Q9M1B0] MDNTSPDIPAEEMDGWVKNLISEEDMFSSSSDLVNFESFASWCNTPSASDILFTQYGLTTSQSTTPTPFGGFTALPAELSPSFHGLERCYVGEKRPVQEMSSQLHYLSGKRSKSSAIECSVPRSLSYSLDEKMLKALSLFMEFSGEGILAQFWTPVKSGDHYMLSTCDQAYLLDSRLSGYREVSRKYTFSAETSQYSSPGLPGRVFISGVPEWTSNVMYYKTAEYLRMKHALDNDVRGSIAIPVLEASGSSCCAVLELVTCREKPNFDLEMDSVCRALQAVNLQTSTIPRCQGTNNELVKVYGKKSDENSLLCIEESACYVNDMDMECFVNACMEHYLSKGQGVAGKALVSNKPSFLSDVKTFDISEYPLVQHARKFGLNAAVATKLRSTFTGDSDYILEFFLPVNMKGSSEQQLLLDSLSGTMQRICRTLRTVSDEVGLWSGDRMLNLTQSTVTEGSFQTTLLDTDLNYTRSIFSSDIAGSHGTLQQETSGARRPERKKSSTEKNVSLNVLQQYFSGSLKDAAKSLGVCPTTLKRICRQHGIMRWPSRKINKVNRSLKKKQTVLDSVQGVEGGLKFDSATREFIAVGSLVQEFDTQKSLPCHHDDAFLKRQCDMDEDVSLELLKVKSHDGGKVKLEDSVETNEARPAGSLMEPWVSKQSGLNYSDDFDIGTRSADVKKDKDLGVRRCLSSLALAEPNPSISSSISDSSNGSGAVLVRSSSTSMEQDHNQTFRTHNSSSESGSLLTVKATYRDDTIRFKLDPFVVGCSQLYKEVGKRFKLQEGAFQLKYLDDDEEWVMLVTDSDLQECLEILNGMRKHTVKFLVRDIPGAAMGSSGGSNGYLGTGS >A10p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22229539:22230507:-1 gene:A10p040310.1_BraROA transcript:A10p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLALATAPMALTIISARRRSQVTQLYAKKTKPEKKRATTTSTSGFSGRTSKELTWQCIEGCGACCKLAKDFAFATPDEIFDDPDDVELYRSMIGDDGWCINYDKATRKCSIYADRPYFCRVEPEVFKTLYGIEEKKFNKEACSCCIDTIKTIHGPDSKELDNFNRAIRSSPSSS >A06g500050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:121024:121836:-1 gene:A06g500050.1_BraROA transcript:A06g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSCGNPFKALFPKKNNACMSSHLVSLLNNFETSLRVSIAELVPKDDDKNGFITVSWMIQAMHSLCEIHQCISTLMNTDVDLPVSDMEESMYADISSKLLEVCNAFTSELARLNHGNMLLKFAFSDPDEVSLSHIDCWRQHMPSKNLRIENCGEVLSNLVESMSDDHDLHGLKKKVNKKKKKQYEEEKLLMRALYGVKAKTLYIFSVFAAAFSGSSENILYINIRKEMKEEGEVPWEQAFMELQNVIMNTFLSNRFIVINTFYICINVY >A03p051520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20468055:20469456:1 gene:A03p051520.1_BraROA transcript:A03p051520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSSGEVNSTVMEEKSEQRGGGSLAKGRSCKGYLYYSSTLKSKDKNPRCVGIPRTLRQVPDYVVGQSEAEASKEGRTLADFYYGCLGYSVYMTDKDSSTAMKQQAKTQLPVCLGLEILADRRAASSNTSSIPARAQNRNDSRDLPQHQNHRPASTPIPKPTPATATNTENGFVSRFTRNANLVAAGVMKNLKRVGNYVKEHLDDSLDDHRKRPK >A02g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15071613:15072909:1 gene:A02g504670.1_BraROA transcript:A02g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPDPNSFGDGAKRDEAMTKVPSKDPKKKDDKKEEDLSEEDLKLKQNLELYVERVQDPNPELQKAALESMRQEIRASTSSMTLLDRMPKRLSWLELGALEEAGKLSITINKGGKFTVSQLFVDIQDFSNLEKLKVAWGVTDPKPHKAPKKVAVPSHLPEKLMKLDLQCFPDAELPSLLEPGKLRMLEKLYIKGGTKLTGFGKSVPEKPTECSVKVLRLKFLPRLKVEWRELRELYFPKLEFLDKYQSPHVSFCLCDGIGIWRGKSNQL >A09g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21326850:21329187:-1 gene:A09g507270.1_BraROA transcript:A09g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFLLLSDLSSCLKVMQHDEECFPNGVGGGPNGGQSSSASQYMLVSSSHSKRARRSNAWDYFTMGKDENGQERAYYNGDGEMVTIRASPNGVEESGSSQRTENLQSNP >A02p041650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26085036:26095915:-1 gene:A02p041650.1_BraROA transcript:A02p041650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSVHQLLFFLMFYVSTFSIVPSASFSLNTDREALLSFESQMSQNPQSLSFSWDPNTSPCNWTGVTCDTRIRRVTSINLSGHGLTGSISPSIGNLSFLTSLQLQNNQLRGPIPKEITNLFRLRVLNLSSNSLDGSLPSNLSKLIELRALDLTSNMITGIVPNQLGDLKNLTILNLGKNLLHGPIPPSLSNISSLTVLSLGTNSLSGPVPNELGRLQRLQVLDLTIKNLSGTIPPSIYNMSSLESLVIASNNFWGQFPSNIGYTLPKLLVFNVCFNKFSGEIPASLYNLTNIKVIRAAHNLLEGTIPSGLGNLPFLEMYNIGFNKLVWGRDQNLDSFIKSFSNSSKLNFLAFDGNLLEGVIPVSIGNLPKNLSKLFMGGNRFTGIIPESIGDLTGLTLFNISDNSLTGEIPQDIGKLKGLQVLELARNQLTGRIPDSIGDLGGLNEINLSHNKLQGRIPLSFENFKNMLSMDLSSNMLNGSIPNGVLNLPSLSAVLNLSSNLFSGPIPQDISRLESLVSLDLSSNNFSGHIPSSIKDCQSLEKLNMAGNNLDGPIPDALAEVKGLEFLDLSSNQLSGVIPPRLQDLQAMKFLNISFNNLEGWVPNRGVFKDHSKAYMEGNPKLCIHTCRKTRTHRKLLKVSVITCAVGLIAICVISFLIWKRMEKRSTTSTSSSNSLLKEPFMNVSYDELRRATENFNPRNILGVGSFGSVFKGYGLGEKPSQAGDVYSFGVMLLELLSGKSPMDERFEGDQNLISWISYGFQSNAIMEVIDPKLKGLIDVSGAQLHAKLDCLKKTIEVGLACTAYAASERMKMRNVLRFGTTSFSLNIDREALLSFRSQISQNPHSVSFSWDQNTSPCNWTGVTCNTRNKRVISINLSGHRLTGSISPSIGNISFLTSLQLQNNQLGGLIPKEIKNLFRLRVLNLSSNSLEGSLPSNLSKLIELRVLDLTSNKITGLVPKKLGDLKNLNILNLGKNRLHGPIPPYLSNISSLTILSLGTNFLSGPVPFELGRLQRLQVLDATINNLTGEIPPSIYNISSLESLALASNSLCGQFPSNIGDILPKLLVFNMCFNKFTGEIPASLYNLTKIKVIRAAFNHLEGTITSGLGNLPFLEMYNIGFNLVKGRDQDLDSFIKSLSNSSQLDFLGFNGNLLEGVIPVSIGNLSKHLSTLLMGGNRFSGKIPESIGYLTGLSLLNISDNSLTGEIPQDIGKLKDLQELELARNKLTGRIPDSIGDLGGLNEINLSHNKIQGRIPPSFENFKNMLSMDLSSNMLNGSIPNGVLNLPSLSAVLNLSSNLFSGPIPQDISYLESLVSLDLSSNNFSGHIPSSIKDCQSLEKLNMAGNNLDGPIPDALAEVKGLEFLDLSSNQLSGVIPPKLQDLQAMKFLNISFNNLEGWVPSRGVFKDRSKAYMEGNPKLCIHTCRKTRTHRKLLKVRIITCVVGLIAICVISFLIWKRKEKKSSTSTSSSSSSLLKEPFMNVSYDELRRATENFNPTDILGVGSFGSVFKGVIRGVDVAVKVIDPKANGYYKGFIAECEALRNVRHRNLVKLVTSCSSIDFKNNEFLALVYEFLSNGSLEEWIKGKHRKPDGSVGLSLEERVNVAIDIASALDYLHNDCEVHVVHCDLKPSNILLTEDMVAKVGDFGLARVLFDASDDRHHASISSTHVLKGSIGYIPPEYGLGEKPSQAGDVYSFGVMLLELLSGKSPMDECFERDQNLISWISYGFQSNAIMEVIDPKLKGLIDVSGAQLHAKLDCLKKTIEVGLACTAYAASERMKMRDVLRLLKEAKGMLIKGN >A08g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3911349:3913878:-1 gene:A08g501510.1_BraROA transcript:A08g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKGGNLFHNFFTFLFFSKIFLKKLSIFLSTLFLYSLKRSVKMSSKKKIARKGSSSASPYEELVVPKMEFVPHAVHPAENEAWWIAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASTLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALKFVQPGPALDADTGSDSEPDDQSGSSEAPIPDFDDFFAGLPSGFDARPPTKESARSRVVAEGSRIINGGLSLLGSAIEAGDREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVCKAERKGKREIVEVMKTRASQFQVEYGNLRNAFTSVGDFRECRGSVGSFWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASPRWPYLYLPGLAVGGFESLSALRDVQSVYFRFKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLLLFKTAGVFVGANRRTGCKVFGGRVRTIC >A06p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4975160:4978155:1 gene:A06p002790.1_BraROA transcript:A06p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGDDEDVVVAASADASPPLQWRFSQVFGERSAGEEVQPVDMISAIEFDHSGDHLATGDRGGRVVLFERSDVKHSSGARRDLEETDYPVRHPEFCYKTEFQSHDPAFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKIIKYWKVQDKKIKKICDINADPSMGNGTVANGVPEANISSLRLPVITSHESSPVAKCRRVYPHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPEKMEDLSEVITAAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEPDAGGSKSFFTEIIASVSDIKFAKEGRYLLSRDYMTLKLWDINMDSGPVSTFQVHEYLKPKLCDLYENDSIFDKFECCISGNGLRAATGSYSNLFRVFGVSPGSTETGTLEASRNPMRRHVPVPTRPPRLGGMIGRGSESAGGVDGNSNNALDYTTKLLHLAWHPNENLIACAAGNSLYMYCA >A06p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3629751:3632910:1 gene:A06p010170.1_BraROA transcript:A06p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIALSSSASAAVSIAGSFPLFPSSVGVRRNVQAKHKRFVVSASKREEPKLSEWDQMELKFGRLLGEDPKLTLAKIVARKVNPEASFVEVEKSFYKNKGKLPDIESIPLDWSKEDKKKPTSLDGLNLVKPVPRDGVKFEASVKPVVKKPNFSLKKPLDAAAAPPVAATPPKRTLPNVILRKPSSYYVNNEEEDESKLRLKRNLTLKMRNDRENERFSDMTLLRKPEPVSVNAEEDKVLSDGLTLEEGEQEDVVYSEYTLLEKPEARPEPENIDEEAVELPEIEDTSAPTEMQLESDTSSDSSEEETINSDPIERTPVSQTTVEASLQGKPQRLDPSSAEPSVSNRGQPVTLNQEGSQVSIELKGPPTRSSLEESDWIKAESLVKTELRADVELISSSTRGFAVSYGSLIGFLPYRNLAAKWKFLAFESWLRRKGVDPSLYRQNLGVIGGQDVKAPSPDASLAASEVGNAVNGEVSSDMKLEDLLMVYDREKQKFLSSFVGQKIKVNVVMANRNSRKLIFSMRPRENEEEVEKKRNLMAKLRVGDVVKCCIKKITYFGIFCELEGVPALIHQSEVSWDATLDPASYFKIGQIVEAKVHQLDFALERIFLSLKEITPDPLTEALESVVGDNDQLGKLQAAELDAEWPDVESLIKEMEMVEGIQSVSKGRFFLSPGLAPTFQVYMAPMFENQYKLLARAGNRVQELIVEASLSKEEMKTTIMSCTNRVE >A05g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23601180:23603848:-1 gene:A05g508100.1_BraROA transcript:A05g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLWQSKRLEEEERTPGGDHQFQTEIRELLILQSWPMTMTLCLLDSEKKLEMLVDPDMHVNYTEAKVEQLIQVALLCTPMQRPMITQPRTYRLHQLMENHPNGNGNGGGDGAFPELHNVDANGNAHHNLVQNANVQDNLVQNANAQQNFEAMLQFDENEAMLQHDENEAVQEEEDEDAEMQALIQLIEDTLSEDMIMEHEENIAVVNGEDMIMEHAVNSKTSLVEFFAVRLYSFTSFLSVTYTKQRIRNLKI >A09p007530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3932971:3934722:-1 gene:A09p007530.1_BraROA transcript:A09p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRWVLNILLVSSLVHTSSQAICTSQDRAALLAFKSSITKDTTGVLSSWVGKDCCNGEWEGVQCNPSTGKVTNLVLRNSLNEPTLYMKGTLSPSLGNLGSLQVLFISGTKFITGSIPNSFSKLTSLTQLVLDDNSLQGNVPSCLGHLPFLEILSLAGNRFSGVVPASLGSLRSLSVMMLARNSLSGPIPVTFKNLVKLQTLDLSFNMLSGPIPDFIGQFRNLTTLDLSSNRFSGGLPVSVYNLGKLQDMSLERNDLTGPLSDRISNLKSLSSLDLSSNKFNGHIPASITRLQNLWSLNLSRNHFSDPLPVVGIRGFPSLLSVDLSYNNLNLGAVPSWIKEKRLTEINLAGCKLRGAFPKLTRPHDVTSLDLSDNFLTGDVSAFLANMTSLQRVKLSKNQLRFDLSKLKLPEGVSSVDLSSNLVTGSLSSLLNDKTSRFLEEIHLTNNQISGRIPDFSESLNLKVLNIGSNKISGQIPSSISNLVELVRLDISRNHVSGVIPQSLGQLMQLNWLDLSINALTGRIPDSLLNIKAMKHVSFRANRFCGLIPQGRPLNIFPAAAYLHNLCLCGKPLPPCRKTTK >A07p041220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22261075:22280188:-1 gene:A07p041220.1_BraROA transcript:A07p041220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVHFPPSYTAGKEYLRSNTESRHSFFLLLDSLKIVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQSRPADHNGKSAPRPMQPHQSFSSPGRYLGSGAPSPVLMGGSPYGSSLFNGSSMPPYDVPFSGGSPYHFNYNSRFPPAGPHYRPFHMSGPPSPYHGRSMMGSGMYGMALAPMDRFGFGMAMSPAAAAAMMPRPGGYFADEKSQNRDLSRENDWACPNCGNVNFSFRTMCNMRKCNTPKPGPQLQQCGGSDKTSNQTAPEGSWKCDSCGNINYPFRSKCNRQNCGADKPGDQSNESPSSAPQDNDKVCHAMYLCDHIYMLINCLPLKLAYVTACVDFLSCESSDYLLTKIYAIFVRSLTMLDILDTQFRGRMSKEYDVNTLYQEAHTRWLKPPEVHFILLNHERYRLTDKPPHKPSSGSVLLYNKRVLKFFRKDGHQWKRKKDGRAIAEAHERLKVGNVEALQCYYAHGELEPSFQRRIYWILDPEYEHIALVHYRDVSDGKEVKQQTGGTFLHFSPNPSTLGSIGTQNASYSHYVGDSSIDIQQQHSEANSNVFCNSNGVETSGSSYEFETREALKRLEEQLSLGDDNNVVQNESLDGLQFLDFSTDVDHLVPPLATVHQRPESSSKLGRCYGGYVGGAQYNVSTVGSPLHSLNSLLSLECTEEINAQPAAGHQRAENNRLERCYGGYIGAEYHSNNLMLVKNDSGGSGGSGDQKAKSWKDVLEACEASIALDSEGSTPSSAKGLLTGMQEDSNLSYSNQADQATLLLPQEIGPSFELPTRYSELGALANNANNSRMELPFEQVMNQTVAHKQKFTIQDISPEWGYANETTKVIIIGSFLCDPKESTWSCMFGSTEVPFEIIKEGVIRCQAPPRGPGKVNLCITSGDGLSCSQIKEFEYRHKPDTSCSRDELLLLVRLVQTLSKSNLEPGADQWSHILETILDGTATSSSTVDWLLQELLKDKLDVWLSSRPQDKDQTSCCSLSKQEQGVIHMVAGLGFEWALHPILARGVSVDFRDINGWSALHWAARFGSEKMVAALMASGASAGAVTDPTAQDPAGKTAASIAASNGHKGLAGYLSEVALTNHLSSLTLEETEKSKETAQLQAEVTLKSISERSPHSLKDTLAAVRNAAQAVARIQAAFRAHSFRKRQQREAAMAAYFQEYGIYADIKGISTMSKLALGNVKNYHSAALSIQKNYRRYKRRKEFLLLRKKVVKIQALVRGYQIRKHYKVICWAVGILDKIVLRWRRKGAGLRGFRQDVEDSEEEDILKVFRKQKVDAAVNEAFSRVMSMANSPEARQQYHRVLKRYCQTKAELGKTETLGAGGDEDGDLFDLADMEDDRICRMWGSQSSSMYKRTSSREYSPMVDVEDNSRLLLDDDDDVSKETETTNPPWICSLPHVLVATLSSFLFGYHLGVVNEPLESISSDLGFTGNTLAEGLVVSVCLGGAFIGSLFSGGAADGLGRRRAFQLSALPMILGAFVSGVSNSLVVMLLGRFLVGTGMGLGPPVAALYVTEVSPAFVRGTYGSFIQIATCLGLMAALFIGIPVHSITDWWRVCFWLSTIPAAALALGMFFCAESPQWLFKQGRIAEAEAEFERLLGGSHVKTAMAELHKLELDKTDEPDVVTLTELLYGRHSRVVFIGSTLFALQQLSGINAVFYFSSTVFKSAGVPSDMANIFVGISNLLGSLVAMVLMDKVGRKLLLLWSFIGMALAMALQVGATSSYLPHFSALCLSVGGTLVFVLTFALGAGPVPGLLLPEIFPSRIRAKALALCLSVHWVINFFVGLLFLKLLEQLGPRLLYSMFSTFCLMAVMFVKRNVIETKGKTLQEIEISLLAKP >A09g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2129507:2129855:1 gene:A09g500520.1_BraROA transcript:A09g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYFQREVLPVMALVIMECANVGLNTLFKAATLQGMSFHVFIVYSYGLAALLLLPSIFFSSRSRTLPPMNFSILYKIVVLGLIG >A10p016370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3106970:3109164:1 gene:A10p016370.1_BraROA transcript:A10p016370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSQEEDLHFFDANEEEMMDPSAFGFHVWNDSPGSVVERRRRFLEWMGVEGDLKNMDVESQEICVEAEQGFSSFSSQVSSSGSGSGSSVVEVVSEELSLRVDKNVGGCDVTRRESSSTAASSDSKCCQVKETEKQSKKGWLARLLSMGCSADTKVESGGGIRASSSGYGDVLSRVKVKHCKKQAKELSALYQSQDIKAHNGSILAMKFSGDGKYLASAGEDGVVRVWKIIEDKRSRLLRKDCLNEINPSCMYFEVNDLAQLKPVLLDEDKKPNKTTESFKKTSDSACIVFPPKVFRLVEKPLHEFRGHAGEVLDISWSKDNSLLSASMDKTVRLWKLGSNVCRGVFPHNGYVTSVQFNPVNENNFMSGSIDGKVRIWNISGCNVVDWADLKDIISAVCYRPDGQGGIIGSLTGSCRFFSMSGDYLELDSQIHLHHKKKSSNKRITGFQFLPQDQSKVLVVSADSKVRILQGNDVVRKYKGVCKTRSLTSASLTSDGKHIVSACEDSNVYIWSNVEELDSSSSSQTKKIKSFERFSTNASVAATWSGFSDHNATLPFASPPCLSLNEGYVPGSVPKGSATWPEENLPANNPLSSMTASHYKFLKSSYQRSTSSLAWGMVIVTGGWDGRIRTFQNYGLPVTVT >A06p005330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1566950:1567183:-1 gene:A06p005330.1_BraROA transcript:A06p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWKKTIATPFKKAATFFNQPQQTPHNRHANAKAREEHERRTVKELQGDVMACGYEDVLVMWSILDKSNSSNNLSS >A10p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20430236:20431691:-1 gene:A10p035690.1_BraROA transcript:A10p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAATAASSLVQTLRRYIKKPWEITGPCAHPEYLESVPKATEYRIRCPATIDQEAIVPTADPENVYNILYHARDQRRNRPPIRRYLLKKEDVVEMMNEKKTFEESDFPRVYLTTTVEEDENARGGGYEFILVSCLFSCNLLWWETDCV >A09p069620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54221204:54226879:1 gene:A09p069620.1_BraROA transcript:A09p069620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADKGNELDHIKNEAVDLIRIPMEEVFEELKCTKQGLTSDEASYRLDLFGPNKLEEKKESKIIKFLGFMWNPLSWVMEAAALMAIALANGGGRPPDWEDFVGIVGLLFINSTISFIEENNAGNAAAALMAGLARRTKILNLCSCKEDVKRKAHGVIDKFAERGLRSLAVARQEVPEKKKDASGGPWELVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQEKDSSLGALPVDELIEKADGFAEVFPEHKYEIVSRLQQRNHICGMTGDGVNDTSALKKADIGIAVTGATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSNTIRIVFGFMFIALLWEFDFAPFMVLIIAILNDGAIMTISKDNVKPSPQPDSWKLIEIFSTGVVFGGYQALMTVVFFWAMIDTDIFSNMFGVRPLSQRPEQMMAALYLQVSITSQALIFVTRSRSWSYVEPPGLLLLGAFVIAQLVATLIAVYANWSFARIEGAGWGWAGVIWLYSLVTYIPLDLLKFLICYVLSGKAWLNLLENKTAFTTKKDYGKEEREAQWAAAQRTLHGLQPRETNIIFNEKNCYSELSEIAEQAKRRAEVARLRELNTLTGQVESVVKLRGLDIDAIQQHYTV >A10p030150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18275688:18278176:1 gene:A10p030150.1_BraROA transcript:A10p030150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGETPAREPTYGSPRWGGLVMSSDLRELVRSSIHKETRTRVEEEALSQQPKSARANVSLLKELSPSRSSNEWSEGRRVVKLKDSPRFSYDERETRKTGAKFKETPRLSLDSRSNSFRSAKSSCSPEPQELVTGHRRTTSSVIAKLMGLDVVSDEPVTDQSRENHFCDSPRPSPRVEGDLQRSRGSDSFKKMMPAAKFPVKTAPWTQVDGGARNQVKAADAAATLTVYGEIQKRLSQLEFKKSEKDLRALQQILEAMEKTQQLMSKDDDNSSLSSTNFMQPSPSSKSIRSSSIVVMKAASAPVFRETGNSSSTSSSPRSVALPNVKVSNQKATTRKQSAMDVTPRPATKNTSTRPLQSKIEMAKPGKSSVSPRTQAKKLGFEKQSRPTSPKPEPNKNQRQQLSRQQTESPSPRRKPGMKSRGLQQSEDRSSDESSDLRSLRSDSNVSSASNFDIEVTSRYKCDLTEQHTPKQRSPELGMRSLPKPLKITVEQPSPVSILDVAFDDDESPSPVRKISIVFKDDDHIRSEESLWMNKHNNLCRSIVWPESNTSLNQPDAELTESFMEEGADLRNGDRKYISEILSASGLLKDIDYSMLSIQLHQAHLPINPSLFFVLEQNKTSNETHRGRGFGQQTANLIGRSRRKLVFDTVNEILARKFAAQGCTKQPYITSSISSLVTADKSSRGKELLETLCSEIDRLQDNSNCILDEDDEDLIWEDLQGQGMNWKEIEGETPGLVLDIERLIFKDLISEVVTSEVAASSGNKLSGQPRQLFHC >A06p056580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29443095:29447463:-1 gene:A06p056580.1_BraROA transcript:A06p056580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein argonaute 10 [Source:Projected from Arabidopsis thaliana (AT5G43810) UniProtKB/Swiss-Prot;Acc:Q9XGW1] MPIRPMKETSETHLLIKPKHLPKAVQNAKAPPTPTQASSPSPPSKNRSRRRNRGGRKSDQGDVCMRPSSRPRKPPPQNAAPVAAVSGTEIVAVNHQMQMGVRGSSKNSNFAPRPGFGQLGTKCIVKANHFLADLPTKDLSHYDVTITPEVSSKSVNRAIIAELVRLFKESELGSRLPAYDGRKSLYTAGELPFTWKEFAVKIFDEDDGIINGPRRERSYKVAIKFVARANMHHLGEFLAGKRADGPQEALQILDIVLRELSVKRFCPVGRSFFSPDIRTPQRLGEGLQSWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSKPLSDSDRIKIKKGLRGVKVEVTHRANVRRKYRVAGLTTQPTRELMFPVDENATMKSVIEYFQEMYGFTIQHTHLPCLQVGNQKKASYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILKTVQHNAYDQDPYAKEFGMNISEKLASVEARILPAPWLKYHENGKEKDCLPQVGQWNMMNKKMINGMTVSRWACVNFSRSVQENVARGFCNELGQMCEVSGMEFNPEPVIPIYSARPDQVEKALKHVYHTAMNKTKGKELELLLAILPDNNGSLYGDLKRICETELGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEESSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDKSSTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEIMQDNGSPGKKNTKTTTVGDHGVVGGGVKPLPALKENVKRVMFYC >A01p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22758806:22759623:1 gene:A01p042250.1_BraROA transcript:A01p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYHSCKGFSDLEELWDDLLVSRLKYNALGDFQDNLPGSLLTESSHMSPFHNRFERFVACFNQMVLIFPLDMYFVCSINVDLYNLPLIFSVFKFFKSGADFGRFMGSLLGNLLKYNALEDLSEILWKTSRKSYGRLLGSLLAHYILEDLREDFP >SC283g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000130.1:1792:4221:-1 gene:SC283g500010.1_BraROA transcript:SC283g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDEGNGSESGEQEHNHEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A03p052040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20235868:20238092:-1 gene:A03p052040.1_BraROA transcript:A03p052040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITETAGESSARKTRRRAPTSFESLDTDILCIIFSFLDLFDLVHCTVVCNSWYAVIKKLKLLQSSCRRMHQLGSTSLEQPREIDVEDFAMKHHKMALLRGRIEIERWEAHSHRFSQCRMKKGLLLTGVGDKVMRLWSLNSYKCMEEYSLPDAASLVDFDFDESKIVGLVGTRISIWRRNGQRSIFPSREGTIPKGLCMRYIDPEAVVGCEDGTARVFDMYSKTCSQIIRTHGGPITCLSLSDNQLFLSGSSLGRVTVSDPLLDQPVAMLKSTITAGGIQTICFNQGSNLAFSGTTAGYVSCWDLRKMRQVWENRVSPNVVYSIQQLKNDTSVMVAGGIDGVLRVVDQKSGRVLSSCIMDDKVSTVLRRQSQVVVEKRRGKRVSQDVEIDKIERKTRPQISCIAMGMKKVVTAHSGKFISVWKFNHS >A02p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20214089:20216363:-1 gene:A02p037780.1_BraROA transcript:A02p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase WAV3 [Source:Projected from Arabidopsis thaliana (AT5G49665) UniProtKB/Swiss-Prot;Acc:Q9LTA6] MGTGWRRAFCTTASRKSDAVAPDLDKQQAGYTLNSNPSPRSCVKLAFLSGGSNSSTPRTSYSPSLGCRTTDAENPVPTAEQIPTPRSATKSPRLSLKARSNPSSPRLKLSLFRNSFKFRSNCGICLNSVKTGQGTAKYTAECSHVFHFPCVTDYVSKHGKLVCPVCNSFWKDASLLTLHGNGIEPPLENAVSIEEKRVVAVATSPIAKPMPKQSHNYDDDEPLLSPRFVTIPEANENCRCEAETDVAQFKGFVVDPSPSFAVKSHEFPATGRDFGNVQVSLLPESAVVSVGCGYETRAVALRVKAPPPLGTRGRRLLDPSQRAPVDLVVVVGVGGTMNGAKLQMVKRAMQLVISSLSSADRLSIVSASSKRLLPLKRMTENGKRSAGVVVDGLLCCQSSKISDGLEKAARVLEDRRERNPIASIVLLKDGQPISSRANTNQRSTITHVGLTRFAHIEIPVTEYGFGESGGCSHAPVEEAFAKCIGGFLSVVVQDLRIQFRVGSGSGPCEIAAIYLCNGQPTLVSSGSGSVRLGDLYAGEEREVLVELRIPSTASMVHQVLSVRGLYKDPSTQEVVYGRDQSLRVPQAVRSSSPSIERLRCLFIMTRAVAESRRLVEYGECTSAHHLLTSAHALLGQSRMAEAADYTKVVEAELVEVQWRRQQLMEYESQPQQQHIQQRRGSERDTTMILVDENGEPLTPASAWRAAEKFAKVAMMKKSDLHGFENARF >A04p036320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20550779:20552689:1 gene:A04p036320.1_BraROA transcript:A04p036320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKDKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLSIDLEELYVNIGWPLYRKHGHAFEAFKILVTDPDSVLGSLTREVKEVGPDGQEVTKVVPAVTEEVKDALVKNIRRRMTPQPMKIRADIELKCFQFDGVVHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVSERDDKMLTEHMAKLRMDNEEISGDEESGEEEEDTGMGEVDIEGAGIIE >A01p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18209354:18210981:1 gene:A01p028570.1_BraROA transcript:A01p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLGLYIKSTRRTQRSRETLEESKLQNMVMILLLCLIFFITILFFIKQRAWKKSNTIPSPPGLPLIGNMHQLGQYPHQSLRSLSQHYGPFMLLHFGTVPVLVASSADAARDILKTHDRVFASRPHSKIYDKLLYGSRNLASAPYGEYWRQMKSLSVLHLLSNKMVRTFRDVRQEEISLMMETIRKQGSSPMNLSKIMMTCTSDVICRVALGRKYGAETDLKELTDRLVRQLGTFTFGSFVPCLSWIDWICGLERQLEKTANDFDEILEKVVQDHEDGDGGKADFADVLLALQRDKSVGFEVSRMSIKAIILDAFVGGTDTSSTLLEWEMSELLSHPECLKRLQEEVRTVSKGKSSVSEDDIQDMYYLKAVIKETLRLHPPFPLTVPHVSTEDVNLRGYHIPAGTQVMINLYAVGREVATWGPDADDFKPERHLNSPVDFLGQDFELIPFGAGRRMCPGISFAAVLNEVALANLMLGIDWQSTEDQTENHVPESIGVVIRRMFPLIVTASPAF >A10p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22007319:22010561:-1 gene:A10p039760.1_BraROA transcript:A10p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAGKCEIVEEKEDHQNSFTYSTRSPIIPHSGSSLVDDKDLERPVLKLGYRGSLEDDINQLFESISIRTSGMIPSYQLGATSSSRNNNGPLQRNARSPSKTVAEIKTVFEPPATLKQSLRDLCVTKASETAASKRTTPKPSGRAGEEGKAVLVGLLDEAQSSSAESSIAQQLRLLKIHSSSQNSMYCDEMVLKNDKNWSLDDAELSMAKRSFGSPRSVNNKTVVGLKSVRKVKLLYANTPTSTIVNGKRVAKLTRTIPRGGGGAKPALRSKDSLKKKKEDTNVYDEVDGFYDPIAKELLCHRCHFTLKNTSNKDEPSKESVLEPKTSVKEGCLDELASDFSSSSYESSHEISETKLDKNKIRRSLELSNPETSQGSLGFEFGSKTLVKRVEEDILSGKEAEQKDSVYMSEQSVEIGSFSEKSVVMNPDSPPNKLILDRAATEDVNENSETEIKYNSSSTSEEEQEQGQSNNDIMTRSSFGNRPHMSKDVRWEAIQHIRAQHGLGSLGLRHFNLLKKLGCGDIGTVYLAELTGTNCLFAIKVMDNEFLERRNKMSRAQTEKDILKMLDHPFLPTLYAHFVSDNLSCLVMECCPGGDLHVLRQKQPGRWFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVRDDGHIMVTDFDLSLRCTVSPTLLNSSSPLHGDAMMRLSSGSRTGSSCIEPSCFRPKLSRGNKKKAKQHRVMMKKLKKSDLTARFKSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGIFLYELLYGKTPFKGASNEETIANVVRQSLKFPDNPNVSFQAKDLIKGLLVKEPENRLGTEKGAAEIKRHAFFEGLNWALIRCAIPPELPDFYDYGVPNHEGKSNYLDCKAVGEHLEFELF >A10p001630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:851536:853150:1 gene:A10p001630.1_BraROA transcript:A10p001630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCKKYEEYMQGQKEKKNLPGVGFKKLKKILKRCRRRDHQIASINHQHGNNCPRECTVCDGTFFPELLKEMEDVVGWFNENAQKLLELHLASRFKRCLTWLKGNNNRKRSHLGLIQEGKDLVSYALINSVAIRKILKKYDKIHESSQGQAFKTQVQKMHIEILQSPWLCELMAFHINLKETQKDCSGAGLASPPPALFDGCSLVFDDGKPLLSCELSDSLKVDIDLTCSICLDTVFDPISLTCGHIYCYMCACSAASVNVVDGLKTADSSEKCPLCREVCVYKGAVHLDELSILLKRSCREYWEERRKTERAERLQQAKEYWDYQCRSFTGI >A02p002720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1143356:1145163:1 gene:A02p002720.1_BraROA transcript:A02p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRDALDSKSESVPTIDISRLKGSDDERRGVIQEIRLACQSFGFFQIVNHGIDQSILDDALAVAKGFFELPAKEKNKFMSNDVYAPVRYTTSLKDGLDKTQFWRIFLKHYAHPLHRWIHLWPQNPPEYRERIGKFCEEVRILSLEIMGAITESLGLGRDYLSSRMDENGMQVMAVNCYPPCPDPKTALGLPPHSDYSCITILLQNLTGLEIFDLTAHDGSGRWVHVPEVKGVLKVHIGDHVEVLSNGLYKSVIHKVTLNEEKTRISLASLHSLGMDDKMSVPCQL >A08g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7127761:7132280:1 gene:A08g504380.1_BraROA transcript:A08g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRAITAEVTQLRQGGRHDGPRPPGRNQPDPHDTDSDEDSTDGTRSQDEERPNRGVACTGTNRVQATIDPGVV >A04p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10255820:10257695:1 gene:A04p016750.1_BraROA transcript:A04p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTRDEDEVDDFDEFDPTPYSGGYDITVIYGRPIPPCDDTCYPLSSAADEDFEYERPEFTSYHDPSAYAEEALNTEYSSYSRPKPRPGFRPGSAGGGHVQGERPDQSYGSGYGGQTEAEYGRRPESGYGGATETEYGRRPEQSYGSGGYGGRSEVESGGYGGRSEVESGGYGGRPEVETGGYGGRSEVESGGYGGRSESGGYGGRTEVEYGRRPESGYGGRSESETGYGSGYEKKPSFGEERSEYERKPSYGRSEDQEEGGYRKPSYGRSEDQVESYIKPSSYGRSEEEEGGGYRKPSYGRSEEQEEGSYRKPSYGRRNDDDDDEERRNRSGDDEEGSYGRKKYGGNDSDDDEEKKQHRYKHHHHQRRRDEDDD >A03p001510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:748869:749063:-1 gene:A03p001510.1_BraROA transcript:A03p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >A03p048050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22106791:22108333:-1 gene:A03p048050.1_BraROA transcript:A03p048050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMNGPGRHHLFVPGPVNIPEQVIRAMNRNNEDYRSPAIPALTKTLLEDVKKIFKTTSGTPFMFPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQKRLNFNVDVVESDWGQGANLQVLASKLSQDQNHSIKAICIVHNETATGVTNDISAVRTLLDHYKHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEATKTSKSLKVFFDWNDYLKFYKLGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWISNTVTAVMVPPNIDSTEIVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLLGCLAGVEMILKDVGYPVVLGSGVAAASTYLQHQIPLIPSRI >A01p018050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8791962:8793953:1 gene:A01p018050.1_BraROA transcript:A01p018050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFCGNFEYDAREGDLERLFRKYGRVERVDMKAVCKGCLLEEKGLSSCLLEVINLFILLAIHGLTSIMRVASSSGFAFVYMENERDADDAIRGLDRIEFGRKGRRLRVEWTKGERGGDRRSGGGGSRRSSSSMRPSKTLFVINFDADNTRTRDLERHFEAYGKIVNVRIRRNFAFIQYEEQEDATRALEATNNSKLMDKVISVEYAMKDDDARGNGQSPDRRRDRSPDRRRRSPSPYKRERGSPDYGRGGSPVAAYRKERTSPDYGRRRSPSPYKRSRRMSPEYGRDRRGNESPRRRERVASPNNKRERRSPDDSPFKKESPKNGGGEVESPRERSRSSPENGQVESPSSIGRRDSDDGAESPMQKSRSRSPPAEE >A09p024530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13565726:13569575:-1 gene:A09p024530.1_BraROA transcript:A09p024530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKSAPVISYISFLALYFSFYTVALTSSNSLQDDFINCLHQNTNVDFPLEKAFFSPERNASMFIEVLNLTAQNQRYLTKSMPKPGFIFKPVHETHVQASIICSKKLGIHLRVRSGGHDYEGVSYVSQIEIPFIIDLSKLRQINVDIEDNSVWVEAGATTGELYYRISEKSKTHGCPAGIYPSLGIGGHITGGAYGSLMRKYGLAADNVLDAKLVDVNGKLLDRTAMGEDTFWAIRGGAGGSFGIILSWKIKLVPVPKTLTVFTVTKTLDQDAGFKILSKWQQVADKLVEELFLRVFFTIAGNNENRTVAMSYIGQFLGEKGNLMEVMSKGFPELGLTLEDCIEMNWIESIMYSSGFSTTTPPPPEVLLQAKSPLGEVYFKAKSDFAKEPIPVLGLKGMIKKILEEEAAVMIWTPYGGMMDKIPESEIPFPHRSGTSFMIQYYRSWSDTEKRPDMRIKWIRELYNYMTPYVSSNPRQAYVNYRDLDLGQNNNLKTSFNQAQVWGAKYFKDNFNRLVKIKTKVDPENFFRHEQSIPPLY >A03p063160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27437841:27439578:-1 gene:A03p063160.1_BraROA transcript:A03p063160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDDEIAENILARVSSCKYPSLSLVSKRFHSLLSSPQLYKTRSHIRTTEPCLYLCPKYSEDRPPKWFTLCKYPSLSLVSKRFHSLLSSPQLYKTRSHIRTTEPCLYLCPKYSEDRPPKWFTLWMKPVDETLTDHDDNHRLPHDDHNHRLPQDDYSLFPVPSPSNSILEPFYTSVAVGSELYIIGGTYNAPSSAVRILDCQTHIELGCGPRRTRGVMALVC >A09g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11597986:11602720:1 gene:A09g503710.1_BraROA transcript:A09g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSGVDDLYFGRLLNNLHGRRIFQSSTSYRTFNFTNVRFNLTGSFTGHIKAIFFTVSQFYETLAPFLSNGDFEGDKTKTLPWSCSAVIFAGNLSDYDESRFSFMPSEISFAKLRRRSVTAWGHIFSDHIFSDNIFSNYYPDDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSRPEKFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCHEWENQDNDENLA >A06p022410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12286545:12290993:-1 gene:A06p022410.1_BraROA transcript:A06p022410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATH1 [Source:Projected from Arabidopsis thaliana (AT3G47730) UniProtKB/TrEMBL;Acc:A0A178V6R6] MTLQRGLALLWQQYAALFRKNLILSWRSKRATFLQLFASFFFILLIFCIQEAMEKSFASSTALKTVTDPSALVSPPIPPCEDKFFVNLPCYDFVWSGNGSPRARDIVNAIRANNPGRPIPEDKVLPFKTPLEVDAWLMANPLQTPGALHFMDRNATVMSYGIQTNSTPEMNRGRFEDPTFKFQIPLQVAAEREIARSLIGDPKFNWVVGFKEFPHPTIEAVVALDTIGPTFFLAIAMFGFVLQISSLITEKELKLRQAMTMMGVFDTAYWLSWLTWEGILTTVSALLVVLFGMMFQFDFFLKNSFPVVFLLFMLFQLNMIGVAFMLSAFISKSSSATTVGFFVFLVGFVTQLGASSGFPYAKKYSQTIRTLWSLFPPNTFSQGLKMLSDATSTPQDPGIRWSKRAVCGPNDDTDCVITINDIYLWLLGTFFLWFVLALYFDNIVPNASGVRKSVFYFLKPGYWTGRGGNRVEEGGICSCTGSAPPVDHITPDDEDVLEEETSVKQHSMEGLVDPNIAVQIRGLSKTYPGTTKFGCCKCKKTPAYHALKGLWMNIAKDQLFCLLGPNGAGKTTTINCLTGINPVTGGDALIYGNSIRSSVGMSNIRKMIGVCPQFDILWDALSGEEHLRLFASIKGLPPASINPMVEKSLAEVKLTEAGKVRAGSYSGGMKRRLSVAVSLIGDPKLVFLDEPTTGMDPITRRHVWDIIQETKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANISFTESNNQENNGNGEAGAAESREPVKKFFKDHLNVKPVEETKAFMTFVIPHDKENLLTRFFAELQDRETEFGISDIQLGLATLEEVFLNIARKAELESAAVDGTMVTLELTSGSSVEIPVGARFVGIPGTENAENPRGVMVEVYWQQDESGSLCISGHSTEMPVPDNVPATDPVAPGGHGGVSLLGRRGRRQQVQGIVIDPEFVGSAASRRVSRSGSFASQRSSL >A03p024760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10427619:10429183:1 gene:A03p024760.1_BraROA transcript:A03p024760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAINKANTLRGLVTFGFIRNITSMSSSSQSFVSRSVIKKVFAKLQSEGDGAVVRRGISRSEQRLLDPFLMLDEFSVSPPAGFPDHPHRGFETVTYVLEGGITHQDFKGHKGTIYAGDVQWMTAGRGIIHSEMPEEEVNKGLQLWINLSSSEKMIEPNYQELSSSDIPKAEQNGVQVKVIAGESMGIQSPVYTRTPTMFLDFTLHPGAQFHQKVPESWNAFAYVLESGEGGAVFGSSNSSPVSAHNVVVFGQGNDGVSVWNKSSSKKLRFVLIAGEPIGEPVVQYGPFVMNTQAEIDMTIEDYHYSKNGFEMAKYWKSQ >A07g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7906335:7917838:1 gene:A07g504020.1_BraROA transcript:A07g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSARPARSLRSDRARAEARSLRSDRARAKARSLRSDRAIVPLGRYVATELEPKLGRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARAKVRSLRSDRAIVPLGRYVVTKLSQARSLRSDRAIVPLGRYVATELGPKARSLRSDRAIVPLGRYVATELKPKLATGLEPKIGRCIAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCSARRKTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWGQKRLAEERIETSDESSKQVVTQRLNVRLARSLRSDRAIVPLGRYVATELKPRLGRYGATERSCRSRPSGTIARSLRSDQALVPLCRYVATELSQARSLRSDRAIVPLGPYVATELEPKLGRYVATELEPKLGRYVATERSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPQKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPMNHSIVHAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPC >A03p029340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12319034:12321331:-1 gene:A03p029340.1_BraROA transcript:A03p029340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CSLC12 [Source:Projected from Arabidopsis thaliana (AT4G07960) UniProtKB/TrEMBL;Acc:A0A384KRZ4] MAPKFEWWAKGNNRKGTPVVVKMENPNNWSMVELESPSEQDFLVEGRREKSRNKNARQLTWVLLLKAHRAAGCLTSLGSALIALGTAVRRRIAAGRTDTGISSSSTTAIAKSKPRFLYSCLKVFLLLSLMLLAFETAAYFKGWHFETPKLQLPYGFLDWVYTHWVLLRVGYLAPPLQFLANACIVLFLIQSLDRLILCLGCFWIRFNKIKPVPKTVISDLETGENGCFLPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKTKILIQVLDDSDDPITQSLIKEEVHKWQKGGARIVYRHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPLPDFLKKTIPHFKDNEELGLVQARWSFVNKEENLLTRLQNINLAFHFEVEQQVNSVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECICELPESYEAYRKQQHRWHSGPMQLFRLCLPAVIKSKISIGKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLAALVENEGGKKMKHQRGASAPAPETEAEKKAQKKNNKKKKKHNRIYMKELSLAFLLLTAATRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVE >A06p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5195087:5196666:1 gene:A06p002360.1_BraROA transcript:A06p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP50A [Source:Projected from Arabidopsis thaliana (AT1G52380) UniProtKB/Swiss-Prot;Acc:Q9C829] RPPLPSGDSFLFSSSSGNSFAAHFRRIYFQFLGNGLRCSSQMGDSESAQPPSKKRGALKQLSRENPDDDEDVSADFESGTFKKASDEVLASRRIVRIKRKEPSAAPPAATTNPFAGIQLLPTTTAPASATVVESKLTPAEAVVEDNQKETDNDEDGDEVESKKVDDVKESAEEETKDENQCGKTSDDQTTHEDVDKTVQGTDQTEDLVQKESGGDKEGDEADKNGDNTGSLSSFQQHSSIKNAFTGLATTDSSGPKFSFGDASGSPFGFGLPSSNSPSLFGASLTKKSEGSSGFPPKQEVSVETGEENEEAAFSADSIMFEYLDGGWRERGKGDVKVNVSSNGGKARLVMRAKGNYRLILNASLYPEMKLASMDKKGITFACVNSEGRDGLSTFALKFKDPTIVEEFRVAVDKHKGSKPVETAPALKTPENSPTATDA >A03p041710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17406990:17407698:-1 gene:A03p041710.1_BraROA transcript:A03p041710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQQSYKAGETRGKTQEKTGQAMGAMRDKAEESKNKTSQAAQTAQQKAHETAQSAKDKTSQTAQKTQQKADETTQSAKGKTSQAAQTAQDKARETKDKTGSYMSETGEAIKHKAQDAAQYTKETAQGAAQYTKETAEAGKDKTGGFLSQTGEHVKQMAMGAADAVKHTFGMATEEDDKEHFPGTTTGTTRTTDTTHQTYQRK >A03g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9044644:9045397:1 gene:A03g502900.1_BraROA transcript:A03g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSSSSHVFWFKCENASAENLLKTNSIDSTVQEEVMFRSEVKKLQLKQFRPAEQVSLSSQEMLQYIVFE >A05p017710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8085586:8086752:-1 gene:A05p017710.1_BraROA transcript:A05p017710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-like protein At2g29900 [Source:Projected from Arabidopsis thaliana (AT2G29900) UniProtKB/Swiss-Prot;Acc:Q9SIK7] MDRNQQRPRSSILDALGEELIGILTPVSICMFTVVLLVCLLNSSSSSFSSIATAAYSETDSDSPWDKLLGAFLNSLVFVAAITVATFLLVLLFYLRCVTFLKLYMGFSALVVLANLGGEISILLIDRFRFPIDSLTFSILLFNFSVVGVFAVFMSRFSILITQGYLVVIGVLVAYFFTMLPEWTTWVLLVALALYDLAAVLLPVGPLRLLVEMAISRDEDIPALVYEARPVIRDDSRLVQRRVWREQRSNVNDDDVENNVVRSEEEEEQRSEEISVPLIDSSSSSSRPETFLEGIGLGASGAIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGVTLMLLSVYQKALPALPVSIMLGVVFYFLARLFLEVFVVQCSSNLVMF >A02p005830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2502090:2504720:-1 gene:A02p005830.1_BraROA transcript:A02p005830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKKKDVIRLEREAVIPILKHKLTTALSLHFDENGEREEFLRFCQRVECTIRAWYHLHFEDLMQLYSLFEPVRGALRLQQQNLSPHEIDVLEHQFLQHLFEVMEKSNFKVITNEEIQVALSAQYRLNLPIVVNEAKLDTKLLTRFFSKFPRHDLPHFADKYIIFRRGFGIDHMKAYFFLAKVDTILVRIWMFLLTITCLKGLVYGKKEEGLSEQIDISIETEKDSLYIERIRIEKLNLSLSNLMKKITIQEPTFERIIVVYRRVSGKKESERNIYVKHFKSIPMADMEIVLPEKKNPGLTPLDWVKFLVSAAIGLVTVVSSVSLKKTDIRVIAAILSTVVAYCIKTYFTFQRNLVDYQSLITRSVYDKQLDSGRGTLLHLCDEVIQQEVKEVIISFFMLIKQDIPTSKDELDMQCEAFIKEEFNESCNFDVDDAVKKLEKLGLVSRDSEDKYRCVSIKEANDIMGTTTEEMVLKARSGGDYEDEEVVDNENQMNPEDELNAKEQRYQSKLGEFETLWM >A01p049070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27581063:27582866:-1 gene:A01p049070.1_BraROA transcript:A01p049070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLMNLLSLCFKPFGQTFDSSESGSGGGGGGEGKDGLLWFRDLGKYRGGEFSMAVIQANQVLEDQSQIESGNFGTFVGVYDGHGGPEAARYVCDNLFNRFREISAETQGVVTRETIERAFHATEEGFASIVSELWGTMPNLATVGTCCLVGVIYQSTLFVASLGDSRVVLGKKSNCGGLSAIQLSSEHNANNEDIRWELKDLHPDDPQIVVFRHGVWRVKGIIQVSRSIGDMYMKRPEFNREPIAQKFRIAEPMKRPLMSATPTILSHPLHPNDSFLIFASDGLWEHLSNEKAVEIVHSHPRAGSAKRLIKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVVFLNHDLIARGHMNTTQDSALSVRSALEH >A02g512080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32793613:32799224:1 gene:A02g512080.1_BraROA transcript:A02g512080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKIVAEYSVIKGNFSSITVDLLPANFLLRCVWLSFHGEIQIRMADSLQKAIKEPEISQRKSNAEQWDTPPGFPPLFPELSAQDRRMAMMYISHSDETERLARIERVKQGIAENQAESSVRLTKITNNLDKGKGHVFYFPELTTKRLQLTPGSHVQPLATGEIATSETEAESSTAHGTALSAPATGPTGFRIGLSPEGRVTGTQSTSKSQRKRPPSWKRKTKTKSTQNLAPAEPRVSVSAPAVPLVTKRKEGFEDLVHRSWEGEGDNQGCTMDRISRCRRKIMEWRKRNDMNSKEKITCDLMTEDLSSWDIRKVREVIAEEDVRHVLSIKCQRFREDRWKWGFTRNVWLRLNSFIPNVATEIVCDETISNRWTKPDVGVVKCNVGSAWSSSNGHGGMAWIVRDSNGEALFHSRRSFVGIRSQLEADLVALVWAVEAMRDLHLNRVTLEFSSSMSSGTLSTSNLPQSLQSHWRSFNRSIGQLEVCKLVLTSPNGNLIASAIAESALHIQHHQSYMSANGPGWLESRIRSEAMAVV >A09p020800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11054788:11055411:1 gene:A09p020800.1_BraROA transcript:A09p020800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:Projected from Arabidopsis thaliana (AT1G59730) UniProtKB/TrEMBL;Acc:A0A178WRN3] MGSNVSSVHDVPSSTETKNGLVVEMESRRQWRSLFDSLKGSNKLLVIDFTAAWCGPCKAMEPRVKEIVSRYPEAVFARVDVDRFMDVAGTYRANTLPAFVFVKRGEEIDRVVGAKPDELVYKIEKHRV >A01p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20720062:20722412:1 gene:A01p029080.1_BraROA transcript:A01p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPFEFETTEDHKPYSDGGGSNLTSTVDSSGDEQKKLVYRGWKVMPYIIGNETFEKIGIIGTLSNLLVYLTQVFNLKKYTAATIISAFSGTINFGTFFAAFLCDTYFGRYKTLSVAVIACFLGSLFILMTAAVPGLHPTPCGTKSSCQGPNGGQVLFLLLGLALLVVGAGGIRPCNLAFGADQFNPKSESGRKGINSFFNWYFFTFTFAQIISLTLVVYIQSNVSWTIGLSIPVGLMFLACVIYFAGHNLYVKVKASGSPLAGIARVIAAAIKKRGLKPVKKPCSDLYNHIPPNYANSTLKYSDQFRFLDKAAVMTPEDKLKPDGTASDPWNLCTMQQVEEVKCIVRVIPIWLACAVYYLAITLQMTYPVFQALQSDRRLGSGGFKIPGATYVVFLMSGMTVFIIFYDRVLVPSLRRVTGLDNGITLLQRIGSGIFFALLSLLVSGFVEERRRAIALTKPTLGIEPRAGEISAMSAMWLIPQLVLAGIAEAFAAVGQMEFYYKQFPENMKSFAGSIFYVGAGVSSYLASFLISTVHKTTEHSRSGNWLADDLNKGKLDYFYFMLTGLMFVNMVYFLLMAKFYRYKVTNDEANSVIKTNEEETKENQQQDKSYV >A10g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21853205:21854625:-1 gene:A10g507110.1_BraROA transcript:A10g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FACKLSTVKREIRPCTSRDYKSEFTTRVATVLACPFSDVDAENEGVACFSSFPLLFQKLGFSRREAICAATTIERSFFHQIDEEEVEISVWMDPSEERKHSKQQKEYCDMLGFVEDSQYGIPIRCACGGRIIDEVRGKEDYDSHPGKRFFTCINYEDNGLHYSHPWVVGVQEEMERLRKRLEEAEEVIKGVPSLNYQIESLEEQVRSLTVQVGTLEKLCFD >A07g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23337885:23338435:-1 gene:A07g508460.1_BraROA transcript:A07g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAQGRSQGEEGAVRFLVRLLGFVSGRRRLLQLRRRRFLSPRGRGYRSSVVGLFSLSLSFKVLVCLGGWRSRRRVIEARPRLTVLGRAKLLSRLVFTGMEGVCGGDGWFEWFPILPGESSVGLPGAVPKGVVV >A03g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9860521:9861929:1 gene:A03g503160.1_BraROA transcript:A03g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLAGKAGGDPNLFFLHVHGGLVGGTVQFLGAGKAEDNVARKKFWTGLLCDLWVTLTVPHKFSDPKLVCSCPFTAVCRLPSTFALMAFVMIWHSLLLWQLGVKVLKLCILPANLVCLGFNCPPFSFKELFFLPHLSLVTSEIVIGSIVLKMVLFEAEAKMFIVSRLDGVIYLTSLTMEGFIPPLYCFEEECQFEEVFLFDCPLSETTVVELVISPLSLSFYLSTCCLSFLISLSSVLVYTLVCLAPCSLIVSSIDGVELF >A07p003120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3416324:3418296:-1 gene:A07p003120.1_BraROA transcript:A07p003120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGWERSSHGWFFLSRVLLFLCACLALSEISHYLLLCTVTQQIAMKHLYLIRPGAVTLCAIHAPPNKHALDVAWSFGTRGRGLEFWYLSYTYCFTTTRNESRVSSTYLTFYQDELHSLLGMICCSWLYTPSDGAPDQKLILNHKLKTSIHQRARKITNQETASTLMKRLYYQECGL >A10g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18379092:18379512:-1 gene:A10g506410.1_BraROA transcript:A10g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPFSNKTTFLTSLSSRWCRNLEYDILSEAASMGASAKKVAKVGVKPKAVIFSRDLLVGMLERGRDKADDEDAIFIIKATASVLLDYASRTITF >A02p043840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27617737:27620817:1 gene:A02p043840.1_BraROA transcript:A02p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEQAIPQIHENQRIRSRKIRRWLFLKSVEASRSGRRVRFIGRVFAVESSFRSLQTSTGDFSFTPWMNMSSPPSSYLDSSGEYAAFELFFIVSCGSLTSGISDCLEVETTILVLDGYLTLSQLVGCVEWTMRSSNTNPLGSFLIRVYLLCFFDALFEIGLVMDDQRNSDNHHQHHHLGVNKIGKNIRKDSSNQQNQQQNPQALFFNINKTDFRSIVQQLTGLGSASSVNPPQSTNPPKPPNSRLVKVRPAPLTQVNHHPPPVQSDPIAERNNQLSVNPAESPISAYMRYLIESSPVGNQPQLQNQNPTQPSPGLIPSHQSGPSPMLFQSPASQFVLSPPPRSPFPILSPNFFAFSPRFIAGGNEPLPPPSPGFFFPLLSPLWKNQ >SC255g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000105.1:2293:12858:-1 gene:SC255g500010.1_BraROA transcript:SC255g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLSLVSEHYISSLSSIVESTLNHQVQNRPSPSPSRPSSHSIAVRPSCPEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKDVKTGPEIQKDTNSTSLLRSKVVHDLSPRDKEILNPKEEAPSSQGIKEHEFKGEEPPGTTPVMNQEKVQDTMQSMLLKEAKPVNKVSNQESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRGDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSFIHQEQIRPNQGHKVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDVKTGPEVQKDTNSISFPRDKEILNPKEEAPSSQGKSSKSEDLKYQTCYRCRIKEHEFKGEEPPGTTPVMNQEKVQDTMQSMLLKEAKPVNKVSNQGKCQTPPRETGIDVCVLDVESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQFVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKVSCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNSKVKSRGDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEGSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNFFLSKIVLCIKYRSLGFKERFFVVLLISTQIERVNQFDPSFIRLRDSIKTSSANPLQSIP >A08g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10450115:10451091:-1 gene:A08g506120.1_BraROA transcript:A08g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSPAQISSSPTILRCEALHLRSNLLFSSLNRRYILSAVGYEIFLHLWYAEHNLLVAPETRQAFRQKLQRVGNKGAKVFRLFGEKVEPKS >A03p022350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9379008:9383008:1 gene:A03p022350.1_BraROA transcript:A03p022350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHRTFVFLLSLCLLLQTSLSIENFHQAFPIVEPDPNHTKLRLSREGLEAISRITTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLEIEIDGVKTSIIYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPSKLLWLIQRDFLQGKSVKEMVDEALQHVPNEDGNKNIDQVNRIRDSLAIMGDNSTAFSLPQPHLMRTKLCDLKDEDLDSTYVARRDQLKKLVASILRPKIVQGKALNGKEFISFLEQILDALNKGEIPSTGSLVEVFNKDIVERCVKQYHERMVKLRLPMSEEHLQSAHETAHDEALKAFDAQHFGRQHAKKSVDQLDEQMKEVFKNFVLANEYQSSKLCEALYTKCEDDMDHLQSLRLPSMAKFNAGFVYCNQSFEHQCVGPSKQNYEQRLTKMMGKARSLFIKEYNNRLFNWLVAFSLVMVIVGRFIIKFILLEMAAWILFIFLETYTRMFWTAESLYYNPVWHFIVGTWETVVYSPVLDLDRWAIPIVCIIALCVLYWRCYGKRKHGSSWLLPMYNNQKNGRNRERSE >A09p076490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57203966:57207693:1 gene:A09p076490.1_BraROA transcript:A09p076490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIHCESLFPGHHHSMRDPNNESNGCRWPLFYADNKASANDQFYNDKDVVRRTMLEHEAVFKAQVMELHRVYRIQRDMMDELKRKQFNKEFEASCSSQATNDDLRKWKMPSFPLGNSVYDRPSMSVVEDNGHSPMKGSYCQGVLEVRPTKMRRKMIDLCLPADEYNEEVVELKDHRVSQLPNGDVKTGYGSSSRTNGLADLNEPFKAQDTNEFAYGHSGSVREHDPGKVWPQHQPLRTSKMIHQVVNADHYSGTHKYATPSKPLESSSQAMQVFVNSSQRGMGLPNSGPPPSKAVLWRERTFIDLEADTDTNTSHEVASHQPQRHLYPPYNTPASALPWNHMHSSWQNPTFGFPQRVVVASEQKQGCLGDRLQFENNVRYNKHNMVYNECSSSSSKSKFCGSGYSYPNGGRSDHRPEVKFVRDLNLNVTLPSNTCVVEVRKDEATLPWLVKTKSGRNSDVADGRWNQKSKDAVETEKININSNIPREECNAERDKVHNVRMMLDINEPCEPEPPPNEDQQMEEQAGTKVSVSNKCDIDLNMSVSEEEDDENCSVPTSSRLSSKRIMIDLETVPESDVEEDDVSGEKPPEESQTLEKPPEFEKTAAETIVAISLACLDRELEVVAPETIILQWFAETVGNLDQKLASVTRNQARSIEEIDYFESMILQLPETTEEEYTPKPLVPEDLVLEETSVVITSQRPRRGNARKGKQRRDFQRDILPGLVSLSKHEVTEDIHMFDGFMRAATGSSWTPAGLARKKTGSRGRPRRVVTIPEPVYYPSVQQHVGNNNGEIEDRSFAGWGKMTRRPRRQRCPSSSTVTTTIGEKEDSAATRRSYSFTEASCMQHWRKNWDPQRKNRLTSSTFAQAIGFWPNRRVQLWLEKIGAIEPFSGNAATCWTKIKELEALNRYHVLTGHDFVFPEFVTLTEDENWLGASPDGDMYGLVSEGSKGMLEVKCPYGEGYPWKKVPWHYVPQAQGLMEIVGRDWLDLYCWTVNGSSWFRIERVMLGVFKAPKTNVVV >A05p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12391355:12391920:1 gene:A05p025500.1_BraROA transcript:A05p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASSKTKALALPLHILGFEIDELSTTRVTGRLPVSQICCQPFKVLHGGVSALIAESLASIGAYMATDLKRVAGIQLSINHLKSADLGDIVFAQASPVSSGKTIQVWEVKLWKSRKESHNKTLISSSRVTLLCNLPTPGHAKNVSDPLKMISKL >A07p028990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16306395:16311420:-1 gene:A07p028990.1_BraROA transcript:A07p028990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPPPANVVESITSFQLHRNLNLPPGYGFHASDAQLLQLFLGPFVDERELKTCPIHNITGDVYSSSPDVLTGAYEHDGEDKWFFFCTNKYDDDVTSRAGRVIVGGGGEWVKMGPSKKLFANNTLLGFKRTQDFYVQGMDLSYWRMEELSLRAKTFKRRNGGRNKHNRGHVKPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASVYDGYTLPKLYAKTQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFVRRKEDAPKPGQPGQAPRPAGGAPAAAHSNYHTVTRQSLITPGIKQPSQVRVVSAVMFLASQPLKRGVCKGTIVMTDEIYYTKENEETNKNGTYKRAIRHELEVKSRIYKKF >A08p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21111703:21113045:-1 gene:A08p035810.1_BraROA transcript:A08p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRDTPIKLFGWTITSSLSPVLKDSSDHSMEYSSSSSFPSLGLHMMNNQSATDNSEQDITSLNISSDLNKESKETSENSYDQHSEITTTTTSEEKTTELKKPDKILPCPRCNSGDTKFCYYNNYNVSQPRHFCRHCQRYWTSGGSMRSVPVGSGRRKNKGWVSTDNYKHISSENNDYNSSSTKILSFESSDSCISEKGKHQPSDSNITKYSVSQDFKKFHGFLPVLVTSSVSPPWPYHQYPLNPSFYHIPVYWGYTVPVSSTLETSTCLGKRTRDELHTKMLESKDTSVRARLDSPSESINDDVSVEDRATQQRATTGRACNVKHTLRRREIQ >A05g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18347427:18348937:1 gene:A05g506430.1_BraROA transcript:A05g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRKPGAPTYSQLFHDGIGTSSSGPSSSEAVPDSQTSQRRAQNERRAALGMPIWNPEDADPDRSQPSTATDYFDNM >A07p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9763612:9765604:1 gene:A07p015770.1_BraROA transcript:A07p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVLMFVVLTMGLNVSQATSRVTFHEPLLADHHQQWMDRFSRVYNSELEKQMRFDVFKKNFKFIEEFNRKGDRTYKLGVNEFADWPEEDFIATHTGLRSTGGFSPAGYFYEMEPYRNRNVTDVARHETKDWRKEGAVTPVRYQGRCGGCWAFSAVAAVEGVKKIAGGNLIPLSEQQLLDCDRGYNNGCRGGIMQEAFDYIVQQGIASEEAYPFSEQAYPFLWTDEMCRSSAVVASIRGFQAVPNNNERALLEAVSRQPVSVSIDADGPGFMHYSGGVYGEPYCGTRVNHAVTFVGYGTSPEGIKYWLAKNSWGETWGENGYIRIRRDVDWPEGMCGSLKLHPIIFQETTIADYHQHWMIQFSRVYSDESEKQMRLKIFKKNLEFIENFNNKGNQSYKLGVNEFTDLTDEEFLATNTGYQ >A01p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21756331:21756841:1 gene:A01p040720.1_BraROA transcript:A01p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVHNLASFEQAFDAFKGVTYLPPLGISVDLFPWICWNFGMVRKIVLFKILCLSIRNAAWNQCSKAAGCGWLFQNSNGFYIGQRLCLEQHVVSPFQAKALAVCSAMYNSKDFLRLRSMKNMYQIKLSNAY >A07p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24216066:24219390:-1 gene:A07p044390.1_BraROA transcript:A07p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSRRSKPLAVTIFMAIAFPMMINALDSPAARKLDEEPIKCTPCLQKPPPPPPSPPPPSPSCPPPPRPPTPPKTSYCPPPPSTYIYMTGPPGELYPVDQQFGAAAAKSFRVVKVSGLIAFGVMVFHKKKTMAMMSKRCSHLLSLLFVSTLLSFPFITISETPCPYPCYPPPTGGGSTQPAGYYPPPTGYYPPPTGYYPPPTGNVPNYPSPPYVGGDSGGGYYGPPPPDPILPYFPFYYRKPPHQTDQSSSSSVSVGSTVKIVTVASVLALLLGYKCNTTNVENVTFGWCDFVGGCYGMDYCT >A10p022080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14653067:14654517:1 gene:A10p022080.1_BraROA transcript:A10p022080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSVTLLLWSLLLLGTLSAIQAKKSKENLKEITHKVYFDVEIDGKEAGRIVMGLFGKTVPKTAENFRALCTGEKGIGKKGKALHYKGSSFHRIIPSFMLQGGDFTHGNGMGGESIYGETFADENFKLKHTGPGFLSMANAGQDTNGSQFFITTVTTSWLDGRHVVFGKVVSGMDVVYKIEAEGNQSGTPTSKVVIVDSGELPL >A09p080560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58924982:58933999:-1 gene:A09p080560.1_BraROA transcript:A09p080560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSECSKVPNERNRRLSGNRTDWSLATLFKSYLKNLLDEGEEESILKSWTRNGDCCIWERVKCSDAIAGHVVDLSLGRLIPVAFESETRSLNLTLLHSFTQLQSLNLSWNWFTGYKSFGRLEKLITIDFSHNMFDNSIVPFLSAATSLRTLYLESNYMEGVFPPQGYSKGKLKTLDLTYNLFSDFSQLKGLENLQELAVLKLRGNKFNHTLSTHALKDLKKLQELDLSDNQFTNLDGGLGLVIPTSLQVLDLKRNRLSLTPEGYIGICRLMNLRELDLSSNALTNLPYCLANLTHLRTLDLSNNQLNGNLSSFVFGLPSELEYLSLLNNNFNGSFLLNSLANQTRITVFKLSSTVSTIQVQTESSWAASFQLKILHLSNCSLGSNMLGFLLQQHDLCFVDLSYNNLTGTFPAWLLKNNTHLQTILLSGNSFTKLQLPTLVHGLQVLDISSNMIFGSVQEDIGIVFPNLRYMNFSSNHFQGTIPSSIGEMKSLQVLDMSSNGLHGQLPKPFLVGCYSLKVLKLSNNQLQGGIFPNHANLTGLVGLYLDGNSFTGSLEMGLLKSKKLTLLDISDNMLSGMLPLWIGRMSSLAYLYMSGNQLKGPFLFQLQSRWLEVMDISHNSFSGPIPKNVNFPSLRELRLQNNEFTGSVPGNIFNAAALEVLDLRNNNFSGIVLNTVDEASKLRVLLLRNNSFQTHISEKICQLSEVGLLDLSHNRFKGVIPSCFANMSFGAEGYERVTSLVAVFDLSYITFLRNCQYASHLNLDDSVRNGYQAKPATIVDFLTKRRYEAYQGDILRYMHGLDLSSNELSGSIPDEIGDLQNIRSLNLSSNRLRGSIPDSISKLKGLESLDVSNNKLSGSIPPLLADLNSLGYFDVSFNNFSGEIPFKGHLVTFDVTSYRGNPLLCGFPTNRSCNLKRVTEPSESKRDKEEEEEEVGDGVMDMTHAGPVNGFIVLISWFIIFIASRMDSSANDSVGQPKFVYHRKKMIMRANERYWWWVKEKKQMALVLFMIVTVMLQLQMKGCVGCLEIERMGLLQLKSYLKNGFEVEKESMMKSWSHDDPSSDCCHWERVKCNDASGGHVVHLSLDGLIPYNEFQNQSLNLSFFHSFPQLQSLDFSFNKFNDLFDPINGHKSFQKLEKLRTLNFYYNRLNNSVFTFLSEARSLRTLNFSYNLLDGVFPRNVSRMTKLKILDLSFNRLSDASQIKGLENLVELEVLSLAGNTFNHVKSIQVLKGMPMLQELDLSYNGFTDFDKIGVLLPSSLHVLTLAKNQLSSIPKEICALMNLTELDLRYNALTNLPYCLGNLSRLRTLDLSENQISGDLSSFVPRLPPTLEYLSLFDNDFNGSFWFSSLVNHTRLTVFKMSSKLGMIQAHGESSWLPPFQLKMLKLKNFNLGSTIPSFLVHQNDLRSIYITYSQLKGAFPDWLVQNNTRLEAIRLNNNLLTELRLPSRLVHGLQFLDVACNMIYDSLPEDIGIVFPHLKFMNFSSNHNNGTIPSSMGEMKSLEFLDMSSNRLYGQLPTTFLRGCHSLIALKLSNNHLQGEVFPRHANLTSLVLLFLDGNNFDGSLGKGLLKSKYLILLDLSDNSFSGTLPYWIGKISYLSHLLMRGNKLKGQVPHQLQNLHLDVLDMSNNSFSGSIPRNLNVDYLRELRLHSNEFMGSVPSYLFKAEWLQGLDLRHNNLSGVILDTIANTSNLRVLLLRNNSFRTHIPDKICQLTEVGLLDLSHNKFKGAIPSCFGKMSFGAQTYDIFSPYDFFVGFSSFQSWRYPSALYLADTELNQAIQSPPKTKVNFLSKSRYETYQGGILLYMHGLDLSSNQLSGEIPVEVWDLKNIISLNFSSNCLIGSIPGSISNLKNLESLDLSNNKLHGNIPPQLADLNNLGVFNVSYNNLSGEIPFKAHLVTFDEKSYIGNPHLCGRPTNKSCNLERASVSNRAKEEEEGGGRVIDMVWFYWTCGAVYISTWLALFAFLCIDSRWSREWFYRVDLVVHHLQRFKDGCN >A09p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1683924:1686764:-1 gene:A09p002480.1_BraROA transcript:A09p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHSDAEAYSSDSKSPSASSDHRFEVDEIHDTEPIDDNDNDDISLVEDLYNDTELVDDGETLDQVVDDSEDEEGGGKGSVASSKQPCLLERSVRAVDMLLESDGSNDQECHTGKQESNCDVVAGFQGSSRIAADDSHGQGLDYLDSQEPGDATQAEALGFVDQLLMDKDLNLSPPVNLQETSLRRKSPPLSGAKGRQSLAKRIKTMSPTKKMSVFDWDCDDQCDVSGPRNSPVNGGNVTCFKKREASVKDDDLCEDKKVLAKRYMQKDSAEHNKMDAQLQEKASKEHSEPEEDFVDVGINTQIAAEAMSALLFAPCTIEEASESETRDQACNLSGRNNDTIEGSAPNKKRNSKKKRKFTMKERTGTNASATTCLLNLCEWRHPRAKRSRLTPRHHVPPRKSWGASLAKDRSETNTLSGRLVVSLSGRRQASSCQSGVDLDVLNHASPKKIYGRSHESSPDKDLPRPFLPKEIKRLGGSGKVGDFKWKDLRRRRNLAHVRVLFSHNLDDETIKQQQKIMGRLGISQASSSAESTHFIAERFCRTRNMLEAIALGKPVVTSLWLESCGQTRCLLDEKNYILRDSKTEKDGFSLRTSLARAKQHPLLKGLKVCITPNIKPDRGMIAHLVKLTQGQVVEISEIIAAADREFPDDLLIISCEDDRDLCLPFINQGAEIYTSELLLNGIVIQKLEHARYGPVLIYHRSPPHSDIYNPSIISSKRNQRNTKFAATHRDLLVGASNE >SC205g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000064.1:94089:94661:1 gene:SC205g500030.1_BraROA transcript:SC205g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACAQVLAKTILTGALKPKRVNSSLQYACPSYQGKMLTLGWMMESRASISTTWTNQTDVDSPVHQNSSLCPDQYTDQSTGRASMLICYTDQYTDQYTDSPREGPAC >A08p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20094342:20095775:-1 gene:A08p033420.1_BraROA transcript:A08p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFIKLSPWSHALIHKKRFRLFSSSFTTPYLLLGTTLKNNLPDGSDVRDVLFSNITFPEELAGSRQIGSARGWGIFSNDHDRSLCISDLYSSLGPKSTLTMIHLPSLVAVHSNQTNAVWNVAMSSSPSDQDCVVAIKLLDRQLSLCRPHSDMRWTNVGEMLAENNLQKLENSTLMYSKRERRFYLPGPGGNSLYSWDLHLKKNKVPSFHELLFRDLPELDDSEWKLLGWCCRTEHLERLLNYRIAISRTLVQYAQRFFSSSHEGSNYTTRRFMVFREKKLTEGRYMHYTEDIGDVCIFISMSEAFCVEASSCPGLKPNSIYFIGHGFGIYNIADTRIHHFQAPEGAPTSFKDPYWLPPSRI >A09p049350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43683449:43684558:-1 gene:A09p049350.1_BraROA transcript:A09p049350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKCSLSNRRRSFEDDVPISDRMFEINSHISVPCHLEQCLNLKTGEVYYINRNTGINNNNNAYDDFSGESDVTVVSEDDSSYHESQESSSESSIENHEEENEVLVVAGCKACYIYYMVPKLLKDCPKCAAQLLHFDRNHSASP >A07p050650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26991129:26991783:1 gene:A07p050650.1_BraROA transcript:A07p050650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFTVVDENRNLRVNRIRLEKQTGSCSLTKQNLVSIYCNEGFGLGIKQDRYATTVLGFKISMSTMVAPTAMQKMAHPEEGCSITKLLLQTLSSWATSSVEEVGSTGPGIRFFQLYVSSMARYNYSLMNVVAQLVRRAESAGFKAIALTVDTPRLSHRESDIKNRT >A01p008590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4171223:4171744:-1 gene:A01p008590.1_BraROA transcript:A01p008590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTDSQTSATMSDTSGESSIDPIFHILRLIPFSFLRPPRLRLKLPSFTLPSPMTVFALILLTYFLVISGFVYDVIVEPPGIGSTQDPVTGTIRPVVFMSGRVNGQYIIEGLSSGFMFVMGGIGIIMLDLALDKNRAKSVKASYATAGVTSIVIGYVMSMLFIRIKIPGYLH >A09p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8919748:8921259:1 gene:A09p017260.1_BraROA transcript:A09p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELYIFDGSSSSSSFCHHSSSTEPDMFSSDTTTTTTDLFCNNPYLNPIDDQSLNFFDNFTPTTHHLLSSSPPLSQLQTLNLSHTNTFPSFESFDAVKTERPLFNSSVMEDSSSFPNQNLLGSPENSILSDHMRRVYSTGDLQNLRMDTTGQRSYSSPLGVENSWTTPFPGEEQSLKVGRYSAEERKEKISKYRAKRTQRNFTKTIKYACRKTLADNRPRVRGRFARNDEVLENPKIASSFSIQEDDDLWNLDGLHEEEEAFMSSFVECQSQPQRQMQYTTTSFW >A03g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30269074:30272076:-1 gene:A03g509260.1_BraROA transcript:A03g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVSIPKGLVLLELDFFLYIRHSLSNSPMWDLVCYLTCFSDLEDFWDDLPFSRLEVVWTSSGLLGSLLTKSSGLPRSRLDFSERFGFSDLEDFWDDLPVSRLEVVWKSSGSRLDFLKVVWTSCKVKSSGLLRSRLDFLKVFWSCLLKWNPSLPFRQRLVLHLTGLFQKFDFLDDLHFSHDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIIRLNFQSSQVTDFKVNCKNNLCVDQTTSSLQPDDFQVSRLQPDDFPSKSSDEQIWKKTRCHTLNW >SC138g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:133343:133969:-1 gene:SC138g500020.1_BraROA transcript:SC138g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDMVHQLSKISTRTDHGKEEHADMCGQRADICTDRQSTDSLWLSKISQDSPRGPKSPTVHGKGQRAESKDQHAHMCVLMDSHRRPMTGNDTVDVPRWRSEVLRILPIALDQQSKNTNAPIGYHSRLFNPRKQYLIV >A07p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10138289:10140181:-1 gene:A07p016460.1_BraROA transcript:A07p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEERNVSSGYAKPPWIFKGRQVTSYYCGALYQIHLVKASTARAFIPKEFRLVEAFGYTLGGFFLASYDDSPAGVFDELVMIAGIVWNPPTSCAWAARVLVNSNEACHHGRKEVGLPSQVARFSKVIPKRKRERAFGFLDTFGLGTTLSHPEDLMEVKVSEVDSAASANICNIQIRSDELETKLGKWMGPAIKMSLPSFSGNTKFNPNLLKYSCHIHCRVRPVSPAVVSKPLEDEADKNHTSQESLENERRLSVAVMLSKPIIALQFKDLTMQVEAPVVVHPSV >A01p012540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6154615:6155500:1 gene:A01p012540.1_BraROA transcript:A01p012540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATLAFPSFVASSTSLSTYTKPRLPKIQASLSNYPLASKIMVRNLPFSTSEDFLQKEFSAFGEIAEVKLVKDESMKRSKGYAFIQFMSQDDAFLAIETMDRRMYNGRMIYIDIAKPGKRDFQQQPITSGPPEKLQVPEESASSEVADCWY >A07p041560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22413990:22415864:-1 gene:A07p041560.1_BraROA transcript:A07p041560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGFSPVGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAREALRLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLELDLPVIMMSVDGETKRVMKGVQHGACDYLLKPIRMKELKIIWQHVLRKKLQEVRDIEGCYEGGADWFTRNDEAHFLGGGGEDVSFGRKRKEFDFEKKLLLQDESDPSSSSSKKARVVWSFELHQKFVNAVNQIGCDHKAGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLEKGKELKCYSGGVKNMDSPPKDSEFNTGHQSPGKNSYAFSGGSSDPKQLASSSVSDPSSDVHMPPKAKKTRIEFNPPISSSCAFESLLPWSDVPDPLESKPQILYGSSFLQQQPLPSQSPYVANSAPTLMEQEMKPSYETSVNADEFLMPQDKNSTVILQDLDLSAPSAISSINVTNDTESILRSLSWELPESHHSGFIDTDLDFSWLQNEHFLANTSGNFQFQDYSCSPSLLSELPPHLWFGNEPDEYTLMVDHGLFIS >A03p048450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21902898:21904137:-1 gene:A03p048450.1_BraROA transcript:A03p048450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGP9 [Source:Projected from Arabidopsis thaliana (AT2G14890) UniProtKB/TrEMBL;Acc:A0A178VSX2] MARQFAIVAICIVLIAGVGGQAPSAPPTTTPAPPTTTTPPPAATPPPVSAPPPVTTSPPPATTAPPPATPPPVATPPPATPPPVATPPPATPPPVASPPPATPPPVASPPPATPPPAPLASPPAQVPALAPTTPDAPSTSPSSSPPLPATDGPGPSVEGPGPSTDSNDQNGASKTVSSLVLGSVLVWFMI >A01g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17219010:17224349:1 gene:A01g505780.1_BraROA transcript:A01g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIREDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDQTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFLKKKKLTPLMMRDPSATTLGLADSFGRLFGNLRNSGMQLSSTDAASKRTKKKNFFHNLKFEMNFLTTDINFRGTNLCLSDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPACVLFLKQAMNTNLS >A06p051280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26977682:26977933:1 gene:A06p051280.1_BraROA transcript:A06p051280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IDA-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G25655) UniProtKB/Swiss-Prot;Acc:Q29PV4] MFVSVYFVMILLVFSSRNAAARMGTIKVSEIEIAQARSRTPRHEFTEGFRFKNRELHFLSKRVLVPPSGPSKRHNSVVNDLKH >A07p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1398970:1399911:-1 gene:A07p006870.1_BraROA transcript:A07p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGSQKKLILLVLAFACLSSSGAEAWSWSWSNGSGWGWGSDGSSSSSSGPGSNSDGSGRSWGSNPSWGWIWGSDGSDNSGSGSGSNSADSGWGWGWGSDGSSGSGSGSGTNPDGSRWSWSWNPRSGWSWSWDSNHNDSEAPNSSGTDSEAPCSSSGSDSEAPRNIVVGGSDGWKKGLDYKEWASKNAPFYVNDVLVFKYDKSAKRRNNVYLFQDPWSYMNCDLKNAKKIGLTHKRSEKSFKFTLRQNKPYFFASGEHDGDYCTNHNMKFTLFPVPHHSE >A07p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10911609:10916287:1 gene:A07p018160.1_BraROA transcript:A07p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKYVCKFCNKKFPSGKSLGGHIRIHSNEYSVASDRYNGKNPKLKNNNNNNNKRLVEQQQREQHCCRECGSNHMDCHCVGEKMVMDSQSDTETTSSAPTRKRSKKLMKQSEAFSNGSSSSASEIDQEHKDTALSLMMMSIDSKGHNLVVNSLAESSENNSEILETKASSGEQLNVKSQGLETDKVAVDDQLRSANDADSYSSDSDYFMNGPKKSDSDISVDGSLRNTELNSFKNGDELGVKEGGSKYQLRKSKRVLPSSYESDSCADRNMKIHRSGDCKMVKKAVGANRSSKVHECPICFRVFKSGQALGGHKRSHSIESQEQKIKHKAAADMPMSPTYLKRPIMISSLLLFIVFIASPTVEENLKASRNFPYRTHPLAPRVHHPYDVSPQGSCDSFTRPYARSMCLELQRIHRSTKKQPLVPPPPPEIDPRYGVDKRLLTHFLAFLESTCSRDLCPKTKIHFFFAMEQCIEKRFVCKFCNKRFACGKSLGGHIRTHMSNKNSADSDEDEHTKLMFDENGGQSSYGLRENPKKNKRFVDQREMMALKHHQHQLQLLYCRECGKGFPSSKALCGHMASHSEREKIVMDSQSDTEASLSTIRRRSKRAVKHHHGSSIMNQYDAASSDESEIEPEQEQMALSLMMLSRDDSGFKKGHNLVVNSFAESSDNNSVILETKSSSGEQLRKIFKVKESCKKDKLGVGVDHLRNGEDNGYVSDNSDSGYFRNGPKKLDSDVTVDGFLRNKAAMGFNSSEDKSLNRFRTGSDRSSTKYDLRKSRTSFPTYGRKKMRYEFTESVYDSGDQHSLETESCAETIKIHSKPPMVKKAKKKSKGHECPICFRVFKSGQALGGHKRSHFIGNHEHRTLVIQQHQVAHEMHTLIDLNLPAPID >A04g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11676380:11678405:1 gene:A04g505480.1_BraROA transcript:A04g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYHFLRPAIDFKGMMSGFRHAGVSKIGVGSITCYHQIRAYGLHGSAQASNRRLGLRITSRRTPKTAGNKLDRRETNEREPPSLTVDSSTPSPVFSGTHTSHRDNYLTGVSVGDCPRLGPALQKLQHQNLKCTEESSLEKKAISSLAGTPVHWHTTLEEVPSGVPTIIIAHEFYDALPVHQFQQFILKKQHEALQKQQGQSYAPNEEMDESLHVATSGRSHGHGEFEFSEIFSSHSEISSVFYETMRRSPFSLGVQQCVDPDRWDHCFHIVGLLLVIETLSTFLRALRLHLVEFQNKFYAHFSFVLTGNEDD >A02p005950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2539926:2540349:-1 gene:A02p005950.1_BraROA transcript:A02p005950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVKIISTDCIPNYPDCNLPTLLAYRHGAVEGTHVGLKSVGRRCTPESVALVLCQSEPVLNDGKSGDDDSSREAVMAGVRRQFIERVVKDHEDKDNDDDGYNSDYNTLKSYLLRL >A07p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:639915:642374:-1 gene:A07p000550.1_BraROA transcript:A07p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDELEAMRAHFPLSFGKTSIVSPPSESIHSSTRRADARASSDSKPNSGFPSLSSSSNSWIQSVRRPKRNPNSTGGAKSSLSPSEDDVSVEDDGVMVGPPPPPPTRDGNDSDDDSDDMIGPPPPPRAADVDSDEDDVDDDDDEENRYKIPLSNEIQLKGHTKIVSCLAVDNAGARVLSGSYDYTVRMYDFQGMNSRLQSFRQIEPSEGHQVRSLSWSPTSGQFLCVTGSAQAKIYDRDGLTLGEFMKGDMYIRDLKNTKGHICGLTYGEWHPKNKETILTSSEDGSLRIWDVNNFLSQTQVIKPKLARPGRIPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDIYVGKAHTDDITSVKFSSDGRIILSRSFDGSLKVWDLRQMKEALKAFDGLPNFYPQTNVAFSPDEQIILTGTSVEKDSTTGGLLCFYDRTKLEIVQKVGISPTSSVVQCAWHPRLNQIFATSGDKSQGGTHILYDPTRSERGACVCVARAPRKKSVDDYQPEPVIHNPHALPLFRDAPSRKRQREKTLKDPLKAHKPELPMTGPGHGGRVGTTGSGLLTQYLLKQGGMIKETWMDEDPREAILKYAEVAVNDPKFIAPAYSQTQPETIFAKSDDEEEGDAKK >A05p049150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28633075:28635553:-1 gene:A05p049150.1_BraROA transcript:A05p049150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYNVFGASRSGKTILVALFLTVGSFYAGSLFGNNEPIYVSQSALFKFPNKINLTHRVSPLVIPETGMNVCPLKFNEYLPCHNVSYVHQLSLNVSRREELERHCPPLKQRLFCLVPPPKDYKIPLKWPTSRDYVWRSNVNHTHLAQVNGGQSWVQEHGEFWWFPGGGTHFKHGASEYIQRLGGMVTNETGDLSSAGVVQVLDVGCGVASFAAYLLPLGIKTMSFAPNDAHENQIQFALERGVGAMISAVSTKQLPYPSASFEMVHCSRCRVDWHANGGILLKEVHRLLRPNGYFVYTSPPAYRNDKEYPMIWDKLVSLANSMCWKLVSRKVQTAIWVKEENVECLKKNAELKLISLCDVEDALKPSWQVPLRDCVQISGDTEMRSSSLAERLSKYPETLRNKGISEDEYASDTVFWREQVKHYWRLMNVNESEVRNVMDMNAFVGGFASAMDSYPVWVMNIVPATMNDTLSGVFERGLTGAFHDWCEPFSTYPRTYDLLHANHVISHYQSRGDGCLVEDIMLEMDRMIRPQGFIIIRDEEQVISRIRDLAPKYLWEVETHQLENKFNKTETVLFCRKRFWAII >A09p021850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11816782:11817836:1 gene:A09p021850.1_BraROA transcript:A09p021850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGHGLAYNLSPPLTAKTLNHSPLPPMAILSPSVFLNFRGDQLRYGFVSHLLDAFQRHGIMFFVDKNEQRGKDMTNLFVRIEASKIALAIFSSRYAESSWCMDELVKMKKCVDNGSLQVIPIFYKVRASDVNRKTGEFGEKFWALARVSSGEQIKNWMEALECISGKMGLSLGDKR >A10p005710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9432316:9434378:-1 gene:A10p005710.1_BraROA transcript:A10p005710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLAGGPWRNSISPYIPISAYVICLYNSQVTIKTSAIPQGSAMCNPPRDYGPSQSGMVRKEKTPYFQRYWTWADVARAVTVTMVHFLCFLAPFNYKWEALRFGLILVAVTNLLITFSYHRNLAHRSFKLPKWLEYPIAYAAVFALQGDPLDWVSIHRFHHQFTDSDRDPHSPKEGFLFSHVMWIFDTLYIKYKCGGRNNVMDLKQQWFYRFLRKTIGFHVLMFWTALYLYGGLPYLTCGGGVGGVLGYHVTWLVNSACHIWGSRSWKTKDTSRNVWWLSIFTMGESWHNNHHAFESSARQGLEWWQIDITWYLIRLFEVLGLATDVKLPSEFQKQKLALVH >A06p034760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18836047:18839835:-1 gene:A06p034760.1_BraROA transcript:A06p034760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYSRNISTVEDNDGDIPAGAAQLPNHTDNNRRTSIPNSPAASSSSEVNPYTISPFQSPLPAGVAPSPARTPGRKFKWPFPPPSPAKPIMAALRRRRGTAPQPRDGPIPEESEEVDDHGRGGGSGGGERLDKNFGFSKNFEGKYELGKEVGRGHFGHTCWAKAKKGKIKGQTVAVKIIAKAKMTSALSIEDVRREVKLLKALSGHRHMVKFYDVFEDADNVFVVMELCEGGELLDRILARGGRYPEADAKRILVQILSATAFFHLQGVVHRDLKPENFLFTSKNEDAVLKVIDFGLSDFSRFDQRLNDVVGSAYYVAPEVLHRNYSTEADIWSIGVISYILLCGSRPFYGRTESAIFRCVLRANPNFEDLPWPSISPIAKEFVKRLLNKDHRKRMTAAQALAHPWLRDENPGLLLDFSIYKLVKSYIRASPFRRAALKSLSKAIPEEELVFLKAQFMLLEPEDGALYLRNFTTALTRYATDAMIESRLPDILNLMQPLAHRKLDFEEFCAAAVSVYQLEALEEWEQIATIAFDDFEREGSRAISVQELAEEMSLGPNAHPLLKDWIRSSDGKLSFLGYAKFLHGVTVRSSSSRPIRVLRFRFWQGFLPLPARLAGEFDGKFGGPKGGLGDGSVGASYKAYLELSFSSAG >A07p046450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25062068:25068210:1 gene:A07p046450.1_BraROA transcript:A07p046450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSVPRSGAVSKGYNFASTWEQSAPLTEEQQAAIVSLSHAVAERPFPANLVSIEVHENVHRPENGLSVSVEDTHLGDSGAIEAVLVNTNQFYKWFTDLESAMKSEVSDSEVKSGGDITTEEKYRHYVDTLTDRIQTCDDILHQVDETLDLFNELQLQHQAVTTKTKTLHDACDRLLMEKQKLMEFAEALRSKLNYFDELENISSNFYSPNMNVSNSNFLPLLKRLDECISYIESNPQYAESSVYLLKFRQLQSRALGMIRTYILAVLKTAASQVQAAFHGTDGNKASVSEGVEASVIYVRFKAAASELKPVLEEIESRSARKEYVQILAECHRLYCEQRLSLVKGIVHQRVSDFSKKEALPSLTRSGCAYLMQVCQMEYQLFTHFFPASSEEVSSLAPLVDPLSTYLYDILRPKLIHEANIDLLCELVHILKVEVLGEQSARQSEPLAGLQPTLQRILADVNERLTFRARTYIRDEIANYIPSYEDLDYPAKLEGSPNTTSDNNLGDDENADVFKTWYPPLEKTLSCLSKLYRCLEPTVFTGLAQEAVEVCSLSIQKASKLVIKRSTTMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQIDAKKELEKCLKTTCEEFIMSVTKLVVDPMLSFVTKVTAIKVSLSSGTQNQKVDSVMAKPLKEQAFATPDKVAELVQKVYAAIQQELLPILAKMKLYLQNPSTRTILFKPIKTNIVEAHTQVESLLKAEYSAEEQANINMISIQDLQTQLDNLL >A03p008680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3489927:3496069:-1 gene:A03p008680.1_BraROA transcript:A03p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKKKADSKNKRVHSFLLSAMETQMMKLTKGSVKTDSLPIDLMMEILKRLPVKTLIRFMSVSKLWASTIRSRDFMKLFMDVSLTRPKGLLFLFGRSGCCGLVLSQNTHESSLVTIFRVSCYSPQWSTVSPSVHGLICYAQATRLVIYNPCTRRSITLPEINTWRTRPIRYYLGYDPIENGYKVLCAMLGGGVHDLVKEFRVLTVGTESSWKMIKNNIISHAPFGRELCISGILYYQAFTGRKKDLTIMSFDVRSEKLYPIKGPNTLMCSKSSKLISYEGKLGVIFYIENGVSLCVLEDAAKEEWSTKTFVLSTAVPPINWNGKCTETDTGEIILAPLLLRTSVVNLVYYDMKTMSENTVYIRGNTQGNTESIKGYHECCYFVESVSSNLRLYCIKLDTHEPPPHESSNNKEMRTAFSLPAGRTAKSYIASGAGLGRGLGTAGYGGLTRKDPPEIETAAGRATAGRSKRKNKNVFIRFFLSAMETQRMKLINIKSDSLPLDLIIEILKRLTVKTLIRFLSVSKLWASTIRSRDFMKLFLNVSLTRQKGLLFLLRHGYCGLVPSPKKRMNHLLSQLSVRVATLQNGPPFLLLSTIDTWRRPIRYYLGYDPLENGYKVLCAMLGGTHDSVKEFRVLTVGTENSWKRITGNNIIPHAPFGHALCISGVLFYQAFTGTKMNDLVIMCFDVRSEKLYHIKGPSVFLRRLCSKVISYKGKLAVVFYENNFRLCVLEDAAKEEWSTKTFVLSKIVPAIKWYISKSWVTETDTGEAITVPQFLHASVFNDLVYFDMKTSSKKTVYIRVNTEGNKGYYVESVSSNLVENLMFL >A09g513240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40264885:40266579:1 gene:A09g513240.1_BraROA transcript:A09g513240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNWSNNFFHSKKRSYDPPSIDEAATPSIDGHFESKRSKLHPNRKRKPRWENTDVSKPTMTEQYNYNKVEIDELVEEIYRVIRTSHDYHSKRFDDIYYPFNNNISWLTTRTDEMKNLAMLQKQHGVGARRSKSIDTHTQTSIDASIQASIDAHFAQFEDRLQSFTYRLDGVYYPLRDRRRTRPSIDGDNAARQSKLITEKTLQDKLEEITFSQDLLKENVYQELNDISETTHARLGMQQRIIGNLQHRMHAKCLEEPKLTSNLFELNLLVLGLGIYWIGILLQVWK >A02g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14930453:14930829:-1 gene:A02g504570.1_BraROA transcript:A02g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEYAEKLRQVKAVLEERGNLSGIYRKVQLKPLKWDDEGEE >A09g515080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44783824:44786658:-1 gene:A09g515080.1_BraROA transcript:A09g515080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLMQVIGGLAKMSWKQEECLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTKQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A03p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3870257:3871269:-1 gene:A03p009650.1_BraROA transcript:A03p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQSTSLPILPSPFHKLEVSQNRRELTIPRARTRRYPLASLCHTSRSNRRTISCVAGAFPDDGDREASSSSPSTSSSSIFVKGLADSVSEGRLKKVFSQFGQVSHVKIIVNERTRQSLGYGYVWFTKKEDAQLAVDAMNGKFFDGRFILVKFGQPGLSRRRRPHPDFLFVNK >A09g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22932426:22933876:-1 gene:A09g508060.1_BraROA transcript:A09g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDEQHVSGELSRVEEADISDTSSASIATTTTTSTDGTTSTSTDGTTSTPTDGTISTSTNGTTSTSTDGWTTASTDGTTSTSTNDTTSTSVDDSTLKSIDISSCDPTSDGNREITIEDFLELEDGEKLEDLDSSREVTMEDFLELEEWLEDMDQNSKKKLNDDQHTSRGNLETSPKASIDRHQPDEIDRQPPHIIDQRPPYIIDRQSADSIDLHPHSIINRHPPDCIDRHPWLDELPRYIVELEQVEERMYMSKASHPSVHEHQRTPICAEKAVGFHKRVKRIHDPVRIVVPCAIFEVEFSIHQIKHVEASQRGLPFRDEVDKGPVKAASIDIDRIPSNDTNNPVSIDTNTSPSIDIGRISEQKGFDVCGNLFDGETTTRSNKSGGKKKRNWKKRKKTKGGSQLSLIPHFLDGVRKCRVRSRCFSQSFAKL >A02g512770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35029395:35032361:1 gene:A02g512770.1_BraROA transcript:A02g512770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEYSDEFPRKFRGNPKFGVPRNFLGIYRGNSEEIIFPRNIPRKYRGNHISSEYTDEIPRKSYFFGISINLYCSSEFPRNIPRKFRGTHPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKSKFAAKYFAKIEIENTEEIPTENIRRTLGFINSKHIFFPISLFFLSGDLSLLPAKCIPRDIPTISSSEYSEDFPTNLWSSEFPRKFISSEFRRKFPRDFRGKMNFRGVISEDFFRRYVVGIALFRRYTDDFFPQYVAVFL >A03p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16981249:16982679:-1 gene:A03p040710.1_BraROA transcript:A03p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIIVFFSMYLVMAGSAPEGTQFDARQFDQKLNEVLEGQDEFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCQGLDVIQQAQSGTGKTATFCSGVLQQLDFTLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLKRQSLRADSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A07p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10373460:10376223:1 gene:A07p017030.1_BraROA transcript:A07p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSTSLSSSSPFLSNHSSLINSDPSRRSLSFPQGINLDDLCVRSQRKLVQSSVAVGDGFGFCTILRLFLMRLPLVLGAVITKSSSAEIKTEPLSIPSQEADKLVIESNGGEQQSTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIYGYARSKMTDAELRDMVSKTLTCRIDKRENCGEKMEEFLKRCFYHTGQYDSQEHFVTLDKKLKEHEGGRLSNRLFYLSIPPNIFVDAVKCASSSASSVSGWTRVIVEKPFGRDSKTSAALTKSLKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIQNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLVAEDIRNEKVKVLRSMRPIQLEDVVIGQYKSTTKGGVTYPGYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRSAEIRVQFRHVPGNLYNRNSGGTNLDRTTNELVIRVQPDEGIYLKINNKVPGLGMRLDQSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIEEKKTIPEFYPYGSRGPVGAHYLAAKHNVQWGDLSLDQ >A05p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1562409:1563146:-1 gene:A05p004030.1_BraROA transcript:A05p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POLYCHOME [Source:Projected from Arabidopsis thaliana (AT2G42260) UniProtKB/Swiss-Prot;Acc:O48533] MPEARDRRERSVDYPAAFLNRRSHGILLDESPLRSPVQRLPSSESLVFGRGGFARGNLGIRRTGGGGGRRRGRARASASVLPSWYPRTPLRDVSSVVRAIERRRARVGDVETPTPQQLEVVLDDSLAPVSGERNYSMVTPGPSVGFKRPWPPSTAKVHQILLDITRQSSAEEEEEALTPQKKLLNSIDKVEKVVMEEIQKMKSTPSAKRAEREKRVRTLMSMR >A08p034440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20504361:20506675:-1 gene:A08p034440.1_BraROA transcript:A08p034440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELPDCLYEGNQPALITPSSPTPNHSLYLSNLDDHHFLRFSIKYLYLFQKSPSSQTLKDSLSRVLVDYYPLAGRIKVSDVSAKLEVDCNGEGAVFAEAFMDITCQEFLEHSPKPNKSWRKLLFKVQAPSFLEIPPLVIQVTHLRCGGMILCTAINHCLCDGIGTSQFLHAWAHANNTNASLLVQPFHSRHMLDPRDPPRVTHSHPGFTRTTVEKTSTNFNICKYLQSQPLAPTTLTFTPSLILRLKKTCAPSLKCTTFEALAAHTWCSWARSLDLPLTMQVKLLFSVNMRKKLSPELPQGYYGNGFVLACAESKVQDLVSGNIYHVVKLVQDAKARITDGYVRSTIDLLEDKTVKTDVSCSLVISQWAKLGLEELDFGGGKPMYTGSLTSDIYCLFLPVAGNLDAIKVQVSLPEDVVKRLEYYMVKFLDGKDKEEDHSLA >A04p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22663589:22665326:1 gene:A04p040130.1_BraROA transcript:A04p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMRHDYFIDQSQRSRTKTEDHKRHFYQTGPTDIHIFKFENSYPCTILFNGGQEEARSDRFVRRGRYSYSSKEGSYSRNAPFYPKITQEFQVVTIGLVGGSDLNKISEQLGKTVTNDFDYCFTENGLVAHKDGKSIGIQSMKLFLGEDKLKELINFTLHYIADLDIPIKRGTFIEFRNGMINVSPIGRNCSQEERDDFERYDKVHNIRPKMVAELRERFAHLNLTFSIGGQISFDVFPKGWDKTYCLQYLEDFNEIHFFGDKTYEGGNDYEIYESPKTIGHSVTSPDDTMAQCKALFLS >A08g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6371411:6375384:-1 gene:A08g503570.1_BraROA transcript:A08g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFICEYPTLEGDLSSSKERSEAKPIIGVKRSLSDFHKAQYQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDILGVQEDFYKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFYLQIWHDLSTFQTIKKVPRKLIYPLKPSRFKKDQILYLEPKFHKRLQRLVSDFVTLLDMFPFLFFLSKIVLYIKYRSLGFKERFFVVLLISYITGQLSPLQPPSRPIAIRLSWPVRSRKVLESAHGQTLEATLSQQLIAIQELNDKISQLEKRNKSQGQKPQLEERRFGDVPEAGYVEPKPPDPSWITPHHTSSTHKYLTNSYLDFKSANEVQIYSFSGSNWPDDYLSWERTIDDWFSYYGVPKKEKLNHAIKQLTGNAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPDIRERYPRRFSSHGSKEAKRVVPQQGHRSLSHQDQTRPNQGHTVFYDQSQPYEVQKFMEKKNFVSQDTLARHKEKSDKPIFQEKAKVSPILDKFVYKLSPTGMSHLSLSKDVNTGHEVQKYTISTSLLRSKVVHDLSPRDKEILNPKKEELSSQGESSNSEDLKYQTCYRRHKKGHYAVVCPTKQALIETSIEKKTDLSMKSDSSIQSDLLVPNSCVMHLSLAKGVVTGIKEHEVKGEEPPGATLVVDQKMLQDTKLSMLLKEAKPVVKVSHQGGTNERYMLTEVPWKEPDHKLSHEPPHKRKPKIELSVVKCHSLRQEVVHNNHGQRLQRRQQTKTSCPKKKIILQLVAAIKKVENFSGFKEESFKEIPPDNLLLLGESTLMETRNVTTKTLKDHPLQKR >A09g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22316720:22317109:-1 gene:A09g507790.1_BraROA transcript:A09g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRGFDVSRSNTHFKLCDSVVSIRLNEFTKMVEVAAIAYPIPTEMFRFRTLDELMALANTNIHLPNIIGEVSDIRTTYNDHAHYHCSFYPFLRPLRHVSQ >A05p001440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:245382:246959:-1 gene:A05p001440.1_BraROA transcript:A05p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide adenine dinucleotide transporter 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47490) UniProtKB/Swiss-Prot;Acc:O22261] MSANSHPTTNVLCNAAAGAAAGVFAATFVCPLDVIKTRFQVHGLPKLAHPNIKGSIIVGSLKQIFKQEGMRGLYRGLSPTVMALLSNWAVYFTMYDQLKSFLISNDKDHKFSVGANVMAASGAGAATTIATNPLWVVKTRLQSLTTHRCKFRILIRLQTQGMREGVVPYKSTLSALRRIAYEEGIRGLYSGLVPALAGISHVAIQFPTYELVKTYLANKGNKSIDDLNARDVAVASSIAKIFASTLTYPHEVVRARLQEQGHHSEKRYSGVRDCIKKVFEKDGVRGFYRGCATNLLRTTPAAAITFTSFEMVHRFLVTHLPS >A06p001610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:709690:711048:1 gene:A06p001610.1_BraROA transcript:A06p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVRPVIVAPKGKFRRTFAKVLNIHKLTGVAPEDEMKKTNNVKLSESFYKLEEEYERTLTLEALLAKLFATVSSIKAGYAQLQHSQSPYDAIGIQKADNLVVSELKTLSELKQCFLKKQIDPNPERTLVLAEIQELRSLLKTYEIMGKKLESQYKLKESETLFLREKLEDLTKQNKLTEKRLNQSGQLCNPLENLHLSALNPTHFVAYLQHTVKSTRGFVRLMIEQMKLAKWDISMAVNSIQPGVFYYKQDHKCFAFEHFVSNVMFEAFHLPYFSTARSFKKKEKQSKAEEKQSKTEEREVFFERFTELRSMKAKDYLKARPKSRFARFCRIKFLQLIHPKMEEALFGHLHLRNQVSAGEFPETSLCSGFLEMAKRVWLLHCLAFSFEREAEIFRVPKGCRFSEVYMRSVAEEGLEEAEPRVAFTVVPGFKIGKTSIQCEVYLSDGGGPQG >A05g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13538448:13540664:-1 gene:A05g504860.1_BraROA transcript:A05g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSFAEEPDAFKRTEPDAFSRRGRMTLSEGRTGDTKGCGIAQRYYQTSIVSGCDAPLDHMSSNVKLDGKDKPQYGQIGHLAMVPAKAPFRTYVGLSSTLHGQSVRYGEKHEPRLKYSERSDLQAGSAPCTDLWTAVYHSLLLQKDLS >A03p032640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13817846:13824620:1 gene:A03p032640.1_BraROA transcript:A03p032640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLLTIVFFFFFFSILSQSLQPSSQNLEFTFNGFYPPLRDISVQGISSVTSKGVLKLTNFTTTDSGHAFYSKQIRFRDSPNDTVSSFSTTFVFVIRPLVPGIGAEGMAFVIAPNFSLPTAAPSKDLGLSNIINNGNDTHHVFAVEFDTVQDPLDDPDNNHVGIDINSLKSVKTSPAGYWYNNDQFKKLTLVSGKPMQVWVDYDGRTHRINVTMAPFRKEKPEKALVSIVRDLSSVLLQDMFVGFSSATGTILSEHFVLGWSFRVKREAPLLDLSKLPKVKQRSWMTWLALMFLVLLLFTIFCLIPWLVICSPICLVRCILRRRRKFAEEIEDWETEFSKNRMKFKDLYYATKGFKDKGLLGRGGFGSVYKGVMPKTNKEIAVKRVSNKSQQGLKEFVAEIASIGRMSHRNLVPLLGYCRRKDDLLLVYDYMPNGSLDNNVLLDSEHNGRLGDFGLARLCGHGTEPDTTNVAGTWGYLAPDHVRTGRATTATDVFAFGVLLLEVACGRRPIVVQDSVSGERVFLVDWVFQFWIDGNILGAKDPNLWYDYEVGETEMVLKLGLLCSQSDPENRPTMRHVLHYLRGDVMLPNLSPSDLRGSERLLGIPEVGFSESSLSTGGSSITNSLQSGGSLLSQFPESSSQALDFTYNGFLPPLTDISLEGIATITPNGLLKLTNYTMQKTGHAFYTKPIRFKDLPNGTVSSFSTTFVFAIHSEIPSLSGYGMAFVVAPNPRLRYATASQYMGLFNITSDGNVTNHVFAIEFDTIQSPKFSDTDDNHVGIDINSLISVESSPAGYWDEKGQFKNLTLISRKRMQVWVDYDGHTHQIDVTMAPFRNDKPNKPLVSVVRDLSSVLLQDMFVGFSSATGSILSEHYVLGWSFRVKGNAPPLELSKLPKLPRWERKRIYNIFKTWMPSVCIFLIPFLFISTLIILVRFVVRRRRRFKEELEDWETRLGKNRMKFKDLYCATKGFDEKDLLGSGGFGTVYRGVMPRTKKEIAVKRVSSKSHQGLKEFVSEVVSIGRMSHRNLVPLLGYCRRRDELLLVYEYMPNGSLDKYLHNNPEVTLDWKRRIKVIKGVASALFYLHEEWEQVVIHRDIKASNVLLDSEYNGRLGDFGLARLCGHGSDPQTTLVAGTWGYLAPDHVRTGRATTATDIFAFGVLLLEVACGRRPIEIQNENGDQRVFILDWVFGFWNEGNILDAKDQKLGMDYDRTEVEMVLKLGLLCSHSNPHARPTMIQVLHYLMGDAILPDLSPSDLRGNEMMRLEIHQGLSETGMFTCGSSMVNSIVSASSGR >A01p057270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32427348:32429684:-1 gene:A01p057270.1_BraROA transcript:A01p057270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDELPETELSYDQKKEIAKWFLLNAPAGEINYVAKDLKAVLSDEEVYNEAAMEAFPVYNKSHMICLEMPNRAGDVIVSSYSEITENEYLDPRTAQVAIVDHVQQICTKVRPADDEELASSYIEEFRCALDAEIQRYVSESYPKGVSAVNCVKGKDVDGPGMDFEFVVIITARKLSPQNFCNGSWRSVWNIDFQDESQMLDIKGKLQVGAHYFEEGNVELDAKKEFQDSTIFQSADDCAIAIANIIRHHETEYLAALEVAYSKLPDNTFKDLRRKLPVTRTLFPWQNTLQFSLTREVEKELGLGK >A05p047530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27969485:27981531:1 gene:A05p047530.1_BraROA transcript:A05p047530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSKLPSGKRNDSTKVFKSSKKPFKKTKDDVAARSEAMALQLEDVPDFPRGGGTSLSKTERKKIYEEVDAEFEAEERVSKRSKGGKPNKKRNPSEVDELGSLFDGGLTGKRPRYANKITIKNISPGMKLLGVVTEVNQKDIVISLPGGLRGLVRASEALDFTDFGTEDDENELLRDIFSVGQLVPCFVLQLDDDKKEAGKRKIWLSLRLSLLHKGFSLDSFQPGMVVTASVKSVEDHGYILHFGLPSITGFIKKSNDGNQELKTGQLIQGVVTNIDKERKIVSLSADPDSVAKCVTKDLSGMSFDLLIPGMMVNARVQSVLENGILLGFLMYFTGTVDLFHLQNPMCNKSWKDEYTQTKMVNARILFMDPSTRAVGLTLNPHLVGNKAPPLHVSSGDIFDEAKVVRVDKSGLLLELPSKPVSTPAYVSTYDAAEDEVKKLEKNFKEGNRIRVRILGLKHMEGLAIGTLKESAFEGPAFTHSDVKPGMVTKAKIISVDTFGAIVQFPGGLKAMCPLQHMSEFEVTKPRKKFKVGAELIFRVLGCKSKRITVTCKKTLVKSKLPILSSYADATEGLVTHGWITKIEKHGCFVRFYNGVQGFVARFELGLEPGSDPSSVFHVGEVVKCRVTSAVHGTRRINLSFMIKPTSVSEDDSIKLGSIVSGVIDSITPQAVTVHVKSKGLLKGTVSAEHLADHHEQAKLMMSLLRPGFELDKLLIIDIDGNNLALSSKYSLIKLAEELPSDISQLQPNSVVHGYVCNLIENGCFVRFLGRLTGFAPRSKAIDEPRADLSESFFVGQSVRANIVDINQEKSRITLSLKQSSCASVDASFIQEYFLTDEKISDLQSSDITESECSWVEKFSIGSLVKGTVHEQNELGLVVNFDNIDNVLGFIPQYHLGGATLEHGSVVEAVVLDLSRAERLVDLSLRPELRNNSTKEVSNNQSKKKRRRAISKELEVHQRVSAVVEIVKEQYLILSIPEHGYTIGYASISDYNTQKLPVEQFSAGQSVVASVEALQNSLTSGRLLLLLDSVSGISDTPHSKRTKNKFSCGVGSVVHAEITEIKPLEVRVNFGQSFRGRIHITEVDDVSTSDEPFSKLRVGQSVSARVIAKPWHTNIEKSLWELSSKPAILRDSSELNGIQVREQLEFVTGERVRGYVYKVDKEWVWLTISRNVTACVFILDTGCDAQELEEFERRFPIGKAVSGYVLTYDKEKKTARLVQRPLLDIQKSIANGGGCKTDNLDSNIPGVDATLFIHEGDILGGRISKILPGVGGLRVQIGSYVFGRVPYVVGRVHFTEINDSWVSNPLDGFHEGQFVKCKVLEISNSSKGTLQIELSLRTSLDGMTSDQLSEASNDNVNICKRFERIEDLSPDMAIQGYVKNTMSKGCFIMLSRTLDAKVLLSNLSDTFVKDPEKEFPVGKLVTGRVLNVESLSKRVEVTLKKGNSGGQPKSESYDLKQFHVGDVISGSIKRVEPYGLFITIDKTSMVGLCHKSQLSDDRIEDVQARYEAGESVTAKILKLDEEKQRISLGMKSSYFMNDDDVKAQPPSEENAKEDSMECDPINDLKSGDFGFQETGCERQSGTSLVLTQVESRASILPLEVDLDDIEQSGFENDQNEKLHVVDKDEKSKRREKQKDKEEREKKIQAAEGRLLENHAPESADEFEKLVRSSPNSSFVWIKYMAFMLSLADIEKARSIAERALRTINIREEDEKLNIWVAYFNLENEHGSPPEEAVKKVFERARQYCDPKKVYHALLGVYERTEQYKLADKLLDEMIKKFKQSCKVWLRKVQSHLKQDEDDIQSIVNRALLCLPRHKHIKFISQTAILEFKCGVAERGRSLFEGVLREYPKRTDLWSVYLDQEIRLGEVDVIRSLFERAISLSLPPKKMKFLFKKFLEYEKTAGDDERVEYVKQRAMEYADSTLA >A02g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1216434:1217906:1 gene:A02g500340.1_BraROA transcript:A02g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSSEAKIISQCFVKPKTVSETSKDPYNLSPMDHVMLSFQYIQKGLLFLKPSDGAIKPQDFMETLLQKLKDSLATALVHFYLLSGRLSTSVTDDASSYSVFVDCNNSSGAGFIHAKSDLSVGDIVGSKYVPLVVQSFFDHHNEVKAKVTELVDGVFVGLSMNHSIGDGVSFWHFFNSLSEIFSSQETIDNNIKLFCLKNPPILRQVSTGSVYSLPFSESPKSNPQFQTQVLQERMLHFSSEAVRSLKSKANQESGTTTMISSLQSLTAFIWRSITRARKLQSDQETTCRFVADSRPRMVPPLPMNYFGVYISLVKTTAKVGNLLENEFGWAASKLHHVVVEHTGEKICSEMKRRFNPPYALPSETFYDPTIVHMGNSPRYDNYGCQFGMGKAVAVRSGYNDKYDGKVSAYPGREGGGSIDLEVCLLPEFMEALESDQEFMSLVSPSSSD >A05p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:183516:187171:-1 gene:A05p001310.1_BraROA transcript:A05p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTDRSSSKDAELELELELELELYTIPAQSSWFVWDDIHEIERREFTEFFSESSITRTPKVYKEYRDFIINKYREDTSRRLTFTSIRKYLIGDVNLLRKVFLFLEKWGLINFLQKSDDLVVEESEAKIEQGTTPAGIRVTATPNSTRPVIAPPLVEERAEPALTFPPLTSYSDVFKKPALVCGQPCPSHSAAFYEHNNKSNLCDKCFKNGGENNSAELIGSSAAAAWTEEETLLLLESVLKHGHDWELVAQSVSTKSRLDCISKLIELPFGDFLMGSASGRLRSSVPTEDEHLSSPSNLVQQMKTDGQEHKETETREENEEDEPPAKRKRAAMMSDGDSYLMKQVAAMACKVGPSVTTAAAKAAIAALCDEASCPKDIFETTSDYTNSAADRSVGDKDTSDIEEQQEEKEGLEDLPVGLRMRVSVATALGAAAAHAKVLADQEEREMELLVASVIDQQLKKMKSKLKFLEELEVIMDAEEKVMEGAKETIIQERISVLQSAFSGALTHMSLFLSRLGVRRSSSVSLLLSKALSTNSLRRQTPPCSIMGAIPSENGLGRLEVAYANQRSVTELDKMIPLELVFKEYDDEKTLTIGASHGWIATLKEDGILRLVDDLNPVASDANPKRIPLPPLVTLPHCQTNIITNVSMSSSSPEDDEDCVVAVKFLGPQLSFCKPAGQSPEWTNIKIENPCFCSSSRVMFSDLEDTSSGHGTLAIPAMTPSCTKYSSKTLLSFTWPHYKKTAKNKEGVDIMKTEFLMVFKLDDEGNAVYTQDMGDLAMFLSMSEPFCVPASSFPGSMFRNNVIIYDYDEMGIVDVSDIPFYLHSASGPHSVPYQIPPQDIEN >A02p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7653589:7654422:-1 gene:A02p017030.1_BraROA transcript:A02p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYHLALATWLTDEKQATTPDPSWPCLNYLWTIDEAIVNALKEDGSLRFSWAARMNQFSRNFFPATEPTYRLDGTPQVTQFPQRLGISHIASGLEEPMLTHKPRLDPTNMREAKILVQVELDKPFSKFIALDDKQVNIYLVEVEYS >A02p030810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15726600:15729070:-1 gene:A02p030810.1_BraROA transcript:A02p030810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSCLVVLSLKLNPLFNPLKACSYSPPLHVSSYYSRRRFYSPVTVNAAKKTSQNISSEFDDRINGSLSPDSDSRFLDRQKALEAAMNDINGSFGKGSVTRLGSAGGALIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPSYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSAGKIKSSKGDEDIGLRARVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCVLDCAEIMEVVVKKGSWYSYEDQRVRLLMLDGEVHRSTPLFSSSSSSSVSRDEEEEDALDEFQ >A03p001630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:803551:807871:-1 gene:A03p001630.1_BraROA transcript:A03p001630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVQRALWRIMASRRPRLFSSPLSPSLHRHCSSLSPTPRFLSVTQSAKLSRWINFQLAKVLSQGLIQRNAISTRSFMSSTISTESFQESSATSKGYSSEQIQVLEGLDPVRKRPGMYIGSTGPRGLYVYEILDNAIDEAQAGYASKVDVVLHADGSVSIMDDGRGIPTDLHPATKKSSLETVLTVLHAGGKFGGTSSGYSVSGGLHGVGLSVVNALSEVTISLKNEDDDPEKNQYTEQFYAGGLSEYVSWLNTDKNPIHDVLGFRKEINGASINVALQWCSDAYSDTMRGYANSIRTIDGGTHIEGVKASLTRTLNTLAKKSKAVKEKDINLSGEHVREGLTCIVSVKIPNPEFEGQTKTRLGNPEVRKIVDQSVQEYLTDYLELHPDVFERIISKSLNAYKVCFLGNFLIAHQQILKNLIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILALGLGEKGEDFKLENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDAGCIYVGVPPLFKVERGKQAQYCYDDADLKKITADFPANASYSTQRFKGLGEMMPEQLWETTMNPETRILKQLVVDDIAEANMTFSYLMDARVDVRKELIKNAATRINLQHLDI >A03g509300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30321134:30321694:1 gene:A03g509300.1_BraROA transcript:A03g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSCSSGYGGENSSNNASEFSETEDLIRLDQEELSLRYGDTAPYPQQYPPQPEVEFGFPQVCYCGGAPQMATSYTRLNPGRRYYTCAHVDDGECHVHKWWDVAMMKEMRARDKHVLQLEEKVDCLNLMSDYDSDERVRRLEQLVCDLAKKKSGFINGFEVCIGGMVVVLVLMGVVIAFK >A08g503810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6501509:6501754:-1 gene:A08g503810.1_BraROA transcript:A08g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCWTYVSLSKMPRSDRKIDMDPALVGRMSLSRFRQGMEWIDRRHKEQWIGSLICHTAALNVSHN >A10p026640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16872040:16874712:1 gene:A10p026640.1_BraROA transcript:A10p026640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQVVILAGGFSSNLVPLVSKEVPKALLPVANRPVLSYVLDLLESSNLKDLIVVVEGEDAALKVGGWISSACVDRLHVEVAAVAENVGTAGALKAIAHHLTAKDILIVSGDIVSDICPGAVAATHTRHDAAVTAMLCAEPVTGPAESGGAGGKDKIKKPACYDIIGLDSSRQFLLYIATGVEVKRDTRLKKSILCAAGKMEIRSDLVDSHIYAFKRSVLQEVLEQKPTFRCLKQDVLPYLVRTQLRSDVFSDEKAVEENGSGHGKNNMQNNDGVSSQILSNAFLPSFHKVYESGLDIRKTHKCCVYIADESKYCVRLNSIQAFMDVNRDVIGDANHLSGYSFSAHHNIVHPSAELGSKTTVGPHCMLGEGSQVGDKCNVKRSVIGRHCRIGSNVKVVNSVVMDHATIGDGCSIQGSVICSNAQLQERVALRDCQVEAGYVVFAGGEHKGETFARK >A09p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5396957:5399183:-1 gene:A09p010600.1_BraROA transcript:A09p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRTRLSLLHLLVALALLSSLVIVKGESPYKFYTWTVTYGIIYPLGVPQQVILINGQFPGPKLDVVTNDNIILNLINKLDQPFLLTCYRLNGIKQRKNSWQDGVLGTNCPIPPNSNFTYKFQTKDQIGPYNYFPSTAFHKAAGGFGAINVYARPRIPIPYPLPVEDFTLLIGDWFKTNHKTLQQRLDSGGVLPFPDGMLINGQTQTTFTGDQGKTYMFRISNVGLSSTFNFRIQGHTMKVVEVEGSHVMQTDYDSLDVHVGQSLSLLVTLNQSPKDYYIVASTRFVRSNLSVTALLRYSNSGVPASGDMPPLPPGELVWSMRQARTFRWNLTANAARPNPQGSFHYGKINTTKSFVFSNSAPLINGKQRYAVNGVSYVNSDTPLKLADHFNIPGVFSTSAIQSVPSNSPATVATSVVKASLHDFLEIVFQNNEKAVQSWHLDGYDFWVVGFGSGQWTPAKRPLYNLVDALTRHTTQVYPNSWTAILVSLDNQGMWNMRSAIWERQYLGQQFYLKVWDPVQSLANEYNPPDNLLLCGKAIGRHL >A02p012490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5459734:5460102:-1 gene:A02p012490.1_BraROA transcript:A02p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALQHICFAAPVAVEEKNTSPPRETMIIEKDEQVEGMDIEEEEEEDWIEDLMGIYASKGLETVLTMIIYSKDQKPPPPTSARLGDISILTELDEEWTQELTRDEDQLAYNVQQMDQDYIS >A02p036440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20906031:20906947:1 gene:A02p036440.1_BraROA transcript:A02p036440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGVVIKVHAKVIEVEGPRGKLTRDFKHLNLDFQLIKDAVTGKRQLKIDSWFGSRKASASIRTALSHVSNLIAGVTQGFLYKMRFVYAHFPINASISGNNKSIEIRNFLGEKKVRKVDMLDGVTIVRSEKVKDEITLEGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGKIAVEE >A03p067080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28715431:28716615:1 gene:A03p067080.1_BraROA transcript:A03p067080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAGKRKSRDEAVTLSDLNDDVLERVLSHLPTSSYFRMTSVCKRWKSTQTSTTFKLACSRVPSRDPWFFMIAKDSTSSSSSFVYDSTENSWKNLNRSLLLRHRRDFTPVASSGGLLCFRSSVSGDFLLRNPLTGSSIDLPWQDDNKPLQAVAMTTSYKLVTISGEVPNLCFRFYESSSCSWSKEFELVMKNNTEDGDDTETVYFLSKSGNVVVASNTLLRTPSKQYSSVITVKDNVETVYFLTSHGTIIACDLAKRSFTHLPKLLPPFLEYSIDLVECNGTMFVVLLSEFYESASLRIWKLEDMNWVHVGMLPPAMSHELYGRKGDINCVGGAGGNKILVCFNADLPQVCCRYFVYDLVGEEWSELPRCFKDGEAVEFVSALSFQPRIEATV >A09g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28840726:28844549:1 gene:A09g509920.1_BraROA transcript:A09g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWKDYSRHRSTSSTDSTECNAVRILTHEEFAAKHPHPPSPFYEKIDRSVNSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITFTKKSDPGKFAIPCIVKGVEFPHSMCDTGASRKVINSVEYGKELGFIGACHCGAEYESEYETEYSESIDTPAFPSINSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYKHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLEIQNFTNTFQNRSTLCPPHRSIPTLVQQNNRSHRSTHRKEHRSIFAPQRKFRSRRIFPPSLEKSMKSNHLKNTSSEKITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPDGNERAMDGSILQVSREDIADILHVTNGPDNLFSQQRGTPDVILTDPNNHAGVTTTETNPDLSRQPKGQASIDGIMETSIDRVTPTSIDMDNPTSIDRRYECGSRAFDMYGAKKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDKIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEIVFGICGAQEKLGEELKTLQLEKEATTSASIDAPYSTSIDVSLPTDQILAEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSASIDRLRGPWIDGKKHVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNEHIIASIDAESTTIGEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRSFIASWSRMRRDDVDACFPTSSCFATQ >A02p014880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6617498:6620688:-1 gene:A02p014880.1_BraROA transcript:A02p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVWLSVIFCLFTSASSTSSDDPVKCEFGNTMCTVTNSYGAFPDRSICEAAKVEYPKTEAELVSVVAAATRAGQKMRVVTRYSHSIPKLVCTDGKDGILISTKFLNNVVRADREAKTLTVESGVTLRQLIGEAAKLELALPYAPYWWGLTVGGIMGTGAHGSSLWGKGSAVHDYVTEIRIVSPGSVSDGYVKVRVLSETVNPEEFRAAKVSLGVLGVISQVTFQLQPMFKRSLTYVMRKDSDFGDQAVTFGEKHEFADFIWLPSQGKVVYRMDDRVPFNISGDGLFDFFPFRPQLSTALAVNRLVEESEEASADANRRCVRTEETSSFLFSISYGVTNNGYPVIGSQDRMMSSGSCLDSIQDGLITACPWDPRINGEFFHQTTLSVPLTHVKDFINDIKALVKIEPKSLCVLEGSNGILMRYVTSSPAFLGKEKKALDFDLTYYRSKDDPLTPRLYEDYVEEIEQMALLKYEALPHWGKNRNIAFDGVIRKYKNANAFLKVKERLDPLGLFSTEWTDQILGLKGNVTIVKQGCALEGLCICSEDSHCAPNKGYMCRPGKVYREARVCTRVSA >A09p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13784755:13787724:-1 gene:A09p024850.1_BraROA transcript:A09p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFALAFQFRQFEVSQHPVSEVMHVLLNIGQSTSREEVVEEMNDCRSMKQHWHRSTVMPERGPIIISRATEAHKPQEITKIPMDEHKSYLFLKITRIDRSPLNCVDRQSFKSIGRHLTVLVGTHIKVRYTEPKLTSNTKPDTTACLGAWSKRSKYDLVATTIKA >A10p034060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19815136:19822658:-1 gene:A10p034060.1_BraROA transcript:A10p034060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRHPCVVRLYQPEKLLLHSQGNLKISDYGLSELQERVLSHKGYNGVVADRSRADSVLLLLRRREKLKPRIMSKKGSRIKSLKEFGSKVHCADHLSDSASITETSHEAKNVGVQDNKSSYNKCEPLHIRNSEPKRKNSEVETPGTLPSRFPEFHASDQGPWSAMICYEACVRLCLHSLAADSDNEASYFLKNDCVLLRNAFGLQSFLLQSEEELLGDRPSNLVSETTAQKSKKIVGKIKLQVGKIKMESDPQPGCGTIPSLKHEVISQQLEDLNATLYSGWKAVKRVHVAPQVTPKGSISRKSLEYMRACAHYLKEVSKVLRKEFVTSNGAKPRSLQASQDSIGDDLIVEVRDSKGKFCGRVLAQLAAIVEEPSEKLKWWAIYHEPEHERIGKIQLHINYLSSLDERTKCGLVAETSAYDLVLEVAMKAEQFQSQNLVIKGPWHWMNYKATLSHQENRMLAEIDEKVQHVLALIFENYKSLDESCFSGIKHVFEPPTGTPAPAIASAIKLYGLLNNLLSQEAQLSLCRYFQAALKKRSRIYFLETNDTLDKGIEDVTSYQKLKSLVLSLKKEISTDIAIHKSNVLPRFINLPDLSAAIYRTDLLKILIEYLITWPPPSPSPQVVDLVITTADFEADLTRWKLNPIKGGFNARELFHSYITSWIEEKRSALYEFCKSETGKACSEIQGLTSPFVDDMYELLNVTLDEYNIIIRRWPEYGVSLEEVVVDTERAMVEALEKQFYEILNPLKDSKISALKYVQRLTKKGTYYVPKELRSSIEERFEEWNSYLSDKKKRVLGEKLREVTVLLKAKFRSYTQALVEKLVENMSLQHHMKMKHVIHDLKETTTEPDVRDRMQSLKDVADKTMEQLHCVLSVDVFVLICKGIWESMGQDVILLLTDKKYNVTWHKGLTISVSVLDEIFEDKMQSLLRDSVKGVNLEAPRSIVELRSMISEK >A09g518810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57381566:57383010:-1 gene:A09g518810.1_BraROA transcript:A09g518810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESAIKILESKDSDAEIRESSISRIAVEGYDTSLRREDVDDALREHFASCGNIIHVYVPIDENSGTLCRYALIYVNEEDEEKALRLNGSDMGGRILQIQSYAFHQNHLNEVLDQMKEGRLYRPQHTIMVTGCDNFLPVNVIEKELEKYFSAIGSFVYRDETASGAIITPARVYVRGQEGVEKALERSGRSVGGLNFAVTVVDPLPKITPTIGYMHPHNFTVVPKELIENPNIYYVFEENHKKKTETTAGNQQKKKSKTTERNQKKKNKKKEKKSKTREAYQMKKKSETREENQKMKGVEISF >A09p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6782576:6786156:-1 gene:A09p013260.1_BraROA transcript:A09p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYEENGVAGDGFHSEDGGGRGGEIDDHRDSKSENEMLDNEKDPGSKEEESRVKGRDKERDRDHERRRDRDGERIKRRDDRDEDRGRDRDRHHRSRHRDRSVERGDRRERARGDDDDYRRSRDRDFDRRRDYDKDRGDRRRRRSRSRGRSERRSRSPSKSKRVSGFDMAPPASGMLDAGAAFTGQVPAPSPNLLPGNGMFPLQAGQVCSLVPAKLIHVPATDLPLSSVMQPFGGVPMMPIQAMTQQATRHARRVYVGGLSPSANEQSVATFFSQAMAAIGGNSAGPGDAVVNVYINYEKKFAFVEMRSVQEASNAMTLDGIIFEGAPVKVRRPSDYNPALAATLGPSQPIPNLNLAAVGLTPGGAGALEGPDRLFVGGLPYYIPESQIRELLESLGALKGFDLVRDRETGNSKGYAFCAYQDPAVTDIACAALNGITMGDKTLCVRRANQGANQTKPEQESVLLHAQQQVAFQKIMLQQGTAAAAAATKVVCLTQVVTEDELRNDEEYEDIVVDMRDEGGKFGALTKVVIPRPSSNGEPVQGVGKVFLEYAETESSSRARNGMNGRKFGGNEVVAVFYPEDKFEQADYSL >A04p013970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5233393:5235576:1 gene:A04p013970.1_BraROA transcript:A04p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSRLERFDIRRLLSGIIVTAGLVVLLVLCFGIPCRNSFCLSPVNVSGIVANSNEVVSVVKTEINLSSDDDDDEYEEETRNNGSSRENVKVELNVSINSSPNVSLGKPEMAVEGSVLVRSNVLPILKRQKQVAATVSISQMNSLLIRSLSSSHSPKPRWSSARDSEMLSAKFEIENASVVHESPGLDASVYRNISKFLRSYDLMERKLRVYVYKEGKKPIFHRPMPRGIYASEGWFMKLMESNKKFLVKDPRKAHLFYIPVSIKALRTSLGLDFQTPKDLADHLKEYVDLIAAKYKFWNRTAGADHFLVACHDWGNKLTKKHMSNSVRALCNSNVAQGFRIGIDTALPVTYIRSAESPIEYRGGKPPSERKILAFFAGSMHGYLRPILVQLWENKSPDMKIFGPMPRDPQGKKQYREYMKSSRYCICARGYEVHTPRVVEAIINECVPVIIADNYVPPFFEVLNWEEFAVFVEEKDIQNLRNILVSIPEERYIGMQARVKTVQQHFLWHKKPVKFDLFHMILHSVWHSRLNRVKTKSRH >A06p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2816694:2819566:-1 gene:A06p008170.1_BraROA transcript:A06p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVNQGGDTCSIVNRCKQASDVRIIQRIDHWMADTLVLATLLLIAGDKNFDMALRKVERAGYNMILAFDESNVARLLEYVASSVSINANDDDLKKSYRRLAMKWHPVKNPLTKKAAEAKFKQISQAYDVLSDPQRRHAYDQHGGFDLFVKPKSVQEILKIEIKPRWKKGTKTTFLEKGNQEPLSRRNPGSGPFENRRPQSPLRCLVPVTAYFRTEEWSQKSSWWNADGAEELLIVLNNKAASQSSVHILNNNGCQGQNIS >A05p008880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3668882:3669628:1 gene:A05p008880.1_BraROA transcript:A05p008880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPKFQNTCEESLCDSKEAVVLTVWKKSLLFNCDGFTVYNSNGELVFRVDNYMNCPKDNIVLMDASGLPLLSIRRKKLSLGDCWMVYDGETQRDPMFTAKKNVSIMTNKRSLVSVSSKKTVLYEIEGSYSQRSCKILDERRNKKKTAEIKRKEAMVGGVSFGKDVFKLIVEPEMEPRVAMALTIILDQMYRY >A08p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22875605:22879286:-1 gene:A08p039930.1_BraROA transcript:A08p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFVFFSILSVMMIGVKTQKDPRDGIHHGGWMMKVICWFILVILMFFVPNEVISFYESMSKFGAGFFLLVQVVLLLDFVHGWNDTWVGYDEQFWYAALLGVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIVMTLIFVFVFAVVVLHPAVGGSILPASVISFYCMYLCYSGLASEPRDYECNGLHKHSKAVSTGTMTIGLLTTVLSVVYSAVRAGSSTTLLSPPDSPRAGKPLLPLDGKAEEKEEKEQKKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRVVTSWATAGLFIWSVVAPILFPDRDRKVHSQSPKLKSFYTQIVNVLRDSTEQSIIPGLPDDLALRCLAKLSHGHHGALECVSRGWRDLLRSPDYSCFKSRNGWSGTWLFVLTEQSKNQWVAYDPEADRWHPLPGTRAVQDGWHHSGFACVCVSNCLLVIGGCYAPSVSSFPHQKPVVTGDVMRFDPFKKEWKMVASMRTPRTHFACCAVSGKVYVAGGRNLTHSRGITSAEVYDPVADRWEELPAMPRPQMDCSGLSYRGSFHVLSDQVGFAEQSFSEVFNPLEMSWSTVEDIWPFSRAMQFAVQVMKNDRVYTIVDWGESLIKTRDTDEGEWYNVGSVPSVVLANHPRELEAFGYGFAALRDELFVIGGKVLKWEESGAGRFDIVRLSVVRVCNPLDRPLNWRETKPMCIPAGGSIIGCASLEESSLP >A05p046970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27801101:27803512:-1 gene:A05p046970.1_BraROA transcript:A05p046970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Factor of DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT3G12550) UniProtKB/Swiss-Prot;Acc:Q9LHB1] MVMKSNNMTDYENNLYKKLKSGKLEVRVSYRTFLCPYCPKQKVGLYIDILQHASGVGNSSSKKRSLTEKACHRALAKYLRKDLADYATATVSRRSKALASLTGDIPLAYDDQFEKLVWPWKGILVNIPTKMGHDGLCCTGESGPQLKDELIRRGFNPIRVRTVWDCFGHSGTGIVEFNRDWNGLNDALLFKKAYQEDGHGKKDWLSSGGGAADSSLYAWLANADDYYRANYIGEYLRKMGDLKSVSRFAEEEARKDHKLVQRLNLISENIQSQLRMLEEKFSKTSIALKCETEEKDKILHGYNQDLTGRQQRSTDHFNRIFADHEKQKAQLETQMKELQIRESVLAKRDAENETQRKVIAKELEQSAAKYSYVQLVAVEQQKTREKVKKMAVDHKMQKEKLRERITALERELGQKQDLELEVEHLKRQLSVMRHMELDGGTEIVNKVETYLRDLSEKEGELAHVNKFNQDLVVRERKTNDELQEARRALISNLRDMRSHIGVRRMGELDTKPFMEAMRRKYCQEDLEDWAVEIIQLWEEYLKDPDWHPFKRIKLDAAETIVEVIDEEDEKLRTLKIELGDDAYQAVANALQEINEYNPSGRYVSSELWNFREERKATLEEGVTCLLEQWNQAKRHKP >A03p020440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8373304:8378985:-1 gene:A03p020440.1_BraROA transcript:A03p020440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFQPPPQTPVFSGGFSYGVGVSIGVLLLITTITLTSYFCTRNQHSSSPSQTNQDLTRVHHHHHVIIDVVPGLDEDTIHSYPKILYSEVKGNSTSSCCHICLGDFKGNHMLRQLPDCNHLFHLKCVDTWLRQNPTCPVCRSSPLPTPLAEVVSLASSVATIRIYGIGVSIGVLLLITTITLASYFCARNQHSSETDQDSTHVHHHHHHVIIDVVPGLDEDTIQSYVKILYSEAKRSSTSSCCPICLGDYKGNHLLRQLPDCKHLFHLKCIDTWLRLNPTCPVCRTSPLPTPLPTPLAEVVPLASSVATTRMS >A08g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12272263:12275054:-1 gene:A08g507080.1_BraROA transcript:A08g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAKAILRLLFCSMFEDSRSDIFQTDLVVGWFFRDSSHESSISVVGSSLHHDEAVIRSSFVALMQNFWSDAPMVEMQQEYERRVGAVRMKRQKEFVESLIEKGNSSHQTTVVEGQVQGQLEVASEEDEDHAILEWEARLYDDVDIRQYGSFLQDDQTMEVSDTCLGKRSREELNEESIDKFPVGKMRMSAEFNALRQRNQTNSAVSIVEIRNQLDQAIRNSTVSTEYIQELRGKLNQAYRDEEEYWKLKSRNRWLNLGDRNTPFYHGLTKMRKSKNKILKMSDKYGVVHYQKETIAQ >A10g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11949304:11952199:1 gene:A10g504850.1_BraROA transcript:A10g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERGERLTIELVRARSLRSDRAGRTLGRYVATELWLEVGCYVATEQEGRSVSTHCVCRRELYPTAPKVANDRPFINPLAPFPEDIIEVRDLLRNGPFFWITFTPKRVWKALRFVCPDPAESGNDSEPDGQSPDATPTAVTGWNSSKGKDIDLGDIEFSMDDSMLPGWDPDLAYVDGSGSSEVPIPDLDDFFAGLPSGFDAPPPTNESGRLKAVEEGSRILNGGLNLLGSVIEGSHREAMVYHFKAEKAERDLACVQGEMLERDAQLARDHARAVRRAERKGKREIIEVMKTRASQFQVEYGNLKDAFTSVGDFRECRGSVRSLWRTQADDYVFEKEMSLMKSGMNEHAHAEALIPSIDGRIQGF >A09p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:294709:298401:-1 gene:A09p000510.1_BraROA transcript:A09p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRNIAGFSKAAAAAARTHGSRRYLSSAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYARFIESFRSLERNTQGQPDNVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLYRRPRGMYFSAKDKGEMMSMIYNWPAHQVDMIVITDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNQKLLQNPLYLGLRQPRLEGEEYLEIVDEFMEAAFTRWPKAVVQFEDFQAKWAFETLDRYRKKFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVTKTAVQAVARMAGISFAEATKNFYLIDKDGLVTTERSKLDPAVVPFAKNPAEIREGASIVEVVKTVRPHVLLGLSGVGGIFTEEVLKAMRESDSCKPAIFAMSNPTLNAECTAADAFKHAGENIVFGSGSPFENVQLENGSVGHVNQANNMYLFPGIGLGTLLSGARIVTDGMLLAAAECLASYMTDEEVQKGILYPSINNIRHITAEVGAAVLRAAVTDDIVEGHGDVGPRDLSHMSKEETVDYITRNMWFPIYSPLVHEK >A02g512180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33061657:33063176:1 gene:A02g512180.1_BraROA transcript:A02g512180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVMYDLADDYGLLFSPQTAVSALYSQLKELQKKDADMKERDKMLYSKGDTDSTSKLVARDTDLPLAATLLKAYAKVEPLTIAELNYLLSLLHPRTLTSYVPGELLALTWTKGGVMLHAPNAVKLQHTVSAIAYRVEKAIADGTAEGTFFRFDGVVTKLHSLRASEAGQMLAEGVNPEDFKMPPFTTHIEAKTYTFQFSTFTITLILDERDRVPVPDVVDNIGNDDGDDMPDGNPIPVKVETGGSSGEAAFNADTDPVGVCRRRRPTHLLRWLRRRVWLEID >A01p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27115339:27115970:-1 gene:A01p048140.1_BraROA transcript:A01p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQKDIGAYICWIWQKVSSVNERGFQRFLDNVQYKSNGILCYERVFGQGFVSTGGIGQRVLDVGCGIGGGDFYMSQKYDVHVVGIHLSISFGCIVIESKAAR >A01p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6828396:6829853:-1 gene:A01p013980.1_BraROA transcript:A01p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVISSASSSATSISMTFASTTIRAFVRPSLSLRTTPFACSHSNPNLLPLSASPSSFPPLRLHSRGFHGGRVTAMASPAPGSVNKPEEEWRAILSPEQFRILRQKGTEYPGTGEYNKLFEEGIYSCAGCGTPLYKSATKFDSGCGWPAFFDGLPGAINRTPDPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSISLKFAPGNQDL >A09p012880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6555550:6571461:-1 gene:A09p012880.1_BraROA transcript:A09p012880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSRRLVDPDLPIQTRLEMVVEVRDSLEIAHTAEYLNFLKCYFPAFSVILLQITKPQLVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQVFRFTVSHFFDNVKMEEVKPVETSASSDQSLTPVAPVGNGQLNPSTRSFKIITESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPENVPSHLKPQFIELKGAQVKTVSFLTYLLKSCAEYIRPHEESICKSIVNLLVTCSDSASIRKELLVSLKHVLGTDFKRGLFPLIDTLLDERVLVGTGRACFESLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSRNMHDSTLSLSIHTTCARLMLNLVEPIFEKGVDQQSMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEVGKDRVTLRAKLELPVQAVLNLQVPVEHSKEVNDCKNLIKTLVMGMKTIIWSITHAHLPRPQGLNPQALASQASAPQGFKGMREDEVWKASGVLKSGVHCLALFKEKDEEKEMLSLFSQILAIMEPRDLMDMFSLCMPELFECMINNNQLVQIFAALLQAPKVYKSFADVLINLLVSSKLDVLKNPDSDATKLVLHLFRCIFGAVTKAPLDFERILQHHVPVIMEVCMKNATEVEKPLGYMQLLRTVFRGLAGCKYELLLRDLIPMLLPCLNILLTMLEGPAGEDMKDLLLELCLTLPARLSSLLPYLPRLMKPLVFCLRGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPVPYPWGGKALQILGKLGGRNRRFLKEPLTLECKDNPEHGLRVVLTFEPSTPFLVPLDKFINLAVGAVIHRNQGIDIYYRKQALKFLRVCLLSQLNLPGCVTDVGQTPRQLSTLLRSAVDSSWHRSESVEVKADLGVKTKTQLMAEKSIFKTLLITILAASSDPDLRDSDDDFVVNICRHFAIILHIDYTSTNASTSTGSLGGSVISASSRTKGNRSSNLKQLDPLIFLDALVDVLADENRLHAKAALNALNVFSETLLFLARVKHADVLMARGGHNASMIVSSPSTNPVYSPHPSVRIPVFEQLLPRLLHGCYGSTWQAQMGGVMGLGALVGKVNVETLCHFQVKIVRGLVYVLKRLPVYASKEQEETSQVLMQILRVVNNVDEANSEARRKSFQDVVEYLATELFNPNASIPVRKNVQNCLALLASRTGSEVTELLEPLYQVLLQPLIMRPLRSKTVDQQVGTVAALNFCLALRPPLLKVTPELVNFLQEALQIAEADETVWTVKLMNPKVLTSLNRLRTACIELLCTTMAWTDFRTQAHNELRAKIISMFFKSLTCRAPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPQAASKFLDELVTLTIDLEAALPPGQVYSEINSPYRLPLTKFLNRYASLAVDYFLSRLSEPKYFRRFMYIIRSDAGQPLREELAKSPQKILSYAFPEMLPKPDATMSMEASTLPAKSCGDENLVSVKSESSNTAQTKANVASDAYFQGLYLIKTMVKLIPSWLQSNRSVFDTLVLIWKSPSRISRLQNEQELNLVQVKESKWLVKCFLNYLRHEKSEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNIKRALLLHFLDLFHSKELGHDHLVQAMQMLILPMLSHAFQNGQTWEVIDPDIVKTIVERLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLDAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPKRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFLLVVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKTVTDTDGTSHATDELHMSSGADPKRSTDGSATSEDRSKRVKIEPGLQSICVMSPGGASSIPNVETPGSATQPDEEFKPNAAMEEMIINFLIRVALVIEPKDRETNTMYKQALDLLSEALEVWPSANVKFNYLEKLLSSMPPSQSDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKHKMLDAGKSLCSLLKMVFTAFPLDAASTPPDIKLLYQKVNELINKHVSAVTAPQASGDDTSFGSISFVLLVIKTLAKVHKNFVDSYVVVRILQRLARDLGSAVGSHPRQGPRADTDSAVTSSRQSADVGSVICNIKSVLELIDETVMLIPDCKRSVTQILNTLLSEKGTDASVLLCILDMLKRWVEDDFSKTSASGMSGSFLTQKDVVAFLSKLSYIDKQHFSSDALEEWDQKYLQLLYGLCADSTKYPLALRQEVSLKVERHFMLGLRARDPEMRRKFFLLYHESLGKNLFSRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPISLAPNSARVIPLLPSDNPGIQHQPLATLEGPDEVTSMFDNIVMKHAQFLSAASKLQVADVVIPLRELAHTDANVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQGHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHLALALLESHVMLFMNDSKCAESLAELYRSLNEEDMRFGLWKKRSITAETRAGLSLVQHGFWQHSQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLHCAAQLGQWDALVDFGKSIENYEILLDGLWKLPDWAYLKDNVIPKAQVEETPKLRLVQAYFALHDRNSNGVGDAENIVGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARIHVDIANGNKVSGNAAVGALGNRYADLKDILETWRLRTPNEWDNMTVWYDMLQWRNEMYNVVIEAFKDFAASNSPLHHLGFRDKAWNVNKLARIARKQGLYDVCVQILEKMYGHSTMEVQEAFVKIREHAKAYLEMKGELASGLNLINSTNLEYFPDKIKAEIVRLKGDFHLKLNDTEGANIAYSNAITLFKNLPKGWISWGNYCDMAYQETQDEIWLEYAVNCFLQGIKFGVSNSRSHIARVLYLLSFDTANEPVGRVFDKHLEQVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDAVNKSELGRMVLAQRMQQNASGVAGHGGGNLPSETHQGAQISGAGGTHNSGNPHGQESERSTAENNAHPGNDQSMHQSNSTNSENTARQNGASLAISAAGAFDAAKDIMEALRSKHNNLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQPLKKELSGVCRACFSADAVTKHVEFVKEYKQEFENHLDPESTSTFPATLAELTNRLKNWKNILQSNVEDRFPAVLRLEDESRVLRDFNVVDVEIPGQYFSDQEVAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQKHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHIGIHTPIIIPVWSQVRMVEDDLMYNTFLEVYENHCARNDREADLPISHFKEQLNQAISGQVSAEAIGDLRLQAYSDITKTLVNDSIFSQYMYKTLMSGSHMWAFKKQFAVQLAVSSFMSFMLQIGGRSPNKVLFAKNTGKMFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSQFGVEGLLMSSMCSAAQAVISSKQNDHLRYQLAMFFRDELLSWFGRRPLGMPIPPAGGIATLNSAELKEKVNSNVNDVIGRIRGIAPQYFSEEDENIVEPPQSVQRGVNELVEAALSPRNLCMMDPTWHPWF >A02g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:751749:752199:-1 gene:A02g500210.1_BraROA transcript:A02g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVVRFADADAAAYYVATADFIASAEGRGVRRSFLRQRNEQELTQNVDAAAGTCANQTNSPINNICNTRSAHLFQTCLQWKQLARPVPTLSSYKNGDVNLEYKRTNG >A09g514130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42604531:42604789:1 gene:A09g514130.1_BraROA transcript:A09g514130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMNMNMKVAVCFKGTNYLVWSRMVRTTVGSKGGAWVDDRRWLWTSPASYGLLKGCLATPETQDHPA >A10g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8025024:8025751:1 gene:A10g503060.1_BraROA transcript:A10g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVVNASSWKTAQRDLKHDSRPILRFLNQKPVNHNTVYAWSTKKDKCQVSSSRKTTQRDLKHDSRPILRFLNEKKDKCQASADKYGSFEDNCEDREKWNISILCYDDLRAEEHFSVFVISSCDSIRFSRLRVARTRNLADSSRAQAYTLLYPGPLGN >A06p000230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:528797:531380:-1 gene:A06p000230.1_BraROA transcript:A06p000230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(A)-specific ribonuclease PARN [Source:Projected from Arabidopsis thaliana (AT1G55870) UniProtKB/Swiss-Prot;Acc:Q9LG26] MRRHKRWPLRPLASALIRSRFSSAAETVTTPTTEAFPLKHVTRSNFETTLNDLRTHVKAADFVAIDLEMTGVTSAPWRDSLEFDRYDVRYLKVKDSAEKFAVVQFGVCPFRWDSHTHSFLSHPHNFFVFPRQELAFDHHEFLCQTTSIDFLAKYQFDFNTCIHEGISYLSRRQEEDASKRFEMIYDEEGVVEAEDFKLVRLADVLFAERMKNKLNEWRSDLLRGGNVSSESPGSSNGSAQSTETVFYHMRPALSLKGFTSHQLRVIRLVLGKHFRDLVYIHANDKSSSCSQDFVVYTDSESDKANLLKEAKDERKRVAERKIQSAIGFRQVIDLLSSEKKLIVGHNSILDIAHVYSKFVGPLPSTAEEFVASIRDHFPHIVDTKILVNVNPMLHQRMKKSSTSLSSAFSSLCPQVELPSRGSDSFLQQRVKIDVQVDDVRCSKWNAGGKHEAGYDAFMTGCIFAQACSHLGFDFNHPSVNFAQDEKLEKYINRLYLSWARGDIIDLRASHSNAENWRVSKFKYEKIVLIWNFPRKLKAREIKECICKAFGSTSVTSVYHLDDTAVFVLFKNSELVSEFLKLKEQLESDNGPVTVIHPLSKILEGGKTGAADYEAYKEICSSHISKVLFSDQAETVGVKSRTRPDPKGETSPETERREKADKASDLIDAFLANSVKVEAAGIN >A10p036860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20909050:20917260:1 gene:A10p036860.1_BraROA transcript:A10p036860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMTPPPGDQQEDEEMLVPHSDLVDGTSQPMEVSETEAAVSTVENQQPAEDPPTLKFTWTVPNFSRQNTRKHYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADAATLPYGWSRYAQFSLAVVNQIHTRYTIRKETQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVYVEAEVAVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDLKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEEKRKYKAQAHLFTIIKVARDQDLKEQIGKDIYFDLVDHDKVRSFRIQKQTPFQQFKEEVAKEFGVPVQLQRFWIWAKRQNHTYRPNRPLTPQEELQPVGQIREASNKANTAELKLFLEVELLVERPIPPPDKSKEDILLFFKLYDPEKQELRYVGRLMVKSSSKPMDITGKLNEMAGFAPDEEIELFEEIKFEPCVMCEHLDKKTSFKLCQIEDGDIICFQKPLGNKETECRYPAVPSFLEYVQNRQLVRFRALEKPKEDEFVLELSKLHTYDDVVERVAEKLGLDDPSKLRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKEEVVIHNIRLPKQSTVGDVINELKTKVELSHPDAELRILEVFYHKIYKIFPLTERIENINDQYWTLRAEEIPEEEKNIGPNDRLILVYHFAKETGQNQQVQNFGEPFFLVIHEGETLEEIKNRIQKKLHVSDEDFAKWKFAFMSMGRPEYLQDSDVVYNRFQRRDVYGAFEQYLGLEHTDTSPKRAYSANQNRHTYEKPVKIYN >A01p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8145227:8145785:1 gene:A01p016450.1_BraROA transcript:A01p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVVVVEGEREGATIVYGAEECYKKSVELLEELGFPKGVMPLKNLVECGRVRATGYVWMKQNTPYEHFFEGTNTRVSYGLEVTAYIDKCCMKKMTGVKSKQMFMWVPIAEMSMEEPKSKKIYFKTPMGIGKSYHVTAFMDEEEKRNFYLENPKK >A08g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11319907:11327558:1 gene:A08g506570.1_BraROA transcript:A08g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHNRRRGYSESVRGWNQEAQGEETLDITPPIAYKRRSSPRVQPQERQQAKPCPSRTPPPPPPQAAAAPTPAKPAASRRNRAPSRRLLDSISRSDCFPNLDPDLLLQKLRYGRERAGVDVRFGDKVWLRFIGPGPLQLVSERVKPTRCCPGWVGKGRVEVGKPGFQKNFFRTMPPKNARVARPAAANLRATRRVTRSASQASSEAESRRGGAPENENPVEMPNVANAALLAELQRYRDAYGGQLPNVELRNSCNVRDYRDVHELIEKAAEQESGLEEEWKQNQNSQNRGAKRPRDAQPAAEPAPLRPACERCGRFHAGECRMGACFACGERGHIARDCPKERQARRRRCYCCGQEGHQAWECPTLQGGNAEGAQPQQQRGQAAGARAYAVEGREGAEPIAGSVAVGGVTAFTLFDTGATHSFVSPRLTREWDFKGNFNTMPQERQQAKPCPSRTPPPPPPQAAAAPTPAKPAASRRNRAPSRRLLDSISRSDCFPNLDPDLLLQKLRAVTRWLGEEERGGSGGDVEKRVVTR >A05p033530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17352224:17355294:-1 gene:A05p033530.1_BraROA transcript:A05p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AOX1D [Source:Projected from Arabidopsis thaliana (AT1G32350) UniProtKB/TrEMBL;Acc:A0A384LFI3] MSSRSIYRTLRPVLSSSVVSSGLGTGHGINGHVISHLPTIRFLSSDTSSSATGNRLPENPVRTADGKVISTYWGIPPTKITKPDGSAWKWNCFQPWDSYKPDVSIDVTKHHKPANFTDKFAYWTVQSLKIPVQLFFQRKHMCHAMLLETVAAVPGMVGGMLLHLKSLRRFEHSGGWIKALLEEAENERMHLMTFIELSQPKWYERAIVFAVQGVFFNAYFLSYVVSPKLAHRITGYLEEEAVNSYTEFLNDIDAGKFKNSPAPAIAIDYWRLPKDATLRDVVFVIRADEAHHRDINHYASDIQFQGRELKEAPAPIGYH >A04g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7071964:7073557:1 gene:A04g503230.1_BraROA transcript:A04g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTNTGEQSPRQFSVVSLESHNNNNPYFISSPNIIIKSIFQSEKTQTLFRRFPSAAVFLLLRLRRRGFQRRAVAQQQQETEHPCSVQRWDHPAVPRLGVGISLFDQSPEQSSRVADSQLRTVGGKVYERLSLLLQPFDVKINSFAKNPKSLISYLEAILSRAFFENFELLSFQVSENGSTRILNPSDRCESNYASFNVLMELTWDEVLSRGTKHFSEKFSRFCDRKNECHVTSMLCWNRAWPEPLLQLKKNGMIFAITSALSHAGLEPSNLIVGSDVPKRNKWTGVRLFGRNSLHYIGITPNPYQQAISVIGKTLLVFDEDNLIP >A03g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16046463:16051194:1 gene:A03g504490.1_BraROA transcript:A03g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAIGVSLSMSNGIRRGDDDVARLSAKTLNLNQKSESSASRVLSTKRRLASSRAKRTVAKSVLFEEEEEEEKSKKNLRVGLICGGPSAERGISLNSARSVLDHIQGNGVSVSCYYIDAHLKSFAISSAQLYSNTPADFDFKLESLAQGFSSLSDLAEHLVSAVDIVFPVIHGRFGEDGGIQELLESHNIPFVGTGSSECRRAFDKYEASLELKKHGFMSVPNYLVQGTGVDESEIAQWFTDNRLDLDVGKVVVKPARAGSSIGVKVAFGVKDSIKKAVELILEGIDDRVVVEVFIENGHEFTAIVLDVGSGSDSHPVVLLPSEVQLQFHGSGDPEEDAIFDYRRKYLPTQQVTYHTPPRFPIHVIKSIREEASLLFQKLGLRDFARIDGWYLAPTSNISSASNETSRGRKSGNIIFTDINLVSLLHIFGLRMYPEPLFVHCIVLISSTRVHLQISGMEQNSFLFQQASKVGFSHSNILRTILHRASSRLPHLTWYNYESSHLLRGSTTLESSRDVKKVFVIFGGDTSERQVSVMSGTNVWMNLQRFADLKVTPCLLSPSLSNSSGAFPDKTESDLDNREVWLLPYSVVLRHTAEEILAECLEAIEPDRALFTSMLQKQVMEDLMDGLKNQSWFGGFDITDELPMKFSLREWIKLAKEAQATVFIAVHGGIGEDGTLQALLDDEGVAYTGPGVLASRTCMDKVMTSQALSHLSEVGVHTISKDVKRTDDIIHETISNIWDELITKLRCMTFCVKPARDGCSTVPLKTLLYMYKL >A03g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3896692:3897606:1 gene:A03g501360.1_BraROA transcript:A03g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDIYTGRACLLMDIKSFIKRKYDSFIRNLFEMVDDHSTDAIVSWSESGKSFIVWNESEFCRNVLPSFLMFYEMAPFVRHLRYWGFKKIESEPWEFSSEYFVRGHPELEPPPEAFEYERPSKEESARNVERMKEMADAIRKRLAKKAKRQAKKEAGMDHKEETSLIV >A05g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28032158:28034476:-1 gene:A05g509300.1_BraROA transcript:A05g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDVAANDDASIPLINSPSSRDRTSAIQTLGNIIVSIVGTGVLGLPYAFRVAGWFAGSLGVIIIGFATYYCMLLLIQCRDKLESEEGQEDSKTYGDLGFKCMGTKGRYLTEFLIFTAQCGGSVAYLVFIGRNLSSIFSSYGLTMVSFILILVPIEVALSWITSLSALSPFSIFADICNIIAMFFVVKENVEMVVGGDFSFSDRIAIASTVGGFAMTLALEGSMREREAFPKLLGKVLAGITFVYVLFGFCGYMAYGDETKDIITLNLPNNWSAIAVQDSQESLCALISFVLPASYHLTLLGPSLNLWNKSVDVFIVICGLLFAVYGTYNTVAGL >A08g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10832146:10834555:-1 gene:A08g506350.1_BraROA transcript:A08g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIQGLIPPRHIKKYLPEMKQGSVYKLINFYGSKNKPMYRVADHIATVSFTWNSEMSVLLDIPIPFDEDRFMFHSYADFEANCDLKGDLYDVVGHMKLVDRPTLDEAKIATTPHIMVHVQSHEGPVMKIFFWDQTATDFCKKLDSNPDIAKQVSAEVVTKWETLTIADIFSYITIICENPNHLMTQESAKDAFFECTATIDDVVHGSAWYYIACTECHSKSTKGANSLICTNTRCVKYNTAGVAQYRAKISVYDSSEQAFFVMLGDAGHELTGRHASELVSSYFEANENKGPDHEVPVPEALISTIGQTHKFCVKVTDHNFSSNTRAITVTKILSLDTPPPTEASLGNDIAATSEETMQTGSDVCEPSKAVEILQMRRVRGRLTVLIQRKSNGQDVRIEASVH >A07p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16570414:16571867:1 gene:A07p029570.1_BraROA transcript:A07p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPYRVYTISQQITNYRNRIIPLNLALRLLLPLGHSQFLIVSTLPRKQAMKILKCDLLLIVIAVTVVVLTAPPTISAAKVGVTYSTPDFSSGSVQVSPERIVAKIVSLEIEAVRLLDPNPETIRAFASTNVSLFLSVPNPLVPMLASHRSHAFEWVKLHVLPFHNRTKISMISVGDDVSLADAPPPLFLLRAIRNVRRSLVLLGIETVSVSTTFSFFSIIPSPFPPSLARFRSPNGDVIIKPILEFLEKTNSSFLVKLYPYYIDSSIHSGFAFFEDPFSYVDDSSIIQVRYGNLFDVMVDAVVRSLAVLGHESLPVVVAETGWPSWSSNSSEVYATPKCSQRFLNALVDHLRGGKGTPLRKEGVSEVYIFELCDKQQSERTWGLLDYHLNTKMNITFFLDDIPEIEKKSIQLNYLVIVCMLFLAVISTVATLTCCSNIVSAWKGTPAKRERKQKKQY >A05p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26066297:26069965:-1 gene:A05p043170.1_BraROA transcript:A05p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLKSFNYFSYIINSRLHNSPILSYRRRRLPRSQPPTSCLNPGFRFFRPNHLFCTQSGSLMEVFKAVLSEGSNACDRIAIKADEKSYSYGQLTSSALTISKLFCREDTTNGGESKKYEGFGSLKGARVGIVAKPSAEFVAGVLGTWFSGGVAVPLALSYPEAELLYVMNNSDISVLLSTEDHSETMKTIAAKSDARFHLIPSVLNSTSETVTRNQFQDDSFEEDGKLLDDPALIVYTSGTTGKPKGVVHTHKSINSQVRMLTEAWEYTSADHFLHCLPLHHVHGLFNALFAPLYARSSVEFLPKFSVSGIWRRWRESYPVNDDKTDNPITVFTGVPTMYTRLIQGYEAMDQETKESSAFAAQKLRLMMSGSSALPRPVMHQWESITGHRLLERYGMTEFVMAISNPLRGARKAGTVGKPLPGVEAKIVQDVNDADGVGEICVKSPSLFKEYWNLPEVTKESFTEDGYFKTGDAGRVDEDGYFVILGRTSADIMKVGGYKLSALEIESTLLEHPTVAECCVLGLPDKDYGEAVTAIIVAEAGAKRKREDESKPVMTLEELCGWAKDKLAPYKLPTRLIIWESLPRNAMGKVNKKELKKSLDHQE >A05p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24587303:24589465:-1 gene:A05p040140.1_BraROA transcript:A05p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAAETSGTHHRRPTFAAFLNTDEEEQSHAADVIDPTSTSVNNQRLQSNASTTSGESSPNQSEWQIWNGYKQCRSGVSWRLKRIK >A03p054230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23269826:23272377:-1 gene:A03p054230.1_BraROA transcript:A03p054230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17740) UniProtKB/Swiss-Prot;Acc:O23614] MEVLASSSLSPIFTKPNTLNPNFSIQVKLFVSQPSKTLKASSFRYPRNPSRSNANPGLVFVCNRYLCLLERNDHRKFSGKFMMKSSVSFRKNVSVALVRLVSVLLVSSISVVTTDSPSWGLSEENLLFLEAWRTIDRAYIDKTFNGQSWFRYRESALRNEPMNNREETYMAIKKMIATLDDPFTRFLEPGKFQSLRSGTQGAVTGVGLSIGYPPASEGSAAGLVVISAAPGGPAYRAGVSAGDVLLGIDDTTTETLTIYDAAQMLQGPEGSTVELAIRSGPETRVLSLTRERVSVNPVKSRLCELPGSGSNSPKIGYIKLTTFNQNASGAVKEAIETLRGNNVNAFVLDLRDNSGGSFPEGIEIAKFWLDKGVIVYICDSRGVRDIYDTDGSNAIATSEPLAVLVNKGTASASEILAGALKDNKRALVYGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPAHTDIDKVGVTPDHPLPKSFPKDEEAFCGCLKDPTAACYLNQGLLFSR >A03p000890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:512293:512739:-1 gene:A03p000890.1_BraROA transcript:A03p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLALVIAFTLLLFASAHHAATKTSLPCKIKGLYWSGPFPGISDNPHGAPPAETTKRLLHVVPVKPPNTKSKTKGQFQTALEAPPGETTKRLLHVVPVKPPNTARKAKGLFQTSRGAPPAETTNSFLYSQPVKPPNVASKTETLF >A09p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13270664:13273233:-1 gene:A09p024000.1_BraROA transcript:A09p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRRRNVQQPHGCLGRMVNLFDFGTVASGKKLLTDKPYFDDGSIKRNQLDLLEDKVDTVAVNGTPIKKLLEEEMSKEMELTVGSTNLVAKLMGLDSFPHANSSKPRLRRSLSHGECRDVFEILDKPGMDIVREKFLEAKRLVTDEKLRHSEEFQEAMKVLSSNKELFLEFLQESNNFFSHHLPEKSKRITILKPSKTIDDDDDKLGEEAAAAIEEDYPKKQSTRIVVLKPTNGLVTKTSSCPTSPRGLLEERKANDVVVRRVKRQDETSHSSVFSNGYIVDDSYDADSEIMSPVSRHSWDYINKYDSPFSSSSPFSRASGSQEESSSVCREAKKRLSERWALMAASSENLQEEKVIEKKGGNISLGDMLALSDSMTDLRTEEANDGNEENGLSREPFKGLMRSKSLPESSTNLAHNKGKSKVPEQLTKSKSLKWSLKGKVSNFLFSRSKKASAERSNEANPESLESRCNDESLSARSMVSQEARLSTCFPASVLETSSDEDDEIFFNSSVLNRSSSSSLEPEMTMSNLLGKSPSIGRNFSFNDSTVARCHSSKRSTTTSTRDEEEDLRLLINTLLSAANLDEELTDNLLSKWHSVESPLDPSLRESYADSTEQQRHGSNVKKLVFDLVNTLLLELTPSYLGHGSHHMLLLSGKTLGVYVINRMRECLKGNGRVEYRWWDEDGDLSSLAVNKVVRTEVAEIGSKESLRLEMECMGEEIELKLLEELVEEVLMDFSEQSKFIIPSIC >A05p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1835758:1838320:1 gene:A05p004750.1_BraROA transcript:A05p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKNSDRQMRRANCFSAGGRMKTRSPSVIVIGGGFAGISAARTLQDASFQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPVIGRLGLPLYRTSGDNSVLYDHDLESYALFDMEGNQVPQELVTNVGITFEQILEEINKVRDEQDADMSISQAFSIVFSRKPELRLEGLAHNVLQWYLCRMEGWFAADADTISAKCWDQEELLPGGHGLMVRGYRPVINTLAKGIDIRLGHRVTKIVRRYNGVKVTTENGETFVADAAVIAVPLGVLKSGTITFEPKLPEWKQEAINDLGVGIENKIILHFEKVFWPKVEFLGVVAETSYGCSYFLNLHKATGHPVLVYMPAGQLAKDIEKMSDEAAASFAVLQLQRILPDALPPVQCLVSRWGSDVNSLGSYSYDIVGKPHDLYERLRVPVDNLFFAGEATSSSFPGSVHGAYSTGLMAGEDCRMRVLERYGELDLFQPVMGEEGPASVPLLISRL >A10p033250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19500301:19503847:1 gene:A10p033250.1_BraROA transcript:A10p033250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAVDEGLEFKWGKQKGIGGKKKDVRFYESFTFDGDEYRLYDCVLVADPSEPDSDELFVGKIIKIWEHTNKRAKHPRQVKLLWLFKPSEMPPGVVEGVPDLLANELFLASGEGPGLASVNPLEAICGKCSVLCLSKDERNLQPTDEEIKSTDFVFRRAFDVGSCKVLDTIDDKISGVDVKFIFNRAGSTSKKEATPPILKIQLDVNGSADSLTPNGLSACGSVRSTEDNSNESSDCRESSSGRREGKEKFADESSNKDSSVQQSTSEHASSEASGSRGDHYDGKAQDNEVRKQFTKQKSMPAEERDSNSCEASGSKKLFTKQNSMPAGERDSNGLDERPQKKQKLDGSAIVSNGRNTNILQQSVSSDGKRDTCLFKRPREKVTGGESPPENLKKKRDLGVSVSEGKDAKTGTGKGLFKKPSFDGKLSKRSEEKIVEIDYRRDYQVTEVTPKPDAPWEESMREAEKEEKLVLLQNLDPTYTSEEVQDIVYSALNEQCTARMIERTSVSFSHIGEALVIFNSRQAAVRAIRRLDEGCLLLSNGRPLVAAFAKINPPGKPSSSFCGHIKLQKTRRETRDTVSTSRGSQPNTLEFEMGMEWRLLRARSDHALETVSKRQLEERKTQRINFKPKLP >A09p067140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53028625:53031037:-1 gene:A09p067140.1_BraROA transcript:A09p067140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVGFYILCLLLGQDLPFLLADDVNFINDSTQNLCFATRLSDFLPPPYSNISDSMPCTPLWNTFVLRYSENRDNVMTIIVSALYTTGWVGVGFSRDGRMVGSSAMVGWITKKGHAKIKQYYLQGTERDQVVPDQGELQLQKVPPVVALHGAMIYLAFQVKFTVKVPRRAVILAFSSAYPSKLGRLSKHDDKTTVIVDFSKANGVTSIQTTGSTEKTKHGVMAILGWGFLLPLGAILARYLRHRDSLWYYLHIGIQFTGFIFGLAAVILGIRLYNRIQPDIPAHRGIGIFLLILSILQVLAFFARPHKETKMRRYWNWYHHWIGRISLFFGAVNILLGIRMANSGEDGWRIGYGFVLAVTLLAFIVLEIFRIRGSIGSPSSHTPPSFETHPSSTSSV >A09p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13279599:13280759:1 gene:A09p024010.1_BraROA transcript:A09p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTTISLKVSDLLVEHTNFWNLPLLRQTFAADDVNHILSIKAKPHLRDSKQWGFNPYGSYTSQSGYPLVELINELQNPSAPMPPIEKRLWSLSCALAVKANLRSRDLQVEAACAACGHRSETVCDVLFTFPEAQDVSNSLENPLPPTGFSQTFSSKLKLQTPQQPSSPNGRSLHHEVSNATLDPLGRATFNTVELHGRRSYSRLVSPILYDILSLHWAVERMVNLKQTRIIFEFSLPALHDVLAHPDYHPELYQHFETLHTLLQRLDFWCFRLAPLPANKAALEIATSY >A10g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14064142:14064807:1 gene:A10g505550.1_BraROA transcript:A10g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHFGYSKRKGTRLPTKVLWMRRTRVLRRFLKKYRESKKIDKHMYHDMYMKVKGNIFKNKRVLMQTKRLDSWVLMFHKRMFRWLSLVLGVISVVDGGCEYR >A07g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:936988:938012:1 gene:A07g500430.1_BraROA transcript:A07g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYTKMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDNVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A08g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5003902:5012596:-1 gene:A08g502220.1_BraROA transcript:A08g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTDKNVNEQTLNVNEQTLNVNEQTPSEVAPEAQSDVLERLAEVAARRLSARIHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKELNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQHSNPSTSTPQRHLKVAPAGSEVSRATLHGRSRFRRRIKKKSPQSEVSERGRRVAPAGSDIMGATPRRRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERTEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKAPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWEKSRSRSMEGNTT >A04g501730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4210981:4211283:-1 gene:A04g501730.1_BraROA transcript:A04g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSESHVFGDLISKKSNGKDGVSSDEPIECTGQSGVSSTKAVSGDPLSKKPNGKAVVSSAEPIKHSSGTGVPKSQPISSSPSLPYLPFNVYASSHQLY >A10p000470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:256222:258323:-1 gene:A10p000470.1_BraROA transcript:A10p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFDAAIVNTELSKPTSIFGLRLWVVIGILLGSLIVIALFLLSLCLTSRRKNRKPRADFASAAVATPPISKEIKEIVPAPAEIQVDIGKMEHRVVFSDRVSSGESRGTASASETASYSGSGNVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYRGVLTDGTKVAVKNLLNNRGQAEKEFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYDFVDNGNLEQWIHGDVGDVSPLTWDIRMNIILGMAKGLAYLHEGLEPKVVHRDIKSGNILLDRQWNAKVSDFGLAKLLGSDSSYVTTRVMGTFGYVAPEYACTGMLNEKSDIYSFGILIMEIITGRNPVDYSRPQGETNLVDWLKTMVGNRRSEEVVDPKIAEPPSSKALKRVLLVALRCVDPDANKRPKMGHIIHMLEAEDSFYRDERRTTRDHGNRDKQETAATESGESGSRHHQQKLR >A06p046580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24914494:24915127:1 gene:A06p046580.1_BraROA transcript:A06p046580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNTSHVPPMPLSHHFSDYGFDPQIDYFQVLEEARKHKKETSSIDSMHQFKLQKPISKDDLIRTALHKKKKRWFLKNAMLFFNWRKWRRRDGHYAGEGDVEMELDVHMARARNFRASSVGTGSISGPVYVTESWSGSSTPYRTIRPSSLTSTPAAVPYMSLRELNMERQQRSNASSSRSGPLYLVT >A09p071050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54950689:54953674:1 gene:A09p071050.1_BraROA transcript:A09p071050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGEIQLPCDADDVCMRCKSKIPSEECLTCSTCVTPWHVSCLRSPPATLASTQSWECPDCSGDVDPAPASGLNPNSSSLVAAIRAIEADASLNEAQKAKKRQQLVSGKAVEEEDEEKEKKEEQQSDDFLVSLRKNVSCSICLQLPERPVTTPCGHNFCLKCFQKWVAGKRKPTCGTCRASIPKKMKDNPRINSVLVSAIRLAMVSKSTTVSTAKTYQFIRNVDRPEKAFTTERAKKTGKANACSGRIYVTVPSDHFGPILAGNDPDRNQGVLVGESWEDRQECRQWGAHFPHVAGIGGQSSYGAQSVALSGGYKDDEDHGEWFLYTGSGGRDLTGNKRTNKDQAFDQQFTNSNAALRLSCKMGYPVRVVRSHKEKRSAYAPAEKSVRYDGVYRIEKCWRKVGIQGKRVCRYLFVRCDNEPAPWTSDELGDRPRPLPSIPELKKATDMFVRTESPSWDFDESDGRWKWMKSPPASRKAIAALDPAARKAMKGGGNKRDKFLKEFGCQICRKVMSSPVTTPCAHNFCKECLTGKFAGITQVRQRSRGGRTLRAQKNVMKCPCCPNDISDFLQNPQVNRELMDVIEEVKKKKELEERQDEGTSENSAEGGNTGTEEEEEDQEEEEEEEEEEEEEEDEEEESEVHVSEDEPAAKKIKLSA >A03p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4949473:4950551:1 gene:A03p012460.1_BraROA transcript:A03p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRPATTSHVSGGNWLMEETESNVPLAASPDAATWTVAENKAFENALAVYDDNTPDRWQKVAAAIPGKTVSDVIKQYNDLEADLSSIEAGLIPVPGYITSPFTLDWAGGGGCNGFKPGHPVGNKRSPAGRSPELERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQHSGGKDKRRASIHDITTVNLQDEASLETNKSSIVAREQRSRLAAFPWGQTDNNGTHADTFNITIGKAISGVHSYGQALLGPFNTADSCYDAQNTMYQL >A07p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19683057:19687560:-1 gene:A07p036740.1_BraROA transcript:A07p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSLEILVRDAEGFIVWNGPPFSSNGQPTPKNERVSCSSTKFSLDGSKFMAVKSDGTISIYESASLREVRSFTVANVTATEISPCGTYLQTFQKPTTPQEKNVSLWNTDTGDLAHSLYQKSITKTTWPSIRFSPDESSACRLATNEVQFFDPKNFSKGITSRIRVPGVAAFELSKTPASHVAVFVPEVKGSPGSVQIFGCGKDAESQPSARRSFFRCSSVQFSWNHGSTGLLVVVQSDVDKTNKSYYGETKLHYLTVDGTHEGLVPLRKEGPVHDVQWSFSGSEFAVVYGFMPACVTIFDKKCKPLMELGEGPYNTLRWNPKGRILCVAGFGNLPGDMTFWDVVSKKQLGSNKAEWSVTSEWSPDGRYFLTASTAPRRQIDNGYVKTSCYNIEFGILSASVGHSSSEQIEWIKIFNYDGKRYFKKMFERLYQVEWKPESPDRFDEISELLKSKKPVASIPTIQRPAAYRPPHAKQAAAIQAELLGVNPEGEMSKNALRNKKKREKKKAAEAAAASGSNNA >A04p009510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7679808:7680524:1 gene:A04p009510.1_BraROA transcript:A04p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA delta isomerase 3 [Source:Projected from Arabidopsis thaliana (AT4G14440) UniProtKB/Swiss-Prot;Acc:O23300] MCTLEKRGDLFLLTLTGDDEHRFHPDTISSVLSLLEQAKSQSTRGSVLITTAHGKFFSNGFDLAWAQAAGSQTGAVNRMHQMVESFKPVIAALFDLPMPTIAALNGHAAASGLMFALSHDYVFMRNDRGVLYMSEVDLGLPLPDYFAAMFAAKIGTSIAKRELLLSGKKIKGEEAVALGIVDSAAHDSVEGVVEATVSLGESLAAKKWNGEVYASIRKSLYPGLCAMLSLTAKIAASP >A05p020520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9728167:9729116:-1 gene:A05p020520.1_BraROA transcript:A05p020520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL60 [Source:Projected from Arabidopsis thaliana (AT1G53820) UniProtKB/Swiss-Prot;Acc:P0C035] MDEESASNGSIFRNFEGEEMMGKVILFAIVSLFTAILFLLLLHLYARLFWWRVEHHLNLNLTQPDTPGSTVIGRNNQRRRFVFAQGQEDPPRHAALDSTTLRSIPILVFKSCDFKDGLECAVCLSHLVDGDKARVLPGCNHGFHVDCIDMWFQSHSTCPLCRNTVDQSSVLGFPTEPQNFPTNVLVWGDHNQVRSTGLVVTEDLGSSDHQHESTSSTNSCNRAQEVREVVVDIPVSSSEISSAEIIQEEEEPKSPMFTRLRSLKKLLSREKKGVVCINGSSGTNNSNL >A03p050230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21569387:21572572:-1 gene:A03p050230.1_BraROA transcript:A03p050230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF7 [Source:Projected from Arabidopsis thaliana (AT5G61270) UniProtKB/Swiss-Prot;Acc:Q570R7] MECLFRSNYGVKELTWENGQLTVHGLGEGVEPTTTSANLLWTQALNGCETLESVVHQAALQPNKLQSQNGRDHNNSESKDGSCSRKRGYPQEMDCWFSGQEESHRVGHSVTASASGTNMSWASFESGRSLKTARTGDRDYLFSGSETQETEGDEQETRGEAGRSNNGRRGRAAAIHNESERRRRDRINQRMRTLQKLLPTASKADKVSILDDVIEHLKQLQAQVQFMSLRANLPQQMMMPQLPPPQSVLSIQHQQQQQQQQQQQHQQFQMSLLATMARMGMGGGGNAYGGLVTPPPPLMVPPMDNRDCTNASSASLTDPYSAFLAQTMNMDLYNKMAAAIYRQQSDQTTKVNTGMPSSSSNHEKRD >A10p012120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10569418:10576255:1 gene:A10p012120.1_BraROA transcript:A10p012120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLIVYKYIMAVLKHDLTVLDHKKTPTSDPNSLEAHVLPNLPHEIVCKIIELVGEESFYNLGPFLRAGKRGYALAHEPSVLKECDVSEMEDRFDTCQIRQGCQFREFHLKCVSAGNKKAIYYEGLLTAPSIGLEESITILEPNVPMHGLSTLAVAIFNVCLGNDKEASKVFQLFAAYHHDLRSDETSEMGESIENQLKAFGAEDLNCNKYGESFKFPDDGLIKTPSKIPSMSTSSSSDGASYVDMLYSVNDSNFGIPDRCPCGSAIIIQITTEAAAIPKKYFVCKDFKNDGLQQEWTAAIEDETRRLKKTVDDHESRIRSLGRVEYRIDRIDHDAQKTTVRLHTLSHWYHPTSHILRFGISPSTGSLIHQIHHPLQHLKLPALCRQWLRTRETQALPVSPRINHLDTSLPRSPVGLTVERDLLAKFTHQTLPRLHDRSSSSPEPAEEEPRFMTFEARLQASRGYFTAGTPVPNPWSNRHLTELVLDDPGFAEARSIVENVGWMYTVLHVRPFCPRVVRECISNLYGSDAGVYIRGCRFDFDIVVINQLFMTLIVEQPHTWEDDDLSQAITFLTGGRCTHWEPFSLTQLLPQCNAKKASLFSLRVCKKQADINFGRLAYDQIIEMSHQSDADKKIILPNLIYQTLNLQRDILALPGDEPLIGQPQNVSGLEADLSLRRGRRGRIHSDD >A01p003210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1446553:1447885:1 gene:A01p003210.1_BraROA transcript:A01p003210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWDNNHQQQPNNHNSSNLQGIDVSGGGSSSGGMYVKVMTDEQLETLRKQIAIYATICERLVEMHKTLTSQQDLAGGRLGGLYVDPTIGHKMTARQRWTPTPVQLQILERIFDQGTGTPSKQKIKDITEELSQHGQISEQNVYNWFQNRRARSKRKQHGGGGGGGVGSSNNNNGESEVETETETLNGKRKMPESLRVLPDGNNGIGTTSTTSPRPEDLCFQSPEMSSDLHLLGVLSNPRDDHSYNLYDHVEEYGMSG >A04p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20397121:20398909:-1 gene:A04p035380.1_BraROA transcript:A04p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase BAH1-like [Source:Projected from Arabidopsis thaliana (AT2G38920) UniProtKB/Swiss-Prot;Acc:Q8GW10] MKFGETFTEYLHGEEEWFLEKCRYVEYKKLKKVLKKCKTCNSTRSDDKHIISSATSLSDSCQYQSCPWCDQMFFEELMKEAYDIAGCFKSRVRHLLHLHVATGMQRYMMRLRRCFTDEKQALIHEGHILIQYITMNAIAIRKILKKYDKVHGSENGKNFKLKMRAERIELLHSPWLIELGAFYLNSGLEKVGNFKNSFGRVSCENLNEDQPVMKLMLPNSIELEFDLVCAICLETVFNPYALKCGHIFCKACACSSASVMIFQGVKAAPQCSKCPICREVGVYAEAVHMIELHLLLKIRSKEYWKERMMGERSEMVKQSKMFWNEQTMQMIGF >A01p051650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28958652:28961066:1 gene:A01p051650.1_BraROA transcript:A01p051650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLSTVLIVFSLFLCFSSSSSSWDGLESYIVHVQGSHKPSLFSSHSHWHNSLLRSLPSSPQPATLLYSYSRAVNGFSARLSPSQTSALRRHPSVISLIPDQAREIHTTHTPAFLGFSDNSGLWSNSNYGEDVIVGVLDTGIWPEHPSFSDSGLDPVPSTWKGACEIGPDFPASSCNRKLIGARAFYKGYLTHRNGSKHAEESKSPRDTAGHGTHTASTAAGSVVVNASLYQYARGVARGMASKARIAAYKICWTGGCYDSDILAAMDQAVADGVHVISLSVGANGFAPEYHKDSIAIGAFGAMRHGIVVSCSAGNSGPGPQTATNIAPWILTVGASTVDREFTANAITGDGKVFTGTSLYAGEPLPDSQIPLVYSGDCGSRLCYPGKLNSSLVEGKIVLCDRGGNARVEKGSAVKIGGGAGMILANTAESGEELTADSHLVPATMVGAKAGDQIRDYIKKTNSPTATISFLGTLIGPSPPSPRVAAFSSRGPNHITPVILKPDVIAPGVNILAGWTGMVGPTDLDMDPRRVKFNIISGTSMSCPHVSGLAALLRKAHPDWSPAAIKSALVTTAYDTENSGEPIEDLATGESSNSFIHGAGHVDPNKALNPGLVYDLDAKEYVAFLCAVGYEFPGILVFLQDPSLYDACETSKLRTAGDLNYPSFSVVFGSSVDVVKYRRVVKNVGSNVDAVYQVGVKAPANVEIDVSPSKLAFSIETREMEYEVTFKSVVLGGGVGSVPGHEFGSIEWTDGEHVVKSPVAVQWSQGWACSWAYSELG >A03p065210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28461914:28462378:-1 gene:A03p065210.1_BraROA transcript:A03p065210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDPQAGRAFICLITLFLFLSIAVGGGCLIAYTILPYPPIWLSYLGIFFVCLPWFFWILTFVYRIISRTFGFRMVIGSGGNDNTANRETMPSDLDPPEKSLEPLDNDDPEDIAHPQGQVLVSMEGNQSKKRMSTSSVGSHESEMPLAISMAS >A06g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17562228:17565759:-1 gene:A06g506130.1_BraROA transcript:A06g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWLQVRNLILLEPGRFKGIRELSWDLLECLIDADLVLALVEKELLEFECKRLQIRQFGDNGDLLIPMWAGAWDEVVELFWLLYYVSWMSWFSLDVVCRILADGQAEKPLRCSLQWTSKSLYNALETNSNVHNKKRKETNQAN >A09g518400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55846051:55847970:1 gene:A09g518400.1_BraROA transcript:A09g518400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAVPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPETGSDTTRRDLWTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVRLNKSKSTDLRRQLERAKGQPQLPPPDTS >A10p035400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20333915:20338127:-1 gene:A10p035400.1_BraROA transcript:A10p035400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSTRTRAWRKSRDTKVNTTSRSRGTTTQTSTVGKSRSSIRRGELSVGHTMGASGGGGFWAVPARNDFGQVWSFASGAPPEMLFTQQQQQPATLFVRHQQQQQEASAVAAMGEASAARVGNYLPGHHLNLLASLSGGNTGSGRREEEDDSPAYIMMIILGEIIFKPTIITAGRSFTHSIKIRRKEHHKLVTEGVYVYKSEASEGFSHLVCGNAGDAREYLKEHYLKQFFFWETSLLPSRVSREF >A08p044880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24711936:24713492:-1 gene:A08p044880.1_BraROA transcript:A08p044880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETKEDGSGSSRNTVHKEKRAYLFREWTRIDVMRASAVGIVHLLCALAPFNYKWEALRFGFTLALVTGLSITFSYHRNLTHKSFKLPKWLEYPFAYSALFALQGHPIDWVSTHRFHHQFTDSDRDPHSPIEGFWFSHVLWIFDTAYIREKCGGRNNVMDLKHQWFYRFLQKTTGYHIMAFWTLVYLWGGLPYLTCGVGVGGAIGYHGTWFVNSACHICGSRAWNTKDTSRNVWWLAPLTMGESWHNNHHAFEASARHGLEWYQLDLTWYLVLFFQTLGLATDVKLPSEAQRRKLAFAR >A08p026360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16798263:16800833:-1 gene:A08p026360.1_BraROA transcript:A08p026360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG4 [Source:Projected from Arabidopsis thaliana (AT4G30860) UniProtKB/TrEMBL;Acc:A0A178UX33] MLDLDNMSMSASVSLTCCPAFLPAATGPELAKPIDPSENIAEDCNPEHKPMIPPAEEVRDINNAITVSNGRQDPSEKSKKGLVLEDHLKNWVKRRVESGVSESRCVLPFLVGAKRMAECLVCHKLVYPGEEVLCSVRGCQGVYHLFCAKESLGFHNLGKFRCPQHECFVCKQRTQWRCVKCPMAAHDKHAPWPKEILHLKDQPGRAVCWRHSTDWRLDTKSGDAQSEIEEVFCQLPLPYVEEEFRIDLTWKDSVAKDDLPPYVHIRRNIYLVKKKRDNANDGVGCTNCGPTCCRSCVCRVQCVSCSKRCGCPETCGNRPFRKDKKIKIVKTKLCGWGVEAAESINKEDFIVEYIGEVISDAQCEQRLWDMKHKGLKDFYMCEIQKDFTIDATFKGNASRFLNHSCNPNCVLEKWQVEGETRVGVFAARQIEAGEPLTYDYRFVQFGPEVKCNCGSENCQGYLGTKRKEPNCLVVSWGAKRRRVSHRPLAHKLQQD >A09p072880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55746546:55750631:-1 gene:A09p072880.1_BraROA transcript:A09p072880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCKTDKFFSVEPMRFLAFLLICSFSLALSADSDIGTDSVITREEINVTSAESNATNAKPKEDSFADMIDRALEKEFPENDQNDVPDPGSFNNSVADQQAVLETVARVKPKKNETKTKEEKSFFNLDNENGVEDTPRLIDRKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKLRVVRAVAIPGGLLQIFLFMCLSGITASLCGGKLTEGIFVGAFLSMSSTAVVLKFLMEKNSISALHGQITVGTLILQDCAVGLLFALLPVLGGTSGVLQGMLSMAKSLAILIAFLAALFVLSRTWVPWFLKLMTSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHMHFLWNHVDILVAAVLLVIVIKTVVVAIVVKVFGYNNRTAVLVGMSLAQIGEFAFVLLSRASNLHLIESKLYLLLLGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPDSSTEIGLKGGDLYHLESAKRISLMIQGSLHDS >A05p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8696029:8696336:-1 gene:A05p018690.1_BraROA transcript:A05p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVAASSRYHLSHSMLIRWPTTRGHYIVGIEEYLMYENINIGLHHVRTAATTSYDYGAYLYGLLMLCTENFNEGSTYSDKLR >A08g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4728814:4729199:-1 gene:A08g502100.1_BraROA transcript:A08g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSTEVTGNETDFTPARHSRRKAEQQRSTGSSMRSNDGRAMSGSKIREVRNADVAKEVMVSNRFGSLGEEEVTEVGRLEWNACGSNGLWCNGEQRKPVVYSSGL >A05p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4978496:4980720:-1 gene:A05p011570.1_BraROA transcript:A05p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRPLTEEEKELISLYGKPITVYDILQAFSQDKPRFLPRCLSYRIQAKKNKMANIGGIAIYNYMNCNNIILKSQVVKRTSCPFCPMKCGSLKGVKHHLMSSHALFDFNFRLSENGHPNFDVSVKPDAFTNGVLTYDLEEHNRVNTYLNRSKSRMRGQRGVPKGRKCCKIFEKYSEDVPRDKANEISHVNGDNIPSSLARTRLSGQTSDIQTKTQPEIGRSSKAKEPRAIGRKRLNPQRVGGERTDLRSRQFYHSQTLQPMTLEEVLSDADSDNEDDQEFKDFQERMKIDRLVDASDEEKRFMWLWNTFIRKQRVYADKHVPWACEEFVKVHAKELITPKLL >A09p009530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4906559:4908244:-1 gene:A09p009530.1_BraROA transcript:A09p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNCTANLDNLKDTALTLKCLGSSSGKPIRSSHHHHNLCSDVTTCPDGGCRLVLGLGPTPPLYYNNVSVNNNNNKVSASSGTVHELSSEDNSILQLGPPSATMDSFSELDCSSLTFTYTNAYNSQLSHHESEFSLGAAFSYRTASATSSHNRTTNPKKCRFMGCAKGARGASGLCIGHGGGQRCQKPGCNKGAESKTTFCKAHGGGKRCQHLGCTKSAEGKTDFCISHGGGRRCGFPEGCGKAARGKSGLCIKHGGGKRCRVEGCKRSAEGQAGLCISHGGGRRCQSLDCTKGAQGSTNYCKGHGGGKRCIFGGCTKGAEGSTPLCKAHGGGKRCMFDGGGICSKSVHGGTSFCVAHGGGKRCVVVGCTKSARGRTDCCVKHGGGKRCKCLGCKKSAQGRTELCKAHGGGKRCSWGGGLVCEKFARGKSGLCAAHNSMAQDKAGSKIGLIGPGLFSGLVSTTTDYSLSGVSAVSDCTDSIDRYPQQHLEKRQKVMIPMQVLVPPSMKSLRFSNTERPETDNKSSNSNGRNMLDFMIHEDRVHGGGLMSLLNGSLKQTLKR >A02g512870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35290242:35291325:-1 gene:A02g512870.1_BraROA transcript:A02g512870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKHYFRSRRLGLRLYPLQPIQEKSQKEEQDKRRRPVYQSKKKDDRFIPEEDRRKDCVQRFRDLRFWLNLLAFSLKTYHTIPVEIIEYQTGFRYGNGLPRLDIFHSMADLVIEPLLMMVNTVLSVLALVYPPKNLVVYLSDDASSQLTFYALTEAAEFAKTWVPFCKEFDIEPRSSLLFWQGLKVDEAAVDNRGGMVEVRCRLLAVGFCSYSKKTWNHSSKEKENIIVIPTLVYPSREKRPEHHQ >A01p021190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10324690:10325531:1 gene:A01p021190.1_BraROA transcript:A01p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDNHLRWGTTAPLAAEDEGEGRVQSSVNAVPFCLVATAVLISIFLLLALFERFMFIRQTTAPPFHPKLPPFASPKVYYTSSLFFAFTLLSTFLLVFNLYNLVKKHLKLIGVCEREISVLMPGEEVPTFIAQPCPPSYSRSF >A01p047300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26666571:26667771:1 gene:A01p047300.1_BraROA transcript:A01p047300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKVTKSEGNIPNSDNKLSLIRMLRGVVLRLFSIHYSRKCVSFFFGSWLALWPFLFEKINGTKVVFSGDKVPCEERVLLIANHRTEVDWMYFWDLALRKGQIGNMKYVLKSSLMKLPLFGWAFHLFEFIPVERRWEVDEANLRQIVSSFKDPRDALWLALFPEGTDYTEAKCERSKKFAAEHGLPVLNNVLLPRTKGFVSCLQELSSSLDAVYDVTIGYKTRCPSFLDNVYGIEPSEVHIHIRRINQSQIPNQEKEINDWLMNAFQLKDQLLSDFYSCGHFPNEGTEKEFNTLKHLINCMAVIFFTTICTYLTFFSSMIWFKIYVSLVCAYLASATHFNLRSVPLVETAQKAFK >A10p016410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3066360:3067878:1 gene:A10p016410.1_BraROA transcript:A10p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAKRDTSFLEQLILYVAGAAFTSLSLYVCARHFDPNRDAATKALKHKRELSKRLGRPLIQTNQYEDVIACDVINPQNIDVEFDSIGGLESIKQALYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVKVSNLMSKWFGDAQKLVAAVFSLAEKLQPAIIFIDEVDSFLGQRRATDNEAMANMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRFPQAFEIGMPDRKERAQILEVVLKGERVEPGIDYDRIAGLCEDYTGSDIFELCKKAAYFPIREILEEEKKGRQVSVPRPLTQFDLEKVLGTSKKTQVAASEYSGSSSHASVWRSPRDSEEVQAAINGISKLFTPRIVNHQSDSQDPEGDSE >A06g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13954128:13957296:-1 gene:A06g504440.1_BraROA transcript:A06g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRETKVTEEDIWTMFHQVREKMKHRITLTKKSDPGKFAIPCVGKGVEFPHSMCDTGSSVNILPRIMADQLEYESEYKTEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPSHCYQYFAFQPPSKRGHDDYSIGSWDERLETHKFTNTFPTSLDAVHSTSVDTHPRPAKQPLTSIDTHKGTSIDIRAAAKIQEQENIPSPTRKIHEEQSSQEHKFCRNYSAIDRCNWTHMATHIADILQVANGPDNLFSQQRGTPDIIQTDPNNHAGVATTEINPDLSCQPKGQASIDGTTETSIDRVTPTSIDRDNPTSLDRRYECGNRAFDMYRARKFTWEQRDEYGVYRDECGHARGVAGEMIPVTKDNIKKLLERASLFEESHICLPEHATSFTLTTLAPELYTKDEIDEMVFGICGAQEKLGEEFKSLAEDTHQPFDRGYNELFRCMAKMRTEIESLRQQLEKEATTSTSIDATHVTSIDVSLPTAQIPAEPQYVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATLINMCTFTSLDAKVSAMNERLRTYEDMHDPFISPAKSASIDRLQAKPVELLPYTAAEVDKITSKIYTALDTMEERLEKRCDDIYFPFNNKISGLDNHAEWLQKEVKAIQRQLTAQHQISASIDMALAKSIDGNSPRSTNEHIIASIDTESTPIGEQLIHKTVESMQKELSDLSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNDEATRSFIASWSIMCRDDVDACFPTSSCFSTQ >SC184g500040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:114732:114932:1 gene:SC184g500040.1_BraROA transcript:SC184g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGEPKDGNDTRYPGSYYLTWQTCQKNALGGRGFWRLLEEGRPTKKGLFIGEYGHKKWWFGMLS >A10p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20860681:20861737:-1 gene:A10p036740.1_BraROA transcript:A10p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHLLVEVMENLSHKNDLNSYCQRLNTPLPVYNTTFACTVSVGGKTFTSSSSTFTNRRSAEQDAAKLALQYLLNEDTSPTTNLRKLVCQDKTRCKMILNEFIDKIEMECVYETVQVEMGHVFVSSLVLNGTCYKGECGKNKKEAEPLAALAAILSLLDDPTYATHISEFINSKFSACDCSVTSNEFKATTSDGEQGGSGILVRKKKKDLVNSLPQIENQVNKTSLPQTEKQGGHGVLFGDTQCVTGHLPGVVTISRCSYVPLCQ >A08p026160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16705620:16707506:-1 gene:A08p026160.1_BraROA transcript:A08p026160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEQEGAVAETRDQNDSPVATATSDDSVKKKQNGFFSRIWNAMFRDKGDDFEKRLAYISKEEANVLSRIKRRSITWRKLTRNLVLSSLFFEVIAVGYAIMATRTKDLDWKMRSFRILPMFLLPALSALAYSSIVTFSKMFDRRDQRTLEKLRAERLDKINELKERTNFYITQQLIERYDPDPAAKAAAATVLASKLGADSGLKVVLGDESQVDPAWGKSNDMEVNQSRGLRNRRHPNTRPHSSASTSTHHSDDESRHSGASERLLGTAEQNQQMDLTHYSPEGYAAPDGSWISRIAALLVGEDPTQSFAIICENCHMHNGLARKEDFAYITYYCPHCNVLNKPKYSEENPLLLPPVPAPLVTDSPSLIETSEVVNSSSSSSERGNSPIPEIKEETATTETGTPS >A01g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12077058:12077699:-1 gene:A01g503990.1_BraROA transcript:A01g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVKDYNQYVLRNLDRGYSREELGVSFEKRLRVNVKLKKLQEKVKEQQEKIQTVAKTAGMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDGIQQRLLRQESEDAKEDDESE >A09p016690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8741352:8742488:-1 gene:A09p016690.1_BraROA transcript:A09p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDMNPDMIIEILSHSPASLVGKCRLLNKECNKRTYDSSFLKLNLQRTNSVSGYFLEFSERLRVHSAFVKDLGNVPRGSDEISLDFLPPGRVSIKACDASHGILLCVNDLPVKGRQPEYIVCKPTTKQYMILPKPKTRYFTIALGLMVIGSDPFRYKILRLSQLPGNENRRYNFSFTLVCEVFNSDSFAWKRLNNVELPKEDLLVPRAANPVASYGYLHWLTTSNNVFRFCFKTNSWSFFPVPENLASDDSLKLTRYDGKVGVISSRSKEGVDYQDLWVLERSFGDSWVNVKEIKSIGLEPVGFSSNDVVTLADLDGMCSYNMNNGKSQKLQIRAPKFFPSYYWTMSYFPFYSDYERVELNGRSNGLSTLSTRHKT >A07p033030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18068059:18070450:1 gene:A07p033030.1_BraROA transcript:A07p033030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAIQTRGILSLPAKPIGARRSLLHPSHGLKHRLFSSKPRTPPALSLSFKKAQSFEPTISISHKERSHEFICKAEADGGALLNEGDTAAVVPSPKIFGVEVTTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIIPFIIYFGAFGFVMYPLSNYIHPEALADKLLAALGPRFMGPLAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSLKKKNKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFDKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGMILLVIVTAWLAAAKSLEGQFNALRSEEELEKEMERASSVKIPVVSQEEGGNGSLGESTSSWPEKSAPTNI >A01p049970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28049046:28051224:-1 gene:A01p049970.1_BraROA transcript:A01p049970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERAD-associated E3 ubiquitin-protein ligase HRD1A [Source:Projected from Arabidopsis thaliana (AT3G16090) UniProtKB/Swiss-Prot;Acc:Q9LW77] MIRLRTYACLSFIATLSIIHHAFTTRGQFYPAAVYLSTSKISLMLLLNMCLVLMLALWHLVKLLFLGSLREAEVERLNEQAWRELMEILFAITIFRQDFSSGFLPLVVTLLLIKALHWLAQKRVEFIETTPNVSKLAHVRIVSFMAFLLVVDGLFMYSSVRHLVRSRQASVSLFFSFEYMILATTTVAIFVKYVFFVTDMIMDGQWEKKPVYTFYLELIRDLLHLSMYICFFFVIFMNYGVPLHLLRELYETFRNFQIRVSDYLRYRKITSNMNDRFPDATPEELTASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQQTCPTCRALVVPPENGTSTTAAGQRGLHQGSQQGTSSSGAQGSETRSSAGGSNDSLSRHHARLQAAASAAAMYGKSMVYPSANTIAWSQPGTEKASTEAHHHPSSSSQLPGENSRAYANIPEAKLENMKNSLETQLEVLRNRLHFLEKRKVETTGEADNKGKTVVDASVDGAE >A05p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5563110:5563665:-1 gene:A05p012710.1_BraROA transcript:A05p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIFY 5B [Source:Projected from Arabidopsis thaliana (AT2G34600) UniProtKB/Swiss-Prot;Acc:O64687] MEMQSNCDLELRLLPPCDPRSSENLQPKQEPQKMVIFYNGHVFVSSDLTHLQAKAILSLASGDMKEKSLSLESSDGSDPSTVPNILTRKASMKRSLRSFLQKRNVRIQASCPYHHS >A01p036020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16677479:16678551:1 gene:A01p036020.1_BraROA transcript:A01p036020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Increased DNA methylation 2 [Source:Projected from Arabidopsis thaliana (AT1G54840) UniProtKB/Swiss-Prot;Acc:Q8RWL4] MSGTVRELVFAEKSEQEKVLISLDIEDDKLFLLHFIIGNYFRPDLHDDDGNKRKQSAFQIQASSNLPTKEELSASLMKRAELERVYYYVLRNADPSLVFNRKVLSRYFNEKRNASNVDFPLFRDLYPLKLHPESRIRNQYKLIKSIVFINDPDTSCMREDCVDRFKLLTGLQSFTLSLNIDVTEVDDEPLETLGNHDESEPTLEVNGVIIADAQAEQMMGLMDIGECADAYLFRVSLPGVKRDERHFSCEVEDSGRVLVRGVTTTGEKQVHRYSQVFKMKTHNLCPPGHFSVSFHLPGPVHPQEFTGSFGTDGIFEGTVMKKLQKQTVLP >A07p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:936284:943996:-1 gene:A07p007500.1_BraROA transcript:A07p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNAGSTARSFVSAAARAPSLRSPTAALPRLRPSPSSLPGRRSSFSLPSRNIGALGCTQSFLPLYSVVAASQLTSHLNVNLRAFCELYLKRLRVYNASVHASRHLFKWADECLVEEVEDMKSVMSDMTKGISDLRVDVGRLEKELGKAEKMKCLMFPMVMDLQRGIPRTCDCGAATIVLTSGTIKNPDRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKIIE >A05p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20394610:20396195:1 gene:A05p036050.1_BraROA transcript:A05p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGIYDLHLLSVQSASSLAAHKLEEEILSKENELQIRLCFRIRWGFRSDYRGWIDETTIAQTKSSTCILFAVKHVILYGFQDYAPENAPALALNAQIEKTKRRFNNYGKYGRMCGADGLPHLMVNGDQRHWGEFITPGLLFLYIAGWIGWAQTEAVHLLCGAKTQSNPENTVGILTMAGLHVGECNLTTAIQIAQLAFKHCQNKNQRERIIVLAEWKQAESMGGDEPQQRRRTAKVQRQHHWIMLPRDWIKCNTDGSFLDENLMELLGGFYVTV >A04p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1325563:1327004:-1 gene:A04p002760.1_BraROA transcript:A04p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNGEKSLIVSFGEMLIDFVPTVSGVSLSEAPGFIKAPGGAPANVAIAVARLGGRAAFVGKLGDDEFGHMLAGILKQNGVSADGINFDTGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSKEEAKKQILSIWDKAEVIKVSNEELMFLTGSDNVDDETALSLWHDNLKLLLVTLGEKGCNYYTKSFRGSVDPFHVDAVDTTGAGDSYVGALLCNIVDDHSVLEDEARLREVLRFANACGAITTTKKGAIPALPTVSEVQALLNGN >A03p052970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22715869:22717540:-1 gene:A03p052970.1_BraROA transcript:A03p052970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSLTLASPTLSYALPTTTFKSRASPPLITTASLSLSRRPELNQDRRRSIKAMSRDIRTPDPPQAMMELVDESDFEKLISSENRISITGFGSLLSERSARSTFPDLENFRVAKLQGFRRVFAHAAPIFFERGIANLQTKEISSLSVEPCEGESLVVTVFEIKQTEIPAFIQRELEFRFLAVVPETLEGKPFTHSAVLCGRYSDEEFFRIRCKGNKEVYFQHYGRFNIDKIWRDDILPCRLYLRHCVLAAKNLGDEAYNNFLDHTFLGDRITTIREYLSSKGSGIMEEEPPEALKSRYGG >A04p022490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13567787:13578786:-1 gene:A04p022490.1_BraROA transcript:A04p022490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein KINKY POLLEN [Source:Projected from Arabidopsis thaliana (AT5G49680) UniProtKB/Swiss-Prot;Acc:Q6IMT0] MMLTLVTMIVVIVALVWAFFKSLPWILRNFAGINLDFQFDGWNCLKNVVLHFKKGSIESISVGEFKANLSQSLVELCATAFIQDPKVIFSICDLKIVTRPPSSSSKRPRKSKTRKSGSGGGGGKGKLMLLANIGRFFSVSMTNITVQTPKARAEIKELELDLSKDRGSASFFIKLYLLPISVQIGEPLVIPTHSPDMNSDILLAKQAPEGSSSPSIHCEKVSFSCEFGHNRHSSSSIKNVEVDISDTILNLNEMMLAKKKSPTSATSTGESIGSSSSHTASEKPPKQPVNVLVAKHAPKLPEKVSFGLSKLDIRFVHQEHDFSMANSITGLHLKSSKSQSSEKGKEEPCLDVVIELQKMHLIRESEVSVLEMSKLEVSSKVYCPVQESSPVRAEVEVKLGGIMCNVIMARFEPLLRLHFSKKKKIVLKEEKPTIPKPESSGFKPVVWKCATSIPDVKIVLYNLESSPIYQLSSDSLLVTANNMSSKGTCAQLELSELSLGMVDEQGGCLNESLFGLESSSGSLINIRKVKLESGKKEEAGGSLGKQTMVANVSEISLLFSYKSFETLVVNAMSIQGFVKRLTSASNKNTQPHKPKKPSSGKGTQLLKLNVERFSLNFSGDSSLDSTVIEDPKRVNYGSQGGRVVISVSADGTPRTATVSSTLSKEHEKLKYLISFELLKFGFTLNKEIQSTQVELENAKSVYQEFLDEPHPVSRVTLCDIQNAKFVRRIGGVKEVAICSLFSAASIVVRWEPDLHISMVELGLRLKSLVSTQKLKQQGNKSPEEQPPTTTSTTSSVDKPKKKEAIFAVDIEMLKISAEAGDGVEAEVQIQSIFSENVRIGVLLEGFMLGFCGCRIFKSSRVQISRIPSNASGAPWDWVVQGLDMRICMPFRLQLRAIDDAVEEMIRALKLVTNATNKLIFPVKKESSTTSSKKPGSKKFGRVRFGIRKLGFDIEEEPLQGWLDEHYHLLRKEACELAVRSKFLDELISSGSSQVSKAEGEESSDGGGEKKKISFEGDEVDVEDPVAMSALKEKLYKQSFESYYRSCQSLKPAEGSGACKEGFQAGFKMSTSRRSLLSVSVTDLDLSLTAISGGDDGMIEMVRSLDPISQEKDIPFSRFYGSNLVLKTGTLVVQIRDYTFPLLSTALGKCEGRLVLAQQATAFQPQVLHEVFIGRWRKVLMLRSAGGTTPGMKTYLDLPLHFEKGEVSFGVGYEPVLTDLSYAFTVALRRANLSLKGPGLILPPKKEKSLPWWDEMRNYVHGNTTLSFSKTKWTILASPDPYEKHDKLEMTSAAVEIQQSDGRVHFSADEMKIYMTSFESLAKRYPNAPPCPASYPFLEAPRFSLEVRMDWECESGSPMNHYLYALPVEGKAREFIYDPFRSTSLSLRFDFTLRPERHNPSELKPKKGSIPPPTLNIAAHDMAWLIRFWNMNYLPPLKIRTFSRWPRFGVPRIPRSGNLSMDRVMTEFMLRADVSPICINHKTLDPENPARGLTFSMSKLKFEMCLSRGNQVFTFDCVRQTLDPVYQGVDLHVPKAFIKKDHEAVKMTRTSSQSGSTGKASDGPEKHPDEGFLFSSDYFTIRRQAPKADPERMMVWKEEGKIYREKVDAKPTNEKDSESDQENSHSDPSDDDGFNVVIADNCQRIFVYGLKLLWNIENRDAVLAFVGGMSKAFQPPKPSPSRQYAQRKLLEGNQKSSESEAPQDENPTSQAKEPVEVVSSPSKEPIKTENFASFPLEATNGSEEEGTRHFMVNVIEPQFNLHSEDVNGRFLLAAASGRVLARSFHSVVHVGYDMIEKAVQNENEPDKTPENDGTDMTWTRHEVSMMLEHVQAHVAPTDVDPGAGVQWLPKIRKSSPKAKRTGALLERVKPLKELTFNSRNITASMTSRQFQVMLDVLSNLLFARLPKPQNDSLKLSGEEEDEGEEEIDEVVPDGVEEVELAKVELEHKERDKMLLLDDIRKLTQKESNSRNKSLEKESDALWMITCGRPILVEELRKGYLDVRQSRKAAYTALRVAVKNAAEIRLLEKDKNKRPSSAMRISLQISKVVWSMVLDGKTFSEVEIHNMIYDFNRDLRDIGIAQFTTRYFVLRNCLPNANKVMLQVEIYPLKIHLTEAMYTMMWEYIFPGEEQHSHRREEVWKVSTTAGSRRVRKGSFAQEAAALLSTSDLGQGSKNQKSRSIRSSGAELRRTSSFDRTWEETVAESVANELVLQSMEHQGESSKSKLKDSKTAKAGRSVHEEKKTEKSLEDKKSRPQKIMQFQTIKISQVELLITYEGSRFVVNDMKLLMDTFHREEFSGTWRRLFSRVKKHIIWGVLKSVTGMQMKKFKDKTHVPKEENTLKDKDESGRLDPDSGGLVKRPGDNAGDGFVTSIRGIFNTQRRKAKKFVLRTMRGEAEESFPGEWSDNEADFSPFARQLTITKAKKLIRRHSKKFQNQNTTKGSKKLQLSPTLSPKEEDRYESDSSSGSSAYEEFLDQNQMLT >A06p054710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28665745:28668186:1 gene:A06p054710.1_BraROA transcript:A06p054710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKASKKRAKDVANDVNAAEVAMAKAVQSLQEIFREHSEQEIRAALLECNMNQNFAIDRLLSQQERSNEQGASTQLPDSSTTSSTVLVPSQSDVTNGETRSVPTSSNEAAPSLPVPSSISDVGEETRTVPISSSEAVPPLSVPSSSDVTNGETRSVPIRSNEAAPSFPVPSSSAVTNGESRSVPITLIPPLSVPSSRPLLPNVKPKRQESRIISATGPPMAEVLRGLHVPPKQNVTKAPLKADDSPLKPDATNNPISNSSTESGAARNPQHSAFPMPTRQQSIATDAFGNYPISEPHINNQQAMNRYNSLGHEVPIEQYGNVMNSPYFWRQAQNERYNVPSSAPFQQRGGGSNSNTLAHHGSMMGSPYTWLEPNDTSIYESLNSVFAPYRNSTLPLSATSSVPTYHDSAYGGGMLSGSNSRFGYEDVSRNHFPSNSSGGTSTGPSSHGSDYYGRGMSSGNGSNSRLGYEDVSRIHMPSVQGRIPFEWPVQGEDSYRSIPPPRSQQEREAYFERIGADFLASSLDQEYNRRDQTQQRPRDK >A03p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13952826:13956622:-1 gene:A03p032930.1_BraROA transcript:A03p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHDFEADKALAKEFLANFTDASGGSKYLEILQEVANRKTRAIQVDLQDLINYKGFDEFHEFMTRLTENTRRYVSIFSSAIDELLPEPTEAFPDDDHDILMTQRADDGTDNADVSDPRQQIPSEIKRFYEVYFKAPSKGRPSTIREVKASHIGQLVRIAGIVTRCSDVKPLMAVAVYTCEDCGHEIYQEVTSRVFMPLFKCPSSRCRVNSKAGNPILQLRASKFLKFQEAKMQELAEHVPKGHIPRSMTVHLRGELTRKVAPGDVVEFSGIFLPIPYTGFKALRAGLVADTYLEATSVTHFKKKYEEYEFQKDEEEQIARLAEDGDIYNKLSRSLAPEIYGHEDIKKALLLLLVGAPHRQLKDGMKIRGDVHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVMRDQVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLELAKHVLHVHQTQESPALGFEPLEPNILRAYISAARRLSPYVPAELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSESVAQSDVDEALRLMQMSKISLYADDRQKAGLDAISDTYSIIRDEAARSNKTHVSYANALNWISRKGYSEAQLKECLEEYAALNVWQIDPNTFDIRFI >A09p043120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35070357:35071478:1 gene:A09p043120.1_BraROA transcript:A09p043120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32990) UniProtKB/Swiss-Prot;Acc:Q9MAP3] MASSSLSTLCSSASSSLHPKSNSLSAKLSSKANVSVQFLGKRQPPLLSSTPRFLTVIAMAPPKPGGKAKKVVGLIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKDPKQDKVGVITIDQLRTIAAEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPVLEPKKKAVLL >A08p030130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18464705:18466084:-1 gene:A08p030130.1_BraROA transcript:A08p030130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLAVALRSSMCIIVKSAGLVPHTVETEPGTKVNFWLPKNSCENSAGKPAKPAVLLIHGFAGDGVMTWAFQACSLSKSYSVYIPDLLFFGGSYTDKPDRSPEFQAECMVKAMSILGVDKFVPVGFSYGGVVAFKIAELYGNMVKALVVTGAPPIMTDSNVNRFGLSSMSDVLLPKTVKGLEFLLSVSLHKRIWLPSWPLKDYLKTMFTNRKEMAELLEALIINKDTTTLPSFPQRIHLLWGENDLFFSVEFAKDLQPKLGEMTSMESIKNGESLDEDNARPARKVSLKLIRRD >A05p040550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24798600:24800240:1 gene:A05p040550.1_BraROA transcript:A05p040550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSCKKEAITKVEAAAALDSKTKPSKKSKRDVEVDLDIPKDAKKQKKELSQAVQKAPPKKNKKKKKKKNKNKNTNVEMVEGEQKPIAKQSKTLFAGRLPFHIEKSDLENFFKEVGEIKDVRLAKGIAHVEFASEEAAQKALKLNGEPLLGRNILLDFANTKPAPRPRNLVKTIFVTGFNKSLSEDEMKTALRAHFSACGEIKRISLPYYQETGDSKGVAYLDFGEDGFNKAMELNGSEVGGRNIVVIEARPKEKNADRNSGRGNDDSSKRGPGRGNGEMRP >A08p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:887805:888495:1 gene:A08p001500.1_BraROA transcript:A08p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:17.4 kDa class III heat shock protein [Source:Projected from Arabidopsis thaliana (AT1G54050) UniProtKB/Swiss-Prot;Acc:Q9SYG1] MSAVAINHLFGLPETIEKLIFPTSRSGEGNETRGGSNNNIPIDILESPKEYIFYVDIPGISKSDIQVTVEEERTLVIKSNGKRKREDHDESEEGCKYIRLERRLPQNLVKKFRLPEDADVEAVAAKYQDGVLTVTVGKVPPQPPKSKTVQIAVS >A06g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19006778:19008485:1 gene:A06g506830.1_BraROA transcript:A06g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFTLFADVKAWRCPNTFEVRLLHFLGGEEHVRKVSELMSLDMEHNHRQYIRVQCVMLTLRLKSYGKELKIVLATNINLKIVGGRLVLKATSGTHIYFDSETAAGKEEFDNSVHCRLTGDGTDQTASSLKLVDTELPRSLAEIVGNTYTFQLKLKDFNFTSKHQTFTISGVFPSRELAPAPAFVVNEGAQVPEASQPEVVATGSDVKVDNTCSVRGAIYIGWFTCRTYSISQ >A07p019570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11635427:11637760:1 gene:A07p019570.1_BraROA transcript:A07p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRQEMAIEEEVAFLEDYVSDSVDYRGVPAGKLSTGGWRSAWYIIGVEVGERFAYFGIASNLITYLTGPLGQSTATAAVNVNTWSGTASMLPVVGAFVADAYLGRYRTIVIASLIYILGLGLLTLSAFLIIIRISEQRNDSVKTFFWVNILFFCSLYMVAIGQGGHKPCVQAFGADQFDSRDSKERISRGSFFNWWFMTLSAGITLSFLVVVYVQDNISWALGFGIPCLFMVMALALFLLGRKTYRYPKEDHKEKNALARIGRVFVTAYKNRKLNLADPGLGESLLEDGSSQKCRGWLECLGKALLPGEGGVEPCNRKDVEDAMALVRLIPIWITSVISTIPYAQYSTFFTKQGVTVDRKILPGFEIPPASFQSFIGVSILISVPTYERVFLPLARYITKKPFGITMLQRIGAGMVLSSFNMVVAALVETKRLEIAKEYGLVDRPDATVPMSIWWFVPQYILLGMIDVFSLVGTQEFFYDQVPTELRSIGLALSLSAMGLSSFLSGFLITVINWVTGKDGGDSWFNTNLNRAHVDYFYWLLAAFTAAGFLAFLFFSRLYVYRRVDQV >A09p075960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57039779:57041310:1 gene:A09p075960.1_BraROA transcript:A09p075960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQNPVVSRSVEEVFGDFRGRRAGLLKALSTDVRKFYHECDPDKENLCLYGLPNETWEVNLPVDEVPPELPEPALGINFARDGMPEKDWISLVAVHSDSWLISVAFYFGARFGFGKNERKRLFQMINDLPTIFEVITGNAKQSKDQSANNHNSSRTKPSGVKASKTSPPPREDDDSGEDEEDDEQGAVCGACGDNHDDFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPTCSTNKKIKA >A03p042400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17760774:17762185:1 gene:A03p042400.1_BraROA transcript:A03p042400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNRGFGRVKDERKNLSFFKIFQSADLFSESMRAMPYNFMKNISKEDFSYKMVIRAQWGSSWEVDISMNPRFYYMEKSGWNQFVTDNALGENEFVTFTHKGLMRFNVNIYGKNGKEIVTPRKPHTTTPFSGIKKEEDVKKEDESMGVEVEIEVEKKKRAVEVGESSRGAALKKKKAEKPKPSKKKKKMKRNKVKNGVPEFKITITNSYLKFLAIPKKFEEAYIPDESKVYMIHHSEGKGSWEVLCLVRETRTIFSSGWCRLAREYPLAAGDRCTFHLVKPDEFVLTTKKAREEITVIE >A06p048690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25791779:25792330:1 gene:A06p048690.1_BraROA transcript:A06p048690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRSRQSSSATRISDDQIIDLVSKLRQFLPEIRERRRSDKVSASKVLQETCNYIRKLHREVDNISDRLSLLLDSVDEDSQEAAVIRNLLM >A08p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1811907:1815041:1 gene:A08p003230.1_BraROA transcript:A08p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRSITSSGIWRYLNPAYYLRRPKRLALLFFVFVSVSMVVWDRMNLAREHEVEVYKLNEEVLRLEQMLEELKGVGNGKTLMTQKDVPQNPVDIERTQKVKEAMLHAWSSYEKYAWGKDELQPRTKDGTDSFGGLGATMIDSLDTLYIMGLHEQFQKAREWVATSLDFDKDYDASMFETTIRVVGGLLSTYDLSGDKLFLDKAKDIADRLLPAWNTPTGIPYNIINLRSGSAHNPSWAAGGASILADSGTEQLEFIALSQRTGDPKYQQKVEKVITELNKNFPADGLLPIYINPDNGNPSYSTTTFGAMGDSFYEYLLKVWVQGNKTSEVKLYREMWEKSMKGLLSLINKSTPSSFTYIREKNGNNFIDKMDELACFAPGMLALGASGYGPDDEKKFLTLAEELAWTCYNFYQSTPTKLAGENYFFNAGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNTGAKDNKMQSFFLAETLKYLYLLFSPPSVISLDEWVFNTEAHPLKIVARNEQRKPTITLRQRRFGGIIKG >A08p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:423488:424828:-1 gene:A08p000670.1_BraROA transcript:A08p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRGTHEGLGGLLDPRCESKAGFTSWRNVRCRPEVHHLIDYESKTWNEPLLRELVRADDERTGFRCQVDASWVHEGQKSGMGFILMDGEKKILVGMKNCPNLTSPLQAEAEGLSWAMKKMLEEGHVSVHFETDCAQLIKLIQSLEEWPAMAETIEDILIISRGFVHFSFSYLPRARAGSDCFSITFVETPVWLAHVARLLE >A01p022570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11114171:11114341:-1 gene:A01p022570.1_BraROA transcript:A01p022570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMKSCFVFTMGTAYGVYVAQNYNVPDVKKLTNTVRVILEHIEENYRKPKKDDVV >A02p058180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34966282:34968428:1 gene:A02p058180.1_BraROA transcript:A02p058180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKESSPVIPLLLLLLLFPLLLLSQVSGESESSREGNAPEIHCSRHRSRAAWQIIQDYLTPFVERERYQIPNKCRLHPDNDLYRDQEQHKVHVDIYEWKCGYCRKSFNEEKFLDQHFDTRHYNLLNTTGTKCLADLCGALHCDFVLMSSKKGKSKCNPAAAAKNRHLCESLANTCFPVSQGPAASRLHEHFLRQFCDAHTCTGKNKPFPKGGKKKSGVFYLAISILTLMLLPLFYLLVFLHQREKRTGTQVLRRIVKTGKKTKPS >A02g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19774701:19776313:-1 gene:A02g506940.1_BraROA transcript:A02g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVSYRCLEELGRYAATELWLEPGRYVATKRDGRSARSLRSDRARRTLCSDRAWLGRYKLGLGRYVATERDGRSVAIDRARRDRAWLRLGRYVATKLCACLVAAYRSSLACPRSDFHTRACPRPIWIQALRKDIFTKITFRKNVYADFYRLSDIDSVVTDFDPNTVIRRVSADGILYGCRGKTTSCRLFFARCKLAGFSEAVRILAKRQILGSRIRVFDTMPRDVRDQCAGFRARPRFTFGLRIVRNKLNGKKYRFESSRRIFFEKMLVRMTDWSSMKVFLSRKEISSKN >A08g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7072806:7075097:-1 gene:A08g504360.1_BraROA transcript:A08g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPMIPHSFNIHHPSQAITQTHTGQRQAYTKEIHIKPNLVPKPDLGLNYLRLDLTRSTVIGATLTRGPEEGTFNRPRPTIVQPPAEKLSLKITGTCTQQYQHAIIENIMHPSPSPSQTRSTLNSKWNSNKPTHSVLGEKLLSFRVTIVENRRLDGTGLLSGVSFGQVWMLRNDLGSWGIYRRHQPISFRLVAARVSLRMAPDASTATPRAPHGWLHVQDTCRTPPLLPDVRLHDWSSCKAPHILTHVDQHASIACVATFRAWPIHLVLHMACCMSRTHAGRHNSSQMSGCMTGTHARRHSITHMAGRMLRFHARRHLVLGRSTSCFYVSGCMDSFHARLHLPLVLTLSFLDG >A01g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25690695:25696647:1 gene:A01g509510.1_BraROA transcript:A01g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKQQPISGFALISLFSLPAACDRRKPLETLEFEDLLIVKGEGSIYLVIEKIILNPILILLNCMMCMTSRHTRRNAQGELATFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTGWRTRMAAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKQQPISGFALISLFSLPAACDRRKPLETLEFEDLLIVKGKGSIYLVIEKIILNPILILLNCMIFYS >A04p039060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22119090:22121302:-1 gene:A04p039060.1_BraROA transcript:A04p039060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQQNSAHINGENIIPPEDVAKFLPKTVEEGGWEKCWEDGVTPWDQGRATPLVVHLVESSSLPLGRALVPGCGGGHDVVAMASPERYVVGLDISESALEKAAETYGSSPKAKYFTFVKEDFFTWRPNELFDLIFDYVVFCAIEPETRPAWAKAMYELLKPDGELITLMYPITDHDGGPPYKVAFSTYEDVLVPVGFKAVSIEENPYSIATRKGKEKLARWKKIN >A08p019900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13483619:13484716:1 gene:A08p019900.1_BraROA transcript:A08p019900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETKDLYNYQYPSSFSLYEMMNLPSSAQSSYGNNGFDHGLHSSPGAHDSLLQKTFGLSPPSSEVFNSSIDQESNRCATNDVTGETPTRVSASSSSSEADHSGEDCGKSQRKRELAEDGREENRSSKTVLTHDMFRTAALDYGYGQSGYGNVNANPSSHQEYRQG >A05g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10752535:10752940:-1 gene:A05g503790.1_BraROA transcript:A05g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCNIDVKFSLRRSFVGFIWNDKEKVDGSAAGLYLRGTFDDGRRFHSSINQLHFPPFSPCRSTELADRRMTHVRYRYLRNSNWWLNEKLCVVRKRVVCCEEESCGK >A08p021610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14440509:14446486:1 gene:A08p021610.1_BraROA transcript:A08p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKQNQTYIDADDKEITQEDDDEEITQEDAWTVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESSDVEIRAESQHNPGHQPDFAERNYNISFGQIYLSKPTITESDGETATLFPKAARLRNLTYSAPLYVDVCKTVIMKGHDGEEITETQDFTKVFIGKVPIMLRSTYCTLHQNSEKDLTELGECPFDQGGYFIINGSEKVLIAQEKMSSNHVYVFKKRQPNKYSYVAEVRSMAENQNRPPSTMFVHMLSGSKGGSSGQFIRCTLPYIKKEIPIIIVFRALGFVADKDILERICYEFGDTQMMELLRPSLEEAFVIQSQQVALDYIGKRGAPVGTSKEKRIKYARDILQKEMFPHIGVGEFETPKAYYFGLIIHRLLRCALGRNPEDDRDHYGNRRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLHFAIKAKTITSGLKYALATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYVTVGSAAYPILIFLEEWGLETLEEISPADIPQATKIFVNGKWVGIHRIPDMLVRTLRLLRRRNDINTEVSVVRDIRLKELRIYTDYGRCSRPLFIVDNQRLLIKKKDIYSLQQRESAEEDGWHHLLVKGFIEYVDTEEEETTMISMTINDLVQARLRPDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEERKMGTLIKENFGRPDRGNTLGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQDEAQGKTSRYTRRDHSLSLRHSESGMVDQVLLTTNADGLKFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQDDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDATPFTDVTVDNISKALHECGYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVHVCETCGLIAIANLKNNTFECKGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTKDLKSAKGRK >A09p010560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5383550:5387184:1 gene:A09p010560.1_BraROA transcript:A09p010560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLSRTFRHNCRFRQQQCRRYKPPKSPPPPPPPPKLPKPPKKPQSFTFHDATWEDPYSWMSKLDDKVAMRHMDIYMEQEEKYTEAVLADTDRIQTKLQSEMASRLSFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLASLHDEFISHKSPAAGFDFTSGKRIEQKLLDYNHEAQRFGGYAYEEMSEISPDHKFLAYTMYDKDNDYFKLCVRNLNSGALCSKPHADRVSNLAWAKNGQALLYVVTDQKKRPFRIYCSTIGSTDEDVLLHEETEGNVHVNIRHSKDFNFVTVNTFSPTFSKVFLINAADPLSGLALVWEHNAPAHCIIEHHQGFLYLFTDASKDGGTLDHHYLLRSPVHFSNTPRIWETVFIDDPELIIEDVDFCKTHVSLIVKQMQSFKICVVDLPLKTERLPVRLRDTQPRYLPLPKHVSQISPGTNYDFDSPTMRFTISSLVMPDAVVDYDLLNGKWNIVQQQNMLHERTRVLYGTANSAESPNIPSGTRIVSFDTSEDTTAENDNLWNDLTEFYACDYHEVSSHDGAMVPLTVVYSRAQREENGKPGLLHVHGAYGEMLDKRWRSELKSLLDRGWVLAYADVRGGGGKGKKWHQEGRGAKKLNSIKDYIHCAKFLVENNIVQENKLAGWGYSAGGLVVASATNQCPDLLQAAVLKVPFLDPTHTLIHPILPLTAEDYEEFGYPGDIDDFHAIREYSPYDNIPKDVLYPAVLVTSSFNTRFGVWEAAKWVARVRDNTFNDPKRPVLLNLTTDIVEENRFLQTKESALEMVFLIKMMES >A07p052190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27570852:27573804:-1 gene:A07p052190.1_BraROA transcript:A07p052190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKALLPELWTEILVPVCAVVGIAFSLFQWYIVSGVKLTADRGASSESEDGKNGNEDYLIEEEEGVNDESVVAKCAEIQTAISEGATSFLFTEYKYVGVFMVLFAAIIFLFLGSVQGFSTKSQPCTYDKTRTCKPALATAVFSTISFVLGAVTSVLSGFLGMKIATYANARTTLEARRGVGKAFIVAFRSGAVMGFLLAANGLLVLYITINLFKIYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTAMLFPLLISSVGILVCLITTLYATDISEIKAVKEIEPALKNQLIISTVIMTAGIALVSWIGLPSSFTIFNFGTQKVVKNWELFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVQTVDVLTPKVVIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGFLFRIFS >A09g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10981172:10983137:1 gene:A09g503460.1_BraROA transcript:A09g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALVDLSKVRECRENSFWISLRKSFLSSYVMDVACYLENCPDLYWKDASAATRLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWLIDLQKLLDFGEVKISYISFFDIKKHETVNSRWDLELGQEQMRFDIGKEKEVKLVKKQASEVFLKDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQKCYMFGRRGSFNS >A07p045690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24764440:24765729:-1 gene:A07p045690.1_BraROA transcript:A07p045690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGSTQLSQKEADIKMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWEKLMMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPAQKWDVMVDLFFYREPEETKPEDEDEVAPQAEFGLPAPEYGGGDQWTTAAIPDAAWPGEAQAPISAAPAAGSWNESAAPAAAEGGWDAAVPPTTAVTNWE >A08g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16671090:16671902:1 gene:A08g508870.1_BraROA transcript:A08g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPNGKSPITSKDLSRRYSHQLISVVVYCHSRGAQKERMKQEITGTGTNGRVGEPVHGSIGKNRDVTEEKSSFDLAETKYGNTVEKRS >A01g500820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3027800:3028501:1 gene:A01g500820.1_BraROA transcript:A01g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIGVAIVPSGGPTGPKKGRVVAAGEFFGAGVDEDGNGDAESGGLGGGEVSGRDGVVGEGFGGGGSEGLGPRRRGGDGGGGEAVSTGGGDSDGSEGGGGVNVFTGGGSVSEGSGEGGGDGLAGRNGNGGEPEGVGGEGGGSSGGDGGGSSGGDGGVSTGGDGGASNGGDGGGGEAVGGNTVGGGANGGGEPNGGGRGDKGGAGGDDVAGGESAGAGEAEGESDMTKRSRFRG >A01p045320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25630522:25632556:-1 gene:A01p045320.1_BraROA transcript:A01p045320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RBL [Source:Projected from Arabidopsis thaliana (AT3G21060) UniProtKB/Swiss-Prot;Acc:Q5E915] MNAPIIDPLQGDFPEVIEEYLEHGVIKCVAFNHRGSLLAAGCADGSCVIWDFETRGIAKVLRDNDCAAAITSVSWSKYGHRLLVSAADKSLTLWDVSTGEKIARTTLQQTPLHARLNPGLSSPSLCLACPLSSAPMIVDFEIGCTTLLPVCVPEMPDVLAPPQRSKCPESGPPFSPAAACFNKCGDLVYVGNAKGEILIVDYKSVRVLALVPVSGGSAVKNIVFSRDGKYLLTNSHDRIIRIYENLLPAKDVLRSLEDLGKNVDGVDGVEKMKTVGSKCLTLFREFQDLVTKMHWKAPCFSGDGEWVVGGSACKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPVIVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLMPETGKVKVSSVNEDEEVDIETVEKDAFSDSDMSVEELRYLPAEPIPDTNEEQDELKLIEARISASPASEEAGQNGHVTDLVSSPQAEEMGETRGKRKRKPSEKAMELQAEKAKASKASGRTVREKSRAVGDQEIDESVNGGGDDDDDAYY >A01g505930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:17572862:17573395:-1 gene:A01g505930.1_BraROA transcript:A01g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTLMDYFPILESILELPEEIQALVVERVAGNSFTDLYGLRASCKTMNALAERSRVNHFYDVLSVPMRLNMPPELFKTCYAERNPSTLYMKGVQFFFTFNLQEEGLAFMKLATDERYECAVYTYTMTRKLFWGDEEYFARFTRESVDRIGKLVRSLKWTWGMSHNYEFLAKRDEFI >A09p022650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12472941:12473441:-1 gene:A09p022650.1_BraROA transcript:A09p022650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIYNAIESPCMCANNKEKEEKKRKGNLQTRESFQIRIMIETVFTSQTLMGLISDTKSFESITNDYFKILDLDGDGTLSPSELRKGLNRVVAVESEVASGEETDSVYEAIFERFGENLAPGKFRDLISEIMTAMARAFGNTPVIMVVHSDGLIMKAVRHESENAM >SC141g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:56849:59922:-1 gene:SC141g500040.1_BraROA transcript:SC141g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCAYLGRGSTDGTDLYGSVRTETTRGNTTWPFEMADKKKSGREASKWNQEDPLWRQAANESKDGADWLLWRMDRLALAVKPKGATTMNMYQSQVHLNLVEASTNLRAHYHVHFNQEEAVCSIRLFNTSRGRHVHPGVQKLSAAVPQHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWSAQGVFLVLHQSNRKRKALPIVMSNGQREDSRLLTSKLGPSFYPSLRRGVKCPEVLIWLCKDRAKSRRDLEECLGANGQVCILRARQYGWYGPVRIRTDRDNPRKHNLAI >A02p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8329015:8332049:-1 gene:A02p018170.1_BraROA transcript:A02p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSWRNDKNKIKAVFKLQFQATQVPKLKKAALMISLVPDDVGKPTFKLEKAEVKEGICSWENPIYVSVKLIKEPKSGIVREKIYHFVVATGSSKSGFLGEASIDFADFLTEAEPLTVSLPLKFANSGAVLNITIEKIQGANDPRLIEENKDQTLSNEDSFRSLPSNDDLEGYNQDVRERSLNVNTAKNVGLGGSFDSIGESGWMDGSEGNTRLPQRHNSVPATKNGHRRSNTDWSASSTSDESYVESRNSPENSFQRGLSLGTDSTDPVEKLKMELEALRRQSELSELEKQSLRKQAVKESKRIQELSKEVGHLKEERDEALEECEKLRVEKSRDEAEAERRMRCVSEDSSNMIEEIRDELSCERDLTSNLKLQLQRTQESNSNLILAVRDLNELLEEKKNEISSLNRDKDGEEVDKLKQRMEELDWEVESYKRKNEEQEILLDDLTREYESLKEEKHKHVEVSDSKDVIEELESQIQILEGKLKQQSLEYTECLITVNELESQVKELKKEVEDQARAFEEDMETMMREKTEQEQRAIKAEENLRKTRWKNAIAAERLQEKCKRLSLEMESKLSEHENLTAKTLAEANELRVQNKNLEEMREKEQREMTQEREVKKSVEEKSEALSMKVKMLEGEVLKLTKMREESSAAASETEKMIQEWRRERDEFERKFALAKEEAKTVQRELTLSKTSNDEKETRLGNLKREVEGLSLQYSELQNSFVQEKMENEELRKQVSTLKVDIRRKEEEMTKILDARMEARAQENGQKEENLAKLSDELAYCKNKNSSMERELKEMEERYSEISLRFAEVEGERQQLVMAVRNLKNGKKF >A07p002700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3645255:3646709:1 gene:A07p002700.1_BraROA transcript:A07p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGKCSKGKKKEETWGSPSTPVQIKINSAYTEHLTSYETACSEDPKLESFDSSLHERTTRVINKLASGVEIKSLSFDSLREVTQCLLDMNQDVVKVILQDKEDIWNNQDLFGLVNLYFESTAKTMDFCSELEGCLNRAKRSQVIIQFAVKLFEEEEDDGNNEDRKYEKTLEELKRFKLAGDPFTKEFFHLFDSVHKHQVMMLDELHKLKRRLDKKLKNIKTWRRVSNMVFVTAFVSVLIFSVVAAAVAAPPVVAAIAGALAVPVGSVGKWCNSLWTKYEKVVKGQKEIVTSVRIGTYISVKEMDNISVLVRRVEVEIESLLKNAEFAVAEENGVRLAMDEIKKKLDVFTDTIEELREHADKYCRDVTKARTVILQRIIRYPTGSTTEEATWTEMLS >A08g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11971837:11972286:1 gene:A08g506910.1_BraROA transcript:A08g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRQVLQPLLQYDPRTPPRASLSLSIRSASVSLSLYRRPHSYLIVILHVPETLVSKATCMDIFYNNKYSLALQVYTTPAQHLDSTWFSPLTTMSASATTSATISGRTSYIFICNYS >A01p016610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8206553:8214000:-1 gene:A01p016610.1_BraROA transcript:A01p016610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 13 [Source:Projected from Arabidopsis thaliana (AT4G24250) UniProtKB/Swiss-Prot;Acc:Q94KB2] MYGRHPLTGPLEINAADSITDDDRSRKMQELDRATLPPPLDATRRSWLLEPPQPKKKKYVDLGCVLVSRKIFLWTLGTVLVTTLLAGSITMIVRHMPHHKQTEPKPDNYTVALPGKLPEHNNVTWRGDSCLQDGKDQTGGFHKDLVGGYYDAGDATKFNFPMSYAMTMLSWSVIEYSAKYKAAGELDHVKELIKWGADYFLKTFNSSADTIDVMVEQVGSGHDDHYCWMRPEDIDTERIVTPCYDSCSHLGAEMAAALASASIVFKDNRVYSQKLVHGAKTLYKYADFVKDKNSKNQSREFYKSSLFWDELLWGGAWLYYATGNVTYLDHVTSHDMARKAGAFWNSPDYGVSSWDNKLPGAQLLLTRLRLFMSPGCPYEDILSTFHNQTGVVMCSYLPYFTRFNRTKGDLIQLNHGEPQPLQYAATAAFLAALYSDYLDAADTPGWYCGPNFYSTQVLRDFARSQIDYILGKNPQNMSYVVDFGQRYPRHVHHKGASIPKSVKKESCKGGWKWRDSKRDNPNIIVGAMVAGPDKHDGFHDLRSNYNYTEPTLAGNGGLVAALVALSGEETCRKMAEEENSLEYTPTWVVAFICFIIVLLSLLAERGLHHLGKYLKRKKQDALFEALQKLKEELMLLGFISLMLTVSQTAIRHICVPPALVSNMFPCKKPLEEEHHAPESSHSLIFNTRHLLSTGASPDHCTNKGKVPLISVEALHHLHIFIFVLAVVHVIFCASTMVLGGARIQQWKRWEDNFKKIPSQKDASKPGHAHAHHAHAHAHAHALHELFNANHEFFKMHAGGFWRRSVVISWLRSFCKQFYGSVTKSEYIALRHGFIMTHCPTNPSFNFHKYMLRTVEMDFKKVVTISWYLWLFVVVFLLLNVGGWNTYFWLSFLPLILLLMVGAKLENIISSLAVDVCEKRNRGEQAVITPSDELFWFHRPEIVLQLVHFILFQNSFEIAFFFWILMISPSYHQFTYGIHSCIMEKLGFLIPRLVMGVLVQVLCSYSTLPLYALVTQMGSKFKKGIFDDLVHSTLKVWLSDTRSKGESTSEARRMEIVPTIPESFNVQINEVMECDNP >A08p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14962107:14963338:-1 gene:A08p022700.1_BraROA transcript:A08p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTTEEDKKLINFILNNGHCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLRRGLLSHEEEQLVIDLHAHMGNKWSKIASRLRGRTDNEIKNHWNTRIKKKLVKMGIDPVTHQPLNQDPNSTDNPKNSCSVSDDISMVTKSSSTKNIETNGTRTEDESISTITDQNSSMDNDNHQLSNIYNDEELFSYLWSDESTKAETPWSDSNYDVGGTLYHDNNVSCAGADFPICSPERINGVDWTFQDYCQDFGVHDFGF >A04p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7611257:7615204:-1 gene:A04p009650.1_BraROA transcript:A04p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSSYVNHDRDVDQALIALKKGCQLLKYSRKGRPKFRSFRLSRDETALLWLSHGEEKSLKLSTVSRLLPGQRTAVFRRYLRPEKDYLSFSLIYHNGDRSLDLICKDKAETEVWFTGLKSLIRKNHNKQARSEVPEIHDSDIFSTGRPSTASLEFIPNNNTRRGRTSLDLGPRNNNSPIPFRDSDVASERISMLRPSTDGFRISISSTPSCSSGGSGGPDDIESLGDVYVWGEVWSDGISSSYNGTMNSKTVRTDVLIPRPLESNVVLDVHQIACGIRHISLVTRQGEVFTWGEEAGGRLGHGIQVDVSRPKLVEFLALTNIDFVACGEYHTCAVSTAGDLFTWGDGIHNVGLLGHGSDLSHWIPKRVSGPVEGLQVLSVACGTWHSALATANGKLFTFGDGAFGVLGHGDRESVSYPKEVKMLSGLKTMKVACGVWHTVAIVEVINQTSTSVSSRKLFTWGDGDKNRLGHGNKETYLIPTCVSSLIDYNFHQIACGNTLTVALTTSGHVFTMGGTSHGQLGSSNSDGKLPCLVQDRLVGEFVEEIASGDHHVAVLTSRNEVFTWGKGSNGRLGHGDTEDRKTPTLVEALKERHVKSISCGSNFTSSICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYAKLKAGGDNSNAANRYNTTPSAVRPVRETRSSRIILTPKTEPVKYSEVRSSRSESSIVRSSQVPALQQLKDVAFPSSLSAIQNAFKPNSTSRRGGSPPRSSGFSRSVVDNLKKTSGKINKEMTKLQSQVRKLKQKCDSQGIEIQRLRKTAREASELAIRHSSKHKAATEVMKSVAEHLRELKEKLPPEVSKCEAFESMNSQAEAYLNASEAAETSQDTTLSENSQDQNIEEHPSSSNGGAMMSQEPSNTTNINPHPSDSRPPAEASSSPSKSGGKELIEQFEPGVYVTYVLHKNGGKIFRRVRFSKRRFDEHQAEEWWNSKKDRMLKSYSHQVSSSGPMATESVPTPTSVQPPSSPTQPNSDDQEGGLK >A09p028160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16955540:16957495:1 gene:A09p028160.1_BraROA transcript:A09p028160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGVEMMQYASEMMTSSSSAVEAFDLKDASSWWSDVNKSPIWQDRIFHALALLYGIVSVVALIQLVRIQWRVPEYGWTTQKVFHFLNFVVNGVRAVVFVFRRDVQFMHPEILQHIFLDIPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPGFFTINAVVYVVQIALWLVLWWKPVRVMVILSKMFFAGASLFAALGFLLYGGRLFLMLQSFPAESNGRRKKLQEVGYVTVICSTCFLIRCIMMCFAAFDEGANLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIR >A08g510120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21835285:21846264:-1 gene:A08g510120.1_BraROA transcript:A08g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAWITMLLIALLAIKGEAKSDKECQCGVPRHVSWTMWKKLHQLRWRWIRMTCQARQENTCTCRPYAISFVFLLLLFIIFFSSSSCPLCRDMAIWFARSRNIVFSLRHNLNLSGILIKRDYSPRPAFTNSQLSSKSVFLDSFTSLRHESTAVEKQLDLVQQSDEEDPQELNFPGGKVGYTSEMKFIPESSSRRVPCYRVLDEDGRIISNSDYIPVSEKLAVRMYEHMATLQVMDHIFYEAQRQGRMSFFITTVGEEAINIAAAAALSPDDVVLPQYREPGVLLWRGFTLQEFANQCFGNKADQGKGRQMPVHYGSNRHNFFTVSSPIATQLPQAAGVGYSLKMEKKNACAVTFIGDGGTSEGDFHAGLNFAAVMEAPVVFICRNNGWAISTHISEQFRKVSFGPSKLLTVHRRVPPATPVDRSDYLRQQTPHRRRNSPQTDNEAGRTISTKLSNPLSKGTTKNFLKELSLSPSQIPLGIPGSAFFISLTKSFLEATSVNPLPNQIFPVKVSHSGQEAGSAREASLASAHNEPSHRERGQRSTAPFQFSASNQRQNFEKRPSKDLRETLHHRAYKDQPKTWQERSSYRRSNQARERARGVGDRSTHSYRTSESQRYLPPPPNRSYYREVPRKGIDTKDTGSSVSKQLSRPAKGGNPPENENDLNSVPHAALQAAIGEVRDVMLQYTRAADPTEREARIERVRQAEERGELEEAALHMLQASNNTISKDNTPANATPERRSASQRLGPAPQSHSTDRRKSSADLSTDNRERLPATLRLGPPPLELGQLSNERSEIQTVTTTERVPAPLRLGPLNLENAETRVVPEPEPTKRKPGRPPGPRKNTGENPSSKTRVIASKRKVTQKPSPIRRKITADSEGTTTIKKMTGRAKTGGTSRAGITTGSLVPWILWSLWKARNNFVFEGHSASPEETLSTAIRLAREWGVEVKKDDNPGSRQTPLELAPPCPGSLVVRSDAAWSYEANEAGLGWVIFSNAGNSSFKKPVYRVATPLMAEGLALREAVQSCVTLGVKAVTFQSDSSQLIKAVTGGVTTIELYSVVADIHSFVSVFDSVSFSWIPRERNVIADALAKAALIVAGDGIVVKGQAYGIRSIRVDGNDALAVYSAVRSAREMAVKEQRPVLIEAMTYRVGHHSTSDDSTKYRAADEIQYWKMSRNPVNRFRKWVEDNGWWSEEDESKIRSNARKQILQAIQAAEKWDKPPLTELFSDVYDVKPKNLEEQELGLKELVEKQPQDYPPGFQI >A01p048870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27481504:27487902:-1 gene:A01p048870.1_BraROA transcript:A01p048870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSTKEGDARKLLEQTRMELFRGRRSTAIVRSKVRRDFCETYGDNCQNVDRHCFEPASSFLPQFLFFFKAQSSGDFVMLVETCRLLRKFAHSSGDILSLFSGLDYSSEHNMVDFRVKKLAFTCIEAIHQNSRDRLRDQLLVTPEESTISTAILMDAMSLLLDPKLPWVCKIVSYLQKRNIFKLVREIVTTAKEGSRSPTMNGSILSLESVLFLVVPHVGREPCCCPVVDPRWSFSSMILTIPFIWQLFPNLKVVFANPSLSQHYVHQMALCIQKDTHVLPIDTNTEFPGYACLLGNTLEIANVVLSQPECSLDMAIDIASVATFLLETLPPIKSSERESRHSSSEEDDDMLIDDVQELVLNRTLEQQITSNAIDSRFLLQLTNILFHQVSGGTQSYDEDKEALAIATGSSFLYAAFNILPLERIMTVLAYRTELVAVLWNYMKRCHENQKWSSMPSVLAYLPADSPGWLLPLVVFCPVYKHMLTIVDNEEFYERGKPLSLQDIRLLIIILKQALWQLLWVNPLTQHNSGKSVSNDLSKKNRVDLIQNRVGVVVAELLSQFQDWNNRQQFTSSTDFQADTVSEYFITQAIVEGTRASYILMQAPFLIPFTSRVKIFTTQLATARQSHGSEEIFAGNRFRIRRDHILDDAYNQMSALSEDDLRGPIRVTFVNELGVEEAGIDGGGIFKDFMEKITLAAFDVQYGLFKVRMPVALLIQETVDHMLYPNPGSGMIHGQHLQFFHFLGSLLAKAMFEGILVDLPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKRYKGDISDLELYFVILNNEYGERTEEELLPGGKDMRVTNKNVITFIHLVSNHRLNFQIRQQSSHFLRGFQQLIPREWIDMFNEHELQVLISGSADSLDIDDLRENTNYSGGYNAGHYVIDMFWEVMKSFSTENQKKFLKFVTGCSRGPLLGFKYLEPAFCIQRAGGSVSNEAVDRLPTSATCMNLLKLPPYQSKEQLATKLMYSISAEAGFDLS >A06p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3267713:3268865:-1 gene:A06p009300.1_BraROA transcript:A06p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVWLYLKKSLSCCDAQKPSDPEKNLIQEMKNSSGCRRSMSNLRNEFVTFGDEGAMQNPSFRSSRSLESAKFINTMRFEGSGGASSSDLLSGRYSSERFDVIGSDICGFGALSCRLCRQRVRDLYAFETHYLTNHSVTRLLEGDFSRTTVELICNRGYSHKLGKTKGSNVSAILKVQNLQRVVAEFENYRELVKIRATKLSKKHSRCVADGNEFLGFHGTTLSCSLGLSSNSGSSNLCFSDQCGVCQILRHGFASKTRQDGIKGVLTASACYAALEGIEMERGRSRGGVKAVVLCRVIAGRVHKPMKKFEDPNGFSEFDSLALKVGSNAILEELYLLSTKALLPCFVIIFKPRNNTS >A03p042070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17598035:17599353:1 gene:A03p042070.1_BraROA transcript:A03p042070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAFGSFDDSFSLASLKAYLAEFISTLLFVFAGVGSAIAYGKLTSDAALDTSGLVAIAVCHGFALFVAVAIGANISGGHVNPAVTFGLALGGQITLITGVFYWIAQLLGSTAACFLLKFVTGGLAVPTHSVAAGLGAIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWVYWVGPLIGGGLAGLIYGNVFMSSSEHVPLASDF >A06p000470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:342588:344753:-1 gene:A06p000470.1_BraROA transcript:A06p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein At-B [Source:Projected from Arabidopsis thaliana (AT1G55590) UniProtKB/Swiss-Prot;Acc:Q9ZWC6] MEEVTKSVLAEEILKRLDLENLCSVACVSTALRSAVVSDVLPSLTALDLSGFSPEEETLNHVLRGCVGLRSLTLNCLRLSVAAVRGVIGPHLQELHLLRCSLVNSTVLSSIGTLCPNLRVLTLEMADLDSPLVFQSNLTQMLNGCPYLESLQLNIRGILVDATAFQSVRFSLPETLKSLSLQPLLESEAILLMNRFKVTGSCLSKAALLSPSPSFTLQSLSLVLDLISDRLIIAITGSLPQLVKLDLEDRPEKEPFPDNDLTYTGLQSLGYCQQLTSLSLVRTCYNRKISFKRINDMGIFLLSEACKGLESVRLGGFPRVSDAGFASLLHSCRNLKRFEIRGAFLLSDLAFHDVTGSSCSLQEVRLSTCPLITSEAVKKLGLCTNLELLDLGSCKSISDSCLNIVSALRKLTSLNLAGADVTDSGMVALGKSDVPIMQLSFRGCKRVSDRGISHLLSNEGTISRTLSTLDLGHMPGISDRAIHTITRHCKALTELSLRSCFHVTDSSIELLATRERQTQGGSKQLRKLNVHNCVSLTTGALRWLSKPSFAGLHWLGLGQTRFAGRRETVTATMCEQRPWLTLCFDGCELGCYDGWEFHTPQRH >A06p025400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14808589:14813959:1 gene:A06p025400.1_BraROA transcript:A06p025400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPKEIFLKDYTKPDYYFETVDLSFSLGEEKTIVSSLIKVSPRVQGSSAPLVLDGHDLKLLSVKVEGKLLKEGDYQLDSRHLTLPSLPAKESFVLEIDTEIYPHKNTSLDGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRVEADKSLYPVLLSNGNLISQGDIEGGRHFALWEDPFKKPCYLFALVAGQLASRDDTFTTRSGREVSLKIWTPAEDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRIYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFLFAKGAEVVRMYKTLLGSEGFRKGIDLYFQRHDEQAVTCEDFFAAMRDANSADFANFLQWYSQAGTPVVKVASSYNAEARTFSLKFSQEIPPTPGQPTKEATFIPVVVGLLDSSGKDITLSSVYHDGTLQTISSSSTILRVTKKEEEFVFSDIAERPVPSLFRGFSAPVRVETDLSDDDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVSDFQQNRPLVLNPKFIQGLGSVLSDSTLDKEFIAKAITLPGEGEIMDMMAVADPDAVHAVRKFVRKQLASELKTELLKIVENNRSTEAYVFDHPNMARRALKNTALAYLASLEDPSYVELALSEYKLATNLTDQFAALAALAQNRGKTRDNVLADFYNKWQGDYLVVNKWFLLQSSSDIPGNVENVTKLLDHPAFDLRNPNKAMNFHAKDGSGYKFLGDIVVQLDKINPQVASRMVSAFSRWKRYDETRQALAKAQLEMIMSANGLSENVFEIASKSLAA >A05p006810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2750745:2751730:-1 gene:A05p006810.1_BraROA transcript:A05p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGEKRREKNYLAAHGGPARLPPPPDRSKQDLLPSKLRVPHDSTKQVVEKKENNSKASVDAAAKNGSDIKSEERNDDGYTTSCDQENDVMLNNGDEKKKLKRKRNQVKDLRFEQELTELDGRSKRKERKKKYWEAKKQKKNQGKKEDTLRENFPKHEEIRFGDVVQAPPKLAIVPKARKTSMSASKERLRLEAIKAYRSRNGWTSRPGVQIPSVAMH >A10g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20020347:20022781:-1 gene:A10g506860.1_BraROA transcript:A10g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEYTEEFPRQFRGNTKFGFFGFFSEYTDGIPRKIHFVGIFRRNTEENRNSEGRKGFDYTFLFLGISSEFSEEIPTTIEFSSEFPRKIPRKFRGTWGFKPKTTFYGLNNTYITFIKCLNQIMKSNFGNFLGKFRGNFEETWFLGIFSFNRINQAAKYFAKIELIISEEIPTDIEVYPSGYSDDIFLGIFRGNSDEFLVLGIFSEIHFPRNSVGNLRRKMKFRKVISEDFFRRYVAVLL >A10p030080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18244371:18252047:-1 gene:A10p030080.1_BraROA transcript:A10p030080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFFCFCFCFFSMLIFTTSAYDPLDPNGNITLKWDIMSWTADGYVATVTMNNFQIYRHIQNPGWTLGWAWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKVPTVVDLLPGVPYNQQFSNCCKGGVVGAWGQDPSSAVSQFQVSVGLAGTTNKTVNLPKNFTLLGPGPGYTCGPAKIVPSTACGFEPNQTRRTKISGFSGYEFVTWNVTCTYSQFLARKHPNDTGMFYGTKFYNDLLMEAGPSGNVQSEVLLQKDQKTFTFKQGWAFPRKVYFNGDECMLPPPDSYPFLPNSARVNLASLWTLPLTILILMFISIW >A09p011940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6036575:6037637:1 gene:A09p011940.1_BraROA transcript:A09p011940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML30 [Source:Projected from Arabidopsis thaliana (AT2G15680) UniProtKB/TrEMBL;Acc:A0A178VPG8] MSNVNFLELQYKLSKNKMLRKPSRLFSRDRQSSGLSSPGSVGVPQPTINEMRRVFNRFDSDKDGKISQTEYKVVLRALGQERAIQDVPKIFKAADLDGDGFIDFKEFIDAHKRSGGIRSLDIRNAFWTFDLNGDGKISAEEVMSVLRKLGERCNLEDCKRMVRAVDADGDGLVNMEEFMRMMTQSMNIFPTASIVLESMEGYIGCENKATSATLNSTSNRHKNMYHTEVMETFVVSHNQRSTNDFRHDLDKVVAVITHTEILEDCKALSEVGCI >A05p037360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21215640:21217349:1 gene:A05p037360.1_BraROA transcript:A05p037360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHAGAEKKRVKRSLGSASTAARDSGSDPPARKQGVKKDVFQLFAEKVRDNKGLESRWAVMEEARVEYFRGKDFVSFLKNHPECKEILEEDKDLDAEDIANVLLGKNLLVRCDRVTKTLRPGKKKLSTWPAHLEIFREDQGFSETDAFFAWTFEKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLIVLYSCAGILLMILSLLFVRAVAFGAMWILLGKRVWFFPNILAEEATLKELFRFWPKKDEEEPPKWTSRLFYTVVAVVVVMLLRRHAPDEAARARYQRRMSNIIDDVLEWSPKLALSGLMENQPPVNITEAANNSSDAAAGPDHTEDADLDETQDEEEAEDLANSDIKT >A07p038280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20373373:20377683:-1 gene:A07p038280.1_BraROA transcript:A07p038280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMDGRDGSSPPQPKMDAVDSYNQESPSDSFSDAEKVEKKRGGWRAVTFILGNETLERLGTIGLLSNFMVYLTSVFHLEQVNAANVINIWFGFTNLTPLVGAFISDAYVGRFKTIAFASFATLLGLVTLTLTASLPQLHPATCISKDPVSCSGPNKLQFGTLFLGLVFLSIGTGGIRPCSIPFGADQFDQRTEEGVKGVASFFNWYYLTFTVVLLITQTVVVYIQDQVSWIIGFSIPTGLMACALVIFFAGMRLYIYVKPEGSIFSSIAQVIVAARNKRKMKLSAEDDSTVTYYDPPVKDSVLHKLHRSNQFRFLDKAAVIKEGDLTPEGGPANKWRLCSIQEVEEVKCLIRIVPVWSAGIISLMAMSQQGTFTVYQALKMDRHMGPKFEVPAGSLSVISLLTIGVFLPLYDRVLVPFLRRITGHKSGITLLQRIGTGIVFAILSMIVAGLVERVRRTRSIKASDPTGMTPMSVFWLSPQLILMGLCEAFNIIGQIEFFNSQFPDHMRSIANALFSLSFAGSSYLSSFLVTVVHKFSGGHDRPDWLNKDLNAGKLDYFYYLIAVLGVVNLVYFWYCARGYRYKVGLGDFKEEKTYSDVEMSSKSNK >A01g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10337089:10338484:1 gene:A01g503230.1_BraROA transcript:A01g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSEGTKKSDTVHMEQWDPVPTTTSSTESIGRLLAPTAHQQVRCSRWLLAPSLTFSSGDDVGFSPRLSQFQFLLRFLGSLVPINFRCPQSIASSSLELLTRFFGVCGVSTARVISTASSLRRPVSGVSGGSAASSSGDDSPVVVSLVWSRVGVCSGETSGRSSSFPANLGVWGTDVVIG >A09g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25205806:25206973:1 gene:A09g509060.1_BraROA transcript:A09g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLARSFCPRFGPEGCWRYDSSCGLTVVVYLSLWDEAAAMFRGLINSSDRTQSVMVVEPIIKEIVFNSTPITKFYFDTNLQPLQSSQLGGPVGEAFPCTDSKEYIKQIHLQTPILMSRNSHNPLKTSLGKDFIFQIRETPYNFTPNHRTFTAISDHINQETFNTNEAPVVEGEGGQASASASKEVVGDSNEPNPFGLRASRVAANVP >A06g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14506707:14507318:-1 gene:A06g504810.1_BraROA transcript:A06g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRKTSWKSSSALYFRRVTCKSSQKTLYNKKPNEEKSDIKTYQNAQIYYERETSSEDFHEVQTTEMEVVWKTSWKSSGRLLGSRLVHYILED >A06g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18188091:18188854:1 gene:A06g506500.1_BraROA transcript:A06g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A04g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10917430:10924229:-1 gene:A04g505000.1_BraROA transcript:A04g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARFRSDVMRSLQSTSQSDLARATPCSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTKVAPDPERPVGATHQSRSRPLARRHQKSALERLPGATPASRSAFHCFHLVFLLISYLFLYMVNLKSNMGLRGIMEISAKIANRLVSNDCFHIIQPKTFDSLLRIVSRLKVDSLIDHLPSLVRYLITQGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNKQSGVGVDMRMHLELKDVKQVIIGRAEHGSEVPQRRHESDLARATPCSRSHLTPLSERPPKATPRGRSRLYGETTEAKPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPGATSRSDTCKSLRSNHNASSELATQLLILRHFSPESSILDHPRSNSYAHEFSFPLVKKCFDIPQNWFDNLLYYNICLRSLENS >A06g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7700802:7701193:-1 gene:A06g502180.1_BraROA transcript:A06g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPPEFDDLKSSPDHPKNLTTGNNRELNHDTEKPPPTIVLESLTKLKPPNTKNKNVTPLKHSRVKDVMRSRKPDAHHRQGRESTGGRSWTTIEGADATPESKPEHHRRE >A10p023840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15518851:15522445:-1 gene:A10p023840.1_BraROA transcript:A10p023840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCCFCFKKKKETVPDGAKEPEVKIQQQDEGKRPINIKKASKPLNGDASSSNGTASQNYSFLEQAKGVGAGAAAQVNAIGVGAAENVKAIGKGAFNLLPNNIKGYFGKKNDRKEPRAVKPQHWKEEEEMRQKRIRQEKEEENRRQRDLAEEKRKQEKIRQEKEEEERRKRASENNSEGTSMVAGITGSLLSAISTVTNASAQALGAVQRSTSTQAQRTGETSTSTHTQGTWRPSTSTHAQGTSRPKETRPVENKGENGGPPPDPPPCKFPPLGSFSPIEPLEPPDPPDAPALLRLLINLSSVSPRALAQTLDLDFPVSTSETRSVPLHHSDIVTCLCTIIAKYVVAARLPSPETPLLAYISLCGNFFPTIGSFMIVESTLYSAIECLLPATSYSAIECLFPVASFYSAIECLLPITSWFQICLTFSRVEYLMLNCRFSAWLWFQIFIIITLMKPTSTSILPLFLYRCCSCFARSAFGLEDCSTDDLFSVLFKGSASWCHIASAIVASITIVISALVAVPITSTSSLIVFFVFYGVIPLLKPSIVEILRRFSNVSCLCIMIASIFVFLLVFSCSKVVSQYGFVIIFVNNSSSNGD >A06p052280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27649993:27655349:1 gene:A06p052280.1_BraROA transcript:A06p052280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYKDCLKTRANLKKQKKHVAKVERVTRFTDLPLDLVGDKILSKVPITSLRAVRSTCKLWNALSREWILSNTPTSKRQFSSFMTMDYKVYSLRFHPHKDKYMGVYQSRKHIDILNQVEISKVFHCNGLLLCVLKDNSGLAVWNPYLGQTRWIEPRSKFHKCDMYALGYDKKRNHKILRCFDDYDIDSRKHSFIVEIYDLGSHSWRVLDVTSDWEIDFDANGASLKGNTYFFAQEEIPPCGDGSIAVSDLEDFLICFDFTNERFGPRLPLPFHSSLKEGVTLSCFGEDQLAVLHERFYTLETLEFWVTTKIEPNVVSWSKFLKLDITQHDGYLSHVGSFVIDEEEKVAMVFDGDRDDQPSNTRRYHKALAVGDGYVDFESLRKCPTESRPPLVCSSSYLPSLFEATLKKPKNLGKAESKECLKTRANLKKRKKHVLNVGRVTRFSDLPLDLVGDKILSKLPITSLGAVRSTCKLWNALSREWILSNAAKLKRQVLGFMTMDYKIYSLRFHLHKDKYRGVYQSRKHIDILNQVEISKVFHCHGLLLCVLKDKSRLAVWNPYLGQTRWIEPRTNFHKCDRYAFGYDKNRNHKILRCFDDYDIINKKLSFMVEIYDLRSHSWKVLDVTSDLAIEYDANGASLKGNTYFFAQEEIPPGDGSIVVSDLEDFLICFDFTNERFGQRLPLPFHSSLQEAVTLSCVGEDQLAVLYERLYTIGTLEFWVTTKIEPNAVTWSKFLNVDMTPHDGYLSHVGSFIIDEEEKVAMVFDGHRDDQPSKTRRYHRAMTVGDGYCGLYEGLRKCPTETRPPLVCSSSYLPSLVQIN >A01p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19929340:19932054:1 gene:A01p025790.1_BraROA transcript:A01p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPSELVDRIAGLKHGGTYKVLKNLLKYKLLHHDRSKYDGFRLTYLGYDFLAIKTLVNRGVFTGVGRQIGVGKESDIFEVAQEDGTILAMKLHRLGRTSFRAVKSKRDYLRHRSSFSWLYLSRLAALKEFAFMKALQEHDFPVPKAIDCNRHCVIMSLVQGYPMVQVKQLQNPETVFEKIIGIVVRLAEHGLIHCDFNEFNIMIDDEEKLTMIDFPQMVSVSHQNAQMYFDRDIECIFKFFRKRFNMTFQEERDEADETEVEVDENSRPSFYDITKDENALDRELEASGFTKKEQNDLDKFIEGGLEKSEDSDEDEESDDEEQTAESNEEENLNEMKSLQLQEEEQKSSDGVEAEAELDDNEKGESSGDEDEAGRDEELDKKLGKQRRRAMAAARGGRKSQSSRNTYKDKGGRSQNSKIHTNMSGW >A05p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12216966:12217814:1 gene:A05p025000.1_BraROA transcript:A05p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETDQKYPSNGGMVNQPSVVPTMGIPAQYINPSGTGCVGCVMSGMLYVLICCQFWTPCVYSCTFRAKIRSKFGLPDAPAPDWITHCFCEYCALCQEYRELKNRGLDPSIGKLSTVYEFQSQIIYQSTLLRYRSKS >A05p053130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30385946:30389626:1 gene:A05p053130.1_BraROA transcript:A05p053130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase P2 [Source:Projected from Arabidopsis thaliana (AT3G05350) UniProtKB/Swiss-Prot;Acc:Q8RY11] MTPLTLSSPSLNRLLFLTSRYSRSPFLRNFSSLPLIHRKLPRNHLLGARCEASSSFTAKSSKEIRKARAEAVVDEKLTALRQQFSKPGVGIDAYIIPSQDAHQSEFIAECYARRAFISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLNSSWTLMRAGNPGVPTASEWVADVLASGGRVGIDPFLFSADAAEELKEAIAKKNHELVYLYNVNLVDEIWKDSRPKPPSKQIRVHDLKYAGVDVASKLLSLRNAIMDAGASAIVISMLDEIAWVLNLRGSDVPHSPVMYSYLIVEVDQAQLFVDDSKVNEEVKDHLKNAGIELRPYDSILQEIDSLAARGAQLLMDPSTLNVAIISTYKSACEKYSSKPESKEKFTDGSSTKPSGIYMQSPISWSKAIKNDAELQGMKNSHLRDAAALAHFWAWLEEEVHKNENLTEVDVADRLLEFRSVQDGFMDTSFDTISGSGANGAIIHYKPEPESCSRVDPQKLFLLDSGAQYVDGTTDITRTAHFSEPSAREKECFTRVLQGHIALDQAVFPEGTPGFVLDGFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRYGNMTPIQSGMIVSNEPGYYEDHAFGIRIENLLHVKDAETPNRFGGATYLGFEKLTFFPIQTKMVDVSLLSHAEIDWLNSYHAEVWEKVSPLLEGPTQQWLWNNTRPLAKP >A03p062900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27306647:27311347:-1 gene:A03p062900.1_BraROA transcript:A03p062900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGPDPNSVGDGAKRDEATIKVPSKDPKKKDDKKEEDLSEEDLQLKQNLELYVERVQDPNPELQKAALESMRQEIRASTSSMTSVPKPLKFLRPHYGTLKEFHKNMVESDLKKLLADILSVLALTMSAEGQRESLGYRLTGSEGDIGSWGHEYVRNLAGEIAEEYTVRQGEEASIEDLMDLVQQIVAFHMKHNAETEAVDLLMDVEDLDLLLEHVDRTNFRRTCNYLTSAAKYLPGPDDMLVLDIAYMIYIKFEEYPNALQIALFLDNMQYVKQVFTSCTDLLRKKQFCYMISRHGITFELDPEMVENDVDREMLQDIVNNTKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRASSGPSADSARQNLAATFVNAFVNAGFGQDKLMTVPSDSTSGSAGNWLFKNKEHGKTSAAASLGMILLWDVDAGLTHLDKYFHSNDNPIIAGALLGVGIVNCGIKNDCDPALALLGEYIDKEDSSVRIGAIMGLGIAYAGSQNDQIRSSLSPILNDAKAPLDVIAFAALSLGMIYVGSCNEEVAQSIIFALMDRSEAELGEALTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQDLLAQCGEHLEKGDIHQGPAVLGLAMVAMSEELGLDMAIRSLERVLQYGEQNVRRAVPLALGLLCISNPKVTVMDTLSRLSHDTDSEVAMSAIVSLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHMGKGLLTLSPFHSERLLLSPTALAGIVTLLHACLDMKSIILGKYHYVLYFLVLAMQPRMMLTVDENLKPISVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATDKYIPLSPILEGFIILKENPDYREE >A09p071470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55135578:55142838:1 gene:A09p071470.1_BraROA transcript:A09p071470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSFSSLPVDIVLEILARVPKRFHPTLSCVSKTFRSLLRSPEIHKIRSLLRRDSLFICFVEKTDQQWFTLRRAENNNPTEEKNHFVSIDLAFPCETEKEPSVVAIGAEIFFICGSFYPSSAMWVLDSRTGTFRRGPSSRAARLCKSVGVVGSKVYVIGSYRDDEIHVERFDARTNTWELAPVPEDQDWWSSDATVSLNRKVCALHFSSDANCYDTRDGSCESLDLPKGERLWRSRTGAYVLNNVLYVYYARFGLMWYDSEMRLWRVVNGLSHLNKVRSVAMAEYYGKLAFLWEDEVGISGGTKEVWCRMIALERSERGVRGIAEASQLLGSVPRGYILQHCLYPPQNINHSMSSSPSFSSLPIDIVVEILARVPKRSHPILSCVSKNFSRLVRSPEIHKIRSLLRKDSLFICFLGITGAQWFTLRRAENNNPTTEKNRFVSIDLAFPSQTEQERCVVAIGPEIFFVCGSFNPSATMWILDSRTGTFRQGPSSRAERLCKSVGVVGSKVYVIGSYIDADEMHVDSFDAKTQTWELAPVPEDQGWWWSSAPTVSLNRKVCALRVTGNARCYDPRDGSCESLGLATKDKWLWRTGACVMNNVLYVYYARFGLMWYDYEMRLWRVVGGLSHLKKVRSVAMAEYYGKLAFLWEDHEVGVSGETKEVWCRMIALERSERGVHGIAEASQLLGSVLCGYIVLHCLSVSD >A03p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6243918:6248490:1 gene:A03p015770.1_BraROA transcript:A03p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQVLVLVLIGAIFCIEAETIREDKHALLQFISNISHSHSLNWSPTLPICTKWTGVTCDSNHSSVIALHLAASGLRGHLQLKDIARLTNLRFLILSSNNISGPFPPSFQALKNLTELRLDFNEFSGPLPDEFSSWERLRVLDLSNNRFNGSIPSSIEKLAQLHSLNLAYNKFSGEIPNLHVPGLKLLDLAHNNLTGTIPESLQMFPLSAFVGNSVSSSKLAPVRKHHHHNHAVLVIALSACFATLALLAILLVIIHNREEQRRTTKEKPSKRRNDSDPNLGEGGNKIVFFEGKNLVFDLEDLLRASAEVLGKGPFGTTYKVDVEDSATIVVKRIKEVCVPQREFEQQIEHLGSIKHENVATLRGYFYSKEEKLVVYDYYEHGSLSTLLHGQRCLTNRKPLDWETRLNMVYGAARGVAHIHSQSGGNKLVVHGNIKSSNVFLNGKGYGCVSGAGMAALMHSLPRHASGYRAPEIADTRKGTQPSDVYSFGVLIFEVLTGKSEVGNLVRWVNSVVREEWTGEVFDEELMRCTQVEEEMVEMLQVGMVCTARLAEKRPKMSEVVRMVEEIRPEKLASGYRSEVSTGSTPIGSISGSPSLRFLDMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARSWTQKYAMG >A09p015860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8231410:8232551:1 gene:A09p015860.1_BraROA transcript:A09p015860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHKHEESIMDKIAEKIHGHDNSSSSDSDDEKKASSIKTKIFRLFGREKPVHKVFGGGKPADIFLWRNKKVSGGVLGAATLSWILFELLQYNLLTLFGHVSILALAVLFLWSSATTFIHKTPPHIPEVHIPEEVVLQLASGLRIEINRGFTILRNIALGRDLKKFLMVVAGLWVLSKVGSSCNFLTLIYIATVLLFTVPVFYEKYEDKVDHFGEKAMKEIKKQYAVLDEKVLSKVMSKIPRGAFNKKKD >A07g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22796389:22796986:1 gene:A07g508280.1_BraROA transcript:A07g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSIGGHGGEGESCMRSCDGGPGDGSLYRSVGLSFTREVEAHSDPPSPLFASGKGSLLQIRLRRLLIMESGGFRSSTLPLRNPVYFGLRLPSVEISMFDGTRRREDSAVKNGYGFVGGLSVSQLRRTGVLMVWLRRRRGGGG >A08p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:510541:514808:-1 gene:A08p020230.1_BraROA transcript:A08p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWIIPSVPEHHPRISLSGIRATLEGKCSSFSMIFDSTCYCCVLFSVSGTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNIFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQSDFCLKPCDSFARTEERSFVTNFHVHKLILDNSFVSAYVLNEPKKLQEPKLHQSDFRFKFVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAANSDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSECRTSQSYLWRPGEHAKVTNHVFKSSFIDYTDMMHLFLPKESCADYMEALKHAKRKNKREEDKRFKPPDLSQERHHDVTCFILIKEAPPDAAYKPKPIKYNFGIILLLYDVFACVHLSCFNVSGLSNASGVRRAKWISPFYIIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYIIFVVFPREPCLYFLCIFETLCMYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWCEYQGAFPQPLVSPFDPHTLRSLSLL >A07g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8037923:8039152:1 gene:A07g504100.1_BraROA transcript:A07g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNVQNLHDFKIEDDAPGFSLPPCSSFSGSRRIVTRSGDQIDDWGLSCAGSGSVPAQRLTGLKRVAASSLPVFSLLCSPLSPASVATLRASPSCSHGPRRSDETEDLVGNLSLSSSDNNHGFLWRSSLSSHGCLFSRSVMPPSAVFEPVVPPMLDRFVGRGPPPAELRGVSQALPLMPARSHFGEFSTELSLAILLFHLVSFRYGKKRFE >A03g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23111136:23123041:1 gene:A03g506460.1_BraROA transcript:A03g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYSVSHQGEDHLLFLEKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDSRLDHPMSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNFEKESCVGARSHSDTSRSLQLGARLWERHLEVARGFVVVSRKQSPQSEVSERGRRVAPAGSDIMGATPSRRSRFRRNGHSRTDAERDFTATPRGRSSSERAFWSDTPRSLAFSSTRDARKRLESDLSQRDPHVAPAPVQVKMVKKTKGRLEAERQEAESQEFAQRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKDGVTWVPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKISFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHTLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGVVSFFVGRLEHYRDWAWYTTDSRPKIGIGGMITPLLQFLNVPLGKDASGPRFIDGTYLRIATYFSGMYGKDYVYHYYLYGKPVEVVLPNRNLTSLEIPGAISFNIPQEYFLGEHGPLDPIQAAPSRRRSVPVQPEPPVADTPEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGTASGGELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVAPEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFGHFIMHVFIF >A08p037800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22000451:22003563:-1 gene:A08p037800.1_BraROA transcript:A08p037800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQNPNMDQFEAYFKRADLDGDGRISGAEAVGFFQESGLPKPVLFQILSLSDRSGSGFLGRQDFYNSLRLVTVAQSKRDLTPEIVNAALNTPAAAKIPAPRINLSAIPTPQPNPPATTARPVSSAGYQNAGFRGPGAPNANVANQNYFPPQQNQQVRPHQGASVLTSLRPNALPGQFQPVPVGSATRPSQTIPTVHLVLVVQNIDQVPYQAVPTSASGPGGSTLNLNNCMLETPADTHPKALVVSGNGDDIFSSFQQKPQPTPSSSSISSAIVPASTGTKPPPKPNALDSLQSSFSMLPPGNQPQQPRPAASLQGPSSGVPHRSAVGPGHPTPAGNNQPPWPKMKPSDVQKYTKVFIEVDSDRDGRITGEQARNLFLSWRLPREVLKHVWELSDQDNDTMLSLREFCISLYLMERYKEGRPLPPALPSSIMYDETLLSLSGAPSRGYANAGWGSGQGYVQQPVMGARPVTPPTGMRPPVPHPGSGIASNEQRNQAPALDDPFASHLGNGYSASSNPQETATDGEKVDEKKNAYMDSREKLEYYRTKMQDIVLYKSRCDNILNEISERASADKREAETLAKKYEEKYKQVAELGSKLTIEEARFREIEGRKMELSQAIVNMEQGGSADGLLQVRADRIQ >A01g511420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32945762:32948726:-1 gene:A01g511420.1_BraROA transcript:A01g511420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGVIGSLLTKSSGLPGSRLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07p031720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17502494:17505437:1 gene:A07p031720.1_BraROA transcript:A07p031720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 36 [Source:Projected from Arabidopsis thaliana (AT3G61390) UniProtKB/Swiss-Prot;Acc:Q8GZ84] MAGSTRDGGSDDGESMKISNIKVVKDEKIYVAVTKRDLESKSSLVWAIQNTGGREFCIVYVHQPVHISIPGARFHEHKLRRYRKKKKRALNNLDKYLHICRQMQVSAETIYIEMDSIEEGILQLISQHGIKKLVMGAAADRHYSMKMRDLQSKKAIYIRREAPDTCHIWFPCNGYLIFTREARRREILYLEGTSSSYLSQSKITKGTERVPSSSMAKDDVGNQVALIEAERAKRETLFEASKREEAEKSATDAIKRAKDSENRYLNELKRRKETEKALKEAKEQLEKMRSESESRIAESSMVIRELQGDHCVLMEVLRRLGDEREELKIKLSKLSKLRSKREGEEVSPSRDPELPQYFLCPITQDVMEDPQVAADGFTYEGEAIRCWLDSGHETSPMTNKRLIHTSLVPNLSLRSAIQEWLQDSSSWIIEPDPLFVKDLIKRGLNSLIVLSLAVFELGMLYTQMRYEICVQGELLQRSTVKGIVQMISDSRAKKFIMGAAAYKHYSTKMEKLRSRKAILVSQYASAACHTQFICKGHPIHTREA >A08g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11743481:11744487:-1 gene:A08g506750.1_BraROA transcript:A08g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQAEKEHYSNIRDKERQRENKKKEMNMMQEENRKGPWTEQEDIILVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVTELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKKAQEKKRHVSPSSSCSNCCSSSMTTTNTQDVSFESRMSSGKVSFYDTGGNRELNQEETKDGYSMDDIWKEIDHSAVNIIQPVKDFYLEQSYCLSYPNMASPTWESSLDSIWKMEEDKSKMSFFANDQFPFCFQHSRSPWSSR >A09g504000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12535879:12536100:1 gene:A09g504000.1_BraROA transcript:A09g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEGHHLCSNNWGFLSATMNLCSNCYGNLCLRQQTRMKSTFKSFLSSFVVTDRFRLFSDDLNLSFETHQPT >A06p041570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22376041:22380906:-1 gene:A06p041570.1_BraROA transcript:A06p041570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCHFLRHLFLLLLLVLSPWTPSCAAESSNLISVYGRAASRSLLSSEEPNTELVVDLSGKVSLIMHPSNKPIWSFSSGSPIHSSYQAPLSVVNNTENATEISKAFVVEYIDNYSEVTPTDDGYTRKTMEDLLMEMPRVTDDGLTLGSKTSTTYLVDALSGRLLHVYKTTQSSGDNKNTNVMVKPTSADNLVNLQLLITRTDSKLEHFDKTSQKPVWNVTVSHFRADLRCDLAFNRDKNLGPEILTGIYMPLRCGGNHTDIRALVRSGVFIRVPQDQRVGYEAKMLPSAATRESRKLWEHDVFAKSFGWSPVKLLVPLFVLCAVVISVFIKRSSSSGGDLNLKSGPSKKKKNRKSRQSEFELIEGGQMLLGFTNTPNGAADGRKIGKLFVSNKEIAKGSNGTVVFEGVYEGRPVAVKRLVRSHHEVAFKEIQNLIASDQHSNIIRWYGVEYDRDFVYLSLERCACSLDDLIKTYLNVSMTKVLGSSGSTEAANEIKLATLESGVAEGNNLWKVGGHPSPLMLKLMRDIVFGLAHLHELGIVHRDLKPQNVLISKGMSLSAKLSDMGISKRLTGDMSSLGHFATGCGSSGWQAPEQLLQGRQTRAVDMFSLGCILFYSVTGCKHPFGDDLERDVNIVKNKVDLFLVEHVPEASDLISRLLNPNPDLRPSAKEVLLHPMFWNSEMRLSFLRDASDRVELENREADSEILRAMESTAPVAIGGKWDEKLEPIFITNIGRYRRYKYDSIRDLLRVIRNKLNHHRELPSEIQELVGTVPEGFDQYFSVRFPKLLIEVYRVISVHCKEEEDQYKREMMDSGIQGDDFNGRALQKLFQSMALEVLERVECQGSKVDVVACNTLLKSLVVFLTNGIVLESSGWSLLMYLHKPRIKRWNSDRERERREMSCSSSSGSDEEHEGFDAYRKGGYHAVRIGDQFSGGRYIAQRKLGWGQFSTVWLAYDTHTSNGGMYVHVYRLELEQ >A09p001380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1057139:1058742:-1 gene:A09p001380.1_BraROA transcript:A09p001380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRSIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEDIGAGDQGHMFGYATDETPELMPLSHVLATKIGAKLTEVRKNGTCRWLRPDGKTQVTVEYYNDNGAMFSFTNSVKLQAKRAKKLKHERHPIIVGSVCNHDALPLAMCDWYMCS >A10p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3372327:3378419:1 gene:A10p015890.1_BraROA transcript:A10p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMSWECTEDELIELGKPFANTKCNEDLNQAIRMISYYAMLASSALMSCIWAMSRQFLQWQDYALGERAHVCLSLSLMYEPGKVSYLLPEEVGQCSLKITYSAHTDLTVKFQSHRSRDYTNPYLPVAPSAIDSTGQVFATFGAVQKIAMFDKNGGMQALIQFPDVQTAVMAKGALEGHCIYDGGFCKLHITYSRHTDLSIKVNNDRSRDYTLPNPPDVVMTPPSGFNPYPEQYHETQGQSPYMAAPSPGSMHQGSGGQMRPPHHVQCIRKKKKKCCLEHTLVLVFRGSIIKNSKLFFRSIPCSLSHDNVASDSDGNITDSAETRLVSDGDRLVSEQGTSEDILVNSGGEGAEVKGEEEDTKKSKFPVVVLLMGLWAYLKRAMEKVMQREWWPFSRPEKRLQKLIAQADANPMDAALQGALFAELNKHIPEAVVQRFEQREHAVDSRGVAEYIRALVITNAISDFLPDEQSGKPSTLPTLLQELKHRASGNMDDSFANPGISERQPLHVTMVNPKVSNKSRFAQELVSTILFTVAVGLVWLMGAAALQKYIGSLGGVGTSGVGSTSSFSTKEVNKEITPEKNLKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGAKRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLADILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQGKPMSDDVDCKAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLSAEQLEFAKDRIVMGTERKTMFVSEDSKKLTAYHESGHAVVALNTGGAHPIHKATIMPRGSALGMVTQLPSNDETSVSKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLSQATELAQYMVSSCGMSEAIGPVHIKERPSSEMQSRIDAEVVKLLREAYERVKSLLKRHEKQLHTLAKALLEYETLTSEDIKRILLPSQEGEKLQEQQEQEGDLVLA >A01p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:902212:904751:-1 gene:A01p001980.1_BraROA transcript:A01p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAIFLAKRRGDPIQSIQAVGSRYKIFRDDGLYQATEDQQGLIPWNGKQDVMIDRFDGRAMLDFVREAGSRSMRPHKKSEEEEEVEEFVNFERYRDLIKHRRRGCRYLLPLHSLQISDGEGLLHVNQELEAKLAAPFLGTRPQAAQPPANKGTYSQVGFSYGGNGKEASLDAGEDDEEEEEEDEDEEEDFDSNDSEDEGMEAIAKQFGVKRYGWLVYMDKKAKEEEKRQKELIKGDPSVKKLSRKERRKVSRIERDRERETSRSFGRHMIQHDPYRESRRSPTYEAYPRSRRSRSRSRSYSPSYSRRNGHGDDSGEISKPKIEYITEFGGSGDVASPKFGGYSPPRSPPSQTDLFNRPSSGHILEALHVDPASGVSLEKDKIAKLPKPSVSTSSALAKLSKAGTSLGGSSKQTPAEKKETPQERLKRIMNKQLTQQIKKDTATETAKKREQEKQRLEKLAETSRLSRSRHRSRSRSYSRSPPPRLLIKLSFCAS >A02p058620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35148356:35153825:1 gene:A02p058620.1_BraROA transcript:A02p058620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 3-alpha [Source:Projected from Arabidopsis thaliana (AT5G63920) UniProtKB/Swiss-Prot;Acc:Q9LVP1] MSRRGGGPVTVLNVAEKPSVAKSVAGILSRGSFRTREGRSRYNKIFEFDYAINGQPCRMMMTSVIGHLMELEFADRFRKWHSCDPADLYQAPVMKHVPEDKKDIKKTLEEEARRSDWLVLWLDCDREGENIAFEVVDVCRAVKQNLYIRRAHFSALIDREIHEAVQNLREPNQLFAQAVDARQEIDLRIGASFTRFQTMLLKDRFVIDSTGDEERSRVISYGPCQFPTLGFIVERYWEIQAHEPEEFWTINCSHESEEGLATFNWMRGHLFDYASAAILYEMCVLEPTATVMNVPHPREKFKYPPYPLNTIELEKRASRYFRLSSEHTMKVAEELYQAGFISYPRTETDSFSSRTDLRAMVEEQTRHPAWGPYAQRLLEPEGGLWRNPGNGGHDDKAHPPIHPTKFSSGESNWSRDHLNVYELVVRHYLACVSQPAVAAETTVEIDIAGERFSASGRAILAKNYLEVYRFESWGGSVIPVYEKGQQFIPTRLTLDSAVTRPPPLLCEADLLSCMDKAGIGTDATMHDHIKKLLDRGYATKDANTRFSPTNLGEALVMGYDDMGYELWKPNLRAIMEHDMNEVSVGNKTKAEVLETCLQQMKACFLDARVKKTKLLEAMTIFFERSNNSDEGENQTAGEVVRRCNLCHESDMALRKNQDGNFMVGCMSYPQCRNAVWLPGPTLEASVTTDTCQSCGPGPVYKIRFKFRQIRIPPGFDVNHLGCVGGCDDVLKQLIDICGTGSRSQARAAPGATPNNVRGSNIRQNNVCIHCQQGGHTSANCPTRASGYRNPRATGTANPRNNETTVSCTTCGTPCAIRTANTEANRGRKFYSCPSQGCNFFTWEDSISNGTGNATTGSNSGGSGRRGRGGGRGNRGGGQRGGGRGGGGTSFVSATGEPVSGRRCFSCGDPSHFANACPNRNS >A09p056000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47792108:47793889:-1 gene:A09p056000.1_BraROA transcript:A09p056000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAFASSPPLASARTLRRHFSIFATVNPKTSEMPRLHHASLEVIGGGTDRFLPPLKDSLSKPYDAFPLIGFNRHVETIYAAFYRSVPSVRLRRECLRTKDNGSVALDWVAGDDSSLPPESPILILLPGLTGGSQDSYVRHMLLRARSMKWRCVVFNSRGCGDSPVTTPQFYSASFLGDISEVIAHVGARFPRANLYAAGWSLGGNILVNYLGQESHNCTLSAAVSLCNPFDLVIADEDFHKGFNNVYDKALSRSLRRIFSKHSLLFEDIGGGFNIPMAANAHTVRDFDEGLTRVSFGFKTVDEYYSKSSSSKAIKHVRIPLLCIQAANDPIAPDRGIPREDIKANPNCMLIVTPRGGHLGWVAGEGAPNGAPWTDPVVMEFLQHVESCETINGERLLEDVHQIQV >A10p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12135429:12135891:1 gene:A10p012840.1_BraROA transcript:A10p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKFTRPLDPNSSIANQITSIQTRTTILAANIRRQAKMFLKRRVKTKPLQYRKTSSSPRTFQARNTAYERKINLQHCGTSQTPEEPFLDKITFLDTGTFLEDQTTKPSRRDSSHTLDT >A09p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44338336:44340086:-1 gene:A09p050490.1_BraROA transcript:A09p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLHKSSSCLLQHKSGSTTRLNPSSLVKHCPNPTRVSVLGKSRRYVVTKASIEMSQSNSTPSSSVVVNSSSKQHKGGGPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEELKDKNPRGVLISPGPGTPQDSGISLQTVLELGPRVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSMVHYDEKGEEGLFSGLSNPFLVGRYHSLVIEKDTFPSDELEVTAWTEDGLVMAARHRKHKHIQGVQFHPESIITTEGKTIVRNFIKLVEKKEAERS >A03p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:768538:771547:1 gene:A03p001590.1_BraROA transcript:A03p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHISNEANVDPFSIGPTSIIGRTIAFRVLFCKSITQLRRDLLRFFLHWFRTFKLVITPFVSWFHPRKNPQGILAVVTVIAFALKRYTNVKIKSEMAYRRKFWRNMMRTALTYEEWSHAAKMLEKETTTTLKMLNESDLYDEELVKNKLNELLHRRQEASLREIMFCMRADLVRNLGNMCNSELHKGRLQVPRLIKEYIDEVSTQLRMVCNNSDSLEDLSLDEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIICSVVASRSWPELQGFFENSLQSLQFFDQLGGVFTIVKRVMTQGALHDIRQLQCMLRSLTCNLTFQEAYDLTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGTEPSARRWRDGSLEVDLPMMQLKELFNVNHFILAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPTHVELQKAANQGRRCTWEKLSAIKANCGIELALDECVAVLNHMRRLKRSAERAASSHHGLASTTRFNASKRIPSWNVIARENSTGSLDELVADSNLRNLSDSETESVELSSWTRTGGPLMRTASANKFIDFVQSLDVDIALARGFSSSPSSPAANTSSITVTEGDFLQPERTSNGIVLNVVRREDLGMSVGNQNTELPESVQLDIPEKEMDNSSVSEHEVDE >A09p044770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36280701:36286308:1 gene:A09p044770.1_BraROA transcript:A09p044770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYKRPPDGLLEFADRVYVFDSCFCTEVVADDLYQIFLHEVINDLHEDFPDSSFLAFNFREGEKRSVFAETLCEYDVTVLEYPRQYEGCPLLPLSLIQHFLRVCDNWLSRQDVILLHCERGGWPLLAFVLATFLIFRKVHSGERRTLEIVHREAPKGLLQLLSPLNPFPSQLRYLQYVARRNITPDWPPPERSLSLDCLIIRAIPNFDSQLGCRPIIRIFGRNYSSTSGLSTEMLYSMSNKKKPLRHYRQAECDVIKIDIQCWVQGDVVLECVHMDLEPEREVMMFRVMFNTAFIRSNILMLNSDNLDILWEAKDHYPKGFRAEVLFGEVENALPQKVPTPIVNGDETGGLPIEAFSKVQELFSGVDLAENGDDAALWLLKQLAAINDAKEFTRFRHRGGFYMNSPDSEEETNTSSAADSSDEGFDAIHRPRISLSFDNDDPEGIPISFAPESAEEPHEFSRGQQQEDQSKDSVQLSALPSSATLLPPPPPPPPPSSPFTVNKVTSIDSLSSQPQPPPPPPPLPSFSSRDHPTTSHQPINKTPPPPPPPPPFSRSISPSSAPPPPPPPPPPPSFGSTVNKLQAQPPPPPPPPPPPPFSKSNSPSSAPPPPPPPPFGNTGNKVQAKPPPPPPPPPIQASSTKCVPSPPPPPPPPPPHSGLARAGPPSAPPPPPPPLPKANISNAPMPPPPPPLPPSSAKLGAPPPPPPPPRPPLSGKLGAPPPPPPPPRPPSSGKLGAPPPPPPPPLSKTPAPPPPPLSKTSAPPPPPALGRGTSSGPPPLGAKGSNAPPPPPAAGRGRASSGLGRGRGVSVPTVAPKKTVLKPLHWSKVTRPAKGSLWADDTQQQENQPRAPEIDISELESLFSAVSDTAAKKSTGRRGSSISKPEKVQLVDLRRANNCEIMLTKIKIPLPDMLSAVLALDSSVLDIDQVENLIKFCPTKEEMELLRNYTGDKEMLGKCEQFFMELMRVPRIEAKLRVFGFKITFASQAEDLKSCLNTINFATKEVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLVGEKMPELLDFPSDLAHLEAASKIDFKTLAEEMQAATKGLEKVDHELMASGNDGAISLGFRKVLKEFLATAEAEVRVLASLYTESGRNADSLCHYFGEDPNRCPFEQVTKILTLFMKTFIKSREENEKQAEADKKKLEKDTMKEKPMVKKDGANP >A02p012170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5329923:5332513:-1 gene:A02p012170.1_BraROA transcript:A02p012170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin depolymerizing factor 1 [Source:Projected from Arabidopsis thaliana (AT3G46010) UniProtKB/TrEMBL;Acc:A8MR09] MANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEQQKQVVVEKLGEPGQSHDDFAASLPADECRYAIFDFDFVTAENCQKSKIFFVAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDANAASGMAVHDDCKLRFLELKAKRTHRFIVYKIEEKQKQVVVEKVGEPIQTYEDFAASLPAEECRYAIYDFDFVTAENCQKSKIFFIAWCPDVAKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRVN >A03p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15482033:15488339:-1 gene:A03p036940.1_BraROA transcript:A03p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPEKSQSNSNSSSMQRVKVYHLNEDGKWDDRGTGHVSIDYVERSEELSLCVIDEEDNEMLLVHPINPEDIYRKQEDTIISWRDPERSTEMALSFQETAGCSYGPNLRYATKFAFQLSEQLTDSRQCLKHFHGETFHSLNSELRELPAVELTTLPLILKIVTESGMTDQMRLTELVLKDNDFFRNLMGVFKICEELENVEGLHMIFNIVKGIILLNSSQILEKIFGDELIMEIIGCLEYDPGVPHSQHHRNFLKEHVVFKEAIPIKDPLVLSKIHQTYRIGYLKDVVLGRVLDDAIVANLNSVIHANNAIVVSLLKDDSTFIQELFARFRSPSTSMESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLVNEGIFYVIEEVLQIPDKKLVLTGTDILILFLNQDPSLLRSYVVRTEGTPLLGLLVKGMMEDFGDKMHCQFLEIIRTLLDTNALSGGAQRSTILDIFYEKHLPEIVDVITASCPETSGNTSEGPARRIRTKPEVLLNICELLCFCIMQDSSRTKCSFLQNNVTEKILHLTRRKEKYLVVAAIRFVRTLLSVHDDFVQNYVVKNNTLKPVMDVFIANGNRYNLLNSAVLDLLEHIRKGNATLLLKYIVDTFWDRLAPFQCLISIQAFKIKYEQCLESAVPKSSADEVNPRRKVEERALDKEEEDYFNEDSTDSDEEDSASASNTQKEKPASNTQKEQPKPPHLSNGVAPSSSSSPRSGGLVDYEDDEDDEDYKPPPRKQPEASEEDEGELLRLKRKSPLVEREQEASKKPRLGKNSKRDNVFAVLCSTLSHAVLAGRKSQSTTVSSARSVVAKEPEDPSSEENNSSNSDDENHKDDGVSSSERGASSDNGKLNGEESMVVTPKSSPEMAVNGS >A09p003620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2089725:2090294:1 gene:A09p003620.1_BraROA transcript:A09p003620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLSIATTFRSSSYLTHASPHGFPSRPNTTFRIGSPSSTPTHRATHLRPVSAVEAPEKIEKIGSEISSLTLEEARVLVDYLQDKFGVSPLSLAPAAAAVAAPGDGGGAAAAEEEEQTEFDVVINEVPSNARIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVTKDEAEEAKKQLEEAGAKVSIA >A07p037660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20118826:20119372:-1 gene:A07p037660.1_BraROA transcript:A07p037660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRSGLKGTIGFIMPAMMILLLYSKDWTGPYGILYWRVYPIDGVDVFLLNGLGSPSICKLGYQQIHSSNLKNSVTEWPDLDLEARKFTAKILSEDMELNEHVAAATENPNPSPSSDVSEDLEQDLESKAEL >A09p021190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11289600:11290804:-1 gene:A09p021190.1_BraROA transcript:A09p021190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDLEYSDAGESGWTMYLDHSSSVTLHHFDDDNGVTKQEHDDDSSMVSDASSGPPYYSEEAVPEDPLQQNTQYWCKSKIKNKKKVQEEQGYIERFNSCLDDTASSLATGKEVSAYKKHRDQYQQLDYFSQSYSTRRIIKGKYESGFLQQAFPVEKFASDHQGYGGSNQIKRTR >A02p013750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6001037:6003105:1 gene:A02p013750.1_BraROA transcript:A02p013750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLALTSTDHHHQVNNNPSPSEAHQDTMDFLSREWCNFAVQSLQPDHIIYDRSIVPVETSIARFQGDLSLVPCGTMDKSMKMDDPDFKPSMPSWKTNDVKSWIWMQQAMHPELSYDGFFRKKLKLPWKITPSIKKWWKEITAKRKEEVRLQRAEVHAAVSLAGLAAVLAAVASENAGKDGGNGRPTTKETAVASAAAVVAAQCAEMAETMGANRDQLSTMIGSAMTRTSVSEILTLTASATTSLRGAATLKARRSCKINRLNGSAPVLPIEDSSDLPPEFDKNTSLLAQGTDLFVETPDGDFKVRTVSMVLNKDGKVILKMKKHNLLRTKKECIVTNVHVELYKDSETEENNTEDTCYLIVLKTNRGAIKLDMADDYARYKTWVTTVQHMLALSCSSSFHTNYDLTFYNKN >A03p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5662390:5663575:-1 gene:A03p014230.1_BraROA transcript:A03p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELRDLQRDPPVSCSAGPVGDDMFHWQATIMGPTDSPFSGGVFLVSIHFPPDYPFKPPKVSFRTKVYHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHTYKTDRVKYESTARSWTQKYAMG >A09p044400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35798358:35799856:-1 gene:A09p044400.1_BraROA transcript:A09p044400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVGRSSHRRTQSAIDDKEVLAPSSDVTASTTTAATHGIEVATEFKPVEHPVEPLDNDQPIQCPLPEPSILNVTAQWFFDGRIWKERVSASMRRRGDLQIMKDENSTESDGSAPKPPRLPNRSILPSLSAPEHNLLNLLEECNAIQTVASKNG >A09p070420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54586853:54591038:-1 gene:A09p070420.1_BraROA transcript:A09p070420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAFTHSSSLTRFPFPLKATLRLNSLLLQPTTLPIHTSPRRSVHLLTKSACENHSHHHHHEHEHDHHHHHHHRHCCSVGQTASNYPQKVLIEFAKAIGWIRLANFLREHLHLCCSSAVLFIAAAACPYLIPKPYIKPLQNSFMIVAFPFVGISASLDAIMDVAGGKVNIHVLMALAAFASVFMGNALEGGLLLSMFNLAHIAEEFFTRRSMVDVKELKESNPETALVIDVDNDNVPNFFDLSYKSVHLHNVEVGSYILVGTGEIVPVDCQVYQGNATITVEHLTGEVKPLEAKAGERVPGGARNLDGRIIVKVMKAWNESTLNRILQLTEEANSNKPKIQRWLDEFGEIYSKVVVVLSVSIAFLGPVFFKWPFLSTIACRGSVYRALGFMMAASPCALAVAPLAYATAISSCARKGILLKGGQVLDALASCHTIGFDKTGTLTTGGLTCKAIEPIYGHEEGNNASVTPCCIPNCEKEALAVVAAMEKGSTHPIGRYCMFKHQFSYTALIISNSIIVVYTSSSDFKYVAMVDHSIGKDLPSVSVESFEYFPGRGLTATVNCVQSVTEDSKVQKASLGSVEFITSLFKSQDESRKIKDAVNSSSYGNDFVHALLSLDQKVTLIHLEDQPRPEVPKVIAELKSWGRLRIMMLTGDHESSAWRVANAVGIDEVYCNLKPEDKLNHVKNISRDSGGGLIMVGEGINDGPALAAATVGFVLAQRASGTAIAVADVLLLRDNITGVPFCIAKSRQTTSLVKQNVAIALTSILLAALPSVLGYLPLWLTVLLHEGGTLLVCLNSIRGLNDPS >A07p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21241062:21241554:-1 gene:A07p039980.1_BraROA transcript:A07p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIASAFKALCLSLLLVVAIASRPTNRPKVFNVQRYGAKADGKTDNTKAFTNIWKSACTRKGGNSKIYVPKGTFYLGGVEFVGPCTNKIEFAIDGTLLAPSNPRDIKNDTWIQFRYINNLIISGAGTLDGQGKES >A06p008310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2898151:2898494:1 gene:A06p008310.1_BraROA transcript:A06p008310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKYSKGFRKAAYFCNLQIAEKNRSFLPSQDFHIGATQPDSYGVAKTHSRGCGDHFYYGGPGPLRSSSYQSDPLAFVFLFLAVYFVF >A09p077490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57575743:57577816:-1 gene:A09p077490.1_BraROA transcript:A09p077490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALFIWVSLLLITITHWVYSWRNPKCRGKLPPGSMGFPLLGETIQFFKPNPTSDIPPFIKQRVKKHGPIFKTNLVGRPVIVSTDPDLSYFVFQQEGRCFQSWYPDTFTNIFGKKNVGSLHGFMYKYLKSMVLTLFGYDGLKKMLPQVELTANKRLELWSNQESVELKDATASMIFDLTAKKLISHDPDKSSENLRANFVAFIRGLISFPFNIPGTAYHKCLKGRENAMKMLRNMLQERRKKPRKNPSDFFDYVIEEIQKEGTILTEEIALDLMFVLLFASFETTSLALTLAIKFLSDDPEVLKRLTEEHETIPRNREDAESGLTWEEYKSMTYTFQFINETARLANIVPAIFRKALIDIKYKDYTIPAGWAVMVCPPAVHLNPDKYEDPLVFNPSRWEESKSNNASKHFMAFGGGMRFCVGTDFTKLQMAVFLHSLVTKYRWEEIKGGNILRTPGLQFPNGYHVKLHKKVA >A03p050910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20752733:20753173:-1 gene:A03p050910.1_BraROA transcript:A03p050910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVTSSRRKNRKAHFTASSSERRVIMSSPLSTDLRAKYNVRSMPIRKDDEVQIVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGVQPSKVVITKLRLDKDRKSLLERKAKGRAAADKDKGTKFTAEDVMQNVD >A04p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4190659:4193917:1 gene:A04p013060.1_BraROA transcript:A04p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENPVTGSPHLRKSGSKSLFLDPGVSGHSEMGDLKGSSSPLQITTMVPSPIFLWRFKVVLFLFWALCCCKIGWDSVMRMSIDLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFSQGSVNYAKVFDLDHNHLTHREMWKVLLYIAFALVLIFPFDIFYLSSRYFLLRTLWRIAFPLQPITFPDFFLADILTSMVKVFSDLERSVCRMVHRQVATIAWFEADAVCGSHQIAIPLVLVFPYICRLLQCLRQYKDTKEKSSLLNALKYSTAVPVIFLSALKYHVMPESWTSFYRPLWLFSSVINSLYSFYWDVTRDWDLSGFTKIFKFSRPSNISHLLYGRQWVYFWVIGSNLVLRCAWTYKLSAHLRHNYITVFAVTAMEMFRRFQWVFFRVENEWNKITKSHPLAEISLEEDKLLGSATTHDV >A08p038550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22304963:22306137:-1 gene:A08p038550.1_BraROA transcript:A08p038550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSMECSDSAAARRFSRKPSFSLTCSRLSQYLKENGSFGDLSLGMSCKPEVNGISRQPTTTMSLFPCEAAQDVKPKNLFPRQPSFSSKSSSLPKKEEVLKMTQTTTTRSVRPEPQTAPLTIFYNGEVIVFNDFSAEKAKEVMDLASKGTANSFTGFTSTVNLPKYQTEVRTNISPTLDQVTHLMKPAAQEPILSSSAAMACELPIARRASLHRFLAKRKDRVTSKAPYQLSDPAKASSKPQTGDNNTTSWLGLAAQI >A04p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10756948:10757615:1 gene:A04p017780.1_BraROA transcript:A04p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGAQASGKTPLFDDQFEFSRPYSSMIEVSHIHRNHRKGHDLDLMNRNFRTGDEHQEYSLDHSKPLLYFALCSGNHSDPAIRVYTPQVDLPRARNRKRGVCSCNVWGEERPEASLTKDHESFSKDSGLSLATLMEMIQECLPEIMKKRIKKLNSWRSRRALRMYVQV >A03g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18955541:18957285:-1 gene:A03g505260.1_BraROA transcript:A03g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGRHQVKSPKEGAQCNLQKPKEVTTKTSLALVIWRHKVWRHFQVACYSSTPSRTNKSMANKLRRIQPMIQRRIKNRAKD >A05g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11819289:11824640:-1 gene:A05g504270.1_BraROA transcript:A05g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNENDNPTPMDTSDVIQTPLNAAATGVTTAGNITASTTAATTSTFLPAGNAADETTRRTLFGAGLYQTAVAIPVRSSARCKACNEYYNLRKRELPTHAPPLPSGRLGSARLGVAWAWVWAWAWAWAWAWVEGLWPDKKYSFWT >A06p052960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27962772:27963341:-1 gene:A06p052960.1_BraROA transcript:A06p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWKAENHLFPDAVGHHIQGVTVHDGEWDSHGSIRAWNYTCDGKQEVFKERRENDDENMTVTLRGLEGHVMEQLKVYDVSFQFIQKSPSDIVCKITMIWEKRTDDSPEPINYMKFVKSLVADMDHHVIKS >A08g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15426166:15427032:-1 gene:A08g508430.1_BraROA transcript:A08g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSSRQFPPPTRASPLSSSFVSVLSLLLLAYISSLMYYSEPADRTTHQSLSENEEANNKSCYTLVNQTFGIAVRKVLEGYISGLDTLCASAELRRSSNIVVL >A03p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10055357:10058412:1 gene:A03p023820.1_BraROA transcript:A03p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEQSYVLKAWEVTVRKTQQAKKRANSVFGTVSVSPHTDNDTSTDENDDDTSTNRSSLEEFYHAERVLPNGDYYTGQWYDSFPHGHGKYLWTDGCMYIGDWYNGKTMGNGKFGWPSGATYEGEFKSGYMDGTGTYTGPSGDAYKGQWVMNLKHGHGVKSFANGDAYDGEWRRGLQEGHGRYQWSDGCHYTGEWKNGTIYGKGSFVWTNGNRYDGCWDEGFPRGNGTYKWDDGSFYVGNWSKDPEEMNGTYYPSGNEGNLEWDPKDLFDNLSEYTICSGERVPMLPSQKKLSVWNSSKRIEKPRRVSVDGRVSVGVDRAFEKMNMWGNETGEEGTDLRKELDAELMRLEAEGIRSLKSSPVPMKLPKAGRKQGETISKGHRNYDLMLNLQLGIRHSVGRQAPAASLDLKPSAFDPKDKIWRRFPREGTKYTPPHQSTEFKWKDYCPLVFRSLRKLFKVDPADYMLSICGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSETKVLLRMLAAYYNHVRAFENSLVIRFFGLHCVRLNGPTQKKVRFVIMGNLFCSKYSVHRIFDLKGSSLGRTTDKPESEIDSNTILKDRDLSFIFRLQKAWYQEFTRQIDKDCEFLEQERIMDYSLLVGIHFRESSVAGDLIPSGAKTPIGESDEEACHRLSRAEVDQLLSDPSSDSEFELVGEPTGEFYEVVLIFGIIDILQDYDISKKLEHAYKSIQYDPSSISAVDPKQYSRRFRDFIFKAFTDDN >A08p039000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22503638:22513713:-1 gene:A08p039000.1_BraROA transcript:A08p039000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTANYIISQFSKEEHEQFCSKYNLSKVRSSVRGFEFFYSLDNTRAPFRLTPRLHSAVSTVPCSNPPTSSPATMYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVKLTPFSIFNASYCLYHLMSPGLGVVGAVDGVEAMEVDVDSAKTNSNSEDKSEKDKGKRKLCVGSQALNYRRDHMEILSPIKDGIVSDWDLVDNIWEHAFRSCLMIDPKEHPMLLAEPPLNTQQQREKAAELMFEKYKVPALFMAKNPVLTSFATGRATSLVVDCGGGSTTISPVHEGYVLQKAVVSNPIGGEFLTDCLLKSLESKGIKIRPRYSFKRKEVRPGEFQVEDVGLPDTTESYKLFCQRMIVGDIKDSICRVPDTPYDDKSYSNIPTTSYELPDGQTLEIGADKFKIPDVMFNPSIVQTIPGMEKYADMIPSVRGLPHMVMESINKCDVDIRRELYSSILLAGGTSSMQQLKERLEKDLIEESPHSARVKVLASGNTTERRFRREYISITRLVSTDVVLQIRGDKAMQRFVDDALAVIKESVKTLTYESLNNFARFINGVSALLLTLIPGKASVLEGLHGWELRPTPRGPRLPRWMLNGVSSFNRFIHELSLDSDTSSLEYSSLEDDEEEEDSDGISTPPSPLSQTSLRSWTSLPEYYERHWTDWITFIVWLVLLPARILLWVPFYLLRLFCRQDSPMSPRRRYRRSSRPIPGKEHHVPIRTTDRRRGVIEDLQLGTEIFIEAVFDFFHKAAHLLLSPSEAFEIISSWFSSKEDYGDDVSDDELVQTYTLGDEDSSLTERTVTSLYNTDTRTCQDVITELGYPYEAIRVVTSDGYGLLLERIPRREARKAVYLQHGALDSSMGWVSNGVVGSPAFAAFDQGYDVYLGNFRGLVSRDHVNKNISSKDFWSYSINEHAREDIPAIIEKIHEIKTSELKLYQPNVEEVEQPYKLCLLSHSLGCAAVLMYVITRRIEEKPHRLSRLILLSPAGFHEDSNLFFTLIEHSFLLLGPVLSRIFPAFYIPTRFFRMLFNKLARDFHNYPAVGGLVQTLMGYVVGGDSSNWVGVIGLPHYNMDDMPAVSFRVALHLTQIKRTRKFRMFDYGSVEANMEVYGSPEPLDLGEFYGLIDVPVDLVAGKKDKVIRPSMVRKHYMLMRESGVADVSYSEFEYAHLDFTFSHREELLAYVMSRLLLVEPAKTQPVRKKGMKLKKKMETAKTEK >A10p016140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3235628:3236720:1 gene:A10p016140.1_BraROA transcript:A10p016140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARSWTQKYAMG >A03p070410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30964734:30966407:-1 gene:A03p070410.1_BraROA transcript:A03p070410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDITTRIEKLPHSFKMHSSMCLELKNLVDSIMSIFPDIEDARPGSSSGIQTLCLLNKALEKAKLLLQYCSESSKLYMAATGDGILSRGCRAKKLLEQSLSDIRSMVPTVLAIKITQIVQDLRSTVLSLEPSEEEAGKAVRELMQLSTSSPDEIRDFHFAALKLHLSTPEAIVIERRSLKSLFAKLGEREGDKRQVLKYLLCLLKKHEEIILRDNSFTQLQSVDDLVCASAVEAGCTDFNSSFSTESGTEVTDSTHREVEIGSLSSLLKMAESGADHLQEDAMNTLKSLSSSNEICLEMVFLGFDKNLTSLLQQIVFSKQSIIILRNLCNTEKGRVCITETPGCLASVAELLDSDVTEEQENAISILLQLCVEKIEYCSLVVREGVDIYSSLFLISNNGTEEAKVGASELLRALEEVDSNREEESSTLEGATTSQTVITPVKHQEPMLTTPSLKKSGLFGLRFPFSGRRKVC >A06p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7144344:7146998:1 gene:A06p016050.1_BraROA transcript:A06p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVRQQQVGLGESSCYGGPGKRWGHTCNAIKGGSFLYVFGGYGKDNCQTNQVHVFDSAKQIWTQPIISGTPPPPRDSHTCTTVGDNLLVFGGTDGTKPLNDLYILDTSSHTWKCQSVRGEGPEAREGHSATLVGKRLFVFGGCGKSSDINDEIYYNDLYVLNTETYVWKRAVTIGNPPSARDSHTCSSWKNKIVVIGGEDGHDYYLSDVHILDTDTFMWKELNTSGQLLTPRAGHVTVSLGRNLYVFGGFTDAQNLYDDLYVLDVETGVWSKVLTMGEGPSARFSSAGACLDPHKAGFLVFVGGCNKSLEALDDMFYLHTGLGYDARLDQSVGSMSLKKQLKLKCQEQSHANPLYDNSLVRINMDHQGRGNFGMNTGQFDQGKMMFHARVTETFPVGYSIETMIDGKVLRGVLKRPAMSNGDQANTSKVPRTLSNDQIGATEAKDPPSNGVDGGIGLINHLDVNINTVAAAPQLDMGTVNAAPSSVAQTDEASLESRNAVSIDVEATKTGPGET >A05p043450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26216936:26217423:-1 gene:A05p043450.1_BraROA transcript:A05p043450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLGCSSGTVAIATAMVFSSTALFLAMARQFHGKIHDQTPPPLLRSCLSSAEETKKQRKKKRVRFAGNVKDTKGNGKEYRKRELSRRTVPEPVTKPGKTGSVCGISTMPANRMALYHGILRDRDHRTQCSY >A01p051300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28714951:28717714:1 gene:A01p051300.1_BraROA transcript:A01p051300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthase 25 [Source:Projected from Arabidopsis thaliana (AT3G29410) UniProtKB/Swiss-Prot;Acc:Q9LIA1] MEALKMCFGLKTLPNVHNGPLCHKTNLSLIPRRLLQNNTLFSKKPTKHLSWVKTESSGDLESTRPLTYFSPSTWGDYFLSVPVDDSEFEALEQEVESVMKPEVRDILMCPHNNNKEKIRIIHLLISLAIAHYFESEIEEILHQAFLKLDDLISEENDLETIAIMFEVFRLYGHKMSCGSFEMITPKYSGKDLWPILDYVFERFKGEDGKFMESLVHDVRGMLQLYEAAHLGLPSEDIMDNALTFTRHHLGLLTGQETSPNLCKQIQRALYRSRYNNIEIVVARQYISFYDQEEGHDKTLLKFAKLNFNFCQMHYIKELKLITKWWKDLGMASQLSYIRDRSVEIYFGMLGMFFEPRYSLGRIHTVKLTMVLTVVDDTCDAYATLPEVISLHDAFQRWDLGATEELPSYMRIIYQSVLDTFEYIDREMKARGKFGTMKPIIDDTKNLLRLYAKTAKWARAGHVPSFEDYMEVGISTAGLHGLSAYGYISMDDCDQRQLNEWFNSKPKIFKALNTAFRLRNDIATFEQEVGRGEVANGVNCYMKQHGVTKEEAVEVLSKMERENYKILREEFVVSKDVPRQILLRPVNIARVLDSYYKDGDGFSHPDQNLKDLVTSLFLRPIPL >A10p017310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2501026:2502141:1 gene:A10p017310.1_BraROA transcript:A10p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNQPSKLCLGKPVLVRSSPLGSDSFSSSLQQTPPCAIISVSPCPYADEIGNLNIKNANEHFVTHVEKDVPTEFTSILKYFRFGILGTIGSAHGWVATPLEDGRVRLQDDLNPAASYTDPKHICLPRLVTLPYCQTQLVTNVSMSSSSPEEEDCVVAIKFFGPQLSLCRPAAQSNSEWINIRIANPCFFSSRVMFSKRDGMFHIPGSGGHLIGSWDLEEHKDHPKIQTLRFHNLAELTISKLEILHSCSTSEHLVESQTTGETFLIKWYRKTTRSFLDMPKMKTEALLVFKLDEEGNAVYTQDIGDLVIFLSRAEPFCVPASFFPGMYPNRVEIIDVDELGSVNLATGTVSTKNSTHMAPYFIPPQNI >A02p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6286716:6289555:-1 gene:A02p014340.1_BraROA transcript:A02p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTSLRKAYGALKDSTTVGLAKVNSEFKDLDIAIVKATNHVESPPKERHVRKIFSATSVIQPRADIAYCIHALSKRLSRTRTWVVAMKVLIVIHRTLREGDPTFREELLNYSHRRHILRISNFKDDTSPRGEYLYFIILSDAAWDCSAWVRTYALFLEERLECYRVLKYDIEAERLPKASGAASKTHRTRMLSGEDLLEQLPALQQLLFRLIGCQPEGAAYSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMTRHDAVKALNIYKRAGQQAENLAEFYDYCKGLELARNFQFPTLRQIWLQPPPSFLATMEEYIKEAPQSGSVQKKLGLNEINPQAADIEEKNALALAIYPPGHETSGPSNSLSLIEAGGSGWELALVTPQNNNNNNNNNPRPTIATKLGGGFDNLLLDSLYEDDTARRQIQLTNAGYGFGATATTGEPASLNPNPFGMQQDPFAMSNNMVPPTNVQMAMQQQQMMMMNNQNPYSNNNYSLYHQQNHHFSSNPSSSSSNPFGDAFLALPAPPSSATQQQHNHHHMLL >SC152g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:64582:66518:1 gene:SC152g500060.1_BraROA transcript:SC152g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGQSVYSPRGPKSPGQSTGRASMLICVLTDDHRRPVFADGRPVCTDGRPVCADRRPVCTDGHTDTHGRPACADGRPVCTDGRPQTSSVTHTDSHGRPACATDVLCVLTDVLCVLRDVLCVLTDTRTHTDSHGRPACADGRPLCADGRPVCTDGRPACADGHTDSHGRPACADGRPACADGHSPRGQKSPEQSTGRASMLICVLTDDHGRPVCSDGRRVCTDGRPVCADGRPVCTDGHTDTHGRLACADGRLVCADGRPRTSSVRPLCADGRPVCTDGRPASTDVLLVLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHGRPACAHGRPACADGCPVCADGRPVCTDGHTDTHGQPRTSCDVLCALTDTRTHTDSHGRPACADGRPVCIEQTVHVSQNHPNTGRPVCADGRPRTSCVCWRTATDVLCVLMDTHGRPCVLNKQPTWAKITHGQPKSPEKPKMQKLIFLKKVF >A03p062670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27196341:27199228:1 gene:A03p062670.1_BraROA transcript:A03p062670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MTILSSLGRASRSAPLASKLLLLGTLSGGSLVAYSDSNKKEEEQKQKKKKVVVLGTGWAGISFLKDLDISSYDVQVVSPQNYFAFTPLLPSVTCGTVEARSIVESVRNITKKKNGEIELWEADCVKIDPANNKVLCQPVFKDDPEASQEFSLEYDYLIIAVGAQVNTFGTPGVLENCHFLKEVEDAQRIRRGVIDCFEKAVLPGLTEEQRRTKLHFVIVGGGPTGVEFAAELHDFIEEDITKIYPSVKELVKITLIQSGDHILNSFDERISSFAEQKFLRDGIDVLMGMRVMSVSNKDISVKIKSSGEVVSLPHGLILWSTGVGTRPVISDLMEQVGQGGRRVLATNEWLQVKGCENVYAVGDCASIAQRKIMGDIANIFKAADVDNSGTLTEDELQDVVDDINVRYPQVELYLKSKHVRSIKDLLTDSEGNPKKEVDIKAFESALSGVDSQMKSLPATAQVAAQQGSYLAKCFNKMEHCKEHPEGPKRFRTGGHHQFRPFQYKHFGQFAPLGGDQAAAELPGDWVSAGRSTQWLWYSVYASKQVSWRTRALVVSDWTRRYIFGRDSSRI >A02g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3626703:3626949:-1 gene:A02g501140.1_BraROA transcript:A02g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICQGIAAVFVCDQPAGEAILVDQMVADRSKPGGYGQGTYRTTFLPEGLKV >A03p010710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4297326:4298137:1 gene:A03p010710.1_BraROA transcript:A03p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGTERVYQEFEPATRWTSEPDAEILVADLPGFKKEQVKVAVTSTRKLRLTGERPTGGNKWIRFHQEIPVPLTVDIDSVSAMFKDYKLYIRHPRIKTETPQTKPPAPVKPPVVAKPHDQHEAKQSHGSKPNDQLKHDAQQKAGEVKSGKEGLTGEPKGTLSSKDHEEKDKVGAKWFEKYREATGNVVKEAKSKRQLLCNLTASIILVLLILLYARNAVRSSLVWNSEE >A05g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20355847:20356535:1 gene:A05g507210.1_BraROA transcript:A05g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHLSSTLPPTLPWNGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRLGRVSDSDPEDLEHAEKLHQVKAVIEEVL >A03p057310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24855272:24857469:-1 gene:A03p057310.1_BraROA transcript:A03p057310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEREEVKNGGVEEEERELKRKREKEQSPPLDELEDDAFKVRGKHSRNVEVRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYFQGRSQKSHAYTHSLEAGHHVYINLLTEKVYCLPDSYEINDPSLDDIRHVLNPRFSRAQVEELDRNKQWSRALDGSDYLPGMVGLNNIQRTEFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGQQSDPVEFMSWLLNTLHMDLRTSKDASSIIHQCFQGELEVVREYQGNESKEISRMPFLMLGLDLPPPPLFKDVMEKNIIPQVALFDLLKKFDGETVTEVVRPKLARMRYRVTKSPQYLMFHMVRFKKNNFFKEKNPTLVNFPVKDMELRDYIPSLPTAAEGGKVSSKYNLIANIVHDGKPEDGYFRVFVQRKSQELWYEMQDLHVAETLPQMVELSEAYMQIYELQEE >A05p041020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24993805:24995072:-1 gene:A05p041020.1_BraROA transcript:A05p041020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDSFAERYRRSDSGGFFKAMVAIFRILDPPVRVERNGGGRRKLLWVGVPRKVGEGIAVYKVPIAVKRLSSKEEPSFFSEAGVSKEW >A03p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5174805:5177012:-1 gene:A03p013210.1_BraROA transcript:A03p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVDLTLSLPNKKSKLKTQTESLKLFKMTVTDFKVVLETLTFPAGSAPFKSCHASTIVEVVKDHFLAAYFGGTYEGASDVKIWLQHFKDGQWESPVVVDEEPGVPMWNPVLFKLPSQELLLFYKIGQEVQKWSGCMKRSFDKGRTWTNREQLPPGILGPIKNKPILLEGGTLLCGSSVESWNSWGAWMEVTSDAGRSWRKHGPIYIQGKSLSVIQPVPYQTAAGRLRVLLRSFTGIDRVCISESSDGGENWSFATPTVLPNPNSGIDGVKLKDGRLVLAYNTVSRGVLKVGISLDDGDSWTDVLTLEDTPGMEFSYPAVIQAGDGDVHVTYTYNRTQIKHVVLKSATDIDTSDTGNTEKMVEDSWVWNT >A02p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12238616:12249658:-1 gene:A02p025420.1_BraROA transcript:A02p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.12 [Source:Projected from Arabidopsis thaliana (AT1G72140) UniProtKB/Swiss-Prot;Acc:Q9C7U1] MSSGGHSQARSYRAEKYPSCALLKFIRGRKLVEQLTYLCDWFGHWRRRWKQLVQQFSEQSTSRLLFTGYLWLLAPWVVEMAEQFASYGISSNLITYLTGPLGESTAAAAANINAWSGTEAFLPLLWSFVADSFLGRFRTIIISSSLYILGLGLLSFSAMIPSHSKDSNQLQVTLFFISLYLIAIGQGGFSPCIKVFGADQFDGNDLKELKAKSSFFNWLMFGSCVSILTTRLISNYIQENLSWSLGFGIPSASMLLALLLFLLGTKTYRFTTERGGNKNPFARIIRVFIETEKNRRQPDLHIANPNETLLLVAHQSSKQFRFLDRAAASCDLSEIEDAKAVLKLVPIWINCLVYSTVCSQIPTFFTKQGSTMNRYISPGILVPAATLQCVLSLAMVVFIPIYDRLLVPIARSFTQNPLGITTLQRIGAGMFLSNIAMVVAAFVETKRLQTAQDDITTMMSVWWLVPQYAIYGVSYAFLTIGLQEFFYDQVPSELRSVGMALNLSIFGVGNFLSSFMISVIDKVTSQLCQTSWFDNDLNKAHLDYFYWLLACVSSIGLASYLWFAKSETGTTLSYGVVEGSVDFRGKPSVRSSSGGWRSSGFIIGAEVSEKFAYFGVASNLITYFTAQLGESTAAAASNVNLWLGTAAFLPLIWGSIADSFLGRFRTILFTSSLYILGLGLLTFSATIPSACKDQETLVSCVSQFKVTVFFCALYLIALGEGGFKACLRAFGADQFDEQDPIESKAKSSFFNWLYFAISFGILATRLVSNYVQENLSWALGFGIPCVSMMISLFFFLLGTNTYRFSTGGEVRQGRKHNNPFVRIGRVFVAAAKNRRETSSETLLLLPHEGSKQYRFLDRAAISCDSVEVEEAKSVLSLVPVWMCCLVFGIVFAQSPTFFTKQGATMDRSISSTFSVPAATLQGFISVAILVFIPIYDRVLVPIARSITHKPAGITTLQRISTGIFLSILSMVIAALVEMKRLKTARDHGLVDSPNATVPMSVCWLIPQYVLYGVSDVFTMVGLQEFFYGQIPVELRSLGLSMYLSVIGIGNYLSSFMVSVIEKATSQPGQASWFDNNLNQAHLDYFYWLLACLSSISFVSLVYFAKSYVYNSPK >A09g515830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46781800:46782492:-1 gene:A09g515830.1_BraROA transcript:A09g515830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRVVAVEINKPFQDSPSPRLDCSNIYPQDYCRRVPEVNNSGGGGGTRSTGGGSRKERSSGGGSRKERGSGGGISKKRSYGGGKKTERSSGGGSRKERSSGGGSRKEKSFSGGISKKRSYGGGRRRREAPVVALVKEKKNMHPLVDTQQRGSGAGSVRPARPAVTQTAKAQPA >A02p000100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:44664:45915:-1 gene:A02p000100.1_BraROA transcript:A02p000100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEEKGLRIEESLLVDPKLLFIGSKIGEGAHGKVYQGRYGSLIVAIKVLHRGSNPDDKSSLHSRFIREVNMMSRVKHHNLVKFIGACKDPLMVIVTELLPGMSLRKYLTSIRPHLLDLPVALSFALDIARALDCLHANGIIHRDLKPDNLLLTEDHKSLKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPGMPDGISPSLAFIVQSCWVEDPNMRPSFSQIIRLLNEFILTLSPPPPLPEGEGNRIRAITEFSSRAKGKFAFIRQLFAAKRNRDS >A02g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23514534:23515188:-1 gene:A02g508730.1_BraROA transcript:A02g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMFFTVPDLTWIMPDLTWVEPDLAWVVKKPKTDMHSQPADHPDSPASVLIFIPCIHLVRMNQDILTALDRGYIKSYSVSLDDPFNPSQFQKCRLPSRIISNTQLK >A09g512370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36218201:36219556:-1 gene:A09g512370.1_BraROA transcript:A09g512370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYMLLADLRVGRCSNTATPPRKQAVLRDGIQVATNEVKGLQYIQEPATVEKCQELSKQLPYTRLASFLLTVKISFSVSEPVRWANKPPKITESRVSISSDISSDMCSRGDNNAQDDMPGAGEVTTKPYKTVKKVPPASTAGFPACGDTNEMQLVKKQSCRGKEEKATYF >A02g511540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30825174:30827558:1 gene:A02g511540.1_BraROA transcript:A02g511540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPYDTGSWHVSTQEWPRGIASKRKKKGNRGFSISEAIFRATPTVGDLVVLIDGALSPRRAKMDPAEEIRETKRQKEYIDMVGFVADSENGIPTRCPCGGTIIHEVRGKDDYDTLPGKRFFTCKNYEADGLHYRQPWVIGVEEHIERLSNRLEEVEMVIKWMPELTKQIERLEAEVKDLTVQVDNLNGEVYNLSVQASKASKRKKHGNEAAFDQIESILAAKNHISKQKILDRLLAKNEDNLSAQEYIDYDKSPPNANIMNCFVGHGLQ >A04p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3494572:3499914:1 gene:A04p006540.1_BraROA transcript:A04p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASIDSDHKLCGFLRAVVSVESPHELSLGSSCFISNDGFKSDNGLILSLVNSTSNPKSLTPEIIVEGDHDVDNCGSESTPKRHKSQKSKSNGRGRKMVRSIGMVNGSMSVVNQLHALVANKCLKMECRVVKAEKRESGEERAVVLVDVYMPVALWSGWQFPKCQATAAALFKHLSCDWGLRSSILSGESIWEEVNGRIKAIWDLSDCHVFGCKLHCNAPDSPGRRLFKLHEIFQSLPSPGNNGVFDSSRILPSTDACASGIWDLPDDVLRSIMMKLNPKDLVSVAGVCRLFRSLAFLIVPCMNLKLFPHQQSAVGWMLERERKPEVFSHPLYLEFSTEDGFSFYINVVSGDITTEEAPMVKDFRGGMFCDEPGLGKTITALSLILKTLGTTADPPEGLPVIWCTHKNDAKCGYYEYTSDQITSNGMLTVKRFLSPSSFRSQLSLEAFSPLLESKSLPLKQASLMGSDGQTSECKNTNSENEYGTILDLEDQRRQSLGNVRKKLLPVYNGVSELSEVMEAKRSGGWKKFGRITGCKRKGPTGSDVESDIWVQCDACSKWRRIVDDGVSVTGSAWFCSNNADPAYQSCKDPEQLWDRSQPINYLQGFYTKGASGEENENISFFTSVLRKHKSSVNSNVNKALIWLAKRSLEQLSLMETVGLPGPPVNVRGYQRLFHAFGLTSRVEKGVTRWFYPKLLENLVFDSPALKVALCQPLDAFRLYLSKATLIVVPANLVDHWKTQIGKHVSPGQLRILVWTDNKKLSPHNLAWDYDVVITTFSRLSAEWNPRKKSPLIQVHWLRVMLDEGHTLGSSLSLTNKFQMAVSLTASSRWLLTGTPTPNTPNSQLSHLQPLLKFLHEEVYGDNLKYWEAGILRPFEAEIEEGRSRLLQLLQRCMICSRKKDLRTIPPCIKKSTYLNFVPRHARSYNELVESVRRNILLADWNDPSHVESLLNSKQWKFRNATVNNVRLSCCVAGHIKMTDAGQDIKETMDALVEGGLDDSTVEYSSIQNCLISGCNCKRCGEWCRLPVITPCRHLLCLDCVSLDSERCTFPGCGYLYEMQTLLARPENPNPKWPVPKDLIELQPSYNQDDWNPDWQSTSSSKVSYLVDRLKKLHEGNRKSILSFNKSSCDNLEENIPGTSKAFLGQDLRSQMVLVDKVLIFSQFLEHIHVIEQQLEIAGIKFAGMYSPMPSSKKMKNLTMFQNDADCMALLMDGSAALGLDLSFVTHVFLMEPIWDKSMEEQVISRAHRMGAKRPIYVETLTMRGTIEEQMMRFLEDAEKSDRLSSGDYIKVEQETTRSSRRTIHDLAESNYLSHLIFVRSEGNT >A05g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19147186:19148264:1 gene:A05g506810.1_BraROA transcript:A05g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLSNPPSFSHTPLASISTSITPFGSLITTQQRHLVVREKELRRCSPFLERSSIPGDDAATDLRSNEWKAVPDIWRSSADKYGDRVVVLDPFRMVLISSVCKVLECFYHGKLKKERLSQRL >A03p070030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30768213:30769662:1 gene:A03p070030.1_BraROA transcript:A03p070030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVVNGIRDEAMIESIKGGEEKCDKEVRRKERKRDKKEKKDKKGDKEKKDKEKKDKKDKKRKEREGESEKHSHKRRRKEEVVAKDVQNIQKVDVFGKLKESEINCLEKSSLTVERELVQSTSQNSCDSTLNSNEKDKKQPFNVRHNSNELPKEEMDKQPLDGSRHNNNDSESIIRIRLPIRRPKDPEVMMMMTNKDQPCPSRDIKLDSLVTKEQPQQRPCSTSAPEQEKRKKHTSSRKHKEKKVPSSTQETYQPSSLCRLCPPSVAEQFLNVVENWVPNTIESRVELTNSEDEDESWWLVKKPSSHKIDTCKQFNRNNETKEVISSSIAWPRASLLPEADVCALPYTVPF >A04p024370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14752350:14753308:-1 gene:A04p024370.1_BraROA transcript:A04p024370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVGSPFGIGMAACVRDRTSVSAQDKSVPAAALFSADESGRGGSQIGLASRIGLRMNKRSPEESPEESSEDSVSSIGECSENEEEEEDDAVSFQRGGGGGTLVSFTSSLEDSLPIKRGLSNHYVGKSKSFGNLMESTNINAKDLEKGENPFNKRRRLLIANKLRSRGRSMSVSNFYSWQNPNSMSLLAVQENNEVNHHNDDDYEENEGDDHQTMKLLEKRNMLMKNKRDLMAQTRSCFCLSSLQEDDDEGGVDNE >A02p050630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31214209:31215177:1 gene:A02p050630.1_BraROA transcript:A02p050630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVDRRVNVDRTDKGLQLQPQYEDRVGYGYGYGGNTDYKSRGPSTNQIVALIAGVPIGGSLLALAGLTLAGSVIGFMLSIPLFLLFSPVIVPAALTIGLAVTGILASGLFGLTGLSSVSWVLNYIRGRSDTVPEQLDYAKRRMADAVGYAGQKGKEMGQYVQDKAHEAHDTSLTTETNGKARRAHIA >A02p023830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11896251:11898334:1 gene:A02p023830.1_BraROA transcript:A02p023830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPFLFLSCLLLLLLGFSVDLGQSLKVPFSVDDVLPMLPRQVSWPVLNSFHSAVDLLPVFIGSLTPNNNASLEWKGACFRGNEARLDITPSDRDDPGLGGGLLHLKISEAHSLTCMDLYVFATPYRITWDYYFSARDHTLSFDSWEETAELDYVKEHGVSVFLMPSGMLGTLLSLIDVLPLFSNTAWGQNANLAFLKKHMGATFEKRPQPWRSVINPEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDDLGRLWVGESGHENEKGEEIIVVIPWDEWWALTLKDNSNPQVALLPLHPDVRGKFNNTAAWEYARSMLGKPYGYHNMIFSWIDTLGDNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILEETARRGMSFDELLTIPEQDEWVYSDGKSTTCVAFILAMYKAAGVFGPLADHIQVTEFTIRDAYTLRLFEDNQTRLPSWCNTEKGKLEFCQILGEYRMELPGYNTIDPYPNMNENCPSLPPYYERPSKC >A05p051160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29815230:29819401:-1 gene:A05p051160.1_BraROA transcript:A05p051160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKGKATMEVGGDGVAVITLINPPVNSLSFDGAKGRFSGGFDISGFGDIQKGTRKAPKPGYISIDIITDLLEAAKKPSVAAIDGLALGGGLELAMACHARISAPGAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMILTSKPVKAEQGHSLGLVDAVVPPAELLNAARRWALDIAERRKPWVSSVLKTDKLPPLGEAREILKFAKDQTRRQAPNLKHPLMCLEAVEVGIVSGSRAGLEKEALISSQVVKLDTTKSLIHVFFSQRGTTKVPGVTDRGLVPRKIKKVAIIGGGLMGSGIATALILSNYPVILKEVNEKFLEAGLGRVKANLQSSVKKGRMSQEKFEKTMSLLKGSLDYESFRDVDMVIEAVIENISLKQKIFADLEKYCPQHCILASNTSTIDLNKIGERTKSQDRIIGAHFFSPAHVMPLLEIVRTNHTSAQVIVDLLDVGKKIKKTPVVVGNCTGFAVNRMFFPYTQAAMFLVERGTDPYLIDKAVSKFGMPMGPFRLCDLVGFGVAIATATQFIENFPERTNKSMIIPLMQEDKRAGEATRKGFYLYDEKRKAKPDPELKKYIEKARSVSGVNLDPKLAKLTEKEIIEMTFFPVVNEACRVFAEGIAVKAADLDIAGIFGMGFPPYRGGIMFWADSIGSKYIYSKLDEWSKAYGGFFKPCDFLAERGSKGAPLSAPLEQARSRL >A02p059490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35524360:35524966:1 gene:A02p059490.1_BraROA transcript:A02p059490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT5G65158) UniProtKB/Swiss-Prot;Acc:Q2V2V3] MLRSSSTVLICLLLISAVAIALSLDNDDVCVFTVYIRTGTVWKAGSDSVMSLRLYDSYGQNAVISDLVSWGGLMGPFHDYYERGNLDIFTGLGSCLSGPVCAMNLTSDGSGDHHGWYCNYVEVTMSESRKRSCSQEKFTVEQWLARDTSPYELSAIRNQCSDSVKNR >A02p050770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31296208:31297943:1 gene:A02p050770.1_BraROA transcript:A02p050770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFASTNNMATSKFTFFIILFLLGLTEKSSTASRINSRKSCNFPAVYNFGDSNSDTGAISAAIGEVPPPNGVAFFGRSAGRHSDGRLIIDFITENLTLPYLTPYLDSVGANYRHGANFATGGSCIRPTVACFSQFHLGTQVSQFIHFKTRTLSLYNQTNRKTPFCKGVLARPKDFSKALYTFDIGQNDLAIGFQNMTEEQLKASIPAIIESFTTAIKLLYKEGARFFSIHNTGPTGCLPYLLKSFPATPRDQYGCLKPLNNVAIEFNKQLKQKISELNKELPSSLLTYVDVYSAKNHLIIKAKNLGFVDPFDYCCVGAVGRGMGCGKTIFPNGTELYSSSCQNRQNFISWDGIHCSETANMLVANRILDGSISNPPLPTQRACKLTENVVGKI >A03p001260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:644181:645033:1 gene:A03p001260.1_BraROA transcript:A03p001260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase Cdc25 [Source:Projected from Arabidopsis thaliana (AT5G03455) UniProtKB/Swiss-Prot;Acc:Q8GY31] MKSICSLFGRKKKMAARSISYITSTQLLPLHRRPNIAIIDVRDEERNYDGHIAGSLHYASGSFEDRISHLVQNVKDKDTLVFHCALSQVRGPTCARRLVNYLDEKKQETGIKNIMILERGFNGWEAAGKPVCRCADVPCKGDCT >A02p018850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8713665:8714251:-1 gene:A02p018850.1_BraROA transcript:A02p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNVRASKKISANHVAIDRLTSTIEDAYDEIIELRASIEEMTETMKKQQEILLTMAGTMKNLPVKETPKEKSTIKRECALARDYQPNNKHTIPSMRVCSYCRRNVLKPINKNFNGYFCGVRLQELPLSRRR >A08p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:122258:129985:-1 gene:A08p011160.1_BraROA transcript:A08p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A03p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6611020:6612689:1 gene:A03p016580.1_BraROA transcript:A03p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIERYVKFSPDYFVPGRPQVELYLLELKKEMDIMVKKIDLLEVHQRKLMGQGLGSCSLAQLQGLETQIEKSLRIIRSRKAELYADQLLKLKEKERELLDQRRRLREEEIRETLVRPMLPVTLHTGKDETGSACRMSKHSSEVETDLFIGFPATRL >A07p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:101930:102863:-1 gene:A07p001520.1_BraROA transcript:A07p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15a-2 [Source:Projected from Arabidopsis thaliana (AT2G19720) UniProtKB/Swiss-Prot;Acc:O82205] MGRRILNDALRTIVNAEKRGKASVELKPVSTVMSSFLSIMKEKGYIKNYQVHDPHRVGKITVDLQGRVNDCKALTYRQDVKAKEIGQYTERTLPTRQWGYVVVTTPDGILDHEEAIKRNVGGQVLGFFY >A08p016230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10551859:10552865:-1 gene:A08p016230.1_BraROA transcript:A08p016230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKISGAVKVEELSEMEEEASEVTAGGVKVFEDFMENFCFMHANSSEVFQEVFHLMHANSFEVFQEVFHLMHAKSFEVFQEVFSSIQDS >A04g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8084560:8086006:-1 gene:A04g503760.1_BraROA transcript:A04g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNGIANNHELFQQIHKFQILLGRLRIRPPNSHFLSQSLDDLFFKSDDRDNDEDRDFQTNLEETKPGGVDKEKKEEKEQEGFTRTSTSVPQGPKFLGAAWQRLQLNIFERGLLIEVYGDRGVGELWFVHVTPTQLSQLSSRLNLP >A03g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5244365:5245622:-1 gene:A03g501700.1_BraROA transcript:A03g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFTTRVATVLACPFSDVDAENEGVACFSSFPLLFQKLGFSRREAICAATTIERSFFHQIDEEEVEISVWVIRILALMDPSEERKHSKQQKEYCDMLGFVEDSQYGIPIRCACGGRIIDEVRGKEDYDSHPGKRFFTCINYEDNGLHYSHPWVVGVQEEMERLRKRLEEAEEVIKGVPSLNYQIESLEEQVRSLTVQVGTLEKLCFD >A03p043050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17980386:17981401:-1 gene:A03p043050.1_BraROA transcript:A03p043050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKRDRDDMEVQPSPRKPRVLLAASGSVAAIKFGNLCHCFTEWAEVRAVVSKSSLHFLDKLSLPPEVTLYTDEEEWSSWNKIGDPVLHIELRRWADVMVIAPLSANTLAKIAGGMCDNLLTCIIRAWDYSKPLFVAPAMNTLMWNNPFTERHLLSLDELGITLIPPIKKRLACGDYGNGAMAEPSLIYSTVRLFWESQGHQQSGGGTS >A08g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19266074:19273096:1 gene:A08g509520.1_BraROA transcript:A08g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKARDDLAHVEKTLGFSPSSSIKRRQPLRFSLETQNLAKPCPVGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVSIPSRRFLESSTIFLLDPATGEGFGWKPTLVLVDLVSSRKCRSDRLGVVLVFGMAPRGRRTARGRGTATRVVREASPTNSVESVNGTNTETDGGSSTKGSQQSDQPAGYAEMMAELQRYRERFGDQMREESADGTPHQADARGYVPGVGKGHHVKDCRFPPNVRCYRCNREGHTSNACRMPAQGAPQQGAPQQGAGRNEQLPPPPKRQDVAGRAFVVGDHEGGEPIVEKTLGFSPSSSIKRRQPLRFSPETQNLAKPCPVGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVSIPSRRFLESSTIFLLDPATGEGFGWKPTLVLVDLVSKDP >A05g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19582880:19585266:1 gene:A05g506940.1_BraROA transcript:A05g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSASDREAIPKKIPREGKSRTRYEVMTTESEVDEPASTDQEEAASTEQDEAASTEPEFIVTRPTFPERLFARNCYPAKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGSQFRALFHLPVARCSNSAKLVHSLLSRQLVTMRLYELWFLFADKPLRFYLREFGDITGLKCEPEREKVGNGSQSIDATPGRMWKELFETEDEDVTVPDVLRMLEQPSLPKWKRLPLALIALVDGLLVCGHKRLRVTPAYVEMLEDTGSFLQYPWGREAFVIVTYSIPDEGGDPKWKKEIIDPRIDNLVRRMREGHEFKATDFRGGDSSLPPLKAAEKAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAGKTNRDNSHADPTGMEVPKKRRPFSGDGNDEAEIFGSDSKKHKKNNGDGLSDEETMRMHDNHCDGRTPNARFWKKVDSMAGEGPSFSKSAKIPEADVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFVLACEVYRNTDLFGQVLRLFS >A01p059270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33727095:33727731:1 gene:A01p059270.1_BraROA transcript:A01p059270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNMRSIFAQVRQKSVPMGSTRSFCSSSTHSEESKKIAIVTFVVTRTLGFASGYLIGHYLIGDLDKLYEERMQEDLRKAKGMEELLANVAKLSKK >A04g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16406828:16407915:1 gene:A04g507010.1_BraROA transcript:A04g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAATRWCPTPEQLMILEEMYRSGIRTPNAVQIQQITAHLAFYGRIEGKNAIGVEAQSKVLSEHYCNKSGREDMLMQKPITGQNTSYGRDWMMMMMDMGPRPSYPSSSSVPVPYCNMMMNSPKIPLKTLELFPISSINSKQDSSKL >A03p045840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19255849:19257248:1 gene:A03p045840.1_BraROA transcript:A03p045840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTNLKRSNNSQSNCDRNYWVKSLILGFRKVNPDRWEFANKGFLRGQKHLLKTITRRKTNNNNQMQPPESSSQQQSLDNCCIEVGRYGLEGEMDSLRRDKQVLMMELVKLRKEQQSTKMYLTLTEAKLKKTESKQHQMMSFLARAMQNPDFLQQLVEHKDKSKDMEEAIRKKRQRTIDQGTSDVVNVEDCDVNVGGGSSSRFVDMKQDIYGDMPEFAMSELDGLAMHIEGLGGQFTGEEVLDVDKREQEGFQSENNESYGEDFLEGLFKEDQDIDFERDGENVDVLIEQLGYLGSSSH >A10p035170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20271950:20273848:-1 gene:A10p035170.1_BraROA transcript:A10p035170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGILKELPNDGRIPKTKIVCTLGPASRTVPMIEKLLRAGMNVARFNFSHGSHEYHQDTLNNLRTAMQNTGILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYDIQGDESTISMSYKKLPLDVQPGNTILCADGSISLAVVSCDPESGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDIEDILGWGVPNGIDMIALSFVRKGSDLVNVRRVLGSHAKSIMLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPIEKIFLAQKLMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKVMAKICIEAESSLDYNTIFKEMIRATPLPMSPLESLASSAVRTANKAHAKLIIVLTRGGSTANLVAKYRPAVPILSVVVPVMTTDNFDWSCSDESPARHSLIYRGLIPMLAEGSAKATDSESTEVIIEAALKSATQRGLCNVGDAVVALHRIGAASVIKICLVK >A07p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14341854:14343431:1 gene:A07p024880.1_BraROA transcript:A07p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNKRGRQKVPIAKMEKDANLQVTFSKRRQGLFKKASELCTLCRVGMGVIVFSPGQKVFSFGNPDVKSVLDNFKNHNHNPLLYTQDGLNPTIPNLNSLLTQEMAILEMEKKRKKELDEIKNKREETEKWWEKPPNQLDLRQNTCLTSALENLKMELVSQRSQHLQAIDPPNHYGESYNNIVGGGNVDLFDQTRMFDGNASNYNPNSIISNHGPMYGNNDNTNVFEALGPRSNLNLPE >A02p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24876084:24877239:-1 gene:A02p038170.1_BraROA transcript:A02p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILSSEFAAASWYSRYPIVCMDEYYCREEAFTSGHQKQSAFRTQLDRSCQGPNSTPKDDPQFSGMGWVWKDTMGKIQLMGSRNLRRRQTALHSELEALQWAMDNML >A03p019070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7797390:7797617:-1 gene:A03p019070.1_BraROA transcript:A03p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASREFIICFILTLLLCTFFMRVESSAADIRGGCGGGDGSFQEDNERCVEKVKDDDDDDVDDVFKVINKMRIYA >A06p035270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19082260:19087378:-1 gene:A06p035270.1_BraROA transcript:A06p035270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYSVGKLFDAKTSLNLALQDLRKLVRGGESWTQISATLQLTGKEGQRDIRGKVSSSFAVSVCCSSKPFIMGNCCSDVSSGAGAIAGVGGTAAISSARGTTNDAVDYYLKSRGFNGLFSHIELSFSASNLRDRDVLSKSDPMVVVYRKEKDETLSEVYRSEVVLNSLAPKWIKKFTLAYHFETVQTFLFRVYDVDTQYQNSKEEMLKLDQQQFLGEATCVLSEIITKSTKTITLELKRKEGVTAQTQHHHGKLIIHAEESLASKVTTEIVFRCSSLESKDLFSKSDPFLVVSKIVEQGTPIPVSKTEVLKNNLNPIWKPLFLSVQQVGSKDSPLIIECSDFNSNGKHSLIGKVQKSLSDLEKLHLAGQGINLSLPTTGAGQSKVLKSQLFVEKFTETVQHTFLEYLASGFELSFMVAIDFTASNGNPRLPDSLHYIDPSGHLNAYQRAIVDVGEVLQFYDSDKRFPAWGFGARPIDSPVSHCFNLNGSSSYSEVDGIQGIMTSYTSALFNVSLAGPTLFGPVINSAAMIASQSLAQGSRRYYVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADFKEMEILDADRGERLESSSGRVASRDIVQFVALRDVQHGEVSVVQALLAELPSQFLTYMRIRNMKPVPL >A01p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4964465:4965461:1 gene:A01p010180.1_BraROA transcript:A01p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFMSGTRALFSLISCRNATRSRGGIGERSFKSNRIGSLSGVVERCSSHGSMSNEDDARRVSLGTGRVIQRRHFLGCGDGEEGGGGELSKIYEERRVLGYSQEQLFNVVLAVDLYHGFVPWCQRSEVLKQYPDGSFDAELEIGFKFLVESYISHVEFERPKWIKTTARDTGLFDHLINLWQFKPGPIPGTCELSILVDFKFNSPLYRQVASMFLKEVATRLIGAFSDRCRLVYGPGVPVDANSYEQKA >A09p046800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40674433:40676148:-1 gene:A09p046800.1_BraROA transcript:A09p046800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLLLCFTLLFASVTLFDAASAFLKLKPSLPQIEEPKTVGDVEGYTVQVVMVFVGDLEKECPKTSKFKMFFDKLRGFAKYVCPLKISGKKDDDDMKAKEAGILKTIASFAIGRIKREIQEEKQEAIETFKFMKSLAGRILGGRKKEEKATTTLTPEQLKEIKDGILKWQTVIVKITNTMVVSTTNTEGSAGSNPGAGTPSTDTNNESQGTPSADKNNKSQGTPSTDTNNESQGTTGGSSSPNSGSATGSPSNKPSAGSNPGAGTPSTDTNNQSQGTKNTASSGSATTSQTTEVTVTEVETQTSEQVMTFLMNLEKKCPPKEEYKQFFEKLKSTMAGSAKVASPKKKGGLFSMIKGAVGKIGDAMQFIRSRIGNKSAEVKKSMETYQTEVIKNMEELNAIYAKIVSQNQSKKGGAMTCTPEQQAEIKTTITKWEQVTTQFVEVAIKSETSTTTSTSTSTSDSRGTAQAN >A08p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1332260:1333365:1 gene:A08p002360.1_BraROA transcript:A08p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKVLMTANGDEVSRNIAIQLAKHGCRLVLMGNEASLRSTVDYIRVSVDGAFPVELIGADMEADSEEDFYVAVQKAWTRLGSLDAFVNCCTYHGKMQDILRVSEDEFKKITRINLTATWFILKAVASMMKENGTGGSIVLLATIASGERGLYPGADAYATAAAAIHQLVRASAMSLGKHKIRVNMISRGLHLGDEYPVSVGIDRAQKLVKDAAPLGQWLNPEKDIYSTVIYLISDGSCFMTGTTVMVDGAQSLTRPRLKSYM >A09p065490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52348858:52351225:-1 gene:A09p065490.1_BraROA transcript:A09p065490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAICGRFLPSKCSSSNADENLDQSPTSPVSDSTLPLVSQTLREASTSEHQPVCTPHNDWTVILKTASMASGAIKRLQDRVLGPSRIGIPSSTSEIWLLGVCYKISEIESSELAGAFRQDFSSLVLMTYRRGFEAIGDTTYTSDVNWGCMLRSGQMLFAQALLFQRLGRSWRKDSQPPEEEYLEILELFGDSEASAFSIHNLILAGESYGLAAGSWVGPYAVCRSWEALVRKRREETACSMAVHIVSGSEDGERGGAPILCLEDATKTCLEYSKGETEWTSVLLLVPLVLGLDKVNPRYIPSLIATFTFPQSLGILGGKPGASTYIVGVQEDKGFYLDPHGVQQVVTVNKETQDVDTSSYHCNTVRYVPLESLDPSLALGFYCRDKDDFDDFCIRATKLAGDSNGAPLFTVTKSHRTGDRGIAETSTVTCTCEEHEDEWQLL >A07p030730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17087399:17088757:1 gene:A07p030730.1_BraROA transcript:A07p030730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQVIKKISADLENEGDGAVVRKSITKIHHELLDPFVSLVEFSVSPLGGFRDHPHRGFESVTYMLQGGIIYQDFNGHKITIHEGDVQWMTAGKGIIHSEMPEEKVNNGLQLWINLPSVDKMIDPKALELSSSEIPRADEDGVEVKVIAGESMGVQSLSYTKVPIMFLDFTLKPKAQTHQTVPESWTAFAYVIEGEEGVFSTSNSSTVQAHSVVVFGKGDGVSVLNTSSSKLLRFLLIAGEPIGEPVVQHGPFVMNSQAEIDMTIEDYRSVKNGFEGAKSWRSE >A05g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10637589:10640187:1 gene:A05g503700.1_BraROA transcript:A05g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLSREEKGKDIADSSSPAKDADGGALDEFELIHRDALRDTENMSLSQRLLVADAHRQFREEEERQVEDEEDVESGGRLEDDTGSGSEAPRAVVRPRRRARRGVVDWESRLPCVVGPRKSRLSLFTRKQQKLLNKAREMEGVPDLSALLKGRLQLLSKKSAPVNPSGATGSGDAETSGDRGGSKEGASNSHDEGVSVEPPAPLLSASLATSSEGQRIKKKKKRTRDEATSRDEETAEGDAILAERPKKKTKKKTAGTEPGSSVAVPTQIDAVREDETTPDVPLEKKRKALTQRSGSESEPAGGEKSVPGSSTSRGPRLEGSLPKKGRVEYPDRVEFLYDEKTPLILNPLRCAELTRQIRGGTRELPQLEDLFFRNEYIDAAALRARSDGSMNFLVERYDTALKQTMAQLGAADKLAATRLKVIEKVRAELKQSNEKAATEKEVLRVKFEELENKLKADRAAKKELVREKVHLEGIAAGLEREKAELLAESDAAVDKLVRERQRLKDSH >A02g510810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28620387:28622315:1 gene:A02g510810.1_BraROA transcript:A02g510810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLLLDQSSEIVSQQLCDGCGMLFRELSRFVLERCICSHKGLTDSIYPHGNQSYLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWQKLLDFGEVKISYISFFDIKKHETVNSRWDLELGQEQMRLVKLLHFQSRDLLLVITSHRLLFPHLCQKFDIGKEKEVKLVKKQASEVFLKDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQKCYMFGRRGSFNS >A10p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:286885:289443:-1 gene:A10p000510.1_BraROA transcript:A10p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 2 [Source:Projected from Arabidopsis thaliana (AT1G01480) UniProtKB/Swiss-Prot;Acc:Q06402] MGSLIKSGGVSGAILSRIATNDQHGENSEYFDGWKAYDKDPFHLSRNPHGIIQMGLAENQLCLDLIKDWIKENPKASVCTPEGVYQFSDIANFQDYHGLKEFRQAIANFMGKARGGRVTFDPERIVMSGGATGANETIMFCLADPGDVFLVPSPYYAAFDRDLRWRTGVEIVPVHCSSSNNFKLTVEAVEWAYKKAVQSNKNVKGLLFTNPSNPLGTILDKDTLKNLVRFVTRNNIHLVVDEIYAATVFAGENFASVAEVVKDLDSSEVNVDLIHIVYSLSKDMGLPGFRVGIVYSYNDSVVSCARKMSSFGLVSSQTQFMLASMLSDDSFVDNFLMESSKRLGIRHGVFTLGLKKADINCLISTAGLFVWMDLRHLLRVRNSFESEIELWHIIIDKVKLNVSPGSSFQCTEPGWFRVCFANMDDDTLHVALRRIQDFVSKNSNKTAEKASDNDQLIQNNNAKKQKWKQSNLRLSFRRLYEDGLSSPGIMSPHSPLLRT >A06p039920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21578474:21582063:-1 gene:A06p039920.1_BraROA transcript:A06p039920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAANFPLKWNLSPLVSSSKVQLVNLKQHRKAHALHCLRSEGHEENFENSSQDKLGVSSVIKEDKHKDIWSLFREAQQNILYLNKQRLAAVEELEKLKNERVELLEKINQLEEESHIVVKKDKSSILWELLLRVDSMVLNGLIGTEEASSMRQLVREHEANISEFPLDVLQQGDAQVLAELRRFPHKDKSLDLDFMVDSMNGLHVIHICTEMDPLVSVGPLASYITGLSCALQGKGYLVEVILPKYSTLDLDEVEGLREIEADAYSYFNGQLHANRIWNGVVSGVGVTLIQPVDYGSMFSRDKVYGYSDDVDRFAYFSRASLDYIAKSGKQPDVLHIHNWQTAIVGPLFWDVFVNQCLVPPEKLELCGLDPASVHRADRLQDNTSPQLVNLLKGGIVYSNKVVIMPSSLSEGRTLHRNSIPGLEPTLAVHKEKLCFAPFGLLDNSKWDPSIDVYLPENYSAEDIRGKSICKVELQRQLGLVEDVSTTIVGCIFSEISGVDLESLKSLVRRTAKNDVQFVIMMMNEDQMIIKELEKAQDEIEGGNLKVVTGNNEVVSHLIFAGSDIMLYLNFFQDPLLQVPLKALKYGTVPIELNPQTGHVGTHEQEATTTAKIFNSLFGRMSLGQALDLMKKDGRLWELKIMEAMEKDLCCDVHVSAYTSIKNL >A07p050340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26831900:26835036:1 gene:A07p050340.1_BraROA transcript:A07p050340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISVVVFVGRLFESVFPPSMELLLSFRSAGVHLLRRAAPIITRTNRLTKPTSKNLTFLLFSSAAAKTPLLKPQATSEKGSFVFKGDERMRGPLSSNDAFENQFQSSSTIAAIVTPVGGPPGAVGIVRLSGDKAVEVARRVFRSAKRRRRKVEESDCSEDSWRPRSHFVEYGVVVDSKGSVVDEVLAVPMLAPRSYTREDVVELQCHGSEGGFSSLVKSLRAQCIELLTEIEARLDFDDEMPPLDTDLVISKINKMSEDVEIALDTANYDKLLQTGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEVAGTTRDVVEASVTLRGVPVTLLDTAGIRETNDIVEKIGVERSETAAKLADVIIMAVNAVEGWTEEDTELLHKIQSDKPMILVMNKIDCATPEQLEDKKEEAFHRSVFTSAVTGQGIEELEEAIMEILGLDRVPSGGHQWTVNQRQCEQLVRTKESLERLREAIKDELPIDFWTIELREAALALAQISGQDVSEEVLSSIFAKFCIGK >A02p015500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6858579:6860170:-1 gene:A02p015500.1_BraROA transcript:A02p015500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVLDLLKKSHNTHRIHSSSSLSPASVAVSAAATAGIDDDYLAAVRRVSADGLQLQPPTYIPSSKVYSIQPKPLFSAFEFRALAMTTVRSLLMFYLPLLEAKPASEDDDDDFLNNAQEESRRVDLIVPLKKSAKQIARETTVVTTRRVLERVAVSYVSQRMAWKLLKDVPQSTLRKAGRGWPTHVYIYKVSQTTLRGHFLGIAASWTVQVGIEIYRCVSRYVKPKDDEEEEEGEQVVILEQAKDLGNKVVGITVRCGASLVFAAIGAGICSCLIRPSMGQWIGCTLGDLAGPMVVSICLQKTLQADS >A02p029340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14990388:14993957:1 gene:A02p029340.1_BraROA transcript:A02p029340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVAKDLILIISVFLLVALLLPMYLIFQHLASYNQPQEQKFLIGLILMVPVYALESFLSLLNSEAAFNCEVIRDCYEAFALYCFERYLIACLDGEERTIEFMEQQTVITQSTPLLEGTSTYGVVEHPFPMNCFLKNWPSVLSRCENWHRSISMILEACGVYGEGKFQWNYGYPYLAVVLNFSQTWALYCLVQFYGVIKDKLAPIKPLAKFLTFKSIVFLTWWQGIIVAFLFSMGLFKGSLARELKTRIQDYIICIEMGVAAVVHLYVFPAAPYKRGERCVRNVAVMSDYASIDTPPDPEEVKDSERTTRMRYGRHDEAEKRLSFPQSVRDVVMGSSEIIADDMRFTVSHVVEPVERGIAKVNRTFHQISENVKRFEQKKKRTKDDSYVIPMSTWTKEFSEVHDNLYEGGSVSDSGLGSRKRHHQSRVSGLWSRMRS >A09g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21280081:21282318:1 gene:A09g507250.1_BraROA transcript:A09g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNDLTTREEWMLVTRSSRFQLGSEEHKAVGVDLLHFANIVYSNLEVTDDSVIAQGNNHCYEHEHESTGRNPTDSRMGYEQEERYEDLRERNQAPDMYGSRRNYATTHNPRRNESEFMHRERTPESRCRQEQRTAGSSDPLIVLVQGLLDRLDHRTGESSERRPSSPPDYLKMDNLIEGEAEEEGMTDGLRMAHMCVTLVVGRKAPDYITCFSCGEKGHYANSCPHKRQVTLPAPPTRLAIEPAPKRQAVGKQVNALELGKPEPQQPHQGPITGK >A05p027930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:240144:244252:1 gene:A05p027930.1_BraROA transcript:A05p027930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASDLGQVKLADEPSLKQDELKGAEPVKEKQASIPVPIPWLIRSVHPGHPCVRSAPSLLLGNSLVAYTGAPSNRGNNDQEYLRRADLDALIKLFKENGNTFGYSFGGRAIENHKDLTRTDRMHESLIDMRQVEKRVLALMIKLWNQMINTKKLKTVS >A05p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2552804:2556096:1 gene:A05p006390.1_BraROA transcript:A05p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP62 [Source:Projected from Arabidopsis thaliana (AT2G45000) UniProtKB/Swiss-Prot;Acc:Q8L7F7] MSGFSFGQSNTGGGSLFGSSSAASSSSASSTSSPLAFSFNQSTGFGFGATSSAAPASSSAAPSFGFGATASAPATSTTLSFGFGANASAPAASTTPSFGFGATSSAPTASTTPSFGFGATSSAPTASTTPSFGFGATSSAPASSTTPSFGFGATASTVTAPASSTTPSFGFGATSSTVTAPASSATPSFGFGAPASTGFGFGSSAASSSPSLFGSSTNAPAASPGSSPFGFVTSSASQPGASSSSATVSAPSPFGAPASGSAFSSSLFSAPSSAASSSSPLFATSSSVPASTASLFGAQSSATASTPSMFGAAAPSSSAPSSTPSMFGSSSASGSSQSIFGAAAASPASGSSPSIFGAAASSASGSSPSIFGATGSTQAIFGSSSLSGAAAASSPSQFGFSTNNTSSTTTNPLASPFSASTGLSFSKSTGSSTPAISASASAPSQTTSSSSSFSFATPASSAPASTTSPALFSIATTTTTTSSSSPAATSAPSSSAAASTTTFPSFGVGSSAANSTPATSSAPAFGFTTSTSAAATTAFAVPQIASTTATTTQTSLVVASTSGTSTTVAAPVAGAPKLPSEITGKTVEEIIKEWNTELEERTGRFRKQANAIAEWDKRILQNRDVLLRLEIEVAKVVETQSSLERQLELIDTHQQEVDKALQSMEEEAERIYNDERKSLLDDEAASTRDAMYEQSELVERELEHMTEQIRSIIQSVNANQGGELEAVDGMNPLDVVVRILNNQLSSLMWIDEKAEEFSSRIQKIASQGSGADRELMMAPKHWMS >A07g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6228184:6228814:1 gene:A07g503100.1_BraROA transcript:A07g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELRGVVSISGEPIQRLEAYMLEGLVARLATTGSSIYKSLQSREPESYDFLSYDYLLHEVCPYFKFGYMSANGAIAEAMKDEERIHIIDFEIGEGSQWVALIQAFAARPGGAPDIRITVLVMDPISSGFSVEVEAENLDVRIGEALGVNFAYMLHHLPDESVSTENHRDRVLRIVKSLSPKVVTLVEQ >A06g509790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28835729:28836088:1 gene:A06g509790.1_BraROA transcript:A06g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNRKPFLGRKPIILNPKAPLHSLKVRERDACQDPHCEGPRITRPPRVDRTTIRETRVERSKPHDFTGMQTTVATILGNPPEVDNRFRQDQRESATKSSPWSPKPSKLLISKHRSTL >A02p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3669552:3671377:-1 gene:A02p008900.1_BraROA transcript:A02p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSPPSQVKEDVTRSQPNKTQSDASIHKLPNPMPIGILRNLSLKRKASLPNYEKRLLLSPTVSETTQQPPSTSLPYWKRCLSLPSSTNAANKLSLATSTPQASPLGHTDQSTSNRDGASVSRSLSMPGRNKVIVRAVSFDNSSKQHVSNEASGSGSADEITPVTAEETEEEIPEEEAVCRICLDVCEEGNTLKMECSCKGDLRLVHEHCAIKWFSTKGTRICDVCRQEVRNLPVILLRVPTINQLTTRRELTQQNPQPQSISVGQEFVVLVLISTVCYFFFLEHLLIRDLKSQAIFVAAPFSFTLALLASTFAVVLAIREYMWTYAALEFALVALLVHLLYVTFGLPVVYSMLFAGILGFGMAMCLNQLYICYASRLVRVPQNRNLV >A04p002920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1389238:1393796:-1 gene:A04p002920.1_BraROA transcript:A04p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHPMRTISNNPQLCQIEYESAKVYEDKMKTQSINPFVVDGPGDERLEERREQGDDDGEEIRGFVEAVESFFISGRNGDGVLHSDENIISLCQIKYESAKVYEDKMKTQSINPFVVEGPGAERLEEWREQGDDDGEEIGGVVEAVESFFISGRNGDDVPHSDGNIISVSFSLCVLCRVFDCQIEYEKAKVYEDKMKTRLRYAYVLALSPKSNDVQHGIELLEAKFLDKDEAGIDMTTYKSTAESNKLVTTFYVAHEIMKSALIVQLKRICSLRIKMKSQLSVNFILNLVSSDRGVNNKGKDQKKIVDF >A05p005890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2314997:2324203:1 gene:A05p005890.1_BraROA transcript:A05p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 29 [Source:Projected from Arabidopsis thaliana (AT2G44470) UniProtKB/Swiss-Prot;Acc:Q8GXT2] MKLPFLILLLIATWFTKNVISPSPESRLLDRSDFPDDFIFGTATSAFQTEGATSEGGKSPTIWDYFSHTFPERTNMQNADVAVDFYHRYKDDIKLLKELNMDSFRFSISWARLIPSGKVEDGVNKEGLQFYNALIDELLAHGIRPSVTLYHWDHPQALEDEYGGFLSPQIIEDFRNFARVCFENFGDKVKLWTTINEPSVISIAGYDTGNKAVGRCSKWVNNKCQAGDSATEPYIVSHHLLLSHAAAVQEFRKCNKTSKDGKIGIVISPPWLEPYDFTSSADKHAVERGLTVEIDWHLDPVVYGDYPKIMKKHAGSRLPSFTAEHSKMLRNSYDFIGINYYTARFVAHRPHIDPALRRFRTDHQFERKEKNHSNHLIGPGENRGVLYYSYPEGFRRLLNYVKDKYNNPIVYIKENGINDYDDGTKSRDEILNDTFRISYHTDHLQQLHKAIIDDGCDVRGYYTWSLLDNFEWEHGYSTRFGLYYIDRENDLKRYPKDSVHWFKQFLNIPVLKGEQTENEEGWKEENNNKTLDDSEGFETSIDSIINLMTNTSRIEEEGKDICAFENPNDQLGFLLGPQSYLEL >A03p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7550052:7555367:-1 gene:A03p018480.1_BraROA transcript:A03p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPRVLMVAEKPSIALSIASVLSHGQMSTRRGSTEVHEFDGMFRGFKAHYRVTSVIGHVFSVDFPEKYQNWSTIDPQDLFDAPIQKKESNPKAHICRHLSNEARGCNYMVLWLDCDREGENIFIECTGFDMKDSKRKVYRAKFSSVTEKDISKAMDNLVEPNRDEALAVDARQEIDLKVGVAFSRFQTSYFQGKYQNLDCRVISYGPCQTPTLGFCVQRYMQINTFKPEKFWSLRPHLMKDGYELQLEWDRRRLFDLEAAVVFQKLVVEGRTAKVIDVSDKQEVKGRPAGLNTVNLLKVASSALGFGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFTDTLRAQVSNPVWGGYVQRLLSDGFQKPKSGTDAGDHPPITPMRAATEGIVGGDAWRLYQYVCQHFIGTVSPNCKYIRTKVELSIGGEIFHCTGQRVTEKGFTAIMPWSAVDEKKLPSFLKGEKIEVSRVELYEGNTSPPDFLTESELISLMEKHGIGTDASIPVHINNIGERNYVQVQSGRKLVPTALGITLIRGYQCIDPDLCLPDIRSFIEQQITLVAKGQADHSHVVQHVIQQFRRKFSYFVQQIEHMDALFEAQFSPLADSGRALSKCGKCLRYMKHITAVPPRLFCGTCEEVYYLPQKGTVKLYKELTCPLDNFELVIYSVPGTEGKSFPLCPYCYNSPPFEGIDTLFGAAKTANASAKTKTGSGMPCSLCPHPTCPHSLRNQGVCACPECEGTLLLDPVSFPKWKLNCNLCSCIVMLPEGAHRIATTSNRCPECDSAIIEIDFNKKTTPLENGATLHQGCVLCDELLLSLVEVKHGRSFVRRGGRGRGRGRGRGRGGRRGSKAVDPKMSFRDF >A05p042610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25766757:25769897:1 gene:A05p042610.1_BraROA transcript:A05p042610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGGERIHTVQPERDLVANWEVDLSEKLEEYLLKICTGEITGNEEEDGKIPVNFAEAALLLQGSVQVYSKKVEYLYNLVLRTLEFLSKQRDQEESKGTSNEAEASSFRQVDEEENDLFWNVDDIPVDAKNSLDDLVGGDSCPNQFVKPPANLVVLEGDCLDTSGDGGELESYLLATTHLYRDFILLDPCDAVAVNEFLGDSYAGKRRNSARRGSSARKSFHSPVGRSGGSARRSSLGKNQGTNINHTPVNGNGPDAKNYDQESQPPPDFGDNDHGGTMDLSDTDADEDDPWKPLNPYEPGKLKVKPFKKVKTLRKFGASLTKGHVTTMFPLARPNGPISTELSGIWEKRRPSSNNEREPEDIPYYEKLRALLVNGGNQPTDATGNQKEGFKDNNDEANYGDFHGFGEHGGDDHEHAFMDEDGPDMNDDGAADFPNHDGFGNDDSHCQESLEDLCRSHLDALLANIAKSEKQTDLAARVSTWKQKIELNLEEQELHPPFDIQEYGERIVNKLTVEESRDVETFTDLMKEQEKHDVARAFSALLQLVNNGDVELEKPGNSVGEPVCYTAVNPFSVRLLSDRNRKAEKNAMHLPRKREKSPTAKGKSPESPPSKKANNTCSVSSKETRKVSLKISKINNVGTKCTRKSKKR >A05p053020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30418518:30420836:-1 gene:A05p053020.1_BraROA transcript:A05p053020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDVMKLQTCVLKVNVHCEGCKHKVRKQLQKIEGVYSVKADVEQGKVTVTGNVDPAILVKKLSKSGKHAEIIGGGGGGGGGGGGKGFPNLNGQFGNLSMNGKGGKESKGKPNGGGGGGGGQPMQLTPQQIQQMMMMKAAQAQGGGGGQPMQLTPQQMQQMMMMKAAQAQGGGGGGGKDMKMMMPPVASKDQKKSVKFAEEEDDEFSDDDYDDEFSEDDYDDEDFDDEEDDDDMGGGHGHGHGGGGGGNHHMPPNKMMMMPNKMGGGGGAKGPNEMMMMMNGFKAGGGGGGGDGKKGGGGFEIPVQMKGMSEGKMGKEGKKGGGEKGDKEGKKSKGGGGKNGKTDAKSGGGGFLGFFKNGKSGKGDEKKGGGGKKEGGGGGGGDKVKSSGGGGGGVHHYDSGPKKGGGGGSKGGGHGSHDIDELMKHHKAGGGGGGNKGNHSAKGMGGPMGQGGPMGMMGQGGPMGMMGQGGQMGMMGHQGGQMGPMGHQGGSYPAVQGLPMSGGGGYYQPPPQASHQMNQQQYMQMMMHQQQQQQQQQAAAHGGYGGGHGGDMYHPMMYARPYPSVNYAHPPPMPPPHSDSYTHMFSDENPGSCSIM >A07g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6044469:6045544:-1 gene:A07g502950.1_BraROA transcript:A07g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAGEVSATACEEIFEDLPQMMFADGEEPVGVRVLTYQSSWIINTVLNSLHEDKIQYLQASSFGKLVEIAEKPAFSGRFARFLLSRQLKVEKKHEAWFRFAGKSIRFSLREFSIVTDEDDKDYADLLVDLDEFFAYPWGHVAFDMLMTTIKKRDEISLSQNTIALKGFALALQLVIVEVVPALTEVVQEVCSSSESESDDEESDCRIQKTKKKTLSPGHAREVDKKAEVLTETMCSCSFTSTETMHSCSSSSGDGRAGHVFDPARPSAKLEWSMSLSIDVKM >A07p011310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4779412:4780124:1 gene:A07p011310.1_BraROA transcript:A07p011310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFFLSVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEQRTFWNGAGMKFLRDIQKGSTEAAELYVEALMILKFSGVLTHAGRKQ >A03p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3169908:3170822:1 gene:A03p007780.1_BraROA transcript:A03p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATSFVYPPPPSMLLNTMSVVGLAALAQIGWSEVRGNHLKYSKFASSSSSASPQPQKHRFGSFSSRIGMLLLYTPAFLAAAASFFLLPSDDLRFLLLKSALALHFLKRIFEVMFIHKYSGEMAVDSAFIITSSYFSSTVLMLYSQSFTQGLTEPSFDLKLIGIVMFVVGIVGNLYHHVLLAKLRNEEGGKKVYKIPKGGLFNTVICPHYLFEIIVFWSFFMVSQTIYSFSFAMGTTFYLIGRSYATRRWYLSKFDDFPKHVKALIPFVF >A10p014950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3913505:3915331:-1 gene:A10p014950.1_BraROA transcript:A10p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFPGGGGGPEFYGVGGRSMPGGPINNNPQIPGIFLDQIGNRISSGNGIAGKRTLADFQQQSFHNQAAINAFLLRSVKPRNLQSPAIDMSSFGGSSQRYGSPSFLPNLRFQTQQQPDYTGIRMGIGSGNQTLSGVPCIEPVQNVNRAEESENMLNSLRELEKQLLDDDDAQGGDDDVSVITHSNSNSDWLHGLVTPNPNPVLSSSPSSSSSSSSPSTASTTTSVCSRQTVLEIATAIAEGKTEIASEILARVSQTPSQRRNSEEKLVDFMVTALRSRINPAESSAPATELYGKEHIVSTQLLYELSPCFKLGFMAANLAILDASGNKNDDGTSFHVIDFEIGEGGQYVHLLHALSTRRSGKNPLVVRITAVTNISDGFSVAGHRGEERLRTIGDRLSELSDGLGISLKFNVVAGLRLSDLSRESLGCHPDEPLAVNLAFKLYRVPDESVCMENPRDELLRRVKGLNPSVVTLVEEEMNSNTAPFLGRVSESCACYGALLDSVESTVPSSNSDRAKVEEGIGRKLINAVACEGIDRIERCEVFGKWRMRMSMAGFELMPLSEKIAESMKSRLSNGNRVHPGFTVKEENGGVCFGWMGRTLAVASAWR >A01g510380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28539338:28541989:-1 gene:A01g510380.1_BraROA transcript:A01g510380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPQYPSNFLSFSHYKKSESTRKLYSRRKIAYSSRTHRFRRQRASLPPTSLCVYAVIYSALATPDSQRRYGVVVVLAASAHRRVKKTKDLWRDAVDEDDDVMRLMIIQLRFRFSAKGGALIGLELLIINEQGTLIQSSVLSGVDHMCLPMYDDQFRFHVHEDFESNCGLRGDLYGVRCGWPHEATELTIAHSPSGPVMKLYLWDQAATVFYKFTASADTSVILLVMTLNSEHIRGPLPSAQSHHHMFSSTKIPTHNQLLQLSGRELTGKHASELVDKYFEANGDLEGRTQAITVMKVVSPSVLQPLTSPVGITLATTSEMPLFSASD >A02p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20781002:20781398:1 gene:A02p036340.1_BraROA transcript:A02p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLHMRVRCLDIDGYLPLSFELYFQYHWFEVNPTVRSEVIPILLESGTSALRDEAVEEANGLKLLVLYF >A09p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1693008:1695921:-1 gene:A09p002510.1_BraROA transcript:A09p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSTLLMVSHGHCLLCLLFSVVRFIYIKTFNSFDSFRIKSQNHFFSLSLSLSLVLMDRRFPFKVLEHIFSFVDSNEDRNSVSLVCKSWFETERRTRKRVFVGNCYAVSPLKVARRFPKMRSLTLKGKPHFADYNLVPDGWGGYAWPWIEAMAARRPLLEEIRLKRMVVTDECLEKIAASFRDFKTLVLTSCEGFSTDGIAAIASTCRKLRDLELRECIVDDLGGDWLSYFPETSTSLVSLDFSCLDSEVKLSDLERLLSRSPNLKSLKLNRSVTLDVLESLLRRAPQLVELGTGSFSDELDPEEIAKLTKALSELKQLKSLSGLWDLLPEYIPLLYSVCPRLTSLNLSYATVQMPDLIDLLSRCSKLQKLWVMDLIEDKGLKTVALCCKELRELRVFPSGADLDETDVTLTEQGLVSVSEGCKKLESVLYFCVQFTNAALVSIAKNRPNFRCFRLCVMEPFAPDYRTQQPLDEGFKAIVERCKDLRRLSVSGLLTDKAFEYIGVHAKKLRMLSIAFAGDSDLMLHHLLSGCQSLKKLEIRDCPFGDTALLENAAKLETMRSLWMSSCFVSFGACKQLSQKMPRLNVEVIDEHPPKTRPDSSPVERIYIYRTVAGPRLDTPEFVWTIHKSPEVGVSRLSIR >A09p061420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50639011:50640204:1 gene:A09p061420.1_BraROA transcript:A09p061420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKTVAPQSSSSSSSSSFDHIFGPRVSSPPSSSTTGLFNSIFPRPSEKRMLGRQMDFASRGGHVKYQSPSERGERSNKKDKKSYHNEETEPPCNLSSSIYYGGQENYSSTTTTTKDSYKKDGDEGDSKSASRGNWWEGSLYY >A09p073120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55874228:55879241:-1 gene:A09p073120.1_BraROA transcript:A09p073120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMESSDFAATRRFSRKPSFSQTCSRLSQYLKENGSFGDLSLGMACKPEVNGISRQPTTTMSLFPCEASNMEPIGQDVKPKNLFPRQPSFSSSSSSLPKEDILKMTQATSSTRSVKPEPQTAPLTIFYGGQVIVFNDFSAEKAKEVMDLASKGTANTFTGFTSNVNNNIQSVYTTNLANNQTEMRSNIAPIPNQLPHLMKITTQNPVQSSSTAMACELPIARRASLHRFLAKRKDRVTSKAPYQLNDPAKASSKPQTGDNTTSWLVYKNGRIERLVPEAFVPPSLIPENAVVSKDDVYSPEKNLSLRIYFPHQTVAGEENKKKLPLLVYFHGGGFIMGTAFSPVYHTFLTSVVSAADCIAVSVDYRRAPEHPIPIAYEDSWDAMEWIFRHISESGSEDWLNENTDFGRVFIAGDSAGANIAHHMGIRAGKERGEFKISGMTLFHPFFLSRVGAVGYFEGLWDIASPTSDKGVVDPWINVVGSDLSGLGCGRVLVMVAGKDILAREGVVYAEELKKSGWEGKVEVMETKGEDHVFHLRNPNSDRARLVVQRFVEFLKR >A09g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15896026:15905123:1 gene:A09g505210.1_BraROA transcript:A09g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSPEPAKPTSSMKSLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRRTRRRPDKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKEPDRPSSSPSRPSSRSIAVRPSCPVRVLEPQSVHLSSLNLSLQHLVSELKLLTQGEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKKKIRQTYFSRKSQGCVLTGIKEHEFKGEEPPGATPVMNQEKVQDTMQSMLLKEAKPVNKVSNQESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRGVILSYLLKEEPPDAQSIPKPKQYQGYTVSRSKPFQGGGNVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEKEVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMKSLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEIREPDDVQTKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLRKMLTLGWMMECRASISTTWTNKSIKTRAYVQISTRISPREGPAC >A06p019880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9722868:9723778:1 gene:A06p019880.1_BraROA transcript:A06p019880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTGTAKNGAGQFFLATLLLWLVSVVFEIAFNLRTELLWVIGGGCFFQLVNCFVRSYLSRDPLFVNTSVSLLHSIITSASVVFILVNQCLAKGVDEMFDHSELVGGSWRWAYQALCFSCGYFAYDQWDMLQYRLYSGLIPSILVHHLVLLVCFTLALYRNVTINYLILTLICEMHSIFLHVRKLRRMAGIRDSNTALVKLEWVLNWTAFLFARCVPHILITVKLIKDAHKFGRGLEWPLALFGMAGMNILNVGLGMDLFHAFRRERSNRRNQEKSNHVE >A01p000080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20262:21240:-1 gene:A01p000080.1_BraROA transcript:A01p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCRFLFFVVVVLLFSSSSSVDACDRCPHHSKAAFFSSASALSSGACSYGSMATAFFAGHIAAAVRCHNPTLCSTKGTTVMVTDLNMSNQTDLVLSSRAFRAMAKPVVGADTDLLRQGIVDVEYHRVPCDYGNKKMLNVRVEESSKKPYYLAIKLLYQGGQTEVVAIDIAQVGSSNWSYMTRSHGAVWVTDNVPTGPLQFRFVVTAGYDGKMLWSKKVLPANWEAGKTYNAGVQITDIAQEGCDPCDDHIWN >A03p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7411048:7411491:-1 gene:A03p018160.1_BraROA transcript:A03p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITFVVPTLQRLSLFLADEWDFDGYVIDTPSLKYFKLVDWNYGRHDIEIKDMPKLEEAYVDVVFPVPLSVIGSITSVKHLTICSEISKSGGEYSGGFVFNQLKHLKQCVCKENSSD >A01p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10016046:10017982:-1 gene:A01p020420.1_BraROA transcript:A01p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSPIPSSASLSAFPAPKNASFLSSIYSLYHPKGTLGSSRTATSFFGGFRGSARIESTAADKKRQMVSRNGEFDEGEIERIGGQDEDDEELVEGHDDNSPSSPDRWDVLGLGQAMVDFSGVVDDDFLKKLGLEKGTRKLIDHEERGRVLQAMDGCSYKAAAGGSLSNTLVALARLGCRSISDRPLNVAMAGSIAGDPLGSFYRTKLRRANVNFLSAPIMDGTTGTVIVLTTPDAQRTMLAYQGTSSVVNYDSCLASLISKTNVFVVEGYLFELPDTIRTITKACEEAHRNGALVAVTASDVSCIERHYDDFWDIVGNYADIIFANSDEARAFCHFSADESPISATRYLSHFVPFVSVTDGINGSYIGVKGEAIYIPPSPCVPVDTCGAGDAYASGILYGILRGVTDLKGMGDLAATIAATVVGQQGTRLRVQDAVRLARSHEFLIKSSGVRTDVGS >A02p011220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4865293:4868015:-1 gene:A02p011220.1_BraROA transcript:A02p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLVLSSPSLTPVIKDGEYKNWAELPSELTSSILQRLSLVEILENAQKVCTSWRRVCKDPSMWRKIVMHNLGNLWYDRDIMCRRVVDRSRVAWLRLKFGIFVLILFSTTSLIGYVSLSLMISSSLRSLTLALCSQITNKGLTEALMKLPLLEELDVSFITLSGDSLRVVGQSCPYLKTFKLNCVGDIRTANEGDDDALAIAETMPGLHNLQLFGNKLTDAGLNAIIDHCLNLEHLDLRQCFNVNIVGDLEKRCSERVKVLRRPNDSTHDYPYEEVLVFNMMRASEDGFMPNVSYYHDFEGASDHSDYDPYDVYDDPWDMYGDLQAVKTSVLSHRWEGLWLLISELDLDSSEFPEYNAFVGFVDRFLEKSCLHKLKLKILKRENDKPCVTRWIDFVARRKLIKHADVEYIYVSRKRLEVMPVSLYVCETLLYLRLNRVFVGSFDSVSLPCLKTMRLEENIYDSDTGLESLISSCPVLEDLSIVRRLDDNDLTHSKVDTLPIALSSVPPQCLLSSLEFVEIKSRYEAEFVLMELANYFAENSVILKKLVVRWKRSKLEEDTVLWDLLSLPWRSSTCRIEVCGPLKRLVV >A08p043030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24046503:24047277:-1 gene:A08p043030.1_BraROA transcript:A08p043030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSSWSIYSARDGESEGPWRSSTSMSAISFGFVATAILVSMFLIMAIFEHLFRPENSSFDSPHRIRQRQNQSSDGSGQFQKLATQASMVPVNMAVDVSIVMPGKNLPSHIALPAPLPCGREGIHSLASPPLASLLV >A04p018940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11289123:11290058:-1 gene:A04p018940.1_BraROA transcript:A04p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMCKGYVEYLESLYKSENPIVDVLGIAKTKYALTTKAILAVQASIIGKVDKKTSFKLMKSCAGFTKGLLHVQKAILKISAKHNYKADASINFRESKKIGDAMLYFRNSINDFMDVVNDFEEKKMKKVVQHARALEGRAIYHGRELAEEQAENKTTEKVNGSDQSKYDKYSQFFGSFFEGKQHGRELTEAQADGKFNNLYEEFAKYMPYLGDKAHKRKLLEDQAGTNTGAQAGGKVNNLYEEFAKYMPYLGGKDHGRKLLEDQAGVNAGAQGSGEFKGSFEYFFNFIGGQKFQRDFAGKMKVAGKMDSGH >A06g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27193516:27194120:-1 gene:A06g509350.1_BraROA transcript:A06g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASGGGLAYSLAMKGLTGQPAHALFTSAYYAALSGTTATIKSRNAQDAFYIETKAMLSKLGLEEYEKNFKKGHLTDPTLPFLTDSVLQEVNIPPGPRLLILDHIQRYNKMVNRK >A03p024930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:10488485:10488703:-1 gene:A03p024930.1_BraROA transcript:A03p024930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFNVFDQDGDGFITVEELKSVMSSLGLKQGKTLEGCKKMIMQVDVDGDGRVNYKEFLQMMKGDGFSRSS >A02p044720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28182221:28182680:-1 gene:A02p044720.1_BraROA transcript:A02p044720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKELLVDVEAKRITHIDHDVRMMLKEQNMCVNTDYRVGEVPGILVGDEFEYKTEMSVVGLHFWIMSGIDCHEMKGGDQLLYSGIGKDLLKGNMALVNSMKHKAAVRVIRGCTHRNKQMFVYLGLYVVKSVGPNY >A04p021430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12974194:12978578:1 gene:A04p021430.1_BraROA transcript:A04p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGGGGGCCPPMDLMRSEPMQLVQVIVPMESAHLTVSYLGDLGLVQFKDLNSDKSPFQRTYAAQIKRCGEMARKLRFFKDQMSKAGVSPKEFLGKDVDIDFDDVEVKLGELEAELSEINANNDKLQRSYNELMEYKLVLEKAGEFFASAHRSATAQQSEIESQQVGEDALETPLLQEEKSVDPTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQSVIQESVVDPSSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEDLSKQAQMMTEVSGRLAELKTTISAGLDHRKILLETIGDKFEQWNLKVRKEKAIYHTLNMLSLDVTKKCLVGEGWSPVFATPEIQKALQRAAVDSNSQVGSIFQVLRTKEMPPTFFRTNKFTTAFQEIVDAYGVAKYQEANPTVFTIVTFPFLFAVMFGDWGHGICLLIATMYLVLREKKLSSQKLGDIMEMAFGGRYVILMMSLFSIYTGLIYNEFFSIPFPLFAPSAYECRDASCSEATTIGLIKTRDTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSFCNAKFFKSAFVPQMIFLNCLFGYLSALIIIKWCTGSQADLYHVMIYMFLSPMEDLGENQLFPHQKIVQLTFLFLALISVPWMLLPKPFILKKQHEARHQGQSYAQLEETDESLQVETNGGAHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLMAWGFNNFLILIVGILVFIFATVGVLLVMETLSAFLHALRLHWVEYQNKFYEGDGYKFAPFTFTLLGNEDE >A07p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11275445:11277477:-1 gene:A07p018860.1_BraROA transcript:A07p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSDLKRQREEDDDAAAAKPACLSSVIPGWFSEMSPMWPGEAHSLKVEKVLFQGKSDYQDVIVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPHPKKVLVIGGGDGGVLREVARHPSVEHIDMCEIDKMVVDVSKQFFPNVAIGFEDPRVNLVIGDGVAFLKNAAQGSYDAVIVDSSDPIGPAKELFEKPFFQSVARALRPGGVVCTQAESLWLHMDIIEDIVSNCRDIFKEGPHVDFRVPVNPLDDSSSKSNGPLKFYNSEIHSAAFCLPSFAKKVIESEAT >A02g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6410968:6413241:-1 gene:A02g502020.1_BraROA transcript:A02g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLEKAALAGHYASVNGILRMANTIFNNFRHHEAGFAPLLQQLFLKTDSLIDSGGGSAAMLTHASLGVPKALLQNIFLHSRWVRARGFVEGFALAVCTLLREVSKSPIRDQLATRAINFLTTVSTTSAHHALFANGIRDICQSIVIPNLSLREKDKQLFEMDFMEFIRRDMDGNTRRGIACELLKGLATYYKPQVTQVVSHEIHKLLSSFATNPAAQWEDKDCAIYLVLSLATNNKGAHLPMPFAMQLFLGAESNVVHSYAAICIEKLLLLKDEGGRSRYVGSDISPFLLQLMTSLFDRTESEENQYIIKCIMRVLGVAEITREVVDLCLGGLTTVFSQVVRNPTFNHYIFESVAVLVRRACECDISLITEFDSSLFPRLKMILADDVREFIPYAFQLLAQLVELNIQPISPDYMEMFLLLLAPNPNWWRILQKAPHEVTQKNLLGVFDKLVKTPSTVEQGCYVLNTVIEYMEYGVIAPYMTFVWSSLFTNLQQKKSVELQKCLVIFISLFLVKHGPANLVDTMNAVQRNIFIAIVDRFWIPDLKLIMGTMEERAIDEPEMPEISDNTATFVNLYNVGRRQVDPLEDITDPKQFFVTSLAMLSASHPGRYPWVIRYNLEKANQDALIKLCTAYNCAIV >A02g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5567506:5569230:1 gene:A02g501760.1_BraROA transcript:A02g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISKTLGGHARRVYVGGFSPAANEQVVATLFSQVMTAVGGNIAGPVDVVVNVYVNHEKFAFVEMRSFEEASNTMSLDGMIFEACLCESTYYPMERNKTLHTRVKQSLETRAGDEPELLKYMSKLPVFLERADTHTPRDKLLSVGVLEWDRLEKWQHSHNRMIDELSAGPSNPRKHRSSRQSKLMSNPGEETVREYREIKGTRKNKHRDHRSFSIPDEQLGPITDAQEGCEKNDLKEKIGPKPGISEAGVNVEVNSKADGSRRKKSEKKSREKNRNDHDGKLGRSQQREAKLYDSTKKLAREQAKTSKRSLTNKVSVVHEVEVDYCAQHSYSLPCKADGCSAKSNIALADADPNRNSDKISQCVPLSAKASNTSSRDPTSHKPVSDKGRSISPLQRLSFIMGKASKTNSERVAGSTTHLESMASSIKTGSQNSAPSSRVDGLDCNKPSEKDTTTTRDSSRDSVIV >A04g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9048116:9048465:1 gene:A04g504380.1_BraROA transcript:A04g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSDLRERPQWVALRGRSGLVLASPSDETASDFVQSLQRVALDRERPW >A05p012100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5258451:5259026:-1 gene:A05p012100.1_BraROA transcript:A05p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFHEGGGSSVWQKCSRHRFICGGGVCPYCLHERLSSLCPDCARDLPCSCTPRASVSSAGVDIPFADVGSVGRVSSLIECEPAFRRSTSMSVPFLRSTKPEPVEKTGLDLKPGRGRSLWRLFRGESRSKTATMMMRKSRSVAVSDAGELLSSSPAPVTSKGNGWYFPSPIKVFRQSRILFQQRSPLYRG >A08g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14202815:14207648:-1 gene:A08g508050.1_BraROA transcript:A08g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCARLIDCPDSPEEEEMGPIPDMMFAGGEEPVGVRMLYRKTVKDREIRIKYACLALLESVLLPTSLNMKISREHADAIEDLEEFFSYPWGRLSFDMLMCSIKERDEVGLSQNTIAVKGFALALQLVMLEAVPSLTEGVQEIGSSSESDSEEIEGNGRDIFTKKQNLNPAHARNVDKRGNVYVCSILCEDSTRPIDEGSCEWSDEEEDFKVDNLVALINANHEFQTSQFRGGVRKSDVDRMRQRSKLTSKGRKSSNVQSNSERIDQGNVAALVIEKITPQLAIMDKNINSACAMVDAIEGKVVVHVDDLFVKLKEEMIKCVKDMVSAMVKDVFEGQNGPSNIPSAAPPEAAALFTHSTPARDLNANTIENVLRNLSDYSTPPRSKHMTQVNLPSTNKDDVATGFVCVTPQPETCAQSANSENRTRQISLQQRLEAHKRQEHNITDEPSFSLGLTQEEMNQGQLNMVPAEVPLRNTTSEMNVDDNIAEVQVSRKSKRQRTVPSTLVDDYQCGRHIMTRVRESQKFVFPLDSISEMERKYVQLSTKLNDKFTVNVAGLFASGKDIRLILERSRFMSAKVIDILIRVVRRSTLLHLSEEGRSSVALLDTKFVAAINKTFPKFVKSRNKEGYMFPKGLRDIFPSANDAAVHPTRYYFPCNLGNKHWVGICFDAGIGVITVLDCNISLYKERSLETDLKPIVQMLPYLARFACQPIGDDNVIQCYDVARPKFVSQNKNPSDSGLMAVLLMANHAVYGTEACKNISHERLEAEGRRAAILMSTALRVRLAHGAWDRNDDGHWTFQRKPTALGYTVLIKPTETLEDLETIIRDRLKLNPDTPLVMAYHPPEWLLEPEGTRTPPTTLTSTAAVEEMMSLRSWFLELTLCVSSGAEDVGYYQFLCETTLTIGGATFVFEGLADNELVASKEILEEIFSEQETVGIYKAHFQIENAKK >A07p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19036957:19041088:-1 gene:A07p035150.1_BraROA transcript:A07p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFFLGKYLIWVILVLGQLHGYNGCVEKERKALLELEKYIISITIEEYSDYALPTWTYNTKSDCCRWEGVKCNRTSKRVTEIAFGTLSLKENSLLNLSLLYPFEDIRSLNLSRNDYYYNQFSGLFDDVEGYKTLRKLRKLESMDLSRNRFNNSIFPFLNSAISLKTLFLGDNNFYGGPLPAKELKDLTNLELLDLSGNRFNGSIPVQELSALSKLKSLDLSRNEFSELSKLQGKFVKCLSIAFIQNKRFCLHFAGYKSVRRLRNLKILDLSENNFDNNIFSFLSALTSLTTLFLRSNYIGGPFPVKEFKDLTNLELLDLSKNKLNGSIPMQGICEMKNMQDLDLSGNKLVGQFPLCLTRLTGLQVLDLSSNQLNGNVPSALGKLESLKYLSLSDNNFEGSFPLDSLANLSELSFQLPNSAHKLLFMDVSLNEFNHLFPENIGWVLPHLVYMKLANNGFQGNLPSSLGNIKSIEFLDLSHNNFHGELPRSFVMNGYFLKYLKLSHNKLSGEVFPEFVNFTVLWELSMDNNMFAGKIGEGLRNTKYLQLLDISNNNLTGVIPSWIGEFPSLVALQVSNNSLEGEIPISLFYLPYLLLMDLSANILSGDISPRVKSNDLTFLFLQDNHLSGEIPYTLVEDLYVLDLRNNRLSGNIPQFTSTQNIHTLLLRGNNLTGSISRQLCGLRNIQLLDLANNRLNGSIPSCLKNTSFGFGKKYTLYDDDYSNLFIGGGTSFIGFLSAKRLRLKHRYDAYVGKNLNLLFGLDISENELSGNIPSELGSLLELQVLNVSHNNLSGLIPESFSGLKNVESLDLSFNKLQGLIPQGLTKLSGLAVFNVSFNHLSGVIPQGSQFNTFDTLSFVGNPLLCGKPTNRSCGGSTFQEPDNGVKDDDESQIDMVSFYWSFLAAYVTILLGIFSSLSFDSPWRRFWFYVVDVFIHKVRNLLC >A02p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2882625:2885537:-1 gene:A02p006760.1_BraROA transcript:A02p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEDASKVIHVKFVTKLYPPFKAPVSSVVIPSNVTRLGLSSIVNSLLTLEKPEAFDFLIDGELIRMSLEQFLLAKGISAERTLEIEYIRAVAPRKEEKPSLHDDWVSAVDGSSPRFILTGCYDGLGRIWSSPGSCTHILEGHTGAISSVAFVNFQGGESVTVATASKDRTLRLFKVDTAESGDSTTRVRAYKILRGHKASVQSVVAEKHGNMVCSSSWDCTINLWNTDESESELSVSGKKRKGNNQAEEAQLEGEAVTTFVGHTQCVSSVVWPEEDVIYSCSWDHSVRRWDVPTGKDTLNLYCGKALNTVDVGGEGSALVAAGGSDPILRVWDPRKPGTSAPVFQFSSHASWISACKWHESSWFHLLSASYDGKIMLWDLRTAWPLSIIDTHKDKVLCADWWKGDSVVSGGADSNLRISSGISIS >A02g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20201620:20202189:1 gene:A02g507070.1_BraROA transcript:A02g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETRETPEEPATVTVTDGVLSEPINVVIEVAVKFGYREVNDGEDNVPEDKELESVVEGDKDGDEDEVDNDDNEEFEPSEAIEAETNEVWFIVNGVLIRL >A09g518490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56407301:56408549:-1 gene:A09g518490.1_BraROA transcript:A09g518490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSRSDTTKSLRSPYSSRRKPRATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVAPRLLLGRFLFYLRAFWSFYYARFTFQKPILSEYLHSQWFDIPQNWFDNLLYYNICLKSLENS >A02g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13612106:13612487:-1 gene:A02g504110.1_BraROA transcript:A02g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSKQATESVGEMGKAIAANLLVGFKVSVNGGMKVVVESDMTDELLMRQFLKFNGIEAEGEARVHRKAYGGRSADAINTNPD >A05p003180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1150399:1152326:-1 gene:A05p003180.1_BraROA transcript:A05p003180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSSHVHSAPSDDDDNNMYSKDSKPKRIYQLWPGNNKFYCGGRLVFGPDASSLLLTTAMIGAPAVTFSIRMAFMIGKRYPLFHTLVLMGSLLLTVLDFTFLFLTSSRDPGIIPRNKDAPEGEGLHKITQSSEWVNNKLGSTKLPRTKDVLVNGYTVKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIALRNYPYFICFISTSTLLCLYVFVFSWVSMLEAHGKMLLMIITDDAIFIVLIVYCFVVVWFVGGLTLCFGSDCMFLQTTYENFRYRYDKKENPYGKGLFKNLYELFFAKIPPPVINFRDWVPEEPDVEVGSIASELDRAFGPRGENKHDMDMEIGDWKASKGGLVLQTLEYDNNNKIEETVKKKGLSDGTAETNTMFDVRSR >A01g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10464021:10465417:1 gene:A01g503260.1_BraROA transcript:A01g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNQNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHYSGSGLSGLGGTASNNPGSPGDGHDHGVGDGYASEDFVPGSSSSRERKKGNPWTEEEHRMFLMGLQKLGKGDWRGISRSYVTTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDMIPDELTDVMVDSQEQQAEDVPMETQMQSTDSVPAPLILETEECESMKSTNSSAEEPPTVTASSSSFTPEDTTQTQLQVQPPGSFPVLYPTYFSPFYSFPFPVWPAAYVTEPAKEETHEILRPTAVHSKAAPINVDQLLGMSKLSLGESSQNGVSEQSLSLKLVGGSSSRQSAFHPNPASGGGGSDMNTVIHARTF >A04p025880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15664276:15667033:-1 gene:A04p025880.1_BraROA transcript:A04p025880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G26980) UniProtKB/TrEMBL;Acc:F4IVM7] MNRRQQVKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMSEQIRREIATMKLIKHPNVVQLYEVMASKTKIFIILEYVTGGELFDKIVNDGRMKEDEARRYFQQLVHAVDYCHSRGVYHRDLKPENLLLDAYGNLKISDFGLSALSQQVRDDGLLHTSCGTPNYVAPEVLNDGGYDGATADMWSCGVILYVLLAGYLPFDDSNLMNLYKKISSGEFNCPPWLSLGAMKLITRILDPNPMTRVTPQEVFEDEWFKKDYKPPVFEEKDDSNMDDVDAVFKDSEEHHVTEKKEEQPAAINAFEIISMSRGLNLENLFDPEQEFKRETRITLRGGANEIIDKIEEAAKPLGFDVQKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVQVSKSKGDTLEFHKFYKKLSNSLENVVWTNNEVKKAGKTYLLKILPLGNHRMHRDFATDIDKYMREGNGKPIWHVIC >A05g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4756062:4756535:-1 gene:A05g501240.1_BraROA transcript:A05g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLDGEAVDSGLFETFFTYLSQRKRFVAKSDFGDRAMTSASERMHVSLRGKRRIKGFMCHDDDQYDQLLIRFGHILHYLRLSDPQLS >A06p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4098465:4100600:1 gene:A06p011140.1_BraROA transcript:A06p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFRFFSAVTTLVLLHLSSIAFASSHGSKQLGDQCSSDEECSVGLGCFKCGIDAARCVRSNITDQFSLVNNSMPFNKYAFLTTHNSYAIEGKPLHVATQEDSITEQLNSGVRALMLDTYDYEGDVWLCHSFNEQCFEFTKFNRAIDTLEEVFAFLTANPSEIVTIFLEDYVKSPNALTKVFTDSGLKKFWFPVEDMPKGGQDWPLVKDMVANNHRLVVFTSDKSKQETEGIAYQWNYVLENQCECDEIPKFRNVGRENNRIISFFSDGDDGVKPSECSNRGESAQLTDKTKALVLVNHFSTVPVKLLSCEENSQHLIDTIKTCYVTAGDRWANFVAVDFYKRSDGGGTFQAVDKLNGELLCGRDDVHDCHH >A09g517420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51665522:51667028:-1 gene:A09g517420.1_BraROA transcript:A09g517420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGIARGRLAEERKSWRKNHPHGWRPAITVKQILVGIQDLLDTPNPADPAQTDGYHLFIQDAVEYKKRVKLQSKQYPPIV >A05g502140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6818491:6818862:1 gene:A05g502140.1_BraROA transcript:A05g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELFEAAKKAADVANAKGILSGKADALRCVEAISLLMKMDATPKPNEPRRMLERLQVLTKHKDRTICNAASALLQLWRQRIREQETKAASTIDMILCKPRQGQQIRGQGFTREPTKTRKLVT >A02p007640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3229675:3231431:-1 gene:A02p007640.1_BraROA transcript:A02p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLCYVKHIRKFNERERERVCVTITNQPPSRALYLLGGSSSAIPFSYLSLSLISLSLLSPSLYSPTMANTDQPTTDSHVAVLAFPFGTHAAPLLSVTRRLASASPSTLFSFFNTSQSNSSLFPSDLPSNIHVHDVADGVPEGYVFSGRPQEAIELFLVAAPESFREAIASAENDAGKKVTCMLADAFFWFASDMAAEMKATWVAFWTAGPNSLSVHLYTDLIRQSVRVNVDGCMEETLGFISGMEKIRVKDTPEGVVFGNLDSVFSDTLHKMGLALPRADAVFINSFEELDNTLTNNLKSEFKSYLNIGPLALLSSTSQADALVDDPHGCLAWIKKQRTASVAYISFGTVMTPPPGELVAIAEGLESSKVPFVWSLKEKNMAQLPKGFLERTREQGIVVPWAPQVELLKHEATGVFVTHCGWNSVLESVSGGVPMICRPFFGDQRLNGRAVEAVWGIGMTIINGVFTRDGFGECLDRVLVQDDGKKMKSNAEKLREQAHEAVSEKGSSVENFKGLLEAVVTTLN >A10p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3110730:3111764:1 gene:A10p016360.1_BraROA transcript:A10p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVQFSRNHLSTPSLSSRFRRSTEQRSFVTLVHCSARENGDDDVQGIKKSLFPTVKELGCLACAALSAFTLTLASPVIAANQRLPPLSTDPARCEQAFVGNTIGQANGVYDKPLDLRFCDYTNDQSNLKGKTLSAALMSGAKFDGADMTEVVMSKAYAVGASFKGVNFSNAVIDRVNFGKSDLKGAVFKNTVLSGSTFDEANLEDVVFEDTIIGYIDLQKICRNETINEEGRLVLGCR >A07g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15919082:15922685:-1 gene:A07g506530.1_BraROA transcript:A07g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSHKPVVGYILRNEGTGIWNRVSKFWNCFQVLSDPSDPDPVMAGAVARILKRVGLPPLGVLDVISIGSLPVLAYIYFRQEKQFAWLDEQEKRVNATVADMRARGIIRDHPSDPDPVMAGAVARILKRVGLPPLGVLDVISIGSLPVLAYIYFRQEKQFAWLDEQEKRVNATVADMRARGIIRDR >A04p030730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18224025:18225369:1 gene:A04p030730.1_BraROA transcript:A04p030730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVPDLLEEIFLGLPLRSVVKFRTVSKQWRSILESLRFAERRRMMNAQTKTKIMAAGDRSRTQTWFKEDEEVEIVYLQCDVASRPSLSCDGLVCIPVPGWVNVFNPSTEELLRFSSGRDPPIPRYANNYVDCVFDVFPGYWRMGFGRDNVSGSYKIVRMCFNHHWEIHRCEILDVNIVRWQKLSPPPYEIGYRRKSTCVNGSIYWVEVLPDQKLLALDLHAQEWRDVGLPLEALGKSFQVANLENRLALAATYIENDHWNVKIWSAEAPEETWSVVYSIRLFPLDHPYDDPSSPLWYWTRPVAVSKKGNLFVQDSYKRLFKCYPETGEVRLIAAEICVISPFVENLVPLGRLDSKTYGLRHLDHVPLSSRIFNFFRRMELKRSSILVTTTVVTLVMFRYCSHLSRSISIYHDQSL >A03g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:123180:123368:-1 gene:A03g500030.1_BraROA transcript:A03g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMFLDGRSEVLRILPIALDQQPKNTNTPIRYHSRLFNPKKQYPIVSSFGQGLVSYGTRN >A06p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20396680:20399686:1 gene:A06p037630.1_BraROA transcript:A06p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) UniProtKB/Swiss-Prot;Acc:Q9FFN7] MMEVGFSFIHWLINSGADSPFIFGWLVTGSLGVLALVYAFLKWQNKTSLNWVKAAAREKKKVWKRLRVPLSHHQWTDDYGYGPQPSTCCVCLYSLVPGQNVSNKAALSIPVHRCSVCGVAAHFYCSGSAAKDCKCVAQAGSDHVRHHWSERWVNMDDNADMSAFCFYCDEPCGVPFIEASPMWHCLWCQRLIHVKCHMIMSKESGDACDLGSLRRVILSPVHVKVSGESGVNGVLSTIKNELASIRGQMRRKRNRGKNGNAQANSGKLLEDSASNPAKSLVNGLVVKKLRRDRSIDCLKKISDMPNGNGMQNGIGAPKRSKNAAINCMKKYSLVDLPPDARPLLVFINAKSGGQLGPFLHRRLNMLLNPVQVFELGSCQGPDAGLDLCSKVKYFRVLVCGGDGTVAWVLDAIEKRNFESPPPVAILPLGTGNDLSRVLQWGRGISVVDGQGSLRTFLQDIDHAAVTMLDRWSVKIVEESTKIFPARESHKFMMNYLGIGCDAKVAYEFHMMRQENPEKFCSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIDIPKDSEGLIVLNIGSYMGGVDLWQNDYEHDDDDSFSIQSMHDKTLEVVCVRGAWHLGKLQVGLSQARRLAQGKVIKIHVSSPFPVQIDGEPFIQQPGCLEITHHGQVFMLRRASDEPRGHAAAIMNEVLLDAECKGVINACQKKELLQQMALHLS >A01p059490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34168690:34169386:-1 gene:A01p059490.1_BraROA transcript:A01p059490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDDQLEIKFRLNDGSDIGPKAFPDATTVAALKETVISQWPREKENGPRTVKEVKLISAGKVLDNNKTVKDYRSPVSTLVDAVTTMHVIIQPLVSEKEKKPKDGDPKMSKCVCSIM >A06p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5348115:5349751:1 gene:A06p002010.1_BraROA transcript:A06p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLHTSISPRSFLSLSKPKIHRSSQVSLRNKQRSCVSCALVHDETDVIPVQSGDRTDHEEGSLVAMSSETERDVNEPVVVGFGAEQLSFEGFPSSSPAADLGDEKSRESEEMEKMIDRSINATIVLAAGTYAITKLLTIDHDYWHGWTLFEILRYAPQHNWLAYEEALKRNPVLAKMVISGVVYSVGDWIAQCYEGKPLFEIDRARTLRSGLVGFTLHGSLSHFYYQFCEELFPFQDWWVVPAKVAFDQTVWSAIWNSIYFTVLGFLRLESPLSIFKELKATFLPMLTAGWKLWPFAHLITYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARLSESVIENSSSSTTPIDPSKE >A03p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15386325:15387412:-1 gene:A03p036740.1_BraROA transcript:A03p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVVKSFKDQFSSEERLKESSNIIAKYPDRVPVIIEKYSNADLPDMEKSKFLVPRDMTVGHFIHMLSNRLQLDPSKALFVFVQNTLPQTAARMDSLYNTFKEEDGFLYMTYSTEKTFG >A07p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10866374:10867817:1 gene:A07p018010.1_BraROA transcript:A07p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEENPNQIYITNDVVEEILVRLPLKSILRFKTVSREWRSLMESRRFADRRMSVPKNRKFLAVGNQAQSRFQGDEEIEMVYLECDEATRPSLTCDGVVCIPEPNWVSVLNPSTGEFLRFCSGPFHYENDMFTEVWWSEFNINSAMGFGKDEITGKYKVVSMVFDHNHYQILDVDIGQWRKLVPPPYKVDTRRKSACVKGSIYWLDLFGIYKILAFDLHTEEFRDVQVLPPLFHSAAARIVNLDDRLAIADICMMKPGWNLEIWIMDAQEETWCMTYSITLAHRVIPMHGRVIEEWSTMFTPLAVSKEGSLFFYDTKKRLFKYDPETDFLCCLSSDICVISPFVENLVRLHPGCVPKTRPPRGCRNGRSCLNQISYLKRLVLVCFHLTLVISNLLDEAHPITSSISASLLFVLDTAPTKPN >A03p004330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1831607:1832335:1 gene:A03p004330.1_BraROA transcript:A03p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKEESVGIRVYTPQKPSPSPPSRSPKPLLISSLPSLPPGAAAGGGRGRKRRMVAQGVQKTVSKTSMIVNFLPTGTLLMFEMVLPSIYRDGDCNGINTLMIHLLLLLCATSCFFFHFTDSFKAADEKIYYGFVTSRGLAVFMKPPPPECGGGGDAFAEAEIPVTDERYKLKVNDFVHAVMSVLVFMAIAFSDRRVTGCLVPGKQKEMDQVMESFPLMVGIVCSALFLVFPTTRRGVGCMSA >A08p024240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15797949:15799741:1 gene:A08p024240.1_BraROA transcript:A08p024240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable prolyl 4-hydroxylase 9 [Source:Projected from Arabidopsis thaliana (AT4G33910) UniProtKB/Swiss-Prot;Acc:Q8VZJ7] MTSRLKSYKRKRLGLATVIAFCSLCFLIGFYSSALLSQNVPGVRPRLRMLEMVKNGEVEEEEEASSMPHGVTGDESVGSIPFQVLSWKPRALYFPNFATAEQCQAIIERAKVNLKPSALALRQGETAESTQGTRTSSGTFVSASEESTGALEFVEKKIARATMIPRTHGEAFNILRYELGQKYDSHYDVFNPAEYGPQTSQRIASFLLYLSDVEEGGETMFPFENGANMGDGYDYKQCMGLKVKPRKGDGLLFYSVFPNGTIDQTSLHGSCPVTKGEKWVATKWIRDQKQE >A10p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12746851:12747913:1 gene:A10p018170.1_BraROA transcript:A10p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVSKEVVTKRSREDDLLNAGSRLAAIVNEKKNQATALVGHIGVVKQRSKQEHNTLSSFDAGRTTPSLTKLRPLLTVVGWTMKKGIHPQMQWISYVTQSGRLMHVMMTRIHHVGKVYHFGAKRQLAQSIGQIAKFKRRFNEQEEEPSHDNNIDNQKM >A05p034480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19351536:19353679:1 gene:A05p034480.1_BraROA transcript:A05p034480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQILESYIEVEGYKKVFTMHHILMQFSGHTLRQQQCLSSSSRCLASLWSSLLSCPYNNEKEKDQETDHTSERTSNTDGDDNKNVSVMRMKIVVSKQELEKLLQGWSFHEMVCQTLEKQTLLSDDDNLQCKTKKVTFADPENVSVMRMKIVLSKQELEKLLQGGSFHAMVYQTLGKQTLISDDNNLQCNTDGSWTASGQYSGCGWVWWDSRGNIQLMGTRNLNRRKSALHSEVEALRWAMENML >A06p014690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6562860:6565296:-1 gene:A06p014690.1_BraROA transcript:A06p014690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYSLPEDEKEKAKNNERDSESSSSTKFDQAKLELQLSFSFLFFCCCEGIPRLNSSCSYSLTPIAHGWQRRLEPVSHVVARPSPNDILEWHYVLEGSDGTPFAGDNLLSEVSCGFYYGKIKFPPEYPYKPPGITMTTPNGRFITQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVNTTVAEKQRLAKSSLAFNCKTPAFRKLFPEYVEKYNQQQLAEQTQQTAPESPQESNSKAESAKTVDTTKEDSDGGLKERRKNKKQGLPAWIILLLVSVFGVVMALPLLQL >A01p006350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3229508:3231558:-1 gene:A01p006350.1_BraROA transcript:A01p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIAPQKPDDSEYEIIEGGSESALATGASPWMNSATLKLRHRIGRGPFGDVWLATHHQSTEDYDEHHEVAIKMLHPIKEDQRKVVVDKFEDLFSKCQGVESVCMLRGVSSISGRICIIMKFYEGCVGDKMARLKGGKLSLPDVLRYGVDLVTGILELHSKGFLILNLKPSNFLLSDNDKAILGDVGVPYLLLSIPLPSSDMTMRLGTANYMAPEQWQPELRGPMSFETDSWGFGCSVVEMLTGVQPWSGKSADEIYDLVVRKQEKISIPSGVPPPLENLLRGCFMYDLRSRPSMTDILHVLKSLQDSEEEEVWRGIDSREIRKSSAALGYTEWFLSKDQLRVGDTVRSRKPANSFKHENMDVPEGTVVGLERDTDPDEFALVKVHGVHDPLRVHVSVLERVTNGLAAGDWVRLKDGEDKRHSLVGVIHSIDREGNVAVGFIGLPTLWKGTSSQLQMAKGYSVGQFVKIKANVVIPRFKWMRKGRGIWATGRISKVLPNGCLEVEFPGALPFGEEHGSSCLADPAEVEVVDFNTCEGVVKKYQHLEDFHWAVRPLLIAMGLLTAMKLGLFVGKKAGRSKDGKQRDGSGGQGGDCQIVDGQDSGKSKWLVFSV >A07p001150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:272709:274646:1 gene:A07p001150.1_BraROA transcript:A07p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEVSSVHNHPLLPLTRFVFGMCKGCDFMGYIYGGYCCNELGCGGEVFHKECGEALPEINHSSHPGHLLKLFSRETYSCSLCGESRFLFGYSCSICNFKLDLDCARRAAPLPILSKPSVHEHPLELCPSSKFVDDAIDCKVCGYLGFYCIVKYKCVQCNLFFHIECVTFFPEAYHTSHPKHSLKYLLCEAAAPSYADKKCILCGNELGKPIHHCDVCNFSICTRCMRNPPLGVVSLTTHDHQLHLVPRHIEFTCDACGTTGERSPYFCLQCNFMIHRECIGLPRVININRHNHRISYTPSLGQGKWKCGVCRKEVNGFYGAYNCAKCPTFAVHARCATRNDEWDMVEREGTPEEEEVAPYEVIDDKTIKHFSHDHNLRIKKDGEILQESILCGACAFQICSEPFYSCEQCSFTLHTKCANLPLKKRHVCDNLPLMLQKTSADDEISCCHLCSQLFTGFMYTNGLKTIDVRCGSFSEPFVHASHPHPLYYSQRYSVSCSECRWNGELTCDECDFNLCFHCGYLPKKVMRHRYDDHPLSLYCGEESVDGEYWCEACEKKLNPKKWFYTCNDCGVLLHISCVVGSFTYMMPGPCLKYDKHGEVVSNTSVCRAVCTRCNIRCILPSIFKGYKDGVVEYFCSAKCLFS >A04g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7881690:7882195:-1 gene:A04g503660.1_BraROA transcript:A04g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLRSGSSITRSYVARKLHRTSASRFGTGIGIGTLWKLAESRFQNVSKIFFLKTCWKLTIPFWNHASVFKKKMQCISIKYKTIFLIYIK >A06g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7300670:7301651:-1 gene:A06g502030.1_BraROA transcript:A06g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTLLCLLPINVILTNPQNCDSESPSSSVDRKKLQAKPLNSAVDDWVGRLLALVSSDMPDKCWMGVDLMGVTCQECSSDRFFSLYFVWFNSLLSHIKNPESSRIVRVVSCTSISDLLTRLSRFTNTKKDAVSHASKVIFPSLNYWRKNLQRHYGKALSIS >A08g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14055449:14058094:1 gene:A08g507960.1_BraROA transcript:A08g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDRGPETDRNVDVGTSSRAGTRTNPPRAGRPTPHPPPQVYRRKAPQQKEKSPAEKATLEAEIEEIIEEGLRAETEDEEEETPAPKPAKKRNRVPPTSKPTSAQLYERLYDDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKEVSCQFLSTFFVQYHCDAHRTEGFGRISFEINEKTYKVGFKKLSSILGFSDNRGSFLPARSAIVDDIWAVITGWSRTAGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIGSFITPILEAFGIDLGPRDQAPASIDLAYLKKTHYLTGQSGDRYGYPFWSTDLEPAQLQIFLPCERLTTLSDPRHVLFAPAAHELIPADFGELETITKVRKKKTRASSSRAARPSDADDEGPTTPAPVYGTEKYHFQPYGGITPNIALRQALSQNAKLLRWNKMQDSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVSAGAGPSTLPYPVFYGPPRSPEYRLRRRRRNTAPTLRASSNEVRKMRDMKREILEI >A06p019250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9364658:9365368:-1 gene:A06p019250.1_BraROA transcript:A06p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESMTSLSPELDPRSPYYIDPDYQPNENLPMVILSQAEDNYFIWKRHFLQPLLSKSKTDFVNGTFATPPEPSSPLYEAWRVCDARVKCWMMNCVSENLQDYVRYADTAHKAWDDLRMIFVPGVDFKIYQLRQRIPTLRQDGDSLPRYFGKMRIAWMELWEYDRLPECACGGCRCEIKKRAEEAREKEERYAFLMGLNQELSFVRTQIMIMDPPPSLKEAYSLVYKAELRMNSSRC >A01p018980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9230729:9231456:1 gene:A01p018980.1_BraROA transcript:A01p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHDGSLIWTTNEDVVEGVSRSSAKDPSDSTEDASSSFSSNGAFDDLSDLISQLPTSHEKKGLSKYYKGKSQSFTSLAKVTCLTDLVKRRPRMKICRSSGGHLDQTCKRLYRTNATISMKATRTASSTRSNSRLINLEPFHDSTTLSSMT >A02g510760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28507317:28508700:-1 gene:A02g510760.1_BraROA transcript:A02g510760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPYERRQSKRWGKRRSKRQRADGPSDGHIKMDSHGTSYRKCEERITKSNAAVQALTWKAIDSQTLNKDNEMENNRVSDGDVEMKSHREVMLDTIRPDLHVINNSERAISLKDDGPVTLKPNQAQEEASSKVFPINLLPTSFCAKYLIMFSAVNLSVHSNLIVNNIGPENTNRCDAAATIEGCSEVSEPNSLQTDPVVNTKEETTGADKGPNYKGS >A09p074600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56534775:56537367:1 gene:A09p074600.1_BraROA transcript:A09p074600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGRACVVVLGDLGRSPRMQYHALSLARQASFQVDIVAYGGSIPHEAVLKHPSIHIHTMAQPRFIQLLPKILYPVTLLLKAFIQFTMLLWFLFVKVPAPDLFLVQNPPSVPTLVAVKWASSWRRAAFVVDWHNFGYTLLALSLGRNNVFVSLYRWIEMHYGKMATGSLCVTKAMQHELEQNWEVRAKVLYDQPPEFFRPALLEEKHELFCRVKKDLCHPSGVYDFISRELENQVLDETLFTTKTNADILLKQNRPALVVSSTSWTPDENFGILLEAAVMYDRRVAARSKGSDTAEISEEQDLYPNLLFIITGKGPEKEMYEEKIKRLNLKHVAFRTMWLAAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCSVSYSCIQELVKDGQNGLLFSSSSELADQLLVLFKGFLGNCDTLMSLKAGAVETGSSGRWATEWEDCAKPLITQVVSQNED >A10p040640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22361284:22362948:1 gene:A10p040640.1_BraROA transcript:A10p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSNQIKTDIASSTWLSSKFMSREGSKGSASATSFSHTPRTEGEILKNANLKSFTLGELKSATRSFRPDSMVGEGGFGCVFKGWIDETSLSPSKPGTGIVIAVKKLNQEGLQGHREWLAEINYLGQLDHPNLVKLVGYCLEEEQRLLVYEFMPRGSLENHLFRRGTFFQPISWNTRVRMALGAARGLAFLHSAQPQVIYRDFKASNILLDSNYNAKLSDFGLARDGPEGDNSHVSTRVVGTQGYAAPEYLATGHLSAKSDVYSFGVVLLELLSGRRAIDKNQPVGEHNLVDWARPYLTNKRRLLRVMDPRLQGQYSLTRALKIALLALDCISLDSKVRPTMNDVVKTLEELHVQKEPPKEQQNLLQPSSENNKSPQAVNYPRPSIM >A01g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27377281:27377984:-1 gene:A01g510000.1_BraROA transcript:A01g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILVLSSGIRATLAEKSRGSGGRETLLTEEKPSFRTVKADPHKNIFIVLSLFFSHKVCCGMFGLLKKSKSQQDVYFPFKTVFEKEQLIFDKKQFASNGFDFVQKQKKRQNMCDDEKWGQKW >A04p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15496144:15499752:-1 gene:A04p025580.1_BraROA transcript:A04p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQYSNKRKYEEQTAPPPPPPSTRRPTGFSSGPIPSASPDPSAVPPPSSYNSVPPPMDEIQIAKQKAQEIAARLLNSADAKRPRVDNASSYDYGGDKGFSSSYSSEGRQMSNTSSIPVSYGSFTATTKKIDIPNMRVGVIIGKGGETIKSLQLQSGAKIQVTRDMDADPNAPTRTVDLTGTPDQISRAEELINEVLQDAETGGGAGSGGGGSRRMGGQPGADQFVMKIPNNKVGLVIGKGGETIKSMQANTGARIQVIPLHLPPGDPTPERTLQIDGTTDQIEHAKQLVNEILSGEGNVHNLVIFLTCKMIIHLDNPYFNLVTGFVSVIYMLRLSAKACIVFVVSLAPILPRIGKGMGFCRSNPINSPISTNRMRNSSMGGGYQQQGYQARPPSSWAPPSGPPAQAGYGYMQQGAYPGPPQYGQSPYGSYPQQTSAGYPQQGDYDYYGQQQSQAPNAGGSSAPPTDPYSYYQNASGYGQAGQGYQQDGYGGYTASQQSGYGQAGYDQQQGGYGSTANPGQEEDTSQAAPASSAPTGEEPTQGSTGQAGYGAAPTSQAGYSSLPPTAYSSGYGAPPPAGKPPAYAQNQQSPGAPGSYGYAQPAASGYGQPPAYGYGQAPQGYGSYGGYAQPPAAGGYSSDGSAGTTAPGGGGGTPASQTAPPAGPPKASPKS >A05p048270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28291170:28293206:1 gene:A05p048270.1_BraROA transcript:A05p048270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSNPRTVEEIFKDYTSRRSALLRALTKDVDDFYSQCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSLINDLPTLFDVVTGRKPIKDNKPSSDSGSKSRNGTKRSIEGQPKSTTPKPMEGSYEDEDEDEEEDEHGDTLCGICGGNYTQDEFWICCDVCERWYHGKCVKITPAKADSIKQYKCPPCCAKKGRQ >A06p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12227733:12229130:1 gene:A06p022530.1_BraROA transcript:A06p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKFGPHLPTIIEGKHIKPLYELWGIDYAVEVEAPNGDETSKTVRPGYCGAYTSHFEDGGLSFPLPLFFLEVLAELGMAFAQMAPNFFRYFLASWIRAMEEGLEFGLEELKKLFAIKRNNGFPVTMILAPRPGRSIIDGIRNRDDLWREKFFVFNINPVSIGDIDFGRIPREWSDDIEPFGSAPMTPELRGWIATMRRDRIRAAYAPPPGRNHATPIGQAVPVRPGKGLSNKRAREKEALPDRPDESSEVGSLERAQKARREPTLRSRSQAQSPGLLARPVSIDVVGVENGYDEVNIQISAKYKYEYLSAINCVWSEECRDICNGNVSKPATDMFEYDDRNTNKPSSVTTQLPHMHTARSLRSDRTRVPLKPVIHVNFKT >A04p003470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1703522:1704064:-1 gene:A04p003470.1_BraROA transcript:A04p003470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSSSCSSSSTSTSTPSMKLKTLIQNLLAHPLYRFLRAVSRAKSIFLEISKHNNKKRKLTMFYPRKASKNQRKIFFGSFRLHYNWCSSDVVPVPQPLPSSVSDINGVEDDESQLSGYLEWLEHKKVEDLEEIRDVGEVDDDNDIDHLADMFIANCHEKFLLEKVESYRRFQEMLDRSS >A06p045050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24191086:24193621:1 gene:A06p045050.1_BraROA transcript:A06p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSILSALSEDLLVRVYGFLDPPCRKTWRLVSREFHRVDSLSRTSIRILRVEFLPALLFKHPNLSSLDLSVCPKLDDDVVLRIALDGAVSTSRLKSLNLSRATAVRARGLETLARLCRALERVDVSHCWAFGDREAAALSVAAGIRELKMDKCLSLSDVGLARIVVGCSKLNKISLKWCMEISDLGIDLLCKKCKDLKSLDVSYLKITNDSVRSIALLPKLEVLEMVSCPLIDDAGLQYLENGSPSLKEIDVTRCDRVSSSSLISIVKGHPDLQHLKASHCISEISLSFLHNIKALKHLKTLWIDGARVSDSSLLTLSASCRALTELGVSRCVGVTDIGMMGLARNCSNLKALNLACCGFVTDAAISAVAQSCLNLESLQLESCHLITEKGLQSLGCYSKRLQELDLTDCDGVNDRGLEYISKCSNLLRLKLGLCANISDKGIFHIGSKCSKLLELDLYRCAGFGDDGLAAISRGCKSLNRLILSYCGELTDTGAEKIRQLEHLTHLELRGIKNITGTGLAAIARGCKKLAYLDLKQCENIDDSGFWALAYFSRNLRQINLCNCSVSDTALCMLMSNLSRVQDVDLVHLNRVTVEGFEFALRACCNRLKKLKLLAPLRFLLSSELLEVLHARGCRIRWD >SC184g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:199871:224476:1 gene:SC184g500090.1_BraROA transcript:SC184g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQSSTATSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWISSWHFTLAIALQDMPYSLLREFRMFSCRYPKIFPNYKSSQNSNPNYIQIYTSSQPNPNIPNKSKSTKKTNIKSNKFNNLFLFPEIIKKGKNEETYREMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPSLRVGPMWRTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFVEPSRYRVAVDMIAWGRKRLLLNKIEEDIQLMLSKGLELKSFLGDVQSTSEFNPRCLLQDDKGETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISLYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLRGFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHEEGTSKFHSRKNLHSLKSSPFSLKFPQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYIL >A10g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6228845:6229453:1 gene:A10g502290.1_BraROA transcript:A10g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLSQGKAETSSKEMDKRAGSWTRSGPRDLEGLKKIKDASWKQCTTAGRCSRQARPASVGQLPELDCLAHSSGSAGDQLNSAGLSVQVMGSWAGSGQWPGHVGDPYVPMGWLALGIEPEAWEWFDSSRTSGNCQRRKGVIWTID >A09p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1208406:1209061:1 gene:A09p001620.1_BraROA transcript:A09p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKAFSWMLILWNVGSTEIMVMKGIIYSNNIKEAKYNKGSQVVIIALPAYSLLKELLRYIKSSSIDDSVREITTNNLPKRPSLIGFQLRFLSLNTRLKQKPI >A07p048000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25663565:25664154:-1 gene:A07p048000.1_BraROA transcript:A07p048000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAEKGFRKAAYVCDILVVENNQTLVPVQDFGLKGLKLKSVRSIFISADQGNALRGSSWNIGMCVAIIVFEDPMAMIMRMEKLNDGRFSNFNICIIIANRYQKVEIEC >A04p005780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3066050:3067948:1 gene:A04p005780.1_BraROA transcript:A04p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAKFAFFSSSSPKSLPSSPSSFTSFPASPLSQNFTQSSMEEAVERAEAVIRKWDPNTPSFTKIVSLFNHSRKEAKEFIICVRDLRKAMHFLVSQDSQSHKLALAQTLMQIAMTRLEKEFFQILSSNRDKLDPESVSGQSSISSNSEFEDIMQSDDEDEIKKAGESITQVEKASAVVMSDLKAIAESMISCGYGKECVKIYKRIRKSIVDEGLSLLGIETYKAPRFHRTDWVTLEHMIKNWIRAAKIGVATLFRGEKLLCDHVFSASNSTRESCFYEIAYEAATNLFKFPEFVAKEKKSHERIFSLMDLQAAISDLWQDVEMIFHFGSVAGVKSQALSSLEKLKVSIHSAVVDFESTIQKDSTKTLTPGGGVHKLTRSTMSFISSLSKHSRVLSEILADHPLPRNTGSLESYIRTPVSENEERNHALSVHFAWLILVLLCKLDTKAEHYKDVSLSYLFLANNLHFIIETVRSTHLRDLLGDNWLTKHSDKLNAYAANYEIAAWSNVYMSLPEEPTELSPEEAKTYFKRFHTAFEEAYMKQSSRVVSDSKLRDGLKVSIAKKLVPEYREFYRKYLPMLGQERNIEMLVRFKPDNLENYISDLFHGTPILASSSVSSSSSSSSWMSLGCVSG >A07p021870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12860970:12862361:1 gene:A07p021870.1_BraROA transcript:A07p021870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSASPSSLFPEDLTVKPIVQALPAPSNINIPSDLLREILSRLGLKANIHASLVCKTWFQVAVSVRKLQPHPWLFYPLKGEANGDYILLDRQRSQAYKLNFPDLKGHGFSCSRDGWLLVSTNFPSYLVFFFNPFTREYIYLPEAAPTSGYCLTFTAAPTSSSCLVISLNDRSICSYIEIATWRPGETLWTTHRFENLLPGRRWKSCVFSNGVLYCLTTFSNIGIFDPSRATWNILPVEPCPAFFQVDLGRRVLMTEHEGDIFVMLTSRNKNPLMFKLNLKRNAWEEKRELGGLTVFASHPTSLTRAGLSVKERNRIYPSHNGHLGVYYSLGDGIISSRFPTSNYLSNRIAWVDPPHNNFNL >A09p025220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14025866:14029214:1 gene:A09p025220.1_BraROA transcript:A09p025220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INIPDGLNLPLKKIRCLQWLKFPLEELPNDFNPLNLVDLKLPYSEIQRLWEGDKDTSSLKWVDLNHSSKLCSLSELSKSQNLQRLNLEGCTALKLLPSDMKNMKRLAFLNLKGCTSHESLPEMNLISLKTLTLSGCSNFKEFPLVSENIETLYLDGTSISELPTNMEKLQRLIVLNMKNCQNLEKIPVRVGELKALQELILSDCSKLNYFPEMASLNILLLDGTAIEVMPLLPSLQYLCLRRNDEIKCLPAGISQLSQLKWLDLKYCTSLTSLPEFPPNLQFLDAHGCSSLKTVSSPLARIMPTEQNHSTTFIFTNCENLEQAAKEEISSYAQRKFGSELEVKLLPHWHDKRLAGIALCAVVSFNDCHDQISRLSVTCNFKVKVEDKSWVPFTCPVGSWTRQGDKIESDHVFIGYTSCPYTIKFPEDENSDKCSSTEASLEFTVAGGTNEKGKLKVLKCGLGLVYAKDKSKNSCHEAKYDMPVGDCFQETSKEVDGGGAKKRKKTRCDDGRPKKKKKSRRDDDIPCQSNSDARRKDSSVVRHVGNLQAADESVPS >A06p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1015493:1017784:-1 gene:A06p004040.1_BraROA transcript:A06p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAIYKPANICVNIEIEKPDLESVFIHALPIFRETKMRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDELGRKLLICAWTVSENSLITVLVFKASLSSTLLVEELGLVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY >A09p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9114763:9116855:1 gene:A09p017600.1_BraROA transcript:A09p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLPPTITADETPEWLPVGWIVHSTALKRGRQSKTYTHLKTGRKLATKDQVIEFVRMDEIREHRESAILRKKALLKALQDEEAARERASRLHDDRKANLESVSFCKNPLYEINDNNMSSGLNPHSEQEVVPQCQTTKESEAASFDKVEETGSDYITYDYNTEEEDLSDNEYVNDKGSQDNVETFSNVTSIPLRLQPERMTKLESRAITQCLLEDEEKFQEVETSKAEEDGLKEAHLQDVVVVDKAREIPGLTGSFTIEINLNCEPPLGDSLVEKDWNQSGNGGTGTRNQESEEPLKTQATHEGTANELRGSVFENCNAGSSADDLIKTNELGLNPCPDTRQEKNISGSKKRKKSAEPCSSKNIKKGDTEAQTDNLGKGKRTPRKRKGGKGSSSEKTPVEWPEPCPNFPFEPLRTSEDDDSVIRRYLEQYYTAAGSADSNNIPLPDFGLPSFSNIKVSQSEEHESKKSPDPPCVVEVASSSVPCCVSMVATMQQTVAGN >A04p038860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22058799:22060118:-1 gene:A04p038860.1_BraROA transcript:A04p038860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQLDPFLNELTSMFEKSKEKGSVWVTLKRSSLKSKLQKRKLSAAGESIEYRCLIRATDAKKTISTSVGAKDHLRFQASYATILKAHMTALKKRERKDRKKPTEAEKKESTSTSTKPKKL >A01p050800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28581084:28581866:-1 gene:A01p050800.1_BraROA transcript:A01p050800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVQCLSSCATLNSKFKSLSLNGASSSSSPTSSFSIRRGVCSSLSFAQSVSQCVAFSSGNTWVQKKPVRQLTVCEAAPTKKADSAAKRARQAEKRRVYNKSKKSEARTRMKKVLEALDGLKKKADAVPDEIVTVEKLIGEAYSAIDKAVKVRALHKNTGARRKSRLARRKKAVEIHHGWYVPATAPEAATMAA >A01g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18450089:18451279:-1 gene:A01g506330.1_BraROA transcript:A01g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEIAYDFSPAFIIYKSGRIERLTGEAIVQSSLTPHNGVVSKDVVYSPGESLSVRVFLPEKAAKTGEKLPLLVYFHGGAFIIETTFSPTYHTFLTTAVSASDCIAVSVDYRRPPEHPIPIPYEDSWTSLKWVFNHIAGCGPENWLNKHADFSKVFVAGDSAGANITHHMTMRAAKEKLSPHLSGSGISGIILVHPYFWSKTPVDDKDTTDVTTRSRSETLWKIASPSSKDGVDDPFINVVQAESVDISGLGCGKVLVMVAELDLLVRQDWCYAAKLERSGWKGEVEVMETEGEKHVFHLKNPDSEKAHELVKKFASFIKGDIYLSRSDSKPVKKHNGKF >A05p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19712351:19714414:-1 gene:A05p034880.1_BraROA transcript:A05p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIEAPPPSSLLQNINSAISGSPSAPMDSNNGSPVVANSLSLPTSSSPLSRSLSMPSTLSSSALLTAETSQDRTIDLPLGSGSVLVQACDPLSQLMAPASFRVQETSTTSEGNVTLGSQDDCSAPSVINSVINGSESAQSQQQETITSDVIDAQTTPSENSTQATTTFIPTLGAWAKPLLFKPPATPPEPSTPQNYDPTLIGNQLAALWPSLTDEILNKKPKSKHPTRTLQPPIEKLPPPELKPDGSLRFLWAARLSPQSRNLYRAATPTYRIDGTPEVSIPSKVLKLGSENKDEYIIGKFHRCSLPPGGLVHAVVNRIWGRSSIENLLIPTPESGHVLEEIMETSPSSIINNKVLESSVINPLTTSTNHCAFERPSRFTVLEEVDETEIEPSNSFSLTRGGRESKPPIKYQNMEWKTVRGRGNRGRRGRGSYH >A09g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21577462:21584888:1 gene:A09g507460.1_BraROA transcript:A09g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWSTTWSSWRHLEAFGAQKGVFRVVIGRARHGSDQSGATPPSRSDLPIRATLPERQGEVARVLVTRRRENEHGATSRSDTARSLPKPGATLPERQGDVARVFITRRRENEPRATSRSDTARSLPKPGATYRSDGLRSLRLLFLLELVISQGPFGATKRRIIFVLRKNHQKPLESDLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEINHSYFLASRVNIKHLLSWPLTNEHSSRRRVCLGLCPSLSSKLDHPRSNPYIPEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQHKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNWERRSHSDQSRSLALATFCAPKTPSERPLGAST >A10p008250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11247552:11248224:1 gene:A10p008250.1_BraROA transcript:A10p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLALSIPPGFEPPAGLIASEVFDQTQIYMNCLDPEERRIRKFRMKKVLDELSKDSIAQYAALRMEDAPVISKIFNKDKGLVFDYKRVNETVPPEVGESSFQSEGLHRRESMQNIMVFVNEQGQRSMSETRYNRFQALVPTNKGNSIDPKSGGAEEDEGDTFGLYGGSNRGEFEISCNFPIPTLKNGGRRSSSKKASSWSRRSTKRVRRVS >A06p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6462637:6473487:1 gene:A06p014400.1_BraROA transcript:A06p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 2 [Source:Projected from Arabidopsis thaliana (AT1G16980) UniProtKB/Swiss-Prot;Acc:Q9FZ57] MVSYDESCNKRQRLLVVANRLPVSAKRTGENSWSLEMSPGGLISGLLGVAAEFETKWVGWPGVDVYDVVGKNALSKSLADMKCIPVFLEEVFDQYYNGYCNGILWPILHHMGLPQEDHNDTNKTYQTQYDAYKKANRKFLDVIIENYEEGDIVWIHDYHLLFLPQYLKEYNNKIKIGWFLHSPFPSSEVFKTLPSRSELLRSVLTADLLGFHTYDFASHFVRTCTRILGVEGTHEGIVDHGKVTRVAVFPIGIDPNRFLKACELPEVRQQMTELKQRFAGKKVILGVDRLDMIKGIPQKFLGFEKFLEENMDWRDKVVLVQIAVPTRNNVPEYQKLKSQVHGLAGRINGRFGSVSSLPIHHLDCSVDFNFLCALYAIAGAAQSLGAGAILVNPWDVTEVSSAIKEALNMSAEERDERHRLNFQYVKTHSAKKWGDDFISELHDTFSVSDMKIKKIPLELPQQDVIQRYSKSNNRLIILGFFGTLTEPMKNQNEELDLKLNPELKRTLKALCSDPKTTVVVLSRSGKNILDKIFGEYNIWLAAENGMFLRDTIGEWVTNIPENMDLDWVDGTKNVFKYFTARTPRSFFEASETSLVWNFENADVEFGRAQARDLLQYLWAGPISNASVDVVRGNHSVEVHAVGETKGVAVGRILEEIVRKKSMTTPVDYVFCSGYFLEKDEDIYTFFKPEVVSSKLSHETRSKSSTSNHSIKKKKHLSSNVLDLEKGNYFSVAIGQAHTKARYVVDSSHDVMDLLHKLAVADTTTATTSDSFSETEDYQTRNANADWKPWINYVKIRELAVGDTEPNCIPVFLNEVFDQYYNGYSNGIIWPILHHMGLPVEYHHDANKSFQTQYDAYKKANRMFLDVVMENYEEGDTIWCQDYHLMFLPQYLKEYNNKIKVGWFLHSPFPSSEVYKTLPSRSELLRSVLRADLLGFHTYDFARHFVSTCTQILGVEGTHEGVVDQGRLTRVVVLPMGIDPDRFISTCKLPEVIQQMNELKEKFSGKKVILGVDRLDMIKGIPQKYLGFEKFLEENPDWRDKVVLVQIAVPTRDAVPEYQKVRDQVHGLVGRINGRFGSISSLPVHHMDCSVPSNYLCALYATADVMLVTSLRDGLNLVSHEFVASQESKKGVLILSEFAGAGQSLGAGALLVNPWNVTEVSSAIKDALTMPAEEREERHRVNFQYVITNSAEKWGGDFLSELNDAFAASEMKIRSIPHEIPQQDMIQRYSQSNHRLIILGFCGTLTEPMNSQNDELDLKLNPKLEGTLKALCNDPKTTVVVLSRSGRNILDKVFGEYKIWLAAENGMFLRDPSGEWVTNMPQNMKNLDWVHGVKNVFKYFTDRTPRSFFEASETSLVWNHEYADVKFGKTQARDMLQHLWAGPISKASVDVVRGNHSVEVHAMNETKGAAIGRILGEMMHKISMTTPIDYVFCSGYLLEKDEDIYTFFESEIVPSKLSHETRSKSSSSIHSLKKKQVSPNVFDLKKENYFSVAIGQTRSKARYVIDSSQDVVDLLHSLAVADTTTMAHPFSDSELHQTRNGSANLKHSTDGKTNESQETKL >SC210g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:21197:25890:1 gene:SC210g500030.1_BraROA transcript:SC210g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSSIPLKLEEETGGSWSRWAKAVLRSCVLWSSHKKGKPLRRMATEAGQAWSLRYEDRVVQENHTRCGIEAAHGSRSDLKKVCGVKRANTDLRRGKEELHQLVGKLKYLWRELDLLRSRTSDPEVIQERLEQDVVLSLLVSLNSSYGQLIMQVAKDDERVDVDGLCELVQSSYKVYEKSKRLIRIRDGTRCKKGRLRRLSRTWVMVRKTQRKSRQCGYFGNDMETRLIKEFAQHVVRGECSYSAYMGSSVEESVVMKGQGTKGADDPITKKEWDGFVKYHQGDSGHHDQEVTQEVENFPQVDEQGEVHDQEEVSETETESGKIKAWEVTLNLFGEGITSKGEQGVVWIRFGHSWKGEATLQPVQACEASQQPASLDFTCFESHFEIPFVSALSLHL >A03p029150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12257191:12261078:-1 gene:A03p029150.1_BraROA transcript:A03p029150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RadA family protein [Source: Projected from Oryza sativa (Os06g0151600)] MSIPNMNSLRCFYTRANSLLLLRSSFSPVTIPVRRLSSVFDSLSNQTVSSVSDVDTKTQASPGAISSRGEDKPEEKHRKAAGSSSARGWDPGEVVMNKKKGKVRTSWVCESCGHSEGQWWGSCRACNKVGTMRRFSEGSSEPRGSGGGVASGGGSEGASLAWLPEQGVAQPLKLSSVIDGITHQQWRISLPGLFGNEVGRVLGGGLAPGSLILIGGDPGIGKSTLLLQIASIIADGNDLAKPAPVLYVSGEESVDQIGSRADRMKIETDELYLFSSSDLQDILTKAHRLSPQALIIDSIQTVYLKEVTGSAGGLTQVKECTSTLLRFAKKSNVPVFLVGHVTKAGDIAGPRVLEHIVDVVLYMEGEEHSTYRLLRSVKNRFGSTDELGVFEMSQAGLEVVSNPSGIYLSQQNTDSDVLAGLAVAVVMDGSRSFLIEVQALCATGSTVSRHVNGVQASRADMIIAVLMKQAGLRIQESGIFLNVANGMALSETAGDLAIAAAICSSFLEFPIPHGVAFIGEIGLGGEIRTVPRMEKRVSTVAKLGFTKCVVPKSVEKSLKSLGLKEIEIIGCKNLKELINSVFRG >A09p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17866049:17867422:-1 gene:A09p030050.1_BraROA transcript:A09p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLPPEMDNDSMASSPRSEYDNQPRVRFMCTFGGRILPRPPDNQLCYVGGDNRMVAVHRHTTFTSLLTKLAKLSGKGNMSVKYQLPNEDLDALISVSTDEDVENMMEEYDRVALNQNPRSSRLRLFLFAKTIAGEEDENDSRASSISSLLDSSVNREQWFLDALNHGSSAASNGGSAKGFERVRSEVSSIVSEVPDYLFGLDHFDETAPPHDRDPRAKIRREVSTLSDPGSPRRDVPSPYGSTSSAPVITSSTPELPPVKVKPESPETVLTPKADPQPEQVIQQSNIPVNSQWQYAAPGLQFHYQSPVYMVQQGNHMVQPGNHLVQPVLMQGQYLPQYQHVPMVYHHQPHQIPGPGLGQAYGETGTPVMTATDGVNRTAYYGMKTPGPVQMYYHHPGMVVPGVEEQYRTKTDTGPGPGPGQAS >A03p031090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13091301:13091638:-1 gene:A03p031090.1_BraROA transcript:A03p031090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTTFVCILMVSLFAIGRCRQLDVGEIKSSSNFIFEKCVRDRCGEEECWCCVIDPLRPCKKTDKECNADGKCPIPWS >A01p058690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33483151:33486377:1 gene:A01p058690.1_BraROA transcript:A01p058690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDSEKQSIASLGQVGDSSSGGISSVKEPLIKENHHSPEDYSVLAAIPPFLFPALGALLFGYEIGATSCAIISIKSPTLSGISWYTLSPVDVGIITSGSLYGALIGSIVAFSIADTIGRRKELILAAFLYLVGAIVTSLAPVFSVLIIGRLMYGVGIGLTMHAAPMYIAETSPSQIRGRMISLKEFSTVIGMVGGYGIGSLWVTVISGWRYMYATIIPVPVIMGIGMCWLPASPRWLLLRSLQGKGNVESLQQAAIRSLRRLRGSVVVDSAVEQVDEILAELSSVGEGKEATLGEIFQGKCLKALTIAGGLVLLQQITGQPSVLYYAPSILQTAGFSAATDATRISILLGLLKLVMTGVAVIVIDKLGRRPLLFGGVSGMVISLFLLGSYYIFYNTVPAVAVVALLLYVGCYQLSFGPIGWLMISEIFPLKLRGRGISIAVLVNFGTNALVTFAFSPLKELFGAGVLFFGFGVICVLSLFFIYFIVPETKGLTLEEIEAKCL >A08p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14468502:14472315:-1 gene:A08p021680.1_BraROA transcript:A08p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNSNRVQVYLEDGRVGEMQICPPRESHQEDQVMKQRRVMEKVTMGMGIRISRFSQPSERCPPLAVLATVSSCGLCFKLEASPSPPQEQLSLLYSSCLRGNKTAVMSLGEEELHLVAMYAENINNDRPCFWAFTVAPGIYDSCLVMLNLRCLGIVFDLDETLVVANTTRTFEDKIEGLQRRINNEGDPQRIAAMVAEMKRYQDDRNLLKQYIESDQVIDNGEVVKVQSELVPALSENHQPLVRPLIRLPEKNIILTRINPMIRDTSVLVRMRPSWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINASDLLARIVCVKSGFKKSLVNVFPDATCHPKMAMVIDDRLKVWEEKDQPRVYVVPAFVPYYSPQAEAAATPVLCVTRNVACRVRGGFFRDFDDSLLQRIAEISYENDVEDIPSPPDVSHYLVPEDETSGLNENKDPLSLDGMAEVERRLKEAISVVLPAANIDPRIAAPVQYPMASASSVSAPVQVAVPVVQQAPQPSAMAFPSIQFQQPIAIAKLLVPSEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDSRDAAPSEPPFPQRPPVQAPPPQAQPRNGWFPVEDMDPATLRRTVSKEYPVDSERPRHQSDRMPHENRRLPKELRPNNNLPGSHPFYGEEASWNQSSSRISDIGRSVSATENPAEALHEIAIKCGTKVDYRPGLVASTDLRFSVEAWFSGKKVGEGIGKSRREALQKAAELSLQNLADIYLSVANGDAGPSHRDAIASPLANGNMIMGGNANTFDNLPFARDETAMAVPSRSMLPLHKRQGSPRSFGGMSNKRLKPDFQRSSMQRMPSSGRYS >A10g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7786368:7787964:-1 gene:A10g502820.1_BraROA transcript:A10g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLSRFSNHGTNVEEALLVLGWMKLALIFPPRRLINCKVLIQGKGSGWSSQLCFTRFEYANMFHTVTDWYSAYVSSRVTGSPNRPHVVFIDGHCTTQLEETWTGLFSGIRYAKNFTKPVCFLHTILSPLGYETALFNGLSGEIDCNGESAHSLWLDPDNTKTARLSEVVSLLKCLR >A04p028630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17188689:17192202:-1 gene:A04p028630.1_BraROA transcript:A04p028630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVKTGSKMCMNASCGSTSTVEWKKGWPLRSGALADLCFRCGSAYETSLFCETFHLDQSGWRECYLCNKRLHCGCIASKLVVEFMDFGGVGCTTCTNCHQPNLGKIGENPGLFSRLPMNRQHTNGESGMNVGKADLFSQPLVQGDDKREEFMPHRGFSNLMKSDNATTTGYRHDANGTHESSSTPSQPPSLNMPMAALPYSLNFATATKKLMDAASQSHTVQSSASGILQTPSKSVPGTPSGPGTSKSAQARIGRPPNEGRGRSHLLPRYWPKYTDKELQQISGNLNLNIVPLFEKTLSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDVRGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMMLQAGDTVTFSRVDPGGKLIMGFRKAAHTGVTQGCGLTNGTSNEDTSSSGVTETPTSVNASSCPAQTPEELKGLPEHLNSNHGGSSLKKSEVNGVKDKKRTRTVGAKHKRMLWRSEEAMEVRITWEETQDLIRPSPGEKPTVVVIEEHEFEEFNEPPVFGKRTIITSRPSGEQERWGSCDDCSKWRRLPLDALLPAKWTCSDNVWDESRCSCSAPEESLKELENVLRISKEYKKRRSGVSQTVRTEEELTGLDALASAAALGDTLGEEEEPATTTRHPRHRVGCSCIVCIQPPSGKGRHNSSCLCTVCSTVKRRFKTLMMRRKKKQLEREEIEAAAAADQENKEEGKIDLNSDPYIRGELEAVGVEEKDESEKGEAGECLGVAQADDVVGVTELEGEGEKVGEESKGSS >A03p041860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17505778:17507447:1 gene:A03p041860.1_BraROA transcript:A03p041860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLFSSCCGKGSDGDKEAKTEPPRKIFSLKELHAATNSFNYDNKLGEGRFSSVYWGQLSDGSQVAVKRLKSWTNREDINFTLEVDILSRIRHKNLLSVRGYCNEGQERLLVYDYMPNLSLVSHLHGQHSAECILDCTKRIKIAITSAQAIAASNVLLDSEFEARVTDFGYGKLMPEDEAKSNNNNNNGYLPPECVASEAGDVYSFGILLLELVSGKRAKEGLTEWVLPLVYQRKFGEIVDQRVKEEDVGERLKKVVLVGLLCGQREAERRPTMSQVVEMLMSESKEKMSELEGNPLFKKPCSGDENNREDQEGADVISEEKDDHHQQQE >A01p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3906283:3916998:1 gene:A01p008130.1_BraROA transcript:A01p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 24 [Source:Projected from Arabidopsis thaliana (AT4G30270) UniProtKB/Swiss-Prot;Acc:P24806] MERKALLAAAFLASTADFNSDVNVAWGNGRGKILNNGQLLTLTLDKSSGSGFQSKTEYLFGKIDMQIKLVPGNSAGTVTTFYLKSEGSTWDEIDFEFLGNMTGDPYTLHTNVYTQGKGDKEQQFHLWFDPTANFHTYSILWNPQRIILTVDDTPIREFKNSESLGVLFPKSKPMRMYASLWNADDWATRGGLVKTDWSKAPFTASYRNIKIDGCAHSNGRSSCTSTKPTSTWYTQEMDSTSQARLRWVQKNYMIYNYCTDTKRFPQGIPRECATRSDQFLPGKINKTNHQSIPANSNSPVKLTVGNPIERMSHNDTVPLYQSSQSDIDEIENMMNGGFQSGPGSVLPARPPSPIRPSIPVTSSPFVQSNLPPLAPAQKVTPVPVPPAGSGGSEGGKAMGFGSPANTLTEPVWETVKRDVGRIGSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVILKMIVVCVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >A06p054850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28747003:28749761:1 gene:A06p054850.1_BraROA transcript:A06p054850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERGNKRTHNRTDNNNNNRNQKRRLSHQTEEKLNNNNKDDLVVYRILCPSVVIGSVIGKSGKVINTIRQETRARIKVVDPFPGCTERVLTIYCTVNDKKDIVDIENSDHQITPLCSAQDALLKLHDAIVASLATAAENSKIGRDDIRECRLLVPTSQCSNVIGKAGSTVKKVRSRTGANVKIVSKDVSDPSHACAMDFDNIVSISGGAESVKKALFAVSAIMYKFSPKEQIPLDATVQEAPASIIIPSDLSIYPQTGLYQSQDPIFQHGANVPSFIGTLPQGYGETATPVFSSSALPVVHHGTFGGVSSSSRQEELVVKVLCSSSNIGRVIGKGGSTIKGIRQASGSHIEVNDSRARANHDEDCVIIVTSKESPDDLKSMAVEAVLLLQEKINDEDEEKPKMQLLVPSKVIGCIIGKSGSIISEIRKKTNANIYISKENNKCADLNDELVEISGEASNVRDALIQIVLRLRDDVLRDRETSGFRNQQPPARSEKSSSYFSSSERSNAAAALALTPSFMSSVPQVASVDYDRRPETGMSGGLYGYGSFPVGDNSYGSNSSYSSSRYGGLPQSSTTIMEIRIPSNAVGKVMGRGGGNLDNIRRISGAMIEISDSKSSSHGGRIALVSGTPEQKRTAENLFQAFIMST >A06p009270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3259682:3260764:1 gene:A06p009270.1_BraROA transcript:A06p009270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYEHYQIPYDSNQVNSLYDHNYYDNNQLQQFGFEPMSYNSNDTMSYNSGYYDNWNGSSEYETTSASVAYSVYTMSEPKHLFYDPNVYTTYESPPQFSIYRSVQGFNEPEFEEYDPTPYGGGYDIAATYGKPLPPSVKICYPPSTSTQGNPPSPPEVIAPVPLGVYDGGEKKVVKKRVTFSEPLEEARPLETTKEDDHQEEEEDDEEWEEDEDEEEEEEDDSSSYVTTKPESVDTGEVKPVYVHQEEEEKEDSSSYGTTTKPDAEDKGEVKAVYVPSGYGLEATDMCELIFGGYFPCVLRNKRLQEDRKRAAEVSCWESNDSDPWKTTSDYLFGDSYPYGYENHQLERSQFEISSYGY >A08g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6884461:6885763:1 gene:A08g504340.1_BraROA transcript:A08g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSERGKQLEESKIQPEGIPSFDLGVTQDVRPPVAVQDAELGDTGDRQCEERVDDPQPCRKSKRLRLVPPPLITDYQCEIAILNRAREAKMKGRNYYDFNVVEEKFAKLSIILQKPLCLLMRLVRSTFYNQVGSRGGKIPEFLDSRLVSLLARNYDRFRRSKSKESYVFAKGLVDCLVKSCSSGKPILPPSQC >A01p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10187702:10189116:1 gene:A01p020890.1_BraROA transcript:A01p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVVYTLLTTIFIIGVLLFLTPCKHNEAQSVEALITRRLGRRLVTPVFDPIVTRIERLSHEKEANTTVEAVAKEEKDDMFDEYFSQERRLNTTMRIKFLFPLLDGAPRDGFVSLKELQTHGGAGWWMEQFKNADFDHNGYLDIEEFNNFLHPEDSRNGDVQRWVLRERMTGMDTNGDGKLEYKEFVKNAYEMYKEFAKFETEEDENVPTAQLLFAELDRDKDRFLVADELRPILHYLQPGELSYAKYYSTFLCHEADEDKDGKLSLEEMLNHEDVFYKAVHHEDLDDEDYFDHDEL >A08p008660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4753808:4754973:1 gene:A08p008660.1_BraROA transcript:A08p008660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLLSSRHSTNKKRKIVVTTPKKYHDQLGSSLQIISQKAFEAAVYKLSTASKSPVGLTGPPPNNDQSESSLQIIDRSVFEAAGRKLPKTPKASVIIRNDYVNGDALPSKITIKSAPFSSSFNINITPAETRPLGSDYNLILEYCSGGSIADFLKFRGTWMVESDVQLFSLHILKGINYVHSKKIIHCDIKPANILLKPVNSSSILGCLMPNGFEPKLADFGLALRKTSDEYGDGCGFARGTLLYMAPELLCSGNLDYCADIWSYGCTILEMFTGKKPWSELGLIDRKELKDVIGNSSVLPELPMWLSDSARYFLGKCLEKDPQKRYDSMYLLEHKFLASIVGHSY >A05p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:662942:668876:1 gene:A05p002160.1_BraROA transcript:A05p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46750) UniProtKB/Swiss-Prot;Acc:Q6NQ66] MNSLMSTFLLLQCISTLVFTAISTPPDDPIKCVSGNSDCTVTNSYGAFPDRSTCRAADVAYPTTEAELISIVAAATKARRKMRVTTRYSHSITKLVCTDGTEGLFISTKFLNHTVRADGEAMTLTVESGVTLRQLIAEAAKVGLALPYAPYWWGLTVGGMMGTGAHGSSLWGKGSAVHDHVTEIRMVSPGSVNDGFAKVRVLSKTTTPNEFNAAKVSLGVLGVISQVTFTLQPMFKRSIRYVMKNDSDFGDQAVTFGKKHEFADFVWLPSQGKVVYRMDDRVPVNTSGNGLFDLLPFRSQLSAALAIIRSSEETQERFRDANGKCVGATLISSTLFASSFGLTNNGIIFTGYPVTGSQNRMMSSGSCLDSLQDGLITACPWDSRIKSEFFHQTTFSVPLTQVKSFITDIKSLVKIERKSLCGLELYYGILMRYVTSSPAYLGKETEALDFDLTYYRAKDPLTPRLYEDFIEEIEQIALFKYNALPHWGKNRNLAFDGVIKKYKNAPAFLKVKESYDPTGLFSTEWTDQILGIKGNATIVKDGCALEGLCICSEDAHCAPTKGYLCRPGKVYKEARVCTRVSGISVIQSMVFSYSPSYGFPQNAAVWRTLLGLFCIFTLVLTAVSTPPEDPVKCVSGNTNCTVTNSYGAFPDRSKCRAASVAYPTTEDELVAIVAAATKTGRKMRVTTRYSHSITKLVCTDGTNGLFISTKFLNHTVQSDAKAMTLTVESGVTLRQLIAEAAKVGLALPYAPYWWGLTVGGMMGTGAHGSSLWGKGSAVHDYVTEIRMVSPGSVSDGFAKVRVLSETTTPNEFNAAKVSLGVLGVVSQVTFKLQPMFKRSLTYTMRNDSDFGEQAVTFGKKHEFADFLWLPSQGKVVYRRDDRVAVNTSGNGLYDFLPFRSQLSVAIAIIRSSEETQERFRDANGKCIGATLISSTLFGTSYGLTNNGVIFTGYPVIGSQNRMMSSGSCLDSLQDGLITACAWDSRINGEFFHQTTFSVALTQVKSFINDIKSLIKIEPKSLCGLELHYGILMRYVTSSPAYLGKETEALDFDITYYRAKDPLAPRLYEDFIEEIEQIALFKYNALPHWGKNRNLAFDGVIKKYKNVPAFLKVKESYDPTGLFSTEWTDQILGIKGNATIVKNGCALEGLCICSEDAHCAPTKGYLCRPGKVYKEARVCTRVSGINEALSVS >A02p047620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29713805:29717328:-1 gene:A02p047620.1_BraROA transcript:A02p047620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSGESVSISVPYRNLRKDVEVEMVSAKHQNESASSSPLNRSDGAAVAKDCSLVTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGISHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILVGSLMISIAVIIIGFSADIGYLLGDTKEHCSTFKGTRTRAAFVFIIGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCLWMAIGNILGFSAGASGRWQEWFPFLTSTACCAACGNLKAAFLLAVVFLTICTLVTIYFAEEIPLASNNPTRTSGLEHSKSNGTANGIKYERVERDTDVQLGKSNNEHQDETYIDSPGSVLVNLLTSLRQLPPDMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGNPTGDSLLVKLYGQGVREGAFGLLLNSVVLGFSSFLIEPMCQRMGARAVWALSNFTVFACMAGTAVISLMSLRDNSEGNEHILPNETTRTAAVIVFALLGFPLAITYSVPFSVTAEVTADSGGGQGLAIGVLNLAIMIVSIGAGPWDALFGGGNLPAFVLASVAAFAAGVIALRSLPTLSSSFKSTGFHIG >A05p013780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5990206:5991138:-1 gene:A05p013780.1_BraROA transcript:A05p013780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCSIKNRELRLPPGFRFHPTDEELVVHYLSRKVSGLLLPAYVIPEIDICKAEPWDLPGDCNSERYFFSMREAKYPNGNRSNRSTGSGYWKATGIDRQVGRKVIGMKKTLVFYKGKPPNGTRTNWVLHEYRLVDSQRESYGENMNWVLCRVFLKKRSNNNNNKKKEDEREKKEEIESNDNKSTCPIFYDFMRNDVKKGKCCTLNLTRCSSPSSASSSVCSSALIQTSLNSDSDNHHQETSCRENKFHLFL >A05p023870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11571741:11579573:1 gene:A05p023870.1_BraROA transcript:A05p023870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane trafficking VPS53 family protein [Source:Projected from Arabidopsis thaliana (AT1G50500) TAIR;Acc:AT1G50500] MIIDRFGLFRYCKKPWSWRGRERDLIFNPECLDRPLGSDPISVAVAGIHRRRLPIVLAIISSDFGFDQAMDKSSALEYINQMFPTEASLSGVEPLMQKIHGEIRRVDASILSAVRQQSNSGTKAKEDLADATRAVEELSYKIQEIKSKAEQSEAMVQEICRDIKKLDFAKKNITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCNHFEAYRDVPKIMELREKLNNIKQVLKSHVFSDFSSLGTGKETEETNLLQQLSDSCLVVDALESSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEEIWKIFPASWHVPYRLCIQFCKKTRKQVESILVNMKEKPEVATLLLALQRTVEFEKELEKKFGGDVPTSDIGDDIEEIGTWENNSQNISKIRKKYEKKFAAGQESGENEKTGNKDLSAPGAGFNFRGIISSCFEPHLTPYIELEEKTLMERLEKVVQEETWDIEDGSQNNVLHSSTQLFSDIKKSLKRCSVLTKNQTLFNLFKVFQRVLKAYATKLFLKLPKGGTGIVAAATGMDGQIKVSDRDERVICYIVNSAEYCHKTSGELAEKVSEIIDPHYAEGVDMSEVQDEFSAVITKSLVTLVLGIETKFDNEMAAMTRVQWGTLDSVGDQSGYVNGINTILSSSIPVLGNLLTPVYFQFFLDKLASSLGPRFYANIFRCKQLSETGAQQMLLDTQAMKTILLEIPSLARQTSTAASYSKFVSREMSRAEALLKVILSPIDSVADTYRALFPEGTPMEFQRILELKGLKKADQQSILDDFNKHGPGLTQPSVSTAMRQPVPTTPAPPMAITNQATAAGFIANSEDVLTRAAALGRGAATTGFKKFIALTEAAKDRKDGPLRRLFNA >A03p068250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29907211:29907788:-1 gene:A03p068250.1_BraROA transcript:A03p068250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNASLSQPPPRPLIKQHSWSPDADREEAWLRKKGKRPSGRLGRSKSVTDEDLEELKGCIELGFGFEPDSPELDPRLSETLPALGLYCAVNKQYRSGLSRTSSLSSVASEGEVSNSSTTIVDQGDDPETMKLRLKQWAQVVACSVRQFSGEPNSMLNNNNND >A09g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13165136:13166545:-1 gene:A09g504240.1_BraROA transcript:A09g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTQLVQQPGREHLLYLTPCPKGRRQTWFNRSGNRNSAWINRMMYSNLSKGQLTFTHFPPEDQEMWFRQFAQEFTWNPDHTNFIHDNFVHKVIDNYGKQIYEWKQKWLINKADENDGEPVDDFVLMKTAHTNKHTGEIDDGVVRDVISLIETQKEDEETRLSQLQTDLDATSTASTNLSRIRINEIVESSVPKKKGRLFGLGRRARSVSPSAPQPYVDPEGLMDQLKDKDDRIAALEQKMADQEAGWEATRKQNEQMMEMMYPNEQFP >A09p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23516616:23517675:-1 gene:A09p041940.1_BraROA transcript:A09p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKEQRHLEALIESLKKDLQVEKEETRQACIKLARSCEEQAKGCTSVHQHESQTGAGTRKETDWYIRNCAKPRKKQQRMCCWFYGKVGHKKVECFAREKSRKMAKKVNKTFTKPKRVEEVSLAKSVLLDEIKKETSEEGCNSGRSDLEVDQGASSLEPGHEVVCGTKGKEIEVRQEVMREDLQEADSEITLRQ >A06p022610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:12145431:12146831:1 gene:A06p022610.1_BraROA transcript:A06p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP14 [Source:Projected from Arabidopsis thaliana (AT3G47620) UniProtKB/Swiss-Prot;Acc:Q93Z00] MDGGDNVGGGDDHNRHLHHHHHRPTFPFQLLGKRDPDDNNQQQQQQPSPSSSSSLFSLHQHQQLSQTQPQPQQQKPQPQKDLQVTQEETAVVVAKKPPLKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSSMSLPSHYRNAASTFSPNNIFSPSLLQQQQQRSGGGGFLHPHHHLQGRATTSSLFPGIDNFTPTTSFLNFHNPTKQEGDQDSEELSPDKKRRLQTTSDLHHQHDQIGGYTLQSSNSGSTATTAQIPGNFWMVAAAAAAGGNNNQTGGGSVGSGGGSGGGEPVWTFPSINTAAAALYRSSVSGVSGGAVSSGLHFMNFAAPMAFLTGQQLATSSNHEINEDNNNNEGGRSDGGDHHNTQRHHHQQQQQQQQHHHHNILSGLNQYGRQVSGESQASDSLGGGEEDPQD >SC140g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:317336:318314:-1 gene:SC140g500120.1_BraROA transcript:SC140g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEIRESVSKAKEEDLGLSRAIPSNQDGECDEKFLEIVLDVVDVLGLFPRQPPSAPAIPSARRRVAGKKIEIRSTNDLQLLGMKDRPDFPVPVILDRIYKLVDDPSPDSIISWSKSNKGFVIRNQEKLIRRKIYKRFFCSSFKTFISRLKHYGFSKIKRSDGLREFGNENFVRGQPMLMKEMHIKTVMKRVNKDLKPRC >A05p039360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24054765:24055563:-1 gene:A05p039360.1_BraROA transcript:A05p039360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRESRDSDSKRDRSRFDRESRSASSLFYSSSPSFCGPKRSKRDGKPEEEEEGVLLSKKDLDVRDGCTETDKKPRQSLRDAAPLEPDAQGLRKDVEKKLSDTTKQAPHLSEELRSRPYHQVSEALFIVFHLIIITINQVYGIFVSRIVAS >A09p080410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58884509:58886129:-1 gene:A09p080410.1_BraROA transcript:A09p080410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPSPSPSPTAMKEGDNYPNWTELLPELTSSILNRLGAIEIVETARRVCRSWRRVCKDPSMWRKIDMRNLGDHGDMYYEELCRHAVDLSQGGLVEINLCHFATDSLLSYIADRFQNLLVLLNLGLIVDSNVVMLLRPKCVSFSSSNLRSLKVAQCYEITSEGLIEAVSKLPLLEELEVSYCSLSEESLKVIGQSCPNLKTLKKNCVGYRRPRDECDDVALAIAETMPGLRHLQLFGDRLTDVGLNAILDGCPNLEHLDLRQCFNVDLVGDLEKRCLERVKVVRRPNDSVHDYPFDATVNDVSSSDDGDPYGLSDVDIMSEDEFYYDDLSEDASDNSDFDPYEYYY >A04g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5597197:5598365:-1 gene:A04g502310.1_BraROA transcript:A04g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFDEVAFTEHRVFGKPVEEEDNYFHGKSTVEKNVLSGCCFLFTMRTCGRRNNHKLSRKKLLSHPEQEKVNNNLGLW >A08p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:507746:509756:-1 gene:A08p000780.1_BraROA transcript:A08p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGRFCRKLVVPGSAHGSRMVSTTACDSEAVKSLNLYSAINQALHIALETDPRSYVFGEDVGFGGVFRCTTGLAERFGKNRVFNTPLCEQGIVGFGIGLAAMGNRAVVEIQFADYIYPAFDQIVNEAAKFRYRSGNQFNCGGINLVFNLPSKSLLLIRLLFIGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPREAKGLLLSSIRDPNPVVFFEPKWLYRQAVEEVPEHDYMIPLSQAEVIREGNDITLVGWGAQLTVMEQACLDAEKEGISCELIDLKTLLPWDKETVEASVKKTGRLLISHEAPVTGGFGAEISATILERCFLKLEAPVSRVCGLDTPFPLILDAIKSTVNY >A10p027400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17242726:17248393:1 gene:A10p027400.1_BraROA transcript:A10p027400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASNREVSSDEGYSSSEEDERVNDQVNVEEDDEELQAVARSADSNEEEEEVAPDEALVSDDEVVPVEDDADEDEEDDEKAEIRKREKARLKEMQKMKKQKIQEILDAQNASIDKDMNNKGKGRLTYLLQQTELFAHFAKSEPSSSQKKGKGRGRHASKLTEEEEDQECLKEEEGGISGSGGTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEFKGINGPHMIVTPKSTIGNWMNEIRRFCPVLRAVKFLGNPEERRYIRDELLVVGKFDVCVTSFEMAIKEKTSLRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWALLNFLLPEVFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGLSQMQKQYYKALLQKDLEVVNGGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTNSGKMILLDKLLPKLKERDSRVLIFSQMTRLLDILEDYMLYRGYQYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTENAIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDPADLYDFDDDNKDENKLDFKKIVSENWNDPPKRERKRNYSENEYFKQTLRQGAPAKPKEPRIPRMPHLHDFQFFNTQRLTELYEKEVRHLMQTHQKTQMKDTVEADEPEEVGDPLTAEEGFPTWSKRDFNSFIRSCEKYGRNDIKSIASEMEGKTDEEVERYAQVFQERYKELNDYDRIIKNIEKGEGRISRKDEIMKAIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFLVCMVHKLGYGNWDELKTTFKTSPLFSFDWFVKSRSSQELARRCDTLIRLIEKENQEHDEAERRARRDKKLAKNATPSKRASGSQANESPTFVKKRKQLSMDDFVTSGKRRK >A06p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9638819:9642737:1 gene:A06p019700.1_BraROA transcript:A06p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) UniProtKB/TrEMBL;Acc:F4HY34] MLLCFCACHTPHFLMSRLSPATGISPRLHCSVDRTSDGRFRFGFRRNEVPFKRRLRFVIKAELSEAFSPDLGLDSQAVKSRDTSNLPWIGPVPGDIAEVEAYCRIFRSAERLHGALMETLCNPVTGECRVPYDFSPEEKPLLEDKIVSVLGCILSLLNKGRKEILSGRSSSMSSFSLDDVGVAEDTLPPLAVFRGEMKRCCESLHIALENYLTPDDERSGIVWRKLQKLKNVCYDAGFPRSDSYPCQTLFANWDPIYSSNMKEDTDSYESEIAFWRGGQVTEEGLKWLLEKGFKTIVDLRAENVKDTFYQAALDDAVSAGKITLVKIPIEVRMAPLAQQVELFASVVSDTSKRPIYVHSKEGVWRTSAMVSRWKQYITRPVTKGIPVSEESLRQEVSETKVGLNVVVSGKGGPAQQTDNVSEINEIDSSSASNQSKESGSNERDTPEFNMVSDPLKAQVPTGNIFSRKEMSKFLRNKGIAPAGYLSNQSKKLGIVPSPQVSYTEVTNGYQITDTVRELAETGNSNGTFLPASSQSSDFGNGKLSNGNVNVSDNINTSTSGNQGNGLSAEPTVVPPSHNLNRIVKRNNNAYSSDVSDDEAGAIEGNMCASSTGVVRVQSRKKAEMFLVRTDGISCTREKVTESSLAFTHPSTQQQMLLWKTTPKTVLLLKKLGLELMEEAKEAASFLYHQEKMTVLVEPEVHDVFARIPGFGFVQTFYIQDTSDLHERVDFVACLGGDGVILHASNLFKGAVPPVVSFNLGSLGFLTSHPFEDFRQDLKRVIHGNNTLDGVYITLRMRLRCEIYRKGKAMPGKVFDVLNEIVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKIPDDARSNAWVSFDGKRRQQLSRGDSVRIYMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >A07g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22163356:22167043:1 gene:A07g508070.1_BraROA transcript:A07g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWRIRASTVLQCGVVLLIQLFTAQSSRSPWQTLTGGAPLVIARGGFSGLFPDSSLDAYNFAMNTSLAGAVLWCDVQLTKDGLGICFPDLDLNNASTIEDVYPNRQKSYLVNGVPTQGIFTIDFSLRDLKNVFLRRGIISRSENFDGLYKILKVEEVAMQMRPERFWLNVQHEAFYAQHNLSMSSFLISASKTVSIDYISSPELNFFRKIAVGFQRNRPSYVFQFLGKEDFEPTTNRTYGSILSNLTFVKMFASGILVPKSYILPLDEKQYLLPPTSLVQDAHKAGLEVYVSGFANDVDMAHDYSFDPVSEYLSFMDNGNFSVDGVLSDFPITASASIDCFSHVSRNTTKQVDFLVISKDGASGDYPRCTDLAYEKAIKDGADVIDCSVQMSSDGKPFCSSSIDLSNSTLVDLSPFASHSTHVPEISPNGGIYTFSLTWPEIQSFTPAISNPYRVSYNMLRNPNEKMSGRIISLSEFLDVAKNSTSLSGVLISVEHAVYLREKQGLDVIKAVLDTLTEAGYSNGTTTTKVMIQSTNSSVLIDFKKQSQYETVYKVEEKIRDFSDSAMEEIKNFADAVVIGKSSVFNVSNSFVTGETNVVERLQKTHLPIYVELFQNEFVSQPFDFLSDATVEINAYIAGAGINGTITEFPFTAARYKKRNRCLGRNKTPPYMSPFQPGFLLKYMSPLSLPPAQAPDPVLADDDVSEPPLPPVRAKDPSSTPGPSSINAQAPRPSGQTQLTLSLCLSVFASLLLL >A01p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11892882:11895501:-1 gene:A01p024100.1_BraROA transcript:A01p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGVTKVDVDKMREKLKEGGKRKEVRQKTNEAPIDHSQESKIKALVDSILAPEIHRLEQKIDAAVASVKEVSSNAVAYQASVVGSVDTILQSFKTEILSYFSKATTQPAVEVPDAIPVTNEVVHKVVCRNSTPADFANGVESRDDCLNTTPGPVIGVVESRVLPENILSPMRESNDQIIDNVLENLSNYSTPPRSAKQCQGSEAESHRQRSPLSAGGHPEDSQRKNETSSIHSKKRMSAKQLSKELSEGSATYHISFPRKVRSAGNADAGISQHASVCGLSQTPQQGGAVDVFLQHEIPSFSLGLTQELGIEQRQEAGDMGHNNNIYVEEPIEAVVCDNEETLSCRKSKRRQHVPPQLITDYQCGTPIVNRAREGQLLGMIGVINVGGLSVTGKDMVDIGERNRFLPGRVIDILTRVVAFNVNNEALGSIDTIPVFLDSRVQVLLGRNYPKFKKSKRREKYVFTKGVVDCVRKSSPRDIAVARWYIPFNVHRRHWVGLCVYIPSSKIYVLDCNQGLITDEALVKELLPISDMFPYILRRLGNVVPTIGNKLAVERIKGVAQNTNPADAALTACLLIQAHALFGFDGCRSITPTVIPVEAQKAAIMVYEFHQKL >A06g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17029837:17030141:-1 gene:A06g505960.1_BraROA transcript:A06g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFIHKGKRKYALRNCLLRAKTSVRILFSCLDSQTRNSSRTMYLFKLRYGYWENDIESIVHMGFGNVQQQ >A06p058030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30155746:30156982:1 gene:A06p058030.1_BraROA transcript:A06p058030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMESDVNSTNRVPFSRVVDWRIVVRRPASQLPPSNLVDLAISVWNHDVYTDAELAHTPFFTIYSQTPSSPIHHHHSHSLSSTYCFSAGACSYGSMATGFFAGHIAAAVPSIYKDGAGCGACFQVRCTNPSLCSTKGTTVMVTDLNMSNQTDLLLSSRAFRAMAKPVLGADRDLLRQGIVDVQYQRVPCDYGNKKMMNVRVEESSKKPNYLAIKLLYQGGQTEVVAIDIAQVGSSHWSYMTRSHGAVWVTDKVPTGPLQFRFVVTAGFDGKMLWSPRVLPANWEAGKIYDAGVQITDIAQEGCDPCDDHIWS >SC132g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:16222:17527:-1 gene:SC132g500030.1_BraROA transcript:SC132g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRSHMLVTSCLLQLLVSFFMEGKCSASCAAWCAEACHQLSNLSFVFCGRKPSYEATLYDIKYPLLSSERPVSTRKTREKFREKERNKREKSVEKFRKNSEKRIEQGRTFLTIFWRFGVLKLRITHVLQPLILIGKDCSDQPDPCGGFKSRIFQKSSVISLSSSLVFPSQSHGIKVLL >A09g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:781073:781294:1 gene:A09g500200.1_BraROA transcript:A09g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIAYQIVNCDQGIERVCHCFGSFLVSSQTLFYSFSCYPGTLTLMIRHRNDRPMKHQVTLGGTEKPAWRIND >A01g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6730618:6731503:1 gene:A01g501890.1_BraROA transcript:A01g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSISSLPDEILGKILSLVPTKVAASTSVLSKRWRNLLSLVDSLSFDESIVVYPNEEEATNGSHRDKVNLYFIYEYERRIQCSGNTDSEKYNVKQLFTLSHRFSDFVDKTLALLNNSPAIKTFSLSLCCVVSTKSYKNESARVNRWIRTAMEKEGLLELHLYAPTHGTSVSIERRLLRNNTLVKLTISGHY >A05g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21444590:21448873:-1 gene:A05g507520.1_BraROA transcript:A05g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSTERRRFFDSFATDSEKLRSDQRFGLFAFERMTSFPHRFSDLFMFNHQLYIAKFPEIIWEITFAGSTEVSVSTPKETMAACLDQGLVSRASGSTNMNNQSSRSHAIFTITVEQMRKINIDSPENGVYINKGLLALGNVISALEDERKRKDGARVPYKDTCISTAHINAEQSFPYGMEDPHVQTLETANEDLCRELHQCRSRYAGVKHYEKDFKDIQYDEIIGLVKPDGLKKSLHSMESSNCAMVEATIGDSREIDEEAKEWEHKLLQSSMDKELHELNRCLEEKESEMKLFDGYDPAALKHHFGKKVAEVEDEKRFVQVEFFLHLMLKEERNRLLAGIENLASVGEAQKLQDVHAQNLKSLEVQLQNLKKKQENHCQLLSRNKRVTTLLGGSSEEDRRGCNGYQEIKRIGWKLENLLLVNIHFILFYTNEKALQRWLDHELEVMVDVHEVQETKPLDELAVEGLSPPRGKNGFVRASSLSPNARMARISSLENSSAYLQILS >A03p014640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5806559:5814557:1 gene:A03p014640.1_BraROA transcript:A03p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLKSFIKVWVYGIISISYCYYITTRIRSGVPRLLSIFPVLVLFLVIPLSFSSAHLSLYTTFSLTFLANFKLILFSFDKGPLIPLPTTLGRFFCFTCFPIKAQENPNSQNHFPKRDVAVKVAIVGVLLHLYGYRNNLSPTLLLALYFVQLYLEIDIVASFLKIAVVIFLGCDLEPQSNKPYLATSLQDFWGRRWNLMVPAILRAAVYAPMRQVSQRRMSSGWGLFPGVLAAFIVSGLYHELLFYYLTREVPTWEVTWYFVLQGICTAAEIALKKKTTVTRRWQLTPAVSRLLTVGFVFVTGVWLFAPQLVRSGVLERYKYEEVLFVDFIKQKGMEEEFKNLIKVWVSAISSVAYCYFLSTRIKAGVFRLISVLPVCAMFFVLPLFISSSTFCLYTAFFLSVANFKLILFSFDQGPLFPLPPNLAQFIAFTCLPIKHQQNPKTLTHFPKWFFIIKVVIYIVVLHIYHHYKQCLPLILLLGFYPLNLYLEHEMILTSLKYIVTVTLGLDLQPQFNEPYLATSLQDFWGRRWNLMISDLLRSSVYSPVRKICQYFVTSEWATIMGVLATFIASGVSHEVLYVYLTRETPTGEVTWFFVLHGVCTVVEVLVKKKTFVGRWSVRPIVSRLLTVGFVCLTSGWLFFPQLIRSNTLIKIWVSAIICISYCYYIPSRIKTGLPRLLSVLPICALFVLLPLFFSSIHLSGSTALFFSGVGNLKLILFCFDQGPLYPLPSNLFKFVCYTCFPVKLEQNPKSQNRFPKWLFAVKVALFGVVLHAYDYKQTLPPFLKLWLHPLHLYLELEVLLTLLKVFVTITLGCEVEPQFNEPYLATSLQDFWGRRWNLMVSSILRVGVYYPVRRVCGYLMSSDYAKLIGVFATFLVSGLGHEVVFFFLTRELPTWEITLFFVLHGVCTATEMAMKRMEFARRWVVSRAVSRLITVGFVVVTSGWLFFPQLTRSDVMERRASEILLLIDIVKTQHGFKNRSAYSHEEEDTIVFVLAAQLLLHASHNFSKMGFLVGTLIFVAVGIIASLCVIICFNRGPSTNLLHLTLIITATVCCWMMWAIVYLAQIKPLIVPILSEGE >A01p013290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6495590:6496315:-1 gene:A01p013290.1_BraROA transcript:A01p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFTRTKPILDSLSHDLLQKCHASGTPKGKSKLKTIQALKRHKATTKRGGSGGEDAGKGKGAISDHCINPPHPVRYLRPKERDREAQREKLGLISKARQREIDIQKKLGPFTKTRATDEEPVRIGVAGLDYVALGIFTKDELPKYKVTVEDGKRLAKEYSRVLMREHREKRVAEIGLMKMRKEALEALPEELKKAALERDATTPFPVIRGAATVLPPVEGYLERIMNAANKKSSSKEKLR >A03p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5306205:5307095:-1 gene:A03p013480.1_BraROA transcript:A03p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDINQEFSNYWEPNSFLQNEEFKYDSWPLEEAISGSYDSSSPDGAASSPASKNIVLERNRRQKLNQRLFALRAVVPNITKMDKASIIKDAISYIQGLQYEETKLEAEIRELESTPKSSLSFGKDFDRDLLVPVTSKRMKQLDSGSSRSLIEVLELKVTFMGERTMVVNVTCNKRTDTMVKLCEVFESLNLKIITSNLNSFSGMIFNTLFIEVSN >A06p009720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3450983:3451263:-1 gene:A06p009720.1_BraROA transcript:A06p009720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPGLDSVLLRVCSVDLEDCVWSQVWALSLRALVPSIQSLMVLHRHMRLVCACLVCEVLLTS >A10p039330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21801502:21801898:-1 gene:A10p039330.1_BraROA transcript:A10p039330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDMRTPEDQHLPPAAEEVFEQPGQDRNKKKPFETKQKGDRGFIEGCLFALCCCWICEMCF >A10g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10164266:10167976:-1 gene:A10g504110.1_BraROA transcript:A10g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERRDEKQPESSGEPAVQQINLNQVQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYREERDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIRLYLDLGEIRLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVPAYWFELPLKPAERRSVVPVLSLKQEEEKLQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREAMIYLMARSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A05p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24619855:24620369:-1 gene:A05p040180.1_BraROA transcript:A05p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVIGTVVSNKMQKSVVVAVDRLFHNKLYNRYVKRTSKFMAHDETNACNIGDRVKLDPSRPLSKHKNWIVAEIIKKARIYSPQAAAAAALSFSAAKAPSESSVPPVSSS >A10g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10917362:10922557:1 gene:A10g504440.1_BraROA transcript:A10g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPSRSTTIDTTPRTRTSIDNVSSKMVNIIILTQDENGNLYDQAGHLRNATVVRNEKLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMFFRETKNYGKELGFIGACHCGAKYESEYETEYSESINTPTFPSIDSNVSTVTDDRNNTSLDVMHPVDHFALPNYCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLEDEYDEDYFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTRKGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFTPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHPKNTSSAEIKLPSIDVTVSTSIDTTLNPNLSISKLNDYASIDYDFLTPDEFGIFRDPDDNARAMDGRILQLSREDIADILQVANGPDNLFSQQRGTPDVIQTYPNNHAGVATTKINPDLSRQPKGRYECGNRAFDMYEARKFTWEQRDEYGVYRDERGHARGIAGEMIPVTKDNIKKILEIASLFEESHICLPEYVTSFTLTRLAPELYTKEEIDEMVFGICGAQEKQGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRATSIDVSLPTAQIPAEPQCSTQHRDEWEISYIDTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLHAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKTTSKIYTALDTMEEQLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTIAKSMATRRDRPTKT >A06p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10122715:10124065:-1 gene:A06p020590.1_BraROA transcript:A06p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERLGPSKPSGSGSVATNTAPSPNLSQVSTTSQPDNTSPRRKKLLVSSLVVAFALILAAAIFAGVRSQVKSSQQVPGLARKPSQAISKACALTRFPELCVDSLMDFPGSLAASSPKDLIHVTVNMTLHHFRQALYSSSSFSFLDMPPRVRSAYESCVELLDDSVDALSRALSSVVSVSGGQTKPQDVMTWLSSALTNHDTCTEGFDGVGDGGVKDQMTDALKNLSELVSNCLAIFAASGDGDDFAGVPIQNRRLLEVGGGGGDRNMKFPRWTKRRERELLEMPVSQIQADIIVSKDGNGTCKTISEAIKKAPQHSTRRTIIYVKAGRYEEKNLKVGRKKINLMFVGDGKGKTVISGGKSIFDNITTFHTASFGKICSIPLLDLFTYHTFFTFWK >A06p012640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5769243:5769974:1 gene:A06p012640.1_BraROA transcript:A06p012640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISFSCAIFLVALILCFPHPSAGVPLEELERAITVLRVRGRALFANAIVTSDLFFDLLSAETLTLFAPTDSTLFDLDMTRSFSFYVSTLRLHSVPVRLPFSDLRSLPNATSLPTLLPSHHLLLSKSSSSNESVYLDGVRILLPGLFYGQHLAVHGIDGLLSLTTPSSSELSVDLPPVVDSPAESPYVVDSRFSPAPQPYASFLGRTPAETPRVEEVSPSPWREGMIVGDEGGPLDWRSNHF >A10p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15012682:15014287:1 gene:A10p022810.1_BraROA transcript:A10p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCSSIRPPRFIGSCSSLELSRLIDRAGVPFSVRISTRRKSITRSQGGGFTAPVSSREEGPSCIFVGPIDSARKETLEALYRQAKNAYYNGKPLIVDDMFDRVELKLRWYGSKSVVKYPRCSLLRQSTYADAEDDASQVLLLATIWILILLFGSSACVLPTIYGLGLVYGGDPFNSGLVYSSSSSVPLLSKLNGILLTVLGPAFGYPIASSAVRVLKGLWRNDLTALKGDCPNCGEEVFAFVRSDQSNKSAHKADCHVCECTLEFRTKVEKSASLLGRKWVYGRIYLVSRPRRGRRSKYT >A01g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27672795:27673113:-1 gene:A01g510090.1_BraROA transcript:A01g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVFQICSAYFLVYLLGLSLPEPKCHNRVSKPKYNSFAEAEDNLKKRKKTEKKKASQSVTLDTFFLYKVET >A06p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19643729:19645465:1 gene:A06p036210.1_BraROA transcript:A06p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGMMDSAYFVGRNEILGWINDRLHLNLSRIEEVASGAVQCQMLDMTFPGVVPMHKVNFAAKNEYEMIQNYKVMQEVFTKLKITKPLEVNRLVKGRPLDNLEFLQWLKRFCDSINGGIMNENYNPVERRSRGGKEKSVKGSSKVSKSLQTNNTHHTPTVTASSKPTGPKQARSHAIGGGSNSSAEVQALSKELEDLKVSVDVLEKERDFYFSKLRDIEILCQTPELDDLPIVVAVKKILYATDANESALEEAQECLIESLVIEVEEEEENEAEEAGLRLKNNNKKKQVSN >A10p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13614077:13619352:-1 gene:A10p020030.1_BraROA transcript:A10p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIAGESSKVLRKQGFRSLKLMSVDMEQELGNEPEPFGADYGRLDNGLVYYVRRNSKPRMRAALALAVKVGSVLEDEDQRGVAHIVEHLAFSATTRYTNHDIVKFLESIGAEFGPCQNAMTTADETIYELFVPVDKPELLSQAISILAEFSSEIRVSKEDLEKERGAVMEEYRGNRNATGRMQDSHWQLMMEGSKYAERLPIGLEKVIRSVPAATVKKFYQKWYHLCNMAVVAVGDFPDTKTVVDLIKTHFEDKRSSSNPPEIPFFPVPSHEDTRFSCFVESEAAGSAVMISYKMPVSDLKTVKDYRDMLAESMFLHALNQRLFKISRRKDPPFFACSVAADVLVSPLKAYIMSSSCKEKGTLASLESMLLEVARVRLHGFSEREISVVRALMMSEIESAYLERDQIQSTSLRDEYIQHFLHKEPVIGIEYEAQLQKSLLPQISASDVSRYSEKLRTSCGCVIKTMEPRSTATVDDMRNVVSKVNSLEEEMKIAPWDEEKIPEEVVNEKPTPGDVTHQLEYPEVGVTELTLSNGMQVCYKSTDFLDDQVLFTGFAYGGLSELPESDYISCSMGSTIAGEIGMFGYKPSMLMDMLAGKRVEVSARLGPYMRTFSCDCSPTDLETALQLVYQLFTTNVMPQEEEVGIVMQMAEEAVRARERDPYTVFANRVKELNYGNSYFFRPIRINELRKVDPVKACEYFNSCFRDPSTFTVVIVGNLDPSIALPLILQYLGGIPKPPQPILNFNRDDLKGLPFTFPTKITRELVRSPMVEAQCSVQLCFPVQLTNGTMIEEIHCIGFLGKLLETKIIQFLRFTHGQIYSAEVSVFLGGNKPSRTADLRGDISVNFSCDPEISSKLVDLALEEIVRLQEEGPSQEDISAILEIEQRAHENGLQENYYWLDRILRGYQSRVYAGDLGASCQILEEGRLRMRESLAPQTAQAALQRILPHPCKKQYTAVILMPQRSRFGFLSSIFASSPETRFIRDTKILAGIAGLAVLVLSIWRYSRK >A02p018020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8219721:8221460:-1 gene:A02p018020.1_BraROA transcript:A02p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFPSGGGDGRSTPGGFGTVVNNNPQPTYRSQSPGIFLDQTGNRFSGGKRTLADFQAAQQQQAAVNAFLLRSVKPRTFQNLQSPTIGLTSVNDMGLFGGSSQTQHQTDLVGIRMGYGSSIEPVQNLNRVEDSKNMLSSSLRELEKQLLEDDDESDAQGGGDDVSTITHSNSDWLHRVLTPVLSSSPSSASSPSTTCSRQTVMEIAAAVAEGKTEIAAELLACVSPTPNQKTNSEERLVNFMVTALRLRINPAEKESRAPPSPATATELYGKEHLISTQLLYELSPCFKLSFMAANLAILDAAGDNHDGGGVLMHVVDFEIGDGGQYVNLLHALSTRRSGDDKPLVVKITAVTNHGGERRLKAVGDRLSQLGDRLGVSLRFKVVASLRLGDISRESLGCGPDEPLAVNLAFKLYRVPDESVCMENPRDALLRRVKGLEPRVVTLVEQEMNSNTAPFLGRVSEACACYGALLDSVESSVASSNLDRAKVEEGIGRKLINAVACEGIDRIERCEVFGKWRMRMSMAGFELMPVSEKIAESMKSRLGNGNIVHSGFTVKEDDGGVCFGWMGRTLAVASAWR >A02p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16622007:16624878:1 gene:A02p031630.1_BraROA transcript:A02p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASERPQFISSNGGNRSFSNAPLIDNSDPNQIIVPEKKSWKNFFAYLGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYSEVPNFLLWVVAEIAVVACDIPEVIGTAFALNMLFSIPVWIGVLLTGLSTLMLLALQQYGVRKLEFLIAFLVFTIALCFVIELHYSKPDPGEVLHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSSTGIKEACRFYLIESGLALMIAFLINVSVISVSGAVCNAPDLTPEDRAKCEDLDLNKASFLLRNVVGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWLRNFLTRCLAIIPSLIVALIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKTKMGSHVNHMAITTLTWVIGALIMGINIYYIVSSFTKLLIHSHMKLALVIFCGILGFSGIAIYLASIAYLVFRKNRKASPLLASTNSQTVETLPRQDIVDMQLHGKAAASDLD >A06g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10273079:10273725:-1 gene:A06g503340.1_BraROA transcript:A06g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPRLTQCSTARFFVIVIFTKNTFRKNVHINGYFDVNFIVSVLDPNSNLRRLSPTVRRLPPRVRKTYMEFFWRTTSLRLSGIGRPPEKSSLKNIHKINCKTNLCINQKTSTSEITFLPSPYTQNFTTKVIHLLMTKNHELEWFYESYKV >A09p070490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54612443:54616919:-1 gene:A09p070490.1_BraROA transcript:A09p070490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISLLETTVSFLCFSFFFGYFLIMKKPHRSFPTNWPFLGMLPGLLVEIPRVYDYVTEFLDASNLTFPFKGPRFGGLDMLFTVDPANIHHIMSSNFTNYPKGSEFKKLFDVLGDGIFNADSDLWKDLRKSAQSMMSRPEFQRFTLRTNMTKIEKGLVPLLDHFAEKKLVFDLQDVFQRFTFDTTFVLATGVDPGCLSIEMPEIEFAKALDEAEEAIFFRHFKPEIVWKMQRLLGFGDELKMRIAHSTFDRVCSKCIASKRDEIARGVTSIDSSSKDLLMSYMDVDTTKYKLLNPGDDKFLRDMILSFMLAGRDTTGSALTWFFWLLSKNPEVTTKIRQEINTKLSPRTDNDPVHFSSFNPQELNKLFLSFNAGPRTCLGKEVAMTQMKTVAMKIIQNYEVKIVEGHKIEPVPSIILHMKQGLRVMVTKRLPSYTYPRGNLNIFFIPAFVSVIIIQKFAKVELRSLFGNFQINNILRMIAGKRFYGDGAEQDDDSKRVRQLVDEAVSSAGVGHASDYLPLLRWVTGYEKRVKKLAVRVDEFLQGLVDEKRSQKEKGNTMIDHLLSLQETQPDNYTDVTVKGLIVVTSTLEWAMLNLLNHPEVLKKAKIEIDTKIGLDRLMDEPDALNLPYLQCIVSEIFRLYPAAPLPPRRATDDCIVGGYNFQRGTTVIANVWAIHRDPDIWEEPEKFKPERFEKKGEDKKLITFGMGRRACPGSGLAQRVLRLALGSMIQCFEWERVGEEYVDTTEATTMLPATPLLAMCRARPIV >A01p015370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7483182:7485735:1 gene:A01p015370.1_BraROA transcript:A01p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTTFVFLFLFSFLTSFRVSAQGQDPTYIYQICPNTTTFSRNNSTYSTNLRTLLSSLSSPNASYSTGFQNATAGQAPDRVTGLFLCRGDVSTEVCRRCVVFAVNDTLSRCPNEREVTLYYDECMLRYSNGNILSTLNTNGGIILYNTQNVTSNQIGFRDLVLSTMNQAATVASTSPRRVAAGKGNFTAFQTLYGLVQCTPDLISQDCLRCLNQIVNQLPMDKIGGRLIVPSCSSRYELYPFYNESAVPTPPPPPSPPPVSTPPVSAPPPPGKGGNSTVLVVAIVVPIIVAILLFIAGYCFLTKRAKKAYHTTSAFDGDDITTSDSLQLDYRSIQTATADFAESNKIGEGGFGEVYKGTLLDGTEVAVKKLSKSSGQGDVEFKNEVVLVAKLQHRNLVRLLGFCLEGEERVLVYEYVPNKSLDYFLFDPAKQAQLDWSRRYKIIGGVARGILYLHQDSRLTIIHRDLKASNILLDGDMNPKIADFGMARIFGLDQTQENTSRIVGTYGYMSPEYAMHGQYSMKSDVYSFGVLVLEIISGKKNSSFYQTDGAHDLVSYAWRLWSNGTPLDLVDPVIVDNCQRNEVVRCVHIGLLCVQEDPVERPTLSTIVLMLTSNTVTLPVPRQPGLYFPSRPEKEKDPLDSAQYTTTQSLAGSVDDASITDVYPR >A09g513210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40153389:40155867:-1 gene:A09g513210.1_BraROA transcript:A09g513210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSSDYDDKVVFFKDLSLGHHESQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEKGTLIQGFVSPGRIKKYLPDMKRGSVYKLTNFYGSRNKTVFRVADHTVTVSFSWNSELAVLRDCHIPFDEDSFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIVEAPVLDEVEIAKARRVLIHIQSHDGPVMKLYLWDQAARDFCKKFKSYERTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFAWLGANPHIAEQVNAEIVTKRETMTIEEIFSYIKQETAKDAFFECTATIDDVVHGSSWYYISCSGCHSKVSKGPTSLICTNNKCEKVNVSGVAQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNHEAPVPEALISTIGQKHKFCVKVTEHNFSGKTRSLTVTKILPLDTPPATESSEVNETTVASEEKFINHVGSAEGSKRTCDGTTLEEAKRLKRGD >A08p038280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22192309:22194016:1 gene:A08p038280.1_BraROA transcript:A08p038280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYPSNVNCYQQEPIYLNHHLHHQQQQPSSTSSSAAAASFVGSEENVRNEMVFIPPTGLQNLNGDVPVSSSELTFRDGQGLSLSLLGTQISLPSFHYHQQYPSISAKETPPFSKEMLLLGQSDPSSGYAGVYNSYNMSSVLRSRYLKPAQGLLEEVVSVEKEMNQLRKKKKGEDFNNSAKETEGGGGIGGEISIELSTIERQELRSKKDKLLTMVDEVDKRYNQYYHQMEALASSFEVVAGFGSAKPYTSVALNRISCHFRSLRDAIKEQIQMIREKLGEKGGELSLDEQQGGERIPRLRYLDQRLRQQRALHQQLGMVRPCWRPQRGLPESSVSALRAWLFEHFLHPYPKESEKMMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDDASELLTSKSPNSTNQEDSSSQQQQQQENTTNVAFSSEPKPDCTQANEDDPQLHQMNRSGDYDTLMNYQGCDYRYIDGNNQQESRFSSGQHLHDFVV >A03g501330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3855921:3856637:1 gene:A03g501330.1_BraROA transcript:A03g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPDLQEAKFRLKHQRVLLILDDIGCDELKALGNLIQGLRFGSKVIVTSEDVYKLKTNGINQVYKVVFPSKEEALQIFSYSAFGQKCPPRSYVEKAVEVTKFVAPFPLGLKVLGSSFRGKTKDEWMVKVPKLRTYLENNKDIEKVIRYAFDGLSDTQRNTLHGLVSSMRCGKDVNNNTFTFLESVWDVDEDMQTLADMALISRSGHGGIMVHYLVRHMVNKTGPGSRMSYIETFFTQ >A06p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17270423:17270966:-1 gene:A06p032350.1_BraROA transcript:A06p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLRTLLCMLSPLSSKKQSSPLSSLASVFVGASLTPYFYFAPFVSLNLLSDMCGYVALLDEIDLRFDHRGYVVGSCRLIWKISEVQHDGGTYIFWTSSLLDLQISVLHGVERFLRTHVFSVFVYCGGFRSLHSRKSISGDVCTSVTTSGAS >A05p046410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27578328:27579332:1 gene:A05p046410.1_BraROA transcript:A05p046410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVTSFILPSFVNPTSSTRQKVSLLSLLPSSSTHGGIGSCVFNKPSVSFTKKVFAAPETLTPETLDEPTSEEFAEVPSSSSISVDADKMAPKQKIRIKLRSYWVPLIEDSCKQILDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVKL >A05p005580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2149552:2150838:1 gene:A05p005580.1_BraROA transcript:A05p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRAGDVSKDFHKSHGLISGLPSELAIECLVRVPYQFQSDMKSVSRSWRSLLSDSSFAKERRRCGRAELLLCLVQPLTPPNPASKAVGETLLGEKEVEDESPRVSGTPRFGLSVYNATMSTWNRVAFPGQQIPLFCECVAVQASGKILLIGGWDPETLQPVKDVYVLELAGEGSGRRWRKGASMNEARSFFACATVGSKKVYIAGGHDDQKNALRSAEVYDVEKDEWLMLPPMTEGRDECQGLAMGLQKAHLYFDKDGPLSARLCDGYTVGKELGFCVLSGYGTESQGRFRSDGEVYDPVTNSWSRIENVWPFPDASPRGRVAGDIRGSSSKLWCFIDSKRQWETEDESRKWRLDLESIELPVTGSSVYGGSLGGEAVVLSGGGSESGGRGTIMMRKTEEKWSRVHDHEIPFGFSSLPFSHASIYV >A01g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19881520:19883250:1 gene:A01g506760.1_BraROA transcript:A01g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEMEEEQRDMKAHKAHYDMLFAVADAQHGIPKLCPCGSITKEFVDEEDTYDYLPGKRYFICKDCENDGLHFRQPWVMGVQQEVERLKKRVLDHDNLLRECEELKAQVRMLVLRFEFEREMIGALPEQEERPIGVKTAKAASKRKKTGKEEKLDKLEEMLEIKNQISKQSLLDRLLAKPEPLSEMELALKLKLMSEMM >A03p072030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31807899:31808370:1 gene:A03p072030.1_BraROA transcript:A03p072030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEHGHGHGGDFRAKVWSMSGGPYCRPKHWRRNTAFAMFGVFLVCIPIAMKSAELEQRPHMPVRPIPSQIWCKNFGAKDDYEKEH >A09g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28438873:28442799:-1 gene:A09g509780.1_BraROA transcript:A09g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAALLTSAGINISICIVLLSLYSVLRKQPANYCVYFGRRLVCGGARRYDPFWYERFVPSPSWLVKTWETSEDELLTAAGLDAVVFLRMVIFSIRIFFITAVVCIAFVLPVNYYGQPRMHKEIHLESSEVFTIENLKEGSKWLWVHCLALYIITSAACLLLYFVRPLEYRTIAKMRLGHITSSAPKPSQFTVLIRAIPWHPEQSYSDTLSKYFTNYYSSSYLSHQMVYHNGIIQRLLHDAERVCLSLKHVSPEISCKPSLTPCNFCGGPTATNSFHILSNEGDSVKGMELGELTVTTTEQERPAAFVFFKTRYDALVVSEVLQSSNPMLWVSDLAPEPHDVYWRNLNIPYRQLWIRRIATLVGAVAFMFVFLIPVTFIQGLTQLEQLSHAFPFLRGILKKKFINQVITGYLPSVILILFFYAVPPLMMQLNVFSSVRDIPAQLARAVPTQAGFFTTYCFTSGWASLACEIMQPMALIWNLAAKSISTNKDESYETLRFPYHTEIPRLLLFGLLGFTNSVIAPLILPFLLIYFFLAYLIYKNQAHDLLDTLNLQILNVYITKYESGGKYWPIFHNTTIFSLILTQIIALGFFGLKLSTVASGFTIPLILLTLLFSEYCRHRFAPIFHKHPAQVLIDMDRADEMTGKMEELHKKLHSVYSQIPLHLQKSSSNGESSTPFSNQELPDPEKLKPEEGDAIAKELWGFQGNESGQEHDTKSCPSASSPEHLTPKMIELHKLN >A10p002140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1114245:1115636:-1 gene:A10p002140.1_BraROA transcript:A10p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCIQELKFSHLHIPVTINSKFLVHPSSPTPADQSPRHSLYLSNLDDTVGARVLTPSVYFYRSNNDQTENSESLVLKRLQDALGEVLVPYYPLSGRLREVENGKLEVFFGAEQGALMVSASSSMALDDLGDLTVPNPAWLPLIFHYPKEEAYKILEMPLLIAQVTFFTCGGFSLGIRLCHCICDGFGAMQFLDSWAATAKNGRLIADPEPVWDREVFKPRNPPMVKYTHDEYLAVEERSNLTNSLWETKPLQKCYRINKEFQCRVKSIAQGQDSSLMCSSFDAMAAHIWKSWVKALDVKPLEYNLRLTFSVNVRTRLEALKLREGFYGNVVCLACATSSVNNVMNDTLSKTTRLVQEARVRVTEDYLRSMVDYVEVKRPKRLEFGGKLMITQWTRFEMYETADFGWGKPVYAGPIDLRPTPQVCVLLPQGGVESGGDQSMVVCLCLPPSAVHKFTRLLSLND >A02p051850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31699243:31700862:1 gene:A02p051850.1_BraROA transcript:A02p051850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRPDCSTSYDSLVGSCNTLLSITIYKYLLLNPRKSFVSTGRSPIVLYYNNQDHVRAPLTPPMTIVRIKYSSVYREINISPHKNINSLISKWKLKHNRTQTVCDLACRKGLDVLDLPPAYQTHQLAQNLSNFGEGRFRLHSMRDEEAKLDLEENKIVEFIKLDFGNVVMVTGGRNRGRVGVIRNPAKLKGSFETTTTYKTQQDMSLQQG >A08g510670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24829924:24833612:1 gene:A08g510670.1_BraROA transcript:A08g510670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCLFQRSSKTHCSSSFSTISTRMIYCILFSVFTFLVYLLLSLSLFQSKETINAYVFSSKDQPQSPTAIDHIVFGIASSIKTWPARREYVKLWWDAQRMRGCVFVDRPLPSFVNHTNSPLLPPICVSEDTSRFRYTWTNGDRSAIRIARCVIETVRMFNTSSEEVRWYVFGDDDTIFIPENLVRTLSKYSHTSWYYIGASSEIYYQNSLFGHDMAFGGGGIAISSSLANVLAKVFDSCIERYPHLYGGDSRVHACMLELGFDVRGNALGILTSHSTRPLVSLHHMAHIDPIFPNSTTFSAVSHLFSAVELDPLRIFQVSVCYDRWHSWTISVSWGYAVQIESRHLFLGDVLRTQKTFRTWINYGGLARVYTFNTRDVHPDPCQRPATFFMEHVSSSPGDGTIKSVYKQASQNCTYDPISSPRKIEEVRVFSTRLDPDIRQLKAPRRQCCDILPTSSNGGKVLEIRIRECKEDEFIYIHP >A09p025200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14108057:14111888:1 gene:A09p025200.1_BraROA transcript:A09p025200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYYLTGLSCYGSNEADFVKTIVVDVMERLNTNPPCCLAREEPEQATLFGIEHRIKQVEEKFGFDHGDETRIVGIVGMPGIGKTTLATELFNKYKNKFIRCNFLKIRGKLAKMGAESSDDRLRTTFLEGLLPNIDVTDDCVKSKLLSNKVFVVLDDVSSKEHIEILLGDRSWIKKGSRIVITTRDRALITDLDPNPYVVPRLNPRDGLMYFSFFALGGFNPEMGGGYMKMSREFVDYVRGNPFALKVLGRELLGKGEAFWNAPLDTLAKCPNKSIQNLLKISYDELSEKEKDAFLDIACFFRSEDEFYARSLLDSEVASEITDLAYKFLISISGGRVEMHDLLHTFALELCSLSSTKEKRRLWKCQDIVAALHGKMVRLINHDEKIVITVRGIFLDMSQVMDMPLYSGAFTKMCNLWYLKFYTSTCPRECEGDCKLNFPDGLSLPLEEVRYLDWLKFPLDELPSDLNPKNLVDLRLPYSKIKQVWKDSKDTPKLKWVDLNNSRKLQTLSAFSKAPNLLRLNLEGCTSLESLSEEMQTMESLVFLNLRECTSLSHLPQMNLSSLKTLILSGCAKLYRFQLISENLESLYLDGTAIEDLPSDIVKLQRLVLLNLKECKRLRSLPECIGKLKALEELILSGCSNLETFPNVEDSMENFRVLLLDGTSILEVPKVLPGINSLLFLRRISFSGNGVISSLGSDISRMYHLKWLDLNSCEKLRSLSTLPPNLQWLDAHGCISLQTVSSPLAFIIPTEQIHNTFTFTFTKCCKLNEAAKNEIASHVRRKGQLVSSDDHHNGNFISTCYPGYEVPAWFSHEAYGSVLEPKLPPHWCDNKFLGIYLCAIVSFRDCGDQSSRILAKCTCEFEDLDAPCSRFSIPVESEPRNIESDHVFISYISWSNIKKRQEVEFKKGCVPTRAVLRFKVTDGAGEEIPQCEVVKCGFSLVYEPDDEISNVVSLPAARTMLNGESSQGEVTTFQSGEEAPTESPTTADSTSKKNSF >A07g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:555572:558650:1 gene:A07g500280.1_BraROA transcript:A07g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIAQIGEKLDETADDRQTDQHSRTRVMHVADKRFRCQVDGSWSDKDDWMGMGFVLTEGEVAILQRQKCAPRTQALINAEASGLIWAMQEIQERGFDGVNFGSDCQQLIKLIRREDEWPGLAPYLDDIKFLSTCFHDVEFFYVSRENNIRADSLTKGGRSRGHCFTVVDVLVHSRPAIAAGLNGPDFDLHLVDTFFGVWITLVLGSFPSHTPSRSIFTGISLTAARVESLIGVSLSSSSTGSVRCRSLDLLCEIPDLPVRCRAIIASGSLLCDHGHWLWFIAEQYQIFTFVDVRSLIPVHCRVITVGSVSFPAPIVHDDYPWIVPFWTRLIGVPLHLWTENNLREIGSRLGHVHQDTIELIEGRMLLDFDSRRPLKFARKAESPEGDEVTVEIKYEMLFKHCSTCGVFARVQLQERGPQQYSKPLAKKEPTALHSKALAGPYLKQSSYATGRYANEDRRHDLNNPREDHKGHADRVVRRRDDLSWRKKYGGAREEAKPYARHIGATWREKKSQSQARYDGNVVRDRLVRVSLDRADGRDDHQRQRASPPPRERAKSVQADCEVPPLQSPVRTSPEQRGLGSTGTRRIASTIVTPSRGDGLDGNVTKRLKGTPRSLAFDTLTEQDPKPTTENDQVIEALDDMDITEQLDDGLMDSEMLDDDLMGVGLAEMEAKCRQGSEVRRTDQKSQRLSGRSSRHVKHGYKSSAPLGIQKKKFEILLRGSP >A10g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12336987:12343183:-1 gene:A10g505000.1_BraROA transcript:A10g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIGAFMLLILLCFQFLSVSALTNGFDASALQALKADWTKYPENWVGADPCGTNWVGITCTNDRVVSISLGNLDVEGKLSSDIASLTELQILDLSYNTELTGPLPSNIGQLKKLKNLILVACSFSGQIPESIGDLEQLIYLGRIPASIGRLSNLYWFDIADNQIEGTIPVSNGTSSPGLDMLLETKHFHFGKNKLSGVIPETLFSSKMTLIHVLFDGNNFTGDIPDTLSLVKTLTVLRLDRNKLTGNIPTSLNNLTNLQELYLANNEFTGSLPNLTSLTSLYTLDVSNNTLEFSPIPSWISSLRSLATFILKRNRINSALDFGTSYSNQLEFVDLQYNDIDVYTQPSSNTRIQVILANNPVCQEQGNSPSYCSAIPHNTSYSTIPTTCSPCDHGREASPSCRCAHPFTGTFNFRAPSFSGLFNSTNFEILQKDITGFFNKFSYPVDSVAVRNIRENTTDHQLLIDLLVFPLGRESFNETGMLLVNFAFSNQTYKPPPIFGPYIFIADPYTQFSDGGGFKSSNMGVIIGAAVGCAVLLLLLTLAGVYALCQRKRADRATDQNNPFAKWSTSKSSIDAPQLMGAKSFTFEELKKCTDNFSEANDVGGGGYGKGYLDPEYYMTNQLTEKSDVYGFGVVMLELLTGKSPIEKGKYVVREVKMKMNKSRSLYDLQEVLDTTIITSSSNLKGFDKYVDLALRCVEEEGVNRPSMGDVVKEIENIMQLAGLNPNGDSASTSATYEDAIKGSGDPYGKDSFQYSGNFPASKLEPQ >A06p034770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18842918:18845541:-1 gene:A06p034770.1_BraROA transcript:A06p034770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERHLQAPNLFQLFGPIMAVAENAGVKVDSSGHNLDNNNTASSATETNPSCPDDQSPKSDSSLDSSSDPPTPDSDDRTNETVQKGQTANGVKSEIKNLSDAFSKLNPMAKEFVPPSLARSQSGVSRNGLAFTNSFAAQPLVADGNGQFATRRRSFGQGRRRVNKRTSLAQKEDVIKRTVYVSDIDHQVTEENLAGVFVNCGQVVDCRVCGDPNSVLRFAFVEFTNEEGARAALSMSGTVLGFYPLKVLPSKTAIAPVNPTFLPQSEGEREMCLRTVYCTNIDKRISQVELKGFFEMICGEVFRLRLGDYHNHTRIAFVEFAMADSAITALHCSGMVLGALPIRVSPSKTPVRPHIHRP >A02g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23680883:23683164:-1 gene:A02g508790.1_BraROA transcript:A02g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGRPHMTLSDPSAMAPSFHPPGVVPPGAVSHASVGSSSAVPAAPAPYVRRREDALLCAPSRRNQPHLHPDKINGALWFGIDPEVHAFIRATWQGNYWGSWASWNFVPPEKKDQWWHAFIQHYYWDDQFHDEIYLKWMKQTQVTVCCRISQNRRDNRQPSYMSDAHWATMVEKYSTEQAKRKSAKAERSRKSAPVGKKMHKHGAGPRCFLNIAYNMASLDEPPSYTALARKTHTGKDGSFVDERTEELMLEVEEAVEEMLQDGSPLGDSQTDSTAGSNAKRHLLNQEYIKRGKIKKGTIYGLGSVQYKNSSPSVPIHVSLQRNLDVDMRMSGFETTISEFKEEITGVKEDFSALKAEIYAFKTKVTGGMSASQATLNTILQTLQSHAFTPASTAQPSQPQALSQPQGQPQAPIQSQHQPQAQAQSTAPPQHLTINNPSELDRWCQELGM >A07p024570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14151044:14155137:1 gene:A07p024570.1_BraROA transcript:A07p024570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESESEAKMEGWLYIIRSNRFGLHFSKKRYFILADHLLKSFKSISDSKTKDGGRSAVIDSCIRVTDNGRESVHRKAFFIFTLYNTSNHNDQLKLGASSPEDAARWINLIKEEALKGSSNPGDVFSCSRSRWDSLRLSSSVREHHSNSIDWTLRSSARVDPVTTTDVVAPSPWTIFGCQNGLRLFKEAKERDSLGRWDDHPAIMAVGVVDGTSENIFQTLLSLGPSRSEWDFCFFQGSVVEHLDGHTDIIHKQLYSDWLPWSATSTLFCQSSCFCFVLSLICCSNRGMKRRDFSLRRYWRREDDGTYVILYHSVFHKKCPPQKGYIRACLKSGGYVISPIDNGKQSVVKHMLAVDWKSWRSYVKPSLARSITVKMLGRISALRELFRAKHGSFPSSGELSRSARLNQESGFGESSSLTECEMYKDPANEERDKFPSERSSLVDLNDGVDEFFDVPEPSDNDHLDDNWASDFDSDTYSQDTRQPKLNSASSLVRKIHDLAVQKRGYVDLHERAREESSTPCCYGTTLPTDPTCALPCSWTTTDPSTFLIRGKTYLSDQKKVTAKGTLMQMVAADWLKSDKREDDLGSRPGGIVQKYAAKGGPEFFFIVNIQVPGSTTYSLVLYYMMSTPIEEHPLLVSFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGKNYIELGVDIGSSTVARGVVSLVLGYLNKLVIEMAFLVQANTEEELPEYLLGTCRLNHLDASKSVPVVPQS >A05p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30355513:30357303:1 gene:A05p001750.1_BraROA transcript:A05p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTPPPPLSLDFSQRTPLGKDGAPLPKLKPLHWDKVRATPDRTMVWDKIRTSSFEFDEEMIESLFGYTMQTSTKNEEGKCKTPSPGKHLLEPKRLQNFTILLKALNATADQICSALGKGEGLCLQQLEALVKMVPTKEEELKLCSYKGAVDELGSAEKFLRALVGVPSAFQRAEAMLYRETFEDEVVHLRNSFSMLEEACKELKSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLSDVKGTDGKTTLLHFVVQEISRSEGIRVSDSIMGRIMNQRPNKNRTAEEKEEDYRRMGLDLVSGLNTELRNVKKTATIDLEGLVSSVSNLRDGLEQLRCLASSEENRAFVSSMSSFLRYGEKSLEELREDEKRIMERVGEIAEYFHGDVRGDDKNPLRIFVIVRDFLGMLDQVCRELRCVRVPNSPSPLAPFR >A03g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24145062:24146593:-1 gene:A03g506740.1_BraROA transcript:A03g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKLEKASSSSSAVNLSQLFITLTSDVMSKVALGRKYSSGEGTVDIKTLVRTFTQIFGTFPIGEFIPSLVWIDWIRRLDGKVEEISTTFDDFLEEVVQEHDVDTDKKGSDFVATLLSIQREKMTPFVFDRSDIKLIILDMFIAGTATTSSQLEWTMTELMRHPECMKKLRDEICSVSTHNSYVNEGDVEKMSYLNAVIKETLHLHPPLPALVPHLLSEDVRVKGYDIAAGTQVMINAWAIQPDHATWGPDAEEFKPERHLNSSLDFQGQDFKFIPFGSGRRLCPGIRLGLVLVEVTIANLVKRFDWRVQVGPDGVDKPDLAEAAGIEACRKYPLIVFPSSVVFPI >A07p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3045539:3046280:1 gene:A07p004080.1_BraROA transcript:A07p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENGCRTVLGGRAEIDTRPPFGSVKEAVALFGEKVLAGEVYATRFREIPTKSTPCPQPRLRSLKLELEQTKHTLTRILQQNTILSNRIQTLTQELEHERKEIQRLNMIRSSLLENPEIEKLKFVEHHQTRTSKDVEEEIVTMEEFEKRRLVTFASSPLLTRVMSSVEEEMKEKEKVLERVSSVKKMKPKRGFPMFKGWFRTTRGRD >A08g504450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7338930:7339295:-1 gene:A08g504450.1_BraROA transcript:A08g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFKKSNLRKEIFTKSLAVKSCSNLNRTTKYRLSEGNRHVSKPATNELEYGDRTMDNPSMIATHRPSMHTARSLRSDQARAKLGRYVATERQARSLHSDQGCVLLGRYVVTELEPSLVAM >A07p001280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:225542:226150:-1 gene:A07p001280.1_BraROA transcript:A07p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRWVFPGRASAVQPSSSTSGDALLSPPFPPDPPDQTSPLSLHLFPPLTSTPPPSHSEIRRSHLSNSPIDIVISPALGSPPSAAIAGTTTQFGSLAEIDSLLTVPPTGNPNPLSSSSPTTGSQTPHPNLHCSLAEPNSNNLKTIQPNLSPPLLSNHASSSYALSNQQSPSPSINTFTSNSQPKTSKNFHIPAPPSHQTTSH >SC179g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:207985:209392:-1 gene:SC179g500100.1_BraROA transcript:SC179g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEAIGGLIRKSLEQEELIEFKSSRVDQVSSFAALFEYFEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQAS >A09p073400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55969405:55970410:1 gene:A09p073400.1_BraROA transcript:A09p073400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGVARVIVDSRFCVPDPVDLAMVRDKIEFKHGNFDIKDVKGNILFQVKKPGFLSSKMIFLDGSGSPLLTMKQKTMTLHERWKVYRGGSTEECDFLYTVKKSKVVQFTTKLEVFLGHNNEEQICDFRVNVTKRFEHSCVVYADAAQEAHAADSFIGTGQFLSDS >A02p005960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2541561:2544134:1 gene:A02p005960.1_BraROA transcript:A02p005960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENLITSIQGLSASSGDLSALHRILKGAEETLRADSDLELSTLEQLDASKHSLGYLYLLDVLTCGPMSKEKALDVVLLISRFITSCDAKQILVSLCKRFKDRVLELEDPLRGVAPLLSAVRKVQVSSKRLTALHPDCLQLCLLAKCYKAGFSILSDDILEVDQPRDFYLYCYYGGIICIGQKKFQKALELLYNVVTAPMHSLNAIALEAYKKYVLVTLIHSGQFSVSLPKCASTAAQRHLKTWSLPYTEVGNCYNEGKISELQAVVVAHSSDFEKDNNLGLVKQAVSSLYKRNILRLTQKYLTLSLQDIANMVQLANAKEAEMHVLQMIQDGQIHALINQKDGMVRFLEDPEQYKTSEMIEVMDSVIQRTIMLSKNLIAMDESLSCDPLYLGKVGRERQRYDFGEDFDTVPQKFSM >A02p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3584099:3585899:1 gene:A02p008690.1_BraROA transcript:A02p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPNMPMHPHFFNNLNIPQQFHQFGLPNHINQLLPNLLGNLLGGHSLPPLVHPTFFQPSLLDPFAFTSQPQGNSFNSLPYLPVPTPHQNHQMHPPGFSEPRPQVQSVGNVNNTNVTSNSKVNDFGNKYTKQQKFKGTGQGFQKSHLHQADNPKKKFGFNKDQIGKSINLELFQYPLKMPIVTTAKNGNKKKMATELDGSDADNIAKEKKRLEQPAIPLSPPFDPLTYLQNVKENASGYYHDKEAKMGRLDGKKGRFQNKRGSSGKDKFSKKPKIQDNNSSQESSITTEQPTLLEKLLSADIKRDKSQLLQVFHVMVMNSFFKESPEQPLKLPLVMVEETGCEHDKDDPTSEVLFDDESMTIVVMMWL >A07p002550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3769428:3770764:1 gene:A07p002550.1_BraROA transcript:A07p002550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEAKIRMNDNNEGNKEAIGSGLLRDWSELNKECLIDIVSRLSMEERWRGPMLVCKPWMYACDDPSLNSVFDLDTWFEGSRISNLWFSYEFEQKVDSFLRCVVDRSQGGLKEIRVRHCSDQSLLYAAERCPSLEVLCLKSCLSVTDASISKIATSCPNLDVLWIQSCLNVTDASMAKIASSCPKLRELDISHSIEISKKALKMIERSCENVKIIVEPPSNVRLSRDEASKFGLSVRSITRRELLEHIRKINTNDN >A03g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9115431:9116160:-1 gene:A03g502950.1_BraROA transcript:A03g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPFAVALLDGTATALSISPRRHGDGSIICGSRRGKKTATFLSVPLLDQAKRRHLYRWIDQERCFRMIFSLCGLIKSETDCVCVALGVIKHHTGSSDRGPSIIHTDYGWHR >A05p055650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32863732:32866388:1 gene:A05p055650.1_BraROA transcript:A05p055650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERKKETIRLEPESVIPILKPKLIMTLANLIEHSNDRQEFLKLCKRIEYTVRAWYNLQFEDLMVQLYSLFDPVHGAQKLQQQNLTSQEIDVLEQNFLAYLFQVMDKSNFKITTDDEIEVARSGQYLLNLPIKVDESKLDKKLLKRYFEEHPHENLPDFSDNYVIFRRGIGMDKTTDFFFMEKLDVIISRIWSCFLKITWLDKLRANRSSRHQKKDPKKDDETNPEADNEDLYVERIRLENTQLSVKSFLSKLTIQEPTFDRMIVVYRRASSKTNLERGIYVKHFKNIPMADMEIVLPEKRNPGLTPMDWVKFLISAVVGLVAVVTSVEMPKADLWVIFAVLSTVVGYCAKTYFTFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVMISFYILMEQGKATLEVDLDLRCEELIKEEFGERCNFDVDDAVQKLEKLGIVARDTIGRYYCMGLKRANEIIGTTTEELVLKAKQGVTPS >A10p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16981346:16983945:-1 gene:A10p026910.1_BraROA transcript:A10p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFSGAIVSLPEELVAAGNRTPSPKTTGSVLVNKFVEKNPSAVSVQVGDYVQLAYSHHKESPLRPRSFGAKDEIFCLFQGSLDNLGSLKQQYGLAKNANEVLLVIEAYKTLRDRAPYPANHVVSHLSGDFAFVVFDKSTSTLFVASDQEGKVPLYWGITADGYVAFADDIELLKGACGKSLASFPQGCFYSTALGGLRSFENPKNKITAIPAREEEIWGATFKVEGAAVLAHGE >A06p020190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9904940:9905233:1 gene:A06p020190.1_BraROA transcript:A06p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RESPONSE TO LOW SULFUR 3 [Source:Projected from Arabidopsis thaliana (AT3G49570) UniProtKB/Swiss-Prot;Acc:Q9SCK2] MGKGGAYLTVAAEEVEKLRRRNGELEREMEEMKKEMIQLWRRTVVAEEAEERLCSQLAELEVESLDQAREYESRIHFLVDQISRLSSSSLEVVVMNS >A04p005720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3036421:3039983:-1 gene:A04p005720.1_BraROA transcript:A04p005720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:Projected from Arabidopsis thaliana (AT3G55260) UniProtKB/TrEMBL;Acc:A0A178VFV1] MALNLPLLNTLLILLSLSITITTSLPSPSPVTIHQDSPPYLWPLPAKFTSGEETLSVDPALSLTVAGNGGGSPIVRAAFDRYMGMIFKHAYNRASSLLTRIRFLSMVEYDITSLKIIVHSDSEELQLGVDESYTLMVSKKNELSIVAAATIEANTVYGALRGLETFSQLCAFDYLTKSVQIYKAPWFIQDKPRFQYRGLLIDTSRHFLPVDVIKQIIESMSFAKLNVLHWHIVDEQSFPFETPTYPNLWKGAYSRWERYTVEDASEIVRFAKMRGINVMAELDVPGHAESWGTGYPDLWPSPSCREPLDVTKNFTFDVISGILADMRKIFPFEFFHLGGDEVNTDCWKNTTHVKEWLHGRNFTSKDADKYFVLRAQQIAISKNWTPVNWEETFSSFGKDLDPRTVVQNWLVSDICQKAVAKGFRCIFSNQGYWYLDHLDVPWDEVYNTEPLNGIHDPSQQKLVIGGEVCMWGETADTSVVLQTIWPRAAAAAERMWSTREAVSKGNITLTALPRLHYFRCLLNNRGVPAAPVDNWYARRPPSGPGSCYAQ >A09g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12489245:12496943:1 gene:A09g503980.1_BraROA transcript:A09g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSFLAPNAPKCLQKLHVFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSFLAPNAPKCLQKLHVVLKYLIETYACKMQPRHG >A02p010060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4326743:4329041:1 gene:A02p010060.1_BraROA transcript:A02p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monogalactosyldiacylglycerol synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20410) UniProtKB/Swiss-Prot;Acc:O82730] MTTTTVMSIAEKVLERIYGSSKSTLSVADGEKAQRHTHHHVHKHSYDDSEDDICYSDEDESAMELVQLGAERTKNILILMSDTGGGHRASAEAIRDAFKIQYGDKYKIIVKDVWKEYTGWPLNDMESSYKFMVKHVQLWKVAFHTTSPKWVHSCYLAAIAAYYAKEVEAGLMEYKPEIIISVHPLMQHIPLWVLKWQELQKRVLFVTVITDLNTCHPTWFHPGVNRCYCPSQEVAKRALFDGLDESQVRVFGLPVRPSFARAVLVKDDLRKELEMDQDLRAVLLMGGGEGMGPVKETAKALEDSLYDKENKKAIGQMVVICGRNKKLASALEATEWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAESLIRSLPIILNDYIPGQEKGNVPYVVENGAGVFTRSPKETARIVGEWFSTKTDELEQTSDNAGKLAQPEAVFDIVKDIDELSEQRGPLANVAYTLTSSFASLV >A01p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9495681:9498859:-1 gene:A01p019460.1_BraROA transcript:A01p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKLTFPADSPPLSVIVALSLSSSPVTIDSSSSAVPSFVFSDGRKLTGTSVLLRYVGRSAKSLPGFYGHDAFEYSQIDELIDYAPVFSSSGSEFKNACTSVDNYLQSGTFLVGHSLSIADVAVWSALAGSGPRWERKSKKYQNLVRWFNSISLEYAEPLNKVAAYTAAKKGSGKPASVAPRSKDQQASDKGKPEVDLPGAEVGKVKLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIIRFDDTNPAKESNEFVENLVKDIGTLGIKYERVTYTSDYFPDLMSMAEKLMREGKAYVDDTPREQMHQERKDGTDSKCRNHTVEENLNLWREMIAGSKRGLQCCVRGKLDMQDPNKAMRDPVYYRCNPMSHHRIGDKYKIYPTYDFACPFVDSVEGITHALRSSEYHDRNAQYYKVLDDMGLRRVEIYEFSRLNLVYTLLSKRKLLWFVQQGMVGGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCPRHTAVVEERRVLLTLTDGPDEPFVRLIPKHKKYEGAGEKATTFTKRIWIEGADASAISVNEEVTLMDWGNAIVKEVTKDEEGRVTALSGLLNLKGSVKTTKLKLTWLPETNELVKLTLTDFGYLITKKKLEENDELVFAKLVNKDTKKDTSALGDSNMRKLEENDELVFPEFVNPDTKKETSALGDSNMRNLQRGDVIQLERKGYYRCDVPFVKSSKPIVLFSIPDGRQHQPLSAN >A10p016770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2793972:2794943:1 gene:A10p016770.1_BraROA transcript:A10p016770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALMKNLCFALVILTSFATLFSVADARRFYVGGSRGWVMNPRENYNTWAERNRFQVNDTLYFKYAKGSDSVQQVMKADYYGCNVRNPLEKFDNGETEVALNRSGPFYFISGNQDRCLKGQKLIVVVLAIRHPKKVPISPAKPPSTTQPPQAHSPGSPVAPAMAPSTPQPPKSHSPVSPVAPAKGPSSAESPKAHSPVSPPAKAPSTTQPPKAHSPVSPIAPATAPSATPTPDHSPASPAPENTPPSSPAPPQSTPADNINAPAASTKNAASVVAVTSVMTTVLSVAFTVLMFA >A10g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4824912:4825390:-1 gene:A10g501770.1_BraROA transcript:A10g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIRRLNFQSSQVTDFKFNCKNNLCVDQTISSQTTSQAYIRLLQAHRITNESNPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILRALRASNCLFMVVVVLMTMAIL >A04p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12091184:12091618:1 gene:A04p019700.1_BraROA transcript:A04p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIAFEWDKGRKLLGVAWVVRNHRGVVICHSRRLFLEVINREEAKFASLLWAVEREFDDLFDAASRPQAWPVFGFQKQIERLLSSLKVLQDKGTGAILRRTMTSGLAI >A04p011370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:140821:141510:-1 gene:A04p011370.1_BraROA transcript:A04p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATMNIRDFGSARIAFPGFNEVGREDHFYRSANLIYLNDWVDEVSAVRTFRLKCYRSGNPEAIYLRGMYEFFILHLVDEGWEKIHLAGERGCELAQYVDGMLNLAFSVDQRGIVHNYPAFTRQHVDKMFQIICSWQLSGHWDYDKPGMFLSMAERIDPNVPRDCWCSHIDPPEFEVSLAGSRSRWKCDRCFWNCAAYDFCYQIHLTARTWPIED >A05p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1164300:1165627:1 gene:A05p003230.1_BraROA transcript:A05p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPESSDQMLYSFLSGNQISGGGYCGSDDYLSTMQSLCGSSSSTSSYYPLAISGIGETMAQDRALAALRNHKEAERRRRERINSHLNKLRNVLSCNSKTDKATLLAKVVQRVKELKQQTLEISESDQTLLPSETDEISVLHYGDYSNDGHIIFKASLCCDDRSDLLPDLMEILKSLHMKTLRAEMVTLGGRTRSVLVVAADKEMHGVESVHFLQNALKSLLERSSKSLMERSSGGERSKRRRALDQIIMV >A09p072570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55575475:55576710:-1 gene:A09p072570.1_BraROA transcript:A09p072570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIMYQISSDEVRCIAEIPADSVPSMANGEMSTFLKESMAPQIPTQLREIFIKGINDGASMKLVPTKSMSATLSEKKGVIVLGDAFNMQHPLIASGMMVVLSDVLILRHLLKPLENLANVTQVSQVIKNFNDIRKPMSATVNTLGDAFSQVLVASKDEAKEAMRQGCYDYLCSGGFRTSGLMALLGGMNPPPLSLVLHIFGITFTSIGHLLSPFPTPLRIWHSLRLFVSTIKMLGHHIKVEGARQMLYPESTPMYHRSYMAATALQLNDDVS >A01g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:249351:250610:-1 gene:A01g500060.1_BraROA transcript:A01g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFSSLPDDLLINCLARVSRLYYPALSCVSKNFRSIIASPEIHQTRSSLNRTEKCVYLYLSFCRDPETYWFNMKRRPSRNIANESSGYYSPVRLVAVGFGGSGSVNEDSCCSFYRRQPQDAAFGRCRCRRFLRQRNEQELTQNVDAAAAVGTCGNQTNRAYMEMVPSPKYLHPAQSSTLVAVGSDVYKIGGGDHSTCKLSKRKCSYSFSVLDCRTHMWRQAPSMWVERDSSSTATFFDGKIYVAGGCDKRYVGYPDRVEAFDLEKQTWGFVTNPRVFDWYHKYKAARECHCVIDDVLFFWESGAFKWYDSKTRLCKEVSDVKGLPDLHDPKFCKMAMVDLGGKMGLLWNTKTSQECKIWCAEITFERRHGDEMWGKVEWFDSVLSTHESCSSFYAVSASV >A05g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29196787:29199424:-1 gene:A05g509610.1_BraROA transcript:A05g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMHHHRAPLISSSSSPIVFRLLLLLTLLPVSLACLAFILQWRGGGLADPASASVISSTSLPSGGGSDLNHEVFPGMETVSSVSPKAHQSSSSDCSNLARSSSPSFPYYIDWNFGVDSNLKPKICITTSTSAGLDQILPWMFYHKVLGVSTFFLFVEGKAATPTISKVLESIPGVKVIYRTKDLEEKQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWILHLDTDELIYPAGTREHSLRRLLLDVPPNVDMVIFPNYESSVERDDIKDPFTEVSMFKKNYDHLPKDTYFGMYKEATRNNPNYFLTYGNGKSVARVQDHLRPNGAHRWHNYMKTPNNVLILGSEIKLEEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSVVIQALKESGVFSSVVSSASTNLSKKKLLASMHKSNSSRSTSSESLISKGKESQGTSARRHLLGAESAVPPLSPPGMEHAGLVTED >A06p016080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7157998:7163898:-1 gene:A06p016080.1_BraROA transcript:A06p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVVLAVILLAMVGHSSGAWCVCREGLSEAMLQKTLDYACGAGADCGPIHQNGPCFNPNTVKSHCSYAVNSFFQKKGQSQGTCDFAGTATVSASDPSYTSCPFPASASGSGTTTPVTTTPSTRVPTTTNTRPYTSSTGGGLGIPSGIPDYTDPSSAFKLQNPRANTFFLSGVLVLSHDQLPKTTAGDFPGLITFIPSSSTSLLSFYLISDWIDHVAPSLMEALITSRVVPLRILCRKLSSVSADFSCLEFKRYPCRSGRVSVMNHPKLLRPVTASLQPQELSALGHEGNVVPSNEIMDLWRDVEAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSKVEEYLQKRPPRLSPGIEELVKKLRANKIDVYLISGGFRQMINPVASILGIPRENIFANNILFGNSGEFVGFDENEPTSRSGGKAKAVQQIRKARLYKTMAMIGDGATDLEARKPGGADLFICYAGVQLREAVAAQADWLIFKFEPLLNSLD >A08p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22571666:22577134:1 gene:A08p039160.1_BraROA transcript:A08p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAEIRALQLDSAASEDHDQEILLPVHNPAKAKEKAAQEKAAKEEEAEEEAEANKKRHLNAVFIGHVDAGKSTIGGQILFLSGQVDDRQIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETKNTRFTLLDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGFENGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKERYDKIEQKMVPFLKSSRYNTKKDVIFLPISGLMGVNMDKRMDRNVCPWYSGPCFFEVLDSIEVPPRDNGPFRMPIIDKFKDMGTVVMGKVESGSIKEGDSLIIMPNKDPVKVVAIYCDEDKVKRAGPGENLRVRITGIEDEDILSGFVLSSTVKPVPAVTEFVAQLQIIGLPEKAVLTAGYKAILHIHAVVEECEIMELISEIDMETREPMKNKRVRFVKNGAGVVCRIQVTNSICVEKFSDFPQLGRFTLRNEGKTVAVGKVTALSGSMLVTMMVSPASWVFSPSSSVVFSRRQRLPLVRSAVDGRNEIVPPAQSQTPNKEVTESVSVLKTAAKTRKVAAEEILAAFAAIEKAKVDPSPFLETLGGSESPGRTWMLIFTAEKKLKKGRYFPLTAVQRFDAAGKRIENGVYLGPLGALTFEGKFSWKNRILAFIFEQIRIKIGPLDPIKIGLGKKDAEEEPSNKDPFFIWFYVDEEIAVARGRSGGTAFWCRCRRIAS >A01p003060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1401956:1403066:1 gene:A01p003060.1_BraROA transcript:A01p003060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQYTLCQSLSSSFDISDEIELLVSFLQADSRHEERDSRSPSPRKQRSLSRSRSRSRSRSVPRARSRSRSRSLPRPISPSRNRGRRSEVENPGTTLYVTGLSTRVTDKDLESHFSKEGKVASCFLVMEPRTRESRGFAFVTMDTVKDADRCIKYLNQSVLEGRYITVERKK >A07g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5788563:5794231:1 gene:A07g502830.1_BraROA transcript:A07g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVPAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNAESLPLPAKDSEAEHIDLDPSDVSADSDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSKNSKRNIYRINKPHKAARDSKPPTAIEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRVKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLPLQHLALYTGEIPLRFLRLESVQTNEEKELLPRVEVRNELLNHRHKFPRDKPMLIRILDKLKPQKRFDQDPNKVLNGKGCQLTYRNFKTVQHSDENFGYGEPEATTHYEHLITSKVTLRGVVSTFPAIGNPELHNIRDAVERPHRREKLVITSLLIRHEDLLFKLGLSHINSIHHA >A04g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15979538:15980804:1 gene:A04g506920.1_BraROA transcript:A04g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYVYAHPPGTAPRPPGAFVNPRFCVAGPVDLTMVRDEIEKKWGSFDILDANMNLRFQVKKPGFGFGFGKNMILLDGSGSPILTMKEQTMTMSFREKWEVHIGDQVAYTVKGSSIFSSSTKLDGLHVFLARNHEEKIPDFRVKGTNHRWFERSCVIYAGESDTIVAQMQHKGSTLSTTDIFTVTINPNVDHAFIASLVIILDVYNREDIEVPVPHRANAYHEAHQAVNRVHMGLHGATHAALRAGACTIQ >A08p008810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4641086:4641271:1 gene:A08p008810.1_BraROA transcript:A08p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQQDYDSGMTTAPVVIVKMMTPVVGHGLIMVAGSNSGDHGNGPDGAGTVMRQCKLTLR >A02p024740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12642190:12643663:1 gene:A02p024740.1_BraROA transcript:A02p024740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKWLFTLFSVAFLSVFLLLLYSFSAFTSNPFPSPIRHGPHYPPSFAYYITGGRGDGDRIFRLLLAVYHPRNRYLLHLGAEATDAERVALLSDLKSVPAVSAFGNVDVLGKVHRLSENGASKVADTLHAVSILLKLGRSWNWFIELSALDYPLMTQDDLSHVFASVNKSVNFIDHTSDLAWKESQRIKPIVVDPALYLARRTQLFTATEKRPTPDAFKVFTGSPWIVLSRSFLEYSIFGWDNLPRILLMYFNNVILSEECYFHTVICNAPEFINTTVNADLRYMIWDSPPKMEPHFLTTPDFDQMASSGAAFARQFKKDDPVLDKVDREILKRGRYRVTPGAWCASHSSWWTDPCSEWDDVNVVKAGPQAKKLDETITNFLDDLNSQTNQCK >A06g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22103293:22104763:-1 gene:A06g507930.1_BraROA transcript:A06g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARPPGSSETAPATRKVQEGSQQGSAGLENGQKRQEGAPSKSWVGVATEKKVLRKYSVEADTNEGEAVKDINGLEEQHKRGVEKQILTEGDKEVAGKDDAAGKMAAEEEIVVEDEAEEVAMDEGEIEEGEVVKGWSRVSPGKTSRSPTATTPKYGQERIATPSRFSALNDADDNGDLVINISDSNRGETVVEEDDEGTTTEDNQEGKELGERILNEEEKENTSSETAVIEDQEWEQF >A04g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20613473:20617104:1 gene:A04g508070.1_BraROA transcript:A04g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPNLNSDAGLKKLDEHLLTRYYITSHKASKDDITIYAALSKSPPSKYVNASRWYDHIETLLSISGISSEGSGVTIDGSASITEEADGNSKDGVVVIDDDDNDQDVDLIGEEAEERPASLIASTKKKISWESIVIVVMPEDDETDMNKLEEQVRSIQMEGLVWGASKVVSVGYGVKLLRIIGTVPLDEEIYVFDGIVETHIMSFGRVNVATSGLYESLILIQPNEDEADMKKLEETVRSIHVAGLFWGASKLVPVGCGIKLLGIECTTVGHLVHLRRIVTLNTFVKEKIADNPYVKSCQTLCLNRISCKSGLVLKRLLGDKPDIKKLEESVRSLQTEGVVWGASTIVKLGYGFKYLRIIFTIVDDLVCFKTVLQKTGGIHLKRIYFFHHTNLLVETSSLLNFVAEIDFRISGVLQKEK >A05g502290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7278340:7278558:-1 gene:A05g502290.1_BraROA transcript:A05g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKKQVILGVILLALFMIFTHAKQVGATRLLRTTVDSEIRFVFESLQKGSVPGSGRNGCSHIPKGSGKCHG >A07p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18886926:18888860:1 gene:A07p034830.1_BraROA transcript:A07p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSSELLDSSGDGGLGGSGEEEKDMKMEKTGEAGGGGGNRWPRPETLALLRIRSVMDKTFRVSTLKASLWEEISRKMMELGYKRSSKKCKEQFENVYKYHKRTKDGLTGRSKGKTCRFFDELEAFETINSGSKFQPAKSPAATTTRRQMFHLDVPKTASNHQVSVKHITTNSTFLAKQPSLTTHFPFYNNNHITKVDTGFKPTSSDLLNNVSSLNLFSRSTSSSDEEEDQEKRSRKKRKLTKELMEKQEKMHKRFLKALETRERERISREEAWRVQESEETSQVVLDTTMKIGTYNGNHSVSPSSSRWPKTEVEALIRIRKNLEANYLENGTKGPLWEEISAEMRRFGYNRSTKRCKEKWENINKYFKKVKESNKRRPLDSKTCPYFHQLEPLYSERNKTGPLPILPLLVTKPRQFLLSQETKAEFETNQRDKVDNKEGESGEDDYEDEEEEGAGDNEISEFEIVLNKTSSPMDINNNLFT >A08p021310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14299491:14301320:1 gene:A08p021310.1_BraROA transcript:A08p021310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKEQHPIKEKKLLVSLIWNFSTELKLISMALLVIFTLATLLPFIPSSFSLSASDFRFCISRFSSAAPVNTTTTTEALPEKKTATEPERVLDNGVIKRTFTGYGSASYNFVSMSAYRGGVNTFAVIGLSSKPLHVYGHPSYRCEWVPLDPTQDPVSTPGFKLLTDWGYGRIYTTVVVNCTFPSTTAVGGNLILHATTGDPDRNLTDSIPVLTEPPNSVDLTLYTSPKKKYDYLYCGSSLYGNLSPQRVREWITYHVRFFGERSHFVLHDAGGIHDEVFEVLRPWIELGRVTVHDIREQERFDGYYHNQFMVVNDCLHRYRFAAKWMFFFDVDEFIYVPEKETISSVMESLEEYSQFTIEQMPMSSKICYSGDGPARTYRNWGFEKMAYRDVKKVPRRDRKYAVQPSNVFATGVHMSQNLQGKTYHKAESKIRYFHYHGSISQRREPCRYLFNDSRVVFENNPYVLDTTIRNVGLAVKTFEMRTIGNRLLRTRQ >A09p060650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50234913:50236561:-1 gene:A09p060650.1_BraROA transcript:A09p060650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARSKPSGGRRTTTASVKHLIKQRGGDTAAAKEAASVDDNSLLTDMQEPSVDTDKLSYEIFSILESKFLFGYDQDPKPEPEPVNSVVVDSVPGSVKNQRGKVCILSIDGGGMRGIIPGKALAYLEHALKSKSGDPNARIADYFDVAAGSGVGGIFTAMLFGSRDGDRPIFKAEDTWQFLTKNAKGLYGSSGSNSSLMKRVMRTGSSGSGTGKLKRVMKESFSELTLKDTLKPVLIPCYDLKSSAPFLFSRADALETDGYDFRLWEVCRATWAEPGVFEPVEMKSVDGKTKCVAIGGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGMGQLLDVSYEYDRIIKWTAKHWSRPAALISNDGAADTVDQAVAMAFGHCRSSNYVRIQANGSSLGPCKPSIDTDPSGSNVNMLVGVAEEMLKQKNVESVLFGGKRIDEQSNFEKLDWLAGELVLEHQRRNSRIAPTVAFKQSVHRADQKTRDKDIGVTARER >A01g511240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31473713:31475602:-1 gene:A01g511240.1_BraROA transcript:A01g511240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKVVVSVASVLLLVGVAIGVVAIVNRNNDTPLSPQMKAVQGICQATSDKASCVKTLEPVKSDDPNKLIKAFILATQDAITKSSNFTGKTEGKLGSSISPNNKAVLDYCKRVFMYALEDLGTIIEEMGEDLNQIGSKIDQLKQWLTGVYNYQTDCLDDIEEDDLRKTIGEGIANSKILTGNAIDIFHTVVSAMAKLNIKMDDFKNMTGDFFSSSEKGAAPVDKKATPAVDTPVADPDGPSRRLLEDLDDLGIPRWVSGTDRKLMANAGRGEKGGEGGARIKATYVVAKDGSGQFKTVQQAVDACPQKNPGRCIIHIKAGIYKEQVVIPKKKNNIFMFGDGARKTIISFNRSVKLTPGTTTSLSGTVQVESEGFMAKFIGFKNTAGPMGHQAVAIRVNGDRAVLFNCRFDGYQDTLYANNGRQFYRNIVVSGTIDFIFGKGATVIQNSMIVVRKGNKNQFNTVTADGNEKGLSMKIGIVLQNCRIVADKKLQAERLTVASYLGRPWKKYSTTAVINSEIGDVIKPEGWKIWDGESFHKTCKYVEFNNRGPGANTNKRVDWVKIAKSASEVNQFSVANWLAPVEWIQEANVPVNLGL >A08p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12517778:12521364:-1 gene:A08p018040.1_BraROA transcript:A08p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASFYIGVVGNVISVLVFLSPVETFWKIVKRKSTEEYKSLPYICTLLGSSLWTYYGIVTPGEYLVSTVNGFGALVEIIYVSLFVLYAPRHLKLHTIVVVSMLNVLFPIAAIAATRSAFKDEKTRSQSMGFICACLNIIMYGSPLSAMNSGNKVSVVTTKSVKYMPFWLSFFLFLNGAIWAVYASLQHDVFLLVPNGVGFVFGTMQLILYGIYRNAKPVGSSKGSSDIVADEEKGLTSRVPLLT >A09p072310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55503130:55504344:-1 gene:A09p072310.1_BraROA transcript:A09p072310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THF1 [Source:Projected from Arabidopsis thaliana (AT2G20890) UniProtKB/TrEMBL;Acc:A0A178VVV5] MAAIASLSFPALGQSGKLSNPTPSRPLASVSAISRRISRRSLTSSSRASTSSPKFVIHCMSSVTDVPPVSETKSNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKRTYRYDPVFALGFVTVYDQLMDGYPSDQDRDSIFQAYVEALNEDPKQYRIDAQKMEEWARSQTSASLVDFSSKEGEVEAILKDISERAGSKEGFSYSRFFAVGLFRLLELAGATDPTVLDKLCASLNINKKSVDRDLDVYRNLLSKLVQAKELLKEYVEREKKKRGERAESQKANESISKSLGDNNSLSFLV >A04g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17973958:17975254:1 gene:A04g507330.1_BraROA transcript:A04g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTRFFFSQDIENDEEYFDADGNFVEYLIDKEVKFLGCCAEKHEDSGTVKPSDELSQEDIGFIKMRISKLFEPGTMDFASLFLLYCGLDRLICRDSDEKNTTGAEALQSDYVFDKTSGYVNFFSLGVYDGRLPPPGRCSLTFELNCFKRILPQSRTFPYTTFCLHTLLRQTYARSNPRFFFSFRLPYALLSLAKTVTPFNYTAQATVIGRH >A04p040020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22619855:22622593:1 gene:A04p040020.1_BraROA transcript:A04p040020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:RNA uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT2G45620) UniProtKB/Swiss-Prot;Acc:O64642] MAEGGGGDSPAPPSGNNGGGEFLLSLLHRRPHQQSPPIRPPAPPPPSQSFTLDPAITAVGPTLNSNWASNGTPPWPHASSPPNLLGFPQFQENPFPSNQFDGNQRLSGEDAYRLGFNGAGIHHSMVQQQPQRLVFGSFSGDATQSGFLNGSLNSSKDPNFSHPGSIGRGNWGPIGNNGRGAKSPPPPGFSSNQRAWDRDLLTRDADRGMLMGRGMMGNHDDRGMMNSFQRNHDDRGMMGGFQRIYDGRGMMGSSQRSHDNAKGEHRNAWDNDLSAENDRLRRLSIQDEGRFNLSQQVDHPGPPMGKSLHSVSAADAQDSFSMLNKEARGGGQYREELGQLSKGKREGNGEFGAAEGETEGFGEDIVESVLLEDETDDKDAKDEKKTSRTSREKESRMDTRGQWLLGQRLRMVKRYMACRNDIHRHDAPFIAVYKSLIPAEEELEKQKQLMAKLDNLVAKEWPNAKLYLYGSCANSFGFPKSDIDVCLAIDDDDVNKSEILLKLADSLESDHFQNVQALTRARVPIVKLMDPVTGISCDICINNVLAVVNTKLLRDYSMIDGRLRQLAFIVKHWAKSRKVNETYQGTLSSYAYVLMCIHYLQQRSPPILPCLQEMEPTYSVQVDNIRCAYFDNVGRLSTFGSSNRETIAELVWGFFNYWAYGHDYANTVVSVRTGSILGKREKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFSIRVLREEFERAAKIMHQDPNPCAKLFEPYVPGDDNGNGQGHN >A06p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25162143:25165407:-1 gene:A06p047360.1_BraROA transcript:A06p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing protein ZIP4 [Source:Projected from Arabidopsis thaliana (AT5G48390) UniProtKB/Swiss-Prot;Acc:B0M1H3] MRIAEISTPDYRQLHRETESHTHHPLLSEIELLIQQSESISKDQPLPQTLPHSLRQSLTRLSQLAPLPGNSFKLTIWKLSFRLWNACVDLSNAAALQSSSSSAESIANLRHAAADMLFLARDVTGVPSPTIKSSLIYYRTGLVWHDLKKLDLASDCFERATEILSKIDVAKITDAGERILFLDLNLARSRTAWEISDRNLAVTLLNRAKNMLFGSPDHYKALSNQFLAFGKSALSREDDDCSLNDALRLMNESLDLCEKGLGTAKTREDTMEFKSMRVNTLRFISAVHLQKGEFDSVIKCVKVLRSDGADQHASLPVLAMKAWLGLGKHSEAEKELRGMVGNKDIPEAVWVSAVEAYFEVVGTAGAETAKGVFLGLLGRCHVSAKTALRVAHRVLGESRGGDSGSRIRANVVAQLVSDERVVALFAGEAVTNERKAIHSVLWNSASAHFGAKDFETSAEMFEKSMLYIPHDIENRVFRAKGFRVLCLCYLGLSQLDRAHEYIEEAEKLEPNIHCSFLKFKIYLQRKEHSSAISQIDAMLSCLDFSPHYLSLAAHEAISCQALSVAVASLSKFLSFYISGKTMPTTEVVVFRTLVTILTQDAGSETEALNFMLQAQSRASKLGTECFFGSGETGKRELKWFALTSWNLGSRCGNAKKYELCGEFCRLASDFYSYLDTGESGENTVMILRSLVLGVTAIIALEKQNKNTLTETQVKLAAELLVRAGKIMSSLLSDGKDCIMEPELIFMYTLTAYEIHGRLNNSAFQLLVVKTFAGSKSCNYNYLLQLGIFASQSPRSNPDVSTFALNECLSALIASASPNYPTIALIIRKLIALSSVHKGDTEDGEAIQKMYKQACRIMVGLKEGEYPTDEGKWLAMTAWNRAALPVRLGQLETAKKWLSIGLEIAEKVSGMDTYRACMEDFLGGFQTKVSSEAADRI >A03p062120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26989820:26993611:1 gene:A03p062120.1_BraROA transcript:A03p062120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVILAELMVEYTSAIAKLTVGMLPRRQGGDSNVVTVGGFILPCPSPTGTNRSSPFPDFSSHLRDTPRSLAVSSNHDARNRLRSDLSQRHPHVAPEPVQVKMVKKTKGRLEAERQEAESQEFALRGKALTNEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEESETESEDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGITWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGTVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEQGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDRVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGSASGGSLVY >A09p083690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60194333:60196701:-1 gene:A09p083690.1_BraROA transcript:A09p083690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01880) UniProtKB/Swiss-Prot;Acc:Q9LPD2] MGVGGKFWDLLRPIGRHEGSDYLRDKRVAVDLSFWIIQHETAVKGLALKPHLRLTFFRTINLFSKYGAYPVFVVDGTPSPLKSQTRISRFYRSSGIDTTCSLQEGVSVERNKQFCEWVSECMELLKLLGIPVLKANGEAEALCAQLNSQGFVDACITPDSDSFLFGANCVIKAIKPNSTEPFECYHMSDIEAGLGLKRRHLIAISLLVGNDFDSGGVLGIGLDKALRIVRAFSEDEILQRLEDIGKGLKPAVSGGIKSVDDDGEESSSQMKRRLPHCSRCGHPGSKRTHFKSSCEHCTSDSGCIKKPLEFICECSFCSKDRVLKEQKKSENWWIKVCDKITLGPDFPNRKIIQLYLSDIFTEEGSSMSWGFPDTEMLVDCLVFNLHWDPCYVRKMLLPMLSTIYLRERARNNNNNNKGNPLLCDQYEFHSVKCMKTRYGHKSFVIRWRKPISTSGLTPEKPIVVWEEDEEEVVEEEDCVVDGLNEPQVQDDNGECFLLTDECIGLVQSAFPEETEHFLQEKKLRDSKKKNVCEEGGAGSSSMGAQRSITDFYRSTKAAATPAENIDTGGSSVASASAEKKREASSSSFSKSVRRRLLFG >A01p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23124837:23125280:1 gene:A01p035330.1_BraROA transcript:A01p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATKPCSLLFDLYPRIVCEASLEDCRLQVPFEFFYWNLYESSLNGFSHQVMVEIERGNVINESTQGVTFQTCLKNPIPCIPIPKTSDYVRFSVGGQLWFLQTIKASVYS >A04p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3188959:3189473:-1 gene:A04p006160.1_BraROA transcript:A04p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETKVTGTKVTDHEAMANMKTKFMALWDGFSTDPNARVMVLAATNRSSEFDEPIMRRLPQAFEIGMPERKERAEILKVTLKGERVEPDIDYDHLARLCGGYTGSDIFELCKKAAYFPIREILEEERKWRPCPLSFI >A03p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16364706:16366646:-1 gene:A03p039390.1_BraROA transcript:A03p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDAYIDSYISTIGVDFKIRTIEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDCTEMESFNNVKQWLSEIDRYANDSVCKLLIGNKNDMVESKVVSTETGKALADELGIPFLETSAKDSTNVEQAFLTIAGEIKKKMGSQTNANKTSGSGTVQMKGQPIQQNNGGGCCGQ >A01p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28452161:28457484:-1 gene:A01p050510.1_BraROA transcript:A01p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLHLDPNTKLLTEEYAEGVRKFMRLVQQQPEANTDMLRCLCSSCNNNQILKEWDVWTHLYMRGFTQNYKVWYLYGENGYEYGLRMVVVDHYIVLHSEIGFFRGVIPRRRARRMSKTQSRLFFLQSLLHLFHRLIPLLHMIMVPCRLSFLFSNPVESISVCSKRIHKDTQLVHKSKNGISRCINQMMYSMLCKGYSTYSVMPSEECHLSHHFNWESGLTETAVPKKKGRLVGLARRLSSCPSSSQAPFAPPDPMIIEQLQNKYDRIVAPETPNATILTELAGQKKTKEIMKKMKRLFPAEFS >A08p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2445765:2449658:1 gene:A08p004240.1_BraROA transcript:A08p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEEIDKNGSTDVLRSKLREILGRPSLEHIVDVNSETTPQVVKTKSKLNQENNDPLPKPTETSASVATTRKGPVTRAMSLKRSKTGTDNSESIPQVVETKSKLNQENNNPLPKPTETSASVATTRRDLTKEETDKNGSTDVLRSKLTEILGRPSLEHIVDVNSVTTPQVVKTKSKLNQENNDPLPKPTETSASVATTRRGPVTRAMSLKRSKTGADNSETTPQVVETKSKLNQENNDPLSKPTETSASIEETDKNGSTDVLRSKLREILGRPSLEHIVDVNCETTPQVVKTKSKLNQENNDPLPKLTKTSALVATTRRGPVTRAMSLKRSKTGADNSETTPQVVETKSKLNQENNDPLPKPTKTSASVATTRKDLTKC >A04g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18490905:18491441:-1 gene:A04g507450.1_BraROA transcript:A04g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKTPYGSHGFLLQSLHTQLRSIRHHRCISVRRRHCGNYLRSGSRSFSTLVAEMNSECKRNAPVGYLGFQGRTSSHTTPCTDLRLDRTQDMRTRIFRWM >A03g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23665613:23666191:-1 gene:A03g506570.1_BraROA transcript:A03g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRNNNSYHPNRYYIHYRNQLERPVQVLTFLKTATMVIKRWRPDRYYLDADICKQLRLARFFFNQLISGVSYCHAILQYEVPACMV >A04p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22959916:22962370:1 gene:A04p040670.1_BraROA transcript:A04p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFSRSKSTGATGFTDSKPTRPGPHMYENIHGDKYKEDHSPTSIDYYDVSTPLSSHGSRSGSGQFTMLDLLAAVLRKSLVMSCAMERGGDDMAASMDIGWPTEVKHVSHVTFDRFNGFLGLPSELEPEVPPRAPSASVSVFGVSAKSMQCSYDNRGNSVPTILLRMQKRLYTEGGLKAEGIFRINPDNAKEEYVRKQLNGGVVPRGIDVHCLAGLIKAWFRELPTGVLDVLTPEQVMKCNTEEDCSRLVTLLPPVESALLDWAIGLMADVVEYEQFNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILMNLKERENAYAKSQGIDKQTSDPSEEWESHDSEILGPKKSSNNPKFLRVSTLCRLEADNEEEFWNTEKRHDHNDTAETIGTVQRLSKLSKSTKKPLESNKDEGRRGREAWGSRLSSLPW >A03p052250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20178203:20179690:1 gene:A03p052250.1_BraROA transcript:A03p052250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTQSIRRFIYLFQISSKPKIIVSSVEEKLQTNQPAMNDLLSRSFNRSVADDTSPPHSHTIEMPKAKYSGGNNLDKFFLDVEEVNSDLKELDRLCHSLQVSHDKSKTLHNAKAVKELKDKMDSDVSKALKTAKRVKGNLQALDRANEVNRSLPECGPGSSSDRQRMAVVNGLRKKLKDAMDHFMRVRETISTEYRDTIHRLYFTVTGENPDEDTVDHLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDIEKSLNELHQVFLDMAVLVQNQGEQLDTIEDSLKRTNTIIRSGADQLVKARFYQKNTRKWTCYAVLILIIIVVLVVLFTVKPWENNNGGGGGGGGGSNRPATPVQAQPPPSQARRLLR >A01p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9892746:9893825:-1 gene:A01p020150.1_BraROA transcript:A01p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREKDPPRDRKYPNGSRPNRVAGSGYWKATGTDKIIMSDGHRVGIKKALVFYAGKAPKGTKTNWIMHEYRLIEHSRSHGSSKLDDWVLCRIYKKTSGSQRQAVASPVQACLEDQSTNMSSSPSSSSQLDDVLDSFPEMKDRSFDLPRMNSLRTILNGNFEWASLAGLNPMPELAPMTYGLSNYGGYHAFQSAESGCRSSQVDQEQNSTELTQSLGYSSSGFGLSGQMYEFRQ >A05p012040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5227077:5227445:1 gene:A05p012040.1_BraROA transcript:A05p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLISPVMKLRRLSSADSRRFAYRNLSDDDMEDSVIRVVVGKEKKEFMVEPYVLEETPFRVLIGSAKDRTKSRLNRTGRVVWLDHVDSILFEHLLWLLRNDASSFSDMDVVEIIDFYAQDC >A06g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22371917:22372505:-1 gene:A06g508050.1_BraROA transcript:A06g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSLPSLLRFLERERLSRGVHTVISSTSLEVAFSVVVLVEFPVDSGVLNALFGSVEKIEVEKDSGVSWFRDDSGGR >A08p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2241285:2241821:-1 gene:A08p003900.1_BraROA transcript:A08p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQIEREGTRDHPISSLLRMVKVKLHVVIGLDLSHPPSTKRDDARQFMKFLLSDLGIELCQRSYVLISIYLCA >A05g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14759519:14761362:-1 gene:A05g505340.1_BraROA transcript:A05g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAALPITSDFMSSVMARLACQDEVQKTTNDQLAVLVVALTAPDGQTNRPQQIRRRLFNTNPTATGVDHVSDDSEPNETLLAEAPPANDEEETPKDNGEGDSSADEEHPANRRRIKVILSQQSLSSDDDNDDAPVLGDLRDVLKRKFESENDSSPKHNDLRTMLNTRKSRRISTSNANTNEGPISDLRDNLNAGVCDLRIQLNR >A08p041250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23320418:23322689:1 gene:A08p041250.1_BraROA transcript:A08p041250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAIKLQLSTSEMNYFPDEVIEHIFDFIPSHRDRNSISLVSKSWHKIERYSRHQVFIGNCYAISPERLIRRFPCLRSLTLKGKPHFADFNLVPHEWGGFLHPWIDALSKARVGLEELRLKRMVVSDESLELLSRSFVGFKSLVLVSCDGFTTDGLASIAANCRNLRELDLQENEIDDHRGQWLNCFPDSSTTLVSLNFACLKGETNLSALERLVARSPNLKSLKVNRAVPLDALTRLMSCAPQLVDLGVGCYENEAEPESFEKLMAAIKKCTLLRSLSGFSEVAPVCLTAFYPICENLTSLNLSYAAEIQGNHLIEFVQFCKRLQLLWILDSIGDKGLEIVASSCKELQELRVFPSDPHDEEDNNTAVTEVGLVAISAGCPKLHSILYFCKQMTNAALITVAKNCPNFIRFRLCILEPNKPDHITSQSLDEGFGAIVQACKGLRRLSVSGLLTDKVFLYIGMYAAQLEMLSIAFAGDTDKGMLYVLNGCKKLRKLEIRDSPFGNAALLADVGKYETMRSLWMSSCEVTLGGCKRLARNAPWLNVEIINENENGRMERNEEDEREKVDRLYLYRTVVGTRKDAPPCVTIL >A02p060440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36055551:36057560:-1 gene:A02p060440.1_BraROA transcript:A02p060440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLYGPHIYWSTNSEDNTPKLRRARRGILLLGRVERSAMIGGGQAEREERVALEISEELVRSMEPGAVFRDYNCRISSIDFNKNSSYMVTASDDDSIRLYDVATATCLKTINSKKYGVDLVCFTSHPTTVIYSSRNGWDDSLRLLSLHDNKYLRYFKGHHDRVVSLSLCSAGESFISGSLDRTVLLWDQRVEKCQGLLRVQGRPAAAYDDQGLVFAIAFGGFIRLFDSRMYHKGPFEIFSVAGDLSEANVVKFSNDGRRMLLTTMGGHIHVLDSFRGTLLSTYSVKPVAEESTLDATFSPEGMHVVAGSGDGSTHAWSVRSGKQVQSWMGGHGSEAPPVIKWAPGSPMFVTGSSELAFVIPDLSKLSAYANRK >A02g511170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:29603183:29603392:1 gene:A02g511170.1_BraROA transcript:A02g511170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKTWNQGPVETQIQEERMIGTSNGDEDQKENKGISEDPRTAQLSTPIKGNSSDPPRLKQDGAGNII >A06p003650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4572299:4573879:-1 gene:A06p003650.1_BraROA transcript:A06p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATQKHIIQDGSSMFYHQPSVKQMDISVQTFDSYCTLESSSGTKSHPCPNNNITSSTTSFSSNGSPVSHSNTNNNTSHLSPDNNNSPLSGSSATNNNETELSLMLKDLETAMMEPDLDNNSFNGYEFGQQQQQQHHRAASSAMYRSMEMISRGDLKGTLYECAKAVENCDVAMTDWLISQLQQMVSVSGEPVQRLGAYMLEGLVARLASSGSSIYKALRCKDPTGPELLTYMHILYEACPYFKFGYESANGAIAEAVKNESFVHIIDFQISQGGQWVSLIRALGARPGGPPRVRITGIDDPRSSFARQGGLELVGQRLGKLAEMCGVPFEFHGAALCCTEVEIEKLGVRNGEALAVNFPLVLHHMPDESVTVENHRDRLLRLVKRLSPNVVTLVEQEANTNTAPFLPRFVETMNHYLAVFESIDVKLARDHKERINVEQHCLAREVVNLIACEGVEREERHEPLGKWRSRFHMAGFKPYPLSSYVNATIKGLLESYSEKYTLEERDGALYLGWKNQPLITSCAWR >A06p057920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30070040:30072097:1 gene:A06p057920.1_BraROA transcript:A06p057920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRLQAQTEAVNLLCGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLDVGGEINLTAAIQIAQLALKHRQNKNQRQRIIVFAGSPIKYEKKALEVVGKRLKKNSVSLDVVNFGDDDDQDKPLKLEALLSAVNNNDGSHIVHVPSGPNALSDVLLSTPVFTGDEGASGYVSAAAAAAAAGGDFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAADEAGQKDQDGASASASQETVARTTEKNAEPMDEDNALLNQAIAMSVGDVNMSEAADEDQDLALALQMSMSGEEATGAGNLLGDQAFISSVLSSLPGVDPNDPAVQALLASLPDESKRNEEEESSSKGEDEKK >A09p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10010431:10010914:1 gene:A09p018950.1_BraROA transcript:A09p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFTVHAVVHYAHANMLDHTKDYEETKRVYIEMHNIELDVKKTKESMEKNWVPSFGIMKLLVNGLAKDSMVEEAKELIAQVKEEFTRNVDLWNKVEAALPH >A09p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3547916:3552381:-1 gene:A09p006760.1_BraROA transcript:A09p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQVDEFVMGYLKKKGFNSAAKQLQEALHHNNGGTFNSTDYHNDPELTKLIRSFSQSENDPTRYRDGYSKLRSWAYNSLDLYKHELLRVMYPVFIHCYMDLVGKGHTQEARAFFNSFRKDHEMVHLRDLQKLEGVLVPSHLEEMEFARSLRQSKVNIKICQYSYDLLLQYLHRTESTLMLGIINEHINFQVYSGQPNSSSDDIDAVTIVGSFQETADHINQKEIQWGLLEDSLEDRLEKTGSLLSDSEKGQGESKDGDVDDSKKRSNEIGKQGSSLKKLKKDKAGNATAKVARQETSIVSPAPRVKPELALPVMSTDVEESILEDLRNRVQLSSVAMPSVSFYTFVNTHNGLNCASISHDGSLVAGGFSDSSIKVWDMAKIGQAGSGALQGESDTNDQNVGPNGRRNYTLLLGHSGPVYSATFSPPGDFVLSSSADTTIRLWSTQLNANLVCYKGHNYPVWDVQFSPFGHYFASCSHDRTARIWSMDRVQPLRIMAGHLSDVDCVQWHPNCNYIATGSSDKTVRLWDVQTGECVRIFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTARCITPLMGHNSCVWSLSYRSNMCWVVNGMAGHGRHSEPANCTSNDPRTTNLFFFCYSGEGSLLASGSADCTVKLWDITSSTKLTKAEEKNGNSNRLRSLRTFPTKSTPVHALRVSYTLFTRISFISGFSANFLGEICCLLQEHSLNPQADGKQMVSEICYFLHSCKLL >A10p015310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3681224:3684467:-1 gene:A10p015310.1_BraROA transcript:A10p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable copper-transporting ATPase HMA5 [Source:Projected from Arabidopsis thaliana (AT1G63440) UniProtKB/Swiss-Prot;Acc:Q9SH30] MALTSIGEETYSARHPLLQKNKFGGGCRSSSEEEATSKALFRVVGMTCSACAGPVEEAIKRLRGIHEAVIDVLNNQAQVLFNPNFVNLEKICETIQDAGFEASLIENEANETSIKVCRIRINGMTCSSCSSTIERVLRVTNGVQRAHVSLALEEAEVHYDGRLVGHDKLLDEIESVGFVALLISTGEDLSKIDLKIVGECVDESIKTLLEALPGVQSVEFHHGTDKIISVLYKHDVTGPRSFIRVFGGTKLKATIFSAGEEGRESQRQVELKKYYNSFLWSLVCTVPVFLTAMVFMYIPWINHLLMFKVINMLNAGEIIRCVLATPVQFFIGWRFYYGSYKALRRGSANMDVLVALGTNAAYFYSLYSVTRAATSPGFKGEDFFETSSMLITFILLGKYLEVMAKGKTSDAISKLMKLTPDTAILLTLDNEGKVTGEEEIDGRLIQKNDVIKILPGDKVASDGYVIWGRSHVDESMMTGEAKLVAKRKGDTVVGGTLNANGVLHVKVTKVGSESALAQIIRLVESAQLAKAPVQKLADTISKFFVPLVISFSLLTWLVWFFAGKLHWYSESWIPASMDRFELALQFGISVMVVACPCALGLATPTAVMVGTGVGASQGVLIKGGQALEKAHMVNCIVFDKTGTLTMGKPVVVKTKMLKNMTLGEFYELVAATEVNSEHPLAKAIVNHAKEFRDDQENPAWPQACDFLSITGNGVKATVKGREIMVGNKDLMSQHGVYIPEDAEEMLAEAEEMAQTGILVSVNRELTGVLAVSDPLKPSARAAISVLKSMSIASIMVTGDNWGTANAIAREVGIDSVIAEAKPKQKAEKVKQLQAAGHVVAMVGDGINDAPALVAADVGIAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFLRIRLNYVWALGYNLIGIPIAAGVLFPWTHLRLPPWFAGAAMAASSVSVVCSSLLLKNYKRPKMLDNLEICEVLVERV >A09p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17381201:17382992:-1 gene:A09p029050.1_BraROA transcript:A09p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKGRCMLAREDLLLRALVMETSDVGGGGLSLISSDSRSLHVALVSPLPARRRGFDGHLDFDFVGASPGFVDWALLSRVLLWRQSLHPLHNSTRQIV >A09p055260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47309214:47311969:-1 gene:A09p055260.1_BraROA transcript:A09p055260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIGATVSLLIYLSLPCSNASGSPAAGSRRPMVFPLFLSQPNSSRSMSLLHRKLHSKSLPHSRMRLYDDILLNGYYTTRLWIGTPPQMFALIVDSGSTVTYVPCSDCEQCGKHQDPKFQPEMSTTYQPVKCNMDCHCDDEKEQCLYEREYAEHSSSKGVLGEDLISFGNESQLTPQRAVFGCENVETGDLYSQRADGIIGLGQGDLSLVDQLVDKGLISNSFALCYGGMDLGGGSMVLGGFAYPSDMMFTDSDPDRSSYYNIDLTGIRVAGKDLLLDSRVFDGEHGVVLDSGTTYAYLPDAAFSAFEEALMREASPLKQIDGPDPNFKDTCFLHSKVHGAYCLGVFPNGKDHTTLLGGIVVRNTLVVYDRENSKVGFWRTNCSELSDRLHIDDSAPPPATLPSNDSNPTLNTSSSLPGGEIQIGQINLDIQLTVNSSYLKPRMEELSKVLSKELDVKSSQVYISNLTSKGNNSLIGIVVVPTEPSGFFSNVTATSIVSRFTNHQIKLPDIFGNYELVSYTLEPSRKGARWVMKNTIVVMAIVIVAVVVVGLIAYGVWLMWKRKQASNPYIHVDEAIVAEQELLPL >A07p019510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11579623:11580906:1 gene:A07p019510.1_BraROA transcript:A07p019510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETNMLLHTVSSSSPPLHSQILPSLKLSPRRISLQIHGRTFPIPSFHGSNLPAELAARGLPVLNKASLKKKIPIKGSTFLLGQSLLIMSANPQLAAAETLKPEPIYEVGELFELSIQLSYLLLLLGLLGVGTFYVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIQKWDGDDQDLAQVYNALGVSYVREEKLDKGIAQFEMAVKLQPGYVTAWNNLGDAYEQKKELPLALKAFEEALLFDPNNKVAQPRRDALKDRVKLYKGVVAVKSKKR >A02g501380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4249760:4250005:-1 gene:A02g501380.1_BraROA transcript:A02g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLVMEEHVIFVVAGIIANMAKMHRLTVPGVSGHYTRQLLYVFFTLEMSDEFGTYFESVIAYVANAFTVHLHSRSSSHM >A01g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11527754:11528583:-1 gene:A01g503760.1_BraROA transcript:A01g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCQFLPPTRASPPSSSFASELSLVRLALNALQGVLLLLAYRSSLMDYALSQLIGQPTTLRDRGSCKVGENEEANNKSHYTLVNQTFVIAVRKVLEGYISGLDTLCASAELRRSSNIVVL >A09p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12317442:12319937:-1 gene:A09p022390.1_BraROA transcript:A09p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative UDP-glucuronate:xylan alpha-glucuronosyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT1G54940) UniProtKB/Swiss-Prot;Acc:Q9FZ37] MGAKTQNSRGKIFMVYLILISLSLVGLIVSFKPFSISNQIITSPSSSDIRIDLPAPVVSKNPRWLRLVRDYLPAKKLRIGFLNIEEQERESFEANGPSIMENVHVSLDPLPVSLTWNSLFPEWIDEENSQCPEIPLPKPEGSSADVDVIVAKVPCDGWSENKGLRDVFRLQVNLAAANLAVKSGLTKVDSTVYVVFVGSCGPMHEIFKCDERVRRVDDYWVYKPNLPRLKQKLLMPVGSCHVASPFAQLGQEAWRPKNKDNLTSVAIRKHRVAYVTVLHSSEAYVCGAIALAQSIRQSGSNKDMILLHDRSITNRSLIGLSSAGWNLRLIDRIRSPFAEKDSYNEWNYSKLRVWQVTDYDKLLFIDADFIVVKKLDHLFYYPQFSAAGNDKVLFNSGIMIVEPSACLFKDLMEKSSKIESYNGGDQGFLNEIFVWWHRLSKRVNTMKYFDENFKGTRDLPDDLEGVHYLGLKPWVCYRDYDCNWDMSLRRVFASDSVHEKWWKVYDKMSEQLKGYCGLNKKMKYRIEKWRKIAENDSLPDRHWEIEVKDPRKNNLVQ >A02p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26128347:26128843:1 gene:A02p041720.1_BraROA transcript:A02p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFLSIIAKQFVLLTDLKSRRCSSTSLRLLRSVKPEMGVEMILLDAEVFLNRECQSSCSTGMKKDKWCCYVSCSRWTKELQRTGSAFTCVTCNNANVVGVLRYVGP >A02p024300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12864270:12865580:-1 gene:A02p024300.1_BraROA transcript:A02p024300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2 variant 1B [Source:Projected from Arabidopsis thaliana (AT1G70660) UniProtKB/Swiss-Prot;Acc:Q9CAB6] MGSEEEKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDILMQSWTGTIIGPHSTAYEGKIFQLKLFCGKDYPQSPPTVRFHTRINMSCVNPDNGVVEPSHFPMLSNWRREYTIEDLLMQLKKEMMSPHNRKLSQPLEGNEEGRTDPKGLVVKCCVM >A06g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26447649:26453849:-1 gene:A06g509240.1_BraROA transcript:A06g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTVVLGSIHSLSSVSSLSRETFRNAGFFCWKQSSSSKYRLTAIRLESSTTTHGGIRRIRKNQDEKVVESPYANVEEERPDSRKSLLDFLEEVRGFVGEEGPPRWFSPLESSVQAQGSPLLLFIPGMDGTGLGLIRQHKKLGELFDIWCLHIPSRDRTSAKDLVKLIEETVKSENYLFPDRPIYLVGESIGACLALEVAGRNPNIDLALILANPATLVNNFMSQPLSGMLNVLPDEIPTLLEDIFGFKQGGPLTETLDAFSNEFAVHQIGGMMLRDLFAVSAYLPSLSRIFSKDTLLWKLEMLKSAVASAKSNTYAVRAESLILLSGRDQWLLNMGDINRLSRTLPNCIVRKFNDSGPFLLLEDDVDLVTILKCTCFYRRGRSHDYISDYIMPTPYELRKQLEEHRLLIYATSPVMLSTLENGKIVRSLEGLPTEGPVLYVGYHMVLGFELPPMIAQLMKERNIHLRGLTHPIIFMNKSIVHDIIDPQTFDKYKITGGVPVSHANIYKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPEQPEFVRVASKFGAKIVPFGVVGEDDICKIVLDSNDQRNIPILKYLMERATKEAGNLRKGHESELGNQDFHLPGLVPKIPGRFYYYFGKPIDTAGKEQELRDKEKAQELYLQVKSQVEQCIAYLKVKRESDPYRNLLPRMLYQASHGPCLNVHMKRVVPKMLVLKYFSYRAPGSIISVFIRLSLECGRLGHKAKRCLLLSKPANDSGVLPQTKDVPSEIPVVDIDLILHEKDTSASPLSTMHPKDPKAIENLLIPTPESGHVLEEVRLVAVLETLSPSSHSQQEKPIVPLNSVPAYSILVDAQSTPTYSQIMETSPSSIINNKVLESSVIDPLTTSTNHCAFESPSRFTVLEEVNKAEIEPSNSFSLTRGGRESKPPIKYQNMEWKTVRERGNRGRRGRGSYH >A05p041120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25020959:25022828:-1 gene:A05p041120.1_BraROA transcript:A05p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALFRNASLCARRLLLSPRITTPQPSSSSSNAPFLAPIAIPFSPRLFSSESDSSGENPPPPESSSPIESSNKKDLAVEDVGNKELKARIEKYFNEGNEDALPGIIEALLQRRLVDKHADTDDEVMDALQNQPFKDDVKDEDFESDFEEAHSTDDELEDLYNSPEYVKKKMQNNEFFNMDEKKWDVIVRDGIRHGILKDTKECEEILEDMLHWDKLLPDDLKKKVEAKFNELGDMCERGEIEPEAAYELFKEFEDEMVIQYGDQMEAAGPPKFDETDPSYSNTNLDDPPGEGPILRWQSRIVFAPGGDAWHPKNRKVKLSVTVKELGLSKHQARRLRELVGKRYDSGKDELTITSERFEHREENRKDCLRTLYGLIEEAAKANKIAEDIRTAYVKQRLQANPAFMQKLQAKIMRSKESNPINA >A06p028610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:149318:149635:1 gene:A06p028610.1_BraROA transcript:A06p028610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRPVSFNNSPLYTQWTPSFFFKLGFDDELGTPFVNLKHHSNSSQGKIQLHWDQFLTSSSLITNVSSFITLERIKTMSSSYLCKQPFSKY >A02g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22660874:22665997:1 gene:A02g508280.1_BraROA transcript:A02g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRCMPSGTRSNKEKDLLFSNDPAHLERTIRRGQRSTSLDATTSSSIDTQNQPSTDTRPSSLIDPNRSTTINTTPRTSIDTVSSKMVNIIILTQDENGNLYDQAGHLRNATCQKIDAQGTVIPDADATGAAQRVDEDARSKPLADYNRPDKYYSNISAIRLLEIQKQNFELKRQYYTLVSMSFGGSQWCRLMSMNSHRSTDHDEDRWMDYSKFAAKHPHPPSPFYDKIDRSVEPTIDRQSTSDIPSAVTINALKPPPKPLANLPEPKPNPLNNSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMLFRETKETEEDIRRMFHQAREKMKRMITLTKKSDPGKFAIPCVVKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSIVTDDHNNTSPDVMHPLDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDIHPRPAKQPLTSIDTPKGTSIDIRVAAKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNKSSAEITLPSIDVTVSTSIDTTLKPNLSISKLNDYANIDYGFLTPDEFGIFRDTYGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVTTTKINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDEPTSIDRRYECGNRSFDMDEYGVYRDERGHARGVAGEMIPVTKDNIRKILERAYLFEESHICLPEHATSFTPTRLAPELYTKEEIDEMVFGICGAHEKLGEELKTLVDDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRATSIDVSLPTAQIPAELQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSIKIELLQQDLDTIRKKDQQPATSIDMCTFRQRIFGRNKHWVANHPLPISFAFCLRQTPH >A09g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13733010:13735311:1 gene:A09g504460.1_BraROA transcript:A09g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDLYSFRKAFQKIRYAETRNNEMKRRRRIGDDEEMVTGQRRRWRNAETTLARCGDDDGVDGETTTESQRR >A02p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11099426:11102381:1 gene:A02p023140.1_BraROA transcript:A02p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHTLQVVAVAVFLALGFAFYVFFAPFVGNKIHQYVAMSIYTPLITCVVGLYIWCAASDPADPGVFRSKKYLKIPENEKFPQSKGTKDSCGGSAIGGAKSHDSTCVKDQENGTNKKQESSQRSCLLRVLCSPCALICGCCSGRNGSSEQQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFSLMVSAIFMLTMQWSTGIFVLVLCLLRRNQFNADIALKLGSSFSLVPFVIVVVVCTLLAMLATLPVAQLFFFHILLIKKGISTYDYIVALREQEQELEEGGGGQQSPQMSMISSFTGLSSASSFNTFHRGAWCTPPRLFVEDQFDVVPPENASVSSYGKKTVVEERAKKKTQPVKISPWTLARLNAEEVSKAAAEARKRSKIIQPVERREANSSSRRMFPEKLEAANSNGKQQRRQSKQRIRLPAELPLMNVQTRVASMETSTSSGLGPLQLEARSAFQTSRAMSGSGGVMGTSSSPESSLDSHDIHPFRVSSEAEGSVQLSGFSSAVGLMGQNRGQQQQQSMMMMMMPLSRSTSGGYDASGGEDSDQVPSRNIHKSR >A02g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15953419:15963826:-1 gene:A02g505120.1_BraROA transcript:A02g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGLCELVQSSYKVYEKSKRLIRIRDGTRCKKGRLRRLSRTWVMVRKTQRKSRQCGYFGNDMETRLIKEFAQHVVRGECSYSAYMGSSVEESVVMKGQGTKGADDPITKKEWDGFVKYHQGDSGHHDQEVTQEVENFPQVDEQGEVHDQEEVSETETEITLNITKSDKGITSKGEQGVVWIRFGHSWKGEATLQPVQACEASQQPASLDFTCFESHFEIPFVSALSLHL >A03p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15501740:15512013:-1 gene:A03p036990.1_BraROA transcript:A03p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPCSLLPFFTENYFHSTPYSWNFLEAIVAMGKIRSGQDPKAALVSEICSLSRSPIACIHINGNSVSCFIDWYLILGIQEDAEVKLIRKRYHKLVMKVHPDKNSHPKADIAFKLIHEAYLCLTDETKRRCFNTDRQKNICLKCSRLPHKTKENRPDTKPNRFCQTLRNIRDKFREENKVIERCLNTNSARFMGNLTEETPVFGIPNLNRFSKELPVFNPTDYKLRGYPHVRNRVLDNNFSDWKMFMRSRSTCGALILVLALTSLIPVYAKTHGAGRICDELGGRSLSTRPHSVSIAEFGAVGDGKTLNTLAFQNAVFYLMSFADKGGAQLYVPPGKWLTGSFSLTSHLTLFLENGAVIVASQDPSHWGVVEPFPSYGRGIDLPGKRYKSLISGHMLHDVIITGDNGTIDGQGLVWWDRFASHSLKYNRPHLVEFISSEDITISNVTFLNAPCYTVHAIYSRCFNTDRQKNICLKCSRLPHKTKENRPDTKPNRFCQTLRNIRDKFREENKVIERCLNTNSARFMGNLTEETPVFGIPNLNRFSKELPVFNPTDYKLRGYPHVRNRVLDNNFSDWKMFMRSRSTCGALILVLALTSLIPVYAKTHGAGRICDELGGRSLSTRPHSVSIAEFGAVGDGKTLNTLAFQNAVFYLMSFADKGGAQLYVPPGKWLTGSFSLTSHLTLFLENGAVIVASQDPSHWGVVEPFPSYGRGIDLPGKRYKSLISGHMLHDVIITGDNGTIDGQGLVWWDRFASHSLKYNRPHLVEFISSEDITISNVTFLNAPCYTVHAIYSSHVYIHKILAHSSPGSPYTIGIVPDSSDNVCIQNSTINMGYDAISLKSGWDEYGISYSRPTENVHIRNVNLQAASGSSISFGSEMSGGISHVVVDNAFIRNSLTGIAFRTTKGRGGYIREIDVSNIDMWRIGTAIVANGSFGSHPDDKFDANALPIVNGIRLSNVSGVDIGVAGELFGIKESPFRSVALYNVSLLMSSGSSSSDGSWSCSYVYGSSKLVTPEPCPELMRVNNGYGRATF >A06p007190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2482572:2483649:1 gene:A06p007190.1_BraROA transcript:A06p007190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSYFFLFLSLVSLSSSTTTTHDVLNPPTVFPTNPTTTPPVTTFPPVTITPTNPATTVPLTPPVTTVPATLTPPVTNPVTQYPPTQPSGTVPVIPSNSPSVSGQSWCVAKPGASQTSLQLALDYACGLGGADCSQIQQGGNCYSPISLQNHASFAFNSYYQKNPSPQSCDFGGAASLVSTNPSTGSCIYQTGSSTSTTPTPSTQTVNQPPVTSTPIIPTGGGIIGVGTPPAVFNPANPSSNTLNNPLSGGPAVYGFDGSPNGNNPTLSDSTNLQLHFGHTMVVTLILHAVLFH >A06p054480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28554461:28556245:1 gene:A06p054480.1_BraROA transcript:A06p054480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD9 [Source:Projected from Arabidopsis thaliana (AT5G46750) UniProtKB/TrEMBL;Acc:A0A178UAW4] MATENHADKNVVFRKLKAKSENKVCFDCSAKNPTWASVTYGVFLCIDCSAVHRNLGVHISFVRSTNLDSWSPEQLRTMMFGGNNRAQVFFKQHGWNDGGRIDAKYTSRAADLYKQTLAKEVAKAMAEEEAPLPSSVVATSQPVESSEPPAKETSAAVAVSSSPKASQGAVASTFKKPLGARKTGKTGGLGARKLTTKPKENLYDQKPEEPVPVIPAASSTKITSSSSSAAGSSFASRADLFGHGQDDSNIDITASDLINRISFQAQQDVSSLVNIAEETTKKLGSLASGIFGDLQDRML >A01p006640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3376595:3377233:-1 gene:A01p006640.1_BraROA transcript:A01p006640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVTIFLCMFFLSVNANEVTVGGKSGDWKIPPSSSDSFNDWSQKARFKVGDFLVFSYEAGKDSVLQVTREAYEKCNTTSPKASYTDGNTKVKLEQPGPVYFISGTQGHCQKGQKLRLVVVTPRSSLSPAPSPSDGPAVAPTSGAAKLTGVFSVLGLVLGLWALF >A08g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4212073:4219016:1 gene:A08g501720.1_BraROA transcript:A08g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILHTYVSIRYLSTTSSLWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVAPRLLSARFLFYLRAFWSFHYARQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESRTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAENLLRIVSRLKVDSLIDHLPSLVRYLITQVALRLSEYLHSQCFDIPQNWFDNHLYYNICLRSLENS >A01p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16004432:16006379:1 gene:A01p040120.1_BraROA transcript:A01p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVASCSKLANTRYVRNPSLPNPNLCDVFISHRKIDTKKTISGLLHDHFTRLHLNSFLDSKSLKPGDRLLFEVNAAIRECSVGIAVFSPRYCDSYFCLHELMRLMENKKRIIPIFCNVKPSELCVKDDRTRPAAEIRRLQLALEEAKYTVGLTFDTSNGDWSEFLTMASDAVIDNLLDVEQGRLRSINPHVQEHICVEQPDRKSAPPPEKRLRVDKKVESTSEAYPSPQNQSTSSLDQTALRFTGERDSDTESHTAEHTQNFEQQPTPNTPRRTCS >A02p040580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25648766:25650751:-1 gene:A02p040580.1_BraROA transcript:A02p040580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFDYYGFPNHRMKSEREIGMFGCKCLCWNNLTEFPSLKQPQTFSLPASLPHWPSGQGFASGRINHGDLEVAEITSFELIWRYVSSRDKNKSVSFYRPDNLPDSFHCLGHYCQPDSHLLRGFVLVARDIVKSALAKPLDYTLVWSSNDLSEDEPKSEGCAYFWLPKPPRGYKPVGFLVTTSPTKPDLDQVRCVRADLTDKCEARRVIITALSDSLRVPLFIWKTRPSDRGMSGKGVSAGTFFCRTPLMISAEEMEEEEEEDRLCNNIACLKNLDPSLHAMPNLDQIHALVQHYGPRVFFHPDEVYLPSSVSWFFKNGAVLCSSDNQEPVDENGSNLPHGGANDKQFWIDLPNNDGQRSKFLKRGDLDSAKLYVHVKPAFGGTFTDLVFWIFCPFNGPATLKLGLMNLSLAKTGQHVCDWEHFTLRISNFSGELHAVYFSQHSGGEWVEAQDLEFVDGSNKAVVYSSKHGHASFARSGMYLQGSDLLGIGIRNDTARSDLFVDSCSKYEVVAAEYLGGAVVEPPWLGYMREWGPKIVYGSRTEIERLNERLPWRLRCWVDAVLRKLPVELSGEEGPTGPKEKNNWFGDERW >A02g510630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28183796:28188167:1 gene:A02g510630.1_BraROA transcript:A02g510630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGLKYSEVELTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPPVHLEASGSEAPSLVVRPRRRARRRGQKFFETAQLIATHSHLRWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVSTDGDVNPEPPAQSSPKRKANRAKAKNRSVPLEEAQPSADVSEVAAKKKKKKESKKRSREETSVGAMETPTAAGNDGAERNDPADSTRGSPEERPKKKLKKKSAEGDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGGGVHFPDHVEFLYDEATPLVLNPLPCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKVELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRFIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVIFISLIFANRQVMNRCPKVDNKELSIRVKGPSVRFLSDN >A03p018110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7394836:7396865:-1 gene:A03p018110.1_BraROA transcript:A03p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALLALGPSLSLFVSVISRKPFLILTLLSSTLVWLVSLIVLSGLWRPFLPLKANVWWPYALLVLSSVCFQEALRFLFWKLYMRLEDVLDSFADRISRPRLFLTDKLQIALGTLFLRMLKWNLENTYWASDSNRNSGMRINGAVLFWLWEKENAKMEPRVENHHQALVVHPSIACSWGFRSWCGSCCFLLFEPLNSRIWSSHILCRQMFEGPILSPIIALAFVTIHTFSMVIAFEGYAKGNKVDQVIVPVIHLSAGMLTLVNFASEGCVIGVPLLYLVASLTLLHCGKMVWQRLIESRNQSGPTLR >A06p042430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22815359:22817077:1 gene:A06p042430.1_BraROA transcript:A06p042430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-humulene/(-)-(E)-beta-caryophyllene synthase [Source:Projected from Arabidopsis thaliana (AT5G23960) UniProtKB/Swiss-Prot;Acc:Q84UU4] MSMESEVHRPLADYSANIWEDLLTHFSKSELGSTDTSKEKHRTLKEAVKESFMASKVNPIENIKFIDSLSRLGVSYHFEIDIIEQLGNSFDSLDFNRLIRHDECDLYTVGLLFQVFRQFGFKLSADVFEKFKSEDVKFKEHLVADTSGILSLYEASQWNTHGEDIIDQALAFSSCHLEEIYFQSIPQHLAVRIKNALKHPYHKGISRIETRKYISYYEAEEKRDAVLLEFSKIDFNMLQILHRTELTCVTRYTRHRVAEAYLWSLGAYFEPQYSQARVKTAIAIIIFTMLDDTYDAFGTMEELEIFTDAIEKWLPSPPDMIPESMKYVYRIMVDFYDKLEEELEKEGRSGCDFHLKKSLKTTANGYMQEAKWLKEIKVTCFRKEKPTVSALKQ >A03g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8435149:8437646:-1 gene:A03g502710.1_BraROA transcript:A03g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLLRGKQGHHGTSSQAVEKNEVTKVVKRSIPVVSAELKDESQANTLTPKIQDKKVIAKRRNGCHFCGKIGHSVAYCYARRNQVERAWRLNLCFTEPKKYGCVWIAKRDLYHKFRRQTRHGLHLETDVSHKPIAEPVEEVKEPEIINQASQKLNLRHGLSHLDREKHTADCVCNLSPSHFEKEERMKREKGTSGRGDQGVTVYGGCDKKKTGTKLIGHMNQMRSIIPKAIVAKTENLSQKDVTHRDESVTHESISGSLIHLTTRRSYLGLTTDICTQWQATSRVSHKLVINNHVKGILKLKLNYSFDTNMMMAGTCDVNWMCYWDENNLKMCHQASLESVSSWTEELVTATRLRNSYRYIHIPLNGGQQISVVFLHDHATHVNPGKYPLCEPVSFIRICNQVESGYVVTSRGRIV >A02p018610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8585931:8586545:-1 gene:A02p018610.1_BraROA transcript:A02p018610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLYSLTLVFVFLTSTNIQTTAATPSSYSQNHKMFVKTACNSTTYPDKCYKSLSTYSTAIKSDPIKLCTTALKLNVKSAKEATSVVAKLLKKSQKYAAGRKSRMVPETLILKDCLEEMEDTIVELKQAITEMKTLRAGDSIAEHITNVRTWVSSALTDEGTCTDGFEEVKVNKETKKTVTKVVQELATTTSNTLALITNLSY >A05p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20077327:20077771:-1 gene:A05p035450.1_BraROA transcript:A05p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNSFFSPLKKLWFRVNSTQKKRRGIYILYEDVKSCPYEDVHVLWSILVESHPHTLQPKQ >A04g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14975632:14976386:-1 gene:A04g506660.1_BraROA transcript:A04g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAQHGGRVTKLGTLKAKQANALFWSPSGKYIILAGLKNFNGQLEFFNVDEMETMATTEHFMATDIEWDPTGRYVATAVTSVHEMENGFTVWSFNGKLLYRVLKDHFFQLAWRPRPASFLSPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRKALKEEWEKWVMQWKSLHEEEKLERQNLRDGEISDEEEDDEEAKEVKEVEFEDVIDVTEEIVQE >A07p044000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24050116:24052497:1 gene:A07p044000.1_BraROA transcript:A07p044000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLGDVSETSRRRTTTIRNPIKCCLLNYSRRRKQQHIRCFLHHHSPHQVSFKSITMFSSSFLRLILLLCLVFSSFSTASPSNTTAADQTLRPQEELQKLKLIRQELQKINKPASSDGDIIDCVLSHQQPAFDHPLLQGQRPMDPPELPKGYIKDEKSYEDSQLWSLSGEFCPEGTIPVRRTTEQDMLRASSVRRFGRKIRRVRRDSSSNGHEHAVGYVSGRQYYGAKASINVWSPRVASQHEFSLSQIWVIAGSFTHDLNTIEAGWQISPELYGDTYPRFFTYWTSDAYRSTGCYNLLCSGFVQTNRRIAIGAAISPRSSYRGGQFDISLLIWKDPKHGHWWLQFGSGTLVGYWPAFLFTHLKQHGSMVQFGGEIVNTRPGGSHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNTLLPASNLKILADHPNCYDIRGGTNRVWGNYFYYGGPGKNPRCP >A04p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15451629:15451940:-1 gene:A04p025520.1_BraROA transcript:A04p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIICVILMVVFVLGVLYKKSILKSSMVAKETQGEKTCHINISYGNEDCESMACNFDCASKWKGIGVCVSTESPNCICAY >A09p003600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2070334:2071917:1 gene:A09p003600.1_BraROA transcript:A09p003600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDYYLSCEEDEEEEEDDYDKVDYDQYEQAQREETTKSTSRIITNESLVAAQKEVLARVMELLTLKKSQARTLLIHYQWNVDKLLDVYSERGKDSLFKTAGLTVFSHTSLSESRYSLRKKMTCEICMDDDLQSYTMTRMDCGHCFCNNCWKEHFTVKINEGMSKRITCMAHKCNAICDEDVVKKLVYPEIAEKFDRFLVESYVDDNKRVKWCPSTPHCGNAIRREDDGGEVECSCGHQFCFSCLSESHSPCSCLMWKLWKKKCEDESETLNWITVHTRMCPKCSKSVQRSDGCNLMTCICGQHFCWLCGGATGLSHTWTTIDGHSCGKFKEEKVKQIEIAKRDLKRYTHYYHQYRSHTDSSKQEFKLRESVREKVASLSEKTLKSEQKWASNGADLLFRSRKVLSYTYVFAFYMFGEELFKDEMSDEEREMKKILFENLQQQLIGYIESLSKTLNQPFDDYSSDELEKMSDETMRFGIVVDNLCKEMYECIENELLGPTVAGHNHSIAPYRSEGIEKAIEFGADMV >A07p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7057207:7059025:-1 gene:A07p013980.1_BraROA transcript:A07p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSHSRFLLQTLLTRAQNLDKAVELDYQWIEFDDVRYHVQVTMKNPSILLLSVSLPNPPPEAMSFDGLPLGAIEAIKTAYGTGFQILDPPRDGFSLTLKLNFSKLRPDEAYRNSLLTKLASIREVVMGAPLKIILRHLASRTVAPELDRLVAIMHRPNETFFLVPQADKVTVAFPMRFKDSVDTILATSFLKQFVEARRAASLSSAPSCSWSPTAPQELEGAPKETLSANAGFVTFVIMPRHVEGEKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESMIQALDQAKPLEKTRSMNNKSFKRLGLNDVNSK >A09p024750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13764256:13764761:1 gene:A09p024750.1_BraROA transcript:A09p024750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLKSLITSAVTIGMTEARARIFGHMLNPTGQRSPHKILRKKLFGDKVAEWYPYDIKNEDPNVLAREEKERLSKLEMLKRRDKGPPTKGNGRRAAKRNK >A10p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19018539:19019816:1 gene:A10p031980.1_BraROA transcript:A10p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNSTINSFFIVYFFHEISGNAISFLVFLAPVPTFYRIYKKKSTESFQSLPYQVSLFSCMLWLCYALIKQDAFLLITINSFGCVVETIYIAMFFTYATKDKRIAAMKLFLTINVAFFSLILMVTHFAVKRPSLQVSVLGWICVAISVSVFAAPLMIVARVIKTKSVEFMPFTLSFFLTISAVMWFAYGLFLHDICIAIPNVVGFILGMVQMLLYGIYRNPGEKLDTEKKMNPSDQQLKSVVVMSPLGVSEVHPIDDNMTEPVDPFSDAVQHKDPSKVTKEKEPATDDGKCHVETARHESV >A09p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1128394:1128690:-1 gene:A09p001530.1_BraROA transcript:A09p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTQTSFFLLRLLLLICLVFQVCVTEARFRHLGEERTFDTPSRSPKAKGGRRMSYGGT >A04p025490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15430910:15433892:1 gene:A04p025490.1_BraROA transcript:A04p025490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease YBEY, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G25870) UniProtKB/Swiss-Prot;Acc:Q8L5Z4] MLSRLSPILRHNRLFSAEARAMTRASLYHHARVLQPLLVRSSPRIALATTPNVLNVSSSDSSSMFHRRFHALRNIVGGGDWKLPKPAAGRVFAERREYRKMRKRAPKRKQELELSVSICIEEQLPDDTEIQNIAEMLRVNVPMAMKLAFNGLKDSKYKTRETDIEDVGGFETVELSVMLCNDEFICKLNKEWRGEDHPTDVLSMSQHVPELKLPVLMMGDIVISVETAARQAAERGHSLLDEIRILVIHGMLHLLGFDHEISDEAEKEMEEEEELLLKSLGWKGKGLIQSAYDIEKTAKPQPEKADDRKKGDGLRFYRPKFSYIFCDMDGTLLNSKSQISEANARALKEATLRGLKVVIATGKSRPGAMRILKMADLAGRDGIVSESSPGVFVQGLLVYGRQGKEVYRGNLDRDVCRETCLYSLEHGIPLIAFSQDRCLTLFDHPLVDSLHTTYNEPKAEIISSVDQLIAEADIQKVIFMDTTEGVSSVIRPYWSEATGDRASVVQAQSDMLEIVPPGTSKGNGVKMLLSHLGVSPNEIMAIGDGENDMEMLELASLGVVMSNGAEKTKAVADVIGMSNDEDGVADAIYRYAF >A06p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1507499:1510403:-1 gene:A06p005160.1_BraROA transcript:A06p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRRHLLASRASNLWVLLGLGIAGALLITKKLKKRVREDFGAFIEKLLLLPPPQPSPPKAPHPLTALSFAVSYLFDVKGYVSGFGHPEWIRTHEAAASTSHVVSVLVEGGATCVGKTVVGEFAFSISGETKHYDTPTNPAAPARIPGGSCSGAAVAVAANHVDFALGIDTVGGVRVPAGYCGVLGFRSSQGIVSNAGIIPVSSTLDAVGWFARDPNTLRRVGHVILQLPFAAQRNPRQIILADDYLQFSKVPVDRISQVVIKSAEKLFGRQALKHENLETYFEAKVPSLKEFCRGKANGDDAKLTTSMLLANVMQLLQRHEFLQNHGDWINTVNPSIDPAVYSQLCKTPELTDEEIENLNAVRNQMRVAIGSLLKDDGILVIPTMPSVPPKLGSKEIMSEDYQNRASSLLCIASISGCCQVTVPLGKHDKCPVSVSLIARHGGDRFLLDTVQKMYASLQENSSLIVNPKSSSVNTISQEESAEIAKEKGNQAFKEKQWQKAIGLYSEAIKLNDKNGTYYSNRAAAYLELGSYRQAEADCTKALTLDKKPNNKRAALSADRLRKVFLQ >A04p026980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16214974:16217305:1 gene:A04p026980.1_BraROA transcript:A04p026980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMHRSGLAPRRTNENAKAVITTILGIVFGTFIGITLPSLSLKINFPSALISSLDVALSDGHLLSTHKSPEDFGSRKFPEVYVPTNPRGAELLPPGIVVAKTDLYLRRLWGEPNEDLKKKPKYLVTFTVGFDQRNHINTVVKKFSEDFQILLFHYDGRTTEWDQFEWSKTAIHISTRKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNADKYIELVKKHGLEISQPGLEPNNGLTWEMTKRRGDREVHKDTKEKAGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHKVIPSLGSQGKSENGKAPWQGVRERCKKEWTMFQNRLAEADKEYLGRMVVKE >A01p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22724286:22725323:-1 gene:A01p042170.1_BraROA transcript:A01p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDMQIHHTDGPGTASYSSIYCVMGNQKTPPWNLRTRQAACNELGDEQTSIIGVNRGRNKGCGDGDSQKLKFSVSLLMEEIEKYFTAFVGKKLPPRPKKRPRIIQNRMNFYCGVQMMTSTSLTLVHEEILMVISVKVSPWITLMVFLIRAIHMFATNERGGTQLGDLSVLREAFSVTASVYYFKN >A10g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14237495:14238612:-1 gene:A10g505610.1_BraROA transcript:A10g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETNLLDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTVNLSVIRLRWKMMLLAKSSLTIYIYSDPTAAERLYRRKMSCRKSHQLGKHKV >A05p047980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28176807:28177657:1 gene:A05p047980.1_BraROA transcript:A05p047980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >A02p012390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5412077:5415342:-1 gene:A02p012390.1_BraROA transcript:A02p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHSSLNGSASNLQDGSGRSFTSSYTGQPGSPSPGFHHAAGSLQGLHNLHGSYNVGNMQGALSSRNSSMNSLPSPGVQQANGSFSSGRFSSNNLPVALAQLSHGNSHGHSGIPNRGGINVGNPGYSSNANGVGGSIPGILATSAGLSSRNSVTNVGMSHLLGNAGPRITTAMGNMVGGVNLGRTLSSGGLSMPGLSSRLNMAANSGSGLNVQGQNRMLGGGLPQGSQVMSMLGNSYHAGGGQLSQNHVNNMMLSDHSNDSSLFDINNDFPQLTSRPGSASGSQGQLGSLRKQGLGGPIVQQHQEFSIQNEDFPALPGYKGAGGSSDYPMDLHQKEQLHDNAMSMMHSQNFSIPRSGGFNVGGTYPSHRTQQQPQHTSSGGLQGLGLRPLNSPNSVSGTGYDQLIQQYQQQQNQSQFPVQQMSSINQFRDSEIKSEADPFCLLGLLDVLNGTKPDLTSLALGIDLTTLGLDLNSTGKLYKTFASPWTNEPAKTEVEFTVPSCYYATPPPPLTRASFKRFSFELLFYTFYSMPKDEAQLYAADELYERGWFYHKEHKLWFFRVGEPMVRTALYERGTYECLDPNSFKIVRKEHCVVQYEHIEKRPSLLQH >A08p016850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11851015:11852466:-1 gene:A08p016850.1_BraROA transcript:A08p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BLUS1 [Source:Projected from Arabidopsis thaliana (AT4G14480) UniProtKB/Swiss-Prot;Acc:O23304] MARNKLEFPLDAEAYEIICKIGVGVSASVYKAVCIPMNSTVVAIKAIDLDQSRADFDSLRRETKTMSLLSHPNILNAYCSFTVDRCLWVVMPFMSCGSLHSIVSSSFPEGLPENCISVFLKETLNAISYLHDQGHLHRDIKAGNILVDSDGSVKLADFGVSASIYEPVVTSSGTTSSSLRLTDIAGTPYWMAPEVVHSHTGYGFKADIWSFGITALELAHGRPPLSHLPPLKSLLMKITKRFHFADYEINKSGCGKKKFSKAFREMVGLCLEQDPAKRPSAEKLLKHPFFRNCKGVDFVVKNVLHGLSNTEHMFIESQVLIKGVEDDEEDDEEIVKNRRISGWNFREDDLQLSPVFPTTESDTSEFSPREVDPVQDKPEGDDNVVLTGSETGLGLSDRNEEAKEQEGEVCGFDRDLVLEKLNLLKKSLEHQRARVLVIIEALSGEKEERNREEELLEMVEKLKIELEAEKMKTLRAEKESVLS >A08p031080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19041828:19042924:-1 gene:A08p031080.1_BraROA transcript:A08p031080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CURVATURE THYLAKOID 1D, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38100) UniProtKB/Swiss-Prot;Acc:Q8LDD3] MELSTVTTITHLPPTTSRHVYLTGNPAPVSRISLPLQGNVPSLCLQSHTLRCARKFPGETDTSTGVNEFGLEKPEVVADKEDNFPSDVVSDREKNFTSEAQAEDEQTQALEFLNDIKLDSDNTSSILLYGFGGILAIYLTSAIVGSLESIPLLPKLMEVVGLGYTLWFTTRYLLFKSNREELKTKISEIKKQVLGSDDSD >A07p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20133059:20134530:1 gene:A07p037700.1_BraROA transcript:A07p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKWLFTLFSVVFISVFLLLLLYSISAFTSNPFPSPLRHGPHYPPSFAYYITGGRGDKDRIFRLLLAVYHPRNRYLLHLGGADATEAERVALLSDVKSVPAVSAFGNVDVLGKVDRLSENGASKTANTLHAVSILLKLDGSWSWFVELSAFDYPLITQDDLSHVFASVNRSLNFIDHTSDLAWKESQRIKPIVVDPALYLARRTQLFTATEKRPTPDAFKVFTGSPWTVLSRSFLEYCIFGWENLPRILLMYFNNVILSEECYFHTVICNAPEFSNTTVNGDLRYMIWDSPPKMEPHFLTVSDFGQMAQSGAAFARQFKKDDPVLDMVDREILRRGRYRVTPGAWCASRGSWWNDPCSEWGDVNVVKAGPQAKKLDETIVNFLDDLNSQTNQCK >SC244g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:14026:18617:1 gene:SC244g500030.1_BraROA transcript:SC244g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHRSGRSEKLEEENEWVVSRVVKTALKSCGIWSDHIKVEPLKVRAAEESQTASLEKIHVKVEPLKEVAAEEGQTARLKVHEAKGVILEWKHGNGEWYQLVGRLKCLWSELDVLRPSTSDPKVIQDRQEQGVVFNLLVDGICKLVQHVCEKNKRSTQWKGGTSCKRRRLRKLSKVWFMMRRPWREVSESDDLRHMMGLKGIKDVVHQMVRGECSYSAYMGETVEDRGVLTEQEKGDGADDHITRKEWRVGNGTESGEQEQNREDSGLHDQDTSQEIENNVQSSGEVDEVQSSGEEQVGPVSSEEEQVEPASLPWIILDRRSTPKRSLDQGGAVWIRSGHSWKGKATLQPVQACEASQPTSQP >A03p047470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22408088:22408427:1 gene:A03p047470.1_BraROA transcript:A03p047470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKNMLLAFVVSIFLIVSSVHCSDRILGAGINKELKQCFPKQPCGKTCEEYCVGHINDEWGIRTSCESGACCCIKDGAV >A04p039830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22514856:22515615:1 gene:A04p039830.1_BraROA transcript:A04p039830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASEAYISTVRSCKSYKESGVAEFLSATAAGWNARLIVETWSRGDPLATSVGLAVAASHTCGRHVCIVLDEQSRLEYVSAMRGVVTTEATEVVVVRESVENTMEEFPGVDFLVVDSKRREFVKTLRFAKLSNKGAVLVCKNAAQRAISGFKWQDVLKKGTRVVRSVFLPVGNGLDMVHVGAAGGNQRGDSRKHPSRWIRHVDHLSGEEHLFRRLN >A07g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2347846:2352756:-1 gene:A07g501150.1_BraROA transcript:A07g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDARIAAPPQPSRDGRSQVYYDRDRHLRSYYRPESHQSHHHLSPRFNHTDMRGNPRWVETGRRVSPSVRTRSREEDPAIRHRTEGNLALQERDQTSGGIGKETVHGEAIETAREEIREYLTQYANCADPSEIAARRERIILAEEKGETEELARNMVANAVNLLPVTEQETIMEAEQNQIRKSALHRLGNQNEPICEVPPSEEAQIPVKKRLGRSPLNKTQIKSLGVNKTTSTAKKRRVAPVRISPKRKSAPSSSTRGTNAPWVISSIWTARNFRIFQKRIFTAQKVMTKAIVDAKEWKQAQTKDVPPTPNLGKIFKPTGQEVICHSDAAWDKDRNASGLGWSFSENQNERFISHRGLAVRSAMEHAIALQFRKVIFETDSLQLVAAIVEQAGISDLHGILADIYLLSSQFDSANFRYVNRSSLF >A08p008990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4559893:4560093:-1 gene:A08p008990.1_BraROA transcript:A08p008990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQPAGHEDDVEDWDGDQPSQKTWKRKNRGPLDKFVMSLAPDIMKGRKNMKGFFGACDKELKDSL >SC240g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000091.1:45446:50031:-1 gene:SC240g500020.1_BraROA transcript:SC240g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAVEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVRPASSEEEQVEPASLKLGKLPWLTLESKPRPRAVWIREEQSG >A03p008880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3557346:3558968:1 gene:A03p008880.1_BraROA transcript:A03p008880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSEAALATRAKLRGGIGQTKVKRYWPGKAPEWADEPEEDEDVRMQKVDALDRKHDDLGVARKDDPRLRRLAQTRAENREEVRADHRRVRQAEIVSTEEEELRNQEEEEDEDALEERRRRIREKNLKRAQEEADLLPVEEEDEVEEEEDEEEESEYETDSEDDMPGIAMIKPVFVPKAERDTVAERERLEAEEQALEELAKRKLEMRKLETKQIVVEEVRKDEEIRKNMLLQEANIGDVETDDEINEAEEYEVWKTREIARIKRERDAKEAMLREREEVEKLRNMTEQERREWERKNPKPSSDKPKKKWNFMQKYYHKGAFFQADPDDEAGSVGTDGIFQRDFSAPTGEDRLDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWSNPWTSNDPLREKYNKKMAGMNGPIEKPKGSKKMKDWEK >A04p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18814798:18818051:-1 gene:A04p032190.1_BraROA transcript:A04p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEVLTDPSSLYDVGNGEYSDTYTMLTVRNALASVQNGESDRYEELVQSMQIIKGADHAVWADLVTILNALSGSVACIDIIHHRKLLSSVFGMSLWDLKPHVMEALAATSGKYLDSCLNMLIGHFIPPRWVIDRLSQRRVIDQKTDVLSQVHGAILKITLLVPLAPSRLLPMLAQQMPKINKKDNVVVIYVENLFKLESSPIGQVGSSMIFMMVMERLRDLDLEIDWDDILQDDSSRGMFDMELEDAMNEGEENTSGGNVVFESLDKLMVISFDHLESCNLDGRLDQVFEKLFGAFENFILNTYKSKVSQFLMFYACSLDPENCGVKFATSYLARGKFLPVSYVATMLKRLVDECADYCRTCNDDIRPEAHQIFYSGCQAIMYVLCFRMRSILNVPLFRSQLRPLESILMHRLNPLMVCLPSVVAEFLKQAKAGGMFVVSDAFIFDDLLESELSRAFGGPERLDTFFPFDSCLLKSSNSYISPNFIYWSMVRPTYEEDDDDEEDAEIIVNGDEESDEEEEEGDLDYSMNKMSITPKHSFKNKMERDRLLKMPSMIRPSISPQSF >A04p040480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22860255:22863957:-1 gene:A04p040480.1_BraROA transcript:A04p040480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL21A [Source:Projected from Arabidopsis thaliana (AT2G46495) UniProtKB/Swiss-Prot;Acc:P0CH01] MTFSNQLFFVLFLIFPLLRASHPKDCSSSSCGLQDIHARFPFWLEPNQPDFCGHPGFDLHCTNSQNTALNLPKSGTFLVREIDYRSQHIRLYDPEACLARKLLTFDVSGSPFSALYLAKYTFLTCPNEVVKSSGFDSIPCLGNSTSSFLATTSLDIAKSMLPSCQIVKTLDVPVSRPVVTEKSRFSTNVNNQDLWLKWDSPSCSNCERNHLRCGFISNASLQVKCFPFEKSGHNNTGVQVLKIISLAIFGPIIIFATCIAIGVCTSDRFTSRRRRNVAIAAAQPNEVIVRAGLDESTIESYKKVELGESRRLPGVNDIVCPICLSEYASKETVRCIPECEHCFHIECIDAWLKLHGSCPLCRNSPSPVRFFLIFLFPLRHASKKCSSSFYIPHRCGPLEAPIRFPLCDHAGFNLHCTDLNKTVLELPMSGTFLVRNIDYYKQQIYISDPENCLAKRLLTFNMSGSPFSSRFSIFYTFFSCPNDVVLPFSYRSIPCLNNSTSSFYVTTNYAFVEIMFPSCQIVKRLHVPSPFGEIEFLSYVGNESLMLEWLSPNCRSCEMEYLRCGFKKKSSLEVKCFGSKEPGHLSSGVLAVIISFSIIGAVTLFGTCIAIRVYNSPRRGHSAIAAAATVWQQPREVMAAVRGLDQSTIETYKKVELGQSRRLPGTNGIICPICLSEYASKETIRFMPECDHCFHVECIDVWLKIHGSCPLCRNSR >A10p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9998752:10000038:1 gene:A10p007000.1_BraROA transcript:A10p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTLYAGRSARGGGYRDKGPPSEVVEKIPYFNAPIYLQNKIQIGKVDEIFGPINESLMEGIVATSYAEGDKFYIDPAKLLPLARFLPQPKSCEPLDFLEESCACQGEGGGEPPKGSLGGAIDTHFGSLKVLVKKMSAEGAALQGSGWVWLGLDKEPKKLVVDT >A01p009760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4738346:4740067:1 gene:A01p009760.1_BraROA transcript:A01p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSENNNNNTQFMSKPLGGQNYHLLASSNGGAVGHICSSSSSGFSTNLHYSSMEKHYAGSSSNAAAAAAASRDDSSWCSDSLHGGFLDFPENHHQASQIEDGGGIGAAFDDIQKRNDWHEWADHLITDEDPLLSTSWNDLLLETSSNSDSKDQKTLQVPPQQPQIVQQQQPSPSVSVELRPVSTTSSNNNNGNGKARMRWTPELHEAFVEAVNSLGGSERATPKGVLKKMKVEGLTIYHVKSHLQKYRTARYRPEPSETGSSEKKLTPLEHITSLDLKGGMGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGKYLQMMFEKQNSGLSKGTASTSDSPSKSEQEDKKISDSKDHLTPEETGKCKEPESPQPKRHKTDN >A07p031900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17569741:17572220:-1 gene:A07p031900.1_BraROA transcript:A07p031900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPKALTLGFPLIQSSILLSSTPSLKPPTYPRRKFQLSNIKFRTCSVFSVTSLDSELTAMEYVNPEGLRLDGRRFNEMRQIVAEVGVVSKADGSAVFEMGNTKVIAAVYGPREIQNKSQQKNGHALVLCEYSMAHFSTGDRRRQKNDRRSTELSLVIRQTMEACILTELLPHSQIDIFLQVLQADGGTRSACINAATLALADAGIPMRDLAVSCSAGYLNSTPLLDLNYVEDSAGGADVTVGILPKLDKVTLLQMDAKLPMETFETVFALASEGCKAIAERVREVLQENTKQLEYRRAA >A01p053900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29781244:29782168:-1 gene:A01p053900.1_BraROA transcript:A01p053900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHPLSHVPISENRFVVQEMMSSSSVWTKEENKMFERALAIYAEDSPDRWFSIASMIPGKTVFDVMKQYSKLEEDVSDIEAGRIPIPGYPSASSPLGFDQDTCRKRPSWGRGSDHDRKKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDFTTVNLLNANLNRSFSDHRDILPDLGFIDKDDAEEGLMFLSQKHMFSPSSSPFDAAVRFAGANVFSARS >A03p060260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26119660:26120640:1 gene:A03p060260.1_BraROA transcript:A03p060260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTARTHHDITTRDQYPMMGRDRDQYAIIGRDQYQGYGQDYSKSRQIAKAATAVTAGGSLLVLSSLTLVGTVIALIVATPLLVIFSPILVPALITVALLITGFLSSGGFGIAAITVFSWIYKYATGEHPKGSDKLDSARMKLGSKAQDMKDRAHYYGQQHTGGEHVNTDYRNTDRDRTRGTT >A04p020940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12776682:12783569:-1 gene:A04p020940.1_BraROA transcript:A04p020940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGNLHIGNSNYNREEEADPENNTMNQPLLKRHRTLSSTPLALVGTKVSHIESLDYEINENDLFKHDWRSRSKAQVFQYIFAKWTLACLVGLLTGLIATLINLAVENIAGYKLLAVGYYIAQDRYLTGLLIFTGANLGLTLVATVLVVVFAPTAAGPGIPEIKAYLNGVDTPNMFGATTMIVKIVGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGSASGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGKGGLIMFDVSHVEVRYHAVDIIPVTLIGVFGGILGSLYNHLLHKVLRLYNLINQKGKIHKVLLSLSVSLFTSVCLYGLPFLAECKPCNPSIDEACPTNGRSGNFKQFNCPNGYYNDLATLFLTTNDDAVRNVFSSNTPNEFGMVSLWIYFGLYCILGLITFGIATPSGLFLPIILMGSAYGRMLGTVMGSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNLSIYEIILHLKGLPFLEANPEPWMRNLTVGELVDAKPPVITLRGVEKVANIVDALRNTTHNAFPVLDGEHVDNGAGTELHGLILRAHLVKVLKKRWFLNEKRRTEEWEVREKFTPVELAEREDNFDDVAITSSEMQMYVDLHPLTNTTPYTVVQSMSVAKALVLFRSVGLRHLLVVPKIQASGMSPVIGILTRQDLRAYNILQAFPHLDKHNSGKLR >A01p040820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:21788194:21788418:-1 gene:A01p040820.1_BraROA transcript:A01p040820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTALKEKDVDVKNNMEALDILLTALEDYVEKIGEDSPEDVKEREKKGKGVKRTVHTLDDNLNKTMSWSKKEK >A09p029980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17835973:17838750:1 gene:A09p029980.1_BraROA transcript:A09p029980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 25 [Source:Projected from Arabidopsis thaliana (AT4G05200) TAIR;Acc:AT4G05200] MSSCFKSSVSLISVLFFMIFKTVSSATVPTYLFHICPNTTTFTRNSTYLTNLRTVLSSLSSPNAAYASRFDNATAGDDNNRVYGVFLCRGDVSAEICRDCVAFAAKETLQRCPREKEIWYDECMVRYSNQSIVGKMRVMPAAFLSNTQNITESQLSRFNESLAALLIDVTVKAASSSIKFATEKANFTVFQTIYSLVQCTPDLTNLDSESCLRQTINWLPLCCDRRIGGRVIASSCSFRYELYPFYNETITAAAPLPSVSAPPPQLSSQPPGKGKSSTVIVTAVAVPVSVCVLLLGAACCLLARRRGRNKLSGEGEDLDEDGITSTETLHFNFSEIEAATYKFSDSNKLGHGGFGEVYKGQLITGETVAIKRLSRGSRQGAEEFKNEVDVVAKLQHRNLAKLLGYCLDGDEKILVYEFVQNKSLDYFLFVSLYVDTEKSRLLDWQRRYKIIEGIARGILYLHRDSRLTIIHRDLKASNILLDADMSPKISDFGMARIFGVDQNQANTQRIVVLSLSLSSGYMSPEYAIHGQYSVKSDVYSFGVLVLELITGKKNSSFYEEDGLGDLVTYVWKLWVENSPLELVDEAVRGSFQTNEVTRCIHIALLCVQDYSSERPSMDNILVMMNSFTVTLPIPKRSGLLLRTMRDSRVQQPGASLSDQSVTRKSVDDSSITIVYPR >A10p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2129148:2130408:-1 gene:A10p004340.1_BraROA transcript:A10p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIKCKSFNPIIWTFLRDIVTIFCKKVDFVYKFHIMFIKCKSFNPIIWTFLRYILIVNYNRIGIFINSMMNFLFRFDVSLCMGHFTQDSSCSGFDSYKTKEIENICLSNFDDYKALFDLKECFTADELILTDQLIDRIITKHNADTKAEKVIPSLSSVMSSVTHKDYNTEALANASCEDYKKNQMICAKAKDEINNNVEIFPNALTQLDRGPSQLILTDVHDMSLWDDQTPASRAYDPQARLEALKRYFAKKEKHNIDNSKEDARYIQNLKIITRSCRFGKQIRYESRKSTADTKRRLKGRFTKVGADYDYDPRTQENFLTQFPFGTSGERTALSTIH >A05p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23878409:23880693:1 gene:A05p038960.1_BraROA transcript:A05p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRELQYLEYTYRNNRPTTGLLNSIFMTTVNTAARSLVSVATTASTPELASRRWSASDHLSFASGLLTAAAEKALVPASAPSSSSTALVKYSGSADLGTMVCDGVDVPSVNSLGRALCHALALMNEIPVTSRKYQFAMGMAEKIMEENAQSCNVELLDVNRVALASSFARTTARLHDSLKRSRTADEPVGGLPLRLVSALPLGGYVASYARGVSTCINTVRSLADMTGNLLSQSMRRESALVRAGGFQENEVELAVEKLAEELLWMTEKLRRYGAVEEGIKRWSYASGLASLSLTAAPRVQGLLVKISALLIGEMARDSTKVPGQVKFRLLANWLPLFSHARLGLAFPVLTGYERVEVERAIDKAISTLPALDQEILLTNWLQDFSVSATEWPNLTPAYDRWCNSTRQFVM >A03p050370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21638960:21640309:-1 gene:A03p050370.1_BraROA transcript:A03p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTTEEDKKLISYIHEHGEGGWRDIPQKAGLKRCGKSCRLRWTNYLKPDVKRGEFSSEEEQIIIMLHASRGNKWSDIARHLPRRTDNEVKNYWNTHLKKRLIEQCIDPVTHKPLASNSNHTVNTPPENLHSLAAPSSDKQYSRSSSMPSLSRLTNKDGTPVQGGALSHKKRFKKSSSTSRLLNKVAAKVTSVKEILSASMEGSLSATTLPYASHSNGFSEQIGNEEDSSNAFLTNTLAEFDPFSQSPLYSEHEINATSDLGMDYDFSHFLEKLGRDDHNEENDMNVEYGHDLLMSDVSQEVSSTSVDDQDNMIENFEGWSNYLLDHADFVYDTESDSLI >A09p003080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1820201:1820823:-1 gene:A09p003080.1_BraROA transcript:A09p003080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTAVREFNWRKDVLEGTFSPKINVFPTGENPQKGRVIQKAKCIRCEEAESETRILFRCPFSSQVWQRMDFFPVVHITSLPDFSSALVKFGKIFCVPPRKCNSRKNSIQRSQPHPEARITTTTCKTDAAWDKTSEQAGLAWILSSLKY >A07p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23120692:23122900:1 gene:A07p042700.1_BraROA transcript:A07p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFTQFLPFPRCNADVVPCLLPLGFVKFRGERLNGKQGFLVVAGRRKLSESAPLDEEDGGNGAVGGKKPTKAPKRSGARTTKKKVVAKKDEPLEESSQLLVDSDDVSDNESDTKDEPRRARKKASPAAAASSDVEEAKTKKKVRRKKTTKKDKEVEEGLVTYDEASDVDEPLTVEATDADSEGEEIDLSKHESEDISHTYGWPPLVCCFGSAQHAFVPSGRPANRLLDYERQERMKDAVWAPEKYIRAPGGCAGGVAIALASLGGNVAFMGKLGGDDFGQAMLYYLNVCKVQTRSVKIDSKRVTACSTMKISKRGRLKSTCVKPCAEDSLSKSEINVDVLKEAKMFYFTTHSVLDKKMMSTTLQAIKISKQLGNVIFYDLNLPLPLWQSREETKSLIQEVWDLADVIEVTKQELEFLCGIEATEEFDTKNNDSSKFVHYEPETVEPLWHENLKVLFVTNGTSKIHYYTKEHNGAVLGMEDVPITPFTRDMSASGDGIVAGLIRMLTVQPDLMNDKGYLERTARYAIECGVVDQWLLAQTRGYPPKDDMEEDEDDDDEDEEMESDPNGIRSITEREYRTSKPYDEPDGPYVMKPEEEREYRKLELVGSVGEDDDSS >A09p067540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53250820:53252941:1 gene:A09p067540.1_BraROA transcript:A09p067540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESVKEFIRSEVPDWDDEVVATARFKAFSGQRSDWEVKFQFWRDLIIKVSRRFGVHIIDPVQVKKAWFDRGGMTPLCIDHVLLLMHSEGDVVLASELESPVSGRLSRLLRTVRSLVAQPSVKPGEILENELVIVPLLKEKAADVVRVLSEGHWTSTCVVTLNKFRDLCNGLNEASVVLSHLSRCGKAHKISINRGELIEGVKVSFSEAALPSISTLDCDVLHLLSTTEKLQNQLEVMDQRCEMSRKSALASLKSGHKKVALRHARELKLTTESREKCTSLLNRVEEVLNTIADSESTKMVSEAIKTGARVMKDIKISPDEVHDYLEEIEDTIQSQKEVEKALESAPYPDIDDENIEEEFMKLEMELESESSQVRPTTSDTADSLSEMFSELKLGDTKQTLEEQATEPVRMKDGGKKILEAA >A09p059130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49561441:49563787:-1 gene:A09p059130.1_BraROA transcript:A09p059130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 20 [Source:Projected from Arabidopsis thaliana (AT3G53510) UniProtKB/Swiss-Prot;Acc:Q9LFG8] MSGLLNKLSPARRANKSDDLPLFYTDQSMGFQRGHRNTPKVSVTLAELLMSMEYDQNGRSQALDIALASNFFSSVSSYPLTSPSPFVLSFKDLTYSVKIKKMFNPLPCCGSSDGDGMEMNTKMLLNGISGEAKEGEMMAVLGASGSGKSTLIDALADRIAKESLHGSITLNGEVLESSLHKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKKKKQARVQALIDQLGLRNAATTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRVAKSGSIVIMSIHQPSYRILGLLDKLLFLSRGNTVYTGSPTDLPRFFSEYGHVIPENENKTEFALDLIRELEDSPEGTKTLVEFHKQWRAKETLNQTTRNTNVSLKDAINASISREKLVSACRAGPEILRDRSSRGETNLKSTFQTFANPFWTEILVIAKRSMLNSRRQPELFGIRLGAVLVTGTILATMFWKLDNSPRGIQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVLAHTIISLPALVILSATFAATTFFAVGLAGGSEWFLFFFLTILAAFWAGSSFVTFLSGVVSHVMIGFTVVVAILAYFLLFSGFFIARDRIPLYWLWFHYLSLVKYPYEGVLQNEFEDPTKCFVRGIQMFDSSPLGQVPDAVKINLLKSMSGVLGFNVTAETCVTTGVDILKKQGITEMSKWSCLLITVAWGFLFRVMFYFTLLVGSKNKRR >A02g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5471623:5472279:-1 gene:A02g501680.1_BraROA transcript:A02g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNGRKETLPGYLHRKRLLRSTRRRLLHKRPFCNRDGEILCPLSVSNLLLRDQTAIHHYRVTLRAVTSRLPPHLQVGGSSSSVVPSSVTSPSLQPANQTGLDPIYRLEGIHSQTKGFIFTQPVL >A09p011290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5663554:5665430:-1 gene:A09p011290.1_BraROA transcript:A09p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKTLEMEEGRKRPGVLVVGSSGVGKRTLLSRLISIDFEDSSSQTEVHDWTINTKYYSADVSVWICDDYSLPQPQAHSHPLVALVMVFDLNQMSTLVALQDWASHADISSFDILLCIGNKVDLVPHHPAHAEYRRRLSKASTNLYSDIDDEFGISQSEGSSLLGSDDTSSLDIRGTCLEWCRDNNIEFIEACASNPDFDKCLSVDGDSQGVDRLFGALSAHMWPGMILKSGDKINEPVLPPHGEELSEEESEYELEYEVLSSGSADPWENIDERWVSADAGGSTSREVEVNPKKVVDDDVIELGSSGTQSETVVTTTDEKPLGDTEDNNKVYELEDVEQLMSEIGNIRDNLRLMPDFQRREIAANLAMKMASMFGGGGDDSDNEEESE >A02p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1598239:1604512:1 gene:A02p003830.1_BraROA transcript:A02p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPVEKRSRRGLLGAVFGKRGLWSKKCTADKGNHKSTTSTSIASTECTANIQFTKSPATELNPNKLQDHKVPPEPIQKPISKPSSNQNPNNHQLGNNGSHQHINNQWPVQQQAKKVPRESIGLSGELESMINDNQKAKGIRASSSNVMFGNLGNLKQPGTTSVGNQTTVQNKDGNNTGGSYGVRNTMKEERQTAVSTNQDQPGSLCRAISTRMDPETLKIMGNEDYRNGNFAEALALYDAAIAIDPKKAAYRSNKSAALTALGRIIEAVFECREAIRMEPHYHRAHHRLSNLYLRLGEVDNSIYHVKHSGPEADQEDILKAKTVQTHLNRCTEAKRLRDWRTLIKETENTIASGADAAPQVYALQAEAFLKSYRHQEADDALSRCPVFDVEMSTKYYGPIGYAGFLVVWAQVHMSSGRFGEAVEAIQRANKLDRNNREVSMVLRRVQAVTAARSKGNDFFKAGRFQEASTAYGEGLDHDSRNSVLLCNRAACLSKMGQYHRAVEDSTNALTVRPAYTKARLRRADCNAKLGNWEAAIGDYEILRKETPEDEEVLRALSESKMQLVKRRFLRSHSAAQSLQLRRLILSPISPAVTQFGNFSASPSPKSAQMSTVFSEEILIDKLAKLNSTQQSIETLSHWCIFNRVKAELIVTTWEKQFHSTEMAQKVPLLYLANDILQNSKRQGNEFVQEFWNVLPKAVKDIVSQGDDRDKGVVSRLVKIWEDRKVFGSRSKNLRDVMLGEDCPLPLDVSKKRPRGSKSSKRDSKSSRTKVSSGGVAEKIASAYHLVVAENSNEEAEMSKCKSAVKRIRKMEKDVEEACSTGSRTSLVNQLKDALREQVAQEQTEEAQKMQKRLKDEDYVSKPPTIAPGSVSATETTDNNNSTKSGQASKMTPASIAAMLTASTSSHMIMQSVLSSFAAEATKTSGLSKPESTVPVSDSNAFAPPYNNPQNQTPITQGQGQYHLISNPAAPQQFLKQPVMNNPYGFGNIPLMPPGLPPPPPPPHMQQPQITQSNSAQQQQPQQGSTFQPPGIMYYGAPHHS >A03p032360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13682341:13683251:-1 gene:A03p032360.1_BraROA transcript:A03p032360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSVELKNGTIVHGTITVPLTSTMVAPSQALENMEKSLELYSQVVITGTLTSIKKDPLVSQSSTLLAVRIPELVENSTVSDEPTKPFEKRV >A10p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12315861:12320096:-1 gene:A10p012460.1_BraROA transcript:A10p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRTPPPKKARSEAGGSSTPTGDQLVIYEDSPLPAPLQTASHDHSDQHLCTYQCRQMVKSDVLDALSKAEKQAQGYQTMLQTLNQNFTQADEERKQFRDKFLYSEQELAAAKGREKVLQEQLLMEMNNSQERYTKALQSCHDLEVKLQSEMNLRTKAESSVATAEEKAKLLEEKLSQLSGSVERERNSLNNDIAHLGKEAKLSVSRIGADLERMQCRAQSAETESDLLRSQLEDLKQKFDECLHEKTEVYKKLSSFTSEAASPSDNNVLFKTLQEELKRCEAEVREARKLKSQQLDAELLKVKLLEEKSRRERAESELSKLPELQISFDKLENELCSWKSLLNNIPGVSCPDDVVMKFSALQKEVLDSTMKIGEASTRFNQLEAALDATQLGKQNAETEAALAKEKFEALKSDVKRIEAMLALVTEEKEQLKAVVSERRKSNSDGSVSATTDGTLAQSFESSLAKKENYLKELEKELSQLKDVNNRQRDEIEHLNDKLVSEARRMKSLERDSDRLRSEISLLESKLGHGDFSAANTRVLRMVNTLGVENEAKQTIEALQAELQKTKERLQAVEELKSQSGDAGKLVDSHITGKIAQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTRFTLQSIYAQSDDEKLEFDYESGNTSILDNQYTSQGEIAKQIEIFIRKFNSIPAFTANLTMESFNRRTLY >A01p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8199523:8200777:1 gene:A01p016570.1_BraROA transcript:A01p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFDDDEPTQTYESVALIIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPSWNADHPIDYIQCDVSDPDDVRSKLSPLTDVTHVFYVTWTNRSTERENCEANGTMLRNVLRAVVPHAPNLRHVCLQTGTKHYIGPFKNLETTKYHDPPFTEDMPRLGVENFYYALEDVLFEEIKKKESVTWSVHRPNTIFGFSPYSLMNIVGTLCVYAAICKHEGSKLIFPGSKKAWEGFSTASDADLIAEQQIWAAVDPYAKNEAFNCNNDDVFKWKHLWKVLAEQFGIEEYGFEEGRNVGGLVEMMKGKESVWEEMVKENGLAEKKLDEVGVWWFVDVILGVEGMIDSMNKSKEHGFLGFRNSNNSFISWIDKYKAFKIVP >A09p008940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4589165:4590918:1 gene:A09p008940.1_BraROA transcript:A09p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTRGTTLFVFFRFSAFLFALFLAPVPTSSHRPKLRFGLNGEFKILQVADMHFANGATTRCLDVLPPQKAHCSDLNTTVFMSRVIAAEKPDLIVFTGDNIFGFDVKDPVKSMNAAFAPAIASKIPWVAILGNHDQESTLTRQELMKHIVKLPNTLSQVNAPEAAHYIDGFGNYNLQIRGAAESSLQNKSVLNLYFLDSGDYSSVPYMEGYDWVKTSQQFWFERTSKRLQRVYNAEPNPQQGTAPGLAYFHIPLPEFWSFDSKNATKGVRQEGTYSASTNSGFFTTLVTRGDVKSVFVGHDHVNDFCGKLKGLNLCYGGGFGYHAYGKAGWERRARVVVAELNKKKGDVKAIRTWKRLDDQHLSVIDAQVLWTSSVNGSVASRL >A03p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1738827:1744386:1 gene:A03p004030.1_BraROA transcript:A03p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPTSELTFLILQFLDEEKHKETVHRLESESGCYFNMRYFEELVTQGKWDEMEKYLFGFTMIEDNQQSMKIFFEIRKHKYLEALDKRDHAKAVDILRKDLRFYAPFSEDLFKEMALLLTLDDFRENPKLSMYKKDTESERGVLFRDLEKLIKDNPLFRNKLEFPTIKTSRLRTLINHSLNWQHKLCKNPKPKPDMETLFVDHICNQPIAASPAISHPNIAAEVLSPHGPAPSATIPAAPGAPVRRRRSASLSAGSAIVMGPQTPSGHMENQTADSNNPLKRPRPCETSQEVGNILPVSYSGEPHTLSPDDLPKVVATTLPQGSPVTSMEFHPVQQILLLVGTIGGDVFLWDVGARKKISEKCFDIWKLDACSKELQESLNTDKTASVNHVAWSPDGTLFGVAYSKNIVHIYSFCRDNAVISHLEIEAHRGSVNHLAFSYPNEQLYVVTCGDDRLIKVWDAATGATRFTFEGHEAPVFSVCPHQKENIQFVFSAATDGKVRTWLYDELGARGTYDAPGHSLIRMAYSSDGTRLFSCGTNKEGESFLVEWDESEGSIQRTYDGLGQRAAGIVQFDTTKNRFLAAGAESTIKIWDMNNTNILTNIHADSGLPASPCVRFNREGILLAVSTSDNGVKILATDDGFRLLRTAENRSLAFKVPGGGGFGSSSANAGITMANQSTSFSATKKNEVRTLADGKPRTSNFSSEVSTSWKVTEITEPSQCYSLRLPDNVTVKKVSRLIYTNSGSGVLALASNAEHKLWKWRNSDLNLDGKATDNAAHPVLWKPKSGIMMINETSDKTPEEAIPCLALSNNDAYLVSASGGEISLFNMATFKCMATYMPPPPAATFLAFHPSENNIIGIGFEDSSIQIYNLRTSEVRAILNGHTKRITGLAFSLALNILVSSGADSQVCVWGMAGWEKRSSMYLKASKGRSMPAVSDTRVQFHQNEIHLLVVNETQIAIYDAQKLDCLKLWFRCEATIPITSGTYSGDSKSIFVGFEDGTVNVLTSNLRLRCRINPTAYLPSNPSSNVHPPLVIAAHPTESNQFAVGLSNGHVYVVEPSESEGRWGTSPPGST >A05p032850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17713404:17715819:-1 gene:A05p032850.1_BraROA transcript:A05p032850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGLDGDLQPLIVEQAFIVIPCFSDFTVGAFDVLDGFVRISKMLKSLKGFSFLTLGQGLWTISGGGGALELLGRSKRLSLSVDPTQKSPNSLVLEGGEYSKLLDNCWGNKYSGIEFLQIPGKTPSRRHPSLALEGEEYSKLCDNGGGCAERATRSYSKKSRAPKSLKEKLDEHSKQLEQSAKKLSQLESKNLNLRGENQALNTASNKKRRFRTRIRPMPTLETPNSRTCTTIPPTTSQGDAETREKAKGSQTYDVEDSESELEHDKEAPEGAAKTESPMVAYLSRCSPRGSTPCSSWLKGSEG >A02g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23785769:23787115:-1 gene:A02g508810.1_BraROA transcript:A02g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWKQEEGTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHEGSEETDNYYERNRHSSDSRHSS >A01p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2104893:2107324:1 gene:A01p004860.1_BraROA transcript:A01p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLASGDIETARLRRNLGVTRNLGFSCGGFEDLGMRLEGDNMKPQGEGDEEEDEETASFEIQQHPFQQTQKLVVGYALTSKKKKSFLQPKLELMARRKGICFVAIDLNRPLSEQGPFDVVLHKLLGKEWQEVIEDYQQTHPEVTVLDPPSSIQRIYNRQSMLQGMADLKLSDCSGSMFVPKQMVVLKDSASSADKVVEAGLKFPLVAKPLWIDGTAKSHQLFLAYDRRSLAELDPPLVLQEFVNHGGVMFKVFVVGDIIKVVRRFSLPNISNCDKAKVEGAFKFPRVSSSAASADNADLDPSVAELPPKSFLEALVKELRTLLGLRLFNIDMIREHGSKNVFYVIDVNYFPGKFCYGKMPDYEQVFVDFFQNLAQARHKKRHCK >A07g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6735331:6735605:-1 gene:A07g503430.1_BraROA transcript:A07g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERVKRKLDEGGVAETTTSTGTERVALWWWYGGGAYASAHRRVKTKLNGGASDDDDEIWILI >A02p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9579956:9581206:1 gene:A02p020460.1_BraROA transcript:A02p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYEVVADESCGGRLYIPEIPRRDDVDILKLRTRCGNEIVAVYVKHSKANCTILYSHGNAADVGQMFELFVELSNRLRVNLMGYDYSGYGQSTGQASESNTYADIEASYKCLKEKYGVKDDQLILYGQSVGSGPTVDLASRTPNLRGVVLQCPILSGMRVLYPVKCTYWFDIYKNIDKIGSVACPVLVIHGTADEIVDYSHGRRLWELSKEKYEPLWISGGGHCDLELYPDFIKHLKKFVASLANKQAAKAAI >A06p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20321878:20323679:1 gene:A06p037470.1_BraROA transcript:A06p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLTGSGTALGFSCSSKISKRVSSSPSTRCSIKMSVSVDEKKKSFTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPVLIDSVKGSKMWDIDGNVYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENYLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTNKEKFIKFEGCYHGHANAFLVKAGSGVATLGLPDSPGVPKAATSDTLTAPYNDIEAVAKLFEAHKGEISAVILEPVVGNSGFITPTPEFINGLRQLTKDNGALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKQPGTYEYLDKITKELTNGILEAGKKTGHPMCGGYISGMFGFFFAEGPVYNFADAKKSDTEKFGKFFRGMLEEGVYFAPSQFEAGFTSLAHTSEDIQFTISAAERVLGRI >A04p019060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11401770:11403845:-1 gene:A04p019060.1_BraROA transcript:A04p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSANFFKLDSPESDTDEERTTTSKVAVQSQGTLAEPNSMKKKASVEKPDSDDGGKKSKKKHKKVSGGDEEIGDTEKALATTDDDDGKRDKGKRKRVDDLGEKTIADSENSSKKKSKEKIQREVPEVEVNNNDCTKDAYQIQTEDPEASFKKSTKDPRKKKQIDDPEVEGGNKDSTEDGEKAQTEDPEADLNKSTKDSKKKRKKKQTDDLEVEEDNKDSTEDAGKRETENSVADLNKSTKVPKKKSKKKQSDDPEVEEDNKDSTVDAEKTQTENSEAGLKKSSKKKKKKKQNEAPEVDGNHKDSTEDADKTQTEAEENDLNSPEIAKKKSKKKKKKQSEDPEAEVNKEKRKKKQKGSKSGEVLTTPSPKSAKKVNFSDQVEVFPAESEDSDEEEEEEEEEKVDLVRGKRFTEEEDELIKKSVLEYVDNHALGDEGINMVMNCKAHKQVRGCWKEIATALPWRPYTGVYYRAHTLFEEGSKGVWTKEDLELVVQHQKKRGNDWKVLADAMGKHRNHVKDAWRRIRLASKKRGHWSMKEYQSLFDLVNKDLRIKVFKEKHSKHGMLRDNIPWMAISDELGTRDHAVCCMKWYDQLTSPMVAKGIWANVDDYRLLDELTNLDAACVDDVDWDNLLDNRDGDVCRSRWNQMANHIGIPGSKTFAEQVEILSQRYCPDIAEDREDFDNRPFDPED >A06p003700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4553269:4559501:1 gene:A06p003700.1_BraROA transcript:A06p003700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYSSSSLSRISTLQNHISPLEANNKLRSLVKISPQVSDAISNGRAVVALESTIISHGMPYPQNLQTAKEVESIVRENGAVPATIAILNGVPCIGLNEEELERLASLGKSVQKTAGRDIPHVVATRANGATTVSATLFFASMVGIQVFVTGGIGGVHRHADHTMDISSDLTALGRTPIAVISAGVKSILDIPKTLEYLETQEVYVAAYKSDEFPAFFTEKSGCKAPSRVDSPEDCARVIGKNANMKLNRKAGILFAVPIPKQHSAAGNLIESATQRALTEAREQNVTGNAETPFLLARVNELTGGTSLAANCSSSFSADVTFLINLKLNLRLSFLTTMAAITVDFQLCFIFIFLWLLTRFCLSAFFFKKPKDYDLPPSPPSLPVIGHLHHLLSVPTHKSFHKVSSKYGPLLHLCAFNIPIVLVSSGSMAHEVLRTNGLNFATRDREVPIMEKSLLFGSFGFVSAPYGDYWKFMKKLLVTKLLGSHSLERTRLIRGEELKTFRAMLFDKAARNEAVDVGEEMMKLTNNTICRMIMGRKCSEEDGEAEQIRSLVTKSLGLVRKFLIASTVGRLLKKVGISLFEKEIMEVSERYDELLEKIIKEHEENPNQKEDRDMVDVLLDVCADDNAEFKISRNQIKALFVELLLGGTDTSAQTTQWIMAELINHPEILKTLREEIESVVGKTRFVQETDLSNLPYLQAVVKEGLRLHPHSPILVRTAAEGCKIGEFYIPQNTTMIINSYAVMRDPDSWEEPDEFQPERFMVSPSKGKEEMREQLALNYLPFGSGRRGCPGTNLGYIFIGVAVGTMVQCFDWSVNGNKVNMEETGDMTLRMAHPLKCTLVARIDPLASFEPVDASEEGSTANRKHMSSCTLSLLGGT >A06p012510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5718400:5720990:-1 gene:A06p012510.1_BraROA transcript:A06p012510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTHLLMFVGLVSLEATASFSPGSRSILRDIGNAIADDQKDNAFELNATNFDSVFRDTPAKYAVLEFFAHWCPACRNYKPHYEKVARLFNGPDAVHPGLVLMARVDCAVKMNVKLCDKFSITHYPVLFWAPPRKFVGGSWGPKQDKSEIILMDDWRTSDLLLSWINKQIGSSYGLDDQKFGNDHLLPNMSDHEQISQALYDIEEATEEAFDIILSHKAIKSSETSTSFVRFLQLLVPHHPSRRCRKGSAEILLNFDELCPSGECSYGHDSAVKNNTLRSFHICGKDLPRGYYMFCRGSKNETRGFSCGLWIMMHSLSVRIEDGESQFAFTAICDFINNFFMCDECRQHFHDMCLSVKTPFKKSRDIVLWLWSAHNKVNERLKKDEASLGTGDPKFPKMIWPPKQLCPPCYLSSTDWDHDEVYKFLKKYYGEKLVSSHKKNGDGGSSKEEVVVAAAAEEMAVPTNALVVPVGAALAIALASCAFGALACYWRTQQKNRKYYHNPHYLKRYNSNFMVMNTFSNNESEREKER >A03g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2906941:2911366:1 gene:A03g500950.1_BraROA transcript:A03g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIFRVSSFCSGSILSVSLFSLSLSLSIRRRRFAGTEESSVHIDRSFNLANESAGPRSLGLGFHRLFLLSLSTGTSAVVVYSRPSRLSSFSGWKPSPPPSPTGENPFVKRGCKGMIEIMKGNGIMLRIDLPGSRLRIDLPGNDLEFTKEGGRLVLTATEDNDVDFTPRTYRIEVVYDPAHKKVNVIGGGSTNGVMWIHFNI >A03p057660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25009769:25012588:-1 gene:A03p057660.1_BraROA transcript:A03p057660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSLISILCFVLVTFGVDSVSAQCMNRGYFTPNSTYDVNRRLIRSSLPSNVTAHEGFFFSGSIGQEPNRVYTIGMCLPGSTSEDCSACIKTGSDGLIEGCANQTEAYSWPGEPILCLVRYSNTSFLGSADLAPSSFQVNTRDVYSNPTEFRSIWEGLSGRMIDAASTAKSTTSSSNNHYIADVANLTSFKSVGNYNSCCGQRQGVLVMRPSCLFRWELYPFFKAFDNITLASPPPPPVAALPPAVDQASTIHNDIKGISVGIVAAITIPNVAVLILLVLHIHHNTILRKIDAATNRFSSSNKLGEGGFGEVHKGKLPNGTEVAVKRLSKTSGQGIREFKNEAVLVSKLQHRNLVRLLGFCVEGEEKILIYEHWESKSITRRYKINEGIARGIFYLHQNSQLTIIHRDLKPINVLLDANMNPKFQILVCQPSLEQSKFEEIPTKLLGPLLICHLRSDVYSFGVLVLEIISRGVLGQSAMDESSTAGNLVTNSWWIRLLEGIIRKMNKVTRCIHIALLCGQDQPEDCPMLSTIILMLTSNTITLPKPRLPSYFQRVWHKRDHASEGFESSQPTGRSVGCSVNNVTITELKPP >A08p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16248889:16250439:-1 gene:A08p025110.1_BraROA transcript:A08p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 9 [Source:Projected from Arabidopsis thaliana (AT4G38170) UniProtKB/Swiss-Prot;Acc:Q9SZL7] MSLEHVLNYLKRKHLENPSFSYALEDEDNVGNVFWADPTCRLNYTHFGDTLVFDTTYLRNSQVPFAAFTGFNHHGHPLLFGCALILNQSQSSFAWLFHSWLQAMSSPPPPPPSITLEPDPVIHLAASQVFPQARLRFSLPLIMEKLAHVFGSHPGFQSEFLSCVRETETVAEFEGAWDSVVRRYCLEEDHWLQSIYNVRQQWVPVFIKDTFFGELSSENKNSFFHGFVDGSTTMEMVMIQCEEAEAKSSSEFSNSGCQESLTVCYDNLRQEATKYVEEGAKSIQVYKAAVVALDEAAKKVAAASSKTRGGATNGDSYQSDETQETANGMYHPLQCQGEKERTILELTAELERTGQRCEAYRANLLSILRDMEEHKFQLSLKVQNARLSLKE >A01p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3719748:3723111:1 gene:A01p007570.1_BraROA transcript:A01p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTFSRIAAGNDGGSFKPMVSAATCSGSLYVLMADLAEGAEIEEVNAVEGEGFHVWIGGKDQESLRYRRVFDGNGLLGYRAVKWASSSEFVTGGYGFGLQLWDQRKGGEAVSQLKGNWFQGKTFAVVHSIDIHPSRKHTCIAGGSSGTVFAWDLRWPKQPIVLSGVWEVQYDSDTKSNISSSSRILPVMTCSEDGILGVIEQGEEPIELLAEPCAINSFDIDRQNPQPRVGVNSNLLKALAYRPVQGTICFWFSSEPQQRNGGWETSLNVFIVSLQQVVNNKDMLERSHSLRIPGAETLDLRIALYEHKEVIERLQDELNAEREASSTSASEALSMILRLQGEKAELAREAAQYKRIAQEEMSHAEMWFAHLEDFIHQKENVITALEYQVEAYRSQLLSLGYSDLNSLDVKLQENDVRSQIPFPELVNDLSIPVEKEVIEESVDTQKSYFDVYWDHIKKLDEKVKELKTEDGLMMKVAKQTKMKKKSTKQTRDRSGKRDRAEYQSEMQRLRERVEQLEKEKTKTEPETSGVILMEMKEEVRSVQSSEEKRSNTMENLQPWIDPAIVSVQEAMLSFWL >A10g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22278250:22280518:1 gene:A10g507270.1_BraROA transcript:A10g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSALTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIARNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIQDEKIGEKLPAADKKKIEESIEQAIQWLENNQLGEADEFEDKMKELESICNPIIAKMYQGAGGEAAGMDDDAPPASGGAGPKIEEVD >A10p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2414259:2416871:-1 gene:A10p017510.1_BraROA transcript:A10p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGESFGLIVGISLGLVIGVVLALSALCCFMFHRKRSQIANSASRRTASLPIRDNGADSCTIMSDSTLAPDSPVKSSTNARSLWLDGFAKKSNVVSASGILEYSYRDLQKATCNFTTLIGQGAFGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSEKHKPLSWDLRIYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVNKHAANVRGTFGYLDPEYISTRTFTKKSDVYGFGVLLFELIAARNPQQGLMEYVELAAMNAEEKVGWEEIVDSRLDGRFDLQEVNEVAALAYKCISRAPRKRPNMRDVVQVLARVVKVRHSRKRQKMSPSPSPCLPTVESSGEQTGNRSVRSENYRRDNSMESGISPAIILIHVLSPSRTDRRKKYKEVLGYFAGREREQS >A05p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6421899:6423462:-1 gene:A05p014670.1_BraROA transcript:A05p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLEDNIKYKKRNNSHFMLVDGMSTLLTESAKNCESMDFHAFGLKWKFNIRLDLVKDYLSAYLTIADEKCTGSNWGVTCCFNLSVISQIGEFDICTASVFSFDSNHVSWGVSSLISQDMLKQKFIVNDKAVFCAEITGVVPLFLNVIINTFSPTMGTAERVKLMKVPRNNSRFTWKITQFSSFSGESHSSYEFTCGPRRWYLEMYPKGYLEGKGNSLSLFLHASDFVSKAPVEATSAIYKLRVLDQHKRNHHEINTAHRFTSNTRWGFNKFLELEELHKASNGFLVNDAIYIGVEFLSMATREYL >A07p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7452170:7457769:1 gene:A07p013480.1_BraROA transcript:A07p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIIVTGDSASVSFCFSASCCGFFPKLLGTGVVALRCSVSFSYERWCFVTVSGSGWVFDDEQTLVGLSAFLLAWWLFSGGGFSTGLTALYGLSGSTGVHGPGLDSWRQQSLVLFLEQRHRRLCDPPRAAGFEPFGSRLQQGMRAPFSLISRGNWMFILFTPSPLVLHCFINVYGMADPASYVYHERDIDQALIVLKKGTQLVKYSRKGKPKFRAFRLSPDEKTLIWFSHGEEKGLKLSEVSRVVPGQRTPVFKRFLRPEKDHLSFSLLFNNRERSLDLICKDKAETEIWFAGLKYLIERSRNRRARSEIPEINDSDYFSTGRQSIDVFPNNIPRGRTSIDLGSDVGYERGNMLRPSTDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEVWSDGILPDGTVTKETVKTDVLTPRPLESNVVLDVHQIVCGVRHVALVTRQGEVFTWGEEAGGRLGHGIQVDISRPKLVEFLALTNIDFVACGEYHTCVVSTSGDLFSWGDGIHNVGLLGHGSDISHWIPKRVSGPLEGLQVLSVACGTWHSALATANGKLFTFGDGAFGVLGHGNRESVSCPKEVQSLNGLKTVKVACNIWHTVAIVEVMGQTGTSMSSRKLFTWGDGDKNRLGHGNKETYLLPTCVSSLIDYNFHQIACGHTFTVALTTSGHVFTMGGTSHGQLGNSISDGKLPCLVQDRLVGEFVEEIACGDHHVAVLTSRSEVFTWGKGANGRLGHGDTDDRRTPTLVEALRDRHVKSLSCGSNFTSSICIHKWVSGADQSICSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYSKLKAAESGYISNGNRTNVATPGRSMDGSVRIDKGTTRSSKVLLTGNNTESGKTSRLGVRPDASSVRASQVPSLQQLKDIAFPTSLTAIQNALKPVAPAAVPARLLAGPMASPPPARSSSPLPVRSSSPYARRSSPPRTSGFSRSVIDSLKKTNEVMNQEMTKLQSQVKNLKQKCNNQGTEIQRFQKAAKEAFELAAKQSSKHKSATEALKSVAEQLKGLKEKLPPEVSESEAFDSINSQAEAYLNANEVTETSLLTTSILDQQETSPTGNTQDQKIDEQVSSNSSISDTSNSSKPVPTESSSSSSSSKTGGKESKEQFEPGVYVTFAVDVNGNKIFRRVRFSKKRFDEHQAEDWWTKNKDRLLKWYSPNSSSSPVASDSSIAPPPPSEPPSDPSVSEKDNEAEAD >A10p016930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2710558:2714116:1 gene:A10p016930.1_BraROA transcript:A10p016930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIHSRSVATTALRSISYLRQSSALFHSLPGATATTTPIVNPHLASYGVENPIYAVMQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAAKDWGLECLRYEIRDIMPPNGVRVAMEMQAEAERRKRAQILESEGERQAHINIADGKKSSVILESEAAKINQVNRASGEAEAIIARAQATARGLTMLSQSLNETGGVEAASMRIAEQYIQAFGNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVPNGGIQERSEKEFICWLHSSSHCNLYSFQTDSFFFNTMGGCASKPKESDILETSATTENVVVESKNVESEAVSQEKADEVVAEKTDESTVDGAETQKEAEPAKPAETEVAKPVEAEAAKPAEAEPAAEDVKTEETKEAAVEAVEEKASGETEAPKQEEAAPANDTKTTEQPLVTL >A03g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12618748:12619677:1 gene:A03g503680.1_BraROA transcript:A03g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWMLITARRGQHDLDADYRHKRTALPPNSLFNSSILDNFSPRLELLVSDAVKRQMTKDDEKHQKMMTWYWSVRFQPDVTISWRDYFIRGRRATCAAYRW >A09p067500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53237155:53238437:1 gene:A09p067500.1_BraROA transcript:A09p067500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSMQMVHTSQIGVKSQLVSANRTSQSVCVGARSSGSALSSRLHYAASFPLKKQFSGAYATIKNQRTACVKSMAAEEEEVIEPQAKVTNKVFFDVEIGGEVAGRIEMGLFGDVVPKTVENFRVLCTGEKKYGYKGSSFHRIIKDFMIQGGDFTEGNGTGGISIYGAKFEDENFTLKHTGPGILSMANAGPNTNGSQFFICTVKTPWLDGKHVVFGQVIKGMKLVRTLESQETRAFDVPKKGCRIYACGELPLDA >A06p056260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29302164:29304176:-1 gene:A06p056260.1_BraROA transcript:A06p056260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTVSPLVVNTTPRDHYMAADFADFTTEGLPDFTAEEGSLDVLEGIDFYDDLFIEFNGDDVLPDLEIDSDVLGEYSGSGRDEEQEMEGNTSAASETSERDGGWCKPEGTDKTVRKGKRKGKKSKDCLSNDNGIKKKPKVDWTPELHRKFVEAVERLGVDKAVPSRILEIMNVKSLNRHNVASHLQKYRSHRKHLLAREAEAASWNLRRHTTVAVPEGGEKLSMALPALGYPPHVTPLHQGHFRPLHVWGHPTWPKHKPNNPSSSPLRTFPIPPAVAVAPSCWPGQLPYWHLQTLYPQGYGMASSNHSSIGVPTRQLGPTNPPIDIHPSNEIIDAALGDVITKPWLPLPLGLNPPSVDGVMTELQRQGISNVPPLP >A05p023150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11200589:11205091:1 gene:A05p023150.1_BraROA transcript:A05p023150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAVVKPLPSLFRLPPLSSSSLSLYLRVSPSPQSPRLLFSRRSYSRHAFLGDHHLSCSMPNKPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEGFQNFWNQCPWEDDLKYAKHVCEQVDVPLEVVHLTDEYWERVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAISGMEYDYIASGHYAKVVHPPADQTDSSSVLELSQDMVKDQTYFLSHLSQTQLKRLLFPLGCVKKEEVRKFATEFDLPNKDRKDSQGICFLGKIKFSDFVGRHIGEMEGIILEAETGDFLGNHRGFWFYTIGQRQGLRLPGGPWYVVEKDTKNNVVFVSRNYYSMDKRRRVFRVGSLRWLSGKPSGNVNQLRCKVRHGPGFYSCRFEMEGDDAVVHLDEDDQGLAAGQFAAFYEGTTCIGSGVILESWDDQCFPVCAKALELAAFEDKTKLGKPVKIKTMPVTKSAEAEPGETSAEANDMAIPAALVFVPVGVLFLVSGLIVNLIQLVFFIIVRPFSKSLYRRINKNVVELLWLQLIWLIDWWACIKVNIYADAETLQLLGKEHALVLSNHRSDIDWLIGWVMAQRAGCLGSSLAIMKKEAKYLPIIGWSMWFSDYIFLERSWDKDEKTLTAGFKRFEDFPMTFWLALFVEGTRFTQEKLEAAQEYASIRSLPSPRNVLIPRTKGFVSAVSHIRSFVLAVYDCTLTVRNNQPKPTLLRMFSGQSSELNLQLRRHKMSDLPETDDGIAQWCQDLFITKDAQLETYFTKDVFSDLDVHQINRPIKPLIVVIVWVCLLMYGGFKLLQWLSMVASWEIICLFVVILVIATITMQVLIQSSESHRSTPAKRPLQEQLISA >A09g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28449116:28450830:-1 gene:A09g509790.1_BraROA transcript:A09g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNDYANIDYSFLTPDEFGIFRDPDGNACAIDGRILQVRPSTDPNNHVGVATTEINPDLSRQPTGQALIDGTTETSIDRVTPTSIDRDDPTSIDRRYEFRNRAFDMYGARKFTWEQKDEYGVYRDECGHARGVAGEMIHVTKDDIRKLLERASHFEESHVCLPEHATSFTLIRLAPELYTKDEINEMVFGICGAQEKLGEEPKTLQLEKEATTSASIDAPHVTSIDTSLPTAQIPTEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLRTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDTKVSAMNERLRTYEDMHDHFISPVMIDLNKLSSQLLHAQKDLENITNQSFLQAKSASIDRLRGPWIDGKKLVELLPYTAAEVDKITSKIYTALDTMEERLDKCCDDIYFPFDNKISGQPRRMATERSQIHSEATHSSTPDISIDRQDTSEIDRWQLAEIDQRTHNRIDRR >A06p018470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8959403:8962790:-1 gene:A06p018470.1_BraROA transcript:A06p018470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVADTMTKKKKKGRPSLLDLQKRAIKQQQLQQQQHHRNNHDDPRSGPQNPNSPNSGTRSKRRNPNPNGVSSSGSPWIKDDDEEDDDERREKKHRLLHGLNSHSHRHSPNSQSGGSDLNLDETPEASFNRRKIGGGGGGGSGFAGEKASKATDILQGSPVESGPTTPLPDKKLLVFILDRLQKKDTYGVYSDPVDPEELPDYHEIITNPMDFSTVRKKLASGAYDSLEQFEGDVFLICSNAMEYNSSDTVYYRQARAIHELAKKDFENLRQDSDDEEPQSQQEQQQQQQPKVARRGRPPKKQPEPSSIDRTASEISADALIPGGDSSNKFSGAYNLRKTPPSNKFRQAETSVRINHNSETQSGWSVDWENEFPPSVVKAVNKYGMKHFNVDDNRRDTYNHLSTSTQEPSVLTTLEDELKQLIPVGLNTEYGYARSLARYAANLGPVAWKIASKRIGTALPPGVKFGPGWVGDNPSGTEEDDSQKQTLLGKQKCSNDLASDDHSNRILSPAASVSSAFIGNRHSSSAQGIEETAPSRVLVSEFPSSSSSRQAGPLIKPESSNRGFSGFSHSPSPMIGVTSQQQPNSTTEAVPGSQQQGLLFPYNKQEFHRFPPDLNARIVSPNSPGANQQTSSSSSLHPDLALQL >A02g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2816689:2817814:1 gene:A02g500920.1_BraROA transcript:A02g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPDPLSTTIHLAIGFVAHLSPITATSLGISPYISLISPQSLFSFDIIGFSVDSFEEERCYHLLLLIKLDRLYLSQNPWGWGKFCRDNRCDIEDLFVFNLVGDGKTTPLLCVCPESKKCSELLSKHLSRKRGDIASSSQFRLKFLCFSTLYSFQFRLKYL >A08p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22769466:22770364:-1 gene:A08p039640.1_BraROA transcript:A08p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U26 [Source:Projected from Arabidopsis thaliana (AT1G17190) UniProtKB/Swiss-Prot;Acc:Q9SHH8] MADEVILLDYWPSMFGMRTKMALAEKGVSYEYIETDPWIKTPLLIEMNPIHKKIPVLIHKGKPICESLIQLEYIDEVWSGTYPMLPSDPYQKAQARFWADFIDKKFYDPSWKVWGTNGEEQVAAKKELLEHFKALETELGDKTYYGGEVFGFLDIALMGYYSWFKAMEKFGEFSIETEFPKLTEWTKRCLERESVVKALTDSDKILEYAYVLRKKFGAE >A01g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20324114:20324879:-1 gene:A01g506940.1_BraROA transcript:A01g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEYLNFSTASISMSHPHTATDTSHRHHFSPELQDYRASARPSVFFAGAPRLQSLRKTIGLLRRLVFPSESSITWGSKTAARSFVSLHSPYNGVGGTEKASTLSRNRTGDDGSRVASISRVNGLNMQAAPIPPITFKRPRRYSKAEPPMMEILGVRAPTKQPLTEL >A01g510450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28677835:28679498:1 gene:A01g510450.1_BraROA transcript:A01g510450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFTVDGYFSKWKDVAGIAGNIFAFGLFVSPMPTFRRIMRNKSTEQFSGLPYIYALLNCLICLWYGSPYVSQRNFMLVTVNGVGATFQLCYIILFILHTDKKTKNLVIQTKSVEFMPFYLSLSTFLMSASFFMFGLFNSDAFVYTPNGIGTVLGIVQLSLYCYYHRNSIEGETKEPLIVSYV >A03p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3759465:3761752:1 gene:A03p009390.1_BraROA transcript:A03p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVIGNPQAKICRAELSFRELGFRFGSGESRNKVSFLAHQGSKWKEIRIRCSPRSVKCEAVVSDQAPFLKPTSNSGSLESVKLFVGLPLDTVSDCNNVNHMKAITAGLKALKLLGVEGVELPVFWGVVEREAAGKYDWSGYLAVAEIVKKVGLKLQVSLSFHGSKDPEIGLPDWVAKIGEAEPGMYFTDRYGKQYKDCLSFGVDDVPVLDGKTPLEVYGGFCESFKSAFSDYMGNTITGITLGMGPDGELRYPSHQHEANLSGAGEFQCYDKHMLSALKHYAESSGNPLWGLGGPHDAPAYDQQPHSTSFFSDGGSWESQYGDFFLTWYSSLLTSHADRVLSVASSAFSGSGVSVSGKLPLLHQWSKLRSQPSELTAGFYSSNGHDRYEAIAEVFAKNSCRMIVPGMDLSDEHQSPASLSSPEFLLGSIKASCKRQGVVVSGQNLSASVPGGFERIVENLKDESVGIDLFTYQRMGALFFSPEHFHAFTVFVRNMNQVELSSYDQAVEAEAQTVSIGSGAGAHSLQTA >A06p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7849838:7852752:1 gene:A06p017510.1_BraROA transcript:A06p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSIVLFNTFFKKSFRIPFFILLCKPYSSYVVISCIHSRKSPKKGSLVVRLCLDTRRDRQQNSRFVTNWIIMRASKYPRREEDRMCDFRRNYGSVGATARLEQRWMDAIDGCSLMAPELARGNLEPDQGSATKKPLSFSSAFLVCGRRENDCLTPCHESFSIFLERTCTVASDTTGHTRVIHVPSFPLSRRHCLFHRTFQYNNKKTSNVIKNRNRNTVGELGEKALFSMYWNGDNRCVRDQKLLPYSRRLYILT >A05p013970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6104774:6107500:1 gene:A05p013970.1_BraROA transcript:A05p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAVNPCYVSLCLKEYYSYSKTTMSHSRLCLLSALLLCCVFETSFLTIDALLVTGFSACRPDQIEALVQFKEEFDSRGCNHNDYVSGVRCNNVTGAVKKLHLPSGCLSGTLKANSSLFRLQHLRYLNLSNNDFTSSPLPSGFGNLNRLEVLFLSSNGFVGQVPSSFSKLSQLSLLDLSWNELTGDFPQPLGNRSKLTRLSLSDNEFSGALDPNNSLFGLHNLRGLSLAYNYFTTSSSSFFSGFEKLNRLEILFLAFNDFHGQITSSISNLTQLSILGLDDNRLTGSILPLQSLTNLSVLGLVDNNFTGPLPSYILTMPFMSYLDLRGNHFTGPIEVSNSSLSSSRLEHLLLGYNQFEGQILDTVSKLTTLIDIDLSFLNISYPIDFRVFSSSLKSLLKLDLSGSAEVLVSSSVNILDLSLNCFEGAMPILSLSIKILGARYNHFTGNIPLSICSRSSSLEVLDLAYNNVTGAIPQCLSNLRVVKLRKNNLEGSLPDMFSVGATLRTLDVGYNQLTGKLPRSLLNCSFIKFISVDNNRIEDTFPFWLKASRDLQVLTLSSNRFFGHIAPLDQGPLGFPQLRILEVSDNNFTGNLPANYFVNWKAPSLKTNIFGRMYMTDYENGDFADEDTIDLRYKGLHMEQQMALTSYTTIDFSGNKLEGQIPESVGLLKTLIALNLSNNAFTGHIPMSLSNVSKLESLDLSRNQLTGTIPNGLGSLSFLAFIDVSYNQLKGEIPQGTQFNGQAESSFEGNAGLCGLPLQESCFHPSVPPMQHPNQEEEEEEGVGLNWKVVAIGYAPGVLLGFVIGQVVASYKPEWLVKIIGTNILRTR >A02g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4170135:4170531:1 gene:A02g501270.1_BraROA transcript:A02g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A01p041080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21934969:21936315:-1 gene:A01p041080.1_BraROA transcript:A01p041080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLKSARVDYEKNLKPCNKKLVVHQSLICCNFKEEDEKFDKSVPEVNGGDYNEDGREVPSHKLMSRFVSYIPSMISFGVEPKVIVATSINPKFVGGRLLLNTTSGTHFYFDKDKRNLGVGDVICSTSSTKYEGVKKIEVVTVFELNAYVVNSTPHVTEFCAPEKCDLTSVGVVRYRVELCILDGTESAVFVVFYAKISWLTNVRAAEISELMGVGVGDCRGRGSTISAKYCWQNVHFPVGAN >A03p047590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22354591:22355474:1 gene:A03p047590.1_BraROA transcript:A03p047590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQQSSFAGQTALKPSNDLLRKVGVSGGGRVTMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGLIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWSYATNFVPRK >A07p043010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23275335:23277426:1 gene:A07p043010.1_BraROA transcript:A07p043010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHLSLISTITCSQRRTTTRASQDSVKTQKTLIATDSVSPFRLCPCGRRHFIGAMPLLPLAPSYAASSSTEDLKRLRPRKPDWYDELFAWSMDTSMEQYEKEISSYKMKLFNNLVGKAEKVLEIGVGTGPNFKYYSDIPNVSVLGVDPNAKMESYARKSAAQAGLKPEDFSFIHAVAEAIPLEDSSVDAVVGTLVLCSVADVTRTLNEIKKVLRPGGVYLFIEHVAGEDGSFLRLVQNVLDPLQQVVADGCHLTRCTGDYILEARFNGGADINKASLSSLAYLSSHVYGISRTLETIQKAKALHPEACVHVEESSQLDYVIASHLFSYCFVIKDVSETFHPQGTDWYKEPFAWFLSTGMRSYESEVSLLCLGCITL >A04p009130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7883116:7886852:-1 gene:A04p009130.1_BraROA transcript:A04p009130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAMNPRTFKASSGLSRLRALRMGGSDCLYSEIGGDACGFLLSLTTLCSYCIIWLQGEPSQDGSVPPNADSEMEVLDEKVSKQILKESHDSKPSKYLTMDMLGIEDTWQEQEPIEMVLGKEMFSSSTLLYSLSLFLSLSLLFFLSSSRNNLLFTADELDDLSLFHRAALSSSNTRRLISLSQTPPPKIAFLFLTNSDLTFLPLWETFFQGHEHLYNAYIHADPSSAISPLLSSSINAKFIPAKRTARASPSLISAERRLIARAILDDPNNLYFALVSQHCIPLHSFSYIHSHLSKSHRSFIEILSDEPFLPQRYNARGDDAMMPEIPYQDFRVGSQFFVLAKRHALMVIQERKLWRKFRLPCVDAESCYPEEHYFPTLLSLEDPEGCSRFTLTRVNWTGSVSGHPHTYRASEVSPQLIHRLRRSNSSLDYFFARKFSAESLQPLMEIADDVLGRSLLLALFEEEWQIK >A01p057570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32627021:32627893:1 gene:A01p057570.1_BraROA transcript:A01p057570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24-1 [Source:Projected from Arabidopsis thaliana (AT3G04920) UniProtKB/Swiss-Prot;Acc:Q9SS17] MAEKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDNVESAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAGDPKKK >A08p019230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13135001:13135216:1 gene:A08p019230.1_BraROA transcript:A08p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEDTLAEINLEDTQPQAQQSETQVGESTQAPRKRRKTSPVWEDFLSVGVEEDGKERAKCYALRYEVSDT >A03p063220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27458552:27459213:-1 gene:A03p063220.1_BraROA transcript:A03p063220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIPVNAEEAKHVVDKTQLEAIGASATKEVPVARPITVTAEEAKYVVDKTQLEAIASSSEIREALKDESLQKLITKIDSSSNPLKELDEAMGEEAFRMLKDKILSNLSKKK >A01p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13792641:13794037:-1 gene:A01p030670.1_BraROA transcript:A01p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDIETSISTNGERRGDLTPAARLQSVTNTLGRESARMNLSHSLTTSRTHTKPRRARHAYNEWLKFPPDLRLLRAPATMLRRNTVQRPGGPQPHQPEDTLPPFPPMPDMSTRPEGDFQHVVANALTAIWARVSRCRYSSRRSVRAS >A09g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22230645:22231321:1 gene:A09g507680.1_BraROA transcript:A09g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFWSAVFHTRDVDITKRLDYSSAIAILGFSLIVSILRTFDVRVEAARFMVSAPVLALVTTHVLYINLYKIDYASGLAMLLEIYDFSLYGRYFDAYSIWHLATVPLTILWWSFIRYDAEFRTSSLVKKSKTKAK >A06p013490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6111780:6115686:1 gene:A06p013490.1_BraROA transcript:A06p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVGDTELSEALLNAGKNLLKPPSSTKALLHLLNEAEGQLSKLVQDPIAAVQNALRPLMKALVSTHLLRNRDSDVRVYVVSCLTEIMRITAPEVPYNDDQMKEIFKVTVRAFGKLADTSCPSYKKAVTVLDTVSRVRLSLVMLDLECDDLILKMFRQFLKTIRPNHPESVLLSMEPIMVTVIHESEEVPMDLLEILLAAVNKESRDFSPVASWLAEKVLITCACKLQPCIIEALKSTGTSLEMYSPLVLAICQGEAEAHIVVKPKQAEGQLDFRLSNKGNMSKRIARCGTRAHGDGNDLKQVQSQSTDVETESGSIRRRGRKLNSLMNTEEGYPFKTSSSKKVQEKELGDSSLGKLTAKKASLPSEVGQTNQSVFSSLSPSSKARKGSRKRSRSKIEETNLDAGSLAALVSKKQIVKKDDPEEEDFMESDLEKPGDSIKTAAKSSKKERAQNGSAKASAKKPLAKSKDERAQNGSAKASAKKPLAESKRVEDSGRKPVHSESKDASMDSHILQSSKNKKKISRAITPPRKESEPTAKSHHKRKRTAGEEVESHNSELGEELVGKRLKVWWPLDKKFYEGAIKSYSSRQKKHVVSYSDGDVENLDLKKECWEMIQDNSSSSDQEKEIDLPDSTLLSDIIRMQKAVKRKNVSKNVELSSSSDVRSSKKNDPVTNSSKLKGVSKSREEQNLKSSKEPNAKTDRTKGRTEKRQRVTRSMHQGSEKDCDDKEEPVTKGEDRLKFGKESDAEPECKRDHQDLPDDSNDETKAGGEELKSTNKSNAKSETDGEEHKVAKEPAAKADGVERESVKEPNEEPNTEVQGRELAKEIPAGTTLIEKEDMSEESHRSVPETGKVENEDDQRVVNELKE >A05p013890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6051743:6053397:-1 gene:A05p013890.1_BraROA transcript:A05p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTPDALKDDPELEDSLNIHEHLPVLLTHKRQQQQCSLVSNSGKWLHLVKNCPRGSFSRATSFFDNKIPRHLVSLDEKYLRRCLELINISAFKSSASCSLSSYHFDSTMIPKENVARLLFDLPLVDDSGNVVISPVITGCKRVTHLLDSPEKAVSVSSTSSVSSSSSSSEQSWSPSSSKVSQGTLQFTMKDNKIPHFVFSLDDQKEIYVATLSNTSSVGFDRSSLEYSYLIHLKKGRVGSELVGKLKVSTLFSVSSANEKTVERQFVLFSNGGNQQLLGHSEIKKHRGLSKKVVDALKRGSRQRSISRFSRTSSVTDFSYWEPFQEPDQVLESVSLLDSELPHNLEASAVVVREKFLNEEEKAGGWGMKFLKKTSLSRRTKQACGDSKQMSIDVVIPSGVHGGPRSRNGGGGGGPSSLVERWKSGGSCDCSGWDLGCSLTVFKGQGRKEQSEGQCNLFELFTEGSKQGIPGLRIMSVREGLYFIQFQAKMSVLQSFSTALAYVHSQSQRLRPWLSGKVCREKLN >A10p016190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3209344:3212838:-1 gene:A10p016190.1_BraROA transcript:A10p016190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSNTSRETASLTLSHFRYFFFNRLHAATPHCNHRNKIASVKPMGARRGSSMGYAGVKSATLSSQGDPPDLWQPPRDGLSVRADGSSGVHLGRGGGGGSSPGAGNGTGSNSKEDSWGGSNLGSSFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYKRIYYESSQKRSTGETEGTAAKPADDDLVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQATLAFLLAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDIEKTISERRHDSSIGFGAPVRANMRAGGVTNAAVASNLMETVESSDLIAYGLIPEFVGRFPVLVSLSALTENQLMEVLTEPKNALGKQYKKMYQMNSVTTPLSTQNLDSLETNLYIYSCLQVKLHFTETALRLIARKAITKNTGARGLRALLESILMDSMYEIPDEGTGKDMIEAVVVDEEAVEGEGRRGSGAKILRGKGALSLYLSETTKSKDSPRTTKEGSEGEIEVEAEIPSVVASM >A09g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20659232:20661061:1 gene:A09g507040.1_BraROA transcript:A09g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNGGVPPGFRFHPTDEELLHYYLKKKISYQKFEMEVIREVDLNKLEPWDLQERCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATHAGFWKATGRDKCIRNSYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDADDPQDNLSTITLANISRTEDGWVVCRVFMKKNLFKVVNDGGSSINSADQYNHDASNNNNNTLQARSFMHGDSPYQLVRNHGATTFELNKPDLSLHQYPPIFHKPPSLGVDYSSGFPRDCESAASEGLQYQQACEPGLEVGTSETVANHNHQQGLGEWSMMDRLVTCHLGNEDSSRGIRFEDGNNNSSAVVQPVPTSNQLSLRSEMDFWGYSK >A09g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28431845:28433133:-1 gene:A09g509770.1_BraROA transcript:A09g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRPSPNTDGAVERVNAGGVKTCTTIIAGCAHDEKSEDRFGGDSDVFDVCGDQSSNFEHKFLDDAFRKISNSIQGVNSHVKELAQRFERVKSLDLHPTEP >A01p046440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26130118:26133907:-1 gene:A01p046440.1_BraROA transcript:A01p046440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPARPLSVHDWDVLIEDFQDSGAPRDWFTSVFSTDSLPDLALSSLLKKEFPLPSKLSILVFLDEFSETLFDRRGDETFDRLVDALRAIVQSPTDGSNGLKEQALISFTSVLVAVGSFSVRHVEAVVDLLLALVNRPNHGFDRQARAVACECLRQLERAFPGLLSDVAGHLWSLCQAERTHAVQAYLLLFTTVVYNVVNQKLKVSLLSTSVPLVPFNAPPNLGQSQGLGPDQKELRRTLAFMLESPYLFTSCAMMEFMGMVVPLASALELQASMLKVQFLGMIYSFDPMLCHVVLLMYTQFPDAFEGQEKDIMRRLMLLSKETQIYLVFRLLALHWLMGLFNKVMLSGEVGKRKSVLEMGRKFHPGVFDPLALKALKLDMLVQCYVGLSGGGDNGKSSELLQECLVSVSDFKWLPPWSSETGGLLVDMTSQFQILVPVVVSFIDRLINCEKHQWLGERFLQTIDEKLLPKLEKSCLLTAYFPLLHRIAENDTIPPSRLIVLLTKFVLTLVDKRGYDVGLKLWDQGTEVLGICRTLLSHHKSSRLFLGLSRLLSLMCLYFPDLDVRDNARIYLRMLVCIPGRRLKNILKPADTVSPSTHSSAFFTVQSPRFRHDPNKSWNLSSYIHLERVTSLLVKQSWSLSLPSLGVGNDGYSIIESKVQVDEVEADSSSQELLQLLPESRRIESGKPTLRVMDAKIAEILERLRRYFSVVPDLRHMPGIKVRINCTLRLDAEPYSSIWGSQTQSPELDKVDSSPPALFATVVKFSSSAPYGSIPSCRIPFLLGETQGDKNVQGSLDIVLLGDAPKEEEKDGLGGGASVTVELEPREPTPGLVEVSMEANAESGQMIQGKLESVPVGIEDMFLKALAPPDEHEDTIPSYYSDLFNALWEVCGSSSSTAHETFALKGGKTAAAISGTRSVKLLEVPAETVIQASELHLAPFVVAITGEQLVNIVREGGIIENILWQEEEEGRGQGTASSSMGTSGSNRDPLRLTYIGYGDDQEVPMSRSRGKLGKIKMLMFLPPRYHLLFEMEVGEGSTLVHIRTDYWPCLAYVDDYLEALFLL >A03g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26841304:26843626:1 gene:A03g507590.1_BraROA transcript:A03g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p072960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55766118:55768922:-1 gene:A09p072960.1_BraROA transcript:A09p072960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDEAEAAGAASPSGGTVTPAPKKRGRKPKSKEESQTQEERGGKMKESGKKTKQEEQSVDEKYTQWKGLVPILYDWLANHNLVWPSLSCRWGPQLEQASYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVETQPNRHAVLGAATSRPDLILTGHQDNAEYALAMCPTEPFVLSGGKDKSVVLWSIQDHITTAASTDSKSSGSIIKQTGEGGDKTESPSLGPRGVYHGHDDTVEDVAFSPTSAQEFCSVGDDSCLILWDARTGTSPVTKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRLYDRRNLTSNGVGTPIYKFEGHKAAVLCVQWSPDKSSVFGSSAEDGLLNIWDYDRVSKKSDRAAKNPAGLFFQHAGHRDKVVDFHWNAEDPWTIVSVSDDCETTGGGGTLQIWRMSDLIYRPEEEVLAELEKFKSHVMTCASKP >A05p015150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6693615:6695590:-1 gene:A05p015150.1_BraROA transcript:A05p015150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGETRVTEAKVRGMKKGPWTTTEDAILTEYVRKHGEGNWNAVQKNSGLFRCGKSCRLRWANHLRPNLKKGSFSPDEEKMIIELHARLGNKWARMASQLPGRTDNEIKNYWNTRMKRRQRDGLPLYPQETQNQGINNDDEFEFNSFQFQNQDHGNHQNMFHYSSNTPSSSSSFSSSSSQPSKRLCLDPLISSNPGLNQIPESPMNFSMFSLYNNSLENDHSLENDNNQFLKYSSSSSNEFCNPNQLLELPSENSDTNNTNKKDIDAMSYSSLLMGDHETRPSCFPFGLDNAVLELPSSSKTPTHWFTSNTILDDGVPLEPPAGNSGLLDAVLEESQALSRRGNYNDFTVSSSDLGEDQDKRVKMDCANRLINHNPSHLSSFGTYPNFYKRYNEPTMEKAIVDDDDDILMSLLNNFPSTTLLPDDWYGTKDIQTGVSQSGNHQDIKRVELHKAPPSPNTVDPLASLGSCYWDNMPSIF >A07p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4239063:4241335:-1 gene:A07p001640.1_BraROA transcript:A07p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNELWKKGEASSSSIPIRVWQPGVDKLEEGEELQCDPSAYNSLHGFHVGWPFLTFLGDKLGLSRTEFPHTLYMVAGTQAEKAPWISIGLFKISNAEKAPWNSIGLFKISSLSGKRRDVVPKNLVNGDDGMEDEDDEGEDSDSDEESEDGASSAPNIQVRRVAHHGCVNRIRAMPQNPHICVSSADSAHVQLPVDGLLILFREANAFASCSVDGIVALWDIRMGKSPGLSFMAHNEDVNVISWNRLASCMLASGSDDGTFSIHDLRVIKDKDKAKVAHFGYHKHPITSIEWSAHESSTLAVSSGDNQLTIWDLSLKMDEEEFKAQSKEQVNTPQDLPPQLLFVHQGQKDLKELHWHNQIPGMIVSTAADGFNILMPYKIQNTLPDLAA >A02p002370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:992548:993162:-1 gene:A02p002370.1_BraROA transcript:A02p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDELQGSTDPVDLSNHPSGLVPTLQNIVSTVNLDCKLDLKEIALKARNAEYNPKRFAAVIMRIREPKTTALIFASGRMVCTGAKTECFSKLAARKYARIVQKLGFDARFKDFKIQNIVASCDVKFPIRLEILAVSVHRDFLSYEPEMFPGLIYRMREPKIVLLIFVSGKIVITGAKKREDTFRAFENIYPVLTQFKKICIHR >A09p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13258632:13260115:-1 gene:A09p023970.1_BraROA transcript:A09p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEKTVANSPDALQSPHSDSPSYALKEGYLASQFVSKNSNSVTLNLGSSGVLAYSLDNTDHLVHRLFAVVDDIFCIFRGHIENLPFLRQQYGLSKVTNEAIMVIEAYRTLRDRGPYPVDKVVRDFHGNFAFILFDGTNKTVFAAADADGTVPFFWGTDAEGHLVLSDDSAIVKKGCSKSYSPFPKGCFFTSSGGLRSFEHPKNQLKPVPRVDSSGEVCGATFQVDAEVKREGTGMPRVESSQNWAGHI >A06p010500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3793673:3795946:1 gene:A06p010500.1_BraROA transcript:A06p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNNNAPTAAQQQQPETTAMDGLTPEELTAKALSKRYEGLMTVRNKAVKGKGAWYWTHLEPILVRNTDTALPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFTSSSVSTPSSSSSPSHPHHHHRKRNSSGAAVPSRLSSPHPITVVDPSRFCGGELHYSAAPPPPMLSGGRDDLVPLAMLEDSVKKLKSPKPSHTQSLTRSQVDSALDSLSGWVYESCGSVSLSGLEHPKFRAFLTQVGLPTVSKRDFATTRLDLKYEEARVESESRIRDAMFFQIASDGWNSNLVSLIVNLPNGMSLYRKAVLVNGAVPSNYAEEVLLETVKGICGNSPQRCVGVVSDKFKNKALRSLEGRHQWMVNLSCQFQGVNSLVKDFVRELPLFKSVSQNCERLAKFINSTAGIRNAHCKYQLREHGEAIMLRLPPLHCSSSGSSSKACCYFEPLFNLLEDVLSSARAIQLVMHDEACKAVLMEDHVAREVAEMVGDGGFWNEVEAVHALIKLVKEMARRMEEDKLLVGQCLPLWDELRAKIKDWDSKFNVGGGHVDEIVERRFKKCYHPAWAAAFILDPLYLIRDSSGKYLPPFKCLSPEQEKDVDKLITRLVSRDEAHIALMELMKWRTEGLDPMYARAVQMKERDPVSGKMRIANPQSSRLVWETYLSEFRSLGKVAVRLIFLHATTCGFKCNSSLLKWVSSHGRSHAAIDRAQKLIFISANSKFERRDFCNEEDRDAELLAMANGDDNLLNDVLVDTSSV >A03p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21021299:21024353:-1 gene:A03p049130.1_BraROA transcript:A03p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLRSSSSYSSALKWLGFVTAVWVQSISGNNYTFSNYSSALKSLMNLNQLQLNNLSVAKDVGKAFGILAGLASDRLSTPVILLIGCFEGLLGYGVQWLVVSRTITPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCTALFSNDPASFLVLLAVVPFAVCLTAVFFLREIPPASSADEESEETRYFAVFNIVAVVVAVYLQSYDIIGVKTGVFSVAFASILLFLLFSPIAVPFHAFIKSLNRGEQDVEGQTQEPLLSSDIAEEKEVIAVEAAAAAAAVEEENLGAERKRPVLGEDHTIMEAMLTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMTSIWGFFGRILSGTLSEHFLKKAGTPRPLWNAASQILMAVGYLLMALAVPNSLYIGSMVVGVCYGVRLAITVPTASELFGLKHYGLIYNILVLNLPLGSFLFSGLLAGFLYDAEATPTPGGGNTCVGAHCYRLIFLVMALASVIGVGLDILLAYRTKEIYAKIHASKQVKKSSSNLS >A04p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20567891:20569570:1 gene:A04p036280.1_BraROA transcript:A04p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSQSSENSKTCPSNNFKATTTKEDNDKDEEEEEEEEEEEEEDEEERSADQSPSSNSYVEESGSQHHHNNNDQIKKNGGSVRPYNRSKTPRLRWTPELHLCFLQAVERLGGPDRFLVDIYFIFSLNDFGGAGATPKLVLQLMNVKGLSIAHVKSHLQMYRSKKIDDLNQGNLLLLGDQGFSFEHGAGYTYNLSQPPMLQSFDQGPSTSLGYGGGSWIDHRRQVYRSPWRGLTARDNTRTRQTLFSSQLGERFHGVSNSILDDKNKTISFRTNSREAAHASNGIGEAVPRSHRSFLEGMKTFNKSWGQIFPSNPNPSMPSKPQDHTAVTLNFHQSDNPRVGEETENDLKRKRLLLSGDYNKSNPDLDLSLSLKVPPTHNNLGECLLEEGEKEHEDSKRLSLSLSSSSLSQHGRAIRKEDQNDHKKRKISVLASPLDLTL >A06p002230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5272701:5274272:-1 gene:A06p002230.1_BraROA transcript:A06p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTFSSLVRATLHQPPKRFFFSTLPHDPPPPPPELVNEISRVLSDHRNPKDDLEHTLTVYSPKLSSNLIEQVLKRCKNLGFPAHRFFLWARRVPGLEPSSESYHILVEILGGSKQFALLWDFLIEAREYNYFEIGPKVFWIVFRAYSRANLPSEAIRAFNRMVEFGIKPSADDLDQLLHSLCDRKHVEHAQEVFDKAKHCFVFAPSAKTYSILVRGYARVRDASGAGKVFDEMLERNCEVDLLAYNALLDALCKSGDVDGAYKMFQEMGKLGLTPDAYSYAIFIHAYCDADDVHSAYKVLDRMKRYGLVPNVYTYNHIIKTLCKKEKVDDAYLLLDEMIERGADPDTWTYNSIMAYHCDHCEVNRATNLISRMDRTKCLPDRHTYNMVLKLLIRIGRFDRAEEMWEGMSERKFYPTVATYTVMIHGLVRKKGKMEEACRYFEMMVDDGIPPYSTTVEMLRNRLVGWGQMDVVDVLAGKMERSSCCKVREMAVEMRGRRRRVGRRSEGSEDDESELERETYE >A03p019970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8197834:8202763:1 gene:A03p019970.1_BraROA transcript:A03p019970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDSMDQAKGYEHVRYTAAPDPRSEGIGSMNQRFSHDSSTNVNMNVRPPDYAIPTPARPVLNYSIQTGEEFAFEFMRDRVIMKPQFVPDVYGKPPSGMPVSVNLSAMGMVLPVSESGSNTTVLSAAEKRHTFEQERKPPARKEDKSYHELVKSAPVISSRNDTGQKVQSLVSSRASDSSLNQAKFLCSFGGRIIPRPRDQKLRYVGGETRIIRISKDISFQELMRKMSEMFPEVRTIKYQLPGEDLDALVSVSSDEDLQNMMEECTVFGNGGSEKPRMFLLSSSDIEEGQFGIEAAEGDSEVQYVVAVNGMDLGSRKSSLGITAPGNNLDELLHSNTDREISRAATEPAVASVAPLAGNESLSAGQTSQPVAGFSTGNEPFSQPYLGQQVHFTGLGNHQVYTSAHMASIGYIDEKGSAPLHVQPQPHFIPYSVNPETPLEVVAPHYPHKPEQGVLHDEQIYHVQDSEASTKEAKMRRDDSFKKVTDPANVSTVGTSLPAKEPKLRIESSTPRVSEYSVSSTSDLKVPDHVLKEEAPVSTQVSDSTPNPSTSACPEKSGRKSQDHVENNLSAKEPKMRKEQSTTRASEYSISSVSSDSMVPNHTLKEEAPVSMQISNSTPNPSSFFYPEGSLKTPQEYVPKTAALDTANEGIKIYQENQFSLPGRISGSGLVTSDGDSSNVSNVDQQVLHQRVFHSERIPRDMAESKRLSKSDDSLGSQFVMAKSTSDAFLPISESAETFHEANMESQNVHSSAPVRPAPESLWTAEGNISQFEKRNLEPNAPEHVSQSEASDKAVPRGHLENGDIVVDINDRFPRDFLADILKVKESLSFPGLGPLHGDGAGVSVNVQNLDPKNWSYFRNLAQDEFERKDLSLMDQDHPGFPTSLTNTDGVPIDYSYPPLQSEKIAPTRLKPQIHFDENIQPHVSTTAVADSRTADTQEDYGQSQFKGAETTDANVNTGVPLIDLVAEDSSHRSLQVIKNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKRSCFIGRSSEQERLRTARRHYYCPQNRAVLLFFFLLHEAATSEFWHEAEILSKLHHPNVMAFYGVVRDGPGGTLATVTEYMVNGSLRHVLLSNRQIDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPARPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLSGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTVRSYCDPEWRMLMEQCWAPDPFVRPSFPEIARRLRTMSTSAAKAHAANHQVHK >A02p000190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:135744:139632:-1 gene:A02p000190.1_BraROA transcript:A02p000190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGECNLEILETLSEDAIEEITESYGGFFTTVESLIGGDSSVEQELVCHVSTLCKYGLASLVRDHFLSSLQQAFDKGGASTFWLHFDDFRHKQHTDYGEEIHEALCGALEEISIEKQFHDKCLSILFHALHSFQQQSSEATIMHNSDSERVELFSTFRSMLSSTLMTTLPPHFPEILHWYFKEKLEELSAIMNEDDTQQLESDGMDLDDKLRSKNGEMDVDEGFSRHDELVKNIGKVVRDLRSIGFTSMAENAYASAIFLLLKAKVHDLAGDDYRTSVLGSIKEWIQTVPLQFLNALLSYLGDSLSTTQSGLTSPLACCPSPSFSKVVTPSEGIVRWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIDDLKQCLEYTGQHSKLVESFISSLKYRLLTAGASTNDILHQYVSTIKALRAIDPAGVFLEAVGEPIRDYLRGRKDTIKCVVTMLTDGSGGNANGSGNPGDSLLEELMRDEESQENVGFDDDFHTDDKQAWINASRWEPDPVEADPLKGSLSQRKVDILGMLVDIIGSKEQLVNEYRVMLAEKLLNKTDYDIDTEIRTIHFGEGSMQRCEIMLNDLIDSKRVNTNIKKASQTGTELGEGELSVDILTSTILSTNFWPPIQEEPLVLPGPVDKLLSDYASRYHEIKTPRKLLWKKNLGTVKLELEFEDRAMQFTVSPTHAAIIMQFQEKKSWNSIDLGAATGIPIDVLNRRVNLWISKGVLRETRGREPNGNVYTLVESMTDSGKNESEELLGGDEESERSIASVEDQLRKEMTIYEKFIMGMLTNFGSMALERIHNTLKMFCVADPSYDKSLQQLQSFLSGLVSEEKLEFRDGMYLLKK >A05g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6719088:6721907:-1 gene:A05g502030.1_BraROA transcript:A05g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSWKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGLPVKSSGSRLNFLKVFWQSLLNFLEVLDDLHFSRQRLVLHLTGLFQKFDFLDDLHFSRLTTYNSVVHETTEIRLKCKSSGEVKLLKLSNDLTFSRLRLQISKSIAKITSALTRRLPGKLSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIINQNLGFFSSLWRESERYVVFSSQEWKMKKGKSILGALRASNWLFMVVVVLMTMAIL >A08p018580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12795558:12796823:1 gene:A08p018580.1_BraROA transcript:A08p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIPTLRCKHGNKVRDVWLDEMATEKTKDPPRETVGKKTGTRRCIKITLTRKQLEMLLKNAEGVSFKLPETCGSCERKWKPSLQTILEHTVSKEKDLRPYVDQHSQKTKGKMEIQARLKEYKLHFMVAIIMSVLVSALVYAAPRILDILAYFWPLFASTAAFLAVAITFGGFQQLSEETTGEGIMEYVAGRPDDSHKYD >A05p021150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10021729:10023884:1 gene:A05p021150.1_BraROA transcript:A05p021150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISDLPNDLEAEILSRVPAKSLSRLKTTCKRWYALFRDPKFVVKNKKLGRAVRESILLTNHGDVCSVAGDLHDTVVNTPIEVSGKLTSLKGSNDFDFAEIFHCDGLMLCSELGNDRLVVWNPCTGQTRNIKARTSFQTNQTYALGYSTSSPSGHSYKILRYFDYRNDQEVWVPQCEIYDLSSDSWRVLDSFPLDYIMFRDGISLKGDTYWVAGHNESGYFMMKFDFPTERFVCLPLPIPIERFEDRFVLSVVRDEKLAVLLIDDSSDYLSYDRSEAMRIWVSNKISEDEVWVAFRALGLLLVADCARSWVSFEIRDRQNIRRVAAVADANKDLSWRSDLVLEVDFDNYSKNFRSFLLDEENKVAILCCGIEFVGEYYTTIIYIIGEDMLKEVYTGTITASRSHSPLVITYVPSLVRI >A01p054320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30512475:30513906:1 gene:A01p054320.1_BraROA transcript:A01p054320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQRPGGGRHPPPLTPTVSNFKPRAQWNNSGSSIILYVNLPGFYRDQVEIKKDDKTRAIYIQGQRPLSTHTKARFNEVYRVPESCDMTKLNTSFSHGLLTIEFPAVVEGEKTEKAGNDQGKTVESLDNEENREAGLSGSSLGRKKPSDKEKQVGTSQEKAVTMANKEEPITSKSVVEGKRAVPAAKVKNEEKVKEGEASSAQIGQQKTLQKVREEEARSTPIIGGSLEAKVLAKEEIERKKVGDIGQKKTVQEVKEEGSTRRPTIDGSLEPKVHAKAEKVVERKGDGEIGQKLNGEGKIGLGEKKEQKHDKMVGYKVSEGGIQERVEEKKVEDAGLVKETRDLKGKPEVMEPRRVDSDVKEDLTKGGEDREKMLEKSSESEKDTLLVEEQRKASMDTPATEGRIQEEKGSHKYDTSLVNVGVASLVIMGFGAYVFVPLVKMFY >A07p010930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6839506:6840812:-1 gene:A07p010930.1_BraROA transcript:A07p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALDRLKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELEVKRKERSQAVYERKKQLIKLRTKAEKVAEEKLGAQLDVLAPIKY >A03p012250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4873647:4874441:1 gene:A03p012250.1_BraROA transcript:A03p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-T1-1 [Source:Projected from Arabidopsis thaliana (AT1G35440) UniProtKB/Swiss-Prot;Acc:Q9C8P7] MGERSWYMTREEIEVKSPSRVDGIDSEQESFQRWSYSTFLQELGQRLHNPQKSIATSIVLCQRFFTRESLAKNDPKTISIICMFIAGKVEGSPRPVDDVIAKGCRVLHGKEPSTEMCARLKGAVLTGEKFVLSTLRFDVEIEHPYEPALHWVRRWIKVEMDAKRLYQAAWNFLNDGLRSSLCLQFRPSQIAAAALYLGSRMTNVKIPCDGEKDWWLEFHVTKRQLCDICNQTLEIYQQDFLIPVKHEAKSKFGDGGLLRLEPGR >A03p014520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5773919:5775439:1 gene:A03p014520.1_BraROA transcript:A03p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDSPDVDTSLDSDSNHNANANADVKLNNHDSSNAVAIPSPAVCLVRFAGDAAGGAVMGSVFGYGSGLFKKKGFKGSFADAGQSAKTFAVLSGVHTLVVCLLNKLRGKDDAINVGVAGCCTGLALSFPGAPQAMLQSCLTFGAFSFILEGLNKRQTALAHSVSLRHDQGRSLRDELPLSLALPIHEEIKGAFSSFCDSLTKPKKLAFPRPR >A09p067460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53229975:53230750:-1 gene:A09p067460.1_BraROA transcript:A09p067460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g62020 [Source:Projected from Arabidopsis thaliana (AT3G62020) UniProtKB/TrEMBL;Acc:A0JQ09] MDTRCYGFFFVLLSLAVISLAYDPDTLQDLCVADRTSGIKVNGFICKPESNITASDFFFAGISKPAVVNNTVGSAVTGANVEKIAGLNTLGVSLARIDYAPGGLNPPHTHPRATEVIFVLEGELDVGFITTANKLFAKTVKKGEVFVFPRGLIHYQKNNDQAKPASVISAFNSQLPGTQSMAATLFTASPAIPDHVLTTAFQIGTKEIEKIKSKLAPKKG >A09g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18388085:18391689:-1 gene:A09g506020.1_BraROA transcript:A09g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNQYRLIRNLIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDLIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSRTERSINRDPNAYRYKWSNGSKNFQEHLKHFVSERKSRFQVVFDRLCINQYSIDWSEVIDKKDLSKSLRFFLSKLLRFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQPCNQLLESIGLQIVHFKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTYGQFLTILFIHNKIFSSCGGKKKHAFLERDTISPSSIESQVSNIFISNDFPQSGDERYNLYKSFHFPIRSDPLVRRAIYSIADISGTPLIEGQRVNLERTYCQTLSDMNLSDSEEKSLHQYLNFNSNVGLIHTPCSEKYLQRKKRSLCLKKCVDKGQMDRTFQRDSAFSTLSKWNLFQTYMPWFFTSTGYKYLNLIFLDIFSDLLRILSSSQKFVSIFHDIMYGLDISWRILQKKLCLPQRNLISEISSKSLHNLLLSEEMIHRNNESSLISTHLRSPNVREVLYSILFLLLVAGYIVRTHLLFVSRAYSELQTEFEKIKSLMIPSYMIELRKLLDRYPTSEQNSFWLKNLFLVALEQLGDCLEEIRGSGGNMLWGGDPAYGVKSIRSKKTDLKINFIDIIDLISIIPNPINRITFSRNTRHLSHTSKDIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVCLNKFLDNKPKGFFLDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLALGLLVNSLSRDCERCSTRNSLVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISKIGSGSWDPFLSDRKGCCTKCTYK >A01p015930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7811635:7816876:-1 gene:A01p015930.1_BraROA transcript:A01p015930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRLSRVSRRLLKPSVSATPSSSSVFHSQQQNIYLSQPVTTTTTRHCINPLLKYPLWSRDHEVWSKGRDFHHEKLLGVGWNYRLVAGMSSVVEGNPKKDDKEEKSGGVGGVKEASNWVDLYLPEGARGYAKLARLDKPIGTWLLAWPCMWSIALAADPGSLPSFKMMSLFGCGALLLRGAGCTINDLLDRDIDTKVDRTRLRPIASGLLTLFQGLQFLGLQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAFLGLTINWGALLGWAAVKGSLEPAVVLPLYLSGVCWTLVYDTIYAHQDKEDDVKVGVKSTALRFGDNTKLWLTGFGTASMGLLTLSGLSADLGWQYYASLVAASGHLGWQIGTADLSSRTDCSLCRTSGSVLLYSVELYSEELFSRDLSVSEIEDMATFRLQKLKARFPKHGRSLCQISVDRELGFMSLFTRMFVYPYSFKVLTLDRSLSIDFIDSERNKVPRMGHRHSKSKTSSSSSSSDNVVHHVKPSGERRGSSGSGSGPVKSSSGSGTGGSRSQQNGRILGKPMEDVKGTYDLGRELGRGQFGVTHLVTHKETKKVFACKSIPTRRLVQSDDIEDVRREVQIMHHLSGHRNIVDLKGAYEDRHSVNLIMELCEGGELFDRIIAKGHYTERAAADLCRQMVMVVHSCHSMGVMHRDLKPENFLFLSKDESSPLKATDFGLSVFFKPGDKFKDLVGSAYYVAPEVLKRNYGPEADIWSAGVILYILLSGVPPFWGENETGIFDAILEGKLDFSADPWPDVSNGAKDLVKKMLTYDPKDRLTASEVLNHPWIKEDGEASDKPLDNAVLSRMKQFRAMNKLKKMALKVIAENLSEEEIIGLKEMFKALDTDKNGIVTLEELRTGLPKLGNKISEAEIKQLMEAADMDGDGSIDYLEFISATMHMNRIEREDHLYTAFQYFDKDNSGYITMEELEQAMKKYNMGDDKSIKEIIAEVDTDRDGKINYEEFVAMMKKGHPELVTNRRRVNM >A10p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:657817:659697:1 gene:A10p001280.1_BraROA transcript:A10p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glycerol-3-phosphate acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT1G02390) UniProtKB/Swiss-Prot;Acc:Q9FZ22] MSGNKVSIFQGLFLFFYRFFIHRRWFHRNPKQKHQKSPSHHGLHQAHDLSPHTLIFNVERVLLKSNTLFPYFMLVAFEAGGVIRSFLLFILYPFISLMSYELGMKTMVMVSFFGIKKEKFLVGKSVLPKYFLEDVGLEMFEVLKRGGKRVGVSDLPQVMIDGFLGDYLGIEVVVGREMKMIGGYYSGILEDKKKHEFYLGELVQEEKLSSGHVIGITSYNLPSHRSLFSQFCQKSWQTLPRNQYPKPLIFHDGRLAIKPTPINTLALFMWAPFAAVLATARVVAGLNLPYSLAIPFLAFSGFRLTLTVNNNLISPDQKEERRTLKPSPIA >A07g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12278422:12280338:-1 gene:A07g505490.1_BraROA transcript:A07g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTDKAISATVPLLSASHRRLIRRRLLSQCSAPSPGTMKKKKPKVTPKKSPAKSPSISPSKSPPTANLFPFEKDPDLEVPSDVLDAQIGESADTVAQQLRIDADLAFERNAEPSSKKEIDASSSDPSTSSMKVIDSLMSDPSPLSKTEIDPSKSDPSYPLTAAPLEPNSAGPTAIRPGSVKDGEANTCVELGMEDSLLTENEVDKAKPSTPQPEKESSVLSVDGSAIMNGLDARNVHSDQKEHLQKKEANIGMTPALPRLGPREENKQQKEPTGRKTRRGRSKNKQQWKVVEPNTEVNKTNPAQPTKVVEAHTEAVHTEIVLHSSLGNQKDQTPGETSSTPYYLRPVRHRSVSGASRSTNSEVQPDSSDVESSDTELEE >A04p013700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4587032:4587232:-1 gene:A04p013700.1_BraROA transcript:A04p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEKAALKKKIWSRSSTILPGHVGSSVRIYNGNTHVHCKITEGKIEHKFGGFAFARKVARHPRAK >A09p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1501399:1502757:1 gene:A09p002030.1_BraROA transcript:A09p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase alpha chain [Source:Projected from Arabidopsis thaliana (AT4G02610) UniProtKB/Swiss-Prot;Acc:O22765] MDLLNNPPTTTLGLSETFARLKSQRKVALIPYITAGDPDLSTTAKALKVLDSCGSDIIELGVPYSDPLADGPAIQAAARRSLLKGTNFNSIITMLKEVIPQLSCPIALFTYYNPILRRGIENYMTIIKDAGVHGLLVPDVPLEETETLRKEAQKHQIELVLLTTPTTPKERMNAIVEASQGFIYLVSSVGVTGTRESVNEHVQSLLQQIKEATSKPVAVGFGISKPEHVKQVAEWGADGVIVGSAMVKILGEAESPEQGLKELEVFTKSLKSALVS >A09p072540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55570726:55571195:1 gene:A09p072540.1_BraROA transcript:A09p072540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASETPSNASRFLESEAKSEKPPFRVAVDDTKPVLQDPIVRSDPMETEEAVLRLPSFPTHETLLNRN >A02p050030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30947843:30948052:-1 gene:A02p050030.1_BraROA transcript:A02p050030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVAVTLFVLLTPGLLIQIPGRNRVVEFGTFQTSGVSVIVHTLVYFTLVCILLIAIQVHMYIA >A03p014900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5894947:5896369:1 gene:A03p014900.1_BraROA transcript:A03p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRNLRKRSRKNRSHTTSGDPLAKRKRGRPRKHFKLDDSNNNRSPPGFSRTQHQDEALVGQHVTGVIEATFEDGFLLSVKVGGSDTMLRGVVFKPGHFHPLSADNDVAPHVPMIRRNNDLVDHRRSAAQRGRKSRLHEKRGARALVPVPIQPKPLLPPVHHGHIRTETESQVSGASNGKPFETLFTQVMEKGQVHAESEEQALSIEPLQAIHPVHPVHMPKPMPSNGRGNMTELLQAVQENVRETHFSQGQ >A09p016660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8732814:8734414:1 gene:A09p016660.1_BraROA transcript:A09p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPNEPDDTLSASSFFNPHLMKKWEALSSVRHKPNPNKVVWTNEMLKDRKVINLDAWNQVGTFDERIQIIREALTSLATEVSVRSVGALMVCAWGLVSCENPNESVFGGLWESSKARELEEYTRLSDGILCKSSRWRSPPDDKDLILFTTTGNGLNWVRTACFYCAAVLRLATKEHDALVKAWSYLPEHYQSFYKAPLEFSLSLDHECLKCLRRLLQKSTTIRNSVAPFLLAFQELSGCSKNRAICKTLFESHLGFTGLHAYTLFISNATKLAVPHHVFRHVLRHHAAEEGLETIMEILKRYEDPSLDEGDRKSKCTWIYSRIFDSDMFGSLQTKRCVFLAALLAVIADTIGGTSSSGGGSGQASNIKQLQGYIQNNQDDLHLWAGRIIAFCKEFNEKESTKKNPNDNNSTKDRYISATIISNLPIIHFSKILIRELVINLIFLLNILLPTMASLISPLYLRTASQTSPKSFFYA >A09p003110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1835006:1837102:-1 gene:A09p003110.1_BraROA transcript:A09p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g26540 [Source:Projected from Arabidopsis thaliana (AT3G26540) UniProtKB/Swiss-Prot;Acc:Q9LRV2] MTLTGVSAAVGRVLSRDKTSKRVLNKSSTRPEKNSATHQILEQLEHGHISKAVSLLFPSLEPVSHWLYERLLRSCSSKSLVVQARKVVSHLVTFSPLPPIFLLNRAIEAYGRIGCANDARELFEQMPERDGGSWNALITACAKNYLHDEVFRAFVRMSRDGIRGSETSFSGVLKSCGFVLDLRLLMQLHCSVVKHGYSGNVDLETSFVDVYGKCGAMSDARRVFDEIESPSDVSWNVVVRRYLEMGLNDEAVVMFFKMLELNVRPLNHTVSSVVLACSRSLTLEVGMVIHAIALKLKFLPDTIVSTSIFDMYVKCGKLESARRVFDQTESKDLKFWTSAMSGYAMNGITRDARELFDLMPERNIISWNAMLGGYVRANEWDEALEFLALMRKEVKGIDNVTLVWILNVCSGVSDVQTGKQAHGFIYRHGYDANLIVANAVLDMYGKCGAFGSANALFRQMSELRDEVSWNALLTGLARVGRSEQALSFFEGMQLEAKPSKYTLATLLAGCANVPALGLGKATHGFLIRNGYDVDDVLRGAMVDMYSKCRCFDYAIKVFEEAAATRDLMLWNSMIRGCYRNGRSKEVFELFMAMEDEGVKPDHVTFLGILRACIREGHVELGFQYFSSMSTKYFVLPQVEHYDCMVELYCKYGCLRQLEEFLLLMPFDPPVQMLTRINDASKKYGWRKLGEWAAKRLHE >A03p001560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:764372:764611:1 gene:A03p001560.1_BraROA transcript:A03p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEGQSRCNKVEDCDPRGCKGSRVYSRVICQNHMCTCDHGSLIGGNCKGDGDCIPDGCPPKNQVKCKIGRCTCVPKLI >A09g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12184005:12186693:1 gene:A09g503880.1_BraROA transcript:A09g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFPRKSLAIFRGNSEECQVFLGISSEYRVFKPKTTFYPAKYFAKIEIENTEEIPTENIRRAPGFINTKHFFFPISLFFLRRFLSPSGVLRLLSRRSLRRILSIPTQIMDTGDQTRARPCRASPRGRSGTGSHSQGSSSYSRGSSSHYRDSSFAAATSASPPAAAPPLAPPVVPGVMTPGREHLPYLTPCPKRHGQTWFNRSGNWISAWINRMMYSNLSKGHPTFTHFPREDQEMWFRQFAQEFTWNPDHTNFIREHFVHKVMDNYGKQIYEWKQKWLINKVPKSINQTVWEELCVHWDKDETKETSVTNSANRKSDRGGKGMRMKMMASRF >A06p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27603852:27608015:-1 gene:A06p052220.1_BraROA transcript:A06p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPLKEAREDTSGSVRHVREDNEYVRLVVGTTHEPSPPETVLVSQSEIRTRNLVWWFKALGICAFTLLLALVFAKWGVPFVFQKVLIPILQWEATAFGRPMLAIVLVVSLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTVGMVLPYLIGLMFRDRLHQWLKRWPRQAAVLRLAAEGSWFHQFRVVAIFRVSPFPYTIFNYAIVVTSMRFWPYLFGSIAGMIPEAFIYIYSGRLIRTFADVQYGHQRLTTVEIVYNVISLIIAVVTTVAFTVYAKRALRELQNAEANEDEEVQANLGEEKKGKMKLYSYWRSSCAHRVRIALSLKGLEYEYIPVNLLKGEQSDPDFKKINPMGTVPALVDGDVVISDSLAIIMYLDEKYPEPPLLPPDLHKRAVNFQAASIVLSGIQPHQNLGVIKFIEEKINSEEKTAWVTNAITKGFTALEKLLVSCAGKHATGDEVYLADLFLAPQIYGAINRFQINMEPYPTLAKCYESYKDLPAFQNAAPEKQPDAPASTS >A09p062030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50923760:50925528:1 gene:A09p062030.1_BraROA transcript:A09p062030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAN1 [Source:Projected from Arabidopsis thaliana (AT3G56170) UniProtKB/TrEMBL;Acc:A0A178VL52] MGNAIRLLYRKCCSDDKPHGVSALSRDLLSFEATSQGLLSFYRLPSPGKLDEIPNESPVSVSEGLKFELLTLPVDQKSVADGDTVTVYVTSTDPIVLSTLPKEVSLAAAKRAKARENKNYTEADALHKKIIASGYRMINVQNKEVLAKKFRIRLRGIDAPESKMPFGKESHDELLKMVEGKSLKVLVYAEDRYGRCVGDIYCNGKFVQEVMLKKGLAWHYLAYDKRPELAKARFYQWENEAKQKRIGLWAAKNPEKPWEWRKNKRGGN >A08p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5324820:5326330:1 gene:A08p008130.1_BraROA transcript:A08p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRETRETEDDIRRMFCEAIERMKNMITLKKKSGPGKFAIPCTRILGGIVRDLEVHIGNVLVPVDFHVLDIKLNWNSSMLFGRAFLSTVGAVCNMQTNQLCLTLIDPMFTTIIFHATSIDSSNKKSIDIPKEESIDSSPGDWENDYYNPTLAAHTRDTIHTEEYDEDYEEERAIEYKAILDEEDRILHHSSWKRNAPSIDRTVSTSIDTHPYQTSRQRASTDIAYYPSIVTRVDRPREGDYSIGSWADDHHHESYAVETAIHEPGAYNLFMQQCNSPAHQQMVTNEIINIAGGVADRLKKKSQQHTRLSINVDVPSSIDRLLKFGKRAYDSARRFHWEEKDEYRVYKDDQGHAIDVDRHIIGVSKDDIISLLERASRDEHSYLCLPEHTRLFTQTKLVPEIYTKNEINEMFYGVCGAQ >A05p051310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29883759:29894877:1 gene:A05p051310.1_BraROA transcript:A05p051310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPPNELLKKLLELEQSHEHLKQEMSRLKVSTELTQQSHSVSPYQPARRNIGEGAPARRKSVPAAFQKQKCIQDSINLRNGVGGIGRRSSVGKFTNRQNAMSEKIYGYTAEEVVGKNPVDVMVDERDAPFARGVAQRCVSGESWTGEFPLKSKSGERILAVCTCSPFYDDEGSLVGIISITGNTEPYMHPRVPLATLEAKEDEGSSSTGRNGFASRLGFGTNGAGLDSHQPIQTAIASKISFLASKVSNKVKSKMRVGDSGATFSEGVCGATLSDKGDDASSSGVSIQRGDLIYPPFGVFSCDESDGNTAAPKILTSKAEHEVSKGRPTHSRWHWLQNEQARERCHQINPFCDVNSGSESSDSSKWSSSVNANSTRSTSSCGSASSSGMNKVDDTDSDCLEYEILWDDLTIGEDIGRGSCGTVYHSLWFGSDVAVKVFSKQEYSKEVMQSFRQEVFLMKRLRHPNVLLFMGAVTSPPRLCIVSEFLPRGSLFLLLRRSASKLDWRRRINMALDIARGMNYLHCCSPPIVHRDLKSSNLLVDRNWTVKVADFGLSRIKHETYLTSKSGKGTPQWMAPEVLRNESVDEKSDIYSFGVVLWELATGKIPWETLNSMQVIGAVGFMNQRLEIPKDIDPPETHIPRTDGGTKRHAKKVYTKVPSDPCWLLVPKRTASRQRDGEKRHKSASEVQCVSEAHGIRSQSRDSNHPPCLLNTFFFNLRFDSNDPAIQLAYLQIRLKMETPPAEQLLKKILELEESQEHLKQEMSRLKVSTEIRQRSHSVSPHRPARRNIGDGAQLWRKSGAASFRNRNASPMRKESRFQGSMNLRGGGGASAGKFTDNQNAMAEKLYGYSAAEALGENPIDILADNRDAACAMNIARRCVRGESWTGEFPVKTKSGERFSAVTTCSPFYDDDGTLIGVICITSKTEHYMNPRISLAKLKRQEGETSSHPARNSFSSKLGLDSEQPIQAAISSKISNVASKVRSKMRAGDSSATPSEGGSGDSHHSDHGVFGATLSDHRDDAAASSGSSTPRGDLIPAPFGVFTCNDEKLPSKPFSDEGDEKPAIHKVLTSKAEEWMAKKGFSLPWKGNEQEDSKGRPTHSVWPWVQSDQEKDKSGLKSESLAFESKKPTNSEGSSLWSSSVNANNTSSASSNGSTSNSVMNKVDTDSEGLEYEILWDDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSKEIIQSFRQEVSLMRRLRHPNVLLFMGAVTSPPRLCIVSEFLPRGSLFRLLQRNTSKLDWRRRINMAMDIARGMNYLHHCSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRIKHETYLTSKSGKGTPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWETLNSMQVIGAVGFMNQRLEIPKDVDPLWITLMESCWHSDTKLRPTFRELMEKLRELQKKYSIQFQAKRAALLNDNSPLNDN >A05p004710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1818775:1820343:-1 gene:A05p004710.1_BraROA transcript:A05p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLSIVLCLIIFTVTSFYGDGRTLSGKHDHNSSSLSGFSFQDSMLVSSSTSNDCGFTSTEHDPAKAHTRESVKLQLRRREIKQESTRTTHSVVDLKIQDLTRIQMLHARAKKAKNQTHKKEKKEITSVISPEASPGQLVATLESGMTLGSGEYFMDVLVGTPPKHFSLILDTGSDLNWLQCLPCHDCFHQHGPFYEPDTSSSFKNITCKDPRCSLISSPEPSVPCESRNQSCPYFYWYGDRSNTTGDFAVETFTVNLTNTKGGSSEYKVEDMMFGCGHWNRGLFNGASGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKGLLNNPNLNFTSFVHNKETSVETFYYLQIKSILVNGQALDIPEETWNISSDGAGGTIIDSGTTLSYFAEPAYMIIKNKITEKVKEKYHVFEDFPILDPCFNVSGVEESNMELPELGIAFADGAVWNFPAENVFIWLSEEVVCLAIRGTAESAMSIIGNYQQQNFHILYDTKRSRLGFAPTKCADI >A03p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5347376:5349765:-1 gene:A03p013610.1_BraROA transcript:A03p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIFSQSLFLYLGTHLQTNEEVAIKLENAKTKHPQLLYESKLYRLLQGGTGVPNLKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEYFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDNTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGSLPWQGLKAGTKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYGYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTAPPTRGPAAGTSSGLPPGLTSTDRYGEEDGGRPPMDSSRRRTSGALENSSAAVRAPMMPSSSLFGQSAGSSRRVTSEELQRSRTGSGLRNSGMVSTSERKRSSSTRKQYDSAIKGIETLHVSDERYHHH >A09p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45951710:45956336:1 gene:A09p052490.1_BraROA transcript:A09p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNAVVLAAVLCLVVLPPFAAGIRTGPGRITANGDGGRNEFSKLGPFMEAPEYRNGKECASSSSANRESFDPSLVHIAMTLDSEYLRGSLAAVHSVLRHASCPENVFFHFIAAEFDSASPRVLSQLVRSTFPSLSFKVYIFREDTVINLISTSIRQALENPLNYARNYLGDILDRSVDRVIYLDSDVIVVDDITKLWNTRLTGTRVIGAPEYCHANFTQYFTSNFWSDPALPGQISGRTPCYFNTGVMVMDMVRWREGNYREKLEKWMLLQKKKRIYDLGSLPPFLLVFGGNVEAIDHRWNQHGLGGDNLRGSCRSLHPGPVSLLHWSGKGKPWVRLDEKRSCPLDRLWEPYDLYNKHKIERAKDQSLLGFASLSELADDSSFLFYNTFVQGFYGMMEDKPGASPSPTLQVSVSFGRFENDSLSWEKFSAFSPNKYLEEVGKCATPGSVAQKKAYFEAHYKKIAERKAEIMDQEKLMDNKNASFRSVVTDQGSMEGGSVTESVVDTEEDKHVTDLAAEVKELNEETIVVKECQSSVDEVKEEVKNSVDSPRLEKPKEFALVEEKPEVVVHMQEKPEEVLQIDEKEEEEVREDVSETVLSNDTTGKTDETPKKEMEKEKTQKLIKKGGNVGVNRTRSSPKVTFLVFFSSDVLKHRELPSNVFIAFLSLQPEQVRTKPTTNKIVTSKKTPPSKEVKNMIKPTKKPAAPISKAPPGFSTPRVYKPASKLPSLSTSQSSVKKEKASSLLRNKQTAPKSLHMSMTLGPSSASDPSALTSTRKSLIMERMGDKDIVKRAFKSFQKSYDLDASVNEHKPALKQNPAKSTSIPSVATRQKDNTRPAKASGIEKRISTSAHGSASRGLKSNVTAQKELSKSGARPVEKTRLQKNPKQAGVVDAKTRKESMNPKAKPVRTLPKVSSDKML >A06p020790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10236527:10238241:1 gene:A06p020790.1_BraROA transcript:A06p020790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49080) UniProtKB/Swiss-Prot;Acc:Q8L6Z4] MLSRLFQRSSNLRLATLVSSKSNSQIFSSFIRPLSTNSTGGGNDNGNGGNRNDAPWSFSGVNDGKSDPFSSSGFDSVGGDGKWPREEPKRWNMKEEGDEKGVFGGNEGEVSNGFGEVKSSRWDVPSKPWDLKEEEEDGKVVFDTSGEMPVSFDDSLVNEEEERAKKQVFEREEKELSEVIKGPDRAFGDLIAKSGITDEMLDSLIALKDFQGVQGLPPLTEIENLRREKSSKKSSRAEIELQMQEEIAKARVRQVDEAGRAYGTGRRKCSIARVWIVPGKGKFLVNDKEFDVYFPMLDHRAALLRPLAETKTLGSWDINCTVTGGGTTGQVGAIQLGISRALQNWEPDMRTALRAAGFLTRDSRVVERKKPGKAKARKSFQWVKR >A01g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19941930:19943486:1 gene:A01g506800.1_BraROA transcript:A01g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLYRDSSAPLRLSFSASLLFSDSLHSTSVFSLSSDSTLARSLLMSLHLHYRLCQLLKNRRVETNQWSDVVMTEEEGIQFLMVDARHRSFAVTSRMHIEIYRYPAWGDVVEIETWCQSEGRIGTRRDWILKDIANAEVTGRATRLAFPEEENNRSLKKIPTLEDLAKYSIIGLKPRRADLDMNHHVNNFTYIGWILEVSVIIRRECQQDDVVDSLTTSKNGSATSGTQSHNDSQFLSGDGQEINCGTTLWRKKPSR >A09g513770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41888925:41894607:-1 gene:A09g513770.1_BraROA transcript:A09g513770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCRTYVSLNKLPGSVGKIDMDPALAGRMSLSRFGQGMEWIDGRHKEQWISSLICHTAALIGFAQLKDLGHGSEGRTDGRMAVPRFSLASDGWYWPVRLLLVIEPETDMSFQLVFNRNKNRNKI >A10g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20207896:20208646:1 gene:A10g506870.1_BraROA transcript:A10g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT5G09250) TAIR;Acc:AT5G09250] MSWRGKRKDEDVRASDDDSPAKKVAKPAESSEESDDIVVCNISKNRRVSVRNWNGKIWIDIREFYVKDGKTLPGKKGISLSVDQWNTLRNHADGIDKALADLS >A06p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000021.1:254195:273724:1 gene:A06p030550.1_BraROA transcript:A06p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREQEKSTENPDTGSEGTWWAMAPSDRTDGPCDRTGSGRPRDTSLDLAGHNQTANLDAGRLDGRFESHHRLGGWAKRLVMSQEARVAKGHELPRVVSYQRSRVPKSLPRTSPRTPYILALPAGSSISTHGTSVGVRQHTQDVRQHTQDVPHTGRPWLSISTHIITLVLELSMLTLPVDCSGDFGPRGLSVQYTKDFCGCPPEHTGRSWLSVCVCVCPSAYTGRLWLSISTHISTLALPVDCLGDFGPRGLSVQYTQDVHGCPPAHTGSLGLSVSTHRTSVAVHVCPCVSVSTHKTSMGVRQHTQDVRVCPTLVLGLSTLALPVDCLGDCCPRGLSVQYTHVTGTETVPEPKLSQISNNCHNCLNRATRDQGSFREVHLNNHKEVWHETNFHRRPAQPFITGAWNYKKIFTEEEVMNFINWRFPSPFSCEYQPLEEDFNQTMKRPFPDSSMGFKSSVLAFQEARNQKNWSRENQDAINFLKPAKRTSIWESFQPTRFGLTQACPWKPGDTLDHSEDTQDVHRCTSTQRIRRILLTIYFPYPATPYAFKESCLHLMSKDQRLYSFEPEETKILKILISSQRLLFRGYFSKISRYKISLFQRQQISLTASSHRAIKVIDSKHFISIYFLSLKDMEHWFDSNQVHEEDKTAIAEDTLTEDAFRKWEQDAYWRLAYDEPEATWQEMKELLYEEYVKGAGDELLNQIRVYTNLEPRRLILAKRPNRKAKLKNAHDLKLHQESTLIIKGATEHTTAARASGVQGVPTPQAKTRELSTKPLPKFHEKKKPSKSSKSSKPVEFICYRCHEKGHFAVTCPTRLVVTSNSLEVNLDSTSEVISHLACKFPTSGIMHLSCPKADYAGVNKDQEDVVSRLKQEEIIPEPDPQEGLKPATRNPLKLVDVSVQAHEEVQNNLNKLVCSVYDSSKATMICLSSPKRCDTGTSFSKGPMKQKKVILKRDDKAPPKEPSLLKHLSGKDGTTTSSILLQEEPPDQSPNRQAVPLDAPIKLPNQVSATILCLIINYLDIMHTDLLCPDKFEERLGCLENYVEHNPCLRSHLDKKQENFSSTEIGTHQTDLYVEYPMTTITHLSFAKEVEFMTGTNAENSGDISKAKFTPGRTRGIIISYLFKEEPPDAPCITKSKSNQGKTLDSQKRMKADLLYLGAGYTVSRSKPFQGGGNVTGTETVPEPKLSQISNNCHNCLNRATRDQGSFREVHLNNHKEVWHETNFHRRPAQPFITGAWNYKKIFTEEEVMNFINWRFPSPFSCEYQPLEEDFNPTMKRPSPDSSMGFKSSVVAFQEARNQKNWSRENQDAINFLKPANPTSIWESFQPTRFGLTQAYPWKPGDTLDHSEDTQDVHRCTSTQRIRRILLTIYFPYPATPYAFKESCLHLMSKDQRLYSFEPEETKILKSLISSQRLLFRGYFSKISRYKISLLQRQQISLTARPEAYLCWEKDMEHWFDSNQVHEEDKTAIAEDTLTEDAFRKWEQDAYWRLVYDEPEATWQEMKELLYEEYVKGAGDELLNQIRVYTNLEPRRLILAKRPNRKAKLKNAHDLKLHQESTLIIKGATEHTTAARASGVQGVPTPQAKTRELSTKPLPKFHEKKKPSKSSKFSKPVEFICYRCHEKGHLAVTCPTRLVVTSNSLEVNLDSTSEVISHLACKFPTSGIMHLSCPKADYAEVEFMTGTNAENFGDISKAKFTPGRTRGIILSYLFKEEPPDAPCITKSKSNQGKTLDSQKRMKADLLYLGAGYTVSRSKPFQGGGNVTGTETVPEPKLSQISNNCHNCLNRATRDQGSFREVHLNNHKEVWHETNFHRRPAQPFITGAWNYKKIFTEEEVMNFINWRFPSPFSCEYQPLEEDFNPTMKRPSPDSSMGFKSSVLAFQEARNQKNWSRENQDAINFLKPAKPTSIWESFQPTRFGLTQAYPWKPGDTLDHSEDTQDVHRCTSTQRIRRILLTIYFPYPATPYAFKESCLQLMSKDQRLYSFEPEETKILKILISSQRLLFRGYFSKISRYKISLFQRQQISLTASSHRAIKFIISYIVYFLYKLAVPLLHRSRLANLPSVRPSDLSKPNQYQTSVKPSVTSVAVRQLTQDVCGSPSAHTGCQWLSVCVRVCPCAHTGRPWLSISTHISTLVLGLSMLALPVDCSGDFGPRGLSVQYTQDFRGCSSAHTGRPCVSVSTHQTYVAVHQDTHISMLVFGLSMLTLPDCCWGQKQLRRNYYPKTLGNHISERNKIDSANFGSHNCSGDFGSRGLSVQYTQDVRGCPSAHTGRLCVSIITHRTSVALRVCPCVSVCVRVCPSAHTGRLWLFISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVRV >A05p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18403905:18405245:1 gene:A05p031550.1_BraROA transcript:A05p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCCFGSSDSDLVTGRASTSSGKGKNSDGEIKFGYSLVKGKANHPMEDYYVSKFTKIDGKELGLFAIYDGHLGERVPAYLQKHLFSNILKEEHFWFDPQRALVAAYEKTDQTILSHSDLGRGGSTAVTAILLNGRHLWVANVGDSRAVLSQGGQAIQMTIDHEPHTERLSIEDKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKTHLRSDPDVKDSSVDAHTDVLVLASDGLWKVMANQEAIDIARRIKDPLKAAKELTTEALRRDSKDDISCIVVRLR >A09p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4800311:4800801:-1 gene:A09p009300.1_BraROA transcript:A09p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCINGTQKKQRKEEERQASAEARARAAEAAMRREEEFKKSAHGRAAQAQLQQMAKQSANTNKGEPVLKWQMT >A01p021600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10535358:10537084:-1 gene:A01p021600.1_BraROA transcript:A01p021600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGFYPDWKDTSSSLFGSENMEEELEEAEELFSSIPQPQTPKEPMEFLSRSWSLSTSEIAKALALKHRQQQEQLCVAQNNTPVLFPDAAAAHPLMTGKIMNSFGTRRAGTLSKWFHHHREHSSSSNTTNYLKKKDKARVENAHVHSAVSIAALAAGVASVTSVSNCKGSSSKMALALGSATELLASHCVEMAERAGADRARVASRVRSSVDIHSPGDLMTLTAAAATALRGEAALKARQPKEARKNAAITPFERSFSDSHWPANFQFRLEEPNLPLEGELLQCARNGVQRTKRVCVYINKKSQVIIKLKSKHVGGAFSKKIKCKRIVYGVCDEKSAWPYRKERENSEEVHFGLKTGQGLLEFKCKNKVHKQRWVDGAHSLLRQVNCFEAAKCSLGSLSLTNHR >A01p018790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9143811:9144671:-1 gene:A01p018790.1_BraROA transcript:A01p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLASWLTPTTLFLLLNFTIGTIFFTNKLGSGSKKHQPHQDGFGPGHNHGNARLGRPPSFVDRVKSINFSLYNSPSHESEIHFHGSDSNPNPPPSLLQRVRSFNMPSFKFPQHNSEGDYAAYALTTPPEDTNRVDPVVKSPEDEANVPTQPTPGGPSLLQRVKSIKLPSLYRSEPEQSSEEPKPERTKSESSKPAMKSKKKKAVKKMTRSASEKVGVGQEEEAVEAVEKRRPETTRVERTTSIDEGEEGVDDKASDFINKFKQQLKLQRLDSFLRYREMLKNN >A05p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1772661:1775025:-1 gene:A05p004570.1_BraROA transcript:A05p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMKLLLSQARRQALTRPFSSPFPQIHRLFSSSPSSDPNPNPPPPNESPKKLEPVSYAAKPSEDLSRLTREEIRYTKDSPSLTPVSYAQRVAPLPEDRVVSEEDRERTPEEVEAERKRIESENRARRRFLRATAVEEDTSSLPLPTLLKPELRHGKKPIFDLMEAIREIKGNAKAKFDETLEAHVRLGIEKGRSELIVRGTLALPHSVKKDVRVAFFAEGSDAEDAKAAGADVVGGLELIEEILKSGKIDFDRCLATPKMMPRVYKISRILNNHGLMPNPKQGSVTKDVMKAVKDAKAGHTKFRMDKTSILHVPLGKMSFPEDALRENVGAFMNALLLAKPAGLKKTSKYAGYVNAFHLCSTMGKGYPVSIQSLSRAADHYTKLQLK >A04p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10836561:10839601:1 gene:A04p017950.1_BraROA transcript:A04p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELPSITVSQREQFLVENDVFGMYSYFDTSDIATQKFMVEIQRDKQLDYLMNGLRQLGPSFSSLDANRPWVCYWIIHSIALLGESVDDDLENNAIDFLGRCQGSDGGYGGGPGQLPHLATSYAAVNTLVTLGGEKAFSSINREQMACFLRRMKDTNGGFRMHNMGEIDVRACYTAISIASILNIVDDELTRGLGDYILSCQTYEGGIGGEPGSEAHGGYTYCGLATMILINEVDRLNLDSLMNWVVHRQGVELGFQGRTNKLVDGCYTFWQAAPCVLLQRFFSSQDIAPHGSSSHMSQGTDEDHEEHGHDEDDPEDSDEDDSDEDSDENSGNGHQVHHTSTYIDRRIQPVFDSLGLQRYVLLCSQVADGGFRDKLRKPRDFYHTCYCLSGLSVAQHAWSKDEDTPPLTRDILGGYANHLEPVHLLHNVVMDRYNEAIEFFHREA >A08p042290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23745077:23746837:-1 gene:A08p042290.1_BraROA transcript:A08p042290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELGRLKTSTNHQPWPPIGAPMNLRREEPCKSRFDDDSVNAVSFGFVATAILISMFLVMAIFEKLIRTTTTNPDSSSGRILSGMDSRVGLSGSAASKLGYQSPKATFLKPNIPPMLELNHIEAFKDMRGPINMTVYANGVSVLMPGDNIPTFIAHPVPVPHPSQHISKSQHQHSSSRDSSNSNSIQEC >A09g517310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50984541:50985011:1 gene:A09g517310.1_BraROA transcript:A09g517310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTAIRVLKAALNDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A06p025440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14794846:14798744:-1 gene:A06p025440.1_BraROA transcript:A06p025440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPPNPQNRIKLRDGRYLAYKERGIPKDDAKFTIVLVHGFGSSKDMNFNVSQEFVEETGIYFVLYDRAGYGESDPNPKRSLKSEASDVQELADGLQIGSRFYLIGISMGSYTVWSCLKHIPQRLAGVAMVAPVVNYRWPSIPKSLMKNDYRREVLKWSFWIAKYFPGLLHWWVTQNMFPTTSMLEKTPANYFNDQDIEVLKHTKGFPMLSKERLREHGVFETLRSDFLVAFADWDFDPADLPDPFPSAREKSPSSVHIWQGYEDKVIPFQLQRCLCHKLAWIKYHEVSKGGHLIVHYEGVCDAILKSLLLGEDLPMYKPKAVVTEP >A01g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25137622:25138763:1 gene:A01g509260.1_BraROA transcript:A01g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVTIPLSCLRPPPDPPPPSFLGLMQHCSSSLKMMVTALPPLSQPRPPSDLAQNKHLPIETPRSSHQNLQTQQTVSSKLSDDGAVLVFTGDTIFVNWSSSPVVHRLYLCQLGNGSSPGSYSSFHFIHPLIDVQMTLELWFLALFGSVLMDSVSFGYIFVPFSGFYVALMQLSTALKETIIVICCLVNMVMVGIDYPLGSCLEQSLFPIFPHVWSELDEHVWLVLQGFSSRLTLFPAFSAVVVTLRVTRDAIVQETHETVVMRFLMFTCCDLYFHSILGLSVSYSIGLFVALLYSPLTELKLF >A07p010000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6228878:6229201:1 gene:A07p010000.1_BraROA transcript:A07p010000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESIDVILPRNHKERINVEQHCLASNNVNIIACEGAERIERHELLGKWKSRFSMAGFEPYPLSSVVSATIRPLLKDYNNGYEIEERDGALYLGWVDRILVSSCAWK >A05p000180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000061.1:42250:42590:1 gene:A05p000180.1_BraROA transcript:A05p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNKRIRTGLGGGNLQDSLHKGFLDIGQKEVNRAWWQPPLSSDSWKPIQNTCQKVLASCDRYSQ >A05p044600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26793809:26796968:1 gene:A05p044600.1_BraROA transcript:A05p044600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MSGRNRGSYGGGLQPPINQPPFVRGLGGHAPPPLPHPHPSRPLDDNRELPQFRNHHPQHHHHSIIEDRIAAQNQDVQGLLADNQRLAATHVALKQELEVAQHELQRMMHYIDSLRAEEDIMMREMYDKSRRCEVELHQVEALRADVQKVRADIKEFTASRQELTSQVHLMTQDLGRLTAELQQIPTLTAEIENTKQELQRARAAIDYEKKGYAENYEHGKVMEQKLVAMARELEKLRAEIATSESRAHATGPVGNPGGVGYGGGYGNHDPAGYAVNPYQPNYAMNPKKKAMDAQYQNLPPLKRLRLMQRDLELAHQQQQQPSQPEVKPSQLPAKKRKHSRVDYDDDCENSSPAYRCLPAKKRIWAIDPDLLSGTPFSPFDLNVEYTPYVNEDGIEKKNPSPLVESNPQEEDDDKENIDPLSIPEDEDGIMCGVCQSTDGDPSNPIVFCDGCDLMVHASCYGNPLVKAIPEGDWFCSLCTESTSLKKKREKPFFSCCLCTTKGGAMKPTKDGRWAHITCSLFVPEVYFEDPEGREGICCSEIPSRRWKERCYLCKVRRGCVIECSEMKCELAFHVSCGLKEELCIEYREGRRSGGIVVGFCSEHTKLWERESGKYKIVAR >A08p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5211096:5214122:1 gene:A08p007880.1_BraROA transcript:A08p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSGSMEKLKPILAIISLQFGYAGMYIITMVSFKHGMDHWVLATYRHIVATLVMAPFALVFERKIRPKMTLPIFYRLLALGILEPLMDQNFYYIGLKSTSASYTSAFTNALPAVTFILALIFRLETVNFRKIHSIAKVVGTVITLGGAMVMTLYKGPAIEIVKAAHSSFHGGSTTATGQHWVTGTLAIMGSISTWAAFFILQSFTLKLYPAELSLVTLICGIGTILNFAVSMIFVRDLSAWKIGMDSGTLAAVYSGVVCSGIAYYIQSIVIKQRGPVFTTSFSPMCMVITSFLGALVLAEKIHLGSIIGAVFIVIGLYSVVWGKSKDAVNPLDEKIVAQELPITNVVKQHGHDLSGAQPNGLDVSSAPTNGGSANT >SC312g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000157.1:11225:20283:1 gene:SC312g500020.1_BraROA transcript:SC312g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFPNKHRLRVGESRLGLVISGSYAYNPTKTEVLAKDERITTESAGTDGLKLFKPRFDFHHTGQTDPGLSRNADKPDGTLPGTMARWIDFTYNCVFTVLLVAHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRIHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRKSDPYLVLSSAIRATLPVYDVWSPKENVQKKPNRWSKEHVNTFKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFNDFSDSSPIFDETDEEPIGNLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSHQVFEEEPLNFPHQCPCLETWISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSNLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLINDEFFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDILSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRDSVQEGYLNSPKVFCLESNFKRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRTHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSIFGKQRTCLMLTHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDEVSLVALNKQDKHDQFLRRASTNRRQSHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHQTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKATFLSLLVRLSPSFDPSFVGPVRHIRQRSKSGSIIGSSATLCVTLGARAQSLQTLAIQSPLIRRMFIDPLTSIVPTTLEMPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPILRTRTVRYTRTSVCVRQHTQDVHGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVGCLFSTHRTSVSTRRTSVAVRVCPCFRQCTQDVRQHTQDVRQHTQDVCGCPCVSVCPSVHTGRPSAHTGRLSAHAGRPSAHAGRLWLSVCVRVYVSAHRTSVSTHRTFVSTRRTSVSTCRTSVAVRVCPYVRQHTQDVRQYTQDVHQHTKDVRGRPSVHRGRPWPSVSTHR >A05p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7127651:7128815:1 gene:A05p016040.1_BraROA transcript:A05p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVLYATTLRDLCMRFMLHIVLTIYGFSLSPHKHIVIPRVQHSLKAEVKISFIAAVLPSIYADETENSSTMCCFKLPDAYGGRCPHKGVKATNVVSSGASIGIYRLLSSWMVDQTTLGRVSLRCETMLLVKEGLSNFFSYLFFVDVIVNRLLAMNKKKTLVIVNKLVEDSRRDIEKAVEDKSVSHYEISSILPPTLVATSRQLEGKVEVQKLMEENVNNAKSWL >A02g510280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27365086:27372377:1 gene:A02g510280.1_BraROA transcript:A02g510280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESEEVRMVGLCGSSGIGKTTIARVLFNRLSRHFQDICDVLSEGIGTQKVIGIALDIDEIDEFYVHKSAFTRMRNLRFLKLYSRLRLYREGKLQLHGNFDYLPPKIKLLHWDEFPMRYMPSKFRPENLVELIMEDSKLEKLWEGILTLPCLKEMDLSGSQNLIEMPDLSKATNLDTLKLQNCYSLVKLPSSIPHPNKLATINLKNCRNLETIPIGISLKSLEVLNLYGCSMLRTIPLFSVNISHLSIDETSIEEIPSVLQLENFRLENLSYLSMKNIKSEKLWERVKPLTLLTTMLSPSLNQLYLSEIPSLVGLPSSFQNLHQLMHLEIKNCINLETLPTGINLQSLWELNLSGCSRLRTFPDISTNIGRLYLSETGIEEVPRWIEKLSWLRVLYLNGCINLETLPTRINLPSLYVLDLSGCSRLRDFPDISTNIKVIWMKGCNKLEYVNLNFFKLTRLEEVDFSNCLNLDKEALFQQRTYLGCQLWFSGEEVPSYFTHRTTGTSSSLTVHLLPSSLSQPFLRFRACLVLFDNYVANFRFKGRFWNSFDSFGQQAQDFWGETEYYSIKPFVKGSHLLILDCDIHLSIGSDILAEMNYTHVDLQLDFPSEYELKEWGIRLCSLADNQLGNPNTLPHVFETDGGNTLYQAGEGEGCGSNDQVTERSSKRMRAINIAVICLAVIKSTNRKHPVGFQSVAVIKSTNVKRQSSCIRRNNGEVVAKEVKNQWKQALTDVANILGYHWDNDAKMVKEIAIHVLLKLSPSQDWEYFVGIEDHIKEMNLLLNLDSEEVRTVGIWGRYGIGKTTIARSIFRLNSSHFQSSNFIDRRFVSKSMENYSRSNPDDYKVKSRLQKSFLSEILGKKHQKVLIVIDGLDDQLVLDALAFQTQWFGNGSRIIVVTHDKSLLMAHGIDQIYEVSLPSEEQAHKIFCRSTFRQDYPPECFRELAFEVVTNHTPFSLNFLGWCLRGRDKEEWSEWINRALKFPYDVLLHNEKEKLIFRYIACLLNFEKVRDILWLLEDSDLGVEIGLNNLVDKSLVHVREDTIEMHCSLQELGKDIVLAQSNEPEKREFLVDSTDICHVLEDNIATTKLLGISLDMDEIDELYMRAPSKDCVI >A03p061350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26663820:26665696:-1 gene:A03p061350.1_BraROA transcript:A03p061350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFDNNFAVMGEWLDPSPNHKKRSKAELEREVYLEDIKNKGFLNASFQEKPCYSSNGLSERIAARTGFKVQRLKTESIFPSPCLAISSPGVSPATLLESPVFLSNPLTSPTTGKLSSLPSDKAKDEFTDDIATSLALRLDPTTNIGSEPDDSQAHDSGLGDSMPSAAHGDDGYNWRKYGQKLVKGSEYPRSYYKCTHPNCEVKKKVERSREGHITEIIYVKTHNHLKPPTNRRSGTGRSGTGDDMQIDGTGTKENLQWTSPVSEEVGYGSHSGSMQVQSGTQFGYGGVATDAFSKDEEDCTFYMSVSLGYHGQIDESEPKRRKLETSGSTRGTREPKVVVKTTSDIDILEDGYRWRKYGQKVVKGNQNPRFLYPSALFCCSFLPKNVITICFLCNDSGATINAQLVDVTTSSHVGSGSSGTLQGGLATQTHNRHVHYPLPHSSSEELVTANSSLHDFQPYLRSPSGFSVYYVGETELTDISMSGLPIEQERFFGLEALAIGDPDGLMLQLAAEPKVEQVSQQELGLSRSSLIDIMSRLPQI >A07p006240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:189684:190004:-1 gene:A07p006240.1_BraROA transcript:A07p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIQRPSSTINLHELRSCLVQDFFEVLNVSGSIGYPFRFGFGSDNIHNPKYHKTRSIRYLRRVRI >A10p038900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21657163:21660318:1 gene:A10p038900.1_BraROA transcript:A10p038900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTDSYAAASPEELTKRSPEPHDDSEADSAEKPTHIRFLVSNAAAGSVIGKGGSTITEFQAKSGARIQLSRNQEFFPGTTDRIIMISGSTKEVVSGLELILEKLHSELHAEEGSDVEPRRRLRLVVPNSSCGGIIGKGGATIKSFIEESKAGIKISPLDNTYYGLSDRLVTLSGTFEEQMRAIDLILAKLTEDDHYSQNIHSPYSYAGLSYSGFHGHPYAYVLPSVATAGYNSANYAPNYAHNGSGGKYQNHKEEASTTVTIGVSDEHIGLVLGRGGRNIMEITQMTGARIKISDRGDFMSGTTDRKVSITGSQRAIQQAETMIKQKVDSASERANE >A05p019210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9043267:9044776:1 gene:A05p019210.1_BraROA transcript:A05p019210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSGEEEEEEGGVGKKDRIFRADKIDLKSLDKQLEKHLSRVWSRNLEITHKAKEEEWEINLAKLETRNVIARGTFGTVYKGIYDGEAVAVKVLDWEDDGQESKTNRALFRQEVTVWHKLNHPNVTKFVGASMGTTNLKIPTADSENSLPQRACCVVVEYVSGGTLKQYLIRNRRKKLAFKVVIKLALDLSRGLSYLHSEKIVHRDVKTENMLLDVQSNLKIADFGVARVEALNPKDMTGETGTLGYMAPEVIDGKPYNRRCDVYSFGICLWEIYCCEMPYPDLSFVDVSSAVVLHNMRPEIPRCCPTVLANIMKKCWDGNPQRRPEMEEVVKMLEGIDTSKGGGMIPEDQIPGGCFCFAPARGP >A09p028770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17250920:17253848:1 gene:A09p028770.1_BraROA transcript:A09p028770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWYKSISSVLGLRGLLFFILGVVALVTILAPLTSNSYEASSTLVPNVYSNYRRIKEQAAVDYLDLRSLSLGTTLREFPLCGKERESYVPCYNVTGNLLAGLQEGDELDRHCEFERDKERCVVRPPKDYKIPLRWPLGRDIIWSGNVKITKDQLLSSGTVTTRLMLLEENQITFHSEDGLIFDGVKDYARQIAEMIGLGSDTEFAQAGIRTVLDIGCGFGSFGAHLVSLKMMPICIAEYEATGSQVQLALERGLPAMIGNFFSKQLPYPALSFDMVHCAHCGTTWDIKDAMLLLEVDRVLKPGGYFVLTSPTNKAQGNLPDTKKTSISTRVNELSKKICWSLTGQQDETFLWQKTSDSNCYSSRSEASIPLCKEGDSVPYYHPLVPCISGTTSKRWIPIQNRSAVSLTTSAGLEIHGKCFFLFLFHSFRFTWYLHFLNVSGLKPEEFLEDTQIWRSALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNARFGNLNSALLDQGKSAWVMNVVPVNARNTLPIILDRGFAGVLHDWCEPFPTYPRTYDMLHANELLTLLSSERCSLMDLFLEMDRILRPEGWVVISDKLGVIEMARALATRVRWEARVIDLQDGSDQRLLVCQKPFLKK >A09p062960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51306814:51308052:1 gene:A09p062960.1_BraROA transcript:A09p062960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAAEWKFHVLAVDDSLVDRKLIERLLQKSSCQVTTVDSGYKALEFLGLRQGIESNDTTALSLSPQEANLIITDYCMPGMTGYDLLKKLKESSALKNIPVVIMSSENVPARISRCLEEGAEEFFLKPVRLADLNKLKPHMMKTKLKNQKLEEIETPSDDENGTVAAVEPEIKDSVEMEIIKMLPLQSETEPKRVLLQVVQQEEQMLSNNKRKSMEQGLSTDRPRPSLEGIATAV >A05p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2083209:2087554:1 gene:A05p005370.1_BraROA transcript:A05p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMKRGHVLAVPYPTQGHITPIRQFCKRLTSKGLKTTLTLTTFIFNSIKPDPSGPISIATISDGYDLHGFDSSASIDDYLQDFKTFGSKTIGDIIRKHHTSDNPITCIVYDAFMPWALDVAREFGLAASVFFTQSCAVNYVYYLSYVNHGSLKLPIQDLPFLELQDLPSFFSVSGSYPAYFEMVLQQFTNFEKADFVLVNTFQELDLHVICPVLTIGPSVPSMYLDQRIKSDTDYDLNLFDSKDSSFCTSWLDTRPQGSVVYVAFGSMAKLNSVQMEELASAISNFSFLWVVRESEEATMPSGFLETVDKDKSLVLKWSPQLEKACPVLTVGPTGLVAAPCIRIYLLHFLQRFKATHTLTAFIFNTVHLDPSSPISVAKISDGYDQGGFSSAGSVPEYLQNFKTFGSKTVADVIRKHQASDDPVTCIVYDSFMPWALDLAREFGLYAAPFFTQSCGVNYVNYIFYVNRGSLNLPIKDLSFLEPQDLPTFVTPTGSHLAYFEMVLQQFTNFKEADFVLVNSYKELDVHEEELLSKVCPILTIGPTVPSMYLDQQIKLDNDNDLNLFDSKEAALCTAWLNTRPERSVVYIAFGSMAQLSSVQMEELASAVRSFSYLWVVRASEESKLPSGFLESVDKDKCLVLRWSPQLEVLSNKAVGCFMTHCGWNSTMEGLTLGVPMVAMPQWTDQPMNAKYIQDVWKVGVRVKAEKETGVAMREEIEFSIKEVMEGEKSKEMKKNAMKWRDLAVKSLSEGGSTDININIFASKIQTK >A03p009820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3914303:3915028:-1 gene:A03p009820.1_BraROA transcript:A03p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANMVFMMEAPPSGPRISFSADLSSSDSDGDYICINPKNLLPGKVEQDKSSSKAGDFEFLSNTQTMLTADELFSEGKFLPFRHVKHYSEKLQNVTLKTKAEEEEEEQEEDRKVVKEETVNNSNRGSWFLDDDPSPRPPKCTVLWKELLRLKKQRNSTKASSLSPSSSSSSTSSSSSSIGDAVKKEEREKEGKRGKKGLERTRSLSMRIRPMIHVPVCTPPSKPPLFPLRLHKNKVERRT >A05p044790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26871799:26876422:-1 gene:A05p044790.1_BraROA transcript:A05p044790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative disease resistance RPP13-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G14470) UniProtKB/Swiss-Prot;Acc:Q9LRR4] MQFVSWPAASGSEKGFGCIIFSLKKAQGRKETDKMTGGELILSAFLQALFQTLMSGPFKSFFKRRELNECVLERLNTALLTISAVLIDAEEKQITNPAVEKWVNELRDVVYHAEDALDDIATEALRLNIGAESSSNTLRQLRIRTLGDLLDGSSDHLETRLAKVTIRLERLASQRNVLGLKEITAMTPKQRLPTTSLVDESEVFGRGDDKDEIMRLLIPENGEDSGTAVVAIVGIGGVGKTTLSQLLYNDQRVQSHFGTRVWAHVSEEFDVFKITKKVYESVTSRPCEFTDLDVLQVKLKERLIGPFLLVLDDLWNENFADLDLLRQPFTSAARGSRIIVTTRSQRVATIMCSVHVHNLKPLSDGDCWSLFMRTVFPNQDPCLDQEIGDLAERIVYKCHGLPLAAKTLGGVLRFEGNVVEWERVLSSRIWDLPADKSNLLPVLRVSYYYLPAHLKRCFAYCSIFPKGHAFEKEKVVLLWMAEGFLQQTRSSKNLEELGDEYFSELESRSLFQKTKTRYMMHDFINELSQFASGEFSSKFENGCKFQISEKTRYLSYLRDNYGEPMRFEALREVKYLRTFLPLSLTNSSRSCCLDTMVSEKLLPTLTRLRVLSLSHYKISRLPPDFFRNLSHARFLDLSRTELEKLPKSLCYMYNLQTLLLAYCSSLKDLPTDICNLINLRYLDLIGTKLKRMPKRFGRLKSLQTLTTFFVSASDGARICELGELDELHGKLRIVELQRVVDVADAAGANLDSKKHLKEIDFIWRTGSSSSESNTNPHRTQNEAEVFEKLRPHRRIEKLAIERYNGKKFPDWLCDSSFSRVVCIRLRECRNRSSLPSFGQLPGLKELYISGMVGLRSIGSEFYLSPSLRDRDQQPFKSLETLRFDNLPDLEDWSDIRVTKGDLFPSLKKLSILRCPELTGNLPTFLPSLISLHIHKCGVLDFQPDHHEYSYRNLQRLSIKSSCDSLVTFPLGHFANLHTLEFDNCISLQSLQLSKEHSYGPNALRNLRINDCQNLQRLPELNLQVTVSVTNCRNLRQPMEPQPQYHQFHLPRLNVSGSQRSHGSHRSYDSRSPSRYD >A08p045580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24955532:24962021:1 gene:A08p045580.1_BraROA transcript:A08p045580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDIECCHQILLRNPVSMRNSSKLCVAFLIMVLLFGPSHELPPLWPRTDLTMTNNIGGPVLTVHCKSKDDDLGVHMVASKTDYHFSFQPNIWKTTLFFCSFQWNDQVKQFDIFDAPRDQDDGYKFNWTIKPDSPCKIAHDQNRKATVQKLLEGRKQQRSMEVEKQSNKRRKLPEGICRGVELLQKGMKRINEDLVSHLVSLAASDIAILLRNPASMRKSPKLCLAFLIMVLLFGLSHGLPPFWPRTDLTMTNNLGGPVLTVHCKSKDNDLGVHMVAAKTDYHFSFQPNIWRTTLFFCSFQWNNQVKRFDIFDATRDQDDGYKFNWTIKPDGPCKLASGLQPHFKISMVLHGHHLCSGCHRLRCSVQGNATLSSNPSEAVVVSLATVALYAAHNNPGEATTKVLIGVAIKAIKPESQFDRAKMTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRYARRISNTGPSAMAIFLTVSGAFAWGMYQVGQGNKIRRALKEEKYAARRAILPILQAEEDERFVSEWKKYLDYEADVMKDVPGWKVGENVYNSGRWMPPATGELRPDVW >A03p058680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25433570:25436084:-1 gene:A03p058680.1_BraROA transcript:A03p058680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLLVMLVVLIMAFQSLGTLDYGHALNKSILFFEGQRSGKLPVKQRVNWRADSALSDGSPDNVNLIGGYYDAGDNVKFVWPMAFTTTLLSWAAIEYQKEISSVNQLGYLRSAIKWGTDFIIRAHPSPTTLYTQVGDGNADHACWQRPEDMDTARTLYKISSSSPGSEVASEAAAALASASLVFKSFDSKYSSTLLSHAKSLFEFADQHRGSYQASCPFYCSHSGYNDELLWAAAWLYKATGENKYLSYVVSNQGWSQAVNEFSWDNKFAGAQALLASEFYNGKNELGKFKNDVESFVCALMPGSSSQQIKPTPGGLLFTRDGSNLQYATAATTVLFHYSKTLTRARVGSIQCGSTKFTASQIHDFAKSQVDYILGNNPKKMSYMVGFGNKCPTQPHHRSSSLPSIKSKPDKIDCKGGFSYFNSDQPNPNEHTGAIVGGPDKSDHFSDKRSDYAHAEPTTYINAAFIGPVAALICRNSN >A05g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12421918:12422622:-1 gene:A05g504510.1_BraROA transcript:A05g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGVIVSDPWLQSQLTQVELRSLNSKMVCFYSKCRDDERAINMKRVLNPWERNENHTLCLNSVRAVGCSVVNIGTHDMAEGRIQLLADLSLKKMASAY >A09p010310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5236846:5238314:-1 gene:A09p010310.1_BraROA transcript:A09p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGLVLAMALCLTISVFPDTTTAQLKPNFYGKSCPNGCDASVLIQSTPNNKAEKDHPDNVSLAGDGFDVVIKAKKAIDAIPSCRNKVSCADILALATRDVIVAAKGPSYKVELGRRDGLVSTAASVNGNLPGPNDNVDKLNKLFAKNKLTQDDMIALSAAHTLGFAHCSKVANRIYNFNRTHPVDPTINKAYVKELQAACPKKVDPRIAINMDPVTPRTFDNVYFKNLQGGKGLFTSDQVLFTDRRSRPTVDAWAKSSPAFNAAFVKAMTKLGRVGVKVGRNGNIRRDCGAFN >A03p011140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4471647:4473119:-1 gene:A03p011140.1_BraROA transcript:A03p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSQVNEDSEMIPDQEEEAAWLLLDKVTAPVLLKESAGDKSCCIFKIPHTLGRANHTAYAPKIVSIGPYHRSDDKEHDNLKMIEEHKKRYLEFFVSKTKDNGVNLSHLVNVVSNSEKAIRDSYSENLDLSQEKLTKVMLLDACFILMLFLVVSREIEYKNFNDPIFKLRWILPTLRSDLLLLENQVPLFLLNDILKESKLAPSTTLNEMAFKFFNYSIKKPEAFWEKHKNLRAKHLLDLIRKTFIPIQSPPTSQRQCCIDISSGPEEKTIAKTLKSTCLSKIRPSKKSSGDQTSPPPSPFLGLIVSAKKLRLRGIKFKRRKNVDTPLDIRFKNGLLEIPLLVFDDFISSVLINCVAFEQFNMRFSTEITSYVTFMGCLINTEEDATFLVEKGILENYFGTGEQVSLFFKNIGKDISFSIAKSYLAKVFEKVNKYTSQGCHVHWAGFKYTHFNTPWTFLSSCAALLLLLLTICQAFFAAYAYFRPPKNN >A03p049230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21071012:21075078:-1 gene:A03p049230.1_BraROA transcript:A03p049230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHSSNHPWLLLAILVVLLCFPGALSSKDEEKATSKNTKKEVTYDGTSLIINGKRELLYSGSIHYPRSTPDMWPKIIKRAKQGGLNTIQTYVFWNFHELEQGKFNFSGRADLVKFIKLIEKNGMYVTLRLGPFIQAEWTHGGLPYWLREIPGIFFRTDNKPFKEHTERYVRVILDMMKEEKLFAPQGGPIILGQIENEYSAVQRAYKNDGSNYIKWASKLVHSMNLGIPWVMCKQNDAPDPMINACNGRHCGDTFPGPNREHKPSLWTENWTTQFRVYGDPPVQRSVEDIAFSVARFFSKNGSHVNYYMVDKNTYHGGTNFGRTSAHYVTTRYYDDAPLDEYGLEKEPKYGHLKHLHNALNLCKKALLWGQSRTEKPGKDTEIRYYEQPGTKVCAAFLANNNTESAEIIKFRGKDYVIPPRSISILPDCKTVVYSTGEIVSHHTARNFMKSKKANKKFDFKVFTETVPQELKGDSYVPVELYGLAKDESDYGWYTTNFKIDDSDLKKKGGKPTVRVASLGHALHAWLNGEYLGNGHGSHDEKSFVFQKPIALKEGDNHLTMLGVLTGFPDSGSYLEHRFTGPRSVSISGLSSGPMDLTEKSKWGNKVGMEGEKLDIHTEKGLKKVKWEKFSGKAPGLTWYQTYFDAPESLSPAAIRMNGMGKGLIWVNGEGVGRYWMSFLSPLGKSTQIEYHIPRSFLKPKKNLLVIFEEEPNVNPELIDFVIVNRDTVCSYIGEDYTPSVRHWARKNDNVQAITDDVQLTANLKCSGTKKISAVEFASFGNPTGSCGNFTRGSCHAPVTKQVVEKYCLGKAECVIPINKSTFQEDKKDSCPKVVKTLAVQVKCGRPKKN >A06p004950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1423198:1423680:1 gene:A06p004950.1_BraROA transcript:A06p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRHIVLKSSDGETFEVEEAVALKSQTIAHMVEDDCIDGGIPLANITGVILAKVIEYCKKHVTVVPDGEGNSSSSLEEELKNWDAEFLSNIDQSTLFHLIMAANFLNIKDLLDLTCQAVADMIKGKSPEEIRAQLNIENDFTPEEEAQIRAENQWAFE >A03g509670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:31242597:31242845:1 gene:A03g509670.1_BraROA transcript:A03g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSEV >A09p068360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53596700:53598304:-1 gene:A09p068360.1_BraROA transcript:A09p068360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSTAHTIPPVLRFRATDSKSLSDSFWKSRVIACCVRSENLVKLGAGVNLSRGPVVKPSLQKRVVIRFATIEEIEAEKSAIEKDVVRITIPLHKSKMEKTIETLRTSFNAIRTGRANVAMLDKIEVEYYGSPVSLKSIAQTSTPDGTSLLLQPYDKSSLKAIEKAILSSDLGMTPNNDGDVIRLSMPPLTSERRKELTKVVAKQSEEGKVALRNIRRDALKSYDKLEKEKKLSEDNLKDMSSDLQKLVDTYMKKIEELCKQKEKELLKV >A05p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4293750:4300619:1 gene:A05p010260.1_BraROA transcript:A05p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHMTIDLRTVECLRGRLLAERQVSRSAKEEAELITRRMEELEKHLKEEIRLREKAEKRLKFLIKKLEFIKGSNSSESSEQSSSSEASCLSSVSTSASNEEEVEEQETRTGLQETHGNGFLEEGKVYQATENVVSRVKELNSNVIVVSSGEVSVIASASSQEGESQAEIVLFVKYVVIFGEQALTGGRFQGRLWICLETSTRSKISREEETERGEKWKNFLDRLDHSPEPCSSEEEFQDTFPAEDGSESGEESAASGEGSRKEKHESELGDEEVQQFEQCTSETVDELSKESELDKDAQVLEKTSKPVEDDNEQLEPDKEAQVLEKDRKPVEDDKEQTESEEESTASGEGSRNGKHESEHAGKEVQDPETVAEISKERDSDKEVHVLEENQYLRVKSLEKDSKHVEDDKEQSEFEKDKEKKEHSDKSEADEEKQSQSVEQTEDDAHIQQENATEKPVAEADKCHEKEQHKKSRSVIEWADIRPCLSSIEDMMCTRVKNIMNMKNSRSHRASRINKALSSIGESVGEETDHDDSDSIKEEKDAQSSSVTPKPFFPWFEELEVLVRLGVPKDLRGEVWQAFVGVKARRVDNYYHDLLAHITNFDESKEHDVQRKWKKQIEKDIPRTFPGHPALNENGRDSLRRILLAYACHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGVIDEYFDGYYTEEMIESQVDQLVFEELMRERFPKLVNHLDYLGVQVAWISGPWFLSIFVNILPWECVLRMWDVLLFEGNRVVLFRTAFALMELYGPAIIATQDAGDAITSLQALASSTFDSSQLVLTACMGYLSTNEARLEELRVIHRPAVLEIVEERMQKGRVWKDKKGLASKLYSFKHEGSILVDEQTLKQEGENLEDGSNVDLELDSLPDLQEQAVWLKVELCRLLEEKRSAVLRAEELEIALMEMVKEDNRLELSARVLMKVEQDQKLTEDARVSAEQDAAAQKYAVHVLQEKNEKLAAQLAQMEKRVVTAETTLEATLQYESGQNKALSSPRFAPQETLKKKTGFLSFGLGWRERNKAKEPEETNGDSTSSATSEAKSPEESKSEDLLNPEIKR >A06p050750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26698375:26705036:1 gene:A06p050750.1_BraROA transcript:A06p050750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLCFCLITLVTLILFEKKIKQSKWNLPPSPPKFPVIGNLHQIGELPHRSLERLARKYGPVMLLHFGFVPVVVVSSREAAEEVLRTHDLDCCSRPKLVGTRLLSRDFKDIAFTPYGEEWKERRKLAVRELFCLKKVQSFRYIREEECNFMVKKLSESAVCRSPVDLSKALFWLTASILFRVALGQNFNESKFIDKEKIEELVFEAETALGSFTCSDSFPVAGLGWLVDWLSGQHKRLNDVFFKLDDLFQRVIDDHLSTGRSKDHQDIVDSMLDMIHKQGQNGSLNLTVDHIRGVLLNIFLAGIDTGAITMIWAMTELARNPNLMKKVQREIRDALGNNKKTITEEDVEKVPYLKMVIKETFRLHHAVPLLLPRETMVHIKVQGYNIPPKTQILVNAGAIGRDPKLWTNPEEFNPERFINSPVDYRGQYFELLPFGSGRRICPGMPMGMATVELGLLNLLYFFDWSLPDGMTHEDIDTEEAGTLTIVKKVPLKLVPIRPKKRKMEISLLCLFLITFTSLIFVGKKIKRSKWNLPPSPPEFPIIGSLHQVGELPHRSLQRLAERAGHVMLVHLGFIPVTVISSKEAAEEVLKTHDLDCCSRPKLVGTKLISRGFKDIGFTPYSEEWKERRKFLVREFFCFKKVQSFGYIREEECNLLVKKLFESAVDQSPVDLSKTLFWLTASIVFRVAFGQSFHESQLIDKEKVDELIFEAETAQASFTCSDFFPIAGLGWLVDWLSGQHKRLHDIFFKLDALLQRVIDDHMHPGRSKGDITDLMLDVMHKQGKDDALQLTLDHIKGFLTNIFIAGIDTGALTMIWAMTELARNPKVMKKLQGQIRDHFGNNKERITEKDIGKVPYLNLVIKETFRLHPVVPLLLPKETMAHIKVQGYDIPPKRRILINAWAIAKDPKLWTNPEEFIPERFIDSHVDYRGQHFELLPFGSGRRICPGMAMGIATVELGLLNLLYFFDWKLPDGMRQRDIDVEEGGTLTIVKKVPLKLVPVRVH >A09p037940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000278.1:399:1901:1 gene:A09p037940.1_BraROA transcript:A09p037940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTVGRSVNNTTDVRVCSVQQKQQAVRGHPSAHTGTTWQGPNTTISTPKGRPAVQISTTSGTVPLTVRPKRVVVCPVCFPVSAQQTSVSNTVTSGQHADVRGCPCVSRCTSVPPETYVSTHKTLLQHMQKLIRPAHADVRGCPMCVRVSRQCTQDVRPHTQYFRHTLHKNVRQHSAVRPSVKRMTSVAFSVVCTCVHVSTLRRPSVTHSEFTSANKGRRCRPVITTEDGLGRPRVRRKTSHQGTSVITARGRLWTVIAHTGVRQHTQDAVSFPCVPSVHQDVVRRQPQDVRHWYTRDVRQQTQDVRGPWSVIHLSACGPSLWTVMVILAPGLSCFITRGDVRQHMQTSRCLCPCGRFFPWTVLVILILDKLITVRTHIQHAAPSRDQIPLLIRVLNSYQHADHIYQHAGSSRGLIRVLIRVLIRVLNSYQHADLTYQHAGPSRGLSVY >A01p054850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30860450:30863398:1 gene:A01p054850.1_BraROA transcript:A01p054850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAESSDSKSKKDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPATMEKLQLFRGDTILIKGKKRKDTICIALADESCEEPKIRMNKVVRSNLRVRLGDVISVHQCPDVKYGQRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFHTALGNSNPSALRETVSVDITALKTRSEPVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGGGGGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEDSRLNIFKACLRKSPVAKDVDINALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRSENPEAMEEDGVDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFETNAAGSGATTGVADPFATSAAAAADDDDLYN >A03g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28515877:28523994:-1 gene:A03g508170.1_BraROA transcript:A03g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTQETVKSEKVKPEKELRGGLSQLRDEESLGTIGDDMEEVHDEAIREVLAKDQVEDVQENSPVEPNDQDVREETSPALTASLDPSLVDPSLPSDPSAAQGQGLSLLEQKSDSRVVNNLSVSPVLRKDSGKEDVSVVAPVDEVDEVAVENREVEASPALPSLVESSLPSDPSAAQSQGLLPLLEQKSDSQVVNNLSVSPVLKTDSGKEEVASAVLPVNEVAVESHEVETSPALTPSSNPLVEPSLPSNPSAAQDQGLSLLDQKSDSQVVNNLSVSPVRKTNSNKEDVVSVVAPVDEVAVENREVETSPTHPSMVEPSLSSVPLAAKSQGQSLLQKSYDPRVVRNLSVSPGLRTPPRDGYNWRKYGQKQVKSPKGSRSYYRCTYSECCAKKIECSNDSGNMVQIVTKGLHSHEPPRKSSFSPREIRAASAVTPVLEVDTVVATVPTPPPTKENICQSPTTVERKRTYENEAVEEPEPKRRQVLLKNDNTQSSDFVSKPGKKHKLVVHAAGDVGISCDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETAVENTGAVVITYKGEHNHDTPVPKKRHDTPSSVMLSPASMRTRLEDQVNIPSSSQCSVGRESEKQSSEALDVVVEKIFGSSKLKHMPLAGLEGLHFGYVHMPLAGLEGLHKLHN >A09p026900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15191984:15193848:-1 gene:A09p026900.1_BraROA transcript:A09p026900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGENQLISIQPDELKFLFELEKQSYCDLKVSNKTDNYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTTVPPHTDVDELPQDTFTKDSSKTLTECKLKVSYIATSTTQRSSESGATSGDGNGSESISVTAIQRLKEERDAAVKQTQQLQHDLEMLKKRRSNSANGLSLKLAAMVGLIGLIIGFILKLTLASPT >A02p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16792832:16793110:1 gene:A02p032020.1_BraROA transcript:A02p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGLGILFLVSNLSFSFSVVGFDSSSLRLFFFFSCFPWYRCVELVSWSFGVFYSELRASYLLAGGCALGKCFPGS >A04p017150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10532629:10533849:-1 gene:A04p017150.1_BraROA transcript:A04p017150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKHKRRCSDCRGGLSPVIVPRSYSMHVHHPPQHTGDSHHTVALTSSTVGSLTLCECPFSHIDKHLEKRVVSDEKKLIPGDGFHQDDLETEKLLQSKLMEAKVWSSMMNQRIPKLLPPKTPIVTPPGEPETINTWELMEGLEDACPLRPPDHLRSFSFDVVRVQPCDDGPAPFDRPKSRFHDLDPPEIVSSFRKSLQELPDDHPFHIRIPNVDPVSSGSSDEEEEGDCRRKPEKVIVYFTSLRGIRKTYEDGCNVRVILKSLGIRLDERDVSMHSGFKDELKELLRDEFNGGVGITLPRVFLGSKYLGGVEEIKKLNENGTLEKVVDGCERVEDGLTGCGIECEACGDVRFVPCETCSGSCKIYYDSEDDGKEEGTEETEYGFQRCPDCNENGLVRCPICCG >A05p011480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4919492:4920715:-1 gene:A05p011480.1_BraROA transcript:A05p011480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEIEIPPFFLCPISLEIIMKDPVIVSTGITYDRDSIEKWLFSAKKNSCPVTKQDITDADLTPNHTLRRLIQSWCTLNASHGVERIPTPRPPISKSEIEKLLKDSASSHQNLAKCLKRLRHIVSENASNKRCLEAAGVPEFLATIVSNKDSSMSLTDEALSLLYHLDTSETCLKNLLNNKKGNDIVNSLTKIMNRGIYESRAYATLLLKNILEVADPMQIMTLKPAIFTEVVQILDDRISHKATKSALHILVNVCPWGRNRHKAVEAGVISMIIELLMDESFSSDRRGPEMAMVVLDLLCQCAEGRAEFLNHGAAIAVVCKKILRVSQTASDRAVKVLFSVGRFCATPALLNEMLQLGVVAKLCLVLQVSCGSKTKEKAKELLKLHARVWRDSPCLTRNMILAYPS >A08p029410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18224830:18237814:1 gene:A08p029410.1_BraROA transcript:A08p029410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFVSASSPKLYFSFHELPPSSSTRYPRRFESSDPEDAVDSAPSLSSSTSSSTSEVFINSPRYNWFTVLGGIGMLDTAYLTYFKLTGSNAFCPVGGGTCGDVLNSDYALAKPNQNKTETKPSVIYFPNLKTEPKPAGRFLIKCSSSEPEDGVDSAPSSSSSTSEVPIDTWTYNWFTVLGGIGMLNTAYLTYLKLTGSNAFCPVGGGTCGDVLNSDYALAKPNQNKTETKPSVIYFLNLKTELKPARRFLIKCSSSEPEDGVDSAPSSSSSTSEKPIDTWTYNWFTVLGGIGMLNTAYLTYLKLTGSDAFCPVGGGTCGDVLNSDYALAKPNQNKTETKPSVIYFPNLKTEPKPAGRFLIKCSSSEPEDGVDSAPSSSSSTSEVPIDTWTYNWFTVLGGIGMLNTAYLTYLKLTGSDAFCPVGGGTCGDVLNSDYALAKPNQNKTETKPSVIYFLNLKTEPKPGNLQKTPQIFLIKCSSSEPEDGVDSAPSSSSSTSEVPIDTWTYNWFTVLGGIGMLNTAYLTYLKLTGSDAFCPVGGGTCGDVLNSDYALAKPNQNKTETKPSVIYFLNLKTEPKPAGRFLIKCSSSKPEDGVDSAPSSSSSTSEVPIDTWTYNWFTVLGGIGMLNTAYLTYLKFTGSDAFCPVGGGTCGDVLNSDYALVFGVPLPVIGFVMYGLVTALSAQLGDEQGNLPFGVSKTNGRFALFAATTTMASASAYFLYILSTKLSGSSCMYCLVSAFLSFSLFFLSLKDVKLQEIQQVVGLQICLAIIVVASLTASYSTAQAIPSRSGDIELPYYSTEITTSSSPYAVALAKHLNSIGAKMYGAFWCSHCLEQKEMFGREAAKLLNYVECFPEGYKKGIKIFKACSDARIEGFPTWMINGQVSSGEVELAELAEMSGFTLDQANEAK >A10p024770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15992099:16006214:1 gene:A10p024770.1_BraROA transcript:A10p024770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPVIVAIVVCLASYIYRSLKPPPPRVCGVPHGPPITSPRIKLSDGRYLAYRESGVDRASANHKIIVVHGFNNSKDMELPISKDLVEELGICFLFFDRAGYGESDPHPSRTVKSEAYDIQELADKLNIGPKFYVIGLSVGAYSVYSCLKYIPHRLAGAVLVVPFVSYWWTKVPQDILCKAFKLLPEDVRWTFRVAHYVPWLLYWWLTQKWFPSSSIISGNSALLSDTDLVIIKKMLENPNPQTEKVRQQGDHECLHRDMIAGFATWEFDPTELENPFTEGGGSVHMWQGTEDRFVPREINEYISKKLPWIKYHEVQGYGHLLSEEEQKCEDIIKALLVEVKQLEEDIMLGSGNNLSRGTIGLSSDAPNLSQVLTLEPIRLGNPSYTRSGELRRVLGVPSRASSEENSFGMSHPKPSPPGATEELKHFKESVQDTSREAGDLAKKLSESIFKLDKYAETLSSKKRRRNDTPPGERMDAATFDKVRNQVPRTLDSMAQRPEERKKMIGLNKRARTTVGDVRADGRVSTLARQQVIERGSDSPPSVSGETVRIEEKIRRLPVGGEGWEARMKRKRSVATLGNRVMNPDQRIIQAKPTVDSKLRSCDTQNFRLKSSAGVSGINRIESSFEPDSPGMGALSRNELETASIARDRSVLAEQRLMAKGNNKRNLQDDSPTNISTAILKGKVSRAPRTAAVIGVDSSSKVESPSGVVQGSSAHGMAQWGGQRLKNSRTRRTNVVSPVIRHTETKFSAQGFATSDFSPRASPGTTGSLSVVDSSPLKVKRELKNASSPYGLSESEDSGAGDNKTRERALASGDLFTTPKTGSPLLPVRKNKFQTSHKGGGAWKQGKNETVHGFHPVMVKSENLSVEKPLHNVKIASDKNRSKYGRPPAKKVKDRKPSTRLASNSSTPSNITGESDDDREDIFAAANSARKAANLACSGKFWKKMDHIFAAINTDDMQNIKDQLNFAEELDESLSEAVLDGYNIMGIKLPKTPHRICEGIVDYSGPASSCKSDLSFERLDMRKLNESTPLYKRVLSALIEEDDGEEVVQFNGGKNLSLHYASDDSHSGSCTFIDTEFRERDRMEFEVESSGDFQTPKSCLFDRFSSERSGVSNPFRNGGMSVSAHSNEQWLDDDLSHSDAPLGGETFSIGLGQLQAREVNIPNFPVSDTQYQLMSLDERILLELQSIGVFPEAMPDLAEETMSTDVMELKESIYQQIRNKKEKLEKLNITIQKGKDDEKRKIEHLAMDHLVETAHKKRMASRGNKAYKVHKVTRQAALAFTRRTLARCQKFDDTGLSCFADPALRDILFSSPSNDAKSSENGGSGTASNTLNEPSNHQAEAKGSGAVSSTKRREALIDDVIGCASSKVTTSIDSAVLNAGGAARGKRSEREDSFMNKNKPKPKEKNNNENQTRSTTTTHPTGPAGRGTSNRGGTSGDGAVDEEAPIDFSKLAFHDLEEIDEQADIGNWFEGLQDIDTAGLDEVPMDDLSFMFG >A07p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4899897:4901086:1 gene:A07p007900.1_BraROA transcript:A07p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLCIVKLNLLHNMSDTFGAAGKPNLEKDMHHLHIVLDAFPERPSSSFDYRNDDRSCQIGNGEALADGDEGQRAPVNTRVMTGT >A05p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000044.1:126463:128649:-1 gene:A05p028400.1_BraROA transcript:A05p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRMHYGRRNPREYAQRRHHDMEGNLVLPMFPDPEEQYREFPFRYPHEQTVRRKVLMPHFQRMAMEERILQGNARFQLATEEGPPRKRGRPCKPPSAAGGPPRVFTGKCQCGVLIKNAQEDRSVAGYTEDFINQANLCKPKNAETWCIWYKNGLRKEIQAQLRGVLEPLEFALVRRMAGFAMEAEEKIAANVAALSSMEGGNPGRDAEGQEVLVGELAKGKRGRPRKPPTVTCDCDVLVQMVQKPRKVRDYLEEFLDTAKRCQPKPAEEWCHLFRAGLRGDIREELVGVLEPLEYALMRRIANQALHAEEWLAEGEAEAEYDRVGEGDEDLGSETRCASRSKLCREFPETRNPSRRALSPSLLRCLSLFSLSLSLASLSLLAVTLSLLTAALSLSPRRRRVVVVVTRSQPSHILVSRSRSRSRLRWSVLNPSCSHNLSAMCIVCDTDNGWRLVNELKYQSK >A09p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4885632:4887574:1 gene:A09p009490.1_BraROA transcript:A09p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) UniProtKB/Swiss-Prot;Acc:Q8H183] MNKSISDNGETVAKGSICGYDSLDQLLSANLKPELYQEVSRILLGSNCGRPLEHIVIPASAKDLSSKHDFDLQAFSFSADKEQMRKPRVVRVGLIQNSIALPTTAPFLDQTRGIHNKLKPIIEAAGVAGVNILCLQEAWTMPFAFCTREKKWCEFAEPVDGESTKFLQELAKKYNMVIVNPILERDMDHGEVLWNTAVIIGNNGNIIGKHRKNHIPRVGDFNESTYYMEGDTGHPVFETVFGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNAFTSGNGKPQHNDFGHFYGSSHFSAPDASCTPSLSRYRDGLLISDMDLNLCRQYKDKWGFRMTARYEVYADLLAKYLKPDFKPQVVSDPMLHKNSS >A03p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14872078:14873590:1 gene:A03p035390.1_BraROA transcript:A03p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLPILLLCVIAASCFPSGLASSPVDSSVCNHEFELFRFDLASKCPPSLRPSPPIEVDGDSLDRLMALSHDDGDAYMAVLFYASWCPFSRAVRTKFDMLSLMFPQIQHLAVEHSQALPSVFSRYGIHSLPSILMVNQTSKARYHGRKDLTSLIEFYEESTGLKPVQYVAEGEPATTLDATDGSLITWLRNGTSISEIFKRDPFLVLSLLFICLQMAILVFPIVESRMKTLWASYAPNLNLERFGEVSQVFSRALHMVDVRRLWLKLRLVKTRSFHERAKNAQAWASSLASVSLGQTSSDQS >A07p045000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24461292:24464356:-1 gene:A07p045000.1_BraROA transcript:A07p045000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSVAEPAGTIKKSYHRQKSQRLWAKLVMRKWLNISARDPEYGADTEDESENDDVGEENQDSSSDEDCEESSTQRKEPVQPKVCENAEDAIAAASATVDAAAAAAEFINNDAPMKLRRRNSETLRAQYIYNKEIRVCVGTWNVGGISPPSDLDIDDWIEINQPADIYVLGLQEIVPLNAGNILGAEDNRPVTKWEEVIRESLNRVRPKNSGFKSYSDPPSPGRFKPFEETHDVIEGEVAYETDSDAGIEIHPIDEEEEGSLRVLKHDGGVIGEVNTFVDPSSGLPVVEINTQFSSTKKLDRQVCLRSDSLEKRRNDEDDDASEPGLKTLHRMLSGKERIGLSWPEPPLNMLGPSCALDKKPSLKTVKSLRTANSFKAYSSFKSVAGHANGIPPEVLALAEMDLKLLMERKRRPAYVRLVSKQMVGILLTIWVKRSLRKHIQNVRVSTVGVGIMGYIGNKGAVSVSMSINQTFFCFICTHLTAGEREVDQIKRNADVHEIHKRTIFHSVSALGLPKLIYDHERIIWLGDLNYRLNLSYEKARDLISKKEWSHLLEYDQLVKEYKKGRAFDGWSEGTLHFPPTYKYQAHSDEYTCGDGKGTRRTPAWCDRVLSYGNGMKLVHYRRTEQNFSDHRPVTAIYMAEVEVFSVRKLQRALTLTDREIEDEKLVAVVA >A05p048330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28324616:28332945:1 gene:A05p048330.1_BraROA transcript:A05p048330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEVLTLPSIGINQQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVSFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYKCSPNEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVLGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVAMQVSHKFNLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYIQSLKHYSELPDIKRVIVNTHAIEPQYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDKCEKRNRLRLLTQFLEHLVSEGSQDVHIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGDVAVDAQLYEEAFAIFKKFNLNVQAVNVLLDNVRSIERAVEFAFRVEEDSVWSQVAKAQLRDGLVSDAIESFIRADDATHFLEVIRATEDANVYDDLVKYLLMVRQKVKEPKVDSELIYAYAKIERLGEIEEFILMPNVANLQQVGDRLYDEALYEAAKIIYAFISNWGKLAVTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDIINDLLNVLALRLDHTRVVDIMRKAGQLRLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGDHDLAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALEHAWINNMLDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEEKDVMSQQNMYAQLLPLALPAPPMPGMGGGPGMGGGYGPPPQMGGMPGMPPMPAYGMPPMGGY >A06p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26542014:26543349:-1 gene:A06p050480.1_BraROA transcript:A06p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIGNVALLLDVNSHRTVITDRRIRLAVVDVVLNLPKRDSHNSYVSSHYASLSSNKPLESEGEARVRRGLKRGKAKSRANAVDYDEAGSSEEESGGGDGKESDDEEEKAYDVEKEMKRRVKELQDMKELERKAEELQYKIDEEGGDDDSEEKKRMRVKRELEKVAQEQAERRATAELMFELGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHADCIDLYQQLEKRHPSPGIRRQASELRYILQAPKLKISQEEMVTIPMIGSSYDSYAVTWSDKERDKDRRMNASTTNQLNSSEDLLGKLLVWRPPVGVEKNKVFWLSLTLWFGLVGAALLLQR >A02g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16415161:16415660:-1 gene:A02g505490.1_BraROA transcript:A02g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNHTSQAVAQFGCPRNLEWIEESVNDFYANIHGRLQRLEDNYLASVQSIDQQRAYAIRAQARELEIVRNSFDNFCQEMIEYTKFNGEEEHHRSVDKTTSSAEALSVVLEPVLATKAKAILSTTQKFVGPATPDV >A01p043740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24716793:24721690:-1 gene:A01p043740.1_BraROA transcript:A01p043740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 6 [Source:Projected from Arabidopsis thaliana (AT3G22800) UniProtKB/Swiss-Prot;Acc:Q9LUI1] MSFLGLLFHLLPRPSSSSFHLQYSSHQPMLRSCPHWPHPIANPRLLKAYTALQAWKHTITSDPNGFTSNWYGPHVCNCTGVFYAQALDNPYVLNRANIAGFLPLELCLLTDLALFHINSNRFEGQLPKSLNCLKLLHELDVSNNKLSDEFPSVIFSLPSMKFLDIGLMISTAMLPTNIGNSQVSILVFANNYLQGSCVPPKPFLFQCRFLASCFIFFLVLLPQAFTYNTLPINPCSVHGPHWPPPIANPRLLKAYTALQAWKHTMTSDPNGFTSNWCGPNVCNYTGVFCAQALDNPYVLTVAGIDLNWANIAGYLPLELGLLTDLALFHINSNRFEGQLPKSLNCLKLLHELDVSNNKLSGEFPSVIFSLPSLKFLDIRFNELYGDVPSQLFDLNLDALFINNNKFRIRLPKNIGNSQVSVLVLANNDLQGSCLPPSFYKMGKTLHEVILTNSQIGGCLNREVGLLNQLTVFDVSFNNLVGSLPETMGDMMSLEQLNIANNKFSGHIPESICRLPNLENFTYSYNFFSGEPPVCLRLQEFDDRKNCLPLRPMQRSPAECKSFSSYPINCASFGCAPPSPPPPPPSPPPPPPPSPPPPPYVYPSPPPPPYVYPSPPCTLPTPVHY >A08p040450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23044852:23046115:-1 gene:A08p040450.1_BraROA transcript:A08p040450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFFPILAVDLLDSCPISVDLALDSPDLEAVHVGSDKKISLKMDDDGFRNWGYYEPAAATFKGNLGLQLMSSADRNTKPFLPGRDPNLMMGQNGSYHQPEPPIHMSYNWINQQKDKFFNMLPVTTTPNYGNVLPETSSAPSMHHHHHHQTEENPVKCEEEEEIVQPNKKRKTNSKASATTKGKKPRKPKEENDSKTNVSRVKPAKKSVDLVINGVNMDISGLPVPVCTCTGAPQQCYRWGCGGWQSACCTTNISMHPLPMSTKRRGARISGRKMSQGAFKKVLEKLSSDGFNFGNPIDLKSHWARHGTNKFVTIR >A07p030190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16862056:16863187:1 gene:A07p030190.1_BraROA transcript:A07p030190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRASTMALNGEDMSGMLLSWRWVNLSSREVDGKCKRCGARESVIHVILQCLFSRKVWDSSPVLFGPNDSSGQTIEELLKACSGMSFSETKVLVKATKNAKEWQDSMAAAKSSSASPKDCPNKKTHDQTMVKDNSVACYSDAAWNSVTCAGGLDWTCSKPNGSLLFQGSASQEIVASALMAEVLALKTAIAHGVKDLMCLSNFKNMIILITRNSSVISLQVILYDFGVLNRSLSSISFKFVNQNCNMVADTLAKAAMFSASNSSNRNVNSGSDYVSKKNEADLHEEKGIN >A02p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16587616:16588372:1 gene:A02p031560.1_BraROA transcript:A02p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) UniProtKB/Swiss-Prot;Acc:Q9SAH5] MGIVAAIGVLLPFPFYWWLWTNPQSWVNLCGQGKDPSTVMARVSHVLKAAQLLSLFSVASLSWPPPLYFWPLMAFGQFLNFRVYQLLGEAGTYYGVRFGKNIPWVTEFPFGVIRDPQYVGSVMSLLACLSWVPFQYIFLWCLGYVFMMLVESKEDPSARAKPIS >A10p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:815268:816244:1 gene:A10p001560.1_BraROA transcript:A10p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDMWAVSSSGSSRSHRSAATTAKFQSGSYLDSGDFEEDEDDVEVEYPCPFCSDDYDLVELCHHIDEEHSLEATHGKDECLLGVCDFGSGQKETYEEDPYSSSDNYLQDDLSPSMNHHHTSKTDQFLSFLNNSPLPNQTKPVQEVDSSVEDKTLVEDSGKDRKLSTPLSDSEQLEKAKKCEFVQGLLSSAMFDDGCDFF >A10p003290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1656304:1657066:1 gene:A10p003290.1_BraROA transcript:A10p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGRNIAAPLLFLNLIMYLIVLGFASWCLNRYINGQTNHPSFGGNGATPFFLTFSILAAVIGIASKLAGANHIRFWRNDSLAAAGSSSIVAWAVTALAMGLACKQINIGGWRGWRLRIIEAFIIILTFTQLLYVLLIHAGVFSSKYGPGYRDRDYATGQGHGHVPGTHAGEHKAGVGTTTMAV >A10g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16562613:16564884:-1 gene:A10g506090.1_BraROA transcript:A10g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIHSTAVLFIYKKRKQRKSPIIANSYVLGDLKAGHCSSTIQVGLLILGGQKLKHGANLFSHSLQSTPKPATVNVHRLATFRDRLQAGLMFKLSGFDISRRNQKFRLSYLFMTIRLSDSTNLDVLTGPDSPIPEGFWGDPRVAVASIINPKMLRGRLFLNATSGTHVFFDKATDAGAIRFYEHWEHPCSFIAERLSKVEPLTIAELNENVIISEPQDIELVCTGMVTDINMEKDWCYVSCSRCTKKLQRTVSSLRDLQYHVEMSIADDTVEGLFVGFDGEMTKLHNITAYKAGHLMTPNHPPFIAAMVGKTYSFQVRVSRYNFTANHQTFTNERDRMPVPNFVTRDGGDHDGDDMPGAISETSSAVTSLSGRHQETLGIKVFKGGREAT >A10p015190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3751748:3752318:-1 gene:A10p015190.1_BraROA transcript:A10p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKKAVLQLSVHDEKIRKKAFVTVSRSQGVTSITMDDKTGKMTVVGEVDTPVLVMKLRKLCNAEIVSVEVVKPPEKKPEPAKPAPAKPDTTKPAEIVAFPVTHMNYPYQYHSSYANSHYQPYGNSRVVVEEPNTCVLM >A09p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16739899:16744194:-1 gene:A09p027740.1_BraROA transcript:A09p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALSAIPAAVHRNLSDKLYEKRKNAAIEIENTVKLLIAAGDHDKISKVIDVLIKEFAKSPQANHRKGGLIGLAAVTVGLATEAAQYLEQIVPPVIDSFTDQDSRVRYYACEALYNIAKVVRGEFILFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLKERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSSDEFTRLTAITWINEFVKLGGDQLVRYYADILGAILPCISDKEEKIRVVARETNEELRSIHVEPSDGFDVGAILSVARRQLSSDHEATRIEALNWISTLLNKHRTEVLCFLNDIFDTLLKALSDSSDDVSFTSMSVVLLVLEVHAGVAKDPQYFRHLIVFLVHNFRADNSLLERRGAIIVRRLCVLLDAERVYRELSTILEGEDNLDFASTMVQALNLILLTAPELSKLRGLLKGSLINREGRELFVALYASWCHSPMAIISLCLLAQAYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFTYLRLQLLEPGRYTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPTYSFSGGGNQISRASSGVPFSQYMNHHEDDDAEDINITSSHQGINFAARLQQFENVQSQHRVQARNNVKYTYTTSSSSASKEVKRSEDEEEEQHKPPPSSRSSSVADNSRPPSRSSRKGPGQLQL >A02p026250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13753104:13753556:-1 gene:A02p026250.1_BraROA transcript:A02p026250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFSSRGAQTMNTMFVKPLLRKSIHKKSASHDIVRETVKIDGASVQEAEMKTMRGFSVAREISSSSESSWVPHEVTGIYYPKGQEKVMQDVPPPARSHAEELVNWFS >A04p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10345904:10347262:1 gene:A04p016830.1_BraROA transcript:A04p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTEESVGIRVYTTATAPQKPSPPLSESPKLVSISSLPTLPVGAAAGGGRGRKRRMVAQGVQKTVSKTSMLVNFLPTGTLLMFEMVLPSIYRDGDCNGIKTLMIHLLLLLCAMSCFFFHFTDSFKASDGNIYYGFVTPRGLAVFMKPPPPEFGGGDVIAEAEIPVSDDRYKLRVNDFVHAVMSVLVFMAIAFSDRRVTGCLFPGKEKEMDQVMESFPLMVGIVCSALFLVFPTTRYDTTSQTKQLSIVHFRPLCNFIMVPLLSVLFKSATNFVIFKTCQIRYCARCRVVHYTGGGKSIIPYVRSTCCAKLSHNYPDHPTRI >A10p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9917272:9919557:-1 gene:A10p006870.1_BraROA transcript:A10p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVNMFRQSESPRSPPTRLQRQAPMALNLDNVPVNPGLQQSCDAVATSAIPLLSPLYVSPNQHSSSLPRQGDDFTEKNGSQPSMDHKEGWQHSAEADHSNQMALVNMHAVVEELARFGAIIHTCGRDESQLNECVSHWQKKGFHVTGSVCDVTSRTDREKLMESVSSMFGGKLDILINNVGAIRSKPTVEHTADDFSFHISTNLESAFHLSQLSHPLLKASGCGSIVFMSSVAGVVSLSISSIYCATKGAMNQLARNLACEWASDGIRANAVAPAVIATPLAKAVYDDDFKKAVTSRKPLGRFGEPEEVASLVAFLCMPAASYITGQTICVDGGLSVNGFSYQPHD >A04p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:336843:338444:1 gene:A04p000660.1_BraROA transcript:A04p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSSASPWPIDSTSASDSSSTAPSQHRDPGADTESLDSFSSMSLNSEEATHNSDQTPASPPPSLLHLSFNQDHACFAVGTDRGFRILNCDPFREIFRRDFDRGGGVAVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCIGELSFRSDVRSVRLRRDRIVVVLEQKIFVYNFADLKLMHQIETIANPKGLCAVSQGAGSMVLVCPGLQKGQVRIEHYASKRTKFVMAHDSRIACFALTQDGHLLATASSKGTLVRIFNTVDGTLRQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFGLKGNSGAQVKDTPRIASDLTRTSSSPSSSLSLFKGVLPKYFSSEWSVAQFRLVEGTQYIVAFGHQKNTVVILGMDGSFYRCQFDPVNGGEMSQLEYHNCLKPPSVF >A05p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25791691:25797393:1 gene:A05p042700.1_BraROA transcript:A05p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGNLGVPFGLKTLRTICWRRPLFSLHSQNTYGRQEVYSVGLQEYEVSDVQIVRRKNDDANELPGKVVGKSTFIDLAGSERGADTTDNDRQTSFGSLNAEYECKLGIFLTILVNCAYLARICFRALDDSELLSEIVKRKCHHLVGKGCGKKNQPDHKTCLRETSGRQISPTFTNFRQTQKRQVSNSKKEENKYLSRVVMAKRPTRFSSPPKRPWPTSCFHRTMLKPKTDIHRPWKALISLLLHLPRMEEKKLADDRVIDEQVEKIELVVSDVDARDTEDEEAIDSSKPESIQPDDLPSEEVKDPKEVIGESHGEANLQHITTGEAVPGFVTSRMNGDEGEAGAENVHETATHSFSENGTVSLEKKQPVADVIGETRNGGIEEEIKEEIVDVSGAQRNGERASGERSFNDSIQVASAGTSSPLEKSSSEENGETEGHISREHDTVQNGGLGVEHTSQPNKEFEKQRGSRVNMSPEIKERKSEVASSVSPTESTSNIAASPPPARPAGHGRDASLLEPTPRVPHQPRVNGNASQNQSEQAEDPTPAETDEHDETREKLQLIRVKFLRLSHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGSRVGAFSFDRASSMAEQLEAAGQDPLDFSCTVMVLGKSGVGKSATINSIFDEVKICTDAFQMGTKRVQEVEGFVQGIKVRVIDTPGLLPSWSDQHKNEKMLKSVKAFIKKNPPDIVLYLDRLDMQSRDSGDTPLLRTITDVFGPSIWFNAIVGLTHAASAPPDGPNGTASSYDMFVTQRSHVIQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDNNTPGRPFVARSKAPPLPLLLSSFLQSRPQAKLPEEQYGDEEDEDDLDESSGSDEESEYDQLPPFKRLTKAEMARLSKSQKKQYLDEMEYREKLFMKRQMKEERKRRKMMKKFAAEIKDMAEEHSENVEEERSEPASVPVPMPDLSLPASFDSDNPTHRYRSLDSSNQWLVRPVLETQGWDHDVGYEGVNAERLFVVKEKIPISFSGQVTKDKKDANVQLEMAGSVKHGEGRSTSLGFEMQNAGKELAYTVRSDMRFNNFRKHKAAAGLSVTLLGDSVSAGLKVEDKLIANKRFRMVMCGGAMTSRGDVAYGGSLEAQLRDKDYPLGRFLSTLGLSVMDWHGDLAIGGNIQSQVPIGRSSNLIARANLNNRGAGQVSVRVNSSEQLQLAMVALVPLFKKLFSYYSPQQMQY >A10p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5825266:5829402:-1 gene:A10p010260.1_BraROA transcript:A10p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFGLGFANICCSIGLGRLTSKSSDVQYSTDELLVSHPNRTEPLILQCTFKPNRIIYRTYIRRFRRRFPSILSNPSFSPLVISPPSSPSFSPPYITAMSKATISSPNFQAKKMDIPELSRRIHTLGEEHPAMNSISYHTCWTLHTALKKALHDDEYEELKESKLGVFIKFQELGFDWASRLVHYMLGVQLDIKKKYELWSLVGPQHFMSKLGHLLREIIAAFERCEGWSWDDRKRLAYLVIFTGYIEGRKYSTPTWVSLARLVMELERFENYPWGRVAFKVWVYTALPELGANYCNPLPNNLSPPNWLTRVAKDADALKRLSSVSHWYLGQYKADGCESNKKKVVKKDSPRPRKKARKEAPAEASEEAPTEASEEVHTVARLEVTTTVGVLTKEDIKTMFKDIVDAMREGFGTCLKEIKYLSETVEAVEKKVGITTKRKGTYSQNTTSPLKPTLEPGTLIGYYCLKWIFGYYCINPSCILHSESVNGTNAGRKSLPEDKGPDVPTDASSSKDKAPEPSLVLLDKNQPTVSDLQKEDARYQEKRDAALALFRAKSDRTRKLSASQQSPYTANSTAKVIIPNKKLYPGYSPFASIDKKKLKELADWLKTCLTPLDKKPRTSITWWYHILQTSLEWLEDCHIDAWINVLRKRYDANPQHFRSERMHYTIILPIEQGLGTDIDDVYAPVNYTDSHWIAMWISLPKRYIVVWDSICSSISPEELDVVMEPFLYMVPYLLVECASSDE >A09p032220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:242379:243932:1 gene:A09p032220.1_BraROA transcript:A09p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLSRIATAIGKPESLAPETKRKENFAVAKLYVKVDLTAPLPQRIISGFSIGKEVQIEVSYPWLPVNCDSCKRFGHKTDKCTFGVKEGSVGHQSVRKFIEEPFRRRSKSRPGRSRDNMVKKKSVPCYVPVVREISKVPSVEALDIQIETFGSDATSDPNQANAPTVLEEGEIYQELSAEVAAVPEAIVVSNRFASLARLDQASDGDQHESGDDQVVEDGKNVIADELAAASPEVSVPTEVGTGIVSDPAVDGLILHETSVLSEIQTLIEADIPSSDPLVISVTSDIVLPSGSRSDRGSRQNFFPA >A10p022350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14756123:14759065:-1 gene:A10p022350.1_BraROA transcript:A10p022350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYNDEDGGIQFQPYDDELINQYLIPKLKGKPCREITMKDVYSKEPWLLDHPMGSFFKKNEWYYFVTRTQLAKKNIGCGQKAKRKITRDDDSGTWRVYAKEGITEKETTKTIGVKQSLNFVRRNVNNKKLKRGDGTSCDVSGDSESWIMTEYMFPEEKGKFHELVICKIHVIKNSKKKDDDHHEACTSSNHHHYVSVLASSFSDQQLQHPINEAHRHEASTYHHHPIEFSTSEQPPINIADRGTLLAPILEKQPINIVDHETFISPFLEQQPTNIVDQEIFLSPFLEVQPTNITCNIQENNKDDHEALIPYHHHEFVADCYTEQQQINEAHGHVPNIPLIGSEQEAEIEERIQELINSLTTMNVSSLPMEEDDGILNYDAPFYQDLLESLGCQLGNRIPVVKTHRIRTVSLNFAVGLRYALRPIETVFCGSPINCSPPCSSFVVDLGRDCRDSVTAIVFVLIKPTAQSRLLPPQYDECIKDCDKAVEKDRKLRPGKEQLEKVSTGSRQERLVLLALLLLRTPSPLMRMCSPQSCDQSVGERGLSLKLTVWIGGNPVEGNVETELESLEIERRVCYLATKGSERMRFETCHSKKI >A02p052710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32214193:32224040:1 gene:A02p052710.1_BraROA transcript:A02p052710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLFSNLKDIWLILLHNVYVVFSFAIGNFFLMERFGIQNQTLVSFLLVLFIFISYNCFVSSQNDDVNEVSVSEQERVRVRVGMVLNLGSLEGTIVETSVSLALSDFYAVNSDYKTRVSLSVRNSQGEPLLALASAVELLQTVGVEAIIGGDSLQETKLLAEIGEKAKVPVISLNSPVSLSLRKYSHLIQATHDTFSEAKGITAFIHEFDWKSVALVYDEDEDGWRESMQLMVDHFHENGVHIQSKVGFTVSSTEEVMMDRLRKLKDLGTTVFVVHLSEIVATHLFPCAEKLGMMSEGFAWILTAKTMNSFHGNNGDEYAKEAMEGVVGFKTYIPMSKELQNFTSRWRQSLPVEEAIGSEITRLSIFGIWAHDVAWALARAAEVTRMPDASSTLLEAITQCRFKGLSGHFQIKDKNFLSDKFEIVNLIGSGERRVGFWSSNGSFSSRRHLSSFTDNKLETIIWPGVSSQSPKGHKLGESKRKTLRVLVTSSNRFPKLVGWRTDPVTKKIIADGFCIQVFNASIMRSNYDVEYTLWTGGPDYDNLAYTLSSQKDKYDMAVGDITITSNRSSYVDFTIPFTELGLGMVAPKQSGMWVFFQPLTPDLWMTSAAFFVLTGIIVWLIERPENTEFQGSWSQQIGVMLWFGFSTLIYAHREKLTHNLSRFVVTVWVFAVLILTTSYTATLTSMMTVQQIRFNSNKDFIGHFSGSLIANASLASTNINATNVRGLINSTDYAKALLNNSVAFIIDELPYLNVLLGEKPDHFLIVKPQITTNGFGFMFQKGDELVHLVSKEISELRTKGRLNEMSKTWFDNRLPYIIDDTSEPIDLYRFRGLFMITGGSSAFALAVLLIIWIRDRWEDLMSSINIFLSQRLVRFRIFFARTIHPSPLDDLFVENARFWIQKRNLVSILLVLFLFISNGFVFSQNDVVNEDSVSEQERVRVRVGLVLDLGSVEGKIVGSSVSMALSDFYAVNSDYKTRVSLSVRNSQGKPLLALASAVDLLQTVGVEAVISGDSLQETKLLAEIGEQARVPVISLNSPTSLSLRKYSHLIQATHDSFSEAKGITAFIHGFDWKSVVLVYEDEDDWRESMQLLVDHFHENGVHIQSKVAVSPNDDCMMDRLRKLKDLGASIFVVHLSELVATYLFPCAGKLGMMGEGNAWILTVKSMNSFHERSGDGFSKEAMEGVVGFRSYIPMSKELQNFTSRWRKSLPVEEEAVGSEILGLSISGIWAHDVAWALARASEVARIPNVSSTLLEAITQCRCKGLSGDFHTKDKNFLSDKFEIVNLIGSGERRVGFWNSNGSFSNTRELSSCTHNKLETIFWPGGTIQSPQGRKRKTLRVLVTSSNRFPRLVKVETDPLTKKVTAEGFCVDVFRASISPFNYEVEFTLWRNGSNYDDLAYALSSQKDKYDAAVGDITITYNRSSYVDFTMPFTEMGVGIITSRERSAWVFLKPLTPELWLTTAAFFVLTGIIVWLIEKPENTEFQGTWSKQIGVIFWFGFSTLVYAHILILTTSYIATLTSMMTVQQMRFNSNKNHVGRLLGSRIAMAAFASSGLQVLSMKGLNSSKEYANLLLNKTATLVVDELPYLKVLIGENPEKFFLVKTQCITNGFGFMFQKGDELVPKVSREISNLRTNGKLNELANGWLEIQLPYTTDDTSNPITLDRFRGVFMITGVSSAFALGVLLIHWLRDRWEYVVNLVNIFLLQRLVHLRNLFAKLIYLISPLADPIDEDTFQIAQRNIQ >A08p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3618228:3621369:-1 gene:A08p006310.1_BraROA transcript:A08p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase 2 subunit KU80 [Source:Projected from Arabidopsis thaliana (AT1G48050) UniProtKB/Swiss-Prot;Acc:Q9FQ09] MARNREGLVLLVDIGPAMHSVLPDVEKTCSLLMQKKLIYNKFDEVGIVVFGTQETENELARDIGGYENIKVLRNIKVVDELVVDLVKRLPRGPVAGDFLDALIVGMDMLIKMYGAGQKGKKRLCLITNAACPTKDPFEGTKDEQVSTIAVKMAAEGIKMESIVMRADASGDVDEKTIEENDHLLSLFSTNAIAKTVYVESPLSLLGSLKTRRVAPVTLFRGDLEINPTMKIKVWVYKKVAEERLPTLKMYSDKAPPSDKFAKHEVKIDYDYKVTAETSEVLAPEERIKGFRYGPHVIPISPDEMETLKFKTEKGMKLLGFTDASNILRHYYMKDVNIVVPDPSKEKSVIAVSALAREMRQTNKVAIVRCVWRNGQGNVVVGVLTPNVSERDDTPDSFYFNVLPFAEDVREFPFPSFSRFPASLKPDEQQQAVADNLVKMLDLAPSPKEEVLKPELTPNPVLQRFYEYLELKSKSTDAALPPMDEAFKRTMEQDQELSSSNKSIMDSFSGGFEVKENPKLRKASKRLLRDKPSGSDDEDNRMITYNANENSIDTVGDANPVQDFEAMISRRDGNDWTDKAISEMKKQIVKLVEDSTTDEGDKALECLLSLRKCCVLEQEPKQFNEFLNHLYELCQEKKLSHFLEHFTSKKITMIPKSEAADSDVADEEAADFTLKQEPKLET >A03p065660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29020513:29031259:1 gene:A03p065660.1_BraROA transcript:A03p065660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRECYLDVILVPPGIMVYATYHVYLWYKLRTQPLTTIIGTNARARRFWVASIIKDNEKKNILAVQTLRNCIMGSTLMATTSILLCAGLAAVISSTYAVKKPLNDAVYGAHGEFMVALKYVTILTIFLFSFFSHSLSIRFINQVNILINTPFPPEELDEEMMVTPEEYVAELLERGFVLNTVGNRLFYAALPLMLWIFGPVLVFLCSVMMVPLLYNLVFFFFGKQRKKIDGKTSCGIVFTIMGQYSYRQPSSSSNSQDLNSLLQAEAEMYAAEAEISQWNAEAIHNEPSPEGDDGIPRTCYCGSEPVHGYSQTPKDPYRRYITCPNADDGDCHVWKWWDVAVEEEMRDIQTELSELKGEANEREQKLLILEKRIGELTKKKSGAKLMVFTIVLVGKNREGFKGVGRTSYVSLTRLTHVGRSHGCIWSVALVGRSHGCCRSHGCCRNMAAAKLVALLLLLAFVFTTTTVFANEEPEIVDAAGSDGSSKIQLDQLNAKILALESQVDEKAREVKGKDDLVAEKEKLLKAKEDKIASLQTEVSSLQGTSDSAKQLGKVQARVVELEKQVEVLRNFLEQKNKEKTSTEALTKEAEKKLTELNSSLDELEKTNEEQMKKIGKLERAIKIAEEEILRTKHEASSKTNELLEAHGSWLPPWLAVHWISIQAYTETHWEAHGKPAVDTVTEAKTQAVKWAEPHVKNAKTKYIPAIKETVATHVEPHVRTLSIKAKEAYHASKSAVSPHIVTVQEIVDPYYQEAKKFSKPYVDQVVTATKPHVDNVKVAMKPYTTKVIIVYTEFLESATTYHNQVQAHVEQRLKSHELTEAFATNEFVWFAASALLALPIFFAYRVLSSLFCTKTKKAVQHPHHHGRRKTKRSHHSD >A05p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29040397:29041267:-1 gene:A05p050240.1_BraROA transcript:A05p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWLGKKANKQLDSVGDDINSLSTSIEGGTKWLVNKIKGKMQKPLPELLKEFGLPVGIFPRDATNYEFNEQTRKLTVFIPTICEVGYKDSSVLRFTTTVTGFLEKGKLADVEGMKTKVMIWVKVTSISADSSKVHFTAGMKKSRSRDAYEVLRDGVEIDKF >A03p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5860703:5862421:-1 gene:A03p014800.1_BraROA transcript:A03p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANNLPFLSVFLLLSLLRFDSIPVLEAAAGKLGSIPGVYVFGDSLVDAGNNNYLPFSLAKGNYPHNGIDFPKKKATGRFCNGKNFADVIAEKIGLPLPPPYLSLRGLLKWRKRESAAVTGVNFASGGAGIFDGSSQFPQLKHWLSIHKALTRKLGRSKAQIHLSKSLFVMVIGSNDLLNYIRSSQLRRKSSPQQYTQSVVDRFKAQLKETGARRFLILGVAELGCMPSRREKNSTTHECNKEANMLASLYNKALIKMLQQLKEELKSSMAYSYFDMFNSVHDIVSNPAHYGFSDVTSACCGSGVLNAELPCFPVSNLCSDRTKYLFWDRYGHPTEAAARTIVNFVLSEDTQYSSPLTLTQLVSS >A03p016690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6663194:6664968:1 gene:A03p016690.1_BraROA transcript:A03p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTSNMITSFGLKQHVAPPPPPSGGGVYQMDPPRSENPNPFPAGLPNTTAAAASASAVAAKATENAAPPFSLTMPVENSSAELAKKKRGRPRKYNPDGSLAVTLSPMPLSSSVPLSTGFASQKRGRGRGRGRGRGRGRVEQPPNNNSWVKNPQMFEFQNSSPVVGAADVVTSASFTPHVLTVNAGEDVTMKIMTFSQQEGSRAICILSANGPISNVTLRQSTTSGGTLTYEGHFEILSLTGSFIPSESGGTRSRAGGMSVSLAGPDGRVFGGGLAGLFIAAGPVQVMVGTFVAGGQEETQQQQQMKKQRGERFGIPTTTQASNISFGGGSAEDPKARYNGPNKPVVIQPPPVSAPPMSFQHEQSTNAAQGYYRNNTADHIRDLFSSLPGEDDEEDEENLEGEDGEDFGVHTESDTEVPS >SC231g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000084.1:55133:63573:1 gene:SC231g500010.1_BraROA transcript:SC231g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRPVRSRVVRVGLLELNRGLELGNLPGPVRERREGVAGRGRSRVVSSRRDSTKPRATLECRSRKLALSWSDFKMSLWDVAPGQFLSSVSSKTRATLRCRSHNVAPSWSDPSTSLRDLALRRFFSRSDDENASDLEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGKKSPSLASSDNEDEIGEVESQPWYGGSGSASGGCILHTYVSIRYLSTTWSFWRHLGAFGAQRSTAWSDFSERRHEVAVHHIPERLIQSDCTKSLAFSHPETHIFDLGATFQSDVLKSLPKLGATIPERQGEVARVFITRRRENEPGATSRSNTARSLPKPGATCRSDGLRSLRVLFLLEPVISQGTFVATKRRVIFVLRKTTKNLWKVISLNQLISFVIEILYSYLFPVFLYMINLKSNMGLRGIMEIMITCILGALIPLRTGSTIIYTTTFVLGALKTPNINQPMLVRIFDELKPQKRFKMIDGKGKAMLVAQDQDPNKMLNGERTTTYYECLVASEVTLWGAVSTLFGIGTPELHSIRDMVQRSHDRKKLVGTPASIPFLNSMMDQDRERLLFGRSHQTIMRNPPCLVLGGMYRVRHELHLRNNELFVSTRG >A06p010350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3713786:3714851:-1 gene:A06p010350.1_BraROA transcript:A06p010350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH55 [Source:Projected from Arabidopsis thaliana (AT1G12540) UniProtKB/Swiss-Prot;Acc:Q9LN95] MAFPSSSSFTVDFAYENELDFSSLLTPSTLISFQDPNPTNPIIHTENDGRQRIRETTVTDEIPKEDVEPKNKRAKHREIERQRRQEVTSLFKHLRYILPVQYVKGKRSSSDHVHEAVNYIKDLEKKIKEVSEKRDRIKRSITHSPPAGYCPIRSLAASCSSSSLSSYCSCVGDTHIDVKVRTCLVGIEIVVSCCFRHESCLSRVLQLLVQEQSFNVVSCISTRLHLRIIHTIVSEVEKGIEINFSELQEKIIKNMGTSCFNF >A09p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17980914:17982137:1 gene:A09p030240.1_BraROA transcript:A09p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated protein 4-3 [Source:Projected from Arabidopsis thaliana (AT4G05060) UniProtKB/Swiss-Prot;Acc:Q8LPQ7] MALTSEKSDSDGRRRSLFKLPFRNSSDHQATSSSSSSHLSDNYIHQSRHFRYHGPRPVVERLGQTHHQPPAATIPSMSSVARSLLPTKRRLKLDPSSKLYFPYEPGKQVRSAIKIKNTSKSHVSEEQVMRVVFLDPENPNPVMEKLKSQLAEADAADEARKKAPEVISSGPKPIGEGLVIDEWKQRRERYLAQQQGGLDLA >A09p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18897862:18899021:-1 gene:A09p031180.1_BraROA transcript:A09p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDKKPAKPSSSRAGGIRTLSDLNRRSNPDSDSDSDGPQEYYTGGEKSGMMVQDPSKKDDVDEIFNQARQLGAVEGPLETPSSSSRSFTGTGRLLSGESVATAPPQQPDPVAHNIIFWSNGFTIDDGPLRKLDDPENASFLESIRKSECPKELEPEDRRAPVHVNLMRREEKCPEREKPKVSFQGVGRTLGGATSSLAPDSAAVPVQTGLAAPPSPTLVIDETLPTASIQIRLADGTRLVAKFNHHHTVNDVRAFIDSSRPPGAPVNYQLQTMGFPPAPLTDLTQTIEQAGLANSVVLQKF >A09p075820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56977479:56981989:-1 gene:A09p075820.1_BraROA transcript:A09p075820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFYSLPTWDLHNLGSFFNQNFSLDSCGHIDGSPEHILHSPEEDIIGAVSTGYLEDALIEFSVKSKRRRLSFNAEDKPTNHFDNHQNNWGMSETYSCTSSQFADESPHSSINICSEASNHSKHSFEPSTSNSKENLYDKKKRVVVYPFGVVKPGGREEDVTLNDINKRILMPSARPVRHPVGAFACRPCLSAHGPGLSGKAVVAFTKIHTLGKGTITIIRTKGGPKLKAQPENGFRSEKSITTVLAAELFLTGSGYRYRWYKSSTASEGEGDEDAKPYPTFRLNLGFLLSLYNLLSLYFKRMLFCLFLYGLIIVAVLDDIKRMCDSRR >A07p033710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18422938:18423914:-1 gene:A07p033710.1_BraROA transcript:A07p033710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTKVKRGPWSPEEDLKLISFIQKFGHENWRSLPKQSGLLRCGKSCRLRWINYLRPDVKRGNFTAEEEETIIKLHQNYGNKWSRIASQLPGRTDNEIKNVWHTRLRKRLVQSSATSPCSSNSVSCGKEDKSQAEGCLNTKTSQDFTTPVSSGGSYNSNQEDDPKIGLLFKYSAFNEIIQEVDKPDLEIPFDSDPDIWSFLDSSNALQQSGANEFRAEEESDEDEVKKWFKHMESELELEEADNEHHKPGTQESSSSCS >A01p056720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32247860:32248866:-1 gene:A01p056720.1_BraROA transcript:A01p056720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAACSRFGIRLVKNVAESSPLYMYVQLLKWIIIPLLQLITEGQWEFLQLDDVTDEPSVNSSFAAPASDDVEKILLGNKPRSNARPESLVLSFGCTEKYVTQENVMEFLLSRSKELKQRGMNMSMLSELINEILKNNKYLL >A09p043580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35514511:35515072:-1 gene:A09p043580.1_BraROA transcript:A09p043580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKQKLSDMASTAKERMVVCEAKAAEKAEQATARTKEEKEIAHQRRKAKEAEANMDMHMAKASHAEEKLMAKQSHYHLSQGHVTHGAPVPAPAPVIGHGYRHNPPGVTSVPPAAYPPPPTGPHHHHHPYGNV >A02g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3353117:3355066:-1 gene:A02g501070.1_BraROA transcript:A02g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPEIVTTYYLSKIYSLRDAIGAVIEDLGLNGKLKDQRLGYSAKLTISYKRSLGQRKCFSSDGNVEEEPNSIYCLLSIRSILFKIFNSSKPCSNWVISGLIAKGKYPIVMVRSGDQNFESLMRSMGETY >A06g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2054872:2055414:-1 gene:A06g500480.1_BraROA transcript:A06g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGTFDITSGAVDVFPEVSLSFGGGASTVLTPVCIFGCFLASSGGERFSDKRRKKQRRNKHRAVKTENN >A08p017900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12447230:12454035:-1 gene:A08p017900.1_BraROA transcript:A08p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGNADEHKDLLGISSIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPCLWSKLGQDGMNNVSSRKQIEEAIDEYVRERRGRLVGLSTQTYLTGRLIEASSPATKLLLIVLLMILFRDHIGHTRYDCGRL >A06p049860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26280390:26280584:1 gene:A06p049860.1_BraROA transcript:A06p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFVRMTLACLVLALMIAMVSAQYDYDSAKTPNSAVTVATDIFISLAIAAVALVASFIYRVL >A04g502000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4889785:4890171:1 gene:A04g502000.1_BraROA transcript:A04g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDACTATPRAPHVWLHVQDTCRTPPLLPDVRLHDWNLCKAPHILTHVDQHALVACVATSRAWPLHLVLLCVRLHELLPCTATPQASVDTQLAGQLTPRSEPMQRATSSFLVDLRNFGPSGEFLTRD >A03p046450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19605561:19607727:-1 gene:A03p046450.1_BraROA transcript:A03p046450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLPHQLGALAGAPIKSREITTASPVNSSLSVSPPLARMHKAMDLNHKPRRMNPVLATAQADLSVACKAFAVETTEERRTHKEGRVGGGGGGVPVFVMMPLDSVTMGNAVNRRKAMRASLQALRSGGVEGIMIDVWWGLVEREAPGGYNWGGYDEVLEMARKVGLKVQAVMSFHQCGGNVGDSVTIPLPQWVVEEVDNDPDLAYTDQWGRRNHEYISLGADTLPLLKGRTPVQCYSDFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQDGTWKFPGIGAFQCYDKYSLSSLKAAAEAYGKPEWGSTGPTDAGHYNNWPEDTHFFKKEDGGWNTEYGEFFLTWYSQMLLDHGERFLSSAKSIFENTDVKISAKVAGIHWHYGTRSHAPELTAGYYNTRFRNGYLPIAQMLARYNAVFNFTCIEMRDHEQPQDALCAPEQLVNQVALATLASEVPLAGENALPRYDDYAHEQILKASALIFDRNNEGESREMCAFTYLRMNPELFKAENWGRFVGFVKRIGEGRDSHRCWEEVEREAEHFVHVTQPLVQEAAVALTH >A06p010020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3588188:3589468:-1 gene:A06p010020.1_BraROA transcript:A06p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYAAVAKRRKKETSPSSSFDSLPDAVAISCLARVSRLDQAALSAVSKRFRSLVVSPEFYKTRSLMGHAEKCVYVCLGLPPHIIPRWFVLHPTLDPATGKTVKRAQPIPFFPSQPREGSAVVSLDGSIYVFGGLVNGERTSGVLLLDCRYHTWHQVTPMRVARASATAQVVNGKIYVLGGCKDRKPADWGEVFDPKTQTWAALTVSEPMPDEEDPDTRPRMSLIHGSVVIEDKIYVIDLWNRTFFYSLSQCKWGRGSPATRESWSKNKRDWCVVDNALYSVGNDGCIYWCEPHELDRCAGVGMNWTELLSHPMKRLQDKLTRSRVAHFGGKMARVLEKKKTTRNFTKCLEDILPGAKLASSGHNVVVFWKELLPEKSGRIWCAEYSFIRSNKCFMYGVLECSNAILTLDPILDRSKVLYSISVDV >A10p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22015137:22018913:-1 gene:A10p039780.1_BraROA transcript:A10p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSGMDLLSERAVLMRESLQKSQTITDNVVSILGSFDSRLSALESAMRPTQIRTHAIRKAHENIDKTLKSAEVILSQFDLLRQAETKVLKGPHEDLESYLEAIAQLRKVIRYFSSNKGFKNSDGVLNHANSLLAKAQSKLEEEFKQLLVSYSKAVEPDRLFDGLPNSLRPSADGEGNGKAHGGHHNDDSETAAYTLPVLIPSRVLPLLHDLAQQMVQAGHQQLLLQIYRETRTFVLEESLRKLGVEKLSKEDVQRMQWEVLEAKIGNWIHFMRIAVKLLFAGERQVCDQIFRGFDSLSDQCFAEVTVSSVSMLLSFGDAIARSKRSPEKLFVLLDMYEIMRELHTEIETIFKGKACLEIRNSATGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQATLKQLFSEFGNGDDSNSQLASVTMRIMQALQNNLEGKSKQYKDQALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANLYKRTAWTKILQTSSAQGLTSSGGGSVEGGNSSGVSRGLLKERFKMFNMQFDELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFGPLVESGKNSQRYIKYTAEDLERLLGELFEGKSMNEPRR >A01p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:125653:127098:-1 gene:A01p000300.1_BraROA transcript:A01p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWCDVCDKEEASVFCCADEAALCNGCDRHVHFANKLAGKHLRFSLTSPTPKDAPLCDICGERRALLFCQEDRAILCRECDIPIHQANEHTKKHNRFLLTGIKLSASPSAYPRASNSSATLGQAKTRPKSVSGEVPSSASSEVFASSPSTTTSNYYYGLEETYQQASDSGSGSGSGSISEYLMETLPGWRVEDLLEDPSCVSYEDNNNIFTKINNNGVYDGSSSQYQHQGFWEQNPFS >A08p000900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:532071:532286:1 gene:A08p000900.1_BraROA transcript:A08p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNIAVVVAVADVSTNTWQMIWRVPSSQRINTEQLMDMALWYPMYQLSRLVLCLWTFMCLPPDDSFYSYS >A07p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2611446:2612747:-1 gene:A07p004800.1_BraROA transcript:A07p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HIGH ARSENIC CONTENT 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21045) UniProtKB/Swiss-Prot;Acc:Q8RUD6] MEKTNTKTFEDVESVDVYTAKGLLTIGHHRYLDVRTNEEFAKSHFDDALNIPYMFKTDEGRIVNPDFLPQVASVCKKDDNLIVACNSGGRATLACVDLLNAGYEHVANMEGGYSAWVDAGFAGDKPAAELKTACKFRPKDN >A01p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21313489:21314418:-1 gene:A01p030280.1_BraROA transcript:A01p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FISLDCGLFPDEQYTESATGLQYSSDSNFIQTGKISRIQRSLEENHLKPQRTVRYFPEGMRNCYNITVKQGTNYLIRVRAIYGNYDGLNHYPMFDLYIGPNYWVTIDTQKNVRKEISLGTSTPFILAIEIRPNNTYITTSGSLKLFSRFYLSNSEDVLRYEEDVYDRMWNSYNQSDWTQISTSLTVNTNSNSFRLPQDALKTAVTPENAIYMYLHFAEVEVLRANETREFNISLNGVSINDSYRPLYPLMD >SC140g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:297541:309376:-1 gene:SC140g500110.1_BraROA transcript:SC140g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFIFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASDLVHVQGSLYLSVSQTLILI >A06g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3492074:3492366:-1 gene:A06g500870.1_BraROA transcript:A06g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACVLRQMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLPPTFIDSATHFTLEVL >A09p015530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8068224:8070329:-1 gene:A09p015530.1_BraROA transcript:A09p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLKYNLFASFYGQGLRQTFLSHLCRHLNENGITVFTNQDLVRGEPVLPSLVQRIRESRISIVVLSQKYASSSWCLNELVEILRCRETMGHIVMTIFYRVDPSHVRNQTGDFGNIFVQTCAGKTEEERRMWSQALTDVGNIAGEDSRNWDNESKMIEKIVRDVSDKLNATMWAKTGYSNGMAFLVVINLLLEIASAGADQLSSTRKPYFAKVSLLMSVLSLILSTLDFTYKIRAHKARFRFKWPIPWFYYPSRGYNRIFGSSTDAILFFCVVGQLIVSAINCSFTERGRDGPIKVSVWPLFFAIGMVVSKFMEKPTISKES >A10p040300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22228225:22229324:1 gene:A10p040300.1_BraROA transcript:A10p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMNMLIWRNLLFWLLSNTLIPGSVRAQSITLNSIEIFTKHDWFKLKHTVYFQCKGENKTVLPDVTKSDILYTFRGQESWQANVSFLYLLITQPMTEISGEKCKRCGIYEQGSLISDKEFDEWELCPSDFSASQIYMHFKEKEINATFVCHGCAKLDSVSAGATTGSSSKEEGDNGSKVAIAIVAGVLCATLVVIGGVFMFRHSKRMKLQRDQARFMKLFEENDEPEDELGLEPVL >A10p004150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2030011:2030842:1 gene:A10p004150.1_BraROA transcript:A10p004150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFGKLIDAILFVCFALMAVIGPLIDGQTALPKSIFPAFLTDLKTSYVAEFGDYLLMEKPHFLVGLVWHELVFLWPLSIANIYAILAGKSWFGTTCLLYGASLVTSMAAILGEMIGSGKASERLLMMYVPFMGIGILAVLRGLVSSSTKSTGSVGKRYTIMPRRKLA >A09p016110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8412950:8418248:-1 gene:A09p016110.1_BraROA transcript:A09p016110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 3 [Source:Projected from Arabidopsis thaliana (AT1G64400) UniProtKB/Swiss-Prot;Acc:Q9C7W4] MATDRFIVEVEKGKEGVDGGSPSVGSVYRSIYAKDGFPEPADDLLSCWDIFRASASMPPEHHQRDPRSSELRSRQASNEPITRGALQASALRNQKPAKANLKETPFPETRAGDGGSEQASTSRKPPPLNMQAAPSPPVTSRRTRRCSTNRATVRDNGGTRGRTRRSMTGRRRRSDGKPLRRTKKGLRRGHGRSRADRTPVPKTDLTSLSFLSLSFKIDLSAEKSPDNPMLGRREIVDGKAGKYVWQTYKEVYDIVIKLGNSIRTIGVGKGEKCGIYGANSPEWIISMEACNAHGLYCVPLYDTLGAGAIEFIICHAEVSLAFSEEKKISELLKTAPNSTKYLKNIVSFGEVSNVQRAEAERHGLSIYSWDQFLKLGEGKHYELPEKKRSDICTIMYTSGTTGDPKGVLLTNESIIYLLEGVKKLLKTINEELTSKDVYLSYLPLAHIFDRVIEELFIYEAASIGFWRGDVKILVEDIAALKPTIFCAVPRVLERIYNGLQQKLSDGGFLKKTLFNFAFNYKHNNMVKGKAHEQAAPIFDKIVFKKVKEGLGGRVRLILSGAAPLAAHIESFLRVVACAHVLQGYGLTESCGGTFVSIPNELQMLGTVGPPVPNVDIRLESVPEMGYDALASKPRGEICIRGKTLFSGYYKREDLTQEVFIDGWLHTGDIGEWQPDGAMKIIDRKKNIFKLSQGEYVAVENLENIYSHVAAIESIWVYGNSYESYLVAVVCPSKIQIEHWAKEHNVSGDFETICQNQKTKEFILGEFNRVAKDKKLKGFELIKGVHLDTVPFDMERDLITPSYKKKRPQLLKYYQKEIDEMYNKTKN >A09p028760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17241489:17242751:-1 gene:A09p028760.1_BraROA transcript:A09p028760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETAATKGQESKQIRDEELFKAAESGDSSVFMSLSPQQLVKSLSFRNEDGRSLLHVSASFGHSQIVKLLSSVDESKTVINGKDDEGWAPLHSAASIGKAELVEILLTRGADVNVKNNGGRTALHYAASKGWLEIAQLLLTHGAKINITDKVGCTPLHRAASTGKTEVCEFLIEEGAEIDATDKMGQTPLMHSVICDDRQVAFLLVRHGADVDVEDKEGYTVLGRASNDFRPALIDAAKAMIE >A04p019650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11825026:11827158:1 gene:A04p019650.1_BraROA transcript:A04p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRDTVKKCMSWRLQGAYAYLPDLVWFHRQRESRGSIIKKEEESVDGGDVHYSNLDRRVVKRWRSDLYSKVLASGIVPDEVLFVVLVDGLSKKGRFVKASKMLEELKKRDDATLTVLTYTTVIGGHYREGNLDKTF >A08p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24953324:24957104:-1 gene:A08p045540.1_BraROA transcript:A08p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVQEMRSPRTLDGASPSYTLGKRRTDHNLLIPEAELKGIGAELHYTQSGGDITFHGPHQAILYPVISLRSIGIGAKNYVETLERSMEMRSPRTLEVWKLGTVNYLKSLKLQDKLVSERKANRIPDTLLSLQHHHLTPSENALELSFTILILKEENISLSMALIKVVWVGDRKIGAIGVHISSGITSHGLACNIDTDLKYFEHIVPCGIAGKEVTSLRRETDTLLPSEEVIHEQLVSCLAKAFSYDDVVWKEDPSFILDNQEEKSFFRFISV >A01g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26876195:26878279:-1 gene:A01g509850.1_BraROA transcript:A01g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEILYSAIYAANTSEERIDLWAELLQIHSDFDMENNCWIVGGDMNQILYPSEHSHPNVSTPSNLMYQLQDCFLQAGLFDLRYLGPCHTWSNNCPTDPIAKKLDRLLINSAAISSFPQAIATFLPPSFSDHTPCLLDLSFSLPKTGTHPFKFQNYLTKHPNFSQLVQAAWLQAGGLCQTLVHLCWKLKQIKGDLKNLNKENYSKIQERVRCLTDPSPDLFQAERELHQKWSLLREIEEAYFRQKSRINWLREGDLNTAYFHRICQVRASYNAVRAFLLDTGEWITDPIEMSAHAISHFQSKTKLTHLSFADDLLIFIDGSIESVQCVMQVLKDFEKRSGLAISMPKTSFFASGLTDDEINRIQASTGMICGSLPFRYLGVPLNSRKLSLSNCNVLQQEIKSKFSS >A07p017530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10619765:10621359:1 gene:A07p017530.1_BraROA transcript:A07p017530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVQDLRDGLGSLSRRSFDFRLHHKGKSHGSSFREYSSSLDLSPLIVQTSRWANLPPELLLDVIKRLEESESNWPARKHVVACASVCRSWRAMCQEIVLCPEISGKLTFPVSLKQPGPRDAMIQCFIKRDKSKLTFHLFLCLSPALIVENGKFLLSAKRTRRTTRTEYIISMDAENISRSSNSYLGKLRSNFLGTKFLVYDTQPPPDTSSSSSSALITDRTSRSRFHSRRVSPKVPSGSYNIAQITYELNVLGTRGPRRMHCIMNSIPTSSLEPGGSVPNQPEKLLPPPPRSFDDSFRSNISFSKSSFDHRSVDFSSSRFSEMGVSCEEDQGETSFKPLVLKNKQPRWHEQLQCWCLNFRGRVTVASVKNFQLVAVRQPPPTQVAGSSAPAAPPEQDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >A02g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26303881:26304513:1 gene:A02g509840.1_BraROA transcript:A02g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCERRSQNGINRSSVHPSSRGETLAILEARNVKRDGELMWVDMLLINVNSTIMQATVYANRLPRFRSKLATGNMFSISGFHVARCATVSPTLLCLVYVATFC >A03p023100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9739710:9740772:1 gene:A03p023100.1_BraROA transcript:A03p023100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVDVSRRNPNSAPGRAISGGLRPLPGIYARRQRLWSTASRRFWFRPRGPVTSPELALGVLLRRRGFVHRSMEATALLREAPSSMAVSFSSTAASFHLPDSSHFCDCVLGPLVPISPLRFISFSSTLHRFEALKRNKGRLRFSYSGQGAICNSDLVCRVQVARRPGYGVHLCSQLSSQPVFVRMVWVGGLRIIVQVHPASWDFRGLATPMMARVFRQPRKRGERHPLVVPTIGREQ >A03g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20815103:20816379:-1 gene:A03g505830.1_BraROA transcript:A03g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRSFYPGARLTQSNEGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A07p040820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22051130:22055822:-1 gene:A07p040820.1_BraROA transcript:A07p040820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPQWVMIGGEGPESYNQHSSYQVYLFLYICVWFPKIRNFMCMYVCTVSSLICFHRELCWKPQKKKMNEAISAKLGLDLISDRFCVADFGCASGPNTFAAVKNIIDAVEDKYRKETGQNPEEDIEFQVLFNDFTNNDFNTLFQALPAGRRYYTAGVPGSFFGRVLPKQSFHIGVINYAFHFTSKIPKEITDRDSPLWNRDMHCTGFDEAVKKSYLDQYSVDTKNLLDARAEELVPGGLMLLFGSGLRDGVKMSETAKGMVMDFIGASLNDLAQQGVIEQEKVDSFSTPLYIAEEGELRQIIEEHGKFTIEAFEDIIHPNGEFPLDPKILAVSFRACCGALLSAHFGVDTMRKTFELVEVKAREEFSRIQNAKPGMQYLIVLRKN >A02p039430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14288907:14293826:-1 gene:A02p039430.1_BraROA transcript:A02p039430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRYDERDEEENRWGRHADRIVRSRDNYPRRSRYGGARAGPYVRPNERSWQVKQSQHVVVGKGQTDVGTGTTSRSATSREIVPYEHLPDPVSRTEQISETLRSGDKLTSRKIASAIVTPSRMDHHMEENVTLRDRGEARALSFSSPGGTELSNGDDAIIGALSDMENLDQPDGGMLEDGVDDDDLLALDLMDLDGHQSQHASLVDKRQMDNTNATKSKKHGVKRNAPLGINHRKFEILRRGSPAKRSASTSSHAKGAVAQPNNNEGNQVLSLGNICLLDGSWTDSDRYSGCGWVWMDCGENIQLMGTRNFSRCESALHSEIEALRWAMENMLQHSSCQSFGTDCKELIAMIEKPQEWPRFATELEKIETLQICFPDFKIIHVPRARNQFPDFLAKTARTFRRVLLFIGCSIPVWLPRPPQA >A07g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2823282:2836341:-1 gene:A07g501350.1_BraROA transcript:A07g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCVADDRHVVNFVFFYFNLSPYLAMETMKSTSSPPSIAMESSKVLPSSSLQNSMVLIKDPSALSLTSVCSKGIEALALPRSDTELSNSQLPDGSVISEGLSSLSLSNHNTEGLKEPPSTVITPPPSGSVAPPSASQYWSFKHLKFIGKTDFLQTTLLRLRSNRKHPTCTLQPPIEKLPPPELKADGNLRIRSENKDEYIVGRFHRCSLPLDGIVHAVINRIWGRSCKIKWKKLDLGEPILTHKPYMDSTMGEAKVLVEMKLESDLSKFITLDDKYGSIFLVNVEYTWIPSSCERCGSLGHKAKKRCQPMCLRLRRKNENRLYRDFTRLPTPEVTPPYKRFWSQKHQVRSDQTSGIPRTSYRNKSTASTIGTPTSSIAGGRNLVLELLVVRGPLEEGRREIGRSLSDDEPPEDGAKGVL >A09g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9932630:9942384:1 gene:A09g503030.1_BraROA transcript:A09g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSIVIQKGRHIHPGRASQSDLSERPTEVAPSQSDQSRATTSSHSQPERPARATSSSHLRFDASRHKKTRREGLLVICFDVFTLSKPKLSTFVSHWRQDNLFWGEKNYSILDHPRSNPYAHEFSFHLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQKNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTRHIHPGRASQSDLSERPTEVAPSQSDQSRATTSSHSQPERPARATSSSHLRFDASRHKKTRREGLLVICFDVFTLSKPKLSTFVSHWRQDNLFWGEKNYSILDHPRSNPYAQEFSFPLVKKCFDIPQNWFDNLLYYNICLRSLENS >A09g504860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:14739501:14739743:1 gene:A09g504860.1_BraROA transcript:A09g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEMRVSVVSVQRLSEAESVGSRRSDAWRTDGQDLHTCLASASSTHAYPSLSVLGLRVWTLCRFSLLGLGFWLVLLAR >A01p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8733981:8735570:-1 gene:A01p017950.1_BraROA transcript:A01p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTVDNNRQLKCYIKAEPTLLGSRIPSQYSTYQQQHNTKKKRRRETSEMAASCVITSPEEEHTPKLDLVTPLVFNPSMLNLQATIPNQFIWPDDEKPCLEAPELDVPLIDLQNFLAHSHSTLDASRLISEACYKHGFFLVVNHGISEQLISDAHEYMSRFFDMPLSEKQRIQRKAGESCGYASSFTGRFSTKLPWKETLSFQFCDDKSRPKNVQDYFCDALGHEFEPFGKVYQEYCEAMSSLSLKIMELLGINLGVSGDYFKSFFEENDSIMRLNYYPPCQKPDLTFGTGPHCDPTSLTILHQDHVHGLQVFVDNQWRSISPNPKAFVVNIGDTFMALSNNRYKSCLHRAVVNSKSERKSLAFFLCPKKDRVVKPPRELLDGNTPRRYPDFTWSMLLEFTQKHYRADMNTLQAFTDWLSNKPIQ >A05p055170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32603920:32608967:-1 gene:A05p055170.1_BraROA transcript:A05p055170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRKAAIVRLHSHNGKYLTADVDQESVHQDRRGTTKNTRWTVEIVCGSNVIRLQSCYGKYLTASNNHFLLGATGKKVLQTLPAKLDSSAEWEPISDNGKHVRFKSRYGQYLRANKGLPPWRNSITHDIPSRTVTQDWVLWSVDVLQVRVINDDAESTHSSSAFSRVESGDSFTVSLPPKSEGRLIYYQTRDDCGNMNDDDDIGEKSLIFHGSELTELKKKLEEETGIQDLIVCSRNPLHDAKLCPLQLHLPPNNATMHIIIVPPSLVGSKSATTVKSNEQPAGPVSSSTTATSNAESSLSTPMISEELKIYSHLKQFSFLDLKLATRNFRPESLLGEGGFGCVFKGWVEENGTAPVKPGTGLTVAVKTLNLDGLQGHKEWLAEINYLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMRIALGAAKGLSFLHEEALKPVIYRDFKTSNILLDSDYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSMSDVYSFGVVLLEMLTGRRSMDKNRPSGEQNLVEWARPHLLDRRRFYRLLDPRLEGHFSIKGAQKVTQLAAQCLSRDSKIRPKMSEVVEVLKPLPMLKDMASSSYYFQTMQAERLKAGSGSGRGLGSRNGQPVLRTLSSPHGQAGSSPYRHQIPSPKPKGATT >A07p044630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24304575:24305861:-1 gene:A07p044630.1_BraROA transcript:A07p044630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQILESSLLDDLFLIPAETIVEEFREKDDTFRAHSESKGKEVVIVLHDEDAHGSSSGAAKKQERNANERLRRMRLHASYLTLGTLLPDHSSSSKKKWCAPSIMDRVVSYIPKLLNAVEELTLRKKKLVEAIESKKSQRLERQDPHTLAISVLELGRSCDEAVVQISMVKEKEEEFSNLLHVLEMQGSSILSASTSLVCRDQRVVCYNFHVKMDEKPCEGDDYITVLKNNIISSLS >A01p059860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34062872:34071314:1 gene:A01p059860.1_BraROA transcript:A01p059860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDSTKKQLGETRSAALDEDNRSFLGIDLNEIPTGVTPGGAVQDDDGEYEPVEVVRSIHDNPDPAPGAPAEVPEPDRDAACGACGRPESMELVVVCDACERGFHLNCVNDGVEAAPSADWMCSDCVAGGGRSKLWPLGVKSKLILDMNASPPSDAEGYGGEDTSDSRYAAVVPFSDYSLGKHMLAMGNSFEHSMTHSSFLDPGRAHTLDFGFPSNLGNSSLPIRFPSLDPSELLLHNLRHFISERHGVLEDGWHVEFKQPLNGYHLCPVYCSPSGKTFSSIQDVACYLGLATNGNYSCMDTDIRNESSLLQERLNMPKRRKTSRWPNNSFPDLKGSSVSAQLSRFPYNGQTMPPFAISSGESLSSGNNGCGCEEANKGLPMQFEDFFVLSLGRIDIRQSYHNVNMIYPIGYKSCWHDKITGSLFTCEVSGGSSGPVFKITRSPCSKSFVPVGSTVFSCPKIDEMVEQNIDKRGDRRDSIQEHDDDTVEILLSDPSPPLGDDILSCLQEKSFSSTFYCLRSEVGSSQVDLNNTLSYNQQHEVEIGDIIVEEDSLSVAWQKVSQKLVDACSNVLKHKGTMNFRCKHVDRETREINWDTRNEKDNVILSLSRFCCSLAPHSATCGGKDNSEIASLVDVLSRWLDQSRFGLDADFVQEMIERMPGAESCSNYRSLKTRSSSVSVTVAEGALIANPKVGENVREEVFGEISRKAKRHKLNGGHGFSNPHPPPGRPMCLRLPPVVVGDFLQVSEVFWRFREILGLGEAFSPEKLEQEIVNPVFDGLFLDKSGKEVNRSEMNISDEDRTATKFLSLLDESRQPFSSENTFASVLKETKAGDSTEFNISNSSRGPCVGALLTKTHISLLQVLICELQSKVAAFVDPNFDSGESRSRRGRKKDDCTLSAKRNKLHMLPVNEFTWPELARRYILSLLSMDGNLESAEIAARESGKVFRCLQGDGGLLCGSLTGVDGMEADSMLLAEAIKKIFGSLTRENDVLSVEDDDSDGLDATETNACNGDIPEWALVLEPVRKLPTNVGTRIRKCVYDALERNPPEWAKKILEHSISKEVYKGNASGPTKVQSLKPMLFPPEKAVLSLLADVRGGDLVQKSVKGTKKRTSIGVSDVIMKKCRAVLRDVAAVDEDKVFCTLLGRKLLNLNDNDDDGLLGSPAMVSRPLDFRTIDLRLAVGAYDGSTEAFLEDILELWSCIRVMYADQPDSLELVEKLSKKFKSLYEAEVCVFFSLFCYALYNVCSQLGSLLKSNGRDSLIKQILLRLIVCESYRSLRSELDAFEGFFLLCACRIIVVLAFQFPGVVLPLAQKLMDYRKLECLSAEMRKEIKDIVVSVNKLPKAPWDEGVCKICGVDKDDDSVLLCDTCDAEYHTYCLNPPLIRIPDGNWYCPSCVIAKRMAQDALESNKLVRRRKRRKYQGELTRASMETAARLVDVMGEKDYWEFSAEERILVLKLLCDELLSSSLVHQHLEQCAEALIEMQQKLRSLSSEWKNTKLRQEFLTAKLAKVEPSILKEMGEPQNSSSFADHHGRHQQQENVGEKVPHDDGTSSAAFLTNNQGKAPIETHAQTGGSNVISSENKIHTPEKVTSPGRNELPIEVTDHMSCEIEDTTETLDKSVGKNRETHSIKPNAAELKTAHDASSLASQELQACRQDLNATSNEIQNLQQSIRSIESQILRQSIRRDFLGSDGSGRLYWGCYFAEEHPRILVDGSMSLQKAVQVDLTGSKVPSPFLHAVDHGRLMVSPWTYYETEAEISELVLWLHDDDPKERELRESIMCWKRIRFGDLQREIKQAENSSSPIMAGDLVTKAAMAMEKRYGPCIKLEIETYKKRGKKTKCAEREKLCRCECLESILPSMIHCLICHKTFASDDEFEEHAESKCVPYSLATEEGKEKSDSSKAKESLKSDYLSVKSSAGKDKAEISNVSELGSGLIRYQEEESISPYHFEEICSKFVTKDSNRDLVKEIGLIGSNGSPTFLPLPSIHLNDSMLISATCNKLDGGDSGDQVIFTGSEANGEGLNSESLDRSVTNDLGNPLNKLSGMGCDKSEEKNKKSTGSGLKGCCVVPQASLKRVTGKALPVFRFLKTNLLDMDVALPEEALRPSKSHPDRRRAWRAFVKSAQSIFELVQAAIVVEDMIKTEYLKNEWWYWSSLSAAAKISTLSALSLHIFSLDAAIMYDKTITQSDPMDATKEIGLQEQKSQPVTDPQERSSRANRRSGKKRKEPEGA >A01p030100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21217313:21218962:1 gene:A01p030100.1_BraROA transcript:A01p030100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASRDVKGGIGLRAGFVKACCGAGLGRCLVGPFFAGLAGRSPATLILHFPFVPPSEKTRERERECDKKAMRCEGATVTVFLEPSQEVCEVTYHRRRRSSSGHDFFIDGAPGLSCGPCMRVSSGAYPLRSTTGASYRSTAGACILLRPTIGASPPLHACSFPSPFLLFIYLRRQHVSFQLFIPKQLQELSGAACTVETRPAALLLRSARGTACKELWGGRYGIGPTFWRPHPAWDGPAWTREMTIPPRYGTGRGGPTCLTSLVVSCFIICSSAS >A08g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12789129:12792198:-1 gene:A08g507320.1_BraROA transcript:A08g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQKVVPNESRRQSLRVRKPDSAAKKPEPRVQKSKKSSKKSKKSRPVREPARAPSVESLSVSDESEREGSDSEGSEREVINSVLVPTIGEQIMLARITDEEREYDRQGSPSDTWNYWLNVKQKNIWWEELYELDQAARGVLPKKKDKEKVTFAEGSSSNSGLDSRLQGLEERILEFMGERFVGLHVTVETMLEAQSSRMSVLEKNQRLLRRRAKKIEDMLTSIESKVEPSHGEDMDFRQWDNDTYEEKDKACSEKEKANAEHEAGKEKDNIENTEEEGEKEADDNAQQEGEKEKENSEADEEEDSESESEELKQMKERSRRQAAKLWKEIANEEKIGGKHDEEESEEKEAETSEEKDENNDEKDEEKVVESEAEGEDDQVEVGGKEDQEEEVEGKEDEEEEVEGKESETREKEKEKNETEEVESEARETEIEKGTPTPPRGNQTERTPKDDDNEPRVEPRVETNRTGETPTPPHGSQSEGTPKVDNTEPRVETNRTGETPTPPRGSQSEGTPTPPRGRTKAMAARRPIIRRMEDEPGKEKKKEEVVKEHAEEVVEEYSEEEKQRWIMVVYKEAPSPWIMHRCKENVVVAAPKKSGRPKRKSQWVQTPFTEGKKRKTKP >A03p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15522236:15524429:-1 gene:A03p037040.1_BraROA transcript:A03p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoamide acyltransferase component of branched-chain alpha-keto acid dehydrogenase complex, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06850) UniProtKB/Swiss-Prot;Acc:Q9M7Z1] MIARRIWRSHRFLRPFSSSSVCAPPLLAPYHSQSFASRPFLVPSLSGDPVEEFQPLCEVQSDKATIEITSRFKGKVALISHAPGDIIKVGETLVKLAVEDANDALQVSSDTPKNVEPICSKPKLDTLVGALSTPAVRTLAKDLGIDINLVIGSGKDGRVLKEDVLQFSSQKQNVTDSAPSENPVIRGDSVSTNFEDQIVPLRGFNRAMVKTMTMATKVPHFHFVEEINCDALVKLKHFFKEHNTDSTVKHTFLPTLIKSLSMALTKYPYVNGCFNEESLEIILKGSHNIGVAMATEHGLVVPNIKNVQSLSLLEITKEMSRLQHLATNNKLSPEDVTGGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIEKVPKFKEDGSVYPASTMMVNIAADHRVLDGATVARFCCQWKEYIEKPELLMLQMR >A09g517710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53219851:53222940:-1 gene:A09g517710.1_BraROA transcript:A09g517710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKETRDAANLIPLSLCLLRRRLVALPRRFRVQRHNGCESFFLFVGSNRFSKCSSINFASQSHNSSQKDDIYPYISWTGNYDVVKAQAFRRDDDVEDDRIKVLMEMIKKGHDFSEHVWETEENEVISLSLDDESAVNDEASVNVEAAESDDDFQTPKGSKNVGSRSKRGKKRLPDRGMEKRKHKVLASGAKQAPFNEDMKAFMTQLFEHNFSGMEQRIQKQMVETFEQMRTELKQSRKEASVEVELGEPSPTKPSTSQAPLRRSTRGDGSETTFDVNYSEAYDLGRGIGTQGVEGLSQTSYVPGFDPSQYKKEEDWWTPMTSVRGSVDNPVKKEKTEMNTAPPPSQWEKWCKRKATRVVSAGKWLGNEEMDAVMFIWRVNTTLNRWAPRRVAFMSAMFCLQVDAAYKKFYQTKKPINCLISFLGTAEESFHLMGGLI >A10p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11160393:11165471:-1 gene:A10p010290.1_BraROA transcript:A10p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDDLKPYFCSSGRTDLGKIDFKVSTSEITCLAHIDLLQAPKISNKSDPPRIVSFNGSMTIKILESKSWVFWMNMERKCIKSFKLVVHGDFMFIVTARSSGVRPRLSKGRYGHVSTHLDNPTCPSSAHGNGKGGISNKLLRILTRSDTTCNGPILWRPTGVIERALWTFPPTSIYFYLKSCAQENGKGGMSNKLLSEVTLDQPARMTLYTTLCGN >A07g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17946841:17948347:1 gene:A07g506960.1_BraROA transcript:A07g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTILAHMILIFNRYVTLALSRQPYSIHSLVLSAFVSLCREREMESIGVLMMCPMNSYLENELQKRYNLHRFWTCPEKSVFLETHRSSIRAIVGNASVGADAKLIDDLPKLEIISSFSVGVDKIDLGKCKEKGIRVTNTPDVLTEDVADLAIGLILALLRRLCECDRYVRSGKWKYVGIIGLGRIGAAIAKRAQGFNCPINYYSRTEKPDVGYKYYPTVVELAQNSDILVVACALTDETRHIVNREVMDALGAKGVLINIGRGPHIDEKEMVKALTEGRLGGAGLDVFEQEPHVPEELFGLENVVLLPHVASATVETRTAMADLVMGNLEAHFAGKPLLTRVV >A09p012600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6416025:6417239:1 gene:A09p012600.1_BraROA transcript:A09p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G17630) UniProtKB/Swiss-Prot;Acc:Q9SHP0] MSASTTSLLLGNQTHLPSLKSQSLLRLTKPLSIRCAASSTPTERVINFAAGPAALPENVLLKAQSDLYNWRGSGMSVMEMSHRGKEFLSIIQKAESDLRRLLHIPPDYSVLFLQGGATTQFAALPLNLCNPEDPVDYLVTGSWGDKAFKEAQKYCNPKVVWSGKAEKYTKVPSFDGFEQNPHAKYLHLCANETIHGVEFKDYPVPKNPNGVLIADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIIRKDLIGNAQDVTPVMLDYKIHDENSSLYNTPPCFGIYMCGLVFDDLLAQGGLKEVERKNQRKAKILYDAIDESRGFFRCPVEKSVRSLMNVPFTLEKAELEGEFIKEAAKEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKEFQARHA >A06p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000017.1:317961:326401:1 gene:A06p031630.1_BraROA transcript:A06p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQGIEKGLEKDSKAVVQSDRAGHTYGPDSPYGRLGRTVGVSEWVRDAKGHELPRGTSVQRVLVPKGCEFQTVPLVQGLGRTKWTVRGCIVERTDDPGFGLDQVRRTVSLWAGLITCLSHLDLNQAVRRDNGRSGYGWMVLATHMMAMEGQLYQYMLSGRWLIKSSGRIMFHDDGVDPNLITECIGWYEQIIYVVWVKFQGRSGQMMTYQFQVMQKDFGLCMRRERPDANPYPFKDFSKSNWKKDETRPRPHKRENLKLGAKRSAKKFAGKVTGKFTGDNLAIDLNLAIDSVGPSSPTLHTSSAHTERQWLSISTHISTLVLGISTLAIPVDCLGDFGPRGLSVQYTQDVRHTGRPWQSISAHISTLALPVDCSGDFCPRGLSGQYTQDVRGCPSAHTGRLWVSVSTHKTSVAVRVCPSAHTRLLWLPCVSVYVRVCPSAHTGRLWVSISTHISMLVLGLSTLTLPVACSSDLGPRGQSVQYTQDVCGCPPAHTRRPWLTLTLPVDCLDDFGPRGQSIQYTQDVRGCPPAHTGRLWLSVAVRPHTRDVRGLHTGRPWVSASTHRMFVAVRQHTQDVHGYPCVSVCVRQHTQNVYVCPSAHTGRPWLSMCVHVCPSEHTGHPWLSISTHISTLVLGLSTLTLPVDSLGDFCPRGLSVQYTQDVRGCLPAPTGHLWMSVSTHRTSVAVCGCPCVSVSTHRTTHRTSVGVRQRTQDVRVCPSAHTGRPWLSVYDRVCPSAHIGPPWLSISTHISTLVLGLSTLTLPVDCSGDFGPCGLVVQYTQDVRGCPLAHTGPHTGRPWLSISTHISTLVLELSTLALPVDCFGDYGPCGQSIQYTHGVCGCPPAQTGRPWQSVSTHKTSVAVRVCPCVSVCVRQHTQDVRGCPSVHISARWSLDSARWPVPWTVWVILAHVGCLFSTHMTCVGDRQHTQDVRGCLSSHTGRPWVSTSTHRTALAVSGCPSAHTGWPVVFISTHRTTLAHPVDCLGDFGPRGLSVQYTQDIRGCPPAHTGRLWLSVAVRQHTQDIRGCPTMVLALSKLALPVEFLGDFGPRGLSVQYTQDFRGCPSAPTGRSCVSVSTHMKSVAVFMCPYVSVSTHTTSVAVHQYIYQDVGPWTQHTDPSRGLFGMSVAVRVCLCPSAHKGSSWLSISTHISTLVLGLSTPALTVMSPILDRIARTGHGAGKRTSQLDECWFEFNQLGSAGIQFTMICSAHRSWCTSSLSWEQLEVSLIQLDGVLRFGSVWTSPGRLLGEPMVRVQDGSTKLVLSSGQGVDKFPECELRLSDRFVKGRKGEKPPMGGYGTVLGRRDVIWIFGMAMVRGNMQASLRTSRQAFHGRERSWTKWTSISASSMKLGSVHTSSVPTKSAPLSGLLAHSAEAAES >A04p035120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20301516:20302147:1 gene:A04p035120.1_BraROA transcript:A04p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMKLACLVLACMIVAGPITTNAALSCGTVSGNLAACIGYLTQNGPLPRGCCTGVTNLNNMARTTPDRQQACRCLVGAANSFPTLNAARAAGLPKACGVNIPYKISKSTNCNSVR >A03p055400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23889167:23891742:-1 gene:A03p055400.1_BraROA transcript:A03p055400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILTAGVIEMIRNDTVKNHEHMKPVLQVTELKLFTAQQEPSKERIRVLLSDGTAFIQGMLGITLNPLVKDGVLQVGSILRLDHFVCSEIQKKKIVVIAQLEVIATKSDIIGDHARGRKANDQQGGDAGNSSYEQHGRSDVSSARQINSTETGTSLVGQQRQQVVGSGSSFQQNDRSDLSGGRQINNNGTGTSHAGIGQQRQQVYGSGSGSSLPGSAPPSARSYNNPSAGLVRDPPPTDPLQRHQPPPPMYQNRGPVARNEAPPRITPINALNPYSGRWTIKARVTSKGDLRTYNNPRGGGKVFNFDLLDSDGGEIRVTCFNAVADQFFDQIVFGNLYLISRGKLRPAQKKYNHLPNDYEISLDEASTIQQCHEEDAAIPQNQYNFRSIGDIESMETNSIIDVIGVVSSISPTGTIMKKTGTETQKRSLQLKDMSGRSVEVTMWGSFCNAEGQKLQSLCDSGEFPVLAVKAGRVSEFNGKAVSTIGSSQLFVEPDLAEAQKLKEWFAREGRSAPCISISREFTGGGRVDVRKTISQIKDEKLGTSEKPDWITVNATIIYMKVDNFYYTACPLMNGDRQCNKKVTDNGDGTWRCEKCDKCVDECDYRYILQLQLQDHTGLTWVTAFQEAGEEIMGMPAKDLYYVKHEHNDEEKFEDIIRKVAFTKYIFKLKVKEETYGDEPTVKATVVKVDKVNYSSDTRTILDAMEKLRTAEAGSSGVGTSGTRDVSSVERTEFGLPANQSDQYGNQSSNGARPHGGSGGAMSCDVCGITGHVSANCPNTRSGPQGQYMGGGSYGGSGSYGGGLPRQHVGSY >A03p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1912693:1913806:-1 gene:A03p004550.1_BraROA transcript:A03p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDSGELAAPGEIRPALANLTNLPKKRSISSDSLDSPRVEFSKRLCVVVDDLVKQNGSSSSGSKCSSDDEKGSGGDATMVEVSSGDAKPLKGIYFEPGDRDGAREFKTDEGLALSLLSSSDAEIKKELGSCQNLRSFEMSRCSNVDKEERVTVNMGDDDDLIKSCSCSFCLKAAYIWSDLHYQDIKGRLSALKKSQKVASGLIQRNDKEKQPTGFHSLVNSVGAAKLESDLMAQWRSLFLGMGDILAHESNHLQNSFMTMKDLREDCKIDLERATKTPQHNT >A09p053670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46530953:46531600:-1 gene:A09p053670.1_BraROA transcript:A09p053670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPFNIFKQTMTKLKPNKKENKSKTSEEPTPRKSQQEVLSMSTLERWNDFFCSEENMGGGYWWLELSWCLDGHGSFHPNPSELRRLCSFEDEDVNSLCSLSSNALKALYRNKDIEK >A05g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11815909:11816306:1 gene:A05g504260.1_BraROA transcript:A05g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALFAESLYGLRRKSVRLRLSKGSSKEVQCCGLEKRQRVLSVVLCYRISNQSCMVNITRKGKRGSGFDEANIFTGEETVVSRGDSGNHELSVSTVSYEN >A05p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27520377:27523111:-1 gene:A05p046250.1_BraROA transcript:A05p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCKVPALIEAQVEMGSVNELEHKSLFRKEEDATQAKAASLMEQGSLSLSFPEHATKSPKNSVLRSIKIVIFSNKLNMLLPFGPLAILVHYMIDSKGWVFLLSLIGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNVTELIISIFALKNGMIRVVQLTLLGSILSNMLLVLGCAFFCGGLVFYQKDQVFDKGIAVVNSGLLLMAVMGILFPAVLHYTHSEVHAGSSEMALSRFSSCIMLIAYAAYLFFQLKSQSNNSYCPLEEETNQNEETCGEDEDPEISKWEAIIWLSILTAWVSLLSGYLVDAIEGASVSWNIPIAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFVVPFCVVIGWIMGEQMDLNFQLFETAMLFITMLYKYRGRESVVKQVERILQVN >A09g511140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33698822:33702331:1 gene:A09g511140.1_BraROA transcript:A09g511140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSRHRSTSSADSTECNAVRILTHEEFAAKHPHPPSPFYEKIDRSVNSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALKPPPKPLANPPEPTPNPLNSSTKPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDELGFIGACHCGAEYESEYKTEYSESIDTTTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQYERLETHNFTNTFPTSFDAVKSTSVDPHPRPAKQPLTSIDTSKGTSIDIRTATKTQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTNSAEITLPSIDVSVSTSINTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPDGNAHAMDGRILQVSREDIADILQVTNGPDNLLSQQRGTPDVILTDPNNHAGVTTTETNPDLSRHPKGQASIDGIMETSIDSVTQTSIDMDNPTSINRHYECGRCAFDMYGARKFTWEQMDEYGVYRDERGHARSAAGEMIPVTKDNNRKILERASLFEESHIYLPEHATSFTLTRLAPELYTKEEVDEMQFEKEATTSASIDAPCAKSIDVSLTTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTICKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSTIYTALENMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKLINGNSLRMT >A02p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16575813:16580041:1 gene:A02p031540.1_BraROA transcript:A02p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLKERLLPPRPASAINLRGEAAASRPSASGRQPLLGVDVSGLKKRGQGLKSWIRVDTFANTQVIEVDKFSMMRRCDLPARDLRLLEPLFVYPSTILGRERAIVVNLEQIRCIITADEVLLLNSLDNYVLRYVASELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKKRMEGSLNGGDHHSLLGYRSNDGLSLSAPVSPVSSPPDSSRRLEKSLSIARSRHDSARSSESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIDFFEKPGAFKWVLTITGVCGLLPINIRDPISFIISSPRLTKDVVKTIPKPLLSLLHSPMSCAAIARKLTRTTQLWIVQRQYLLRAVSRSFASSSFHIVSFSSAFHRTGLVHSQILSRVPYFVYSNGFSTKTIFEESDINKEVAPLEKGLVDLVRQVSELESEADAMASLEESTFDLNNGSLYSLIWELREEWRLAFLAFKWGERRGCDDQKACDLMIWVLGNHQKFNIAWCLIRDMFHVSRDTRKAMFLMMDRYAAANDTSQAIRTFDIMDKFKHVPDDEAFQGLLHALCRHGHIEKAEEFMLASKKLFPLDVEGFNVILNGWCNVWTDVTEAKRIWREMGNYCITPNEDSYSHMISCFSKAGNLFDSLRLCDEMRKRGFAPGVDVYNSLVYVLTCENCFGEAVKLVEKMKEEGLKPDSVTYNAMIRPLCEQGKVEEARDVLATMISEKLSPTVDTFHAFLEGVNFDQTLEVLEQMKVSCLGPKEDTFLLVLGRLFKKKQPENALKIWAEMGRFEVAANGALYLATVQGLLACGWLEKAREMYLDMEAKGFPGSPKLQKLLKEQKVKGVRKSKRKDLQKVGSRGGYRGQRSVYKNVSDRGLNICNHANSEPDYMKMLLTILILDCSWHTRPHILQVQVE >A01p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2272397:2274201:-1 gene:A01p005280.1_BraROA transcript:A01p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLSPTRLLEGYLRRCLTAAGLTSQTLSIDSETTIHFWGPSSVDHSIDDRPVMLLLHGFGPSAMWQWRRQIQAFSPSVFRVYCPDLVFFGDSTTSSTNRSEVFQAECMAKLMEKLGIEKKFNVVGTSYGGFVAYHMAKMWPEKVEKVVIASSGINMRTCDSESLLQRSNCECIEKVMLPSTASELRTLMGLASSWRMLRMFPDALWNDFISNLYQKNRKEKVELLKGLTLGREEKLNIEPLSQGILIIWGDKDQIFPVKMAYELKEILGDKTKLEIIENTSHIPQIECAQEFNNVVLRFLKGSQ >A06p045900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24596947:24599213:-1 gene:A06p045900.1_BraROA transcript:A06p045900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNERHHHQQQQQQQQQHHHHHLTSPYYHPFHHHNPTTVSAAPPTTSTNNGNLTSPPPSNDGSSSSLPVYPHSVPSSAVTAPIDPVKRKRGRPRKYDTPAQALAAKKLASSASNSSARERREQAAAAGVSPPPSKSGSRKGLSGYVGKTGQSFTPHIVSITPGEDVAQKIILFAEQSKHEICILSASGAISSASLSHIATGTSVSYQGQYEILSLSGSYIRSEHGGKTGGLSICLSGSDGQIIGGRVGGLLKAAGPVQVIVGTFQLEKKKDGGNDVKGDDASGSGSLLPSPSGGTESMHVYHPSMESSGRNLNNEHHTMTSGGALGGGGAHFMMQPPQGMHMSHARPSEWGGAGYDLSGMRGNGSSENGDYE >A05g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28952594:28952922:-1 gene:A05g509470.1_BraROA transcript:A05g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPEGNNDPPFPPLSTAQSLQRTVCSSAMQQQRKFSENSLLGPEFVDYLDPPTFTSYELAAIATDISSVA >A05g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11421670:11421901:-1 gene:A05g504130.1_BraROA transcript:A05g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLVEDIVGTLAFPPLVCLSTIVITPKLTFLYNHAWQPVPQEEVDSSPVLQKVVRFVLRCP >A03p018980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7738097:7742085:1 gene:A03p018980.1_BraROA transcript:A03p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSPTCYGDDDKKKPTTTGTETNNTPASKQASSSVVRPSSSANKFTVLPLPLSCCLVGDIILSVISSSVATCKLFSDLLTPRVGVWSNFAAGRDLQSYLSDLSIFMANKSKKIYILVDNRPWLNPGTRSAHFWQLMVTKSRLSPFANTKGREGKKKQKQEDEKKPKETCSQANNKKMKELKKWFSLIDATTFSKNKIPAKKLQSSLYLNKQLHKTLYGFIVFEVEWDNVRGINYLNELQTDTSLAIEAKLMRRWEFESIDQAVTNMSQWFSGSKSERSCLMEYLDTTKGEVFHDAETDFSKASPVDDDEDKLCSDYVSVENDSPCRSRSVFGVDHSTTADYDENEPHTPPLTGPYKRRRVTKAISTGVEFDYMEETPKRKDNHPFDQPDGENTIEATQYKDVLVLVRFGDRDLPFKFREVIMSDVRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCLLARTLYVIISIITVVIGFYDLYKNVPVLKATASRLCGPLFDWVETWDMVSRIKYLGTMLFLHNVQKAVKWAMTMARAVQSFVSLLVMPLVNPLLEVLGLLLPVWNSLAETVESLVSVVWVVVESCCSLVGEVVELVLLPIWFIVSLVWNITSAVLLPLFWIISEVLYAPFCVIVALASGLAFSFSYIFDVLGDLWRYMSSILQLASDSQAAVKTYEVSMWRTLWNDLFSHVFRAVRSILNGFVAFFAACNRHRLSIYNHMQDFIQRLHGRTLRSGSRNPKHGRSANHQRTGDDTRRKLHLT >A06g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3906538:3907563:-1 gene:A06g501040.1_BraROA transcript:A06g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCELMRMEWICSSLIQSQRSCLPPLMLTVSPLSDTTSRLAIRFSTIHSVYVIQPTFHALTKPVLQSRFGFSGSRFAAWPSQYGHASSSFPHLADVIGELTAVKSTVIDVLQGKERVMATIKLGHLLECHFPTKRLMQGRTLTVGTSSSGLIYKRWT >A08p024810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16085984:16087027:-1 gene:A08p024810.1_BraROA transcript:A08p024810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRALLAVVTASPPRMIQIKASTFSSSLKFQRHITTLARSFSSDTNPSVLQPPDVSRLAETARISLTPSEIEECGAKIRQVIDWFGQLQQVDVSSVEPAIRAEMEGGNLREDAPETFENRESIRASIPSFDEVYLKVPKVLNKE >A05p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1556523:1557159:1 gene:A05p004010.1_BraROA transcript:A05p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEMRYLEEEDGPMMKTIKGSVTGFAAGTIYGTILATWKDVPRVERNVALPGLIRTLKMMGTHGLTFAAIGGVYIGVEQVVQSYRGKRDFFNGAIGGFVAGASVLGYRARSIPTAIAAGATLAVTSALIDSGGQTTRVDNGREYYPYTPVEKRTQAES >A09g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11529020:11529649:1 gene:A09g503700.1_BraROA transcript:A09g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEPCRPPPWRWSPNLLRQLDRPCLSWFSRSGFWCSDSYAFFITRGRLNNFINACVSGGSPFRHFFHAMFEYIFPLCMVCDLVRLFLVSSLGFCFSVVGFHSPFLGYFSSSLASLGIGASYILAGGCASGALGMCLSVSCDFGLRLCSLLWCFDR >A03p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3857074:3857889:-1 gene:A03p009610.1_BraROA transcript:A03p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSKSAAFMLLLLNLGLYFVVTVIAAWAVNHGIERARESASVLSLPAKIFPIYFPVGNMATGFFVIFSLIAGVVGMATSLTGVMNVLEWDSPNLHSAATSSLISWSLTLLAMGLACKEINLGWTEANLRTLEVLTIIVSATQLLCTGALHVGVGETVAGERPHAGRV >A05g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8323819:8324662:-1 gene:A05g502640.1_BraROA transcript:A05g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSPSSSSSNYKIVIVINTLTTRNNQFEALNAPKIDLPFFFLHSYELNTTSLSSSLHIKLKKTKSLILYFLWFIESKKLMILSGSLSFEIMCSWRSLMCAKEICSSRRLIGKLSGYRRLTWKSFGQGRDNLQFSRPPDDLHVSRPRFYSEILVKPRKSWTTELK >A10g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4626879:4628573:-1 gene:A10g501650.1_BraROA transcript:A10g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKLKLAVEELEMDHLMLQIQNGRMLDDLSQTETEKLKAYASKKFQTLMGEIPKPPFPMIQGGSVYLMDKWIKDPSDKEDEMKKTCEGESSKSGDTGLPRDGNWYHPPRCGLYLMWIFAGQPAMTRACALLGLNRNEANKLLPYKVGLNRNASLPTEKGSSGGGISKKRSYGGGKKTERSFTGGSTKKRSSGGGLNQREEEPVGGDAPLVLDGDSVESWRT >A05p009970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4166029:4167480:1 gene:A05p009970.1_BraROA transcript:A05p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLT1 [Source:Projected from Arabidopsis thaliana (AT2G37570) UniProtKB/TrEMBL;Acc:A0A178VWH9] MENHHPSTLLSMDSSASSHEELDLEMNNNRQSLLSGPPDINLPLSAERSPPPQPWNLDSCDILDVGLGSQAYETENYMSVVPKAGRKCAKRVDSVWGAWFFFSFYFKPALNDKSKAKIVRDSNGMSGFDKTDLKLDVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGDRLFPFSVEKGFARSHRMQRKQYRGLSNPQCVHGIELVPSPNLACLDEEERKRWMELTGRDLNFAIPPEASDFGSWRNLPNTEFELERQPPALKNNNSKKLLNGSGLNLSTQPSNGEGTDLSPSSHKKRKDMFSNGINEEESCLPPAIEAHQNELPNWSNDFTGAMKNVHGPVTAAKTIYEDEEGYLIIITLPFVDLNSVKVSWRNTLTHGIIKVSCVSTSRVPFIKRHDRTFKLTDPASEHCPPGEFVREVALSTRIPEDANIEAYYDGPGSVLEILVPKLRAGPEEHEVRVCLRPHLGGNDLMLT >A02p059130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35356961:35360414:1 gene:A02p059130.1_BraROA transcript:A02p059130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDYKPPEEFVVNSLQPLADFDVTGSTELWLIQCPMSHVPEIEGKELKVKLAEDGVLGRFEDSSGKEVELVSFASQEGDATVIIPCENESKIVGKISRRVSLVRFPEPEELLETFKTQQKALRAVTSSSVRNSNPAMSSRRKSGQSSLRHSGREKSFFSGFTETPKSSKRKHSEPSASKHGSGSSDRSGKSKKKMGNCAIKPKVLKDSDEDLVPVERDTTVHNKYSGEKSKNNAPNAADEEAAAARRSEKGKDILIEDDAEDGNSKRQSLSLLFHEDKAIVKELTGPAKPVINNNKGDVSSEVSKLDDVSAPATSNVIKAPETFDVQTRDDLHVKIPNESKVKTPETPKAKEAEEKEVNYSENWEVKFPEESESMKKSEAVKVVEDSKPPQVSKVSAPILSEVKVTKENDVPEVLEDKSVSKVSEVHAPTELSELKVTKEPEVHEVLEDKNIVSKACEVDAPPKLSEVKGTKESDVPKVLEDKNVSKDSEVPTPPELSEIKVTKESDVHEVLEDKNVSEISKCKTDEVKAAESELLKVAEVQSSKDLEIKVPKVFEMKTPETSNVKVGSDVKTKVGFEVKTNQEASEVKTTKEKEVPEFLDAQKKIDRSEAQILEDIKLSEENMAVTGKAEEGEKGLSFEKKVKGITMSDLDNK >A05g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4218184:4222942:-1 gene:A05g501160.1_BraROA transcript:A05g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRKRSGKGLVEGTAATAKSKRSGKGVVVGTAATVNERLPSRLFTTDRYPSNRNNCYSSLERNLTVDDLAAMVAGESTMSQEKKFRICLIIIVDGVLMPKIQKPKPTLQYVKLVENLDKFFSFQWGRESFWWTISTMLPAKKVLGKCDDPEGAFCAQLRQDSKFLLGFPLALQLWAFEAIPFTVLPMVDVHEDRDDGWGVFDCEILDRKVSYMVGLLKSGHKFEKGEWGGEAGPVMKQRRLSRYFSRKGPEVGDKYEVLLDAVGELKKELGRLNKVVEKQGRMLKKYKAKSIGKLSSSRGLLSRRKRVRPVVSGDIFGGSDQEGTHKGSDEMEDELGGGSRSTALKEGDEIPLLYSEKVDGREQTHVVQFGSGSNTFYVTEEEVGSKTGGVVVGNAYPVSYVEQGSDEAGDVGIPGAVVDCGTEVDFGELNRLVGVITREGAGAGAENEGRKPTGFGEKASGLDGIEPQVHMDKVGREAVGDASQRGKLIQGPEEKTTDNAVVKVGDEKVALVTEGMEAAGDASHVGNLIEEPQDQTSVEATGDVRGERITDSSAGAERARGTDVEEAEDIGNKEPIGDGVGADKDESGAVEDVTEAKDDNKKEPKKGSLISEGDGLNCANAEEDDTLAVQPVRDGQSSGVEGEGADVDGSVDEQVMDLSDSSPCQRSEKHKPVEREAELASLLLAKEPFTMDKIVPTAEDTDYRFFENVLIGNPKVLHLNAGKFDLDNQFFIELATSQEWVSTKHIEALVEYIAARHEDTLKERRCLFLPPWFVAHLQGKTRAFNAAKGNRGRVLGDGRLSGFLTKEGRKWGVDVDTLYAPMIWDDNHWVGLCISLTDWRVLVLDPNPRLKNMEEVRGVLESVSKMIPFLVEKVCPVPESGPYGLESFTVERMGGAYENRRSGDCGPVSVKLMELHALGNPHPRMDGLTDDLVDIMRRQWAMDIYKDWVVPVYVGEEMV >A09p016230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8468703:8469126:1 gene:A09p016230.1_BraROA transcript:A09p016230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPKENEGGVLSIGDEGGSTSGFRVANKAGRVRKTATKQNAKKEKGKKETASRADTRVHAPSDAKAYLSSMRDAREYSGG >A09p061330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50591251:50597185:1 gene:A09p061330.1_BraROA transcript:A09p061330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGRSETGPSAAAPEEDEELVTRKMVYMWGYLPGASPQRSPLLSPAAVKIPPAVESSWKDVSGGGCGFAMATSESGKLITWGSTDDLEKAEAGWAHCVAVTESHEVYTWGWKECIPTGRVFGQVEGDSCEMNISFSAEQVSPSSQGKKSSGGASSQTRPEPTKKRRISPGKQAAENSSQSENNDLSALPCLVSLAPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGSGSRVRLVSSPHPIPCIEPSSYGKGSSSMSSEVQCGRVLGSYVKKIACGGRHSAVITDTGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEGVAAGLWHTVCVSSDGDVYSFGGNQFGQLGTGCDQAETLPKLLEAPNLENVNIKTISCGARHTAVIADEGKVFCWGWNKYGQLGLGDVIDRNAPSEVSSILMMGMSKTEINLRRLLSAAPNQQNQSKLMHYVATLREQLEQLSEEKTPDGLPRVTKAKVNEYYEEIEAVASKIAAQVPETEISDETYPKDSSTSGSSPKIEDEPRSPTSPQLRRRIVSTSSKEQNVDAGPSKALKLDTAAKEHIDKHRKLQEDLTDEMVGLARQLKERSLMISQSVENTEKILDSTEEAIEQSLASTGHANVRASKIYSESSKTSCFQWLLILAMICVFIMVVLLIRIT >A09p057450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48465835:48467418:-1 gene:A09p057450.1_BraROA transcript:A09p057450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFRCLSLLVVMALVSGTIAEKANSSGGMVWATARDEAELVEDSGLVIGEQDQIDGGFSSLDGMLHWAIGHSDPATLKEAAKDAQKMSMDELQKRQVELKELVEKLNMPSDGKLMKIAVSDLNNASLSLEDRHRALHELLILVEPIDNANDLSKSGGLKVVAGELNHSDSEVRKLAAWVIGKASQNNPFVQAQVFELGALTTLIKMVNSSSTEEAVKALFAVSALIRNNIAGQDMFYASRGYIMLQDVMSNGSLDIKLRRKAVFLVGDLAEFQLQNTDQKAELPIFSDRLFLKSVVDLIVVLDLDLQEKALTTINTLLQLKSIEPLILRDFCGLQGALQRMKLQLKESMEDEDKRDYAADVETLRGEVELIFRKKLGLL >A04p027570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16602924:16605462:1 gene:A04p027570.1_BraROA transcript:A04p027570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKAGPVRHQCHLPTPLSFSLSYLQQRADRNLSLSVSSSPSVFLPVIQKGFCQIILIMGICLSAQIKAESPSNTGASPKYMSSEANDTQSMGSKGSSVSIRTNPRTEGEILQSPNLKSFSFAEVKSATRNFRPDSVLGEGGFGCVFKGWIDEQSLTASKPGTGMVIAVKRLNQDGWQGHQEWLAEVDYLGKFSHPNLVKLIGYCLEDEQRLLVYEFMPRGSLENHLFRRGSYFEPLSWTLRLKVALGAAKGLAFLHNAETQVIYRDFKTSNILIDSDYNPKLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLMTGHLTTKSDVYSYGVVLLEILSGRRVVDKNRPPGEQKLVDWAKPLLANKRKIFRVIDNRLQDQYSMEEACKVATLALRCLTTEIKLRPNMTEVVAHLEHIQTLHETGGGRNIDKLERRTRRRSDSVVVSQKPNAGFARQSAVGGIAAAYPRPSASPLFV >A03p072040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31810484:31811917:1 gene:A03p072040.1_BraROA transcript:A03p072040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWAFSFISGRCDSAYLPEGESADWSKTTTPFVKKESGEGEAMDDLFSAYMNLENIDALNSPEADMESSRASGTKTNGSDDTEGESSSVNYESGGDHNNSFTGVVGVPASINRSPLFLLHSSSKPCIYYTYKKVFRGVATLKVSAMKEVWNIASVSTGIKNSLLLKDATTLVMVAMEAPVVVTVARIISW >A08p041450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23376868:23378679:1 gene:A08p041450.1_BraROA transcript:A08p041450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRMSNPRFDAAATTTPTVIDIPGTPPHSSASSNGKPFFLSSPTVSPSVLTAAIIAAWFGSNIGVLLLNKYLLFYYGFRYPIFLTMTHMLSCAAYSSAVINIAGIVPRQHILSRRQFLKILALSAIFCLSVVCGNTSLRYIPVSFNQAIGATTPFFTAVFSFLITCKTESTEVYLALLPVVSGIVLASNSEPSFHLFGFLICVASTAGRALKSVVQGIILTSESEKLHSMNLLLYMAPMAACILLPFTLYIEGNVLRILIEKARTDPLIIFLLAGNATVAYLVNLTNFLVTKHTSALTLQVLGNGKAAVAAGVSVLIFRNPVTVMGVAGFGVTIMGVVLYSEARKRSKLLNQK >A09p078380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57998391:57998751:1 gene:A09p078380.1_BraROA transcript:A09p078380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRERRGLTFFKPHDCKAFDLPKFVWGTDGVSLDSAAKFTGKSKNSGKIVTSSPNGRNCEDKVVNTNEGEASSLVELDEFVTTNKFVVDDLSAQKGWSRLCLRE >A01p022560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11109920:11110456:-1 gene:A01p022560.1_BraROA transcript:A01p022560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLFLVSATLAFFFLLTNASIYRTVVEFDEDDATNPAGPFRIPKCRKEFQQAQHLKACQQWLHKQAMQSGSGPSWTLDGEFDFEDDMENPQGPQQRPPLLQQCCNELHQEEPLCVCPTLKGASKAVKQQIQQQGQQQGKQQMVSRIYQTATHLPKVCNIPQVSVCPFQKTMPGPSY >A01p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3817800:3820369:1 gene:A01p007860.1_BraROA transcript:A01p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTMLNQLHLLHLFLMFLCFSSLTLSSEPRNPEVEALINIKNGLHDPHGALNNWDEFSVDPCSWAMITCSSNNLVIGLVAPSQSLSGSLSESIGNLTNLRQVSLQSNNISGKIPPEIGLLLKLQTLDLSNNRLTGQISVSVEKLSSVQYLRLNNNSLSGPFPASLSQIHHLSFLDLSYNNLSGLVPKFPAKTFNVAGNPLICRSSPPEFCSGSISSRRRSNRLAIALGTKKEEGLQGLGNLRSFTFRELHVSTDGFSSKNILGAGGFGNVYRGNLGEGTMVAVKRLKDVNGTTGDSQFRTELEMISLAVHMNLLRLIGYCKTSSERLLVYTYMINGSVALKLKSKPALDWTMRKKIAIGAARGLLYLHEQCYPKIIHRDVKAANILLDECFEAVVGDFGQSSEKTDVFGFGILLLELITGLRALEFGKTASQKGAILEWVGKLHEELKVEELVDRELGKNYDKIEVGEMMQVALLCTQYLPAHRPKMSEVVLMLEGDGLA >A09p014560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7640432:7641300:-1 gene:A09p014560.1_BraROA transcript:A09p014560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKVRDEAMQIIGMFQILPRLVVFDLDYTLWPFYCECRSKREMPSLYPQAKGIMSGLKEKGIQMAIASRSPTSDIANTFIDKLNIKSLFVAKEIFSSWSHKTEHFQKIHTRTGVPFTDMLFFDDEDRNIKSVSKMGVTSILVGDGVTLGALRQGLTEFSQNHNTIEKNKKVWRNKYSGKAASSETEKD >A10p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16643054:16649606:1 gene:A10p026110.1_BraROA transcript:A10p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDDQKEPETVSCGNSKCNSKIAPGDDHDGDESSGAKKRKKKKKKKKPQKTRKRRELMSFSELPEYMKDNEYILNYYRAEWSIRDAFFSVFSFHNESLNVWTHLLGFILFVGLTVANIMHHDKFFPVDAMNPGNAARWPFFVFLGGSMFCLLSSSICHLFCCHSHNLNIFLLRIDYAGITAMIITSFFPPIYYIFQCTPRWYFIYLAAITSMGIFTIITLLTPSLSSPKYRGFRALLFASMGLFGIVPAIHALVVNWGNPQRNVTLLYELGMAVFYLVGTGFFVGRVPERLKPGWFDRVGHSHQIFHVFVLLGALSHYAAALLFLDWRDHVDFFPMRFSLAPTLLLLVLLLFYQHHSSSNLNSNTLSSFVDATSLALSPSPFLSMEFSSHSSNFTLIPSAQKKEKTRNRIEEGLAKSRAAIHEAVRSKKYASENEETFVPHGAVYRNAYAFHQSHIEMEKKFKVWVYREGEIPLVHMGPMNSIYSIEGQFMDEISRAMSPFAASHPDEAHTFLIPVSIANVVHYLYRPLVTFSRKQLHNVFLDYVDVVAHKYPYWNRSQGADHFFVSCHDWAPDVSGSNPEMLKNMIRVLCNANTSEGFMPQRDVSIPEINIPGGHLGPPHLSSSSGHDRPILAFFAGGSHGYIRKILLKHWKDKDEEVQVHEYLPKNQDYFKLMSKARFCLCPSGYEVASPRIVASINLGCVPVIISDHYALPFSDVLDWSKFTIHIPSEKIPEIKTILKNVSGRRYLVLQRRVLQVQRHFVINRPSQPFDMLRMLLHSVWLRRLNIGLHL >A01p045890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25890238:25890580:-1 gene:A01p045890.1_BraROA transcript:A01p045890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPTSFLNPYFGHGRSLPRRPYDICESPFAQRKRVVRPKEELQIRNSDELSNLPESESETETRDEKISEATASTPPPPLSRSRYGDDYWD >A09g511530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34622311:34623203:-1 gene:A09g511530.1_BraROA transcript:A09g511530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTCEGTSVKVIFSPPFDSEFSEERIRHVLKSDNEEWEGCLEYLVGVDRICWSEPVPPFVEPSWCSRRYDSLGWKKVLLYDDMIVFPPLMTFQRLLLNRIEEDIQLMLSKVLELKSFLGDV >A08p033090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19950251:19951792:1 gene:A08p033090.1_BraROA transcript:A08p033090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGTMQPCHANALPLSIINTKTRVRSVSTVPLFSPASHSPSSSLSIRFKLSPRVSRSLSVVSSVLSEDRATGVSGSGGTDAFKLTYLEGNSWLWETSGLRILVDPILVGNLDFGIPWLYDAAKRFLNGFKLDDLPEVDCLLITQSLDDHCHLNTLRPLSEKSPDLKVIATPNAKPLLDSLFRNITYLEPGESYELNARNGSKVRVKATAGPVLGPPWQRPENGYLLASPEDQISLYYEPHCVCNMELLKNERADIVITPVIKQLLPQFTLVSGQEDAVQLAKILKAKFIVPMQNGDLDAKGILASIIKKEGTIESFKDLLSRELPKAQVLEPIAGVPLEILPPTSDV >A08p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20208271:20210858:1 gene:A08p033800.1_BraROA transcript:A08p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRGWKVFVLSLVIISLKKFLRWWTLKTTILLVSLTIYMLVTNKVKLVRKDSPRHILQCKEITSSRFESLDSDISAKFLNWKEATEVASSKISFINLQVTNPHFPPRRSSLIPLLKPKKETYAEEGDSYRSGKQLTTDHHYRGVVSLPNGGGRAETISVQRTGAFHERIDRHGNSFGARVATKQTRVPPPTAENTREETYSWRSKALGKAPEDQRGDPSKQKPFPQKGLSEWRKKPNTQTLSKAHTDDPNATTSHDQGLAIVQGKNQQESSKEQTEEQIINELNEATLLYLSCPDPTEAAARRQRVLAGDAKGQTEETAANLLRLRGAPREHGRETPQNHHNPVTISKEHILQELQEVTKQYLSCVDPVEASARRQRVLAGDAEGLLDKTANSILAVSTEQRRPLSPWERGIRSESPPGIDFDLAMQPSDVEVTPPHAMRRIEDGPILRNQSNRAREGAYPEKLKSIVVSPKGVMGEGAEALESAVEVADDEETLQNFQSKTKSMTTKQAKGAKAPTQMTGRKRGRPSKPKPTVASPNQLTGATLSWKSKEITGSRIL >A03p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2950261:2961095:1 gene:A03p007060.1_BraROA transcript:A03p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEGAGVDKKITIGVCVMEKKVKCSPEVFSAPMGQIMDRLQAFGEFEIIHFGDKVILEDPVESWPICDCLIAFHSSGYPLEKVQAYSSLRKPFLVNDLDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRKVPNQDLDYFVEDEDFVEVKGERFWKPFVEKPVNGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQAVCGFDLLRSEGSSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTVPPILPWKINEPVLSNEGLTRQGSGIIGTFGQSEELRCVIAVIRHGDRTPKQKVKLKITEEKLLNLMLKYNGGKPRAETKLKSAVELQDLLDATRMLIPRTGPGESDSDAEDLEHADKLRQVKAVLEEGGHFSGIYRKVQLKPLKWEKVTKSDGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDTASTEMEEAKAQLNEIITAGTKLVSDYVSSELPWMIDGAGLPPHADEHLPELIKLAKKVTEQVRLLAKDEEENHTEPSAYDLAPPYDQAKALGKSNIDVGRIAAGLPCGSEGFLLMYARWKKLERDLYNERRDRFDITQIPDVYDSCKYDLLHNSHLDLKGLDELFKIAQLLADGVIPNEYGINPQQKLKIGSKIARRLLGKILIDLRNTREEAMSVAELKNSQDQVSVSLYSSKKEDRYSQPKLYIKSDELKRPINGENKDEDDDKETKYRLDPKYANVMTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLVCQSALERLCKTKELDYMSYIVLRLFENTEESLEDPERFRIELTCSRGADLSPLEKKDEEAKSLLREHTLPIMGPERLQEVGSCLTLETMEKMIRPFAMPPEDFPPPSIPAGFSGYFSKSAAVLERLFSLWVCSSSILPKLYYVGLIKTKIICVTVFAEMGLNDTDPVKAQSKKKPNEAENQKRKKNDVVSKSKGKTFDEEDEEREEPSGCWVKFRFMIGCLPSKSDLDASSSSLYATTSTVTTMESKSANEKSTDQPAGPVSSTTTTSNAGSSSSTPMISEELKAYSNLRNFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLLHPNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIAVGAAKGLSFLHEEALKPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPDEGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLLDKRRFYRLLDPRLEGHFSIKGAQKVTQLAAQCLSRDPKVRPKMSDVVEALKPLPHLKDMASSSYYFQTMQAERLKNGSSRSQGGGNGFGSRNGQPQPVFRTLSSPHGQHGSSPYRHQVPSPKPKGATT >A03p010230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4082936:4085759:-1 gene:A03p010230.1_BraROA transcript:A03p010230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:Projected from Arabidopsis thaliana (AT5G20170) UniProtKB/Swiss-Prot;Acc:F4K460] MDSDMEISLDRLPIKRLESIEENGAERFPSDVGYDDKRVSLIRRIDFAWALEEEDELKNNKKKKKTSKEGPEQWQWKGMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPMPNEILSDLAVSTATKLQSYRHLGKFFKQSAKSLEQKVNREARFYGALIRLQRNWKVKRQRVLASNASNEGFTIDLSDSSIYDPASGFRPSTLSTIRVEHDSAGMLAINLPQDSCYSLRFGFVGLNSIDNPNESDEHVDSTTGQDSITEKQSVSDDESVKETHSLLREVHKSIFAEQLFDMLNREAFSEGVGFSISGIRENFMEMNIGQGASLFVSLYPSGKNASIKKSESANMLIESSAEGDYRVNKLGFPSRASFEIYLQQIFHEHAFGKAKDQPKSKSNRASNQTAKENNSGLLDHFCLSLAHRIFSARVLVQLESVVCKVPYLHLISHPTWNSRTSSWTVLMTVPPSIIPQGNSESQSPDGKRNLKTQFRTKVVVKDDCISIEAECTPNVVGLLKSTSCNLFSMNKYECDLADLPVIILQQVASQIVCWLLEEARTVGTKASRDFLSLSLEIVEGERVSLVGQVNPEDVKGCISWWLVMENGCTEERKGVSESRKSLGHLSLDVLYSVLMDLINLCGSGRNALLD >A07p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2263315:2265226:-1 gene:A07p005280.1_BraROA transcript:A07p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] regulatory subunit 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G17130) UniProtKB/Swiss-Prot;Acc:P93032] MSRRQSLSLLKNLGRLTTGSQTQTRSVTYMPRPGDGKPRPVTLIPGDGVGPLVTNAVEQVMEAMHAPVYFEPFDVHGDMKSLPEGLLESIKKNKVCLKGGLKTPVGGGVSSLNVNLRKELDLFASLVNCFNLPGLESRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCQEVAKKYPSIAYNEIIVDNCCMQLVARPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGAEYAVFEQGASAGNVGKDTTEEQKNANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKCRTEDLGGKSTTQEVVDAVISKLD >A02g512760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:34969185:34969688:1 gene:A02g512760.1_BraROA transcript:A02g512760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDVFSRLLSPSLSPSPPSLKPTFTRPSHQLSFKSDHGDVTNRVAYPSLANANLVFFKSGNYNVEVVPKDGETEEQLVNDFKRSVFRAGVLQETRRRRFFESAQEKRKRKTKEAAKKYRKRRPNPKPKTHSAPSEVPKSRREVEEDDNWELPPEEIEIPYTDRF >A09p065430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52324923:52327323:1 gene:A09p065430.1_BraROA transcript:A09p065430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSILYFAPQRKSIQCHLWHCQRAYQPYCSSSHSELALLGEEGRNAIVIADGMKDQEINEISGKIVRSTVKGKRCGIPHVFPSDLCSNPWELEGFVFNRELELDETRRSWVFFCENNPEDFGTVWKLVGGSKEISPGIYCQKYILTPDYASPGGRFLLSKVTGWRFSLALYWMTFLAAEPKVESEEDGKVTVVPSEGETSKRKLIDCDPSSQLPNKATKIGRVDGAMESPNTNVSHSVHVLAHKSDMNVFCLYSHNVAAKGKFIAFVSTDAETENPQTELKAGIDLLCPVDEIFFDMYDRYEPANEPASDNCFISTSYDATTQFETTVADVLNMYTLITGEDYNEKDSKTHSGMVDNTIAIMSHPIPNTNDPRSVHVIISQKQLAHKSDILKMKAILMLVVNPSLDHNFIAKTDNYFPMFRKYNDVCLLLFILPQRGPPRESSSHLFLNDAETDNPQP >A02p033290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17680913:17682247:1 gene:A02p033290.1_BraROA transcript:A02p033290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVKENQSGKLQKPTPRLNERILSSLSKRSVAAHPWHDLEIGPGAPVIFNVVVEISKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKELPPHRLTEIRRFFEDYKKNENKEVAVNDFLPNGPAVEAIQYSMDLYAEYILHTLRR >A02g511480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30585207:30586239:-1 gene:A02g511480.1_BraROA transcript:A02g511480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVLCIFGMGLYSFASLIPSSTVTLYFGNSSSAQYFKNGIEEMAGRTLRCVALAFRTYELEKVRMVTGDNIQTTRAIALECGILTSDADASEPNLGMGTCIRLNMKPHQNWKQRFSIKRRSSRSFLRVMQDMYMNLFFGDEVFKYFRSYDS >A06p050530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26575711:26576561:1 gene:A06p050530.1_BraROA transcript:A06p050530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVNNGSTKLQSYPSLFSLLTIVCYIFINHYKYGLNVTHHLACTDKTTRRILHSSSSSSNIFLSLYIVMVTNSTIKLLCSYGGKILPRYPDGKLRYNGGHTRVLAVPRSVSFSELASKMAEMFGSAVTIRCQLPTEDLDALVSITCDEDLVNLIEEYDLVSSSMKIRVFLNPPKSVKSTVSPPPLALPASTTSSASSTSSSPRSPSLSKPPLPPSPPRLTTVKNQCYGCYVHHRSSRNMYLVHNGNHWQ >A02p018590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8572500:8573513:1 gene:A02p018590.1_BraROA transcript:A02p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) UniProtKB/Swiss-Prot;Acc:Q9FHM9] MHSVPVILTLTVLTVLLTSTVQVIGWRPYPPKTNGSDQIFDASKKYEGSSNLIRLRYHMGPVLTNNITVHPIWYGTWQKSQKKIIREFINSISAVGSKHPSVSGWWKTVQLYTDQTGSNISATVRLGEEKNDRFYSHGKSLTRLSIQSVIKSAVASRSRPLPVNPKGGLYLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGFTLPYAWVGNSAKFCPGVCAYPFAVPAFIPGIKPVKSPNSDIGVDGMISVIAHEIAELATNPLVNAWYAGADPVAPVEIADLCEGIYGTGGGGSYTGQMLNDDRGATYNVNGIRRRYLIQWLWNHVVSYCTGPNKLD >A09p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12345688:12348807:-1 gene:A09p022440.1_BraROA transcript:A09p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAISQCSYNGKEAYSNIYTDNEPPFSDLQAALCFLDNQTDNYDSTFLQEGYYGNTTTFLHQPPNEEKPAANCDISFHGDMGKNQVTRRTRAKKNKEEISNQRMTHIAVERNRRRQMNEYLSVLRSLMPESYVQRCDQASTVGGAINFIRELEHRLHLQNLCCETNETSLSGSCMSSATPFSDTFKLPQFSMGSSSVSDNMVLEVNALADIEVSLVESHATLKIRSRRRPKVLLNMVSGLQNLGFIILHLNVSTVSDFVLYCFSTKMEDCCKLSSVADIATAVYEMLKMHHDS >A01p030730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13738796:13745128:-1 gene:A01p030730.1_BraROA transcript:A01p030730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWELTALSAASPVESILDKEDFTLEELLDEEDNIQECRALNIRLINLFPFISSEVLTCEIDVILKTLVEEEELMNLLFSFLEPNRSHSVILAGYFSKVVICLMLRKTVPLINYVKTHQNVFHQLVDLIGITSIMEILIRLVGADDHVYPNHMDVMQWLADSNLLHMVVDKLSPSVIHANAAETPCTVAQNAPSPLATKLSSSSFIARIFGHAFGDSQSKSSLVHTLSVCISLLSPRRSLVSSYFMYSFRGQQIFESPISVNPETIATMLPRLGDFVKLLNATSDEKVLPTMYGQLRPSLGSHRLKVESIIVSCLESKNDKIVDHILHECDLIGKILKIEKQPILSGENQPTIPAAGKQVPRVGNIGHISRISNKLVQLSTNNNLIKTSLEEHNEWGEWEANTLHDRNALRMFIVGRQTALHDRSRNSDDDEVHDRDYDLAGLANNLNHFRYNMQENNGAGKDHGSNDRDEEDVYLDDESTEVVISSLRLGDELGDNIGTGAIRSEEEMEDVSLNETSGNGTENDEEDCLITEGKNPFVATASTSEAEVTDPFPADDMKIPDVRVPNGSSSSEGEVSPKSPPVPSLFGKDVEYVGVEPEGTKRAMDQALKEGV >A05p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2881724:2883798:-1 gene:A05p007160.1_BraROA transcript:A05p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDLDIMKPETMKSYIYLQTADGSVQQVEQEVAMFCPMICQEVIHNGAGSSKNHAISLPQRVNTAMFSLILDYCRFHQVPGRSNKERKIYDEKFMRMETKRLCELTSAADSLQLKPLVDLTSRALARIIEGKSPEEIRDIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYAKKRKELKERERLKNVEVEEHVDERSVDDLLSFINGRGVEDTGCRMRDLSSLEDEIFTPNAGCEDDEIDPAMKEMLDREVEDFARRLNSNWVQSLGQERRPVHFSINGNGTTRRHIGQPSGHN >A02p029280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14935381:14940853:1 gene:A02p029280.1_BraROA transcript:A02p029280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSGLTLKQLMMPFVGLIRNMHQQNFTLQEKEKNCGEGTIVKNGSKKRANVEAALGENKRDDVANEGEDEDEDEDEDDDEDEDDGGNEDKDDVGNEDTNDEDEDYDYNGWHEFVGNDCERDEDDDFDGCPPKGGRGGRSGRNGYEHSGVTEVRGQGSGRGQRKQRCNMRHYEDNTDQVRDFVCTSRIVDFSYTKDSDIGGSFDVVHVTPPKDRNQQNHDDDSVDRCFTKLSSETVRCALSFATGNSDVGNVVLVTPPQQNEKHRRVIEDDDEYFDPPLTDATLVHGGGAPKRGTLSCAHNDETPTGESDHNATPPEKHNTHSRVIEDEDLMPKSQIPNLPDELLSKIIEHLREESAWYLGALMWYGKREYELVHQRSILKRCNVTPIVDETPFGIQNFGHFRNFFLKCVEVGNIEAIYFEGLHLSTTLRVEEAIKVLEPNVPMHGL >A02p003330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1381801:1383264:-1 gene:A02p003330.1_BraROA transcript:A02p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQLAPGFRFHPTDIELVRYYLKRKVLGKKLLVDAIPEVDIYKFEPSDLPDKSFIKSGDLKWHFFCPREKKYSTGVRANRATACGFWKTTGKERDVLCNGEVAGKIKTLVYHFGKSPRGERTDWVMHEYRLEDKVLTQKNVPQDTYVLCVLFKKDGPGPRNGAQYGAPFKDEDWSDEDVPATNGPAIIHGETSLVVASSSRDPTKDCFGGMISESCVSDYLSATTTTTTRDLPQLNDAANTPMSAAPLLDSNSTASLAPPTLEAPTNNYDDLYSMLDLFVDEDEFLRFPEPSNYEIRHDPNVSAPICLGEGEDIFSELPDFSNMQHNSMPRTPSYDLIENSELYLDLTDPLAPSQIGNASDSYLSNQGQFDFSAADNDDDPYGFSASMGQGPDM >A06p031790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000079.1:59402:68103:-1 gene:A06p031790.1_BraROA transcript:A06p031790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVSPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQELVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVGAGRTALSCKATKVRDVGRLAGQFRDEARPPHLVCMSYPRMWMGLGLSKRSMLTGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDPIPMKDQKWTVVREKHHEDRGPGKMCGDWVDSENCVIIVAYCATYDEPHIKLKLLTRRIHQRKPFVVQISDAPTLAETIHGADLSSWNPNPSQQDFSIQIQILRLQLPVQNRASSRSHHRQLEFPINQLAKEATRDPIGGSVRPARVRVLSAHLGGPVSTICKTK >A01p012920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6328567:6329133:-1 gene:A01p012920.1_BraROA transcript:A01p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGDNKRVNIQSSPSFRLRSPSLNALRLQRIFDLFDKNGDGFITADELSQALSRLGLNADLSDLLSTVESYIQPGNAGLNFDDFSSLHKTLDDSFFGGAGDNDDDDPSSPSADESDLAEAFKVFDENGDGFISARELQTVLKKLGLPEGGEMERVEKMIVSVDRNKDGRVDFFEFKNMMRAVVIPS >A07p046690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25167908:25170250:1 gene:A07p046690.1_BraROA transcript:A07p046690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRVQLSSGSLTSSVAASSLLSQPRTPFSSLQLGRVGSSPAVGSVSANETCTADELHYVPVPNSDWRAALWRYLPSPKAPKRKHPLLLLSGIASNAFTYDLSPECSFARSMSGSGFDTWILELRGAGLSSLNVDTESRKDEQQIVSGLLENVINVSERMEKVLDEGFKFLGLQDRLSKRVGDFKQRLEVFPRYNWDFDNYLEEDIPSAMEYVRTQTKPEDGKLLAIGHSMGGMLLYAMLSRCGLKGMDSGLASVTTIASTLEYSSSGTLLKYLLPMAKPAQALNVSALPIDTMLEMAHPLICRPPYALSWLTANISAPQMMEPEVIEKLVLNSLCKLSPVPAKLLYQLATSVDKGGLRDRTGSFYYKDHISTTNVPILALAGDWDIVCPPDAVYETVKLIPEHLATYKVFGSPGGPHYGHQDMISGRTARSEIYPLIIQFLQRHDQS >A08p029090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18050737:18055575:1 gene:A08p029090.1_BraROA transcript:A08p029090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDTCSIPPAQSGNVDSRSRGTGNNNTVFKSGPLSISSKGLGWTSWKKRWFILTRTSLVFFRSDPSAVQQRGGEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKADTMEDLQEWKTALENALTQAPSASHVMGQNGIFRNDNAPDAPPVDVDEPKDETPTNLTVFGRPVLLALEEVDGSPSFLEKALRFVEDHGAKTEGILRQAADVDDVNHRIREYEQGRNEFTATEDAHVIGDCLKTVLREMPSSPVPASCCNALLEACRDRGHRVNAMREAISESFPEPNRRLLQRILMMMLVVASNKNVNRMNTNAVAACMAPLLLRPLLHGDCEIENDFDVGGDGSVQLLQAAAAANHAQAIVITLLEEYDSIFGEGSLSAGLYSDSEESGSGSEEGTDDEEYDDDGTQGSDDYTDEEEELEDESEGSYTESEASVDHHGDDIDNDDDHKARSSTKINDNFSESKSPKGSMKPQVTKKLLSGSNRSSLPRHDDTRKDDNKGSDNRDVKAGEVSKTEDRNSSMKEPSTLSSASGESKRHWGRAHGKRNLSMESIDFSAEVDEADADVERLETTKSELQNKITEEVKNNAVLHSSLERRKKALYERREALEKDVERLQEQLQQERDKKAALESGLNMSKRNQPIPETTDVKLKKDLQDVAQAEDDITSLEHKVDDLENRLGQQDVKASSGSKESRRSPEHNAKMKEKQKDTEAAASNTTLKEGQGDARGNEIEKQQDPRGKSSQKVAGTSKRSGSKGEGNTTTSALSKLTMRLNFLKERRSQIANELSNMDKGKSSSGQPSPSSGQKKSPQESERGTGSNQNQNQDSDSSKLNSPHVLDRGRSDNGGDRSRGSGGGNHPSTTPRTFSR >A07p046440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25059326:25060513:1 gene:A07p046440.1_BraROA transcript:A07p046440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPSEDEKLKDLVEQYGPHNWNAIALKLPGRSGKSCRLRWFNQLDPRINRNPFTEDEEERLLAAHRIHGNRWSIIARLFPGRTDNAVKNHWHVIMARRTRQTSKPRFLPSTTASSSLIATDQFMMGSGDRKRILGDVVNYPYQFSHINHLQFLKEFFTGKIALNTKENQSKKPLEFYDFLQVDTDSNKSEVIDQYSDQSNPNDSDNKNESHVPFFDFLSVGK >A07g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14295832:14298092:1 gene:A07g506070.1_BraROA transcript:A07g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQPIIIDNVHLCCYGCSNSVSSSTFDDLCLGLTSQVVVGQIHRFWDSRNIKKNVEFIGVKPRLMESKIETLNLTLIEERLKLSCSFYLCILSRKRAVTTTEQVNRLVSPDRGRSKNAALPSTSQETCGRLDVEGMDHLGNSLQVVIDTLLLITAKGQAGKYTAVYQKLAQKHPSFRDNAHLIVVISLKPWLPFIPDTVISGILIPLPAFGVLSVMFVGDSLKILRQEVEEHAKVLGFVGAPWKLSGQLTPVMWEPWSKTYIKEKRCSVTPFVFYIKWKHGSSSADVIGLDWTVDMADGKRRLGSSVRDLRVHGNGIYSNQGHGVLVGTSEEALAHFFETARSLAYEFCVYES >A06p018030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8751402:8753003:1 gene:A06p018030.1_BraROA transcript:A06p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRSKLRLPRTLSFISPHLPKPFTTSATSQHHDHENDESAAATVTTPVSPALTPEDTQTVEKLHSIIKDHYRKNPPSNDAVLNPTFTLPSLSLTLSQIPAAAISPSVVQSVIQKCAAVRHGIPLHQSLSFFNWATSQDQYHHLSPNAYNEMIDLAGKARHFDLAWRLIDLMKSRNVQITMETFTVLIRRYVRAGLASEAVHCFNRMEDYGCAPDKIAFSIVISILSRKRRASEAQSFFDSLKDRFEPDVIVYTNLVRGWCRAGEISEAERVFKEMKGAGIEPNVYTYSIVIDALCRCGQISRAHDVFADMIDSGCPPNAITFNNLMRVHVKAGRTEKVLQVYNQMKKLGCEPDTITYNFLIEAHCRDENLENAVKVLNAMIKRKCEVNASTFNTIFRCIEKKRDVNGAHRMYSKMMEAKCEANTVTYNILMRMFAGSKSTDMVLKMKKEMDEKEVEPNVNTYRLLVTMFCGMGHWNNAYKLFREMVEEKCLVPSLSLYEMVLAQLRRAGQLKKHEELVEKMVQKGLVARPL >A01p059580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34140788:34144674:1 gene:A01p059580.1_BraROA transcript:A01p059580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSWRYVHDDVYGDLSLAVCRLTLHPWQLAPMVQNTVLTDRSRNHPGVRSLIGIRSMSMTARPRRRSTRSLAVTLRRSISRSRSDLSLCGVDVSIQQQGLAEAYPYLLYPSWLHDESSQKQSTRTLAATRRRSISRSRSDLSLLAELTCRLSADPHSTNESSLDRRVFTQPTSPHSIEESSLDRSLRVVSIRFKLSMKTSPNPTDESSSRLFTSLLTVLGSNNHQSLRAHFLCCAKSRVSLASLGRVLSDYFLLPISLLDSSGGASGGDDEQQEDALQATIDKSKKVLDMLRNLLHQIAERRKLVSSIKDTTPNLDHAKEDYGSSSSVSAIKKEENGNASVSPSNYGKSFLNKLPEANKTSPLERSKQSSASVQSSSPSGVASSGKPWSSVVASSVDPPYKPSSKQTSDPVTLVLVRFGGLGDVAGALPKALARLGHRVMVVVPRYAEYEEAKDVGVRKRYEVAGQDMEVMYFHGVDFVFIDSPVFRHLSNNIYGGNRLDILKRMVLFCKAAVEVPCVDVCYGDGNLAFIANDWHTALLPVYLKAYYRDHGLMKYTRSLLVIHNIAHQGRGPVHDFSYVDLPGHYLDSFKLGENSNGLEMLLEGGWSLHNIISENDWKFRGIVKSFKIYRTSIEEFSINFSTNIKI >A04p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10033983:10037111:-1 gene:A04p016320.1_BraROA transcript:A04p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREKARRMETLERVSLGTAIITEAVIMAENPSSKIPKDRLMRYMNYRLPKVAWGKIAYKILMRSVKSLSVSSWAEDSYEVKGFTLAINLWAMSSVNVLGKSLVKPCETSSSSDPLCLHWDSTSTPTITEVLELEKKNNVEVNTVIGLGEEYKHLVGATHIEDADFHSVVKLVQEGYKMKRNDWVQGFVDMFVATEDIGQKRNTNDKDGDHGEDLNHSEDEEEKKDEKEKKDEDHSMSDSEKLDKLIQMVRNLDKRVLVIQNTLGVKFNDGSPNKEDCENGASSGDRRSAQANENEEDTIDEEANSGDKKSAQDDENEEEICDEEAKSGTYHLREEENILGENETTQKITQDEDTEKLESESCLKQTSQVMSPTPTFNTPNFDTRVTSPTPTFMSPKFDLLSQESRSGKGTNEVLMRDVCEIPDFQTLMKIKKRLVQQDSQVNEDIEPPLQKKIKTDTDNVPLRRSERRQIRSIHTQPPFTGARKKHPIIHPFEPVDKTRKEKMREWKMKLRINQEIVTAKWFSDIETPGKKLSKTHIEAGFEMLKLRQINNPDLFLNKTALVVGVKFLEEIDELYDEFLDDKKSFQFGTGFDKYNIEKNINFLYSAIAVAEKYWLGVVVNLEKRSITAFNCAAMKFTDASLVPYVNAYAMALPFMIRNFFKDVSMDTSKFSIKIVSEGFPQVLKIEDSGVYGLKLIECHAMRIVDLTKLSEEKIAIIREKLAVDIFSELQ >A08p006300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3615191:3615463:1 gene:A08p006300.1_BraROA transcript:A08p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPDDQSLKIQVVKNSSGSPPNLQPPRTNSILRNRAHPLTPNRALFGEETTKSRHRLPDLHQENRTSKHHLESKWTEAALDHAPETSRL >A09p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2635455:2637122:-1 gene:A09p004710.1_BraROA transcript:A09p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIESSDVEVGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRNHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPAVKLDSQDLLQIGDKEFYFLLPVRSILGGPSRHVVSGPVGPYNQYPGSGSGKRGERGRDFFDDDDDDEDDVRRSGKNSRREGYGGSAPASVERKGEGRTRADREADDQQVLQLEEKDVVSSVANVLSDSCGPGEYMAMEKLHSVILENYGNIWHHSRVRRYLTPENWAVPEAKGKAWYGLLMLLRKYPEHFVINTRSKGRVTHEFVTLVSLLS >A02p046930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29384363:29384898:1 gene:A02p046930.1_BraROA transcript:A02p046930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMRLISAVLLLFMIFVATGMGPVSVEARTCESQSHRFKGPCVSENNCANVCHNEGFGGGKCRGLRRRCFCTRHC >A06g509970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30128161:30130976:1 gene:A06g509970.1_BraROA transcript:A06g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLSGSRLDFHEVVWTSRKSSGLPGSRFSDLEDFWDDLLVSRLKVVWKSSGSRLDFLKVFWQSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIINQNLGFFSSLWRESERYVMFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAIL >A07p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17645806:17647181:1 gene:A07p032030.1_BraROA transcript:A07p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSFWILVIFSISIASSSTIPSLRGPGIGTNYRQYSSNLGVPEIGINYGRYGSNLPPPEALPSLVTSLSIKHVKTFDMDPRITTSFANTGISLSLCIPNDKIPLLSTNLSEADSIIRAFILPYHKTTIITSISVGNEVSLLPQFTPHLVSAVVNVHRAIKRYRLHKKIKVTTTHSLAILSRRFPPSTARFHNSIGESVLKPLVRFLQRTKSPLMVNVYPYLAYKQSFPSIPLDFALFQPVNGSKRRMYRDPYSGVAYTNLFDIMMDSVDSAVKALGLPKIPVVVSEIGWPSSGDPGEVAASLENARVFNQRLVEHLRRGEKKVTVYIFALFDEDQKSGATVEKHWGLLYCNGTKKYDLNISPPV >A06p011450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4229668:4233605:1 gene:A06p011450.1_BraROA transcript:A06p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGKEFSSQMVSEWQQAYMDYDYLKKCLKHKDHHGGGLNRKKTLDSLFSCLQLFTPRRQRLGNSQDVEGGVQLKTKTTTTTGPIQVETTADGQVQTKFLMEEEVGEYEREFFGRLDKEFNKVIKFYEEKVEKVLKEEEGLKGELEALITAGSKHNDIKYSRWKRVANIASRAKVENPERCGREERDEEISPLVAAISPSSPVGAKSVIEEGESSRVGQSEDGAIESADAMDTSMMSNNTRGNIKESKKSELSLNKTKLREKEKKLEHAYVTLYRKLLDIQNYSFSNAKAFSKILKKYEKITLRDARKPYMEVVDSSYLGSSDEVVRLTKRVEEDFIKHFAEDNRTKGMNILRPKMTRERHRLTFSTGFSAGCVFSLIVALVSIIRTRNILQNDGQDVYMNTMFPLYSWFGFVMLHIIVYAANIYYWRRYRINYSKILDFKQGTELGHRQVLLVAFSIGVFALLCVLANLDMEVDPETKDYQAFTELLPLFLLIGIFGVLFLPFKFFYHSKRQFYLTCLFHCIAAPFYKVSFADSFLGDQFTSQVQALRSFEFYICYYGWGDFKHRENSCTKSHAYNSFFFIVAVIPFVSRLLQCLRRLFDDKDPDHRWNGLKYFLTIVAVCFRTAYSIQPAQIAWRVLAIIFSVVAAIFGTYWDFVHDWGLLNQKSENRWLRDNLLIPQKEVYFIAMILNVLLRFAWIQTVLDFNLPFRHSTQTMVAVVASLEIIRRGIWNFFRLEKEHLKNVKKESSHGGDKGT >A02p004810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2084330:2087973:-1 gene:A02p004810.1_BraROA transcript:A02p004810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYGRQQPYGDGGMQIQPYHGGPRTGDFRSYSASYATATENNIYDIKKEKSIERSKSWGITDPELLRKKRVASYKMYSVEGKVKGSFRKSFRWLKQRYTQREKDRIMWESICLTLAATAGNNIGKVLQKKGTIILPPLSLKLKVIRAYAGNKPWALGFLMDIFGALLMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEVMNVFDWIGITMAGIGTIGVGAGGEEQVASLISVFQLLWLALVVAFLFVLLNAWLHIYKRQRREQELMEYEVVEEIIYGLESGVLFGMASVVSKMGFVFVEQGFSAMFIPMCISISICCSGTGFFYQTRGLKHGRAIVVSTCAAVASIVTDHARCCVTCDFITTYQTSSSVIPSFETDQFRKRFQHKADSFSRTERYKPKCGYPSSNVAPSLNNPIKRERLRLEETPMNVYQIFRGSSH >A06p043070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23174437:23177103:-1 gene:A06p043070.1_BraROA transcript:A06p043070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 91 [Source:Projected from Arabidopsis thaliana (AT5G24590) UniProtKB/Swiss-Prot;Acc:Q9LKG8] MEVLSLDSLPVGFRFSPTDEELVRYYLRQKINGRDEEVRVIREVDICKSEPWDLPHLSVVKTTDAEWLFFCPIDRKYPSGGRMNRATLAGYWKATGKDRMVKTGKKIIGVKRTLVFYKGRAPKGTRTCWIMHEYRATEQDLDGTKPGQNPFVICKLFKKQDVVHPEDLKSCEVEPAVSSPTEVSEVSLAFPKTEETKPSDVAESSSLAVSGECHSEISAPEVTTSEQLDNIDWTSYLELETLDYNIFSPLDSQLQSELGTSFNGFPSGSTELFSNQDEAPVQAQAQAQAQAQYCSNDIDQSMFDLLDSVLEFPYEFPEQKHVVQPTPEIMTYEPRSLVNTSNKISNDVPGTGIKIRARRAQAPGGAEQFGMQGNASRRLRLQVNHKSEGDSPQHQCIKQEVKDTAEETVTKGCGSFMRSKSSSIFKNIAAMGGSYRGLFKAGVVAVVFAVSVCSLTGKFG >A05g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26192810:26199743:-1 gene:A05g508820.1_BraROA transcript:A05g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFACICLYQVFEYHMEFLETFGCIWSSKRGRCAPHPGATHPERLHKVARVFTSGDTHLHLGATFQSDVLKSLPKLRATIPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGHFGHFIMHKTTKNLWKVISLNQLINFSNHNASSELATQLLILRHFSPESSILDHPRSNPYTHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQHSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTARATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHTRRRENEPGATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGHFGHFIMHKTTKNLWKVISLNQLINFSNHNASSELATQLLILRHFSPERLSEYLHSRCFDIPQNWFDNHLYYNICLRSLENS >A01p019740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9670059:9679535:1 gene:A01p019740.1_BraROA transcript:A01p019740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKSDIEEEQGMVVNGGGNSNLEVVMAFGPSHEAKLRELLHKLCSEEIKLCSDASKEFVKLLKGETGGDLLRLYFQKSPEFAELLEAWRIRHGKQGLHYIFSLIQTVLSHPEGKGRSTDIGTALDRFCLFLSENKMDEICKGLNSKESKQQNAALGLLTSMVRRGPRLASEIAGKFDFKGFAKLAEYKTRGGVNATRRACVVFAVSFLEVGKPRLLSDVLQKKEMYSKVLRGLGKDDDDEDTVAYVLSTLSNKILVEESMVLPSLRSVLFGIATLEKLASISARDDDGGTVNELAHDVLVKVCTDPCNGLMPDETRKLTGNLERLLMFMKKLRATEIVYHRDLLLAIVRGRPSLASAFFEEFPYNVENFASPSWVSSISLAADLVSSVRNSFSFEFLNPDRRSTPPSGGSEVQTIMKEVQTIMKCICPRPFSRLLITRGMLCPKFFVKHGTLRFLSETLLLWDSFVTASHGCSEQIQASLERDVMGEVRSFFPDSQVLLTELKSQSDASGIQKASLKRKAVLESGVVGREKRIKRSEKDVLDEVAGDIVIGGVGLAEDPVDAQMLDGNEYLQNVSEIWASERCSKPVDSAEEAEMYLRIKIMDVLRIYVRTVPNVLEGSFDVFMKFLPNQRSSWLPAELQRAVLSFLNEYISWKPRSQSESVPTRMPPLMYMQLEVFVNLFLFSSDDDVKDLAYNLAVVAMSSTGAFDKNPSEIGAWFRFLQGFGNTKGPLKVQGAVQSTSAVVISFLYDAVRTVGKNMFKYLDIIRSSLSHLKGVSIGFSPLIVCVLQKCVKLLTVSKSLTFPEKSAISLYVCTTLKYLLQTQVDSRPLSCLVESVLSEVVDESKDSLCEWWPLRALLVFSQSLSDKKPFILHSRRTVCRLADASFADTLDEIKGLVRRSSPDEIAGIVQSFSSALICARPESILENFDSVMAISWTLYGTSFSILQAMAFLEENFLGDLSKLSPDLLVRGSELTGSRSLREGTVYSETCFDDHSSITEEIKSKMDVCDTESPAFPTFLEQLPFPELLTAIKSMDISWLPRVSELLLLKVSHPKSDSFESVKLILFHLYHIRSSYKVQPAPVHCQLSKICLRLMKHLFSQISELEPSSDKVLAPSAKWKHQVAQTVLCHPVVMALLESPLDCGTLPLVQNVEIFPETSLATGRIVLSEIDQHILDLLATTCEHFLFDETHIVQKGELRDHKSIVAFKTLVETLLLEFRGKLELCVGTQSYAPLLQPSQVIHALLRFISPFKLLNLARSMLVDVEELASPNLSKIVSLGLDIAGGAFEMLTLYSQQTAAKRKIYDLLWDLEEKNYDSNLLEEVYSLACRFSTSFGLVSADTCLLKVGGAIFRGKHNQHSSAHLLTVIISQIVGRTPEDLIIHCINQASMTRAKILFYFVESSPLHRSVFGHFFYSMLSKQQGDSALTDDQFIMLLPAVLSYLSPIFAKPEKPWSRCLDITSVYSNILINGFLQWPKFSSGCIFDEKYEEILLSTTEDIDTMFKASLLGKAVRMFQDHFAWTESPTKREDLLKVFQSMFPHTSAGKEMLDCRIKEVDVQSVDCMFNVAIREVAKVELSRICLFPASSNHKRQAGSCVKKSSSEMGSNNESLFTPLLSYLVDRWQCVVKRFDGSFKGNAERKQDKCGLLCKSLENFILRNILKFLEDMCEELVHLDSLPFLEGLMKSVLLYRFDDSRTLKILREIFSLLSRGKYSYAPYIQLLISHSQFTPTISSLSSSHTGELFRPISSILKHLIIPSPDSVRVGSCSLQAPDYMKQLEIVKILRVLLSKCGKDSGIILKELHFLLLCSYGATLSEIDIELYRLIRDIELVDEEHTLDVSETGYLWGKAALKMREGLRLSQDASDGGEDDLVEDLRLRLFKENLCVDPKICALTVLYFPDQRSADDPVSEEFSPIVEDIERYDPAFILRFSIHSLSVGYIEPLEFASLGLLAVAFASMSSADLGMRKLAYDTLMMFLDVLESCTRNKQVKWIRLLLLCLKNGVEEPWQRIPTVSAVFAAEASLILLNSSHEHYVPIKKLLKSSPSLNLRGIPLFHEFLWSGAFNFKSQRLWELRLVCVGLKSDDDAKLYTRNSILEDMMSFFSTPLADDETKGLILQVVRKSVKFQARHLVQNCGLFSWCSSLISMFTTKPIGDEDFHLVIVLNVITDVLASRSVTQWLQGEEMRGGPYGECGQKSTTESDHNNNRIDHPFPLEGLVEISSRLCRLLVGGLVSIQENTTLVDLILQILSATLKISQKVRKIYQPHFTITIGGILQLFEAVANCDSPQVEASAERGLETILMSTPPFELICMDIDKLRMFLLWGTSTALKSDLRKGSNPSESRQDTKTLTKEPQEESMVAKFLRWLCASVILGKLYSKANDSDPSVLSKTKPETLLTLLDYLKTRNLEGSETKSEHIIGEAIVHLQQLLSTNYSVLPSVVCALSSMLLRNGLEIAGSESGCDYKLIESLCCGISSPPEATPDWRWSYYQAWKDLSSEPATDLQNIDERHACQHLLLIFSDMLRVEPGKSQMVLLHKSFDMSSVFDWERGLVET >A04p017540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10676774:10678300:1 gene:A04p017540.1_BraROA transcript:A04p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQSSSLFHLFIIGCIVHGKVSCHEVTFYVQNKCPFPIWPAVAPNSGQPVLSSGGFYLPCGNTRRIDVPWGWNGRIWARTGCDFTSNWNQACETGDCDGRLECNGLIGKPPATLIQIAVQADKSKLNFYDVSLVDGYNLPVTVTSKPMSSKCTIFGCHRDLKTTCPEELQVVNKEGRVVACKSACLAFDNDRFCCRNAYGTPDKCKRTTYSMLFKEACPSYYSYAYDAPPPLVSCAAKEYIITFCPSSWGHSST >A04g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2367340:2371134:-1 gene:A04g500850.1_BraROA transcript:A04g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGGMDDIHHALKKKTVTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERLPTIPHSPGGIVITERGDPTRATRRQTGPTDREKNKRHVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVHDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A02p012560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5489534:5490226:1 gene:A02p012560.1_BraROA transcript:A02p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSKTLRSTLFFLSILFLCFSLILAHGGSDHDEEEEAAGANQPPPAAGTTVVDLRSKSLVRVKIYCLIILFFSTFLAGISPYFYRWNESFLLLGTQFSGGIFLATALIHFLSDANETFRGLKHKEYPYAFMLAAGGYCLTMLADVAVAFVAAGSSNNNHNGASGAGESRVDDAVEVKEEGRRETGSSVDVNQTILRTSGFGDTALLIVALCFHSVFEGIAIGVSGNKY >A09g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13616397:13622218:-1 gene:A09g504420.1_BraROA transcript:A09g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSKLGPAVSYISFLPLYFSFYTVASTSSNSLQDDFINCLHQNTNVDFPLDKTFFTSERNASMFIEVLNSTAQNQRYLTTSMPKPDFIFKPVQESHVQASIICSKKLGIHLRVRSGGHDFEGLSYVSHIETPFIIIDLSKLRQINVDIEDNSVWVQAGATVGELYYRISEKSKIHGCPAGIYPSLGIGGHITGGAYGSLLRKYGLAADNVLDAKIVDANGKLLDRTAMGEDMFWAIRGGAGGSFGIILAWKIKLVPVPQTLTVFTVTKTLDQDAGFKILSKWQQVADKLGFEEQDSGDVIHSTPPPPEVLLQAKSPMGEVYFKAKSDFAKEPIPILGLKGMIKKILEEEAALMVWTPYGGMMDKIPESEIPFPHRSGTSFMILYYRSWSDTEKRPDMRIKWISELYNYMTPYVSSNPRQAYVNYRDLDLGQNSNNSRTSLKQAQVWAAKYFKDNFNRLVKIKTKADPENFFNDLLENVSLPFQFLKPAFPAFVTQPSNLSVLVVKSEHIASNLVTYGIP >A01p019970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9781394:9781993:-1 gene:A01p019970.1_BraROA transcript:A01p019970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A.3 [Source:Projected from Arabidopsis thaliana (AT4G27230) UniProtKB/Swiss-Prot;Acc:O81826] MAGRGKQLGSGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPNKKAGSSKPTDED >A04p007620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8741476:8744493:-1 gene:A04p007620.1_BraROA transcript:A04p007620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase VIII.1 [Source:Projected from Arabidopsis thaliana (AT3G53380) UniProtKB/Swiss-Prot;Acc:Q9LFH9] MSFLPSLFPVSLLLCFFCSLNEATTEFDFSTLAISNLKLLGDARLSNGIVSLTRDLSVPNSGAGKVLYANPIRFRQPGTHSPTSFSTFFSFSITNINPSSIGGGLAFVIAPDGNTIGAAGGSLGLAAPAGSKFVAVEFDTLMDVDFKDINSNHVGFDVNGVVSSVSGDLGTVDIDLKSGNTVNSWIEYDGLTRVFNVSVSYSNLKPKSPVLSFPLDLDRYVNDFMFVGFSGSTQGSTESHSIEWWSFRSSFGSGPGSGSGSGPSPPTANLVNPKANSVNSPPPIASQPSSSAVPVSSLSKTPSSSSCRNHLCKENRGAIAGVVTAGAFFLALFAGGLFWAYSRKFKRVERHDSFASEIIKAPKEFSYKELKAATRSFNESRSIGHGAFGVVYRGVLPETGDVVAVKRCSHSSQDKKNEFMSELSIIGSLRHRNLVRLQGWCHERGEILLVYDLMPNGSLDKALFESRFPLPWDHRKKILLGVASALAYLHRECENQVIHRDVKSSNIMLDENFNAKLGDFGLARQIEHDKSPEATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVVTGRRPIEKDLNVQRQNVGANPNLVEWVWGLYKEGKVTGAADSRLEGKFDEGEMWRVMVVGLACSHPDPEARPTMRSVVQMLIGEADVPVVPKSRPTMSFSTSHLLLSLQDTLSDCNTLALNSSRSSSWSVPEHNVMIRGDDDHMRFRIVFMDGEDFARKAAAEARGLNPGLIVLLVIGGPLVLFLVANIVLYVHAQKNLPPKKKKPISKKKLKREKLKQGVSVPGE >A07p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22205404:22206879:1 gene:A07p041110.1_BraROA transcript:A07p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGRHPPPHHLRHPLPIMPPPPSAQPAFPPFNNMLPPPQLMEQKLATQHGEMQRLAIENQRLAATHGNLRQELAAAQHELQMLHSQIGSIKSEGEQRMSGLADKVAKMEAELRKSEALKIEMQEARGEARGLVVAREELMSKVHQLTQEIQKSRGEVQQVPALMSELDGLRQEYQQCRATYDYEKKFYNDHIESLQAMEKNYMTMAMEVQKLQAQLMNGRAGGAYGNNTNAENDASGHQNGVGYYDEAYGHQGYVPQPAAGTATAPNPAVPTAQYPYQGGSQPGYFPPRPGYYFPRGPPPGSYDPTRLPAGPQGGQFPPGSSNNTPYGSAATTGPRGNPSRR >A01p055940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31648060:31649138:-1 gene:A01p055940.1_BraROA transcript:A01p055940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHPSGFRCLLADASVIVHIIQHVILLQLGVAIGGRPPKPWERAGNNNTSGPPKPFRPPSNTRTADSVEASGTADRNHTPANMNALSRPLPIRPRQQQQTYGGYGSNLGLNPRYGSLYGGGSMYSRGVYGGGGGGLYRSSGMYGGYGMGMGMSPYGGQDPNDPNNQPPTPPGFWISFLRVLQGAVRFFGRVAMLIDQNTQAFHMLMSALLQLCDRGGMLYGELARFVLCLLGVKTKPRKMQQQPQGSNGVPLPHQPRGNQNCVEGTKAAAPGGGGGWDNLWGN >A09g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5310339:5311032:1 gene:A09g501460.1_BraROA transcript:A09g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHEPARGPGPFEFAAGRDWRVELVRDWARSGMGSMCTAGRAGIRKDITGEEVAIKKIGNAFDNIIDAKRTLREIKLLKHMDHENELSSFECYAQD >A03p000410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:291493:294656:-1 gene:A03p000410.1_BraROA transcript:A03p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 5 [Source:Projected from Arabidopsis thaliana (AT5G01510) UniProtKB/Swiss-Prot;Acc:B6IDH3] MVMYCTLRLPLPLHIPRTRTTSSCKPKRRRVEEHYLRCRCAQSSEEEGTDDKSLSVRVEGVVSIVVERYGNGTSKRSSAFNAFPQDFKLTCLVCRYLLDDDDSPLQGFLEEREPKPDTNSNSSETNTVWVPDVVKDFVFPTGFPGSVSDDYLDYMLWQFPTNVTGWMCNAVGVGSFSGTSPAATAAASAAAIRWVSKDGIGALGRLLIGRETMGKTNQCGRFGSLFDDDPKQWRMYADFIGSAGSFFDLATQLYPSQFLLLASTGNLAKAVARGLRDPSFRVIQNHFAISGNLGEVAAKEEVWEVGAQLIGLGLGILIIDTPGLVKSFPFVSLTWTSIRLVHLWLRYQSLAVLRFDTINLKRARILIQSHVMHSVVPGYVECNKRENILVWQRFMKPRIIFGVSLEEVSGLEKSVFKVKALLKIYTKEKYILTLNKLNKDTEFSVSFKVNATSRDVLRCLWQAYWLYENMEESLKNKDSVFHWLKQSLSEMENKFDDFLFKLDTAGWNLGESNLKIPNHILIDLESIPL >A10p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17617788:17619582:-1 gene:A10p028300.1_BraROA transcript:A10p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLETRNSKSELHINVKDVPFHLCKETIAKRSAIVSSLLERNKIDELPCILQDIEADPETFKLVAKFCYGYKVNLSSDNIISVLCISYYLGMKEEHSTDNLLGKASSFLETRVLPSWNETVNALRSGEKSLDKLADFELVDLFFDSLIEKASYDPRLLGEPIKNREKTTSEYRPNPRRRLFDNDWKSEDLITLPLRFYEPLMIRAMESRSIPVEYIVTSICKYAKKWVLDAEDSVSGKKREVVEAVERLLPHKRGLISCEFLFKTLKHSISLEASSECRNGFGIRVSKQLDMAKPTDLKILTQGYGEKDIQLVRTVVTSFYSNYTNEEEDVSLFVKVAKLLEEFLLLAASEDASLKLEAFVALGEITAAISLGVLRYSDGIYRAVDVFLERHGYLTESEKMEACKVLDCKKLSRQGCEEAAKNQRLPLRVVVQVFFASQLQIRDTVAKEIKGGEEKVDEEEEIGVWSDEDETEKMSEKLLGMEIESHECVVHRWKKAKKVSVWRQVKRKFGCLTTSSSDDACTCDVKKSKKKKIHHHYK >A08p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13221321:13222622:-1 gene:A08p019350.1_BraROA transcript:A08p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPTLSSSSCSSDEREDNTGEEEARLTPVYLNVYDLTPVNNYLYWFGIGIFHSGIECMCSFSCSFVIKQTKYHIGELDKECLIYKEMSNSNSTRPFGMETKTHGLEYCYGAHEYPTSGVYEVEPKNCPGFIFRRSLLLGTTTMSPSDFRSYMEKLSRKYHGDTYHLIAKNCNHFTEEVCFQLTGKPVPGWINRLARVGSFCNCLLPESIQLTAVSAPSERLEFSDEDESNSEASSESDEEGPEHRLINVADREVVYLQNKPVRLTREEIH >A04g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5368135:5368762:-1 gene:A04g502220.1_BraROA transcript:A04g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFPEPVKPTSSMESLQPYQLGSTQSYLWEPGDHLTNQEVFQKSLAAPEPIGSGGSLKNSLNQTGAIYGKIGQSFGLIYSKPQPEDIQTKPRPSEDIMHEPEEFYEHDLSTFQTIKKVPWKLSYPLKPSRFKKYQILYLEPKSHKRLQRLVFDFLLSFDLSPFLFVLER >A03p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9941461:9943567:1 gene:A03p023530.1_BraROA transcript:A03p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRGVLSEPASISPLSGQKRVGDGLEERDELGSKRAKVRDLDSDTKISSGQMSEVVVAPAAEGLARVVVREKDVSAKDDNRLVANSGKQASKDDSKCESQRGGQVDAVSKNSSLLKPREVSESVVVVSPRDPSVSNQMCGAEGSLEKSDSMRRWMEMKRNGFLSGPLGGVSAPSSAAPTTPVEVPAQKQQKNKRRGDSVKKRNEAPRKEQQQVDRFSNVTAPSGLLTELNPGIINHVRSKKQVCSIIEALIRNATVGERNTDLNVRGSVREDKALAFKLPSTGASDHDNSFTTPEQATSLAVQAASVASQWLDFLHQDLSGRLAAVQESKNRVHNILTAELPLLVSSKESSSTNVSGDVTSDKTATETHHIKWSAKFDQIKKALCDEEKDLEQSLNQVKEMQSRCNEGLRQMDEYSPFSSQSSDSSFGKDGSSVETSMAVQAAAASIFSTCSFLLSMMKPPATSS >A07p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15930711:15932699:1 gene:A07p028050.1_BraROA transcript:A07p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSKSDLSLPKTFACSAFSACVAEVCTIPLDTAKVRLQLQKSAIAGDVTLPKYRGLLGTVGTIAREEGLRSLWKGVVPGLHRQCLFGGLRIGMYEPLDHVGDVPLSKKILAGLTTGALGIMVANPTDLVKVRLQAEGKLAAGVPRRYTGSLNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVVTHILSGLGAGFFAVCIGSPVDVVKSRMMGDPSYKGTIDCFVKTLKADGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKYVRELESSKK >A07p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7059693:7060461:1 gene:A07p014000.1_BraROA transcript:A07p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIIVQEDIPLIRSMAIKQSNGDDIHAKSEYHAWFDANANTSVETQTIDHGLLKRSIIDMFGYGWMDEEINNY >A10p026780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16931551:16935776:1 gene:A10p026780.1_BraROA transcript:A10p026780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQPERREGRSFPERKGQKRKLEEGAAAAAEDREISTSTTTDGGGDAILNEIAAQVSVLNSAFSWQESDRAAAKRATQVLAELAKNAEDLVNVIVDGGAVPALMTHLQAPPYIDGDLAQKPFEHEVEKGSAFALGLLAIKPEYQKLIVDKGALPHLVNLLKRSKDCSTSRAVNSVIRRAADAITNLAHENSSIKTRVRLEGGIPPLVDLLEFSDSKVQRAAAGALRTLAFKNDDNKNQIVECSALPKLILMLGSEDAAIHYEAVGVIGNLVHSSPNIKKEVLSAGALQPVIGLLSSCCPESQREAALLLGQFASTDSDCKVHIVQRGAVRPLIEMLQSPDVQLKEMSAFALGRLAQDSHNQAGIAHSGGLGPLLKLLDSRNGSLQHNAAFALYGLADNEDNVSDFIRVGGIQKLQDGEFIVQATKDCVSKTLKRLEEKIHGRVLRHLLYLMRISEKSIQRRVALALAHLCSPEDQRTIFIDENGIFISSCLLTGLELLLGLLGSTNTKQQLDGAAALYKLANKSMALSPVDAAPPSPTQRVYLGEQYVNSATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDITIEISQDLLRAADQYLLEGLKRLCEYTIAQDITLENIGGMYDLSEAFHAMSLRQACILALTKSTTNLQGLRL >A02p039050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22289996:22292053:-1 gene:A02p039050.1_BraROA transcript:A02p039050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42650) UniProtKB/Swiss-Prot;Acc:Q96242] MASASPHFPISLHARLHQTTTARSTPLKFSSTRVLTRPIKASTSETPPDLAVETRTGSGSKDLPIRTIPGSYGLPIIGPLKDRNDYFHKQKPEEFFKSRIRKYNSTVFRVNMPPGGFIADNPQVVALLDGKSFPVLFDVDKVEKKDLFTGTYMPSTELTGGYRILSYLDPSEPNHAKLKSLLFHLLKSSRNRIFPEFKATYSELFDSIEKELAANGKADFGGPGDAAAFNFLSRAMYGKDPADTKLGSDAPSLITKWVFFNLHPLLTLGLPSIIEDPLLHTFRLPSALVKSDYQRLYEFFLESSGEILVEAEKLGISREEAAHNLLFATCFNTWGGMKILFSNLVKRVGRAGTKLQIRLAEEIRSVIKSNGGELTMGGIEQMELTKSVVFECLRFEPPVPAQYARAKKDFVIESHDAAFRVKAGEMLYGYQPLATRDPKIFERAEEFVPERFLGEEGERLLQHVVWSNGPQTENPTVGNKQCAGKDFVVLVARLFLIEIFRRYDSFDIEVGSSPLGSSVTFTGIHMVGYKANESMMTVRNIAGRKQPSSRSISRQTYENLRKQRQRNLQTRF >A08p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24823447:24826144:1 gene:A08p045170.1_BraROA transcript:A08p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDKSKTNKLAWSMKMVRKWFNIKSKTEEFQADVPAPSAEVEVEHRSSFSAEKAPSTIKKTKTEKLSKNWEQQARQRRMNYENPRIVDVQNYSHVVDYFVSSIFTATWNVAGRSPPSDLNLDEWLHSSAPADIYVLGLSFRFQEIVPLNAGNVLGAEDNGPAQKWLSLIRKTLNNRPGTSGASGYHTPSPLPVPMAELDADFSGSTRQKNSTFFHRRSFQTPSSTWNDPSVSQPGLDRRFSVCDRVFFSHRPSDFDPSFRGSSSSHRPSDYSRRPSDYSRRPSDYSRPSDYSNRPSDYYSRPSDYSRPSDFSRSSDDDNVTGDSPSTVLYSPGSTANENGYRMPWNTSQYCLVASKQMVGVFLTIWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDELKRNSDVMEILKKTRFPRVKSSEDEKSPENILQHDRVIWLGDLNYRIALTYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWNEGKIYFPPTYKYSRNSDRYSGDDLHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGIFCAEVESAHNKLKRTMSCSASRVQAEELFPYSRGYTELSFF >A03p000870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:502283:502510:-1 gene:A03p000870.1_BraROA transcript:A03p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERPGRHQRRPSLSVFPNSLLDLTDISVTANPPSTIPSQLPRHQMPPPTPAAAPPANSDKKDDNASKEGNASSN >A08g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17685488:17686607:1 gene:A08g509120.1_BraROA transcript:A08g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSCRMETPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >SC271g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000118.1:11:1066:-1 gene:SC271g500010.1_BraROA transcript:SC271g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPALVSTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQLVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASQEGQILGLARCGIQEATDALRAEFQARLAKISASLGSLECIRSRDLALATIEGGMAVVRSFQSETPPTLEAEEPDCPAAREIWRPRMEIL >A10p005530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9391056:9391229:-1 gene:A10p005530.1_BraROA transcript:A10p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSCVSCKASDRIKISGNENPTAGDYVPLQHSRPLETANAHVQPACDGSTVESHWF >A01p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10621372:10621925:-1 gene:A01p021790.1_BraROA transcript:A01p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRFLLCSSLTLVFMLALGEAAGDIMRWSRKEMVEMAGYGEDKLSSVLVTASLLSSSSSPIPGATVGIKCHTGYRKRSKWIKAVTNALGQFTIDLPSHLHAIPDLDKACSVKPLSVPKPYHCSHTKSHRGIKLVSSSNGLRVYTAGNITLHRGPCK >A02p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26840905:26841154:-1 gene:A02p042770.1_BraROA transcript:A02p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGPFSDLSIFTIYRKSASETTSIPKKVRISRIVEAVQDYIRDNEFLWFLNRKVLMVITTEMKQKQV >A02p044960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28258698:28269616:1 gene:A02p044960.1_BraROA transcript:A02p044960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYTRCIKHHISALLPDLNPPAASPQEANAVYPTSQAGTSIASNALGHIIKLLSDGHGLGPHPSATGSLKRKQVGGENEERGENEEGEKLKENEREGNNEEAEKQVMEKEECNDEEAEKPKEKEEGSDEKLQKNEEGDNEGLQKKNEGLPFPGGSKTEKIHAQFYDIVEECIEDNMSKDETVRHLWDKYLIPHEYTNRVWNHLERTNPDSILCNHPFAQCTTAQESAAYANVLENQSLQASQQPAYPNDFWQRFMNTLGQIQSNTQHLDTLGHIQSNTEQVVKLLTDGHVFGPHPSAAESSKRQRAEEAEERCNSEAAAKLHENEEASPPEVTSARRRKVRGTKKELFVDTLSLLLLALLPDLNVPAASPQEAAAYANAVFPPTQAGPSRAANANAYPNDFWQRVMNTLGQIQSNTQHLDTLRHIQSNTEQVVKLLTGGGHGFGPHPSAAGSLKRQRAEEAEERGKNEEAEKQQQKEEGNGEELQEKEEGNDEELQKNEGCDNIRIGLMVMECINNYMSKDETQRYLQSRYQFARQDINEARGLLERKNPDSLRLHNERIRERNLRTCERVASSSPREGTSAIQGGQEKQRLSPPPPPSARRPLWNSPNVQRYAAQVPQEAANNANALENQNLQASPAYPNDFWQQFLNTLDQIQSKTQCLSTLPKIQSDTDQMVELLTDGHGFGPHPSAAGSSKRQRLGEAEERGGDGET >A03p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15874689:15875412:1 gene:A03p038030.1_BraROA transcript:A03p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHGLRRSHHAGETPKATYPPSSSAPSGPQMTLDPYCHVDCSLRSLAGKAEGFGLAAVGGLNGPKKLFTSLFKKLKSSNIWIDRCSFKNYYDGLIDITRESTDITVSRCHFMNHNKTMLTGADPSHA >A04p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20455689:20459588:-1 gene:A04p035490.1_BraROA transcript:A04p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKERSLEETPTWAVAVVCFVILFISILIEYFLHFIGHWFKKKHKKALCEALEKGKVALVSAYGIHQLHIFIFVLAVFHVLYCITTYALGKTKMKKWKSWEKETKTIEYQYANDPERFRFARDTSFGRRHLNVWSKSSVTLWMTCFFRQFFGSVTKVDYLTLRHGFIMAHLPAGSEARFDFQKYIQRSLEEDFKVVVGISPLIWCIAVLFILTNTHGWASYLWLPFIPLLVILVVGAKLQVIISKLGLRIQDKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTEDITIRIVMGVLIQVLCSYITLPLYALVTQMGTSMRPTIFNDRVANALKKWHNTAKKHTKHGHSGSNTPSRPTTPTHGMSPVHLLHNYHNRSLDQQTSFTASPSPPRFSDYGGQGHQRFFDPESQSVSCQHEITDSENTNSQHPHADTTSPVREEREITEHVRVDLPEFTFKK >A06p057500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29927418:29929109:1 gene:A06p057500.1_BraROA transcript:A06p057500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRDPLNKTFHRALLEKVLINLSMIEMTLSFGLPFPRLRSVNNLWHSQKILHKNTWYLQNRNWVEDITGLCLAFGSERERVSLTMSKTKYIVNSEAAVLRGFCQDPNSDHSYPAASPNEA >A10p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1547991:1548458:1 gene:A10p002990.1_BraROA transcript:A10p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINHIGSLMFTFPTTFLEFPAGEFYQKFSKTCSRKFVSQKDMIAYHEHAGEASNSHPPMLDIVSNEFWEQKIVRKSNGADIYRLHRNVKKHD >A09p043480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35441273:35442686:1 gene:A09p043480.1_BraROA transcript:A09p043480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) UniProtKB/TrEMBL;Acc:F4IUM0] MASLMRQTPGTPYSPPKLVLSLLHHHPLTSIISFPTQRNNPTNLTLSLCRCSSPMTVPSSPKKKRTKYRKQYPGESVGITEEMRFVAMRLRNANGKKVDPANDKEQEGEDGDELEGETWSPSKEGFLNFLVDSKLVFDTIERIVDESEDVSYAYFRRTGLERCESLERDLEWFRTTQGLAIPEPSQVGVSYAKYLEEEAKENAALFLSHFYSIYFSHIAGGQVIIKRVSEKLLEGKELEFVRWEGDAQDLLKGVRQKLNVLGEHWTRDEKNKCLKETAKAFKYMGQIVRLIVL >A09p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11847694:11855914:1 gene:A09p021890.1_BraROA transcript:A09p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYAKWKQRELLLILFYAVAFYAYALRISLRLSHDHYLKLRGLAPGWLIPSRRNDVSDAQWRNFRGNLPILSFVFAVFTVIANGSRSLFKLKAKGMAILWLSLSLVYLAYLHGACVIYILSIATANFLLVKVFARKKLFPFMLWAFNLFFLLCNRIYEGYSFSIFGRQFEFLDSFRGTFRWHICFNFVVLRMISFGYDYHWGQLDSHFDQEKHVTRCSLCKLGKTCYVVRQEKGVASDSSCSFTLYLCYLVYAPLYLAGPIISFNAFASQLDVPQNTHSVKDVARYGLRWLFSFLLMELMTQFFYYNAFVISGLWRELSPVEIFIVGYGVLNFMWLKFLLLWRYFRFWSLVNGIETVENMPNCINNCYSLETFWKTWHASFNRWLIRYMYIPLGGSRRKFLNVWVVFTFVAVWHDLEWKLLSWAWLTCLFFMPEMLLKSASNAIKVQSAFGEFLLRELKALSGAVTITCLMMANLAGYVIGPSGLSLFVSSFLSKEGLPVLGGVFFSFYVGTKLMFHIKDLRSGVHSPSFETPCVSTEMKKLLLSLTLFGLTLLILPVNGIMEFDEMEWFTSFNGTKVFKTESDVYSEAKFPMVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGAKNWLVQLEGGGWCDTIRNCVYRKTSRRGSSKYMEKNMPFTGILSDKAAENPDFYNWNRVKVRYCDGGSFSGDSENKAAKLQFRGKRIWLAAMEDLMAKGMRQAKQALLSGCSAGGLAAILRCDDFGDMFSPSTRVKCLSDAGFFLDAIDVSGGRSLRRLYAGVVKLQNLETKLSKDCLNRLNPTSCFFPQNLINQIKTPLFILNAAYDSWQIQESLAPKSADPSGSWHDCRLDYTKCNATQIQFLQGFRTRMVNLIKGFAKPSKNGVFLNSCFAHCQTERHDTWYSQNSPAVNKKGIAVAVGDWYFERGGAKLIDCAYPCDKTCHNLVFRG >A06p054780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28699201:28701552:-1 gene:A06p054780.1_BraROA transcript:A06p054780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTADISKPQPQPPSHEVDSSPVAVSSDGGVVVVSEIPSDDGLDHERNIGEDRDHPVETDDELKQKIIRQARSLCSFDENLPTDKFLLNAMKKNKKGFVPISSIATFHKMKKLTRDLDLIVSALKESSFLVVSSDGKKVKRLSPLPEARDPKLHAFVEYETVEAAEKAAATLNNEQDWRNGLRVKLLEQAVKYAQRRPARKEVDPEKDNTGRVHDQTGGEENKNSNEHQHHRHHHHSDTPADNDGGDKNGSKARTRGRGRRQNHQGSNGIVHGTSPSSSSSFHHNYNHHHPVEVSKRPPGPKMPDGTRGFTMGRGKPLSTPPTSAQTSHEV >A04g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11161601:11162113:1 gene:A04g505130.1_BraROA transcript:A04g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSSIHSNLDSSFIASFLSTGVDSDAIHRDPGSSPPPRGYRIHIYKAYRRVLVLQRLCKFFVLQILQDKTSRI >A08p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20390604:20391525:-1 gene:A08p034200.1_BraROA transcript:A08p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATVTKYLERSVQNCSLSNQIRSFEDELGLTDESGEDHVPILDRTLELNSHISIPSHLEQCLDLKTGEIFYINRKNGMRVMEDPRNSVSNDNADDFSGESDVTMFSEEDSVSYYESEESSSESSRESHIEEEEEQVLVVAGCKACYMYFMVPKVLNDCPKCEAQLLHFDRPHSASP >A09g511700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34805219:34805801:1 gene:A09g511700.1_BraROA transcript:A09g511700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLWSSTEKCLNSQKINAAALTLNEMNGGLGEEFQLCLIRVTQYNFKQNHRTFTVSGTSDHINHETFNTTEAPFVGGETGQISDSASNKNEGQGDDPNPSKVKDNEGGHKRHVSEVTKLSNRQPNFAS >A01p000470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:181387:182544:1 gene:A01p000470.1_BraROA transcript:A01p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDFSETEMEAAEQLVQLSEDDTLSCSSGTGLSVSGCEGGFHRKRHSDVISDEVQNDGVVRTTMNNNATDAQCFVKAITETNIIRRRYKKKKFRSLASLYRATKEMTTDDQQLNKDIIETIYYHALFPPRNVHMITKEVMVFLNLTDMWYAIPLDVGIRLGALRDMISKKETIKSLSSSTNTDCMNQPATWE >A10p015820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3431697:3433818:-1 gene:A10p015820.1_BraROA transcript:A10p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGVKGLVCQKIMDGNASNGNGVEKTVPSCCLKAMSCLPEEDAKCHSTVVSGWFSEPPHLRSGKRGKAVYFNNPMWPGEAHSLKVEKVLFKDKSDYQEVLVFESATYGKVLVLDGILQLTEKDEFAYQEMIAHLPLCSTPSPKNVLVVGGGDGGVLREISRHSSVEVIDICEIDKMVIDVSKKFFPELAVGFEDPRVQLHIGDAVEFLRKSPEGKYDAIIVDSSDPVGPAQALVEKPFFETLARALKPGGVLCNMAESMWLHTHLIEDMISVCRQTFKNVQYAWSSVPTYPSGVIGFILCSTDGPAVDFKNPINPIEKLDGAMTYKREMKFYNSDMHRAAFALPTFLRREVASLLSSSS >A06p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2732302:2733819:-1 gene:A06p007870.1_BraROA transcript:A06p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESNEGEMGLNHGDDQSGISRVGMPLYAKSDPFFSSTDWDPAVNVGGFSSSHYPSLAMDNPGMSCFPHYHQPGSGSGYHDMPASLLPFGDCGGGGGGHFLGSDKKEESVGRLVRAGDGHQVSDDVVLGGSSNRKRRQPEAESQWNKKAVEEFQEEPQSQKKQKNDQSKEKMNKESSQSEEAPKENYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLSTVNPELNIDIDRLLAKDLLQPRDRNTPTLGLNPFARFQGTIPNISTPTAPQYNSLPQASNNSRNNTSCFCHHFKFSYTFF >A07p028730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:16208940:16209464:1 gene:A07p028730.1_BraROA transcript:A07p028730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYDKSHSIFMIMISFYSLYTIFASVLDVSNAVQETSTSGSAGVDGFWPLAPKHVIINNTVQSKQTLNVHCKSSEDDLGLIHIPWNQTWGFKFHVNVFKTTKFRCHFTWGVGESHEFNIFTVARDDDNFGDYEVCKVCIWEVGRDNKGKAMCRVNRDELNHPVCFPWDDKVIL >A03p022710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9586008:9587287:1 gene:A03p022710.1_BraROA transcript:A03p022710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLASLIVDVTSRRTLPPLASFSHPAHISCSSRRVLPSMYSSHTPLRFRIQTCNHRRLSSYSSPSEKHGPSSSTRSSLAGQDDAFTAAQNSSNGHNSSPPQPSSKVLTIPTILTIARVAAVPLLVATFYADSSWGTTATTSIFIAAAITDWLDGYLARKMKLGSAFGAFLDPVADKLMVAATLILLCTKPMDVGVLGPLPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEAVAVNNLGKWKTATQMTALTILLASRDSNVGWLVASGAGLLYVSAGLSVWSLVVYMRKIWKVLL >A07g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10167713:10168295:-1 gene:A07g504820.1_BraROA transcript:A07g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFSGCVEWRGFGSGVRSGLCLTEGVMDPLDGGAAVLLPLVSVVSSNVLFGPVNLPLRGFLELLSFPSQNGLRRVLRRRGACEEMSCWSCQPWLISLVAARAEIAGFDSVWRLVGAPSPIKLDLLNAGVLGHESSSLSMKVAD >A03p028110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11742529:11747960:-1 gene:A03p028110.1_BraROA transcript:A03p028110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSILPGPPSRSNLSAADLSTSGLLAFASGPCVSLVDSQSLQLISTVSLPSPLSSASPTVTSLRWAPLPLSRQRDPFSSHLLIAVGDNLGRIALVDFRVPSVRLWLEQDSDGGVQDLCWVLALPESYIIAAISGSSSLTLYTDSGHVFWKHDSSPEFISCIRCDPFDSSHFCLLGLKGFLLSVKLVGITENDVTSKEFHIQTDSTDLQKLEREALVSSSSSSHSSTAVFPFYSAKFSFSPHWKHIIFVTFPRELVVFDLQYDATLYVVALPREYAKFVDVLPDPNQEFLYCLHFDCRLSIWQRQEGEQVHVLCGIEELIPAIGISPPLPSLLSLLISQLDSTLQNIRKIHSDPVLDASKVVDDSFYFSGDDDAFRGFKTHFISISDDGKIWSWILSVKRDNDSSNLQNNDKPLKSSTDTSFEISLVGQLQLLSSTVTILAVPTPSMTATLARGGNSPAVVVPLVALGTEAGTIDVVDVSANAVAASFSAHTSRIRGLNWLGNSRLVSFSCSRVSTRTGGFINRLAITCLRSGVSKGFRVLQKPERAPIRALKVSSSGRYLLILFRGDPVEVWAMTKSPVMIRSLTLPFTVLEWTLPTIPNSAEKSLSKQPSISSNQETIVSGTPKASEVGTADGQLQDDTSESFAFALVNGALGVFEVYGRRIRDFRPKWPEASFNTFDGLITAMAYRVPHVVTGDKLGNIRWWDVTSGNSSSFNTCKEGIKKIKFSPIYHDSISRGRIFVLFFDNTFSFYDIDSPDPLAISLIRPQIPGSLILELDWLALGTSRFDSLVLCVVGTDGSFRLVEVHVNEKMTTQKSHTKPPKEKYRPVPLCTPMLLPVSHALAFRMILQLGVNPSWFNTSSQCIGKRSHSIPERTSSSRDLRSSMIDFPPIGDPAVIEILLKVLEPYRLEGCLLDDEKAKLYSRLVNKGYAARFAFTAAIFGETSEAFFWLKLPCAMNYEANKIKSKISTKHFEEATMLNKITPNGPSVSGFEKIGSLGEGQLKLMAFEQNELWLYASERIPWHENLGGEEAIQNRVHELVSVGNLEGAVSLLLSTSPDSSYFYPNALRAVALSTSVSKSLVELAVKVVAANMVRPDRSLSGTHLLCSVGRYQEACSQLQDAGCWTDSATLAATHLDGSDYARVLQRWATHVMQTEHDIWRGVMLYIAIGAFEQALAAFRKAEQPETAAIFILACQETLANSWSIDDDNEDVIAITKCYELYQEKLVHICMDSPPFLH >A10g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20750637:20752748:-1 gene:A10g506900.1_BraROA transcript:A10g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCKLFIGRSDAHEGSATGCAYEVTAPPGKAIRFFGERTTKPSRGSLSPSLLCRRRSPSLFVLSEPEKRERRWYLCHYLNIISGRRNLYLRCFGLRNRHIETRLRPSSPSPPTWLPYPLFPSELWNKKGLNFLHLCALFQLSITIISAFKIYLILSWTLCLSAAFDRYCCKSMMLHERSSQEESLYSTGLSFFTPEMISDLRYLIGPRGEEGSSSNQETSKRNTSSGRRWTNVLLAVNINALGLWVSFLCHDRMYIAQVASNGRVLTWGAKKAVTGMKGADVLSSVKMF >A05p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27045994:27046333:1 gene:A05p045170.1_BraROA transcript:A05p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRGDLAVECGWEKLANIQEEIIAVCKVTSLELRSHMLQMAEGQKNFTGSKHDPAKHSLVSCVMLNKGKHIVEVVSKLFINHL >A02g509540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:25265048:25265356:-1 gene:A02g509540.1_BraROA transcript:A02g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPKPSLEKEKPGDFSWSTCRGDRIRVMIRVVGATRISSVSTQPPPLENIAGSASPQNSFDGAQKTEEPPSKPTPFFNALSSFRRVASIQSRSHPLNERR >A07p038040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20295097:20296873:1 gene:A07p038040.1_BraROA transcript:A07p038040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASLCNSLLLHSRFNSPCSIPSSVYLSPPCFTTSAYISFPRAGVTKRNDGLRSFAVSKRRSSIQDDVEEEEEEEEEEDEDWDEFEVEAEGEGEEDEGEFLPMEKMKRWLEKKPRGFGVGKKYETLIEDKLLEEIEQSWKAQAANLNKLKNNDPLKPQHNLIKGETQSGFRVRVTNLPKKKNVHRDLKAAFKEVSGVLNIEPAVSGNKKTKDPVCKGFALVDFKSEVDANRFVEQFNGERLSFGKVVKQIKCQVVEVSSNQSVSEELRSDTVFEELPFPDFEAVSSVGVVEEDTFVDSWEEESSDDSDKEGDETEVEEEENLISSSIEPPKERESKTNVKSQKQAVKRETREHEVLETPLVSFQAVSKPKEARVDNDDEHGRSDEEEEVAEENLEPLKSSVSSSDEERIDRIRRLELKLLGREKLLGGGAGSDKPEAKTGGRVEGETKKKKKKKVLVKGKKSSTIEIPGSSKRLKMKEKALLTGVLVKYAAKVASTSNDE >A09p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36048557:36052020:-1 gene:A09p044060.1_BraROA transcript:A09p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVQDKESVVAATTSSFSNTTPTELNHSRTFLDARTEEDLISGLRKEIEAGRLPPNVASGMEELFCNYKNAVLSSGALRAAHTVISNMSVAFDRMLLGVEHPFTFNPYHKAIREPFDYYQFVHTYIRPLIDFKNSYVGNVSLFSDLEDKIRQGHNIVLISNHQSEADPAVISLLLEAHCPYIGENIKCVAGDRVITDPLCKPFSMGRNLICVYSKKHMNDDPELVDMKRKANTRSIKEMATMLRSGSQLIWIAPSGGRDRPDPSTGEWFPATFDPSSVDNMRRLVEHSGAPGHIYPMSLLCYDIMPPPPKVEKEIGEKRLVGFHGTGLSIAPEISFSDVTADCNNPNEAKEAYSQAMHKSVNEQYKTLNSAINHGRGIEASTSTFVIVYKKKKPYKNKIVPVSAGCTIYGNIFSHAIFKNYIPKPVIPKTKKQISGLYSDQNKLKLVFLQRTRLENKPRMAIGAHKLSLPDLEHDGGGSGDGKA >SC160g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:49701:55487:-1 gene:SC160g500050.1_BraROA transcript:SC160g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTDGVCTDGTASVRPGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPNADGKSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYACVTF >A03p028210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11802782:11805983:1 gene:A03p028210.1_BraROA transcript:A03p028210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G26510) UniProtKB/Swiss-Prot;Acc:Q8GZD4] MVETGHHHQHPPAPAAAGPPPVSSMAISTKPTWSPAEQLHHLQYCIHSNPSWHETVVLAFQHYIVMLGTTVLIANTLVTPMGGDAEDKARVIQTILFMSGINTLLQTLIGTRLPTVMGVSFAYVLPVLSIIRDYNDGQFQTEKQRFRHTMRTVQGSLIISSFVNIIIGYGQAWGNLISFTACKLCGDWSTNADSADPLTTSLPFYLRLLLRNIQTILERYALLVCLALIWAFAAILTVSGAYNNVSVATKLSCRTDRSFLMSAAPWISIPYPFQWGTPIFRASHVFGMFGAAIVASAESTGVFFAASRLAGATAPPAHVVSRSVGLQGVGVLLEGIFGSITGNTASVENVGLLGLTRIGSRRVVQVSTGFMIFFAIFGKFGAFFASIPLPIFAGVYCILLGIVAAVGISFIQFTDNNSMRNMYVVGVSLFLSLSIAQYFISNTTRAGYGPVRTAGGWFNDILNTIFASAPFVAIIVATVLDNTLEARHAINDRGIAWWKPFQHRNGDGRNEEFYSFPLRVGEYLPTRFH >A02p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1243796:1244763:1 gene:A02p002930.1_BraROA transcript:A02p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHGNVSSANDPRQPSAAKPYTPRPIAPEDLPVDYSGFIAVILGVSGVMFRYKICSWLALIFCAQSLANMRNLETDLKQISMAMMFAIMGLVTNYLGPNRPAATKK >A04p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12268972:12269509:-1 gene:A04p020090.1_BraROA transcript:A04p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRISEIKTQGEALGEKSAPAPFLEPNTEANTMGSNMLLIGRVPLREQVSYFERSIDYMVRMIGENGTEEMLKKAILIITIGSNDILNYYIQPTIYTFLLSRQAPH >A05p041440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25171375:25183517:1 gene:A05p041440.1_BraROA transcript:A05p041440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHPSNASFVPGHVTPPNQPVHHVRPINLSNNVYSHPEFQPQPQFQPMPQFQPRPQFQPRPQQQTPPSRLALVPTQAQPSINYPPYDDMIFDAIRELNEPDGSSKSRISRRIKRSNAVLPPSHAVLMTYHLKTLRKNGVLTMVNNLYKISAAAAPPPRQGVAVAADLVAPRYEVPPMNSSPLDMLGQSSRELPELPVTGPNQVVTESANRRLDRPRRDGSVPISPTAGAILGFPYPSTYASMLQRGRPPSPRAAVSERKRLCIGESSGGVVIAAPAGGETVAVASRMWRGPGCTPNIVWNRPRESATPMSIRAATGTSESAYGELKRKLDFACEKAKKILDVLNAGIENYDFIEMLQARQEVEGLIPMLTVEPHAMRQVQPQAMEEVEALPVEQPQAVEEGPSEEAAAQTEAETQGEEHGQEMDPNHPSNTSFVPGHVTPPNQPVLHVRPIIGSNNVYSHPDFQPQPHFQPRPQFQPMPQFQRPQFMFRPQFMPQPQPPLQQAPPSRLAHVPTQAQPSINYPPYDDMICNIIRELNEPDGSSKSRISRRIKRSSVVLPPSHSVLMTYHLKRLRKNGVLTMVNNLYKIAAAAPPPPPPPPPPPPPTPPPPPPPQSVAVAADLVAPRSEVPPMNTSPLDMLAASASGLALGSQPQKRGRGRPPKAPQEQEPIDAQPVAVMTPGQSSREQPELHVTDPNQVVTESANRRPDRPRRGRSVPFSPTAGAVLALPAPSTYARWRSPSRSDAGRERKRPCIGESSGGIVIAAPAGGETVAVASGMRRGPGRPRKVVSGRPKMSTTPISTREATGTLESSHGELKKKLDFACEKAKEILDVLKAGIESNDFIAISSQAKQELEGLIPILTVETHGVGQVQPDAVEEVEARAVEEVQSEEAAAHTEAETQGEEHGQEVVEGEQAQPHP >A05p006870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2783200:2783892:1 gene:A05p006870.1_BraROA transcript:A05p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 8 [Source:Projected from Arabidopsis thaliana (AT2G45600) UniProtKB/Swiss-Prot;Acc:O64640] MADRLQTMILSVEYRLSPEHRLPAAYDDGVDAISWLRDQARNGGDRDTWLSDVDFSRCFVMGSSSGGNIVYSVALRVAEADLSPVKIRGLIMNQAFFGGVEPSDSESRLKDDRICPLTATHLLWSLCLPHGVDRDHVYSNPIKSSGAEEREKMGRFPSTLINGYGGDPLVDRQRDVAEMLKARGVHVETRFDKDGFHACELFDENKAKALYDTVEGFMKSCSMTAPSSNM >A06g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14147620:14148911:1 gene:A06g504570.1_BraROA transcript:A06g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNENVDDAGVGADDGGGTTRRKKQKQIIWEDEHVGVFLELLDIKLAKIRYRQKLPKEVGRERICKEFLEKTGISLSWEPFKSKYDILRNMYGSYKRLKNFTGVSADDNTGSKPEAMYSTHVQDAEQNEQLDKSVPETQDNDGDHTNDVHHHSQTISLDSPPRSPIGPSKRSNRKQARVAPYESGRGKDVALSREKNIPRRRKSFEKEINEQFKEMMELRRSQVAEAKERREKNDAQPFKEAYEILKSIQGYDLHGNFSGERFKALQHCHGDPSSANPEQNTIRPTMESYKDFAAPSRLELMSLFEEVGYKRGYGKMGDGRESSETTINLDD >A06p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19134909:19138823:1 gene:A06p035370.1_BraROA transcript:A06p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKENEMSAMEIDDPKPDGSEQILPRFSMNVLQLMKTSQAQHGLRHGDYARYRRYCSARLRRLYKSLKFTHGRGKYTRRAMLESTVTDVRFLHVVFYMTERAWSHAMDKRQLPDGPNARQRIYLIGRLRKAVKWASLFSSLCSNKTDSRTSLEAEAYASYMKGTLLFEQDQNWETALACFRNARAVYEELGKYGDLENQVLCRERVEELEPSIEYCKHKIGKSNLQTSELLQIGEMEGPALDLFKAKIEAAMEEARSQQAASLTEFNWLGYRFPVSNPKSRVSILKAQDFEKELQGPAAESLPAEKKLTIYDKLFTAYHDARNTIRSDLVSAGNAESVKDDLNGLDKAVGAVLGQRTIERNQLLVKIAKSKLNKKRDDKTEKVTKPEELVRLYDLLLQNVADLSDLISSGRDRKPEEIAFEEECQRKSLAFRAERCFYLAKSYSLAGKRVEAYALYCRARSLAEDALSKFQSIANKDEGTIQELKALDKECRANSCIEHATGIMEEEKAPEKLSKKISTISLNDTATKVEKYLVDKLEVYESAVGDANTKMAPKIERFPPAFQSIPRNPIVLDLAYNCIEFPVLDERKKKVQRGFISRLWG >A09p056100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47831326:47832389:-1 gene:A09p056100.1_BraROA transcript:A09p056100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AE7-like 1 [Source:Projected from Arabidopsis thaliana (AT3G50845) UniProtKB/Swiss-Prot;Acc:A8MR89] MTLGLLNANPVVQAKKERLAHIRDPEHPYSLEQLSVLSEDSITLDDKLNRILITFTPTIQHCSMATIIGLCLRAKLKECLPLHYKVDIRVSPGSHADEDSVNKQLNDKERVVAALENPNLRQLVDECICSNEI >A09p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1488438:1490369:-1 gene:A09p002010.1_BraROA transcript:A09p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNNPHENLSDQTPSDDFFEQILGLPNFSASSSDGGLGGGGGGGAPPMMLQLGSGEEGGHMGGLVGGGSGFHNQMFPLGLSLEQGKGQGFLRPEGGSLGTGKRFSDDVMKPVFHGQPMQQQPAPAAPHQPTSIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDMPLSSSVEDESGEGGRAPQPAWEKWSNDGTERQVAKLMEENVGAAMQLLQSKALCMMPISLAMAIYHSQPPDTSSVVKPESNPPPP >A10g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10404708:10407481:1 gene:A10g504190.1_BraROA transcript:A10g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKMCSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHGSFNGTNQEPALALTSLNQPITCQHSLLQSDQFTQIANKKTPS >A02g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16077502:16079466:-1 gene:A02g505210.1_BraROA transcript:A02g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSVKNYNSDDTSDASNFGENSDAGHASYFRTINLRYFVAKPLEMLNEHIKFKELCLENGNPEAHYIEGLLQYFIHKERSTGLYHLRQSAIAKNSNGMYLYGLLMLAKGHYITGKRYLDKLQWNENLSLSDHCWKGIKNSLSAVPVRMRRQHYINMVNLEPRIDCHPDTMTEVCNNCYYYKRLNQFYRICTNSGRCTIIPSTTKITTRPPTMTHPPVPPSSPESPNTGESYDLSYLLDDPLDAVENYPELMEMCLRVNNPHANYIKGVHEYFGRNNVAQGLDHLKRSADGKCDVATYLYGLLMLSRGNMHEGRRYLSTLGWNTNMKRAEQCWTNVKKSLKRFHITMEDCYVENMFLLKPARRCHVNSFAKRCTRCFLYKQVMQFVDYI >A02p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18869671:18875305:1 gene:A02p034720.1_BraROA transcript:A02p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMWQQHKRVIGLELGGLQLGGVISPSIGNLSFLISLDLSNNSFGGTIPQEVGKLFRLEYLYMSSNVLRRGMPTSLSNCSRLLDLHLYTNPLGGGVPSELGALDNIGRLSQMTGLILTANNFSGSIPATLANISTLQELRMEFNSLTGSIPPSFGKQQNMQTLALHANYFGSYSDGDLRFFNALSNGTHLLSLSNLTELSPGENHISGSVPRDIGNLIHLQTLQLYGNLLSGPIPTSVGKRSGLGSHSSKSVECDYTSGDHANSSPCSPRYIIEIPDIRGLVGLKRVDLSNNISGSIPGYFSSFPLLEYLNQSNNNFEGRVPTKAKFQNSSLVSVSGNNNLCGGIKDLKLKPCFEIAPPMDTECPFLLKKVVIGTDNPTPSALETFHGKISYREIRTATDDFSLSNLIGSGSFGTVFKALLPTMDKVVAVKVLNMERRGTKKRIQSSHLRLVRVLITKETNSELSSTSSCPTEAWCLTLILEVGLRCCEGSPTNSLAMSQADKELISVRE >A09g511100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33657999:33675141:1 gene:A09g511100.1_BraROA transcript:A09g511100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGVDQDPLSKVLFSSVLVDGQGEYSDQPDPSDGSEPRVTSRWETFTLGREGTALASDLFRELRVSRLCTHCGFSVWFQLRVIGCACSCLIVARLVESTYTKTRSGVSHMHTNRSLRSDRARAKLGCYVATELFRNVDLTPVHAFSSIFRCYLPKTVANSVHVFRHSKSSIKLYSKNYGKFVLYRKEIVINILSRKRAQRDLRHDSRPNLRFFNQKPVNRRTVYAWCARKDKCQNISILCYDGIRAEEQLSIFDIRAATQLGFCSIKVLELGISPIALESQALTLLSPPLTYTRGRQNHTTLFYSKPHLKSNLFHFQIAIKYKQSNSGPCQIWKNSFDQIAIQIKKTLDSLKKPAMATVLLGLLLFYDPNSAFAASGGKIGGNSFPSTSDPSARYSAPYYRPSPFSGGLYVGFGFGGFSSFSLILVGFAAFIVVFGFLSDHSQGSTLTDTQKTSVLKLHVGLLGLGRTFNRLAENDDTSTSEGLSYVLTEATLALLRYPDYCISCNSSGKFDEETLVNVNSVKRQSSKNWTASCFSNEYIAVEVLWTPQNEKETLSENELLEDYPLLRLLPDRWAKRLLLHQKQTS >A07p036320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19516446:19516917:1 gene:A07p036320.1_BraROA transcript:A07p036320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTGGGGGLRKLCRASAAVLENEMSSNSLLLVRYMSRERAVNVRKINPKVSIQEAHIISTSLYDVFKKHGPLSVPNTWLRAQEAGVSGINSKTHMKLLLKWMRGRKMLKLICNQVVPL >A02g511650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31210466:31211273:1 gene:A02g511650.1_BraROA transcript:A02g511650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPTARNIEIRRGKIKERTFDKNGSFSMFLEVSTLGYFASHYRVMRRKLHGPEGSDDEVYDLVTALIFRGFQRLTEETGKEGNFKVEVCLSNDFIGLDFINYI >A03p053460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22935439:22936949:-1 gene:A03p053460.1_BraROA transcript:A03p053460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITTASFDRTESSRILSFLQKANQAIDEKVVAFQDIKPAAQRHYLIIPVEHIPTVGDLQRRDEDYSLVSCMLSVGKELLHKDAPQTLHRFGFHQPPFNSVDHLHLHCFALPFLPSRDTAGEDKASSFKGVTEPDSPNFIAWCFS >A02p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:345563:346679:-1 gene:A02p000620.1_BraROA transcript:A02p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASQASRRDICSTMEPSSATIRLGGRAIDRHNPIIRDGRRFTPPPSPNHNSSTSSASSSTYHTPLKTRLGLESSEQPRVAKRKSKKGQCNGGKTPIGCPNSDTPHGPSRYLLSNPVFFDGFVDSDPIPLPIEPEITMCDELDKIHEDRLVINASKHLSSSSFLEKKQPDFFDGFLDYDPVMSSDNPFSEPTKASPTASQSSLADQDVSSPDLKFSPPPPPSPPPPEKSSSSDQVVILRVSLHCKGCAGKVKKHLSKLKGVTSFKIDFAAKKVTVTGDVTPLTVLSTISKVKNAQFWPEIIKK >A09g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25489226:25489923:-1 gene:A09g509120.1_BraROA transcript:A09g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAGRVSLSTRSTDGLKDRYGSGSCWTCVSLKIGQGMGWIEGLHKEQWIGSLICQTAALNSFSQLKDLGSLEPLMARWCLQALSRPTPGSIVA >A05p048960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28561030:28563956:-1 gene:A05p048960.1_BraROA transcript:A05p048960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) UniProtKB/TrEMBL;Acc:F4J3R7] MRALNSRLVLIDINTSWQASRRLISATATAFSSDSSSSFRRTRGARQRIASSKSPASPSPLRKPSDGFTFDVQLPSADSESSSSRKTSPSPPTVVELDAFLEILPPATRKELVKHEAIGELIEVVMDLGRKPLARFPSGDWVISEMPVTQQDLKLAVSKVGDFSDDNRSGINRSLHRISAIRNRKLQIIGLTCRVGRAVSGTDEHRKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPNVNLQHDVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVATAHGMTIDNIIKNPSLQILIGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTECRVHQRLDVTVDAILAGKSAPCEIRQIRGEDDVPHKLVTPIPLESLEVEPSPLLNIDFASEVLSDDEDEDFLPSRYKKASRNISVSQRSSPVHVYTYNVAEADLLQVAEVMGLEDDIEVTDDVGEADVILASSSELKQNPSIRRVAKLHKLPIFVIKSTTMAQMVKAVRMILGRESFGSAPITIEKKSSVDDIEINDDAPESKPSLEELDALEEVRLAIEYIVIPGGEPVELLPRRSDIIVRQLELVESYQLAVENLGTHLNPRLQILPRRSTKKMLSPKKAGDDSMGNTVTRLPFLKD >A09p041630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23572434:23574177:1 gene:A09p041630.1_BraROA transcript:A09p041630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G34040) UniProtKB/Swiss-Prot;Acc:Q9FE98] MMHKKMLLVAASIILNLVLVIHVLYNNSTTWNPSWTNRAAKEAEDVASVSCSGHGRAYVDGLGILDGNKPPCECNNCYTGKDCSFLLPDCHAAANSGDPLFLEPFWMQKAEGSAVVESGWHRMSYHFYEDGSYVSAELERIIRKLHNVVGNAVTDNRFVIFGTGATQLIAASVHALSQTNAASPSRLVSAIPYYNVYKEQTEFFNYANLRFEGDASAWKKSEHNDNTTRVIEIVTSPNNPDGKLKRAVLEGPNIKSIHDYAYYWPHFTPITHPADEDVSLFSLTKTTGHAGSRFGWALVKDEAVYERMKRYLTLSSMGVSRDTQLRVLQLLKVVVGDGGEGIFHFGYETMKKRWEVLNKIFSMSMRFSLETIEPEYCNYFKKRRDFTPSYAWVKCERLEDANCYEIFRAAKIKGREGKVFGSEERLVRLSLIRTQDDFDQLIHMLKKLVSQEVVRPDSI >A06p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10549727:10554326:-1 gene:A06p021330.1_BraROA transcript:A06p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRTGLSLTILIDSWFSMTSDWGSSVWFASEKRERGSSSSRHYRRLHHLHHHHHLTPPLLNKMGSTVILSSDEEDSDISDSEMEEYGDKIYLSLKSGKLKVKMSPHSFTCPYCPNKKKPSFQYKDLLQHASGVGNSNSDKRTAKEKASHLALAKYLQQDLADDSQAEPSSKRRKTGDPIQDCDQDEKLVCPWKGVVVNIPTTKTENGRTAGESGSKLRDEYIQRGFNPTRVRTLWNHWGFSGTAIVEFNKDWNGLHNALLFDKAYLVDGHGKKDWLRKDGPPKSGLYAWIARADDYNGNNIIGEDLRKKGDLKTIAEVTEEEARKQQKLVQNLTQLVEEKKKGVKEIEELHSAKSKELKEKLEEKEKSLQKHNSELNAIQERTMGHVNKIFADHERLKMQLELEKKKLEIKGVELAKREAHNETERKNLAEDLQENASKNSSLELASMEQQKADEEVKKLAENQRRQKEELHEKIIRLERQRDQKQMIELEIERLKGELNVKKHMGSDGDAEIVKEVENIYKGLTEKEEELADLDKFNQTLILRERRTNDELQEARKELIMKEWKQNIGVKRMGELVTKPFMDALQQKYCQQDVEDRAIDVLQLWEDYLKDPDWHPFKRIKLENQEREVEVIDDRDEKLRELKEDLGDGPYNAVTRALLEINEYNPSGRYITTELWNLKEDRKATLEEGVTCLLDEWEKAKRKRGMA >A03p047510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22386729:22388426:-1 gene:A03p047510.1_BraROA transcript:A03p047510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLTLTLTFLFLLLSASVSGKCTTTTATKTFEKCISLPTQQASIAWTYHPHNATLDLCFFGTFISPSGWVGWGINPDTPSQMTGSRVLIAFPDPNSGQLILLPYVLDSSVKLQKGPLLSRPLDILRLSSSSASLYGGNMATIRNGASVQIYASVKLSSNNTKIHHVWNRGLYVQGFATVKRNSGSRALKVTHGVINAVAWGFLLPAGAVTARYLRQMQSIGPTWFYIHAAIQLTGFLLGTIGFSLGMVLGRNSPGVTYGLHRSLGIATFTLAALQTLALLFRPKTTNKFRRYWKSYHHFVGYGCVVMGVVNVFQGFDVLREGGSYAKLGYCMCLSTLVGVCVAMEVNSWVVFCRKAKEEKMKREGLTDDRCSSGIHS >A04p017240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10573319:10576059:-1 gene:A04p017240.1_BraROA transcript:A04p017240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFMLLTYLFSLFHNNQTAGPSHSSPDVNSKIFNVFNYGAIGNGYADDTKAFMDAWEDTCNYIGSKSTMEIPQGRAFLLQPIGFHGPCKSKKIVFSISGNLTAPYAPYQWKCNEDYCHQWIEFAHINGLYIDGPGTIDGQGPKWWSLNCKKYEQACHRRPRGMVISHSSNVHISNIVVKDSPNFQMSLEDSKWIFVKQLTITADGDSPNTDGIHIQRCRNVFVHNSNIHTGDDCISIGDGSKYVNISGISCGPGHGISKFFIAQTSIGSLGRNGSKETVENVIVRDCIFRKTDNGVRIKTWQGGRGLVRNILFERIKLHGVTRPIIIDQFYCPHSQCNNHTDAVEIKNIMYKHIHGTAVKKPFVELLCSKSVPCRDIYMNDIYILDQDEGKGKKYHKRSSHPPAECINVRGESNGAIKPKLACLDSERH >A08p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15494966:15496068:-1 gene:A08p023530.1_BraROA transcript:A08p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSTAAYFARRAAQKERVRILYRRALKDTLNWAVHRHIFYRDVCFFSSDLRIGFGFRLPLCFSPISRIGLLNSLMFVHFQASDLREKFNANQDVEDVDRIDKLIAHGEAEYNKWRHPDPYIVPWAPGGSKFCRNPTPPAGIEIVYNYGQEDNP >A07g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:172292:172934:-1 gene:A07g500130.1_BraROA transcript:A07g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHIKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A03g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7545207:7546277:1 gene:A03g502360.1_BraROA transcript:A03g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITLYQYTTGNTSTYSYMCSTIVQTFPHAMYAINLDLRYLQWIHARILLAKLNPQQITTKPAR >A06g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7714138:7714994:1 gene:A06g502190.1_BraROA transcript:A06g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEKMYNVFHNQFLVAMKRLQVEKQELVHKSANETVVRSLLLFVIYKLSQTTYFLWSNVLIGHIHLINGDNLDTQTPMTSKTLGGVFVYLKIPTLFASVIFSSKALRTANLRWVEKLLWLSQIRLLQCMSSEKTFPHHKILDLVMRKVLVPVDLSYLEVKKQS >A01g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21461460:21464436:-1 gene:A01g507500.1_BraROA transcript:A01g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIDVERLNALRLKPKPSENPPETVRTPSDDGADPMEVNRVPMGRTLRKRKEKVDEDYEEERAIEQRAILEDEDTLLHHSSWKKKSPLIDRNFSTSIDIQLHHPSRLRASTDIPYYPLIDTNVDYARDGNYSIGSWADDHYHESYAVETAYRDQGADELHEGFTYEELLNMDPDGYTKAIDGRTLHVSREDIAYILQTANGADNLFVQQQNILEHQQKVTKEFYDTAGGIDKRFKQKYRHPNRPSIDVDVPTLVDKRPKFGRRAFDFFGTRRFYWEEKNEYGINKDDQGYARDIDGHTIRDHNNDIRRLLKRASRDEPNYICLLEHARSFSQTKLVPEIYTKDKINEMFSGVCGEQEKNKGDLQMKLDGVYYPLNDSISWITTCMEEMRQDIARIQRATNVSRTTSIDKHRQASIDSRLPESIDNRLPASVDDNPQNSHTMKSYSKELVEIQSYIARRPEASSSTDRRNNKSTDIHRPEILADTYATLMRHQFNLESLGDRLQKIEDATTIMKDKWRRGDEAMRDFTDTWFDKRKEEMETCFATSASFQHY >A01p017980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8747519:8747967:1 gene:A01p017980.1_BraROA transcript:A01p017980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIFTCLLALYVRGDNKQNDLRKWEENGTTEANPFVTLKARTNPMKLNGCINALYQLFHHHYQPTFDSLSRYPKGTSLLSFPPPPPPLLALSLYRDVSAAWLGCTKKQLGVNRGVYSIKRKKIASTSL >A05p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20688184:20689042:1 gene:A05p036490.1_BraROA transcript:A05p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRATRGERSVNALKEFRVGKNPIRGGLVLACTFHTRREKKLCFFRHSVLQYCRSSVVDRFCLSLSSIKDLPGLKPDSFEGSQWDGLGFFVQYLWLISGGIAAGTYNEGATDFKETPIEKVRILFRVDVVNFRGVGMEENDVGSEPGAEAFGDHQVACG >A02p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:599773:600733:1 gene:A02p001310.1_BraROA transcript:A02p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLVKRFIPYMSARIRENHRMLNRYSSVSSALNEASSSPSSQSDSSSLEAVHLSDNCIRRMKELQASEPEKKMLRLAVETGGCSGFQYVFELDHTTNPDDRSSLSLIFLTRYYKHRFALSQLVKLRGRVFEEKGVKLVVDNVSYDFVKGATIDYVDELIRSAFVVAENPAAVGGCSCKSSFMVKL >A04p011280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6807828:6808400:-1 gene:A04p011280.1_BraROA transcript:A04p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHTYETINGKRKRRDKAGERLHRYRLPSLVLIASVSSHTPSPLFSPPPSLLIAHIISLHSLIDHASSLHSLFPIASSTLPHPLSPTPHCRDLSLPSSPTLIAGTSLSSLNAHPHRRYVSTLSIALTLSPCFVAVRVAIEI >A09g512430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36489601:36507929:-1 gene:A09g512430.1_BraROA transcript:A09g512430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNFTKSCLLGSKTVTTNLTFKTSEEENKNFSEEYFLEIDSFLRKARKQVATQRPSDRPARSLRSDRARAKARSLGSDRAVVPLSRYRPSDRPARSLHSDRAIVPLGRYVATELEPKLGRYVATELSQARSLPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATKLKPKLATGLEPKFGRCVAIEPFRTSIRHQSLRSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTKTETRSQFEPILSRLGDELVSLRKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYNLGRKTFIYPPARVTTLTGWGANCWGQKRLFLTKKLYGRKSRDVRRKARNKSLRSDQAIVPLGRYVATELSQARSLRSYRAIVPLGRYVATELEPKLGRYVATEQSSRSVATLRPSSSQSSRPSDRPARSLCSDRARAKARSLRSDRALVSLGRYIGTGLEPKFGRCVAIEPFRTSIRHQSLRSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNWQKSKSVNRPWSYCDSIRFSRLRVARTRNLADSSRAQAYTLFFYEEVSLKIQKQTNQARSLRNYRTYMLSGRYEATEHPTRSRPSDRPARSLRSDRALVSLGRYIATGLEPKFGRGVAIEPFRTSIRHQSMRSRQTFKCYLPKTVASSVHAFRYSKSSIRLCELKNAKNMSTNDADNVQTPLNGGSGTDLHTPAADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKHVETLTARTQAIHPCGTTKIRGKRLDFPTPLDRSGVARERPSGQNPSEKSPFEKGNPESPPPPAKDSEDNEAKRIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEIAYWNEQEELAERQTELTRRRKKNSRNDKYVHHEGESLQGAHNYAINSDQGRTTGNTWTRNQGYDENAFCEFHQSRGHSTTNCKVLGARLAGKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPRDKRELVVNVSSRKTAQRDLKHDSRPILRFLNQKPVNHNTVYAWSTRKDKFQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCKRSYANSE >A09p002570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1721172:1722084:-1 gene:A09p002570.1_BraROA transcript:A09p002570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRFLRRLPRSLKLPPTLLRSNVPRVLSSFAHKTNEPFESRIRHDSSIIIRSFSSQGPAPIDYSSILQEDEFHRLANVTINNLLEKIEIDGFDIDYGNEVLTLKLGSLGTYVLNKQTPNRQIWMSSPVSGPSRFDWDRDANAWIYRRTEAKLHELLEEELENLCGEPIQLS >A08g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6551220:6553403:1 gene:A08g503900.1_BraROA transcript:A08g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYWTAQSITRCGGVEQEEDEGEEDQEEIDAIELQKECFRHCTRTNFGNLQYVSVVQSKLPKKFKKSLEKMGLQSMKNTLITYIQKNHRQQISRFLKLLTSGRSRNLQLLRRITIITKFLLSYIKTVIAVMMMTLGGRRHKTNYSSENGEELEMLKFHMSTSAKYLLIVAILLKKSSRANA >A04g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6198750:6199540:-1 gene:A04g502650.1_BraROA transcript:A04g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLDLRQTLKNFSEDSRKTSRNSLHKSSNTFYARSLQEVFCPKRYKFRICTLCKTLGRLSKDSRKTSWGSLLMYFMLVFRSLLSKMVQRNDVKWSPNLSMLRNHI >A06p055040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28810128:28811023:1 gene:A06p055040.1_BraROA transcript:A06p055040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIVFSLFIVSTVCLSSLAGFTAADADDFDIFQIQGSVYCDTCRVQFVTRLSQFLEGAKVKLECRSRTNGTLTLTKEAVTDKSGSYKIEVTGDHEEEVCELVLVQSPDIGCSDVSKEAYLRNAAKISLTANDGIVSHETRIVNPLGFMVKTPLADCPAAFKELGIVPDVIF >A03g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28721926:28725066:1 gene:A03g508280.1_BraROA transcript:A03g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTMIDLGIHVGGSMEKINGDYKYVGELFEISVQWELYDISWDKFLRFSREDAKIIAPIRFVWYKDIAKEMNTVTYVFEENPDDMFLLMCLAKEAGAIDVFIEYDVSDVRYNEEEEFPESDGEEEVERPLEDEEPEQSEEEDEENPQADENETAEGEVNVAPQAGIVDENVTKEGEEREQAEIGDEVVQDAGDGGEDERFRAVFEEGSMAKLGKEAYQNLEENETAEREAEESDEECVLEEDAANPDTPIGSEEDSKHVYEVNEFECGYSVNLATHQCACRKWDLTGKSTRHGRIPHCSQCKQAGHIKTSCKNESVTVEGPKNRRGRPRKHPNEDHPKPPPKPKGRKKTPVSSSQPITSTDIIVADVSSSAPQPSSSTNQVKPHVKKAPTGRPLKIRKTAAIPFGVGTFWSPYTDRPFEVFGDRVYDRSNLNPQDPNIQPAQGESSHPPTD >A02p012470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5456373:5457419:-1 gene:A02p012470.1_BraROA transcript:A02p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPRSAAARASVEQESPVVPPPPRFIAPRRFVNLTEENRSLTNSLRSATSTLQETDTCMRSLRNLMTSEEDGGAAQFREVISELEAADLRRMAWFLTSHSGYFLTIARNKNGSYRLQKLLGKSNDVDTLFFAAFFRSFLDIMTDKEASFVVLQGLRVFSNVMKEALFPHILEHAVDLACDQHGCVALNRCITVLDDPYCRTFFLYAVVVNALPFSYHAYGNFVVQHVLDLNDLQCTRNIAVNLRGHCVELSFERYGSYIMEKLLDTKESMVVVVEELLKCEGGRLVRLARGTYGNFVVYKALKVAQAEIATRDDLFWGLVNKLKPFRDLLGASCSYTIATFLDSID >A01p044960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24040574:24041776:1 gene:A01p044960.1_BraROA transcript:A01p044960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSSNSSGQLSGLVVDTRRKHREELEQLEKMDNGISLLQRIKNKLLHHLLSSSLDVLRFLNNVDSKPDPLLPQTTGAVNSTWDQWFERPKEAKRCGCFIL >A04p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3835130:3835719:1 gene:A04p012770.1_BraROA transcript:A04p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIRETPIWTEEGTGFHKRVKRIHDPVKTVVPCTVFEAESPIPPDRSMQFSSHIKVLDDHQHVEASQRGLRFRDEVDKDPAEAALIDTDQIPSNDINKPASIDTTSSPSIDTRSGWRNHHAIRQVWGKEEEELEEEKKDQGEFSVFIDSSLLRWCQETQSAHQMLLTAISTDWSTPLLLR >A08g503340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6156170:6156583:-1 gene:A08g503340.1_BraROA transcript:A08g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTTSSLAIFLILNILFFTTISACGNCGCPSPKPKPNPEPKPTPSPSPATAKCPRDALKLGVCANVLNGLLNVTLGQPPVEPCCTLIKGLADLEAAACLCTALKANILGNNLNIPISLSLLLNVCSKKVPPGFQC >A09g512930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38955546:38961580:1 gene:A09g512930.1_BraROA transcript:A09g512930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLQHLNSGPASNIISNQLLVDSIPKCMPSGTRSNNEKDLLFSDDPVHLERTIRRGQRSTSVDATTSSSIDMHNQPSTDTRPSSSIDPDRSTTIDTTPRTSIDTVSSISLGGSQWCRPMSMNLHRSTDHDEDRSTDYSCHRSTSSAKSTECNAHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINTLRPPPKPLANPPEPKPSPLNSSPESVQEEQEAEGRRLRKRKEKIPKNLKREANDKEIDGFTKRVLRIPIEKPFDEPYFRHRLWMFFREIKVTEEDIRRIVQNRVLGIDHTPTFPSIDSNESTVTDDRNNTSLDVMHPVDQFASPNHCYQHFAFQPPSKRGHDDYSIGNWADSGFHESFAVDTVISSPNEEHTEEHDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDVVHSTSVDTHPRPAKQPLTSTDTRTGTSIDICAAAKIQEQENIPSPTRFKYTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSKHLKNTSSSEITLPSIDATVSTSIDTTLNPNLSISKLNDNANIDYGFLTPDEFGNFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSHQHGTPDVIPTDPNNHVGVAKTEINPDLSRQPKGQASINGTLETSIDRVTPTSIDRDEPTSIDRQYECGSRAFDMYGAKMFTWEQRDDYGVYRDECGHARGVAESHICLPEHATSFTLTRLGPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNKLFRSMAEMRTEIESLRQQLEKEATTSTSIDAPYATSIDVSLPTAQIPAEPQCSTQQRDEWEVSYINTRINDIYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVDGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGRHQVSIDNVQERLQNISNVLEKMDDKWTRNDEATRSFIASWSRMRRDDPITTTVKLNDYNQALSGRQPTIRFRRPK >A10p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21151497:21156827:-1 gene:A10p037510.1_BraROA transcript:A10p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 5 [Source:Projected from Arabidopsis thaliana (AT5G05940) UniProtKB/Swiss-Prot;Acc:F4K295] METLVKSCAGIEKKRSVLTESKERSGSSYESSKENIKFDHNKPALVADSGFKGKEVNIADVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPSEKKAMWRREMEWILSVSDHIVELTPSTQTYPDGKKFEVMTCKPRFDLFINLPALRKLDNMLLDVLASFKKTEFWYVDQGIVSSENDGSASFRRKIQRQEEKWWLPVPRLAPNGLTEDARTELNHKRDCATQILKAAMAINSVSLTEMDVPESYLETLPKNGRSCLGDVIYRYITSEKFSAECLLDCLDLSSEHIALDIANRVEASIYVWRRRVQTKLGVNNTSSSTTPKLSWEMVKDLMAAGDKRGLLVERSETLLRCLKQRFPSLTQTSLDISKIQSNKDIGKSILESYSRALESLASNIVARIDDLLYVDDLTKQPDDNNVLSSPRVSVIAHKKVVPVPYLLSASGTPYRTSFSTTPGFSPAPRISPRQGERTPSYSSKNTIKVNEKGLPSRGFGVRRVLNNYLGMESKLKVCVDPSESPETAVRNQFGKEGEEEKKRDSITSKGPPKYNVS >A06p026230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14143036:14145201:1 gene:A06p026230.1_BraROA transcript:A06p026230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSDENEDKEDPVAIRDGSDEDEDEANEDLSLKILEKALSRRELDDSKDTSLSDLGGSGVVSTLMMVNGRDSKSHKKKTKRSSLEDAHEIPIVLKDQDENIPKGEDEKSAEPTSSNMVLKKLLRGARYFDPPDAGWETCYSCGEPGHVTINCPTPTKRKKPCFICGSLEHGAKQCTKGHDCYICKKGGHRAKDCPDKYKSGSKSAVCLRCGDFGHDMILCKIYSAMSVKALAICAVLNLATHRHGLCRATDVVNWVTLDWHVVDTMRKAQKKILPAHALGVGKKGISHVSKRNRETSTPSSKSHKKFKETLEYSSTPYESSGKKTKKKKRCKENSEHDSTPHESNGKKKKKSKENSQHCSTPHESNGKLKSKKKTHKGEQAHSSPQKSKQRGGWITEDPEEDSFQRGKMRRLRSPVTPSGHNHHKQRSFHSGGNFPTTPYGRNSSFESSGRVSSHPPSRWQPNYPSSRHHQHNQRYAPVPSRYGSAHHYEEFQGDYGRW >SC122g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:607120:607421:-1 gene:SC122g500300.1_BraROA transcript:SC122g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRMKSLGECVQLNYGAPRGRIRSNGLQMKELRNLQNSPDGIKPEAQLFYNLGTSEKPRKVNSAQRYQRKTDFRPDSK >A07g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1890257:1892531:-1 gene:A07g500980.1_BraROA transcript:A07g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDHLGVKRMMESHLGAECKMLIRIWDLHGVKRADCKKDHLGAKRNDKNHTGDIVMQMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPPLFPDEVEMEFAEQPNAPIQETTMRRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDMTPPTRGRGPPRKTGSTREGLGPIRMEDSVPTRKRGRPREIPSIDAESLRSITGVCRCGTLMQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEQDPSEDSEWEEEPASSTGSGRAAGPKPEGEQKSPVRSGYPTPFYLLNSLLVLNDLEQVERLLRASLKRSPAENSSKPETLAVDLSLLSLSTATPLFSLSSPRLLSSSLHAASLSPRREQPRNGWRLKRKVRKSLRVKEKGNDKEKGNDKEKEMIRKRKVK >A09g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29261445:29267041:-1 gene:A09g510100.1_BraROA transcript:A09g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRLLFAIEEHGVWSIFSLPQPLRVHREAFIVSSSNPQISYEVPSRGLAYTKSTSSLVTWACDPSGDNKLMELWTSFYEAYRSLDPGVCGILYLLSSAASLIRHRRARCVEHILFASAFESIEKPSSSLVVTPKFHMKFPPEGMPIYHLDDRQFAYGYASGLIYFYGMWTKMKAEDGVPVIRNPKTG >A05g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5063251:5068763:1 gene:A05g501400.1_BraROA transcript:A05g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSGATRVTVPRENQTLELETCDLILCKGRRIHLSHHREEPPEPLFYFIYTSKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSGVIAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKEQGWRTRMVAKSEPPVALRTIMYYLLLHHITISVSKKKKKKEINVMEKGMKSKKHEPLGRSNKGVGTKRKVWSCIGWEMDQLEQKRQTTVQFSDFHAEQS >A09g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:759441:760050:-1 gene:A09g500160.1_BraROA transcript:A09g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRGYFHRDLKSENMFSKNVIIKITDLGVAWEVHSNIQSMSRHAGTWHQTYRLQSYVYTSKVDMWAMGAILTKCDRYPNQRDMVEGAKSY >A06g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16625352:16633528:-1 gene:A06g505790.1_BraROA transcript:A06g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQHGDQNVLKISTEVHVFHHTDQTDRTLYWTVPHASGWELWLEPWPDDRFDRTRFCIHHTVFHFMKNSRDKIAFGRTNSEIGHRYSILDCTVRTARATGLELLQNSRPDDRIPRTESRLSRPVLHSKKNGRGRFQFDRMDFKLGRATSFPSSLDCHDRVLALSAGHAEAPNKHLFLVGPVRHIRQQIEIVSPTELWSKEHVNTYKGESDPRRRLLHFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEELKDFSDSSPIFDETDEELIEKLTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIISTFMESHLCFVSGTTTAPSSHAPLLPDLQEHCEKSELFISLPDMFDKISSLDPDLLSFENDKTWNFLRSSCETFVDLSVADILVYNTFFEKCLETLIVVSQSELKLAEKCATCSWNDILIFDLNKYLSCTFDPGILVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNNPTVFCLESNFKRTPTHQGFTEAWNRMTSFTDEEVMNFTNQRFFSPSIREYQISKGYAGPIKKRPEPKPIIRFQMDLPASQKDRYQKEWPRDLEVMIHPPKPARPKTALPSSFSQQTRENPTKEAAKCSAHEKQLELMILHDPNVFPQSFFCLNQKHFVLKVQDIKDQFQMEASRKGRHNTCDLGTWNWKYLRETSSKLQGSKMDLRSNPFEEEANYTPRFEHRPAWVMDTSQGGDLVDQLDLTEVFSSVHVNSLIIYATLDELRPADETEDELKQSVHKLEPAEESVHELKPAKVRVDELYELSELSDITLELDELSDSNLELNELSDTEDGAGLVFPQSFFCLNQKHCKDHELIASTLHENVLKPRISKRKHILTFLKNVLLKPFHELCSLSCALKEIWFRKRHEPKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDK >A05g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5495568:5496060:-1 gene:A05g501540.1_BraROA transcript:A05g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFILISPIPLQAYRFSHLSYLSPRLSHLSHLSPTASPPRLTTTRVALSPPPPRSPSHHHHGRTPTTTTVALPPPPRWGGKVHGGWRRQGPWRLEDGSLVAAGGGKAHGCWKRGKVMEEAAAVFSFLFF >A01p046770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26322690:26323811:-1 gene:A01p046770.1_BraROA transcript:A01p046770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKFVTFFFFLVLLIVPFVFIPTATAAPGPPMDAEEGVLRRGFKTDVSSIVAFVADNVSVFLPVPLATSINALAIETSLAPRGNPNALNPILFP >A04g506150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:12781201:12781521:1 gene:A04g506150.1_BraROA transcript:A04g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFGLAKLLDHQDSHITTAVRGTVCHIPPEYLSTDQSSEKNDVFRFGVLLLELKREKKFLSLIKRLTIKVLCLIELKRYIKRRNLRCLWVKKLLKKKSSDEIEL >A05g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29243297:29245861:-1 gene:A05g509700.1_BraROA transcript:A05g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKTIILLTILSASLHFCSSSYPFDPRYDQSMSPDLYLETNHLHGHITRNNHMKNRHGYAPASSPRAFNVKSFGAKANGNDDSQAFKKAWNAACSSTGTVYVVVPKSRAYTLKSVKFSGPCKSSLIVFKIYGKIEAWKDPSAYKERRLWIVFEAVNNLRVEGGGRIDGNGNKWWPNSCKINPNLPCLGAPTAVTFVECKNLMVSNIRLENAQQMHMTFQDCENVKALNLMVTSPGNSPNTDGIHVTGTRNILIQDSIIRTGDDCISIVSGSENVRATGITCGPGHGISIGSLGANNSEAYVSNVVVNKATLIGTTNGVRIKTWQGGHGVAKNIIFQDIIMKNVTNPIIINQDYCDRVESCPQQKSAVQVSNVLYKNIQGTSSRPVAVKFECSKSIPCQGISMQNVKLVDQTQQDVVSTASCSNVKLDTKGHVSPICT >A03p024970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10503856:10505234:-1 gene:A03p024970.1_BraROA transcript:A03p024970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glutathione peroxidase 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G43350) UniProtKB/Swiss-Prot;Acc:O22850] MPRSRTCVLILLLPLAFVFYLYMSLPSPAIVDQSSYSSIYHISVKDIEGNNVSLSKFTGKWFNTRKLQRAEHFVCQVQNQGYVSGFLRLVINGTCVSIKGSLVSHAGLEILAFPCNQFGGQEPGSNKEIKDNICTTFKGEFPIFDKIEVNGENASPLYKFLKEQKGGLFGDSIKWNFAKFLVDKQGNVVDRYAPTTSPLEIEKDIEKLLAST >A01p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3800227:3802636:1 gene:A01p007840.1_BraROA transcript:A01p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGKALKGSPIDTLIRSCLLEERSGEVSFNYEAYTLKWTFHNDLGLVFVAVYQRILHLLYVDDLLSLVKESFSEIYDPKRMSYDDFDETFRQLRMEAEARGEELRKVKVVSCVKKQGQVSKSGLDGGNKSGGGGSKKDDGDGDKDKVGSLMTNGNHKDDDKTDLANGKENTADNVVLDPSKLMKLRSKGVRGRGGVRKTDSIGNKSSKVSAAEPPKKATKKNRVWDDAAPKQVKLDFTDSVGENGNGNHDHVDIAAADQGESMMDKEEVFSSDDESEDDDDDEPRSDEKKPEAKKKGWFSSVFQSITGKANLERTDLEPALKALKERLMTKNVAEEIAEKLCESVEASLEGKKLASFTRISSTVQGAMEDALIRILTPRRSIDIMRDVHAAKEQRRPYVVVFVGVNGVGKSTNLAKVAYWLQQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNKPDLVLFVGEALVGNDAVDQLSKFNQKLSDLSNSGTTRLVDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKAIVKTLLK >A06p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7030924:7034604:1 gene:A06p015720.1_BraROA transcript:A06p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQSFCYTSLHKIFLTFILLATQTLSRDPKFEACEPKSCGKGPKISFPFYLSGKQEPFCGYPSFELTCDDDQELPVLGIAGEDYLIKNISYSNQSLQVVNSRASRDPCPSPMHNLTLHRTPFSVNPSHVNFSILYNCSYNLRNDFTTYPLTCYDNTSLRSFGVFQRETLGSSCQKLVDVPVSASGKFDVNLYLGITYIDILKRGFVLNWDAHSCVRCNSSGGRCGHNDSSEFVCFCPDGPKIHDTCRNDRSLKLKIGIDGRSVAVKRLYDNNIKRAEQFRNEVEILTGLRHPNLVSLFGCSSKQSRELLLVYEYVANGTLADHLHGPQANPSLLPWSTRLKIAVETASALKYLHASKIIHRDVKSNNILLDQNFNVKVADFGLSRLFPMDKTHVSTAPQGTPGYVDPDYHLCYQLSKKSDVYSFAVVLMELISSLPAVDITRTRQEINLSNMAVVKIQSHKLHEMVDPSLGFDTDTRVRETVIAVAELAFQCLQSDKDLRPCMSHVMDTLTKIENNGFGSNMDVNKSGPLVVQSPNSVMAKWDSK >A09g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17799786:17800138:1 gene:A09g505650.1_BraROA transcript:A09g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLNPLKWDDEGEE >A06g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26745036:26747517:1 gene:A06g509280.1_BraROA transcript:A06g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMDMWPSDHRPILLSFSYELNDRGHGRFYFDKRMIGKDGIEEAVKRSWNIGEHSENQSLMDRLANCRKELSRWITRDAQRVPLHHSRRAFPSPVSKREAALQALLWAIEAMDNMKQRNVIFETSSVEVRDVLLNVSHFPELKYLTDHLPRLLQGLGDWSLNHVFSSKNKVAFAIAESVINHHLSQSYVVLGGPRWLAQTVQQESRSV >A07p016490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10153593:10154107:-1 gene:A07p016490.1_BraROA transcript:A07p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYSTFDTNRAGLAGLYQEASMLTFEGQKIQGVQSIVAKLTSLPFQQCKHNISTVDCQPSGPASGMLVFVSGNLQLAGEEHALKFSQMFHLMPTPQGSFYVFNDIFRLNYS >A09p075970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57041472:57045491:-1 gene:A09p075970.1_BraROA transcript:A09p075970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLQQAAKSGSIDELYALIDENPYILENIDAVPFINTPLHVAAASGNIEFAMEMLNLKPSFARKLNTSGYSPLHLAVETGHTYFVSWMLKHDHSLACLKGRNGMTPFHLLVVRGNVDLVAECLLVSPECIRDVSVNGRNALHLAVVNDRYEVLQVLTGWIKRTMQRNAFKNEFCFLNKEDFSYNTALHLAAQKNDLQACDWDLDLEQVIIKTRCKEAASMPKPKSQSHFLKSPFTFWTFYSTGMKRLRSNSSEEVRGPFLIVFTLIITVTYQTGLQPPGGDHSSKDPTKQAFFVLLWLSNTLGFYCSLFYTFSFLPLRGLFTSWYISIGILLGVSYALAVAANSPDPVFLSKHLSLSLSLSMDPRLQHAAETGSISDFYALVDENPYILDNINAVPFVNTPFHVAAASGNIPFSTEMLNLKPSFATKLNTSGYSPLHLAVEKDHRQFITWLLGIDPELSRVKGREGITPFHLIVVRGDENLVVECLMSCPECIQDVTVNGHNALHLALTNGRFETLQVLTGWIQRMSQRYSASTESDILNKKDAVKLLLACQLVKPNEVNDDGLTFLDILRHQGQSRDLELEQAVFKTRCKEATSLPKLGKATSDHFKTPITFWSYCSTGIKRLKSDTSEEGRAVFLIICTLIITSTYQTALQPPGGLRQSEEGGSAVMKQTFFIVLWVSNTIGFCCALLYTFCLLPVSSLFTTWFFWIGASLGVSYALAMAVISPHPVLFICAAFALYLLFPLYLFMEIFIALRLSHLKATVTRMFVTFIETETIKSLKTVLSET >A02g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14828015:14828863:-1 gene:A02g504530.1_BraROA transcript:A02g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQRNKKRRERKERESRVSVSPGVRLRFRFCLLRDVEAPIALASPIKIPGGGGFLSSAAPAKLPERGSSYSSTVAGFDSGGSILGFDACEMLAVVVKTVWSGRIPVWFGESVGGSPGMVEAEVMLRFEAKELG >A06p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20530092:20532784:-1 gene:A06p038010.1_BraROA transcript:A06p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G64050) UniProtKB/Swiss-Prot;Acc:Q9FEA2] MTSLVFNTPWLRVRSLPELAPTFLRRRQSTRRCFSVVACSSPGSNGGDSVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEAAVLQDLQWLGLDWDEGPGVGGDFGPYRQSERNALYKQYAEKLLESGQVYRCFCSSEELVKMKEIAKLKQLPPVYTGKWATASDAEVEQELEKGTPFTYRFRVPKEGSLKINDLIRGEKGLSIDVLLLRMCDSVLKVSWNLDTLGDFVVMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALEFSMPQFAHVSLILAPDRSDSSSNFCVTLIKQYREMGFLPQGMVNYLALLGWGDGTENEFFTLEQLVEKFSIERVNKSGAIFDSTKLRWMNGLHLKALPSEKLTKLVGEQWKSAGILTESEGSFVDEAVELLKDGIDVVTDSDNVLLNLLSYPLHATLASPEAKPAVEDKLHEVAASLVAAYDSGEIPSALAEGQSGWQKWVKAFGKSTKRKGKSLFMPLRVLLTGKLHGPEMATSIVLIHKAGSPGIVAPQAGFVSMEERFKILREMDWEALNKSESVPLESTAAAST >A02g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23534086:23534794:-1 gene:A02g508750.1_BraROA transcript:A02g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTAPNVDKRKLFQVIEKSSSSPHRALSTCIGSGASSECVLVVSGGLAEGFGCGLSALIHAMLIFGNCTRNVRGAYRSMGAGGLGGCGCLAANSSCVMILTALHGVADYVGVVDGCSGKLVERFWLKFVNRSSHASPLARNHHL >A03p032550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13773811:13782307:-1 gene:A03p032550.1_BraROA transcript:A03p032550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTISITLVFFFLFVYNYEAVFAAPEQMVTLVNFRNESKVQESFGNQSFRMERKTIDLEQGWDYMQTGITKLKRILEGLPEPQFDSEQYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYINSTVLPALKEKHDEYMLRELVKRWSNHKVMVRWLSRFFYYLDRYFIARRSLPPLNEVGLTCFRDRVYNELHSKVKDAVIALVDKEREGEQIDRALLKNVLDIYVEIGMGQMERYEVDFESFMLLDSASYYSRKASSWIQEDSCPDYMLKSEECLKKERERVAHYLHSSSEPKLVEKVQHELLVVYANQLLEKEHSGCRALLRDDKVDDLSRMYRLYHKIAKGLEPVANIFKQHVTAEGNALVQQAEDTATNQAANTASVQEQVLIRKVIELHDKYMVYVVECFQNHTLFHKALKEAFEIFCNKTVAGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLGNNPAANPGIDLTVTVLTTGFWPSYKSFDINLPSEMVKCVEVFKGFYETKTKHRKLTWIYSLGTCHLNGKFDHKPIELVVSTYQAAVLLLFNTTDKLSYNDILTQLNLSHEDLVRLLHSLSCARYKILVKEPSAKTVSQTDSFEFNAKFTDRMRRIKIPLPPVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVSECVEQLSRMFKPDIKAIKKRMEDLITRDYLERDKENANMFRKGVMNRSISAALLLSSKMLARLAAKRLLEIRQAFRQPPTQAYRSFSTALNYHLDSPDNKPDLPWEFSEANKSKVKEILSYYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRAKVGKYHLLVCGTTPCMIRGSRDIESALLDHLGVKRGEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYFEDVTPEKVVEIVEKLRKGEKPPHGTQNPKRIKCGPEGGNKTLLGEPKPPQFRDLDAC >A02p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2113185:2114244:-1 gene:A02p004870.1_BraROA transcript:A02p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRFPIISILEDMLEVPEEHNEKSRNNPSRAYMRDAKAMAATPGDVIEHPNAYVFVVDMPGIKGDEIKVQVENENVLVVSGERQRENKESEGVKYVRMERRMGKFMRKFQLPENADCWDCEIPLLYHTDLEKISAVCHDGVLKVTVEKLPPREPKKPKTIQVQVA >A06g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16018571:16020802:1 gene:A06g505490.1_BraROA transcript:A06g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIFLWIRHISYGYLAWNYCHAYLSTVDGFKTLHLSLDLDLDLETRDKGEEIEIWSPPPLHSHHITTLGRSPPPPPVARLALGELAARREEEIQRKEKREGERERRILTRSDTNCNGPIPRRLTGVIEGALWTRVYSFRQPCVSRYWSRESTGAKPSLKYRHTHIHILLLIVLRIGKWKWRSEQQWVAPTRLLPHILVDWPHLLWVLPYSCGFATSPMDT >A07g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11945221:11945774:-1 gene:A07g505410.1_BraROA transcript:A07g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILHTYVSIRYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDMPRSLRAYYLLDF >A03p047870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22173464:22175269:1 gene:A03p047870.1_BraROA transcript:A03p047870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAASSLQMAITRPSISAASSKARTYIVGANPRNASWDKIACTPHLSNLGCLRNNSALPASKKSFSFSTKAMSESSESKASSGLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDQSRVLPDGSLMEIKKVYPLDAVFDTPDDVPEDVKANKRYAGSSNWTVQEAAECVRQDFGSIDILVHSLANGPEARTLSETVSKPLLETTRKGYLAAISASSYSFVSLLSHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKQNIRVNTISAGPLGSRAAKAIGFIDTMIEYSYNNAPIQKTLTADEVGNAAAFLVSPLASAITGATIYVDNGLNSMGVALDSPVFKDLNK >A09p067970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53439411:53440890:-1 gene:A09p067970.1_BraROA transcript:A09p067970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSLVLVFLTAFSGIDANPVKYHNPSCSGNMSATDVDLVHLAMNVEFIEAEFFLKASTGKGLDAFNATLAKGGPPPFGAKKANLDPITNRIIEEFGYQEIGHLRAIADMTGGIPRPLLNLTRENFAMFMDRAVGRRSNPRFDPYANSLNYLLASYYIPYVGLTGYVGAIPYLVYFNIKRLVAGLLGVESGQDGVIRTLLYERQYEKVEEYGGVTVAELTNEISNLRNELGMCGIKDEGLCVPLWLGAENRTTSNILSADPYSLSYDRTPQEILRVMYGTGNERRPGGFWPCGANGRIARMFLDERYHGN >A09p047010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40838188:40839179:1 gene:A09p047010.1_BraROA transcript:A09p047010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPSREESLYVHLTVIKTMMTQTPTLHHGPYTRLTPFGSELQAASTGPASRSASGMTRHEVTCLHLQERTADLKGKTIGKFQPNPKNADPSCNLLITRLASIPERQHLPRLSAQDHVSSALMAEALAVRSALLHAIDLNFNSIWLRSNSQVFVAALSSGRHPTELYGVLSDIATISCSSFCFCRFTFIKREFNGLADSYAKVCLHSGPSHCNS >A09p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1952183:1957478:-1 gene:A09p003310.1_BraROA transcript:A09p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable metal-nicotianamine transporter YSL6 [Source:Projected from Arabidopsis thaliana (AT3G27020) UniProtKB/Swiss-Prot;Acc:Q6R3K6] MAQQPTSGSSFPSDLTLDILLRLPAKSVWRFRCVSKLWLSITTDPCFIKSFGTTRPSLLLCSIKCHNFFVPSTPQHTHHSSTRSYSSSQTFHCYPMKLPGEKCYFSNMDSVHGLICIEDADSKKPVVWNPSTNQLLHLPKPNMSSKHANVFLGYDSVEGKHKVVCLPYKKTCYVCRVFTLRSGQESWRTVKTNLKHRCIGYASGQCIKGVIYYLARNSQTYDTVIMSFGVLSEIFRMIELPSGIKQDVLISYEGRLACIDRNNNTRLWILEDADKHKWSFQDFFLPLNEWDMGVSREEHNWTATPYLDNRFKLKGCTHAGEFIYVTSRFHKSSYIVFYDPVRNSCKRIKFEGIVDGLTMHAFPNHIESCVFRFQGITEWLSLEHFKQVVSGVSVIVRTLVYFTLVCILLLALQIHISKSWGRRSQRGFGSYLIAMDEKTYKLIGADYPGNHAEDVINPGLWWMIGFLFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTNSGAELAANQVKCLGKYLSLSLVWSCFKWFFSGVGDACGFDNFPTLGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFVSQHAGDWYPADLGSNDFKDDEASEILLVKKKRDEVFLKDRIPLGFAVSGYVGLAAISTATIPLIFPPLKWYFVLCSYFIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASVVGTDGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCIIAPLTFYLFWSAFDIGDPNGPYKAPYAVIFREMAILGIEGFAELPKHCLALCYGFFVAALIVNLLRDITPPKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERINRKDADDYAGAVASGLICGDGIWTIPSAILSILRINPPICMYFKPALAS >A07g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5080767:5081114:-1 gene:A07g502370.1_BraROA transcript:A07g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLLSYKDESTFGNHSSGDSNEPGKNLRVHYINTNSGSAETLDLVFYMEKACDLRDIVVCCKENTYHVVKEIWVDQDVSV >A01p057220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31898973:31899351:-1 gene:A01p057220.1_BraROA transcript:A01p057220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTISSICFTTLLLVVLFISAEIPKSEATCTKYLGEAILAYPCSESYCEAKCAEHYHESCRGECEDHDHHHGVHLTNDHDDHCHCYGRY >A08p040090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22931116:22933095:-1 gene:A08p040090.1_BraROA transcript:A08p040090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNENYVSRPFTRALASALRASTTQNQQRANTKRPASEDKNVTAPNKKNKRAVLADISNASFNAPKLEAKNIKQVKKGRGRSQLASSSVTSQNIKLQSKTDAKAEAVSVTAGSMSLCKDTNDTADNCNFRLPPRPLGRSASIVEKSDVIGSSTALDIPKFIDIDSDDKDPLLCCLYAPEIYYSLRVSEQLKRRPVPNFMERIQKDVTESMRGILVDWLVEVAEEYTLVPDTLYLTVYLIDWFLNGNYVERNRLQLLRVTCMLIASKYEEIYGPRIEEFCSITDNTYTRDQVLEMENQVLAHFSFHIYTPTPKTFLRRFIRAAQASCQSLSLGVELEFLASYLMELTLIDYHFLKFLPSVIAASAVFLAKWTLDQSNHPWNPTLEHYTTYKASDLKASVHALQDLQLNTKGCPLSAIRMKYKQEKFKSVAVLTSPKLLDTLF >A01p026470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19522676:19523255:1 gene:A01p026470.1_BraROA transcript:A01p026470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMGMSQFYAGVYEVVDDPSLDSIISWSKSNKSFVIWDPKELVEKILSRFFRNKLSQFISDLESHGFVRIEGSEHLEFGHEQYFVRGFKKDIKAAKEAEKNGSVGDQPPIRKMSLKDALIRFDQIMRPSKKSSKKAKAKTPLETIDE >A05g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15798865:15804191:1 gene:A05g505620.1_BraROA transcript:A05g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLNSFLEYESEYETEYSESIDTPTFPSIDSNESTVTDDCNNTSLDVDQPVDHFASPNHCYPHFSFQPPSKIGLDDYSIGSWADSGFHKSFAVDTVITSPNEEHTEEYDEDYWKERAIEISLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHTGPSIDIRAAAKIQEQENIASPTRFIDTYIKRFAPLKPPPHTEAGTEAERMKTLPSTSTGKSIKSNHLKNTSFAEITLPSIDASVSTSIDNSLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNERAIDGRILQVSREDISDILQVANGPDNLFSQQRGTPDVIQIDPNNHVGVAATEINPDLSLQPKGQASIDGTTKTSIDRVRPMWNDRDDQTSIDRCYEFGNRAFDMYGARKFTWERRDEYGVYRDECGHARGIAGEMIPVTKDDIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTAICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTKIESLRQQLEKEATTLASINAPHVPSIDVSLPTAQIPAEPQYLDAIHKKDQQPATSIDVCTITSLYAKISAMDNRLQTYEDMHDRFANSFSIDRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTSRDTMEERLDKRCDDIYFPFDNRIGGLDSHAKWLHKEVKGIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDEHLIASIDTKSTPAGEQLIHKTIESMHEELTELSAYAYDNIGWHQVSIDNVQDRLQNISNLLKKMDDKWTRNDSTIDAKADQPINYTLALKRIKQPKLISNAKPDITACLGAWYTWDRILQTSLEVPDTCLKSLHPMIDTPKRSTRGRAIDRCTSSAIDRHHEMPRQMKINIDRCSQVPSIDVETLDIDILDPADLKPKSKLNYENALTSF >A09p049100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43533868:43536744:1 gene:A09p049100.1_BraROA transcript:A09p049100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKSNFLVEVNNIEKQLWTLIHTKGILHPDVSELYTKAGSTYEQIFKTNLQQEELQEVEFCLWKLHYKHIDEFRKGLKADDPSKSNTHMKAFKLFLLRAAEFYRNLISKVRGYYYKGLSEESGGKSRFLCHRFYICLGDLERYREQYLKTHGHCDWSTSATYYLEAAKSWPDSGNPHNQLAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLLLLFEKNRSSHLQSLSTDAEFNFLNPSERNVTVKAKGELKTGSDLWTLVVRTISFFFLKSSLDEFGCTFASTIRELDAAFAVDDRNLEAMLESYQVMDPARKGPYRIIQLVAVFVFIFHNLAEFNAPDNVKEEAKLTNLALTMVFIVMGRVVERCLKTSPLDSCPLLPALLVFLDYLPFLLHKEEECRLDEKSEIAISYFFSKLVDFLNRLKVKGQNCSAKVMVAFWEDHELRSLAPLAPVHLLLDFTSHMELRESFERGKELRLQRIINSAIEITSKQKWLFFDKQGARFYTASGERQRKEELFHRKRVTIGNVEIIPCVSERAVPAEEEEVILLKPLVRCQSAPISSSSIATKPLSSDNTTSGNQTITSSDESLRRTSSLIASHSPQDTNSGSFSFTQGLKNTDQHLEEEIVSERPPSLSAWVVDKSKEKSKPNGLSPIDETGPVTSFDSLSVSSTTEHPASSYSPPTPSAPLLPEDASWFHNGVNVNKAEQTRYMEPTAFMKSYTNPPFVGISSSEWLRRYRESQNPGPAYSYQAQGTNNLRNFLAHGSPKFSLLARYGTPNDQSMVSSENSMFYPQLHMEEAHEPRGQKLCKGQQSTKEAYGFSDDPGPFLRYLREKELKENGQRLREPSPAYMNN >A06p034470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18646302:18647247:1 gene:A06p034470.1_BraROA transcript:A06p034470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFISKIGGESLRNRDVVNEDRLSALPDDLLLRILSPLRTEDVIYTSFLSKRWRHLWKMVPNLKFDSYSFSEHVYKSLALHKAPFLKSLHLKVKHERDVGIWIGVAFALKVRQLVLSAFFMKDSFVRFPSVLCSWNDTLVFLKLKHSILLNFPSRVCLMSLRKLHLHYVMFNDEESVCNLLSGCPRLEDLIIRGSYVDVKTFTIDVPSLQRLIMEEDNLVERDGGRYMIKAPSLKYLKMSGFVGREVLLIENSPELVEAEIIDIYNIANENILVFLTSAIWFCLHLSPLE >A04p028460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17097193:17097670:1 gene:A04p028460.1_BraROA transcript:A04p028460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHVDARYVEMEKDKLRRVNQEACGEVETMWTQDAELTKDKHPLGVFLGRAEAVDEVLTTKKQIWID >A03p052300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20141647:20142273:-1 gene:A03p052300.1_BraROA transcript:A03p052300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGGGKEAAVRRICAAVIAFIIIVLITIFLVWVILRPTKPRFVLQDATVFAFNLSQPNLLTTNFQVTFASRNPNSKIGIYYDRLHVYATYRNQQITLRTAIPPTYQGHKEDNVWSPFVYGTAVPIAPYNSVALGDEQGRGFVGLMIRADGRVRWKVGTLITGKYHIHVRCPAYINLGNKAAGVLVGDSAVKYTLVTKCSVNV >A03p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11129262:11131278:1 gene:A03p026610.1_BraROA transcript:A03p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane protein OXA1-like [Source:Projected from Arabidopsis thaliana (AT2G46470) UniProtKB/Swiss-Prot;Acc:Q9SKD3] MACLRGISRRVNLLQRRVYPTCGHLISDDRDETKPSSDTMIRKVFAFNGGNKLTSMFMERQCAAPLGLGLSSCRFMSSSNTPPEWSDKVDGIDFVAPEVVPDQIVEAVTTSQAVPVVNEVAIAAADSAFPVAALQHLIDGVHSFTGLNWWASIALTTVLIRGVTIPILLNQLKATYKLNLLRPQLEELRQEMGTKGTDPEAMAEGQRRMQLLFKQHGVTPFTPLKGLIIQGPIFISFFFAIRNMAEKVPSFKTGGTLWFTDLTTADTTYILPLLTAITFIIMVESNMQEGMEGNPVAGTMKKFSRIIAFLSIPILMGIEKALFCYWLTSNLFTLGYGLGKSPPLSLSHRVLRPLRRPDVRKLLNLPDAVTSSSSGQPKPPSPIPFSFEQPKDQSVLGHDDPPMSSSEDPSMSSSESSSSVPERRISKSSVLNQRIRTLERQLKDQKKKK >A02p019270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8917962:8920019:-1 gene:A02p019270.1_BraROA transcript:A02p019270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLAPSSLISLPRHKVSSLRSPSLLLQSQRPSSALMTTTTASRGSVAVTAAATSSVEALREGIAEFYNETSGLWEEIWGDHMHHGFYDPDSSVQLSDSGHREAQIRMIEESLRFAGVTEEEKKIKRVVDVGCGIGGSSRYIASKFGAECIGITLSPVQAKRANDLAAAQSLSHKVSFQVADALEQPFEDGIFDLVWSMESGEHMPDKAKFVKELVRVAAPGGRIIIVTWCHRNLSPGEEALQPWEQNLLDRICKTFYLPAWCSTSDYVDLLQSLSLQDIKCADWSENVAPFWPAVIRTALTWRGLVSLLRSGMKSIKGALTMPLMIEGYKKGVIKFGIITCQKPL >A06g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21417034:21418805:-1 gene:A06g507680.1_BraROA transcript:A06g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2061496:2066067:1 gene:A10g500630.1_BraROA transcript:A10g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYTSCADPTESAARKERLRQAEAQDQVEESAVQMVKASIARENAEAESLAAVGVSSQERIPTISRLGPANVELMREPNDAQTGENQDVRIPVVDRLVHTTADVTTAENPLTTKEAGGKKKKPGRPAGRRKVASSPRLAPDHKPLLTFFDNGAKRCRGLFRYDRRLCKNEEAKKVIAEAWNGTANASVSGKLSFTRSAISAWDRTQDRNSQDLIDQRKRDLDASPQTRLPPGSSGTCGRHVTNLSLKVVRIPLKIRLSISISLAKEWCSNQKKEPSAPSKLVRNPPQELLPAGTVVIRSDAAWRLQGTAAGLGWVVHSPNGIRHFKKRVNHVSSALLAEGLALLEAVRTGVEEEQRNVSFESDSALVIKAVNSGTCVPELYAVVSDIQSLYLCSNLSLLFGSLERGMAKPIC >A06p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2603184:2605603:1 gene:A06p007520.1_BraROA transcript:A06p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyltransferase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09795) UniProtKB/Swiss-Prot;Acc:Q8GSJ1] MSIATPLNTTLQRSPLPSSLSVFSPIHTTTVSVTGTRKRCLRMVTSCVSSHSQSSVHNGVTDAVSVRNQIRLGLPSKGRMATDTLDLLKDCQLSVKQVNPRQYVAQIPQLPNTEVWFQRPKDIVRKLLSGDLDLGIVGLDIVSEFGQGNDDLIIVHEALNFGDCHLSLAIPNYGIFENINSLEELAQMPQWTAERPLRVATGFTYLGPKFMKENGIKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLQSQAALVASRRALTERTGALDTVHEILERLEAHLKAAGQFTVVANMRGTDAEEVAARLKTQPSLSGLQGPTISPVYCKRDGKVSIEFYAIVICVPKTALYESVQQLRAVGGSGVLVSPLTYIFDEETPRWSQLLSNLGL >A07p046820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25224562:25227747:1 gene:A07p046820.1_BraROA transcript:A07p046820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon catabolite repressor protein 4 homolog 5 [Source:Projected from Arabidopsis thaliana (AT1G73875) UniProtKB/Swiss-Prot;Acc:Q0WKY2] MSSGGGYKAVNTVRRTVTTVSKRKRSSISEPVHRPHPSLAPGPIRKPSKSSRRRRRIRKERASSSVERQWVFSPSNSTNLKDKLVLVSYNLLGVDNASNHMDLYYNIPPQHLEWSRRKHLICKEISRYNATILCLQASSDLYYKLYWLINIIDKARNEVDRFDDLDSLLKLRGFEGVHKRRTGEASDGCSIFWKEKLFKLLHHQEIEFDRFGLRNNVAQLCVLEMNCEDPESKLRVQSSEQTSTSPRRLVVGNIHVLFNPKRGDIKLGQVRLLLERAYKLSQEWGNIPVAIAGDLNSTPKSAIYDFVASADLDTQLHDRRQISGQSDLDTNRSPFRNHYAVSASQTNEWSEEELQLATGGQATTHVRHELKLNSAYAGVPGTQRTRDQCGEPLATTCHSRFQGTVDYIWHTKELVPVRVLETLPTDVLRRTGGLPSEKWGSDHLAIACELGFVGE >A01p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3759078:3767889:1 gene:A01p007740.1_BraROA transcript:A01p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATETTRRRLIPSDKNNASAATRRPRTATEVSSRYRSPTPTRTTRCPSPSLTRPTVSSTSQSVSSKRAVSAERKRRPSTPPSPTSPSTPNVSIDLPASSRRLSTGRLPESLWPSTMRSLSASFQSDSSVSVPVGKKERPVSSSSSDRTLRPSSNIAQKQKAETVSVSRKPTPERRVSPLKGKNNASDRSENSKPVDGQHSRLIEQHRWPSRIGGRNSLNRSLDLGDKASRGLSTSGPGMRPSPRRMSLPLSNGSKPLHKTSTNGDVLSPTKSEDNNIGRSSGSQRLLSASSLDRASLATAVAKLHPLSRPASPSRTSFSSSLSRGMSTSRGVSPSRGLSPARGLSPARGVSPARGVSPARGLSPSRVTSSSSFARPSTPPSRGVSPSRIRQTSDSTQSISTTATSVLSFITDVKKGKKANYIEDVHQLRLLHNRYLQWRFVIARAEAAMYIQRLTSEETLFNVWHAISELQDDVTSQRIGLQQLKLEIKLNSLLNDQMVCLEEWATLEREHVSSLVGAIADLEANTLRLPATGGTKADVESLKAAMSSALDVMQAMGSSIWSLLSKVEEMNKMVSELAVVVAKESSMQGKCEDLLASTGIMQIKECSLRTHLIQTRRGEEEAEETPPLLPQSNIGKEGISAVAVINNKNSFFDLFKASTSLSHLAQTHAQIILHGHQNDIQLLTKLTQRLSDLGAIPYARSLVLSFHKPDVFLFNVLMLGFSKNGSPHSSLSLFSHLRKHTDLKPNSSTYTYAISAASGSRDERAGRLVHGQAVIDGFDSELHVGSNIVKMYFKFSRVDDARKVFDRMSERDVVLWNTMLCGYRENEMYEESVKVFRDLINESCTRWDSTTVLNILPAVAELQELRIGVLIHSLAMKTGCHSHDFVLTGFISLYSKCGKVEALNALFREFCAPDVVAYNAMIHGYASNGETELSVSLFRKLVLSGERLNSSTLVSLIPVSGGHLMLVYAIHGYSLKSGFLSHESVPTALTTVYSKMDEMDSARKVFDECTHKSLASWNAMISGYTQNGLTEDAISLFREMQKSEFRPNPITITCILSACAQLGTLSLGKWVHGLVRSSDFESSIYVSTALIGMYAKCGSIEEARRLFDLMPKKNEVTWNTMISGYGLHGHGHEALNIFSEMLSSSVAPSPVTFLCALYACSHAGLVKEGDEIFNSMIHRYGFVPTVKHYACMVDILGRAGHLQRALQFIEAMPVEPDPSVWQTLLGACKIHKDTNLARTVSEKLFELDPDNVGYHVLLSNIHSADRNYPQAATVRQEAKKRKLAKAPGYTLIEIGETPHVFTSGDQSHPHAKAIYEKLEELEGKMREAGYQPETELALHDVEEEERELMVKFHSERLAIAFGLIVTEPGTEIRIIKNLRVCLDCHAVTKLISKITERVIVVRDANRFHHFKDGVCSCGDYCTTNNQSLLLPRKIPRVMLSLRPGGGRGGSIFAPRSALSSSSSSDLTNAEDAPSFAVKVVHSFALLLELLARGDSRFEGHEIVRFTREQLLQLKEGSQVSDEVLKLGKDIASDLFGEEQSWGRSENKPAAQVQNRYSETDNRDWHTRAPVPSPSKDRSREDQRESRDSYSGSNQGSGPPPALVKAEVPWSAKRGTLSEKDQVLKTVKGILNKMTPEKYDLLKGQLIDSGITSADILKGVIQLIFEKAVLEPTFCQMYALLCFDINGKLPSFPSEEAGGKEITFKRVLLNNCQEQFEGADKLKEEVRLMTDPAQEMERKDKERMAKLRTLGNIRLIGELLKQKMVPEKILHHIVQELLGSDDKDCPAEEDVEALCQVFVTIGKQLDESLRSRSINDMYFTRLKELAVHPMLEPRLRFMVRNVVDLRANKWVPRREEMKAKKITEIHSEAEKTLGLRPGAMANMRNNNNRGGADADILGSGNFLGRSGTGGMMPGMPGARKMPGMPVTDDDGWEMARSRSMPRGNRQNPQPAGRVQSPAIINKSLSVNSRLLPQGSGGILNGKPSALLQGNSGEPAKAVIAPSKPAVEKPQPQVAAPPMAATSLNSEVLSRKTKSLLEEYFNVRLLDEALQCVEELKSPSYHPELVKEAISLGLEKNPPCVTPVANLLAHLVSKNVLTPKDIGSGCLLYGSMLDDIGIDLPKAPNNFGEILGSLVMASASGFELVKDILMKMEDEWFKKAVLDAVIKSVSDSLLATHAADVEACRSLV >A04g500590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1796418:1796633:-1 gene:A04g500590.1_BraROA transcript:A04g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAYNPSLGPVQAALVDFVANGGGGGFERIVGAVLVEKKDAVVRQEHTARMLLQVIAPKCDFEVFHCCG >A08g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8161017:8161287:-1 gene:A08g504940.1_BraROA transcript:A08g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYGGGLLLDTLRAAGAIGSSPDEHDDAADENNYGGGYNNGELTILDEHRAQFGGDGLIRGGVGQVGDDDGFSISFD >A10g503960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9840753:9841118:-1 gene:A10g503960.1_BraROA transcript:A10g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKKMMKRPIEEVYGCDAAEGFKKGKKETVEHYRALLRLSNEYRLSENDWNLASSKANSIAVQIELLEDIIKADGKFDLTAKLEKLKEEHSEAEGMLADVKVKVPDWDKLGESWLHHE >A09p073040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55805393:55808645:-1 gene:A09p073040.1_BraROA transcript:A09p073040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVTGAQPAQWLEVFAKEMEAGWIRDTSYKTSGVHQYVLAKRILGPNFMVGSEDSEETFGKASEDSCNNFTEEKSLFLVSITSAESNFRVYQKNMTSEGAMLTSAAAMATRRKPSWRERENNRRRERKRRAVAAKIYNGLRAQGNFNLPRHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPLPDDMGGSSSRATAYSSYNQSPFESPILSYQASPSSSSFPSPRGGDTHNISTIFPFLRNGGINPSSLPPLRISNSAPVTPPVSSPTSRNPKPLPTWESLTKQAMANAARQSVSSFNYPFYAVSAPTSPTHHRQFNAPATIPECDESDSSTTDSGHWISFQKFSQQQFRGGFAVPPSPTFNLVKPPLPQQLSSNIAAAQEIGSQVKPWEGERIHDVAMEDLELTLGNSKGRS >SC161g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:195275:196617:1 gene:SC161g500030.1_BraROA transcript:SC161g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCEAEDTEENQNQSEIPCEPASVWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVQRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQE >A07p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3166826:3167813:1 gene:A07p003630.1_BraROA transcript:A07p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHPSMGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDYGERNGYLKGVVTEIIHDPGRGAPLAHVAFRHPFRYKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVLPLRAIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKAD >A01g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18635220:18636202:1 gene:A01g506410.1_BraROA transcript:A01g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTPFSPGYSGLFRRTETHSSLKGKVNNMKIHKKGLSLAREWNETQSPVSKGQKTHSGTQINRIRESIQPNLQIATCKTNASWDVTRYKSGLAWIIIKASRLSLAREWNETQSPVSKGQKTHSGTQINRIRESIQPNLQIATCKTNASWDVTRYKSGLAWIIIKAS >A06p038920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20914018:20917103:1 gene:A06p038920.1_BraROA transcript:A06p038920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRERERESKEQILAPLCGQVRVLVVGDSVMAHVHVESWSLVIQIGIYTWTLMLDLIVCGSASVTYCVCFCSWLMSMFELKSVGKTSLVHLINKGSSIHRPSQTIGCTVGVKHITYGSPASSSSSIQGDAERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASEVAATGTFSAPLPSGGPGGLPVPYIVVGNKADIAAKEGTKGSSGNLVDAARHWVEKQGLLSSSEELPLFESFPGNSGLIAAAKETRYDKEALNKFFRMLIRRRYFSDEQPAASPWSISPHRTSSSQRLDDEITSDDDQFYKRTSFHGDPYKYNNNTLPPLPAQRNLTPPPTLFPQQPVSTPDNYVIPRFSLSSETSNNGSGRSKRMDINCDINLKSLTRKMVEVWWPLLAAAVPALIGGQALRIKKRRGEEERIKSARGREKSSDEIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVANWNDICLRRCQSECLKLSSSSSRSS >A02g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11094479:11095296:-1 gene:A02g503400.1_BraROA transcript:A02g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEPNRQTSPCAGQRHQTSCSAGETSRDTARELEPSHALEEDDGNGESNANTGVALEHSFTVKPDFSVGSNLKPSAARKELRCPLVSTREPIQQRQLQQLLRASTMAEKVEESLCLEMKL >A10p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9079419:9088319:1 gene:A10p004940.1_BraROA transcript:A10p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKMNIPKPPPLPLPRITYQRPQASSTRNPSSSSSRLVPKESVATWEKVFIDGTGGDTNVHTEDNFYLLAHSSILSRGQNGKPYLKISGVPYQPVYMFLRYEEEEMKECVIPLIFLSHIYSVPSLKRVCVEVLDQEGYINKENVVDVLLLARACDAPRICFRCISIVVKDYESISPTEGWKEMIRSDPLLEQEVEAVVEAQEERQERRVKLKEKKMYLELYENLEALVHIYREGCVTIGPRDKALKGGSETVCEFRFCKGVEGALRHFLGCKSRASCPRCKRLWQLFQLHACICDDDSDSCEVPLCRSLMEKMKISKRDEATVRLLAEKVITAKNSLGSFSARFISIDCGIPSRSTYKDDTTGINYVSDSSFVETGVSKSVSFTAQRQLQTLRTFPEGARNCYTLTPKQGKGKKYLVRASFMYGNYDGENGSPEFDLFLGGNIWDTVFLTNGSVVVSKEVVYLSRTEKIFVCLGNKGKGTPFMSTLELRFLGNDNTTYDSPNGALFFSRRWDFGSLMDSPVRYVEDMYDRIWIPRNFGYCREINTSLPVLSDGNSYNLSSLVMSTAMTPTNTTNPIAMTLENSDPNVRYFAYVHFAEVEDLSLRPNQTREFDIRINGVTVHAAFRPKYLQTNTFVLNPESQTDIVFSLVRTAKSTLPPIINALEIYIANSFSQSLTNEEDVNAVTGVKTSYKVKRNWQGDPCMPNDYLWEGLNCSYDSLTPPRITSLNLSSSGLTGLLSPSFSNLTMIQELDLSNNGLTGEIPEFVSRLKFLRVLCLEKNKLTGSVPSELLERSKTGSLTLRVGENQGLCTEISCGKSNKKTLVIALVASLFILLLLSGVFWKIKNRRKKSVNSAVVKAKSENKLLFTYEDVVKMTNNFGRVLGRGGFGTVYHGYYNNIQVAVKLLSETSAQGFKEFRSEVEVLVRVHHVNLTALIGYFHEADQMGLIYEFMANGNMADHLSGKYDHMLSWRQRLQIALDAAQGLEYLHCGCKPAIVHRDVKTSNILLNDKNRAKLADFGLSRSFQTESRSHVSTLVAGTPGYLDPLSSTNDVNNVIDSKMPKDFDANSVWKVVELALASVSQNVSERPNMQQIVKGLNECLQREECDKNY >A05p034840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19695537:19696717:-1 gene:A05p034840.1_BraROA transcript:A05p034840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLIFYLIITDGTMRSNQLGVCLLSYEMNRGYFADMKEFKEHGGKITDANKTVIPAVSAAKFPELAVTLSSGKVLKLPVTSGSSEVNAESLVVPKEMISSWSKPFLESQFLILRVDKCTSCTGRGEVVCPTCNADGEPVFYKENQMMKCSACYGRGLNALKDGSDSMQSRIPPGEDQDT >A09p074850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56628312:56633559:-1 gene:A09p074850.1_BraROA transcript:A09p074850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASTTLFALSSSSIPTRLSLPRRSETLKLVVPMAMAASSASTAKKVAPAVIVGGGRVGRALQEMGSGDDVLVKRGEAVPVDFEGPILVCTRNDDLDAVLEATPQSRWKDLVFFQNGMMEPWFESKGLGDTDQVLAYFAVSKLGEPPVDGKTDTNPEGLTAAYGKWASAVAARLQSGGLSCKVLDKESFQKQMLEKLIWICAFMLVGARHPGASVGTVEKEYRDEVSRLIQELAAAAAAEKGLTFEEDMVERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAEGKPDPCPLHTEWLRELKPSSYPFGHPTYFILAMQETKLLDIIDARIKDSCNMEQVTVYVLTLQLISGSSSEATPPPRPDGNSSTSCIRTCGGISIPFPFGIGEKDCYLNNWYEVVCNTTVPFLSRINTELVNISLPDGNKPYGVVHIKGPVTSLGCSSQGLEKSTPVLNVTGRGSPYFLTDQNRLVAVGCGAKTSLTDIESEIIGCESSCQDSKRSQQVTNSVCDGYRCCQARIPLERPQVIGVNIDVTGGEGCRVAFLTNKWYSPKNVTDPEQFHSLGYALVELGWYFDTSDSRFRSPLGCRNMTRYSSYTLFDKCGCEYQYFSGMSYRNCYCNNGYTGNPYVKHGCVDIDECKGHNSCGERTCVNWPGSYSCNPIVTKPQKTSVLQGLGVLLFVLGLLGLYKLVKKRRKIIRSKKFFKRNGGLLLKQQLTTTKDGNVEMSRIFSSKELKKATDNFSVNRVLGKGGQGTVYKGMLVDGRIVAVKRSKLVDEDKMQEFINEVALLSQVNHRNIVKLLGCCLETEVPILVYEYITNGDLYKRLHDESDDYNMTWEVRLRIAIEIAGALSYVHSSASFPIYHRDIKTTNILLDEKYRAKVSDFGTSRSVTVDQTHLTTLVAGTFGYMDPEYFLSSQYTDKSDVYSFGVVLVELITGEKPLTRVRSEEGRGLAVDFLEAMKENRVFDIIDDRIKDQSKLDQVMAVAKLARRCLSRKGRKRPNMREVSMELERIRLSHEVI >A09p059890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49872660:49876458:1 gene:A09p059890.1_BraROA transcript:A09p059890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPATNAAAGASGSKRSFDDLEDDEDDIFGSKKGRTEVEEDAPGVTTGVILQLRESLKNCEDELASCQNELESAKTEINKWKSAFQNESFVPAGKSPEPRFLIDYIQNLKSSERSLKEQLEIAKRKEASCIVQYAKREQEMAELKSAVRDLKSQLKPASMQARRLLLDPAIHEEFSRLKNLVEEKDKKIKELQDSYTAVTFTPLGVKGRMLMEKCKTLQEENEEIGRQAAEGKIHELAMKLSVQKSQNAELRKQFEGLFKHMEGLINDAERSNETVIILQDKLEEKEKEVERVKKGMEEEVVADKKDDEAHDDEEDPKEIDVVEHEIVTLWYRASEVLGWTHYSNGVFDWDGQEAALFPVDLSFSSCFIFSENAQLQSC >A03p018660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7617297:7619105:-1 gene:A03p018660.1_BraROA transcript:A03p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGETTATATEARGLKKGPWTTTEDAILTEYVRKHGEGNWNAVQKNSGLLRCGKSCRLRWANHLRPNLKKGSFSPDEEKIIIELHAKMGNKWARMASQLPGRTDNEIKNYWNTRMKRRQRAGLPFYPHEIHHQGIDNDDEFEFNSFQFSNQDHSNHQNMIQYTSSSNTPSPSSSFSSSSSQPQKNMCLDPLIYTNPSLNHIPETPMNTHMFSLYNNSLENENNQFGFSLSLSSSSSSNEFCTKKDTDAMSYSSFLMRDHEMRPSSFPLGLDNAVLELPSVQTTTHFRSYNTIIDNGVHLYPPAGNSGLLDTVLEESRALSRGGIFKDVTVSSSSLCEDQDKRVEIDFENRLIDHLNSSHQSSSETTPNLYKRYNEPTIVKTTMDDDDGILLSFINNFPSTTPLRDDWYRVTEIQTEALTSGILIGNHQGNSKLEPQKAPPSSGTVDPLALLGSCY >A10p000110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:47741:61628:1 gene:A10p000110.1_BraROA transcript:A10p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNPSKVAGHARFLLESFSDSEVDSIAQEICQLVEYGVETSIPVLKTCLDCFAARRSHPNTSQLEKLISLVFKRVLKHSNLISHALQDVEVTDEFVADLTNALDFSISDKISFALSLAESDDANAAGRNLLLAMIEQLCANSAQIESTEQVQNILLFLQTSEDLSTHLTSFLQILSSTQPKDDFSFALTPILSQQLHQADVLRSIDFHTEFDSILAEIDKEISVGDLMGELGCGVTADAQQCRDILSSFAPLTEATISRILANVARTCADLEDNHTTFSTFSLVLGCCIPTELSTPMSWSVDILIETIKQLAPGTSWRKVIENLDHSGFDIPNKESFSFFMRLYKTASQDPFPLDAVCGSVWKNVEGQISFLKYAIASPPEVFTFMHSPRKLVYIDDNMHSHEHQLGLSNEAWLSLDLLDVLCQLAERGHTVLVSSLLQYPLAQCPKTLLTGMTHIKTAYNLIQREVVSAILPVIITNSQDSGFILNLWHQNTELVLWGILKAQNLKADRILNLIDICHELKVLSVVLESVPISFSIRLAVLASLRGYLDIENWLPNVLCVYKDLFAEECLKFVKNVHFSESEDFTSQHFHPSDPLSDVHLDATTSLLKVLKAHDNVITSSQLVDEIEKVNAAILDCNSKLQNGEAKVSSASNAYGDDIEAEANAYFHQMFSGQLSVDAMVQMLSRYKDSSVQREKSIFDCMIANLFEEYRFFPKYPERQLKIASILFGSVIKHQLISSLTLGMALRLVLDSLRKPADSKMFLFGSKALEQFVNRLVELPQYCNHILQISHLRSTHPELVTVIEQALSRISSGNLESEAVSNPGPSQSFPGNGEFSGSGIGQSALQLPLPVQSQQKNEVHINDNSRVPSVPSIEAKTLLPSSSTTSADVSVIPKNPGISTSSLTSAGIVRPARGATSTRFGSALNIETLVAAAERRENAIEIPPSDVQDKISFIINNISTANIESKGKEFAEILPQQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVDSKLLFKEILQNTYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNYVLRAREIDPKSLIVEAYEKGLMIAVIPFTSKVLEPCQMSIAYQPPNPWTMAILGLLAEIYSMPNLKMNLKFDIEYVAPQRVYTNTLMEDEKVSHLGLSDQLPSPQGLFPSTPSPLFSISQQLSAALPNIGNHVVINQKLSGFALHFPFHRVVPLAMDRAIKEIVSGIVQRSVCIACQTTKELVLKDYTLEPDETRIYNAAHLMVASLAGSLAHVTCKEPLRTSISGHLRNSLQGMNIKNEALEQIVQLVTNDNLDLGCAAIEQAATEKAIQTIDADIDQQLSLRKKHRDGAGSSLFDPNMLSQNSVSFIPESLRPKPGHLSLSQQRVYEDFVQLPWQKQTTQTSHGLSAASSSSGDVGLSSSYGPASGKSASDFLSSARNARMDNVSQPLDISVEGFESPPVSLLSSQVDPAVDTAGLQFSKSLSTSELSLVESSDTAMKETGASLQTLTSAATMERLGGNNIIQPSLSTRDALEKYHIVTQKMEDLVANIAGDDEIQAVVSEVPEIILRCISRDEAALAVAQKAFKALYDNASSNLHVSANLAILVAVRDVCKRVVKELTSWVIYSEEERKLNKDITIGLIQRELLNLAEYNVHMAKYLDGGRNKSATDFSISLLQSLVTEESSVISELHSLVDALAKLSSKYGSPESLQQLIDIIRNPVTNTSDHSNSAIGIENNDKQSKDKKVVCNTTANTEENTNLEFVESESAGFRSRVSTLFESWYQICEVSGANETACSQYVLHLHETGLLKGDNTTESFFRILLELSVAHCISSEEISSGAVQSPQQAQSPSFLIIDIYAKLVFSILKYLPEQESSSKLFLLSEIMAVTVRSIQKDAEDKKTSLNPRPYFRLFINWLLDLCSLDPGTDGANFQVNFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPSSCIQMRNIILSSFPRNMRLPDPSTPNLKIDLLPEIVEAPCILSEVDAALKAKQMKNDVDEYLALRQQNSAYLSELKQKLLLPSSEASSAGTRYSVPLINSLVLYTGIQAIQQLQAGETQAQNVVALHMFKYLSMELDTEGRYLFLNAIANQLRYPNNHTHYFSFIMLYLFFESDQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYGFWKQAFIRCAPEIEKLFESVARSCGGLKPPDEGMVSAGPRFLPPLPVFFSALEDGNRFASEDVSGEEEISHVRSSPRTVDGKKPEGGGRVVHGVSDAGLSSEMHDVSERDELDEFLEEGKNVELMSPSTTNTPLRSRPTTPSPRRFRAEETCVPTKPAAPVTRTERSRLEDRTRFSQRGPPQEELRREEEDEDKAH >A02p000330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:202533:203723:-1 gene:A02p000330.1_BraROA transcript:A02p000330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNGGFQENTNWIFQEVKDAMWTVEENKLFEKALAVLGDKDDLESWSNIAALIPGKSVDDVIKRYKKLEDDISDIEAGLVPDPGYCSDASAGDYFFGLENSGYGYGYGYGYNYVVGGKRSSPATSDGFKLPMPEKERKKGVPWTEEEHRRFLVGLKKYGKGDWRNIARNFVTTRTPTQVASHAQKYFIRQLTDCKDKRRSSIHDITTVNVPDAKALATATPAAATVSPTPTNPFDVYFPPKPHHSLAFSPASSYHNAFPQWS >A02g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17427100:17427388:-1 gene:A02g506060.1_BraROA transcript:A02g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRYGVVVVLAASAHRRVKKTKDLWRDAVDEDDDVMRLMIIQLRFRFSAKGGALIGLELLIIDEQV >A03p067200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29433820:29434797:-1 gene:A03p067200.1_BraROA transcript:A03p067200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENQIASVLLREAAELRRQAEKDGVRAYLEKPNVRHRPNSRFLTATVLGVEQSNRAVETNEMWKARELENERLKRKSREESSRSSSQMKRSSSFSKRILDKRCSSINDERKITQQSSSDKRLYLDDDDEGLGDDEIESFLQSRCICLFAIASVTFSFLHLLSLFVNDRNKRGRGSVGPRMDETGPYLPTEKVDQLQSSDTRERKVVLPQLGL >A09g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13836101:13843504:-1 gene:A09g504500.1_BraROA transcript:A09g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSSELRSASTDDRDGDITKPPSNGSQRDVMTLVLLFTIVTIYCIFVYNSSNNPLMVLSPLKMNWFSQNKTSFKTREPASELERVLMNAAMEDKTVIITALNKAWVAPNSMFDLFLESFHIGIGTEKYLKHVIGVCLDNKAYEQCLHLHPHCYLINATDYDQLSGPNNYMTPGYLKLVWRRMEFLMEVLALGYNFLFTDADILWFRDPFPQFLPDVDFQIACDHYKGNSSSKSNWVNSGFTYVKANNKTIKFYEFWCGSRWRFRGRRKHDQEVFNLIKRDPFVDQIGIKMRFLDTLHETPALSPFPTGVPGRGVEDTHVYFPDISVCLVTGPMSFRCVSFLTIDTSTYSSAKREGKEGWFHFTKSNSNHPRTLGPTQLKRTIPPLFLLRSCGAACHGTLDIRFLGCSLLLAVYYDPFPPRLGVTQSYRRLYSYPAVFERYDRRVSHTPHQSLGVYQPNNKQDILNPRFPFNNVEEHEIYLGRTPHFSLELDLDLKIGGTLRGHHHHSRLLTVRRERVGRERDREEREMRETRQRGERERSSTARASSLRDFSVDLRFKVSDGRLRDSNHPRTLGPTQLKRTIPPLFLLRSCGAVCPGTLDIRFLGCSLPLAVYYDPFPPRLGVTQSYRRLYSYPAVFERYGRRDLLNPRSPFNSIEEHERDLGRTPHFSLDLDLDLKIGDLRHYAATTTTRGCSRQGERESAGREIVRRERGERRGEEREKKERQRRERERSSDLFSQQT >A01p021200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10325880:10326644:-1 gene:A01p021200.1_BraROA transcript:A01p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSAGKVKAPPVAAAAKTPPPIEEETVKEVVVQSVSVSVPVPVPEPDPIPVADIVPTAPEPRNPPPSLPTPEISHNKSDTCSVSHSFSTATTATAASILEDDAVSKPHHRHPPPSSTSRRNMSERISRSPGGRHSPQGKLRPRLVRERQPLQQNPTHNRRKADSSGPLPRTGLQEGPRRRSRSPATRAPSTSRRSPMKKREAAPEKDGGAEEAKVKKEEEKVAVEEVKKKEEEDVVAVKDPEVSMECFIFL >A06g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3021703:3022567:-1 gene:A06g500730.1_BraROA transcript:A06g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRECDQSPNETFSIGIDLSFVTVMVLLSGEMVRMRTDVSGGRTIRNLYNGIVEFQSVKTTCLCFFPENLISQMKTQLFIVNAAYDTWQVSSITIA >A01p041800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22494523:22494824:1 gene:A01p041800.1_BraROA transcript:A01p041800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSRGSQRERDRERAQARAGGKGKTKDDGLTPEQRRERDAKALQEKAAKKAAQAAGAATSGGGGKGNNNKK >A09g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28973102:28981978:1 gene:A09g509980.1_BraROA transcript:A09g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKRIERERERECAQQRGKATAPNGSLSFEPPCPLLQRIPSLGRHHLNILRQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEVNKLYSMSGYEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMKKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSGNGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEVNKLYSMSGYEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A06p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2023781:2025485:1 gene:A06p006060.1_BraROA transcript:A06p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSIASTISRIATLTRFVKPYSTDFSYINCPCNRAQRPKRFDQLRVFSMASEAKESPANNPGLSTVRDEATKGYIMQQTMFRVKDPKASLDFYSRVLGMSLLKRLDFSEMKFSLYFLGYEDTSTAPTDPTERTVWTFGRPATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDVHKACERFEQLGVEFVKKPNDGKMKNIAFIKDPDGYWIEIFDLKTIGTTAGNAA >A01p010860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5315019:5316764:1 gene:A01p010860.1_BraROA transcript:A01p010860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18350) UniProtKB/Swiss-Prot;Acc:O49505] MVSLLTMSTSGAITYPQAQSDLCMRPIKRQPKIKCTVHIDVTDQPLKRSSFTPRTTATPPPQHNSLRLNIFQKAAAIAIDAAERALISHEKDTPLSKTADPSVQIAGNYFPVSECPVRQFLTVEGTIPDSIDGVYVRNGANPMFDPIAGHHLFDGDGMVHAIKITNGSASYACRFTKTERLIQEKRLGRPVFPKAIGELHGHSGIARLMLFYARGLCGLVNNQNGVGVANAGLVYFNNRLLAMSEDDLPYQLKITQTGDLETVGRYDFDGQLKSTMIAHPKLDPVTKELHALSYDVVRKPYLKYFRFSPDGVKSPEVEIPLETPTMIHDFAITENFVVIPDQQVVFKLGEMMAGNSPVVFDGGKVSRLGIMPKDATEASEIIWVNSPETFCFHLWNAWESPETEEVVVIGSCMSPADSIFNERDESLKSVLTEIRINLRTRESTRRAMLVDELNLEIGMVNRNRLGRETRFAFLAIADPWPKVSGFAKVDLVTGEIEKYVYGDEKYGGEPFFLPSGSVDGGDNEDDGYIFCHVHDEEKETSELQIIDAVDLKLEATIKLPSRVPYGFHGTFVDASELVDQV >A04p034970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20217450:20218435:-1 gene:A04p034970.1_BraROA transcript:A04p034970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMNKVGSYWLGQKANKEFNSVGDDFNSMSSSIEGGTKWLVNKLKGKMQKPLPELLKEFGLLVGIFPQDATNNEFNEETSKLTVFIPEACEVGYRDSSVMRFSTTVSGYLEKGKLAEVEGLKTKVMIWVKVSCISADASKVYFTAGMKKSRSRDAYEVIRPGVAVDKF >A02p044380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29081309:29082313:1 gene:A02p044380.1_BraROA transcript:A02p044380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLHRQGVLSLQPFHASWIGTKFLAKELSQLANGLSASSCRELNTLRNAQKMSHHPGSCSPANSNHHVANNRLFEAKLPGFLVFSAACAIDQEHNQIHQMHEKHENLSMYTLPRSEINKLNIYARTNGNYTRVRTSIQS >A07p036140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:101813:102985:-1 gene:A07p036140.1_BraROA transcript:A07p036140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSNGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGGLVKRSWKQEECLEEGNDMIMGSSKDVRSLFDSYLRNHEASTHEITLRMCSTQLRSSSKKNQIK >A06p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20274759:20277649:1 gene:A06p037450.1_BraROA transcript:A06p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLEGKRPGVESSPAKEKEEAERKNEDELEDIKREEEKVETQRSRERPTRERKKVELFSLSTPLRPTPAKSLSIEKGRGTLLRDIPNVAHQLSKRKADDNLILLHIILYGKKAKAQMVKKNIGQFSGFVWSEKEEEKQRARVKEKLDKCIKDKLIFFCDVLDIPISRSSIKKEEVAAKVLDFLESPKASRDVLLADREKQAKKRKSTQKKRKSAASSDTPAKRKRQTKKKDHPSDTEEGKGEDDSDSEGTKDTHDEDDAAPEEDSDHEKTETEDEKDKAEDEKPSDKKISSKKIKEESSAGTKGKDKQASAKVSKKSGEKSSKRVANSTSSPAKKQKVDHEESSKGKSKKQSVKPQANGSKDKGKGTKKGKVEPTREEMLEFVSKILKEVDFNTATLSTILKKLSDHFGVDLSHRKPEVKEVIQDAINEMTDDEVEDEDEKSEAGSDEEKEEEEKKAEAESSKEKDEEEEKAEAESNKEKDEEEEKLKD >A09p048580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43213065:43213913:-1 gene:A09p048580.1_BraROA transcript:A09p048580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALLLGDNLETRGLMDSSKCTFCSLRETANHLFLTCNYAKTIWSLAPIAHRASLTNATSFTTELQASKKLSNLPTTGVTNGSLRFTETETLSKAIADAREWTEAQTGSTNQMTVPIPRLLRQDQHQLHQGSKTEEWVSSPLVAEGLAVREALYQAREHGFNSLILKLDAQILIRAINGRDSIKGLFEILQDVQSLTCYLSDFSFSHVPRSNNTAADLLANRALSDLYSA >A09p063290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51445255:51449924:-1 gene:A09p063290.1_BraROA transcript:A09p063290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP93B [Source:Projected from Arabidopsis thaliana (AT3G57350) UniProtKB/Swiss-Prot;Acc:F4J284] MANDQEMSGWTDLLHSSTKLLEQAAPSSQFPPLQRNLDQLEALSRKLKAKTLRSEAPSQSIAATRLLAREGINAEQLSRDLRSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNVRSFNDYMLKVLEEDCRKEKRDFLQSRSKLSIIPKTKMIDTSRDTHAGQLVPVASSPQVSSNTGTELVSLANKPIHEKKAHVYAEVVKKLNSSRERGLPFKPATSFKDAYGSLGIDLTRGKSVNVQKLWQLIQAMTGEDSAVHKGVSKRMSLVVGARRHLECGHQKHIMDTIQSHPTQAALGGSVGNLQRIRAFLRIRLRDYGILDFDSGDARRQPPVDTTWQQIYFCLRSGYYEEAREIAQSSRSSQQQFAPLLIEWITTGGTVTTNTAAIASEECEKLCRLGDRLGQTTYDKKKLLLYTIISGSRRQIDRILREFSTLFNTIEDFLWFKLSCIRDVASGSSSLVFNDGLVPYSLDDLQAYLNKFEPAYYTKNGKDPLVYPYVLLLSIQLLPAIMHMSQEAGDEGYNIDAVHIAISLVDHSVLSEGSGTGHKLSVMDANAEASSLIRQYGSMYLHHGDMQMTLEYYAQAAIAVGGGQLVWSGRSNVDQQRQRNLMLKQLLTEILLREGGIYFLLGARGSGEEGELGRFFPDSKSRQQFLIEAANQCQDAGLFEKSIEIQKRVGAYAAALETINKCLSEAICSLLRGRSDGESRTAGLVLSGNEILDTYKYYPEVCPQERERVMEQETILRELEAILSIHKLARLGNHLDALKEAAKLPFLHLDPRLSDTTPDEFQRASYYFKTCVPDLLKVVLTCLDNVPDRDGSIRVMRSKIAGFLASNTHQNWPRDLYEKVARSF >A01p055930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31650064:31651783:1 gene:A01p055930.1_BraROA transcript:A01p055930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPTEGSSAAEKISSSSAAAATKGGAAVKSVENGGMDNSETISALRHNPGISVDWTLEEQSLLEDLLAKYASEPTIVRYAKIAMKMKDKTVRDVALRCRWMTKKENGKRRKEDHSSRKSKDKKEKTTDSSAKSSSHLNVHPNGPSYAPPMLPIDTDDGVSYKAIGGVSGDLLEQNAQMFNQVSTNFSAFQIHENVNILCKARDNILAILNDLNDMPEVMKQMPPLPVKVNQDLANSILPRPPHQMKS >A05p002780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:972229:973578:-1 gene:A05p002780.1_BraROA transcript:A05p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(8)-fatty-acid desaturase 2 [Source:Projected from Arabidopsis thaliana (AT2G46210) UniProtKB/Swiss-Prot;Acc:Q3EBF7] MSEQTKKRFITSDDLKKHNQPGDLWISIQGKVYDVSHWVKSHPGGEAAILNLAGQDVTDAFIAYHPGTAWRHLENLHNGYHVKDHHVSDVSRDYRRLAAEFSKRGLFDKKGHVTLYTLTCVALMLAAVVYGVVACTSIWAHLISAVLLGLLWIQSAYVGHDSGHYNVTSTKPCNKLVQLLSGNCITGISIAWWKWTHNAHHISCNSLDHDPDLQHIPVLAVSSKFFKSMTSRFYGRRLTFDPLARFLISYQHWSFYPIMCVGRINLFIQTLLLLFSRRHVPDRALNIAGILVFWTWFPLLVSFLPNWQERIIFVFLSMAVTAIQHVQFCLNHFAADVYTGPPNGNDWFEKQTAGTLDISCRSYMDWFFGGLQFQLEHHLFPRLPRCHLRGVSPVVQELCKKHNLPYRSLSWWEANVWTLRTLRKAAVQARDVTNPVLENLLWEALNTHG >A01g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22284853:22291827:-1 gene:A01g507860.1_BraROA transcript:A01g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTLGFSPSSSIKRRQPLRFSPETQNLAKPCPVGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVSIPSRRFLESSTIFLLDPATGEGFGWKPTLVLVDLVSSTKCRSDRLGVVLRDMGVTSGIRAEVRAPGPNLGGCREETMAPRGRRTARGRGTATRVVREASPTNSVESVNGTNTETDGGSSTKGSQQSDQPAGYAEMMAELQRYRERFGDQMREESADGTPHQADARGYVPGVGKGHHVKDCRFPPNVRCYRCNREGHTSNACRMPAQGAPQQGAPQQGAGRNEQLPPPPKRQDVAGRAFVVGDHEGGEPIVEKTLGFSPSSSIKRRQPLRFSPETQNLAKPCPVGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVSIPSRRFLESSTIFLLDPATGEGFGWKPTLVLVD >A09p052610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45993163:45994945:-1 gene:A09p052610.1_BraROA transcript:A09p052610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL28 [Source:Projected from Arabidopsis thaliana (AT1G24030) UniProtKB/Swiss-Prot;Acc:Q84M95] MHFPLVSAWNKRRRSKSYDTDPCTFLFSIIFARWRKRVYRTAECWQIEDQTSQPRKRRYGSCVYTLKEMEEATNSFSDDNLLGKGGFGRVYKGTLKTGEVVAIKKMDLPPFKKADGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQNGNLQDHLNGLKEAKISWPIRLRIALGAAKGLAYLHSSSGVGIPIVHRDFKSTNVLLDTYYNAKTGKLTLQSDIYAFGVVLLELLTGRRAVDLTQGPNEQNLVLQVKSILNDRKKLRKVIDPELSRNSYSMEAIAMFADLASRCIRIESSERPSVTDCVKELQLIIYTNSKGGLGGTIPTFRRL >A07p033040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18070864:18073169:-1 gene:A07p033040.1_BraROA transcript:A07p033040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSTSSNNSLSGLQDHLKLAREYALEGSYDTSVIFFDGAIAQINKHLNSLDDATSRTKWMNVKKAVMEETEVVKQLDAERRAFKEAPTGRSRPSSPPINSTKSSSFVFQPLDEYPTSSSSSGAPIDDPDVWRPPTRDVTSRRPARAGQSGMRKSPNDGAWARGGGPTTRAAPATRGGRGGKSAAASARSSAVGKKGAASKSTKPESTSGDGEDGKSKRGLYDGPDEDLAAMLERDVLDSTPGVHWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNSRGGSGEHESSRRVKSELLVQVDGVSNTATNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDLESRKALININLRTVEVASDVNIEDVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISNDPVAMCDFEEAIKKVQPSVSSSDIEKHEKWLSEFGSA >A03p055120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23742605:23745697:-1 gene:A03p055120.1_BraROA transcript:A03p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSRYVFFAFLALSFLLADVEATELVSDGSIFSPNKGAVSLCCNDHPVVGVCVNRNCNKWCRQGCASKRGGFCKKKLTNKVVTLRLVDIARTKLVDYKLNVHFLLVPKSDNNNKQYFLLFHISNNYKLQLNK >A08g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18403339:18405759:-1 gene:A08g509340.1_BraROA transcript:A08g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNPVKTSGKSPVHPEWTLNQQKFEMQFWSSDQTLNQARKLRIGHIKHILPQFECAPLLTISHASAGVKPPTQHDISKGVPARTVGCGFQSGETLDTKKRTIHVSDATAITHEGIKDINGKLQHERRYSERSSGSISFKKSNQTATLEAINMMRLMDKDCENFGIHEDEYHSIVSRPSRSRFHPPCFTFFAPSVIARIGKRLDRLKKGNAKDSQSKVKTGGSRKICSRKNPGSPSYGKPAESVALSDLEKKAVKHLCLRTMKRMPILLSRRKRFELARRQRKWLGNLIRATYSLLGFQAYFTSGEKETRVWTVHAFEFPISLHSMGFLGVAYEDLFSAGSLPAAKEKT >A08g504070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6660134:6660445:1 gene:A08g504070.1_BraROA transcript:A08g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVPSSSVASQRSTRRRRFKPLHRDQTSMASISPSPRRGHALAPPSQLRSPLCSAPVRLVEGIKLAVGIKLRRRLSNGGFFIICKSGPQSSASLQFDPKVS >A06p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5502508:5504193:1 gene:A06p012020.1_BraROA transcript:A06p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQGSDVMSLVDTLPVLAKTLIAGGAAGAVAKTAVAPLERIKILLQTRTNDFRSLGLTHSLKKVLQCDGPLGFYKGNGASVIRIIPYAALHYMTYEVYRDWILENNLPLGSGPVVDLVAGSAAGGTAVLCTYPLDLARTKLAYQVSDTGQSFRGGTIGFYRQPACSGIREVLTMAYKEGGPRGLYRGIGPTLIGILPYAGLKFYIYEELKRHVPEEHQNSVRMHLPCGALAGLFGQTLTYPLDVVRRQMQVENLLPMTGDGSNKRYKNTFDGLNTIVRTQGWRQLFAGLSINYIKIVPSVAIGFAVYESMKSWLRIPPRERSKPA >A01p058640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33453623:33455350:1 gene:A01p058640.1_BraROA transcript:A01p058640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSLTRRDLQFFCKKNKIPANMTNIAMADALKALEIVEGIEEFMNQSESTRDLSPTSVAKNMPSAARTAARTTRRKTKDETQPSELVTRSCLVTSKSLAGELEQENRNANVDPSLPQSRRRVAATVAMNLEESKTPAARSTTRAQPAAASESVQRVYSTRRSVKLLEESMADLSLKTNVPSKKKEDAESEGSKLQVKSEDNAEAISVRDLNDSWDDSKNDPDLDVLYASESEEMKNGSETESEEDDDDSGGVADTNQEGFESNVSASDNVAKVDTVPTVLVAEESEESCEADELMDAESEMAIVSDKKTQESDSDEWSDYEISEIDEKSLRTGEMIDSESEESSVADNNTKNKENVEEEMVLDNNGEKEAEVETKKKKNMIDEESLKGVSVRQLTKLLKKLAIKNKQQHKSLE >A07p044320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24178806:24179272:1 gene:A07p044320.1_BraROA transcript:A07p044320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRVVWFPQGVPRFPFILWLTVSNMLLTGDRMRQWGITQGQQYQLGLAVNVAAFAENGEKWSRLLLSKPIVSYGSLQYMVGEKWKKVSTKHNSTEQLCRLIDKAMRNMICSLKYWSVLV >A10p038160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21381521:21383415:-1 gene:A10p038160.1_BraROA transcript:A10p038160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGQRVRLVKCPKCLKILQEDEDVPVYQCGGCSAILQAKRRTIAPNTTPSAGEAEGAHASEPRSEPETNNVSISSEQDTVLPPTTDPSSGKVDEKARDVSMESTEKQPGDSIGEEGNENQLQEHSPSDSDKNESEDNSSRFKSDVKPVAEAMGEGTSSGSSNAGHVVDARTSNSSSPVEEEQNEDDYFFNRHRESTDDLPANKTPSTYDGSESSSDEREELLDENEQWKALQEISSVKFQMQRYPENLKEQRRGSSSSSTFYEKRPSNVTPTTYMERYQNRSLQLEGPGGRLGRQGRRHVTEQLRPNLPLYPREPYNTRVSPSYPSQDEFDGYSRAHSLQRPSYESVVNHMYHNSTRARGRGQGSRFSGEMTNDRRNHPGWYSGSYSSYPASPQRPPMEQPPAYYPRRWSHEIVSDAEDHQRYRHAGHHHHDIQARRLKERQQRVAKRHIRPTAGGAPFVSCYKCSESLQLPVDFLIFKRKYHLLRCGRCTTVLRFSLQSRTHLVPALKRDINANRSSNTTSESPRDKAPSRKPEKLRSSAQEEEVPVARGSPLHRLMGYSTVSQVFKASQRPPSL >A09p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17156288:17166468:-1 gene:A09p028590.1_BraROA transcript:A09p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWQQAYVDYKYLKTLIKDINRFKCKTNPQGHEMKTALHRTFIGQKRHGFGSGCGQISPSSTVVDINDGITTAPIHVSSSVTHQYETTFFMTAERGGEYELVFFRRLDDQFNKVEKFYKEKADEVVKEAEVLNKQMDALIAFRFKMKVERTAEMTLMASPNAVSPAELAKTSSKIDILIYPQSPTGVIEEGGSSGAGRSDENDNNVEKDSYSKTTLKPANDMSKMKDTTRPASMGVLNSVRISYTKGTPSSTIKSVLKVSNEPELILNRYNLRKIEEKLRCAFVEFHRKLWFLKSYSFLNVLALSKILKKYDKVTSRDAAKSYMTMVDNSCLGSSDEVMRLLDHVETTFIKHFTNGNRTKGMNILQPKAKRERHRLTFSTGFLGGCMFSLVVALVAIVRTRNILQDERQEQYMNSMFPLYSLFGFIVLHIIMYAANIYFWRRYGVNYSFIFEFKQGNELGYKQILFVGFSIGALALLCVLANLDIETNPKTKDYQALTELLPLCLLITMLIVLILPFNIFYRSSRYFFLNCLSRILAAPLCKVTLSDFFLADQLCNQAQTLRSIHFYICYYGWGDFKQRQNTCRESRVFNTFLFIAAAFPFVSRFLQCMRRVFEERNIEQGYNSFKYFLVVVAVCLGMAYEVDRKKDRQTIWRWSGGVTSAMAVVFCTYWDLVQDWGLLNRTSKNPWLRDELLVPHKEVYFIAMILNVVLRFAWLQTVLDLQFESINTQAAIAFVASLEIIRRGIWNFFRLENEHLNNVGKFRAFKTVSLPFNYEEDQKILCGLN >A02g511970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32336619:32337201:1 gene:A02g511970.1_BraROA transcript:A02g511970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRHVAYGHVWASEWKERRGGFVTLRELSRVTMELVCESLGFKGKGICKVHGTGFVVICDRALPGERFLGCVTRRKGSYAEVTKIKTLTPHRDLVEAPCEYASYCGGCKAQNLSYEAQLRAKDEQVHELITHVGRFSDNSPGLETVLKAIVSCDIQF >A01p042290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22798781:22799871:1 gene:A01p042290.1_BraROA transcript:A01p042290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGPRIPKPRNAHRTRHVHGKDGEDERIKRKKGDEGEGGASGVGANAHRLGRRCLRMPEQKPDLRKYWSTTWSSWRHLDAFGAQKGVFRVVTGRARHGSDQSGATPPSYSDLPTGATLPERQGEVARGFITRRRENEPGATSRSDTARSLPKPGATCPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGPFGHFIMHVFIF >A09g512650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37528929:37529715:1 gene:A09g512650.1_BraROA transcript:A09g512650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISALHPPSRLSMPLGVESGLLPSLLALLAIGSGIRPSLFSPDYLRVFSGPGGCFGVVHNFSSDLSQNCLFVAFTPLLGLDVGSDQLSVFSLFIFVFQGVGGRPGVPEKARTCLPFIEEGAVTFPKVAPFRSHPFASGGYQTSWNCQTTPGHVQNKPTRISQAPGSIPRANQPIGTHGSPTWPGHCPDPAQLPMT >A02g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15498310:15500771:-1 gene:A02g504840.1_BraROA transcript:A02g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSWSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5806651:5808320:1 gene:A08g502930.1_BraROA transcript:A08g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMVVKGLPASIVDGFSFNGDGVLDANYDQFYEFPVVRLFLMLLYIVLELHLFGFGQSLVVFLVVGLQLIVPARLCFKIWFFSRMVPQFSDFVYSIFLSLFSHLVALFIAGCVSGGSPFHHVCHSRLFLVSNLSSGFSVVGFHSPSLMLRFFFPCFPWYICVELVSWSFDLFYSELCVSSLAWAPCMWLFCLCGDSYLLAGGCALGMCFPAS >A07p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17686658:17688015:-1 gene:A07p032130.1_BraROA transcript:A07p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFENGQFLAKSQRSKAFSLENQRTKSIVDLYEDEYNEDFMKSIIHGADKKLQSLKASSSKKMVVDYENRKDNEFIPPDEQSVVAERSVELGFDSTEFTEDSEGSTYLSSVRRLVASWFAQSLDDESDDPRPRVPARTRKSLVKRRRSNSNELYNSSEKNQRSDINKKMRKLQNLLPNPGKDDNESTLDEAINYMTTLQLQVQMMTMSNRFAAPAMMLPLGPHYSQMGLPTCMQMSLPQFLPPPVLGSGGNSPDMLRFLNHPTGLITPMQNSALFTPMGNYFPPSCAAFPNQIQNSTSLSNLDDATTDGGSLSGKK >A07p030520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16989857:16995300:1 gene:A07p030520.1_BraROA transcript:A07p030520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLVWRVEHVIKGCKSGFPTKNKFYGTKIEERIKKEGSRTELFSVKGTIERYKKACSDAVNPPSVTEANTQYYQQEASKLRRQIRDIQNSNRHIVGESLGSLNFKELKNLEGRLEKGISRVRSKKNELLMAEIEYMQKREMELQHDNIYLRAKISQGARLNPEQQDSSVIQGTAVYESGLSSHDQSQHYNRNYIPVNLLEPNQQFSGQDQPPLQLV >A02g511220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29728311:29729623:1 gene:A02g511220.1_BraROA transcript:A02g511220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVHKSKLTGSVSLACGNVNFARRVEYNKCGAPAPSGTGDRGAGRGASDRGGGGRDSGRSYESSRYDGGSRSGGGGSSMGVEVVVLMFRVLHLLWRLFHPTMVLAVTLLHPWGMEWKQFPRLLAMLVVGPPSYGGPTGGYGGDAPSTGGRGGGYDGGSAPRRQEPSYEDAPLKKIYINNLPPDVTTDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKIYIDEKGKNKGDACLTYEDPSAAHSTGGFFNSMYHG >A09p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1540570:1541245:-1 gene:A09p002150.1_BraROA transcript:A09p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFLSLPKDAVWNILSRLRSIVCRRSYGILLVYHSFTRLGVSSPVSAVIAIGPEIFIISGSDGSAVWIFDFQTDNIHRGPGLELYQPDMSVGFVGTKLYAIEGYSKIQARSLDLRKETNQSYETTPIPTEQREFMWCSTTTASLNRKVCSLSIHDDNFVSYDPKDGSCERFELRRYK >A04g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12546317:12547864:-1 gene:A04g506020.1_BraROA transcript:A04g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRGWDPGDQRVTRDNQRVDLHHYEGSWRGLKQTGVSTRRDRVNFGGNLRINGNIWRARSLESVKALGSLRLRKSSSNIIQRRDLCSTIVVLIKTKSQDLGVLLLKGCQKDTPQSFITRRWKSQLIGSAMSVERNPKRLKISGPHFDNTGLIDEVQFDFEEEEDIDEVQVGWRGSYYEAHVKRIDGSFSNQEESGFGFFGERKIFHVGVDGFAYILHVFGGSKSYSFYFIYGSRVISLWRLRGRIWIMLAVSFPIIMEWSRPQRTVNNWSVEIGYHYTHRLSSQEQFKMFFKC >A08p043570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24235395:24239429:1 gene:A08p043570.1_BraROA transcript:A08p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSTLDNSRGNSAAAGQVVTPTRFVWPYGGRRVYLSGSFTRWTEHVPMSPIEGCATVFQVICNLTPGYHQYKFFVDGEWRHDEHQPFVTANGGVVNTIFITGPDMVATGFNSSNMDVDDFSQRAADPSQESIPRMSGGDLEMSRHRISALLSNRTAYELLPESGKVIALDVNLPVKQAFHILYEQGIPLAPLWDFGKGQFVGVLGPLDFILILRELGTHGSNLTEEELETHTIAAWKEGKAHISRQYDGIGRQYPRPLVQVGPYDNLKDVALKILQNKVAAVPVIYSSLQDGSYPQLLHLASLSGILKCICRYFRHSSSSLPILQQPICSIPLGSWVHRIGESSSKPLATLRPNASLGSALSLLVQAQVSSIPVVDDNDSLIDIYSRSDITALAKDKAYAQIHLDDMTVHQALQLGQDASPPYGSLNGQRCQMCLRSDSLGKVMERLANPGVRRLVIVEAGSKRVEGIISLSDVFRFLLGL >A07g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4624284:4626189:1 gene:A07g502100.1_BraROA transcript:A07g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDSPSDDESPVTEGAPTAAAFAETIFERMAQQDAAQKATTEQLAAIAAILAPLAGGSGDPATTVRKQLFDTYRTASAGNPAKEHGEDDSDAQVDEEQPRNRRRVQVILARPSSSSDEEEDKQVHDSREYSSNRTNESIGSEGSNDLRNKLRRKSQTSDRTCDSHGDLRATIEKSKARKIEDSSVRPRLRPRVIDLREKLNSNLEDLRIKLNSKSEDLRIKLNRPNIQTYEEESRR >SC163g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:265604:265856:1 gene:SC163g500210.1_BraROA transcript:SC163g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVTYSGAPNIRGNDQDFIRRSEMDALIKMLKENGWIELKTMNRLDMSTPLLEMLG >A02p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:424760:426528:-1 gene:A02p000850.1_BraROA transcript:A02p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDVDKPPQDISPAIMDAEITREEISPSQHERWRCLVLDIELRAQEDSHGSKRFNFSPMASPRIGPSSSSRRRTNLKNVFTFRNRNNNAADIEEGSALVSNIPRTWSLTNLLTLRKSNKTESLPVTPLVHSNPESMHGSYTVDDDQVREHTLPIRRSRSVPTLIDKDGNAKPLGLLRVIPTPSRLDTKTSNDHDGGENVPEEEAVCRICMVELGEDSEAFKMECMCKGELALSHKACTIKWFTIKGNITCDVCKQVVKNLPVTLLRVEDSSSQDRSRRTLNQWQDVPVLVIVSMLAYFCFLEQLLVMEMKSSAVAVALPFSCIIGLLASVISTTMVKRSYVWIFATVQFGLVVLFGHVFYSVLKQPVVCIVLATMIGFGLTMSGTTAINELFKWRRSHSHHQEPVSTQVAPPQSQTAE >A02g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15463519:15464067:1 gene:A02g504830.1_BraROA transcript:A02g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIHMEPYADGAGQHNSWRPSHILDFSDLSIGDSIYDLITDILGRKLLESYGLPLLRSKNGTVDSTRKKVLSPSYRTMCYCILHEENVLGAMFSIWVELRTAESWEQIELTVWSLLNSY >A03g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27649893:27653811:1 gene:A03g507810.1_BraROA transcript:A03g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNIELAWGCNYTSTMSRTSGANTCHHLYNKERHASPEHPTVTDRDPNREVTADEDGKRPRNSLEPAGIITTTPESTGTLIRTTEATSNDFSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPASDTSVDA >A09p072710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55649743:55651258:-1 gene:A09p072710.1_BraROA transcript:A09p072710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASISRRIVRTFGSFSASGNGLTSLPCDLKCGIISLVSNRKHSTSILTPDDNFPYDLLAKKKVITPDRTIGQYQDLVIPVTNFQNEDKGFMVLAGDVFDVPIRKDIIHHVVRWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARHGTLRGPQFRGGCVMHGPKPRSHAIKMNKQVRRLGLKIALSARAAEGKLVVFDDMALPTHKTKNIVNYYNQMENTKKVLVVEGGPIDEKLKLATQNLHYVNILPSIGLNVYSILLHDTLVMSRDAVNKITERMHTPINR >A08p045400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24899929:24902840:1 gene:A08p045400.1_BraROA transcript:A08p045400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT1G04860) UniProtKB/TrEMBL;Acc:A0A178WGQ0] MGKKAKKKARTPTKENLSKKVSEQPSEIAEGDAVQAVKEKQACVHFDKGLNLDKLLEKIKSSRQIKCQECKEGVHGKRGAKEKGSKGKHAFSSSAAEPKADKKAIWVCLECGAFVCGGVGLPTGAQSHVVRHIRVTRHRLMIQWENPQLRWCFPCQSLLPVEKEENGEKKDVLLEVVKLMKERSLNSLPPSEAEEESSGSGSITSDIKLQGAVTSGVEARDGYVVRGLVNLGNTCFFNSIMQNLLSLDRLRDHFLKEDASGIGGTLACSLKKLFAETKPEAGLKSVINPRVFFGSFCVKAPQFRGYDQHDSHELLRCLLDSLSTEESALRKKRGVSDNDEKSSTLIESVFGGETSSIVSCMECGHSSKVYEPFLDLSLPVPFKKTPPKKQPTVSLAKKAKLPPKRVAKHVSKVSKVSKVLPTKALSDLKSPGKAAVVTADSDASSSSFAPVDNGTVSETQSVDKQGSESVSQCDTVFDSFWLDVIGPEPFEDETNVDMEDSVSDKIPTTEANQILPGPDNSSNTSTLEGNTERLMQDNDETIKAETIMDDKDIQATQPDECTATSNISAEINQASCIGGGDTGLGESSSSVNPWDEEELPLMVADSQVLYMPYKESISYDDDKPAVEEGEGEASSSSFVTGDHEPPQDNDFVGLGGLFDEPEVTEGPVVFGPPCNPEASSGGAGFMAFSSESDPEEIDDSDSPVSVERCLAHFTKPEILSDDNAWNCENCSKNLKLQRLREKRKSKKDESRSSNTSNGWVSENEDLSAMKQDPSDGSSSVKDNGKEAMSSNSANDSESEDESEEDSGKVITVKRDATKRVLVNKAPPVLTIHLKRFSQDLRGRLSKLNGHVAFKEVIDLRQYMDSRCSGEDPPVYRLAGLVEHSGTMRGGHYVAYVRGGHKGKESVWYNISDAHVRQVSLDKVMHSEAYILFYERIFTQD >A08p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19091795:19097149:1 gene:A08p031250.1_BraROA transcript:A08p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGEGGKQQFPSVADGNGNAPVKYPSFKAPKLSFSDGAKHNSIDVFPLLVKEADRGIKNSLVQDVCTISVLPDEGNTIPQCTSQFTLLSFVKALLPSKSQMLIDAQLNCQKTQNRINVLLGGTDSYQSCVVDINVEKGNGAEAEEVVASLKSESVHVQKVLQRQASLSTDKAISERCHDAPTNRWRRYKRAASFDSRKIIKCGDVDIDLLDIETTNEPPAKETSPSLFPSSSLPDTMDLSCLALVPRSDHAALSLVSKRFHSVLASQEFYKTRSLLGRTQEFLYVCLSTTPNPTPSWFLLRRETNKKQLIPIPSFPSQPETFSSFVALDWGIYVIGGFKDVRSPDVLLLDCRTNTWRKVPSMSVGRAAAAAGVIDGKIYVFGGCEELSSPNWAEVFDPNTQTWETLVPMDDRNEGDNVIRETLVMDKKPGDGKWGRKKIPEQVQSYYCVMEKVLYGCDEVGNVVWRDSEELEWKRVKGLEALQWKRVRKLSIFGGNIGVFWVGLRGDVWCAEISLERRDKEGEIWGRIEWSEAVATFYRSFTRTKVEVLYAAAVNV >A03p046360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19576173:19578274:1 gene:A03p046360.1_BraROA transcript:A03p046360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFYIFVVSLLLTLNYRGEASGSVFFIDGSNNQYLRPPSAEALPMSLSEISASVSALLGFAPPSTLTAHGSSKLNKILKPNPFERPLAAFVLEIAGAHDALVEDHSFLGNAIRGSISSDSYNADIELPESGVAVVSVNEPSSDVTDKDMTEFASWLGGSYVTGSAEPLTGLLSIPLAGAANVEFHLEKEAERKFALNLLDLYKNIRGAVNLHGIEQAAELTIGRFSGIHALAEEYGQGMAKQGMDVLLATLSKLFDLLETSHKGQIVGVIVLDERVNQESANLLSVESSSRSSARSMAEVEGVPSGAIIAQVILVRLTLAWLTGIILLIATLLGVYFLMYMPLTKDTLLYSNVKLD >A07p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7555197:7555924:1 gene:A07p013260.1_BraROA transcript:A07p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLKGLRYIARIFEDEKEPEIQIGNPTDVKHVAHIGWEGPSATTPSWMHEYKSPEESKGNSNKKEKQRNKGRRKSSTNTNNSPSESPSRVGGSARPSKRSTGKQREQSTGEGSESGTGIDLTQQNDQSLGQKKSRQKRSKGGGGRGGEPSQSTGPAKSKETDISVRAVLPCVGLGSSTGR >A07p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7078963:7081373:-1 gene:A07p014020.1_BraROA transcript:A07p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIEANDSGWVTFVCDSVCLEKPYVCKGTYLTNLRYDIEFFFRSVRQTACLGSRLAVDDLPGSRLAVDDLPGSRLVNAESRHAIHKTYHKQNYYRSFLYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQETTSRRLYRKSRRLLGSPDDFHTTNRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFVRRLPRSPDDFQTTSRRLTGKSSQKSSRSEKPAHQIQI >A09p025360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14161773:14162796:-1 gene:A09p025360.1_BraROA transcript:A09p025360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDKDKGFFGYPPAGYPPGPGAYPPAGYPPQQAYPPPPPGAYPPAPGYPPGAYPPPPGAYPPAPGYGGYPPAPGHAGYPPAGYPPHHSGHAAGIGGMIAGAAAAYGAHHIAHSSHGPYGHAAYGHGFGHGHGYGYGYGHGKFKHGKFKHHGGKFKHGRHGMFGGGKFKKWK >A10p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1237122:1238028:1 gene:A10p002370.1_BraROA transcript:A10p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSASMIDTVDGELEVTQHVEASLSDSVKLPTKRKAETSPVADSVEGEGNRQEEEEKDSGESDQVWDVDSFESDYSSPEEAASNTDEFELRRYLRHLYESGGFLLEREMVPKNLFQGWRPLNLDALFKDPNITGRDYMEIMARVAIDKYNQTKNKTVTLDHIVRAVIRMSIGVKAYITFMAKECPEGELVEYQAKAEIRVWQTKIHPILCRPASSSSSSSSSSS >A07g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18059349:18061355:1 gene:A07g507000.1_BraROA transcript:A07g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPR596 [Source:Projected from Arabidopsis thaliana (AT1G80270) UniProtKB/TrEMBL;Acc:A0A178W3Z6] MFALSKVLRRSQRLRLGACCTTALYSKQEIPSGERSFFTLQSHSILHDTLPRVYNMSSSMSNRLLSSTAGTKSDQEEDDDLEDGFSELENSKSGQESSTSSSSDDSDVDEGKLSADEEEELELDLTETDDSKKTLEKKQSELFKAIVSAGGLSVGSALDKWVEEEGNEITRTEVAKAMLQLRRRRMYGRALQMSEWLEANKKIEMNERDYASRLDLIVKTRGLEKGEAYVDKIPKSFRGEVMYRTLLANCVVACNVKKSELVFNRMKDLGFPRSGFTCDQMLLLYKRVDRKKIADVLLLMEKENVKPSLLTYKILIDVKGSSNDIKGMEQVVETMKDEGVEPDFNTQAIIARHYSVAGLKEKAESVLKEMEGESLEANRRAFKDLLSIYASLGREDEVRRVWKICEPKPRFEESLSAIQAFGKLNKVQEAEEVFEKVIKMDRRVSSNTFSVLLRVYVDHKMLSKGKDLVKRMAESGCRIEASTWDALIRLYVEAGEVEKADSLLSKASKQSHTKLMMSSFMYIMDEYAKRGDVHNTEKIFQKMREVGYTSRLRQFQALMQGYLNAKAPAYGMRDRMKADNIFPNKAMAAQLAQGDPFKKTTISDILD >A10p000930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:459459:466431:-1 gene:A10p000930.1_BraROA transcript:A10p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) UniProtKB/TrEMBL;Acc:F4HQG6] MVMEDERSNKDVNNKPSYWLDACEDISCDLIDDLVSDFDPSSVAVAESIVNNDFFGGIDHILDTIKNGGGLPNNEAPQLNPGDVVTKENGLQKTGLKRDDASKEEGDKNRKRARVCSYQSEKNRKRTRSYEEAGGHNKRRDGYNYRRDGRDSREGRGYWERDKVGSNELVYRQGTWEADHERNVKKESRRSHREEAEEDNKKSKPEEQRKEKVVEEQARRYQLDVLEQAKAKNTIAFLETGAGKTLIAILLIKSVHKDLMRQNRKMLSVFLVPKVPLVYQQAEVIRNQTCFQVGHYCGEMGQDFWDARRWQREFESKQVLVMTAQILLNILRHSIIRMEAINLLILDECHHAVKKHPYSLVMSEFYHTTSKDKRPAIFGMTASPVNLKGVSSQVDCAIKIRNLETKLDSTVCTIKDRKELEKHVPMPSEIVVEYDKAATMWSFHEKIKQMIAAVEEAAKASSRKSKWQFMGARDAGAKEELKQVYGVSERTESDGAANLIHKLRAINYTLAELGQWCAYKVAQSFLTALQSDERVNFQVDVKFQESYLSEVVSLLQCELVEGAAAEKAATECSKPENGDVNDEIEEGELPDDHVVSGGEHVDEVIGAAVADGKVTPKVQSLIKLLLKYQHTSDFRAIVFVERVVAALVLPKVFAELPSLGFIRCASMIGHNNSQEMKSSQMQDTISKFRDGQVTLLVATSVAEEGLDIRQCNVVMRFDLAKTVLAYIQSRGRARKPGSDYILMVERENVSHAAFLRNARNSEETLRKEAIERTDLSHLKDTSRLISIDAVPGTVYKVETTGAMVSLNSAVGLIHFYCSQLPGDRYAILRPEFSMEKHEKPGGHTEYSCRLQLPCNAPFEILEGPLCSSMRLAQQAVCLAGCKKLHEMGAFTDMLLPDKGSGQDAEKADQDEEGEPVPGTARHREFYPEGVADVLKGDWILSGKEDCESSKLFHLYMYSVRCEDSGSPKDPFLTEVSEFAVLFGNELDAEVLSMSMDLYVARAMITKASLVFKGSLDITESQLSSIKKFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPVTDSTKGINWELIEKITETTVWDNPLQRARPDVYLGTNERTLGGDRREYGFGKLRNNIGLGQQKSHPTYGIRGAVASFDVVRASGLLPVREEVGSDMSQGKLMMADGCMVAESLIGKIVTAAHSGKRFYVDSICYDMSAETSFPRKEGYLGPLEYNTYADYYKQNSPPVLCKTKKQSMSVYIICNIRHAFLLLLAQPEVVTGAIKSSPIVSIRYGVDLNCKQQPLIKGRGVSYCKNLLSPRFEQSGESETILDKTYYVFLPPELCVVHPLSGSLVQGAQRLPSIMRRVESMLLAVQLKNLISYPIPTSKILEALTAASCQETFCYERAELLGDAYLKWIVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALLKGLQSYVQADRFAPSRWSAPGVPPVYDEDTKDGGGGSSFFDEEQKQASSEDVFEDGEMEDGELEGDLSSYRVLSSKTLADVVEALIGVYYVEGGKAAANHLMKWIGIHVEDDPEETEGTVKPVSVPESVLKSIDFVGLERALKYEFTEKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTSLPPGRLTDLRAAAVNNENFARVAVKHKLHLYLRHGSSALEKQIREFVKEVLTESSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTSAAWKVFQPLLQPMVTPETLPMHPVRELQERCQQQAEGLEYKASRSGNTATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAALKEKEIAESKEKAANGNAGDESENGNKKNGNQTFTRQTLNDICLRKNWPMPSYRCVKEGGPAHAKRFTFGVRVNTSDRGWTDECIGEPMPSVKKAKDSAASLLLELLNKTYS >A03p047970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22138612:22140878:1 gene:A03p047970.1_BraROA transcript:A03p047970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRALTVTMVLMVATVGCNFVNGYPEEDLVVRLPGQPKVGFRQYAGYVNVDSKNGRSLFYYFVEADKQPNTKPLTLWLNGGPGCSSVGGGAFTELGPFYPTGDGRGLRINSMSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDETTASDMVVFLLRWFNKFPELKSRDFFLTGESYAGHYIPQLADAILSYNIHSRGYKFNVKGIAIGNPLLELGMDTSATYDFFWSHGMISDETRGTITTHCDFSNLHNMSKVCNDALKEADTINGYVNNYDVLLDVCYPSIVQQELRLKQMATKISMGVDVCMTYERKFYFNLPEVQQALHANRTRLPYQWSMCSSLVNYRDTDASISMLPILKRIIQNKTPVWIFSGDQDAVVPLLGSRTLVRELAHHLNFKTTLPYRPWFHKDQVGGWVTEYGKLLTFATVRGAAHMVPYAQPSRALHMFSSFVHGRRLPNNTHYSPDE >A07p031750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17505499:17508328:-1 gene:A07p031750.1_BraROA transcript:A07p031750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADMAIIKPEMMMKSYIWLETTDGSIQQVEQEIAMYCPMICHEVLQKGLGAGSSKNCAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKVHDEKFIRMDTKRLCELASAADSLQLKPLVDLSSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNLMDDPRIRLLNRLYAKKRKELKEREKLKGKEVEERVDERSVDDLLSFINGKDHKVVKTSKSKKKNKKRKEHKNKVSHNLHSKQQGVQIVDETAASLGGVSNLPSMEEDIFSLKTDSEDGYVDDGMDPVLKEMLDREVEDFARRLNSSWVLSSGQERQPVHFSINGNGATRRLTAFQVMLGSLILLMVFGWQVPEFCGVTVKGNSRVRISGLTGTEGGDEWFDLSYIILDEASDPPKYDNVPADREDLVRDVLSSTLLFPFELQLCISELELL >A05p045410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27167566:27168636:-1 gene:A05p045410.1_BraROA transcript:A05p045410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGGEDQYEEASEWFVKYLNRQGDWLEKTRGNLMVAATVIAGMSFQVMVSPPGGVWQSDICSSGDQTGGTVPVCTAKAGTSVLEHESSKRGLYLGMVISSTVSFSASMSLILLVISGLRLRNRMIMAILVTFMVLAVLCISAAFFFAVALVQYEDDKIIYILMVYVGFWIVFPVIILVSQLVRFLGWLICFICCCCCPRRRRSPRRLLPVTPSPAH >A08p000720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:190870:193167:-1 gene:A08p000720.1_BraROA transcript:A08p000720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGELNKVWEIKALKKKAREDEARKILEKVANQVQPIMTRRKWRVKLLSEFCPTNPRLLGVNVNRGVQVKLRLRRVNNDGDFLSYHEILDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGQGFDVPGKRLGGFTRQPPLSSLRATAAKAAEKRVRAGNLLPSGPQRLGGDSSIMSHLSPIQAAAMAAERRFLDDVWCGSQSAEALEDQENDSHTCREPVSVREPFTSLNARPSKRSSSCSNPTNSSSWGSDVIDLTEEEASESSRCSKRSCCPGDQGPSSSSKDEPISGVMKSSETSPSTSYNANQGREEPAMWECAECTLLNPLLAPICELCTAAKPKEREMKHKVWSCKFCTLENEVKLEKCEACGQWRYSYGQPLSTRAPNVGT >A06p008570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2972622:2973629:1 gene:A06p008570.1_BraROA transcript:A06p008570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVELKERIDLTEKEQRIFNLLLDALRENNCDTRLRLAGGWVRDKLLGKDSEDIDIAIDNMTGSEFLVKFKNYFSKVEKKLNIHIIKSNPDNCKHLETRKMRLYNQWIDFAQLRKEEPDENSRIPKKVEFGTPEEDAFRRDLTINSLFYNIHTGLVEDFTGRGIDLKSGRVTTPLPAKTIYLTIQDNVREWVKHI >A08p005750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3313900:3319053:1 gene:A08p005750.1_BraROA transcript:A08p005750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRTDGSSEGGEGSGSREAGPHSGGGRGGYQQGGGRGGGGGQQQGGRGYGPQSQQGGRGGGRGYGQPPQQYGGPRGGQQPQQYGGPREGQQPQQYGGPREGQPPQHQHQQQYGGPRGGPPRGGYGGGGRGGAPSAGQPQRQSVPELHQATSPTYQAVSSQPTPSEVSPTRIPDTSAPVQEFEQLSIEQGASSQAIQPIPSSSKAFKFPMRPGKGQVGKRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMKQLVDLYRESHLGRRLPAYDGRKSLYTAGPLPFVSKEFRILLHDEEEGAGGQRREREFKVVIKLAARADLHHLGMFLQGKQADAPQEALQVLDIVLRELPTSKYTPVARSFYSPDIGRKQSLGDGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEALPVTEFVCQLLNRDIRSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTAVATRELTFPVDERNTQKSVVEYFYETYGFRIQHTQLPCLQVGNSNRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREKDILRTVELNDYSHDPYAKEFGIKISASLASVEARILPPPWLKYHESGREGTCLPQVGQWNMMNKKMINGGTVSNWICINFSRQVPDNMARSFCQELAQMCHISGMAFNPEPVLPPVSARPEHVEKVLKTRYHDAMAKLSQGKEIDLLIVILPDNNGSLYGDLKRICETELGIVSQCCLTKHVFKMSKQYMANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLFKEWKDPQKGVVTGGMIKELLIAFRRSTGHKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEAGYQPPVTFVVVQKRHHTRLFAQNHNDRNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGSMARGGGMGGRNMRGPHVNAAVRPLPPLKDNVKRVMFYC >A02p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1266827:1268714:1 gene:A02p003010.1_BraROA transcript:A02p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDRRERRLSNRNGTPPEYTNGKFRDDKNGYGGGFFLDPSSPNILRIPSPTSSSPPPRSSSPDRGYIEHRVSKFDTLAGVAIKYGVEVGDVKKMNGLVTDLQMFALKSLRIPLPGRHPPSPCLSIGSLHHGEGCSCHELEPQNDTNSDAFDSFQSLRLKSSKKKGYYGLKPPIRTVSVGGSLEMGAYKTESNGDSQYLRPFPSTNTPLNHHRKSRSLVNALLEEFNQSSQEPSSTKFMRRRQKSEADFTSRTPELMLKEESTSSSNGGFLSSVGGKGLALRSKASSRTSLSSAESETWSFNPVPMNLMDALVSDSFASVRKSSSASSLQDPDGNSNNGSSSLSLWSTSTPAAITSSIFDGLPKPLTGRRNKTAMD >A09p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45679820:45681832:1 gene:A09p052030.1_BraROA transcript:A09p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FEZ [Source:Projected from Arabidopsis thaliana (AT1G26870) UniProtKB/Swiss-Prot;Acc:Q9ZVH0] GLFLNSFLGEMGERNVDGDQKMEEVLLPGFRFHPTDEELVSFYLKRKVQHHPLSIELIRQLDIYKYDPWDLPRFAMTGEKEWYFYCPRDRKYRNSSRPNRVTGAGFWKATGTDRPIYSSEGNKCIGLKKSLVFYQGRAAKGVKTDWMMHEFRLPSISEPTPSSKRFFDSPVSPNDSWAICRIFKKSNTTSLKALSHSFVSSLPSDTSIDTMPNQKPSNTSHFSSDLKTSSHFQFHHENTNKIPKTSSSTTPLAAPISPFSYLDFTSYEPTNDFNPVSCLDQQYLTNLFLAPQEIQAQFPRLASSNEFPSFLLNMPSSYSSFLGECTGKIDLSAVLTQEQCPDLVSLPQEYQEKGLEGNGEMRNMHSFNEDLHSHCATLRSGDIASTVEEKHPHHYQYVKHNMTLLESYYSSLSSVNGDLPACFSTT >A10p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2311222:2312887:-1 gene:A10p017760.1_BraROA transcript:A10p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTSTLSISSTKPQRLFDSSFHGSSISAAPVSVGLKPRSVSAVSVRASTAGYDLNAFTFAPIKESIVSREMTRRYMTDMITYAETDVVVVGAGSAGLSCAYEISKNPNVQVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHLFLDEIGVPYDEQDNYVVIMHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGNRVGGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGLIDHVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNVLDGSYVGNLSPELVLAAADSAETVDA >A06p034670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18790041:18792043:-1 gene:A06p034670.1_BraROA transcript:A06p034670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTGQQISFHAAVQDPSTMMMVDPKETTQNGGGISQAEFALFTSNRIQSDLEAMGIKLKTHEDSLKFLKAQKTKLDESILDLQVHMNKLNPSGTPRSENCDGNLQGEDINEQILRHANSAAGVLTHVQSRHSPQVTLTKGVVGVVAKLGKVHDENLSQVLSDYLGTRSMLALVCKDYDSVKGLESYDSQGNIDRNAGLHGLGSSIGRTIEGHFDAISLENMRPYVGQFIAGDPQRRLNLLKPKLPNGEYPPGFLGFAVNMIQIDPAYLLCVTSYGHGLRETLFYSLFSRLQVYKTRADMISALPCISEGAVSLDGGIVRTGGILTLGSSDEVKVRFAKPNASRAMDNHSEAERQMKELKQKKEKTLEDIKRTQVLRDHAVYNFGKKKDEFVRFLAQSSSTSNDEKRASKRTTEL >A05g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11852208:11858726:-1 gene:A05g504320.1_BraROA transcript:A05g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEFTQVRHTRKKTDPQRQSGGTTQRNDGRDMMGKKMKEVRNGGSVEKINVSNRFGSLIGSGELEELRDDVGREGENKENENNVNLKVGGSSRVLEKVMAFAATGVKGNQSKAQPGLKEKKANNLRSLNLQRPKPKPAGPTRGLVYGPARGEMNLSLSGKRLRVEKENIGGRGGVFAGDGGGDGSEKKLEHGSDLVSDLKRKELLDINVGLLQIRQRFLFNPMMGFGSGRSFLGLESPSKVWYSQMDGLENL >A06g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16398785:16404243:1 gene:A06g505750.1_BraROA transcript:A06g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYWFNKVTGTEKKKQKRAKDFPNPNIVGLSASLFHGDNYGDCVFSVVLPPVKSTTEPTEASFSTDASLCYAPLLSTSLHLSPPLSASRRLSAARHLSASLCLSPRLSSSRRLVASLHLSPRLRLSPPLRFSPPLCLSASRCFSLILSDSLHLSATLRLSASRRFSPFLSTFWLLSPSTRLSSGYLFSICERFDNFFSVISVTGNGDVFLDAQYTREGEMEDERVDLVLERIRNKYDWSSTDWPVLDPEESKMEEPNSHDRGSEADKSVDHTDVVADEETSSVKVAGKGKRKFLDEGAETRKKKVLLCKWRIWRECLQRGWGRWRLRFHSSRDAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGAQAPPKSKGAEAPPKRKGDQPTPTKKDGKKIATETNDFDFGLSTQDLRDLSQATFVEGFHLSQVKVETSSKSKPFNMAPLQWNDEEIDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLMDKSEWLNSLEIDAAMYVFRERTSLKRWRPHRVAFMTVVFSNMIKKEYGHLEAQGRKSYMLHNLLLQYGKGVLPPHGRTHEIWNIDVDCLYVLVHVSGNHWIALCISFVTRSIDVFDCSGRKRRHSYGVPSRCWCGKGVVIFYSRTDDNPYRRFYRCEIGAQIRRVEAEQGRIVEEIHDLKSSMTQTIEEEVRKQKNSLELGCLGSILWLFGRLRSQE >A05g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14633969:14634807:1 gene:A05g505290.1_BraROA transcript:A05g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHQPSANHKTSHRLQYQAYILAFHSSQLAPLELIHTHNLRSLKISYGIRASSKLSPCRLKQEEAVCSIRLFNTSRGRRVHPGVQKLSAAVPHHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWSAH >A07p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23043969:23049068:-1 gene:A07p042570.1_BraROA transcript:A07p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNIFGRATLRKIKPFSDSTSAAHFRGIFSSIDLAGGVRNRLPTNPVNELGRLTSVLHNHPFAFRGLATAAQTIDSTDPEDDSSSGSDEVNELMSAMEKETERVRKKARLAAKQPMKVVAGMGAQKYYSLKQRQVKLETEEWEKAAKECQEIIEDMCEQKLAPNLPYVKSLFLGWFEPLRDAIQADLDGFKVKKGKIPYASYMEQLPADMMAVITMHKMMGLLMTNAEGVGVVKVVNAATQIGEAIEQEARINSFMKKAKKKKNVVDDESEGVDGSGEIDTKETLKLKKQINTLLKKHKVRQVRGIVKAHDSFKSWGQEAQVKVGARLIQLLMETAYIQPPAEQFDDGPPEIRPAFKQSSRTVTIENKKLSRKYGCIECDPLIRKGLDKSARHMVIPYLPMLIPPQNWTGYDQGAHFFLPSYIMRTHGAKQQRVAIKRTPKAQLEPVFQALDTLGNTKWRINKKVLSLVDRIWANGGRVGGLVDRDDVPIPEEPDSEDQEEIKQWKWKMKEANKENSERHSQRCDVELKLEVARKMKDEEGFYFPHNVDFRGRAYPMHPYLNHLGSDLCRGILEFCEGKPLGESGLRWLKIHIANLYGGGVDKFAYKDRVAFTESHLEDIFDSSDRPLEGKRWWLNAEDPFQCLAACMNLSEALRSPFPEAAISHIPIHQDGSCNGLQHYAALGRDQIGAAAVNLFTGEKPADVYADIAARVLNIMRQDAEEDPETFPNATYAKLMLDQVDRKLVKQTVMTSVYGVTYSGARDQIKKRLKERGAFADDSQNFHASCYAARVTLKALEEMFEAARAIMSWFGECAKIIASQNKAVCWTTPLGLPVVQPYRKNERHLVKTTLQVLTLQRETEKVTQPNLNRRVGFGLHLHKVMARKQMTAFAPNFVHSLDGSHMMMTAVACNKAGLSFAGVHDSFWTHACDVELMNNILREKFVELYDKPILENLLESFQKSFPGLTFPPLPERGDFDLREVIRSPYFFN >A10p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21677371:21702645:-1 gene:A10p038960.1_BraROA transcript:A10p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pullulanase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04360) UniProtKB/Swiss-Prot;Acc:Q8GTR4] MALTLLTLTTSVHLLDSTSVARPRISAGDLSLRSRFRRPSSSISRFQLGFPSTTHRSESLRCLCSSSSSAASPMQFEVSTPNSQFLDSLLYSRAYWVTEGVIAWNVDVGEGSCYLYASRVAGLSFSEDGIDGFDFRVKLEAESGSLHTNVVEKFPHIGNYKPFKVPSDLEVKDLVKSQLAIVCFDAEGRLIEGTGLQLPGVLDELFSYDGPLGANFTPGGGVSLHLWAPTAQEVTLCIYKNPLDKSPMETCPLEEVNGVWSIQGPSSWEGCYYVYKVSVYHPSTLKVETCYANDPYARGLSADASKTYLVNLDSDDLKPEGWEKLADKKPCLRSYSDISIYELHVRDFSVYDETVEPEHRGGYLAFTLKDCAGVKHLQKLADAGLTHLHLLPTYQFGDVDDEKETWKYIDTSVLEGLPPDSAEAQARITEIQNDDGFNWGYNPVLWGVPKGSYASDPTGPCRIIEFRKMVQALNHVGLNVVLDVVYNHLHASGPHDKDSVLDKIVPGYYLRRNNDGFIENSTCVNNTASEHYMVDRLIRDDLLNWVVNYKVDGFRFDLMGHIMKDTMVNAKSAIGNLRKETDGVDGSRIYIYGEGWNFGEVANNGRGVNASQFNLTGTGIGSFNDRIRDATLGGSPFGHPLKQGFITGLLLQHNGHDHGSEATQQLMLSTAKDHIQIGMAANLKDYVLTNHEGKEVKGSEILMHDATPVAYASEPTETINYVSAHDNETLFDIISLKTPMEISVDERCRINHLASSMISLSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNRLDFSYNSNNWGVGLPPKGKNEHSWPLIKPRLHDPSFKPQSSHIVATLNSFLDLLRIRYSSPLFRLDTAKAIQDRVRFHNTGPSSVPGAIIMSIEDGHKGITSVSQIDPVYSFIVVIFNARPSEFSFFSPALKDRNLELHPVQVKSGDEIVRKSVYDAFSGGFTVPARTTTVARNEFKIHPFDFFLKVLLTNSSSHYTIVYANLTYMYAFIHVFVYTCNRCRCDEQSGNEMNKGDEESSNYTDVSILSMRGGDGHNSYATNSLLQKWVTNLDFPKCIKVADLGCSSGQNTFLAMSEIVNTINALCQERNQIPPEIDCCLNDLPGNDFNTTFKFISFFNEKLTSNTLCFVSGVPGSFHSRLFPSKSLHFIHSNCSVNYLSKVPEGLEKNKMSVYITSSSPLSEYKAYLNQFQKDFTTFLRMRSEEMVSNGRMVITLLGRNAIDDPLYRDCCHHLTLLSDSLRDLVFEGLVSASKVISFNMPLYDPTDEELKEIIRNEGTFQINDLETHAFDLGHSKEENRESCRAKPGEKEANCIRAAFEMMLVAHFGDAINIDTLFAKYAHHVSQHASCMRKTSVILVVPDRLEKNKMSVYITGSSPISEHKAYLNQFQTDFTTFLRMRSEEMVCNGCMVLTLLGRKTHVDPLYRDCCHYWTLLSQSLSDLVFEVLNY >A03g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21584507:21585184:-1 gene:A03g506020.1_BraROA transcript:A03g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITIRCSPRADSPESRTNFYTIRCNYQTLFPLDNYLFILSNNLVLNCLNWITFPLDWIGLRLHRSKMVRITIWDNEAANLRELNRISTRKNQIVIITSIIPRLHEGKLSLTTTSGSTFTLTPTLISYNASKRRINCYPKPDSKRHHSIFKNTLFSYKI >A02g510610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28151094:28152675:1 gene:A02g510610.1_BraROA transcript:A02g510610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARVFLPDLKSGRCSEVRLLRFWEARNIKRGDELMSVDMLLLDSNVTSSQQTLTFSRPYITGELTAVKSHVNDRPKDKIRVMATIKIDSDVSLTLSVFPLSSCVISQKLESFRVDTRVFVATNYNFKIVGGTRYDKETDARESYFYKNVLLHDLSHTLSRDTHYYYSFFTNDTGNISAASLLRGFAKVEPMKIAELNQFIITPQPQSIKFICTGKVTVSSQKKDGATSWTLEITCLGSVSLSAKMFGGWNEKENNSGSKTSTGLILKKAQTTSAKVKSMLELVTLRVRMVKAVQAVQIKQNMQIKQIKSRSCRSSRSCGRKAEQRLNWSNHILNLNFTLN >A04p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16155732:16157032:1 gene:A04p026890.1_BraROA transcript:A04p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTFLSFSSPPRLLVSPPSTPRSPFVGVSLNLHRPQSVSFSASKKSLTVVSAAKKAVAVLKGNSDVEGVVTLTQDDSGPTKVSVRITGLTPGPHGFHLHEFGDTTNGCISTGPHFNPNNMTHGAPEDEIRHAGDLGNIIANADGVAETTLVDNQIPLTGPNSVVGRAFVVHELKDDLGKGGHELSLTTGNAGGRLACGVVGLTPL >A04p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16049640:16053985:-1 gene:A04p026680.1_BraROA transcript:A04p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 51 [Source:Projected from Arabidopsis thaliana (AT2G27920) UniProtKB/Swiss-Prot;Acc:Q67Y83] MSPSPSVFQLFFSLYLYNQDKIEKLIHKQSSKMKRSVAQMVILCLIVSCTIGEIKAVRSNSDGSEAWGYVEVRPKAHMFWWHYKSPYRVEDPSKPWPIILWLQGGPGASGVGIGNFQEVGPLDTFLKPRNSTWLKKADLLFVDSPVGAGYSFVEEKELYVKSDEEAAKDLTTLLQQLFNKNQILNHSPLYIVAESYGGKIAVKLGLSVINAVQSGKLKLHLGGVVLGDSWISPEDFVFSWGPLLNYVSRLDYNGMDLSNSLAEKIRKQLKNGEYVEATETWMELESIISLHSNSVDFYNFMLDSGMDPLSLTTSEETRKENRILKKYSRYLNDLRSANNVDEGGDLDTLMNGVIKKKLKIIPKDLVWGNNSGNVFSAMQADFMRPTIDGVDELLAKGIDVTIYNGQLDVICSTSGTEAWVRKLKWEGLQEFKKMEREPLYCENDRTRTRGFTKSFKNLHFYWILGAGHFVPVDEPCVALKMVGDTTKSPQL >A05p015790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7015872:7016627:1 gene:A05p015790.1_BraROA transcript:A05p015790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAAAEEQIVSERLRRKLEEVNVAAQSQLSPIQDHINFTLQQAYFKCAYECFDRRRNQEEISNCVEHCSVPVVKSQQHFENEMTQFQERLNRSLVVCQDKFEAAKLQKIRPEAVNEMERCVHKAIEENLNTLPHIVQRMKTAFNIA >A10p018200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12753332:12753743:1 gene:A10p018200.1_BraROA transcript:A10p018200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRAFKAQVPIQWSESLYITLVRGLPGTRKLHRRTLEAMGLRRCHRTVLHSNTSSIRGMIQQVKRMVVVETEEMFKARKEAEANHKALRPPLVVSHSIPATGSSNMS >A03p014330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5698652:5700078:-1 gene:A03p014330.1_BraROA transcript:A03p014330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRSDGINSVYINRYRHRTEKANKREAAKKELIEKIKNRKSLSFSLICDQLCSLNFPLVFSGGFSSPYSPNRSSPFLLGLNLPPFCKTPMSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPGSPGKSTDGHAKSGGGGGDPSKPQPKKWLCCMQSPAVDS >A09g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25319653:25325790:-1 gene:A09g509090.1_BraROA transcript:A09g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVDVVHDSPVHGDHPAAPASPAAHIPAAQPQPAPTDPAMIALLELMAEMVNLQYQALNAQSRLEAIEFHRLADLVERAVNIEEAVAAERASSSNAAQPRRQSVPFQPQPHSALSHVRRDCPTVGQFQPAVPSHITCFTCGERGHYATSCPRTHLAQPVVLSARPARPVNPPLPLPPAKRQATVGRVYALELPGPSGPPQGPISGLFSYPIDKLTSHLTPLQLLPTFRTLHKNLENFSEKERKKNRKISEKNQENKSRKIGGDLIFNLSSVSYLGKDQKPQAYLGEEDQLRPSSPLVHLGKLWSPCLSQYLIRTVDVRGRVKLEVSSPVYSALFKWNSSLVQASFKTNL >A02g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4130054:4132924:-1 gene:A02g501250.1_BraROA transcript:A02g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMCLVMCGGWVCGSDGKWEFVVEKKRMARMVAVEVGMSIKELERLVLAEFRVGELEYGVSLSYWPPDSLELATGIKTPPVVLTSDGALKYFFTHMKVKGSLNLFATFEPFGGDVFVGSGSKSVGFDTPVMDKKCAGSHIGGKGENVSSVGSKTYPKYTFINDDDVELVEEVERFEERMKAQSKASGVDDFGGCSEGIDGDYVGPEEIDERDVRPRGYDYQFWEPLIAGDLGGSNDVEVIFNDKEDPGLVKMEAARRANGGAAKNGEHVYNLRCGHVWQGPNKKAVPNKCGRCRGTGHNRTNCTVLLK >A09g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:340743:341372:-1 gene:A09g500050.1_BraROA transcript:A09g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSRMVCWRQGHYRLFSNTGGVSASAAVAASIRTIVVRFADADTAAYRDRMFYRRQPQNAAFGRGVRRNFLRQRNEQELTLAAAVGTCGNQTNSPIIDYSLTLEGSENKLSPTVFLRR >A04p014060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5272551:5275446:1 gene:A04p014060.1_BraROA transcript:A04p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLIERRRDMEKKEKSSLAERLFSWSIKDILNRDLYKHQIKTIPDRFRSAEEYRRCFVPHLLEETRTELSSSFKSLSRSPVFEIHAVEIKEGSGSSSNKVYQITLKNTGTINATYQPKCGDVIALTKERPRRIDDLNPLHLAYVFSSDGDLTVCVRSARAIPSLHDYPILPYKQNGHLIRFGVFLMNSTTNIRIWNALHNEDPNSTLIQSVLQENSLVRLRKASKEQCLCNRTDVASSDYARVSPVIRSATLNRSQEDAVLGCIKTRNCSHETSVKLIWGPPGTGKTKTVATLLFSLLKLKCRTVVCAPTNTAIVEVASRLLSLFKETSSSEHPTYGLGNVVLSGNRDRMGITKNHPLLDVFLDERVGKLDRLVSPSSSVWMHSLESLINFLENTEAKYERYVHELKEVERMNEETVHIPAFGEFVRKKFNDSSEELKEDMVDLYTHLPKSYISSAQVKYMIAAQQALDRVRYFLQENSSTSDFTKGSFKFDCFNRLVSADCLQALRLLPARFEIPDLLENKNIGAFCLQKAHIIFCTASGAAEMSAERTGSLDLLVVDEAAQLKECESVAALQLSGLRHAVLIGDELQLPAMVQSEICEKAKFGRSLFERLVLLGHNKHLLNVQYRMHPSISRFPNKEFYGGRITDAAVVQESIYQKRFLQGNMFGSFSFINVGRGKEEFGDGHSPKNMVEVAVIAEILSSLFKVSSERRMKVNVGVISPYKGQVRAIQERVGSLPSGQLLTLNVRSVDGFQGGEEDIIIISTVRSNGNGKVGARHCLWVVGNETTLALSGSIWGKLISESRSRGCFFDAADEKNLRDVMNDALLEDVSSSFGTLSIGRNRGRGGW >A09p073710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56112400:56114125:1 gene:A09p073710.1_BraROA transcript:A09p073710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVVGRVIGDVLDMFIPTANMSVYFGPKHITNGCEIKPSAAVNPPKVNISGNSNELYTLVMTDPDAPSPSEPNMREWVHWIVVDIPGGTNPSKGKEILPYMEPRPPVGIHRYIFVLFRQNSPVGMMVQQPPSRANFSTRMFAGHLDLGLPVATVYFNAQKEPASRRR >A03p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000075.1:18779:19135:-1 gene:A03p016360.1_BraROA transcript:A03p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAPVTATCGSTRRLDPLISLLHLHMDCNSNAKTHPSTAIRLWRTLSSQIVRATIPSGPIGIRSMSMTARPRQSVHDTSFMAT >A09g510400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31586111:31592746:1 gene:A09g510400.1_BraROA transcript:A09g510400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGQYGVDDETVQPQAYLGEEDQLRPSSPLLPGDRVLHDDAVSDCSYRTFDNDGDANSLVSVSLSDSLSKLVAHDSFVCADSSPPSTPAPLPTPSFEATPSGSSFETDPSKGSYDQTPVHIPLSPDPYFMDIEVDVVHDSPVHGDHPTAPASPAAHIPPAPAGHIPPAPAAPIPAAQPQPAPTDPAMIALLELMAEMVNLQYQALNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVQINPPLPLPPAKRQATAGRAYALQLPGPSGPPQGPISVQPQAYLGEEDQLRPSSPLVHLGKLWSPCLSQYLIRTVDVRGRVKLEVSSPVHSAFFKWNSSLVQASFKTNL >A04p027210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16379395:16383784:-1 gene:A04p027210.1_BraROA transcript:A04p027210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair (Rad51) family protein [Source:Projected from Arabidopsis thaliana (AT2G28560) TAIR;Acc:AT2G28560] MANKLIGEMDLHKRISNIFAARNIITAKDALSMTEFELMELLDVGMKEIQSAVKLISQAASPPCLSARSLLEQKVEKEYLSGHLPTHLKGLDSALCGGIPFGVLTELVGPPGIGKSQFCMKLALSASFPKAYGGLDGRVIYIDVESKFSSRRVIEMGLKSFPEVFHLKGMAQEMAGRILVLRPTSLSDFTQSSIQELKESILKNQVKLLVIDSMTALLSGENKPGAQRQQHQLGWHISFLKSLAEFARIPIVVTNQVRSQNRDETSQYSFQAKLKDGFQEHTRTYDSHLVAALGINWAHAVTIRLVLESKSGQRIIKVAKSPMSPPLAFPFHITSAGISLLSDEGTELKGPGINNIHARAKLKDRFQEHTRRYDSHLVAALGINWDHAVTIRLVLEAKSGQRIIKVAKSPMSPPLAFPFHITSEGISLFSDDGAELKGPGINNIHARGHSDMINFNGDCSQWNKD >A03p010140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4050969:4051205:-1 gene:A03p010140.1_BraROA transcript:A03p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQISSRPSEEFLINISPDSSSPDDLVVYDVAKKDMMLHNNSYKSVNGERAIHLIPLVLFLCAFVLWSFSSVTTNL >A03p012730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5044649:5047692:1 gene:A03p012730.1_BraROA transcript:A03p012730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMANRRVSSRTRKVASKMAAALTSTDNRTQAAIARLEALENDNGAVEVVDLNDDEEASLDEDDDLGYVQKKQHKGSKRKTRQAKALEARKAPKSFTELLQEANSESLPSHVPTYLKAAVGPPSSSSRRHFCTVCGSTTISISDIALPSIPQSYFIKPAGLHLPPTRLKTKFLSIHPVSFFLPWNFLRLRFLASPVDLALTQEDKENSLLLHMAENGEEKLLAVARHIAKTLGHNESMADDILQIFSTFDGRFSREKLSEDQQPSDDGSGGVAALERALNSLDSQISRFVASDQPIWSDPADSAAFLDAIDELVNLTREWSHASSEKPIGACLSRADDMMQQAMFRIEEEFRSLMDHGAESFPVSHRFDESEEEDDEVDDGEEDCDDSQIPVAQPLTDYDLIIDALSSATINDLHEITKRMLAAGFGKSCSHVYSGSRREFLEESMSRLGLQKLSIEDVHKMQWQELEDEIDRWIKAANVALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGSTIQLLNFADAVAIGSRSPERLFKVLDVFETMRDLMVEFESVFSDQFCVVLRNEAVTIWKRLGEAVRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFEESNGVPSKDSTLLTVQMSWIMELLESNLEVKSKVYKDPALSYVFLMNNGRYIVQKVRDGELGVLLGEDWIRKHNAKVKQYQMSYQRSSWNKMIGLLKVDNAAVGMNGLGKAMKEKLKEFNMQFDEVCKAHSTWVVFDEQMREELRTSLARLLLPAYGNFIARFQNLGDIGKNADRYIKYGVEDIEARVNDLFKGTSTARK >A06p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:481977:482381:1 gene:A06p028980.1_BraROA transcript:A06p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTHTHTTRVEISLKIIWYQSQVHQNLSCSFRTTQALPSAQIQPLKTFPSEFSFSMRRRLKGVSLILSPVYTDGETHWKQKCMERTHEPHPRRLLQELSSPDLKKGGVFELEKQEGGHELKE >A07g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:484468:484856:-1 gene:A07g500250.1_BraROA transcript:A07g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIGAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A02p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:315314:318456:1 gene:A02p000530.1_BraROA transcript:A02p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRDGVNGKLERKKTMTMNWAGLGDVEDDDDHFFESSNRISTVVPVDLASSSDEEGEFDDCRISFSRPPPPEPNNMSPDYDIWMSAPGSITERRRKLLNGMGIESKRSMLGAISIQRVSNNPAVTEVNKETPPREIVQQLHPSSVMIVRSRSDSDIESSSAEKKRKDEMLGKTSKSRLTRTASAAGRTCQHSTQAQSSPSRRETNARSQGQRAAMLSSAISNTQFSAFFLIKNLDTGKEFVVKEYGENGMWNRLSDIQTGKQLTMDEFEKSVGYSSVVKDLMRRENASSTVDMRKFNLYVSKSLRVSKKKGAALLKNIKGVAHSMSSKASSEKDSSTGSGTSSPKVVDGKGNDQTSQWVKVRHSGKSHKDLSALHLCQEIQAHQGAIWTMKFSLDTHLLASGGEDCAIHVWEVQECEIMSMNEGSLTPIHPSMSASSSEGDDSEVHNEKKKKGKGSSAKKGSQMPDYVHAPETVFSLSDKPMCSFTGHLDDVLDLSWSRSNLLLSSSKDKTVRLWDIETQSCLKLFAHNDYVTCVHFNPLDEDYFISGSLDAKIRIWNISNRQVVEWNDLNEMVTAVCYTPDGQAAFVGSHKGNCRLYSAEDCKLEQTNHIDLQNKKKAQAKKITAFQFSPINPAEVLVTSADSRIRILDGTELIQKFRGFKNTCSQMTASYTLDAKHIICASEDSQVYVWKHEEPRLGITGRKTIAMCTSYEAFPCKDVSVAIPWHGVVKGEPPPTHSKKNPKKTSTTTTQDGKKSGLPPLPKKNNDNTADGDAEQHQEDDPATTDTPQNEAENNTGETLKAGDSPSMSLSSRISSWSWFDGSGSHGSHNQPTAWGMVIVTSTIGGQIRAYQNFGLPRRVSRQGSLF >A05g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8447531:8453505:-1 gene:A05g502700.1_BraROA transcript:A05g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKNPSSVVVADGDEAEWQHENPPISSPSSFPLPSVYRLKSVQSNLHQRGIGGLGAEFADIFRRAFASRVFPPYVTSRLGIKHVKGMLLFGPPGTGKTLMARQIGKMLNGKDPKIVNGPEVLSKFVGDASELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTKRKDLLDEALLRPGRLEVQVEISLPDEAGRFQILQIHTNKMKENSFLGHDINLQELDTYSHKCVTDVICQYFLAAARTKNYSGAGLEGAVKSATSYALNRQLSMYDLTKPVEEENIKITMEDFLHAIHEVQPAFGASTDDLECCRYARTLLLWSMHLSFFDCIATIDNVVWDSRCNEETFHFLRKSFRCRIFVRAEYFRLLLKQCLFSLKLPNCKSMPLDTRQRDLPPQPCFEDNAARTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRSWKPDSWKLNEIFATGIVLGGYQAVMSVIFFWGFTRLTSARDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHGLQTRQEVNVFPENEGYRELSQIVEQAKKKAEIARLREIHTFKGHVESVAKLKGLDIDTSRHHYTL >A06p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26549119:26553050:1 gene:A06p050500.1_BraROA transcript:A06p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKELNKLNHLSLVSNICNELETHLGQAPKDLAEYIIHLGRNSETADELDKKLKKDGAELPDYFVRSLLTVIHGIYPPQPKSDDVEEDGGEKERFKGLAIRDTKDKVKELEKEIEREAQERQREEDRNRRGSGRDRDRDDRRDRYGDGDDRRSDRHRGRDRGDDRGDRHRGRSRGDDEGGEGRSDRRRDRGAQDEYTGANEPELYQVYKGRVTRVMDSGCFVQFDRFRGKEGLVHVSQMATRRVDRAESVVKRDMEVYVKVVSIKEGGKYSLSMKDVDQNTGRDLIPLKKPSDDDDLGRSNPSYRTKDGQVTKTGISGIRIVEESNVAPSRRPLKKMSSPERWEAKQLIAAGALKASEFPDYDEDGDGMLYQEEGAEEELEIEMNEEEPAFLQGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALTKERREMREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKTPTFGQRSKLSIQEQRESLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKKLLKRRLDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDSACQSLYERMKNLGKNVPELIILPVYSALPSEMQSRIFDPPPPGTRKVVVATNIAEASLTIDGIYYVVDPGFAKQNVYNPKQGLESLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTSIPEIQRINLGMTTLTMKAMGINDLLSFDFMDPPQPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCSDEILTMIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFIQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHGARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHDLVMTTKEYMREVTVIDPKWLVELAPRFFKVADPTHMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >A05g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9885991:9887228:-1 gene:A05g503370.1_BraROA transcript:A05g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERATTSYKTMITAMNAEAMMITSFVKAGMADNAEYEDGFALFLRGLVQRGQVSEAYELFEKMPRKEPNSVTFLALLSACAHFGNLDLGWTYFRSMISWYGTGPDHYASSFSSLGQPSWCNASKICLLVDLAELAAKKLIELEPDTATPYRIRNIKKPNRIKMDTGSSWIILKGCVHNFLAGDEISFRSGRDNVYTEDVSKGN >A07g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17984948:17988906:-1 gene:A07g506970.1_BraROA transcript:A07g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAFMDEMQNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNEKSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFLGKNMSLRVNSTAHVLHAFVNGKHIGSQHAENDKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLIGFESQLFRTESMSKWSVESVPFNRTMTWYKTTFKSPLGNDPVVVDLMGLGKGTAWVLQSNMLRYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVYEKNIIELSCDRKSISAIKFASFGNPDGNCGSFVKGTCESSNNTVDILTQECVGKEKCSIDVSTEKFGAPDCTGAARRLAVEAIC >A09p082460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59703003:59706458:1 gene:A09p082460.1_BraROA transcript:A09p082460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTMIEQTYEFCAPRWFDFVIGETDDEARRAELWFESALSCAPSPSVPRIKARRSFKVEAMCNFNEEEEEEEEAKKEDVSPIKPSHSSNFKDSDASDKENIIAPQACTPKPLGGDSVSLKKQQTARKMASLLRNPKGSHQKSVLMIRETSVKKNIAAAATTNLIQDNQAIKRQKLDDGRSRQILNPKPTTLLHKTRQGLVNTGFNVCPEVTKQTQKENRKVYVRERVEPFISTAELMKKFQTSTHAKASLPQNRAKLTLTRPKEPEFVTSQRARPLRVKSSAELEEEMLAKIPKFKARPVNKKVLAAPALPAPQRSTPHLPEFQEFHFETMARASQHAETSSVASTQVSKQHNDGKPHLTAPKPPVLQTMLRARPTKAKTTAELEQEELEKAPKFKAKPMNKKIFESKGEMGIFCNTKKHITIPQEFHFATDERISKPNSVLDAFDKLSLTSESCHEKPLPRKTAPNPFNLRTEERGAEKEKKFVMEVTEKLIGDERARVPKAKPYPYTTDFPLVPPKPEPKQCTKPEPFQLESLARHEDEMRREMEERMRMEREEAQKRLFKAQPVIKDDPIPVPEKVRKPLTEIQAFDLHVEHRAVERADFDQKIKEKENQYKRYREESEAAKMVEEERYLKQMRKTMVPHARPVPNFNKPFLPQKSNKETTKPKSPKLRVIKRTERRKMMACPVSAATSASAGQMR >A07p000120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:864674:865851:1 gene:A07p000120.1_BraROA transcript:A07p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-19 [Source:Projected from Arabidopsis thaliana (AT2G20740) UniProtKB/Swiss-Prot;Acc:Q940P5] MVRMVRSCLQSMLKLVNSLLGMVGVAVILYAVWLIRQWQQQTGSLPFSHPLPWFISAFLCLGALLCLVTCAGHIAAETVNGCCLYFYMGFIVLLIMVEGGVIADTFLNPDWKQDFPEDPTGAFYRFSKFVESNYKICRWIGLSIVSVQGASVLLAMLLKALGPHRHYDSDDEYDVSTVALLRDARQPHPYVVGEPIYGPKPDSWTVRINERANR >A05g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29177985:29180531:1 gene:A05g509570.1_BraROA transcript:A05g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHPDGKKLLLLLFLFVFVSIGNTDANSQYEISHKVRTAPHGNMGRNVIIDGSGVEKTLHDIGMGDKRGSHNKVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLVKEGQEHGSGNWVVIGILAGALFIWLCKQFLEQYGEVSMLDIKGADAAKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSIITSLPQPIVAVPAFLCADAFSKFLPFCTGFAAGCMIWMVIAEVLPDAFKEASPSQVASAATISVASMEAFSTLFENFTHDYNSEDASGFFVSLLFGLGPLLGGVFLVASALTFRLQHALLMGVASGIAFVLGIWRPLQLLLSAKMGFIPLVSLYAVGAVLSHFASLTILNITCRKKSRAGSLITTGTNFPTSVITLQSLLACGAVGLHALAEGLALGVAAPSAYGLGRHMVLPVSLHGLPRGTAVASCVFGATDSWHAALAAAALIGFVGPVSAIGSILAGIDYSGLDHVMMVACGALLPSFWQVIQRAVRLERKKGIVGMVLGVACAVVCLTFTRLVCLHTPYCNSAPEAVR >A09p081780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59414794:59417136:1 gene:A09p081780.1_BraROA transcript:A09p081780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCFHVSSISSFLCFLFVTGFFVKSLVSLPFPRLDQIDILMALKNEFQILKCDYSKSKSWTRKDVKSFDGVKFDNETGVVTELVLFGACLSGSLSANSSLFRLHHLRYLDLSFNYFDSFSFLPELTKLTNLEFLDLSYMGLAGEIPTSFSSLNRLTELRLSNNELIGSFSPLYNLSKLSSLYLSDNHFSGNVPCSLLTLPLLFDLDLSQNHLTDSLETMNCSSSSKLATLDLSYNRLCGRILEPLSKLTSLKYLYLISQNTTDPINFVSLGFKSLEELDLSGTAISRLSIGSPNLGMLLLNNCSINEFPTFIKNLRNLDHLEVADNRLKGEVPKWLWSLPSLNVLSLSHNFLDSFEGSPKNILLNSSLVTLDLNSNAFRGSLPIISPRFIYMIASNNSFTGDIPLSLCNQSYLSVLDLSHNNFSGSIPWCPISSSLQYMDLRNNNLTGRLPDIFDKSGSLITLDVSHNQITGKLPRSLTNLKNIQFVNVESNRIVDTFPFWLKDLPNLKVIVLRSNMFHGPIYSPQHPLSFPQLRMVDISRNKFTGRLPHDYFVNWSKPLISIPREERGPQYVGYNYSSGYHPSMYLRNKGINMELEKILETYTEIDFSENKFEGQIPESIGLLKSLIVLNLSSNDFTGHIPSSWANLTRLESLDLSQNQLSGKIPQELATLSFLDYINVSHNKLTGQIPQGTQIGGQPKSSFEGNLNLCGPPLEEGCFGDKASSTPETQEPEPPKQEQVLNWKAAAIGYGPGVLFGLAIAQVLYLYKPVLFFKLFRL >A09p017050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8868287:8869998:1 gene:A09p017050.1_BraROA transcript:A09p017050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFSPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPHHHYNRHQPSITTVTMNVGATSTGTAVPSTTTNTSTIDNLHFDSFMNSPNQLNFTNDQEANTKIQETLFSHKTPLFMVDQTLPVLEGMFSQNIITNNNKKNNYHDTRRGGRGGVLEQSFLTNNTEEWDMNLPQQELFQVPTMVSHLFNNSTSSNTETVISYNLPALVEGNVDNISPLDNSAQDGDMASTLECLKKQELSYDQWIDSQQCSNFFLWDNLNINVEGSSLVGNQDPSMTLESSALSSSFPSSF >A07p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3786472:3789135:1 gene:A07p002510.1_BraROA transcript:A07p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) UniProtKB/TrEMBL;Acc:F4JS69] MERYKFLEELGDGTCGSVYKAVNLETYEVVAVKKMKRKFYYWEECMNLREVKALRKLNHPHIIKLKEIAREHNELFFIFECMDHNLYQIMKEREQRPFSEGEIRSFMSQMLQGLSHMHKNGYFHRDLKPENLLVTNNILKIADFGLAREVASMPPYTEYVSTRWYRAPEVLLQSSSYTPAVDMWAVGAILAELFAFSPLFPGESEIDQLYKICSVLGKPDWTTFPEAKSISRIMSISHTEFPQTRIADLLPNASPEAIDLITRLCSWDPLKRPTADEALNHPFFNMATQASYPLHDLELRLNTMAAEMPNLELNLWDFNTKQDECFLGLTLAVKPSAPKLEMVCNASQDMSENFLFCPMVNNDREPSVFWSLLSPDENRVHAPVESSCPLSLSFSPVQQHTSVGPPQQSTTGFTMGSSMQPNMLDRPWMAVSAPFQQTHYL >A05g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25724365:25728884:-1 gene:A05g508730.1_BraROA transcript:A05g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAISDSSEVGSPPVSNKIAPKGNWVRAREEVPVLAQLREKQDEQGMTVVGENEVDRVLEDTVENNMVSTTRAEETPSITVIVPKELEWSDVSPGKASRSPMKLAEPEQVLTTSRFSVLALEEDEEKNEDNSVSEDTVQEDEEKNEVSSVQEGFVIAHYRSRHYRAEHLITIDKEILRLRARGLTDEDDV >A02p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29777705:29778654:1 gene:A02p047770.1_BraROA transcript:A02p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSREGVAATDNSRRDRNRVLPSRLVDMEKDYLALDKRHPQGFLCPLSFPSELAETKAFTFDAYCCEDGSFKHDYTEDGGVDVKYTPTKSLAVQTGGKTMILMSGLSRTAWEDLASDKLFEYLIYATY >A07p015980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9876208:9876941:1 gene:A07p015980.1_BraROA transcript:A07p015980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRAEDDYDYLFKVVLTGDSGVGKSNLLSRFTRNDFSNDSRATIGVEFATRSIQCDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAISTEEAKAFAERENTFFMETSALEALNVENAFTEVLTEIYRVVSKKALEAGDDPTTALPKGQTINVGGRDDISAVKKPGCCSA >A08g501450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3799944:3800189:-1 gene:A08g501450.1_BraROA transcript:A08g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVNDTTRLSPPLAVAHGEERERGGVGRERREGGAARRERERRETRRRERKKRETTQRREKESTARASDLREFSAGLRF >A09p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1536628:1540090:-1 gene:A09p002130.1_BraROA transcript:A09p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPRRFGRDQGYLDRDHRRGRRSGSDSDEELKGLSHEEYRRLKRLKMRKSGKHCIWRNTPSPPRDPNEVESDENAADEEVPEKDEEDPKSESGKSESESDRSRKKSKSSRSKRSRRYSDSESDDDSDEEDRRRRKRKKKQKSSRKRRGHRRKRRYSDSDDESEISASSSSGEERSKSKSKKDTDSKGKLEEAVKEPELDEEEMKMIIESKKKALPEDEEEEGEVGPMPLPKAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLNADEIQRFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREAKVMSDLSRLVQRHMGEELGPNHDPFGAGKTDVAESFLNKCNILSSEDPTEENRERGGRESDVNIFGDELRFKGVKGLWFRSDKKVILLGVVKETKLLDLISKMETLILAEEHYYEKKPPSKTFRQINCRTFHSGVGLLPRPPPPKRTASSSTTKGVHFHSPRSPKSVLPSLRTSPIPITDERRSLSYSELWAGPTYSNSPPPTSVPIPKFSLRGKRTVSLTFPDVDLPEVAKSAPVSPTSSGDDNPFNSTVSATMTLRRMLNIEFADA >A05p013270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5776142:5777371:1 gene:A05p013270.1_BraROA transcript:A05p013270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIMFLLTALTILFMSFRITQATSRTITFHKPSMVDKHEQWMARFSRVYRDELEKQMRHDVFKRNLKFIEDFNERENKGYKLGVNEFADWTNEEFLATHTGLKNITRTSPSMVEEKTMSSMSWNVSDVVSESKDWRSEGAVTPVKYQGQCGCCWAFSAVAAVEGVTKIASGNLVSLSEQQLLDCDREYDQGCNGGIMSDAFNYIIQNQGIASEESYSYQGSDERCRSDARPAARISSFQSVPSNNERALLEAVSRQPVSISMDASGDGFMHYSGGVYDGPCGTSSNHAVTFVGYGVTQDGTKYWLAKNSWGETWGENGYIRIRRDVAWPQGMCGVAQYAFYPVA >A08p022070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14685735:14686412:-1 gene:A08p022070.1_BraROA transcript:A08p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYSSETAVWTSKIVHCSTLVSSIRVKTLNGTVYFNRRLEPNILVSHDFYSESDHSRVVPFPEHLNHNNCNDVLTTSRVFFMYISRLLAQKGENIFKIWRLNNYESWQLSWEMPCCRLVPFNLRTQKERILRDDENQDYFMNRSIINKNRVRASTVDGIGALSSQVEMIDTNSLPSFPKRKRNRDNNDVF >A03p060770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26375671:26381072:-1 gene:A03p060770.1_BraROA transcript:A03p060770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVCKQFQPVARKVKKKKKEHGKDESDRVKQAEKKKRRLEKALATSAAIRAELEKKKQKKLEEQQRLDEEGAAVAEAVALHVLLGEDSDDDSSPVMFGEEKGFKMDMFREEEMEPTTPLLEHGGGDTVDEDYSPARTLSDVKRVFSMESAKLWKIAAPIGFNIICQYGVTSFTNIFVGHIGEIELSAVSISLSVIGTFSFGFLLGMGSALETLCGQAFGAGQVNMLGVYMQRSWIILFVSCIFLLPIYVFATPVLRLLGQAEEIAVAAGEFTLLTIPQLFSMAFTFPTSKFLQAQSKVIAIAWIGFVALIMHVAMLWLFIVVFGWGTNGAALAFSITNWGTAISQIVYVIGWCNEGWTGLTWLAFKEIWAFVRLSIASAVMLCLELWYMMSIIVLTGRLDNAVIAVDSLSICMNVNGVEAMLFIGINAAISVRVSNELGLGRPRAAKYSVYVTVFQSLLIGLVFMVAIIIARDHFAIIFTSSEVLKRAVSKLAYLLGITMVLNSVQPVISGVAIGGGWQGLVAYINLGCYYIFGLPFGYLLGYKANLGVMGLWGGMIAGTALQTLLLIVVLYKTNWNKEVEETMERMKKWGGSETKDLIA >A09p049730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43904036:43908623:-1 gene:A09p049730.1_BraROA transcript:A09p049730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAEQPLKKRRLYDTVPESQPPRVESPSTSTPASSIPAPVTPPPPSQEEVQTRSRNREEIRRVHECYKRLKSCIGHRDGSRYATLEQAYRSLISASKGCTSVKRLVADFVPRYALYCPTAIGDAVQAVIDMHNFSLEELVKGQDADGVAFQTAKACIFGLVDLCSAASSNRRSSPEARDICSAVFRNVLTFFVLSFEAKEIFQIVDKSDLKVQDPNEDFSQLIQKLSDGNSLPLIKLSQFRVLALLKVFFSFPKNSIATCFGFFNSSSTEDVATGKYLITNMTETISDIDAASNEPEPDENSGQADSNKIEATVKNAEGLSGIQEASYSLKSCLLGMVLGRSLSIGRWAFFKYKNICSLSSFIDISSAIPSLEAMFAHVGKDIKADDYQMESDDDDCGKFSASHVKPHSSTENDVRSSAGSVYDAGGSRSMDFETADQRDLSCGRSSVPRGLINHHTPSPSARVPSDLRSNSADGRNNFIVGGSPAIQVAPRGPSSGKIVWYLDGDPTAYDIYPASGQLWLGYLGPEETEGHLRFQLDRYGPVDRFFFDPVKGFALAEYRSIIDAIRAREYLRAEFPWRIKFMDIGVGARGSFNGVAYGYCTHLYIGSISSQWERDEIVHESRQALYKGPRMVTDLYYEHALLMEFDTPDDAAVVMAHLRFYRGEKSKFNMASVNRPLPHEGGLSHSESHLQIPPTSKPDSGSGEYVSPLMSTDPGATFQQNWPASGSTLVNPAQGGTPSCVPMPAPGQAATPTSQIPPSPFVQQPIHPPPNTSWDTRSLGHQISPSGTAIATSSQAQGPLPQQVSGPFVPPPVHPVSQSQEPYVQHFDQVYPPPPSGHSLPSVTQPPPPEMMPPPPQAQPPPLPHAHPPMVPPPPCNPQSPPPLPPTVTQLSEPDAYDHKAEHHWQGVLSKSGVHYSTIVAQRLESDICKYTNGFSEAVQWPVKLDMTKRTDMKKVKSTFTNTQPHQREVCQLIPATFSDRKGLQDFISYLKQRDCAGVIKIPVTSLMWARHLFILPYSEETCSMLSVTPSSSECLIGLVLPKEPNAECS >A02p029130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14698125:14698945:1 gene:A02p029130.1_BraROA transcript:A02p029130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELGMKITRTKDDVSSSTDFRVSRDAFGQVSLSRETESVFILTLHLKGFQKKGIDIDINEEGDRITISGRKKVEEMVLIKWVEWKKETEIQEFKKVFKIPNIVNLDKIKARFSDEDETLTVTFPKKFKGMTGLKIEEETEPEEEETEEITEPEEEETEEIAEPEEEIKEETIPEEEEEEEEKIEEEIVEKEETKDHEEEIEEKESKPKKKKRKKFCFPCVAGTSLLMSIIVFIIQLIQSRRK >A02p015470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6844226:6845245:-1 gene:A02p015470.1_BraROA transcript:A02p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFVVLSLIVFSLSISGNVLADNAPVQSPRLAEKQPPPASPPLHSPAKPRRSYSPAKSPSLSRTPTLLPPAAHFPMTPATSPVKSIVLTPTSSHVSSPTAATHAKAPRSSPRKSPVLSPTIAAPPRSPVFSPATSRGTSRSIATPVKSPVFAPVTSPRSSRTIATPAKSPVSSPTIASPSATPPVLSRTTASPLQPPVFAPATSPVSSPSAATSPVSSPTTNPVKSPIAAPVTSPTASIVTPDTAPATQSPSADAPETSAPVTTPGIPSMPSTPANAPEIFPYGRRPVSSSAPATADLAPETAAVPAGEKSASNSVQHDVLCALLILGASLVLLEKRV >A04p006240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3221514:3225343:-1 gene:A04p006240.1_BraROA transcript:A04p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLKKVFCREMEMSQIDGRMEGWLYTIRHNRFGLQFSRKRYFVLHDNNLTSFKSVPSDQNEEPDRRASLDCCIRVTDNGRESFHRKILFIFTLYNTSNHLDQLKLGASSPEEAAKWIRSLQDASQKKFPFPDCEFVSHAEKGLVKFNVSRRSRRKNSVDWTNYSSVNVETIAPDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAVGVIDGTSEDIFNTLMSLGPLRSEWDFCFYKGTVVEHLDGHTDIVNIQLYSDWLPWLMNRRDVLLRRYWRREEDGTYVILCHSVYHKKCPPTKGYVRACVKSGGYVVTPVSKGKQSLVKHMVAIDWRSWNLYMRPSSERSITIRVVERLAALREMFKAKQGHGFAEFVSGEFMQTKSSLSKINTLPFKKEAKRIDLELVKVEEMEKPSSARNSLMDLNDASDEFFDVPEPNESYEFDSLIDNSPFSQGHSQLKLPSPSGIVKKLQDLANNKKGYMDLQEVGMDVNSTFIYGATLQQDPNLTMPCSWSIADPSTFLIRGDNYLNDQQKVKANGTMMQMVGADWISSDKREDDLGGRLGGLVQEFAAKGGPEFFFIVNMQVPGSAMISLALYYMLKTPLEEHPLLHSFVNGDDAYRNSRFKLIPHISKGSWIVKQSVGKKACLVGHALEVRYTRGKNYLELDIDVGSSTVARGVTNLVLGYLNNLVIEMAFLIQANTAEELPELLLGTCRLNYLDVSKSLKER >A07p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16913877:16916702:-1 gene:A07p030360.1_BraROA transcript:A07p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIRVHLPSEIPIVGCELTPYVLVRRPDKSAATDDVPESAPLDGYFLRYRWYRVQSDKKVTICSVHPTQQATLQCVFCSKRKALVSKSYHCSPKCFVDAWQHHKTLHERAAAENGNEEDDQLVRFNSTGSGVLSGTLSGSMSNLNLAGNGPTPFYPSSITQKNGGETLVEVGSCKTYTPTADDIGYVLKFECAVANAESKQVVGHPSTILTSRVIPAPSPSPRRLIPVNGADVMGHLDQDGRIQSAGSFTVLSYNILSDTSASSDLYSYCPPWALSWSYRRHNLLREIVGYRADVVCLQEVQSDHFHEIFAPELDKHGYQALYKRKTNEVLSGSTSAIDGCATFFRRDRFSHVKKYDVEFNKAAQSLTEAIIPPTQKRTALNRLVKDNIALIVVLEAKFGNQPVDSSGKRQLICVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTLPGSAPHTLLVMGKVDPLHPDLLVDPLGILRPQTKLTHQLPLVSAYSSFVRPGTGLGLEQHRRRMDLNTNEPLFTNCTRDFIGTHDYIFYTADTLMVESLLELLDEDGLRKDTALPSPEWSSNHIALLAEFRCMPRTRR >A03p045500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19039114:19040995:-1 gene:A03p045500.1_BraROA transcript:A03p045500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRWIKALVGFTKSKSSKKDDNVKIATKSRFGRKHSVDFDADKFQVGFEDSNVHSVIDAGVSTSSSLQSYGAAYEEQRKEHLAATRIQTAFRAFLARRALRALKGLVRLQALVRGHVVRKQAAVTLRCMQALVRVQARVRARRVRLSLECETGQQTLQQQVTDEARVQEIEGGWCDSIGSVEQIRTKLVKRQEAATKRERAMAYALTHQWQAGTRKLSAHSAFQPDKNNWGWNWLERWMAVRPWENRFLDSSNLREDVNLNNMEQSESVHKTQMKSASRMPNTSTLVSGGVSSGKATGPSMSDNDSSSPGVSSSIPVVSKARSKLAKDDLAVEVNSRRPGAVPRSHSNPKERSSKERLSLPNSGKSLGSQSAKAIRAGKLTQLSAQNQRRRNSDPIRQRLA >A03p053610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22988939:22989195:1 gene:A03p053610.1_BraROA transcript:A03p053610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKTVMEVEPPSLLRYLIGSAVMMIGVVLPLGYMMFRNKRVPSSSSYSKQT >A02p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10869723:10871494:-1 gene:A02p022770.1_BraROA transcript:A02p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLWKRAKSFAEEAAKKSQTITLQSSSTSFVNLVSETAKKSKEFAIEASKKADQLNVSEFVAETAKKSKEFAAEVSTKADQLKVVAMKQADQIQNMKSIADIIPPQLASFGSGSGSGSVISESELLSFGITDDLREFVKGLTSDTFKAFPEQDESSEVSELGTTESNVRKDLSEWQERHATLVLGSVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVAPYERKYMEELRNKAESKVEEAKKTPAVGGTETAENNVTKSRASTASSEQDLDTFLLGDLEDSDDAPDDGDGDGDGDGSLDDDDFDKIGNSDVEEEKKKETNAAN >A07p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20811244:20812285:-1 gene:A07p039150.1_BraROA transcript:A07p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSMKTKSFKESEEVGLRRGPWTLEEDTLLTNYILHNGEGRWNLVAKSAGLKRNGKSCRLRWLNYLKPDIRRGNLTPQEQLLILELHSKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIESNSDKFFDAVRSFWVPRLIEKMEQNSFTNCCPQNNNNKSLLPPQSYDSTSTQTYTDISSQNPGLSNIDGSSSSSTFMPDLTTVPYFIDPNTIIDGSMCNQEGNYQKLGGYIPEMEEYYYMGNSDIGTECHVAEAYEDVTQDPMWNMDDIWQFRE >A04g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9321768:9327314:1 gene:A04g504490.1_BraROA transcript:A04g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHYTAKSRPSHGQVVAKPFIDPRGLRTDGSRGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPQYGQIGHLAMVPAKAPFRTYAGLSSTLHGQSVRYGEKHEPQLKYSERSDLEAGSAPCTDPWNAVYHSLLLQKDLSSNPNKGAGDEGAVVVPESKQRWLSIKVDMMLVASSSKTRSGSSSTSKIVTLPQKPVETKQGYSDAPVAKQLIQDKEDHNFLHKTSNFQLVLHQFHQIWVKNLKNLYILQNRWLSYWGTCGCALLLALADVLEGFVHTLHKVITKLLDIKLKRLPFWTRFTFGYPRGLRTDGSRGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPPYGQIGHLAMVPAKAPFRTYAGLSSTLHGQSVRYGEKHESRLKYSERSDLQAGSAPCTDPWTAVYHVPNMLSSAE >A06p007350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2551269:2556563:-1 gene:A06p007350.1_BraROA transcript:A06p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLSFIETSALEALNVEKAFQTILSEIYRIISKKSISSDQANANANVKEDDITHLHHLSPAVAPSLSNLALLTRRARFAIPGISSLRHCHAMASESKSFVRRDRLLEIEVAVRKWWEDEGVFLAESRKDPPKTGEKFFATFPFPYMNGYLHIGHAFSLSKVDFASAYHRLRGANVLLPFGFHCTGMPIKASADKLSREIQQFGNPPVFIAEDSNKQAREVEEEESDTPALPWQFKGKKSKVAAKAGGQVYQWEIMRSFGLTDSEIAKFQDPYEWLYYFPPLAVEDLRAYGLGCDWRRSFVTTDVNPFFDAFVRWQMRKLKSMGKIVKDRRYKIYSPLDGQPCADHDRATGEGVQPQEYTLIKMEVVQPFPLKLGPLEGKKVFLAAATLRPETMYGQTNAWVLPDGKYGAYEISETDVFILTERATLNLAYQNFSKIPQKPSCLVELTGVPSDAPDDYMALHDLTAKPALRAKYGVKDEWVPSEIVPIINIPEFGDKAAEKVCLDLKIKSQNDKDKLAEAKRLTYLKGFTEGTMLIGEFVGRKVQEIKPIIKTKLIESGEAILYSEPEKPVMSRSGDECVVALTDQWYLTYGESEWRQMAEECLSKMNLYSEETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHIFHDGDMYKGSKSLISPQQMNDDVWEYLFCDGQYPKSSDIPADVLSKMKQEFDYWYPLDLRVSGKDLIQNHLTFFIYNHTALMASRNWPRGIRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSATATRFSLADAGDGVDDANFVFETANAAILRLTKELTWMEEVLAAESSLRTGPPSTYADKVFENDMNIAIRLTEKAYKDCLFREALKNGFYDLQAARDEYRLSCGTGGMNHDLIMTFMDVQTRLIEPICPQFAEYVWRKLLKKEGCVVTAGWPASDEPDLVLKGANKYLQDSIVLMRKLLQKQLLGSKKAAKKGAQVTAVADSNLKGLVYVNEQFDGWRAHCLQILQSKFDRQTCCFAPDAEILAELREILQKDGEAENFKQIQKLCMPFLKFKKDEAIAIGSQALNLKLPFGEMEVLKSNMDLIKRQVGLEEVEIYSASDPDDVAKAGPYASLLTQNPPSPGSPTAIFVSRISSCITGNSKEKRGKAFN >A01g511460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33006654:33007770:1 gene:A01g511460.1_BraROA transcript:A01g511460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHIGTLSGRMVETILSLRFFIFQYGIVYKLNVHGSDTSFAVYGWSWAAFAVILVIFKVFAFIQKIAVSFRLVRRFIQGLALLVSLAGIIVAVVLTELSVQDIFASVLAFLPTGWGILSIACAWKPPIKRIGMWESVRSLARLYDAGMGMLIFLPSAFLSLFPFVSTFKHV >A09g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6845681:6847402:-1 gene:A09g501890.1_BraROA transcript:A09g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSNHTNSIISQAIKSAVDGVLEKSRHERQELLRDFIETAARVFILQRTTQDCEEGDEADVFKDNLFAETNQDQHQLLELEDPHDQVRDFVGAPIYDDYGDDFYREPCHISDVMTKEGDTSPQRKLLSPIDIHEINDNMTRETLFGRPIVTNDVKSYYVPVTNLTDEPIYDVSDDEVFIDSHYCLDPLFNDEDEVQGLNNGIDVHVVVDDGNICVRKEGIKYYLGEKDCHQQFHRNPPDRDKNHTYGAPFVTHNRRSIGSSNTRFMEETGKSEFSMEPAYGVGKEEVRIQDECNEFDVQQREFLQFPMTRCGIGVNKLDGTTKVSPSHDIVKLESMDKKRGYMWLIENSTHESFSFSLTDAEEEARDYAIASVICRTYIGPSHHVQKIYMRFPMTKTPQLFVGVTLLAYQASPCLGPYFAQEESVFIFLSSLLDFYWWIFSIW >A06g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3815020:3815602:-1 gene:A06g501010.1_BraROA transcript:A06g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYLDTVKSCENIATPDAAELISAMAAGWNAKLIVETWSCGDAIASSIGLNVASQHATARHMHRTKLENRVRLSPSHTRIFISLEPTRDNRIPRTRKRDEGDTRNRFPGLGLAAQGTRSGCVEERCVWKQRSGGGL >A05p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1678284:1678904:-1 gene:A05p004320.1_BraROA transcript:A05p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDQQPSFRFAIDDNFWEKEAGIASQVFVAGGCEWIPGWCFQNFPKKESLGVKFERLKTKRDQMMLMMGSRVQQVEESFKNLELVASDRAVPS >A07p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8275597:8277370:1 gene:A07p012190.1_BraROA transcript:A07p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQILPDDHRRSRWYTFTKPKSKSILLLIITITLGLLFVCYSNIELSSSRKVLRSSWASVISGSDEGIYAWVIANYALDSLGGDPLQTTGIVELGGASAQVAFVSSEVVPPEFSRTISYGGVAYKIYSHSFLHYGQDTAQEDLYESLQNSGLQAVASFAWAQGWNGYLPCTPKGYMAGEKSLNGSFGNSAEESRFTATVQAAGNFSQCRSLTAMLQKGKGKNHYRYIIPRFFELEETAWLSKMIPAAKSFCGEEWSKLKEKCPTTKDRYLHGIASHQHILSPCFMTVLVLLLMMIESGLRIMLEPKTHH >A02g508430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:22838591:22839322:1 gene:A02g508430.1_BraROA transcript:A02g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLIQTMPAQWKMQDWITANDLGNGKFLLNIVNEEDLQSVLRQGPLHFNFCMVVLERLEPVVHDDYLWVIPFWVEVAGIPLHLWTIKNLRNIGNRLDHIDTVGLTAGRMLIDVDTRKPLTFTRMISFPEGEEVSIQIHYDKLFKHSITCRMLTDEKASCPMSSQAVNTQGDRTDVFARVQLPMNEVSRQSFLRDEKPCEKFDRYGLLDYGKSSRFSNSPTRKESHADGFMKDARASHYHNDA >A05p043690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26332271:26332869:-1 gene:A05p043690.1_BraROA transcript:A05p043690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLTHWQADKENAIGRSETGSNGRKTKYFSNVGPKQVSIQKNKMCRKSGGKLLTNGELNYTTKEWLDKAFESGTALLRIGFVENQEYFESYFLL >A01p009170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4408375:4409460:1 gene:A01p009170.1_BraROA transcript:A01p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGDTRRTYPTVEIPTWPVSEDFTAGDVYSPVMNSPDCSMLEALAALQRYLPSNEPDPDSDPDLFGPDSPIDAYSCDHFRMYDFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYSYSGTACPDFRKGGCVKGDSCEFAHGVFECWLHPARYRTQPCKDGGNCRRRVCFFAHSPDQLRYLPNRSPDRVDSFDVSSPMRHSCARAFQLSISPVSGSPPVSPRADSGSQSLSRSLGSNSINDVVSSFRNLQFEKVKSFPPSYNNPLRCYQSGFGSPRGSILGPGFQSLPTTPTRPGNMDIWENGIEEEPAMERVVESGRELRAKMFEKLSKENCMDRVEPDPDHNSGEGPDVEWVSELVM >A09g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21082188:21084955:-1 gene:A09g507170.1_BraROA transcript:A09g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSGYYNGAIKTRKTTQPAEVTSEEAASERSQQLMELYETLFAGESSMEARACTALSPEDLTDPEWFYVLCFTYSFEPPSGYNNSLSVFKLSDYDLDDDEVVRRYYDEEEVFGPTKPTSKSNRGVLNDKNLRIEVPFANRRVTDGESRLRRFAMANSTPGSYLRDERPHTLSSKGSVYWDSNEDIGTPSAPPIMDIGEDDNIAELEKEIEHIEDEICREAGVESHHQQLNIGCIAGDTVSHLYPEFSESARETQTEEAAQIEDISSDELNCHSVRLTTLFRNLQRKRFEMRNLDDEGFLSAQAAIDAIKGTILHQRWLAALMNISVESVPPDLHLSHFSFYVEIRTDLVNTVNEWNRQAGSPTPPGNVSENERRTGLYDYQSMINILRQETWKDNIEAGNI >A06p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9610473:9620517:-1 gene:A06p019620.1_BraROA transcript:A06p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFHYNQSYDQWNSPYSPHHPPPAPLLLPLLPPPRQSHPDSPNFYLPSTHNSGQRKDGHHYSHRQYFSPNPAVNQSSSYYLQHPPPPHQHHPPLLPPQQHQPYIPQQSPWAGYKDKRVDSWTDAGPGRMYTSGRRLDPSPSHDYQYDYSRSSRDSSGVSINRGLDGSFRSRDEFRNTGYVRKESRIEGSYQDRGQLKTKSDRCFRGLGECNRNLASRVGYGTDLYGVTVSRDMGRPYASHEGTRNQRWNEGKILYPRKKDDYYHSETEQYFDRGRREESSELNRTPRKQMLKKSALLRRETTRNHQKGRENGRNHSNYNGKRFNSNLFRGKEHLGHSDRGLVEKQRERTPVDLDVSFELNLPVAKPIASPTGAGIHPSRSVTPRSFKARRALVPDKSENPSVTEGNGKLRTQFSDEASVSEGSRPSKKQSISSEIEKKPVKITDEGPEALTREVIITVDAAEKNSSVCEALKEAKDDSDVEHDSNMGVCSIIEDVIERGKSILNSQDVLNRTGCNAGEALPPKVMEMEDIVKESTNRSPTKLLLSVSTAADLSEYSEAVVRFAHYVDKVLEKSSRDASIYFNKEDPGHQATKLDTCGIEDGSNGINKNVNSLSPENDCCRGLIFSASLEIPSVSMELANANNNISGDLANAHSFTIGTYPSTIVDSPDMNESKNFTHCEDTANPSVENGSIKESMETKPLRSVAEMADNLESESDEGIQTCVKGTSSSHSKVDVKGSLVVLPVERTDGYSRSYESDLDIAVPSEEGMESLSAERLAPVDNLESESDEGIQTCVKGTSSSHSKVDVKGSLVVLPVERTDGYSRSYESDLDIAVPSEEGMESLSAERLAPVEDLGLTSNQPSEIPSVDKLSGSNNRNLKTCLPEPNVSLNKDITYGSSEGLVQRDVSQNAFTFVVIYLARLHWQPCSPVGKFLPEDQGGCRSSGAFGSVWNFAVKKNLEVDLSRLIGQSFGQILSETHVAAKVDETYNVKQKSKHYGGTNEPIQSETHVASMVDDSNNYNEKAKPSGGTTKYRTLEIDVNSDVGGLEKYSRNIIKNDIFDGEALSADGKVVGTEILGNSGVHLSSRADVKFALTHVNDHVKYVPDRNPQNKTSLSSRKMLTLPISITIGIESLVMLPLLLLLPLSSTFSTEQNFPIVTVQSCNSYLNRIENKSTGSASKVAVGKCALSYSMNYFTTGLPESHVQNYNVGQLPLISSGLVISDHPLMAAPRPTGGQDLFDTYFRRADLDGDGRISGAEAVGFFQGSNLPKPVLAQKCDVILSNLFSSGWLRDLSLHSEARTPVYVWSYADAKKAGYLGRAEFYNALKLVTVAQSRRELTPEIVKAAIYSPASANIPAPKINLAATPSPQPRGPVAQTPGVTSVAAGMRGPQMGGNVSTSNQQVVPGQQNQFTGPPPSQPPQNFQSQGMPPGGTIAPRTANQPVPSNWISGRSVGPSGQVNLQIPSSQRGYGLTAPNSIANNIPQPHMTPAVISSTTTRPQVPVPASAPLDAPSNQLVAKELAASGNGFPSDSIFGDVFSVASTQPKQHTTGTTSTMGISSVSTGTVVAPEVAQSVARQSSIPQRGSLNRIENKSTGSASKVAVGDDSFLVKAGEIPTLEKQSKPPSDSSTSKVSNAIDAPSGKCALSYSMDHPTTGLPESIMDSATSGEASVPHSGGDTSKTSDIPIQTDYASNCQQKKIPPNLDSSDLKRTVYVKRKANQLVAASDIHSKSRSQFSTSDGYFKRNKNQLVRTSESRVNHSPDDALDSRASATMVSERSSSSAFSDAAVTRPYKRSKFSLVWTQNDQQSDLPSSHMRYRRILPQLVPWKRVTYWRRLMNSVSALRNGSFSNISQKLSTMRKRHTVYTRSTNGYSLRKSKVLSIGGSHLKWSKSIERDSRKANEEATLAVAAFSKKENEKHSGQSSTRKTSRNHLARGRIFRFGSLRYKMDPSRRTLQRISDVDSPCSGPTENGKGAKRPFIPKRLVIGHEEYVRVGNGNQLVRDPKKRTRALANEKVRWSLHNVRLRLAKKKKKYCQFFTRFGKCNKDDGKCPYVHDPSKIAVCTKFLNGLCANDNCKLTHKVIPERMPDCSYFLQGLCNNEACPYRHVHVNPSAAICDGFLKGYCSDGNECRKKHSYTCPDFEATGSCPQGSKCKLHHPKSQGKGRKRKRPSEPLEKNARGRYFGSLQKLFSESEPMVVDRHPTESEDFGKEGFEFISLGATEEEAGENNDQATEQSISSESEEPASIYELIKPVALMR >A05p030560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15831717:15843868:1 gene:A05p030560.1_BraROA transcript:A05p030560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFGLGFANICCSIGLGRLTSKSSYVQYSTDDLLVSRPNRTELLILQCIFKPNRIIYQPYIRRFPSILSNRSFSLPVISPPLSPSFSPSSALKCSGKKMDIPELPRRIHTVGEEPLAGHIIPLVHYMLGFQLDIKKKYELWSLVGPEPVRFSLLEFENLTGLNCEYIEDLERPQCGFTKELTSFWGMLGVHVAAGPSTEEIIAAFGRCKGWSRDDRKRLAYLAIFTGYIEGRKYSNPTRVSLARLVMELERFENYPWGRVAFKVLMDSLKGKDISDCYTINGFAQALQEFDVEDTPTENIIKLMFVKKPWKWTMEHWEVPGARVNTKPAVVSPAKKKVVKENSPRPRKKARKEAPAEAPAEASEEAPAESSEEVHTVARSEVTMTVGGLTTEDIKTMFKDIDDAMSEGFGTCLKEIKYLSERVEAVEKKVGITTKRKGTSSQNRGTWTSSQNTTSLPKKMLEPGSESVNGTNVGRKRLPEDKGPDVPADASSSKDKAPEPSLVLLDKNQSTISGKEGCAALALCRAKSDRTRRLAPSQQSPYTANSTAKVIIPNKKLYPGYNPFAPIDKKKLKELADWLKTCPNYRTALNKKPRTSRTWWYHILQTSLEWLEDCHIDAWINVLRKRYDANPQHFRSERMCFLDHLFAQQWRFNFKDFKDSEPDQNGLGRRLPGGAWNYYAGTIPSFCQSNKVWGTDIYDIYAPVNYSDTHWIAMWISIPKRHIVVFDSICSSISPEELDVVMEPFLYMVPYLLVECASSDEQRAQYSLEPFTYERQTNIPAAQAGDCGVFTLKYIECHALGIEFSKKDFAKANEKTMRDKMAVDIFQELPDAHEFENKDNDANLGAYE >A05g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6609816:6611607:-1 gene:A05g501990.1_BraROA transcript:A05g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLCKTKQKWLSTEQCIQLKLTRLFDIKLMNLEQALKLLNSSAPLKNPITEAKSYAVFLDAGKAFGCRRRVLFSVACFALLWFYFFVLVNCWNRVSAVSYGSAPSCPLVSTSLTPRQTTASPTPLWVASSSHGSLRLTASPVSRRLTVSSAVHLHVTNHPLRTRRSAKALDTRSARLSETAEPTLECQSEPPLLTSVNVHQIPPLEAAPLCTSRTTRSTHPEGRLVRSGGLCVSEASHSLRSVSWPSQLCEMLGMYSLRELNPDRFLELSFRNVAIGVWFSSGLDEIYGSRFGNIGVHFLSWSLVRTPSWLIFRNIASPLPRRLRIPIPSESRWYSNDTCFGLNQNYLWSLNLLIVINLSHYSFSEASCLFTVCHCASVQRVHLAQNRDVVLKLPLFVHPSHVSRVFISSHFVTGAIRFHGPSYMFVSVKSRTFILSGSVEIHLVSSWNLDVGARAVHALSTSFQTLQFGIINVGFDYFMLVVVTYSGIHLMLPTVLQWMSKTLSFSFVITCFMLCFMIIKPSRIPRVLILLPLSLAPDVMV >A05p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21732639:21737905:1 gene:A05p038170.1_BraROA transcript:A05p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRIGALACTOSYLDIACYLGLYCEROL 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G20320) UniProtKB/Swiss-Prot;Acc:Q9LTR2] MGNCLRHESEMHWAGEDWDDFITQDEEDHHHSSKKTSTKARKTVTVKRESKSCDPSHHEIKIRLTRKQLQDLLNKVNVHDSTGYAAPDIDRTNQEGNQYRLWKPVLQSIPEWASSEENKKHSGSVYLSIMSITSSLTSTTLCGASAFPRSIEFPRNHLSTPFESSKICLTTYPKKNATWNLNGKITPIQGIRCHAMQVETKESFTAGEKFQLSDVIEGQQFDREMLSAIFDVAREMEKIEKSSSQSELLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAATANIPVINAGDGPGEHPTQALLDVYTIQSEIGKLDGISVALVGDLANGRTVRSLAYLLAKFKDVKIYFVSPEIVKMKDDIKDYLTANGVEWEESSDLMEVASKCDVVYQTRIQRERFGERLDLYEAARGKYIVDKALLGVMRKNAVIMHPLPRLDEITPDVDADPRAAYFRQAKNGLFIRMALLKLLLMIGNPVIQVPSSLMPSSSMMACPRVSPSGLPYLPPKPRTRHLVVRAASNSHGQPSSDEGKSPLTVVLDVPRNIWRQTLKPLSDFGFGKRSVWEGGVGLFIVSGATVLALSWAWLRGFQMRAKFKKYQTVFELSQASGICTGTPVRIRGVTVGTVIRVNPSLKNIEAVAEIEDDKIIIPKNSLVEVNQSGLLMETMIDITPRNPIPEPSVGPLHPECGREGLIVCDRQKIKGEQGVSLDALVGIFTRIGREVEEIGVVNAYTLAERAASVIEEAKPLLRKIQAMAEDAQPLLSEFRDSGLLKEVECLTRSLTQASDDMRKVHSSIMTPENTELIQKSIYTLVYTLKNVESISSDILGFTGDEATRKNLKLLIKSLSRLL >A02g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16730588:16731854:-1 gene:A02g505730.1_BraROA transcript:A02g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSTLLARLKAGLCFNSVEVWLLTFWEARNDSFAINSESMEGSLVLALILNEASSPVSRLSPTKLESSMLVNRKKIPTSRFTYQKALASKTYVGTSFAGPWMEDKPISSWWMVDLGEDHQVSSITSLSIASFVFLKTHAVRELHNLGNRKLMCNYYNFRRWVKSMHKVLKVSGINRWENMDGPENSTVTSVENYTVMKWHVDCLHYTHVPFNYHI >A03p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11771457:11773054:1 gene:A03p028150.1_BraROA transcript:A03p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G26660) UniProtKB/Swiss-Prot;Acc:O48781] MYLCVCVIASLPLCAMKFGKSLSNQIEETLPEWQDKFLSYKELKKKLKLLEPRGGVENRPNKRSRSSDPNSTDTDPTKEELDFIRLLEEELDKFNSFFVEKEEEYIIRLKELKDQVAKANNSNEEMINIKRDIVDFHGEMVLLMNYSALNYTGLAKILKKYDKRTGALIRLPFIQKVLQEPFFTTDLLNTFVKECEAMLDRLFPSNKNRNLEEDKSEPTTSETNGSDLLRLPKDLSEIEYMESLYMKSTVSALRVLKEIRSGSSTVSVFSLPPLQASGLEDDSWKTKVGTLEQVAE >A07p048610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25937762:25939850:1 gene:A07p048610.1_BraROA transcript:A07p048610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISPVPSFSITSVKYLRSPSSSSFISVFPKLSRFVTATSATPNSTPETTTTRVNNAGLKLDETVSVSKGKIRLDSWISSRVDGVSRARVQSSIRQGLVSVNGHVIDKVSHNVKAGDEVHCTISKLQPLRAEPEDIPLDIVYEDQHVLVVNKPPHMVVHPAPGNPNGTLVNGILHHCSLPCVAAYSNQEDDDDSDDEEAFSDDEGMIRPGIVHRLDKGTSGLLVVAKDEHSHAHLAEQFKLHTIERVYISLTTGVPSPSQGRIDVPIGRDSNNRIRMAAIPGSLSRGRARHAASRYKVVETLAGGGSALVEWRLETGRTHQIRAHAKYMGVPLLGDEVYGGTKSMALSLLQRRVSRSDQEEIIELVSRMDRPCLHAIVLGFEHPCTGEIIKFSCPPPPDLAEIVGLLRRSGREMVTTKLCVIFYFNLIPRAKWNNLSILVYKLIVSDIDSAVA >A03g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30687690:30688842:1 gene:A03g509480.1_BraROA transcript:A03g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAKLCTFLSRKTLNLQSLLLRMIAMTRLEKEFFPILSSNRDKLDPESVSGQSSTSTNSEFEDDNEIKKANESITKVEKASAVVMSDLKAIAECMISSKRIRKSIVDEGLSLLEIEAYKGSSSWCEVSSAHVASKAKDHPIPRNTRLLESYVRTPMLEEEEHNHALSVHFACLFLVLLCKLDTKAELYKDVSLSYS >A05p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18440365:18441051:-1 gene:A05p031470.1_BraROA transcript:A05p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDRTQFSALSFSCMMNVSLRGLIHNPCGISCGMISCSDVTEGNEKQKPSCRRAFERNLITYSFLERIGQPAVDEVNERGESVPFVVLAATFILDFSLSQTFRCFSVTHLVHRN >A07p007670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4703776:4706155:-1 gene:A07p007670.1_BraROA transcript:A07p007670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQTCVVRTYSEWVIDGEYDQPKCCQCQAAFDDGAGLQVTRLGCLHAIHTSCLVSLIKSFPPHTAPAGYVCPSCSTPMWPPNMVKDAGSRLHAQLREVILQTGLEKNLFGNHQVSRSTESRNPPPAFASGALINVSSSSHTQEGNNLPDGHSLDGNGDYSKSMVSEIVEIDVPASAGNYMKTSIPGFAAAARKGVPAVDRQNSEILYYTDDEDGNKKKYSRRGPLRHKFLRALLPFWSSALPTLPVTAPPRKDATKAEDGSEGRVRHRSSRMDIRKILLFIAIIACMATIGILYYRLAQRVIGQEIPDEKQQ >A09p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23599502:23600580:1 gene:A09p041720.1_BraROA transcript:A09p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGCEEEDKEAITEERGQTGNRRARWKTKEPEKDYGVLKTQYDSLRNNFDSLFRDNESLLQEISKLKSKLNGEEEEEEENNAVMMESELSVKEEEVLLPRGKRVTRHCAGASYRSSSLTRRRRSRRFVVFVFGWRISNVVGLPPTPFLRNLRGVTEQMRVRIVCGVSLNLGIGGDSGAAVPYDSSSALVDRRLWQWFSLLEGDGDAIEDSAS >A04p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8919167:8920416:1 gene:A04p007360.1_BraROA transcript:A04p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAIPPELSEPPEGDKTRDGVEVHRGADRIEDLKKSASWEDVRGDVGKGHEENISIQVGEKNQTKEKEGREEEVKAHGNVWSTPIKIGKVLTNPSQTNTPEIQISDSRFSVLMDERDEGEILKDNDMLEGMEEDGMEDDIIDQSVKEWKKLGMQKGRKRGQKTK >A06p039960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21602465:21603723:1 gene:A06p039960.1_BraROA transcript:A06p039960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT5G65730) UniProtKB/TrEMBL;Acc:Q0WUU2] MMAETPFLCIVTLCTLMFIQISARPTTFAEDFKAAWSESHIRQVDGGKAIQLVLDQSTGCGFSSKRKYLFGKVSMKIKLIPGDSAGTVTAFYMNSDTDTVRDELDFEFLGNRSGQPYSVQTNIFAHGKGDREQRVNLWFDPSLDFHTYSILWSHKHIVFYVDDVPIREYKNNQAKNIAYPTSQPMGVYSTLWEADDWATRGGLEKIDWSKAPFYAYYKDFDIEGCPVPGPTNCPSNPHNWWEGYAYQSLNAVEARRYRWVRVNHMVYDYCTDKSRYPVPPVECHA >A03p004890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2037418:2048338:1 gene:A03p004890.1_BraROA transcript:A03p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQTEEMDIEVLSSMWPEDVGTQADNRFSIEKPAGDSDTLKEVDIAEKRTMADLKRLPELMNMTDQGSSQLNNLVKQWEYKQDHEVKLLREELKILTRQREEAEAKEVKIIEEHNSETQEPENVPVLDDTSDLYRRFKHKKRVEIDEEFDTVAYWKQKALSLEKMLEASTERERRLIEKLNESLKTMESHSAPVEELTQNLKRAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKFPTLREQDILGKTDVEIFQGVGVLKESEDFKREVLETGKASKKEITFETELFGSKTFLIYVEPVYNKAREKIGINHMGMEVTDQVKKREKMVKLREDKAVRKAMKSELNKTIHITMLKLWHRMNFLLLFMETDQTEEMEIEVLSSMWPEDVGTQADNQFNVEKPAGDSDTLKEVDIAEKRTMADLKRLPELMNTTDQGTSQLTNLVKQWEYMQDHAVKLLREELKILTKQREEAEAKELKIIEEHNFESQEPENVPVLDDTSHLFRRYKHKKRDALIGSKRVEIDEEFDTVAYWKQKALSLEKMLEASTERERRLIEKLNESLKTMESHSAPVEELTQNLKRAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKFPTLREQDILGKTDVEIFHGGGVKESEYFKREVLEKGKASKREITFETELFGSKTFLIYVEPVYNKAREKIGINYMGMEVTDQVRKREKMAKLREDNAVRKAMESELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTKLDKEQRQLLTVMMSSGDLVLQLINDILDLSKVESGVMRLEATKFRPREVVKHVLQTAAASLKKDLTLEGNITDEVPIEVVGDVLRIRQILTNLISNAIKFTHEGKVGIKLKVISEPSFASGMELNADAEEQNGLTETETSVWIRCDVYDTGIGIPGKFKNKTQAKLLDLLLLPEHVQPNRKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSQVNAGSTFTFILPYKVATSDDHSDDQDFSDMVDHHQPEPDDTTEGYFQFKPLLGSIYSNGGPVIGNNNFLPHKVMLTSPLKLINGFVADPSNNTGQSETTQVENNGYMDETCSGPCPSKETESCSSSQASSEGGPLEMESELTVSSRREDETTETSKQPKILLVEDNKINIMVAKSMMKQLGYTFDIANNGVEAINAIKDSSYDLVLMDVCMPVLDGLKATRLIRSYEESGNWDAAIEAGVDIKISENEQACVHSTNRLPIIAMTANTLAESSEECYANGMDSFISKPVTLQKLKECLRQYLH >A01p002790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1288746:1289060:-1 gene:A01p002790.1_BraROA transcript:A01p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKSNKVAASQAATIKKLLKRCSSLGKKNQGNCYFSDVPKGHFPVYVGQQRSRYVVPIAWLAHPEFQTLLQLAEEEFGFEHEMGLTIPCDEAVFQSLISMFR >A06p022430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12279671:12280272:-1 gene:A06p022430.1_BraROA transcript:A06p022430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGTNLILPLVGESEPSPLERRSELQRLETSVTPQPLYGGKNNASQNRASVLQRLTPPTERVVLLRNGVSENADPSYNLPIAVSIPIRKVRAAAAKATGKRKMVEKPQSQSHKRVMHNPPRGVTIKKRIITKNSPKHKVFTNAVTTWGAYKQSVQE >A09p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2026589:2030987:1 gene:A09p003500.1_BraROA transcript:A09p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 5 [Source:Projected from Arabidopsis thaliana (AT3G27440) UniProtKB/Swiss-Prot;Acc:Q9LTY6] NHATAARADPETESSSEEALPVGAEASGPSLVFSSSADDDGDMSGVGAGGVEVEGESDELVGPSDELVGPSDELVGPSELSPELESGLEAGDLVDEDLGGFAPPEDGEALGVAVGFFWRGGGEVDSYPLDIGAPASGGGSAANTAVTANNATARHNSLKVIVIFNVNSLNYQIEEKKKMEQLSNGSITDNIFPSAPAPLKQPFVIGVAGGTASGKTTVCDMIMSQLHDQRVVLVNQDSFYHSLSAEKLKKVQEYNFDHPDAFNTEVLLSCMEKLRSGQPVSIPSYDFKTHQSIESASPVNPADVIILEGILVLNDPQVRDLMNMKIFVDTDADVRLSRRIQRDTVQRGRNIQNVLEQYTKFVKPSFDEFIQPSMKYADIIIPRGGDNDVAIDLIVQHIRTKLCQHNLCKIYSNIFIISSTFQIKGMHTLIRDVNTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQITTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCNGIKIGKILIHRENNDGRQLIYEKLPKDIASRHVFLLDPVLASGNSAVKAITLLISKGVPESHIIFLNLIAAPQGIHALCKKHPMVKIVTSEIDASLNEDSRVIPGLGEFADRYFGTDNSKNFQAGLKISK >A08p032340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19586817:19591377:1 gene:A08p032340.1_BraROA transcript:A08p032340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMANMDPEGMDGVRMTWNVWPRTKVEASKCVVPLAASISPIRRHSDIPSLPYAPLKCRTCVAVLNAFARVDFAAKIWICPFCFQRNPFPPHYHMISETNLPGELYPQYTTVEYAIPPAAAQFDPRSGAAAAPPQTPPPVFVFVLDTCMIEEELGFAKSALKQAIGLLPENALVGFVSFGTQAHVHELGFSEMSKVFVFRGNKEVSKDQVLDQLGLSSRRAPTSGFPKGAQNGFQSAAGVNRFLLPASDCEYTLDLLLDELQSDQWPVQPGHRSQRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIISKDLSEPVRSHKDLDKDAAPYYKKAVKFYDSIAKQLVAQGHVLDLFASALDQVGVAEMKVAVESTGGLVVLSESFGHSVFKDSFKRVFEDGEQSLGLCFNGSLEINCSKDIKIQGVIGPCSSLEKKGPSVADTVIGEGNTNAWKLCGLDKSTCLTVFFDLSSTGSNAPGTVNPQFYLQFVTSYQNPEGQTLIRVTTITRQWVDTAVSTEELVQGFDQETAAVVMARLASLKMETEEGFDATRWLDRTLIRLCSKFGDYRKDDPSSFTLNPYFSLFPQFIFNLRRSQFVQVFNNSPDETAYFRMLLNRENISNATVMIQPSLTSYTFSSPPQPALLDVASIAADRILLLDAYFSVVVFHGMTIAQWRNMGYHHQAEHEAFAQLLQAPQEDSQMIVRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMSAGSDVIFTDDVSLQVFFEHLQKLAVQS >A09p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16841538:16847367:1 gene:A09p027870.1_BraROA transcript:A09p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 7 [Source:Projected from Arabidopsis thaliana (AT2G01980) UniProtKB/Swiss-Prot;Acc:Q9LKW9] MATVIDAATPYRLLEEATGSSSEGESSPVDAVLFVGMSLVLGIASRHLLRGTRVPYTVALLVIGIALGSLEYGTHHNLGKVGHGIRIWNEINPELLLAVFLPALLFESAFSMEVHQIKRCIGQMVLLAGPGVLISTFCLASLVKLTFPYSWDWKTALLLGGLLSATDPVAVVALLKELGASKKLSTVIEGESLMNDGTAIVVFQLFLKMVMGNSSDWGSIITFLIRVALGAVGIGLAFGIVSVLWLKFIFNDTVIEITLTIAVSYFAYYTAQEWAGASGVLTVMTLGMFYAAFARTAFKGDSQKSLHHFWEMVAYIANTLIFILSGVVIAEGILDSDKIAYQGNSWGFLFLLYFYVQVSRCVVVGVLYPLLCRVGYGLDWKEGIILVWSGLRGAVALSLSLSVKQSSGNSFLSRETGTLFIFFTGGIVFLTLIVNGSTTQFALRLLRMDGLPATKLRILDFTKYEMLNKALQAFEDLGDDEELGPADWPTVEKYISSLKDSEGEQVHPHSGSKTGNLDSTSLKDIRIRFLNGVQAAYWEMLDEGRISESTANILMRSVDEALDRVSTESLCDWRGLKEHVKFPSYYNFLHSKLIPGKLVIYFAVERLESACYISAAFLRAHTIARQQLYDFIGESSIGSTVIKESETEGAEAKEFLEKVRSSLPQVLRVVKTKQVTYSVLNHLLEYIQNLEKIGLLEEKEIAHLHDAVQTGLKKLLRNPPIVKLPKLSDLISSHPLSGALPAAICEPLKHSKKETMKLRGVTLYKEGSKPTGVWLICDGIVKWKSKSLGNNHSLHPTFSHGSTLGLYEVLTGKPYMCDMVTDSVVLCFFISSDRILAFVHSDSTIEDFLWKESALVLLKLLRPQIFEKVAMHELRALVSAESSKLTTYVSGESIDIDYNSVGLLLEGFIKPVGIQEELVPSPAALLPYNENQSFRNSSEASGIMRVSFSRQATQYSVETRARVIIFNTGAFGAHRTLQRKPSSLSSPIGSSSEHQLQRSSSKEHRGLMRWPESIYKAEHQEEINRKALNLSEQARQLSIFGSKVNLFTRSASFGGIINNKPQDNVLYKKHPLDAAKSESSMATREQVETRKFVSQLPAHVASAESSTRRKPMAESSDDEEEGIIVRIDSPSTIVFRNDM >A02p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8208527:8210648:1 gene:A02p018000.1_BraROA transcript:A02p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLAFLNATGISSPTSGLIRRRSHIVARQDLPKLSIINSSPRLSDSRNASSPIRSVRVRSQLNTPLISGNDEWGTWTALFATGAFGLWSEKTKVGSAVSGALVSTLIGLAASNLGVISSDSPAFAVVLNFLLPLAVPLLLFRADLRRVVQSTGKLLLAFVIGSVATTVGTALAYYLVPMRALGPDSWKIAAALMGRHIGGAVNYVAIANALEVSPSVLAAGLAADNVICAVYFTSLFAIGSKIPAETLPPPTSDVALPCTDAETSKGSETENKIPVLLIATGIAVSLAICKVGALLTKHFGVSGGSLPAITAVVVVLATVFPSQFGRLAPSGEAMALILMQVFFTVIGASGNIWSVINTAPSIFLFALVQIGTHLAVILGVGKLLNVELRLLLLASNANVGGPTTAAGMATAKGWNSLIVPGILAGIFGISIATFIGIGFGVKVLKFM >A06g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3378187:3380505:-1 gene:A06g500840.1_BraROA transcript:A06g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRSDGGLPQKTLPSPAKPPSENQRTAAEEQRRNEKIVRFTELSRGICTGSKGMKDRPIRCMTSSGTSEGRGDQKKSGSLVLRRRSDMEEKKLADDRVSDEQVKRIELMVSDVDARDTKDEVFEEAIDSLKPESFQADDGLHEDCLQRRGSESARVNGDEGEAGAGNVSETATLSFSENGIFSHEKKAVLLSFGSEKEEKKLGDDRIIHDQVENNILLVSDVDARDAYDEVGHNRKEIGFHAGVWRSPGWPFAQQSRTNSSCNCSQLLCVLDRELNLERNPQAVLFGKYMVFRGAYKQKHIFKKNPKTHIRIALRMSDSIQVASGEKSLNDSIEVACAGTSSPLERKPISYVKLWSLGYSNKMVGHTGCSMKLDKSGTSLRCNRCVSTNITGVIRFRVELADDDGNDCATFCGLR >A01p053440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30052193:30056560:1 gene:A01p053440.1_BraROA transcript:A01p053440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVVFIKDGHLGLTQIIIPIYEYKDIASNTISKINRDETIVFGKLGARSQIGKYGKVSFHVNVCFTVVSFMSPTIFSQEYDYSLEDVSIPPIPDYIEAPSQPQVSYYTNEEFAFLQDCLKKVETDYCAAKIFKDMLDETTTPLTIGCCRNLLKIGRDCHLVVAKFESVPQNAFIDPSAANAVAKSKYTWKDCVRRIESYIGAPEQSQEFDRYSPEVPEVPEVPEDVNISPTPDFDIHDAHDPPSADSPSLDHRRLSYYTDKEFSILQGCLDKVETGYCGAKILTDMLDETTTPLPIECCRYLLKIGRDCHLVVAKYETIPQFAYFDPSASNAVPKSKYTWKDCVRRVESHIGAPISLE >A07g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20163335:20164059:1 gene:A07g507460.1_BraROA transcript:A07g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATTKATLVGKLETDVEIKASAGKFHHMFAGRPHHVSKASPGKIKACDLHEDGEAKVAKERIEAVEPEKNLITFRVIEGDLMKEYKSFLITIQVTPKHGGPGSIVHWHLEYEKISDEVAHPETLLQFCVE >A02p057050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34351625:34353270:1 gene:A02p057050.1_BraROA transcript:A02p057050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNP33 [Source:Projected from Arabidopsis thaliana (AT5G61210) UniProtKB/TrEMBL;Acc:A0A178UGR9] MFGSKNSPANLPKHNSADPGFHKPNPFDSDDTTLNPSKRTSSEPSLAIMTNPFDEVEKGSSTSSSKQSLTSNSRHQYKNNFRDSGGVENQSVQELEGYAVYKAEETTKSVQGCLKVAEEIRSDATRTLVMLHDQGEQITRTHHKAVEIDHDLSRGEKLLGSLGGMFSKTWKPKKTRPINGPVISRDHSPTRRVNHLEKREKLGLSPAPKAQSRTREPLPESADAYQRVEMEKAKQDDGLSDLSDLLGELKNMAVDMGSEIGRQKDGLDHLHDDVDELNYRVQQSNQRASRLLRK >SC203g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:105422:106806:1 gene:SC203g500050.1_BraROA transcript:SC203g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGIWQQAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDEQGGVWIWSEVLVNPNHLNMEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGKLDTNVEGR >A02p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8229234:8231184:-1 gene:A02p018030.1_BraROA transcript:A02p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLRGRGRGEGSAMRGGGRGPRGRGPRGRVPGGRGPRSGPGGMKGGNKVIVTPHRHEGVFIGKGKDEVILTKNLVPGESVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAIFGGLDDIWIKPGAKVLYLGAASGTSVSHVSDIVGPARIVGLNASFFLKAGGHYMISIKAKCIDATMPAETVFSNEVKKLQADELKPAEQITLEPYERDHACVVGGYRMPKKQKTATAS >A10p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18657902:18659179:-1 gene:A10p031050.1_BraROA transcript:A10p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 40 [Source:Projected from Arabidopsis thaliana (AT5G14340) TAIR;Acc:AT5G14340] MGRKPCCEKIGLKRGPWTIEEDHRLMNFILNNGIHCWRVVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTDAEEDRIMELHSQLGNRWSKIASHFPGRTDNEIKNHWNTKIKKKMKHLGLNQAADEPINSITSRTESKQITNPNMSSTIKEKEETKEQPKDDVIIETTKPLIVSNNDEEIQAENCKTLYAEEVDIGSLFAMQDNEISISSSSFSSLYSNISRSESSSYLAGDSISLEQWDLDMTDPLVPWDLFTNLDDTLFLYDKM >A07g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7768559:7769345:-1 gene:A07g503980.1_BraROA transcript:A07g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALVFLSDLQRGRSSSTLQVRRLRRGGDLMGVDMLLLDSQSVRDLLLRFWEARNMKSGGNHIGVDLLLLDAKVFLVKRLLKASVEETRGD >A09p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:255917:260558:-1 gene:A09p038490.1_BraROA transcript:A09p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTHAPFCWQQDILKLRMERSSGTTRYGRRTKPFEISRVHEVVFYTQGKCDSAHHMSHTDLKLLSFLADSSPAVYPVIYYLVPFLVEPIHLRNKKEKFREKERKKERKILSICVCSDQSDHCGDFKSRIFQKPSVISLSSSIVFLSQSHGIKVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGALVFFDCWSKAIGSILRTSDRPSRNIDR >A08p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2215719:2217882:1 gene:A08p003870.1_BraROA transcript:A08p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRELEMQEMDWTALANPSEEMQRSWLLQSTTLKMKTYVQKKKTYAWTLAFIGVLVVIAFTMMTIRALTHLDHYQQQPPETYSIALHTGLKFFNSQRSGRLPEENNVTWRGDSCIQDGKYPGSSYPHLSGGYYDGGNAIKSNFKMSFAMTMLSWSVIEYHSKYQQLGELIHVEGIIKWGTDYFLNTFDSSADTIHDMVFQVGHEGTERYCWIRPEDIDYQRHADICFSECPDLAAEMAAALASASIVFSKNVAYSQKLIHGAKILYKYAESSMNTHTSSSWDELLWGGVWLYYATGDVSFLDRVTTLALADPSGVFSRDSGVFSWNTKLAGAQLLLTRLRLFLSPGYPSEEVLRKFYNQIGNVMCSYLPSFNKFNRTKGGLIQLNHGDPQPLQYAANAAFLAALYSDYLDASDTPGWSCGPNFYLTYVLRDFSRSQIDYILGKNPQNMSYVVGFGERYPKRVHHRGASIPKNRKESCKGGWKWRESSKENPNVIEGAMVAGPDGYDGFHVVRTNSNYTEPTLTGNAGLIAALVVLSGQKDNLDKNGIFSAIPPLFPVAPPPPAPWTP >A05p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7257651:7259535:-1 gene:A05p016330.1_BraROA transcript:A05p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTISKASSAISSFPCSSKLTSQPCVRQLHLRKDLVCRVMKLVSSPLRTLRGASKSIRVSNFCSVSNLSSLQIELVPCLNDNYAYILHDEDTGTVGVVDPSEAEPVIESLQRSGRYLTYILNTHHHYDHTGGNLELKDRYGAKVIGSAVDRDRIPGIDIALKDGDKWMFAGHEVHVMDTPGHTKGHISLYFPGSRAIFTGDTLFSLSCGKLFEGTPKQMLASLQRIISLPDDTSIYCGHEYTLSNSKFALSIEPNNEVLQSYAAHVAELRQKKLPTIPTTVKMEKACNPFLRSSNTDIRRALGISETADDAEALGIIREAKDNFKA >A01p027010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19170377:19172213:-1 gene:A01p027010.1_BraROA transcript:A01p027010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWTSLGHVDTINEEEDYMDHSSSFSSSSSSLSPSPQPRISLSSPSMELESRVNKWSLVHNSKPDALVHVGGTRFHLHKDPLSRSSGYLKRHLTDVDELTLSPPLNITAETFSLVTAFSYGAHIELTPFNVVSLRVAVELLLMIRDNLKNLTESYLRRVVFANANYISIVLRSCLALLPESETAAFLVGRCIEALTEIGDGDCVNEFLEQAIILPAGNFVIVADAVQQHFPRHDLLYRVVDAYVKEHNGEITEEEKVEICNSIDCDKLSPPLLLHAVQNPKMPLRFIVRAMLQEQNNTRRSIIAAADSVATTGAPAGHRHREDSSATLESLLQRDTAARQNYRLRAAMDSTSSRIESLEKELEGMKKLISKESQRIMEANSRSVMDSVSARSASFHQRSNNVNKMQRGERGSVSSLSTTFRRGGTSPPPQHRREKSLGKRLINGIKNAFSSSSPKQGAKKNENTVEEIYDGLEDIVWIKENDNVSEELHSHYIKSK >A02g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21442068:21458500:-1 gene:A02g507740.1_BraROA transcript:A02g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWKVILLHFGEFPTTKELLDKERAVWIRFLQAREAGDRLFLGGCSQGESRPEGVESDRRERWAQSGCVKVTAGSSPYAHLLTSSFQHVCCLFFCGWVYLRFSGGKMNMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSDLHDQDISQEVENNVQSSGEVDEIQSSGEEQVGPASSEEEQVEPPAKLLQQDVDIKETINKEVKTKERWAEWSGIVTGPNGWEDFESLTRPVTCTPTGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQELLQQDVDIKETINKEVNTKERWAEWSWIVTGPNRWEDFESLTRPVTCTPNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQ >A09g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20685246:20690942:-1 gene:A09g507050.1_BraROA transcript:A09g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADLSAANAQANAATLEEFKKMFATYEKRSEEQDKLVKTLTKQVETLTARTQAIRPRGTTKIHGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIHLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELDERKTELTRNLQGAHNYAISSDQGRTTGNTWTRNQGYDENTFCQFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAKKSPQRNHPGDKHELNTRRQLVFTSTLLRKTRNKNIYHINKPRKAARDSKLPTASPVKVPGQRSTERLRGTIHFLATIGKTGRNLLGIRGNRDGIPEPLNPLINRRDKRLGMGMITHPTLHQAHFLFKHIVIGSRPPKTADRTAALAKVTHRGKGILEVPILNLELRCTSFHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRLEAVDHGFSMARLNGRAQQAQALQNRLASSIRTKKKNFFHELKDQPMLIRTPDKLKPQKRFIMIDGKGKAMLGCQLTYRNFETVQHSDENFGYGEPKATTHYERLITSKVTLRGVVSTFPAAGNPELHSIRDVVERSHRREKLVSSPACILFLDSTMDQDRERLLLGRGQRAIMSNPPCLVLGRMHRLGLSDINSIHHA >A07p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3488478:3490335:-1 gene:A07p002960.1_BraROA transcript:A07p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGWVTFVCDSVCLEKPYICSSDDLLGKSSSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRLIIQSSTRRLTCKSSRIFFRDSGQTSRRLNFQSSEITDFKVNCKNNLCVDQTTYSLVSRHAIHKTDHKQNYYRSFLHKDKLGLHLIWKKTLSEDFQEVQTTFKKSRRLPGSSDDFVRRLLGSSDDFQTTLQEVQTTFRKSRRLLGSPDDFVRRLPRSPNDFQTTSR >A03p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21301045:21306426:1 gene:A03p049700.1_BraROA transcript:A03p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEDDFVFHGTPIEREDEIASRKKKAVAGASGTLKTLPAWKQEVTDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWAPQSFTSSRKNRAGARQQSISDFLDEDEKAEMEGQSLSASSQFDTFGFTAAEHSRKQAEKEQHERPSAIPGPVHDELIAPAPESIGVKLLLKMGWRRGHSIKDVRASSDARREARKAFLAFSADENTKESSDSLVLETEAETSLGPQFSEDIKFSETTPVYVLNPKLDLHGLGYDPFKHAPEFRENKRSRLSASKEAGYRKPLSMKESLFGPKSGKMAPGFGIGALEELDVEDEDVYAGYDFDQTYVIEDEQPARPSNDNRLRLTSKEHNVLPGFGAASNSDYSVERFDPPKIPKDFVARHKFSGPRETETKPTASTPPDVPPPEDKNLKLLIDGFATFVSRCGKLYEDLSREKNESNQLFDFLRGGSGHDYYARRLWEEQQKRSGQSNLQLDVKVPPSVQKMTAETRGSLLGERPLQKSLKETETSSSSGGSFQFPTNLSDTFTKSASSQEAADAIKPFKEDLAKQERFEQFLKEKYKGGLRSSDSNRFNIVSESARAQERLDFEAAAEAIEKGKAYKEVRRATERPIDFLAGGLQFTSGGTEQIKDTGVVDMKTSKTYPKREEFQWRPAPLLCKRFDLPDPFMGKPAAAPRARNKMDSLLFLPDTVKAASGSGARQVSDLQEPEKEPEVEVEVENVERPVDLYKAIFSDDSEDDEEQQPMNGKIQDGQEKKNEAAATTLNRLIAGDFLESLGKELGFEVPSDAPYPEGTKPMEAENKPKGKPDASSERRPGLKEKPEEKTSSLKLVSEEEKSTQKREKSPRNWSGGNDLYSSESSGDERRRKRSKKDRHRNYDSESDSSSDYHKRDKHSSRSRRKQRESSREKRSGHKKHSKHYKTKDSSSSRYSGDEERKESKREKRRRRD >A07p011230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8849417:8852844:-1 gene:A07p011230.1_BraROA transcript:A07p011230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRNLLALSASLLVLAFFVCVNASTRAHETEELKSQSLTNSSTADNLSDGAWNEHAVENPEEVAALVDMTIRNSTERRKLGFFSCATGNPIDDCWRCDRNWHLRRKRLANCAIGFGRNAVGGRDGRYYVVTDPSDNDVINPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVAIAGGACITIQYVTNIIIHGINIHDCRRTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWIDHNSLSNCADGLIDAIMGSTAITISNNYLTHHNEVMLMGHSDSYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWVMYAIGGSANPTINSQGNRFLAPANPFAKEVTKRVGSWQGEWKQWNWRSQGDLMLNGAYFTRSGAATPASYARASSLGAKPSSVVSMLTYSSGALKCRIGMRC >A08g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16679792:16680977:1 gene:A08g508880.1_BraROA transcript:A08g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERVFPFSRLRVSIVAEFRYFGDEKSSSKSRLIFFYLSKSLIVSAIKPKEPLMFQTLIHSPSSSFSRNQFRWIWTNLRSQFKDSNIMDPPDKDPDPDTLKLSRYPIRPMPIP >A06g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9427791:9429521:-1 gene:A06g502920.1_BraROA transcript:A06g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYWNQQQRHHQPPPMSSIPHGGPLKRHRSDFDNDYVSRDEDRGIPHSVRDTRTIGSAYDRYLQSAQTSSMPSEEAGRFHGAGMGRRGGGDMGELMPGRGGVMPPDFGPNGRDLGFGQQDLVVARPGRELLRLPPDASNTLFVEGLPSNCSRREVSHIFRPFLGYKEVRLVTKDTKQRNGDPVVLCFVDFENPACAATARTALQGKMRFSLCPKLAIEWMKMNRTPKICRSSFQETQVEEQDNAEEGGDEWETFGGGITQMVAYCFSRIQTHLITYSDPWEYYSHGLTQSNKDVWIIHNRDVI >A05p011820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5172122:5172826:-1 gene:A05p011820.1_BraROA transcript:A05p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYGPPIYPPAQTYHSHGRRRGGEVGCSICRCFLNCLGCCGGCILSIVCNILIGIAVCLGVVALILWFILRPNVVKFQVTEANLTRFDLEPQSNRLHYNLSLSFSVRNPNQRLGIHYDRLEARGYYGDQRFAEVDMKSFYQGRKSTTEFGTELNGESLVLLGSGGRKDLRENRKSGVYRIDVKLRFKIRFKFGFLNSWAFKPKIKCHLKVPLSSSGSTGGLQFHPTKCHVDL >A09g515880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46842178:46842854:-1 gene:A09g515880.1_BraROA transcript:A09g515880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHDKLLSDQGLTKVVCTAGQQTECAIDETFDKEEVGKTTDLANQVCIFISKTQDGYKKMTFPLQSIKRGNLEFTEVDDLEKRLT >A09g510410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31631449:31635346:-1 gene:A09g510410.1_BraROA transcript:A09g510410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDNHKLPVCLFPFSLINFDWLETGSWEGKDSVLQMMKQVANCLPLAMLCYELNPQVKQPQLVFNPPPAASHVLKRTEKPREFQREREKEEQKNHLVLEKDQKPQAYLGEEDQLRPSSPFVCLAKVWSFASPILSFQPCSRGTQVLSKPVSRLFFRLFAAIYSTPLLTPSFDATPSGSSFETDPSEGSYNQTPVHIPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAAHIPPAPAAPIPGAQPGPAPTDPAIIALLELMAEMVNLQHQALNAQREAQRAQPAPVPTTSHPDFLKIVMIMKYLGTKRYQGGTDPFEADAWLHNLEQNFAATRCPVEFTKDVAVYYLEKDAISWWLCIEGYFREFNLSWADFRTAFVRKYFPPEARDRLEIKFKELVQGGLSVRKYEEEFTHLRKYVHYGREDEIMIIRKFLRGINPYIRSRFEAVEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVSFQPQPYSAMQQGRGGRVFRGGHSGGTRPRTTTCFTCGQLGHVRRDCPNVVQFQSSVPSHITCFTCGERGYYATSCPYTHLAQPVVMSAQPIVPVNPPLPLPPAKRQATAGRAYALELPQPSGPPQGPISGLFS >A05p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1923917:1928107:1 gene:A05p004930.1_BraROA transcript:A05p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRNLLPFSTVGSDLRESKELVQRLDVPESTKAFVFAIKVPEHDSTIYLLSVQNLSQRSATDAECLIRELRPDAVVAQVNPSPFGEDDEEGSIPTSAFKVLARCLKDESLSKEKYQSVARNLVIKEIFGKCFNGPLLAAKRVAEEIGSTFMVLESPFLMDGLTKSPLGSTRFQISNDQRSPMQRLLSSHITHLSNEIESEVPPFALSVYNLLVELHNIFNDLPAMRKALDTATKMLSDVNNGEAVDAEALSEVYLFRLAVEGLRIALNKGGRLNNIRNLGGEVQFSKLSSDDKAYALMADDLRSQAKKFKSIVAVIDAGNLAGLRRHWRTRVPQEVKAMSTEHTVQDSDSKIKPVVAVGGALTLSKSSRFLNRFLTRKASAFTKMVYPSALSAERIRGVTQYILTSAEGTSLESMRAAFYAMMMRNRRLAKPMGALPMVVFGAGLASFSGLIYCEERIECAAVTLPSAPSIAKLGRGVQNLREASLEVTRRGNNGVHNAMQGLSQRVRNLTLNYLNFKPNLESKTLVQRLDVPESTKNFVFAIKVPEHDSTIYLLSVHNLSQRSATDAECLIRELRPDAVVTQVNPSAFGEAEEEIVLVDGSTGSIPTSVFKVLTRCVLDESLTKAKYQRIAGNLVMEEIFGTGFNKHLLAVEKVAGEVGSTFVVLDSPFVMEGLTKSLTTQAYHGSALINVDQQALMHRLLSSSHIDKRKSRVKVPKFARSTYYLLVEIHNTLFDDLPAIRKALQSAKKIFSDVDKGESIDTKALTEAYLFRSAVESLRVASNDAGRIPIENLGTEVQFSKLSFTDKSYALMAVELRSQAKKFKKIVAVVDAGNLAGLRRHWRTCVPQQVKDMSTEHTGFDSNDSGAGSGALTLSKAILASPVFKISTIKTLVNPFLTHKAMPFAFTKVAYPSTVMTLMAPWFASSGAQPLSWGKPSLSARHISALTMFGLSSARRTSFSAMRASFYTMMMRKRLVKPIGTLPRVVFGASLVIYAGLHLFGDGIECAALTLPSASSIAKLGRGIQNLSEASLDVTRRGNNRVQNTRDGLTQRLSNLTLTINFKTKFGS >A03p001130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:593235:593966:-1 gene:A03p001130.1_BraROA transcript:A03p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRTFMSFNLFIFFLVIATTNGQAPAPTPSGPTNITAVLEKAGQYTMFIRLLKSTQAADQINTQLNSSSSQGLTVFAPTDNAFSSLKSGTLNSLSDQQKVQLVQFHVLPTLLTMPQFQTVSNPLRTQAGDGQNGKFPLNITSSGNQVNITTGVVSATVANSVYSDKQLAVYQVDQVLLPLAMFGSSSAAPAPAPEKGGSVTTGSASGSDGGGDSTDSSDAERIRYGIIATVAAIAASSLWI >SC218g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000074.1:49861:54388:-1 gene:SC218g500010.1_BraROA transcript:SC218g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGLREEAQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDKQGGVWIRSGQG >A07p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27043955:27049621:-1 gene:A07p050760.1_BraROA transcript:A07p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT1G78510) UniProtKB/Swiss-Prot;Acc:Q8S948] MANAKETTLYITISVVAFVIGKIIIALLLYKRWKRKHTVHENGFPVKGGGKMVMFRSPLLNSVSSDLFMKKTHKLSNKDILGSGGFGTVYRLTINESTAFAVKRLNRGDSERDGGFHRELESMADIKHRNIVTLHGYYTSPHFNLLIYELMPNGSLDSFLHEYFDTGKATMKGDVYSFGVLLLELLTGRRPTDDEFFEEGTKLVTWVKGVVRDQREEVVIDNRLRGSPVQEMNDVFGIAMMCLEPEPDVRPTMTEVTKTWCVAKPSSDQAALLDNINYACSHVDCRVLSSGCPCYSPGNLINHASVAMNLYYQANGRNYWNCNFKNSGLIVITNPIFRALLDSGFCERMMMTSCRNIDLGTSVLDLISCGCGRRQFLLGNFPKAVCTARSYGGRNLVFLRRDVGRSCKAVPTKPKEISLVNGIGEAKTVSFDLRQETSSKQPISLANLFEVVADDLQTLNDNLLSIVGAENPVLISAAEQIFGAGGKRMRPGLVFLVSRATAELAGLKELTTEHRRLGEIIEMIHTASLIHDDVLDESDMRRAVLAGDFMFAQASWYLANLENLEVIKLISQVIKDFASGEIKQASSLFDCDVTLEDYLLKSYYKTASLVAASTKGAAIFSRVDTDVTEQMYEFGKNLGLSFQVVDDILDFTQSSEQLGKPAGSDLAKGNLTAPVIFALEKEPRLREIIESEFCEEGSLEEGIELVREGGGIRRAQELAREKADEALKNLQCLPQSGFRSALEEMVMFNLERID >A01p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8064148:8066145:-1 gene:A01p016310.1_BraROA transcript:A01p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVVKKQTSHFLSKKLIILVENVQKGKTASFSLLTGEIKAISRATVPPSASHRRLVRRRLLSQCSALSPPSTMKKKKPKNSPTQFPLKSPPQAPLKPPSQALSNSLEDCHPCEAPKIVSDAQIGSPADKVAQRSGVSSDLALVLTEVQANKIVIDELASDPSSASINTLSMQLESIPVITPQRSSVSVKDIVVASKEGLLSIAASQLEIVDAKINSMTPHQAVSNQEASSEGINLDVAPVQLAPAQIAPAQLVLEPAGRKTRRGRSKDKQKWKVVDQSAETTKKASTPPPPSPTGNTSSSAPLSEPQDGSEKEYKGMQRTENNQLSKLGTGKDKERGETSKTPYYLKSTRPRSGSANTRSSKSDVQPDSSDVESSDSELEE >A05p048190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28252811:28254708:1 gene:A05p048190.1_BraROA transcript:A05p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGNGRFFTIGLVASWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTVRSRVQFLKIAALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLITMKREAWLTYVTLVPVVTGVVIASGGEPSFHLFGFIMCIAATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEKNVVGITIALARDDFRIVWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSVTGMLGYSLTVCGVILYSEAKKRSK >A09p034150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20631605:20633409:-1 gene:A09p034150.1_BraROA transcript:A09p034150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSVFWFGPPAWFVLSAVGFGGGDWMRFSFSLDLVLCRRWERVNVVVRLLLFRSGGLAFKLSFWSGVSVWLPVCGGCRVRSSDCFWAGSSMTCASNSPVVTTAFSSRFSPLLCCYIASAQCDASSFPFLWGSQRSPPLLSLRRGFIELPSVLGVSARQGFRPPFESQSSISLKETRVLIGHPSSIVRSLDHQWRADLSLYCRFGRAAQVALIAPCLLASARIINPKPSIISVILVVLGRQARLAL >A05g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23415698:23416366:-1 gene:A05g507960.1_BraROA transcript:A05g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIRLMESWPSDLAAGQGREQAQPAGDSVKPAHSVHGSSLELIGPWGLDLGQGTLGNVMGLIFGQPGREEQFGSYSRKGAKGAVLCLFSLTAWPRVPGTENGTKFLRQSSAKLLTERKKERDRRLEKRTVCGSVGLPACSECGKARP >A02p049730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30786527:30788897:1 gene:A02p049730.1_BraROA transcript:A02p049730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFB2 [Source:Projected from Arabidopsis thaliana (AT3G26810) UniProtKB/TrEMBL;Acc:A0A178VGF7] MNYFPDEVIEHVFDFVTSHKDRNSISLVCKSWFKIERYSRQNVFIGNCYAINPERLLRRFPCFKSLTLKGKPHFADFNLVPHGWGGFVQPWIEALARSRVGLEELRLKRMVVTDESLELLSRSFVNFKSLVLVSCEGFSTDGLASIASNCRHLQDLDLQENEIDDHRGQWLSCFPETYTSLVTLNFACLEGETNLVALERLVARSPNLRSLKLNRAVPLDALARLMACAPQIVDLGVGSYEDEQDSESCLKLQAAIRKCTSLRSLSGFLEAAPHCLSAFHPVCHNLASLNLSYAAEIHGSHLIKLIEHCKKLQRLWILDSIGDKGLEVVASTCKELQELRVFPTDLQGGGNTAVTEDGLVAISAGCPKLHSILYFCQQMTNAALITVAKNCPNFIRFRLCILEPHKPDHVTSQPLDEGFGAIVQACKNLKRLSVSGLLTDQVFLYIGMFATELEMLSIAFAGDTDKGMLYVLNGCKKMRKLEIRDSPFGDAALLADVNKYETMRSLWMSSCEVTLGGCKRLARKAPWLNVEIINENDNNRMEENGYEGRQKVDKLYLYRTVVGTRVDAPPFVWIL >A06g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18633788:18634817:1 gene:A06g506700.1_BraROA transcript:A06g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMATSLGYLDFGFNLWHRLGFFSGVDGCMGLMGDFRTIFFDSTPVWFNLGQNRVPRICYLTACRMEFVGKRREYGCELQSVLKNIGGWELQSVSRGVNKVAFMIARSVTMEQRMQSYVSQAEQVWLRNAVAVDRSLI >A05p054140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32216279:32218000:1 gene:A05p054140.1_BraROA transcript:A05p054140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYSKNTMTRNSKPPDLELYVKGVPFHLHNETLAKKSAKVTTLLECKKIDELRWILKDIDVEPTTFFLVVRFCYGYKIHLSSENILSVLCTAYYLEMNDDHISNNLLNKAVTFLEQRLLMSWNETVKALAVCSDKILDKISVVGLTEVFLDSLLEKALKDPSLLEYLTTLPLRLYEPLIQEASKHNVSVENLVESVYNYAKRYVFEKYSGDESVSRNKRQVLEAVERLLPHQRGAISCGFLFKSLKESMFLDASPDCRKGFEDRISKQLDMATSKDLMILLPNKVGGGAYDTNLLKTILQSFYSNYNVSDVSRFVSVARMLEEFLLDAAASDAGLSVETFKAFGEITFAASCDVLRYSDGIYRAVDVFLERHRDDLTETEKMEACRVLDCKKLSPEACEHASKNEKLPLRIVVQVLFFAQKQIQDKVAREMESVEDKDDDDDIEDMNKKLLRLDIESDYSEKREIENLECVVHCVKEKKEERKISVWREVKRKFGCMTSLTVDACNCHIKKRKKTYHHYK >A08p039610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22756428:22761228:-1 gene:A08p039610.1_BraROA transcript:A08p039610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMLVLVGTIPSLASLVSPGGGGGPSVSSYASYALVKRVSLPRRSVKGAKKWLCRYSLSSSTTTSTTDFIAESNSAVSIDSNSFKASIEGDESDIVLKQAPKPALKPPVARVERGLGVSSAPWNKDISNGGKFDGEEERSKVIESLGEVLDKAERLEIPKPVSKEGGEGFKPSQPSGSSSNSKGDGFGTRKTKTMKSVWRKGDAVAAVQKVVKESPKIDNKGMQADAKSGTQLSLPQPPLRAQPQLQGKPMVAQPLVKKPILKDHGMATRPSGPILKDVGMATKPSVSDEVDSSSQSKERKPILVDKFASKKKGVDPVASQTVLAPTKPGKGPPSNKLRFEQRNKKNASANPRRRMAAEDDADEDASELNVSIPGKGRKGRKWSKASRKAVRLQAARDAAPVKAEILEVEEEGMSIEDLAYNLAIGEGEILGYLYSKGIRPDGVQTLDREMVRMICRDYDVEVLDADSVQVEEMAKKKEIFDEEDLDKLEDRPPVITIMGHVDHGKTTLLDYIRKSKVAASEAGGITQGIGAYKVSVPVDGKLQSCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKVDKDGASPERVMQELSSIGLMAEDWGGDVPMVEISALKGENIDDLLETVMLVAELQELKANPHRNAKGIVIEAGLDKAKGPFATFIVQKGTLRKGDVVVCGEAFGKVRALFDHSGERVDEAGPSIPVQVIGLNNVPIAGDEFEIVSSLDVAREMAEARAVSLRDERISAKAGDGKVTLSSLASAVSAKKMSGLDLHQLNIILKVDVQGSIEAVKQALQVLPQENVTLKFLLQATGDVSNSDVDLASASEAIIFGFNVKASGSVKKDAENKGVEIRLYRVIYELIDDVRNAMEGLLESVEEQIPIGSAEVRATFSSGSGRVAGCMVNEGKFVKDCGIRVIRKGKTVHVGVLDSLKRVKENVKEVSAGLECGIGMDDYDDWIEGDTIEAFNAVQKRRTLEEASASMSAAIEEAGVEL >A08p012230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11373524:11375002:-1 gene:A08p012230.1_BraROA transcript:A08p012230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVSSSVPSPSEDAEQLKTAFEGWGTNEDLIISILAHRSAEQRKLIRQTYHEVFGEHLLKSLDKELSSDFERAILLWTLEPGERDALLANEATKRWTSSNQVLMEVACTRTSTQLLHARQAYHARFKKSIEEDVAHHTTGDFRKLLVSLVSSYRYEGDEVNMTLAKQEAKLIHERIKDKHYSDEEVIRILSTRSKAQINATFNRYQDDHGEEILKSLEEGDGDDKFLELLRSTIQCLTRPELYFVDVLRSAINKTGTDEGALTRIVTTRAEIDLKVIGEEYQRRNSIPLEKAITKDTRGDYEKMLVALLGEDDA >A03p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4007019:4007997:1 gene:A03p010030.1_BraROA transcript:A03p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFELCRFFYCEKMCENMSLSEEEIRMLFRVNKTLNHMLKDRGYIVTDAELEMTQEQFIDQYGENMERKDLVILKTKKNDESDKIFVFFLQETKVKMVGIKACFERMVAQNVFRAILVVRKDMNRFALSAVTDANSKRILYLESFKETELLMNVKEHAFVPEHIALTTEEKNALLEKYTVQENQLPRIQYTDPIAKYYGLKRGEVVKIIRNSETSGRYVTYRFVI >A07p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12506010:12513402:-1 gene:A07p021400.1_BraROA transcript:A07p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYNVVSPSCSKLFRCVLFLPLFFIFFVASARHLEQVPEPSPRPAPVPQPSPKPKPSPSPGPTPAPEPKPCPCPSPGRAPTPSLPRPRNTTFPAIFAFGDSIVDTGNNDYISTLVKANFPPYGMNFPHGLPTGRFCNGKIPADFIAEFLGVKPTLPPYLKPGLTQEDLITGVSFASGGSGFDPLTPIVVSAIPMSNQLTYFQEYIEKVKGFVGKEKAEHIISKSLAIVIAGSDDLANTYYGTHAEELLYDIDAYTSYMASSASSFAMQLYESGARKIGFIGVSPIGCIPIQRTARGGLKRKCFDEINVAAQLFNTKLSRSLHSVAETLKNATLVYIDIYSLFAHMIQNPKEYGFDEIDRGCCGTGMVELGPLCNQFTSLLCSNVSSYMFWDSYHPTERAYRILTKNKSMKQSSMVHSCSMLFRFVVFLISFCIFFATTSHAQVVHRRLWPWPSIPWPSMPWPYPWPMEPPESGPPPGPSPNPGPPSGPSPRPPLPPKPQPKPSPAPGPSACPPIPPKPQPKPPPAPGPSQCPPPKPQPKPPPPPGPSACPPKPQPKPPPPPGPSACPPIPPKPQPKPPPAPAPTPCPPQPPKPQPKPPPTPAPSPKPGPSPPPPPPPSPAPKPVPPPAPSPKPSPPAPSPKPKPSPPAPLPPKPENKTIPAVFFFGDSIFDTGNNNNLKSKIKSNYRPYGMDFPSRVATGRFSNGKVASDYISTYLGVKEIVPAYLDQKLQQNQLQRSDLLTGVSFASGGAGFDPETSESVEVIPMLDQLSYFQDYIKRVKKLVGKKEAKRIVSKGVAIVVAGGTDLIYTYFGIGAQHLKTDIDSYTTSMADSAASFVLQLYGYGARRIGVIGTPPLGCTPSQRVKDKKICDEEINYAAQLFNSKLAIILSQLSETLRNSTLVYMDIYSIFSKILESPAHYGFEEVKKPCCKIGLTGGATKFLVVLLSLWLSCIHAIQTGRFPAILAFGDSILDTGNNNKLMTVSKSNFLPYGRNFPYHIPTGRFGNGRVLSDLVAEGLGIKNLVPAFRSSFLKSSDLPTGVCFASGGSGLDKFTASIQGVIWVQDQLKDFQSYIQKLSQEVGDAAKVKEIIANAVVLISAGNNDIAITFFATRAKKLRYNIETYTDQLIEWKTAFMQNLYNMGARKFAVLGTLPLGCLPGARQLSGDLICLPHVNHGAKIYNQKVANLVVNFRQSLPDGKFVYIDMYNSLLDVIENPSKYGFRTAKPCCCSVMTPIPCLDSGSHVFWDFAHPSEKAYKAVLPNIVSVISNKLA >A06g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15353112:15354730:-1 gene:A06g505210.1_BraROA transcript:A06g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNHYTQSSSYFPFHSNVHLGESENPPFSSHQSEDTPAAKSKRNTGQGKSVAEYTTLWEMKKEDLAMKERLTKLAILDTLLQKSEPLTEAEEVDKRNMGLDYSYSQPSDSEDLFCNSVDSGYNETDDLIRRDQEEIRLQRGRRYYTCPNVDDGECHVWKWWDDALMEELRDRDRQVLLLSEKVDSLALLSDNETEQKVAILEKMVYDLAKKKSKVSYRFEFFLGVMVLVVVLVGVVQWFLW >A03p055860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24123501:24125176:1 gene:A03p055860.1_BraROA transcript:A03p055860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSSSSSPSSPSKFLDLGSVFGQKEVKKILVLFLGLTASCLLLYKTAYPLPQELDVNNLTSSRPLLDHSSSSSPQRRPKSISFREVLENASTENRTVIVTTLNQAWAEPNSLFDLFLESFRIGQGTQKLLQHVVVVCLDPKAFDRCSQLHPNCYYLETSGTDFSGEKLFATPDYLKMMWRRIELLTQVLEMGFNFIFTDADIMWLRDPFPRLYPDGDFQMACDRFFGDPYDSDNWVNGGFIYVKSNHRSIEFYKFWYKSRLDYPELHDQDVFNKIKHEAIVSEIGIQMRFFDTVYFGGFCQTSRDINLVCTMHANCCIGLEKKLHDLNLVLDDWRKYLSLSEQVKNTTWSVPMKCL >A03p060220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26098290:26104881:-1 gene:A03p060220.1_BraROA transcript:A03p060220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTSDTEEENSSDITAHNPVMENVGGAVGSSVRENPETRQNGLMNEIKRFAGKPARLDRSKSTTGQALRGLKFISKADGADGWTAVEERFETITKTTEGLLIRSKFGECIGMKSKDFALVLFDALARRKHMTGDVIDKEMLKEFWEQISDQNFDSRLMIFFDMMDKDGDGRLTEDEVRQIINLSSSTNNLSAIQKRSAGYAAMIMEELDPHKTGYIMVDNLKILLMQAETLPEITNSEERRQPVEKITKKFNDTPYPSPSRTMYRRLRFFVLDSWQRIWVIALWLTITAILFTYKYIQYKNRAVYEVLGHCVCFAKGSAETLKLNMALVLLPVCRNTITWLRNKTRFGVLVPFDDNINFHKVIAVGITIGVGIHSIVHLACDFPRLIAATPEEYKPLGKYFGEEQPKRYSHFVKSTEGITGLLMVLLMAIAFTLALPWFRRGKLEKTLPKPLKKLASFNAFWYTHHLFVVVYILLIVHGYYLYLTKEWYKKTTWMYLAVPIALYACERLIRAFRSSIRTVKVVNAAVYPGNVLTLKMSRPKHFKYKSGQYMFVNCPKVSPFEWHPFSITSAPHDGYLSVHIKSVGDWTNAIKEIFSECGKDNSKMSQVMSKPPPVRDTSHGANNPEFGMKDLDLPCEVGRRCSALMMRSNYSRPMNLFLFKFVGLLIKLSLLMVKDCGIIEFQRFMCYPKIMIDGPYGTSAQDYKKYDVVLLIGLGIGATRMISIIKDIVNNMYAMENAQLRQMENGLEHVPQDKTENFKTKRAYFYWVTREQGPYDWFMDIMNEIAARDVNKIIELHNYCINVFEEDDGARSALIRMLQSIAYAKSGKDIVSETRVMSHFARPNLEHVYRKVAMDHPAGTNVGLFYCGTPLLAKELRRLALKFTHKTKIRFSFHNENL >A04p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16145521:16146933:1 gene:A04p026870.1_BraROA transcript:A04p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRVNALSNLNDLEVYNFLVDPNFDQFINFIRGDDQAIENPPLDFDLGGRPLQNNSCFIDQNQFVPTPVVDLFNELPDLGSHVTESFHSFEGESVKPGGDDDDYNEGDDSSATTTNNDGSRKTKTDRSRTLISERRRRSRMKDKLYALRSLVPNITKMDKASIVGDAVLYVQELQSQAKKLKADIAGLEASLNSTGGYQEPAPDARKTQTFQSIKSPSKNIIEMDVIQVEEKGFYVRLVCNKGVGVAPSLYKSLESLRSFQVQNSNLSSPSPDRYLLTYALDGTCFEQSLNLPNLKLWITGSLLNQGFEFLKPFT >A10g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3251698:3254163:1 gene:A10g501140.1_BraROA transcript:A10g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSSFVISVSWEDYDDEIGGIVTLRRRSDRDYEGKRFFGHQSFGSNWFFWIGKALGVFEEGFPRLMVMELGRLDRLVNQRYQGSYKEGVVLAIIQTLLNYCSRYAFSDLEKRINVGQYLDFYWICSFGLIIVVSMEPPLMERYGSVVGKVWWQEGSDSKFGIEDIDSKDQGPPMLSYKGAVESQGREVGVVVDGNNRRSGQQVMRNRDYKGKGIAYDSNNYEGSKKPGFKRSYGDQDVAYSRNMRPSGRLLHAEAPVRQAMATNGLSKLVSQDVGQHLDDQQKLMLDAFRSGKSGEKSQFSGSTARKALTFEGNPSEMATLGLEEAEDVTMEEAETKDLEEIPLLSDHLDAAAAEEKTLVNKEEWEGVEEGEKEIMIEGVGTERLTEDVVFTEITVESGAAQLEDVILTEADTVVGEEGQLLETETQEVTNVNEGKECQANKKKLGKAIDSVMGGTLKKRLVQSVVSPRKKHTANQGGKMGEKGALPPKSASVRPDPAQD >A10p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9579098:9579801:1 gene:A10p006130.1_BraROA transcript:A10p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S11 [Source:Projected from Arabidopsis thaliana (AT1G06830) UniProtKB/Swiss-Prot;Acc:Q9M9Y9] MDKVLRMSSEKGVVIFSKSSCCLSYAVQVLFQDLGVSPKIHEIDKDPECREIEKALMRLGCSKPVPAVFIGGKLVGSTNEINFSPHTEFARGISKNATKLSQNDKASSNQAMGRNDQSNSQPIKQKPSPLSQRSDALSDKKGKGN >A01p000630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:253588:253973:1 gene:A01p000630.1_BraROA transcript:A01p000630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIRLITLIILLFVASLNTIAFAGLAYDNESRNTKTGEAVWDKKVVNNLRGAVGPSISRPARQYRVSPWRGSDDSNSTPWERNAMIEAFFR >A06p023700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11549645:11549999:-1 gene:A06p023700.1_BraROA transcript:A06p023700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASQKTLGRLLGKSSNTFYATRLPKKSLGSLLKYFAQSDLSQTLEDFSEDSWKTSKKSSNAF >A09p049050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43490907:43494600:1 gene:A09p049050.1_BraROA transcript:A09p049050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLPFSSSNANFVQELSMDLNNNRSRLSTFPTYDHHHQAQPHSLQPYSYVACPVDQTAAMNPQIPVTQTGSEFGSLVCNPGFGQARGGFLDPHTAKMARINRKKAMIRSRNNSSPNSSSNELVGSRRQVVLTMKNNAEIAARKDLYRYPSFDNKKLRVLLVKHLKNSDVGSLGRIVLPKREAEGNLPELSTKEGMIVDMRDADSMQNWFWSNNKSRMYVLENTGQFVTEKRVEIGDFLTIYEDESKNLYFSIRKHADKPNEGREDESMEANDMNFYEDIAFDFIPKDEDEDSIAMLIGNLNDHYPNPNNRMDLPIDLHQHHQATSLPPADYMTNPQYGGSSNDLMSFNDFVW >A04p019690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11834549:11836012:-1 gene:A04p019690.1_BraROA transcript:A04p019690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:Projected from Arabidopsis thaliana (AT5G41670) UniProtKB/TrEMBL;Acc:A0A178UQL8] MESAALSRIGLAGLAVMGQNLALNIADKGFPISVYNRTTSKVDETLDRASEEGKLPVSGQYSPRDFVLSIQRPRSVIILVKAGAPVDQTISALSEYMEPGDCIIDGGNEWYQNTERRIVEAEKKGLLYLGMGVSGGEEGARNGPSLMPGGSFQAYNNVKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNDELAEIFTEWNRGELESFLVEITSDIFRVKDEFGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDERENAAKVLEEAGLKEDIGSASRGIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKEWNLNLGEMARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPDFAKEMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAYHTEWTKLARKGN >A01p026330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19659744:19660064:1 gene:A01p026330.1_BraROA transcript:A01p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCVRGHYLSLPQYERCFQMPRVHHDPPYEAEHATYMKDQVEEMVAEVYTAQERMFDDFCRKLDATYYPLNNNIVWLSKFMEELAESGLHSFHYHRQQKQITKRE >A08g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19953573:19956396:1 gene:A08g509630.1_BraROA transcript:A08g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKGGNFSVPSSEALTTTLRNAIQALGRGFDVTSDVRLLYCKGAPGSRLVHIEEGQNRDLELSDGFLLPNVPVDIECSPGEEGIQRIPVCTFHEMAAAFNEISGVKGNIPLGCFNAMFNYTGSWQVDAASTKSLAVVGYFNRLYEVKLAKLTLFLRNEIKRAVPSSWDPASLASFIENYGTHIVTSVTIGGRDVVYIRQHMSSPLPVSEIDNYVNDMRKHRFQDAESQSITGPLKYKDKVRQGEITLVIFRRRGGDDLEQSHTRWAKTVPAAPDIINMTFTPIVSLLEGVPGLRHLTRAIELYLECDILTNIQIYLPDKPPIEDLQYFLDFQIARAWAPEQSNLQRKEPVCESLQFSLMGPKLFVSADQVTVGRKPVTGLRLSLEGSKQNRLSIHLQHLVSLPKILQPHWDSHVPIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTSPIEHTETHIGDLSGVHIVTGAQLGVWNFGSKNVLHLKLLFSKVPGCTIRRSVWDHTPVASTGRLEQGGASTSSSSGEEKREDLSGQAGKLAKIVDSSEMLKGPQDLPGHWLVTGAKLGVEKGKIVLRVKYSLLNY >A06g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19324125:19327438:1 gene:A06g506990.1_BraROA transcript:A06g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYGYVLITSMKKTIERYRKYTRDHETSSHNSEIYIQQLKQEASHLITKIELLEFQKRKLLGQELASCSLEELQEIDSQLQISLGKVRARKARLFREQLEKLKAKGKQLLEENVQLHQMTVMDPWRVSIDQQEKFRVVDLNLEVQTDLYIGLPERHCK >A07p010560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6635872:6638494:-1 gene:A07p010560.1_BraROA transcript:A07p010560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALRRITLLSSLKRAWNLPPPCLSSAVSRLHISFSSVSSPPQSNPPPSRIRTRTPLETQFETWIQNLKPGFTHPDVVAALRAQSDPDLAYDIFRWTAQQRGYKHNHEAYHSMIKQAIAGKRNKFAETLIDEVVAGACEMSVPLFNTIIRFCCGRKFLFNRAFDVYNKMLRSDNGSRPDLETFTLLLSSLLKRFNKLNVCYVYLHAVRSLTKQMKSSGVIPDTYVLNMIIKAYAKCLEVDEALRVFREMPLYGSEPNAYTYGYLTKGLCEKGRVEQGLGFYKEMRSKGMVPSGSCYMVLICSLAMERRLDEAVEVVFDMLANSLSPDMLTYNTVLAELCREGRGNEALELLEEWKKRDPVMGERNYRTLMDESKPHECDLQAHEWDKMKVHYQIVNIIVKASTICAPRAQGSNHVAKEMPQVGGMNDDDDMDLGEDASFLKVGEEKGIQQGLKKKLVKEGEGFETPDKFKAMKLKVNI >A09g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26022416:26023234:-1 gene:A09g509260.1_BraROA transcript:A09g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTGTTLVLSTLVTLPGRSGLVRPRTVRGQKKSQTTSLGNWHVLCLGLGLGSRQVGSGSRMRNVVWLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVAVNIIDISSRESSPWISMPAWSPAFSLGGSLD >A03p001360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:670270:670701:-1 gene:A03p001360.1_BraROA transcript:A03p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLYCSLFIIALCIGLSNALVKEKNSVHFMNSLGGNNVLKIHCISDEDDLGEHLLKPGEIYEFSFYDSIMGTLINCDLWQGIEFRFHANFRAYEGGGLIGHYGKQNFWFSRDDGIYFTHGKDEPKLEYKWVYSVLDMAPSHY >A03p047320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22463422:22463709:-1 gene:A03p047320.1_BraROA transcript:A03p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIINKIGDALHIGGGHKEEEHKKEEHKKHADEHKSGEHKEGIVDKIKDKIHGGEGHSSGDHKHDGEKKKKKDKKEKKHHDDGHHSSSSDSDSD >A05p010200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4277365:4278198:-1 gene:A05p010200.1_BraROA transcript:A05p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLSSSSSSRFISFAVTSSPPHSREFEFQMCSSAAASGESTTSPADELFYKGQLLPLHLPPRLQMVQKLLASSSVAISTPISPRAAASASSPRRFSSSEIEHCYIEISTELKSKKMKQSSITQKLKASRAYLRSLFSRPGCSDSSEIHTNLKSSKKKNPLVKTESLNTKSPPLIHRRSFSGVMIQRHSQPKCSVSSSSSSSSSSLSSSFSFGSNGSLDLQTLMRSSNAGSEIDNSIEGAIEHCKQSFTTRKSNVGDSENSSSRTSVSTCGDIEKG >A03g502190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7149060:7150055:-1 gene:A03g502190.1_BraROA transcript:A03g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDLFFVKLLGKGSFGSVSLYQGVRYDGAMVSVAVKTSDSQHAESLFREVQILSEFKGCPRIVQCYETRVEASLNRFNGSVEYKIPLEYAPGGSLMSFIKTFKDNKLPDPMIRDFTRMLLQGLATIHAHGYVHCDLKPENILVFPSYINNNGAWSSSFELKISDFGLSRREGDSSWWEPNHPFAGTSIYMSPDSVSYGETGKDLDLWSLGCCVLEMYTGEGPWCHKNYEVDDLMNGQEPLIPSYLPFEAKLFIMTCFAPRTKDATRLLKHIFVRGDEGKMITQPSPVSDNIKAESALHLANFVRRNVSTTKTIRVLAAAQVMPNKTIMA >A04p011140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6886666:6887609:-1 gene:A04p011140.1_BraROA transcript:A04p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVIHSSLKEWDVEILENLVAQDNLSFIRSLAVSHSNRDEKYRYWVAKNILSREPEVMVSNQSITKFQAFTWKIKLHKKCVIWIVNFRLTLQWIWLGMVDVSEDEQLLGLRNQERQLCHFNDISYLQERFQAFNITHIPRVHNQITYFLAKTA >A10p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5273816:5275289:-1 gene:A10p008970.1_BraROA transcript:A10p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINKILNIFLPIVTFSLLVVFMPISILFSLFGFIRNSKESDKVNGKVVIITGSSSGIGEHLAYEYARRGAYLTLVARREDRLRLVANRCRRLGSPDVAVVRGDVSVIEDCKRFIEETISRFGRLDHLVNNAGIAEAKFFEDYLQISDVLPIMNTNFWGPVYTTHFAIPHLKKTKGKIVAVASPAGWSGVPRMSIYAASKAAMINFYETIRIELGPEIGVTIVFPGLVENGNTNPDLLAEKQDWSQVVAIESATECAKAVVNGICRGKTFLAEPSWVRVLFWLSILCPELLISKPKRT >A03p028880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12152352:12156746:-1 gene:A03p028880.1_BraROA transcript:A03p028880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gb [Source:Projected from Arabidopsis thaliana (AT5G49930) UniProtKB/TrEMBL;Acc:Q9LTX7] MVKVRMNTADVAAEVKCLKRLIGMRCSNVYDISPKTYMFKLLNSSGITESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIIVFQFGLGANAHYVILELYAQGNIILTDSEYMIMTLLRSHRDDNKGFAIMSRHRYPIEICRLFERTTASKLQESLTAFSLKDHEAKDSESKEQNGGKKGGKSNEAKQFTLKNILGDALGYGPQLSEHIILDAGLVPSTKLSEEKKLDDNEIQLLVQAVIVFEDWLEDIIYGQKVPEGYILMQKQLLVNDTSSQGGVTKMYDEFCPILLNQFKSRVYEKFETFDAALDEFYSKIESQRSEFQQKAKEDSASQKLNKIRQDQENRVQILKKEVDRCINMAELIEYNLEDVDAAILAVRVALAKGMGWDDLARMVKEEKKLGNPVAGVIDKLNLEKNCMTLLLCNNLDEMDDDEKTLPVEKVEVDLSLSAHGNARRWYEMKKKQETKQEKTVSAHEKAFKAAEKKTRHQLSQEKVVATISHMRKIHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHAELHGASSTVIKNHKPEQSVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGAHLNERRVRGEEEGMNDVGMETHAPDEQSDAESENEAENEEVSAAGEKNLEESDTALSQDTSSLDMNSSGIDGENVAAATSQLEDLLDRTLGLGTATVAGKNPTIETSKDESEEQEKKSVVRDKPYISKAQRRKLKMGESGNTAADDNTGQEKPERKEKSVSSGNTAADGNTEKEKQQSKAKNASSSSRQANKTIPENKPAGEKVSRGQRGKLKKMKEKYADQDEEERKIRMALLASSGKPQKNDVEAQSTKPAVTEEKKPSEEKEKLIDVDYLTGNPLPTDVILYAVPVCGPYNALQSYKYRVKAIPGSMKKGKAAKTAMNLFTHMSEASVREKELMKACTDPELMAALVGNVKITAAGLTQLKQKQKKGKKSGKHHG >A09p011280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5658359:5662346:-1 gene:A09p011280.1_BraROA transcript:A09p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEVASMPIVQVLLISVLVGGILGWLVVKLLNPKPQLHGLIIATCASGNMGNLMIILVPAICDEEGSPFGNRSVCRSIGLSYASFSMALGGFYIWTYSYQLVRSSATQFKALGLVKSANKDMDSDDPRSLLLKPQQNQDLEIQVKEKVSTSTYIKDLLHQILEELFAPPTVGAILGFIFGATNWLRNLIIGENAPLRVIQDSVKLLGDGTIPCITLILGGNLIQGLRSSAVKTSVIVGVICVRYIILPVVGVGVVQLAWSLGYLPPDPLFRYVLMLQFTLPPAMNISTMAQLFDVAQDEFLVFLDIISPPFHGDDLRGILCIDDEPTIEVEGRPWHRAAAYLAPEQPAFLLTADSLWFASGVFPSTALTWTSSSSLRASTLSMSCSSAKTANPPGRPLSSLPVQCKWRRDRHNMGRRYVEVFRCYKQDYYNAVAAEEEEGAYENNEVYVRAKSFSESKEKLEYTEVLKMRGLPYSADKPQIVEFFSGYKVIEGRVHVVCRPDGKATGEAFVEFETAEEARRAMAKDKMSIGPRYVELFPTTREEARRAESRSRQ >A08g501310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3343333:3343578:1 gene:A08g501310.1_BraROA transcript:A08g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLQNQVYALQSSSRESVYENETSPRCFGLDKSKSKKRKMSETRTEPGEKKRKSKWLKRLNPFIKSSVSPSPALLHRPAQ >A07g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4631160:4635167:-1 gene:A07g502110.1_BraROA transcript:A07g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSQSSRRLKVVWSRADRQLTSRRADPLCCNHISGVADSANLIVGFVRQLSQICDFNRLLDCPLDSLTVQWTSETTPREETPREKTPRGAVPLTPLPLVVSPGPSTGPANVDSSREDLALISERETAEPSVTGGKKKRSAPGSYASAASQARTESDGPPKKKKKNERKKKRSVEEQSEPAEGTENREVVIKKGSSPDAAARGVVDSDNSPSVSLKRKKTGRSHESSTPVTSASAAKIPPSAPQTLVEGGSASEDRRVKFHDRVEFKYVGETPLSFVPTDCAELVRQIKGGRKDLPAVKDLIFKDAYVDAARTKILSDGSMNYIVELYDSALKEVTSKLKQADKLARAKDVAHDRKAKEFKATIDKVAEERAQLIERKKAQKAHFLEKLGELKDKFEAAEPSGRELGEPSFQEGRSVGEVARLEDTTVASALDPTALSTDLVVNEDPLVPALGTGAETRTEPVNLLELSDSSTEEEGGEHLEETEPGLVGNPQNEEGAVDRTDDLPVLPADVTAQVVEGGSNRAED >A05p044970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26958055:26962306:1 gene:A05p044970.1_BraROA transcript:A05p044970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRUBBELIG-RECEPTOR FAMILY 7 [Source:Projected from Arabidopsis thaliana (AT3G14350) UniProtKB/Swiss-Prot;Acc:Q9LUL4] MKKKMSEKNRHVGLALFILCIVGLKPSFILGDTNASDAAALNNLFSSLNSPGQLSQWTASGGDPCGQNWKGITCSNSRVTQIKLSGLGLSGSLGFMLDKLTSVTEFDLSNNNLGGDLPYQLPPNLERLNLANNQFTGSAQYSISLMTPLKYLNLAHNQLKQLAIDFTKLTSLSILDLSSNTITGSLPNTMTSLTSAKSIYLQNNQFTGTIDVLATLPLENLNIANNRFTGWIPDSLRGINLQKDGNSFNTGAAPPPPPGTPPIHRSPTPKSGNRGSPSSGDDSGSSSDSKSSGLGAGGIAGIVISLLVVTAVIAFFLIKRKRSKRTSSSTDIERSDNVNQPFTLASNDIHQENKSMQTPSVVETKKLDTSLSMNLRPPPSERHKSFDEEDSTPIKPIVAKKHAVVVPSNVNVYTVADLQIATNSFSVDNLLGEGTFGRVYRAQFDDGKVLAVKKIDSSALPTDTAEDFTEIVSKIAHLDHENVTKLDGYCSEHGQHLVIYEFHRNGSLHDFLHLSEDESKPLIWNPRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDSELNPHLSDSGLASFLPTANELLNQNDEGYSAPEVSMSGQYSLQSDVYSFGIVMLELLTGRKPFDSTRSRSEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVVLVQRANMSKRTVGVGSGSSGANDYM >A05g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16703976:16704856:1 gene:A05g505870.1_BraROA transcript:A05g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDQIRPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPRPDDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARLDQADHDLSNHFDDFMMIDASNYSKGRILKLSKDLGRAISSSNIHTSLGEVISKRTTSLCWTGASHPATFESLVVSLGYSATLSVTPDPS >A07p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9831489:9838157:1 gene:A07p015910.1_BraROA transcript:A07p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLKKLITSFLLFFFYTNIVASSEPSCRRYKSIISFGDSIADTGNYLHLSDVNHPPQAAFLPYGETFFSVPTGRNSDGRLIIDFIAEFLGLPYVPPYFGSQNVSFEQGVNFAVYGATALDRAFFIEKGIVSDFTNVSLSVQLNTFKHILPTLCASSSRDCREMLGDSLILMGEIGGNDYNYPFFEDKSINEIKELTPLIIKAISDAIVDLIDLGGKTFLVPGSFPAGCSAAYLTLFQTAKEEDYDPLTGCLPWLNDFGKHHDEQLKTEIKRLRKRYPHVNIIYADYYNSLYRLYQEPTKYGFKNRPLAACCGVGGQYNFTIGEECGYEGVGYCQNPSEYINWDGYHLTEAAHQKMAHGILNGPYAAPAFNWSCLDAASSLIFVVVRFWSKDAVAGRALARKVSLSDQGSNPGGGGSYSSIAAGLSLGDGGLLSSVAAGFVAGRGGLHSSAIPGF >A04p010700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7062725:7063042:-1 gene:A04p010700.1_BraROA transcript:A04p010700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAAPVRRFLSFVLTILTYCKKFDIHKTVSLESCQQITLLIGVQYHRVWRTSNLRILTIIDWYDEQKSECGAGWDKTIDVSGNKMQGYIP >A04g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2935715:2937730:1 gene:A04g501090.1_BraROA transcript:A04g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIASAFKALCLSLLFVAVVASRPTNRPKVFNVQRYGAKADGKTDNAKAFTNIWKSACTRKGGNSKIYVPKGTFYLGGVEFVGPCANLIEFVIDGTLLAPSNPRDIKKDTWIHFRYINNLIISGAGTLDGQGKESWPLNDCHKNPSCPKLAMTMGFAFVNNSRINGITSLNSKMGHFNFFSVHHFSITGVTITAPGDSPNTDGLKFGFCSNINISKTHIGTGDDCIAILSGTTNMDISNVNCGPGHGINVGSLGKNKEEKDVNGLTVRDIVFNGTSDGIRIKTWESSASEILVSKFVYENIQMINVGNPINIDQKYCPHPPCEKKGESHVQIQDLKLKNIYGTSTNKVAVNLQCSKSFPCKKVELIDINLDHKGVEGGSSTAVCENVDGSAHGKMVPQHCLN >A08p010590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8697876:8698088:-1 gene:A08p010590.1_BraROA transcript:A08p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKCRVSNVSTSIDGTCVHRSILIFICRGISWCRSTALDAHRSIVLPLVDLYMVSSGEMSFKLQNAPKS >A04p020540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12484013:12487426:-1 gene:A04p020540.1_BraROA transcript:A04p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 85A1 [Source:Projected from Arabidopsis thaliana (AT5G38970) UniProtKB/Swiss-Prot;Acc:Q9FMA5] MGIVMVIIGFLFVLVLLCSALLRWNEMRYNKTNLPPGTMGWPIFGETTEFLKQGPDFMRNQRLRYGSFFKSHLLGCPTLVSMDTEINRYILKNESKGLVPGYPQSMLDILGTCNMAAVHGSSHRLMRGSLLSLISSAMMRDHILPKVDYFMRSYLGQWSELENVDIQDKTKHMAFLSSLSQIAGNLRKPLVEEFKNEFFKLVVGTLSVPIDLPGTNYRCGIQARKNIDRLLSELMQERKDSGETFTDMLGYLMKKEDNRYPLTDEEIRDQVVTILYSGYETVSTTSMMALKYLHDHPKALEELRREHLTIRERKRQDDPLDLENVKSMKFTRAVILETSRLATIVNGVLRKTTRDMEINGYLIPKGWRIYVYTREINYDTNLYKDPLIFNPWRWMEKSLESQNSCFVFGGGTRLCPGKELGIVEISSFLHYFVTRYRWEEMGGDKLMVFPRVFAPKGFHLRISPY >A01p036680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16283832:16285278:1 gene:A01p036680.1_BraROA transcript:A01p036680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILHVDGCHAWCFLIKGVVLDVGSPMALPQNHVKTKLNYLDAPVQNRLFSDLPLDLSLMNKDGLATGARVVVLFFWILLTCLRAFTIPSHKVVAKLPDFKLTKTPSFISFKHPNLQILNTKV >A05p015860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7045144:7045463:-1 gene:A05p015860.1_BraROA transcript:A05p015860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPRPHRHSQKNKDSSSQSQKKMKIQDEIPDVDDELEENEFDEDEYNSQDRENRQNSDVWVDIKVVDKPSGYIKTV >A05g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16866862:16867998:1 gene:A05g505880.1_BraROA transcript:A05g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRNYLLYTRKKKRNHFRPNAKKKEKLSTTGDFEASRYEDLWIQLRFDDVFFPFFSCLQMPNSHKPDFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFYVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A06p033780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18242060:18242808:1 gene:A06p033780.1_BraROA transcript:A06p033780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTGNSILRSDVVVTVKTRVFEDVFEKYYRKYYRRVMKMELNGSLGFVICVGLLSLEKEKGYVFEDIWTLEEKAEDLESELKTWRTSSGHSEALSDGVRCGLSLVALELALCVVELAIVYSSCELCVCLGDQAFWCHWCALGADVLGEVLPWRWKIEA >A06p046570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24909507:24913423:1 gene:A06p046570.1_BraROA transcript:A06p046570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase 2 [Source:Projected from Arabidopsis thaliana (AT5G49190) UniProtKB/Swiss-Prot;Acc:Q00917] MPTGRFETMREWVHDAISAQRNELLSLFSRYVAQGKGILQSHQLIDEFLKTVKVDGTTEDLKNRPFMKVLQSAEEAIVLPPFVALAIRPRPGVREYVRVNVYELSVDHLTVSEYLRFKEELVNGHANGNYLLELDFEPFNATFPRPTRSSSIGNGVQFLNRHLSSIMFRNKDSLEPLLDFLRTHKHDGRAMMLNDRILNIRTLQEALARAEEFLSKLPLATPYSEFEFELQGMGFERGWGDTSQKVSEMVHLLLDILQAPDPSVLETFLGRIPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALESEMLLRIQKQGLDVTPKILIVTRLIPEAEGTTCNQRLEKVSGTEHAHILRIPFRTEKGILRKWISRFDVWPYLETFAEDASNEIAAELQGVPNLIIGNYSDGNLVASLLACKLGVIQCNIAHALEKTKYPESDIYWRNHEDKYHFASQFTADLIAMNNADFIITSTYQEIAGSKNKVGQYESHTAFTLPGLYRVVHGINVFDPKFNIVSPGADMTIYFPYSDTERRLTALHESIEELLFSSEQNVEHVGFLSDQTKPIIFSMARLDRVKNLTGLVECYAKNGKLREVANLVVVGGYVDVNQSRDREEMAEIQKMHSLIKQYGLHGEFRWIAAQMNRARNGELYRYIADTKGVFVQPAFYEAFGLTVVESMTCGLPTFATCHGGPAEIIENGVSGFHIDPYHPEQVATTLVSFFETCNADPSHWEKISDGGLKRIYERYTWKKYSERLLTLAGVYSFWKHVSKLERRETRRYLEMFYSLKFRDLANSIPLATDEH >A06p012520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5722494:5724181:1 gene:A06p012520.1_BraROA transcript:A06p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGFQLTKLNDVSNGGSIHSQKGSALEASTTSNVERFLESVTPSVPAHYLSTSKRGSDVVELQTPPYFVLGDVWESFAEWSAYGTGVTLSLHNINYKDRVIQYYVPSLSAIQIYTDQARGAGEESESDFKDSSSEGSSSESERGLSGGMDQLSLRKEHREDSSSDDGEPLSSHGRLVFEYLERDLPYIREPFADKMSDLASRFPELKTLRSCDLLPSSWFSVAWYPIYKIPTGPTVKDLDACFLTYHSLHTPFQGAGITTQSMCEVQPMESVEKTTLPVFGLASYKLRGSVWTSIKGSGNHQLVNSLFQAADNWLRLHKVNHPDFIFFCR >A07p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8013612:8015239:1 gene:A07p012610.1_BraROA transcript:A07p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMAYFVLFLFTLIVLSSSSCYAIGNNKTLSSLASRIGISYGRSGNNLPSPYQSINLIKTLKAGHVKLYDADQETLTLLSKTNLYVTIMVPNNQIITIGADQTAADNWVNTNVLPHYPQTRIRFVLVGNEILSYNSDQDKQTWANLVPAMRKIVNSLRARGIHNIKVGTPLAMDVLRSSYPPSSGAFREEVSAPVMLPLLKFLNGTNSFFFLDVYPYFPWSTDPVNNDLGFALFESNSTYTDPQTGLVYTNLLDQMLDSVIFAMTKLGYPNISLAISETGWPNSGDIDETGANILNAATYNRNLIKKMTANPPLGTPARPGLPIPTFLFSLFNENQKPGSGTERHWGILNPDGTQIYEIDFSGTRPVSSLGSLPKPSNNVPFKGNVWCVVVEGASEEELGQALDFACGRSNETCAALAPGRECYAPVSVTWHASYAFSSYWAQFRNQSSQCYFNGLARETTTNPGNERCKFPSVTL >A10p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4064815:4067104:1 gene:A10p014670.1_BraROA transcript:A10p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 13 [Source:Projected from Arabidopsis thaliana (AT5G52230) UniProtKB/Swiss-Prot;Acc:Q9LTJ8] MDGEGISDGLSAERKVEIRVNKKGRKVIVEKSAAEGLPEGWIKKLVISNRSGRKRRDPFFIDPQSEYIFPSFRAASRYVETGDIGHYARKFKESDTEDDDSGNGKNVLHLESADALLEKEKNIDVNNSKRRRNSSSSSGEHSENCKMNSEVSSVTSQVLEDLVKKKDVNEPIAKRVTRSQTKANETEEVDVHVKPRLRSASSQSSQKRSVMKEEDVRDSAEKGITRSKGLVKKNELANTVARRASKRLAGIELEPTPELDTRTKAQPVAPPDDDDGTAGKCMQPVDPIAVTSGLKKMDIPLTKEEAKSYNTEHSSPKPNASSSSTSMNRVSAELELEMQIEKIGKSGDKKKMKMPQVTSQVELNPVFRLEGYKQKEEMSPVSPLSCKTSATKREKTAGGKRLGRWSANANKVTSPKANEISSSNKEEHPHPHPHPYDNGNVTQRRNKISSNLFDSSVVRGTCSEVMEKSNNTNSFSSSSAATLADLWKDPCIAFAIKTLTGDTLRLPHNTSSISSDAKQKGVTLLPETPPNAKTNSGVSSESAPGMDIWKDPCIDFAIKTLTGAIPIGSNEPVVKPKHEEMTMTSSSARQEHEGRQL >SC179g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:690362:713856:1 gene:SC179g500340.1_BraROA transcript:SC179g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVGSKGAPSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNELRKLPWITLVRRSTSKRDQDKGGAVWIRSGHSWKGKATLQPVQAFCGEFLMDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHAASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKSWILHTNTQSTRQARRKEKTKVFDKKMDTDTRGHDQNIKEKPCTCTRSRKYKENKDKAPLETQQRLETTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREERVRPASSEEEQVEPASLPWITLVRRSTSKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPFPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLADPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGNMGLVCGSARREEKEERGNEWGWFSQMKATLKTCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKK >A10p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3079347:3082584:-1 gene:A10p016390.1_BraROA transcript:A10p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIVEHSTGAMEIEEAVDELDRCAVEEVELTVPKTDDPTLPVLTFRMWVLGLAACIILSFVNQFFWYRQMPLTITGISAQIAVVPLGHLMARVLPNKKYLEGSRWEFNMNPGPFNIKEHVMITIFANSGAGTVYATHILSAIKLYYKRSLPFLPAFLIMITTQFLGFGWAGLFRKHLVEPGEMWWPSNLVQVSLFSALHEKEKKKKGGMTRIQFFIIVLVTSFAYYILPGYLFTMITSISWVCWLSPKSVLANQLGSGEQGLGIGAIGIDWATIGSYLGSPLASPIFATVNVTIGFVIIMYVATPICYWLNLYRAKTYPIFSSGLFMGNGSSYDVLSIIDDKFHLDRAVYAKTGPIHMSTFFAVTYGLGFATLSATIVHVLLFNGRDLWKQTRGAFKRNKKMDIHTRIMKKNYREVPMWWFLVILVLNIALIVFISVYYNATVQLPWWGVLLACAIAVFFTPLIGVILATTNQAPGLNVITEYVIGYIYPERPVANMCFKVYGYISMTQALTFIQDFKLGLYMKIPPRSMYLAQVVGTLVAVLVYTGTAWWLMVDIPHLCDKALLPDDSQWTCPMDRVFFDASVIWGLVGPRRMFGDLGEYSAINWFFLVGAITPFFVWLATKAFPAQKWISQIHFPVILGATSMMPPAMAVNFTSWCIVAFIFGHFVFKYKREWWTKYNYVLSGGLDAGTAFMTILIFLALGRRGIGLAWWGNADDSTNCGLASCPTAKGVVTQGCPVF >A06g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3993723:3994154:1 gene:A06g501100.1_BraROA transcript:A06g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYNGAEGIIASFSFILFVLSWILWSTSYEVVLELDKDKHPVEGPIYYYIYIDSEGEDEHEDR >A09p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2298319:2299237:-1 gene:A09p004010.1_BraROA transcript:A09p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB110 [Source:Projected from Arabidopsis thaliana (AT3G29020) UniProtKB/TrEMBL;Acc:A0A384K8V5] MDISFFQAYPFDLPCSRAFNGDGENNVVEFSNKTMMVKVSDESKKKQRGSRVCSRGHWRVSEDSQLTELVSVHGPQNWNHIAEKMQGRTGKSCRLRWFNQLDPRINKRAFSVEEEERLLAAHGAFGNKWAMIAKIFNGRTDNALKNHWHVLMARKLRQQSSSYTRRFNGSAHKPNADHTSFNISPDDDEMNMEKNSWKMLKEGTTNMKAQYLQEEY >A01p006180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3177880:3179352:-1 gene:A01p006180.1_BraROA transcript:A01p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHS1 [Source:Projected from Arabidopsis thaliana (AT4G32400) UniProtKB/TrEMBL;Acc:A0A178UW29] MGKTGIQLFDDSRNGLFSVSDLGCDWSLTGVNHHHHTIGALFASVNQTNPFGSGSIPSNVSLSAQLNGKVEEWEELVNGEKKKKNGGGMKLKIKIGNPSLRRLISGAVAGAVSRTVVAPLETIRTHLMVGSGGNSSTEVFGDIMKHEGWTGLFRGNLVNVIRVAPARAVELFVFETVNKKLSPEHGEQSKIPIPASLLAGACAGVSQTLLTYPLELVKTRLTIQRGVYKGIFDAFVKIIREEGPTELYRGLAPSLIGVVPYAATNYFAYDSLRKAYRSFSKQEKIGNIETLLIGSLAGALSSTATFPLEVARKHMQVGAVSGRVVYKNMLDALVSILEHEGILGWYKGLGPSCLKLVPAAGISFMCYEACKKILVENNNQEA >A01g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25208489:25213243:1 gene:A01g509300.1_BraROA transcript:A01g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSESLYSLGVDDLYFGRLLNNLHGRRIFQSSTSYRTFNFTNVRFNLTGSFTGHIKAIFFTVSQFYETLAPFLSNGDFEGDKTKTLPWSCSAVIFAGNLSDYDESRFSFMPSEISFAKLRSRSVTAWGHIFSDHIFSDNIFSNYYPDDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSRPHSRRLPGSRPSLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHQMDAFINVLRQRYQNHPEHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A10p031130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18680859:18681964:1 gene:A10p031130.1_BraROA transcript:A10p031130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTSTQWDDIQRKLGNLPEKAPAFKPPAYTPAQDEDSAPKDKAWFGGKTEEELEDLEDDKDLDDDRFLEDYRKKRLTELREAAKVRRYGSVTPISSSDFVREVTQASAEVWVVVCLYKDGIAECGLLLGCLEELASRYPGTKFVKIVSTDCIPNYPDCNLPTLLVYHHGAVKGTHVGLKSVGRRCTPESVALVLCQSEPVLNDGKSGDDDSSREAVMAGVRRQFIERVVKDHEDKDNDDDGYNSD >A02p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3191815:3193685:1 gene:A02p007550.1_BraROA transcript:A02p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSVKVGNLSSGATEHDIKEFFSFSGEVESIDIQGSDEHSAYVTFKDPQGAETAVLLSGASIADQSVVIEMAPNYTPPAAPHAETQSGGGGVAESVVQKAEDVVSTMLAKGFILGKDAVGKAKAFDEKLGFTSTATAGVASIDQKIGLSQKFTAGTSLVTEKIKGVDQSFQVTERTKSAFATAEQTVSSAGTAVMKNRFVLTGVSWAAGAFNRVAKAAGEVGQKTKEKVEAEQPPQPSQSEQQPPEGYSPLH >A02p012410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5420351:5429543:-1 gene:A02p012410.1_BraROA transcript:A02p012410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLGILLLIIRTLAIIHIVQAQSQQGFISLDCGLPANEPSPYKEESTGLQFSSDATFIQSGKTGRIHPTLASRFLKPYTTLRYFPDGTRNCYNLRVEKGRNHLIRARFLYGNYDGLDNNPTFDLYLGPNPWATIDLHKLVNGTREEIIHIPTSNKLQVCLVKTGPTTPVISTLEVRPMGNDSYSTQSGSLNLFFRLYLSESKTTLRYPDDVYDRQWTAYFWREWTQITTTSNVGNANDYEPPKAALATAAIPTNASEPLTLEWSNTDKPDDQYYLYRHFAEIQDLRSNETREFNMVWNGELMSSDPLVPDELEITTILSLTPRTCAKGECSFQLKRTNRSTLPPLLNAFEVYTVIQFPQSETNENEVVAIRNIEATYGLSRINWQGDPCVPSQLMWDALNCSHVDISTPPRITSLNLSSSGLTGNIAAAIQNLTLLEKLDLSNNNLTGEVPEFLGNMKLLLVINLSGNDLNGSIPQSLQRKGLVLSLEGNPRLFPSGSPEKTHKKTLLVPIVASVGSVAILIAAVVLYLVLRKKRQPTVEVVRPPPSRPTVNVTNANSPEPSIETKKRRFTYSEVIKMTNNFERVAGEGGFGVVCHGTVNGEQVAVKLLSQSSTQGYKEFKAEVDLLLRVHHTNLVSLVGYCDEGDHLALIYEFVPNGDLRQHLTGKGGRSVVNWGIRLRIAVEAALGLEYLHFGCTPPMVHRDVKTTNILLDEHYKAKLADFGLSRSFPVGGESHVSTVVAGTPGYLDPEYYHTGRLGEKSDVYSFGIVILEMITNQSVIDRNRRNSHITQWVGSELKGGNIANIMDPNLHGDYDSRSAWRALELAMSCADPTSARRPTMSHVVIELKECLVSENSRRNTSRRTDSLSSTEKLNETANHDIFEMEHHFSMAAIVLPIQNDKKLSMERSLGLLLALIATMTIIHLVHAQDQRGFISLDCGLPESEPSSYIESVTGLNFSSDATFIQSGETGTIQTYLKISLKPYRTLRYFREGTRNCYDIPVEKRRIYLIKAWFIYGNYDGLDIRPKFDMYLGPNLWATVDMQKLFNDPTSEEMLHNSTSDSLQICLVKSGTTTPLISSLELRPLGRDSYSTKSGSLKLLERIYYTNSGNEIRYPDDAYDRQWTPHSQLWLPHISTTSDVSDGGSYKLPKAVRQNAATPTNASEPLTIEWTSENPNDQYYMYEHLAEIQDLQANETREFAVFLNGHSFSDPVTPEKLEIITMASHTPRTCEGGKCSLQLTRTWRSTLPPLLNAYEIYRVIQFTQSETNEKDVVAIKSIKHAYGLNIINWQGDPCVPQHFMWDGLNCSSTDISIPPRITSLNLSSIGLSGTIAAAIQNLEQLENLDLSNNNLTGELPEFLGNIMFINLSENNLNGSIPAALQRKDVILHGNPRLFHPGTSCSKSPSKKFPVAIVACVATVVILVAALVLFLVFRKKKVSTVDDPQLGQRMSLRNKRFTYSEVIKMTNNFQGALGEGGFGRVYRGTLNDSEHVAVKVCNESSPQFFKQFKAEVDILLRAHHKYLVNLVGYCDEGNHLALVYEFVPNGDLKEHLSGKQGRPIINWGMRLKIAMEVSQGLEYLHDGCKPSMIHRDVKTANILLCEDFQAKIADFGLPRSSPVAGETHVLTVVAGTPGYLDPEYHSTSRLSKKSDVYSFGILLLEMITNKPVIDVDGTREMSGIAQWVRLELERGEITKIMDPNLYVDYNSPSVWNALTLAISCTDPSSANRPPMSDVVTKLKACLASENLSGLSEFC >A06p033080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17735551:17737206:-1 gene:A06p033080.1_BraROA transcript:A06p033080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALVFLSDLHTGRSSFSVQVRLFRFWEARSVRRGGDHMGVDMLLLDSQLSVVIDVPGEEAYHLQPRLILKIIVSPFVYLIHAVPEKCKYEVMSTKIEKRLAKEEIITWASLKHGKGPTSLDIWNFGANFSMSLDYPSSKRVKDWDKLEAEVKKHEKNEKLEGDAALNKFFRKIYSNADEDMRREMSKSFSNGTVFSIDRKEVGTKKIESTPPDGLVAKVEKIEKELQKGKRLEPWKRVVKARRMNQGYVIKHFQ >A07g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17310383:17311967:1 gene:A07g506830.1_BraROA transcript:A07g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICCLHLKVRDLNLADDVETVTEEEIVVSVNRTSERILHREDGAIRDPELHRLKRDVELITRDSFKVRWFDAKRVKKPKEQRRRKTKNNEIRRSLIFSVLKVVVLAHCLELGFSLMRKGVLESEFTEEIVRISDDRSHSGRDVGEKDGLMLKSKSVYKSVTEEVSVWGWPLQTDGLFGTGFSSSSFTVLSGRVTDWSEERFGYSVREANILWGKTKWSTSVLQLEHSTWVLEYSLSSVIKYSSLFLTNLYSGVVTEEGSTMTPN >A02p045090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28348048:28349710:1 gene:A02p045090.1_BraROA transcript:A02p045090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSVKLYQSISMMKKEMIEEVVIIGGLVMVQFVYAGNSLLMSYLMSLGLGPLTIVIFSTFATFLILSPFAILFERKQWPDELSPRLIGKLVLISFAGVTLFQTLFLEGIRLTSPAMATAMPNLAPGLIFFIAWMVRLEKMDMKCVYSKLKILGTMLCVFGALTMSLMHSASIIQDEKENASIFVFDRDRVVGCMYLLGAVFILSSNVVLQASTLAEFPAPISLSAITSLIGVVITTMLQLLQNPNTKVVTRSLISISNLVGFSLLGGMVSGACVSFNGWAMKKRGPVMVSMFSPIATVISVGFSVVTLGEPVRIGSVGGMALMFIGLYLVLWAKGKEGFSQIDSFESEYDPKKPLLS >A08g510430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23430060:23430755:1 gene:A08g510430.1_BraROA transcript:A08g510430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFTCALDKVFIHLCTCVGGEVNYVCNLEKNLAALEETMKVLIARRDDVLTKVQWQESEGLQRLNEVDVWLTSVENIHNQVDDLLLPRRDELERLCLCGLCSKNLSWSHSYGKRVFEMLKKVEDVLSRGVFEVVVGPPTLAVAVERPLPNTIVGEEKMLEKALEHLMDAGTSIMGLYGMGGVGKTTLLKQINNKFLDHPVDGVEIVIFVVVSSELRVEMIQDAIAEKLG >A07p005300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2256699:2258163:1 gene:A07p005300.1_BraROA transcript:A07p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRYTLPLLLIAFSFLLTLSAQMTGNFKCGEPGDSPSTCRSLVGYSSKQATTYGNIQTLFAVKKLRSILEANNLPLSTPRAQGVNPNQVVRVPIPCSCSNGTGVSNRSPVYTVKKGDTLFFIASEIFGGLVRYQRISDLNKIPDASEIDVGQRFWIPLPCSCDEVNGQNVVHYAHVVKSGSSLGEIASQFGTDNKTLAQLNGISGDAQLLADYPLNVPLRACNTSLREESLDAKMLLPNSSYSITANNCIRCSCEAANNWTLSCEASQLKPSSTWQTCPSPQCEGAESLFVGNTTNTSCGPRSCAYAGYSNQTIFTALSPDPCSGSGGNSSGPPGNYASTFSSSFSFAMVLIQCALICLCLL >A08g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19860326:19861689:-1 gene:A08g509610.1_BraROA transcript:A08g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGLGIEPRDTLSKMVRDEKSDSCLRMNHYPTAEEEVEKMVKVGFGEHTDPQIISVLRSNNTAGLQICMKDGSWVAVPPDHSSFFINVGDALQVMTNGRFKSVKHRVLADTRRSRVSMIYFGGPPLSQKIAPLPCLVPKQEDWLYKEFTWSQYKSSAYKSKLGDYRLGLFEKQSHHRSNV >A06p045380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24315503:24323188:-1 gene:A06p045380.1_BraROA transcript:A06p045380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSVNKRVTKEASSSPDIDKVNQRKKKLTDKLGAQWTKGELERFYDAYRKHGRDWKKVAAAVRSNRSADMVEALFSMNRAYLSLPEGTASVAGLIAMMTDHYSVIEESESEGEGHGASGASRKYQKRKRAKVPPSDVREEVISPHSIASTEGCLSVLKLTQVYGRERRATGKRTPRFLVPSADQRDDTQGSTPPNKRAKKQLEADADDDDDDDILALALANASTRVGGSPYRRPDTTPNVKMSQAKEAQSKHQASSMSRNVVRISRDRRHIKRSPDRDGALLMDIEGVGNAEVPRKEKYVRTVEAEGDTSDDSGEACNAPRDGLETLHALADLSALLTPGGLMESESSAELKEERVANTRETVSSSHNREKAKQAGREDHSVLHVTSAADNRKPKSAQELVDGNAVPIGELDTSRRKRKPLHDKESAEDDNSKTPLNPRRAGQGPAKQQKTAKTSEESCSTSDKKITRPNEAVSATQVSGSGPASLPQKPPNRRKISLKKSLQERTKSSETTHNKSHSYEIDPEHELLKDKVSTCLSHPLVRRRCIFEWFYSAIDYPWFAKMEFVDYLNHVGLGHVPRLTRLEWSVIKSSLGRPRRFSERFVHEERDKLEQYRESVRKQYTELRAGATEVLHTDLAQPLSVGNRVIAIHPKTREIRDGKILTFDHNKYNVLFDELGVDVVMDIDCMPLNPLEYMPDGLRRQMDNCLSVCKEAQLTKHPDASFLFTPSELENVDFSMSPTEKEALDSISKHHQPIDNSIVSGMKHQDQANGSLDHHQNRSPSNTGEAITEGLMGSGKNETQMDSELISCCVATWLMIQKCTEKQYPPGDVAQVMETAVSSLQPRCPQNMPIYREIQTCMGWIKNQIMALAERYKAANQRAVQLLEKCGTTQVEVDASGLLTYPTEKGDAGSGDQPDKKLKPLSVDEERFMRVFYEAKVQEVCSAFEFPHKIQATALQYFKRFYLQWLTCVYAACKIEENHVSAEEIGKGIKQDHHSLEFDLIVYAPYRAIQGFIGNMEEFLQARDDEIQKLESLLKAATREADKVMLTDAPLLFPPGQLALAALRIANGVLGVVDFDRYLENIVSQPNSEHTTSELTGLLDDIESLVKKYKYPSEKDMKHINRKLKSCLGHSSSHDESKKREKRSKHKSHRSSNDAPKGAPIDISWTLLFI >A05p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24418106:24418650:1 gene:A05p039930.1_BraROA transcript:A05p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNLNSKRESRELEASSTSVSPLQKKKKLDDSSSDSHAVVLAIPSPSVASSQGRCSVTSDDDDKSSIVSSDCFSSESNETVRNNPTSGVDLEVKLLESVALLVFDTVSEALGETTETESSSSALKRDNKPPEVSKIPTAEEIEAFLSELEGGDDKRKRFIEK >A05g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30342293:30343518:-1 gene:A05g510060.1_BraROA transcript:A05g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTDINQPKLDMTKEEKERLKYLEFVQAAAVEALLRFALIYAKAKDKSGPLKPGVESVEGAVKTVVGPVYHKYHDVPVEVLKYMDQKVDMSVSELDRRVPPVVKQVSAQAISAAQIAPIVARALATEVRRAGVVETASGVAKSVYTKYEPAAKELYASYEPKAEQCAVSAWKKLNQLPLFPRLAQVAVPTAAFCSEKYNDTVVMAAEKGYRVSSYMPLVPTERISKIFRDEKTETKPLEFHPLD >A08p041180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23298716:23300487:-1 gene:A08p041180.1_BraROA transcript:A08p041180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High affinity nitrate transporter 2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) UniProtKB/Swiss-Prot;Acc:Q9LPV5] MDVEGKGEESRTTTTTRRFALPVDSENKSTTFRLFSVAKPHMRAFHLSWFQFFCCFVSTFAAPPLLPVIRENLNLTATDIGNAGIASVSGSVFARLVMGTACDLFGPRLASAALTLSTAPAVYFTAGIKSPIGFIMVRFFAGFSLATFVSTQFWMSSMFSGPVVGSANGIAAGWGNLGGGATQLIMPLVFSVIRQMGATKFTAWRIAFFIPGLFQTFSAFAVLLFGQDLPDGDYWAMHKSGEKEKDEVGKVITHGITNYRGWITALAYGYCFGVELTIDNIIAEYFFDRFHLNLNTAGIIAASFGLANFFARPGGGILSDLMARRFGMRGRLWSWWIIQTLGGVLCASLGQIDSLTGSIVVMLIFSVFVQASCGLTFGVVPFISRRSLGVISGMTGAGGNVGAVLTQLIFFKGSTYSRETGITLMGIMSIACTLPICLIYFPQWGGMFCGPSSKKVTEEEYYLAEWSSKEKEKNLHLSSQKFAENSVSERGRATTHPQS >A10p037850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21292097:21298308:-1 gene:A10p037850.1_BraROA transcript:A10p037850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLLQKASGAAQKPAPAPPPRGCLIAEDLDPHIVSHYGIPSTASLLAFDPIQCLLAVGTLDGRIKVIGGDNIEAILSSPKQLPFKNLEFIENQGFLVSISNDNEIEVWDLDLRKTASSLLWESNITAFSILHGTGYMYVGDEYGMVSVVKYNADEGKLVQLPYYVPTDALAEAAGLSSPIDYPVVGLLSQPSSRGTRLLIAFSNGLLFLWDASEDRVVLVRGNKDLPMEGKTANDSLEASHDELSDLELDGKEISSLCWASADGSVLGVGYVDGDILFWDFSEGQKGKTSNHAVKLQLSSAEKRLPVIVMHWCLDVTRKNCGGKLFIYGGDIIGSDEVLTMLALDWSSGLGGLKCVGRVDLTLSGSFADMVLSPIASSRQSGVFLFLLTNPGQLQAYDDTSLASLMSQKENNSSASPLPYPMVVPTMDPRMTVAVFAALNVNDKLSLALSETVVAAKSRTPRTPSGESAQWPLTGGVPSHLDDYKLERLYIAGYQDGSVRIWDATYPCLSLIYDLKPNANGIDITGVDASVTAISFCPKTSCLAVGNESGMVRLFKLIGHKSGGTLEVVTNTDKKGSLLVAHHLHQEDGPQWLAAYSFLSSPVCTLRFVQSTRRLAVGFKCGRVAMLDIGAPSVIFVTNSLSDTGSPIESLCVKSSPVPTDQNSISSEALDDLILCAMTKDGQTILFDGNSGKMLASCLKPLKNPTAIRMHIIENCYENSEMPSEKHEKKSHMINASESHSPAGEHNAVTETKFVDQIFENSLFLMCSEDALRLYSLKSLSQGSLESIMEVNLPRLCCWMGTLKKDGRECAVLLLYKTGHIEIRSFPNLEVAGESSLLSLLSWNFKPNMEKTVCSDDFGHVLLVNGCEVAILSFLAHANGFRLPESLPMLHDKVLAAAADATFSHFPVHKKNNDGTPKFLSGIIKGFRSSNEQKVEQVQDFSHLGNIFSSPPYLKPSAIGGDDEKIIELNIDDIEIDEPTNILPSTGKDKKEKKDKRTDKERLFDGASSDAQPKTRTVDEIKAKYRKAGETSAIASQAKDKLLERGEKLERISQRTAELQDGAENFASMAHELAKQMEKRKWWNI >A09p040790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23054550:23057174:-1 gene:A09p040790.1_BraROA transcript:A09p040790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB93 [Source:Projected from Arabidopsis thaliana (AT1G34670) UniProtKB/Swiss-Prot;Acc:Q9S9Z2] MNYHKVDTSRIFCPISPHINLEDQKLIDYIHKHGHGSWRALPKLADLNRCGKSCRLRWTNYLRPDIKRGKFSAEEEQTILHLHSILGNKWSAIATHLQGRTDNEIKNFWNTHLKKKLIQMGIDPVTHQPRTDLFASLPQLIALANLKDLIEQTSQFSSIQAEAAQLAKLQYLNGMLNSSASLSNNNNNSPSSILDIDQNHAMNLLNSMVSWNKEHNSKFNPALELEAEDQNEGLFPIGSIIDSTTQLLQQQQYHLNNSPIEQPSQGDPLLDHVPFNLQTSLNSEDHFIDTLVKHPIDQDQDQDHDDPSSWILPSLIDNSPKNAMSSLPYNNPTDASSSSSYGAGEGASLYWPDFCFDESLINDIS >A03p028490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11967344:11976382:-1 gene:A03p028490.1_BraROA transcript:A03p028490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVHMGSPLIYSNALVKTTQRPQPFTCTIVAKTTPGSESPSVPRRSANYRPSLWDHHHLLSVKNKYTNVKSVRERDLLKETVRKMLDHERSTHLDQLELIDDLQKLGVSYHFEQEIDNMLTFTYHKLDKSNFMEYDMEYDLHANALKFRLLRQHGFNVSEDVFDVFHENCGKFESGEINGFISLYEASYVSTKSDNKLQNYIRFFATQQLRDFVDTHSNKNCASFGVGEMVAQALDMPYHWRMRRLATRSYINLYGMKPDKNPVLVELAKLDFNIVQAVYQEELKYVSSWWRETGLANQLHFSRDRIVENYFWTIGQIQEPQFGYVRRIMAKLYTLLTTIDDIYDIYGTLEELQLFTAAFANWDVNRLDELPEYMRLCFLVVYNEVNIIGCDILRNKNINVIPFLRKSWADASNAFLVEAIWYKRGYKPNTEEYMQNAWKSIGVPTICLHFYCVFSDQLSVQVLETLSEHLQNVVRCSAFVVRLANDLVTSQEELERGDVLKSIQCYMNETGASQEKACVHVRQIINDMWDEMNYEKMKSGSSLIPQDFVESVMNLARMSQCMYQYGDGHSSPEKAKIVDSVMSILFNPIILD >A10p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9527983:9530411:-1 gene:A10p005980.1_BraROA transcript:A10p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKRNLSLLWLLMKRNLSLLCRFVLRFIKISACRVMACFLYCFRGRDDRSKKGEDSQNHLSALFRPEEKAASSNSSPCLDKERFDLDSIHIDKGLRDEARFLKACGTIPETPIEIRKASQKLTSPQHSGPSHFHSWISSSSALGFHVDESPTPIKACEDVGRPSFTSEQTPSSCVIDVRDNSRITSAFNDADEVESIGTAVKGELDRSARPMLTAGKTKSVRFECDLEQSHSSNSSQNSSSRKPQMGGKVSFILSSPNPTPLKLSDEMQTPRTIYPANMESAGKGRRPRIRSQFVHSVSNLMENASLYKAHDDSHGSLEQAKWQDYKEQIDGETPTSATHGEKVEENSYEKLSKFEASFSPWLNPINRDCNERTPGVYAITPGDRPMIGLVAAHWNEDEETEVLPKWWDGNGIPNTTTKYKEDQKVSWHATPFEVRLEKVLSEEGGQSLFPPRKLEVMEDEEDSDISQLQQQPSSVDT >A02p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3684811:3689135:1 gene:A02p008950.1_BraROA transcript:A02p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLTCNMFWFLLVLVITILGLLGHNFPCVLSSPHRILVDTDVDTDDIIALLYLLKLNKSEFDLVGITLSANAWTNAGHGVNQVYDLLHMMGRDDIAVGVGGEGGILDDGTILPDVGGYLPIIDQGMATAGGCRYRQTIPKGRQGLLDMDSNYGFRKHFLPQGNRRYTPLQQPTAQKVIANKVLEGPISIFLMGSHTNLALFIMSNPHLKHNIQHIYVMGGSVRCPNPTGFCGNLFTDFTSNPYAEFNIFADPFAAYQVFHSGIPMTLVPLDATNTIPTNKKFFETFEKNQRTYEAQYIFKSLEIIRDTWSPEVFYSSYCMWDSFMAGVAVSIIRNSGNNNNKNGENDFAEMEYMNITIVTSNKPYGLPDASNPFFDKQTTPKFNLTLGGVHSGHVQSGLKDPICMQRRNKGNCKDGYTQETYGPDSVKVLVATRAKQNKNYKSELDREFYVDILEVLNRPEETGRFNLSTQFPYYREELFIPDLSNVQLGKPVLLDMDMSAGDFLTLFYLLKVHVEIIYLKAIIASPTGWANAATIDVVYDLLHMMGRDDIPVGLGDMLALNQSDPKSPSVGDCLYAKAIPQGCGGFLDSDTLYGLARDLPRSVRRYIAENSMAHGLRQPLALEVWQHVTKSVNEVSKITVLTNGPLTSLAKIISSDKNSTSLIKEVYIVGGHININKSDKGNIFTVTSNAYAEFNMFLDPLAAKTVLKSSLNITLIPLATQRNLSSFQTMLNSLNSTDQTPEYQFVHRLLARLHALHQEHRSYKHVGMFLGEILGALFMGGDHAVLKPKLRDEQVKVIAEGSESKDGQILIDKLHGRRVKILESIDSRGCYESFASNLNDKRQSAVIGTFEEQRKKWITSPSRTLRRKKQTTPPSRTLRKKKWIT >A06g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19258560:19259066:-1 gene:A06g506970.1_BraROA transcript:A06g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNLYNKKLPNEKKSDIKTYQNTQIYYERETSSENFHEVQMTSKKSKRLPGSPDDFQEVQTTSWKSSSALYFRRLTCKSSQRSSRSEKPAYQIQI >A02g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1711864:1714444:1 gene:A02g500580.1_BraROA transcript:A02g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGDVAFSVYHDGYWVSREKRIMHCGRCGIAGHNAKNCKNFGVPKFLKPRKRMSSNTGEDGYESTNTNG >A10p002590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1346428:1346715:-1 gene:A10p002590.1_BraROA transcript:A10p002590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAVVVFARKGCCMGHVVKRLLLTHGVNPLVVEIDEEDNNDMIISDLGKTVINKENLPVMFIGGKLFGGLENLMAAHINGDLVPTLRQAGALWL >A01g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7799653:7800393:1 gene:A01g502220.1_BraROA transcript:A01g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVVSYKEKETTDAQPPQPEKNNALPTPLRSNRSGLEMESLPICKSDAAFHQQSNRAGLAWIIRDASGDPLQQGSMTQDLVNSPLVAEALALRAGLISAVTLELPKLKMLSDNATLIRAINNDAQIKEIYGIVSDIQKIASVFVEITFNHIPRSFNGEPDCLAKLSLYHSVSSVTNP >A03g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12485275:12486825:-1 gene:A03g503660.1_BraROA transcript:A03g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71A25 [Source:Projected from Arabidopsis thaliana (AT3G48280) UniProtKB/Swiss-Prot;Acc:Q9STK8] MSTMMMILLVCSIILITTLFLQNRSTGKKSNTPKSPPRFPLIGNLHQLGRHPHRSLCSLSQRYGPLMLLHFGRVPVLVVSSADAARDVLKTHDRVFASRPWTKITEKLLYNGRDVASAPYGEYWRQMKSVCVVHLLSNKMVRSFRDVREEEISLMIEKIRESSSLPQLQKTGNDFDEFLEKVLDDHVDGDRDGTDFVDVLLTIQRDKSVGFQLDRLSIKAIILDVFVGATDTSYTLMEWVMTELLRHRECLNKLQEEVRTICKGRSSVLEEDIQDMKYLKAVIKETLRLHPPLPLMAPHESTHDVRLREYDIPAGTQILISAWAIGREALTWGLDVEEFRPERHLDSSVDFRGQDFELIPFGAGRRICPAISFAVVLNEVVLATLVHQFDWRLPVELTEDQTNVAESTGLAIHRMFPLYAIASSTT >A05p022120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10545355:10547969:-1 gene:A05p022120.1_BraROA transcript:A05p022120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFERVPLLLGLVLVLTLVVAKASEPVCRQAEKFSRASFPEGFLWGTATAAFQVEGAVDEGCRGPSMWDTFTKKYPHRCQNHNADVAVDFYHRYKEDIKLMRDLNTDAFRLSIAWPRIFPHGRMSKGISKQGVQFYHDLIDELLKNKITPLVTVFHWDTPQDLEDEYAGFLSGNIVKDFTEYANFTFHEYGHKVKNWITFNEPWVFSRAGYDVGKKAPGRCSPYIQEWGKHCEDGRSGFEAYQVSHNLLLAHAYSVEAFRACKQCAGGKIGIAHSPAWFEPADLESVGAPIERVLDFILGWHLHPTTYGDYPQSMKDRIGHRLPKFTEAEKRILKNSADFVGMNYYTSVFGANMQNGDSKTPSWTTDSLVQWESKTVDGYKIGSKPAGGKLDVYSRGMRKLLKYIKDNYGDPEIMITENGYGEDLGDLHNDVATGTNDHNRKYYLQRHLLSLHEAICDDKVNVTGYYVWSLMDNFEWQDGYKARFGLYYIDFLNNLTRHQKVSGKWYADFLKPGFPTSKIVREEL >A10p029470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18054374:18056376:1 gene:A10p029470.1_BraROA transcript:A10p029470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSSASAAVTECAKAVTPRCTNSGKLRVMCRYGGSIVSLPQTKSPRYVGGDTRIVAVPPSAETSVASLVSHLAVTLGISYDFKVKYQLPDQELDSLISVETDEDVQIMMEEHGYLTCESSIPKTRVRLFLFPSKTQGGASQGDPAQCKAGEEAAGDVDWLGIGESNPTQPVLQHPKTDTWFVDALKGVEMMQTGGNNSGSSAGSGGGICGQESMMLETNSSFGSTSSSVSSSKLPPMKSTGEDNTSNSQVKFAPIESLTSGNTAATPISSHELPTSPHVLETKLSPNMYEPDLNKPVAVSGYPQFLNQAQQQQQQPIYVVYTGQPPYMTGNSPMPLPAYQHMNPIHYQLQPQPYPVYYPVEQYNSRYVQAPPVRHNTALNTHHVESPVARTSSPLAPEFSSQVYLPPKPVDSSSVQTSSEAGVSTTCKDDFIYNTDLDDDTARAQLYKSQPPAPIVSSELQTMMLTEALGQLNTHKG >A02p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7190465:7191433:-1 gene:A02p016130.1_BraROA transcript:A02p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSGSLKLEINTDDKTPGKWSVPLGEDVFRRFLSSGGGSEKVVFSEGSIFSPFLFGKYFDPSDAFPLWEFEADVLLGSLRSLGQCRVDWSETDQAYVLKSDLPVVGKNNVQVYVDVNGKVMEISGQWKTAANGDWRSGRWWEYGYVRRLELPGDADLKNSEAFISNKDGYSFLEIKIPKNKF >A02g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21944295:21945691:1 gene:A02g507900.1_BraROA transcript:A02g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRANRHRGKVKAFPKDDQTKPCKFTAFMGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPAMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWAKSKKKAFTGYAKQYETEEGKKSIQSQLEKMKKVRNRHPCLGPHSDQEDEGLKQKKAHMMEIQINGGTIAQKVDFAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHLLESPTLLPELVRTVTITVPS >A04p014440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5513959:5514645:-1 gene:A04p014440.1_BraROA transcript:A04p014440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFPNYYVSTPIMSEKKNISSSNNSKRRKKRWPPTVLSGGGRGGGGGGGGGDELATVKAAAWAWYQRNEGKPMIREFDITTRATRTPRPSRYKLEASKNMILSENRVSKSDTNHLSHEDQETKFSSLLDPYEIMSISKRIDEGSLPANPTSSFRHDMQNKLEDDHSKKENRVVTKMSMKNLWKGMILMAAPRTVCGRSDDVDLEAYRANPRTLKVAPTSVKTRTDRR >A03p063390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27525468:27529271:-1 gene:A03p063390.1_BraROA transcript:A03p063390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNGVTMDVGEDGVAVITISNPPVNSLSSSILSEWNEKLQEANQRSDVKAIVLTGKGGVFSGGFDLNIFQKVHKTGDMSLMPDISFDVVGTLMEDSRKPIVAAVEGVALGGGFELALASHARVAAPKAQLSLPELTLGLIPGFGGTQRLPRLVGLAKAIDMILLSKSISSEEGHKLGLIDALVPPGELLSTSRKWALDIALGRKPFLRSLYRTDTIGSLSEARAILKNARQLAKKIAPNMPQHHVCIDVIEEGIIHGGYSGILKEGEVFKQLIPSDTVKALVHVYFAQRAISKVPNVTDVGLKPRHMKKVAVIGGGLMGSGIATALLLSNIRVVIKEINSEYLLKGIKSVEGSHLILSRPYPFEYLSANLNSLVSRGKMTQDKAGEAFSLLKGVLDYTEFKDVDMVIEAVIENIELKQNIFKEIEEVCPPHCILASNTSTIDLNVIGEKTNSKDRIVGAHFFSPAHIMTLLEIVRTENTSPRVILDLMALGKTIKKVPVVVGNCIGFTVNRTFFPYAQAAHMLVNLGVDLFRVDSVITSFGLPLGPFMLGDLAGHGIAIATKDIYDKAFGDRMFRSPLTELLLKSGRNGKINGRGYYIYQKGSKPKPDPSVLSVVEESRRLTNIMPGGKPITVTDKEIVDMILFPVVNEACRVLDERVVIRASDLDVASVLGMSFPSYRGGVLFWADTVGPKYIYERLKRLSETYGDFFKPSKYLEERAMRGMLLSDPKSSRSRL >A03p014790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5849543:5857632:-1 gene:A03p014790.1_BraROA transcript:A03p014790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMPASFVSKARTAFNSAAAKAERVLTDLKSHREEEEQPTRNHNYSEGVNEVKHQGWRTAHIRKKQEWQTKLNNLRIGRRKEVDDQDKFEDLTMAIPFYDANLYILKAKQEQEAKESDVGYLVETLNAVDVNSIPRASIVKQLAVAIKAGKGAKTMKDFIAPSGNSSPVKEKGGLTLSAVKSLVLGEQEDKLGFDSGDEKKLVSLINSLFNVDGNFLIRMIVSDLGSPSNRVSFTKDLHAAPPDSFVVKLAEVIGSFTTPRRMALFWFKVVNELRRFWDEERHIPCIPLDENPDLKSCLVHQWLQVINCCLDRRVRCIAASEALDAAISQASSGNEDSDNSEGMGSPVSLLYAKNSTGELVLRLGAHHQVENLTILETGEAVYAPVTQDGPLLTEDLIKETEELVLRTGSMGAGCSQLLSDMQAFKAANPGCTLEDFVRWHSPPDWTENDTSSGDDSSPPRGQLSIRMQKAGNLWRQLWETAKPLPAIKQTPLFDEDLAVEGILNSLEDIPAAELFEQLFVSLVALGFVMVEPVISTNDDLSKLFFECKDYVVAICEGGAVTDKLDDLCQVYETVEAMLLRPEKVLRSMKQTEKSLSGVNGTKQRFKRLSFIFRGKEGNQKRVPSETEQKCMEPAPAKVPIGIKICLGKLLNVWLVLGSRKVIRFSPLISLLRLINSATLNFLIRRLSLSLGSLRFGTMMLRAVIRRASSRVSSASSLGLGKSLQSSRVAASAQSFHSVSSTTDTIVPRGSHARSFHHRPCPGCPDCSRTVSSSFRGTTLQRWVRPFSSDSGDVVEAVVPHMGESITDGTLASFLKKPGDRVEADEAIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGNKVAIISTSADAVSHVAPSEKTAEKLAAKPSPPPAEEPKVESTKVAEKPKAPSPPPPTKQSAKEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFFEKHGVKLGLMSGFIKAAVSALQHQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRGADKMNFADIEKTINSLAKKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVGGIVVPRPMMYVALTYDHRLIDGREAVYFLRRIKDVVEDPQRLLLDI >A03p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6855164:6856734:1 gene:A03p017120.1_BraROA transcript:A03p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH137 [Source:Projected from Arabidopsis thaliana (AT5G50915) UniProtKB/Swiss-Prot;Acc:Q93W88] MSLLVLPTNKKTQSHKDKKSEASILQMASFSYFQHYPHSLLDPLLFSSPNSSTKLSGFIDQNPLYPPPNISTIVDTSLNPFLDSFNVEKTESSDVKKQINTNATAALTGGQLSPGPSTTSAGKKQRRKTRNGSKSKEGVEGRKCKKPRNGSISTDVKVKRASEQEPPKDYIHVRARRGQATDSHSLAERARREKISERMRTLQNLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASISPVVYDFGSDLDGIIVRPEMGSADVGTSFANAMPTTTNFSSLLDDSILPAEPHLQEDGGEREKTVDRSGFNNNSFCSFS >A05p031710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18252734:18253415:-1 gene:A05p031710.1_BraROA transcript:A05p031710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVVFSDLKSGPQKRKHKLYQIQTVKRLHNEWIENTDRWATGFLEIFEEGFIRRGLQSEGVFKRGNQKIPRNKLENGEYDDADADDQFYEEYFDHDLGRDEYEDERHYARIKMLKKRKRKLLRRMQKTTRSFHS >A03p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6606603:6608291:1 gene:A03p016570.1_BraROA transcript:A03p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKEKKQKLSTSETISPSLSKMKKKKNKRKKRVSKSLITSSPSHSEKDLVTLSPPPISKVNVEKAMKKQNDVAMFLTEKVISAVAKNSNFVFSPASINAALTMVAASSKEEKLTSSILSFLRSYSMDELKAVFSEIATMVLADGSASGGPKISNVNGVWMEQSLGVDPSSKDLFENFFKATCALVDFRFKAEEVREEVNAWASSHTNGLIKVILPPGSVTSDTDSIFGNALYFKGTWEQKFTKSLTRHFDFHLLNDKSVSVPFMTNHKKQYVEQYSDFKVLKLPFRQSGDTNRQYSMYFYLPDAKDGLNSLVKRVASSSSTLGFLDSHTPIKQVEVGVFRIPKFKIDFGFEAKKAFNGLNLDLLSLNHKALVEIDEDGAEAAAVTVIRRYGGRGFRCGKRIDFVADHPFLFMIREDKTGTVLFVGQIFDPSKSASP >A08p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14362913:14371988:1 gene:A08p021490.1_BraROA transcript:A08p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIAVLGPTHGSQFFLLSPNNLFPVKKLSCIPLKSLPTKPSKYVRLKAMTSPTCDEQTSHRKFEKLLPSPWTHRFHSVSVDVTEMDALRKEMDALNPKVKNMLMSSQGTNSTKKGVLMIYLLVNLGLAFHFEDEIYETLQESFQKIEEMMDGEDDLYTVSIIFWVFRRYGHNISFDVFKRFKMNTGSFKDSLTGDAKGGMLSLYEAAHLRTRKDNILDEALMFTSSHLKSIAACGTCPPHLSMRIQSALILSQHWNMEILVPLEFIPFYEQEKDHDEMVLKFAKISLKFLQLQYLQELKIVTKWYNELGHASNLPPYYRDRIVENYFFVLSVFIEPQLSRARMMLTQFFTALQILDDTFDRYAFLPEAEILANSLKRWAPDHDMDKQPDYLKFVLDSTLNILEELEREVRKTEGSSYSFDATKDEVDKLVKANFDLAKWALVAHVPSFEEYMEVGEVEFTAYALLAGIFMTKGKIAKEAYEWLKVRPKLFQCLSIKGRLRNDITGYEDDMSRGNVTNAVTCYMKQYGVPVEEAIRELNKIVADADKTINEELLTTVDVERFVLKVAIDFARMITVTYNVDEGFTHPEGKIKDYMTSLFLDQIRL >A02g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14433252:14433552:-1 gene:A02g504330.1_BraROA transcript:A02g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVSASIGLAPSRNEKQDLKKLWCGVEMSKEGSGGGGNGAEQEEEEEKGGEEEKTEMRGGWSRKMTTAA >A03p017590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7164269:7166340:-1 gene:A03p017590.1_BraROA transcript:A03p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKPLIAVFLAVVLAKMISKLCSKKLKLPPGPIPIPVFGNWLEVGNDLNHRNLVDYAKKFGDLFHLRMGQRDIVIISSPDLAKEVLQTQGVEFGSRYRNIVYDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQNREGWEFEAASVVEEVKKNPDAATKGIVVRKRLQLMMYNNMFRVMFGKRFESEDDPLLLRLKFLNGERSRLTQSFEYNYGDFIPIFRPFLRGYLKICQDVKERRLALFKKYFVDERKEIASAKPMGSVKYAIDHILEAEEKGEINADNVLYIVENINVAAIETTLWSIEWGIAELVNHPVIQSKLRNEIDTVLGPGVQVTEPDLHKLPYLQAVLKETLRLRMGVPLLVPHMNLKDAKLAGYDIPAESKILVNAWWLANNPESWNKPEEFRPERFLEEEAHVEANGNDFRYLPFGVGRRSCPGIVLALPILGITIGRLVQNFELFPPPGQSKVDTTEIGGQFSLKILNHSTIVMKPRAV >A01g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22600893:22603230:-1 gene:A01g508000.1_BraROA transcript:A01g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKVFFSDLKAGRCSSVVEARLLRFWEARNVKRGGELMWMDLLMVDVNSTVMQVTISAGRLPQFLDQLHAGTMFSVSGFDVSRCAQNFRLTDSSLMIRFNESTSFQELTEPVSPLPEESFRFRNHSELIGLANTNTQLPDIIGEILSVKSTVCDPPEEKNRVMVTLKLDSDETVTLSFFDSQAVAFHIQLEAMRVDPKVMVVTSINPKIVGGRLFLNATSGTHVYFDKKTEAGAALLYRLVARDTGLPSAAPLLKSYAKVENMTIADLNSFIVSAASQEIDFLCTGRVVRIDTDKGWCYVACSKCSKKLQRTESAFTCGVCNNPQAVGALRYRVEMAISDDTAEGTFVWFDGVLTKLHSIRASEAAQMLAEDGVNPEDARLPPFIADMEGKTYTFQVRVTAFNFTEHHKTFTITRIAEY >A10p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9368785:9371282:1 gene:A10p005490.1_BraROA transcript:A10p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVNDGGREKQSIRVYTRKGKGQRKQSPFFAFATDEIGKANNRLNPPETVAPEKTALETKSREDSTEAPSDKVIDKPHDDVSLGAADKSVIQSVPGPLVQDDANTVVGEKSVEVPSQSFKTQDDVNTVVVDENSIKEPSESLAQEEDVTTVVVDKKAIDAPSETLSVEDINTVVVDKNPIEVSSENVVDGVKEAHPENLPERDAPDAQQTAGLTSDSAGESMPMEEGVDGRIKIHVPSKSKQQKEEIRKKLEDQLNVVRGLVKRIEDKEGEIGAYDDSRLLASTGITNGGGRILSGFASAGLPREVIRTPRPLNQLSISVLENTQGLSEHVEKEKRTPKANQFYRNSEFLLGDKLPPTESNKKSKSSAKKHGGEVGHGFGAGSKVFKNCSALLERLMKHKHGWVFNAPVDVKALGLHDYFTIIEHPMDLGTVKSALTRNVYESPREFAEDVRLTFHNAMTYNPPGQDVHIMAQVLLQMFEERWAVIEADYNRQMRFVTSYEMNLPASTMRSRLGPTMPPPPINVRNTIDRADWSSHHPDLQHPKPTTTPGRTPTSTTPSGRTPALKKPKANEPNKRDMTYEEKQKLSGHLQNLPPDKLDAIVQIVNKRNTAVKLQDEEIEVDIDSVDPETLWELDRFVINYKKGLSKKKRKAELANQARAEAERNGQQQMAPAPVAREFSREGGNTAKKTLPTPLPSQVEKQNNETSRSSSSSSSSSSSSSSSDSDSDSSSSSGSDQT >A02g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24005682:24010262:-1 gene:A02g508950.1_BraROA transcript:A02g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECPSMTTRRLAPGTSRLMKTRGSSTVQYQTREQGCNQMTMVKKKRRKETVQEVPVPTVFKGAITRQKAKVKLADEPSLKQDELKGAEPVQEKQASRECASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELVERLEARN >A09g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9633279:9633750:1 gene:A09g502830.1_BraROA transcript:A09g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFDMCSNHNWRRLSYLIFVFLFVSANDAAMLEKISTVMLKQLKIQKLKEKFRIHDLDHNGFITNHELRYVMSTTDKQARKIVDKATDKQVRKIIKAADVDNDGQISFDEFVKFIENDEK >A02p051110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31451399:31453258:-1 gene:A02p051110.1_BraROA transcript:A02p051110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFYFIPSLFFLVLSLKLLFGARRRKLNLPPSPTRPFPVIGHLHLLKLPLHRTFLSLPKSLDGASIFSLRLGTRLVFVVSSHSVAEECFTKNDIVLANRPEFIVGKYIGYNSSTMVSAAYGDSWRNLRRVGTIEIFSSFRLNSFLSIREDEIRRLIFSLSNNSQQEYAKVEMRTLFMNLTINNILRMVAGKRFYGDETEDDDEARHVRQLIADVAVSSGAGNVADYFPILRLITSYEKQVKKLAGRIDEFLQSLVDEKRAEKVKGNTMIDHLLSLQETQPDYYTDVIMKGIILVMIIAGTDTSGGTLEWAMANLLNHPEVLKKARTEIEEQIGSDRLIEEQDIVKLPYLQNIMSETLRLYPVVPMLLPHMASEDCIVAGYNVPRGTMVMVNAWAIHRDPNTWEEPEKFKPERFEKEGEDKKMLSFGMGRRACPGSGLAQRLVTLALGSLVQCFDWERDGEKYVDMTEAEKGTIMRKAEPLKAMCRARPIVHKILDASCS >A03p061070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26497169:26501420:1 gene:A03p061070.1_BraROA transcript:A03p061070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYEQMNQMTMTTTAMMKNFNKMGPINTPHKKITTKRSVSAIDGGAAVMAGEGDRRRNLKTLDLSGMSLASLSASSINLASISKLDLSNNNIQLKVLNVSGNNLQHLPKTIEDCRSLEELNANFNELTMLPDTIGFELTNLTKLSVNSNKLVVLPSSLSHLTSLRVLDARLNRLGSLPDDLENLVNLQVLNVSQNFQHLKELPYSVGLLISLVELDVSYNGITVLPDSIGCLRRIQKLSLEGNPLVSPPFEVVEQGLEAVKLYMSEKMTESYKETPMKKKLWGIGKMVKYKTFNGLSSSPGRSPGRRTGGDHHGNERGGFINVSDYRQIDGIASPRHVSLFNPRRLLSPFSAYFSPPSRAMQYYPPWSEPCVYSNDGTEEQTFLSINT >A01g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16647745:16648425:-1 gene:A01g505630.1_BraROA transcript:A01g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCILSPKSIPGRESDTDLEDLEHAEKLRQVKAVLEESGEKPFGMAHGGNFSGIYRNVQLKPLKWDGEGEEERPVEALMILKYDGVLAHAGRKQAEELGRYNFLQVSN >A08p007330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4332580:4332848:-1 gene:A08p007330.1_BraROA transcript:A08p007330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDDTRTGGQAASAAEPDLAVVMTMMTNMSIGNHCFKIMIPKLQEEIYYEPIKTRQDINSQWIE >A03g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27076276:27076583:1 gene:A03g507670.1_BraROA transcript:A03g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQLLKRFFSTFSLFVEYYPEKSFSHSREPFGMAQGGNFSRIYRKVQLKPLKWDGEGEE >A10p027780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17416631:17417491:-1 gene:A10p027780.1_BraROA transcript:A10p027780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSPPYSLAGRVAIVTGSSRGIGRAIAIHLAELGAKVVINYTTRSTDADQGAAEINSSAGAGLEPVAVVFRADISNSNQVESLFDAAEKAFNSPVHILVNSAGIVDPNYPTIANTPIDDFNRIFRVNTRGSFLCCKEAAKRLKRGGGGRIILLTSSLTEALIPGQGAYTASKAAVETMVKILAKELKGTGITANCVSPGPVATEMFFSGKSEETVKSIIERSPFGRLGETRDIAPVVGFLASDGGEWINGQVIVANGAFLK >A07p049310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26213236:26220814:1 gene:A07p049310.1_BraROA transcript:A07p049310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMMRAEEESPPPWLIPLLRANYFVPCSIHADSNKSECNMFCLDCTSSSFCSYCLTNHKNHRVLQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSARIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGNQSLTFSLKGKHGREYQAGEDSDEATTPTKIRKTCAFNRLMSGLSISTAKSDYFSGDQWSSSSGDESGFNLSPGTPPIYNHRNSSRRKGVPHQKEISRGKRSGSLVYTSTTTKYIINESRKKLLPLQKKTVEIINFALNKERYSFMFKVNWKGTTKLCCHVTWRGGRDHWFTVFNRGRGQCSSCLADLRIMAFANYHPCTKLMFYALVFYLSISLSASTDATMKKPIARSLLPLQKKTIVIRNSAINKEVMNIHCSSTESDLGLKHIPYFQDYTFHFRVNWKGTTKFRCHVTWRGGGDHWFTVFERGRDKCSECVWQVYGEGGYGDKPLIIMAFANYHPCTKLMFYAIVFYLSISLSASTDATMKKPIARSLLPLQKKTIVIRNSAINKEVMNIHCSSTESDLGLKHIPYFQDYIFHFRVNWKGTTKFRCHVTWRGGGDHWFTVFKRGRDKCSECVWQVYGDGGYGDKPLMYYNRGDEGYHLFDWD >A07g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12936283:12936881:-1 gene:A07g505690.1_BraROA transcript:A07g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPPPLAVAGTSLNLAIFLVCSRILLLLPLKLSASPLFAAKQFEEPSFSRSDEPLVDLWASPSASLIKIQQRYSSVVVVCGEQVKVMVLEMKMVLGYLLYPGYEGLSRTLNKCSSWVLLVSVFPLWLRMAKQYNEVEA >A05p021440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10180888:10181509:-1 gene:A05p021440.1_BraROA transcript:A05p021440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKAQGLGYLKKKAPMSTYHADEELENDTDGEEEVEREEEKRKGVMDRFKGSSSNRVSSRLCQVDRCTTDLKEAKQYHRRHKVCEVHAKASSVYLAGRRRKSSGESFGEGAGGRRGVTSHVMQNQERSRVEMTLPMSNSSFKRPQIR >A08p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:308859:311371:1 gene:A08p011510.1_BraROA transcript:A08p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDSRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGNDVNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERKTIRANLELEQQE >A08g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8469089:8470763:1 gene:A08g505140.1_BraROA transcript:A08g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVESEESTDTELPTSIDTVQPESGKFSLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPEIEKATSPKDNSDLIEIKNSLKSLHSFLQNKHRSDIAQIDDNALSDTDDYLDDGTNCSDPYSVLHTGKSNSHPILLNDLDPNPSQENQITTAEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTPTIDRQPEKPVDR >A09g519090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58532691:58533011:1 gene:A09g519090.1_BraROA transcript:A09g519090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERTDGLNHSGRKMTSLLGSGTTLLEIGLVMLMIIAYDSAFRASTRPATSEDYIFYGSSAEFPEFTRTKPLSIIVSISL >A04p036380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20918760:20919520:1 gene:A04p036380.1_BraROA transcript:A04p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDAVNPLSASDHKSSTSRLSWSAGGLCYSAMVSFHLHQYASMGKWSVLRVWDVLLFEGNRVMLFRTALALDGIEQLEQGVRELRKLVSDKKDQEAAMIQVLMGMEQEHKVTEDARRAAEQDAAAQRHAAQVLQ >A06p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15787153:15796042:-1 gene:A06p023810.1_BraROA transcript:A06p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHLSTLFSLLATILSIAESISIDCGSTGSYVDSNNVTWVGDKGFVTTGEPMKIPDVITKPINTLRYFPTGQTNCYTNIPVTKRQKTLVRTKFYYENYDGNFSPPSFDLVYDGKHRDSVEITESLLNNEETFYYSELIFAPANESISVCLIRTSPSDNPFISSIEVYSLDVGMYADLGPSEGLITRQRTACGAKESISYPLDPYGRPWYPLGADDTLADLTTSAPSIDITGASNKPPEVVMSKASSSLGESIKLSNLALPLTGLPVYLALYFSEPQTLGRTQRRSFNVFLDETQVGSGPIIPIFGKATQLVLRDVVATSGSQIVFQSTGDSVLPPIINGVELFSISNSRNGGGGGGGRSQSSGGNNDFGGEAKNNGGKKKKNKLPLILGVAFASAFVILSSTFGAIFLRKRQNAKPQSNTTPTTSTENGTGTGMSPLVEQQFASVNIDCGTSSSSLGANNIKWVGDKDFITSGESATVSSTTVDKSLTTLRYFPTGESNCYNIPVTKGGKVLVRTMFYYGNYDGKASSPTFSVVFEGKHRGTVSISSAFEPYTLELIFSPASGETSVCFVRTSSSSNPFVSSIEVADLADGMYDELGPGEGLFYQQRVAYGTTETIRSDLYGRFWLPSEINILLTGVPSAAASIDTSDASNKPPESILRNSWNGESLTLFDATLPTGGVPVYLAMYFSEPLEMSVRSFNILFGSKKVGTGPIVPVYGKATQVVVRDVVASSSSQLVFQSTASALLPPMINALELYVISSGTSGDGSGNGSGSRSGTGGGGGEGGGGGSGSGGPAGSGGTGKGGGSNEGSSGGSNNEEKKSKLPIIVGAVSAVVFVIIVYVIVAIFLAKRRKGRLHGLILPTSTVSQTGTGPSPLFGQQMGNDANQSTNEADMGDIDDLIGVNQSY >A10p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16741513:16747058:-1 gene:A10p026320.1_BraROA transcript:A10p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTHLLEVLQTEILARSPLRTICRFKSVCKKWKSTIESPYFRRLFLSLHRNSSSSSSSSWSLVFGQDELIVFHGRKTWDYLPKSPAPLIPPSFKHYHNGCDYMDSSGGLVLITDGSDKAYCYVGSPVLQQWIKIPPPPSDPKGDSSVFGLVTRLDEDGVVLRFNVIRIASYQVTNDYLSSDLSVLLYSSETGVWTFKVIHSPIQIGNMYNINLNGRIYFGCLYVRGVLLAHDFYSESDQFRVVQLPDYPDHNKGYKRTLTTSGGFVMYVRTLAKKDETVLKIWRLMTNDDYSWELLWEVGFPITGNYAPVAMHPFDVATVYLWSQRDDHLVSCNLRKQDYTVLGDAANDCFIDKSVCIWLFQFVIPRWMESVPRPPHAEMIDTTSLLSHATATHERIVREHETMMRQRAAIMGDESNDEYFWIGGKTWKSTIKSAYFRRLFLSLHQNSSSSSWSLLYGPYELIGFHGCKTWDLPKSPASLIPPSFKRYHISDIDYVASSSGLVLLRNDSDNAYCYVGNPVSQQWVEIPPPPSDPTGANSSVDCLVTRLDEDGVVISFKVVRLGDVQSKNNLLSVQGSGLPKIRSIPGCPITGLSGLQRLQTNLDYIRRLCHVSHTKKLLPEIIVNYAPLAMNPFDIGIVYLWSQRDYHLVSCNLRKRNYTILRNASNDGHQDCLIDHFVCIRRAVCISFCPFVVPRWMEPVPRPPQAEMIDMTSLLSYATATHERAMGYMNTVMRDKDNDYFWME >A06p041980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22564879:22579063:-1 gene:A06p041980.1_BraROA transcript:A06p041980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHALPQRSQDSTIQSFVQLPLITTQSSKMTLSTVHQQILALPAVKTAPAGYLPYPASINKLQIPTPSKKSEQSKKKSILRTNSFTNGTKDQSKLGPKLTETVKRKLSLGAKILQMGGLEKIYKRLFRVYNEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLCKIKGVDQSLNTKKPSQKYIEVVTFHHHHHPQSLSLSRSRFKIITQSSKIMKMSRVHQQVLAFPAVKTSPAGYLPDPASINKLQIPPPSKKSEQSKKKSILRTNSFTSGAREQSKLAPKLTETVKRKLSLGAKIIQMGGLEKIYKRLFRVYDEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLCKIKGVNQSMNTKKPSHKKHSTVSSKHFLSSNNKPKVSSLTIYPSFNITTQSSKMTLSTVHQEVLAFPAVKTAPAGYLPDPASINKVQIPSPSKKSEQSKKK >A08g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6360088:6366067:-1 gene:A08g503560.1_BraROA transcript:A08g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRLSLAEKVSREQLAPRNQLKRGETRRITSSREEQSRPPLRNQYQQRSQLSRARDGEERPYHSYRSLNRQEWQRKDHYNSDRSRHSAELPRTDYYREEHRKGRGDSHSASSPKSFSRPRHVAKETEASPPRYRDLGSKEDVTDARNHLNSKNQGIPCRRRRDKTLPQDAMDEAIGEVQSAARRERVRLAEAKGQIEESAAQIVRASLTRKETADSQTGKVPSSEDRVPIAARLGQLPLDKSIDSTAEQATSKDQNERIPIVNRLGPHKSTLVQDTQDSIVPEKAQKRKPGRPPGKRRVNGSPSLLPGASSKKRKVQQTKQPNCRKKLQIEGNGKSAKAARGKGDSARVGAKKVIAEAWVDDPDASVMERLATTRSAISAWNRTQQRVASGSLFLWIIWNLWKSRNWFVFEGFPSRPEDVLTTAIKMAREWSSDQNLEIVHHKGEPRMEQPSPCDAIVVRTDAAWEASRQVAGLGWIRLGRPTNQTFKGHVEFVTSPLMAEALALRDAILTCKRMKIKKLRFESDSAQLIKIVNSKSSVPELHGVVSDILACSLAFEFVCFVWIPRERNTIADLLAKDALIASVQCVVVGVMPTTNSFLLINSVFQKKKI >A05p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21697817:21699434:1 gene:A05p038060.1_BraROA transcript:A05p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G20420) UniProtKB/Swiss-Prot;Acc:Q9LTQ0] MDRFFSPDFNSPTITPSNLSDSFPSVNSQAITRPPISPEKMESLQAVEKIVNYSFANKSLLEEALTHTSCVDFPSYERLEFVGDSAVGLALTNYLYLTYPNAEPHELSQLRAANVSTEKFARVALKHGLYRFLRRNAPSLDEKVTEFSEAVCKEDDSVYYGGLVKAPKVLADLLESVAGAVYIDVNFDLQRFWVIFRGLLEPIFTLDDLQQQPQPISMLFQLCHKHNKRLAIRYLKEGKRIIAGVYLDDQLFASGSAENKDTAKLLAAKEALGKFSECTPIAMVVDEGSVEVEVEDAKRKLYEICSKKKWPKPIYSVEEERGSANEKRFVCSARIKIPSEESPLYMKGDEESKKKKAENSSAYHMIIALRKSNYL >A03p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18183385:18188448:1 gene:A03p043460.1_BraROA transcript:A03p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIDFNEYKLRCELRGHDDDVRGICVCTDENIATSSRDRTIRVWSLGSDDKRKYSASKILLGHTSFVGPLASIPPSEEYPEGRLVSGSMDTSVLVWNLVNGEVVQSLKGHKMQVTGVTLDDDDIVSSSVDQTLKRWRNGQLVESWEAHQSPVQAVLKLPSGELISGSSDTTLKLWKGKTSLRTFTGHADTVRGLAVMPDLGFLSASHDGSIRLWALSGEVLLDMVGHTSIVYSVDAHASGLIVSGSEDRHAKIWKDGVCVQSLEHPGCVWDAKFLESGDIVTACSDGVARVWTVRDGMIADQMEIDAFDSLISQYKLSRKKVGGMKLDELPGLDALTLPGTSDGQTKVVREGDNGVAYAWNMSEQRWDKIGEVVDGPDGVGDRPILDGAQYDFVFDVDIGDGEPIRKLPYNRSENPYDAADKWLLKENLPVAYRQQIVDFILQNSGQKDFNFNPSFRDPFTGANAYVPGQASHTAATPAKPLYKHIPKRGVLVFDVAQYDGILKKMTEFNNTLRPDPVNTDKSMTEAEVARVGAIVKILKDTSHYHATNFTDMDIALLLKVIQAWPAAMIFPATDLVRMLVLHPHGASLLIKHVENNNDLLLDVIKKVTEDSALPANLLTTVRVLVNLFKNPSFHHWLQRHHSQILDAFSNCYSSPNKNLQLAYSTLLLNYAVLLIEKKDEEGQAQVLSAALQIAEEEGADVDSKFRSLVAIGSLMLEGLVKKIAIDFEVESIAKSAKSSKEAKIVEVGTDIDLLIRQP >A08p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3263809:3266045:-1 gene:A08p005700.1_BraROA transcript:A08p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRTMRLFPYSSMAILSLFLTTLLLSLPLPSTQDLNADRAALLSLRSAVGGRTFRWDIRKTSPCNWAGVKCDNNRVTALRLPGVSLSGTIPNGVFGNLTRLRTLSLRLNALTGSLPLDLTTSSDLRHLYLQGNRFSGQIPESLFSLTNLVRLNLAENSFTGGVSSSFNNLTRLKTLFLQDNNLSGSIPDLDLPLVQFNVSNNSLNGSIPKHLQRFESGSFLQTSLCGKPLKICPDEETVPSQPTSGGNRTPPSVGGSNEKRKNKLSGGAIAGIVIGSVVGLALIVLILMVLCRKRSRAVDGSTIKQQEPAVVPREAAAENGNGYSVTAAAAAAMTGNSKAGEVAGPAAKKLVFFGNATKVFDLEDLLRASAEVLGKGTFGTAYKAVLDAVTVVAVKRLKDVVMQDKDFREKIELVGAMDHENLVPLRAYYLSRDEKLLVYDFMHMGSLSALLHGNRGAGRTPLTWDVRSRIALGAARGLDYLHSQGTSTSHGNVKSSNILLTKSHDAKVSDFGLSQLVAASTTTPNRGTGYRAPEVTDPKRVSQKGDVYSFGVVLLELITGKAPSNSVMNEEGVDLPRWVKSVVRDEWRREVFDSELLSLEREEEEMMEEMVQLGIECTSQHPDQRPEMTEVVRKIESLRRSGPDQVDEAY >A08p011560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:154808:155473:-1 gene:A08p011560.1_BraROA transcript:A08p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMTRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVIFMRLRYL >A01g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17467695:17468859:-1 gene:A01g505860.1_BraROA transcript:A01g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKSQNLAPLLFLSDKAISGTIFGTFPAVFKSGWFHGEQCFWSQMWEMLKVSTPKTTHHNDLVSFSALGMARHDNINPETLRRENCKTGGSFVSEYADHDIAGTLAAEDAGQVAKLRSVVESVDHKRRKILQQMRGDAHLLNLEEGSPPIQPKFFSPIHHKMWR >A08p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4445759:4446221:-1 gene:A08p007540.1_BraROA transcript:A08p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAGSEKNSPICKITLFFIVKYPNTIFSVYIYNQYPWKISRDVKQVYPSRSVLYRGELVVERVTAGLSRAGCGLQNVGPNPYRRIYRPSWAIPRDAYLSNRLLQLLS >A02p009560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4034729:4036320:-1 gene:A02p009560.1_BraROA transcript:A02p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLFTLYHSSVFQKNLFPTYNFILYSMDLKALHLKLQEMRQSFFNEGYLNCQYTQIEALEKDSSPYFIVEIITLYFRDSPNVIAALEHELAREPIELPKITKCINRLKSSSARLVIGAIKINNELEKANILLQAGNVEGMKEAVRRIKKEHSELRSKFETYFQLMRRAGPTEQAVNSS >A01p053800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29844845:29845586:-1 gene:A01p053800.1_BraROA transcript:A01p053800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRTVVGTLRGFDQFMNLVVDNTVEVNGDDKTDIGMVVIRGNSIVTVEALEPVGRS >A01p049680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27887410:27888946:1 gene:A01p049680.1_BraROA transcript:A01p049680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAARWFKGIFGMKKSKEKENRVSGDGEYSGNGGGEAGGSLIHRKVLQADSVWLKTYLSETDKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRASGYSGGNGVERWAAVKIQTVFKGYLARKALRALKGLVKLQALVRGYLVRKRAAETLHSMQALIRAQTSVRSQRINRNNMLHPRHSLEKSDDSRSEVHSKRISISVERQSFNNNVYDETSPKIVEIDTYKTKSRSRRMNVAVSECGDDFIYQGKYLEWSFPGDKCKFPTAQNTPRFSSSMANNHHYYTPPSPAKSVSRDVCFRPSYPGLMTPSYMANTQSFKAKVRSHSAPRQRPDRKRLSLDEIMAARSSVTGVRMVQPQPQQEKRSSCSYDRQFPQEPADFRFYN >A02p042080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26389579:26398030:1 gene:A02p042080.1_BraROA transcript:A02p042080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLARCPPFDFSAKYYHGGGSECERQKNFFDDSTRLDQSVGYAVILGFGAFFAVFTSFLVWLEKRYVGARHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWKYGVSGPFWYASGASIQVLLFGVMAIEIKRKAPNAHTVCEIVKARWGTATHIVFLVFCLTTNVVVTAMLLLGGSAVVNALTGVNIYAASFLIPVGVVVYTLAGGLKATFLASYVHSVIVHVVLVIFVYLVYTLSSELGSPSVVYDRLIDMAAKSRTCAEPHSHIGQSCGPVDGNYRGSYVTMLSSGGAVFGLINIVGNFGTVFVDNGYWVSAIAARPSATHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITKDEADRGLVPPATAIALMGKTGSMLLLTMLFMAVTSAGSSELIAVSSLFTYDIYRTYINPKATGKQILRVSRSGVLGFGCLMGILAVILNKVGVSLAWMYLAMGVLIGSAVIPIAFMLLWRKANAIGAILGSISGCVLGIVTWLSTAKIKYGRVDLDTTGRNAPMLAGNLVAILTGGLIHAVCSLVQPQNYDWSTTREIKLVEDGASGDVNDVPLEELREEKLKRAKAWIVRWGLVFTLVIVVIWPVLSLPARVFSRGYFWFWAIVAIAWGTIGSIVIVGLPLIESWGTIKSVCMGLFTNDRLMDKLDDLNHRLRALTMAVPEAERIYLLELEKTKKTDEERSI >A04g504660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9822054:9822452:1 gene:A04g504660.1_BraROA transcript:A04g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEASIAIFCFIPLCFFLFMNPRDNLLRNWPVLGMLPGLLVEFHRIYEFSVEILRSSNLTFTFKGPWYSGMDMLFTVDQANIHHIVSSNFSNYTKGPDFREVFDVLGDGILTADSELWKNLRKASKFMFSH >A06p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9797917:9804120:-1 gene:A06p020030.1_BraROA transcript:A06p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAX3 [Source:Projected from Arabidopsis thaliana (AT3G49690) UniProtKB/TrEMBL;Acc:A0A178VK29] MGRAPCCDKANVKKGPWSPEEDAKLKSYIETRGTGGNWIALPHKIGLGRMGQDYSYSQPSSSDEYDINTLIQAEFELYGDEAESNYHIAEPLQYEPQPECDEGIPTICYCGGDPVVAISSTAKDLGRRYFTCPNVDDGDCHIWKWWDVAITEEMRELQTQIRQLKDQDFECDEKVVKLQKTVCALSKKKPGLITNGFAMEEEIQRSKSECLKPVECLYNKCAYLRICIALRVTHYTFLTMDKNTSYVNLLFSQSQSSVDLDSPEPFWFGSQVKEKLSKQKLLERLLGKKEPLTEMETSLKLKLMSEMLSSMTCEGTGAARKRLRWLNYLRPNIKHGGFSEDEENIICNLYLTIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLIMKQRKDLQEACIEQQEMMVMMKRQQQQIQTTFMMRQDQTMFTWPPQQLPFLHHNDDQVPILVMNSFGGQEDSKQEIIKNMVKIEDQEPERTNAFDHLNISQLLLDLNNNYLGSGEGFSMNSILSTNTNSPLRNTSISHQRFRNFHDGETSTSADQSTIRWEDISSLVYSDSKKCC >A10p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12148396:12154052:1 gene:A10p012800.1_BraROA transcript:A10p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFSSSTVTSKFIGFLLLLSSLQLDLSLGSDLHYKNQTSFRPKKEIQNLRRIEEYLKRINKPSIKTIHSPDGDVIECVPSHLQPAFDHPQLRGQKPLDLPEMPSIANETTNEESFNQLWSQSGECCPIGSIPIRRTTKTDVLRARSVRRFGRRLKKPIRRDSSGGGHEHAVVFVNGEQYYGAKASINVWAPRVTDAYEFSLSQIWLISGSFGHDLNTIEAGWQVSPELYGDNYPRFFTYWTQTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDLGLMIWKDPKHGHWWLELGNGLLVGYWPVFLFSHLRSHASMVQFGGEVVNSRSSGGHTGTQMGSGHFADEGFEKAAYFRNLQVVDWDNNLLPLSNLHVLADHPACYNIRQGKNNVWGTYFYYGGPGRNPRCP >A09p020040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10637866:10638440:-1 gene:A09p020040.1_BraROA transcript:A09p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPLYDSASTSTYQLPNPYITVTNTPLGKLGLQIMVGKDAGCPCGFGFITCSYRRGADDAIKHMHGRELGDRVISVNKAEPKGGGRLSITGKGSHGGGGL >A06p050390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26515556:26517537:-1 gene:A06p050390.1_BraROA transcript:A06p050390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA cross-link repair protein SNM1 [Source:Projected from Arabidopsis thaliana (AT3G26680) UniProtKB/Swiss-Prot;Acc:Q38961] MDAFVEDDDNGLGEEEGFSFYDDDVVEDGFASDFYKAGSDWSCLLEGDEETDKVTPESKKMKQSNLFEVWGLQKFTPPESNKKKTTKQTDLFQVWGLQKPSPSSSPASSSARKTSTASGKRVRESPWANDTPRQCPFYKKLPGTPFTVDAFRYGCVQGCSAYFLTHFHADHYIGLTKAWSHGPIYCSSLTSRLLRLSLSVNPSFIHPLELDVEYTINGVKVTLIEANHCPGAALIHLRLLDGTCYLHTGDFRASKQMQTHPLLFNRRVHVLYLDTTYCNPRYKFPSKEDVLSYVVRITKEFLRKQPRTLIVVGSYSIGKECVYLAIAKALGVKVFANASRRRILESFGWDDISKSLCTDGKATCLHVLPMSALKFERLDEHLKVYREQYGAVLAFRPTGWTYSEKVGEHLDMIRPTSRGKVTIYGVPYSEHSSFTELREFVQFLRPDKIIPTVNISNAESREKMQSCFREWLRR >A09g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15767504:15775117:-1 gene:A09g505180.1_BraROA transcript:A09g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAGQSASCSRSYARFTEDWSVCLARGRCREEKATSINAALCTSIDGDPRSYIHISTRAMKRGFLGPSRKESAGLCTIRTVHHNTIHLVLFIAILFIAILFTYRRSTLFKFCWSTLFISRRSTLFILCRSTLFISRRSTLFIPCRLTLFISHRSTLFIPCRSTLFTRILFITTLFIRTLFITTYGETEKVEALILKIDKKGEQSKVEEADTKDPTSASIDSSNSESIDIRTSEMIDTDICHRSIPSTIPDATTVYVRTGRPKAIRDHNSPEDAYAKSNTSSSSIDTLTITSIVTPTSSSIDPSTSEMIDTDFCHRSIPLEVPERSSCRQDIANSTQKRIDESSCDLTSDVDKVTLKDFLELEEWLRQKLDDQPASGKGLENSLEADDIDRHKPDEIDRHPPYDIDLQSPSTIDQHTPDCIARYPPDCIDRNPCLDELSGYMIEPELVGRKEHTSGASHPAVPKNLRPPLCEEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVLHDKHHVEASQRGLRFRDEVDEGLAGAPSSDISKSELIDTNTSSSIDTDQIPSIDNRRESEQNEYELCGNIFHGDTTTYSDKFGGTKWRNWKKKQIINEGSQLSLIPHFSDHTRKSRVRLHKSVGKMGRHWKKRKRTKGGSQLPLTSYFSDSIRKSRVRSKCFSHPYAKLKALLIAEMIDKGEGIKLQIVDIETWFGASSHFYADLIRSPKVQGKDPRKTSFHRNRRWLANIDRQSIKGIDRHLTVLVNIHIKVRQLLRSSTDETISISIDNTSSTTIDFHLIVSIDTEQIVLKILKWINLSTMFTLAEIRDCQSGLLEDKQKGSGTFRKNIVILESFGVFGGAELHRHVNHHHVAEVMPVLVKSGQSASRDEAAEKRKTRRSMQHSACRSMEIPDHPPVRSRVQIGLLERANRQFCILIGQIISRIGLARTRRSMSSTDYRST >A02g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25894195:25896490:1 gene:A02g509710.1_BraROA transcript:A02g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLKRRCLIKRKKRRCLVYTCHKTRLSQKGGVIFSRSLAIPWFSIQTSTALRFLVIPRLTPDLSFSSSTNPRFYRETSTTPPRSRETSTTPPLSPDLPPTMKQSAFLSVDSSSPSLSSTVLLSLSVDLLDGDKLVPLSWWPSARKPNRKLHGSRTLRKVRHSTGLHLVEPYRV >SC160g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:305419:306920:1 gene:SC160g500190.1_BraROA transcript:SC160g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKEQEDCLDQDLIISFHHPLNLICYYFNFEKIEFKSLRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A07p014290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8929268:8930464:-1 gene:A07p014290.1_BraROA transcript:A07p014290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSLASLIVDLNLRRMLHLSSPAAHLSRSSGCVFAPRHSSHKAITFRVQRCRPHHRVTPSFSSSSYPPPPSSSSKVLTLPTVLTLCLFTFLLLLLTTSFGIVLTFVLLLVAFYVDGWWGATATTSIFVAAAVTDWLDGYLARKMKLGSAFGAFLDPVADKLMVAATLILLCTKPIDVAVLGPVPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEAVAVNNLGKWKTATQMTALTILLASRDRSVGWLVASGAGLLYVSAGLSVWSLLVYMRMIWKVLLK >A08p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24322632:24326969:-1 gene:A08p043760.1_BraROA transcript:A08p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELMRICVKEESDELPSVPPGFESYATFTLKRVVPDPGAAMESVSSVSNQGEMEIESDEAKAARSLRRRPWINYDDDSNALSQNLDQTCGVKPSLPKGVTRGCAECNDCQKVTARWQPDEARRPDLEDAPIFHPTEEEFEDTLSYIAKIRPKAEKYGICRIVPPPSWKPPCPLKEKQVWEGSKFTTRVQRVDKLQNRSSMKKVSKLSNQMRRKKRKCMKMGMDPGSASPEMSELETFGFEPGPGFTLKDFKKYADEFKAQYFKKSETSTDSECTWEPAVEDVEGEYWRIVDKATEEIEVLYGADLETGVFGSGFPKISSSHEAASSSEEKYAKSGWNLNNFSRLPGSLLKHEGSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSMNYMHWGAPKLWYGVAGKDAVKLEEAMRKHLPDLFEEQPDLLHKLVTQLSPSKLKTAGVPVHRCVQHAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIELYCQQGRKTSISHDKLLLGAAREVVKADWELNLLKKNTIDNLRWKEFSGKDGILAKTLKARIDMERTRREFLCSSSLALKMHSNFDATNERECCICFFDLHLSAAGCRCSPEKYSCLTHVKQLCSCPWVAKYYLFRYDMDELNVLLEAVEGKLSSVYRWARQDLGLALSEHLSGSKMETSEEEPQAAALLGKDLQLKVTPREDLSRGLENTLLLKVKEEQLTPSHCMKPVKEEEGISMTAAKSTSGKKSSQSVPDDVILLSDDEHDIPRKRVSEKRDADSPGKHLKIQERPSHVLALEAPSKTAAPMIEKQANSLPDRQITMPLPTNDQRAVQGDVTSSVSHAEVNAVADGLAHNTSNQDGVNPTSSKSKISGGLAIQEVVDGIRSTSGTPSCSQNNSPDRIIRQKGPRIAKVVRRINCNVEPLNYGCVLSGKSWCNRRAIFPKGFRSRVKYINVLDPTRMSFYVSEILDAGRNSPLFMVYLEGVPSEVFAHLSPTRCWEMVRDRVNQEISKQHKAGKLDLPPLQPSGSPDGFEMFGYTSPAILQAIEALDVNRVCTEYWDSRPYSRPQVQFPANALLLREANTSIQSSDVRSLQKAPRQRLLPAGTKSNLKVLLKKANMEELSSLQEVLSESNIDLVTELVKEEIQKRC >A09g502010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7209561:7210952:-1 gene:A09g502010.1_BraROA transcript:A09g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTGDAFSRRRWSLSSTLSSAFIPLFAAHFKFQMLRSRSLLQTEEKDTRRSLCRRHELRLLVFNVVLPTAFPTCSVCSPAMSREDSLATVVLRFTETFPGELHSGCRRKSYTVDLSHVLQLIMELSSQRSSSCFPSPGSETAKLPHVAPFYSYGSRVQGNSSSDPNLIGRSSPSSPPSELPFPLVCPFLNGGSAYHHRTSLSTSGFDGHSACSGELVSPPVSTIYLSVDVYCTISDLQFRSTTSRTLPATASEPKRYVPKWVWPNSFLEATKVITKNLKPIFSNQNMRYVVVGMAFLVMLKMFDGFFGINKLRLLQYHLFWKNFYVGSPTLVWVSSSSSNEESFSQLCLPSMNRDALSDSLLSPCFNLLTGLLLCVAVCTGPESAIETTSVFFVGEGCPSTLLVTISQLSEFVVASSTHSDFVFNSLSTSYGDLSGLISFSILVYDLFSRGCLIPSFLCSP >A09p019480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10320565:10321911:-1 gene:A09p019480.1_BraROA transcript:A09p019480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRRTEQVDLLQRLKKLTVSLTERRTRRRKRRNGLTPEMTQKPQGKSRQKFKTRIKSFLSESSCESHFFMIWISSLESFGERERFTIESLFKSHPNSCLILVSNSLDCERGTLILKPFTDKGLKVLAIKPDFTYIFKDTSAEKWFERLKKGMFSPGVIPLEQNLSNILRLVLLYKFGGIYLDTDVIILKPLTSLHNVIGAQTVDPVTRKWSRLNNAVLIFDKNHPLLKSFIDEFSRTFNGNKWGHNGPYLVSRVVARFNVSNCSSDMGFSVLPPSSFYPVDWTRISGFYRASVNGREANWSRKRLMHLRKHSLSVHLWNRESKSFRIEEGSIIQKLMSDSCLFCNSSFLH >A08p001710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1020695:1022305:-1 gene:A08p001710.1_BraROA transcript:A08p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 6 [Source:Projected from Arabidopsis thaliana (AT1G53730) UniProtKB/TrEMBL;Acc:A8MQH3] MDIEKTDNQPFTLPPSDFHENNSIQSSSSVETKKLDTSLSINLRPPPADRSFDDDEDSTRKPIVVKKSTVAVPSNVRVYSVADLQIATSSFSVDNLLGEGTFGRVYRAEFNNGQVLAVKKIDSSALPHSMTDDFTEIVSKIAVLDHPNVTKLVGYCAEHGQHLLVYEYHSKGSLHDFLHLSEEESKALVWNSRVKVALGTARALEYLHEVCSPSIVDKNIKSANILLDSEMNPHLSDTGLASFLPTANELLNQTDEGYSAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDSTRSRSEQSLVRWATPQLHDIDALGKMVDPALEGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQSLVVLVQRANMSKRTVGVDPSQRSAETTNDYM >A05p009780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4087911:4088099:-1 gene:A05p009780.1_BraROA transcript:A05p009780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRMVFQTVLYYVRKEHNSRRHGGVWVMTEKLTRTTGKQIRNQISSLCYIKDHSLAGLMKI >A08p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3364241:3364685:-1 gene:A08p005850.1_BraROA transcript:A08p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRWIMDIHSTAQQVWMVWIDCLEKVQLMRTTKLYTARVCLAFRSGSTAMGDGKYASKFDMSKLWNGLICFPDFKIIHIPRAKNQIVDSLARTQRSFNRELCFIGCSILVRFSDHLKFD >A06p057890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30049357:30052301:1 gene:A06p057890.1_BraROA transcript:A06p057890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRSNNHQQQQQQVLDGSDIVELVENEKVFDKFVEQKFQQLDQDEDGKLSVKELQPAVADIGAALGLPAQGTSPDSDHIYSEVLNEFTHGSQEKVSKTEFREVLSDILLGMAAGLKRDPIVILRMDGEDLSEFIHSPGYEAEIVSIYFSALSGCEEASLRDCIAKALQSLSVDHGMPPPNDPWVISNIVEPIVESCLDEEDKREKCVSQERFLEAFKRVVERVAQRLNEQPVIVAHSENTFDGSGIRRLLSNKFEFDKALNVALEIIPKDRHGKVSKEYLRAVLDTVAPSATLPPLGAVSQMDDMIREALKMVNGDDGKMVKEEEFKKTMAEILGSIMLQLEGSPISVSSNSVVHELRHLPAGGSG >A07p005420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2170128:2173093:1 gene:A07p005420.1_BraROA transcript:A07p005420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMPVIGNLSDRYGIKAVLTLPMCLSILPPVILGYKRDTHFFYMFYIIKILTALVCEGTVDCLASAYVAENIQGRTRISAFSVLAGVKTMSSLCGTLVARFLPVALIFKVSAISFLVAMVYMRSFLKERLNNDENGYHQQDDGDSNDVTMLAEPMLNNTTITTSALNKKQSSLKDMMILMKTSTIFVQALVVTFFSSFSDSGMQSAFLYFLKARFGFDKNQFADLMLLITIVGSISQLFVLPRFASTIGERKLLSAGLFMEFTNMAVVSISWAPWVPYLTTLFVPGAMLVMPSVCGIASRQVGPGEQGKVQGCISGVKSFGKVVAPFVFSPLTALFLSNNAPFYFPGFSLLCISLSSMIGFFQSLMIKDVPPSQLNKEINSTSREEV >A06p056610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29466594:29467151:-1 gene:A06p056610.1_BraROA transcript:A06p056610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSCVMSLVTANFTRSFFLCSYKSKQCSTRLIASSKSVKQVRGFMSLTYSLPRFDIVGQTGPPEIQFPGGSPSEEELPSRPSRGPEWAPLEVPELPNIPEINPSETPPEVTTVPSDPPPVGPPQSPGPEFPVPPLPSPPMPDTPSPPAPERPPDVVPPNWEPPRPPEIPPPGIDPPPPMGPTII >A06p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12375300:12376782:-1 gene:A06p022220.1_BraROA transcript:A06p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISMYRGNLHKVPDVPRRWTMPDRNLSFKDFKSLLHRRKRALSRLSPNSNPNPNPSHNVKSELATDRKDAIPSERPGSSGKQKLVEVKREEVNGNQVREEENGRIEGARAGGSDGGERVTELLSNNETDNVPHEEAANDKMEDRLLILGEVADLMKLTLMCARPPQVALVATELSVWGFHELVKLLAFSEAKETAEEVVPSEIEKEEKQVEERLQVLNAKKHNLVQVLKLILSAEEELKRRSSITQQPGTTASRPSLPLHVDVSNDSGGNAGTHMEGGETNDAGNHNNAQTPSVLRLCGASSSSESPLRRAAAFSQHNMAPHPSRWSPRVGPTQPGNPSAAAGGGTVSASGTNYIASSPSPAGSGGTSVFRETRLQSPGT >A05p024460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11915157:11915726:-1 gene:A05p024460.1_BraROA transcript:A05p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEPRDRLRSEEALADPYFKNLAKPTNFMYPRACVLYPDNNHAVAQQSLWKSLMDSPSVASEIQSDRVVLTGEFLFASLKLSKVSCALCLI >A03p052870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22677752:22678622:-1 gene:A03p052870.1_BraROA transcript:A03p052870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTNFWGSTNITYYAIPHLRKSKGKIVVIASAAAKIAIPVATIYSASKAALLGFYEALRIELNPDIKVTIVFPGLISTDMTTPEIIKRHGSDFMVSEPVSRCAKAIFQGVCRGEEYVETPSWIKWFFLVKSVCPEVINSIFNYSFLHFIKPYFKRE >A08g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2246207:2246958:1 gene:A08g500880.1_BraROA transcript:A08g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYLFSTIQSSRDLQCFRKLSAPKYQMIAFEPDLNWSPLLIDSQKFLGLATEKNVIASNLENFLWAVLNDTLRVDVTNATMECWKKVQEGSKEGNTAAGGHRLQLLYC >A08p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2825359:2826615:-1 gene:A08p004860.1_BraROA transcript:A08p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFSVTFPALLSLLLLSLWVVEAYTSRKLISNNEQEGQNISHLFKDGEFEDPTMYMFFKISDLKLGTKLPIYFNKNDLRKVPPLLTRQEADLIPFSESNLDFLLNHFSISKDSPQGKAMKETLQRCDFKAIEGEYKFCGTSLESMLDLTKKTIASNADLKVMTTKVMVPDQNRISYALHNYTFAEVPKELDGIKVLGCHRMPYPYVVYYCHGHKSGTKVFEVNLMSDDGIQLVVGPAVCHMDTSMWNADHVAFKVLKIEPRSAPVCHFFPLDNIVWVSK >A09p045480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39931650:39933526:-1 gene:A09p045480.1_BraROA transcript:A09p045480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase IMPL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31190) UniProtKB/Swiss-Prot;Acc:Q94F00] MGRSLIFSGSMSMRIAHLPRSSLPLTTPFSSQTATRNFRHEFLCWRSSSNSFKSSTTRLRTKAVLSDQKQRYPRIGAKTTGPISPAHLLQVVEAAAKTGAEVVMEAVNKPRNITYKGLSDLVTDTDKASEAAILEVVKKNFSDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLYRGNPAAASVVEFVGGPMCWNTRTFSATAGGGALCNGQKIHVSNTDAVERALLITGFGYEHDDAWSTNMELFKEFTDVSRGVRRLGAAAVDMCHVALGIAESYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGGKFTVFDRSVLVSNGVLHSKLLDRIAPATENLKTEGIDFSLWFKPEDYHTEL >A09p014490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7615683:7617899:1 gene:A09p014490.1_BraROA transcript:A09p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDFKGKKGFNLWGKKTSERGRTMVAVLERGLSASKSFNIKRMFHSLSTRQQQQQQPQTLVVENGDSHLVESKTPESQNSDCFTESPVESMPPMISPLTRPGKRPERQQADTELKDRFAKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMPQDRQARWKKEIEWLLSVTDHIVEFVPSQQTSKDGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFRGHNEFYYVSRDSEEGKQASNARSNDKWWLPPVKVPPGGLSEPARKMLYFQKDSVTQVQKAAMAINAQVLSEMAIPESYIDSLPKNGRSSLGDSIYKSITEEWFDPAQFLSMLDLSTEHKVLDLKNRIEASVVIWKRKLHLKDNKSSWGSAVSLGKRELFEERAETILILLKQKFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAYTVMSRIEDVLYTDSLAQKQALLAEADAGRTSETDSESAGSSHSGEETEKLDPHYSKTLLDFMGWSDNSSKGGDKPTKSPSLTPKKLSYLEKLENFNGFRSPKDRH >A09p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8810301:8811636:1 gene:A09p016870.1_BraROA transcript:A09p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 2 [Source:Projected from Arabidopsis thaliana (AT1G64080) UniProtKB/Swiss-Prot;Acc:Q9SH58] MEAFSLLNYWKNNGGGGVSSGLSFLPPQSSDSSCRYSGEPTTIVTSVSVTETEEEDAGDDEGPFFDLKFALPVEEEEESEEHGDEVSEDDVGGGKSGEGDSDCTEGGCEYKFTLSSCSGGEDQDLIVSPSGDVYLKGQIVEEVEPPSTGTEQTCSVKAPAAQLSASILKSATKLRVFMLGMKKSKLLQAKSGDLDKQTPPPPPPSQPPSHSPESQLKSTVTVSLKPEEVPIVSLFTRDNSSRNSSSSSSSPSTTKRQNGSEPVVSEENRFVMMQKYLKKVKPLYVRVSRRYGEKLKHSGPLSLDSSAPASIPAAEKAGSPVKKAHKPGNININIPAGFKVVRKHLGKSRSSSSTTTTPPAATVTTPSESRRRDDSLLQQQDSIQSAILHCKRSFNSSRDKDPSVLPRSVSDSSSYDK >A04p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12721870:12726295:-1 gene:A04p020860.1_BraROA transcript:A04p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAREETVAWRYFSRDVLPFAAMFTVECTTVGASTLYKAASSRGLSFYVFVFYSYLVSTLVLLPLSLIFGRSRRLPPAKSPLFFKLFILGLLGFMSHIASCKGIEYSSPTLASAISNLTPAFTFTLAVIFRMEQVSLRSCASQAKIIGAILSISGALVIVLYKGPKVFSGTSYTPSPPTISLDKNLTSPDSSWMIGGLLLASQYFFLSVWYILQTRVMEAYPEEISVVFFYNLFATLISAPGCTQQLVPSMMCPPPLFICIMKLLAAEGAREETVAWRYFSRDVVPFAAMFTVECTTVGANTLYKAASLRGLSFYVFVFYSYLVSTLLLLPLSLIFGRSRRLPPAKSPLFFKIFILGLLGFMSQIAACKGIEYSSPTLASAISNLTPAFTFTFAVIFRMEQVRLRSSASQAKIIGAILSISGALVIVLYKGPKVLSGASFTPSSSPPISLDQHLTSSDSSWMIGGLFLASQYFLLSVWYILQLWFQTRVMETYPEEIRVVFFYNLFAMIISAPVCLFLERNLTSWVLKPDISLAAIVYSGFFVSLFSALTHTWGLHLKGPVYISLFRPLSIAIAVAMGAIFLGDALHLGSVIGSMILCFGFYTVIWGKAREDSTKTVAGSEHSPLLLTHVVGD >A01p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3172462:3177367:1 gene:A01p006170.1_BraROA transcript:A01p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGLVAGSYRRNELVRIRHESDGGSKPLKNMDREICQICGDHAGLTETGDLFVACNECAFPVCRPCYEYERKDGTQCCPHCKTRYRRLRGSPRVEGDEDEDDVDDIENEFGYAQGGANKPRRREEFSSSSRHDSQPIPLLTHGHGVSGEIRTPDTQSVRTTSGPLGPGDRNAISSPYIDPRQPVPVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQEKNMLQMTGKYHEGKGGEIEGTGSNGEELQMADDSRLPMSRIVPIPPSHLTPYRVVIILRLIILGFFLQYRTTHPVKDAYPLWLTSVICEIWFAFSWLLDQFPKWYPINRETYLDRLAIRYDREGEPSQLTPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGAAMLTFESLSETAEFAKKWVPFCKKFSIEPRAPEFYFQQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLIYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFLMDPAYGKKCCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLQPNIIVKSCCGSRKKGKNSKKYSYDQKRRGISRSDSNAPLFNMDDIDEGFEGYDDDRSILMSQKSVEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWMSIYCNPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYTGRLRLLERLAYINTIVYPITALPLIAYCILPAFCLITDKFIIPEISNYASIWFILLFISIAVTGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYIFKWTALLIPPTTVLVVNMIGIVAGVSYAINSGYQSWGPLFGKLFFALWVIAHLYPFLKGLLGRQNRTPTIVIVWSVLLASIFSLLWVRINPFVSVTPAANPNAVPGGVF >A01p054470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30446105:30448500:1 gene:A01p054470.1_BraROA transcript:A01p054470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G10540) UniProtKB/Swiss-Prot;Acc:Q4V3C8] MTMEKEFDSKLTLQGNNGNDGGASISRSKSFAFKAPQENFTIQDFELDKIYGVGSYSKVVRAKKKENGAVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVKLFFTFQDSFSLYMALESCEGGELFDQITRKGRLSEDEARFYSAQVVDALEYIHTMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITLLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIKFPNHFSEEARDLIDRLLDTDPSRRPGAGSDGYASLKRHPFFNGVDWKNVRSQTPPKLAPDPSSQSASPERDGSPWNPTHVGDASVTQNDGHGGVSAASESSGSITRLASIDSFDSRWQQFLEPGESVLMISAVKKLQKITSKKVQLILTNKPRLIYVDPSKLIVKGNIIWSDNSNDLNVQVSSPSHFKICTPKKVLSFEDSKQRALQWKKAIETLQNR >A09p060290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50038259:50041883:-1 gene:A09p060290.1_BraROA transcript:A09p060290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPHIPPATANAAISAALKSQRARKNRGSYSCGRCGQPKKGHVCHLPPLDVPSTPIAPEPVTSIAAAASSTRSTVVSLSSAPLRQSFTNLRRALSFDDDVDVRDETDLTDLSLDTEIVQPGRFHAVGLWEVLKRLPPSGLLMAARVCKGWRETARKMWKAAEELRIRVPKRAQIGYVGSLLQKCPGLVTLTLKLESDFDATTLACIAFSCPNLEVLEILTCGAAVNRISGDELGRFVSNKRGLTSLKMEGCSNLGGFSLTSTSLSTLWLSDLHSLSKMIFNCPNLIEISLEFSQQEGDSTDLVTMVDGLGRTCTRLQNIHIASLKLSHIVVLALTAVNFRCLRMLSLVLGIDITDASVDAISSSYTNLELLDLSGSSITDTGLGMICDVLPDTLSKLLVALCPNITSSGIQFATAQLPLLELMDCGMTVSDPNSDNPLTPQKTSGYNQKMFIKHKRMKKLSLWGCSSLDALFLNCPELRDLNLNSCNNLQPESLVIQCPKLEIVHASGCQKLLTVAIRKQISENFAAGENHMTRKRLADGSKRIQAPPSLYQETREDDENYPAKKRRKIEKEVCTIID >A09p081850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59460848:59462164:1 gene:A09p081850.1_BraROA transcript:A09p081850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSLIYSFVARGTVILAEFTDFKGNFTSVAAQCLQKLPSSNNKFTYTCDGHTFNYLVEDGFTYCVVAVDSAGRQIPMAFLERVKEDFSKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTTGTQMRRKMWLQNMKIKLIVLAIIVALILIIVLSVCHGFKC >A02p052330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32021345:32023745:-1 gene:A02p052330.1_BraROA transcript:A02p052330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRTNFIRRPYRFSALSPVGQPTVTASTAVVPEILSFGQQAPEPPVHHPKPNEAHHDIDLSDQARLFASVPTSDLLRSTAVLHAAAIGPMVDLGSWVMSSKLMETALTRDMVLGLVKSTFYDHFCAGEDADAAAQRVRSVYEATGLKGMLVYGVEHADDAASCDDNMHHFLRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEYKTKNFKLSWKLKSFPVFSDSSPLYHTNSEPEPLTAEEERELEAAHVRIQDICRKCQESNVPLLVDAEDTILQPAIDYMAYSSAILFNADKDRPIVYNTIQAYLRDAGERLHLAVQEAEKENVPMGFKLVRGAYMSSEARLADSLGHKSPVHDTIQNTHDCYNNCMTFLMEKASNGSGFGVVLATHNADSGRLASKKASELNIDKENGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPFGPVETAIPYLVRRAYENRGMMATGATDRHLMRMELKRRLLAGNA >A06p043620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23456978:23459200:1 gene:A06p043620.1_BraROA transcript:A06p043620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLLYAFVLVLASLSLPRFVDSSDRCKAWLVQSNPTDMPELPLIPGVLSTGDVFQWLANNSTRSLDIIAQYWQLLASPKDPRSGDFGYSDSDLQQFGAHLGSLVYKSIDSAANRNVSIRLLSHSGVYPEYTKEPSDLANGRPNVKNVTLLLSKWYGSGIVHAKVWISDDRDVYIGSANNDWKSLTQVKEVGIYLSGCSRIAREIKTYFDNLWRLASLDSSVHTRNASDQQWLINRTVPCWSRFIPSKARCKSPLPSYIETPNVSPGYPPLSDPEMFNIDIGTLPRNRSCQETQPSYLSFAPPELLFGKHQSDEQGWIDTIKSVVEGGTVRINTMDWLGQSQYTNPTVYWSSLSSAVSEIVFAKKAKVKILVAYWGHFIPATDGYLRSLLYSNVLCSSSSVQSKCFGQVEIRYYMVPGFNKTGPAVRNGTKTGNLYPGYTRVNHGKYVVSDLRAHIGTSNLVWDYFYATSGLSFGTYNTEIVLKLQEIFDADWNSPYVVPVQEFGQDV >A05p020690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9788611:9789385:1 gene:A05p020690.1_BraROA transcript:A05p020690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U28 [Source:Projected from Arabidopsis thaliana (AT1G53680) UniProtKB/Swiss-Prot;Acc:Q9C8M3] TKQTMGKENEKVVVLDFWASPYAMRTKIALREKGVEFETEQEDLWNKSELLLKSNPVHKKVPVLIHGGKPVSESLIQVQYIDETWTDAASFLPSDPQARANARFWADFAEKTISFQGGRKIWGNNKGEEQEKGKKEFLDSLKVLEAELGDKSYFGGETFGYVDIALVPFYSWFYALEKCGDFSVEAECPKIVAWGKRCVERDSVAASLPESEKVYQQVLKLRQIFGVE >A02p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3396303:3398259:-1 gene:A02p008170.1_BraROA transcript:A02p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSKDLPSPTSIFTAYASMAGYMMMIRSMAHELIPAPIQEFIYSTLRSLFFRSSSTTLTLTIDDDNMGMDNEIYLDAQTYLSTKISPDAVRLRISKGHKDKHVTLHLSNGEIVVDVFQDVELTWRFVTDGGEKTNGDDDGKSEYFELSFDKKHRDLVINSYVPYIESKAKDINDERRILMLHSLNCLRWESVILEHPSTFETMAMEDELKREVIDDLDRFIRRKEFYKRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFDVYDLQLASVMRDADLRRLLLATRNRSILVIEDIDCAVDLPNRLEKQPVDGKNRGETQGPLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIYMGHCSFQGFKTLASNYLGLNDTTMPHRLYPEIERLMEGDVITPAQVAEELMKSEDVDVALEGLVNVLEKMRFKAGESSPGMKKNESRLEMEEMKLRRDSEGFPKKNSKRIKKLVLFWT >A07p046920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25274171:25277852:1 gene:A07p046920.1_BraROA transcript:A07p046920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine protease SPPA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73990) UniProtKB/Swiss-Prot;Acc:Q9C9C0] MAKLLILHAPQVVFSSSRSLVSATALYRRPLLVNSKFTSSDSHSTHIAPRLRSRRFSARAFDDSPASSAELEKSQQEQPREGVEEYPTGEMVYEDRNVWESFVVKFRMLFAYPWQRVRKGSVLTMTLRGQISDQLKSRFTSGLSLPQISENLVKAAYDPRIAGVYLHIEPLSCGWGKVEEIRRHILDFKKSGKFIVGYINICGLKEYYLGCSCSELYAPPSAYSFLYGLTVQASFLGGVFEKVGIEPQVQRIGKYKSAGDQLARKSISEENYEMLSVLLDNIYANWLDGVSDSTGKKREDVESFINQGVYEIEKLKEEGLIKDIRYDDEVISMLKERLGVEKDKKLPTVDYKKYSGVKKSTLGLSGGRDQIAIIRAGGSISRVKGPLSTPGSSIVAEQLIEKIRSVRENKKYKAAVIRIDSPGGDALASDLMWREIKLLAEAKPVVASMSDVAASGGYYMAMAANTIVAENLTLTGSIGVVTARFTLAKLYEKIGFNKETISRGKYAELLGAEERPFKPEEAELFGKSAQHAYQLFRNKAALSRSMPVDKMEEVAQGRVWTGKDAHSRGLVDALGGLSRAIAIAKQKANIPLDKKVTLVEVSRPSTSLPDILSGIGSSVIGVDRTLKGLLDELTVSEGVQARMDGIMFQQLGRDSLASPIIDLLKDYLSSLR >A09g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22934047:22936151:-1 gene:A09g508070.1_BraROA transcript:A09g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKCFKIKLLCFRVFLEYLRVQSFDLTFKCHQFEINQHPIAEVMPVLLKSGQSASREEVVEEMKDCRSTMHPCHRSTPRSYTKLPKYPWTTKNHIYVIYKPLLTATLSKLSLIHSSRLGEKGRTPSDSSWNSYGPSKKEPDGLCRIRKSTREVWIDTLQAKAIDNVHHQSIDNLQEAEIDRANQPSNNTIHPATVHRVTAHCGTVYLDTVHPVLFIEVLFILTIFIPRRSTLFIHRQSTLFNPRRSTLFIPCRLTLFIPRQSARSSPIIYLEMHLAGSDIKTAFLRKFLYEASATRQKKFNDMLDKMIKDQ >A03p074060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32179354:32182163:-1 gene:A03p074060.1_BraROA transcript:A03p074060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSSFYGGHGIVGAQVPLGCGIAFAQKYAKEEAVTFAMYGDGAANQGQLFEYICLWDLPSILVCENNHYGMGTADWRAAKSPSYYKRGDYVPGLKVDGMDAFAVKQACKLAKEHALKNGLIILEMDTYRYHGHSMSDPVGAHTFTRDDISGVRQERDPIERIKKLVLSHDLATEKELKDFFINLNIVCPGNIFSDDMEKEIRKEIDDAIAKAKDCPMPEPSELFTNVYVKGFGTESFGADRKEVKAALP >A09p080020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58727566:58729582:1 gene:A09p080020.1_BraROA transcript:A09p080020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDEKGLGFESSSFLAWLRASSNSSSPSVLFRTKTQDVVSRSYHQSQMKLERSLFLYQPQEPLNTNTIQCLPLLNKLMEDESQASDEIKEEMDDDVVTLRIGLPTYHRGNSEEDDSDTTSDHHQEKPIKREMTEDGVVMMKKRRTMKFQQEMIDSDMGVCGKRFWIPSPAQIHVGPMQFACSICSKTFTRYNNMQMHMWGHGSEFRKGADSLKGTTQPAAILRLPCYCCAEGCKNNINHPRAKPLKDFRTLQTHYKRKHGSKHFSCGKCGKALAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKSFGLGHSPHPSLSFDGFEEDVECVTTE >A08p016630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10766673:10766855:-1 gene:A08p016630.1_BraROA transcript:A08p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIFGVVADIKNLSALFESISFTYISRSENVEADRLAKTVLRNPSSSFTLMLSELGHL >A03p060080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26022610:26023684:-1 gene:A03p060080.1_BraROA transcript:A03p060080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLRDFAKDSIRLVKRCHKPDRKDSYDACERSVCFLTSDLLDLSACCEDLVHESGGPYSDRVCSNGIRGVLCEAHLHSHQQHHRRCHLDAQKEETFC >A05p055090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32524599:32526334:-1 gene:A05p055090.1_BraROA transcript:A05p055090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGHPEPDSESPPTIPSSPRTPRMKVSSKLNRWSMGRALRSGAVKIERQTLRTDNNDAPCRQVTTEEQADRKTSAIEDGFRDDVAGKSIYMVSDGTGWTAEHSVNAALGQFEDPLVNRGFPVNTHLFSWVEDEEKLIEIIKQAAKQKAMCFYTLANPSMSKSAKEACDLLGVLSVDILGPIIQGIASHLGVSPSGLTRGAAGRVKTLNDAYFKRIEAIEFTIKQDDGTLPENLGKADIILVGVSRTGKTPLSTYIAQKGYKVANVPFVMGVEPPKTLFDVEPRKVFGLKIQLVVLQAIRRTRAKTLGVDTVGENRYSGFDLVRKELDFAAKIYAKNPGWVVIDVTNKAIEETAAVILRLYHDGSDSSTSVPCISKRF >A04g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5629260:5629530:-1 gene:A04g502340.1_BraROA transcript:A04g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMHDGCTHGKLLEMTQEDYDLDNKIEKMENTPLMPVTNNRQVQNLTELSKTHFVRLCVSSLRQIHSKFLD >A08p010920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9077012:9078267:-1 gene:A08p010920.1_BraROA transcript:A08p010920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEGEDASKVIHVKFIKKLDAPFKVLVTSFVIPSSVTRLGLSSIVNSLLTLEKPELFDFLIDGELIPMSLEQFLDAKGISGVDTAESGDTTTRLDAYKILRGHKASVESLLGWDCTINVWDTNESTSELSVPGKKRKGNNQAEEPQLEGEAETTLVGHTQCVSLVVWPEHDAHTPEPPYAKFACA >A04p022520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13593687:13596496:1 gene:A04p022520.1_BraROA transcript:A04p022520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDIEEKVQYVLNLLQEDGDSFAKRAEMYYKKRPELITFVEETFRAYRALAERYDKISTELQNANTTIASAFPDQVPNFAMDDDDDGPSSKFPKRPNLPGPTAPNVPKMPVKDLKSAVRVATKKLQPRKSMKYTGGVTNVAVKSSGLSKSEAMGEIDKLQKEILTLQTEKEFVKSSYEKGLSKYWEFEKSIKEKQERICGLQDEFGESVAIEDDEARRLMTETAIKSCQEKLVELQEKQEKSYEEAREEHLKIVESKEKLRSMSSQLLGEDSVVFADDCDEVRSSALEHEMREMSRKKEELESVKEKIREHFESGVDSSVDATEMAERVDELVNKVISLESAVSSQTALIQRLRNETNGLQTQISTLETDKAVLADDKSDLRKKLKEMEERLKALQDLDRNVMVKSSSLSTDFDKACSNLDNLSTGKLDEVKSENLAVKMAEETKEEEAEKKSESSVDIITIPSKSSEVVLESTEKIDSEPEMQSDKTAEPVLLDNVLEKQSESDKTDSVLLDNVLDKQAASEKTDSVLEKQISSKESDTTLNSEPDWKEMFMKGMENREKHLLTEYTTILRNYKDMKKDLDETRTKNATKDDEIKHLREKMTLLQKGLADSNDLLESQMSNDDYSLGFMAAENESMSLVEEQFRLNIDELLEENLDFWLRFSTAFGQIQGYDTSIEDLQGEISKLEQRKKQDGSGTAKYALRSDVRPLFRHLREINTDLGLWLEKGAALKEELKSRFESLCNIQEEITKALKSSAEDDDFKFTSYQAAKFQGEVLNMKQENNKVADELQAGLDHITTLQLEIDKTLGMLTEEFALSGSKNRSELDLQHSDSRSRVPLRSFIFGSKQKKTKPSIFSCMHPSLYRKMKASTPT >A02p010200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4383532:4384615:1 gene:A02p010200.1_BraROA transcript:A02p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRSSKAVDPVVSRRYSSESEATLVVRRRPHMVNGGGFVVSNSKQQVVFTVDGCGVLGTKDKLVLKNGDGNDLLLIRKMGGMVQALNMVHKKWEGFGYDKEGTEKLLFTLKDPKESCLVQHGLIRILVHGKPKISTCNIYNNNYVQIRGSFAERDCNIMDSDGRNIAEVRIEKEMEEMVGNKKDLYNIIVKANVDQAFIVGVIAVLDYIHGESTIC >A08p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12994408:12996897:-1 gene:A08p018960.1_BraROA transcript:A08p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb group protein VERNALIZATION 2 [Source:Projected from Arabidopsis thaliana (AT4G16845) UniProtKB/Swiss-Prot;Acc:Q8W5B1] MCRHNCCAKSSQEEEVVSPPDENLLIYCKPVRLYNILRIRSLFNPSFLPRCLSYNIRAKGKRKSGSAGIVVFNYKDCNNTLQKTEVTENCSCPFCYMTCGSFKGLQLHLNSFHDLFEFEFMLSEDDYQTVNVSVRLDAFESEEEVNHQEKYELISFCSKPRKRRQRDGRNNARRLNVTFLPMDSPSLANGTDNGTSLLSNGNHSLGYPVATQFGMNNSSPAIAQCSLDSNAKAVLASEAVVSAAKSRKLSAERSEARSNLLLQKRQFYHSHRVQPMSLEQVMSDRDSEDEVDDDVADLEDRQMLDDFVDVNKNEKRFMHLWNSFVRKQRVVADGHIPWACEAFSKFHKEELLHSSPLFWCWRLFMIKLWNIGLVDSATINNCNIILENCDSNSDNKNKSADVGIDINSNAMDVDDDVNNSKAK >A09p003650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2124665:2127781:1 gene:A09p003650.1_BraROA transcript:A09p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRRKTISVTLFLAMTLISLTNGDINSIQLNDDVLGLIVFKSDLHNPSSHLASWNEDDASPCSWSYVKCNPKTSRVTDLSLSGLGLTGKIGRGIQKLQHLKTLSLSNNNFTGTIMSLSNNNNLQKLDLSHNNLSGTIPSSLGSIKYLDLTGNSFSGTLSNDLFTNCSSLTYLSLSHNRFEGELPSTLSRCSVLNTLNLSSNRFSGNPSFVSVLWKLERLRTLDLSFNALSGTLPLGILSLHSLKVLQLQGNRFSGPLPSDIGLCPHLNTVDLSFNRFYGEVPTTLQRLKSLNHLDLSKNFLSSGFPVWIGDMTGLVHLDVSRNELTGALPSSVGTLRSLKVIILSENKLSGEIPESLESCKELVSVQLKGNGFAGSIPDGLFTLGLQEIDFSGNGLTGSIPRGSSRLFESLVTLDLSCNSLTGNIPGEVGLFSNLRYLNLSWNKFNTRVPPEIEFLQNLTVLDLRNNELIGSVPGDICESQSLQILQLDGNSLTGSIPEGIGNCSSLKLLSLSHNKLTGPIPKSLSNLQDLKILNLEANKLSGEIPKELGGLHNLLLVNISFNRLIGRLPNGGVFQRLDQSALQGNLGICSPLLRGPCRMNVSKPIVIDPNSYGNRNNNEGRHGNRTSNGSSKYHNGMFLSVSVVVAISAAILIFLGVIIVTLLNASVRRKLAFVDNALESIFSGSSRSGRSLVAGKLVMLNSRTSRSSSSSQEFARNPESHLNKASRIGEGVFGTVYKAPLGEQGSNLAVKKLVMSPIIENLEDFDREVRILAKAKHPNLVLIKGYYWTPETQLLVSEYIPNGNLQSKLHGREPLTPPLSWDARYRIILGTAKGLAYLHHTCRPTTIHFNLKPSNILLDEKYNPKISDFGLSRLVTQDGNTMNNNRFQNALGYMAPELECQNLRVNEKCDVYGFGVLILELVTGRRPVEYGEDSFVILSDHVRVMLEQGNVLECIDPAMEDDYSEDEVLPVVKLALVCTSQIPSNRPTMAEIVQILQVITSPVPHRMLDSF >A10p026660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16884789:16887028:-1 gene:A10p026660.1_BraROA transcript:A10p026660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQDVESVQTNQDPLWCTLPCLNHRQQEHMKSDQTRVLISEQYQPVSLSGTQTFVSVCLSPPLKMACGFCSLRPTLTSLFSSSHALALAPTPHWRSSSLRISRALSAATLPISSSFFTWDDVFEIGRKESASPQNSSSDLTRFLEKIDRCNRGSEKLAEFIPFVIEEQIVGYIHKGFTEYLREFHDTFTFSKNGCVGGDYVTLSLMFEKPEDRTRAVADVIKILGDKGIIPGIRNELYPVKPSFHSSALFSLERAAAPYFGLKGYGVHMNGYVERDGQKSLWIGKRSLTKSTYPGMLDHLVAGGLPHGISCGDNLVKECEEEAGISKVIADRAIPVGAVSYMDIDQYCFKRDVLFCYDLKLPEDFVPINQDGEVESFKLIPAAQVANVIRETSFFKANCSLVIIDFLFRHGFIRPESSGYLDLYRSLRNGDCS >A07p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3117158:3121829:-1 gene:A07p003740.1_BraROA transcript:A07p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGREGDWECLGCNNRNYAFRSFCNRCKQPRLFMDNNTSQNSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAASLQSHLSYFALGPDPVDQLGSLLPFSNQASALKEWRSGDWICTCGFHNYSSRIQCKKCNETAPLAFGTKRLASEVLAHEWDSKRLNQGYTSMQQQSSFPGISSGSFSNWQLPLPFLQQQLTPALLGKGRAKQWRDGDWMCTNCKNHNYASRSECNRCKTKRDIIEQAVTPAQS >A10g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2221483:2222931:1 gene:A10g500700.1_BraROA transcript:A10g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLPSCGPFLQSISEYIYMIFLESCRQVQAIISILKPEVVFLELCCRRMSALQSQTVKTPTPTMSDMIKNWKQRENMISIKDVDVYGDEFRVAYEEALKYGGKVVLGDRHQEITFKRTWAKMPLWLKVKCIFFTLFVAFFLPSAQVDGKELEEMDSLDTTTQMSKDYPSVMDTFVHERDQLVFLNPSLYKLK >A06p055310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28907366:28908587:1 gene:A06p055310.1_BraROA transcript:A06p055310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oil body-associated protein 2A [Source:Projected from Arabidopsis thaliana (AT5G45690) UniProtKB/Swiss-Prot;Acc:Q941A4] MASSDELPGPYPARDGGDIPPGDPTTMKTMMIDKGAAMLQSLKPIKQMSLHMCSFACYGHDPSRQIEVHFYVHRVNEDFLQCAVYDCDSAKTHLIGIEYIVSERLFESLSSEEQKLWHSHDYEIQTGLLMTPRVPELVAKPELQNIAKTYGKFWCTWQTDRGDKLPLGAPALMMSPQDVNMGKIKPGLLKKRDDEYGISTESLKTSRAEIVGLERKNPMADYWVHHGKGFAVDIIETDMKKCAPFP >A03p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13111190:13113167:-1 gene:A03p031180.1_BraROA transcript:A03p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPISNLFTDNTMSVPPYAILVLTTIITVLWFLLKRSPQPPLPPGPRGLPIVGNLPFLKPDLHTYFRDLAQEYGPIFKLNLGSKLTVVVNTPSLSREILKEQDINFSNRDVPLTARAISYGGLDIVWLPYSAEWRMLRKVCVLKLLSRKTLDSFYALRRKEIRERTRFLYEKSREKSAVNVGDQLFVTMMNLMTNMLWGSSVKAEEMESVGTEFKGVVSDITRLLGEPNVSDFFPWLARFDLQGLVKQMRVYARELDAIFDGAIEKMTNLGSKNDGECKDFLQQLMKLKDQEANSEVPITINHVKAVLADMVIGGTDTSTNTIEFAMAELIKNQESMKRAQHELDEVVGKDNIVEESHITKLPYIVAIMKESLRLYPTVPLLVPHRPAETTVVGGYTVPKDTKIFINVWSIQRDPNVWENPNEFRPERFLDKKSCDFHGTDYSFLPFGSGRRICAGLALAERMVQYTLATLLHSFDWKIPEGHVFNVEDKFGIVLEAQKPSYCHACSEVV >A01g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:647490:648379:-1 gene:A01g500180.1_BraROA transcript:A01g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKAKIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A05p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5935214:5938085:-1 gene:A05p013650.1_BraROA transcript:A05p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTPAVKAIKKKVERDIYGAGSIGLFTELDRESLARRPKPGLEEHSGLEKLTEETLDKQCSTSIKEMHPSTSQTELNPVTPTSTFLHQLTRHSQQRNLKAGRAVHAQIIRAGASTCTKHANGLVNLYAKCGHLPKAHSIFSSIISKDVVSWNSLITGYSQHGGLSSSRTVMQLFQEMRSQDVLPNAYTLAGIFKAESSLGSCTVGRQAHALVVKMSSFGDIYVDTSMLGMYCKAGFVEDGLKVFAFMPERNTYTWSTMVSGFATRGRVEEAIKVFNLFLREKDEESDCDYVFTAVLSSLAATEYVGLGRQIHSLTVKNGLLVFVALSNALVTMYSKCESLNEACKMFDSSDDRNSITWSAMVTGYSQNGESLEAVKLFSRMFSAGIKPSEYTIVGVLNACSDICYVEEGKQLHSYLLKLGFENHLFATTALVDMYAKAGCLEDARKGFNCLQERDVALWTSIISGYVQNSDNEEALILYCGMKSEGIIPNEPTMASVLKACSSLATLELGKQVHGHTIKHGFSLEVPIGSALSTMYSKCGSLEDGSLVFQRTPNKDVVSWNAMISGLSHNGRGDEALELFEEMLAVGTEPDDVTFVNVISACSHKGFVERGWSYVNNMSDQFGIVPKVDHYACMVDLLSRAGQLKEAKEFIESAGIDHGLCLWRILLSACKNHGSCELGAYAGEKLIALGSRESSTYVLLASIYTVLGRMRDVERVWGLMRANGVSKDVGCSWITLEKQCHTFVVGDTMHYRIEEIKDLVRLVSRPMMEEGFTAVLDSSHVEEGTQLVLS >A01p058600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33369047:33370600:1 gene:A01p058600.1_BraROA transcript:A01p058600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLPLLLLLLLLLAALSPPATSHYSSSTSSPSSVSSSASEWRPARATYYAATNPRDEVGGACGYGDLVKSGYGMATVGLSETLFERGQICGACFELRCVDDLRWCIPGTSIILTATNFCAPNYGFDPDGGGHCNPPNKHFVLPIEAFGKIAIWKAGNMPVQYRRINCRREGSIRFTIDGGGIFISVLITNVAGSGDISAVKVKGSRTGWLPMGRNWGQNWHINADLKNQALSFEVTASDKSTVTAYNVAPRNWDYGQTFEGKQFETP >A01p039920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16144214:16146714:1 gene:A01p039920.1_BraROA transcript:A01p039920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGADGTVRVGGLNSKPGRGLELESDSAVSSPVTRQKAAAAKQFIENHYKNYLQGLHERMERRREFQRKVQEAQLPVEEQDEMMRNLARRETEYMRLQRRKIGIDDFELLTVIGKGAFGEVRLCRLRSTGEVYAMKKLKKTDMLSRGQVEHVRSERNLLAEVDSRYIVKLFYSFQDSECLYLIMEYLPGGDIMTLLMREDILAEDVARFYIAESILAIHSIHHHNYVHRDIKPDNLILDKSGHLKLSDFGLCKPLDEKYSSLLLEDEEMLSQEAESQSGKSDSDKAPWQMPKEQLLQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFCSDDPRITCRKIINWRVCLKFPEEPKISDEARDLICRLLCDVESRLGTRGAEEIKTHPWFNGTQWDKLYEMEAAYRPTVDGELDTQNFEKFPEVEGSASEAPQVGPWRKDMIKLADVDVQGQ >A09p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:301226:303252:1 gene:A09p000530.1_BraROA transcript:A09p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDGAADKSRRFFVAVHVGAGYHAVANEKALRSVIRRACLAASTILRQDSGECIDAVSAAIEVLEDDPSTNAGRGSNLTEDGHVECDASLMDGHSGIFGAVGAVPGVRNAIKIAALLVKEQMSGSSLLGRIPPMMLVGEGARRWAQSKDVVLPETVTEAEQWLVTERARNQWRKFKSMLSAVEAKNNLFSEELQRKTEKNGTCEERPLPCAAAVDEDKIMDTVGVICVDSEGRIACGSSSGGIAMKISGRVGLAAIYGSGCWASSKGLFGDPCIVGCCVSGAGEYLMRGFAARECCTSLSLSQAGPASAAMKVLRSVMQQESSERGDTDKTGGILVVQADASVSVPGDRPELNAVEIAAAYSSLSFGIGYYGNSIAKPKISILRKKRGENEAGIDHFEAGIDLRTSCC >A09g510870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33273004:33274609:1 gene:A09g510870.1_BraROA transcript:A09g510870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRNATYQIQAEAAWERTCFSQSIDTRHQQSVDTRGPQSIDINNTTSTDNHPIPKTTVSEKDKSDKQYLTLEEFGIFRDPDGHAKAINGRTLHVSRENIADILQTANGADNLFMHHHNNPEKKVTKEFYDTAGGINNSFIHKLAIPFSWEEKDEYGIYRDDQGCARGMDGHTISISKENIRRLLERASKDEPNYICLPEHANLFTHTKLLPEIYTKDEINKMFYGFCGEQENNKEAFQMKLDGVYHPLNDSIGWLTTCLEEIRQDITRIQQATEASCQTSIDIRHHASIDCRSPTSIDPRLPTSIDISPPHSHPKQPQHNFHTREEIDQLVEEIYRALETTEERLDGRSDDIYFPMDLSITALTYKIEAMQRELVEIQRYIARRLKASASIDSRTNNSIYIRHQTSADDATNRGRLVPKMKLDMSDKNNHGEETSADTYATLRRHQFNIESLEERLQRMENTTATMKAKWRRGDEAMRDFTGTWFNKRKEEMDSCFPESSSFQHY >A09p047520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41292046:41293440:1 gene:A09p047520.1_BraROA transcript:A09p047520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGARRLLNLRKLSSSHQEELRSDVSKAVEVSNEAEGHLKVKEFSPKNVKDMLLIGYTYNKVLAEEKKSKAEETAYEKYIICIYLLCINIGVNNYAEDASEITLVYLVGENWVSTLLVTNFQLSDFVVKLLSKHSSFALNSLSSSYEDLSILALFVYVVYAYNQRGCLIPSSSTVVPKNIEV >A08p044650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24642007:24644458:1 gene:A08p044650.1_BraROA transcript:A08p044650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGRNIIDEQVVVGEEKGFVRLATLNRPRQLNVISSEVVLKLAEYLETWEKDDKTKLILIKGAGRAFSAGGDLKMFYDGRESKDSCLEVVYRMYWLCYHIHTYKKTQVSFVNGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYIHSRLPGHLGEFLALTGARLNGKELVAIGMATHFVPSAKLADLEERLVGLDSGEMDVVRSTVEEFSEKVDLDKDSILNKQTIIDECFSKESVKHIIQAFEAEGSKEGNEWITPVIKGLKRSSPTGLKITLRSIREGRKQTLSDCLKKEFRITVNILRSTISPDVYEGIRALTIEKDNSPKWSPATLDEVAEEKINLVFEPLEGDLELHIPETEENRWGGKYET >SC309g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000154.1:19041:19958:-1 gene:SC309g500010.1_BraROA transcript:SC309g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYDCDAEALSISIRPGQSYSVMVKWRCLPELVHFHGFRSVEVMLDTPPRSPKNCPESRGGSVRVQISLSRPVSFFMVKPRLCPRQDQSSPVKSSRPLGFGQVLSDQPAAYRQRTLFPLLGSWIMAGGQCFLDLVPSGFKETPYSLDREHSERRGHGLWLSGYMDGVVTGSGPTVLGLSRGDPTVLWAAIIV >A01g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10140121:10142443:-1 gene:A01g503150.1_BraROA transcript:A01g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20641349:20643535:1 gene:A09p034190.1_BraROA transcript:A09p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENTGQHQQTEARRKKLTLILGVSGLCILFYVLGAWQNNNVPASYSKMGCETKSNPSSSTSSSSSESLDFKSHNQDEFKETNQTIKHFEPCDLSLSEYTPCEDRQRGRKFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYGNIPHKELSVEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIARLIPLTNGGIRTAIDTGCGVASFGAYLLKRDIMAVSFAPRDTHEAQVQFALERGVPAIIGIMGSRRLPYPARAFDLAHCSRCLIPWFKNDGLYLMEVDRVLRPGGYWILSGPPINWKQYWRGWERTEEDLKQEQDSIEDVAKSLCWKKVIEKGDLSIWQKPINHIDCKKLKQNNKSVPPICSSSDNADSAWYKDLEPCVTPLPNTNNPEESAGGALEDWPDRAFAVPPRIIRGTIQDINTEMFREDNEVWKERIAHYKKIVPELSRGRFRNIMDMNAYLGGFAASMLKYPSWVMNVVPVHADKQTLGVIYERGLIGTYQDWCEGFSTYPRTYDMIHAGGLFTLYDNRCNLTLILLEMDRILRPEGTVVLRDNVEMLTKVEKIAKRMKWNTQIVDHEKGPYNPEKILVAVKTYWTGQPSNNSNNN >A05g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19187358:19187642:1 gene:A05g506820.1_BraROA transcript:A05g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRNFSRIYKKVQLKPLKWDGEGEEERPVEALMILKYGGVLYHAGRRAW >A09g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19406210:19407728:-1 gene:A09g506570.1_BraROA transcript:A09g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINEIQQKNLTAKKQFETIVQENNLLKRAVVTQRKRQRESEDQSQDLQHLRQMVTQYQEQHRTLEVNNYALTLHLKQAQQNNSSTPDWFHPDKSILGDIVTDLSLHYLTKAKIMAIKDVERYEIEFVTKILNCFLIPNIEHFRAENLGVGAPEIELSRQLGAWAKVLHRMDGSV >A03g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29032386:29033569:1 gene:A03g508530.1_BraROA transcript:A03g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNTLLRNSFHLLSKKQKRKSLSSTLWPDGESKVLIAERDGLVGELMKSIRRDSDSSLIEATLSCLIAISSPRRVKLNLIREKLIKDLTKLLTDPTTASVSMTEKSLKLLESLASTKEGRSENCGGDGECLKTVVKKLMKVSTAATEHAVTVIWSVSYLFTDPQASVSVMEKYLKLLKSLASTKEGRSEICGGDEADESIDGAVTVLWSVSYIFKEEKALEAVTSTNGVTKILLLYMNTRTINFFLLDAFYCFEFLVWCRRCFNHLGVLHVQVISCSLYGDDDTWKAWLNVDNSMLHNYYYFYNL >A02g512680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34707199:34708783:1 gene:A02g512680.1_BraROA transcript:A02g512680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWSGQRLEEFENRLACCMCVLGCQLGNRIPVVKTHRIRTVSLNFAVGLRYALRPIETVFCGSPINCSPPCSSFVVDLGRDCRDSVTAIVFVLIKPTAQSRLLPPQLAGDVGGKDGLKNLHGVYVSVGVEKHWKGHESISHVLIASNPYRYVVELDLESVSLPGIQSDNTGYDECIKDCDKAVEKDRKLRPGKEQLEKVSTGSRQERLVLLALLLLRTPSPLMRMCSPQSCDQSVGERGLSLKLTVWIGGNPVEGNVETELESLEIERRVCYLATKGSERMRFETCPSKKI >A08p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19516600:19518724:1 gene:A08p032190.1_BraROA transcript:A08p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRLQAQTEAVNLLCGAKTQSNPENTVGILTMAGKGVRVLTTPTSDLGKILACMHGLEVGGEINLTAAIQIAQLALKHRQNKNQRQRIIVFAGSPIKYEKKALEVVGKRLKKNSVSLDIVNFGDDDDEEKPQKLEALLAAVNNNDGSHIVHVPSGANALSDVLLSTPVFTGDEGASGYVSAAAAAAAAGGDFDFGVDPNIDPELALALRVSMEEERARQEAAAKKAADEAGQKDKDGDTASASQETVARTTEKNAEPMDEDNALLDQAIAMSVGDVNMSEAADEDQDLALALQMSMSGEESGEAAGAGNLLGDQAFISSVLSSLPGVDPNDPAVQALLASLPDESKRNEEESNSKGEDEKK >A07p050870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27084918:27086179:1 gene:A07p050870.1_BraROA transcript:A07p050870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCSSSTVILPSSVKPSGSNRRSPFLGFSLTAISKPSVRVGIYANTKRGLQVKCEAEEQATATSLVPANQRWMFDEEEANGPDIWNTTWYPKASDHVNTDKPWYVVDATDKILGRLASTIANHIRGKNLASYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPLDLPIRDKRIQLQK >A01g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20275994:20284455:1 gene:A01g506900.1_BraROA transcript:A01g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDFSRHPRYSMSSRCQSHEFPRKHPNSALPEGLWTLLTNFDRKTTDFVYEEELQRFLESGALSELSVAFSREGPTKEYAQHKMMDKNMICQGAYVYLFGDAKGMVRNVHRSLHTIAQEQVWHQFAFISIVITRLRDKNGQERRLVEHLLGGGIAGDKDRSGNFEERGGQRNNLLSRRLEEFLFELRVVQGRTFRVRHAFECYCRAVPIACLISNARMIRGKESYFAARKVAKVYSHAAGQRGCIKHALYGEVARKHAPSCDTLLGLHVSSLDVISRVPLSLWLRVSCGLSSVTLTGLSLARHVVLPDHGVGLDGQSCSCLIVGWPVGLSSPTLGVGRPSLIVGRWVDLCLGRFGVLHPYPRVRIIITVPVDVWIVTCKEQNTLEHEKSDPPTKVNGRNSDMGYHGGGVFLDSKCFDRCGALGHKEKKCLLPPKPHDSATVTKEPQVTNEEIPVVNIVHLAQNSFSTLVENLEPCSGSLSTQQALETPEKSLITTPSEVAVVTPFTDSHEVHSTSCSEIDVTIPMLAAANVAPSNSPIMEAIPSQSIIVEDPSSSANEQQIDPTTPPTHNQQQFYRESEIPVTYGKGAGFDVVGESTGYNLTRGRREIKPTQKFQDMEWTNVSGRGKRGRRGRGNHNH >A08g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:261752:267460:1 gene:A08g500140.1_BraROA transcript:A08g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMAQIPVVYGEWVVKGSLWEFVVNNRKGGRMFLVPDGCTHGELHEMAQEDYGLDKKIEKVELTYSLPDVILQQMAPDTPPMHVTNDRQVRNLIELAKTHFVRLCVSSQSHVEAGVDDDADVSDGDDSNFADEDTGQDSDSSWDEDSNDADDVQATADDVQATVDVDVDDGGLMRRPSCSTAPTMSVRVFDREVVPAIHGRGVQVWDVREHNHENVKDEDSDEDANETLYDGYKAQFSGGEGRSLSLKDNIYVGQSFASKDELVSKLKSVAVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGPKTFWVTKYSKTHTCSVADRMAQRKHFTPKYRIDGWRFVVRGGHRDCVVDLELRRCQCGVFDIEKIPCSHAIAAAKDANLHVSTLVCPSYSKNYLYAAYAANIYPKSDVLEAPNTDDTSPANEEEAPNTDDTTPANEEGADKARKCLPPEVKRGRGRQKKSRWQSWLEISRMRGNQPRKLHKDYSCSQCKQPGHTRPNCPG >A07p051410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27303272:27304940:1 gene:A07p051410.1_BraROA transcript:A07p051410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAVLIGINYPGTEGELLGCINDVKRMHKSLVELYGFSEENIVELIDTDESQTQPTGKNIRQAFWDLVGSAQPGDVLFVHYSGHGTRLPPETGEDDDTGYDECIVPSDINYITDDDIKEIVSHVPKGCSFTFVSDSCHSGGLIDSAKEQIGESFKKKSNKRFKCLFGLFCYKGTTSEAESKEETPIKIDDDENDVNGRNRFLPLQTSIKMLKQATGRDDIKEGNIRTTLFDLFGEDASPKVRKFMKVILSNMQESTGEGLMLRSLAEQAIILLKDKLNDEEYLKPAMETRVKSKKEVYAGVINGGLGSNGILLSGCQTNQVSADVGSKDKAYGAFTNSLQIILAETKGKISYKELVLKSRKYLEKQGYPQRPGLYCSDSYVNAPFIC >A01g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2372695:2378031:-1 gene:A01g500570.1_BraROA transcript:A01g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRNSVGIFRGNSEEAKFCVSSELPRKFLGIFRGIHFPSEHPSEYRCFLVVRYAVLSERDFIRGLFPPVLEGQACLGGAGQVRSWFSVCWWMRGEDWYRIHRFVDAEKKRTEETRALIRNEKKILKELDLIINLEPRSSISKTLDSPIRRGQKKMLRFLGNTLAKSCGKGRLQYRDFSSVSDQKAVSKFYGYCFKTVFGGAGFLLACVTTSPVSKGLRELEQVYIEEMPNADEIEARVAELITLREELMNLDVMLPVDPPDPPVPPDPPPPPALWVFHRQILTLCTAFLHYSEEKGLLLLENCSATWNFNSKSKKLRAFSMNLEASVLSPENPHISSSLMGSDDQRFRPSSVKAYWLRHGNVGVQSFDLTKAYALSLNPVQLTFLVWYDVFSGTLLVLVHRLASVDRVHIAQSRDGVLKFVQWFVQLSQAIRVYTVFAPFLMNTNAKSRQRRPFPTFRSYGSHPQMVLSVTFLFGEVKESPPSHDLEAPVHGSLDHCYFGFPLLAKVIVMRPVLCLELTLEFPKLKAFSDNSTFIRAISSNLQSKEVIGIVSNIRSISSGFASIGFSHLPRSKNSIVDTLAKKALHILSSVKDTAEQKMMMKQTQTVLAKYFRKGISSIASSPLSSRVKHAWEEQNKLVLGLAFIGGWFVKANISMELDDDV >A07g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14522366:14523829:-1 gene:A07g506130.1_BraROA transcript:A07g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGFRSEKNQMTYNAVNGVAILLFPELKANGSYKVKKNKILEFLGKNKFPLITKSSESNTAWIMAQLHDQRRFKSKVAAFNNKLNSKYLAEEDPSPSNIEDAMLKEKCKIDLCVVRITGSSNMGISLSRWSELMKEEGESADADIASCYYHWFLRGIHVVTTTQDKSGQHDVGRGKVKISVIKMKKKTTTIGDHHFII >A03p001810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:901973:903484:1 gene:A03p001810.1_BraROA transcript:A03p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 77A4 [Source:Projected from Arabidopsis thaliana (AT5G04660) UniProtKB/Swiss-Prot;Acc:Q9LZ31] MPLFSFSLGLTILVIIISGLVFTFTRWNSKTKKRLNLPPGPPGWPVVGNLFQFARSGKQFFEYAEELKKTYGPIFTVRMGTRTMIILSDATLVHEALIQRGSLFATRPAENPTRTIFSCDKFTVNAAKYGPVWRSLRRNMVQNMLSSTRLKEFGSVRRSAMDKLIERIKSEGKEHDGLIWVLRNARFAAFCILLEMCFGIAMDEESIEKMDEMMKTVLMTVDPRIDDYLPILAPFFSKERKRALEVRREQVDLVVSLIEKRRRAIRNKTAPSFSYLDTLFDLKVEGRETAPSNEEIVTLCSEFLNGGTDTTGTAIEWGIAQLIANPEIQSRLYDEIKSTAGDRRIEEGDVDKMVFLQAFVKELLRKHPPTYFSLTHAVIETTSLGGYDVPAGVNVEVYIPGISEDPRIWSNPKKFDPDRFISGKEDADITGISGVKMIPFGVGRRICPGLGMATVHVHLMLARLVQEFEWTAYPTGSEIDFTGKTEFTVVMKNPLRAKVKPRV >A09p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35153258:35155423:1 gene:A09p043270.1_BraROA transcript:A09p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT31A [Source:Projected from Arabidopsis thaliana (AT1G32930) UniProtKB/Swiss-Prot;Acc:Q9MAP8] MGMGRHQKSGVPARWVLVLCISSFLLGVLVINRLLATSETVDSNGRASSHQATSLHPLEGDILSRVSHTHDVIKTLDKTISSLEVELATARAAARSDGSPAVSKAVADQSKERPRMFFVMGIMTAFSSRKRRDSIRGTWLPKGDELKRLETEKGIIMRFVIGHSSSPGGVLDHTIEAEEEQHKDFFRLNHIEGYHELSSKTQIYFSSAVAKWDADFYIKVDDDVHVNLGMLGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRQLLHKYANEDVSLGSWFIGLDVEHIDDRSLCCGTPLDCEWKGQAGNPCAASFDWSCSGICKSVDRMLEVHQRCGEGLGAIWHTSF >A05p047580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28007942:28009243:-1 gene:A05p047580.1_BraROA transcript:A05p047580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQATAAIETSKVEEKQPQTTEAEAPGVTRTKRIMVAIDESDSSFYALQWVIDHFSTLLLTTEGAEAEGGLLTVVHVQPTFHHFAPFPAGPGATAVYPPSLMIESVKKTQQETSAALLLRALQMCRAKQIRSETLVFEGEAKEMICQAVEQMHVDLLVVGSRGLGKIRRALIGSVSDYCAHHANCPILIVKPPKEITS >A09p025230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14035789:14036705:1 gene:A09p025230.1_BraROA transcript:A09p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRILARSKNGCLFCSFETLADASQPTPSRIQTVTDQKEKWSKQGHQVKPSDVRCLIKNLLDSNQFSQALEASEWMGEQNVFDIFAEDYAARLYLVDHVLGLEEAEKFFKSIPVNMRDYFVYSTLLSSYTRSEKTLDKAEATFEKMRKLGGLLKPSPCNSMISLYGQLKNRDMVENLVREMQEQKVGSDSATWNNVLRVYVDPSKIKEMETFKTRVDEQGINLEGSTIVTMARAYNRSGLVQKAIEMYGDVPGTQGEL >A05p037130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21084617:21087039:1 gene:A05p037130.1_BraROA transcript:A05p037130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSTSSSYISNPLPKTKHLFKPSLLPLVASSQSSCWLCNSPPKLRIPKLRIRDGSSHGLRIHALLHNEGEGEDNLGESNGFGFFPGDIFSLSQEKLESETSHSVIDVESSLALPQGAGNSGGNRGGLFRTPISGGVQNATSAHALPRPALAVRNLLEQARFAHLCTVMSKMHHRREGYPFGSLVDFAPDRMGHPIFLFSPLAIHTRNLLAEPRCSLVVQIPGWSGLSNARVTLFGDVYPLSEDEQEWAHKQYIAKHPHGLSEQWGNFHYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEHNLKELNAIFSKPLRELLSSESEVDDAALISIDSKGIDVRVRQGAQFNIQRLPFEEGHGVETLEEAKAALWKVIEKVKLNYFQK >A04g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2785767:2786320:1 gene:A04g501020.1_BraROA transcript:A04g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAARGSLFFFLAVTFAVAPVLPDLAGSAVRQLVNRAARSSAVCFRRVSFSVVRFLYTGSRFKGCTRSRWGGLEAAIFRLSFRTTTSPLGGSLSCRQRYYLSDVLGVLRVWILWAFQVWVVFSEAVGAVVSRFEGACLSGSSRCPVLCLD >A03p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15687773:15689323:-1 gene:A03p037460.1_BraROA transcript:A03p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLWRDVGGSPPKPWEQEGNNNNASGPKPFRPPSNTSTADSVEASGTANPGELVSSANRTNTAATMNGLTRPVPSRPWEQQQTYGSTYGGGYGSNLGMNSGYGSGTYGSGLGGYGSSYGGGMYGGSSMYNRGGYGGGGMYGSSGMYGGGGMYNSSFGGGYGMGMGTGMGMGMGMSPYGGQDPNDPFNQPPSPPGFWISFLRVMQGAVNFFGRVAMLIDQNTQAFHMFMSALLQLFDRGGMLYGELARFVLRMLGVRTKPRKMQQPPQGPNGLPLPHQPHGNQNFIEGPKGGAAPGGGGWDNVWGN >A07p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22103494:22106085:-1 gene:A07p040910.1_BraROA transcript:A07p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFLILCFLLTLFISMATSLNDQGLALLSFKQALLNQKDYSVLTTWNSSDSNPCFWLGITCNKDLRVVSIRLPNKNLSGSLHPSIGTLLSLRHINLKNNKFQGKLPAELFAPEGLQSLVLSGNSFSGFVPEELGRLKSLITLDLSKNSFNGSIPLSLLQCKKLKTLVLSNNNFSGDLPTRFGSSLVQLRTLNLSFNRLRGTIPEDISNLKNLKGTLDLSHNSFSGMIPTSLGDLPEVLYVDLSYNNLSGPIPQSNVLLNAGPNAFQGNPLLCGIPTKVSCPTRNTQIIPSQLYTQKANHHSRFCTILTATGCTVAGIIFLVSLFIYHLRKASAARADKDQNNRTSHSEKKTMQDFLCFKTGNSKSEALDENKTQQVFIPMDPEIQIDLDQLLKASAFLLGKSRIGLVYKVVLENGLMLAVRRLEDKGWLRLKEFLADVEAMAKIKHPNVLNLQACCWSQEEKLLIYDYIPNGDLGSAIQGRPGSLSCKQLSWPVRLRILRGIAKGLTYIHEFSAKRYVHGNINSSNILLGPNLEPKISGFGLGRIVDMPSSDIRSDQISPMESRSPSVSREAYYQAPEASKMTKPSQKWDVYSFGLVVLEMVTGKFPVMQMSSSETDLVMWVEAAAERNKPVWYVLDPVLARDRDMEDSMVQVVKIGLDCVKKSPDKRPSMRNVFESFEKLASSI >A09g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14759337:14762013:-1 gene:A09g504870.1_BraROA transcript:A09g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFIFLFSFENNIFTLSPLSSSNNYKIVIIINTLTTMNNQFEVLNAPKIDLPFFFLHSYELNTTSLSLSLHIKLKKSKILILHFLWFIESKKLTILGGSLSFEILCSWRSLMCAKEICSSKRLTWKLSGQRIGYFCNRLSNLFSETTENHKQNYYRSFLYKDKLGVHLIWKKTLSEDFQKVQTTLRKSRRLKWKLSGKLPESRLLPNEEKSDIRTYQNAQIYYERENSSKDFHEVQTTSMKSRRLP >A05p008840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3657405:3657656:1 gene:A05p008840.1_BraROA transcript:A05p008840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMMQERKTLSMNDTDLSLIRLSSPPYDDSSFSPGSDESDHPKRRRLSSQDPIFISSPLRSTHPEPIPPTSTTRFSPRAMF >A03p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2166178:2168406:-1 gene:A03p005190.1_BraROA transcript:A03p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAQLPRLRLSIFAKSHFSLASSHFNLINPNPPVKLARTLFSNLATVEPIPLPVSDSSHLDAAPVEIPLDKLFIPPETDISGEEPSRLAARILKGSNIVLSKYARDAQVVQADYVKSSVRTEDCPADGLPEFALVGRSNVGKSSLLNSLVKRKRLALTSKKPGKTQCINHFRINDNWYLVDLPGYGYASAPHELKKDWNKFTKDYFLNRSTLVSVFLLVDASLPAKQIDLDYASWLGQNQVPMTMVFTKCDKRKKKKNGGKRPEENIKEFQDLIQGFFETTPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >A01p010150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4955519:4957540:1 gene:A01p010150.1_BraROA transcript:A01p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKVAREFRGHEDPVKLASETAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKSRKRENIFANRIFDMFDVKRKGVIDFGDFVRSLNVFHPNASLEDKIDFTFRLYDMDCTGYIERQEVKQMLIALLCESEMKLADETIEIILDKTFEDADVNQDGKIDKLEWSDFVNKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >A02p021880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10314300:10314754:-1 gene:A02p021880.1_BraROA transcript:A02p021880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH109 [Source:Projected from Arabidopsis thaliana (AT1G68240) UniProtKB/Swiss-Prot;Acc:Q5XVH0] MEINNNSGTHDEELYSLSEIISSLSSEHHNELNPLQEIFGAHSSSSTLSFSEPKDVTKKSNQGDKRSHCNKNNHAGKRQRSMEYRVLMEKKRRQLIRDKVDILQDPAGF >A06p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18342942:18347465:1 gene:A06p033950.1_BraROA transcript:A06p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2423 [Source:Projected from Arabidopsis thaliana (AT3G48470) UniProtKB/TrEMBL;Acc:F4JF17] MAEAESKEALETKLVDKVGEAISAIADAKHVDQVISAVHSVALLLFPVDPTTRIGDKVSSSLVPRAKDERSDWSQTFYRGVAFPTFARVLLLDVASDWLSCFPVSVQKHLYDSFFLDGPVVEVVQVLVPLLHHVDKNAAADASSVQTNVERLLILCLLENAGVLKMTQEIGDYYQGDSSRNGNLKPLLSRLSQILTSIPDKARLKSPPLLSSHLYFKHITNQLLQILDDRASCNEANSTDIVLSFVGEMFSRICRRGLSDLLLSEVTPHVLAHVRRLLNSNKGSVEIESFQLDPTSQIWSKTMEAVTDPYAVEKMAEQLLHQLYAEHASDVEAFWTIWTLFHRSVKHQASVRSIFVDKFLLWKVFPIRCLRWILQFSVLECPPVTNTLAKGDITQGLLETTQRIASVWSKGEFLQSVPLEQQAYITAALGLCLENMSREELDKTKDVMHNILQGVSCRLENPGDLVRKMASSIAFMFSKVIDPKNLLYLDDSFTGNAIDWESELQTAVGGVRSITSSWENGDGETKTSADSSRRNKEKKDRKSKDIANFVLADPDEMVDLATLNCGTESDKDDDNASVSSDNSSVTSLEPYDLLDDDKDLGKQFTHLVDVVGALRKTNDADGVEKAIYVAEKLVRASPDELTHIAGDLARILVQVRCSDITVEGEEDSAEEKRQRALIALLVTRPFESLETLNSVLYSPNVDVSQRIMILDVMSEAARELANTRTLKPKHQARGPLISNISDPQPWYLPSDASTPWKKVEETASFHLNWANRYERELQPKPGQKMKGKTRRWSLRSGDRDQSSTDWSQNRFPLYAAAFMLPAMKEFDKKRHGVDLLGRDFVVLGKLVHMLGVCMQCASMHPEASALALSLLDMLQRREVCNHPEAYVRRAVLFAASSVLIALHPSYIVSALAEGNFELARALEWIRTWALQVADSDIDRDCYSMALSCLQLHAEMALQTSRALESAGGKSSSMGPMNISLPSGISKLTTIKLPSSNVLL >A04p030690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18202212:18203565:-1 gene:A04p030690.1_BraROA transcript:A04p030690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKIFTLSEVSEHNQAHDCWIVINAKVYNVTKFLEDHPGGDEVLLSSTGKDATDDFEDVGHSESAREMMEQYYVGEIDPTTIPKKTKYTPPKQPHYNQDKTSEFIIKILQFLVPIAILGLAVGIRIYTKSG >A02p051670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31634423:31636020:1 gene:A02p051670.1_BraROA transcript:A02p051670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G29310) UniProtKB/Swiss-Prot;Acc:Q9LIB3] MTTTARRFQAIFSVFFLSSRDRFIVKPIKLTPFNLANSAVNSMASRHHHACSQRHNYHNQIPLVPTSPHCCTHSNLPSPPPPPDTLLHLLASYLQTHQQETHFPNQTCSCNQNNVPRQQHDQVILSCLLRKIDALESSLNKFAPFHDQHRDRHSTLRDSAARVIQTHFRSYLVRRSISFRHLKELAVIKSSFLSLKSSVSGKPIFPYKVVSRKATDLLLQLDSIQGRVDPMIRSSKRSLSRDLVRFLQYVDDCVVRRYAADVSFVGSHSKRPQGFGVVEERRVEKLRNRMGKVFVTCDEGEELDSATDDSEEVSIDKRKSGSSKFRTGTNVVKPPVRKTMVYGNMHGSVEDDSVDSGEEEALVISRDNERKQHGLKTRKMVVVKGSGGKSRVVKTVRFDEDGNVYKVYGDTPEASSISEEGDDSTSGSNDGNCDKRGNEVEEIKYVPKEKEGFEDDEEETQSEKEVSSSEGSEGDATGSNEHKKREIEVQKGSLMFSPPLPLKMEP >A03g500870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2568487:2568852:-1 gene:A03g500870.1_BraROA transcript:A03g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEGFVLMMMIINQNLDFQGLRSVHPSSTRGLTQQHSVRNMLFEYIHGVGTGQSYGKFTMTSSISVVEKVHCDDILLIHRYRWCLGRRIWDPGKLQAFMKQLHHKIKMRRSILGETRIF >A06g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30216838:30219037:1 gene:A06g510020.1_BraROA transcript:A06g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein 1 [Source:Projected from Arabidopsis thaliana (AT2G20840) UniProtKB/Swiss-Prot;Acc:Q9SKT3] MSRYESPSFDDGEVNPFANPSTVPAATSQYDRGGATTDIPLDSAGKDLKAKEKELQAKESELKRREQELKRKEDAIAQAGIVIEDKNWPPFFPLIHHDISNEIPIHLQRIQYVAFTSLLGLVVCLLWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPGAYVMWYRPLYRAMRTDSALKFGWFFFTYLFHIGFCVFAAVAPPIIFKGKSLTGILPAIDVLSGNILVGIFYFIGFGFFCLESLVSIWVIQQVYMYFRGSGKAAEMKQEATRRAMMAAL >A10p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16855755:16859566:1 gene:A10p026600.1_BraROA transcript:A10p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVEIMFGNGFPEIHKDTTPIQTLHSNQQECHWYEETIDDDLKWSFALNSVLHKGTSEYQDIALLDTKRFGKVLVIDGKMQSAERDEFIYHECLIHPALLCHPNPKTVFIMGGGEGSAAREILKHKTIEKVVMCDIDQEVVDFCRRFLTVNSDAFCNKKLELVIKDAKAELEKREEKFDIIVGDLADPVEGGPCYQLYTKSFYQNTLRPKLTPNGIFVTQAGPAGIFTHKEVFTSIYNTLKQVFKPHVPSFADTWGWVMASDQEFEVEVNEMDQRIEERVKGELMYLNAPSFLSAATLNKTISLALEKETEVYSEENARFIHGHGVAYRHT >A06p055160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28867835:28869121:1 gene:A06p055160.1_BraROA transcript:A06p055160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKTRVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A10p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18058157:18059932:1 gene:A10p029490.1_BraROA transcript:A10p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPAVHQKALETCPFPSTAKSEESAVSVSLLETYAEGRSEWPMFEWMHVDCFANLLQLACMLPQKEDHLRNRITKFFLAVSESFGSSYLTHIELPVFLVAVGDDEADLRFLPSSIHPRIKGLKPRTAVASRLAALCILPLLLAGVLGAPSKHEELTNFLRQLLVESNTKENQSSKHNNNEVLDAVRFLCTFEQHHNMIFGILWEMVVDSTAELKINAAKVLKTLIFQLSASPSSSTDVIRRRDRDNAFCEAIRALDATDLSQTSVREYLLPAIQNLFKDPDTLDPAHKEALEIIMKERSGGLLVKKEATETTTVAPSSHTFQGPDSPKAVAAAAEDNRFRRIMRGNFSEMLRSKAKNPDETPPQNH >A07p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13884095:13886821:1 gene:A07p024100.1_BraROA transcript:A07p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDLVARNSHIKYGLRDTPGLVPIGFYGLQQYLSMLGSLILVPLVTVPAMGGSHEDIANVVSTVLFVCGITTLLHTSFGSRLPLIQGPSFVFLAPVLAIINSPEFQGLNGNNNFKHIMRELQGAIIVGSAFQAFLGYSGLMSLILRLINPVVVAPTMAAVGLSFYSYGFPLVGKCLEIGVVQILLMVIFALYLRKISVLSHRVFLIYAVPLSLAITWGAAFLLTEAGAYTYKGCDPNVPVSNVVSSYCRKYMTRMKYCRVDTSQALRSAPWFRFPYPLQWGVPIFTWKMSVVMCVVSIIASVDSVGSYHASSLLAASMPPTPGVVSRAIGLEGFASVLAGLWGTGAGSTTLTENVHTIAVAKMGSRRVVELGACVLVILSLVGKVGGFIASIPQVMVASLLCFMWAMFTALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGVSPNSNLSVPSYYQPYIVASHGPFKSQYKGVNYVMNTLLSMNMVIAFIMAVVLDNTVPGSKQERGVYVWSDSETVTREPALAKDYELPFRVGRFFRWVKWVGI >A04p021130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12883835:12884349:1 gene:A04p021130.1_BraROA transcript:A04p021130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPATAEKRSSRRLLFDRRYGWVVDEWKEPSDEALAGGRGMFCVVPLGKTLFQTASQSINSAVKIIDMKLQKWQNPMHNPSSSVVDSNGDSG >A06p053760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28280456:28282030:1 gene:A06p053760.1_BraROA transcript:A06p053760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSYLDWNESSSSLFGSENLEEELEVVTVRGEEAFYGIAQPQTPRESMKFLSRSWSLSASEISKALAHKQRQHQSLSTVSHNSPNVFFQDAAANPLMAGKIMNSSGKRKSGRLSMWFHQKQHTNSNTMRNPKRKDKARVERAHVHSAVSIAALAAGLASVISEGSCGQGSGSMMTLALASATELLASHCIEMAEQAGADRECVAATVRSSIDIHKPSDLTTLTAAAATALRGEAALKARQPKEARKNATITPCERSFSDSSWTANCQFRFDEPNLPLEGDLVQCAQNGAQRLKRVCIYINKNSQVMIKLKSKHIGGTFFKNIKCVVYGVCDEISAWPYSKDREENSEEVYFGLKTGQGLLEFKCKSKIQKQRWIAEIQSALRQVTCLEADKCSLESLSL >A03p012120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4821163:4828807:1 gene:A03p012120.1_BraROA transcript:A03p012120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEFDSYLERKAFDETKEGVKGLVDAKITEIPRIFHVPRDSLADKKPSVSDLEIPTIDFASVQVDTASREAVVEKVKHAAEKWGFFQVINHGIPLKVLEEIQDGVRTFHEEDPEVKKQYFTRDDDNKKFIYNSNFDLYSSSTSLNWRDSFVCYIAPDPPTPEELPVTCRDALFEYSKHVMSLGGLLFELLSEALGLESETLKSKECLKTLLMVCHYYPPCPKPDLTLGISKHSDNSFLTVLLQDNIGGLQILHQDSWVDVAPLPGSLVINIGDFLQQTFCEEKETTMVTETSIEFDPYMDRKAFDETKEGVKGLVDAKITQVPRIFHVPQDSLTGKKPSVSDLKIPTIDFASVDLDTASREAIVEKVKYAAEKWGFFQVINHGVPLKVLEEIKDGVRRFHEEDPEVKKQYFSRDLANKNFVYYSNFDLYSSSTSVNWRDTFTCYIAPDHPTPEELPVTCRDAMFEYSKHVMSLGGLLFELLSEALGLKSETLKSKECLKTLLMICHYYPPCPQPDLTLGISKHSDDSFLTILLQDNIGGLQILHQDSWVDVSPFPGALIINIGDFLQKMGTKTSVDPFMERKAFDETKEGVKGLVDAKITEVPRIFHVPRDSLADKKPSVSDLEIPIIDFASVHVDTASREAVVEKVKHAAEKWGFFQVINHGVPLNVLKEIEDGGRRFHEEDPDVKKRYFSRDLAIKNFVYNSNFDLYGSSPSVNWRDTFACYIAPDPPTPDELPVTCRDAMFEYSKHVMSLGSLLFELLSEALGLEPDILKSKECLKSLLMLCHYYPPCPQPDLTLGTSKHSDNSFLTILLQDNIGGLQILHQDSWVDVAPLPGALIINIGDFLQLITNDKFISVDHRVLANRQGPRISVASFFSSSKRANSTVYGPMKELVSEENPPKYRDITIKEYTDGYTEKGLDGTSYLMNLRI >A02p019780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9208979:9210886:-1 gene:A02p019780.1_BraROA transcript:A02p019780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFRFGCWFGFKNTKQKTPEFSENSDEGGGDLGFRALTLRSKRGLHSEAAKVFSTVRMATTTGLESLVDQIISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQLVLGLYIIRGDNIGVIGELDEELDASLDFSKLRAHPLKPVVH >A06p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9621208:9624229:-1 gene:A06p019660.1_BraROA transcript:A06p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENDVATGCTVVVGVKFDTSSSELLDWALVKVAEPGDTVIALHILTNEITDQAVNSSLLSLVKTLDSVREVYEGLCKLKQVELKLKLCRGSSSRKVLVREAKLCNASKVVVGVSKSYHTIHSSVSVAKYLARKLSKDCWVMAVDNGKVMFQKDGSPLTIHQSKGKGNARRNTLSSFFQMPVTLQKNTKVVNNSEEGEEEEEEDRSNGHSLRPQALVSSCLGNRSVCGNVSGSSCYDVGQEENADDFDKSMASEPAKAPEDLNRFINLLVKEIPEFRPGWPLLCRVPSSDVLVNVPRSSSYRKIPVAQWVLKLPSRTNSAVGSSYTKQIGFEFSESEDDNGKLSSLNAEGPAVVPDGNDSVIVKSSPDNSPEELEGLHERVSTSCQSFKYNELVSVTSNFCPDNFIGKGGSSKVYRGSLPNGREVAVKILKQTKGVLNDFVAEIDIITTLNHKNVISLLGYCFEDNNLLLVYNYLSRGSLEENLHGNKRDSVAFGWNERYKVAVGIAEALDYLHNSAPQAVIHRDVKSSNILLSDDFEPQLSDFGLAKWASVSTTQTICSDVSGTFGYLAPEYFMYGKMNNKIDVYAYGVVLLELLSGRKPINSESPKARESLVMWAKPILDDRDYSQLLDPCLLDDNNGDQMERMALAATLCIRHNPQSRPDMGMVLNLVKGDMEILKWAKEEISSGLEDSKLLKDEKLHRSNLQSHLNLAFLDMEDDAHSMVSMDQGISVEDYLKGRESRSSSFN >A05p010010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4179829:4189338:1 gene:A05p010010.1_BraROA transcript:A05p010010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNVTNIKAASDGIWEGDNPLKCAFPLLIVQVILVLFSSRFLAFLLRPLRQPKVVAEILGGILLGPSALGRNKEFVELFFPKWSTPILESVASIGLLFFLFLVGLELDFAALGQTGKKAFAISLAGICLPFALGAAISLFLRTAVEEDHGSAGYWQFLLFIGVALSITAFPVLASILAELKLLTTSVGQTALAAAAFNDIAAWILLALAVALPGSHDHQQHVSPLISIWVLLSGVVFVIFMFFLIQPAMRWIIRYYDGTMHEACICLTLAGVLISGFITDLIGLHSIFGAFVFGLIIPKSGSFSDRLVERIEDFVSGLLLPLYFASSGLKTDVNKIRGAESWGILALVISTACAGKIIGTFLMAMMCTIPARESLTLGFLMNTKGLVELVVLNIGREKKVLNEEMFAILVLMALVTTFMTTPSVVVIFKPLNYGGLESLDSTTPGGSGGKGKVRILACVRSPGDVPCMIKLAESFGTSNGSVTLYVMHLMELTDRPSCISMVQRTRRNGVPFIHKLCKGRSRDEIEDAFSIYEQVRDQKQRIKIRHLKSVPALGTMHEDICNVAERKKVWMVVLPYMVTGWRVVNQRVMENAKCSVTGRWSRPGCRTNSATLITPGGPGAAAGPGAGAAAGAGAAGASNGEGDEIDEVAVEEFKKQCEGVVVKYDEIAVEGNIAKIIEAMGRKEYELVIIGNRQVLTSYYEMGRVEVSTLVIHGCSENDRAKTFVGDNV >A05g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12352362:12352959:-1 gene:A05g504460.1_BraROA transcript:A05g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTDGEEPVGVRVLTYQSSRSINTILNALNEDEIRYLRESSYEIQKRTQLNMKPWSYGVEHSPPYVQNSDQHFLKYLALNLISNK >A08p034690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20575357:20580535:1 gene:A08p034690.1_BraROA transcript:A08p034690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSPAPVPIGSRRRDNKWSSRWGPDDKEKETKEKEEPETQSVLGSSLRAPDSDARDKWRPRHRMEQLQSGGPASYRAAPGFGLDKGRSEGPNLGFAVGRGRARGTWSTFFGAGGFLRNERVPGKPAPMCRYVRGKLLDLYRNQKPDRMPIDMEDVDSVTQVDLIEPLAFIAPDAEEEESLKGIWKGRITGSEAHISPGEESLVQKSLGETKVDGGLLGVVSGDSVSMHNSNSGLLGSHGGGLWGASESDQVSHGSPEAVRSGFTKSSVLNAGEPIVTGKLQQPEIEVNHSEGTLPPEEFMFSYIDPQGVIQGPFIGSDIISWFEQGFFGTDLQVRLATAPEGTPFQDLGSVMSYLKTESMQAHINDQIIELEETNRKANSEIGLSFAPVQESNGSALGHDNVQMKSKSEAYVKPPHVDDRSFLDYSAQDEEIVFPGRAGVSGYGSAKSSTSMHDALMGVSGHPAIPVESAKVATQNQNENKLHPFGVLWSELESSNTPVDLLPNRSYDTGHDQKYLDQAQDLDHLLTLKLQQQQQQQKIHLQQQQKIQLQQRQLEQEYQLQQKLLQEQQQSHARNLHFQQILQGQTPDSRLGQSHDFHRLNNVDQMLLEQQLMDELQSSGHRSQNFTPYMEQLAAGNFGQLPHEGHQKELLEQLLSEQMQSQYRQMHSQHGHMQSEPIRSLEYQLLQQDQLMQLANGGRQNTLLEELRHIDPQHGHLQSQPIRSSEYQLMQQEQLMQLANRARHNTLLEEHRHIDPLWPSNRNDQLLGAHPGINRSHSSAGFRPVDFHQQQQGPPFEDHFSHLERNLSYQQQLNQELFEQGLPFERSTSLPRNTSGLNLDAVKGLNFSELRDAQMQSSGRLGNSTPGFSHQNPHIQLGERHFSEMEPRKERWHGADTQLAGGWAETQFHRLNTEADHHRMRSEMRRAGEDSNSWMVDGHTDEKSKQLFMELLHQRPGHQPLESPSMNRGEPYDRMAASGFGFADHGGRQNASSSFGSHASSDEHVNGLPGDGNYMGSLQRDNSLLSGSTDGGRKNETKDFSNMLGMSKDVNDIRTWNNAPPKKEGAGLMSFEAQDRMGKQAVMDSLVQGEVPVATLGRQSSSSISESYSDNLVGEVRKDRLVVPSHGQVSVLLKRPPSSHEGLLEQMSDATNRTAVGNKGSKASFSEMLKNSSSNSSMKKVAAEPSSDPNEGNKGGGGKKKGKKGRQLDPALLGFKKTYKADSQTGKWRSLTTFIKRGFVPETTTSLFQIMRTAASGDTA >A03p035730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14985763:14988114:-1 gene:A03p035730.1_BraROA transcript:A03p035730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog [Source:Projected from Arabidopsis thaliana (AT3G04680) UniProtKB/Swiss-Prot;Acc:Q9SR06] MAYGGPSMNPPAMSGAIPGGSSNLKQVKLDRESELRIEVSDEPLRLRVVNGTAEIFGAELPPEIWRTFPPRLKFAVFTWYGATIEMDGVTETDYTADETPMVSYVNVHAILDARRRFAKASTSTDSEPPQGPRVIVVGPTDSGKSTLTKMLISWAAKQGWKPTFVDLDVGQGSITIPGSIAATPIEMPLDPVEGFPLDMALVYYYGHTTPANNVELYKTMVKELAQVLERQFLGNPESRAAGMVINTMGWIDGIGYELLLHAIETFNASVVLVLGQEKLFSMLKDVLKSKSNVDVVKLHKSGGVVARNRDYRKVARSSRIQEYFYGLSKELSPYANTSSFSDVQVFRIGGGPQAPRSALPIGSDPVSNPLRVTPVNIEERDLLHSILAVSYAEEPDQIVSSNVSGFVYVTEVDVLRKKITYLAPSPGALPSKILVAGSLTWLESH >A02p030490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15547138:15554529:1 gene:A02p030490.1_BraROA transcript:A02p030490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIPLSDVDGEMIHVEVVNSLDWISKLPNDLLLKVLSKLSMEEVLRTSVLSKRWVDVWKETSHLYLDMRRIAKAKILLPEVSHQAARSVTKIIKDHRGHLERCAIYHDSLQCEDGVFESWIQSLVNVKHIKHLKLVNLFDHFEPITGSHVTLDLLPKSFSHPDLISLFLDEYNLETPHAFYSCRSLKDLSLINVSAETEVFNAVLVSCPSLEVLALKISCHKKGFLKIENHNLKFLFLSCLGIKGINVSSPNLDILSIEYLSCKEENFFTASPRLHSHRNYWAAGQCLAHTSYIISCPQQEMPTNIRISSESPRSNDDQIRPRQRRSRGGMGSQSRGSSNHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINHMMYSALDKGHPTFTHFPVEKQHLKNSTGIPMIRSLSITILSIKLWTTMVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAESESSIGKTRNTIWEETKPFPNAEFRVYTVWLSNFSGSEEEFALASRVITHGTVIWNMKIRPSSSSTTKKSKIKAAIAKLKELPKCHNYFRITCSDEALVEPSLW >A03p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5694797:5696815:-1 gene:A03p014320.1_BraROA transcript:A03p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGRQDSSPSVEVGEIDRSAPFQSVKHAVNLFGEAALSADKHPLIRKPTPHSAEKVLVKQTELHLAEKELNKLKEQVNHAETVREQALSELDWAKRSVDELTRKLETVNESRDSANKVTEAAKSQIKEAKPDTVSVSSTDEYVMVCKELDAAKQELRKIRQVSNEVSDTNTVALTKEEEAKEVTKVSSDKIELLKKEISAVNESVEQTKLACSQSRKEQSETFSEKEIQQLTYKAGMEESAKKLLALKKEFDPEFAKKLEAQLSETYNEIDELQKQMETVKASSDEASVNGVSLELNEAKGLLEKFVEEEKSLRESVESLKEELKNVKIKRSKVEAKEAEIESVAGELNLKLSKGKSELEECVGEETKAKAALEDMMSTLNQISSETEAARREAEEMRNEAERLVKEAETAHLSLEETELNLRVALDEAEEAKAAEAKALEEIKSLSEKTDAVRKSTSSESGEAQSITLSQEELNSLRKRAEVVDKLAGMKVAAAVAQVDAVRASENETVKKFETTQEEIVKIKTATEEALKKAAMADAAKKAVEGELRRWRERDQKKAEEVASRILAEAEAKMSAESSSPQHHHYKATTKQKPINMKLEKTKTSVVSKKVLLPNLSGIFNRKKNQVEWGSPSYLPGEKSI >A05g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12559213:12563226:1 gene:A05g504590.1_BraROA transcript:A05g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSQSSRRLKVVWSRADRQLTSRRADPLCCNHISGVADSANLIVGFVKQLSQICDFNRLLECPLDSLTIQWTSYARARGFDRFDFDDDDDDGETTPSETTPREETPREKTPRGAVPPTPLPLVVSPGPSTGPANVDSSREDLALISERETAEPSVTGGKKKRSAPDSSASAASQARTESDGPPKKKKKNERKKKRFVEEQSGPAEGTENCEHVIEKGSSRDAAARGVVDSDNFPSVSLKRKKTGRSHESSTPAKEFKATIDKVAEERAQLLERKKAQKAHFLEKFGELKDKFEAAGTKVRGLEEEKKAWSVGEVARLEDTTVAPALDPIALSTDLVVNEDPLVPVLETGAETGTEPVHLLELSDSSTEEEGGEHLEETEPGLVGNPQNEEGAVDRTDDLPVLPADVTVQVVEGGSNRAED >A08p034010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20329724:20334742:-1 gene:A08p034010.1_BraROA transcript:A08p034010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) UniProtKB/TrEMBL;Acc:F4HWI4] MCVGFKLSKPKTSPFLRAPSQSCEDSIRFSGVYRRDEHLTPCSDCAQSLDHFAGSQKPFLFERYIHSTGVSFSSARNYYDVLGVSPKATRDEIKKSFHELAKKFHPDTNRNNPSAKKKFQEIREAYETLGNSERREEYDKVRYRNSEYVNNDGSGAERFRRAYQSNFSDSFHKIFSEIFEDQSNPPSPDIRVELTLSLYESLKGCTKRLEFDAYVFCDSCDGLGHSLDAATRVCPTCRGLGRVTIPPFTAQCQTCKGSGHIVKEHCMSCRGSGVVEATKTVEVVIPGGMESGATVTIEDAGHVRSRTSRPGKLYIKFKVANDSTFSRDGSDIYVDANISFTQAILGGKVVVPTLSGKTEIDIPKGAQPGELLILRGKGLPKQGFFVDHGDQYVRLRVSVPTEFNERQRAILEEFAKEEINSELSGSAEGSWWDRMGPRIIRDFSLVVLLAILLRKLMG >A08p016690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11802024:11802444:-1 gene:A08p016690.1_BraROA transcript:A08p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFWLNSNNTEGLKTEKDSSPLAFICISFTHTGSERGIIHTVKGSLGYLDPEYFRRQQLTEKSDVYAFEAVINPTLPKDQINLAEWALSWQKQRPKSEGKLQSLD >A02p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6960543:6961907:-1 gene:A02p015770.1_BraROA transcript:A02p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNCVNQRLISKANIRSSCLYSLYLKRGIASGGREEGRDPLSTLEKLERQGIASQTSEKAYDGAAEAVKVSSDSEADKEKVKEEFEKREEGRDYRKRCDDDGLPINTAKGLKPSIKREAQRLFRSLFAVVDDLFHRTCRIYFFQRE >A05p038440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23683931:23685554:-1 gene:A05p038440.1_BraROA transcript:A05p038440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMISGDFLECFTFILLCFFSLLCYSLFFKKTKEPRVGCDFPPSPPSLPVIGHLHLLLSTLVHKSLQKISSNYGPFLHLRIFNTPIILVSSASVAYEIFRAHDVNVSSRGVPAVDGSLLFGSSGVLNAPCGDYWKFMKKLMVTKLLGPQAQEQSRGIRADEINRFYGKLLNKARKKESVDVGKEAMNLVNNIMCMMSMGRRFSEEDGEAERLKGLVTEWSGLIKRMFLAVLFRRQLEKIGISLFKNEIMRVSNRCDEMLERVLVGHKEEPDKDQGKDMMDVLLAAYEDKKAEYKITMNHIKAFFVELLFGAIDTSSTTILWAMAEIINNPNVLEKLRKELDSVVGQTRLIQETDIPNLPYLQAVVKETLRLHPPGPLVPREFQKECEIGGFYIPEKTRLVVNVYDIMRDPDLWEDPLKFMPERFLASSKSGQEDERKEKILKYLPFGSGRRGCPGSALGYIVVGTAIGVIVHGFEWIIDGDKVNMEEVMEGVILTMAHPLKFTPVARYVPLP >A08g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7638356:7641513:1 gene:A08g504640.1_BraROA transcript:A08g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSQLEPVLSRLGDELVSLGRRDDRQHKPALQPEKSEPEPEKWPRPSRRRNLSYHLGRKTYSFLRKIFTEERIETSDESSKQVVTQRPSVRPARSLRSDRASVSLGRYIATGLEPKFGHCIATRLFRTSIRHQSMHSRQTFKCYLPKTVASSVHVFRYFKSSIKLRGLETAEIGRRPKRGLKHDSRPILRFLNQKPVNHSTVYAWSARKDKCQVSADKYGTATQLGLAILGFLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKWKSTVRISVLTTVRALGRWSGSGSMAGCEIRPSWAKSRRLGAWVGLMTDPKPSQKGRRDASGRKGTTLDRWCPFASKSCLFVGQDLPPQKNVGRKKERKREFRPRERPSVVVLCSGDSDRLGTNSGQEWEIKTRRRAWRTQTWFTRYVMGRGSIRPNGRSMRPHHGSARFLSPIRLSLSALAEHNQTASLDTGRLDGRSDRTKTGRLGRTVGNDPKGNELSRVMSVQRYEIPKVTNIKRYEDQEVRMAKGCMFQTVSFGTGYDRSLWISLWLPSGLGLRLTRLIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGDDLDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNVWVDDARDELVIVYETVKKLCIGSHVSK >A09p021300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11444210:11448275:1 gene:A09p021300.1_BraROA transcript:A09p021300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F19C14.4 protein [Source:Projected from Arabidopsis thaliana (AT1G58350) UniProtKB/TrEMBL;Acc:Q9SLU9] MLRHLGWLFGLSRRRSRRAKTLDAKPYIDNRVKPVLMVDTVQEVAIYIHRFHNLDLFQQGWYQIKITMRWEDGDNTTRGIPSRVVQYEALESGSNGVWRIDDKDNSFFTQPFQIKYARQDVRLCMMISFTLPLQRYDGSATSAAILKFELMYAPAMDNASTKQLEALPAAIHEFRIPPKALTGLHSYCPVHFDTLHAVLVDVSVHISVLKSAAYRRPPSLSRVVSNSKSVSGSSAQSFKKALGLLASADKKMVLFVKALLGARDILLEEMTRLSEAIGKSIDLSEFVSDMNNVPLSQLPVAGSGQGKEQNSPLEKLPITFNLASDDWLHELSKDHLTRLFHLLGTQLHYLWNTFLGFHRDNNTKILEYLRDIWRKDRRAEWSIWMVYSKVEMPHHFINSGMSDIINHSAHKRASSVLKLTDPAQLAANRAELHRRSIAQMRINNRSIQDMHILGDPMRVPIIIIERVLNAPRRTLSDNSYLRHVDMLDSGLLNAVNDEGEKTKATSSQKSARELKIVVFGHHLDLRLVRNQWLMIDPKIEFLMSEANEEKTHGDFREMGQRLAQEVVSFLKRKKDRYARQGHLKSIKLSFVGHSIGNVIIRTAISDGLMEPFRKYLHTYLSLSGPHLGYLYSTNSLFNSGLWLLKKLKSTQVIHQLTLTDDPDLRQTFFYKLCKQKTLEHFKNIILLSSPQDGYVPYHSARIESCQPASFDSTKRGIAFLEMLNNCMDQLRGPAPEAPHQQRVFMRCDVNFDMTVYGRNLNSFIGRAAHIEFLESDIFARFIMWSFQDLFR >A06p042740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23001020:23002645:1 gene:A06p042740.1_BraROA transcript:A06p042740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABIL3 [Source:Projected from Arabidopsis thaliana (AT5G24310) UniProtKB/Swiss-Prot;Acc:Q6NMC6] MSAAATMHMPREGSNYDEISMQQSLLFSDSLKDLKNLRTQLYSAAEYFELSYTNDGDTQSVVETLKDYAIKALVNTVDHLGSVTYKVNDFVDEKVDQVTGTELRVACIEQRLRMCQEYMDHEGRSQQSLVINTPKFHKRYILPSAGEIKKGGNLAKLKSVESSIGEEADWNQFRNAVRTTIRETPPPPLLRKPVLPSPPPQRKTQRSATFSLSSISNTAPKKEQDKRAVSPHRFPLLRSGSVAIRPTSVSRPTTPSKNRTITPKRYPSEPRRSASVRIAFEKEAQKEPEQQQQPSKSKRLLKALLSRRKTKKDDTLYTYLDEY >A10p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9485668:9486806:-1 gene:A10p005880.1_BraROA transcript:A10p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCLILISLSIRYLRLLISNLLGAFCYMICITFLRGSGSDMNWVQRKIYLYNVTFGLYMLDWWERYLFNGLVVVLMWFVAYNGTRYFSELFKRHLT >A01p042620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25340484:25343864:1 gene:A01p042620.1_BraROA transcript:A01p042620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 10 [Source:Projected from Arabidopsis thaliana (AT3G23340) UniProtKB/Swiss-Prot;Acc:Q9LW62] MEHVIGGKFKLSRKIGSGSFGEIYIGSNVQTGEEVAVKLEPVKTKHPQLHYESKVYMLLQGGSGIPHLKWYGVEGEYNCMAIDLLGPSLEDLFNYCTRSFSLKTVLMLADQLINRVEYMHIRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISERKMLTPVELLCKSFPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQMGSSSRSKPNPKAALDTPGPSAEKSEMPSERKDLRERFSGAVEAFARRNVSSPGIRSSKEVLVSEKTRNESARAVMSSSRPGSSGELSENRSSKLFSSGTQKIQPGHESKPTARLGRNDDALRSFDLLTIGSGKRK >A06p023410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11743205:11743618:-1 gene:A06p023410.1_BraROA transcript:A06p023410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAEICFFPVSFICGLIRYFSGWNNVSKTADFKPSGLGTGWICVISLVIVAAIVFNENSDRIRQFLRQHGFVILSIALIFITLYLKGNFSGWNIVSKTAALKTNGLGTGLICVILVFVTVVYPLTQQQSTTSAISR >A06p037580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20378471:20381624:1 gene:A06p037580.1_BraROA transcript:A06p037580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSGNGERFCNPLRNCFTWNHLILQCFMVLTFVGNTSSSTQPDIEGGALLQLRDSLKDSSNRLRWTRDFVSPCFSWSYVTCRDQSVVALSLASNGFTGTLSPSITKLKFLVTLELQNNSLSGTLPDYLGNMINLQTLNLSMNSFNGSIPASWSQLSNLKHLDLSNNNLTGSIPTQFFSIPTFDFSGTHLTCGKSLNQPCSSSSRLPVTSSKKKLRNITLTATCVASVILFLGAMVMYHHHRRRRTKNDIFFDVAGEDDRKISFGQLKRFSLREVQLATDSFNESNLIGQGGFGKVYRGMLPDKTKVAVKRLADYFSPGGEAAFQREIQLISVAVHKNLLRLIGFCTTSSERILVYPYMENLSVAYRLRDLKAGEEGLDWPTRKRVAYGSAHGLEYLHEHCNPKIIHRDLKAANILLDNNFEPVLGDFGLAKLVDTSLTHVTTQVRGTMGHIAPEYLCTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEENILLLDHIKKLLREQRLRDIVDCNLTTYDSEEVETIVQVALLCTQGAPEDRPAMSEVVKMLQGTGSLAEKWIEWEQLEEVRNKEALLLPTLPATWDEEESTIDQESIRLSSAR >A09p043830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:35669086:35669325:1 gene:A09p043830.1_BraROA transcript:A09p043830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANADPFSMSTMLNSLQHIRFASTTEPIEEDYYHRIPPSRCIPVIVPPPKNDQHLINSFSGGFLTPHQELETRLQSMFD >A03p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4511571:4517249:1 gene:A03p011240.1_BraROA transcript:A03p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVGRPRGRPRKRTRPEDTNGVSSNRGKRLALEIKPSVPRSLLYRYVLKDFDESRVSLGKVVSYSAGLYRVEYEDGCVEDLKSCYLRRLIIEDSYFDDELRCRRDKLDEDILKEDERRLEVKNQVNGAEVSTCSTSSGSVAEEGGGEDRRDPDLETMSPLVPVSPVDLPCSSGTIGVPEEGVMHLLSVYGFLRSFSVQLYIYPFGLDEFVGALNFLGPSSLLDAVHVALMKALKGRLERLASEESVVASNCLRCIDWSLLDALTWPVYLVQYFSAMGHARGPQWSVFYEFAVKKEYYSLPVVMKLKILQILCDNVFDVAAVRAEIDTREESEVGYDPDGVTADLPENGPRRVHPRFAKTSACKEKELNGFVPVNHGISSMAESKSLSSRCTDGAPNGVSSDVDGNSDECRLCGMDGTLLCCDGCPLAYHSRCIGVLKMYIPDGPWYCPECTINKMGPTIAHKTSLRGAVCFGVDPHGRFFLGTCNHLLVLQIYADPDIKYYSVTDIPKVVTVLLSATNHRLEYLYICKEISQYWDLPGGVVAHLRAVETDLVSHIEKEGDEEVSDLSKPENASSSSRNNIQCASASGYVSLGRSSGTHGKNLLAGSTHKGLTFKPHAYINHYTNGELAASAATTLAVLMSEETHEPDQHKFSNAKKAASSNILLQVKAFSLVASTFFWPSPEKKEITRERCGWCHSCKLTSASRRGCMLNAAVTGATKSAVKIFTGLFPLKNGDGVLSSIAAYILYLEESLRGLIVGPFLCENLRKQWRKNIEATTCKTMKVLLLELEENICSIALSSDWLKLMDDWLIEHSIFQSVRVTVGTTQKRGPGKKRQKNQAEVTAEVSNDDSFTWWRGGKVSKVILLKAVLLKPTIKKAAWQGGVKKFPEFNYGDGSHIPKRSRRSIWRAAVENCKNISQLALQVRYLDMNIRWSELVRPEQTVQDVKGPETEASVFRNASISDKKIIDKKVRYGVAFGNQKHLPSRVMKNVIEVEKTEDGNEKFWFAEARVPLYLIKEYEESLRRVHVPFIKKQSERLSKLQRKQLKASQANIFSYLASRRDNTEKCSCASCHLDVLLRDATTCSSCQGFCHKDCTTMSTQHTAGNVETLVTCKRCYLARARSLITINHRHPTTPTVLINGQQQSAVTPVIKTQIKPLVKQLPSSNTGDKASGVKQVTPDSNMTPMSQHKTLSWGVIWRKKHSDDTGASFRRQNVVLAAQSDQPNPGPVCWLCKLPYNHGQTYIHCTSCDKWYHIEAINLEESKIHEVAGFKCCRCRRIRAPDCPYMDPKLKEERQKRNAFFQRQRHKKGNTRTDSDSEIMSEPRDSVPSTPSFPLEDAFVPKDLDVEWNVDGSAPGPQKLAVKRQVKREDTEGNNNLSYIDFSTHLESVPFVRPEMEPTLPVMECNASDSNNNNNNELMFDYQDMEFEPQTYFSLTELLTADDSGQCNGYGYDKYASGNTDNPNTQVETMGQWRAFLNDDTKPCQICMHVEPGADLTCQTCNITIHSHCSPWEEESTCTRGNWRCGPCREWM >A05p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5789668:5791557:-1 gene:A05p013300.1_BraROA transcript:A05p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 19 [Source:Projected from Arabidopsis thaliana (AT2G34060) UniProtKB/Swiss-Prot;Acc:O22959] MQTYIKLLVLAQHNLVFGILKGCDGSILIETKKGSKNLAEREAEENKELREEGFESIIKAKALVESHCPFRVSCSDILAIAARDFIHLAGGPYYQVKKGRWDGKRSTATNVPPNIPRSNSTVDQLIKLFASKGLTVEDLVVLSGSHTIGFAHCKSFVGRLYDFKGTKRPDPNINPKLLKELRMYCPFSGRSSRAALPLDATTPFAFDNGYYKGLGSNMGLLGSDQALFLDPRTKPIVLEMARDK >A05p008980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3707808:3709259:-1 gene:A05p008980.1_BraROA transcript:A05p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 53 [Source:Projected from Arabidopsis thaliana (AT2G38510) UniProtKB/Swiss-Prot;Acc:Q9ZVH5] MQVGEEVASLTKIACPIVMTSLLIFSRSIISMWFLSHLGEVELAGGALAMGFGNITGVSVLKGLSVGMDPICGQAFGAKRWTVLSHTFQKMLCLLIVVSIPIAVAWLNVEPIFLMLGQDPDITKVSKTYMVFFIPELLAQAMLHPLRTFLRTQGLTSPLTISAIVSILLHPLFSYVFVMRMRLGVKGVAVAMAFNTMNINVGLLVYTFFSDSLIKPWQGLALRSLFRGWWPLLSLAAPSAISVCLEYWWYEIMLFLCGLLGNPKASVSAMGILIQTTGILYVVPFAISSAIATRVGHALGGGQPTRAQCTTLIGLILAVAYGLSAAAFVTALRSVWGKMFTDEPEILVLISSALPILGLCEIGNSPQTAACGVLTGTARPKDGVRVNLCAFYIVGLPVAVTTTFGFKVGFCGLWYGLLAAQITCLVMMLCTLIRTDWTHQVKRAEELTSSATDRSHSEEETIHIEVEDGDDVGSNDLEIGLLQ >A01p024610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12237807:12240121:-1 gene:A01p024610.1_BraROA transcript:A01p024610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primary amine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G14940) UniProtKB/Swiss-Prot;Acc:O23349] MKIRILTLIILLQCVFNLGLHFHPLDPLTPQEINKTSFIVKKSHLGTLKDLTFHYLDLEEPNKTHVLQWLSSKKPPQPPRRRSLVVVRAGGQTHELIIDLTSGKIASSRIYTGHGFPSFTFIELFKASKLPLTFPSFKKSILDRSLNISEVSCIPFSVGWYGETITRREVKASCFYRDGSVNVFTRPIEGITITIDVDSMKVVKYSDRFIKPIPDKEGNDFRTKHKPFPFSCNVSDTGFKILGNKIKWANWKFHVGFTARAGITISTASVLDTRTKRFRRVMYRGHLSETFVPYMDPTYDWYFRTFMDIGEFGFGRSAVNLQPLIDCPQNAAFLDGYVAGPDGTAQQMSNVMCVFEKNGYGASFRHTEINVPGQVITSGEADISLVVRMVATLGNYDYIVDWEFKKNGAIRVGVDLTGVLEVKATSYTSNEQITENTYGTLVAKNTIAINHDHYLTYYLDLDIDGNGNSLVKAKLKTARVTDVHNKTSSPRKSYWTVVKETAKTEADGRVRLGSEPVELLIVNPQKKTKIGNTVGYRLIPEHLPVTSLLTDDDYPEIRASYTKYPVWVTAYNRSEKWAGGFYSDRSRGDDGLAVWSSRNREIENKDIVMWYNVGFHHIPYQEDFPVMPTLHGGFTLRPSNFFDNDPLIA >A09p079640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58553460:58555740:-1 gene:A09p079640.1_BraROA transcript:A09p079640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNKKKSRRILMFYLTLMSFSFLGLVVTFKPLFLLNPMINSPSIVEIRYSLPTEVNRNPRWFGLIKNHLQEKKIRVGLLNIEENERESYEATGTSILETVHVTLDPLPKNLTWESLFPVWIDEDHRWHTPTCPEVPLPRVEGSDADVDVVVVKVPCDGVREDKGLRDVFRLQVNLAAAKLAVESGRRNVDRTVYVVFIGSCGPMHEIFRCDERVRRVGEYWLYRPNLEKLKQKLVMPVGSCQIAPLPAQLDQEAWRRQKNETLTSRTTLSSSSGAQRVGYVTLLHSSEVYVCGAIALAQSIRQSGSTHDMILLHDKSITNASRVGLSRAGWKLRQVERIRSPFSKKDSYNEWNYSKLRVWQVTDYDKLVFIDADFIIAKNVDYLFSYPQLSAAGNDKVLFNSGVMVLEPSACLFKELMVKSFKIKSYNGGDQGFLNEYFVWWHRLSKHVNTMKYFSAESKNSQKRHLPDNLEGIHYLGLKPWLCYRDYDCNWDLKSRRVYASESVHEKWWKVYDKMPKKLRGYCGLTRKMEKNIEKWRKSAKFNGLPERHWRIQVRDPRKKNLLD >A03p001240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:634113:636037:1 gene:A03p001240.1_BraROA transcript:A03p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor-like protein DPB [Source:Projected from Arabidopsis thaliana (AT5G03415) UniProtKB/Swiss-Prot;Acc:Q9FNY2] MTTPPSNSIHNHHEDSPVKNPPSTTVSAQSVSSSGSMGSPSEQTTTVATTPASDDPASQLASGSGQKKKRRGQRASGPDKNGRGLRQFSLKVCEKVESKGRTTYNEVADELVAEFALPNNDDTSPDQQQYDEKNIRRRVYDALNVLMAMDIVSKYQKEILWRGLPRSSLSDIEELKAERLSLRSRIEKKTEYSQELEEQYVGLQNLIRRNEHLYSSGNAPNGGVTLPFILVQTRPNATVEVEISEDMQLVHFDFNTSTPFELHDDNFVLKTMKFCDQPPLNNGHNNNHEASHSFVPEENKEGLSTDPKLPQQVDTDQSHHQLHAQPQIIPTPVTINASAASNAPVTSPPLSGIIKSSVKTEN >A09p060150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49985221:49987956:-1 gene:A09p060150.1_BraROA transcript:A09p060150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASILLNDINNISNSIGTGGKYNEDGLGEHYRDKVSPCTDPVVHMSVSQAEKLLKKLEKDYQHTYENYLEARSNRYSSYIKRRHSNYRSGQAGNCIIYYKNLQEKLQSDYEKINNTEAIQRRTASNFCCMVVKAWPKKRGYYTSLRLYHKIGIFTYFEILQIIQFSYTQSIVPKSSSSKGIHDLLRSLKDTEKSRNKATASGELVDNQTSQDSLKTSIKLGKETGRDTMIDNGYEEKIKHLEKKCEWICGQWDEQKKYIIINFSHYQSKIPKSSSSKGIHDLLRRFKDTEKLKDKATSNGDNPATRGSLTISIKILTKAIKKLEKEVGKESMIGNGYEEMKRHLNKKNV >A10g501760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4822448:4822645:-1 gene:A10g501760.1_BraROA transcript:A10g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVFLWALFLIALTASYLSFQSFVDSGSSYLTASWGGIQWEKQVRTSAQIHRSGGISVLVSEIY >A06p054860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28751458:28753777:1 gene:A06p054860.1_BraROA transcript:A06p054860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine aminotransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46180) UniProtKB/Swiss-Prot;Acc:Q9FNK4] MAAATRRLIQRVSTTGARRSYGSLAESNSKAPSSSSSQRLMELESEFSAHNYHPVPVVFSRGNGSTIWDPEGKKYIDFLAAYSAVNQGHCHPKIIKALQEQVQKLTLSSRAFYNDKFPVFAERLTNMFGYEMVLPMNTGAEGVETALKVARKWGHEKKHIPKDEALIVSCCGCFHGRTLAVISMSCDNDATRGFGPLLPGNLKVDFGDADSLEKIFKEKGDKIAGFLFEPIQGEAGVVIPPAGYLKAVRELCTKHNVLMIADEVQSGLARSGKMLACDWEEIRPDMVILGKALGGGVIPVSAVLADKDVMLHIKPGQHGSTFGGNPLASAVAMASLDVIEEEKLVERSASLGEELRIQLNKIKKQFPDHIKEVRGRGLFNAVEFDSKSLSPVSAYDICLSLKERGVLAKPTHNTIVRLTPPLSISSDELREGSKALRDVLEVDLPKLQKINAGKTPVSHLTECDRCGRSLYA >A01p003790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1650563:1652127:-1 gene:A01p003790.1_BraROA transcript:A01p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVTVDGNTINLGLWDTAERVSHLCVCFGLDETGQEDYNRLRPLSYRGADVFLLAFSLVSKASYENVSKKWVPELRHYAPGVPIILVGTKLDLRDDKQFFVEHPGAVPISTAQVVLQPPKNKKRKKRKSQKGCSIL >A08p044720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24666340:24669179:-1 gene:A08p044720.1_BraROA transcript:A08p044720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSYTNLLDLASGNFPVMGREPRRRLPRVMTVPGNVSEFDDDQAYSVSSDNPSSVSSDRMIIVANRLPLKAERRNGSWSFTWDQDALYLQLKDGLPEDMEVLYVGSLSVDVESYEQDDVAQILLDKFKCVPTFLPPDLQSKFYDGFCKRQLWPLFHYMLPFTADHGTRFDRSLWEAYVATNKLFFQKVIEVINPDDDYVWIHDYHLMVLPTFLRRRFNRIRMGFFLHSPFPSSEIYRSLPVREEILKALLNSDLIGFHTFDYARHFLTCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGINMGRIQSVMRYSEEEGKVMELRKRYEGKTVLLGIDDMDIFKGINLKLLAMEQMLNQHSNWRGRAVLVQIVNPARGKGIDIDEIRGEIEGSCKRINESFGKPGYQPIVYIDTPVSVNEIIAYYHIAECVVVTAVRDGMNLTPYEYIVCRQGLLGSESDFNGPKKSMLVASEFIGCSPSLSGAIRVNPWNVEATGEALNEALSMRDPEKQLRHEKHFRYVSTHDVAFWSRSFLQDLERICVDHFKKRCWGMGISFGFRVVALDPNFRKLSIPCIVSDYKRAKSRAILLDYDGTLMPQNSINKAPSQEVLKFLNELCEDKKNSIFIVSGRGRESLGNWFSPCENIGIAAEHGYFLKMLK >A01p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3418303:3420478:1 gene:A01p006760.1_BraROA transcript:A01p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:Projected from Arabidopsis thaliana (AT4G31720) UniProtKB/TrEMBL;Acc:A0A178V2H6] MGLVILSRPISPNQESSRLLKKSNTWRCLRAGRWNSRGFRVSTGNSVLDSPIPSSPAKLRRKLSSSAFNCLVSAQFTLQLRSVHWLVGEMNHGQQSGEAKHEDDAALTEFLASLMDYTPTIPDDLVEHYLAKSGFQCPDVRLIRLVAVATQKFVADVASDALQHCKARPAPVVKDKKQQKDKRLVLTMEDLSKALREYGVNVKHPEYFADSPSTGMEPATRDE >A09p063370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:51477860:51480490:-1 gene:A09p063370.1_BraROA transcript:A09p063370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g57430, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57430) UniProtKB/Swiss-Prot;Acc:Q7Y211] MSSCLHFLLPPLSQPLPSSRQKPPYLLRASPTSAALAEVSNAVDGSPSKLISQPRSPEFWIDSLRSKVRSNLLREAVLTYIDMIVSGITPDNFAFPALLKAVADLRDADLGKQIHAHVYKFGYGADSVTVANTLVNLYRKCGDFGDVYKVFDRITERNQVSWNSLISSLCSFEKWEMALEAFRRMLDEDVEPSSFTLVSVAIACSNLNEGLLLGKQVHAFSLRKGELNSFMVNTLVAMYGKLGKLGSCKVLLGSFDGRDLVTWNTVLSSLCQNEQFLEALEYLREMVLNGVEPDGFTISSVLPVCSHLELLRTGKEMHAYALKNGSLDENSFVGSALVDMYCNCKRVVSARRVFDGIFDRKIGLWNAMIAGCAQNERDEEALSLFIEMEESAGLLANTTTMASVVPACVRSNAFSRKEAIHGFVVKRGLGEDRFVQNALMDMYSRLGNIDIAEMIFSKLEDKDLVTWNTMITGYVFSECHEDALLLLHKMQNFERKADLKPNSITLMTILPSCAALSALAKGKEIHAYSIKNNLATGVAVGSALVDMYAKCGCLHNARKVFDQIPIRNVITWNVIIMAYGMHGNGQDAIDLLKMMIVQKVKPNEVTFISVFAACSHSGMVDEGLRIFYNMQNEYGVEPSSDHYACVVDLLGRAGRVGEAYQLMNTMPLDFDKAGAWSSLLGACRIHNNLEIGEIAAQNLVRLEPDVASHYVLLANIYSSAGLWEKATEVRRKMREKGVRKEPGCSWIEHGDEVHKFIAGDSSHPQSEKLHGYLETLWEKMRKEGYVPDTSCVLHNVEEDEKEVLLCGHSEKLAIAFGILNTSPGTVIRVAKNLRVCNDCHQATKFISKIVDREIILRDVRRFHHFKNGTCSCGDYW >A01p057030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32349223:32354083:1 gene:A01p057030.1_BraROA transcript:A01p057030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLSSTAHTIHHANPSPRVGSNPIKTPLFNALSQLTGWNRSSQELSRRAFCSNQSDAAADPKSDASNPEVSDTKSSSAVVVSTTTTSLDDYQTVIALPLPKKPLFPGFYMPIYVKDRKLIEALQESMRRQTPYAGAFLLKDDEASTDSSSSSSTTCETENVLEKFKGKELLNRMHEVGTLAQISSIQGEQVILIGRRRLRITDMVSEDPLSVKVEHIKNKAYDKDDDVIKATYFEVMSTLRDVLKTTSLWRDQHIGDFNYQHLADFGAGISGANKHENQGVLEELDVHKRLELTLDLLKKEVEVNKIQASIAKTVEEKFSGERRQIVLKEQLKAIKKELGVETDSKSAFSEKFKERIEVNKDKIPKHVLKVIEEHLTKLQLLEPTSSEFDVTLNYLDWLTVLPWGNISDENFDVLKAEKILDEDHYGLSDVKERILEFIAVGSLRGTSQGKIICLSGPPGVGKTSIGRSIARALDRKFFRFSVGGLSDVAEINGHRRTYIGAMPGKLVQCLKTVGTENPIILIDEIDKLGKGHSGDPASALLEVMDPEQNANFRDHYLDVTIDLSKVLFVCTANVIDTIPKPLLDRMEVISLAGYITDEKLHIARDFLVKNTCRDCGIKPEQVGVSDAALLSLIENYCREAGVRIRQRASTVVPAVSVDVTDTEDTKPLAKTSAEGSTVLTDESATKTEQSEVVAEKVMIEESNLADYLGKPVFHSDKIYEKTPVGVVMGLAWTSMGGSTLYIETTFVEEVERKGRLRITGQLGSVMKESAQIAHTVARRIMLEKEPDNLFLANSKLHLHVPEGATPKDGPSAGCTMITSLLSLAMKKPVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRCQVKMIIFPEANRKDFDELPENVKEGLDVHFVDEYEQIFELAFGYDH >SC329g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000173.1:45:1201:-1 gene:SC329g500010.1_BraROA transcript:SC329g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTRFWHLLAVPKAPFPFPAVPACPRLFPYPFQRIDQMVIDLSNSFSLTELPGGKHRLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARARSLRSDRAGGALGRYVATELWLELGRYVATERDDRSVAT >A07g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5664187:5666336:-1 gene:A07g502730.1_BraROA transcript:A07g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRMTHRHATEDELQQLRDNGFAVWLRSYVNDGLARGFVSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A04g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19653834:19656516:-1 gene:A04g507780.1_BraROA transcript:A04g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTISVKINCFFGGVFKKDDEDGKLNYVNGLLEQFEVDGDAVYDEVMKKMVKVVSKGKIWYKLPYEDISKKKDLSENGEVNKRKINANGRWYKELDVFIEEAEPDDVTATEAEQHVVDGDEIDGDTQVEQHVILGDENDAEAEQEAVDGEELDAPAEQEVEEEESEDEYQASNESENEDDFDRNFQEVLEMFRNENYEDEILDEDEIYPDTENSSDDEEEQAERMAKRGELDGVFSLRQTFHTGEDFKKQVIKYILKTRRNVVYDRWEKTKIGAKCSGKGCRWRIYCSVETPIKQWMVKVYVNTHTCHPTGKCKLIKSPAIAEVMLEKIRKEPEMSAPMIREEFRDKFNILISPEQAKIARRIVLDKLQAECNEHFARIKDYEMELLRGLRPVNGPKFWKLSGAERIEASPYKRPPGRPKGKARIKGILESPKKNPTKVSRKGRIGHCSLCGGERHNSRKCPHEPEESRAKRRRANTEQQAQEQEAEDVSSTAPRATQT >A03g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10639866:10642073:-1 gene:A03g503270.1_BraROA transcript:A03g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSRKTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSFELPGSRLNFLEVTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVYN >A06p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3638689:3642267:1 gene:A06p010190.1_BraROA transcript:A06p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMEQNILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVLEAVDRVRELVGPNLSKKLEFNLGDLRNKEDIEKLFSKQRFDAVIHFAALKGVGESVGIPRRYYDNNLVGTINLYETMSKWCFHHLRPFMENLRKFHACVEDFQLKALNPYGRTKLFLEEIARDIHRAEPEWRIVLLRYFNPVGAHESGRIGEDPKGIPNNLMPYIQQVAVGRQPELNVYGHDYPTKDGSAVRDYIHVMDLADGHIAALRKLFTDPKIGCTAYNLGTGRGTSVLEMVAAFEKASGKKIPMKVCPRRPGDSTVVYASTEKAEKELGWKAKYGVDEMCRDQWNWTNNNPWGGCRVLDPSSRSLSCMKGKGVIRGCSSRSELESKKEEECGLLSLPDDVALECLSHRFVAESRDLRAMRYRLGKLEPYMYVYMHMYPEDPCPRWFVLHPPATSAQTGAPFELLASGSTSRILLREDGLGVYCIGGLMNDGKPTSEVTFFSAIDHLVYRATPMKMARSGASAKENNKTRLAYEAKLAEANALVASVTGISSDVESKIYSAESKLAEATRKSSELEMRLKEVETRERVLQQERLSFAKE >A04p031530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18559135:18562274:-1 gene:A04p031530.1_BraROA transcript:A04p031530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNLTDSDWESSSDSGSSEQEEVEFSYGGRAQDIFSNLEETIGKIDDFLSFERGFMYGDIVRSAAEPSGQSGRVINVDMSVNLESIHGKVVKEVDTKRLQRLRSISLCDYVINGPWLGRVDKIVERVSVTLDDGSNYEVLVRNQDQLVAVPPNMLEDSQYTYYPGQRVQVKLAHAPRSTSWLCGNWRENQALGTVCSVEAGLVYVEWVASIIMGGGDRNLTAPQALQSPESLTLLPSVSHASWQLGDWCILPGASHCDVEELQKGYSRNMQSSSSDELFVITKTKMKVDVLWQDGGCSMGVDSQQLLPVGAVNAHDFWPEQFVVEKETCNSKRWGVVKVVNAKEQTVKVQWRTLAEKEASEQMEEVVSAYELLEHPDFGFCYSDVVFSVATETKHQLTDSDYGGAYCLSSIGVVAGFRNGVVEVKWANGSTSEVAPYEIWRMERSEFSNSSTISSAGSVQDLSQKIAQSDESSSNHQETGLVNLYSVGESCNNNVLESSSFFLPKAAIGFITNLASSLFGSHGSTSAISSHSLCNDTEDQSDSEVLVQKATEPHDISESKSDEVDMDMMVNLPIVGKGVNNTQDSTLVSFKQFDMITDCSDHHFFSPGKELAQSPVTKSWVKKVQQEWSNLEADLPNTIYVRVYEERMDLIRAALVGAPGTPYHDGLFFFDIMLPPQYPHEPPHFEVLVKDHFTHRAQHVLAACKAYMEGVPVGSSSKLQESSTTNSTGFKIMLTKLYPKLVEAFSEIGVDCSQGVALAP >A05p042030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25440492:25443915:1 gene:A05p042030.1_BraROA transcript:A05p042030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G17510) UniProtKB/Swiss-Prot;Acc:Q8RWC9] MVREQAEEKEEVRKGMRLGKYELGRTLGEGNFGKVKFAKDTVSGQPFAVKIIDKSRIADLNFSLQIKREIRTLKMLKHPNIVRLHEVLASKTKINMVMELVTGGELFDKIICKGDPPIPRWLSPGARTMIKKMLDPNPVTRITVAGIKASEWFKHEYIPSVPDDDDDEEDIDTDDDAFSVQDVGSEDGKGSDSPTIINAFQLIGMSSFLDLSGFFEQENVSERRIRFTSNSSAKDLLEKIENSVTEMGFSVQKKNAKLKVKQEEHNQKGQVGLSVTAEVFEIKPSLNVVELRKSYGDSSLYRQLYERLLKEVGTSSPEQELVT >A04p039120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22138818:22143209:1 gene:A04p039120.1_BraROA transcript:A04p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVAMSTSENKVEIVDRGHKEEEEKEEGKGGFLDKVKDFIHDIGEKIEGTIGFGKPTADVSAIHIPKINLERADIVVDVLVKNPNPVPIPLIDIDYLVESDGRKLVSGLIPDAGTIKAHGEETVKIPLTLIYDDIKSTYNDINPGMIIPYRIKVDLIVDVPVLGRLTLPLEKRGEIPIPKKPNVDVEKIKFQKFGMEETVAILHVRLENMNDFDLGLNDLDCEVWLCDVSIGKAEISDSIKLDKNGSGLVNVPMTFRPKDFGSALWDMIRGKGTGYTIKGNVDVDTPFGAMKLPIIKEGGETRLKKEDDDDDDDEITPMSAIVALCRARASSSYSLFNSVVRPAFRSFSTEQNIGGRDERKDAPHGHELNDRKFHATRYDAHRNNHQQHRDESKTRRLASPSCRNKRQDPKRAIFREVFDKASTGDTRWINSSCRATIGEVSKSSHGMNKKLRKAGDRKSKSSGSRGRTSVTPWGKPCKGGYKSSSVKKKKKRLAAREAKMM >A02p012070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5273946:5275445:1 gene:A02p012070.1_BraROA transcript:A02p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREVSTMIKHGFIADPSLSFSPSRTTTSPAKLSSSSPPPPSPPPPPPPPPSNESTHSNPTLFDMMSEEHHREQPPRRKSHARVAQIMAEFKNGVVYDSPSDVKLTVVGRDGYRVTMDVHRKVLSEKSRFFMAKMSSRREKGVSHMVEISECDDVEIYVETVVLMYCDDLKKKLVGENVVKVLALLKVSAAISFDEGVVSCLEHLEAAPWSEDEEEVVVSCLDELHLPEEEVGLILQRVSSEPTRDRTDDIFLKLLTGVLQAKDDKARREMKVVIFKLVREEADHEVSKETLYGLCHRCLTSLVLCLSEVTTQMNDPGKDRGALMGEIAREADNMLWMVDILIEKKMCDEFAKLWADQKELAELHAKIPTMYRHEISKITAQICVGIGRGRILVNRETRFAVLNTWLEALYEDFGWMRRLSSRSLDRKLVEDGLSQTILTLSLRQQQVILMKWFDRFLSKGDDCPNVQRAFEVWWRRAFIRQVIAEPDASQLQMTLYD >A09p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6904567:6907342:1 gene:A09p013450.1_BraROA transcript:A09p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIRSNSLKRLFSFKRRSFDSDSENSTPHSKCVEGFQEAEQFQRPKWKCFSFEEIHDATNGFSSENLVGRGGFAEVYKGILSKSGEEIAVKRITRGGREDERREKEFLMEIGTIGHVSHPNVLSLLGCCIDNGLYLVFIFSSRGSVASLLHDLNQAPLEWETRYKIAIGTAKGLHYLHKGCQRRIIHRDIKSSNVLLTQDFEPQISDFGLAKWLPSQWSHHSIAPIEGTFGHLAPEYYTHGIVDEKTDVFAFGVFLLELISGKKPVDASHQSLHSWAKTIIKDGEIEKLMDPRIGENFDIQQLHRIAFAASLCIRSSSPCRPSMIEVLEVLQGEDIEKEKWKMEEEEEVKEEFWGYEDLEDCECDSSISLSPPGSFSNRSSSNRSR >A02p059210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35390625:35392540:1 gene:A02p059210.1_BraROA transcript:A02p059210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLKVANQEDNVGKKAEPTTREDDHRTLSDMDQWFYLFPAEDSHLHHRDNALTPPSSSLMPSFSREMEMSAIVSALTHVVSGNVPPHQFVGGGEGTSNSSSSSGQKRRREVEEGGGGGKSVKAANTLTVDQYFSGGNSSSRVREASSNMSGSGPTYEYTTTTTTNTETSSLNGDQQPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDNAESAARAYDEAALRFRGNKAKLNFPENVKLVRPATTQSVQQTTAVQTPTQFRNSTSTSTLLPLRPAVDSQPLIQPYNLSYSEMARHQQQFQQHNQQTMDLYDQMSFPLRFGYTGDSMMQSTSSSSSHSRPLFSPAAVQPSETGYLQDIQWSPDKTSNHNYNNSSSS >A07p033220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18167984:18169596:1 gene:A07p033220.1_BraROA transcript:A07p033220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPASKPSRKRSNPDSVTSSATGPVPSASSKKKSSKEVDETDKLFDKYANASSGVIDPEGIEKLCSSLEVPHTDIRILMLAWRMKAERQGYFTKAEWRIGLKALKVDTIGKLKKALPELEKEVREPLNFRDFYAYAFQYCLTEDKQKFLDIETICQLLDMVLGSTFRAQVDYLIDYLKIQKDYKVINMDQWRGFYRFCNEISFPDMTNYNLELAWPSIHDDFFEWLREKQA >A03p043120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18005442:18007289:-1 gene:A03p043120.1_BraROA transcript:A03p043120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDQQETTTTVDGSGTTTMRATTAATTTTTTFSSQRLRINPNNEHRPESYEDLKLDFPSAVYSSLEKYLPQQILVSTRDDKVKFMTDIMLRHLPHGERSRAQRHSVYRQKIITNYQPLHKELYTLAPMQCFVPSFIKAINESSEKSFRSIISEPSPGVFVFDMLQPSFCEMMLAEVENFEKWVGETKFRIMRPNTMNKYGAVLDDFGLDSMLDKLMESFIRPMTKVFFSDVGGATLDSHHGFVVEYGKDRDLDLGFHVDDSEVTLNVCLGNQFVGGELFFRGTRCERHVNTTTKPDEIYDYSHVPGQAVLHRGRHRHGARATTSGHRVNMLLWCRSSVFRELKSHQKEFSSWCGECFCEKKEEKGRALDALRQKLVKAVSAPQA >A08g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11216825:11217108:1 gene:A08g506520.1_BraROA transcript:A08g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGSIDVERGRSVDDEGQVSVDGWVRESVNVKAAASIDVFFLVASGTAGHAPEKTRKIFLSQNGGKEKEKN >A03p061320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26657152:26658838:1 gene:A03p061320.1_BraROA transcript:A03p061320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETEATGVTDDYSPATSISADATIGAVDSVEEAIGGAEKWVDGFQRTVKESTDSAIRSLRENSTSQFRSIQDLIPHALTQYKTYENAFFSKVTEELVNAKEHPAAAAGIGLAAGLVLMRGPRRFLFRRTLGRFQSEEAQFLRAEKHVQELNMSVDLMKKESSKLLERSALAEKDMKRGLSELMDSGNNIHRLAKSVQKVECEAADLIHGLRQIPGREAIKLRAEVASMTSLLRQKRIALNKRIMGMSELGVPV >A05p048880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28506716:28510007:-1 gene:A05p048880.1_BraROA transcript:A05p048880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIDPLNQKTESMDRRFEDFNDGCISFESCNDHDQEDEHLLRRRRRSDLEGDDIAESSAARRRQSRILSRWAARQAQEMITTIERRNRESELIAIAGLHAVSTLDSSFLREETHSPPLRRERPRTQASEIFQMWRELEDEHVHNRASERPRQRSANASVSGNNESLRGSSESENGYRSSSREQSPDLGDVESERVRRSVRDYVSNVRRRDDNRRGELVGDTERERVRVIRERMQMTSQQRGARASRRDDQQRSLGSQDDRPQVQRVGEGLAVENEEGQREDTRRDLWRLRGRHAFVDLLMRIEQERQRELQGLLDHRAVSDFSHRNRIQLLLRRRFLRNEPRTEEVRAPSMAASELRQLRDRHTVSGLREGVSDRLENNTNGDSINTSRINRTIVNTTEDSQRLNESLSSSRQGNGTPLLPNDLGRSGSNRTNVGRNWEEHTTSQESVWPEVFRTDERRNLLEATLSQLSERDNGNAVINEQENSVDDLHQDGTGNSDATVLIEDQSVWPEDSSRHSDGNWPETRFGALRSRRVVPMRRVNRLHLPNDDNVYNIELRELLSRRNVSNLLRSGFRENLDQLIQSYVERRVDWEAISDSQEHHTEQQRFLQDEDQLDGINQTLPTPPVPPPQPIRHHTNYARHSLHRSELGWEMMNDLRGEMARLQQGMSHMQRNLETCMDMQSELQRSVRQEVSAALNRSPGDQGLGAGTSEDGSRWGHVRNGTCCVCCDTDIDALLYRCGHMCTCSNCGNELVRTGGKCPLCRAPILEVIRAYTVA >A10g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21026577:21027366:1 gene:A10g506950.1_BraROA transcript:A10g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVPISTSDTMYQRFEEGKIYHIRYFNLLPNNQRYRLTDQPYIINIKETTTITLIQENIAPILSYIFRPQRYTQLISLASETNFLPDVVGRICLIQGSDLYNHYTDSKIIIGLRLDISKLVCLTLWDKEASNFRELNRISTRKKQVVIITSIIPRIHEEKLSLTATPGTRFYFNNEIDIIQRFQKRNKLLS >A08p032600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19694085:19702973:-1 gene:A08p032600.1_BraROA transcript:A08p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 1 [Source:Projected from Arabidopsis thaliana (AT1G30400) UniProtKB/Swiss-Prot;Acc:Q9C8G9] MGFELLDWYCKPLPNGVWSKMVDYAFGAYTPCAIDSFVLGTSHLVLLILCLYRVWLTAKDNKVDRFCLRSKWYSYLLALLAAYSTAEPLFRLVMRISILDLDGAGFPPYEAFMLVLEAFAWGSALVMTVFETKTYIHELRWYVRFAVVHALVGDMVLLNLVLSVKEYYGSFKLYIYISEVAVQVAFGTLLFVYFPNLDPYPGYTPLRTETLEDYEYEELPGGEQICPERHARIFFSWLNPLMTLGSKRPLTEKDVWHLDTWDRTETLMRSFQRSWEKELEKPKPWLLRALNNSLGGRFWWGGFWKIGNDCSQFVGPLLLNELLKSMQLNEPAWIGYIYAISIFVGVMFGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRKKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVALVLLYQQLGVASLIGALFLVLMFPIQTVIISKTQKLTKEGLQRTDKRIGLMNEILAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKAQLLSAFNMFILNSIPVLVTVVSFGVFSLLGGELTPARAFTSLSLFSVLRFPLFMLPNIITQIVNANVSLKRLEEVLSTEERVLLPNPPIEPGQPAISIRNGCFSWDSKADRSTLSNINLDVPIGSLVAVVGSTGEGKTSLISAMLGELPALSDATVTLRGSVAYVPQVSWIFNATVRDNILFGAPFDQEKYERVIDVTALRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVCILDDPLSALDAHVGQQVFEKCIKRELGNKTRVLVTNQLHFLSQVDKILLVHEGTVKEEGTYEELSHSGPLFQRLMENAGKVEEYSEANSEAEADQTSVKPVENGNTNNLQKDGIETKKSKEGTSVLVKREERETGVVSWKVLKRYRDALGGGWVVMMLLVCYVLTQVFRVSSSTWLSEWTDAGTPKSHGPLFYNIIYAVFVTLTNSYWLIMVSLYAAKKMHDAMLGSILRAPMVFFQTNPLGRIINRFAKDTGDIDRTVAVFVNMFMGSIAQLLSTVILIGIVSTLSLWAIMPLLVVFYGAYLYYQNTSREIKRMDSVSRSPVYAQFGEALNGLSSIRAYKAYDRMAEINGRSMDNNIRFTLVNMGANRWLGIRLEFLGGLMVWLTASLAVMQNGKAENQQAFASTMGLLLSYALSITSSLTAVLRLASLAENSLNSVERVGNYIELPSEAPLVVESNRPPPGWPSSGSIKFEDVVLRYRPELPPVLHGVSFFISPMDKVGIVGRTGAGKSSLLNALFRIVEVEKGRILIDECDIGKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFGEHNDADLWESLERAHLKDTIRRNPLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDVLIQKTIREEFKSCTMLIIAHRLNTIIDCDKVLVLDSGKVQEFSTPENLLSNGESSFSKMVQSTGAANAEYLRSIVLENKRNRDANGDDSSQPLEEGQRKWRASSRWAAAAQFALAVSLTSSHNDLQSLEIEDDDSILKRTKDAVVTLRGVLEGKHDKEIEESLTQNDMSRERWWPSVYKMIEGLAVMSRLAKNRMQHQDYNLEGRSFDWDNVEM >A09g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19780126:19781185:1 gene:A09g506700.1_BraROA transcript:A09g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCGRFAQVSSSDDEEDSLAKTRSQGQNSRRPEETMEGKMMKREKVSLNEESDGEEEETERKRKKDDEETPPEELEPDDAKPVGEPVKVTGRGTHYWQFEYGGNRYELEDSVLLHPEDNSLEPYVAIIKDITKKQDGRMIILGQWFYRQEDAKKKDGGNWVVNDTHELFYSFHRDEVPAESVIERCVVNFVPAHKQLPRGTGFIVREVYDTVAKKLWKLTDMDYAVAIQREIDLFVDKSLARLGDLPDL >A08p003550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2008921:2011541:1 gene:A08p003550.1_BraROA transcript:A08p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDVGFNKETPCDYPRNPLCIFLSDFRSVLKFDELGLEIARIALPAALALTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSITTSFVAEEDAYSSQENTVQDHKECIETGINNTKEETQELIPEINKDESKISSSIFSVSKSPVKKRNIPSASSALIIGAILGLLQAAFLISTARPLLSFMGVKHDSPMLGPAQRYLSLRSLGAPAVLLSLATQGVFRGFKDTTTPLYATVIGDATNIILDPIFIFVFRLGVTGAATAHVLSQYLMCGILLWKLMGQVDIFNLSTKHLQFSRFMKNGFLLLMRVIAVTFCVTLSASLAAREGSISMAAFQVCLQVWLATSLLADGFAVAGQAILASAFAKKDYKRAAATASRVLQLGLVLGFLLAIILGAGLHFGARLFTKDDKVLHLISIGLPVINFNFTLNQDYVLDIVAKIRSWFLKFVAGTQPINALAFVFDGVNFGASDFGYAAASLVMVAIVSILCLVLLSSTHGFIGLWFGLTIYMSLRAAVGFWRIGTATGPWSFLRR >A05p026000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13876866:13877531:1 gene:A05p026000.1_BraROA transcript:A05p026000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESNICMKQVKLANKVQTFSCEEDHVDLIDENGFREQIFTDQQRDMSFTRGYKAAQTHEGRKLDRAEELEELQSDPDEKEAEWEIDEIDNSLKVSIDTPSIRILNCLSLLNQ >A09p007750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4048235:4050411:-1 gene:A09p007750.1_BraROA transcript:A09p007750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYILIVFSLKYSMERILERYDRYLYSDKQLVGRDISQSENWVLEHAKLKARVEVLEKNKRNFMGEDLDSLSIKELQSLEHQLDAAIKSIRSRKNQAMFESISALQKKDKALQDHNNTLLKKIKEKEKEKNTGQQEGQLIQCSNNSSVLQPQYCVTASRDGLVERVVGENGGASSLIEPNSLLPAWMLRSNE >A10g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22294598:22298244:-1 gene:A10g507280.1_BraROA transcript:A10g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKIFFWNVRGLNDPDKHIPFCQWLASHQPSFGIILESHIKDHNLSHLMSKLCRGWNYTSNHSMDDDGRIIILWKDSVAVRVLQQSRQAVTCEVKLLGSPPFIYTAIYASNESAERTDLWVELLNTCQTFSLDTVPWMMGVVNEAWTQAGSIAWNLTAFCWKQKQIKRELKTLNRENFSQIQKRVSEANQLLQDVQILGPTPLLPLGIFSTREWFQELSPFQSHHTARVSWAVVTKPKEEGGLGIKDLSTWNKACCLKLIWLLFFQSGSIWVAWFVAEVLQGNLSNLWTTMPNRRFSWQVNKLLKLSPLLYQWIKLRVSNGINCRFWTDNWSSLGSMRQYLQLGNTSLGIPEQATLASLYTNGGWQIPPARSETQVQVHAILTTLHLNEEDDYYVWEIEGKTTTKYSIGQVYDHLRIHEASVPWHQTVWNKGGIPRHSFLSWLLVLNRCPTRDRIIGWGLPTSPLCLLCNLQCESRNHLFFECPYTWSIWSVLFRRCGFQPERDWTRVLEQLQRQNRRSPIGILSLLCWQSCLYWSWSERNTRLHQNVFRSPDSLIKRIDRQIRDRILSLRSANLSSSSVMMQQWLS >A09p046270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40415050:40417524:1 gene:A09p046270.1_BraROA transcript:A09p046270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEWSFGWNHDQTTELTVPQLVFPDHLDILRTIVEPDLSWVVKNPKTDMHSYPADHPDSPACVLLLTALDTAISEGPFAFSDHIQHPVKVILQFMAYQVVPEPLWLKQRNQRKRQNKFDDDEKMFEKNSKKVLNKNEFSGPLNAFDIGAYMTLVLEALCQYMKGQMKNKTVVIKQTKTDFLPFKSWTKLKVSNVLIMILLLITLFLLMFHLFEEGVNDAPQSMEPARHGDQDVLNDSTEVRPSDSTNQTNRAVYRIDLHSSKMEFRLKPRSDDQTDRTTTRFSRPPDILRTIVEPDLSWIVKNPKTDMHSHPANHPDSPACALLLTALDTTSSDEPRQ >A08p044440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24565789:24568185:-1 gene:A08p044440.1_BraROA transcript:A08p044440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIKNLVKISPTISSVGNLFRNHESCTLSSRFCTALQHQQRQSETVQAAEVVQHRYEGLAPTKEGEKPRLLVLGSGWAGCRLMKGIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSYYFLANCSRLDSQNHEVHCETVTDGLSTLKPWKFKIAYDKLVLACGAEASTFGINGVLENAIFLREVHHAQEIRRKLLLNLMLSEVPGLGGEEKKRLLHCVVVGGGPTGVEFSGELSDFIMKDVRQRYAHVKDDIRVTLIEARDILSSFDDGLRQYAIKQLNKSGVKLVRGIVKEVKPQRLILDDGTEVPYGLLVWSTGVGPSSFVRSLDLPKDPGGRIGIDEWMRVPSVQDVFAIGDCSGYLESTGKSTLPALAQVAEREGKYLANLLNVMGKAGGGRALSAKETELGEPFVYKHLGSMATIGRYKALVDLRESKQGKGISMGGFLSFFIWRSAYLTRVVSWRNRFYVFINWITTFVFGRDTSRL >A04p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13887477:13890101:-1 gene:A04p022890.1_BraROA transcript:A04p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene epoxidase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22830) UniProtKB/Swiss-Prot;Acc:O81000] MKLAVIQNLPRLGLTTTTASLGSPFLSPRLSLSTRRLRNTSLTTGAAFPRRKKDGHDRASLISAGTVIMAPAIEFDQFILATFFASLFALVLVYVLRRSSRNRKDDANSNRSKMNRGLVAVSSQNDPVSTEEDDSGIDVIIVGAGVAGAALAHTLGKAIIAPNEGRRVHVIERDLSEQDRIVGELLQPGGYLKLIELGLEDCVKEIDAQRVLGYALFKDGKHTKLSYPLEAFDSDVSGRSFHNGRFVQRMRDKAATLSNVRLEQGTVTSLLEENGTVKGVQYKTKEGNERSLYAPLTVVCDGCFSNLRRSLCKPNVLLSSLSGGLVDVPSTFVGLVLENCELPFANHGHVVLADPSPILLYPISSSELRCLVDVPGQKLPPIANGEMAKYLKTQVAPQIPPEVREAFIAAVEKGNIRTMPNRSMPADPVPTPGALLLGDSFNMRHPLTGGGMTVALADIVVLRDLLRPIHSLKDKEALSKYIESFYTLRKPVASTINTLAGALYKVFLASSDEARTEMREACFDYLSLGGVCSSGPVALLSGLNPRPLSLVLHFFAVAIYAVGRLMLPFPSIKSFWLGARVISSASGIIFPIIKAEGVRQMFFPRSIAALYRTPPLQ >A03p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16185011:16186684:1 gene:A03p038820.1_BraROA transcript:A03p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELFRRIMREDDSNWFAGWEKELPSQLQLPSSQANSRVVWSQQLHERFLDAVEHLGINHAVPKRIMELKTSKEAGSWRKVITFFLHKTESYEKLKSIEFNLHHICIQKFSMTLKLSLD >A10g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21904162:21905781:-1 gene:A10g507140.1_BraROA transcript:A10g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g510880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33286956:33289348:-1 gene:A09g510880.1_BraROA transcript:A09g510880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDWGSDDPFYGLPHEDPKDLIKRLEELASANKHDEISAGHIICKIFPYCLSRDAFSWFSMLQPRSLTCWEDIKCAFLGKFFSEAVVTRSRRFDYMVDKMIEDHEKGIITSLSQISISQIMDFAYSEQDEDFEIPTIHVKQPDIQVHHADKREQSKVEEADTKDPTSASIYSSNSESIDIRTSETIDTNIFHRSIPSTISDATTVYVMTGRPKAIRDYNSPEDAYAKRSALRRSALQNTVLELHTAYISLVGQHSFHGFPHEDRTFHLETFVDMASTIICNGVSEDYYLCKLFSYSLAGEAAYWFRKYAFLNKFLYDAAANLEIEIRSMLEYMVEDDEQHESGKLSTVEVADISDMSSSSIDTLTITSIITPTSSCPQDIANSTQESIDESSCDLTSGVDKVTLKDFLELEEWFWQKLDDQPASGKGLENSLKADDIDRYKPDEIDRHPPYDIDLQSPSNIDQHTPDCIARYLPDCIDRHPCLDELSGYMIEPELVGRKEHTSGASHLAVPENLRPPLCKEEDVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVFNDKHHVEASQKGLRFRDEGPAKAPSNNISKSELSDTKTSSSIDTDQIPSIDTLRVSEQNELEVCQHPFNGGTTTRSDKSGGKKWKNWKKRKRINEGSQLSLIPHFSVPGNPEWDYTSLWERRGEIGRSGNEPKEVLNYH >A06p010230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3647649:3649845:-1 gene:A06p010230.1_BraROA transcript:A06p010230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALFIWVSLLVITVTHWVYSWRNPKCKGKLPPGSMGLPLLGETIQFFKPNTTSDIPPFIKERVKKYGPIFKTNLVGRPVIVSADPDLSYFVFQQEGRRFQSWYPDTFTNIFGKNNVGSLHGFMYKYLKSMVLTLFGYDGLKKMLPQVELTANKRLELWSNQESVELKDATASMIFDLTAKKLISHDPDESSENLRANFVAFIQGLISFPFDIPGTAYHKCLKVNEYPRVITLRIDMGRENAMRMLRNMLQERRKKPRKNPSDFFDYVIEEIQKEGTILTEEIALDLMFVLLFASFETTSLALTLAIKFLSDDPKVLRRLTEEHETILRNREDANSGLTWEEYKSMTYTFQFINETARLANIVPAIFRKALIDIKYKDYTIPAGWAVMVCPPAVHLNPKKYEDPLVFNPSRWEESNANDASKHFMAFGGGMRFCVGTDFTKLQMAVFLHSLVTKYRWEEIKGGNIVRTPGLQFPNGYHVRLHKKNILENE >A05p037860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21518009:21526333:-1 gene:A05p037860.1_BraROA transcript:A05p037860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQDTDNGGKTCCSYCFSFIFTAGLTSLFLWLSLRPDKPKCSIEYFYVPALNKSLDSHSRLNTTLNFMVRFANPNRDLGIYYDDVHLSFSSNNNSSLATYTVPRFYQGHKKKAKKPGHTMPLNNQTVSRAVLPNGSAVFRMDLNTQVRFKIVFWKTKRYRIEVGADVEVNRDGVKAHKKGIKMKKSDSSAKLRSYFSVFVVMNLIVGTPLEGKQRKLQFVNKLWTDPDDSRHVQESAEIVVKLVGFCESGNISKEMFELNFAMPSDKKWNIEELYMTWNTTGRETEEATQFVNKKLWNDTDDSRHVQENCKRLGVKLVGSLKVRRSWRQLQSSDTDVGAVRDAMLMAVSHHAAAFTDGLQDVNYCHRVTVVGFKLLPERMLFFNGCLEFTVSTKCPYLRHLWRSLWSLRLETEGRAPSCWWRFGFWLTILAGLIILIVWINLRPTGSDIPKCSIEYFYVPALNKTLNSRLNTTLNFMVRLANPNSEQGIYYDDVHLSFSSVTYVFIANYTVPRFYQGRKKKAKKWGQVVPLNNQTVLEAVLPNGLASFRINLKTQVRYKNSFWKTRRFGVDVGAEVGVNGDGVKANKKGIRLKKSDSSSSSSLRSYFPVCVLTNLLVFFAIC >A05g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29649500:29662477:1 gene:A05g509900.1_BraROA transcript:A05g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAESSWERLVNAALQRDRAGGASAGGGGPGQGSLMEYVPSSLANNRDIDAILRAADELQDEDPSIARILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVVKQKLAKREVGTIDRSQDIKRLQDFYRLYREKNNVDTLKEDEKQLRESGVFTKEMERKTLRRKRVFATLKVLGNVLEQVAKEIPDELKHVIDSDAAMSEDTIAYNIIPLDAPVTTNATTSFPEVQAAVAALKYFPGLPKLPADFPIPATRNADMLDFLHYIFGFQKDSVSNQREHIVLLLANEQSRLTIPEEREPKLDDAAVRKVFLKSLDNYIKWCDYLCIQPAWSNLETISGEKRLLFLSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQVARPAESCMPVESRGSDDGVSFLDHVIAPLYGVVSAEAFNNDNGRAPHSAWRNYDDFNEYFWSLHSFELGWPWRTSSSFFQKPIPRKKYDLQTGRAKHRGKTSFVEHRTFLHLYHSFHRLWIFLAMMFQALAIIAFNKNDLYSRKTLREILSLGPTFVVMKFSESVLDVIMMYGAYSTTRRLAVSRIFLRFIWFSLASVVVSFLYVKALQEDSNPNSNSVMFKFYVILIAIYGGVQFFLSILMRFPTCHNIANKCDRWPVIRFFKWMRQERHYVGRGMYEKTSDFIKYLLFWVVVLSAKFSFAYFLQIKPLVGPTRMIVKQDNIQYSWHDLVSRNNYNALTVASLWAPVVAIYLLDIHIFYTLVSAFLGFLLGARDRLGEIRSLEAIHKQFEEFPGAFMRALHVPITNRTSDPSHQAADKNKVDAAHFAPFWNQIIKCLREEDYITDFEMDLLLMPKNSGRLQLVQWPLFLLSSKILLAKEIAAESNTQEEIIERIERDDYMKYAVEEVYHTLKLVLMETLEAEGRMWVERIYEDIQASIKDRKIHHDFQLNKLSLVITRVTALLGILKENETPEHAKGAIKALQDLYDVMRLDILTFNMRGQYETWNILTQAWNEGRLFTKLKWPKDPELKALVKRLYSLFTIKDSAAHVPRNLEARRRLQFFTNSLFMDVPPPKSVDKMLSFSVFTPYYSEVVLYSMAELTKRNEDGISILFYLQKIYPDEWKNFLARIGQDENALEGDLRNERDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERKAGRDGESTPFGNDATDSEGFELSPEARAQADLKFTYVVTCQIYGRQKEDQKPEAADIALLMQRLQANLFRSTVRNEALRIAYIDVVDTPKEGKSHTEYYSKLVKADISGKDKEIYSIRLPGDPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFDPNRGHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAKPLKIRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMMSFYFTTVGFYFCTMLTVLTVYIFLYGRLYLALSGVGATIRERAILLDDTALSAALNAQFLFQIGVFTAVPMILGFILEQGFLQAIVSFTTMQFQLCTIFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVKHIKFSENYRLYSRSHFIKAMEVILLLVVYLSYGNDEAGAVSYILLTVSSWFLAVSWLFAPYLFNPAGFEWQKVVEDFKEWTNWLFYRGGIGVKGAESWEAWWEEEISHIRTLSGRIVETILSLRFFIFQYGIVYKLNLQGSDTSIAVYGWSWAAFAMLIVLFKVFTFSQKVSVNFQLVLRFVQGVSLLVALAGIVVAIVLTDLSVTDIFASILAFIPTGWGILSIACAWKPVIKRLGMWKSVRSLARLFDAGMGMLIFLPVALCSWFPFVSTFQTRMMFNQAFSRGLEISLILAGNNPNSGL >A06p040700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22013112:22014099:-1 gene:A06p040700.1_BraROA transcript:A06p040700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKKKEKEMRILMVYVCQIHNLLPSKCISNLMICAVCSGLDNSGKTTIVLKMNGEDTSVISPTLGFNIKTIIYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLAGSSLLILANKQDIQGALTPEEIGKVLNLESMDKSRHWKIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >A05g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21155068:21157777:-1 gene:A05g507480.1_BraROA transcript:A05g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDNSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRFRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04p021060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12856320:12857682:-1 gene:A04p021060.1_BraROA transcript:A04p021060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21140) UniProtKB/Swiss-Prot;Acc:Q9SKP9] MRIRPGRGGGAFCLLFVLVLCSAVRSLGRDVDVVGYAESNKKIKSAHAYSGLRVTIECKAAETKDHFVTRGSGEVDETGKFSLNIPHDDMVGEDGNLKEACYAQLHSASGNPCPANDGLEAAKIVTLSKDGEKHVLGIKQNLKFSPELCFSKFLWDMPKFPLPPPLKLPPFPKIKKPCPPKIKLPPFLPIYKSPVVIPKKPCPPKIAHKPSVPIYKPPVPIYKPPVPIYKPPVVIPKKPCPPLPKPIYKPPVHIYKPPVVIPKKPCPPKAPVHYKPIYKPPVPIYKPPVVIPKKPCPPKAKVPIYKPPVPIYKPIYKPPVVIPKKPCTPLPKLPPFPPKYIPHPKFGKWPHFPSHP >A10p041000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22519401:22521389:-1 gene:A10p041000.1_BraROA transcript:A10p041000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFQGVKRVVDLCAAPGSWSQVLSRQLYLPAKSSAESKEGDVPLIVAIDLQPMSPIEGVIQVQGDITNARTAQLVIIHFDGSKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYSQLKLFFPTVTFAKPKSSRNSSIEAFAVCENYSPPEGFHPRDLHRLLEKVGSPSGGSHLDCSSGWLEGPNKVYIPFLACGDLTGYDSDRSYPLPKEADGSSYQSMDPVQPPIAPPYKRALELKKASAQSIRDA >A04p037250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21285467:21286788:1 gene:A04p037250.1_BraROA transcript:A04p037250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerases IV and V subunit 5B [Source:Projected from Arabidopsis thaliana (AT2G41340) UniProtKB/Swiss-Prot;Acc:Q9ZVB9] MEGKGKELAVGSGLSKSLDESRVDSHSYYLARRTTMEMLRDRGYDISNEDINLTLQEFRSLYGDRPNVDRLRISAQHCSDSSKKIAVVFCGSGIVKVNAIREIAADVLGRENLTGLILVLQSDITNQALKAVELFSFKVELFHLTELLVNITKHVLRPKHRVLNDQEKESLFKKFSIEEQQLPKLLKKDPSAKYYGLEKGQVVEVTYKGEGSESDHVSYRCVW >A09g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18539432:18541704:1 gene:A09g506180.1_BraROA transcript:A09g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFHYMILIFHSFKGRSINFRYPLERFWICTFFRSGFGCADFSDLEDFLNNLPVSHLKYNALDDFKKSCGLPGSLLTKSSSISSGVQTCLCRGMIYNSFTTYMEVVSKFFLTNKDGRLPCKSSINAHLKVNCKINLCIDQKTSIEITCLSHISLFQAPKISNKSDPPKNRKLQWLYKP >A06p024730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15328888:15334330:-1 gene:A06p024730.1_BraROA transcript:A06p024730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTALSAASPVESILDKEDFTLEELLDEEDIIQECRALNSRLINFLRERAQVEQLLRFIVEESPEDADSKRAFKFPFISSEVLTCEIDVILKTLVEEEELMDLLFSFLEPNRSHSVMLAGYFSKVVICLMLRKTVPLMNYVKAHQNVFQQLVDLIGITSIMEVLVRLVGADDHVYPNHGDVMQWLADSNLLEMIVDKLSPSNSLEVHGNAAETLCTIAQNAPSPLATKLSSSSFVARIFGHAFGDPQSKSSLVHTLSVCISLLSPRRSLVSSPFMYSFRGQQIFESPISVNPETIATMLPRLGDFVALLNVTSDEKVLPTSYGQLRPPLGSQRLKIVEFIAVLLKTRSEATGKVLATSGAVRRVLDLFFEYPYNNALHHQVESIIVSCLESKYEEMIDHLLRECDLIGKILKIEKQPILSGDNQIPKWPAAISTFLTVSSVTGLISFAFMQPTIPAAGKQAPRVGNIGHISRISNKLVQLSTNSNPIKTLLEEHNEWGEWEANTLHDRNTVENVYRWVCGRPTALHDRTRDSDDDEVHDRDYDLAGLANNLNQFRYNMQENNGAEEEHGSNDRDEEDVYFDDESAEVVVSSLRLGDEQANNLFANSNWFTFQGDELGENTGAGGIPSEEAMEDVSLDETSGNGDEEDCLITESKNPFVATASTSEAVSVDSIPGGIEIDEDVVSDESSPERVERGDASSPTAQVKDPFPEVDVKMPDVRVPNGSSSSEGEISPRSPPVPSLFEKDVEFVGVEPEGTERAMDQALKEGIVGEAGPMKRSSTTASPGKESSDENMQQEYNDTNYWKIDQEVAVVE >A06p009920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3555426:3556373:-1 gene:A06p009920.1_BraROA transcript:A06p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHHYETNPHFVQFSQDHHPGGPSSSWTSPDHHQNSQTHPVPPIGPKIKTRVRHQTEPPEPIHEPPSSRPLPLRPEEPLPPRSGRPLLLSPEDQQRPPHHGGYKPEPTPWWTAQTRPAAHQPGSKRTEPMKLTATVCCAILLIILILSGLILLLVYLSNRPNSPYFDISAATLNTANLDMGYSLNGDLAVVVNFTNPSMKSNVDFSYIMFELFFYNTLIATEHIEPFIVPKGMSMFTSFHLVSSQVPIEMTQSQELQLQLGNGPVLLNLRGTFHARSDLGSFMRYSYWLHTRCSISLNSPPSGYIRARRCITRR >A03p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1122119:1124879:-1 gene:A03p002440.1_BraROA transcript:A03p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPACPLSHLHIYSLYPFLSIIIMAFSFSFTALALLISSLAAAFLLLFRRTRYLRMGLPPGSLGFPLIGETLQLIKAYKTEDPEPFIDERVTRYGSVFTTHLFGEPTVFSADAETNRFVLQNEGKLFECAYPASISNLMGKHSLIRMEGSLHKRMHSFTMSFANASAMKDHLMVDIDRLVRFNLGSWSSRVLLMEESKKMTFDVAVKQLTSFDPGEWSENLRKEYHLVIEGFFSLSHPLFSTTYRKAIKARRKVAEALTAVIMERREEEKEGAERKTDMLAALIAADDGFSDEEIVDFFVAILVDAYETTPTIMTLAVKFLTETPLALAQLKEEHEKIRAMKSDSESLEWSDYKSMTFTQCVVNETLRVANVIGGVFRRAMTDVEINGYKIPKGWKVFLSFRGVHLDPNNFNDARTFNPWRWQSNSVTTSPSKVFTPFGGGPRICPGYELARVAISVFLHHLVTSFSWVHEEKDKMVFFPTTRTQKRYPITVKRRGGGLSST >A09p082210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59583557:59591147:-1 gene:A09p082210.1_BraROA transcript:A09p082210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSNDPANSIDDVDIDQGNETDESVIDVSGQSLELSLPGHNTFDPIKGLYFFRNAFNLIPKSIGELGRLKKLKFFGNEIDLFPAELGNLVALEYLQVKISSPGFGDGLAWDKLKGLKELELTKVPKRSSALTLLSEISGLRSLTRLSVCHFSIRYIPSEIGCLKSLEYLDLSFNKIKSLPKEISYLTSLMFLKYNRLQSCCSIPAWIQCDLGGNYEEMGVDTCSSIVEMDVYEETPFENNIISVPQGESLIFISFASELCACSHRNSLSMSTVVSSNGRCVSVRKSSKRWKRKHHYLQQRTRQDRLNNNKKWKSEVPPVRLNLKMYKVKETRKQEMNVSQNTDKDSVGSICLDDNDKLLEDAEIGDPVITSEDEESSLKADLVSENSPSVENQSTSEKDNKECCEIKSSSPSSGDADYSSSTERKKPNHSTKRCSDKYLDNPKGSKCHKPSPDIANLSHKYSSNSFCSTEDSLPDGFFDAGRDRPFMPLSKYEEILPLDSREVILLDRSKDEVLDAITLSARALVARMKILNCPPADVDQVSINSLQVASYLALFVSDHFGGSDRTAIIERTRKVALSGTNYQKPFVCTCVTGNQDNLAAQDVNFSDVCEKSLRSIKSKRNSVVVPLGKLQFGICRHRALLMKFLCDRMEPPVPCELVRGYLDFTPHAWNIVPVKRGDSWVRMVVDACRPHDIREDTDQEYFCRYIPLNRLNESICKRAKLEPGCSFPSLSTSEGVERANSSLIRCKLGSTEAAAKVRTLEVNGASVDDIRTFEYTCLGEVRILGALKHDCIVELYGHELSSKWIASEDGNGHRRVLQSAILMEHIKGGSLKGHIEKLSEAGKHHVPMDLALSIARDISGALVQLHSKDIIHRDIKSENVLIHLNSQKANGEEPIVKLCDFDRAVPLRSHLHGCCIAHVGIHPPNVCVGTPRWMAPEVFRAMHEHNFYGLEVDIWSFGCLIFELLTLQIPYFDSSELQIQESLQKGKRPKLPEELEKLASETEEEESANKLREELDLTESDLDTMRFLIDVFHCCTMESPSDRLSAEDLHEMILSWTKSNSPTGTFTSSQSSQAFEA >A01p042890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25171930:25174423:1 gene:A01p042890.1_BraROA transcript:A01p042890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFSTKKMMFQQLLTSVLLLHLLISSSSGALVNSSENGVCISKRGRPYELEGKLPKPGDLNLCNASHDKTCWSASLALQNLATHGEASKDCFYFYDLLECSICHPDVGVQSERLRICASFCDRVFEACSDAYFSTSDASNQVIVPCGASNGIICVKVSKWGTNGTSFCEAVGFTVDQTADVSACYGSSISSFGPAVKSLIKTENVGWFQDLKKLVREMTLVQQFSWVVTLIVLGKMLFNRWRYQQQMRAMIQRDARRLIRYMNGRISLKAKSHKIALKGWVNSSENVGVCVSKGGRSHQPYELEGKLPESADLEFKDLNMCSMFHEKTCCSVSQMFSASSGSKHV >A07p047620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25543597:25545515:1 gene:A07p047620.1_BraROA transcript:A07p047620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSETLAALKRAYADTILNTTKEAAARVLSSEKKTRMYQQEIVTVKDEAITTLLRLKQMYDSKVKETEEMSLKQQQKVEELEAQLGEAEDIVGELRMELRALHDELNKVTNRQPSYLKGDHQEVSCRKASDAAVSSSQERSGAVPVEQSGSVVANGITNQSLARINSIKRCSSKDSMDRCHHTLPSILTKRREVEGCTQMIHAVDKKSMVNGDGKDPMVSQNTSPKEHEQKRKSVIGATEARKEEKEILASSEETPVLAASKNRCIKYTFKRKRKKEASSNLEGDSSFEESRNVKQKTGEKDDGYLESLKPSFTGESSRDSLCVAQVARQLVPFSKKTSFAAELVNQ >A06p023180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11822151:11826507:-1 gene:A06p023180.1_BraROA transcript:A06p023180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucan phosphorylase 2, cytosolic [Source:Projected from Arabidopsis thaliana (AT3G46970) UniProtKB/Swiss-Prot;Acc:Q9SD76] MANANGKAATGVPDKVSEKANPEANEATEIAGNIIYHAKYSPHFSPLKFGPEQALYATAESLRDRLIQLWNETYLHFHKVDPKQTYYLSMEYLQGRALTNAIGNLDLKGPYADALRKLGYELEEIAEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRHGLFKQLITKKGQEEVAEDWLEKFSPWEIVRHDVVFPVRFFGHVQINPDGSRKWVGGDVVQALAYDVPIPGFKTKNTISLRLWEAKARAEDLDLFQFNEGEYELAAQLHSRAQQICTVLYPGDATENGKLLRLKQQFFLCSASLQDIISRFNERSTGEGSRKWSEFPSKVAVQMNDTHPTLAIPELMRLLMDDNGLGWDEAWDVTSKTVAYTNHTVLPEALEKWSQSLMRKLLPRHMEIIEEIDKRFVQTIRSTRVDLEDKLSSLSILDHNPQKPVVRMANLCVVSSHTVNGVAQLHSDILKDELFADYVSIWPNKFQNKTNGITPRRWLRFCSPELSDIITKWLKTDKWITDLDLLTGLRQFADNEELQSEWASAKEANKQRLAQYIERVTGVSIDTNSLFDIQVKRIHEYKRQLMNILGVIYRFKKLKEMKPEERKKTTPRTVMIGGKAFATYTNAKRIVKLVNDVGDVVNSDPEVNEYLKVVFVPNYNVTVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADQVPRLRKEREDGLFKPDPRFEEAKQFAKSGVFGTYDYGPLLDSLEGNTGYGRGDYFLVGYDFASYMDAQAKVDEAYKDRKRWLKMSIMSTAGSGKFSSDRTIAQYAKEIWNIEACPVP >A02p003030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1273916:1275786:1 gene:A02p003030.1_BraROA transcript:A02p003030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQVARLLGSLSRRCSSTSSEAIPPTLSSFTQSRSFASDPPPPAAVFVDKNTRVMCQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPAPFAAAAIMEGIEAELDLIVCITEGIPQHDMVRVKHALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKESGTDKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLNDAGVKVVESPAKIGAAMYDLFKERGLLKQ >SC183g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000052.1:171775:172694:1 gene:SC183g500030.1_BraROA transcript:SC183g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLILHGSVHGSVHGQPRKGQHADMYGQHADMSSVYGSVHGSVHGHTRISPRTVCVLTDRHGRPACADGRPVCTEQTAHVGQNHTEQSTGRASMLICVLTDDHGRPVCAHGRPVCTDGRPVCADGRPVCTDGHTDTHGRPAGADGRPVCADGRPRTSSVY >A05g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15037293:15037756:-1 gene:A05g505440.1_BraROA transcript:A05g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSYEDDKEHKRYSDMLFFVADSDNGTLLRCPCGGQIVIHVCKAGKDIGKKYFNDGLHRKKEWDEAIEEETKKLTRKVDDHELKIRSLYSIEDRLSRLKEDGKKNAQEIKELKYFLKNCYPNEF >A09p049950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44057327:44059265:1 gene:A09p049950.1_BraROA transcript:A09p049950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTIVRSVFISESRRASAASRCFFFPPSLSASVPVHSLFPAPKSLSFCGFASVPDRLPRLNCTLNNDQSEQGPPQEAVLKAISEVSKTDGRVGKTTNVIIGGTVADDSAQDWLELDQKVNTYPTDRGFTAIGTGGDDFVHAMVVAVESVIERQIPQDCVKQTLSSKGKYVSVNIGPIRVVSSEQVQAVYNAMRRDERMKYFL >A08p041830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23559587:23560952:-1 gene:A08p041830.1_BraROA transcript:A08p041830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLWQLGQSITRRLAQSDKKPLSPRRYFASGADLKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIIDSTVNCRVNGSLFDVAHMCGLSLKGKDCVPFLETLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDEHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGQFQILDINGSTCFLTRTGYTGEDGFEISVPSEHAVDLAKAILEKSDGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLTWAIGKRRRAEGGFLGADVILKQLQDGPTIRRVGFFSSGPPARSHSEVHDENGNKIGEITSGGFSPNLKRNIAMGYVKSGQHKNGTKVKILVRGKPYEGNITKMPFVATKYYKPT >SC132g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:15078:16193:-1 gene:SC132g500020.1_BraROA transcript:SC132g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITVNCSCDTEQGHEDTMMGSHPGSRVTACSVRCSIFEYLMAMMAGDLTLGREGTSLASDLLTENLENVFKVRVPYDISPCPNELTIGYCFFSGDQKYSENLRSTIEEHQPCHFRSTMIGVSQYHSLKKQQPLNPERLREHAKEGTDAISYEPDVEKTLRRNTSSNRTEITHSLICFMVILA >A09g517210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50506757:50508628:-1 gene:A09g517210.1_BraROA transcript:A09g517210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILKPSKSVSDPLIHIQFLSGSFGNKIQLKVPCLHVFLDKVLTVTQEAFWKLGKRLLSSLNDLMGIPVIQNSYSPLMELAKQYEEEQESASATKKLHQKRQFITI >A06p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6999135:6999847:1 gene:A06p015590.1_BraROA transcript:A06p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRFAVAVVFVLFSVSSSNAEPTPAMGGGGGGGGGDAHSMPCIQKPMPCQPYLHSVTPPPPASCCLPMKEIVEKDATCLCSVFNNVDMLKSLNLTKENALVLPKACGAKADISLCKSSNGKPLNTTPFTVGFSLMHARTTTPSTGTTTTPPASSTGSGSTGASSSSTAKPTNSAPGITFAGASFASAFMALATIFF >A02p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16781761:16784849:-1 gene:A02p031980.1_BraROA transcript:A02p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALQIHASGIKLPVSLIPSTTKQISRASKRVGRIRCSATTPNKKYTIAVLPGDGIGTEVTPVAVEALRLAGSLEGIDFEFKEMLVGGAAYEATGVPFPEETLKAALGVYANLRPITVFPQLLEASSLKRNVTEEVDFMIIRELAGGLYYGKPRGFGINEKGEETGFCTEIYSSSEVDRVARIAFDMAMERRGKVCSVDKATILESSRLWRKRVTIMSEEYPEVDLSHMLVDTSGMELIRYPKQFDVLLTTNVFGDILSDVSAMITGGIGMLPSACIGGPGPQLFEPVHGSAPDIEGEDVANPLAAVLTAVMLLRYGLKEEAVAKRIENAIFDTLNEGFRTRDIPTPGAKIVGCKKMGEEILKSLYVRVPSYQLN >A04p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:421122:422230:-1 gene:A04p000850.1_BraROA transcript:A04p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDISLPSGEIKEVELEYENLEKHCFHCLSLTHEGDACPTFPRKENRDGRETRLGISQNRTLERLEADRRRKDEKKGSRNLNWNPNENFAASTRWKNEEHKDSSWRSESNPPYDYGIRTDPHRRPVIAGAKSSTPQRLSHSQATHTPSPRPQREGPSIQLVTPVANQRSEDRGNHSSERRSALERLSHPKVRIPLLHDGFANSASGRLQEVDIQYLEDNLNHQTSGGSCRPSGSKDKRPIGEVDQQGFTDRSPIRSLSEDRIHVSLRLGQVNNPEPNLNGTGKGKGELTLRLKEADRQESN >A03p037310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15616676:15619272:-1 gene:A03p037310.1_BraROA transcript:A03p037310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISAARFGRLATNLAELVANFIVHLRICQKIKEDLKWPFGLLVNQVLELLREGRGGGKSAMSRCFPFPPPGYEKKISTDEAHSLIKQEKQKKEKKHKRDKEKKEGKEKKDKETSKDKHKERKDKKEKHKDKKDKDRHKEKSSTSEDKKAVFGVLPNTKDREQLVTNTVLNNGNGESKYIQDLARRIRDEEATDSQSVGKISLPNGVTENNLRKVDEKRSYAMEKRSETDQKGAEIMVKPSEKKDHAKKTELQEKNHRRESVSKSDLPLDSEGIKKNEPKHTTHRSSQEEKKQIGHDKPKYVEGGPRLKERDLDFRAHDLSKASVKNLTAGGVLGKRKDHETNGFLYENGSRPNKIQRPVASPITSVENGRKLGGCQTLPKPVTELQGPVCSNPLVKEEHRVNGFVDSQEPKDRPKKVKENGEASAKKRSHPDLKYLDQILNVPQREELREVDENEEQEWLFGLSGVKLLKKPKTDATTSLDEGLRVWNQALRLESADIVALPYVVRF >A03p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3920499:3922861:-1 gene:A03p009840.1_BraROA transcript:A03p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVTGSLSAVSSPAAVTFSAALRLSVAHTLAFSSPPPHPRCLSTFSRSLLGRRISSLRPRVPSMYPIRLSGFSALKARASFSSSGSSSPSREILVQHVLVKEGDSELFAELQKRILDGEDMSDLAAEYSICPSKKDGGILGWVKMGQMVPEFEEAAFKAEPNHVVRCKTQFGWHLLQVLSEREPVKDIQVEELHSKMQDPVFMEEAQLIDVREPDEIATASLPGFKVFPLRQFGTWAPDITSKLNPEKDTFVLCKVGGRSMQVANWLQSQGFKSVYNVAGGIQAYSLKVDPSIPTY >A02p057630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34650718:34653496:1 gene:A02p057630.1_BraROA transcript:A02p057630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIEMKKIENATSRQVTFSKRRNGLLKKAYELSVLCDAQVSLIVFSQRGRLYEFSNSDMWKTIERYRKYTKDHETNNHDSEIYVQRLKEEASHMITKIELLEFHKRKLLGQELASCSLEELQEIDSQLQRSLAKVRAKKAQLFREQLEKLKAKEKQLLEENVRLHQKTVLEPWRGSTDQQEKFRVIDLNLEVETDLVIGLPEKHCK >A01g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6532436:6535482:1 gene:A01g501800.1_BraROA transcript:A01g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFASESEALAHTLAEVLAEKLERKSNFFKENCVRNTCYVRMNRYPPCPKPSEVYGLMPHTDSDFLTILYQDQVGGLQLIKDNRWVAVKPNPRALIINIGDLFQAWSNGMYKSVEHRVMTNPTVERFSTAYFLCPSYDAVIECSGDCPSYRNFSFREFRQQVQADVKKLGYKVGLPSNQTELTEPPPLSRSNVPANPVSLPPYRSNIIILFDAMPVFLVIFISQSVQSPLRNINSPFGFHGAVNLSSDSNKRFVYDVGAYNSDDDKADQNGMGDFLNEMADMMNLCIVIPILIINILARVSICLLCNLRKPTAVVLLKRVTTEVKTLMGAVLIRRDAEADLNSALRPVEIIGNLASIEKAEKLINEVIAQSEGEGIPALFVRGAPEQIWIKVRNDGIRETIKNMHTKSRARIQVVLSIYDLK >A03p041330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17280671:17283549:-1 gene:A03p041330.1_BraROA transcript:A03p041330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILVRIQHREEATVKREQQWLIYDFSRHKWRANATHYLGQAYFNLTGGGVAKKKGYLLDLGKAPCQISTKMNKTARIDIPSSSSPAPASADGELNEDDIFSIDITHAPKHSPSSSPAQHPPARQLQRTKSCLKNVEASGILAALPEPSGNTCSCSLHFGLLHSFLHASSSSARIIPSAPKPPQERVPFTASLGGGGRYPQSAPLQVPLAMRSRHKKEFKLTDAVVDEEEEEDEGERLPPHEIVARSLAQSSLLSCSVLEGAGRTLKGRDLRQVRNAVFRRTGFID >A10p008920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5329963:5330166:1 gene:A10p008920.1_BraROA transcript:A10p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWIPAIVATVLFVVLTPGLLFQVPGNNNFVDFGKMETSGYSILLHSFIYFGLVTVFTVVIHFPGT >A07g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5345449:5351546:-1 gene:A07g502610.1_BraROA transcript:A07g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVQKQVELKMNCLNELLTKEMDKSKLLENQLADNLKKVRMLTTGTTTLDHLLTIGQCPSSNWGLGFQGATSKSAEETVFGSSNEKEIQTTTNLSSSVTSSLSLSPAHSISLTSNMQPTRRSSRLMKLKNVESTPMNPLDLSSGSSSGKRSRRRVSAGDTAPLPPNIALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPTRRPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLVDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQELHFNEPKATQIIAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRRGDYEQHVPHPGFEENDEQDEDEEDA >A02p011000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4760222:4761380:-1 gene:A02p011000.1_BraROA transcript:A02p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIYAVVARGTVVLTEFSAVTGNTGAVVRRILEKLSPETADERLCFSQDRYIFHILRSDGLTFLCMANDTFGRRLPFSYLEKIHMRFMKNYGGVAHSAPAYAMNDEFSRVLHQQMEFFSSNPSVHTLNRVRGEVSEIRSVMVDNIEKIMERGDRIELLVDKTATMQDSAFHFRKQSKRLRRALWMKNAKLLVMLTCVIVLVLYLIIASFCGGITLPSCRS >A09p073680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56104574:56105140:1 gene:A09p073680.1_BraROA transcript:A09p073680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIIVLKCVGHNPKDFELDEAVAVQFGCIKDLFHSDFDAESKIVVDVPMKFNSYIIGRILEFCSSRASFSSDRAYWEQDFFHPCREPNQRKRKELIAIMEASEYLGMESLVELTTQSLANYLKGKNPLTIRSLWKVEGDLTAEEEAKALAMGVAKLGH >A09p071730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55257662:55260609:-1 gene:A09p071730.1_BraROA transcript:A09p071730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1B [Source:Projected from Arabidopsis thaliana (AT2G21600) UniProtKB/Swiss-Prot;Acc:O48671] MKSCLELGNPNIQGTTKPLHFLTVVSAPKKEKVPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYYKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIYTPQNQIRFLRRGLFRITRERKLSFILTIQLPNHQMDGGGDVATPVQKRAHEAWRVYKYYLDKTTPHSTYRWIGTLVVFLIYCLRVFSIHGFYIISYGLGIYLLNLLIGFLSPLVDPELDASDGGASLPTRGSDEFKPFIRRLPEFKYSMTKAFCIAFLMTFFSVFDVPVFWPILLCYWVVLFVLTMRRQISHMIKHKYIPFSIGKQKYSGRRSGAGSGGSRAD >A10p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9201857:9203509:1 gene:A10p005170.1_BraROA transcript:A10p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKALNHVLHPYINYTNLRSLILISIVFLLRSLEHKLELVPMASNLDKGLIVSFGEMLIDFVPTQSGVSLAESPGFLKAPGGAPANVAIAVTRLGGRAAFVGKLGDDEFGHMLAGILRENGVEDKGINFDKGARTALAFVTLRSDGDREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLITEPCRSAHLKAMEVAKEAGALLSYDPNLREPLWSSPEEARKQIMSIWDKAEIIKVSDVELEFLTQNKTIDDESAMSLWHPNLKLLLVTLGEKGCRYYTKGFHGSVEAFDVNAVDTTGAGDSFIGAFLSQIVDDQTVLKEEERLRKVLRFANACGAITTTKKGAIPALPSECDALSLLKDK >A09p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21982135:21983930:1 gene:A09p042500.1_BraROA transcript:A09p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLPRPFISLRTTKIFSLPPRIFALRLSCSFSSDGSTGNPEKRSFSVATGDMFIHIASRLLKRSNQRSPPVDHGDMIGTVSEDVTEPGMIWEQSVKDVEADEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYITDTTPLAGASAGAIVCATITSGASMQEALEATKVLAHDCRRNGTAFRLGAVLRDSMEKSLPDDIHIRSNGRVRVAITQVLWKPRGLLVDQFDSKSDLIDAVIASSFIPGYLAPMPATMFRNRVCVDGGLTLFMPPTAAAKTVRVCAFSASNFELKGIGISPDCNPLNRATSRQLLNWALEPAEDEVLEMLFELGYADAAAWSEMNPAEELVYDDGTPSAQEIQAS >A09p032570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19734467:19740496:-1 gene:A09p032570.1_BraROA transcript:A09p032570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPTNPIKALCSLSLLTHSSHTGKNKVGEVSGRDNSASPMITYMQDNPSMFRLRASKFLLLSVLILSQFLSTQLLAQRSKSPWQTLTGEAPLVIARGGFSGLFPDSSVTAYSFVSATSVPDAVLWCDVQLTKDGVGICFPDVTMSKDSNIECAYPKRKNSYHLNGVPTQDWFTIDFTSKDLKRVSLIRGILSRSPAFDDNRNVISTVENIATQFKPAGFWLNVQHDAFYAQHNLSMSGFLLSVSKTVTIDYLSSPELKFFRDIGSRFGKTGPKFVFRFLEKDDVEHDAFYAQHNLSMSGFLLSVSKTVTIDYLSSPELKFFRDIGSRFGKTGPKFVFRFLEKDDVEVSTNQTYGSLMTNLTFIKTFASGVLVPKSYIWPVDKDQYLLPHTTFVQDAHKAGLQVYASGFANDFDLAYNYSYDPLAEYLAFMDNGNFSVDGVVSDFPLTASSAVDCFSHLGSNASSTQGDFFVISKNGASGDYPGCSDLAYSKAIEDGADIIDCAIQMSSDGIPFCLNSSNLLEGTNVFQSPFINRSSTVPEIAPHAGIYSFSLRWSEIKTLRLAMTHPYSLGFNLFRNPREKSSEKIVPLYDFLILANKSSSLVGVLISVENVAYLRGKQGIDVVKEVLYAFKEACCIDATKRVMIQSSNSPVLVDFKKQSPYEIVYQVEENVGDIVDSAIEEIKKFADAVVVSRRSVYSTSNFFLSGQTHLVEKLHKFNLPVYVKTFRNEFVSQPWDFFSDATVEINTYVAGAGVNGTITEFPLTAARYKRNRCLTRKDHPPYMPLVKPAGLLGFVNHDSPHPAFTADDVTEPPLPPVTFRTPPNIPGPLSTDEKAPNSKTRLTPPLLLPALAMALASLLLLSSLSIL >A08p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22949969:22950483:1 gene:A08p040160.1_BraROA transcript:A08p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQNEEFSVKADQNAGQAPQVKRDDWKVDNTTNSSQTSSGFLQQKGEEVKSMAQGASEAVKNKLGMNNDYKNKNPLDRKNPNNTTCPSTDIKLPFVQALMHLDSLECKTQ >A06p056020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29209825:29211872:-1 gene:A06p056020.1_BraROA transcript:A06p056020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSGVRSQRKNSRPLSPGDMDYIEPKKKSNLMGKLILLASLIILAFIVINKASSFTSPSVFSRREEGVTHVLVTGGAGYIGSHAALRLLKDKYRVTIVDNLSRGNLGAVKVLQRLFPQTGRLQFIYADLGDPAAVEKIFSENAFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLGVLEAMARHKVKKLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPGGRLGEAPRPELREQGRISGACFDAARGFIPGLQVKGTDYKTSDGTCIRDYIDVTDLVDAHVKALEKAQPHKVGIYNVGTGKGRSVKEFVEACKKATGVEIKVDLLPRRPGDYAEVYSDPTKILRDLNWTARFTNLQGSLQVAWRWQKIHPHGYASY >A04p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14166001:14170445:1 gene:A04p023370.1_BraROA transcript:A04p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase CLF [Source:Projected from Arabidopsis thaliana (AT2G23380) UniProtKB/Swiss-Prot;Acc:P93831] MASGASPSSSATRSDPHKHSTTSQAEKRAPASKEVAAVIDSLKKKLAADRCISIKKRIDENKKNVRGITQSTMRSCMERGGGCYKDGSDLLVKRQRDSPGMKSGVNASDADKSNNHSSFLEDGNASSTTMVQGSSVPVKISLRPIKMPDVKRLSPYTTWVFLDRNQRMTEDQSVVGRRRIYYDQTGGEALICSDSEEEAIDEEDEKRAFLEPEDFIIRMTLDQLGLSDSVLEELANFLSRSSSEIKVSATFPCLFLARYEVLMKEKEVSESGDNQAESSLLNKDMDGALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPAPWTPPVDENLTCGANCYKTLLKSNRIPANGTTEDKTGTSSDGAGTKTTSKFSGKLNRKKTKTFPSESASSNEKCTPETSDSENGVQQDTNPEKVSSLSKVKASGRRGGRKRNNNRVAERVSRRTQKRQKKSEASDTDSIATGSRSASDAKHKDNEDATSSSQKHVKSGSSKNSRKNDTPVDDSKNSVKGDDPVSQLNAVASEPCSDGSLRKEEFVGENVCPGGLSEDKSWRPLEKSLFQKGVEIFGMNSCMIARNLLCGLKSCWEVFQYMTCSENKASFFGGDALNPDGSSKFDINGNMTNNQVRRRSRFLRRRGKVRRLKYTWKSAAYHSIRKRSTDKKDQPCRQFNPCNCKTACGKECTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVIGGDGTLGVPSQRGDNYECRNMKLLLKQQQRFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEASGSKKDENVTPSVGRPKKVA >A06g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:616165:619245:1 gene:A06g500110.1_BraROA transcript:A06g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAWKVPGRSSVANPPEFVSGEPPPTLPPDPPDPSSPLSPANFPSLSQTALPTVFSGARRGNRKKYYQLPTTVSAEEKMQTATTCSETSQMELEQGNLTLPSGDTVSELRSESATVNPENPVQTFTILHPQDSSPIQTNTASSSSQPPLLKPLPAHQKPSATQVEPVKPFAAPPQTLVEKLRITADMSLRRLAPVTLTPTGRPRVVIPDSVFKKGAEIHQDFIICYFNGRSPPFQQIQSVFNYIQKILEKNVWYVDESMFHTAQWNTIHSAATPHLKAIQIWAHLTGVPLDLRYDEGLSLVAGLVGEPKETDEFTKNMVSLTVSHVKVEVDLTKPLPPVVEFERESGEVVEVQVNYPWIPPTCSHCHELGHIMRNCLSYSPPAPEKEKPAAPKQKESHKPSATTKNSQPPQSFFTPAKTNRKNHKIYQPVSKDPAAKASSSDPVFSEQSDSQLFGPDKPTPPPPSLPLAIVSNDLLIYLQQLPLRMNGSVIC >A08p023210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15284976:15286293:1 gene:A08p023210.1_BraROA transcript:A08p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNQKAHPDCLYSDNPFHECASACLEKIAQGHVKKKTKKQGLKALSLSGSFGRKKKESYPQPLSPLSARPYQNGGGSFGNAIFPKVHHAVVAAPVAVKNKTVSDTNKSFSSSSSDDFFKHKPEKKLSQIIPLSPEKMVDKSKPVSPKPGKQEGEIEAGVETTLFNFLNSPIPHGKESSDDDEEEEESNNEIGVELDLESVMSDTIVSVGKYRVRSGLAAILKAIIEKHGDIAQNCKLESGSMRSRYLECLCSLMQELKSTPVGKLTKVKVKEMLAVLRDLESVNIEVAWLRLVLEEFARSQEDVESEKERQESLLKAKREELEAQEADLVGMEEEVAKARLRIEETRDLVVEMESEWVRMEKMGFKIEKFKGKTFIDELL >SC177g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:224070:226511:-1 gene:SC177g500070.1_BraROA transcript:SC177g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLDMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A05g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24286788:24289272:-1 gene:A05g508350.1_BraROA transcript:A05g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQTCSSFVSRRYIVLTRLTYNIFDGTINQAPQLCSVFAARVGLAVFNILKAFSAAASKLETIRQGPQDWTERCMNCFLCPCDQVDAKNQKAPSETKPLIVHKLSHHLSFASFFCAVDNTVSMRFMKTGKHVRIGVDYSTATWLNTSLFIQCTNTTQVFESNSNLDAVSTSLSGSLVKEAGSLVNEVKWNTFGSSYAVLDSGLGSEMGDPSQRSLGGKAVKPTQNSISTSGAVNLRKVNRVRGHWSASKSD >SC140g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:178876:212599:1 gene:SC140g500070.1_BraROA transcript:SC140g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPIATYTPKQLKWDEITIPDQWKIEITQPPRNFEQNNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHQSTSEFNPRCLLQNDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETETSDYIKNQPHLQGRTLTPNPYSTLAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPFGSYRDPLPPRISSCHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKQVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRCLLQNDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKTVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESLWQDSSEKESNDNGGTHMGTHTRLPAQNNTVLFDSHCRAPTHRTGNHGTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGMIVLPSLMTFQRLLLNKIEEDIQLMLSKGLELKSFLGDVVGF >A10p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14023480:14023990:-1 gene:A10p020670.1_BraROA transcript:A10p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKSKGGEWTAKQHEGDLEASDSSTYDLQRKLVQTALSADSSGGVQSSFSLVSPTSAVFQVIIGGGSGGGFAAGGGAAAGGGGGGGESAAAAKEEEKKKEESEEEEGDFGFDLFG >A05p015120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6677888:6680388:1 gene:A05p015120.1_BraROA transcript:A05p015120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,3-galactosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT2G32430) UniProtKB/Swiss-Prot;Acc:Q9ZV71] MSPKIKGENLYSRSFVSRKWTFLLCFASFCFGIFFTDRMWNIPESNDMARPSVTEAERLKLISEGCGPKTLYQKEVKRDPQVLFGEVSKTHNAIQTLDKTISSLEMELAAARSAQESLTNGAPVSNDVEKNQSPGKKRRYLMVVGINTAFSSRKRRDSIRTTWMPQGEKRKKLEEEKGIIIRFVIGHSATAGGILDRSIEAEDKKHGDFMRLDHVEGYLELSGKTKTYFSTAFSMWDADFYIKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLSQKGVRYHEPEYWKFGENGNKYFRHATGQLYAISRDLASYISINQHVLHKYANEDVTLGAWFIGLDVTHIDDRRLCCGTPPDCEWKAQAGNICVASFDWTCSGICRSADRIKEVHRRCGEPENAIWKATF >A04p024220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14683257:14684597:1 gene:A04p024220.1_BraROA transcript:A04p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNAQLSQKESDIKMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPAQKWDVMVDLFFYREPEEAKQPEDEEAAPQADFGLPAPEYGAAGGEWTTAQIPDASWTGDAQQPISAAPAAASWNDDSGKFCQDLLSFSFSLQMDGTQLCHPHQQFQLLVGSNFVGPV >A06p026520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13854842:13856305:-1 gene:A06p026520.1_BraROA transcript:A06p026520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISDVIGQVISLRDVQSVQVSGKDKKRVEFRLLEINGQSMACCLWGKYAEQLEEHLQKSNDSNVVCLIRFAKIGFYKGDVQVTNAFDASLIQFDPEFPETLALKLRVSNDEYALALTDTKREKRLRKDHTVHWNNVEIKSISEIMMAPVEEGCKIICLIECMDTDWSWFYFGHNSCKSKALILKSKEGGILFSNEKPLFWCTSCHATVTSVAPKYKLHMFVKDDTSTCKLMMLDSVRKLIVGCEAEELWDGSYDEIEDPAYLPQPIRDLVGKSLCFGVTLGSENVANGSDVFLVSQVCSGDKILQIE >A08p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21419327:21421822:1 gene:A08p036540.1_BraROA transcript:A08p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLIFTFIILLCLLSSSFSSSASLHNATNESVTFRPQHEIQKLKLIREHLQKINKPAIKTIQSSDGDIIDCVPSHHQPAFDHPLLQGQRPMDPPEMPKGQSQENESHEDFQLWSLTGEFCPEGTIPIRRTTEQDMFRASSVLKFGRKIRRVRRDSSSNGHEHAVGYVSGSKYYGAKANVNVWTPHVSPELYGDTNPRFFTYWTSDAYQATGCYNLLCSGFIQTNNRIAIGAAISPVSSYKGGQFDISLLIWKDPKHGHWWLQFGSGTLVGYWPVSLFTHLMEHGNMVQFGGEIVNTQPDGSHTSTQMGSGHFAGEGFGKASYFRNLEVVDWDNTLIPISNLRVLADHPNCYDIRGGVNRVWGNYFYYGGPGKNSKCP >A07p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14172984:14174997:1 gene:A07p024620.1_BraROA transcript:A07p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSWLPEEDFKGLTDNFFDDLINHIDFPLEDIETTNEEGDWGADFKNLIPPPSDVLTSLSSEFTRGGTNGNGQRVVAQKKPVPTLKQQSGISSTVETSLPDVKVSKLFQSSSPVSVLENAAANGSAASFQNQNRAQRLAFPVKGIRSKRKRPTIPTFLSLHAFLSEMLEKKLALLDDDSDSEDTYNLSSESSAKKRRKKSNNNSNNSHCPEPFNADGTVRKCTHCETTNTPQWREGPRGPKTLCNACGVRFRSGRLLPEYRPASSPTFIPTVHSNSHRKIIEMRRKEEEGQFVTGMPRGFRYRG >A10g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5647596:5658826:1 gene:A10g502140.1_BraROA transcript:A10g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGGDGESGTAIPRQLRWISFWVTREALVVDASFSIVNPEEYNHWEEMSSCCCKLRGVVQRSENGWRSRLNTEPLRKNLLVTTDGGGGQPEDFPHVSVPSHGNGSIPPAQQGPISLQETLDLEPSERDIGELSQPPTTEIRSATPPPPSHSLVRSRSFSRRSTATRPHTQEEEPRVNPTRLHLRRTFAEATAVGHRPFAAGKPHRRRVSAAAGDFPLSHHRRWPPPATGLRRLAAGVHSYHFSTLLRDVWTTDAALVGGGSEKSGLATQIVWGVDVETFAFDAALEAGVQRQTVLATQLGVLLLKSVVCVFSIPIPHGVTHLLLTPPIPFPFQMPPRKRVVRTQAASASREGGDEHVPPPVPPIDQDALRQMVQDAARVAAQEVVRQMAAAQQGQQVPPVQAQGHQQPPIQPVPPVQVQGQQQPPIQHVPGIFQVPPPAPPVLPGQVPEVVPPILPGQVPEVDETLMRTINCPLRLCLNIAELYMHGDALVWSDGVRSMRDDDMTYEDFLIAFDKKYFPREALHQKRNAFEHLRQGTRSVREYEREFCQLRLFAGNHFDGEDLIRRFLDGMRVDLRGRCSMVTYTSLVDLVEKAVVQEACIAEEQKYSKAPPKTGRNTEPQKRTWDQSNIQCYNCGKIGHLSRNCRSNPMGARAGPAAPAALTAPVAQGVQAAYAPGACFTCGQFGHISRFCPTKGPGAKRQAITPRVYALGEANGAEPIADLEPSERDIGELSQPPTTEIRSATPPPSHSLGHQCVRDVETSPEQEFQPEIRRDAPTRAGGRTARESHAPPSPPDVRRSHRSRPPSVRRREAASPPRLRRRRDVWTSDAALVGGGSETSGLATQIVWGVDVETFAFDAALEGGGTETDCTSDAAYASCLFMLELNFHSGSSITQMPPRKRVVRTQAASASREGGDEHVPPPVPPIDQDALRQMVQDAARVAAQEVVRQMAAAQQGQQVPPVQAQGHQQPPIQPVPPVQVQGQQQPPIQHVPGIFQVPPPAPPVLPGQVPEVVPPILPGQVPEVDETLMRTINCPLRLCLNIAELYMHGDALVWSDGVRSMRDDDMTYEDFLIAFDKKYFPREALHQKRNAFEHLRQGTRSVREYEREFCQLRLFAGNHFDGEDLIRRFLDGMRVDLRGRCSMVTYTSLVDLVEKAVVQEACIAEEQKYSKAPPKTGRNTEPQKRTWDQSNIQCYNCGKIGHLSRNCRSNPMGARAGPAAPAALTAPVAQGVQAAYAPGACFTCGQFGHISRFCPTKGPGAKRQAITPRVYALGEANGAEPIAGMYLYHTRMFF >A06p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3728967:3730080:1 gene:A06p010360.1_BraROA transcript:A06p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVSKEEVVQKLKDDGDFDRLRVNIIRRLKDNVTPLCCAQYIRVALGPRNHESIDRQEIGEELRNKMISLVKESTALNRPGAQNMKPRQLSDAIFEQVGSKMLSQLSDGLWGIIRSEDGMKSEIRETVQSVYATLSNTGGVQEGPSTREAERGKQKQEVIQGAVVVNKGEAACSSSSNRVNYYTDNNSDEEDPELPPGFG >A03g503710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12708817:12709473:1 gene:A03g503710.1_BraROA transcript:A03g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNRNIQNNKGKTALDVLRDIGPLMDSNTEKLIQKSGGKNADLLSKVETTSVFLVKPVNFWEYCSIGMSRYRNHTTDGTRNALLVITALMITATYQTAVQPYDKDEQEYLTDKEYQLEMVLVWGFNTIAFCLAIALTFILLPVGRAYTWWYILISGPLVCSFGISVYLKYDIQPSFVIIYLIFIFGFLLYAFVFYMKWKRGTQKNVPEPKSEPVFRG >A06p042810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23043047:23046096:1 gene:A06p042810.1_BraROA transcript:A06p042810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol requiring 1-1 [Source:Projected from Arabidopsis thaliana (AT5G24360) UniProtKB/TrEMBL;Acc:F4KH40] MRGGSALLDLIVFLLVSPFAHSLKGSELSKFSDKSVSNQISQPDRYVLVATVDGAISLVDKSSRKVDWTFDTNEPIYTSYKAPHYHGGERAPLLSDDFYMDCDKDWNLYKTSIREGNRTKEIVDASEFIGSLPYSLTDRIVLGKKDTSVFLLDGRTGELVTRYRVDDLYTTNSVVQDGKDKAIVLSKEAPVVLGQEHLPEMVYLERRDFKLQCVSKFGDVLWSVSYAEMEAKLQHHESVQLIGGGGGGLSMVNATVMRFRDYNFEKLFPRVGFLDGALYLAVPDRKQNQLAPGNGNPLALPSNKEAEQVLSLPLAETTIHQITDGFDRSTTKTGFASKLIVLLIGFFVSVLSVCGLVFRRIRQSKWNKEPSVSEVPIVTPKKKKPKKNGTIKAAPHKKNGGNKDLSFEENEKKLRISFPDLNDNALEGYRVGKLFVSNKEIAKGSNGTVVLEGSYEGRLVAVKRLVQTHHDVAQKEILNLMASDKHPNIVRWYAVDQDEHFIYISLERCACSLNDLVHASSGLLESPTASVVDNGKGVELWKENGHPSPVLLKLMRDIVAGLVHLHDIGIIHRDLKPQNVLIVKNNSSLCAKLSDMGISKRLPADTSALTRNSTGSGSSGWQAPEQLRNERQTRAVDLFGLGCVLFFCMTAGKHPYGDNFERDINILNDRKDLFLIESIPEAVHLLSGLLHPDPNLRPRAQEVLHHPLFWNADMRLSFLRDASDRVELENREEGSQLLAALESTAAVTLNGRWDEKLDSIFLDNIGRYRRYKFDSIRDLLRVIRNKLNHYRELPGELQELLGSVPEGFDRYFSSRFPKLLIQVYTVLFNYCNNEEFFFKYSKTSVF >A08p032020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19440540:19441085:1 gene:A08p032020.1_BraROA transcript:A08p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKPNTGAPSPSQLQQQPPKQWLNRSKTFFDVPNPKIVTIFLISIFATFFSGIAFVFEWIFHGKNHAGFQWIIYYGLSLIFLPVLILLGLGIVIAVTTRHESKQVASSIVEVEEQQHVDHSAGKGGNEEKDYDKNCQSLAVVVDGYDKKSAAKTLEHKTLKLKRAVSFPLRSQARSCRTR >SC179g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:168255:172773:-1 gene:SC179g500070.1_BraROA transcript:SC179g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDKMSVILKRIKHVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENIYHSNFIVTVVTELKDLGSYLASIWRVKHARRSLDKQGGVWIRSGQG >A02p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10282935:10285617:1 gene:A02p021830.1_BraROA transcript:A02p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKQKMARKICEMWREMKAITAMVVVQIATAVLNILFKLAVVDGMEPRVLVAYRLFFATLFMIPLSLIFQREKRPEFTWNLLLLALLSGLLGAVLPSIFTITGLALTSATFASAAAVLTPLITFVKVGKQFGGAYWNATLMNLTGSVVAVIIALCWNCDLKEWKLGWNIRLFTIAYASIVMSGMVIAVNAWCVESRGPLFVSVFSPVALVVVALVGSFILNETLHVGSIIGTVIIVGGLYLVLWGKNKEMKSITPTSDYIETNKTTSKDISLKNLPTLSTNVP >A04p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19517639:19519257:1 gene:A04p033570.1_BraROA transcript:A04p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPANASVHDGGESLLKIRIESMHKKLKEPPRLLSSAAGKSTCSIFRVPQTMIDSNGRCYEPRVVSVGPYHRGKTQLKMMEEHKWRYLNDLVTRTPHTKSLTLEDYMKTVKSVEELARECYSESIHMDSDEFNEMMVLDGCFILELFRKVSHVVPFQQDDPLVNMAWVLPFFTRDFLRLENQIPFFVLEALYDLTRSDNERESNVSLQSLAFEFFTNTMDRPEQDLARFKDLKAKHLLDLVRSSLIPDSKPQAKPTTKPEKKTPSNIIHSISKLQQAGIKIRELKDEESFLVVRFRHGAIEMPRIIVDDFMGSFFPNCVAYEQCHAACSKHFTTYATLLDCLMNTNKDVGYLCEQKIIENYFGTESAVAGFVNSLGRDVAFDLENCYLKELFIEVNEYYDSSWHVTIADLKNTYFRSPWSFISALAALILLILSIVQTIFTVYPR >A04p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7191721:7193329:1 gene:A04p010470.1_BraROA transcript:A04p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVEKTSSGREYKVKDMSQADFGRLELELAEVEMPGLMACRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGQVPDPTSTDNPEFQIVLSIIKEGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVVVVCGYGDVGKGCAAAMKTAGARVIVTEIDPICALQAMMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETFPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQSDYVSIPIEGPYKPAHYRY >A04p016020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9862952:9865141:1 gene:A04p016020.1_BraROA transcript:A04p016020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKRGKTLVAKKTAITTWEELQNIMDKRYIPKDFPEAVKEQYGRRPNREKVHHQHHNKSLTCPQLYQKKTEVSLLEGEMLCELKISVNDPIKEWMVWSSVQKSKVQSNRTEGLHFVFGDSAFLDPTAKAKAPLFKELKPYIGRQFQYKFQKFGCNKNDQDELQYFEVWSFHPREYVLEMELKETSITRGCISVDAALMDKPE >A10p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16360251:16367404:-1 gene:A10p025520.1_BraROA transcript:A10p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKVIEEKEEAMKIKKTSLVFAVNGERFELDLSSVDPSTTLIDFLRNKTLFKSVKLGCGEGGCGACVVLLSKYDPLLDKVDDYTVSSCLTLLCSIDGCSITTSEGLGNSRTGFHAVHERIAGFHATQCGFCTPGMSVSMYSALLDADKSSSHDLPRNGSSNLTAAEAEKAVSGNLCRCTGYRPLVDACKSFAKDVDIEDLGFNSFCKKGGDRDDALKKLPCYDHALLSTFPEFLKKELKMGVSLESDPRKYRWSSPGSISELQGLLQLDNSMSVKLVAGNTSTGYYKEEKERKYERFIDIRRLPELTVVRRDEKGVELGAAVTISKAIEVLREKENVSMLAKLANHMEKIASRFVRNTGTLGGNIMMAQRKQFPSDLTTILVAARATVKIMSIGSNVQEQFTLEEFLQQPPLEAKSLLVSLMIPSWRPLKNGSSSSDTHLLFETYRAAPRPLGNALAFLNAAFSSEVSLNATHDGVVVNDCLLAFGAYGTKHAHRARKVEDFLVGKVISDEVLMEAIGLLKDEIVPDKGTLNPGYRSSLAVTFLFEFFGSLATNALLNGCSKENGFESLKREALLSSAQQIVETQEHSPVGKGIVKSGAKLQASGEAVYVDDIPSPENCLYGAFIYSTMPLARIKSIRFKENKVPEGVLGIVTYKDIPKGGQNVGNKGFFASDLLFAEEITHGAGEIIAFLVADSQKLADIAVNLVVIDYDTEGLEPPILSVEEAVEKSSLFEIPPFLKSKPVGDITKGMAEAEHKILGSKISLGSQYFFYMETQTALAVPDEDNCMLVYSSAQAPEYVHRTIAGCLGVPEHNVRVITRRVGGGFGGKVMKSMPVAAACALAATKMQRPVRTYVNRKTDMITTGGRHPMKITYSVGFKSNGKVTALDLELLLDAGLSEDISPLMPSGIQGALMKYDWGALSFDVKVCKTNTVSRTAVRAPGDVQGSYIAEAIIEKVASYLSIDVDEIRKVNLHAYESLKLFYNKKAGEATEYTLPQLWEKLEEFSGFSQRRKVVDEFNASSKWRKRGISRVPAVYGVSMRLTPGRVSVLSDGSIVVEVPGIEIGQGLWTKVKQMAAFSLGLIQCSTTSDELLEKIRIIQTDTLSMVQGSVTGGSTTSEASSEAVRICCDGLVERLLPVKAALEEKTGGPVTWDSLISQAYMQSVNMSVSNTYSPDFYNKQYLNYGVAASEVEVNILTGESTVLRTDIIYDCGKSLNPAVDLGQIEGAFVQGLGFFMLEEYLMNSDGLIVTDSTWTYKIPTVDTIPRQFNVEILNTGHHKNRVLSSKASGEPPLLLAASVHCAVRAAVKEANKQVHTWSNNQQGVDLSFDLPVPATMPVVKELCGLDVVEKYLDWKIKQRKNI >A05g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23546280:23546793:1 gene:A05g508020.1_BraROA transcript:A05g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMEIRVKELAGGQLNPVNGAFWFGSVWATPGRLLGEPMVRVQDGSTKWVLVLGQGVAKFPECELRLSDRFSKGRKGEKPPMGGYGTVLGTRDGILVTVRPGGWGQFKSSSLSHF >A07g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12284989:12291810:-1 gene:A07g505500.1_BraROA transcript:A07g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLETFGCIWSSKEVIRVVFGRALPGATSRSDYMRSLCTTSRSDSSRATARSHSRFHIRRHTYLTLERPLRATYQVVFGRALPGATSRSDYMRSLCTTSRSDSSRATARSRSRFHIRRHTYLTLERPLRATYQGRSRSRATRWSDTPKSLATSRPETPKIGPGATSRSDTCKSLRCFDIPQNWFDNLLYYNICLRSLENS >A06g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9856518:9856886:1 gene:A06g503130.1_BraROA transcript:A06g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFSGKHGLSLLRSSGDSIRKFDENAWTGVVSMFGKVQSLHSDRTLAQARSLCSDRVRRVLGRYVATELWLELGRYVATERHDCSVAT >A01p048230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27166008:27169150:1 gene:A01p048230.1_BraROA transcript:A01p048230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRQRKNSDPENFTQGGGRAAGGATRGGRAARGARGAIGGGRGGNNNLPRVGGTYTPGDANLDIMRIIHEEAQKRDEDITKGGEDTGNGRKKKKKKNRVNDNTNVNNRNLIWFYLLGIFATYSSVMAPLTCAFNAEEYLPYQFIIVMEFAFIVDILRGWICAYHDNQPIANDGFLYWCYRIWLLNPRKLELVAVAAVPLANIFQKYIASTYFATVTLSTVGYGDIHATNLTEMVALACLIIVSLTVWTYLGVQLANLFGRRSKKQIVGEKMDNLEKYLKEAKIAENLAEDIRGHMQMKYSDDYDRKILEDVPACLIAKINKNFNESIIRSVSLFNGCSPDFFKHLASGAQQDFHPPGCTVLKEGNIVSQLHILYKGGLELLQGGTVHPPLTRPTTVFGLESFVLNEPFPHTIRTYKASKFLIISKKYFMECLHNYLEDGASVMRNLSNGRDPAFVERLLQSVFGIQMQNDVIRKASLLNHAIFFDDLEKVKKLIHWGVSVDSVDYNNIKPLDFAINRGNTEIIKFLMSVVAETNTTGIDQEHAD >A04g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9084089:9089181:1 gene:A04g504400.1_BraROA transcript:A04g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRGRTHALSLHLGPSSLKLFQTLLLITILEKLGHDQIIFKTPVRLINTSHTACPLHRTGLDLPLSTDFSAILEKLGTDQEHLYLLPRHATDLVRLMILVEVFAKTYSPSSLEPRLEGAKLVMILCISMELGCLNHHRESHKTHLSLHNNPCYTSCRLRTRYVQWYYAMAKRPLSLHSWLMASYMYHFPCVPNTTTTQCKIRSSHWDQTKIIHPLLLQSPHNRSRLDRVAFFFTQPRVLLSFTTICASPFCNTSPLYTQWASFFLFQLGFDDELGTPFVNLKHHSNSSKDQSQLHWDQSLTSSSLITNYMGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLVAHVRTRPYTRPIFAPWTIFSQTLPNPSSDQSKSLLDLSSQDNYFRTLLKLD >A05p008670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3588875:3593393:-1 gene:A05p008670.1_BraROA transcript:A05p008670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHT1 [Source:Projected from Arabidopsis thaliana (AT2G38940) UniProtKB/TrEMBL;Acc:A0A178VRB1] MAGDQLKVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVPGSAKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGMTLMVMVLCSVASGLSFGHEPKAVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFVSAVFAMQGFGIMAGGIFAIIISSAFEAKFPAPAYAEDALASTVPQADLVWRIILMVGAIPAAMTYYSRSKMPETARYTALVAKDAKLAASDMSRVLQVEIEAEQEKVEEISSNKSKAFSLFSKQFMKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNAIQEVFKIARAQTLIALCSTVPGYWFTVAFIDVIGRFAIQMMGFFFMTVFMFALAIPYNHWTHKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKNKTDAGYPPGIGVRNSLLVLGVVNFLGILFTFLVPESKGKSLEEMSGENEDNENTTSDSRTR >A04g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22452278:22452771:1 gene:A04g508500.1_BraROA transcript:A04g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGFSSQDLLRVDDLLDFSNEDIFSASSSTSTAATSSSSFPPQNPNYHHHHLPSSADHSFLHDICVPSDDAAHLEWLSQFVDDSFADFPANPLGGTMTSVKTETSFTGKPRSKRSKPPAALVGTWPPTVPAY >A08g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13611475:13613431:-1 gene:A08g507750.1_BraROA transcript:A08g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARTASPYQLRDMFITFLNNCFVASPKGLWENSWKSMSEDILHKRQRILGHANLELDDNTLEQYTLIEVEKLMRMQDHSLNDFKDMPKINPINNLENIDQAMIQANKEGTYT >A01p006690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3398830:3400118:-1 gene:A01p006690.1_BraROA transcript:A01p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDERDITLRGLEAVKKSQKIYMEAYTSLLSFGLSSDGLSSLEKFYGKPITLADREMVEEKAGSMIEEAIDNDIAFLVVGDPFGATTHSDLVVRAKKLGVKVEVVHNASVMNAVGICGLQLYHYGETVSIPFFTETWRPDSFYEKIKKNRALGLHTLCLLDIRVKEPTFESLCRGGKKQYEPPRYMTVNTAIEQLLEVEEKRGESVYGEETECVGFARLGAEDQKIVSGTMKQLESVDFGAPLHCLVIVGKTHPVEEEMLEFYKYGTAN >A09p007970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4139520:4140843:-1 gene:A09p007970.1_BraROA transcript:A09p007970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTTEEDKKLISYIHEHGEGGWRDIPQKAGLKRCGKSCRLRWTNYLKPEIKRGEFSSEEEQIIIMLHASRGNKWSVIARHLPRRTDNEIKNYWNTHLKKRLIEQGTDPVTHKPLASNTNPTVPENLHSLDASSSDKQYSRSSSMPTMSCPPSSCFNTVFENTSKDGTPVHEDDSLSRKKRFKKSSSTSRLLNKVAAKATSMKEALSASMEGSLNANTSFSNSFSEQIRNEEDSSNASLINTLAEFDPFLQTTLYPEHEVNTTSDLGIDQDYFSHFLENFGRDDDHNEEHYMNHNYGHDLLMSDVSQEVSSTSVDDQDNTIEGWSNYLLDHADFIHDMDYDSL >A04g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8761877:8763057:-1 gene:A04g504220.1_BraROA transcript:A04g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRDWDPGSQRKIGDRGDLWLGGRESKSNSSIGDSDFDSLAITLRGDPQAFHRLGRRIAVVRKSLLLGSFIWMQYPEDQSYELQGGKIWRHLDFKGIFLRGLGVFNLTYGGWCVKDIRLARSCRWVRAYGVERNQEREGWDARSHGNGWFLQIQIPNECWVIGFEVLFGAWRLALGIAILALCLFPSRNKDIRCLDSRLSKYWVKLTVKNYVFLVCIYIGLWDYDIVGGTFGRSCYYMELFVVISDSNLYGIWENNELQEAIGVWQFVFV >A06p015270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6842406:6845395:-1 gene:A06p015270.1_BraROA transcript:A06p015270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFTSFVFLSGFFLGIVAVLGAEAAGLMYLLNRLNRKRETRVDSKPGSDPSTKEISNSRESNKQGTIWVLELDEGLKNLWKEKLPTEHKRKRAFLEIHPIRKFARIKDHKLILSESDGTMTTVSLKCCSVEAVSGSDLPTRKWYHQLLSNTIHQFELAKRFPIKVESKSSSDVYKGNKVFYIYLETSWEKESWCKALRFASCENPERFVWYSTKLQQDFRSYVTSLNAAYPSFMKPSLGFSFETLDKGNRTDGSSSKVRLFLRRFSRKRSNREDSRTSVRSYQDSQNGRSVTGKNMGDDVRDEADPPVFSRSVSHTSHFSGVSDGDSEEKFEMDEGTLAWNLLISRLFFDLMRKTGVKDSMQARIQRMLSNMRTPSYIGELICSDVDLGNLPPHIHGTRVLPMEMNGVWAFEVDIEYSGEPVIDVETRVNIREVDLQKGINDTRLQPSSAGEVSSNGVEDFDKQLVVIPVEVENDESNGSKGTKASPNGVSRWKSILKNIVEQVSQVPISLSIRVSSLRGTLRVHMKPPPSDQLWFGFTSMPDIQFDLASSVGEHKITNSHVAMFLINRFKTAIREAVVLPNCESLTIPWMIAEKDDWVQRKAAPFMWLNQESDHQVTEGRSKPDKPPTSSSCVQSEQMQKTANATQKPVISEAETVSSSSCAQSEQVHEAANAVQKANTEAEVMSTAVSSSSRPETVSDKSLEELKTPLLLPSSSEKQETNSRGSTFLQSSPSWSVVSSEDDDSRGKKLGRRARMLSLGKKMGEKLDEKRRHMEEKSRQIVDKMRGPS >A03p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16486851:16488553:-1 gene:A03p039600.1_BraROA transcript:A03p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLKRGRPEANSNGGGFKKSKQEMESTGLGSKSKPCTKFFSTSGCPFGENCHFSHYVPGGYNAVAQLTNMAPPMPQVSRNMQGPGGGGRFSGSGHVSSFGASATAKISVDASLAGAIIGKGGVSSKQIYRQTGAKLTIQDHERDPNLKNIELEGTFEQINEASVMVRELIGRLNSAARRPPGGGGGIGSEGKPHPGSNFKTKMCERFSKGSCTFGDRCHFAHGEAELRRSGIPS >A08p027280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17258008:17258571:1 gene:A08p027280.1_BraROA transcript:A08p027280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRNCIFLVFLCLTVLLIPEFAKAQGKGRPIVIGTCYQFLHCNQTCVESDFSGGKCVPLPPARIDFLGFHRYMPL >A05g510120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31271784:31272048:-1 gene:A05g510120.1_BraROA transcript:A05g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVNELMMESKGGNKKSSSSSSLFYEAPLGYSIEDVRPNGGIKKFKSSVYSNCAKRPS >A05g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3271016:3274036:-1 gene:A05g500910.1_BraROA transcript:A05g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETLWKMSFVTKKKKEDELVSTKTELGWDGYHATMLAHHQYLLVMGVHCTDIEAPFRSSFPDAGGVEARRRRLYSSSSSPLFILCSSSVSYFVVIKLSSLFRTGMCLFSKEIRSPCSSFTSSRLHRNLDDSVTSRSRVVCQEVLKMIFISSNIELQIYGLKLVSLRTYKCTGSTQSVGELTGSVRLSPVATIPRLTVATAPPLTVDAELTRSGRLFSTASPSPPTETTSPPWVYRLPYLERVTISRVLVPPPHCVFASPNRKEAPRIHLARELDCPDGIKPPPPDAQRPSPNADSRSIKFFKFVDSSALSSSSIIFRVTVKVKAISVSDLSTGLRFSLGFRESYGYRYGNIGVLPLSLTSAPISPLSISFNYLNRSLFLLWNKDVVLSLMLFLPQFEDVAGSVGFFMKLYLPQYEDITLWCTSFLPKYEVIWIFAFVVLVSIISGLLSWQWWSSSQLSDFIKHGFVVSVFVAVRSPAVHVKILSTDLVNGMWFKAFKFGGFGWSIYGKGEARDSQGSSISLSAGSSLANEAGKMIKTLQSAKTCRLSSLQLILDSIVLSSAMRSWLDMIKITGLLFRNLVTLFTSLSCTFNQCAATCFAVTFTMSVVSKLCSLITQF >A03p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8612788:8614672:-1 gene:A03p020950.1_BraROA transcript:A03p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAPLTNHTHTNEVALQHYTPITHDHIYTNHINRRDVSTRRADKTESESNSESHGKLFSSTHSLSQYISHLLLVTLLFSPQDLEPSQMETFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTSAKVDYEKIVRSTCREIGFISADVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDDLNNPLHSHMFLLPFELGTQGQWSEDLNLIMF >A06g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2580298:2580650:-1 gene:A06g500640.1_BraROA transcript:A06g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSFTLLNYLKPRLSHKIHASCNRSHMFRIQRDLMIRKWRVIENFKVSGVGKRKYSPC >A07p042140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22709672:22710747:1 gene:A07p042140.1_BraROA transcript:A07p042140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAGNSAVDSKSIRDTICNAGAGAAAGAIAATFVCPLDVIKTRLQVHGLPETRRGSVIITSLGNILKKEGVRGMYRGLSPTIIALLPNWAVYFSVYGKLKDLLQSSDGNLSIGANMVAAAGAGASTSIATNPLWTQGMRPDVVPYKSMLSAFSRIFREEGFRGLYSGVRARLSALLSQKSYE >A08p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17522821:17524879:-1 gene:A08p027870.1_BraROA transcript:A08p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAENLWVASEIPSSSSSSSSPAETKVLDFPTKDSTTGFEILCPTTVSLPHLEKGSSEDKLGLTERVFSAAGAAFLSAVILNPLDVVKTRLQAQAAGVSYSHPLSYDIGRMAFFGPNMMFADLRCSPSCARAGVQGTVSICPPDCFQYKGTFDVFTKIIRQEGMGRLWRGTNAGLALAVPMVGIYLPFYDMFRNRLEELSRENAPATTIFVPLVAGALARSLACTVCYPIELARTRMQAFKEAKAGMKKPPGVLKTLVGVVSEVRTVNNLQNSLHNYRVLWRGLGAQLARDVPFSAICWATLEPMRRRLLGIVGNDTNALGILGANFSSGFVAGTIAAASTCPFDVAKTRRQIEKDPCRAMRMTTRQTLIEVWRDGGMRGLFTGVGPRVARAGPSVGIVISFYEVVKYALHRQYASS >A03g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14959725:14960251:1 gene:A03g504280.1_BraROA transcript:A03g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESEGYNIKREEVKEIKEKDTSIPIVGEKGHHHHLRNLISASSAPSVIPNPTLDFSSFFFYPPISTLSSPTAPSSSLEFLLINPDFDPRNC >A09p064970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:52156161:52156970:1 gene:A09p064970.1_BraROA transcript:A09p064970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) UniProtKB/Swiss-Prot;Acc:Q9M1B5] MGRPLLYDIIEKPATSCIITLCSLIWFLIQKKSIGYSQVGLSYETAAQGHYWRIITSAFSHISVLHLVFNMSALWSLGVVEQLKHLGLGTAYYLHYTLVLVIFSGGLVIGMYHLLIGRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGVLSLPISFAPFESLIFTSIIVPQASFLGHLSGILVGYAVSWGLIGGMNSYWAVTMLGWIVVVFVFSLKKSGAYDFSFLEIESVSDASLPSLRFVGNGRTLQASAVPLSGVEVL >A03p052950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22711302:22711967:1 gene:A03p052950.1_BraROA transcript:A03p052950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRRKKSVNGGGAPAQTNPDDRRSTLPEAEAAGKRAVIKSADMKEDMQKEAIEIAITAFEKYSVEKEIAENIKKEFDKVHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >A02p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4314070:4318482:1 gene:A02p010030.1_BraROA transcript:A02p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVESNNVESSSKPVEEESLKNDVYTASAYGDLEKLHRLVECEGCSVSEPDGLGYYALQWSALNNRSAVAQYIIEHGGDINATDHTGQTALHWSAVRGAVQVAELLLQEGAMVDVTDMYGYQPTHVAAQYGQTAFLCHVVSKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLIITDNTGLTPAQLAAEKNHRQVSFFLGNARRLLEKRCDGSTPLGKLSKLGLAPVLWFMILLLLLIYTNSVILASNLPKLTTGIGSIAWLGFFLATAGLALFYRCSKKDPGYIRMNRHDPQTMKDDEPLLKIELNNPALLSGNWTQLCATCKIIRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFLLLEVLAMLITGGVTLARVLSDPSAPSSFGALVSHVASNHVGALSFLVIEFCLFFSVTVLTVVQASQISRNITTNEMANALRYSYLRGPGGRFRNPYDHGCRRNCSDFLVKGYNEDIECHEEDTTPRQEGISMMQMQRSSNLQNGNGHVAIDVNPVHNSQSAHVHSSNCSHSHSSKSKSDSVPLGLGLGIGRNPTRPVVPP >A05p022200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10585577:10586328:-1 gene:A05p022200.1_BraROA transcript:A05p022200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYDPEATPSSPEYKPLLSSSRDLNGAVLISHPSSIRRRRFIISIFLISFASILIYIFWPSDPRIKIERVKVSHVHVHRRPVPSIDMTMLVKLKVSNADVYSFDFTALDVAIGYRGKTLGHVSSDGGHVRAMGSSYLEAETQLDGVTVFADVINLIHDLAKGSIEFDTVTETNGKLGVFFFRFPLKAKVACGILINTVNQTISRQSCRPI >A03p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2131587:2134828:-1 gene:A03p005080.1_BraROA transcript:A03p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNFRDNEAAMIKKIATDISNMLNNFTPSTDFDGLVGMGAHLKKMEPLLCLGSDEVRMIGIWGPPGIGKTTIARVAYNQLSNSFQLSVFMDDIKANSSRLCSDDYSRQFLYDCREICELLTGEATGSKSVIGIKLDYYKIEEELDVSEKAFDGMSNLQFLQVNGYGAPLQLTRGLNYLSHKLRLLHWSHFPMSCFPCNVNLEFLVELIMIGSKLEKLWEGIKPLRSLKWMDLSDSVNLKELPNLSTATNLEKLYLRNCWSLIKLPCLPGKSMEELDIGGCSSLVEFPSFTGNAVNLLKLNLVSFPNLVELPSYVGNATNLENLNLSNCSHLVELPLSFGNLQKLQTLILKGCSKLENFPNNITLEFLNDLDLAGCSSLDLSGFSTIVNVVNLQTLNLSSLPQLLEVPSFIGNATNLEDLILSNCSNLVELPLFIGNLQKLKRLRLEGCSKLEVLPTNINLESLFELNLNDCSMLKHFPEISTYIRNLYLIGTAIEQVPPSIRSWSRLDELKMSYFENLKEFPHALERITCMCLTDTEIQELPPWVKKISRLSVFVLKGCRKLVTLPAISESIRYMDASDCKSLEILECSFHNQYLTLNFANCFKLSQEARNLIIQNSCRYAVLPGGQVPPHFTHRATGAGPLTIKLNEKPLTKYMIFKACILLVYKVDHDACSEENSMEVDVIYQNSNKKLYPALAEHLYIFRVEAEVTSSELFFEFKLKRDDVWKIGECGLVRDVEVPSC >A03p054700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23545253:23548088:-1 gene:A03p054700.1_BraROA transcript:A03p054700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide-transferase homolog [Source:Projected from Arabidopsis thaliana (AT4G18270) UniProtKB/Swiss-Prot;Acc:O49730] MGLWSGFYDLSVATEYDYYVFGISFSQRIVVVVCNRKKKKKGLGDSLEHTQSLDHTHLHPIVPSSSSSITFSKARECDEIYTVESELIPNPTSEPVSISRIAASPLRHSSSRPPISISLFLQARHGCNSIMGHISSFSVKAFDDDSFDYDSGDIFAAAYSISSSEGEESDGEYGLNVVTETTAQRLAKFPRGRKKHRHVLLLLSERVGIIMVKSFKAKALNGNCGLSGAGHTIFVTVNCGAVRGGSIRYGINLGLLAFLTLLLLFMDSFAWKIVRLPLPPYFLSLPFFTSAILVTLAGYVCVPMLDRMKVHEPIRRLGLVTHSRRQTIPTMGGLFFVPIGVVVAIAMTKFSSIEVAGAAAVTVLFAAVGLVDDSLSLCSDNNNGLSAKIQLLLEGAVGTCFAFWLERASVSSPYGMKMLVPLPSPLGLICLGKLYLLLTSLYFVSMGNLVKATDGLDGLAGGIAALAFVAMAIVVLPICSDLSIFGASMAGACFGFLLHNRYRASVSMGGTGSLAIGGALAAMAACSGMFLPLFISSGVSILEAASVIIQVAFYSATRRLKGKGRRVFKTVPFHHHLRLSGLKEPMIVTMAYVISSLLSLSAAYVGLISV >A01p052810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29597627:29599270:-1 gene:A01p052810.1_BraROA transcript:A01p052810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYEDRDTLFNFVVKEGNAVKGLIDSGMSCVPQPFVQPLSERIATPNGQTCEAVQPIDLSQIEGPCHTEVAKQIVEAAETLGFFQLMSFSNNLLRSENKAVYLKEVSPSKLVKYGTSFVPEKEKAIEWKDYVSMLYTNDDEALQHWPLQCREVALDFLQSSMAMVKRIVEVLMEDVGVILEEERMNSLVGTKMVNMNYYPTCPSPELTIGVGRHSDMGMLTVLLQDSIGGLYVKPDNGDWAEIPPLNGALVINVGDTLQYKSAEHRVRTTNIGSRVSVPIFTAPTPSEKIGPLPEVVERDGVARYKEVLFQDYMNNFFSQPHDGKKSLDFARAD >A04p006970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3716551:3717317:1 gene:A04p006970.1_BraROA transcript:A04p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIDLEQGRIGDRQLSFRDSEDVVSCFHSNTYGYYDDDTDEYSSSVSSVSDESEKSVCRICKSEVGYGQGLIELGCSCKGDLAFSHRQCAETWFKLKGNQVCEICHSDARNVIGANEMVEEEEEEEEEEVMVVVEVEEEGVAAVGEDGESWWKRRMVLIFVITCWVSPFSIYFLVIQN >A09p055100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47212723:47213351:1 gene:A09p055100.1_BraROA transcript:A09p055100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDQQHPVGAPPPQGYPPKEGYPPPGYPPAGYPPPPQGYGQAYPAQGYLPPQYPQGPPPQYPYQGPPPQYGQAPQKKKKDSGFVEGCLAMLCCCFLLEACF >A07p044130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24107783:24109058:1 gene:A07p044130.1_BraROA transcript:A07p044130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFKSKMFKTTTTLLCFFLTSVILMVPTSSAATDNPTYSASTDTFIYANCSPAKFSPGSAYETNLKSLLSSLVTSTVLNRYNNLTVPFGSGVKPEPDVTVYGLFQCSVDLDPTSCSSCVSRAIALVGNTCPNSYSVFLQMQNCLVRYDKSSFFGVQDKTVMLKKCGQPMGFYDQDALTRVSDVIGSLGSGSEPDRTRMNGDVLGMAQCTEDLSPAQCQDCLTDAIGQLRSDCLMAQGGYVYLSKCYARFSFGGSHARQTPNSNFGGEKYDKDDDDNNIGKTLVIIIGIITLVILLVLLLAFLGKKLRKLQDDKCCR >A02g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14264673:14265301:1 gene:A02g504280.1_BraROA transcript:A02g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMRAKQLVVGPPSKKRRRHRSEFVAVVTGLELGGSMVVLSSEVLILLSGDVLKLLKQPMSGLHRWQRKLAVVVEVKPSKKKKRGGERETTKTQKEEEDAARWMGED >A07p043340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23723025:23725324:1 gene:A07p043340.1_BraROA transcript:A07p043340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein NRT1/ PTR FAMILY 2.14 [Source:Projected from Arabidopsis thaliana (AT1G69860) UniProtKB/Swiss-Prot;Acc:Q9CAR9] MDEEGTVSSDSTMRRRKPLGWKAMPYILANETLERLASFGLTSNFMVYMVREYHMDQVQAAALINTWSALTNFAPIIGAFISDSCTGKFVTIVFGSISELLGMLVLTLTSLIPSLRPPPCTTDQIIGTCVRYSDQQLYVLLLGLFLLSVGTGGIRSCSIPFSLDQFDDSTEEGREGSRSFFSWYYTTHTIVQLISMTLVLYLQNNISWALGFAIPTALNLFALVLLFVGVRFYVFIRPEGSVISGIFKVLVDAYKKRNAQPPSEIEHYRPLLETSSQSNKLVLTDQFRFLNKAVIVMNNDEARNEEWKICTMRQIEDIKSIISIIPIFASSIIGFLAMNQQHTFTVSQALKMDLRFPGSSYLIPPASITVISLLTIGIWLPFYETVLVRHIEYITKQEGGISLLQKVGIGNFFSILTMIISGILERERRDLSRAGVSRSVFWLAPQQVLMGFYEVFTIVGLTEFFNKQVPGNMRSIGNSLLYLGMSFASYLSSATVSTVHSVTARGGRQSWLTDDIDTGKLDYFYYFIAALSTLNLIFFLCCAQRYRYRNM >A09p004720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2639380:2640595:-1 gene:A09p004720.1_BraROA transcript:A09p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRTCGPPQNHNLFLDTCQNRIDAKTACLLRFRFRFFTFLWVLSQSFKNPNYTKDICFPLFPLRPKIRLTVSATNLESKSSLQIVVWLTLTGAKMNTNEWRSTRGIRRRKAVFDLNVSLTDLEGTSASVRASPIMPSCDLQRESEPSHPPPAMIDVDAIEDDVVESSASAFAEARSKSTGARRRRLMVDVESGGTTRLSPNKRRRVPPNQPVIDCEHVQSVCSSKAPPPPPPEEPKFSCPICMCSFTEEMSTKCGHIFCKGCIKMAISRQNKCPTCRKKVTAKELIRVFLPTTR >A02p028820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14504689:14506411:-1 gene:A02p028820.1_BraROA transcript:A02p028820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVLKKGDSNTLSDKELDAAVLPPDQPLNELTPPSYADSNTLSVKELGASLPPPPPPPPPPTYKPSAQGFVDFYPPPYQDPLTDWGPPPSGRISWSPVAIKLPSTSILLPEPKPGKRSLYMQSPTPSDLVYGRTYYHTYTPSPTYSPTAPGGYSYSQSQDAMHAKPLLFAKIALHCYNLEKGTHFERLGLPRDYDQTLEARDPARNYTCRFETNVRLATENKDCFHVVTTRCRPLPPPPPPGEDGFQCGFDTLSVDELFKGNMPDWLPDDFATSTQLLLQYYEMKESEVEQAKEWLHLYAELALYTKKQTDPFMFERSKPLELGKVVVQTRGVVDSLKEVELLDNAVFFITFKTSCGDVWKGIIRRTRDGIPEHLSLEAKCFM >A03p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13061897:13064323:-1 gene:A03p031040.1_BraROA transcript:A03p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSSKRIKPTMEDEEEDPSSSSSQLNLPPSMNRPTVSLETQRINRLIHSDHYHSPSKPIYSDRFIPSPSGSNFALFGLEPSPGKEDGPGSYAGMLRTVLFEPATPEKADVVTGFSPSSRNIFRYKTETQRPVNSFPPFGCDEGPSVSRTPVKPPRNILKSAYKVLDAPALQDDFYLNLVDWSAQNVTKLCDLGGDESVCSVGWALRGTHLAIGTSSGTVEIWDALRCRRIRTMGGHRLRVGALAWSSSVLSSGSRDKKILQRDIRSQEDHVSKLTGHRSEVCGLKWSYDNRELASGGNDNKLLVWNQHSTQPVLRYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTRLSCVDTNSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKLATLTGHTFRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQRRESGIGALYFGRTTIR >A07p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2764936:2766090:1 gene:A07p004590.1_BraROA transcript:A07p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESDFGRLLRRLLEDSRKTFERLLGSLLIPGPVLKTLLLMYFMLEDFPRSLWEVFCPKCYKEMMSSGIQAYLC >A05p045280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27102126:27103674:1 gene:A05p045280.1_BraROA transcript:A05p045280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERKRVQALSPKRCCSILSELRRWRRLSGVAPPSPILPTADLRRETDLEVQHLGNCLVAENMLRCSIDSIGVYSSSSRVSYLFCHCFVSETGKSIGYSLVRTPGAYYPISKIKPLSTNPKERESKGVLQTPLGSVDDFDKLSAFEGIGKVKLPMMASLLMGTPLEALAAEMCEPESSMFSMPFLLLVALVGATVGGLLARQRKGELQRLNEQLRQINAALRRQAKIESYAPGLSYAPAGARIPESEIIMEPKKHELISKLKTGKTFLRNQEPEKAFEEFKTALELAQNLRDPVEEKKAARGLGASLQRQGKYRDAIQYHNMVLAISKREGEDSGSTEAYGAIADCYTELGDLEKAGKYYDTYIARLETD >A01g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17508058:17508536:1 gene:A01g505880.1_BraROA transcript:A01g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AREFAYDIVSLLLVLVKLCNFFKFQEEFWIVSGKLSRKLDVNKFEKPFAWCLVWLTHVDMFGRLANFLMTKDIGSFRKAFQKI >A01g501360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5241521:5241838:1 gene:A01g501360.1_BraROA transcript:A01g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGQDEEPFPFTGTRGGDGTPPTTKMNHGRTNLHKGSNRRAKTGRSTMRKRTSTSTIDPSIRLSCMRRFGIFKDKDKLEDAKTKSIGEKNRRKNRARGSRTTKN >A04p011640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6619260:6620045:1 gene:A04p011640.1_BraROA transcript:A04p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYRPTLCGILVAFLELAFAYCLLCVSAFVFIASKFLPCSGSLDYQNVYKLLFDWPFEIIQRIQNMAMTSRPRVWRHQEQEEEKNSVRKSKSLELMNKVRFLEKAVEEERLARAALMVELEEERAASASAADEAMAMILRLQADKASLEMEGKQYERMIEEKFAYDEEEMNILKEILFKREREKHFLEKELETYRQSDDDRDDAGDREPIVYDVHVIEDDKNSKMKHDGTEVHKERGNDDQMNQMEDVLKDRESSPSPSS >A05p052130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30190098:30191108:1 gene:A05p052130.1_BraROA transcript:A05p052130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRKVKLAFILNNASRKATYKKRKKGLLKKVHELSTLCGIAAGAIIYSPYDPTPEVWPDADGIQQVIAAFRSLPELDQHKNMVNQEEYVKQRIEKAGKLLKKQTRDNREAHFTEVMYQCLMGNMGVAGARAMDLNDLGFLIDQYLHCLDRRIETLLGSSNMEIGESSNAVAAAMDQDPSEPAGTLPLLEGATAPAAAVHEVGSSSSSAAAAGASFNQMYPFPQNQQMFYQPSAPFAGYYEQSHNHNQFMEMMNHPEHMAYAANQMGFPYTDNAHHYRQPNQPQPQPQQFFPGESSAAPQRQFFPGESSAAPPPPGTSGSEPPATAPFPPNNIWFR >A07g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22989681:22991614:1 gene:A07g508390.1_BraROA transcript:A07g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSSSFTYDGIPLLRRVSFRRHQIYRLQVSTSVVIRFVVAVIRFVVVVPELWMLINKRGESVEDKIKKLDVELCKYREQIQKTRSGLVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQLLSTPVDMARSYMRARLPWRSLSVNNSEFWSPSSAGTQLLKEGTPFSYNAGNLSSSKILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLPNLINLLFDSNNLNGQIPQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGDSSKPKTGIIAGVGSWMLQLYHLKLWCCSEHQHQNQNEFKKFYKVLIYICCQDLLTDHHHSEREKGSTIVFKQ >A01p042460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25512878:25516771:1 gene:A01p042460.1_BraROA transcript:A01p042460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYQVNPTSAIEIITGLAKTLKGINGSNWHDTFLGIRIAALRLVQRKGILLKDLFLDWTQGCCVVSAANKAATKAIMFFSGGDVGKSCSDVMNMKNMPINCCGNMRHLIVEACIARNILDTSGYSWPGYANGRINQIPQSLSSEAPCWSSFVKGAQLNAAMGHGFDGSLSELEKLYEVAVKGSDDERISAAVVLCGASLTRGSVDSIQIFSLHGMVPQLACSLMPICEVFGSYTPSRFNHPPIEHGAGDVPTVGSQLTPEHLLMVRNLHLVTPETLNKDRFRKRLSEVARAASYEPVFVDSFPKLKIWYRQHQRCIASTLSGLAHGTPIHQTVEALLNMMFRKVKGSQTLNPVNSSGTSSSSGAASEDSVPRPEVPAWDTLKAVPYVVDAALTACSHGRLCPRDLATGLSERFNRFSAEVRRGVWKPVFMNGIDWPNPAANLSNVEEYIKKILATTGVDIPSLAPAGGSSPATLPLPLAAFVSLTITYKVDKASERLLNLAGTALECLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNPDAVVQLLRNCFSATLGLNANDGDVGALLGHGGISPVAPGILYFRMYRALRDTVSVTEEIFSLLIHSVKDIAQNRLSKENLERLKTVKDGSRYGQSSLGTAMTQVKLAASLSASLVWLTGGLGVVHLLIKETIPSWFLSVDKSDQEQEGPLELVAELRGHALAYFVVLCGAFAWGVDSRSAASKRRQGIMGSYLEFLASALDRKISVGCEIATWRAYITGLVSLMVSCLPCWVIEIDAEVLKSLSNGLRQWGKDELAILLISMGGIETMVHATNFIIHLNAS >A08p025200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16292146:16296938:1 gene:A08p025200.1_BraROA transcript:A08p025200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G32520) UniProtKB/Swiss-Prot;Acc:Q94JQ3] MSGMVDASSWGAALVRISPYTFSAIGIAISIGVSVLGAAWGIYITGSSLIGAAIEAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSSKMYDAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALDSLPSPLLSDLFSQSSKLFLPYRSRERMQACCGGTSMGSLQQPARVQGPVFAPITSPVTKFSQQLKLNFPRPCPSLFLRKSSVFERRASSVSAPDVETTSNDIPFEDYSRREVDPEVDDIITKEKNRQFRSLELIASENFTSRAVMETVGSCLTNKYSEGLPGKRYYGGNEFIDQLETLCQNRALATFRLDSTKWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTAKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTAALFRPKLIIAGASAYSRDFDYPRMRKIADSVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVNGVELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKRVVANCRFLANRLVELGFKLVSGGSDNHLVLVDLRPLGMDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGTPAMTTRGLSEKDFVVVADLIKEGVEITMEAKKLVSGTKLGEFTKFVTSAEFPLRERVESLKDRVESFTSRFPIPGV >A02g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8140548:8141311:1 gene:A02g502490.1_BraROA transcript:A02g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A06p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2723844:2731749:1 gene:A06p007860.1_BraROA transcript:A06p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYLSQSSTAFSYLSKICGFRMHGTKAAVSVVEEHVSEMVGTGREDEEYADVDWDNLGFSLVRTDYMFATRSSGEGNFEQGCLSRYGNIELNPAAGILNYGQAKASKHVPKTHNNSSHNKQIFWWILQGLIEGMKAYRGENGRVLLFRPELNAMRMKKGAERMCMHSPSVQQFIEGVKQTVLANRRWVPPPGKGSLYLRPLLFGSGASLGLSAASEFTFLVFGSPVQNYFKEGTSALDLYVEEVIPRAYIGGSGGVKAISNYGPVLEVMRRAKARGFSDVLYLDAETKKNIEEVSASNIFLVKGNTIVTPATNGTILGGITRKSVIEIAIDLGYKVEERVVPVEELKEAEEVFCTGTATGVVSVGSITYQNTRTEYKVGDGLVTQQLRSILVGIQTGSIQDTKNWTLERRMMWRGHNERRLFIRQKHLHLTWLSLLKFPSPPNLWSSHQGNCNRNFNHLFTTKDKGSVIVKMNKTISSLRKSLVLPLHVHIRTLQSFSKYNAQAASALQEERKKPTYQDDNEYADMDWDNLGFGLTPADYMYVMKCSKDGEFTKGELSRFGNIQLSPSAGVLNYGQAIYEGTKVYRKENGKLLLFRPDHNAVRMQLGAERMLMPSPSVDQFVDAVKQTAFANKRWVPPSGKGSLYIRPLLMGSGPVLGLAPAPEYTFIIYASPVGNYFKEGTAALNLYVEEEYVRAAPGGAGGVKSITNYAPVLKALSRAKSRGFSDILYLDAVKKKYLEEASSCNVFVVKGRTISTPATNGTILEGITRKSVMEIASDQGYKVVEKAVHVDEVMDADEVFCTGTAVGVAPVGTITYQDKRIRYETGDESVCQKLRSVLVGIQTGTIEDTKGWVTCIN >A07p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8583886:8585861:-1 gene:A07p011650.1_BraROA transcript:A07p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNQKISSLSAAMNAKIIGSGERSMVLAHGFGGDQSVWDKIIPVLSQSFKVLVFDWLFSGAIKDQTLYDPSKYNSLDPFSDDLIALMEELKFGPVVFVGHSMSGMIGCAASIKRPDLFTNLIPIAASPRYINSEDYRGGFESKDIDTIITSIGSNYEAWAVAFASVVVDSRDSLSVQRFEKCLKKMKPETALALAKIVFGSDERELLNQVSVPCHVIQPRNDVVVPVSVAYFMQEMIKGESTVDIIEDAMGHFPQMTSHIELLGVMKRLLQL >A06p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27336771:27342360:1 gene:A06p051640.1_BraROA transcript:A06p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFISSSLVSSWRISEDAIQYLVTMYTAHNCNYKQRCCLSFNQKSPYYGFESLARKVTQLAGNLPLGLMVMGSYFRGMSRHEWEMELLSYDALCDEDKDLFIYISCFFNDVWIERVDEFLAENFSNLRYGLHVLDDRSVISIDRGWIRMHNLLARLGREVVRKQSIHGLGQRQFLVDRETCRILSNYTPTCLPPNLSPEFLVEIYMPSSNLEKLWEGSQVSIIQQKSQLYSIKISLHESKKLISHDCSSLKTFPNISTNIERLKIKGTAIEDIPSSIWSHLHHLAMLYSENLGKSRNAFGLITVQCLCDKRIRELFPWIKEMSHLRKLVISGCTKLVSLRQLPGSLVLTILKSRKLFGNCFKLNQEVIRTCRLRPYLVKKCPYKACVLVVNNKGDAEVCDRKEELDGYYCIKDKQNGTTSVRRRSRIHSQRFPLLKEHLYTFEIEKKVSCTCRESVFEFEVRDKKWEVRECGYVSSAPVTLAASKIPNYFFLQIFRKKFPQILSFLQIDLQINCLKKNMGLKRPFDAEEMQECNAKHARQLTYHPDQFDQSMPFHVPLDKTAVLGEDLNGLCEKKPAWSNAADHVEKDYDTCAPFSWVSTGLCQEDAQTQSSLSHESSGSDLTWRSLSPVEDVYTSLMNQPPRKLVPLGSNHQADIPECESPVQANDDLERKLMGKCIIPMPDTDLCGAGQGRKECLCPDKDTVRCVRRHIMEARESLIEAIGYERFTELGLCEMGDEVISLWSEDEEDLFHKVVYSNPVSLGRDFWKQLKATFPSRTMKELVSYYFNVFILRRRATQNRLQTLDVDSDDDEWQVEYDVFCKGKSSSHVDNEEEEEEANSSDDDDDDEDEEDSPANDAHCVYMDKVSREGGEVNVEDDSCMSFEVHDSNLVFAHSPVENRASHGSGLFSFDDACDGRLASSDCWTKNNDLLPTSNIMEEIFGKDEWGENGDNLKGK >A06p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18528237:18529966:1 gene:A06p034250.1_BraROA transcript:A06p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSQPQDRHLGAPGPSGGGGGGGDELIRTYKGWKGDNVFCFGGRLVFGPDARTILITIFLITAPVTIFCVFVGRKFIDDYPHHRGVSVLAIAVGLNLLDLVFLFLTSGRDPGIIPRNLYPPEPDSNGEPRLAHTPTQTRLPRTKEMLVNGITVKIKYCDTCMLYRPPRASHCSICDNCVEKFDHHCPWLGQCIGLRNYRFYFMFVLCSALLCIYVHVFCWIYVKRIMNGEKISIWKALIKTPASIALILYSFVSVWFVGGLTGFHLYLIGTNQSTYENFRYRYDRHENPFNKGIVGNFMEVFCTKVPLSKNSFRAKVPKEPAIPPRIVNGAMSSPSLQKVSHDIEMGRKPVWHETVEEELGDLEKDMETTVTSRDLSRMLPPEESEGRGIMHSRESSRGRRGGSWEFSSRVNEDLRARDESVSIRVGEDSSESSGNVASR >A05p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13923740:13924022:1 gene:A05p025910.1_BraROA transcript:A05p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEAAHPPRAPAFQFDDLTQDEYGYAEEIGSVYLNLPRYSVRQNRRRPDPQHLVTIDMLEDMIA >A09g511160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:33742297:33742539:-1 gene:A09g511160.1_BraROA transcript:A09g511160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASYREVCRRQSCGGGRRRKRFPAVARGRNARSRLNPGGAYGFVCAPIAAWSVSTYSSRREEHDDGLACTIFATVREL >A09p037230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25149208:25150002:-1 gene:A09p037230.1_BraROA transcript:A09p037230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSYLPNFGKFRRSKGGLIRLSQGHLQPLQDVANAAFLAALFSDYLEASYTPGWYCGPSFYTPKNLRDFSKSQMDYILGRNPRNLSYVVGFGERYPSQVHHRGASIPAHKKESCKGRWRWKDSNMDNPNIIQGALVAATDMDDGFQHVRTSSNYTEPTLVGNAGLVAALVALGGGGTLDKNGIFSAVPSFSPPSPPPPAPWTP >A06p022240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12371916:12372711:1 gene:A06p022240.1_BraROA transcript:A06p022240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 7A, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G47833) UniProtKB/Swiss-Prot;Acc:Q9C5E8] MAFLLKNNSISSHLRSSSQKMDGGALGQSRRGFHVELGAREKDLLAENDALRRFKSHKKGVRQLKRIGDVITAVVVAGCCYEIYARATMKKEA >A08p045870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25077529:25080935:-1 gene:A08p045870.1_BraROA transcript:A08p045870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine protein phosphatase 2A regulatory subunit B''epsilon [Source:Projected from Arabidopsis thaliana (AT1G03960) UniProtKB/Swiss-Prot;Acc:Q84JI6] MKMDIVGVEDVHILDPELLQLPCVSPSPLKASSHIADELFSHWLSLPETATLVKCLIDEAKSATPTNLSKSYSGGNALPSVFLSNGTPPLSPRSSPGSPRFSRQRASPPSLRSPLRSVKEPKHELIPQFYFLHGRPPARELKEQCISMVDHFFSNFIDGLHVDEFKSITKEVCKLPSFLSPALFRKMDPESSGIVTRDAFIKYWIDGNMLTMDTASQIYNILRQQDCMYLRQADFKPLLDELLATHPGLQFLRTTSEFQERYVLTLSFIDYPAETVIYRIFYYINRSGTGCLTLRELKRGNLIAAMQQLDEEDDINKIIRYFSYEHFYVIYCRFWELDGDHDCYIDKDNLIKYGNHALTYRIVDRIFSQAPRKFTSKVEGKMSYEDFVYFILAEEDKSSEPSLEYWYTTFSSLFKCIDLDGNGVITPNEIQFFFEEQLHRMESITQEPVHFNDILCQIIDMIKPEEENCITLQDLKGSKLSGNVFNILFNLNKFMAFETRDPFLIRQEREDPSLTEWDRFAQREYVRLSMEEDVEEVSNGSADEPLEPPF >A01p027950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18597489:18609245:-1 gene:A01p027950.1_BraROA transcript:A01p027950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPVLPPRMFAAGKEPIRERLFGSLKSVSIELAVDLLKIRKVKDHDTRLKIACLAITSSILLSSSHTPRIIPDYGYKMEMTVYGDYENFKGLEEEEEKWVEIFRVKVDRSGPGFQPVNSPFKLIGTRDTQVRLINPPVNDRLCNTYVVDTMGVVFNTEARFDLATPMMVFYIRDNIHSQIKCVATGEQAYAFWDGLEKMGRGQVIVALKMWRIRKHWNCSGPDDLSLETEGGISDFRFNPRLSEVEHFRQSLLNSDPYVKKYGVESLVSMIQHPRSQFDDSEEEQGGRSREDESTRRFDDDRALDYGLVGIERRGGERRIENDSININLFIKSVRALYSYPSGRVSFHFLVTNIISKDEVSLAQSSVALKGQVDSIQQEVTLNEPVVLVNSDSDCDMEEIGLNDGEDNDSVKEKPPLEENLKSSLRMLGKLTVEVKSILDDLKENWAQTVDFSYDDELEDALVDDMIKAGEKNKDKETKDKLEKEHMSEFTECDSSELPHPPGLANHICTLMKDYIGGIEGRVASSVQLSVHHGLLELQKMLRPRLKKRTMIGHNENSPSSGGRSPPLVSSNAQANWTSPARDIIDGVLDDLNLDTGAEASGSHVSMPEKKTGNQDVQNLASHDSLLEKSAGHTDPHNNGDHPNPMSDQLDTEMHIPYNLLGILSFSLGLLHKEVTEVLVNVKHVNFVMHEDNGDGGLVEPRKRYNINPNIGQLFSDLQEELRQKKLTLSIYFQLIDISPTHTITPTEFSDIALRPQHISPKVMDALMLFLARELPDDDSRVQILDTTFHAYMVMQHFRVVKTAVKDRPKLKFAVTSVVILDSNVAFKSESLIKKDLNPIAALMPYIVKAANGTEFVGSLKPFSLNQAKGVPQIVNAGDAAVMFELLIEAHTKCGLPGLKCITTHILPKAAKQLVVIFFNDLIFFLAETFPSPFPAVERSFRSLSQLHRILPQYDQHRTSKLLGHVSPILM >A03p031300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:13193829:13194230:-1 gene:A03p031300.1_BraROA transcript:A03p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISASLIIFLTFNILFFTLTTACGGGCGSIPKPKPKPTPTPSSSGSCPRKTLKLGVCANVLKDLLKIELGTPPVKPCCSLLNGLVDLEAAACLCTALKANVLGIKLNVPVSLSLLLNACGRKTPRGFICA >A09p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:821907:824256:1 gene:A09p000950.1_BraROA transcript:A09p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKTISAEDVGIGVDLSPPLSPLTFSLYDSFLSSHCSSCFSLLPPTPPHSLYCSAACSLVDDSPTVSPPDLSPILSTSDIRAALRLRLLNCISSSSAAASLPHRFGGLLTNHRRLMADSSFSVAIRRAASVISDVLRSDRGNTVLEEAAICAVLTNAVEVQDRAGVAIGIAVYGSRFSWINHSCSPNACYRFVISPPPHSTTTSSFQKTLPRITNTDKEHFSSNYEGTVRYGPKVIVRSIKRIKSGEEITVSYIDLLQPTGLRQSDLWSKYRFICNCGRCAASPPAYVDSILEGVVALDHDELTTVGHHDGAATVGKMTSHINKAIDDFLSDDIDPATCCEKIEGVLHHGILDSSSLRLHPSHHAALHAYITLASAYRIRSIDSETDDFGRAFEMSRIGAAYSLFLAGVSHHLVSAELSFAISAANFWTRAGESLLELASKLLMESSGEYDDDDVKCSKCLMLVENHGEIKENFNQILKCAVTDSDSSQVTWSFLIRGCPYLQNFKSSIDFSFTGNHCKREESKSVDQRVSILLLSFHCLLYADLLTDLCYGRKSHSVS >A03p023640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9990467:9991684:-1 gene:A03p023640.1_BraROA transcript:A03p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTHIEICLISARGLRVGTGFGSSLLKHQWYAVGWIDPESKYCTTIDASRSDNPLWRTKFATLLDDDASKIQALHVEVYSREPIFLRKKLHGSATVSLKEFLVKYKKQSSVVEETGSYQLRKVNSSKAQGFVDVSIRVSAERQDFGGFTGDFGGVMLSNNSGYNNTSGQNYMSGSSQHPFALNQPNNPNPFSVPPKNHHSPMSNPLTNNASPQMQQPYYPPPPPMQQPYYPPPPPSTSNAGYMPSYMPRSENAVYIPSSSSGGAGRGYARPGPGGSAGLGAGAIVGAAAAFYGRDYLSGGFDLPTSLPLPNFSIPNISLPNVSIPNGSISIDPPF >A09p032710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19818750:19831796:-1 gene:A09p032710.1_BraROA transcript:A09p032710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 34 [Source:Projected from Arabidopsis thaliana (AT4G11530) TAIR;Acc:AT4G11530] FFIFLAEWSNIRIYGLWLSNSNNLKHKALGSNLVRMKLSTSVLPIFLFFLLTLHHVSSQTCFNGYFKLSSTYDLSRRQIFSSLASNVTTHNGLYRTSLGQNQDRIFVIGMCIPGTEPQSCSDCIKSTSDGLLRGCPNQTVGYAWPDVCMVRYSNISFSGSLVMEPSEPVSNPKDIGVNLTVFDRVWEELMLRTIAAASSGSRGSFGHKYYAAEIATLTSFQTIYSMMQCTPDVSSGDCEFCLKETVSAYNSCCRGHIGGAYVRPFCFIRWDLYPFAKAFDNITLSERDRANITSEDRKTISTVAIVAIVVPIFVIFVLLVVGLLVCRRKEQYQNLKVQGGDEITTTHSLQFSFKEIEAATDNFADSNMIGRGGFGEVYRGVLSTGTEVAVKRLSKTSGQGAQEFKNEAVLVTKLQHKNLVRPLGFCLEGEEKILVYEFVPNKSLDYFLFEYAMHGHFSMKSDVYSFGVLVLEIISGKKCSSLYHIDESSGNLVTHAWRLWKAGSPLELVDPTIVESYESNEAIRCIHIALLCVQEDPADRPMLPAIILMLTSSTATLHVPRAPGSCLSSKCDWISNGLESTHSTSRSIPGSINDVTISDLDPRSCEAYDYEASGRYISEVDNDKIYTDLDNMIKDILNGQLNEKFWDAVPTTKCQKRKNGVAASVVPNQRPSTKRRKDKEPADGGEASDMAADHNVAISGLAELVKILTAKMEGIDDSVADKVTKALDATIDSKVEARVKNNADVNIAPDVATSKAYEDEEDGACSNDLSWIVQKKINSQDGLPVDCVVKKEKKDKKTMDSTHNLTTKEVIKTEKKAGIPLRRVKQEKAFEIPQLNDESISSKNLENHLQWEKSVNCRAVLEALASNLKEPTRRRKPQLTKTQVWPFVRNSTVKRIISDEKVSKKPYDPLAKMEADKLLKVLDFIKSDLEAKEPGVGDESAGFFLRLMIPRDDWPTKNYGWLNDSHIAAAMLMFHRRSRQEQSPYSSSRMVPAKTRTKSGKQFAYIRHKKILQNENPGDCGVYSLMYIKCQALGRNFDGLNDQIITQLRLKLAGDIYEEICFNGFFKPNSTYDLNRRQLLSSLASNVTSNNGFFSSSIGQTPNRVFIIGMCIPGTKPETCSDCIKGASDRLSQSCPNQTEAYTWPDCCMVRYSNVSFSGSLLMEPSQALYNTGDIGDTDANMTVFDRVYDELMIRTITAASNGSSSFEQKYFAAEVASLTNLETMYAMMQCTPDVSSGDCEFCLEKSVGEYSSCCRGKQGGAVIRPSCFFRWDLYPYAGAFDNVTLPPAPPQASSPPPPSLSPPVSDTANTTGKDRKIISTGIIVAIVVPAVIMLVLLVVGFMVCRKRKLYQTNEVRAGDEITTTRSLQFSFKMIKDATDKFADSNLIGRGGFGEVYKGTLSTGTEVAVKRLSKSSGQGAHEFKNEAVLVTKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFLFAKRTRLDKTGYMSPEYAMRGNFSMKSDVYSFGILILEVISGKKISSFNHTGDSGGNLVAHAWRLWRNGSPLELIDPTIGESYQSTEATRCIHIALLCIQEDPADRPLLPEIIVMLTSSTTTLPVPRAPGFCLPSRHELDTDGLESTQSTSRSITGFINDASITDFYPR >A08p041930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23622447:23628049:-1 gene:A08p041930.1_BraROA transcript:A08p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDWLCLVKNRYKYNERAGFLRCYLCYQATISGESVHLPDLMELDSDNKLLKTCLVITATLVVTKLIFTFFTSSSKKKRLPPTLQAYPPLIGSLLRFLKGPIVMLRDEYPNLGSVFTVNLLHKKMTFLIGPEVSAHFFKAPESDLSQQEVYQFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRVNKLKGYVDMMVTEAEDFFSKWGESGEVDLKDELERLIILTASRCLLGREVRDQLFDDVSALFHDLDNGMLPISVLFPYLPLPAHRRRDRARQKLSEIFSKIIGSRKRSDKAENDMLQCFIESKYKDGRQTTESEVTGLLIAALFAGQHTSSITSTWTGAYLMKYKEHFSAALDEQRKLMEKHGDKIDHDILSEMDVLYRCIKEALRLHPPLIMLMRASHSDFNVTTRDGKTYDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRFSVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGNVMVRYKRRQ >A05p045020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26978151:26979217:1 gene:A05p045020.1_BraROA transcript:A05p045020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSSSSSSNTKMDLVDVLVDESYFSALFDYDEVFPISDVNYATELHLQEALFSSLIASTAKINKIPQIQRSVTTLIKQEPVIKIESEPLEPSIRLCMICMDEKPSSDMFRGSVTCTHAYCTQCTIRYVASKIKENSARIKCPDVECTRSIEPYMCRDLIPKDVFERWEKILCESLISSWDKLYCPFKDCSSVMILDDVNNANVAQTECPSCHRMFCAQCKVAWHVGVGCEEFQRYGNTKKKSSDEEDALLVQMAKNKQWRRCPSCKFYVEKADGCVDFSSVMVVDQCGFLLTHAKFVPRGQSMNN >A01p024970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20501092:20502567:-1 gene:A01p024970.1_BraROA transcript:A01p024970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVQNTLYFVAAILLIHQNTVTSDFLSPLLSPMFDDICKEVQCGKGKCKASLNATFMHECECDNGWKQIDHNLKFLPCVTPNCTFDLTCGEAASPAQPKTPPKDTNASFFDSICHWVDCGGGFCNKTNPFLYSCNCREGYNNLMNITTFPCFKQCALGMDCLNLGIPLSNASSSSPPALPDSSKNQATGLNIRGSSLWFITYLLCVSLAPWRLLCI >A09p082180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59573177:59575090:-1 gene:A09p082180.1_BraROA transcript:A09p082180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTVELNLRETELCLGLPGGETGAPVTGTKRGFSETVDLKLNLNNEPESNEGSKTHDVVTSVSKEKSSSPKDPAKPPAKAQVVGWPPVRSYRKNVMGSCQKPSGGTETASFVKVSMDGAPYLRKVDLKMYKSYDELSNALSNMFSSFTMGKYGGEEGMIDFMNERNMALVNTWDYVPSYEDKDGDWMLVGDVPWPMFVDTCKRLRLMKGSDAIGLAPRAMEKCKSRA >A07p047200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25381505:25383080:1 gene:A07p047200.1_BraROA transcript:A07p047200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANETNVESKEYRLSREVKEALQAIASEWEDVIDSKALQVIPLKGAMTNEVFQIKWPTRESGPSRKVLVRIYGEGVGIFFDREDEIRTFEFMSKHGHGPLLLARFGNGRIEEFLHARTLSASDLRDPVISGRIATRMKEFHGLDMPGVKKALLWDRLRKWLSACKRLASPEEAKSFRLDVMEMEINLLERALFKSDEKIGFCHNDLQYGNIMMDEETKAITIIDYEYSCYNPVAYDIANHFCEMAADYHTDTPHIMDYTKYPGVEERKRFVKTYMSPSGEVEPSDTMVKKLLEDVEKYTLASHLTWGLWGIISEHVNEIDFDYMEYARQRLNQYWLTKQRLIGNP >A02p045780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28669002:28671603:1 gene:A02p045780.1_BraROA transcript:A02p045780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G01270) UniProtKB/Swiss-Prot;Acc:Q9ZU40] MSLAHLVLFAGLLSLVILASSSSSSSPGSRSILRDISGENADQKDRAVELNSSNFDSVLSDTPAKYAIVEFFAHWCPACRNYKPHYEKVARLFNGPDAIHPGIVLMTRVDCAMKTNTKLCDRFSVSHYPMLFWGSPSKFVSGSGEPKKEKSEIVVIDDARTAERLLKWINKQTQSSYGLDDKKFENEHVRTNITDYKQISQAVYDIEEATAEAFDIILSNKVIKSSETSASFIRFIQLLAAHHASRRCRKGAAEILVNYDDLCPSGKCSYDSSGGNDTLGSFPICGKDLPRGYYMFCRGSRNDTRGFSCGLWVLFHSLSVRIEDGESQFAFNTICDFVNNFFMCDECRLHFNDMCLSVKTPFKKARDFVLWVWSTHNKVNERLMKDEASLGSGDPEFPKIIWPPRALCPSCYLSSDEKSIEWDHDNVYKFLKSYYGPKLVSLYKEKSVVGSKEETVSATAEDLTVATNALVVPVGAALAIAVASCAFGALACYWRTQQKNRKYCRGEAGTEVLEAELLMFP >A03p052370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20096866:20099314:1 gene:A03p052370.1_BraROA transcript:A03p052370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRRKRRSDPPLSRPDSLSPDMPFPSKSPSLLSPRVRNIFLLLTFCSISEPLEMVLPLFSTQGRHLLFSIAASRDSWLRRSSYVRLWYSPNSSTRAVVFLDRRGGGGLDPDPDHTLPPVIVSQDASRFPYTFPGGLRSAIRVARVVKETIDRGDDNKDVRWFVFGDDDTVFFVDNLVTVLSKYDHRKWWYVGSNSEFYDQNVRYSFDMAFGGGGFAISASLGKVLARVLDSCLMRYAHMYGSDSRIFSCLAELGVALTHEPGFHQIDVRGNLFGLLCAHPLAPLVSLHHLDAVDPFFPKTNRTESVARLISAASFDSARILQQSVCYDSSNIVTVSVVWGYAIQVYEGNKLLPDLLTLQKTFSTWRRGSGVRSNYMFSTREYPRDPCARPLVFFLDSVGSDETGGTWSSYKLHSVGNCHRAEAVKRLQRIRVLSRKLKLNVEQMNPPRRQCCDISSPFNTSMVINIRQCMPDELIAMNT >A06p007540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2611377:2612363:1 gene:A06p007540.1_BraROA transcript:A06p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCDCISEMPDSLLTHILSYLPTKDTVRTSVLSKSWRFVWLEVTSELDLNAVDFLRYEDSSSLVSLLNNRSFLRKFKIKYDDSPLRIKRKRGSDYSKKVNNTGKRVMEWIAEAVHRGVEHLDVVNKITSRRAIDFMPKYLYVSKTLVSLSLVNVGLEDPKFEVSLPCLKSIYLDNVCYMGDDALVIMERLISGSPVLKTLTTDVPVLKKKPQQTVFREAPWCLEHVKINKLTMKEEHYGIKLVNYFLENSPAFKKMTSSFIGSRNQPREAGIEIVNYFLENSAALKKITLSFRDSDMTSEEAESYKKLLTSTKLSPMSQISLSISHE >A02g512210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33159023:33162605:-1 gene:A02g512210.1_BraROA transcript:A02g512210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVFNPKGPQSGPVHKKFLDLESDAPRSSPGWGRLAAPRTPLPLRLGRVQVPHRPKRHGRACGRKPLSPLVHSACVFLRALSYDPFPPRLGVTQSYRRLYSYLPFLNATAAASTFNNIKEHERDLGRTPHLSLDLDLDLRIEIADITRPPPPLAAVHGEERETRPREREGGATKRERERRDAAKREKEERRRREREGRRRQLGFLISGTSLQGFASKFVMEG >A08p027390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17308587:17309381:1 gene:A08p027390.1_BraROA transcript:A08p027390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMSASSVFLLPANVTAPAGASSSRNSVSFLPMRNAGSRLVVRAADEAAPEPAAPEGAPATTAAPAAAAATKPKPPPIGPKRGAKVKILRRESYWFKSVGSVVAVDQDPKTRYPVVVRFAKVNYANISTNNYALDEIEEVKA >A01p029740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21056887:21059779:1 gene:A01p029740.1_BraROA transcript:A01p029740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TOC75-3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46740) UniProtKB/Swiss-Prot;Acc:Q9STE8] MAAFSVNGQLIPGTSSSTSICTRRKLLSLPSSGLPRISSPSPRVPSIKCSSSRDTEAPPKHLLLKNLAVASVSSAASFFLFGISNLPSSFLSSGGGGGGRGGNGRGGGGGGGGGDGGFWGKLLSPAPAVADEEQSPDWDSHGLPANIVVQLNKLSGFKKYKVSDIVFFDRRRQSTIGTEDSFFEMVSIRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTKPDGTLGVTISFAESTWQSADRFRCINVGLMVQSKPIEMDADMTDKEKLEYYRSLEKDYKRRMDRARPCLLPAPVHGEVMQMLRDQGKVSARLLQKIRDRVQKWYQDEGYACAQVVNFGNLNTKEVVCEVVEGDITQVVIQFQDKLGNVVEGNTQVPIVRRELPKQLRQGYVFNIEAGRQALRNINSLGLFSNIEVNPRQDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGPGGAPTLASFQPGGSVTFEHRNIQGLNRSLMGSVTTSNFLNPQDDLSFKLDYVHPYLDGVYNPRNRTFKTSCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANVTENFTRQSKFTYGLVMEEITTRDESSHIAANGQRLLPSGGISADGPPTTLSGTGIDRMAFLQANITRDNTKFVNGAVVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFIQLQQVEEGAGKPPPPVLVLHGHYGGCVGDLPSYEAFVLGGPYSVRGYNMGELGAARNILELGAEIRVPVKNTHVYAFAEHGNDLGSSKDVKGNPTAVYRRMGQGSSYGVGVKLGLVRAEYAVDHNNGTGALFFRFGERY >A04g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10177683:10179685:1 gene:A04g504710.1_BraROA transcript:A04g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGDLLSELLLSLPEDVFALISRFLSPSDVCNLSLCCKTLRDLVDSEKIWLVQCEVVKVLPLSEIVQWRAGISSYKALCRFLVEVTKPLVGVWVHQNPELGNVVYVMSGFLSVIGCRIIPQEVGSLGIQEGRLLWSPVFEIVSGFDGSARFFLHGIDRESSYLYPGFVTSIDKCCNVLLLEVEPKRREIERRAEKVLFPFCKLPFCDRRKLLYLVTGHVGLPVPELSLKDDKAKSLERRTMLLKSGGNWSHMSLEDELCYDPIQVEINDELWTHLGYGGDFRHVDDEVQVQGTQRKSLSKYFRSGIKSILRRSNSTGSSSSSAKQQASCSSEIRRFNLQKFLSAGDFVGLSVKASKIKLTSYRGWPSMHETHFALYKLPIKIPVEENQEYAGLWGGTFGWPPGKCTEDKPGKALFLLMLTYEKSQDGSERLLVGTKILEGTHYVMHPNGSAMFVVKIGSPTSEIFPFDDTTNGEEKYGFECCYTGEGIAKGYGFRYPGYKPGSLFVTSKGLLMFVWKETKTVLTLQRLNLEELLKKGTGKYKK >A09p024660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13688153:13690226:1 gene:A09p024660.1_BraROA transcript:A09p024660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLFGVRNQRRSSRPLSVGDMDYLEPKTKNNLLGKLLLLASLVILAIIVISRSSSFTSPSVFSQREEGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQGLFPQTGRLQFIYADLGDPAAVEKIFSENAFDAVMHFAAVAYVGESTLYPLKYYHNITSNTLGVLEAMARHKVKKLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPGGRLGEAPRPELREQGRISGACFDAARGFIPGLQVKGTDYKTSDGTCIRDYIDVTDLVDAHVKALQKAQPRKVGIYNVGTGKGRSVKEFVEACKKATGAEIKVDFLPRRPGDYAEVYSDPTKILRDLNWTAQYTNLQNSLQVAWRWQKIHPHGYASY >A05p055490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32813250:32815419:1 gene:A05p055490.1_BraROA transcript:A05p055490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPPNRDHVEDHQSFDLEDWLPITASRNANWYYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVAVLILSWVITLYTLWQMIEMHEMFEGQRFDRYHELGQAAFGRKLGLYIIVPLQLLVETSACIIYMVTGGESLKKVHQLSVGDDKCTKLRIEYFILIFASSQFVLSLLKNFNSISGVSLVAAVMSVSYSTIAWVASLAREAPESVEYGYKKRTNSVPLDLIGGLGEIAFAYAGHNVVLEIQATIPSTPENPSKRPMWKGAIVAYLIVAFCYFPVALIGFKTFGNNVEENILTSLHDPKALIILANMFVVVHLLGSYQVYAMPVFDMIESVMIRKWHFRPTRVLRFSIRWTFVAATMGIAVALPYFSALLSFFGGFVFAPTTYFIPCIIWLILKKPKRFSLSWCINWSCIILGVVLMIIAPIGGLAKLRYQIKHKRLPNSNYTIT >A01p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29656446:29658325:1 gene:A01p052910.1_BraROA transcript:A01p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB10 [Source:Projected from Arabidopsis thaliana (AT3G12820) UniProtKB/Swiss-Prot;Acc:Q9LTV4] MLTRTCVCVYINTNISYQILQKLKSVFVSVMGKGKAPCCDHSQVLKRGPWSDEESEILKAFILQNGHRNWRSIPKLAGLMRCGKSCRLRWVNYLRPGLKRGNFTKEEEDTIIHLHQTLGNKWSKIASHLGRTDNEIKNVWNTHLKKRSMKSNSSASSDVTNQAPSVSRSSSSSSSSSVSNNVIKSEKLNQEEELEEILVEDMACGFEVNAPQSLESLFEDRKLPPPISKPDSLEIRGKSDDELCRQMVEPGLDDYNEQEVKKTGHQH >A10p025220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:16198019:16198666:1 gene:A10p025220.1_BraROA transcript:A10p025220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLSIAKFVEAILRRIFSSSGLSLQTLSIDSETTIQYWGPATSENKQKPSLLLLHGFGPSAVWQWNRQVKKLSIYFRLYIPDLVFFGGSTTTSFRSDENRSEMFQASCMGRLMEKLGVERYSVVGTSYGGFVAYNMAKMMPGKVEKVVLASSAVNLRRSDNEAFIARAKCHGIVEVMLPSSAADLRRLSGMISSRKLDYVPDFVLNDFCQVF >A03g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1471005:1475006:-1 gene:A03g500490.1_BraROA transcript:A03g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTHNAVRQLNDVKPFKDTWKVEIKVLHSWTQHSTYSGGDSFDFILADKTGVKIHCTCKRNFFSRVKKLQVGQWKFFENFSVTPATGKYRPTSHKYKMTITGSSNVTNSELKIDDDFLTLTPLQAIMNGSLDSNFLVDVIGRAIDIVELQVVQVGGKEKKNAGTYIDRYKRAITSGSGEIEKPKGIKRQTEKWSLYPDRSILDILLSTERMKRKYLTPHGVSGHQKSAQSESKQRRLSFQAKPIPLSTSDEYDDLEFECSSQERSDTDDSNDEDSTELVQEKDNQSKRVSVLAALFKKSFTEVKPKVKPTSPKEDG >A01g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23867671:23869856:-1 gene:A01g508800.1_BraROA transcript:A01g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPTGAPPPPSAVSSAPPPHPPPVHHHHPPPPGLADRPPYDELRTIFIAGLPDDVKERELLNLLRWLPGYEASQVNFKGEKPMGFALFSTAQFALAARDALQHLVFDAESKSVLHAEMAKKNLFVKRGIVGDSNAYDQSKRLRTGGGDCTHSVYSPSPFHPPPPQVWGPPHGYLSPAAPPYDPYGGYHAPPVPMPPSAPIAAPSSYVPVQNVKDNPPCNTLFIGNLGENINEEELRSLLSAQPGFKQMKILRQERHTVCFIEFQDVNSATNVHHNLQGAVIPSSGSNPYGKRKEGGGHSFFPSPSANGAQGALTYQ >A04g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11232836:11233326:-1 gene:A04g505150.1_BraROA transcript:A04g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFFLPESRRFFFSPNFFPKSKSTSRSLFLDLETLFFTASGDSQINSKLKAQSRVETMVVAVEGVVEEAVDTVVEAVVVVEAMVEDVSMDTMVVVSGGGCRIFIHKTLNIRPHPQDLHPQNLDSCVHIFPCP >A09p064020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51751108:51751445:1 gene:A09p064020.1_BraROA transcript:A09p064020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAARALAFLSSFLRLGSWPAADVTLGRMLPDVPVCSLYLYRIWWETKMINWFMQSIFDTVKSSLKL >A08p019370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13235805:13236569:1 gene:A08p019370.1_BraROA transcript:A08p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESDYILLESIRRHLLGESESWLSESTASSVVQSGTTAKPVYGRNPSFSKLYPCFTESWGDLPLKENDSEDMLVYGILNDAFHGGWEPSSSSSDEDQSSIFPKVKTENFTVVDHAPAKKASPVKAPEKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAFRMRGSRALLNFPLRVNSGEPDPVRVKSKRGSSSENENGASKRRRAVASGGQGTDMGLKVKCEVVEVRRDDHLFVL >A06p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9860258:9862774:-1 gene:A06p020140.1_BraROA transcript:A06p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLLKSKNATGKAAHSDWSNYDDLYEYFWSPDCFYLGWPMRDDGDLSPVSSLQQLFYASSREITITLFLPSILGILDIILNFPGFHRWKFTEILRNILKIAVSLAWCVVLPLCDAQSNSSAPGMLSLFTCSQMYDAGFIIPFFSFWMKIMILFSQQIPLGMLEELLLYYNVGQIGQQVHGGAPARGKSHHIHDPMWWRNWSSTALARRKGTCDDVQLGSWKREGIQSRRMNLCWLRLGQAEHALYDANVCRELKPDWSKRCCFREGVALCFLQRFVEAANAFFTKE >A09p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2996444:2998733:-1 gene:A09p005490.1_BraROA transcript:A09p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCSSLSYSSSSTFIATTTTLSSSLKLNPQSFIFHLNLRKPPPLRCLSSLTMESPKPILDFEKFDDGFVQKLVYDALVWSSLHGLVVGDKTHQRSGTVPGVGMMHAPIALLPTPFPESYWNQACEVAPIFNELVDRISLDGKFIQDSLSRTRKADVFTSRLLEIHSKMLESNKREEIRLGLHRSDYMLDEETKSLLQIEMNTISCSFPGFGRLVTELHQSLLRSHGDHLGLDSKRVPRNGSTSQFADAMAKAWLEYNNPRAVVMVVVQPDERNMYDQHWLSSNTHNIVTIRKSLAEVETEGRVHEDGTLTVGGQAVSVVYYRSGYTPRDYPSESEWNARLLIEQSSAVKCPSIAYHLAGTKKIQQELAKPGVLERFMDNKDDIAKLRKCFAGLWSLDDPEIIKKAIEKPELFVMKPQREGGGNNIYGDDVRENLLRLQREGEEENAAYILMQRIFPKVSNVFLLRDGVYRKDQAISELGVYGAYLRNKERVIINEHSGYLMRTKVSSSDEGGVAAGYAVLDSIYLN >A06p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25255703:25259634:-1 gene:A06p047570.1_BraROA transcript:A06p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDM2-like protein1 [Source:Projected from Arabidopsis thaliana (AT5G48090) UniProtKB/TrEMBL;Acc:F4K064] MDSSDEEGEILPDYVDDYSFVDQSDIPVNFSILPGKWDDDDDDGEEDPTGSSRGPVYLRGSTDCGNESVCKLAKAWRFDLFSDECLKVEVFLHGMRWITLHKPAKSYEALVRTTLVTLRCLHFVKRNPEASSDRVWRSLDKVDGIQPSEHDLSDHVSLVCRAMKMDEDLKKSKCLRKFLEKTFQTTPTEVELPMQHQEDAQLPQEQNFTADNMLDEERSSDDDSDMNLQFDTVCSICDNGGYILCCEGSCLRAFHPTISDGADTSCESLGFPDGTQVQALREYLCSNCQHKQHQCYACGQLGSSDKNSSQEVFPCSASNCGHFYHPICVAKLLHDGDQIKTEELQAKISARDPFFCPLHICKVCKTSENKNLYACHFAVCRRCPTAYHRKCLPREITSELNCDEETPQRTWEKLLPYNRILIYCLNHEIVGNLGTPARDHLVFPDVSGPRRTLSHGLEPVKEDVPSMITGSKHHEGINRSRKPRMNFKVNDYLNKRRMESIEKRLSKQEVPSDFADPNDVDDEDVESRVLSIIDEVDSSFSFEEFVKSRGETHAQCYQSRNDVGKNITTGLVETHVNAARAALKMFEAGRNEDARAIFDPDLLVQLMKHKTKLEIYLSPFLHGMRYTSFGRHFTKLKKLEEIVERLHSYVQNGDTIVDFCCGSNDLSCLMKAKLEKTGKSCFFKNFDLILPKNTFNFEKRDWLTVKKEELPDGSRLIMGLNPPFGFKSSLANTFIQKALEFKPKILILISFYLPGSIDVNNKTIEQWNNIPPPLYLWSRRDWTWNHKAIALQQGHITHMYHSTYTVGLHHAEPPPDDGIVQEMEISPLD >A10p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11612825:11613417:-1 gene:A10p007270.1_BraROA transcript:A10p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGAKVAYFFNTLCIFPIFIEDFGAYGNLPRGLLDGLTLLVMFAYPCFMWILINKPANYSFSGYFHWGLGWLGVGSCIQLGHIHRWDVEYG >A01p005660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2946394:2948204:-1 gene:A01p005660.1_BraROA transcript:A01p005660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATETQHQEEKEASSDASQKRWNLSDFDIGKALGRGKFGHVYLAREKRSNHIVALKVLFKSQLQESQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAARGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGILCYEFLYGVPPFEAVEHSDTYRRIVQVDLKFPPQPIVSPSAKDLISQMLVKESAQRLPLHKLLEHPWIVQNADPSGVYRA >A01g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9323856:9327150:1 gene:A01g502800.1_BraROA transcript:A01g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G26510) UniProtKB/Swiss-Prot;Acc:O65583] MGSNSVVDMIQASSKVHFSGFHVNGHVNGLAQKAVSKETISASGEIQRQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLINQDSFYHSLTEEELARVHEYNFDHPDAFDTDHLLSCMEKLRQGEAVDIPKYDCKTYKSSVFRRVPSPFSVSLIIILLIDICGLRIMMDTCDDFLTAPSCKNTNVLGVVTGFYLVNPTDVIILEGILLFHDPRVRRLMNMKIFVCTDSDVRLERRIRRDTVENGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAVDLIVQHICTKLGQHDLCKIYPNLYVIHSTFQIRGMHTLIRDAQTTKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGCVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPNDISERHVLLLDPILGTGNSAVEAINLLISKGVPEGNIVFLNLISAPQGVHVVCKKFPRIKIVTSEIDDGLNEEFRVIPGMGEFGDRYFGTDDD >A10g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14398815:14399480:-1 gene:A10g505660.1_BraROA transcript:A10g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDRSRCTYYLTALHDNIAELERRLEDAGYAVGARVMERLCNREKVLRCVFSETKLILVHVLLPERLKRQSVRGKAIQYRLIVSGERERDTVTWNFIFHEDEYMISEKELLVNRCISIPKDMGTFNCGAFVAGIVKVSVLLGRFINRVQKWGKL >A03p060650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26313916:26319070:-1 gene:A03p060650.1_BraROA transcript:A03p060650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH3 [Source:Projected from Arabidopsis thaliana (AT4G25540) UniProtKB/Swiss-Prot;Acc:O65607] MGKQKQQVISRFFAPKPKPSPPPNQESSTPPPKISATVSFSPSKRKLLSDHLAAASPKKPKPSQNPTPKPDPNLHRRFLQRFLEPPPEEESLPVTTSVKYTPLEQQVVELKRKHPDVILMVEVGYRYRFFGEDAEIAARVLGIYAHMDHSFMTASVPTFRLNVHVRRLVNAGYKVGVVKQTETAAIKSHGANRSGPFFRGLSGLYTKATLEAAEDISGGCVGEEGFGGQSNFLVCVVDERVDRESNKGCGLEASFDVRVGVVGVEISTGEVVHGEFNDNFMRSGLEAVVLSLSPAELLLGQPLSQQTEKFLLGYAGPTSNVRVERAALDRFRNGSAVDEIVSIYEDLSARNIEGDKENKAEAAEDKISCLTVHTIMNMPHLTVKALALTLRHLKQFGFERILFEGASIRSLSSTTEMTLSANTLQQLEVIRNNSDGSESGSLFHNMNQTLTVYGSRLLRHWVTHPLCDRNLISARLDAVSEIVACMGSPSSSQVSDELGEESSEKKIIPPEFYHVLSSVLTALSRSPDIQRGITRIFHRTAKATEFIAVIEAILLAGKQLQRLGIKQDCETRSMQTATVRSSLLRKLISVVSSPAVVDNAAKLLSALNKEGAARGDLLDILITSSNQFPELAEARQALLAVREKLDSLIVSYRKKLANRNLEFLEVSGITHLIEVPVDAKVPINWVKVNSTKKTIRYHPPEIVSGLDELALATEHLAIVNRASWDSFLKRFARYYTDFEAAVQALAALDCLHSLATLSRNKNYICPLFVDDSEPVEINIQSGRHPVLETILQDNFVPNDTSLHAEREYCQIITGPNMGGKSCYIRQVALISIMAQVGSFVPASFAKLHVLDGVFTRMGATDSIQHGRSTFLEELSEASHIIRTCSSRSLVIIDELGRGTSTHDGVAIAYATLQHLLVEKRCLVLFVTHYPEIAELSNSFPVSAGTYHVSYLTSQKDNGGSDHNDVTYLYKLVRGLCNRSFGFKVAQLAQIPPSCIHRAITMAANLEAEVRARERNTVSSIKHLMESPGEPKAHDEPPESRTEESMSVLGDLFADLRCALSEDDPSKSFKLLKDAWKIAEDLVAR >A03g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6738709:6747924:1 gene:A03g502010.1_BraROA transcript:A03g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPQDKGTIDATSEADQAIEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAESVPTRDYVPKVPYPVPAKATRKDKKEMKCRKMLEDLTVRLPLMSAIQMMPSMKQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGASTPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPENAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRREDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEHIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHLCVDPRAPIPDENAAGDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRALTGGCIRGAQAKNQRALQLEKRDFRGTGEQPATPAAAIQTESKRTIPIPLSGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRQSDPELDDGTDQQRDHHHDSGVFQLSDPSSRKHCTALE >A01p055840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31679616:31682534:1 gene:A01p055840.1_BraROA transcript:A01p055840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASNFLQSTLLPSSSPLRNLRSQPLPLSFPPLPSSRHRPITIHCSVSAGETTKRSLEEAPDISWGCEIDSVENATSLQRWLSDSGLPPQKMAIDKVDIGERGLVASQSLRKGEKLLFVPPSLVISADSVWTNGEAGEVMKRYDVPDWPLLATYLISEASLQKGSRWFNYISALPRQPYSLLYWTRTELDMYLEASQIRERAIERITNVVGTYEDLRSRIFSKHPNLFPKEVFNDETFKWSFGILFSRLVRLPSMDGRFALVPWADMLNHNCEVDTYLDYDKSSKGVVFTADRPYQPGEQVFISYGNKSNGELLLSYGFVPREGTNPSDSVELAMSLRKNDTCYKEKLDALKKHGLSTPQCFPVRITGWPLELMAYAYLVVSPPDMSNSFEEMAKAASNKSSTNKDLKYPEIEEEALQFILDSCETSISKYSRFLKESGSMDLDITSPKQLNRKAFLKQLAVDLSTSERRILYRAQYILRRRLRDIRSGELKALRLFSGIRNFFKQ >A09g514660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43723142:43727382:1 gene:A09g514660.1_BraROA transcript:A09g514660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRSPSDQHSPPANKILSSGSPFQRRMSSSERVPCDFCGERTAVLFCRADAAKLCLSCDHHVHKANLLSRKHVRSRICDSCGNEPVSVRCFTDNLVWCQECDWDVHGSCSHVRSAVDGFSGCPSALELAALLGVDLEGRKQEKEVPLMTMESFGMELDSWSFGSNVLQELIVPVTDDTTTFKKRSSSCGRYKQVVCKQLEKLLNGEDNDGDRVGGGGEAKEGIMVPVMPERLGWARDADDSEFIHQPPTTSFSSLISGCQSTTQIWDFNLGQSREPEDTIRTEAAECVTKDAASFKVNSIVKLLNDACSTKAKRVKEIFQDGYKRSTSGQVPATSENNNNLPITFGSNTSNELCFTENIGGTSCNKATRVVTTKADLEQLAQNRGNAMQRYKEKRKNRRYDKTIRYESRKARADTRLRVKGRFTLADQRIRVQHATHYRSRTFKTLSSSVNQTLQHRLTEALDRKAQINPVRVIIKKLRDPDQSLQTLQASEWMRKGRICGPTPEDFEKMREVGLRMKASPFNSMLSLREMEENNVAPDSLMVNEVLKIYAAESKVESMARFMRMWSGEEGIKLERETMAAMANAYAKAGSTKKAIEMYGESKGEVHRLWEDECKKKEKLEADEYRNVISSLLKLDDVEGAEKVYGEWEPDGPKLDLSIPGLLISRFCAERNELRVGELMSSIGKKRNGMHLRMGSLAFPYKYKPPPPLSLIRNSRAKLCRKIPETGSPSRRRSLSLSLSLSLSPRCLSFFSVSSPHLSSLSLSPRRVQPRMVVVAAWCCRSQIPFLTPLIFRSRSRLSVTPRTGGV >A03g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24638919:24639483:1 gene:A03g507010.1_BraROA transcript:A03g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHMKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFEDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A01p028290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18402934:18406757:-1 gene:A01p028290.1_BraROA transcript:A01p028290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIDGKSAPVIIMIIVINMINGLVNALTKKVLDGGINHMIIATYRLGISTFFLLPIAYFWERNTRPKLTTSISFQLFVSGLFGASLMQYFYLLGLSYTSATLGSAFWGTLPAITFILALIFRFEKLSVKTKAGYGVVLGAMISLAGALILTLYQGIPLSNPHEHATISHIQKGHENWIKGCFLLSLGVIFFSSWMLIQAKVNVSYPCPYSGTVILSVFGTLQCALLSLIKTRHVEDWILRDKLTIITVIIAGVGAQGMCTVGISWCIKQRGPVFTSAFSPVTLMFATVFDFLILHRIIYLGR >A10g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1284669:1288227:1 gene:A10g500430.1_BraROA transcript:A10g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDCLLKEIPSSGNRQGTVSERIQSVRQEISKWKRCANVNSGWEPPIPGSVKCNIHSNWRNAKLHSGGAFIIRDHSGNVLHHARDAFTFSPNRLTAELRCLEWALQSMKDLGYQEIVLGSDLHDLTDAVRCQLNWPRNGSIKWRCSRDCQECVT >A09p028210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16984785:16987375:1 gene:A09p028210.1_BraROA transcript:A09p028210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSTTSTMTMSNQVNNNSDKGIEEDAHRYENHAQNDDEADDHDHDMVMPGFRFHPTEEELIEFYLRRKVEAKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSESARSIGLKKTLVFYSGKAPKGTRTSWIMNEYRLPHHETEKYQKAEISLCRVYKRPGVEDHLSLPRSLSTRHHNHTSSSSRLALRQQQQHHSSPSNHSDNNLNNNNNPEKLSTEYSGDGSTITTTNSNSDVTIALANQNTYRPMPYGVSNTPMISTSNKEDDENAIVDDLQRLVNYQISDGGSNINHQYYQIAQQFHNQQELNANALQLVAGATTVALTPQTQAALAMNMITAGTIPNSALWDLWNPLVPDGNRDHYTDTLFKEFN >A08p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15671146:15671848:-1 gene:A08p023930.1_BraROA transcript:A08p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQYSDKYFDDTFEYRHVVLPPDTAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRTLNYQQQQQENHAQNVLAK >A02g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14654388:14654795:-1 gene:A02g504450.1_BraROA transcript:A02g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYTQKILFGSLFSSMSLISRRSSSTSRLLTSVLSIYYHLKIIKLLMTGRTPHVRYYRISPLRSTNSIELSMIVCVIASTILGISMNPVIVIAQDTFLAFRIYFLVQDPSY >A03p040000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16656828:16658360:1 gene:A03p040000.1_BraROA transcript:A03p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKGIEEMMGSCDKRRGEQEEEEEQKLKLPGFRFHPTDEELVGFYLSKKVLLKKPSKIDEIISHIDIYKFDPWDLPRLRNTEKESYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSDGSSKSVIGLKKTLVYYVGSAGKGSKTDWMMHEFRLPTANDTIPGGSTHLNPTPPAMLHAEVWTLCRIFQRNVSSRKYTPDWRELAGGKRVKPQQSKYQEAYISFGDNESTISTNNINIMENKGNYERNVFQLHQTPHQHQPILMDTASTTQVDYTGPHSSNHDIHNITYENWDELRSVVEFAFGPSLS >A09p042280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22063890:22066903:1 gene:A09p042280.1_BraROA transcript:A09p042280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLCSYSVAFLPITGVLMISLSFRMSPSHPCDHISAAPLWYRVHVLFSRCRHISIVRSWAHEMRLRPRGLDRVERSSVSDQFVHLGLDRVMRFEHDESSVEFCLSREGFFPVSLFRGLILLLSLPIIIIAYLFVSEALGIQILIYISFAGIKIRDIELVSRAVLPRFYAADVRKDSFEVFDKCKRKVVVTANPIVMVEPFVKDYLGGDKVLGTEIEVNPKTMKAAGFVKKPGVLVGDLKRLAILKEFGEESPDLGLGDRTSDHDFMSIYKVLKLAEYLETWEKDDKTKLILIKGAGRAFSAGEDSCLEVVYRMYWLCYHIHTYKKTQVSLVNGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTECGFSYIHSRLSGHLGEFLALTGAKLNGKELVAIGMATHFVPSTVSGHIGKQASSPNPDDKNLGGNQGETASGFAL >A07g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3955764:3956174:-1 gene:A07g501900.1_BraROA transcript:A07g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSLQWTSRSLYKAQRQRELPWDLPGCLIGSDLVPDLKRKELLDINVGLLQIRQNSSWTPRVSPDWLK >A10p023050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15103534:15128824:1 gene:A10p023050.1_BraROA transcript:A10p023050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACRVTVVVLGTLAVLIHLVQAQDQQGFISLDCGLPAEELSSPYDEPSTGLRFSSDAAYIQSGQTGSIQPNRESQYLKPYRRLRYFPNGSRNCYNLNVEKGSKYLVRAFFVYENYDGLNIKPKFDLYLGPNLWSTIDFQEPEEDVRRVEMLHIPTSNSLQICLVKNGTTTPLISTLEIRPVKTTIYETVSGSLNLYLRTFFNKSDTYIRYPSDRYDRVEVNNLENYYDPPNAALTSAATPTNSNLPLMINWTSSNVDNQYYFYTHFAEIQELQTNDTREFSIVWNGEVLRRQFIPPKFSAFSLYRSSPSTCEGGKCSLQLIRTNTSTLPPLINALEVYTVIHFSQSETNENDVVSVQNIKTSYRISKNSWQGDPCVPQQLMWEGLNCRITDKSTPPRITYLNLSSSGLTGTIADYIQNLTQLETLDLSNNNLTGEVPEFLGNMKSLVFINLSRNDLSGLIPQALLRKGLPQGNPRLCLSDSCLPPKSKPFPVAIVASLASVAIILVVLVLAFVLKKKKRSILGALQRPPSISSAVNVTNANPPVSPIQMNKKRFTYSEVINMTNNFQRVVGEGGFGIVYHGTLNVYEQVAVKLLSQSSTQGYKQFKAEVDLLMRVHHTNLVNLVGYCKEGDKLALIYEYVPNGDLRHHLSGKGGRSIINWGIRLRIALEAALGLEYLHIGCIPAMVHRDVKTTNILLDEQFKARLADFGLSRSFPVGGESHVSTMIAGTPGYLDPQYYRTSRLTEKSDVYSFGIVLLEMITNQPVIDQSREKSHITQWVEFELISGDIRTIMDPNLQGDYDSHSVWRVLELAMSCTNPSSTKRPSMSQVVVELNECLASENSRRNMRRGRMESHSPAKVSMLIDTGMEYGEFAWAFVGADNFHPRSYCSSSRPKSLDCGLPANELSPYNEARTGLMFSSDENFIQSGKIGRVQADRESEFLKPYKTLRYFPNGTRNCYNLTVEKGRNHLIRVFFVYANYDGFDINPNFDLYLGPNLWGTIDLQGQVKGLRAELLHIPIFKLTGTTTPFISTLEIRPMGTDTYITDSGSLKLFFRRYFTESEDFIRYPSDIYDRVWLPYFQREWTQISTPLQVNNSNSYVPPKNALSTAARPTNSSVPLTIQWNTSYVNNQYYIYRHFAEIQELQTNDSREFNMTWNGEVIFHPFIPLKFRAVTVLSRSPRTCEGGECIHQLIRTDRSTLPPLLNAIVIAVQNIKTTYGISRISWQGDPCVPQQFMWEGLNCSNTDITTPPRITHLNLSSSGLTGTITVGIQNLTLLETLDLSNNNLFGEVPEFLGNMKSLVFINISMNDLSGLIPQALQRKGLEFFSQGNPRLCLSGSCLPPKPKPFPVAIVASVASVAIIIVAVLVLTFVLRKKRPSIVGAQQRQPSISSVNVTCTNSPVSSIQTNKRSFTYSEVLNMTNNFQRVVGEGGFGIVYHGTLYGYEQVAVKLLSQSSTQGYKQFKAEVDLLMRVHHTNLVNLVGYCNEGDHLALIYEFVSNGDLRQHLTGKGGRSIINWSIRLQIAVDAASGLEYLHIGCIPPMVHRDVKTTNILLDEQFKARLADFGLSRSFPVGDESHVSMMIAGTPGYLDPEYYRTNRLTEKSDVYSFGTVLLEMITNQPVIDQSREKSHITEWVGLEVNSGDIRSIMDPNLQEDYDSDSAWRIFDLAMSCVNPSSKRRPSMSQVVVELKECLESEKSRRNMSRGRMDSHRSAEVTVLVDTEMYSSDIYDRVWTPYFKTENWTQISTDLDVVNSNKYAIPKDVLKNAATPTSVSSPLTIEWIPDNPDDQYYFYAHFAEIQDLQANETREFNVLWNGVEQSGPFIPRRRIIDTISSKSPLICTGGKCSFQLIRTKRSTLPHST >A06p003070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4871034:4874193:1 gene:A06p003070.1_BraROA transcript:A06p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTTSSSSFSSAASSSGNRQADVFSRLASSDPEVKLKALREVKNQIIGNRTKKLSFLKLGAVPAIASALSDSECNSILVQSAAALGSFACGFEAGVQAVLGAGAFPALLRLLTSSDEKVVDAGARSLRMIFQSNQAPKYDFLQEKNMEFLFSLLNSENENVSGLGASIIAHACGTTVEQQVLCDAGVLEKLVILLEGSLSQREACLESLATVLKNNPEAVSRFVGLEAGRYLSSVTELTKDRYPRTRLLSCLCLVVIYNTSPSYFLNMGTKSSLVTTLLELLNDPGQSGDDAALGLSSLIAEKEDLQKLAYEANAIKNIVDILKTGSELHPKRLQGLFLSLAELCSKLEDCRCSFLSLEMLDLLVNALRHKNADVRTAACICFRNAARSVKNLSAGRFTNDHVMLPLVQLLHDPSSSVQVAVLGALSNIVLDFSSPKSTFIEYGGIKQLIELSKSMDPNTRCSALRALRNLMFLADKKRKELFYSEVKAQGFVSLISDPEPTVQEQGLALLRNLVDGCINSIEFVFDEEGLILDTVGRQLRKSPQAQMAIQGMYVLTNVASGTELHKEAVMQQLFPQPQAESNNFMLKFLQSHESQLRSATVWTIINLISPSSPGALDRHVKLREEGIIPQLKNMVNDACLDVKIRIRTVLSQSMSFGDN >A08p012010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11262262:11263438:-1 gene:A08p012010.1_BraROA transcript:A08p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVKVKANSKSTEEEENEMSSSLSVKSTPEIEKKYVHRVYDAIAPHFSSTRFAKWPKVAAFLESLPSGSVVLDAGCGNGKYLGLNPSCFFIGCDISNPLIKICSDKGQEVLVADAVNLPYREEFGDAAISIAVLHHLSTENRRKSAIEELVRVVKPGGFVLITVWAAEQEDKSLLTKWTPLSPKYVEEWVGPGSPMNSPRVRNNPFFGLESIPETDVSAKDQKAGLESIPETEESTREPKATQDSLGEQKDKSSVEVLLEALKMNQQEYFVPWHLPYHRAEVSGASASALASGLAKKDDRKGTVVYNRYYHVFSEGELERLASGVGNAIIVDRFYDKSNWCIVLQKEALNQD >A01p025190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20238830:20239845:-1 gene:A01p025190.1_BraROA transcript:A01p025190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNATSNLTEEFCSKLATNGISTLESIPLKTTLDIRSEVLFVSCLKINEVQEATEEITESSKEARLEMRSPSFSNYLKVEERRDKSKEKTQLLSKDKTETDKATLDVEEETVMLKRSETEKRRGFELSLGLSMKPTRRSDAVDSFKETKGSGHNLVNKKANTPETLLISSVRSSKAQETTEVITESNKEALIQMRCPSFGNDLRTKERSNESTEQILLLCQDKIETYEATINVEKKTVMLKRSESEKTRGFELSLGLSMKTGDTSEADNSLNLKESKENLLEKKAAMKGRVRKRSKSSLFGSCLCFAATAMN >A06p052810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27899992:27900861:-1 gene:A06p052810.1_BraROA transcript:A06p052810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIVLPYLHSLFEYISLSGVSPVLRNSSRDQTVLWTKVVVELPLSSCITDDNLWFTLKSSGKLNLIRRVVDANPLIKKLIVMGCTELVPEGIIACVETLMKNNHKLETLHINGVPGFTKDHLSSLSTYLPQEGAIDLEVCPKCDQVRMIRPCSRESCKREGRNERECRGCWYCVPRCMECAVCLGPDTDQIEEVACGGEVVCLPCCQALPKCRFCNKPYCTCRSQQPEAR >A03p048030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22111097:22111739:1 gene:A03p048030.1_BraROA transcript:A03p048030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIKADQNVIASVITTKSAFSFFLLNESSLLLFICNNILIHFLCPLLRWLNRWRARSSNSSTTSPPLLSSLHAIPSALPPVRPPPSQPQSASPLISFATIFSSTSTTVVTSSRHLFFSSTSYDKMNNKKLCFLFLDLT >A07p019960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11847238:11848749:-1 gene:A07p019960.1_BraROA transcript:A07p019960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMSYQSIYSFVSHQSSKISQSSRQPLLLPTKCFKIDSSLCYYTSRRKRNLSKIKAIASQTSISYNTLLSPSKNIPPDNSHKKSNEAALIMIRHGEFLWNAKNLFTGCVDVPLTEKGVEEAIEAGKRISNIPIDVIFTSSLIRAQMTAMLAMIQHRRKKVPIILHNESDQAKSWSQVFSEETKDQSVPVIPAWQLNERMYGELQGLNKQETAERYGKQQVHEWRRSYDIPPPKGESLEMCAERAVAYFEENIEPKLAAGKNVMIAAHGNSLRSIIMYLDKLTCKEVISLELSTGIPLLYIFKDGKFMKRGSPVGPTEAGVYAYTKVRHRFYCSTIFVFFEFSVLDGSLCFQRLAQYRQKLDESSEVICS >A02p024370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12831485:12833552:-1 gene:A02p024370.1_BraROA transcript:A02p024370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLSDKKYLSNVKREANDDRVGERVLSKKAAIQWGKAKLLPNSSFMPDFQMLKFQAGSYQRGPVSAASNLRRSQFSGGAFQNANPLLLGGSVPLTNHSSFRPAFNLSADARVASSGSLPQLTIFYGGTVSVFNNISPDKAQAIMLCARNGLKGETGESSLKKPVQETERVYGKQVHNAAAAAASSSSATYADIPQARKASLARFLEKRKERLMSALPYKKMLLDLSTGESSGMNYSSASHT >A09p073090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55859790:55861896:1 gene:A09p073090.1_BraROA transcript:A09p073090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMDYCKLEKEEALDLLRGKKVAVIGFKKSAIDLALESALANRGEGGQACTMVVRTTHWVIPHYWVWGLPFFLFYSTIAAQFLHDKPNRSFLRTLFCLLFSLLRAMVSKFIESYVTWKLPLEKYGLKPDHPFEEDYASCQMAIIPENFFEEADKGMIRFKKTPKWCFCDEGIEFEDGTTLEADVVILATGYDGDKKLKAIIPEPFRSWLEFPWGLMPLYRGTIHPLIPNMGFVGYVQSNSNVRASELRSMWLNRLMDEKFKLPSKEKMLYQFYKEMEVMKKSSRFYKNHCISTFSIQHADDLCNDMGLNPRRKSNLFLDVFCPYGSQDYRFDQEETK >A05p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2421499:2423307:1 gene:A05p006150.1_BraROA transcript:A05p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLFDAARSGDTTTLQLLLQEDPLLLDRFSMSSLENPLHVSAFSGQAAFTAEILRHKQDLALELNQQGFSPLHIASASGKIEVVKALLSFGQKHVLCRLKDKDGSIPLHCAVQRGRIEVMKELISYFPESLKEVNASLETPLHVAVKNNQVEATKLLLEEIKKRDMSERIVNMENREGNTILHLATLGKQLQIVEMLIGDDAILSGVDVNRQNRNWLTPKDILDVVIETEGGSVSEMYKVVQIFQTASANNARTGRQRLKRSHHTRNPIRMIKNHINDEINNSTLEQRETLMIVATLIATLTFTGGLQPPGAFKSEDANGGSNATNTTRATTSLGRTLDNIFGQRNSTAGQAIMADRRVHFTLYSAFNAIGFLVSVAMISQLTKGFPLRNWMRLCIISAVSTYCLAIVYLAPDEDVFWVVVLAAALLLVLRELYFFIKSLCNGIKKATSET >A09p002420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1666166:1667555:1 gene:A09p002420.1_BraROA transcript:A09p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSLLPLSESLQLPVIDFSDQNLTPGTSKWDKVKADVRKALEDYGCFQAYVDKVSNIELDKSVYEAMEKLFDLPVQTKQRNVSSKPFHGYLSHNLYQSLGIEDANVAEKVNDFIQLLWPDHGNKSISEMMHKFSTQLVELDVMVRKMIMESFGVEKYLDEHLNSTNYLFRMMKYTAPPDDDVEEAKLGLRSHTDKNIITILHQYEVDGLEIMTKDGKWIKVKPSQNSFIIMVGDSLCALLNGRLYSPYHRVMMVAKKTRYSTAMFSVPKSGVVIDSPEEVVDEEHPRMFKPFEYMDFLNFFHSEAGRRVESTLHAFCAL >A08p022280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14780581:14782142:-1 gene:A08p022280.1_BraROA transcript:A08p022280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYSKYLKELPNLSTATNLRELDLSRCSSLVELPSSIGNMTNLVKLNLFGCSKLKALPININMKSLDELDLRYCSSMKRFPEISTNISVLKIDGTAIKEIPASISSWSRLDRLQVSYSENLGRSRHVFDRIRKLDLNDTGLQEIVPWVKEICSSLVELPSSIGNLRNLKKLNLRGCSKLMALPVNINMKYLDNLDLSYCSSLKSFPEISTNISFLEITGTAIEEIPTSIRSWSRLERLHMSYSENLRKSHHAFDLITNLHLSDTGIQEISPLVKEMSRLRELVINGCTKLVSLPQLPHSLELMHVENCESLERLDCSFYRTKFTDLCFVNCLKLNREAVDLILKTSTKIWAIFPGETVPAYFSYRATRSSVSMKLNGFDTRFPTSLGFKACLLLVTKPDDVEPAAWYRSDISYCINGKLRDVGVSPFYTQIWDPLRPRSEHLVVIEFEETVTSPELVFEFRFEKENWEIKECGLRPLESLALSC >A01p058530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33339309:33347178:-1 gene:A01p058530.1_BraROA transcript:A01p058530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLGSLATETGIKSDLCLEIDPPLSETVATAEDWRRALGKVVPAVVVLRTTACRAFDTVSAGASYATGFIVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPIYPVYRDPVHDFGFFSYDPSAVQFLSYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDRDAPQYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLQRVVRALSFLQKSIDSCTDKPKAVHIPRGTLQMTFVHKGFDEIRRLGLRSETEQVVRHASPTGETGMLVVDSVVPNGPADKHLEPGDVLIRVNGTVLTQFLNLENLIDDGVGQIVELEIERGGQPITVSVSVQDLHSITPDHFLEVSGAVIHPLSYQQARNFRFPCGLAYVSDPGYMLFRAGVPRHAIIKKVANEDISCLADLISVLSKLSRGARVPLEYMSHTDRHRKKSVLVTIDRHEWYAPPQLYTRNDSSGLWDVKPAIEPAAVPPSIGNSGLPICQNTESMHEVVRGVTDTAATMEASSGDGSSQNDFGVEAKKQRVEDDSSDGTVANGSLHGSELKSDDAMATENSVLRDYESAAALSANASLAERAIEPALVMFEVKINIMFVKLMGRVHVAMSLLKTEPALQRGDSVYLVGLSRNLQATSRKSIVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGALTDEQGRIRAIWGSFSTQVKYSSSSSEDHQFVRGIPIYAISQVLEKIITGGNGPELLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDEWIQILVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVNKMPVTCYNDIEAACRTLDTGSHSDENLNLTILRQGREMELVVGTDKRDGNGTTRAINWCGCVVQDPHSAVRALGFLPEEGHGVYVTRWCHGSPAHRYGLYALQWIVEVNGKKTPDLNAFADATKELEHGQFVRIRTVHLNGKPRVLTLKQDLHYWPTWELRFDPETALWRRNILKALK >A09p030010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17846743:17848101:1 gene:A09p030010.1_BraROA transcript:A09p030010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEFEMAGTWWSSPINTATSVFTGYSLPRPTESSLDITDFGWQNFDNKTNDHNDDFMNMHNSFFEGLFDPNEQLLSDSWPKTTISAKSELLESFPFLDNILLIDSEAHDQIKDHKSLEQTTQDCMNLTSKARNYILIGIKTYRSERTEELKENNDENSPRLLKRARMDTLSPLPSFKVRKEKLGDRVTALQQLVSPFGKTDTASVLNEAVEYIKFLQEQVTVLSNPDQNTRGSVQQQQCSDKKSINSSQEEEECSSRRHLDLASRGLCLMPISASYPVAAAAASAAEMNTSDFWNLTFAMN >A07p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9376343:9382216:1 gene:A07p015070.1_BraROA transcript:A07p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWASLRNLTYISLCANRLSGPLPSGLQNFKNLRFLGVEANQFSGPIPDEIGNLTNLKGLQLGSNQFTGSLPNTLARLVNLEDFRVSDNNFDGTIPAYIGNWSRLQKLYLYAGGLKGPIPDEIARLENLTNLFITDTSGINIFPYISSQAIETLILRNVSLSGPIPSYIWNMPKLRILDLSFNNLTGDVHGGRAPAYTYLTGNRLSGEVESGVFLKSNAHIDLSYNNFSWWPSCQENSNINTYRSSYLNNLTGILPCAGPINCTSYQRTLHINCGGDNIVITKSSHKITYQADNTKTEAATNQQFKDWGISNTGEFFSDGYTKATSNTDDTYIISTSLRLSGDSPNIYKTARRSPLSLVYYAFCMENGTYSVKLHFMEIQFSDQELYSRLGRRIFDVYVQGVLFLRDFNIKEAANGTLKPVVKTVSANVTNNILEIRLYWAGKGTTLIPERGNYGPLISAISLCHSSMEPQCGATKTELHTNYPLIFGVVGSLIAVTLLALGLYTQKICIRDKYTRERDLRAQGLQTVCFTWRQLEAATNNFDQANKLGEGGFGSVFRGELSDGTIIAVKQLSSKSCQGNREFVNEIGMISGLNHPNLVKLYGCCVEKNQLMLVYEYMENNSLALALFGKSSLKLQWEVRQNICVGIARGLEFLHEGSMIRMVHRDIKTSNVLLDADLNAKISDFGLARLHEEEHTHISTKIAGTVGYMAPEYALWGHLTEKADVFSFGVVAMEIVSGKSNMKRKGSDDHVSLINWALTLHQRGDIMEIVDPVLQRDFNSKEAVRMIKVAFVCTNSSPSLRPTMSEAVKMLEGEINIRAVMSDPGLYGHNWSISNLINIDTHGSSSVSGVAHQTATTMQSSVSGSDLYPFCNESMILNSTAEVSSSPI >A03g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16396482:16397103:-1 gene:A03g504600.1_BraROA transcript:A03g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIEKAVKFIESTQEADGSRYVWKLSSLLHICIMVCSEKLGNIHRIRRKSKPGANRLFKQDRPPREIRSVFTALRN >A02g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26207868:26208382:1 gene:A02g509800.1_BraROA transcript:A02g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKDICSLFDSYLPNHEASTHEITWRMFSTQLWSYSKKIQIKRSSYVTVMPFTNQEIFDLREFRLPEKLETVNLLSDEPTTNLIMPKGKDGFRPGLKGTCFGPYHEHILHFSKSWSWLISRGSPSFSQRFI >A09p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12122636:12124287:-1 gene:A09p022030.1_BraROA transcript:A09p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 2 [Source:Projected from Arabidopsis thaliana (AT1G56600) UniProtKB/Swiss-Prot;Acc:Q9FXB2] MALEIDNKFTGPVANGGEKRAYVAFLAGNGDFVKGMVGLAKGLRKVKSMYPLVVAVLPDVPEDHRKQLVEQGCVVKEIEPVYPPESQTEFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVFHNIDHLFDLPNGHFYAVKDCFCEKTWSHTPQNKIGYCQQCPEKVTWPAELGPKPPLYFNAGMFVYEPNLYTYHNLLETLKVVPPTPFAEQDFLNMYFRDIYKPIPGIYNLVMAMLWRHPENVELDKVKVAHYCAAGSKPWRFTGKEPNMGREDIKMLVKKWWDIYNDETLDYKNISGDKGGDDHKKQFVKALSEAGVLQYVKAPSAA >A09p074110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56292496:56293970:1 gene:A09p074110.1_BraROA transcript:A09p074110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKENAKALGPPPEKSSFTRRCSLLSRYLKEKGSFGNINLDLTRKPDSDLGLPGYSCPPGKQNAMQKAVSETRALDVFQRDSKAEPSPPSGGKAKDTNLSKPASDSGSSQLTIFFGGQVLVYNEFPADKAKEIMEVAKKAKPVTEVNIQTQINVENNNTNNIQTQINVENNNNNKSNMVLPDLNEPTDSMDINPQQQQENQVVERIARRASLHRFFAKRKDRAVARAPYQVNQNGGHHHYPPKPETAHGQPLESGQSSKRPENAVAQTMSHPKPVGDKNTSIKIEEEGQCSKDLELRL >A05g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19357875:19359144:-1 gene:A05g506880.1_BraROA transcript:A05g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLHAPTCLHAYSLEVTCWSQAVCFSCLQLSSCKGKATPYDIKYPLLSSGRPVTARKTIENSERKRERREKNLELSTDEPFSPSCDFNQCVLVWLRAEGLVFKSLESPKFFSLGFYRRVSSLKFISTKFILKLEEIVLNIIKYGSINHVKFYYKLIFQNIPV >A01p046260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26055617:26057575:-1 gene:A01p046260.1_BraROA transcript:A01p046260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSPSPNLSTVLDLARPFLRGELENIDPNLPSLIAVLKSVGAGECWHKHGSFLDHLIDIYKILKLWKAPDPVCLCGLFHSAYSNSYVNLAIFHPSTGRDVVRGHVGQPAEALIHLFCVVPRQTLIHDDLLFKYSDQELVEHLDRSEVSLRKAKEGGGFDGEEEWRRKVNELVPEDGVVVKHIKTGDEIVVSRRVVGVFLLMTMADFSDQLFGFQDELFCNDDGRLEFRGNNVAALWPGSGKPGLWMNSNSRMGAVYSLIVREEEILMEQRKRDCGGSGFVVRKERDEDIELVVPPVFSFCTKVLDAKEQLEARDMYWEAVSSDASKEGYLERAEERLLGCIEKNPFVGEPHVLLSQVYLGKKRFAEAEREAERGLLLLLQWGSPWDKRMSWEGWIAWVRVLLMKSQDQSWPDASWGILNLGLVR >A08p023780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15631171:15633015:-1 gene:A08p023780.1_BraROA transcript:A08p023780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGVHRVLNPDGVFISIAFGQPHFRRPLFMDPKLTCSLEITLLAMDSIIFSIQSCVSFSATAPPTTPTVFWGLDKKLAQREHFLSVNCLISYSKYSTELESFYEKFDPDFINIRTKAREVLQREDDLSEIVQLVGKDALAEGDKITLEPAKLLREDYLAQNAFTPTISSRSDTHQSFFTKASSRKPQELRGHGSRHSTVSFLESDVNVMAYFTEYFAAVIGKGAEVKFAASLLMSDIVALLNNEDNL >A02p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3964397:3965746:-1 gene:A02p009390.1_BraROA transcript:A02p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFSDLHTEEGLKSVEEHLAGKTYISGDQLSVDDVKVYAAVSVKPSDAFPNASRWFDCVASSLAKSFPGKAVGVSIGGSAASAPAQAEAPASAAADDDDDDMDLFGDETEEEKKAAEEREAAKKDTKKPKESGKSSVLMEVKPWDDETDMKKLEECVRAVEMPGLLWGASKLVPVGYGIKKLTIMLTIVDDLVSPDNLIEDYLTCEPNNEYIQSVDIVAFNKI >A05p022600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10864629:10868312:-1 gene:A05p022600.1_BraROA transcript:A05p022600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase IOS1 [Source:Projected from Arabidopsis thaliana (AT1G51800) UniProtKB/Swiss-Prot;Acc:Q9C8I6] MLMAFSACLLLVFLEIFSVFLLSLAQDQSGFISLDCGSPRGTTFREGTTNLTYISDASFISTGVGRSIKQAYRTQFQQQAWNLRSFPQAIRNCYTLNLTIGDEYLIRTNFLHGGYDDNPTTQFELHLGPNLWTTVSTTNETQASIFEMIHVLKTDRLQICLVKTGDSTPFISALELRKLKNTTYLSRQGSLQLFIRADVGATLNQVYRYGIDVFDRVWTPYNFGNWSQISTNQTVNVNNDYQPPEIAMVTASIPTDPDAPMNISLDGVDSTVQFYVFMHFAEIQELKSNETREFNIMYNGKHIYGPFRPLNFTTSSVFTSNEVGADANGNYTFSLQRTGNSTLPPLLNGMEVYLVNLLPEQETDGKEVDAMMNIKSGYGVNKIDWEGDTCAPRAYRWSGVNCSYIDNEQPKIISLNLSASGLTGEILEFISELTSLEVLDLSNNTLTGSVPEFLVNMETLKVINLSNNELNGSIPATLLDKARRGRISLSLEGNTGLCSIISCSTTKKKKKNTVIAPVAASLVSVFLIAAGIVTFLVLKRKKRVKLGLNPNSGTGTTPLHSRSHGFESPVIAKNRKLTYIDVVKITNNFERVLGRGGFGVVYYGVLDNQPVAVKMLTESTALGYKQFKAEVELLLRVHHKDLTCLVGYCEEGDKLSLIYEFMANGDLKEHLSGKRGPSILTWEGRLRIAAESAQGLEYLHNGCKPQIVHRDIKTTNILLNEKLQAKLADFGLSRSFPLGTETHVSTVVAGTPGYLDPEYYRTNWLTEKSDVFSFGVVLLELVTNRPVIDQKRERSHIGEWVGLMLSRGDINSIVDPKLQGDFDPNTIWKVVETAMTCLNPSSSRRPTMTQVVMELKECLNMEMARNMGSRMTDSTNDSSIELSMNITTELNPGAR >A02g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17457008:17462889:-1 gene:A02g506080.1_BraROA transcript:A02g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKESWVPLSTHLADLSLSSLPSPFGVITGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECMTSRPTRRNAQGELVTFTNKELARLERTNRQQPRQTDTTMGDHANQDELAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNKRQPQSNQQAVPANENSQPDELQGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETASGAEERADEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLKFFKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPTACGFEISPFSIFPDHSTLF >A04p015680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9710966:9711601:-1 gene:A04p015680.1_BraROA transcript:A04p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACPAIPEAIRRKRFYSQTLHVLNVQRKERLATSIDINSTSSTDTCERAPIDTSHSVSINTNPRADMVVNLVLIHDEIGDLHDHEGYPRNAAGQRIGD >A02p002200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:912436:914108:-1 gene:A02p002200.1_BraROA transcript:A02p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTNMPEQVRGVPVAADGAKIQNKNGAVKNRRALGDIGNRNLVSVPLAQGGKPINRPITRSFRAQLLANANGGENKAPVLAAKKPQQPLVPKKNLVVKKAAVSSPPKSKNVTYSSVLSARSKAACGVANKPKILDIDESDKDNHLAAVEYVEDMYSFYKEVEKESQPKMYMHIQTEMNEKMRAILVDWLLEVHVKFELNLETLYLTVNIIDRFLSVKAVPKRELQLVGISALLIASKYEEIWPPQVNDLVYVTDNAYNNKQILVMEKTILGNLEWYLTVPTQYVFLVRFIKASVSDPEMENMVHFLAELGMMHYDTLKFCPSMLAASAVYTARCSLNKSPAWTDTLKFHTGYSESEIMECSKLLALHHSRCGESKLRAVYKKYSKIENGGVALVSPAKSLLSSAADVKEPLSA >A08p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13080636:13081784:-1 gene:A08p019100.1_BraROA transcript:A08p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPLKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLSSRRAVTTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIEDGVFDVSNESYGIKVGYGGIPGPSGGRDGSTPQGGCCG >A09p010720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5436915:5437169:-1 gene:A09p010720.1_BraROA transcript:A09p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYKIPYTEARQLRETDSADRDHHFTAGSTDDFGPTYPGNSPGIGHKLKENNEIVDAFKDDFKPMTPGHSLGSGYVVNNGPKA >A02p057460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34561622:34565385:1 gene:A02p057460.1_BraROA transcript:A02p057460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVKGNHGGGGENAVSVVAGEGQKSNLNRVVDEEAAIYRELWHACAGPLVTVPRRDDRVFYFPQGHIEQVEASTNQAAEQQMPLYDLPSKLLCRVINVDLKAEVDTDEVYAQITLLPEPNQDENAVEKEAPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHANEGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAISTGTMFTVYYKPRTSPSEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDPTRWAKSKWRSLKVRWDETSSIPRPERVSPWKIEPALAPPALSPVPMTRPKRPRSNMAPSSPDSSMHIKEGSSKVNVYPLPASGLSRVLQGQEYPTLRTKHTESVECDAPESSVVWQSSADDDKVDVASRRYENWMSSGRHEYTDLLSGFGANVDPSQGHQIPFHDHSSSPSVTAKKIFSDQDAKFDYLANQWQMMNSGLSLKLHESPKIPSASDASFQGRGNATYGEYPVLHSLTTETAGGNWPIRPRALNYFEEAVHAQAREHVTERPQMVQEETAKSRDGNCRLFGIPLVNNVNETDSTMSQRNNLNENSGFTQMASPKVQDISDHSKGSKSTNDHREQGRPSQAKQPHAKDSHCKTNSNRSCTKVHKQGIALGRSVDLSKFQNYEELIAELDMLFEFNGELLAPKKDWLIVYTDDENDMMLVGDDPWQEFCCMVRKIFIYTKEEVRNMNPRTLSCRSEEEAVVGEGSDAKDAKSASNPSLSSELLNQKNQHTLQAEGDVETQIRRRV >A09g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17436446:17438265:1 gene:A09g505470.1_BraROA transcript:A09g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKQEDVLFGGKFQALYVIGDSLVDSGNNNYLPTKVKSNFAPYGSDFEGGKPTGRFSNGKTIADYIAIYYGLPLAPAYMGLSEEQKNNISTGINYASASCGVFPATGKQLGECLSMDVQVNYFKETIDKNLKKSFKTKQELSKHLAKSLFMIAIGINDYVFLYNKTIDPNEFADKLLHEYMTQIQVHSTEDFDFCVQRLQRLGARKFFINNLKPLGCYPNTLARNVPSGSCNEGANLLISIFNTKLRKALAHFKEKLAYTSFLYSDYFKFMLGLRGPSSNQASSNLMDSTSPCCPSVYDGGQYTSCTPDSIACKVPDSHIFFDPFHPTQMANFMYAIGCFQERRVCKVV >A02p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2573750:2576224:-1 gene:A02p006060.1_BraROA transcript:A02p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNSKEKWRQRSSSSCRSSSSPWASHQSYPQYPPPPPEYVQPPSPSYTTQPYSQPPPSQTYGNDKKRLERKYSKISDDYSSLDQVTKALAHAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHFIGNTPNPYEQAIAIIGRTLAAFDEDNLIPCYGFGDASTHDQDVFSFNPEERFCNGFEEVLGRYKEIVPQLKLAGPTSFAPIIDMAMTIVEQSGGQYHVLVIIADGQVTRSVDTENGQLSPQELKTVDAIVQASKLPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMAKNKAQSLKETEFALSALMEIPQQYKATLELSLLGRRNGKIAERFPLPPPMHGGASSYNKPKASRVPSFKPSVPSYPTESYTVRSSSPAPPATTSASDNQICPICLSNPKDMAFGCGHQTCCDCGPDLQVCPICRAPIQTRIKLY >A06g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19596459:19597854:-1 gene:A06g507080.1_BraROA transcript:A06g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSALSGDSSSEKPKGVQAESYSGPINPIDTPCVSSSHSIGEPHSKQAKVVASVSSSLTKLSVPDSKKPSALRFMTLNYLKCAVMELYNVQQLNQQSVPVPTAPPRSKDESCSKNSPATPPLNKECPGGKSLLAANQARTQTQSRFHRDSSGLATTPKLSSISGTRTEISSVDMSARSSGSSREEEEEDGDYSMEVSVRNASDMETEWLEQDEDGVYITIRALPDGSRELRRVRFSRDRFGETNASLWWEENRARIQQQYL >A03p071670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31611132:31615659:-1 gene:A03p071670.1_BraROA transcript:A03p071670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNQNFDVKAKHSSEEALEKWRNLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNQEKLRIAVLVSKAAFQFISGVAPSDYTVPEEVKAAGFDICADELGSIVESHDVKKLKFHGGVDGLAGKLKASPTEGLSTDAAHLSQRQDLFGINKFAESELKSFWVFVWEALQDMTLMILGVCAFVSLIVGIATEGWPKGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRSGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFLSGFSVVIDESSLTGESEPVMVNAQNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLTEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGMFMRKLSTGTHWIWSGDEALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVQDVASKGSSLQSEIPESALKLLIQSIFNNTGGEVVVNKHGKTEILGTPTETAILELGLSLGGKFQEERKSYKVIKVEPFNSTKKRMGVVIELPEGGSLRAHTKGASEIVLAACDKVVNSSGEVVPLDEESIKYLNVTINEFANEALRTLCLAYMDLENGFSPDEAIPASGFTCVGIVGIKDPVRPGVKESVELCRRAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSQEELLELIPKIQVMARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRLPVGRRGNFITNAMWRNILGQSVYQFIVIWFLQAKGKSMFGLDGPDSTLTLNTLIFNCFVFCQVFNEISSREMEEIDVFKGILDNYVFVVVIGATVFFQIIIIEFLGTFASTTPLTIVQWIFSIIIGFLGMPIAAGLKMIPV >SC132g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:36412:40832:-1 gene:SC132g500040.1_BraROA transcript:SC132g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESSKQVLRSDRTSVPLGRYVATELGQALSLRSDPAIVPLGRYKATELKPRLGRYIATKLKPRLGRYIATERSSRSRPSDHPARSLRSDRAQAKAWSLRSDRALVPLGRYVATERSSRSLPSDHPAQSLRSDRAQAKARSLLSDRALVLLGRYVVTELEPKLGRYVATGLEPKFCRCVAIELFRTSTDVNPCILVKSSNAISRRPNRGKFVVNVSSRKTAQRYLKHDSRPILRFSQPKARKPQHELGFIGACHCGAEYETEYSESIDTHTFPSIDSNESTVTDDRNNRSLDVNQPVDHFAPPNHCYPHFTFQPPSKRGCDDYSIGSWADSGFHESFAVDTVITSLNEEHTEEYDEDHWKERAIEMSLQDERLETHKFTTTFPTSFDEVHSTSVDTHPRPAKQPFTSIDTHTGTSIDICAAAKIQEQENIPSPTRFIDTYLKRFAPLQPPPHTRANTQAEKMKTLPSTSTGKSMESNHLKNTSSAEIIVPSIDASLSTSIDTTLKPNIYISKLNDNANIDYGFLTPDEFGIFRDPDGNARAIDGRILQVSKENIADILQVANGPDKLFSQQRGTSDVIQTDPNKHVGVATTEINPDLSCHPKGQASIDGTTQTSIDRLTPTSTDKDDPRSIDRCYEFGNRAYDMYGARKFTWKRMDEYGVYRDECGHARGIAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINKMVTGICGAQEKLGEELKSLVEDTHLPLDRGYNELFRSMVEMKTKIESLRHQLEKEATTSASIDATHAPSIDVSLPTAQISAEPQCSAEHKDEWEVSYINTRINDVYYPLNNNMDWLSTKIELLQQDLDTIRKKDQQPATTIDVCTITSIDAKISTMDDRLQTYEDMHDRFASSSSIDRLRGPWIDGKNPVELLPYTAAEVDKITSRIYTAIGTMEDRPDKRCDDIYFPFVNRISGLDSHAEWLQKEVKAIQRQLVAQHQISASIDRNKRNRSMVSRRDRPTTT >A04p003970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1991180:1992300:1 gene:A04p003970.1_BraROA transcript:A04p003970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSEVRMLALSPMLLLLLSLLMASFFDTTAGQIGVCYGEYGNNLPSNSEAVAMYKQYNIRRMRMYGPNPNALDALRGSGIELILDVPNGDLQRIADSKTEASTWVRDNVQKYNDVSFKYISVGNEVMPRGPGGAGTVLFQAMQNIDKALSEAGLSIPVSTTTYMGAFTDTYPPSRGRFSDEYRNFLQPVIGFLVSKRSPLLVNIYTYFGYKNGDVSLEFALFKPSNNEFNDPNNQLRYQNLFDANLDSVYAALEKSGGGSLEVVVSESGWPTQGGPGASVGNAEAYINNLIQHVKSGSPRRPGKAIETYIFAMFDENEKPNDETERYFGLFLPTTRQLKYGVNFN >A10g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13418256:13424240:1 gene:A10g505350.1_BraROA transcript:A10g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEMKQREFGGWMFTYVSAGLARGETFDDWIREIVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVFDLTDFVEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFETSNPGSSEFPRAWINRMMYSTLDKGHPTFTDFPPEKQHLWFRQFAQEFNWNYDDTLSIYHHFVCKVMDNYGKQMYEWKKKWEVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLFRSVPKKKGRLVGLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYEIQKRLNEQMMEMMKRMYPNEVFPNIQD >A09g506480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:19284951:19285670:-1 gene:A09g506480.1_BraROA transcript:A09g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFKKPLVDQKSIDDMVKVAVEERLKVMGIGKNPQNKENLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETPGKDMGPRNNLSNELDKERGMKKTLDKEFGTHAEDEGANVLDFLYVSPAKATKAEDLRCRSTRNRTIKDEDAEDKKKAVQAEAVLKKKEKAAAKRKAAASMKQKQPELKKPKQAELMNEEQAELKNQEQAELMNEELAELKNQKADNEKRKNITTPRANVKKMQG >A02g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22174570:22178937:1 gene:A02g507990.1_BraROA transcript:A02g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVYFSFFFENDIFTLSTSSSSKPFKHTIIGGLLSFEVLGGWRRLFRIEDLPVSSLAVEDLRMMPQLHAVYGEWLLKYCRWDFVVDNVKGARIIFFGGSRSKVETVSEFREEDDEADECFEDDDDDMVEDKNHDGEEDDGEEDADISIVAEADENGEDYSVYGNVEDEDEEDDDMCFEDFIKIEGGRLIGNNIYVNQSFVSKNALLSELWLTVVKWRFSFRIYKSTKTLLMTTCPWETDSLSGDTVLRSMLVGFSLIVLESLMVEKIHCSHAIVAEISAGLHISTLVCPVYSKDFLFAGYSENIYPCVGQQVEEHTCSSPDVKRGPGRQKKSRWQSWLELSKMRGHAQNARKLAIRNHNVRNDVDDLQVSRPVSRPEFFLPDDLQVSRPLFSLPEDLQVSRPEDLQVSRPGFFLPEDLQVSRPMFSLPEDLQVSRPEGRPVSRPVFTCLAPLIRTQVGIIVLVFELMRIRKLLKYIHRHLIPHNLPVGLSKSVHATITSSDRRYIGRSLTCEWLQAIRDHVEKRLHYHIEFFWGDTGTNAVKDDYVPLRDRYPHSNPMSVGVVHWCIDIINIHPPNLVRFPK >A10p006200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9603782:9608992:-1 gene:A10p006200.1_BraROA transcript:A10p006200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISEEGNSGGIGFKRPKKEILLQHNYFFTSRYLLRARTMSVTKAVSSLDDVVVKSPNDRRLYRVIELENGLSALLIHDPDIYLDGSAADGEKTDGDQMDEDDEDGEEEEDSDGSSEDDDDEEMEGDEDEDEVKEKGDHQTKKAAAAMCVAMGSFLDPPEAQGLAHFLEHMLFMGSTEFPDENEYDSYLSKHGGSSNAYTEMEHTCYHFEVKREFLQGALKRFSQFFVAPLMKTEAMERELLAVDSEFNQALQNDACRLQQLQCHTSTKGHPLNRFAWGNKKSLSGAMENGVDLRECIVKLYKEYYHGGLMKLVVIGGEPLDLLEIWVAELFGDVKNGSKIRPTLVAEGPIWEGGKLYRLEAVRDVHTLDLTWTLPPLRHAYVKKPEDYLAHLLGHEGRGSLHSFLKVKGLITSLSAGVGDDGINRSSLAYVFGMSIHLTDYGLEKIYDIIGYIYQYLKLLRDASPQEWIFKELQDIGNMDFRYAEEQAADDYAAELSGNMLAYPVEHVIYGDYVYQTWDPKMIEDLMGFFTPKNMRIDVVSKSVKSEEFRTEPWFGSRYVEEDVPLTLMETWSNPSEVDTSLHLPSKNQFIPCDFSIRAINSDVDPKSQSPPKCLIDEPLMKFWYKLDETFKVPRANTYFRINLKGAYDSASIAKLETSLSMYGDKLELKVYGFNEKIPALLSKILAIAKSFMPSLDRFKVIKENMERGFRNSNMKPLNHSTYLRLQLLCKRIYDSDEKLSFLNDLSLADLNSFISVVRSQIYIEALCHGNLSEDETINISNIFKNSLTVEPLPVKCRHGEQIMCFPLNAKLVRDVTVKNRSETNSVVELYYQIEPEEAQSTRMKAMLDLFHEIIGEPLFNQLRTKEQLGYVVECGPRLTYRVQGFCFCVQSSKYGPIHLLERVDNFIKDIEALLEQLDEESFEDYRSGMIARLLEKDPSLLSETNDLWSQIVDKRYMFDFSHKEAEELRSIEKKDVIEWYKTYFRESSPKCRRLAVRVWGCNTDMKETQTDPKSMQVIADAVAFKSTSKFYPSLC >A09p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10380860:10382572:-1 gene:A09p019600.1_BraROA transcript:A09p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSLLSSPQRSIRTVLTTTIEEFLAGFSKYNRLEGDFKNNLNRSTYGGDDADDDDNPDGIPVPVQVETGEGSSDAVKDADEKADDHAPKKRIHSSINAAKIVHV >A03p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6900409:6900815:1 gene:A03p017190.1_BraROA transcript:A03p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLANNPQLIQFLSQEKERAMANEVVAKITSSCWDKCVDKPGSKFSSSETSCLSNCALRYMDMTMLIMKRFQQQ >A05g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8576443:8576875:-1 gene:A05g502790.1_BraROA transcript:A05g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDPSQGKSHTFTFRFGFLADSHRERGTRLSPSRRSTERDNNTVEESDGDEESTERNADCFIVGENQEAEAESSRFCDRNGDSSRKRKRDPESIEVSRGIAEPSRFESRTTESPKERRK >A05p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30664698:30668293:-1 gene:A05p052490.1_BraROA transcript:A05p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKGTNRVMVVAILIAACVFMISNPAAGKFIGYPPIRGGDQPPGCSSGTCPPPQPVNPYKPGCPPEGRCRHVPPGTSRGMVVAILIAACVFMISNIATGKFIGYPPIDRGDNPPGCDPNYPGSCQPPQPVNPYKRGCTIGSRCKRVPPLPPKINI >A01p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:102858:113488:-1 gene:A01p000270.1_BraROA transcript:A01p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 24 [Source:Projected from Arabidopsis thaliana (AT4G39010) UniProtKB/Swiss-Prot;Acc:Q93YQ7] MKLHFNPLSLIFFFFLFGAAMSSNQHDYSDALSKSILFFEGQRSGYLPNDQRLTWRRNSGLSDGWTHNTDLTGGYYDAGDNVKFNFPMAFTTTMLAWSVIEFGELMPPPELRNALVALRWSSDYLLKSVSQLPNRIFVQVGDPIADHNCWERPEDMDTPRTAYVVNAPNPASEVAGEITAALSAASIAVRSSDPGYSQTLLQIAVKTFQFADMHRGAYSSNDDIKNDVCPFYCDFNGFQDELLWGAAWLRKATGNESYLSYIESNREPFGASENVDEFGWDNKIGGLNVLVSKEVITGNMYNLEAYKASAESFMCSLVPESPGQHVEYTPGGLLYKPGGSQLQHATTISFLLLVYAQYLSRSSLSLNCGSLSVPPDHLRRLAKKQVDYILGENPMGLSYMVGYGERYPKRIHHRGSSLPSIVDHPGTIGCKDGSVYFNSTEPNPNVLIGAVVGGPGEDDMYDDDRSDFRKSEPTTYINAPFVGVLAYFAANPIFVLLLLVPTVIPHNYSDALHKSILFFEGQRSGRLPRQQRMLWRGDSALKDGENLNTDLVGGYYDAGDNVKFHFPMAFTATMLAWSSIDFSCYMSDNDFRHNLVNIKWATDYLLKTVSQLPHRIFVQVGEAQPDHNCWERPEDMDTPRTAFALDAPGPASDLAGEIAAALAAASIAFKQSRPTYSKLLLSKAIQTFQYADMHRGSYADNQNVHNAACPFYCSNNGYKDELLWGAAWLRRATGSDNYLEYLEVFEKNVSALTPFKDIAEKMMCAVFPETAGLHMSYTPGGLLYKPVGSQLQSTAAMSFLILTYADYLSKSSQQLSCGNLKFQPDSLRRIVKRQVDYILGDNPMHVSYMVGYGDQYPRQVHHRGSSIPSVKVQSTAFGCTQGWNIFHSDNPNPNILVGAVVGGPNVDDTFIGKRTNATDTEPTTYINAPLVGVFAYFNSNPNIT >A08p028770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17914348:17919034:1 gene:A08p028770.1_BraROA transcript:A08p028770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 28 [Source:Projected from Arabidopsis thaliana (AT4G25450) UniProtKB/Swiss-Prot;Acc:Q8LPQ6] VGSSLDRDNNGVFCNHSSLPPRLYSSHRSFTMPITSTQNVRSNAISLLPQLARRLHCAARALAEGEIGGIGSRLRHRSAADRGRRGSEDRWIEIGAREGGIEGFDQVGACVELDEQAQAQARCLLIDSGWMQHLHSLDACVFCCKGRFFEVLIGARPDPLWQLLSKIAVLYSLEPIFTIVFVTNMNAIWESVMATLRAQIFRRVLIQKAEFFDKYKVGELTGLLTSDLGALNSIVNDNISRDRGFRAFSEASHFFTMQISIHDKEYPVFGTICILFTLSPQLAPVLGLLMLAVSVLVAVYKRSTVPVYKAHGLAQATMSDCVSETFSAIRTVRSFSGEKRQMSLFGSQILAFQRSGLKLGTFKSINESITRVAVYISLLALYALGGSKVKTGELAVGTVVSFIGYTFTLTFAVQGLVNTFGDLRGSFAAIERINSILNAVDVDEALAYGLERDIHTKKVQDENLRLFLSSGPNVNIRHLDKYYMSDLKSTNNLRTLTWAGDVCLDDLHFAYPLRPDVKVLDGFSLTLRAGTVTALVGSSGAGKSTIVQLLARFYEPTQGRITVAGEDVRMFDKSEWAKVISIVNQEPVLFSLSVAENIAYGLPNDLVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRVAIARALLKNAPILILDEATSALDAVSERLVQSALNRLMKDRTTLVIAHRLSTVQNAHQIAVCSDGKIIELGTHSELVAQKGSYASLVGTQRLAFE >A01p022340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11009416:11011626:1 gene:A01p022340.1_BraROA transcript:A01p022340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRFPQNVFLFGRYSYVRRFHQSSRRNLSSFINHIRSNRRPFSSTGGGSGGGGTGDSNGNAFLLPGATVATILMLGALHGRRLYEDKKIEEKRERGIELEFHQDVKASFLGILPLRSISRAWGSLTSVEIPVWMRPFVYKAWSRAFHSNLEEAALPLEEYASLRDFFVRSLKEGCRPVDTDPRCLVSPVDGTVLRFGELKESRGMIEQVKGHSYSVPALLGTNSLLPMVPQGKDESEEEAVGDKGDKSWLRVSLASPKLRESISASPMKGLYYCVIYLKPGDYHRIHSPADWNALVRRHFAGRLFPVNERATRTIKNLYVENERVVLEGVWKQGFMALAAVGATNIGSIELFIEPELRTNKPKKKLFPTEPPEERVYDPQGHGVKLEKGKEVGVFNMGSTVVLVFQAPTANSPDGSSSSSDYRFCVKQGERVRVGQALGRWKEG >A05p035270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:20011863:20012171:1 gene:A05p035270.1_BraROA transcript:A05p035270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCLFQGLCASVFSLDPLMGSGFLVAVEKSDDGVDVAVVLWRLGSADIYSLRLRVHWRFFYSVARAGWCVAVWWRVVSGSGVWSQTHKITVYISNLIVNNL >A04g508600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:23043395:23044597:1 gene:A04g508600.1_BraROA transcript:A04g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLPPSAPMNQDLVFSLARRRWMFAPVLLNPLHPRDPPDPPDPPDPTVTSQSKPPSSSSLRDLHQTPPHSSPPISLPSISTSGFRASCFFHEIYYRGSRTETTQICLTGGGDFLSIVHVSPSSSTDMVLVCSGERNAPSVDGSSSSHGGLSFHSDSGETLIGSSVVKDHISFESPLTASHRNLISISKQLRLQPDYSNFHCLLSDAYSLTLNRKEYDDSLLKFLPVTTSWPRHGNVKVRASDPIKPYASSPNSIVLSASKMKLELEIHLVSWVSLAVFRAVCVRFNDKSRQLRLFDTIAVEIDSPASLSTRGESFLVFKPVLNSSKSLSLGYFNVVSDNLKLSRAVVSRIQVKIICGFLYFEQASPLNTSISCVTALLLLLFIVPSRLLRFVIVETF >A03p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1863087:1868323:1 gene:A03p004410.1_BraROA transcript:A03p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase A catalytic subunit 5 [UDP-forming] [Source:Projected from Arabidopsis thaliana (AT5G09870) UniProtKB/Swiss-Prot;Acc:Q8L778] MNTGGRLIAGSHNRNEFVLINADESARIRSVEELSGQSCQICGDEIELSDDGESFVACNECAFPVCRTCYEYERREGNQSCPQCKTRYKRIKEVFSGSPRVEGDEEDDGIDDLDFEFDYKSGLGGSEQASDTFSRRNSEFDLASAAHGSQIPLLTYGDEDVEISSDRHALIVSPSPSQVNSVAWKDRMEEWKRKQTEKFQVVRHDGDSTLGDGDDAEIPMMDEGRQPLSRKVPIKSSMINPYRMLIILRLLILGLFFHYRILHPVKDAYALWLVSVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSQLAGVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDRVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYGIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGNNGVLDVENHELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDKNDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPKKKKTPRMTCNCWPKWCFFCCGGRKNRKAKTADKKKKKEASKQIHALENIEEGATNNNNNVKSPEAAQLKLEKKFGQSPVFIASAGMENGGLASEASPASLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKIPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPIWYGYGGGLKGLERLSYINSVVYPWTSIPLLIYCSLPAICLLTGKFIVPEISNYASILFMALFASIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSELYIFKWTSLLVPPTTLLIINVVGVVVGISDAISNGYDSWGPLFGRLFFALWVILHLYPFVKGLLGKQNRMPTIILVWSILLASILTLLWVRVNPFVAKGGPTLEICGLDCL >SC360g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000202.1:2087:3505:-1 gene:SC360g500020.1_BraROA transcript:SC360g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSMAQRTPLPDPALGLDPIGRVSLKPNLEGLATGQTLRLYLGLDCQAPNPVGVRQHTQDVRVCLSAHTGCPWLAVCVRQHTQNVCGCPSVHISARWSLDSARWSFRLTVWDVCGCPSAHTGHPWLSVCVCVCPSAHTKRLWLSISTHISTLVLGLSTLALPVDCSGDFGPRGLSIQYTKVVCGCPSAHTRRPGLPVCVRQHTQDIRGFPSVQPPPIPNIKTRCPWTQPAGPPPWDLFWVICAHGWLFCSNTTTRTSGGGRQAHHRTSVRVPWHSTHRTVGIVPGVFCGGPCVSVVVHQHRQAFCLGVSRQHTTGRPCVSVSTHRMSVAGCVCPSAHTGRLWLSISTHISTLVLRLGTLALPVDCLGDFGPRGLFVQYTQDVRGCPPAHTGRLLQSVSKHRTSVAVRVCPCVSVSTHKMSLAVHKYTYQHAGPWTQHGGPSRGLFG >A04p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3177015:3180098:1 gene:A04p006130.1_BraROA transcript:A04p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFELSQSEILYRSRTPPLIFTKTYSLLTSASCLLSYSHAPPLANEQSNLQSSEKESKAKRRYLEKDKGQSSIERIVLRLRNLGLAASDDDEEDDAEDNEEEDVKKPVTEEERLGDLLKREWEKNKEEQAAESNEGDGGLSAVKKRRARASSLSELTIEDFGLRRLRRDGMYLRVRINIPKAGLTQAVMEKIHDNWRKEELVRLKFHERRTGGMVIWRAGSVMVVYRGRDYQGPSAVFNQMARPEEHYQGPSAGSVLVYSYLMREDEATLGKITKPRRPKTVVLCPTRELSEQIMRHMPEHPNVVTLRETYEDEHAVHLGMELCEGVELFDRNVARGHYTERAAAVVTKTIMAVVQVRHGFALCLSPDWSIDVLCLSLSSCAAPSSSSLAVKPFKGFHLDLDELGRFVSNKGNESVLKNFWHHTDAIICCSMKAMPVFIFANQAGLDMLETTLVSLQDISLEKIFDDNGRKTLCSEFPQIMQQGFASLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFVFINWSFV >A09p044730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36262707:36263817:1 gene:A09p044730.1_BraROA transcript:A09p044730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ribosomal protein S11, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G31817) UniProtKB/Swiss-Prot;Acc:Q8VZT8] MNGVSRHLRASSLPSLIRSYGGIKSVCRFSSQSDGSSGGRFGEQGAVPGDSENKTGLPDPNASPSKSFSEIKAGLLNTGGNGSSSFTSFSEMRSGLLNKIGDGGYSAPPTFRNPLRSRLPNTLPSQSAQEGLPSYQSFTQSNLLKDNFRTGGISKDLDFVRGVIEEDEGRRATGLFSHFHRPNLETNADIIHIKLLRNNTFVTVTDSKGNVKCKATSGSLPDLKGGRKMTSYTADATAENIGRRVKAMGLKSVVVKVNGFTHFKKKRNAIVAFRSGYSNSRNDQNPIVYIEDTTRKAHNGCRLPRKRRV >A06p055480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28966722:28968051:-1 gene:A06p055480.1_BraROA transcript:A06p055480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45390) UniProtKB/Swiss-Prot;Acc:Q94B60] MGLIAEAFINGPQLSCGAFGRVWPIPNIYRVDKSGVSFLLLESFIKSTFPNSSPKTLLLASAMTTLSLSSSFKPSLVSSRLSSSSSASYSSFSKPNNLSLKPTKLISPPLRTPLRFANASIEMSQTQESAIRGAESDVMGLLLRERIVFLGSSIDDFVADAIMSQLLLLDAKDPKKDIKLFINSSGGSLSATMAIYDVVQLVRADVSTIALGIAASTASIILGAGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAKEVMHNKNNVTSIIAGCTSRSFEQVLKDIDRDRYMSPIEAVEYGLIDGVIDGDSIIPLEPVPDRVKPRVNYEEISKDPMKFLTPEIPDDEIY >A09p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9729211:9732091:1 gene:A09p018530.1_BraROA transcript:A09p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein SHOOT MERISTEMLESS [Source:Projected from Arabidopsis thaliana (AT1G62360) UniProtKB/Swiss-Prot;Acc:Q38874] MESGSNSTSCPMAFAGDNSDGPMCPMMMMMMPVITSHQQHHGHDQQHQHQQQHDGYAYQSHHQQSSSLFLQSLTPPSQEAKNKVTSSCSPSSGAPAYSFMEINHQNELLAGGLNPCSSASVKAKIMGHPHYHRLLLAYVNCQKVGAPPEVQARLEETCSSAAAAAASMGPTGSLGEDPGLDQFMEAYCEMLVKYEQELSKPFKEAMVFLQHVECQFKSLSLSSPSPFSGYGEAAIERNNNGSSEEEVDMNNEFVDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSEQQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDATHPHHYFMDNVMGNPFPIDHISSTML >A05g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20738428:20739140:1 gene:A05g507340.1_BraROA transcript:A05g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGDHGDSVTTIDRKGTYHIMAPTKHPIYENFRVQAFKALLTATPSEEQVIGLGELMYQCHDSYSACGLGSDGTDRLVTLVQKMERLKHSKTENGTLYWAKITGGGSGGTVCVIGRSSEQILEIERKYKEAT >A03g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12702989:12703682:1 gene:A03g503700.1_BraROA transcript:A03g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTANSSVEDLYSCIKENSMILQSFELSYFKNTPLHDASLIGNIDLAMELLTLKPSFAKMLNNDGYSPLHLAVENNQIELALELIKFDPSLVRIHGKGGMTPLHLLVNKGYIDLLTEFLLACPESIRDVNVNGETALHITVMNDRYEELKVLRGWMQRMRKSDASSIEMQVLNKGDRGGNTALHLAASKNNHQA >A09p072510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55565306:55566496:1 gene:A09p072510.1_BraROA transcript:A09p072510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLQVDRNASEDDLKKAYRKLAMKWHPDKNPNNKKDAEAKFKQISEAYEVLSDPQKKAVYDQYGEEGLKGNVPPPDAGGATYFATGDGPTSFRFNPRSADDIFAEFFGFSRPFGGGGGGGTRFSSSMFGDNIFASFGEAGGGGGAMHHGGGARKAAPIENRLPCSLEDLYKGTTKKMKISREIADVSGKTMPVEEILTIDVKPGWKKGTRITFPEKGNEQPGVTPADLVFIIDEKPHPVFTREGNDLIVTQRISLAEALTGYTVNLTTLDGRRLTIPVTNVIHPEYEEVVPKEGMPLQKDQTKRGNLRIKFNIKFPTRLTSEQKAGVKKLLG >A08g507350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12866999:12867625:-1 gene:A08g507350.1_BraROA transcript:A08g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGWDDRDYGRAEGKENSEKAKEDKENSESGEEKDVVSGGENSKDGEKDKENVEEEEEKENEADKTELGNREKDEVSEEDYDTEEEAEKRRVEADALWKSILSEETEYLEKEAEKVAKGTPTPPRGRPKRLAARKIVLTPPEEFLRGPTVTAPSPIETEKEAETVIEEEGGEMAVEAEESDEESLKEKMLKKWWRKKKVWRRVPPRK >A03p032980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13965974:13969392:-1 gene:A03p032980.1_BraROA transcript:A03p032980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSLGSSSGSQRDVLIMVLRALVSVYCVVSLFNIQLADALHEGVAISPNLSPSTSPEMPLPAEFPPFHRKHLAPQQAEAPLHPPRYSRLVASVHPPTSSRFSKPSVKRNALSPGGSPGAGLVDIAPTQSSNGALPDGLTKPPLSPSISDCCKPDMVLKRGSSGCHCVYPIKLDILLLNVSDTPSWNMFLNEFASQLGLLPHQIELINFYMLSLSRMNISMDITPHSGISFSASQASAINSSLISHKIQFSPTLVGDYKLLNFTWFEAPKPSQAPQVASSPRKAPSQGSSASTSISSPGKKKHPNLILIFAVAAGVLIAAIISVLIICSCALREEKAPDPHKEIVKPRILEAASSGGSLPHPASTRFLSYEELKEATSNFESASILGEGGFGKVYRGILADGTAVAIKKLTCGGPQGDREFQVEIDMLSRLHHRNLVKLVGYYSSRDSSQHLLCYELVPNGSLEAWLHGALGLNCPLDWDTRMKIALDAAKGLAYLHEDSQPSVIHRDFKASNILLENNFNAKVADFGLAKQAPEGRGNHLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGQENLVTWTRPVLRDKDRLDELVDSRLEGKYPKEDFARVCTIAAACVAPEASQRPTMGEVVQSLKMVQRKL >A01g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11988780:11992254:-1 gene:A01g503950.1_BraROA transcript:A01g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIYNKRGREDMQGQHETIQEGSIVSSMEVFRFISSCTNSYLDTQDSPVLQGFTSRIYDLSAFVAVCLTLLVALVVIISIGISKLVYKVDCQELTNLLSESWSFDVHRILLAIRSLIFSFVALYAHLSCCCAYVMANSLACDAFLCCNTSSSNGVLNV >A01p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:376751:378074:-1 gene:A01p000970.1_BraROA transcript:A01p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGEGRKQQLASGADGNAPLKYPTFKATTPNPSLTHTLIDVSPLLAKDEETAFPLREDRPLVQDVCTISVLPDEGNPVPQCTSQFTLLSFVKALLPSKNQMFIDAQLNCQKTQNRINVLLGGTDSYQSCVVDINVEKGNAGEAHDGGVVGNVKSESVHMQKVLQRQASMTTDKAISERCHDAPTNRWRRYKRAASFDSRKIVILFSILSSVGTLILIYLTLRVRQSGDNSFNHM >A06p010330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3698559:3699730:-1 gene:A06p010330.1_BraROA transcript:A06p010330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISSSWSFKKFLAIVFVVFAISGEFVAGYYRPSPWRYAHATFYGDETGSETMGGACGYGNLFNSGYGLNTAALSTTLFKDGYACGQCFQIMCVQSKHCYYGNPSTVVTATNLCPPNWYQDTNNGGWCNPPRTHFDMAKPAFMKLANWKAGIIPVSYRRVPCKRSGGMRFQFQGNAYWLLIFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQAFSSLYGQSLSFRITSYTTGETVYAWNVAPSNWNAGMTYKSYTNFR >A02p010230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4402710:4405050:1 gene:A02p010230.1_BraROA transcript:A02p010230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLIPSFILLMCFWISPSLQHISEAEPLVSFKNSVKITKGDLNSWKIGTDPCGGKWFGIYCNKGLTVTGIHVTQLGLTGTIKVDDLKALPNLKTVRLDNNLLSGHLPNFSKLRGLKSLMLSNNSFSGEIPDDFFKDLPRLKRLFLDHNKFVGHIPSSIMQLPDLEEVHLQGNKFTGKIPPLSDVNKNMKILDLSDNLLGGEVPESIPNRKNLTANFEGNQDVCGKSINIECKSVEVTPSGQITLPPTTNPESTHASSIVYAIMLALTFLFTFFIVVGAIKRRKKKINAEFRMLDKERLSDLEALQVKVPEPSKNRGGNMDVGSTKKGGVGGGGGGGGGEVGGGMSDLVMVNNEKGSFGLPHLMKAAAEVLGSGSLGSAYMAVMANGLSVVVKRIRDMNKFAPEAFDVEMRRLGKLRHPNVLTPLAYHYRREEKLVVSEYMPNSSLLYVLHGDSGEYRSELTWATRLKIIKGVAQGMQYLHQEFASYDLPHGNLKSSNVLLNENYKPVISDYAFLPFLEPNIASQTLFAYKTPEFAQNQQVSHKSDVYCLGIIILEILTGKFPSQYGKGGTDIVQWVQSSMAAQKGEELIDPEIVKSTDSMQQMLELLRIGAACIASNPDERLDISEVVRRIEQVKT >SC178g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:35027:38168:-1 gene:SC178g500020.1_BraROA transcript:SC178g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVRSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPA >A09p006380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3346646:3348516:1 gene:A09p006380.1_BraROA transcript:A09p006380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLILSLSILLILVAAATTTEEEDIVDFLKRYVDPLEVPKLLESGVTERYKNILQLARFINEESGLPYKAAPNKFVLYTGEEVYAMLRDPQKCSTSTTLTVNQNLTEAAVPETKDWREDGIVSPVQDQGRCASGWAFSATGALEAAYHQAFGEGISLSGQQLLDCDRAFGNAGCKGGLPSQAFAYVKHNGGIATKDNYASVAEERACKFRPEDLSVNVLDSVTAGSEDELKHAVGLVRPVTVTFAVPHDFLYYKEGVFTDSSCDLFTNRTGHAGLVVGYGVENSIPYWLVKNSWGSDWGENGYFKIERGKNMCGIESCASYPLVA >A02g511150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29571684:29572089:1 gene:A02g511150.1_BraROA transcript:A02g511150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEALSPDLQRGFSEVERLRVGFGFMERSVFPPLGSLRSSSSWSGGDGSRHGQVCWWVSSGRSDSGGHSLLSRVLHSLFKMEARLKADIIVVKVSGLMPCARASGYASTGRLWP >A06p021570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10685836:10691024:-1 gene:A06p021570.1_BraROA transcript:A06p021570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific demethylase REF6 [Source:Projected from Arabidopsis thaliana (AT3G48430) UniProtKB/Swiss-Prot;Acc:Q9STM3] MAVSEQSQDVFPWLKSLPVAPEFRPTLAEFQDPMAYICKIEEEASRYGICKIVPPVPPSSKKTAINNLNRSLAARARARARDANGGKPDYDGGPTFTTRQQQIGFCPRRQRPVQRPVWQSGERYTFDEFEFKAKNFEKSYLKKCGKKGSVSPLEVETLYWRASVDKPFSVEYANDMPGSAFVPLSLAAARRRESGGDCGTVGETAWNMRAMARAEGSLLKFMKEEIPGVTSPMVYIAMMFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPKDAAMAFEEVVRVHGYGEELNPLVTFSTLGEKTTVMSPEVFVRAGIPCCRLVQNPGDFVITFPGAYHSGFSHGFNFGEASNIATPQWLRMAKDAAIRRASINYPPMVSHLQLLYDYALALGSRVPDSIHNKPRSSRLKDKKKSEGEKLTNELFVQNIIHNNELLRSLGKGSPIALLPQSSSDVSVCSDVRIGSHLGANQGQTTLLIKSEDLSSDSVMVSLSNGVKEKFTSLCERNRDHLASKEDETQGTSTDVERRKNNGAVGLSDQRLFSCVTCGVLSFDCVAIIQPKEAAARYLMSADCSFLNDWTVTSGSGNLGQDVVMPPSENTGKQDVGDLYNAPVQTPYHSTTKTVDQRTSSSSLTKENGALGLLASAYGDSSDSEEEEHKGLDNPVSDEVACVLEASSFVTDGNDEAGNGLSSALNSQGLTCEKGKEVDVSHANLSKGGNASSVEITLPFIPRSDDDFSRLHVFCLEHAAEVEQRLHPIGGINIMLLCHPDYPRIEAEGKVVAKELGVNHEWSYTEFKNVTREDEETIQAALANVEAKAGNSDWAVKLGINLSHSAILSRSPLYSKQMPYNSVIYNVFGRTSPATPQVSGIRSSRQRKYVAGKWCGKVWMSHQVHPFLLEEDLEAEETERSHLRAALDEDVTVHGNDSRDATTMFGRKYSRKRKARGKVAPRKKLTSFKREAGVSDDTSEDHSYKQQWRAYGDEEESYLETGNEVSGDSSNQMSDQQQLKGVESDDDEVSERSLGQEYAVRREYASSESSMENGFQVYREKQPMYDDDDDDMYRHPRGIPRSKRTKVFRDLVSYDSEDQQRERVFTSDAQTSRMDDEYDSEENSLEEQEFCSSGKRQTRSIAKRKVKTKIVQSLGDTGGRTLLQSGSRKKMKELDSYMEGPSTRLRVRTPKPSRGSSATKPKKTGKKGRNVSFSEVVSEEEVEENEEESEEASTRIRVRTLKPPRRSLETKPKKTGKKGSNVSLSGVASEEEVEEDEQEECLPYQCDMEGCTMSFSSEKQLSLHKRNICPVKGCGKNFFSHKYLVQHQRVHSDERPLKCPWKGCKMTFKWAWSRTEHIRVHTGERPYVCAEPGCSQTFRFVSDFSRHKRKTGHSAKKIKKK >A08p021040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14165164:14168506:1 gene:A08p021040.1_BraROA transcript:A08p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEATKAIKIAEKKLSENDYNGAKRFAGKAKTLYPTLDGLEQISTMVDVYISASNKTNGSESDWYGILGVDPLADEEAVKKQYKKLALLLHPDKNRFNGAEGALRELSMIRRGKGKKLEKKSEPKKTEKRARQEPGSCNVDGKAKEASSKKKKVSSTFWTMCTHCLTYSEHVRAHSLDKTSLCPFCHGIFVATEKFPEMVNGKPFIRFAPPRQQVTFWTMCTHCSTYSEHVRAGHLDKTLPCPFCHGIFVATESFPEMVNGKPFIRFAPPRPKPQATSGSTSDAPNSTHEAADMHFKKPMATGHPHSRFEDLNSTHEAQRLFSRSGRTGIAEKKISENDYVGAKKFVNKAENLYPKLDGLKQVSIMIDVYISASNKINRKGEADWYGVLGVDPSVTDEDLKRQYKKLALLLHPDKNKFTGATEAFKLISEGWCLLSDKAQRFSYDLKRKPTDMESGMYQKEPKRHKTDFSWNKPKHEYDYESESDPETEPGFTWNKAQYKREPDFSWNYVKAGTFWTKCDRCNTYCQFESDSAYLNETLSCPNCRQDFVVTEIELEEIGGRRVIRFNKSSPSSSSTSAFDSTTLQKKE >SC276g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:18179:19434:1 gene:SC276g500010.1_BraROA transcript:SC276g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A07p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24077893:24078798:1 gene:A07p044060.1_BraROA transcript:A07p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEVARSRKNAAEAEQKDRQTREKEEQYWREAEGPKSKAAKKREEDAEKKAETAAKKAEAKRLAEEEERELEKALKKPDKKVSRVSAPVPKVTEAELIRRREEEQAALAKKAEESKKKQTRMAAEEEYERMVLVSNTNRDDSIIDARTVDEALAKMSVADNLPVDRHPEKRLKASFKAYEEVEMPRLKEEKPGLTHTQYKDLIWKMWKKSPDNPLNQAAAE >A06p057630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29961060:29963764:-1 gene:A06p057630.1_BraROA transcript:A06p057630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFDDQPAAAPAARAGAKFKPKGRPHPKKKQLSLSTSQPTLSTLLPSEILSTTQSQDPVSLHDVSTIVPDSGGLIDQSTVGTISKENVFSEGLSVLRPCSNVNSEGKRCDNGKEAAPANPPDDPKSLDSAAFVTQETDEVIHSQTQRMQTEEEECHWNMETLNIVQEEGITTAYEQHTGKFQPKPRLQDAVIEEPESHYSVDHTTAANQSKVMVIVTNTVPGGEEHEDHVIGEGNGLGNTVEEEEETRSERESKKGKSKRARKQKTTSEEEPNKSPQKKKFKHSSRQKRNRTLEKELLETPDDEIKFLPIKDMLRLVEYREWLEKKEAKGAPVVPPAQESNTNASEDNHYYSQGFDEEDEFGMVETENQEINVVKPDSPVNYQTYMKKTPRTRWSKQDTELFYEGIQDFGGDLSMVQLLFSDRTHQQIKLKFKLEERRNPLKLNDALSTRPKDLTRFHTLIKKLQQEAPAERAGEEEGEAGEEAETTTDVPENEEPAKSEETGDGVAGVKEPDGGDIENNGGDECEDNEGDDDEFWNSYKSDM >A03p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4439936:4441210:-1 gene:A03p011090.1_BraROA transcript:A03p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLTTGFRFYPTEDELVEFYLRNQLEGRSGDSMHRVIPVLDVFEVEPSDLPNLAGERCRGDAEQWFFFVPRQEREARGGRPSRTTGSGYWKATGSPGPVFSKDNRMIGVKKTMVFYTGKAPTGGKTKWKMNEYKALDDRGNVSTIPKLRHEFSLCRVYVTSGSSRAFDRRPVGVRQTGTMLTNDVAVAETSFTVGTSPEISMSGGEHVDLSVDTEMVDGLTEPIWEWEQLNWP >A01p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4289773:4291888:1 gene:A01p008900.1_BraROA transcript:A01p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNFPICILKMDLQCCEDFASRVKKRLRKVKGVYAITIVPTKGLILVSGTAEPQVLITAVQKIGQTPKLYAYEKDPAKAKTQFGALLKRYANKEERRDEPTPPPAAVTCPLPPVKGFGHPVRPTMPMFSLPRSVGPPGWYAPGSLMARYEAPKVMPRKEPAKYPLDYYDNKGFPAHDSPFRYFSDDHAQPCSIILVHDYIREEDIEKKGGPVRSSKFQGVSIFGSQSQTRNFIFERKMVYGGALSRFSFGLATFLLFTFVLGKEKCSKTCIAKNCNIVGVRYGKYCGIGYFGCPGEKPCDGLDACCMTHDNCVDLKGMTYVNCHKQFQHCVNRLSRAIKQSNGTKVGFSTKCPYSKVIPTVYNGMDYGIFFSKIGNIFKPRVSGKAPRVEVNLARSKADTKDGLGIKVAIQRKEGSKVTASLNQR >A04p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:362257:363293:-1 gene:A04p000710.1_BraROA transcript:A04p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSTSLAICLLFSLATIATAYYSPSSPPVHQSPEYKPTLPPPVYIPKPTLPPPVYTPPVYKPTLPPPVYTKPTLPPPVYTPPTKPYVPKPTYTPPTKPYVPKPTYTSPTKPYVPKPTYSPPTKPYVPKPTYTPPTKPYVPKPTYTPPTKSYVPKPTYTPPTKPYVSKPTYTPPTKPYVPEILKVVDGIILCKNGYETYPIQGAKAKIVCSEPGSYGKKDVVIYSDPTDSKGYFHVALTDIIKNLLHCRVKLYTSPVETCKNPTNVNKGLTGVPLSMYGYRYHSDKNLKIFSVGPFYFTGPKAAPTIPKY >A07p046590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25117105:25118528:1 gene:A07p046590.1_BraROA transcript:A07p046590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA6a [Source:Projected from Arabidopsis thaliana (AT1G73640) UniProtKB/Swiss-Prot;Acc:Q9C9U7] MAEDSYEEECDYLFKSVLIGDSAVGKSNLLSRFSKDEFRLDSKPTIGVEFAYRNVHVGDKIIKAQIWDTAGQERFRAITSSYYRGALGALLIYDITRRTTFENIKKWLFELREFANPDTVVVLVGNKSDLRQSREVEEEEGKSLAESEGLCFLETSALENVNVEEAFLVMIKRIHEVVTQRIASDNKSNGVATSHVNGNGNGTFVPVGKEIVNIHEVTATQP >A09g517290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50800533:50803419:1 gene:A09g517290.1_BraROA transcript:A09g517290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPSKTIKIASPFLLASDVSSDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKPAHCITYSFLFFSLLASDVSSDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKPAHCITYSFLFFSLLASDVSSDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKVSASDSF >A03p061940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26912823:26914958:1 gene:A03p061940.1_BraROA transcript:A03p061940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECQGLAWSDLLWWQRTSRCQLQYIDCPDECPTDFDSYSQKKLCWVDCFNPLCMAVCRAVKPNCESYGAICLDPRFIGGDGIVFYFHGKSNEHFSLVSDPDVQINARFTGHRPVGRTRDFTWIQALGFLFNAHKFSLETTKVATWDSDIDHLKFTIDGQDLVIPEKTLFAWHSSDKEIKIERLTQKNSVIVTINDKAEIIINVVPVTKEDDRIHNYRLPKDDCFAHFEVQFKFINLSPKVDGILGRTYRPDFKNPAKPGVAMPVVGGEDSFRTSSLLSHDCKTCLFSEEVAVDSGSVKVKSEYTLLDCTRGASSGYGIVCRK >A10g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9737348:9739526:1 gene:A10g503890.1_BraROA transcript:A10g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFPHLLRTSHLFFSRIVVKLKTSLPLMSLPQNPFTSSTIPSMKKSPSLEKEGNQMQIENVDRISELPDDMLLKILKSLSTEKAVQTSLLSKRWEGVWKQMPYLFFDMKNALKVELPLAEQSHFIAQLITKVINNHSGNLEHCKILHMTPQTQDGTLETWIRSLIHVKHTKYLELKRFRVNRPGRARVLHLPPNIFSHPMLTSLLLSQYQFESAHAFNNCNNLIILKLFKIKVEVDVLNTVIASCPSLKMLVLEIFQNSRTGCLKIHNNNLKFVHLTCTGNDNVEVSAALLDILSIHNVKLKNYFMVVFATLAVNVDMMNPKEVYMLKQVLDAWARDLQILQIFFKDNDIDKKEGESSIDGIQNKWGNCVFDRVKSVLLYNFNGSDEDQFALAASFVIQGKMMESLTIDTSSLPAYKTLAIDTVVAKLMKLPKGNKNLNIKYI >A02p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8683790:8688412:-1 gene:A02p018750.1_BraROA transcript:A02p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVTRQTSSCSRSTDQMCNEDPRVHLSEEEKIAAEESLEAYCKPVEFYNIIQRRAIKKPLFLQRCLNYKIEAKHKSRIQMSVFLSGTTDAGVQTQKLFPLYILLARLVSPKPAAEYSAVYRFNRACILTSVLGVDGVSQAQANFLLPDLSRLALDAKSGSLAILFISFAGAQNSQFGMDSSTIHSGNIGGHCLWSKIPLQSLYATWQNSADLELGQKVNSVSLVEMQPCFIKLKSMAEEKCVSIQVPSNPLTSSSPQQVQVTISAELVGATEKSPYSSFSYNGISASSLVQIIRLRKGNVVFNYKYYNNKLQKTEVTEDFSCSICLVKCGSFKGLKYHLPATHDLFNFEFWVSEEYQAVNVSLKSETMIAEINEGGVDPKQQTLYFSSKKYRRRKQKSQVRSSRQGRQLGLGCEVLDKTDDAHPVRSEKSRIPPGNGVGESSGQRVLPADVQSGGDPDNVQSVVGSTMLQFAKTRKLSIERSELRNRSLLQKRQFFHSHRSQPMAIEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKEMMHMWNSFVRKQQVLADGHIPWACEAFSRLHGPIMVQRPDLIWDWRMFMVKLWNHGLLDARTMDKCNVILEKLQTEDPETTI >A10p038110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21366409:21368408:1 gene:A10p038110.1_BraROA transcript:A10p038110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDSVCGGFSLITRSDLKMGGNELDDKQKLSQFGRVDTFRNSGNTGHAPKDTEQSQVCKVSQSFVKEGASSEVFGQQVKPSSDKRIDVGCVAQKRSELSSVSSCLNDDLSTVYSECGSSSVPVEANDPMKLWKAMKENGFLSNPHGATSSSCVVSSSHGGIPAPRKRGRKSKTNNDAAMVAKKRKIEIARKEEVYNRFARLAAPSGLLNELNPGIINHVRNKKQVLSIIQSIVKSDKDSGRNYHHHSMRLNNTTAADDVNTGGSSRSDFSQGFKYGMPEDNYYEEKCADGEENSKFSENASSLSSEDAASLNRDSVLTVKAATVASQWLELLHQDIKCRVSALRRSKKRVRAVVTTELPFLISKEFPADQENDPSVLFNGASRASTVDNHKNRWMALFKQLEQTLSEEERQLESWLNKVRELQSHCDQGLQHLSLSSGQNFLQLGMPLYSRAADALISDKDLAVRAAAASIYSTCNFLASEENITCT >A10p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19884676:19885500:-1 gene:A10p034190.1_BraROA transcript:A10p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGYGDPSQKIDYVFKLVLIGDSGVGKSQILSRYARNEFSLDSKATIGVEFQTRTLVMDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDVTRRQTFDHIPRWLEELRGHADKNIVIILVGNKSDLEDQRAISTEDAKEFAETEGLFFLETSALNAVNVETAFSTVLTEIFNIVNKKNLVAGEGNGDPGSLAGKKIDIVPGPAQVIPTKSGMCCNS >A07g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19159509:19164082:1 gene:A07g507270.1_BraROA transcript:A07g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFYLGGRDNNKQDLHQVDKSSYLYLYKDEIYNTNKGFEIWPPQYFQEQHQQHVTAPANFYSFGMVPSGSSSNNNNNNRSRSLHFNVVSDHEPGGFTLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITGSMIILTVSAEPPLFLLEGYFGLLADLRQPGVLIPIVGIAKSRVQLYLILLCLLLGKTPKTCCKSRGFHCQTHVKSTWVPAAKRRERLAQLASLQHRSAFSRETQKAKRLREANGGGDNVDKDHSGSAGSAIATRVANANSNSGFEVSQNLPPEVSSPAVFRCVRVNSIEEDEDDQEYAYQTAVNIGGHIFKGILYDQGPEQDHHHQLNLLAPTATTTNAEETAAKTAVTVAGNNNTGLILDPSSLYPVQLSSYISGTPFFTPPRS >A09p071680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55235219:55236181:1 gene:A09p071680.1_BraROA transcript:A09p071680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSAYGSGSWTVKQNKAFERALATYDEDTPDRWYNVARAVGGTTPDEAKRQYDILVRDIESIENGHVPFPNYKTTGGSTKGRLRDEEKRMRNMKLQ >A02p059080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35333507:35336921:-1 gene:A02p059080.1_BraROA transcript:A02p059080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPLTRRNRAPSSVLSLFLVFLCFSASSNAQSTPAFACDVAGNSSLSAYGFCNAALKIEARVADLVGRLTLQEKIGFLVNKANGVTRLGIPTYEWWSEALHGVSYIGPGTHFSGQVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPLLSSKYASGYVKGLQETDGGDANRLKVAACCKHYTAYDVDNWKGIERYTFNAVVNQQDMDDTYQPPFKSCVIDGNVASVMCSYNKVNGIPTCADPDLLSGVIRGEWKLNGYIVSDCDSVDVLYKNQHYTKTPEEAAAISINAGLDLNCGSFLGQHTEAAVKAGLVNETAIDKAISNNFLTLMRLGFFDGDPKKQIYGGLGPKDVCTPANQELAAEAARQGIVLLKNTGSLPLSPTTIKTLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAGTVSTTYLPGCSNVACAVADVDAATKLAADADATVLVIGADQSIEAESRDRVDLNLPGKQQDLVTQVAKAAKGPVLLVIMSGGGFDITFAKDDPKIAGILWVGYPGEAGGIAIAEVIFGRYNPSGRLPMTWYPQSYVEKVPMTNMNMRPDTSNGYPGRTYRFYTGETVYAFGDGLSYTKFSHSLVKAPPRVVPLRLEENHVCRSSECQSLDALGPHCEKNAVGTAFEVHVKVRNGGDREGIHTVFLFTTPPTVHGSPRKHLLGFEKIRLGKREEAVVKFKVDVCKDLSVVDEVGKRKIGLGQHLLHVGDLKHSLSIRI >A08p018590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12797066:12799580:-1 gene:A08p018590.1_BraROA transcript:A08p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRGNFHNFEDPTQRTRKKKNAANVENFESSSMVTGTEGGGKYNCDYCQKDITGKIRIKCDVCPDFDLCVECMSVGAEITPHKCDHAYRVMGNLTFPLICPDWSADDEMLLLEGLEIYGMGNWAEVAEHVGTKSKEQCLEHYRNIYLNSPFFPLPDMSHVAGKNKKELQAMAKGRIEEKKGLHYFALMVDILILKINIASSLAAEQNMKEEYPFSPPKVKVEDTQKESHTDRSFGGKKPVVAPGNNSLVELSNYNHKREEFDPEYDNDAEQLLAEMEFKDNDTPEEKDLKLRVLRIYSKRLDERKRRKDFILDRNLLYPNPFEKELSQEEKMQCRRLDVFMRFHSKEEHAELLRSVVSEYRMVKRLKDLKEAQMAGCRSTAEAERYLARKRKRENEEGMMNRGKESGQFGAGEMGTRPPVQASSSYVNDLDLIGFTESQLLSESEKRLCSEAKLVPPVYLHMQQVMSHEIFKGNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL >A07p041340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22351305:22358913:-1 gene:A07p041340.1_BraROA transcript:A07p041340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHEFLELFEAATKAAKSASRGDVKNSPAVSRCVEAIKRLREAPESLACEMVIDRKYPQIGKSHGLFTEHKNPRIQSEGKILYSLWLRYLYATGRKQGSRPRDRTVVKNKKKLVEEKMVSTTTTGDSNRDKVREILHKSLSKVAVEMKEGVVSCDSWSVAASVESAMFRKLGSFEGTQKAKYRSILFNMGDSSNPDLRRKVLLGEISGERLVTMEKEEMASNKIQLEVQKIKEKARDKEENRVKSMMMFQSDKMIILSLLLHLLINSSPSLSLSPDGLALLSLKSAVDQSSSSSAFSDWNDNDSDPCRWTGISCTNISSSSGLRVVGISLAGKHLRGYIPSELGSLIYLRRLNLHDNELSGSIPTQLFNATALHSLFLYGNNLSGALPPSICTLPRLQNLDLSRNSLSGTLSPDLGDCKQLQRLILAANKFSGEIPGEIWPELKNLAQLDLSSNQFTGSIPKELGELKSISGTVNLSFNHLTGEIPNSLGNLPVTVSLDLRNNNLTGEIPQTGSFSNQGPTAFLNNPKLCGFPLQKSCKNGEKTSPESKKSPENNVDSRKGLSTGLIVLISVADAASVALIGLVIVYLYWKKKDSEGGCSCTGNEKLGGGTEKGKTCCCVGGFPKEEDSEAEDNERGGEARGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGAPVAVRRLGEGGEQRHKEFVTEVQAMGKVKHPNVVKLRAYYWAPDEKLLISDFVNNGSLADALRGRNGQPSPSLTWSTRLKIAKGAARGLAYLHECSPRKLIHGDVKPSNILLDSSFTPYISDFGLTRLITITAPSGEPSSSSGAGGFLGGALPYTSIKPSDRSNGYKAPEARLPGSKPAQKWDVYSFGVVLMEILTGKSPDSSPLSSSSSSTGVAEVTDLVKWVRKGFEEETPLSDMVDPMLLQEVHAKQQVLSVFHLALACTESDPEVRPRMKNVSENIDRI >A05p048840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28492837:28497949:-1 gene:A05p048840.1_BraROA transcript:A05p048840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEDNSLMDKVLPPDEQEIDVELEAKEKKYLRGEGANLETLKDKKLKTQLASREKLYGKSAKAAAKIEKWLLPASAGYLETDGLEKTWRVKQTDIAKEVDILSSRNQYDIVLPDFGPYKLDFTASGRHMLAGGRKGHLALVDMMSMNLIKEIQVRETVRDVAFLHNDQFFAAAQKKYSYIYARDGTELHCLKERGPVARLRFLKNHFLLASVNKIGQLHYQDVTYGDMVASIRTGKGRTDVMEVNPYNGVVALGHSGGTVTMWKPTSQAPLVQMQCHPGPVSSLAFHPNGHLMATSGKERKLKIWDLRKFEEVQTIHGFHAKTLSFSQKGLLAAGTGSFVQVLGDSSGDYSRYMSHSMVKGYQIEKVMFRPYEDVLGIGHSMGWSSVLIPGSGEPNFDSWVANPFETTKQRREKEVHLLLDKLPPETIMLDPSKIGAMRPSRRKERLTRGEIEAEKEVAVEAAKGVELKKKTKGRNKPSKRTKKKKELVENAKRTFPEQENSAAGKKRRIGEDAAAELPACLKRRKLMTMENEFDSKLSLQGNGEGSSISRSKSFAFKAPQENFTIQDFELDKIYGVGSYSKVVRATKKKDGGVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVKLFFTFQDNFSLYMALESCEGGELFDQITRKGRLSEDESRFYGAEVVDALEYIHTMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSQITLLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDLKFPNHFSEAARDLIDRLLDTDPSRRPGAGPEGYASLKRHPFFKGVDWKKPRSQTPPKLAPDPSSQSASPERDGSPWNPTHVGDASAMQNNGPSSTSESSGSITRLASIDSFDSRWQQFLEPGESVIMLSAVKKLRKITSKKVQLILTNKPRLIYVDPSKLVAKGNIIWSDNSNDLNVQISSPSHFKICTPKKVLSIEDSKQRALQWRKAIETLQNR >A05p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24939107:24941062:-1 gene:A05p040860.1_BraROA transcript:A05p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHWKHRELVKLISKQKSLAFVENTARLLEYESGGVLVAIENVPKGFALIYYRGKNYQRPRNLLDKSKALKRSIAMQRHEVRKFLNYKLHFNVLSKLIAAVAYGSFKLWAAAPKPLYVHPPLNRRNSSGKKNMMASMEIYQRILRETKRRRKGRREWKVEIPNDVMEEIVMRLPVRSIMRFQAVSKHWESMIKTRDFGARHMAHQRSKDPKLMLVSYGLSHIRFEQRDFETTSLEESLRLKTEKIEGAAMAISECCDGLVCYYRLTQAVEVVNPATEKSLVPLPLAKFQQLHKDHPDRDMEQEIEAITDEDDGPDLVPFIFFTRFGFGKDSLTGRYKIVWLYNIYPATLNKKKKTRCEVFDLEEWRWRFVTTRPLDHHQILSDQRPSFANGSLYWLTGDEQGYPSTLTKLIVFDIHTEMFQVTSTPPFISPDASGDKIALCNLDGRLCISELQGDCTQEFWWRVEECDKWERIFSVDLISTSSWFGGIASQPLTPLAISRDNNKVVLSLTYHENLVDFDLDPDSTVYHLYYSGYYGFAVPYFPSLSLVDF >A06p003040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4879851:4880021:1 gene:A06p003040.1_BraROA transcript:A06p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSKMKAWKKKEVIQKGVEVSQEVSLEKSLVFFEKPKFDSIISKVKGPEKKLELC >A09p011180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5625175:5627046:1 gene:A09p011180.1_BraROA transcript:A09p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAANTVSLSISTTVASSKTLHSLQAQTRGLIPPPTISFPKSSSLSSSTTVSISRSRVRAGPSQLVNEPARSMATPPTIVEVDLGSRSYPIYIGAGLLDQSHLLQRHVHGKKVLVVTNERVAPLYLDKTVHALTIGNPNVTVESVILPDGEKYKDMDTLMKVFDKAIESRLDRRSTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHRLGKNLIGAFYQPQCVLVDTDTLNTLPDREMASGLAEVIKYGLIRDADFFEWQEKNIEALLARDPAALAYAIKRSCENKADVVSQDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSHRLGWIDDSIVERVNNILKRAKLPTTPPESMTVSMFKSIMAVDKKVADGLLRLILLKGPLGNCVFTGDYDREALDATLRAFSKS >A05g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17794296:17795253:-1 gene:A05g506210.1_BraROA transcript:A05g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTSHFLKVILVLEKWISGGEFVGRFPILVSLLALTEDQLIRVLVEPKNALGKQYKKLFSMNNVKLHCTEKALEMISKQAMVKNTCARGLRALLESILTEAIFEIPDVNMGDERIDHAVIVDEGDSRGCTTKILSGDGAFERYLNENKSKDALQNRRASSYVDERVGSARAMSL >A05g503480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10153643:10153843:-1 gene:A05g503480.1_BraROA transcript:A05g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDIRDKLSFFRLWTVAFAHIEGNRCAEAIATSVTRDHRYSSYIAHAGPFWLASELQEEALGEDQ >A09p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15374359:15380001:-1 gene:A09p027200.1_BraROA transcript:A09p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFFILRCFLLFFFLFDLAFAAEEKIWSRTDMVEMAGYGEQKLSSVIITGSLLCDTSHSISIPGATVAIKCHTGYKRRSKWIKAVTDDLGEFEIDLPSQLHAIPNLENTCVIKPIHVPHELYRCHHNSTNTHKRIKLVSSTSGFRVYTSGKIRLQGHRSSSSSSGAGNWEQRKERENLRPACLYTHLGQKDYSLLTLFMGFLKAYTFFVLQGSWVDRSVHRIRSRPICSYSSTHPITFPQPMEMESSCKLFIGGISWETSEDRLREYFQSFGEVLEAVIMKDRATGRARGFGFLVFADPNVAERVVLIRHVIDGKIVEAKKAVPRDDHVVLNRSNSSLQGSPGPATSKKIFVGGLASSVTEAEFKMYFAQFGTITDVVVMYDHRTQRPRGFGFVSFDSEEAVDRVLQRTFHELNGKMVEVKLAVPKEMALNPIRNPMNVNSFGSSRISALLMNDYTQGFSQSPISGYGVQPEVRYSPGVGGNRGGFSPFGHGFGIELNFEPGQTQNYGSGSNAGFGRPFSPGYAASQGRYGSQIESGGGASVRNNLWGNGGGLGGYMSNSPISRSSFNGNSGMSSLGSIGDNWGGAARARSGYRSEGGGLGLDAMRGVHVGGYSSGSSSLETDSLYSDSAWLSLPAKTEERLGMGAFDFMSKGPAGYINTQPNGGIAA >A03p066660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29446852:29447188:-1 gene:A03p066660.1_BraROA transcript:A03p066660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCTLSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAEATWPTNRYKRV >A07p048930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26047796:26054894:-1 gene:A07p048930.1_BraROA transcript:A07p048930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 16 [Source:Projected from Arabidopsis thaliana (AT1G76270) UniProtKB/Swiss-Prot;Acc:Q949U4] MYRALWRSPLRFIVGSSSSSKLRPTLSRELGRSGIDNGSGGCSCSRSVTTMIGNEFIRCQDESTRKVLQEQIVDALSSGERHGASALLSKLTHGNNPLSADDFHGILKYCARSPDPVFVMETYSAVCKKEINLDSRSLLFIVQALCNGGHLDKASEFIQALGGNDSISPLLPVYNYFLGACVKTRNGNYASRCLELMDQRRVGKNEITYAALLKLAVLQRNLPSVNEILKHYVDHYSLSILSLRKFIWSFTRLGDLKSAYELLQHMVALASRGELFVKFKSGKLHSTRLDIPIPSSTLTRSEKVALGGVNDHTVSLMVDAHGKNNVPATRILRWSFNDVIHACGQSKNSELAEQLMLQMQNLGLVPSSHTYDGFIRAVAFPGAYEYGMTLLKVMQQQNLKPYNSTLATVSAYCSKAFQVDLAEHLLDQVSECSYAYPFNNLLAACDSLDQPERAVRVLARMKQLNLRPDMRTYELLFSLFGNVNAPYEEGNMLSQVDCCKRINAIEMDMVRNGLQHSPISTRNVLRALGAEGMVNEMIRHLQRAENMYLETPTYNIVLQSLLEANETNMVIKIFKRMKACGYPADAATYNIMIDCCSIIHSYKSACALVSMMIRDGFSPKAVTFTALMKILLNDESFEEALNLLDQAASEGIHLDVLSYNTVLRKAFEKGMIDVVEYIVEQMRREKVNPDPSTCHFVFNCYVEKGYHATGIEALNVLSLRMLDGEVKESLQEKKAELEESFVMSEDPEAETKIIELFRDSQEHLAAALLNLRWCSMLGVRVIWSEEQSPWAKGLSNKYGAAAVMSFQRRRYPYYNRLRRLLPLVFAVSLSLLVLFAFLSFLAPHPGDSDRLPPRVRHTSINIGGGSDSIKSAAFRVPRDGGRSDRDVWRSRNAEFFYGCSNASTKFPNSKAVTRNDRYLAIATSGGLNQQRTGIVDAVVAARILNATLVVPKLDQKSYWKDASDFSHIFDVDWFVSFLSEDVRIIKQLPQKGGRPWSPSRMRVPRKCNERCYINRVLPVLHKRHAVQLNKFDYRLSNKLSDELQKLRCRVNYHALKFTDPILKMGNELVRRMRLRSKHFIALHLRFEPDMLAFSGCYYGGGDKERRELAAIRRRWKTLHINNPEKQRRQGRCPLTPEEVGLMLRALGYGSDVYIYVASGEVYGGEESLAPLKALFPHFYSKDTIATKEELEAFASYSSRMAALDFLVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTVRPNAKKLYRLFLNKENTTWEEFTSRVRSFQRGFMGEPKEVRAGRGEFHENPSTCICEDTEAKAKAQIESRKLGKKNKSTNKDAAAAVTVPNDVQSEEDEPDWSEPDYEEEQSDLQDRGLYNGTSLDYDDPSSTSDEPELEEMLSD >A05p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24615291:24618535:-1 gene:A05p040170.1_BraROA transcript:A05p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 62, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G18890) UniProtKB/Swiss-Prot;Acc:Q8H0U5] MEGSSFLRGQPLTTVPSLPRQRFLLQGWKNNRIVRFSGLKNHSDSLKSRSFFDLSLRASDKGPIKASSAVTEANPTNIESKEQDLVFVAGATGKVGSRTVRELLKLGFRVKAGVRSAQRASSLVQSVKDMNTDEGAQQEKVTGSVCVFSPAVEKLEIVECDLEKKDSIQPALGNASVVICCIGASEKEISDITGPYRIDYLATKNLVDAATSAKVNNFILVTSLGTNKFGFPAAILNLFWGVLCWKRKAEEALIASGLNYAIVRPGGMERPTDAYKETHNLTLALDDTLFGGQVSNLQVAELLACMAKNPQLSCSKIVEVVAETTAPLTPIEKLLEKIPSKRPYVPPPKESVAAKEVPPVPAEPVKQESVAAKDVTPVPAEPITKEPTAPKEDEAPAQLKEMKPRPLSPYAAYEDLKPPTSPIPATALGATKAKEVDATPVPEVEATQAPVDANVAPPPENPVEANVPVVEEVKQVEEKKERPLSPYASYENLKPPSSPTPKASGIQKSDPVAPVPTDSDTGESSMIATNVTEEAEAPPAIPKMRPLSPYAAYADLKPPTSPTPASTGPKKTAPAEEISEVPGGNDVLQTVDGSVNTTESASVPEAETVDSVTDTSLTPEESAADQPKPRPLSPYTMYEDMKPPTSPLPSPVINH >A02p058510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35084339:35085578:1 gene:A02p058510.1_BraROA transcript:A02p058510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G63670) UniProtKB/Swiss-Prot;Acc:Q94C60] MGSAPAQIPTSFGHELRACLRCRLVKTYDQFRDAGCENCPFFKMEEDHERIVEVTTPNFNGIISVMDPSRSWAARWLRIGKFAPGCYTLAVSEPLTEEMQHVCQEERVQYVPPKRM >A10g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5086258:5087215:-1 gene:A10g501900.1_BraROA transcript:A10g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTIYGNSDRFRSLEKQAGKWVEIFLFNLSATRNTQVHIIDPLNNQLFMDFKNIHAIPHMDHRDRNYLIDTMGVVFNTEAHFNDPASLGMVFYIRDYIDSLIKCVATSAHAYAFRDGLENMKGRGQVIVVLKMWRIILVILIYGLRPRVDYLTSGSIRVCRRLRSSGSLYYAVTLMFSDMGL >A09g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5358134:5359047:-1 gene:A09g501480.1_BraROA transcript:A09g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLFWYVDDFYSQCDPEKENLCLCGHPNESWEVNLRAEEDGREISVFPKRRQPLPPPCLSFVRSFAGLLPLPQIVKLLSSVDESKTVINSKDDEGWAPLHSAASIGNAELVEILLTRGADVNVKKQWWWHCSSLCC >A07g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11689526:11691320:-1 gene:A07g505320.1_BraROA transcript:A07g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRILDVVEFRVFIVRCRLWFPIPEILVRVLDRCEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSLFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPCPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGNGSGSSEAPIPDFDDFFAWLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYCFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVLIDFHGGGEEVDRPADAFGASLSGDFDFGL >A06p046360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24809266:24810668:-1 gene:A06p046360.1_BraROA transcript:A06p046360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRKRRVFLSVSFFLPLSQCEREPPSFVTLVSQIRSTGSSQASKKLLSIFLFVPPSYRFCFQSVRRDHKKESLICSKETEDMSESKDEKAQVAADRIKAAALTASKGLSRTQAERAAAAASRNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKSVSASVVGGSSLAASQCQKCFQTGHWTYECKNERVYISRPSRTQQLKNPKLRMKPSVDDLDGDDDEKLDAGNGKDDEEGERRSSKKSKRKQRSKSESGSDSEASVFETDSSDGSESSGESDSEDSSSSDSEEERRRRRRNKRKSKKKPKQRKERRRRKYSSSSSEESSDSESASDSESDEDRISRKKKSKRHSSNKRR >A06p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18925710:18927347:-1 gene:A06p034910.1_BraROA transcript:A06p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVIANEPEEKPPEQSGELSVLVLDKEVPTDSNLQNGETRRQRKRNTAMAHVRGNSERARKLAVSQQTPFQGNNTAKVIIPNKRVGQGYDPFALYGKKMSKVLTDLDIYVVFLSIVNVAVIEPTLRQRQGLYGDKLFRVDLLSSPSTARTCPPRLNLLPHLGSETEKYQFTPPLPCALTPTSRRKTLKYCVRRLDRPEKFSLLPDVCSNTLNQNECDDNMLRSIPVINYWLRHGNVEVQGFHSIEPFTPSSTSTVLCVTMKAKLPFEIHLVSLRSFVEFRIDRVNFSTESSHIGLLPLGVAQGPRASHQKFLAGNNPVASHWCINVDFDYQLFSRTIALGIRVKLLHGVLHLAELDSLLICFIFLCFIMLSTFVVLSSMIPESSVLVVNSY >A03p043690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18278306:18280874:-1 gene:A03p043690.1_BraROA transcript:A03p043690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNGSDSSLATPGATTPPPPQQWQQQQQQHWMAAMQYPGAAAMMMMQQQQQMMMYPHQYVPYNYQQHPQFQYASYHQQQQHKTHERGSGDDVKTLWIGDLLHWMDETYLHTCFSHTGEVSSVKVIRNKLTCQSEGYGFVEFLTRAAAEEVLQNFNGSVMPNSEQLFRLNWASFSTGEKRAVENSPELSIFVGDLSPDVTDTLLQELFVERYPSVKSAKVVIDSNTGRSKGYGFVRFGDESERSRALTEMNGAYCSNRQMRVGVATPKRAVANHHPSQAVIVAGGHGANGFMAHGSQSDGESNNSTVSLLVFCYDRKSADDAIQSLNGTVIGKNTVRLSWGRTPNKQWNVGYTQRGQGYNNGGYTNHHDSNNYPTET >A05g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6871403:6873068:1 gene:A05g502160.1_BraROA transcript:A05g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLGYLFKDFSLSSKSATPKAKLRSLSFALHRRRSRRRSPPPAMTKKKKLKPAGGSSPDSSSSSVLSSTKSAPATFAADAPLVIHSSQMNDLQLLGEINSPTSLTFLELQGCAAPAVNNSRNPNPQISATNEIVPEEIESEATSAQTKSVVPPIVAAQQNIEQPNAQPPRLPTVPEEACPRSTVPQESNVSKRNGKAPIASQFPIVGTGNDKGPGIARATPKALPKEKPKASAPPPASNKKGKRRNNKQWAPTEGNKRTDADSRAQMYQAGPSTDPKTITLDLDEGEICVDLRPINLDP >A09g517530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52281908:52282634:1 gene:A09g517530.1_BraROA transcript:A09g517530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVSVHVDDRGHNINACDDSDGQRLAAAAATASAAAAASAAAAASMKTVFVRFADAAAAADAAAYYIATAGFIGVSRRTRRSDAASDAASCVNETNKS >A06p044010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23681831:23685834:1 gene:A06p044010.1_BraROA transcript:A06p044010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDMGAEQVSTNMEETLKAEDPDTFKDPNLVEIGSNDLPVQDMRVSDIKEEEPVASPCGNGVDFPKVETSVSGPEDVCFQPSVLADSQPKVESSVSGPLSFTSEPEGSSSQPCVLADSQSKVETSVSGPMSFAFEPEGVSSQPSVLADSQSKVETSISGPMSSAFEPEGISSQPSSLADSQSLQTRLQPLVPRGYSIDRSLAACRSPRSFQLTGKRKLPPESASEKPNKRVESVHHRPWLEQFYSEPAHMPSATLSPKTEHPQAPAKKVKQTEPASQRKQVMNKKQPGPSQGSTNEGNESLRSKMKESLAAALALVHDHEESPKGKKTSETDETSAPVPESNEACDVSVPADDVNGRMLQQQSSNDTEMNYVNQSDVQKTQYDDVFPSDDGPFSGSYFSSDELLQGNGLSWVLEPVSDLEERKENEDPNVLASKIELELFKLFGGVNKKYKEKGRSLLFNLKDKNNPELRESVMSGKISPERLCSMTAEELASKELSQWRQAKAEEMAEMVVLRDADIDVSRLVRKTHKGEFQVEVDPVESEMVDVSAGITSRSRPRAKAKSSLNKNDSDEQKASSDKGDMTEEETDPMQGLSMDDEMKDVGFLAPIVSLDEFMESLNTEPPFRSPQHGNAVKEEVAASDSGAVSNLKSPSRSPKEACESVSPKTELQKAIVTSPKPDPGVKLGVDVSKPEEEAPLVASTKEEHIWEGILQLSASSVVSVTGIFKRQCHGEKAKTSEWPTMVEVKGRVRLSAFGKFVQELPLSRSRVLMVINVVCKDGISQSQRDSLFEVAKSYVADQRVGYAEPTSGVELYLCPSRGETLDLLSKVISKDHLDEAKSSDSIGLIGLVVWRRAVSSPASRHKPGSKRQHSSLKNHQPPVVAASTKSSVLALENKKSSTSLNVKNHHQPPVVAVTMGNHGCEDGDDDEDLPPGFGPAAAKDDDDDLPEFNFNPSAAPPVTSSPRPAAPQSRSLNQVRELILKYGNSAGKQPWNGQDDDDDDIPEWQPQGHQIQPPPPPAPGPPFHSRAMARPQGHGAIPSDGWRANQNASRQQQQQQQYSARRNRGF >A03g500090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:229272:229442:-1 gene:A03g500090.1_BraROA transcript:A03g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAKKASRGGGGEGEKTETRELGRHSNGGGRRRQMEEEDDGMYTALWGTVHGGVD >A09p011840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5998782:6001525:1 gene:A09p011840.1_BraROA transcript:A09p011840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRRNLSNHFIFHLLLLLLPTLLQALNTDGVLLLSFKYTITSDPLSVLRNWNYDDETPCSWTGVTCTELGSPNTPDMSRVTTLALPNKQLLGSVSPSLFSIPNLRILDLSNNFFHGSLPDTLSNATQLRVLSLGNNNVSGQVPESISNVATLQLLNLSANAFTGKIPQDLSLLNNLTVLDISSNHLDGSLPQDLQGTSLHYLNLSHNQISGDISPTFAQKVPPTIILDISFNNLTGPIPSTPPMLNQRAESFLGNLGLCGQPLKTPCSIPSTLSDPQNISDTTSPAIAVMPRSSSPPKNPSPDSPPNQSKLKPTTIVGITVADIAGLAIIAMIILYVYQLRKRRSYQEYSTFKVLKDCLEKNDTLSVKKQSVFALEVTQSPVAKPRWGSCVTGRYDETSSESDVENQKTVDALKRDGETQLVTVDGETKLELETLLKASAYVLGTSRGGIVYKAVLENGAAFAVRRIGAESCTAVKLKEFEREVQGIAKLRHPNLVRVRGFVWGREEKLLISDYVPNGSLHCSSIYSKSGSSSTSSPNPLSFKARLKIARGIARGIAYIHDKKHVHGNIKPNNILFDSEFEPIITDTGLDRLMTPAHSLIAGPASGSQHHPPEWSSSEKPNPRCDVYSFGVIFLELLMGRVFLVDRDFVRDSEVDEKTWFLRLVDGTINSDLAHHEDEVVACFRLTYGCVSSLPQKRPSMKEVVQVLEKIYV >A06p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3331352:3336216:1 gene:A06p009490.1_BraROA transcript:A06p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGNVLADTQTGEYQTGVPSKGSAQDQYLYWFSPSSPQILLLFQSCFVGKSIQLKWDSASDHGKCRKKQGRMSASGPKSSAPRGFERRTTVGSAQKKTPKKNGEKDSNATSTITNEVLEISKLPGAKADVEKQSSVVFGERNVLDRSETEVDALLDQELKVERENLHRKEIESLAEETLLRGDRMFVYPLTAKPDEDIEVFLNITLSTLNKEPDVLIMGAFNDWRWKSFTRRLEKTWINGDWLSCLLHIPKEAYKIDFVFFNGQSVYDNNDSKDFCIDVKNGMDKVEFENFLLEEKWREQEKLAKEEAEREREEEEKRRIEARKAAIEADRAQAKVETRKRREMLQQALEKAVVSARNVWYIEPSNFQGGDRVKLYYNKSSGHLANAKEIWIHGGFNNWVDGLSIVEKLVDGEIKDDSKNGDWWVAEVIVPVRALVIDWVFADGPPEGAFLYDNNSRQDFHALVPLRTPEEAYWSEEEDLMFRKLQEERRLKEEAMRVKMEKTARLKAETKERTLKKFLLSQKDVVYTEPLEIQAGSSVTVLYNPSNTVLKGKPEVWFRGSFNRWTHRLGPLPPQKMEAADDGSSHLKTSAKVPLDAYMMDFVFSEKEDGGVFDNKDGLDYHLPVVGGIAKEPPLHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQELNHNVDIIFPKYDCIKYNFVKDLQFNRSYHWGGTEIKVWHGKVEGVSVYFLDPQNGLFQRGCVYGCADDAGRFGFFCHAALEFLLQGGFHPDILHCHDWSSAPVSWLFKDHYTHYGLVKTRVVFTIHNLEFGAGAIGKAMTFADKATTVSRTYAKEVAGNSVISPHLYKFHGIVNGIDPDIWDPYNDNFIPVPYTSENVLEGKRAAKEELQKRLGLKSSDLPLVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSTHGDRARLVLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSVPIVRKTGGLYDTVFDVDHDKERAQAQVLEPNGFSFDGADAPGVDYALNRAISAWYDGREWFNSLCKTVMEQDWSWNRPALEYIELYHSARK >A03g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30224778:30225264:1 gene:A03g509230.1_BraROA transcript:A03g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQGLLIFRTLNSTICVLSPKSMSDQVVRVIIIQKTFIEHAEKLRQVKAVLEEGENFSRIYRKVQLKQLKWDGEGEE >A06p010770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4070241:4070539:1 gene:A06p010770.1_BraROA transcript:A06p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPHMVGFNATRAFAQLGNLHEAKLEVLLWAIENIYEYHFNSVIFGMDDGDLTHMILIPKAWPNFKR >A02p057700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34710600:34714478:-1 gene:A02p057700.1_BraROA transcript:A02p057700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALSEEKKMKKSTSSETTTAAATTPDSKKKKEKKPKKLSDSDGEEDSEKKKSKKKKRKAAAESSDSGSELVEPESSKKKSSKKVKLSVVEDVKVVENPNAVSKFRISDPLREKLKEKGIEALFPIQATTFDMVLDGADLVGRARTGQGKTLAFVLPILESLINGPAKNKRKNGYGRPPSVLVLLPTRELAKQVFADFDAYGGSVGLTSCCVYGGDPYPPQQQKLKKGVDIVDHIERQNLDLTYLQFRVLDEADEMLRMGFVDDVELILGKVEDPKKVQTLLFSATLPSWVQNIASRFLKQDKKTIDLVGNDKMKASNSVRHIALPCSKQAMSRLIPDIISLYSSGGSTIIFTETKDQASELSGLLPGARALHGDIQQSQREITLAGFRKGKFSTLVATNVAARGLDINDVQLIIQCEPPRDVEDYIHRSGRTGRAGNTGVAVMLYDSRKSGVSRIEKQAGIKFEHVSAPQPNDIAKAIGMEAAEKITQVCDTVVPAFLAAAKELLESSGVSAEVLLAKALAKTAGFTEIKKRSLLTSMENHVTLHLEAGRPIYSATNAFSVLRRVLPDDKVNLIEGMTLTVDGGAVFDVVQSDVDQFIAAGQKNAGSMSLEVVKEMPKLQEREPVQRRYGGGGGRFGRGGGGGGRFGRGGGRGQRW >A06p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21846261:21853201:-1 gene:A06p040400.1_BraROA transcript:A06p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNEDKNKEEEKNTKKKWQKSYFDVLGICCSSEVPLIENILKSLDGVKEFSVIVPSRTVIVVHDNLLISPFQIAKALNQAKFEANVKVDGKTNFKNKWPSPFALASGILLLLSFLKFVYPPLRWIAVAAVAAGIYPILAKAVASIGRKRVDINILVIITVAATLAMQDYMEAAAVVFLFTIAEWLETRASYKATAVMQSLMSLAPQKAIIAETGEEVEVDEVKVNTIVAVKAGETIPIDGIVVDGNCEVDEKTLTGEAYPVPKQRDSTVWAGTINLNGYVSVKTTSLASDCVVAKMAKLVEEAQSSKTKSQRLIDKCSQYYTPAIILVSGGFAVVPAVMKLHNLNHWFHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKSADYLDTLSKIKITAFDKTGTITRGEFIVIEFRSLHSTKPGLLPEESSLSLSSDHSLVDYAKSVNVEPRTEEVEGYQNFPGEGIYGKIDGNDIYIGNKRIGSRAKISTVPEIEVDTNKGGKTVGYIYVGERLAGVFNLSDACRSGVAQAMKELKDLGIKTAMLTGDNQDAAMHAQEQLGNAMDVVHGELLPEDKSRIILEFKKEGPTAMVGDGVNDAPALATADIGISMGISGSALATQTGHIILMSNDIRRIPQAIRLARRARRKVVENLFISITLKVGILVLAFAGHPLIWAAVLADVGTCLLVILNSMLLLRDKDKTKNKKCYRASSTLLNGKKLEGGAEEELDLEAGLLTKSGQCNSGCCGDKKKQEKVKPSSKSSYTHRHSGCCGDKQQQDNVKTIVKESCCGEKNKIHMASFSSCKKSTHVKKGGSGCCDKKKEKMKETVAKRCCEEKEKNVEMQILGGQELIDLEKGLAGETCKSRCYGTKEKAAEAAYKVDCNSGSCQENETVKQRCPEKTCLDIETGDSKLVCYGETEGEVGEQSDLEVKNERECKSGCCSDERKQTEEITLASEEETTEILDCSSSVKQSCHESTCLEVKEQFDLKIENEGRCKSDCCGDEKQTGEITMACEEETDGSDCSSTCCGNKEKVEQSCHEKACLDIEAGVSCDLKLACCGNTEGEVKEKLDFEEGLQIKNQGQCKSDCGLKEEGSSSLVGKEREIVKVLSQSSNCCTSPTELHVKKKKIEICCKVKTPEAVACESIKCKEREKRHIGKSCCRSYAKEYCSHRHHHHHHHHHVGAV >A09p076210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57096945:57098118:-1 gene:A09p076210.1_BraROA transcript:A09p076210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSQLSRSQRRRAQKKRAQKRRAPRIKSWRKKRPRLLCSIIIQIRAICWAIAFIRHYEFKLKLLGQMPLEEHLSIQALINHTPKRYLKADGTFTEDLSVLAKVLQVNGTLLDRDCPLTERLDQAPIDDSNLQKFIPTKVRSDAMNAQLVKHVRGGCVAARIVVYPSYLKLEGKDIYYPTKYELDCLEPGGHVVLLTHYAYDANRRLYYQFQETAGVEVCDEGYAYVYADFVNTQMLIIV >A01g511400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32670279:32671092:1 gene:A01g511400.1_BraROA transcript:A01g511400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVATPAFAPITSPEINIVLAATAKKASVETHKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMVGMKDETKGKMIEIVLGKSAKFDSLVPPVTNGKTPEEVAKAETEAAVEVQEAAATEA >A02p033780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18166170:18170609:-1 gene:A02p033780.1_BraROA transcript:A02p033780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein LUMINIDEPENDENS [Source:Projected from Arabidopsis thaliana (AT4G02560) UniProtKB/Swiss-Prot;Acc:Q38796] MDGAFKEEIEIGSSVESLMELLNSQKELFHSQIDQLQHVVVTQCKLTGVNPLAQEMAAGALSIQIGKRPRDLLNPKAVKYMQSLFAIKDSISKKESREISALFGISVAQVRDFVVTQKIKVRKQVRLSKEKVMMSSTHAIRGDGVPEQNNAVPHADPVPLNSMDPEPSSISWGEAENVALMPKEEVQQEDIPPDISDSDKYFVDNIFSMLRKEETFSGQVKLMEWIMQIQDSSVLIWFLSKGGVLILTTWLSQAATEEQTSVLLLILKVLCHLPLHKASPENMSAILQSVNGLRFYRTSGVIPEKLMCCVSFINVKVRIVISLVSLSDLMIRHIKQGKSIAEIMGDKTNPEDILSLSNGRSDNGRRLKSLQGPKLLLTSADDSTRKHMLGSTPSYNKERRKVQMVEQPGQKAAGRGPQTVRIGTSGRSRPMSADDIQKAKMRAQYMNSKNIKKDTVPSAIGDTRTVVPENPLAIQSVKDSPPSPKNEAKTEENTPEPSTVQFPPSQNNEAKTEDTPEPSAVQQPVTVNVPVQTVSSPAVNVPVQADEFRNRKLSTPPKSISSKVGVLLRMSPHTILKNCKRKQIEWHVPPGMVLDELWRVAAGANSKEADVQKNRNRREKETTYQSLQTIPLNPKEPWDREMDFDDSLTPEIPSQQPQEESITEQQDSLDERRSAAGAASTSSSQSGSVEPDYELLAALLKNPDLLYALTSGNPGNLAGQDMVKLLDVIKTGAPNLSSSSNKKVEENVEVSLPSPTPSTNPGMSGWGQEVTRNPFSRQTQVGASVARMSTQLPVAASMQWQSSNGQSIPQHAPSAYNSFTLPHTERQQQPMQTRLHQSQHLQQQPISMVRESVGQMDIGTSASWRSQQSQNSYYSHQANGVGSAASYQGNEQYMSSSNPGYESWSPDHSPSRNHPNMRGQQPSRKHDPYWNQNKRWR >A06g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9792095:9792989:1 gene:A06g503080.1_BraROA transcript:A06g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASYVIFTNVKAGRCSNSNTTEGNRENVEIYMADYIYPAFDLRVRELSSHQHFLRYSAPISKRKKKNKKNKMKRVKGRRGNTSLIGKESNLKIVKGFL >A03p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1693671:1698815:-1 gene:A03p003930.1_BraROA transcript:A03p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEEVSDGSVWSREDDIAFERALASYTDESEEKWEKIAADVPGKSVEQIKEHYELLVEDVSRIESGCVPLPDYGSPEGSSGHGGDDGGSGKKGGNGHTGESNQGSKAKSEQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITRPPHMYGTPTIGQPGPLVSAVGTPVNLPAPPHLAFGVHTAPVPGAPVNMGQMPYTMPRTPTAHRIFRGKMEVLRQFVQSFRFLSGSGVDHRVLSDVVKACASVSELTSGRALHGCVAKLGHLGCNEVSKSVLNMYAKCRRMDDCKKMFRQMKSVDPVVWNIVLTGLSHSCAHETMRFFKGMLFEDEPKPSSVTFAIVLPVCVRLGNAAYSGKVLHSYIIKMGLEKDTLVGNALVSMYAKSGFVLPDAYTAFDSIADKDVVSWNAFIAGFSENNMKAHALRLFSVMLKEPVEPNYATVANILPVCASMDKSIAYGSGRQIHGYVVQRSWLQTHVNVCNSLVSFYLRVGRIREAASLFMTMGSKDLVSWNVVIAGYASNCEWSRALQLFQKLVHKGDVSPDSVTIVSILPVCAQLTNLTIGKEIHSYILRRAYLLEDTSVGNALISFYARFGDTCAAYWVFSLISKKDIISWNAILDAYADSPRHSQFMNLLHHLFDEAIIPDSVTVLSIVKFCTNVLVVGKVKEVHGYSVKAGLLNDEDEPMIGNALLDAYAKCGNVEDAQRIFQGLSKKRTLVTYNSMLSGFVNSGSQDDAQLLFSEMSTTDLTTWSLMVRIYAESCCPSEAIDVFREIQARGMRPNTVTIMNLLPVCAQIASLHLVRQCHGYIIRGGLGDIRLKGTLLDVYAKCGSLKNAYSVFQLEAHKDLVMFTSMIAGYAVHGMGEEALMIYSRMLDLGIKPDHVFITTLLTACCHAGLIQDGLHIFDSIRTVYGINPTMEQYASVVDLLARRGRLDDAYAFVTEMPVEPNENIWGTLLRACITYNRMDLGRLAANHLLEAESEDIGNYVLISNMYAADGKWEGVKELRKLMKKKEMKKPAGCSWLDVDGKMNVFMSGDSSHPRRNSMFDVLNALLVQMKEPVAF >A09p065600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52379920:52381737:1 gene:A09p065600.1_BraROA transcript:A09p065600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQFGGYDYCTEKAMQYLTLEPGKVPEALKLYAEAFQAKLVDDSYEDQSVQLKILNSYVLITPWASASGSSDSFLELPTDLNSIRRIREDKRFVEIDRTRSTEDKSVMMKDPFGITFLLRMEKKKMTQYVVDHGLLFRRACNPIAFGFPQGISPNDLCTIKLTAVFVTRYGMAFKKALNKIASTEFAFLNLNHIWRRLFFNFVGVYTSIVKPSKEVYRSCDFMGKALELFFHLLQLKNVKMGGSRRVMEVDAFTGGVDYFAYMDFVGYSDVMPRPQRRSVMIAQLGHTLRTPLSASWCTTIFFVSPEVLGIIKLTALFVARYGKRIACELMEKEGRNPLFSFLDPSDCGFPCYNMVVKVYSKVLKNFELVYTADIVLQRYFQSLWDEEKEDEPKDVDDLYSFVECVDSFAQMEDEEFFHKMGTREAHVYPFHDQTSRVHSRPHVYHPFHDQTSPANVQEEEGPSSIEVCVPTLDGRVIIEIVVESFFGEKVASLKEKIVKVIQIPSKYLKLRGKLVGVLRDDKSLADNNVEAGEILIATWRFSRWEVQIT >A03p016640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6633655:6635838:-1 gene:A03p016640.1_BraROA transcript:A03p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIYRTVSTGRRDDVSPTKCRERRRRRIEMRRQAAVFGEPSSSKNREETIYSGFVPLKKHARTTTTAAEMGGLPADVGGVFPSPTSSHKKPEALVWKGEEGDDEPMYGVVSVMGRSRKMEDTVTVKPSLCKPEINQQKPVHFFAVYDGHGGSQVSTLCSTTMHTLVKEELEQPGCKLEGGGNDVVEEKWRGVMRRSFERMDELAMGTCMRRTTASLCHCDPREAAISGSTAVAAVLTNGNVVVANTGDSRAVLCRNGMAIPLSNDHKVPDRPDERARIEAAGGRVLVVDGARVEGILATSRAIGDRYLKPMVAWEPEVTFMRREPGDECLILASDGLWDVLSSQLACDIARFCLREDAPSGLDLNETATEDDNEGQGSSGQNPSRSVLAATLLTRLALGRQSNDNISVIVIDLKNSPP >A02g511770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31801560:31803209:-1 gene:A02g511770.1_BraROA transcript:A02g511770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHANQDDLAAAMSLMQQQMQQMQQTIQAQQDAAEQTALAQQEQHAQTNQNKRQPQSNQQAVPANGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTDMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTTESAKRQQKTLPGRTDKNPMTEHCNAIEEPFAETAPGAEERAEQSASSGVTAPSEPVETPPSRVYVPKVPYPIPPRHLMDPISEEQLISFNKMVRRFPKELAFEDALHIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIA >A07p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21284228:21288008:-1 gene:A07p040070.1_BraROA transcript:A07p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALLRRRKYIVQSLSEQFNTIQCLSSVERQGYESIKGLDSGKPDSKLPYFLKNKESFTSNLDGFHTSRLLQSPNFSNGGVGKLEFPYPLGYRSVQQSLWSSVATANKPDDDKKGEKITSQSKEASPEECDEAVEGLSLAKAKAKAKKLEESQKSDISIMQRVRAFLLGIGPALRAIASMSREDWAIKLRHWKDEFKSTLQHYWLGTKLLWADVRISVRLLVKLANGKGLSRRERQQLTRTTADIFRLVPVAVFIIVPFMEFLLPVALKLFPNMLPSTFQDKMKEEEALKRRLNARMEYAKFLQDTVKEMAKEVQTSRSGEIKKTAEDLDGFMNKVRRGVGVSNDEILGFAKLFNDELTLDNINRPRLVNMCKYMGISPFGTDAYLRYMLRKRLQEIKKDDKLIKAEGVESLSEAELRQACRYRGMLQLGSVEEMRQQLIDWLDLSLNHSVPSSLLILSRSFSMSGKLKPEEAVQATLSSLPDEVLDTVGVTALSSEDSVSERKRKLEYLEMQEELIKEEEDEEEEEMAKMKESASSQKDVALDEMLASTAKDANEQAIAKTLEKHEQLCELSRALAVLASASSVSMEREEFLKLVKKEVDLYNSMVEKGGTDDEEEARKAYLAAREDSDRSAQKAIADKTSSALLDRVESMLQKLEKEIDDVDNKIGNRWRLLDRDYDGKVSPDEVASAAMYLKDTLGKEGIQELIQNLSKDKDGKILVEDLVKLASEIEDAEEAAEEAANEPTKP >A08p034090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20341791:20342662:1 gene:A08p034090.1_BraROA transcript:A08p034090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVDPHPVGFRFHPTDEEIIGYYLREKNMDSFDPWELPLKTTNITEEPLFSKKEDKYNRGGRQSRKKSSAHDKKEEELSHQQRKPTTQLKTPTASLTVNSSAFAENKQSMDSTIKLENRFGLLAENDI >A09p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3682660:3683396:1 gene:A09p007040.1_BraROA transcript:A09p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVILVSFLLLLPMFSSGLVETSHLGDSHYEVVTNKGRVDLEMDYEDPHPRPPRSSDPPPNPHSNKKNSTS >A08p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12910292:12914441:-1 gene:A08p018790.1_BraROA transcript:A08p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAFFYDAASDDDLDFLNHEEESSDEDVAEERKAQAEAGGEDEEDSEVEDEDDDEEEEDEKPTKKGSTDAQSPWDFASYSSSVGEEHARRHTTSIDEKISKAIKHRPLPISAEEEEEEDEEDVSEAEPDEQEEYLSEDEEAADSKADNVAAKPFFSTVDGVSFHANSFMELNLSRPLLRACETLGYKKPTPIQAACIPLALTGRDLCASAITGSGKTAAFALPTLERLLFRPKRVFATRVLILTPTRELAVQIHSMIQKLAQFTDIKCGLIVGGLSVREQEVVLRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLQTGFATEIQELVRLCPKRRQTMLFSATMTEEVKELVKLSLNKPLRLSADPSARRPPGLTEEVVRIRRTREANQEAVLLSLCTRTFKSKVIIFSGTKQAAHRLKILFGLAGLKAAELHGNLTQAQRLDSLELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPREIDSYVHRVGRTARAGREGYAVTFVTDNDRSLLKVIAKKVGSKLKSRIIPEQSIVKWSQIIDEMEDQHSAVIRLEREERALRKAEMEFAKAENMIEHRDEIFARPKRTWFMTEKEKKLVAKTEKDSAGNPSGNELVSADIAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDNEEEDEEEEEGEDEKRGRSRGKDKKKKNEPEKKGLTLVDLGYRRAKAVKAKQRAIDSGKMDRPTPNKKQNLNRTKPKTQPRNEEMKDLFKSDMSDKKQGRGGAAASAKPRGKSKNSFKSKGRYKRR >A01g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27422369:27423004:1 gene:A01g510030.1_BraROA transcript:A01g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSEDETLFGNNDDSDYSETEDLIRRDQAELSLERCSPVHYPPQPEVEFGFPQVCYCGAQPVLATSNTRNDQGRRYYTCANKDDSDCHIFKWWDDAVMDEMRARDVHVFQLAEKVESLTLLADYDTEEKLRKLEKIVGDMAKEKSCMIKGFECFVIGIVVLVVVIGMVVMLV >A04g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2576236:2579406:-1 gene:A04g500960.1_BraROA transcript:A04g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNENCLVAVARQRGIDVVLNDESNRETPAIVCFGEKQRFIGTAGAASTMMNPKNSISQIKRLVGRQFSDPDLQRDIKSLPFSLTEGPDGYPLIHASYLGEKRAFTPTQVMGMMLSNLKGIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPESEPLNVAFIDIGHASMQVCIAGFKKGQLKVLSHGFDRSLGGRDFDEVLFNHFAAKFREEYKIDVSQNAKASLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEEISVPILERVKRPLEKALSDAGLSIEDVHMVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSISLAWKGAAADAQNGGAENQQSTIVFPKGNSIPSVKALTFYRSGTFSVDVQYSDATDLQAPPKISTYTIGPFQSSKGERAKLKVKVRLTLHGIVSVESATLLEEEEVEVKVTAEQMDTDKASGESDVNMQDAKETSDAAGTDNGVPEPVQMETDSKAEAPKKKVKKTNVPLSELVYGALQSVEVQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLSDKYHEYIIESEREAFLAKLQEVEDWLYEDGEDETKGVYVAKLEEVKKVGDPVEMRYKESQERGTVIGQLGHCVNSYREAAVSNDSKFDHIELEDKQKVLNECVEAEAWMREKQQQQEALPKYATPAFLSADVTRKAEALDKFCRPIMTKPKPVAKPEAPPAKAAADEEKSEPQPEPASGEEPMETEKPTEDSA >A07g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26711022:26712119:1 gene:A07g509140.1_BraROA transcript:A07g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNGRRPLLQLLHPNSSRYFSRDDLASLDLISPRQRTQMAKKEKDKQEDTVTEQSGLEENLIVAVEAVMALSGKCRSWAQGHCSRILSAFLETQDVQVREMAKEELQVLVDEGALKITGTKKPE >A07p015050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9361554:9362677:1 gene:A07p015050.1_BraROA transcript:A07p015050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNDISPGLSESQLRFRLIHFWEAKNIAKGGTLIGVGMGLPNSTASVRNFIKASKIDKFRSLRGSKMFTCNDQRIIALELDTGASRLQSLEQLMGHFTAYKTVKSSQSIFELVQAAVVVEDMIKTECLKNELWYCSSLLAAVKMLYGLTVHLFTLDAAIIYYNKTITQSDMDETKPIGLPGQKSQPVSDPQERSSRGKCGKHSRKASGRVQKRRLLYQSRFNVCLCVAV >A03p057900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25079870:25080993:-1 gene:A03p057900.1_BraROA transcript:A03p057900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSANMLLPTKLKPAYSDKRSNSLNCLPVSNTRSKRKNQSIVPMARLFGPAIFESSKLKVLFLGVDDKKHPPTLPRTYTLTHSDITAKLTLAISHSINNSQLQGWANRLYRDEVVAEWKKVKGDMSLHVHCHISGGHFLLDLFPKLRYYIFSKELPVVLKAIVHGDGNLLNNYPDLQEALVWVYFHSNADEFNRVECWGPLWEATSSDGHRTQTLPQTRCKDECSCCFPQVSSIPWSHSLSNEGVTDYPGTQAEGMPKPEKL >A03p042120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17635864:17639693:1 gene:A03p042120.1_BraROA transcript:A03p042120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGPWNGIEFSGIPEEGRNHPVYSYTENSEEVAIRFLIANQSIYSRLKIIDLGYVHRFTLIPPSRGWSNFWTFPTDDCDAYKSCGPYAYCDLSTSPRCNCFEGFDPMNRQQWDLGEGSDGCVRRTPLSCSGNRCLSDCNCTSFAAADVRRGGTGCFIWTGELYDTRTYSFAGQDLYVKVATVDLVLSSDEERVRNGKIIRWSIGVSLMLILSVIVFCFWKRRQKQAKSAETPIVKKQVLMNRMVLPRQRNLSGDNQVEDLEVPLIEFEDVLIATEHFSDCNKVGKGGFGVVYKGRLLDGQEITVKRLSEMSAQGTNEFINEVRLIGRLQHINLVQLLGCCVDEGEKILIYEYLENLSLDSHLFVLSRRCMLNWQMRFDIINGIARGILYLHHDSSIRIIHRDLKASNILLDKDMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYALDGIFSIKSDIYSFGVLLLEIISGKRNKGFYYSGRDHNLLECVWRNWKEGQGIEIVDTVIIDSSSPTFRPREILRCLQIALLCVQARVEDRPLMSSVVLMLGSEAEDIPQPKPPGYCVIGNFSETYSTWSKQPDNDSCTVNQITMSIIDAR >A02p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10236911:10247765:1 gene:A02p021790.1_BraROA transcript:A02p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLLLTVWFLICILDSVHLVRAQNQMGATTHPDEGLCFKIPAQKAWNTSGDLCSGVAIDDRIKIDDKDYNPLIKCNCNFVNSTICRITALKVYAIDVVGPIPPQLWSLTYLTNLNLGQNYLTGSLSPAIGNLTRMEWMSFGINALYGPLPKEIGLLTNLKSLAIGVNNFSGSIPAGNCTKLIKIYIGNTGLAGEIPLSFANLVLLEDVLLNDVDLTGQVPEFIGKWTKLTILRIQGTSLSGPIPSSFSNLTSLRKLSLGDIPNGSISLEFIKDMKSLSTLVFRNSNLTGTIPSNIEEYSSLQHVDLSFNKLHGPIPASLFYLNQLTNLFLGNNTLNGSLPIQKSQALSNINLVANNFSLEGLDKRDLPGLKCLQKNFPCNRGKGIYSDFLINCGGPQIRSVTGEIFEREDEDLGLASSFVSDGQRWAVSSVGLYARRINYIWVVNSLDSELFQSARHSSSSLRYYGLGLENGGYTVTLQFAEIDILGSNSWRGLGTRYFNIYVQGRLVEKDFAIRRTVGDSTVRAVQRIYKANVSENYLEIHLFWAGKGTISIPILGTYGPLISAITAKPDFKPTVANRPLSKKKYRTGTIVGVIVGLGLLSIFTGVVIFIIRKSRKRYTDDEELLNMDVKPYTFAYSELKNATRDFNPSNKLGEGGFGTVYKGNLNDGREIAVKVLSVGSKHGKRQFVAEIVAISAVMHRNLVKLYGCCYEGDNRLLVYEYLQNGSLDHALFGDKNLQLNWPTRFEICMGVARGLAYLHEEASIRIIHRDVKASNILLDSNLLPKVSDFGLAKLYDEKETHMSTRVAGTIGYLAPEYAMRGYLTEKTDVYAFGIVVLELVSGRKNFDVNLENEKKYLLDWAWNLHENSREVELLDHELTEFNMEEAKRMIGISLLCTHSSHFLRPPMSRVVAMLSGDVEVSDITSKPGYLTDWRSDDTSSSSFSAFQTKDKGSSASNSTSFVRPRDGNLKQLGVKINEGR >A03p065100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28396932:28397918:1 gene:A03p065100.1_BraROA transcript:A03p065100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEITDFVDPRIMFKHQSLLQDYHELRKEREYKMRKLELMKQKRSALDAQVRFLRRRYKHLKQDQTLETSPNMLRLSESGGDVKVTTSGKRKKHSGPCFDLKRKDTVCNDSARSRGNEVLTPLPDLNDNTLVVSSKVSGFDLNLVSREEEEEPEGNGEATKKAMLGNGIDCEMKLPICRDVEKEISRAVKRKVSWQDPVALRV >A03p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20029393:20030525:-1 gene:A03p052510.1_BraROA transcript:A03p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BI1-like protein [Source:Projected from Arabidopsis thaliana (AT4G15470) UniProtKB/Swiss-Prot;Acc:Q94A20] MEKPYGYASVSMSGVDRAAGKDIDLEMGTGEATLYPGLSYGENQLRWGFIRKVYGILSAQLLLTTLISAVVVLNPPVNDVLTGSPGLLLFLCIIPFVLIWPLHVYHQKHPVNLILLALFTISLSFTVGVSCAMTEGRIVLEALILTLSVVGSLTAYTFWAAKKGKDFSFLGPILFTSLIILVVTSFMQMFFPLGPTSVAIYGGISALVFCGYIVYDTDNLIKRFTYDEYILASVALYLDILNLFLTILRILRQGDN >A04p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1318585:1322033:1 gene:A04p002730.1_BraROA transcript:A04p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHKLDPSSSTTSMTRTLFHNTILTLKLNVYITSTPLRGNCTGLKVLSATLRSDIQALESIIRSIDPSSISPSSYLSTWDFSEDPCEGSGTFLGVTCSFPLENTTNRVTEIDLDDDGYEGFLSDEVGNLTELTVLSLNKNRFRGPIPETVFQLKKLTKLSLSENFFTGDITPGITWLKELKTIDLSKNSIAGEIPPRISSLRSLTHLILSNNHLDGRIPPLNGLWKLQALELGNNHLSGTLPKLPPSLRTLSLCYNSLAGRISPLHRLKHLVSLDVSQNRFSGTISHQILTFPEISHINVSFNQFISIEVVQVTGIESRLRILDAEGNQLQGHLPLNLPTYGNLKDINLRSNKFSGDIPRIYGKRLENNSWRSLYLENNYLTGLLPEEFLRLSKQIRGSLSNNCLHCPKNVRICKGVQKAKSQCTNAMQVEGLE >A06p023580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11668035:11669156:-1 gene:A06p023580.1_BraROA transcript:A06p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RDUF1 [Source:Projected from Arabidopsis thaliana (AT3G46620) UniProtKB/Swiss-Prot;Acc:Q9SNB6] MMPSRSATTTTAPTTTETTTSYWCYSCTRFVSVSNGGGYVLCPYCNGGFIEEVEDSSAAEAPTTTTPVSEVEDSHRSVIRRRRSNRRTSFNPVIVLHGGAGGGGERVENEEGDRRPYEFYYDDGSGSGLRALPESVSEILMGSGFERLLEQLSQIEASGNGIGRSGNPPASKSAIESLPRVEMGELHIKAEANCAVCTEVFEAGAEGREMPCKHIFHGDCIVPWLSIRNSCPVCRFELPSDPVQRSNEEEEHAVGMTIWRLPGGGFAVGRFNAAMREGERILPVVLTEVDGGGLGSNEGPRRISWVRDHGTPEISRNAARAGRLRRAARGMISFMRRMRPNLRASSSSNVIDLDTNGESRIMNRSTSLIRRFF >A05g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22452190:22453262:1 gene:A05g507810.1_BraROA transcript:A05g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIYFPHLANNRQGTSSLTPSTCEYICAPPSIDMERITSIDYKRVTSIDMERITSIDKEPKLTFNTNLTSLFVLGLGIHGIGFFRQVWKSSKRDLEAAIFKARFRKELSDIGQKEVNRTWWQPPLSFNSWKPVQSWSLILQWKQTLTQERNFEREKLGTNFYLQLQILV >A04g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22241048:22242206:1 gene:A04g508440.1_BraROA transcript:A04g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSCGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKLSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVVWTS >A08p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16649920:16651215:1 gene:A08p026010.1_BraROA transcript:A08p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGASGESSSSNQLENWKKEQDRLKKKLITHDDFSWQGSETLKYVGGVDISFSKDDSSVACACLVVLELPSLRVVHNELSLIRLQVPYVPGFLAFREAPVLLQILEKMRDDHHPFYPQVLMVDGNGILHPRGFGLACHLGVLAHLPTIGVGKNLHHVDGLDHSEVRRLFQLKENEDKKVITLVGNSGFTWGIGLRPTLSSLKPIYVSVGHRISLETAVEVVKMTCMYRVPEPIRQADIRSRAYLQKHQLRLLKDLGPLGMGNF >A02p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2311141:2312522:1 gene:A02p005310.1_BraROA transcript:A02p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSQEETHNKPQIVDQEHPKTLETEDPRQEQPSSSSPDKKKWGTHVMGAPAEPVAHPDNQQAAAWVAGDNRQMPYQPYIVYSPVEHPPSNNPLEPVIGMFHTWSRKAETVARNIWHNLKTGPSMEETVWGKANLTVKAITKGGFESLFRQIFGTDPNEKLKKTFACYLSTTTGPVAGTLYLSNVRVAFCSDRPLFFTAPSGQEAWSYYRVVVPLVNIATVNPVVVKEDPPEKYIQLTTVDGHDFWFMGFVNYEKASHHLLTSVSDSQTARTSASG >A01p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1843947:1848535:-1 gene:A01p004310.1_BraROA transcript:A01p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPYVKKDDDHDDELEYSPFMGIEKGAVLQEARVFNDAQVDPRRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSAALVSGLHLLKTNPEIVKRWSNEVQEGIQSRSALVQFHALALLHQIRQNDRLAVSKLVGSLTRGSVRSPLAQCLLIRYTSQVIRDMSNHGQSGERPFYEFLESCLRHKAEMVILEAARAITELDGVTSRELTPAITVLQLFLSSPRPVLRFAAVRTLNKDENMFHRTLNAKLEPQVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVERLMKQITNFMSDIADEFKIVVVEAIRSLCVKFPLKYRSLMTFLSNILREEGGFEYKRAIVDSIVTIIRDIPDAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPNTSDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGFMVESLKPRITILLKRCIYDSDDEVRDRATLFLSVLGGDGTVDTDKDSTEFLFGSLEVPLVNMETSLKNYDPSEEAFDINSVPREVKSQPLAEKKAQGKKPTGLGAPPAAPASGFDGYERLLSSIPEFAAFGKLFKSSSPVELTEAETEYAVNVVKHIFDNHVVFQYNCTNTIPEQLLERVNVIVDASEAEEFSELTSKALNSLPYDSPGQAFVAFEKPAGVPAVGKFSNTLTFVVKEVDPSTGEAEDDGVEDEYQLEDLEVVAADYMVKVSVSNFRNAWESMNEEDEHVDEYGLGQRESLGEAIKAVIDLLGMQPCEGTETVPSNARSHTCLLSGVYIGNVKVLVRAQFGMDSSKEIAMKLAVRAEDASVAEAIHEIVANG >A07p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26778335:26780216:-1 gene:A07p050240.1_BraROA transcript:A07p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:Projected from Arabidopsis thaliana (AT1G77860) UniProtKB/TrEMBL;Acc:A0A178W8L8] MEVTTEPKTQIDEASHRNLSFSTPIAGNPSSDKLPFFRHRSRQIKRDTWLVSVFVLLQIVVFAVTMGVNDCSGNSNGHCAAKLLGRFSFQPLSENPMLGPSASTFEHMGGLYWNALVEKHEIWRVLTSPWLHSGLFHLLINLGSLIFVGIFMEQRYGPLRIAVIYFLSGLVGSLFAVLFVRNIPSICSGAAFFGLIGAMLSALARNWNLYTSKVSALVIILTISTVNFLIGFLPYIDNFANIGGFISGFLLGLVLLFTPQLRQDPPPHKGKLFEDDMRSSTRLKEMFDRPVLRIVCLVLFCGIFAGVLVAACWGVNLNRYCNWCRYVDCVPTRKWSCSDMTTSCEAMVSDAQLTLTCMANGKFRIFPYTNISQARTQDLCTLVCT >A01p058550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33352148:33357015:1 gene:A01p058550.1_BraROA transcript:A01p058550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNDGGNSSSEHTSVNAKKREKRTYRRHTFQQTQRLEAYFKECPNPEESQRLSLGEELNLEPDQIKFWFQNKRTQNKTQIERNANILLREENKKIKCENEAMLEALRIVTCPDCGGPPLGVERGHNFQNLSLVNTFLTEQRDEMANTVSMNQHQQNMVNLFASVQGQQIFDTHTSYGTIPNSLMNDPSNSVGSSTSQDIQLQLISQMDITQLSETATRAVEELKRLFVTEDLWVMSSIDGTYVIDQESYEKFSHSIKHFRKLSARVESSKDVTVVPIEATNLIEMFLDSEKWKSLFPTIVAKAMTIHKLGSELPINENCNNLQVIWEKLHILSPLVPPREFMIVRCCQKIDEGIWIVADVSQRIVDSDQINSFCYKRPSGCLIRAFPGAHSEACLHCLDLTCFSILKMYTLYNLKLNEIMQVTWIEHVEVDHKPDAHWLYRELLCGGSGYGAKRWTTTLERMCERMALSSILTIPATDWSEAIATVEGRMSVMKLGERMVMNFNEMLTMSGKVDFPQQSKCGVRISIRMNHDSGQPSGLVVSAASSFSVPITPLQVFDSLLNNETRHQWDVLCYRSAVSVTARILTGYNENNYITILQPTQREDDVISMSQGPTRNMMMLQECYMDALGGMIVYAPLDMASMSLATSGEVDPLKIPILSSGFTISNDGRRSMVAEEGGTILTVVFQILVSEDRRIRGLTEQSVDTVTSLISSTVRNIKLLLNCPLE >A09g517030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50053203:50054091:-1 gene:A09g517030.1_BraROA transcript:A09g517030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLFLPSIIFERERIALFPMLDQSGEVFNPYISVVFDFVCAESSYCGSQILSVAFSCLRYVFGGIPIRPSGNGLPLGKSSKFSKKCSVNSQLLTGFVYKRKPTSKLSP >A06p042860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23057100:23059500:-1 gene:A06p042860.1_BraROA transcript:A06p042860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRIQTRSSFPNPSSPLFSSPSSSSSPWTHLRSALVLVTSSSPAKSSSSSSNPNRVKSPWSRFKRKKPLTLQRWKSFFTPDGRLRNRGVGSHSVHALNHLPLFTFFFTNRCDLNSSKEERGARRTQRRKAYERLRRKCKRLQRQDSCEFKLNKINKPAQDKHNGWSFPQDTDSSCSDESLSSDKENTEDIGYMSDDVSCTLDINYTGSTHVNSESSDSDSLDDNNSVHAFPSTQGRDDNSTSPSSIYNISRTKEDFVTWQRIIRLDAVRADTEWFPYSPFQALVSEAKARRAAAAVSLKDYTHLEPWKIFHAARLVAILEAYALHDPEIGYCQGMSDLLSPILTVIPEDHEAFWCFVGFMKKARQNFRVDEVGITRQLGIVSKIIESKDSKLYKHLEKVKAEDCFFVYRMVLVMFRRELTLEQTLFLWEVMWAEQAAVRAGVGKASWSSRIKQQAPPTDDLLLYVIAASVLQRRKVIIEKYNSVEEILGECHSMVGKLDVWKLLDDARDLIITLRSKIEQYDHIRSESKIGM >A07p043880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23999058:24000346:1 gene:A07p043880.1_BraROA transcript:A07p043880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKANVNNTGSDTKRNVVSSVFDPAFSRFVGLSQKLQARVKSQLKNLTADKHGREDNEISSNSIQMDLEKQLDSWRGNPSWTDQPPVVKVSIPKGSLCNLKAEVNVGLPPDAVYNIVIDPDNRRVFKNIKEVLSRKVVVDEGLRQVVEVEQAALWRFLWWSGTISVHVLVDQNRADHSMKFKQVKSGFMKRFEGNWKVKPLFVDEHMCDRLKPKTLEEYEQCTGGKGRVGSKVTLDQLIQPAIVPPPPISWYLRGITAETTEMLIHDLLAETAKIRKRLATGETDDSQSLDDQQMVNPRDIKERWAAHRRTSRRRRNNIC >A05p021170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10030570:10032806:1 gene:A05p021170.1_BraROA transcript:A05p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRSLLSRRFSISSRDHQGDTPTEPESRMLPPSSNVSGSSWSAMLPELLSEIIRRVEDTEDHWPLRRDVVACACVSNKWREITQETVRSPRNSGKITFPSCLKLPGPRDFSNQCLIKRNKRTSTIYLYLPLTPSFTDKGKFLLAARRFRTGAYTEYVISLDAHDFSQASNAYVGKLRSDFLGTNFTVYDSKPPHNGAKPSHGKASRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMISTLHCPSSSPPPPPSSSTNQRLCDATKMMKKPIKDGSGLTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPSGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLASFGTKLACE >A06p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23252431:23254446:-1 gene:A06p043310.1_BraROA transcript:A06p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISCGEVSSSQINVLRLLNQSVDFLFDNVSRILAPVFTNLRDFEMRLSCIERPQFTPGDHSHLATENWISDSKGYYDHKGDPEANFNALDSILKSSLDRLASLRESVCRTKNLRYDESIAIHASIMRDLCLQGKLDAALWLRKKLMQSGFIPGLVTHNHLLNGLCKLGSIDKADGLVKEMWEMGPSPNCVSYNTYIKGLCSVNNVDKALYLFSTMNKYGVKPNRVTCNILVHALCQKGLMGNTKLLEEILDSSQANAPLDIVTCTILMDSCFKNGDVVQALGVWKEMSEKNVPADSVVYNVLIRGLCSSGYMVDAYGLMCDMVKKGVDPDVFTYNTLISALCKEGKFDEACGIHGTMERAGVAPDQISYKVIIQGLCMYGDVARANEFLLSMLESSLLPEVLLWNVVIDGYGRCGDTSSALSVRNLMLSHGVRPNIYTNNALIHGYVKGGRFIDACQFKDQMRSTSVYPDTTTYNLLLGGACTFGNLKLAFQLYDEMMKRRCRPDLITYTELIRGLCWKGRLKEAEELLSRLQASGVTLDHVPFWILMRKYTKLRRLDEAYLAYKKWLMTRSGGGVACPSRLNHVHTEQEQ >A03g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9209482:9211305:1 gene:A03g502970.1_BraROA transcript:A03g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIRRESSKLWRRFCSEITTEIGLLADNWKYLLAGLICQYIHGLAAKGVHYIHRPGPTLQDLGFFLLPELGQERSYISETVFTSVFLSFFLACQFLRVITFYSTQLPGPNYHCREGSKVARLPWPKSPLEILEINPHGVMYGCGDLIFSSHMIFTLVFVLTYHKYGTKRFIKLFGWLTAFVQSLLIIASRKHYTVDVVVAWYTVNLVVFYLDKKLPELPDRTTVLLPVVSKDRTKEENHKLLLNGNGIDPADWRPRAQVNGKIDSNGVHFDNSLNGA >A01p013370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6540562:6543019:-1 gene:A01p013370.1_BraROA transcript:A01p013370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARAIPVQETGSGYQPPVNPDGFVTIDVESFTPVFNKDFSSSSPRITLQRSVSRKGSPRSNNNERKLHCDANGNDSETSFPQSPLRGTSTPEKMSIVGSTDNAGTPTATATAVSASPLHQITITTAAATAGNMISDQNRERRFGFARKSSFKRSHTSWLFDPKKVVLFFATLSSMGSILLIIFTLSISKSNLGDMPLE >SC139g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:88761:89623:1 gene:SC139g500040.1_BraROA transcript:SC139g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGDLRRGKGIFLMSWVPYLHTVSSWPLIQNEPISPLHSNQACLPCQLAPEHPRPAFSHPSHPFYPLITALGYRARFIRTWFQLPLSSKLTPMPFPNQEEASWSFGCSTSSRRRCAHQWRPEAVLGRSPLSHGRPEERRPLEACPSHSHSLSKKKGESFQLVPGMATQK >A10p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15509172:15510610:-1 gene:A10p023800.1_BraROA transcript:A10p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVAGPASLPRPPSDPPDSNLKVALLSNPPDPPVPPDSPPDTLSFTGLLQLYDLWVTVTVPHKFSDPKLSLMISYELGLLDPSFSLLVPTVSVTFSYATVAFVGTFVVYVWSFTAVCSCPFTAVCRLPSTFALMAFVMIWHSLLPWQLGVKVLKLCIFPANLVCLGFNCPPFSFKESFVLPYLSLVTSEIVIGNIVLKMVLFEAEAKMFIVSRLDGVNYLTALTMEGFIPSLYCFEEECQFEEVFLFDCPLSETTVVELVISPLSLSFYLSTCCLSFLICLSSVLVYALVCLALCSFIVSSIDGDIPNSAQQLHENTSSHEQHTHITKANIEEKQITTTA >A01p015260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7429043:7430575:1 gene:A01p015260.1_BraROA transcript:A01p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 49 [Source:Projected from Arabidopsis thaliana (AT4G23030) UniProtKB/Swiss-Prot;Acc:O82752] MAAPLILKYHKDHQQDPNPNPTRLSSSILEAKSIAKISLPIILTGLLLYSRSMISMLFLGRLNDLPALSGGSLALGFANITGYSLLSGLSTGMEPICVQAFGAKRFKLLGLALQRTILLLLLCSLPVSILWLNIKKILLFFGQDQEISDKAEIFILFSLPDLFLQSFLHPLRIYLRSQSITLPLTYSALFAVLLHIPINYLLASSFGLGLKGVALGAIWSNVNLLGFLIIYIVFSGVYEKTWGGFSMDCFKGWRSLLTLAIPSCVSVCLEWWWYEIMILLCGLLLHPQATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANQPDKARIAARTGLFLSLGLGFFAMFFAFMVRNCWARLFTDEEEILKLTSMVLPIIGLCELGNCPQTTMCGVLRGSARPKLGANINLCCFYFVGMPVAIWLSFFGGFDFKGLWLGLFAAQGSCLVSMLVVLAKTDWEAEVHRAKELMSSSCDGDGEYDDDDSGSNTMPLLLDIEECGNNKHLNVV >A02p012890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5637466:5642097:-1 gene:A02p012890.1_BraROA transcript:A02p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGSHGIWMIISVHAMLLVLAQEGYQFLEYDFREANLYLDGMANTNGGPLHLTNDTKASTGHAMLKTPMNFTASSPSSFSFSTQFVFVIFPLAKPPSYGQGMAFVVAPKIDLMANGTATSGLGLFNSANNNKTETRILAIELDTNESSEPLDKSDNHVGIDVNSIFSVEYANASYFDATEGKTKPLLLASEKPILIWIDYDGTEKLLNVTLAPVPTPKPVSPLLSSSIKPSVPLLSRRINLSEIFNETMFVGFSGSTGTVKSDQYILAWSFKKGGKSESIDLSKVMDPPTRPPPPSAPPPPPLPRYPLHQRQIATVPSIAIILLLGGILYLYQRRKYAEVLEQWEQEYIPQRYSFKNLYKATKGFKESQLLGAGGFGKVYKGELLSGTQIAVKRVSHDAEQGMQQYVAEIASMGRLRHKNLVQLLGYCRRKGELLLVYDYMPNGSLDNYLFNKEKVKDLTWSQRLNIIKGVASALLYLHEEWEQVVLHRDIKSSNILLDAELNGRLGDFGLARFHDRGQNLEATRVVGTIGYMAPELTAMGVATTKTDVYAFGSFILEVVCGRRPVDPERPVEQMILMKWVATCGSRDNLMITVDSKLEGNFKAEEVKMLLKLGMLCSQSNPENRPSMRHIVQYLEGNVPVPSISFDTAGFGMPNISNETPASQAAKLTSKLLCFATMLSFKRIDLAYTASKLNSTSTLKFAPSDVIRILDRGWDVLGTYCKGHTMCVSDGIGKISSEFAELVARECDNKGVSPSAFQIGCTCSCAEFSVRACPPSSYRQPQKLDFPRTLKNSLAVEGCLLVVVSHKELNNVVVLMGT >A02p032330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16942306:16943484:-1 gene:A02p032330.1_BraROA transcript:A02p032330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPIRRLYPSLATGVFASTLHVPAESNQEEAPALVKLKSERDPEKLFNLFKANATNHLVFENRFAFQDTVSRLAGARRFDFIEDLLEHQKTLPQGRREGFIVRIIMLYGKAGMTKHALDTFFSMDSYGCKRSVKSFNAALKVLTLKPDLHTIQDFLLHAPSKYGVVMDAFSFNIAIKSVCDMGFLDKASLVMKEMEKSGLKPDVVTYTTLISAFYKHDRYVIGNGLWNHMVLKGCKPNLTTFNVRIQFLVNRGRAWDANDLLMLMPKLQMEPDNVTYNMVIKGFFVAGFPEMAERVYTAMHGKGYKPNVKIYQTMIHYLCKAGKFDLGYTMCKDCMRKKWYPNLDTVGVLVDGLVKKGQLDQAKLIMELVHKRVPPFSSKQLIPLKSIL >SC146g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:102955:123367:1 gene:SC146g500040.1_BraROA transcript:SC146g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLVVLIVDDELLYERIEEVHDHQKITSTRVVPSTSHPPAPSLSSSLSLPLRDLSPLSLLLAGAVWWLQPIGGGGQISPLFCFLVPDLFRSPLPCLLMSSTISNDQSDNYGYRGPAWWKPTYTRTQRTIKGKSPRGTCDIAITTRRFRCDKSGSARRTTLGYNGWEHTTITTSRTMIEGSFIKENMVRPKDLKDEDMFGGSSLLSFCSPLLLSHHKSEAKLCREFPEAENPSRRPLTLLRRLSLLLSLSLSVISLLSPSSSPVPCGGCSRLAVVVRSRRSSVFFMVLIGCILEGCLRQSVTTRVTIRVSWTCMVETDLHSDSAHHKGKGGTLSVTPIDEANQASHTQSPRGTCDIAITTRRFRCDKSGSARRTTLGYNGWEHTTITTSRTMIEGSFIKENMVRPKDIKDEDMFGGSRNRLVVLIVDDELLYERIEEVHDHQKITSTRVVPSTSHPPAPSLSSSLSLPLRDLSPLSLLLAGAVWWLQPIGGGGQISPLFCFLVPDLFRSSLPCLFMVLIGCILEGCLRQSVTTRVTIRVSWTCMVETDLHSDSAHHKGKGGTLSVTPIDEGELATHRHYHNGRFRCDKSGSGEKNNTRDIMGWKAYYYTTTKLCREFPEAENPSRRPLSLLRRLSLLLSLSLSVISLLSPSSSPVPCGGCSRLAVVVRSRRPSVFLMSSTISNDQSDNYGYRGPAWWKPTYTRTQRTIKGKANQASHTQSPRGTCDIAITTRRFRCDKSGSARRTTLGYNGWEHTTITTSRTMIEGSFIKENMVRPKDLKDEDMFGGSRNRLVVLIVDDELLYERIEEVHDHQKITSTRVVPSTSHPPAPSLSSSLSLPLRDLSPLSLLLAGAVWWLQPIGGGGQISPLFCFLVPDLFRSPLPCLLMSSTISNDQSDNYGYRGPAWWKPTYTRTQRTIKGKSPRGTCDIAITTRRFRCDKSGSARRTTLGYNGWEHTTITTSRTMIEGSFIKENMVRPKDLKDEDMFGGSRNRLVVLIVDDELLYERIEEVHDHQKITSTRVVPSTSHPPAPSLSSSLSLPLRDLSPLSLLLAGAVWWLQPIGGGGQISPLFYFLVPDLFRSPLPCLLFLDPDPDLG >A05p001540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:302261:302641:-1 gene:A05p001540.1_BraROA transcript:A05p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIAATGLNVATPRAFVRPVVTSLSAPVRLNYPWKFSSVKRMVMSVKATSEGEISDKVEKSIQEAKETCADDPVSGECVAAWDEVEELSAAASHARDKKKAGGSDPLEEYCSDNPETDECRTYDN >A05p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28612583:28621284:1 gene:A05p049080.1_BraROA transcript:A05p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:Projected from Arabidopsis thaliana (AT3G10270) UniProtKB/TrEMBL;Acc:A0A1I9LTQ6] MNNKKNPDQKPDMSSSSSSSSPKKQTLFISSLIILWYTSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAILSYLSIVFLKLVPLQHLKSRSQFMKVATLSVVFCASVVGGNVSLRYLPVSFNQAVGATTPFFTALFAYLMTFKREAWVTYGALVPVVTGVVIASGFPCREKLNSMNLMLYMSPIAVIALLPVTIVMEPDVMSVTLSLARQHKYMWVLLLVNSIMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVMGIGGYSITVLGRPPSYLHLYFRLMASSRPRLFSHSLCPSLHRHSSSLSSSTPRIKFQLAKVLSQRLVIRNAVSPRSFMSSTMDTDSLHESSTSKDYSSEHIQVLEGLDPVRKRPGMYIGSTGSRGLHHLVYEILDNAIDEAQAGFASKIDVVLHADGSVSISDDGRGIPTDLHPATRKSSLETVLTVLHAGGKFGGKSSGYSVSGGLHGVGLSVVNALSEALEVIVRRDGMEFQHKYSRGKPITTLTCHVLPPESRGTQGTCIRFWPDKEVFTTAIQFDHNTIAGRIRELAFLNPKVTISLKKEDEDPERDLYSEYFYAGGLTEYVSWLNTDKKPLHDVLGFRKEINGTTVDVALQCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNSLAKKLKVIKEKDISLSGEHVREGLTCIVSVKVPDPEFEGQTKTRLGNPEVRKIVDQSLQEYLTEYLELHPDVLESIISKSLNAYKAALAAKRARELVRSKSILKSSSLPGKLADCSSTDPAVSEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFNMENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQVERGKQAHYCYDEAALKQVIASFPGNASYNIQRFKGLGEMMPEQLWETTMNPDTRILKQLVVDDAAETNVVFSSLMGARVDVRKELIKSAATRINVEHLDI >A02p032370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16957071:16958662:-1 gene:A02p032370.1_BraROA transcript:A02p032370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDQFSVRSSVELMGLMRSVFVKLQSPVSVLFDDNQVLCLDKPIRNNGQGEYSDQPDPCDGSEPRVIQNLIVYSLSRKSRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILEYLMEMMTGDLTLGREGTSLTSGSFIILAKAF >A06p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4802862:4804946:1 gene:A06p003200.1_BraROA transcript:A06p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G51110) UniProtKB/Swiss-Prot;Acc:Q8LAP6] MESLRFLYTVEMSSPCLLCPCPSSPTSLSSSSPRYHLLNTTFKRLGSSRTLRITCSSSSTFTGGQTQQSSFNDAEMKLIDALIGIQGRGKSASPKQLNDVESAVKVLEGLEGIPNPAESELIEGRWQLMFTTRPGTASPIQRTFTGVDVFTVFQDVYLKTTNDPRVSNIVKFSDFIGELKVEAVASIKDAKRVLFRFDRAAFALKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKETVPRQKLLATISQDKGVAEAIDEFLASNTNSKEDDYELLEGNWQMIWSSQMYTDSWLENAANGLMGRQIIEKDGRIKFEVNIIPAFRFSMKGKFLKSGGSTYELKMDDAAIIGGPFGYPIELTNNIKLQVLYTDEKMRISRGFDNIVFVHIREM >A06p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9536278:9538470:-1 gene:A06p019470.1_BraROA transcript:A06p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSKPRNFGAYSSHYATPCTRTHQIGALFLVVSTFFLTRLFDQWYSVSPAIDLHRTDSSVTTSDNGALRWPERGYGSHLSLKIYVYDENEIDGLKELMYGRDGGVKTASCLKGQWGSQVKIHKLLMESKFRTSKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWSTFINHSIILTPEGDRTDKKDTTAFNTWKDIIIPGNVDDAMTRNGKPDVQPLPLSKRKYLANYLGRAQGKAGRLKLIDLSKQYPDKLECPDLKFSGTEKFGRTTYFEHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDHAELPFQNVIDYAQVSIKWPSSRIGAELLDYLASIPDRDIEEMISRGRKIRCLFVYGPDSATCSAVKGILWELQRKVRHFQQSTETFWLHNGSFVNRELVHFSSWRPPMPLP >A10g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21427780:21433216:1 gene:A10g507040.1_BraROA transcript:A10g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPRTPKKKVETLMLNLIVNDVYQHNFGHRPHQCLDFLEMRQLHQPKSTWELLAGSTVTYVLLTTYTLLGFLYSRNRQKTSAFTSPLISVLSCKCVKLDLAMMDRKWCSPKFLTCICVILFLLGFYAAYENIRRVDAPEDNEKHYVTLQVDASNATGRPIPETLLGSSLRFNTEINHAGAGGLWAELVSNRGFEAGGQIIPSSIWPWSIIGDESTISVVTDRSSCFERNKIALRMEVLCNSSGCPSEGVGVYNPGYWGMNIEEGKKYKVTLYVRSTGDIDVSVSLTSSNGSLTLASEQIIALASEVSKWTKKEMLLEANGTDDGARLQLTTTKNGSIWFDQVSAMPVDTYKGHGFRNDLFQMMVDLKPRFIRFPGGCYVEGDSLSNAFHWKETVGAWEERPGHFGDVWNYWTDDGLGHFEFFHLAEDLGAAPIWVFNSGISHHDQVETARIMPFVQEALDGIEFARGDANSTWGSVRAAMGHPKPFGLKYVAVGNEECGKKYYKGNYLEFYNAIKKAYPDIKIISNCDGSSQPLDHPADYYDFHAFVSEYAARGKPDANKGNLLALGEAGFLLGLEKNSDVVGMVSYAPLFVNINDPMWIPDAIVFNSSHLYGTPSYWVQQFFTESSGATLLSSTMEGDSSYVEASAISFQSNGSDYIQIKAVNFANVTVELKVKMTGLDSNNTKASAKKKKVLTSASVMDENSFSNPEMIKPQESIGVMPGWNFTVVLAPNSFASFDI >A01p005130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2188677:2192164:1 gene:A01p005130.1_BraROA transcript:A01p005130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 10 [Source:Projected from Arabidopsis thaliana (AT4G33460) UniProtKB/Swiss-Prot;Acc:Q8H1R4] MNANCLFSPPPPRLFPLRSTSSVPPSVYRRTGVVSDDVAVECRNLCFSAITRPGISVPILRDCSFRIPSGQLWMILGPNGCGKSTLLKILAGVVNPTSGNVFVEKPKNFVFQNPDHQVVMPTVEADVAFGLGKYPDMSLEEVKSRVVTALEAVGMRDYMQRPIQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQLGVIKAVKELINAKKGGGDVTALWVTHRLEELEYADGAVYMENGRVVRHGDAATVKIEIASMDMSRGSNSFDNKKISCQRGHWRPIEDDHLRQLVEQYGPKNWNFIAQHLCGRSGKSCRLRWYNQLDPNITKKPFTQEEEERLLKAHRIQGNRWASIARLFPGRTDNAVKNHFHVIMARRKRESLSSTSTSTFNQSWHNILSPSSSLTRLNRSSQFGLWRYQKDTSRGHWPYTFVSAPRDDQFGSSSISNVRKEVYPERRKLKELVDEHNNAFHTATPDQNKNSVEDGPSTGDDGGEKNVTFIDFLGVGLVS >SC146g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:5452:6500:1 gene:SC146g500020.1_BraROA transcript:SC146g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQPTWPKSPKQSTGRASMWSPRTNVLICVLMDRHRRPVCAGGHRRTHKNSHGCHVCADSPRGPKSPEQSTGRVSVLSPTTNVLICVLIDSHGRPVFADGHTQTHTDSPGCPVCADGHTRTAPDVLQPMWAKITQAVHGKGQRAESKDQRADMCTEGQSRTSYSTRGPKSPKQSTGRASLLSLRTNVLICVLMDSHRRPVCADGHTRTDTDSHRRHVCADGHPRTQPTLAKITRTVHGKGQRADMCTDGRSLCADGHTWTSCVC >A04p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9055729:9058332:-1 gene:A04p007080.1_BraROA transcript:A04p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNKVDTKLQESSYDDQQKWVLDSSLDSRGGVPVRARTGAWRAALFVIANEFSERLSYFGIVTSLVVYLTTILHQDLKMAVRNANYWSGVTTLMPLLGGFVADAYLGRYATVLFATIIYLMGLILLTLSWFIPGLKACNQEICVEPRKAHEIAFFIAIYLISIGTGGHKPSLESFGADQFEDGHPEERKMKMSYFNWWSTGLCAGVLTAVTVIVYIEDRIGWGVAGIILTVVMATSLLIFLMGRPFYRYRAPTGSPLTPMLQVFVAAISKRHLPCPNDSSLLHELSREEYTKGRFLSSTNNLKFLDKAAIIENRGSENGMAEKKSPWKLATVTKVEELKLLINMIPIWFFTLAFGICATQGTTFFIKQAIIMDRHIGHNFIVPPSSMFALVALSMIIFLTFYEKLLVPILRRVTGNERGISILKRIGTGMVFSLITMIIAALIERKRLDYTKQHHMAMSVIWLAPQFIVIGIADALTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAASFVNNFLITVTDRLAEEISGKSLFGKDLNSSRLDRFYWTLAALTAVNMCFFVIVAKKYTYKSVQSSLAVADGGDDVEAASVGNTSKYT >A02g511270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29904012:29904583:-1 gene:A02g511270.1_BraROA transcript:A02g511270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYHHHNLCILGSCSAEAGLMSGSPGIESIPELPKLESLDRFNAKNQKFYAENDSRFKESPILKKLLENSKLNKEKNEKAIQDKYCLRGAEWGVGDCSTNGMTDEEKEQFITMLKKKTGLE >A07p045120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24508438:24512135:1 gene:A07p045120.1_BraROA transcript:A07p045120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHLVFILVSLILLPIQTFWLVSANLEGDALHTLRVTLVDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAELSGHLVPDLGVLKNLQYLELYSNNITGPIPSNLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLRLNNNTLTGSIPMSLTNITTLQVLDLSNNQLSGSVPDNGSFSLFTPISFANNLDLCGPVTSHPCPGSPPFSPPPPFIPPPPVSTPSGYGITGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQDIFFDVPAEEDPEVHLGQLKRFSLRELQVASDGFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQAPLDWPTRKRIALGSARGLCYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQTNYEQRELEQVIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKGEILREEIDLSPNPHSDWIVDSTYNLHAVELSGPR >A05g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20729973:20730557:-1 gene:A05g507330.1_BraROA transcript:A05g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDINRRRYDVTARSPVTSTSIYFYLQSCAQENGKGEMSNKLLTPARLLPHILVDSPQLIWVLPYSCGFATYGYLA >A02g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23325656:23326704:1 gene:A02g508640.1_BraROA transcript:A02g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFTFLADQKVGRCSNNEEAIQHVSWTITEFSFSVMEFQQRKCCVILELSSNLPRVLEVCTSAIPQAFLDGTDTNPSRLAEEGTNVPEVALPEVVVPGRDDKDGLMLPVPDNLTYHFGFLFVFDDLNVPNGIDYLTAHVEPGTKLEFFMSFASTLSHETDNSLM >A01g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:273829:274905:-1 gene:A01g500070.1_BraROA transcript:A01g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMIKPSRTGAPDKLAWLSSKSGEYTTKTEGQLAPWILWHIWTARNNLVFKDKTISATDNLSKALAAAREWNACQQTEAPMKRALPPASTAATNSALIKTDAAWNENLMVAGLGWTVESQQGTSSYSTPTHHVRSPLAAEALALREALGKCQELGLSRIRIESDSAVLIKALKSGTSLAGLYGVLVDIVSLASSFECCSFNWISRVRNVEADMLAKQSLAVELALMAPPTLV >A04p025060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15161924:15164663:-1 gene:A04p025060.1_BraROA transcript:A04p025060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVHLQFLFLVKIFATQFLTPSSSSFAASNPSIAPVYTSMTTFSPGIQMGSGEEHRLDAHKKLLIGLIISSSSLGIIILICFGFWMYCRKKAPKPIKIPDAESGTSSFSMFMRRLSSIKTHRTSSNQGYVQRFDSKTLEKATGGFKDSNVIGQGGFGCVYKASLDSNTKAAVKKIENVTQEAKREFQNEVELLSKIQHSNIISLLGSACEINSSFVVYELMEKGSLDDQLHGPSCGSALTWHMRMKIALDTARGLEYLHEHCRPPVIHRDLKSSNILLDSSFNAKISDFGLAVSVGVHGSNNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRRPVEKLSPSQCQSLVTWAMPQLTDRSKLPNIVDPVIKDTMDLKHLYQVAAMAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRLTR >A02p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12278701:12281351:1 gene:A02p025380.1_BraROA transcript:A02p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKPMISGEVPVSPFSRLFSMPGLDCFNIVTIGFKHEANPSAFVEGLKNTLLNHPRFSSILETGVGGKKAKWIPTKVKAEDHVVVPDIDPTIQNPDQFLEDYTSNMVFSPIDMSKPLWEMHILNMKTSDAESFLVARFHHSLGDGMSLMSLLLACSRKTSDPESLPTLVAPKKSKVTNVLWSLVARVWFIIRLMCNTFVEVFNFLLILCSVRDAATPLMEKPIATLSPNKFIHRVISLDDVKIVKNAMNMTVNDVILGMVQAGLSRYLSQKYDSETNSKLKRSLENVSLRAAVFFNLRSNKKIEDLAKMMAKRSTSRWGNSIGYVMIPIWMRSENDIFEYIRRAKTIMDRKKLSLEPLFSYVLLKLTVEIFGSKGGKQALRNLAMRIFGRSTIILSNVAGPSEEISLFDHQISYVAASVSGFPQALIVHITSYVNKVIINLGVDLDVIPDPHHLCDHMVEALQMMKSAAEEKCSADLNV >A09p002340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1635765:1637351:1 gene:A09p002340.1_BraROA transcript:A09p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02990) UniProtKB/Swiss-Prot;Acc:Q9ZT96] MKLRLCNGLTKPGLLLVHFMPPSLHSLPYQHGLGLNVHCAIASRRLSSNPPKRERLRRDNKDKGNKKRDSPSSPSLYARPSLLEMNKEKAANRARVYDFLRGVGIVPDELDGLELPVTSEVMKERVDFLHKLGLTIEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVIDLAPVVKYLQGLDIKPVDVPRVLERYPEVLGFKLEGTMSTSVAYLIGIGVARREIGGILTRYPEVLGMRVARVIKPLVEYLEGVGIPKLAMSRLIEKRPHILGFELEDEVKRNVQTLREFNVREVYLPSVIAQYPEIIGIDLKPKLEAQRKLLSSVIDLNPEDLGGLIERMPQFVSLSEAPMVKHVGFLKECGFSVEQTREMVIGCPQVLALNIGIMKLSFEYFRKEMRRPLQDLVDFPAFFTYGLESTVKPRHKRVVKKGIKCSLAWMLNCSEEKFEQRMSYDTIDIEEVESGPASFDMSTLMQPEREEEEESDSEYEEEEDDEDEEFEKKRSNGRFRQ >A10p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4185563:4190105:1 gene:A10p014420.1_BraROA transcript:A10p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQILWLCRIILIVTFISHGSSFPDQVGYYSFMKDATFAPMFARFDYIVIGGGTSGCALAATLSQNATVLVLERGGSPHDNPTATDIGNFATTLSNTSPKSWSQLFVSEDGVYNTRARVLGGGSVLNAGFYTRAGDDYVKEADWMSDEVEAAYEWVEKKVAFKPPMLGWQTALKDGLLEVGEFPYNSFTYDHINGTKIGGTIFDDAGQRHTAANLLEYSNPNSIAVYLHATVHKILFTTKGRPRPKSYGVIFQDANGVLHKAELAKNTMNEVILSAGAIGSPQLLMLSGVGPMAHLVAHGIKPVVLDHPMVGQGMGDNPMNAIFIPSPTSVEVSLIQVVGITKFDSYIEGASGVIFSYSWTRNFFDGVLNYLNEISRTTTSTSLSTQSITDFFKSINPLLNATIKAGLILQKVAGPVSRGHLELRNINPNDNPSVRFNYYQEAEDLEKCVEGISTIIKVINSKAFSKFKYPEATIHGLLDLIRSVPTNLRPRHATSVLNLKQFCIDTVMSIWHYHGGCQVGRVVDKNYKVLGIHGLRVIDGSTFLKSPGTNPQATIMMLGSICFDFNLAYYTFKEVAWKVKHLLYVRIILRAYAVVRMKRVKGKLNIGKETIISETILLLTRLKLSLCQRRVALLLFYLLSVFIVNLQIVTYDYPYNPT >A02p055370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33573399:33574052:1 gene:A02p055370.1_BraROA transcript:A02p055370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNAIPSPSSKLLSATLTAKLHKCYMASLQMTKQSQTQTQNTHSVKNVAFDGGNQYNTGGGVTEMKCEDGNANLKQNFRPLEEDHIEQMIEELLHYGSIEFCSVLPSESL >A01p058930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33684578:33686576:1 gene:A01p058930.1_BraROA transcript:A01p058930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTDAGMDAVQKRLMFEDECILVDETDRVVGFDTKYNCHLMANIEAKNLLHRAFSVFLFNSNYELCFSRSKAKVTFPLVWTNTCCSHPLHRDSELIEENALGVRNAAQRKLLDELGIVAEDVPVEEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVAEIRYVSREELKELVKKADAGEEGLKLSPWFRLVVDNFLMKWWDHVEKGTLDEAIDMKTIHKL >A08p017730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12312709:12313953:-1 gene:A08p017730.1_BraROA transcript:A08p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKENVPETLVFATYSEAHKDDIISSTSTDRSREYMSATRRRGRETLTELIEDNRRQNRPFTCVVYTIFLPWVAELAREFHIPSALLWVQPVTVFSIFYHYFNGYADAISEIATNDPSGSINLPSLPQFRLRDLPTIIFRPDAYSFLVPAYQDQIDSLKQEENPKILVNSFQELEQEALSAVLDNFMIVPVGPLITTRANDSGIDDKYKQWLDAKTDSSVIYISFGTLAVLSKKQLAEVCKALIESRRPFLWVIAEKSFRSKEDGEEKEEEGIKSFREELDEIGMVVSWCNQFSVLKHRSIGCFVTHCGWNSTLESLVAGVPVVAFPQRIDQMTNAKLVEESWRTGVRVMEKKEDEEVVVESEEIRRCIEEVMEEKAEEFRRNAARWRDIADEAVREGGSSFNHLKAFVDEHM >A08p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18780537:18781893:-1 gene:A08p030680.1_BraROA transcript:A08p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEEAHNVKVIGTGTQATIVLGHGFGTDQSVWKHLVPHLLEDYRIVLYDNMGAGMTNPDYFDFDRYSTLEGYSFDLIAILEDLHIESCIFVGHSVSAMVGLLASLNRPDLFSKIVMISASPRYVNDVDYQGGFEQDDLNQLFEAMRSNYKAWCLGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVAQTIFQSDMRQILPFVAVPCHILQSVKDLAVPVAVSEYLHTNLGCESVVEVIPSDGHLPQLSSPDSVIPVLLRHIRNDIAV >A09p033460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20258190:20260166:1 gene:A09p033460.1_BraROA transcript:A09p033460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 13 [Source:Projected from Arabidopsis thaliana (AT4G11080) UniProtKB/Swiss-Prot;Acc:Q9T012] MATVADPAPAKKSRNSRKALKQKNETTLAFCQSLAQTEDDKKGKKKKKDCPETKRPSTPYILWCKDNWNEVKKENPDSDFKETSNILGAKWKTLSAEEKKPYEEKYKADKEAYLQVITKEKREREAMKLLEDEQKQKTAMELLDQYLHFVQEAEQEDNNKKTKKIKDPLKPKQPISAYLIYANERRPVLREDNKSVIEVAKLTGEEWKNLSEEQKAPYDRMAKKNKEIYLEEMEGYKRTKEEEAMSQKKEEEELMKLHKQEALQLLKKKEKADHIIKKKKETTKNKKKNEDVDPNKPKKPASSFFLFCKDARKSLAEEHPGINNSTLTAHISLKWKELGEEERQMYNGKAAELMEAYKKEVEEYNKTKAAA >A09p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8727681:8730444:-1 gene:A09p016650.1_BraROA transcript:A09p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAKTEVEKKVSRLLKFLQNKNKIPRDSKRELLAIATDLQEHCQSLYSSIDDFQQDLDPTRSGGRSKVQSRVASSEDIVNLVEQGSKLEALRNESEEKEHALALRVKELERELGEAKAECDRRKGDLEEEIEMKASESKQLGEMNKGLRSQISGLKLVLKETGDEISTLVNKFGKSELGLTSRIEDLKCQLRNLEQEIGFLRERNAEQAASFQVKRVEDKERVNGLMDQVSSLKHELQSLRNKKVEQVTEREMQVKSVEQETDEERNKLHEEIDHLREENKKLHTVITELESSQMEREIKNTDENEDKSKKLDAELSHQKNLAKEQDDVINRLLEKIKDQERLVKEQKETIDKFSEDLKQQDDVIRRLSAKIKDQKRLVKEQKETIGKFSEDLKQQDDVISRLSATIKDQERLVKEQKETIDKFSEDLKQSKRWSLGSSRDSKLNPNAIEKKMEEIADDFRMKIEDHIRILYRRIHVAEQIHLESKNEYIKIRDIVQENKETRESLLFYQTHFKRIKDTWEKGYTRSTKKLEEAEEHTDRVARLAKEIDSAKIWVCEKKSELETLAAKLECGEAQETLLKEKLSKLEKKLAEEGTEKLSLAKVVTKFEARIKELEINVKGREIELLSLGEEKREAIRQLCVLVDYQRDRYDDLKKSVLRVSLKT >A03p034850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14672744:14679746:1 gene:A03p034850.1_BraROA transcript:A03p034850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGFLTQFVDETTFYNRIVLSHLLPANLWEPLPRFLQTWLRSYLTGNLFYFISAFLWCFYIYYLKRNVYIPEDSIPTRKAMIQQIHVAVKGMPWYTLFPTVSEYMIERGWTKCYSTLDQFNWFLCFVYIVLYLVIVEFAMYWVHKGLHDIKFLYKHLHATHHMYNKQNTLSPFAGFASHPLDGILQAAPHVIALFIVPVHLITHMSLLFLGGIWTTCIHDCIHGNIWPIMGAGYHTIHHTTYKHNYGQYTICMDWMFGTLEFLTHFVEETTFYNRIILSHLLPASLWEPLPHFLQTWLRNYLAGNLLYFISGFLWCFYIYYLKLNVYFPKDSIPTRKAMILQIHVAMKAMPWYTLLPTVSEYMIERGLAFHPLDGILQAVPHVIALFIVPIHLITHLSLLFLEGIWTASIHDCIHGNIWPIMGAGYHTIHHTTYKHNYGHYTIWMDWMFGTLKVPLAEDDNEKAKHEIIEQSQDMMREAHQSTHTVRSHGMTLARTHMHDWIILVLLVILECILLIIYPFYRFVGKDMMTDLSYPLKSNTVPIWSVPVYAMLLPLAIFIFIYFRRRDVYDLHHAVLGLLYSVLVTAVLTDSIKNAVGRPRPDFFWRCFPDGKAVYDALGDVLCHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIRAFDGKGHVAKLCIVILPLLVAALVGISRVDDYWHHWQDVFAGGLLGIVVSTFCYLQFFPPPYRTEAWGPYAYFLVLEAARAQAQAAENEAAQRPPQGDNGEEEDGGFMGLHLVDNPSMRREEADVEAGRVPSRG >A01g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8767906:8768161:-1 gene:A01g502550.1_BraROA transcript:A01g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMHDGCTHGKLLEMTQENYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKAHFVRLCVSSLRQIH >A08p038900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22465828:22468913:-1 gene:A08p038900.1_BraROA transcript:A08p038900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHTLADENSDLQKKIGCMNGIFQLFDRHNHVRKSLALGNAHLSSINFERDSSFQFQDTNVINATSEKLTESSRVSFSSSCSSSSLLSSELNREETQPEISPYDRVIFPESPTSDPVMSRGKGFASHMGLDLRDVVRDSMYREVRGISEVCRHKRRDDSPRPYGLKHSTPVDFNESCKALAKLRTTSQRCYNEVDMKDASHNKLKSGKKVKESSKLSLDSRDHVDLKAGDSRLSRSSSMNKRPPSVVAKLMGLETLPGSPLGSPRSLGKGTASSSSSSPRWRSSEFVMKPLSSLRFPTEPAPWKQTDRRSLIKKKQACKPVLQSMERRLKGVESNHPKKDLRALNEILEAMQTKSVFGSRKQPQCSNSRGLQNQVMPPSTMRGPIVIIKPARLVERSGIPSSEEEESVHVKRNSSSKKAAKELSISSGDSKSSSRNVRSSQVYKESTSPRLRHQVKKHENEKCSRPPTTPSDSSISRRQTNRQAEGSTTNTPGGRRRPRAQRSLQQNNDQQCSTETEATMIIKQATEAGDGKSPTVVETAKTVVSNLMQNKSSPKLSEDGPSEHPSPVSVLNASIYREIKPSPMKIQATEGTKTDHVTGGNGLNDLGDEHCEDEEQWNPAYSFSETTTTTTFSPEINRKKLQNVEHLVQKLKRLNSSHDETSQDYIASLCENNDPNTDHRYISEILLASGLLLRDLSSESTNFQLHPSGHPINPELFLVLEQTKGRSSSSSSNEKLNRKLVFDAVNETLVEKLPFKDPWMKRKVLSAQQLLKEVCSEIETAKKQAEKRSDKLLLEGQEEDFLKCILDEDIATPSEKWTDFDDVVPGLVLHLERLLFKDLVNEIVHGEIGRLQLVTDS >A09p034050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20585669:20587354:-1 gene:A09p034050.1_BraROA transcript:A09p034050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENVGANTEVGSISPSPPSSVTSQGSGASSNEDQGENGVHGEIRSHVEGSDGGESFKREIRELNELLTKLNPMAKEFVPPSLTKQGANGGFFTVADSFLGAAGYFPVNEDGGFRRKKWFGAPQGKRRINPRTSMAQREDVIRRTVYVSDIDQQVTEEQLAGLFIGFGHVVDCRICGDPNSVLRFAFIEFTDEVGARAALNLSGTILGFYPVKVMPSKTAIAPVNPTFLPRTEDEREKCARTIYCTNIDKKVTQTDIKLFFEAVCGEVLRLRLLGDYHHPTRIGFVEFVMAESAITALNCSGVLLGSLPIRVSPSKTPVRSRAVPRQQQ >A03g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30107472:30108150:1 gene:A03g509150.1_BraROA transcript:A03g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGIRTTEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVRHCKGYGLHPREPDAGCTRAGDLIGMQQERGGLVCLSCVVTSRFSFRIERTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSVII >A06p006880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2373825:2374790:1 gene:A06p006880.1_BraROA transcript:A06p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SRC2 homolog [Source:Projected from Arabidopsis thaliana (AT1G09070) UniProtKB/Swiss-Prot;Acc:O04023] MECRPLDLTIISAEDLKDIQLIGKQDLYAVVSINRDARTKQKTKVDKDCGTKPKWRHQMKLTVDDAAARENRLTLVIEIVADRPIAGDKPVGEVSVPVKELLDQNEEEEKTVTYAVRLPNGKSKGYLKFSFKFGEKYTFGGASSAPHAPGSSTLEHKAIDHQPVTAYPPGQGAPVAYPPGSSGYPPPGHDDKHGGGVYGYPPPGGPGGYPPAGPGGYPPPGAYPQHQHGGYPPQQQGGYPGYPPQGPGYGYPPQGPGYGYPPQGPYGYPQQQGYGGKPQKPKKHGGAGMGLGLGLGAGLLGGLLVGEAIDDIADMGGDFDF >A06g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17775605:17781378:1 gene:A06g506270.1_BraROA transcript:A06g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSRSAHMADPVVHDDYPWIIPFWIEITGIPLHLWTINNLKNIGKRLGHIDTVELAAGRMLIDVDTRKPLTFKRKIASPEGDEVWIEIHYEKLFKYCKTCRMVTHEMALCPLKETLPTTQGERSDVFSRVQLPVGGVSRQSLLRDKATENRFGRDGYGRRDNRSRSPVRERRTAGGFMNDARAAYSRRDGDYSRYDGGRDKTVWGQGGKERKSTSGYAKQSSRYAPYGKHKPTTWRVKEWSTSCAVDNEEGTASYVGTQSRSNGDVSVQIPDDVMVHDPHKSGGKRIASQIVTPVRNDNDDNVTKRPRISPRLLTFSPTEKVLPDDAQIIGALNGMEIAPEIQDETMIAMETQDDDLLGEDLMEMEGTGREVEPVGNGSERVKPRKSSHKSGGRSGFPFGIQNKKAVFLRRGSPRPRHGSWTAEAHFSGCGWVWMDSGGNTQLMGIRNLTRRESPLHTELEALRWAMENMLQHSTCQSFGTDCKEMIAMLKELQAWPSFATELERIETLKTCFPEFNITYVPRTRNQFSDFLVKTARSFHRELYFIGCSIPVWLPRPPQG >A03p011950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4770728:4771656:1 gene:A03p011950.1_BraROA transcript:A03p011950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVQEEYRKGPWTEQEDLLLVNFVHMFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEEHLVLELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKKSQEKKKRPMSPTSSSSNCCSSSMTTAATQDTGGTNGKMNQECEEGGYYSMDDIWREIDQSGANIIKPVKDIYYSDQSCYLDFPPLASPAWESSMESIWNMDADESKMSSFAIDQFPLSFEHGRSSSWSSLL >A02p058150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34949955:34952289:-1 gene:A02p058150.1_BraROA transcript:A02p058150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMHPFKTSHTKLTQTLCLKPRAVLVTMAITATQNDAISFSADKISYDLVETDVDIITSGRRRIPAHSGVLASASPVLTNIIEKPRKSHGGRGSSKRVVKILGVPCDAVSVFVRFLYSPSSCRPFSLTDKEMENYGIHLLALSHVYMVPQLKQRCTKGVGERVTAENVVDVLQLARLCDAPGLCLKCMRLIHSKFKTVEQTEGWKFLQEHDPLLELDILQFIDEAESRKKRRRRHRREQNLYMQLSEAMECIEHICTEGCTLVGPSSNLDNKKSTSQVKTGPCSAFSTCYGLQLLIRHFAICKKRVDGKGCLRCKRMIQLLRLHSSICDQSESCRVPLCRQFKNRGEMDNKMAEDTKWKVLVRRVASAKAMSSLSQSKKQKCEVLFKEEAEFFIRIRKKLF >A05g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27316746:27317401:1 gene:A05g509080.1_BraROA transcript:A05g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSRISLLILLRYYDDAACVLRKMCLDAKAPQLSSTLPPTLPWKFNKHVQPNKGITRQGNGNS >A09p006860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3590022:3590348:-1 gene:A09p006860.1_BraROA transcript:A09p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flowering promoting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G24860) TAIR;Acc:AT5G24860] MSGVWVFKNGVIRLVENPNQSGADTYSRRKVMVYLPTGEVVSSYSMLEQILQSLGWERYFGDTELLQFHKPSSIDLISLPRDFTKFNSVYMYDIVVKNPNYFHVRDSH >A09p016180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8453654:8455288:1 gene:A09p016180.1_BraROA transcript:A09p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETVKHLVGSGGPSGFGSRSTAEHVTVNCDLRSLTAIITGATSGIGAETARVLAKRGARLVIPARSLKTAEETKSRILSEFPYAEIIVMHLDLSSLASVRRFVADFESLHLPLNILINNAGKYAHKHAISEDGVEMTFATNYLGHFLLTKLLLKNMIETAAQTGVQGRIVNVTSVIHSWFSGDMLQYLADISRNNRNYDATRAYALSKLANVLHTIELSRILHKMDANVTANCVHPGIVRTRLTRDREGLITDLVFFLTSRLLKSVPQAAATTCYVATSPRLRNVCGKYFSDCNEARTSKLGSCGVKAQRLWTASELLVSPASCTPHLYRTFKCSLTNDAMCKSQAL >A02p018710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8647725:8650271:1 gene:A02p018710.1_BraROA transcript:A02p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVFLYCLPRAHLIARVCLLLLVSFIIFSRHHQLIFLVQETMFVKRYPIRGASAAKNPSPPPPSHIRVGSYYEIDSSVLPLRSSTPEQLKSIRIVMVNKVTGRHVTLRYPSMYSLRSYFDFAGLNRTKPENKNKKSGGGSLLPVLDEGYVTTADLAGDLLYRRIPPHEVSLSRKSWSFWVSDDSHPAKARLYRAISPEGKCWSELRSRGMIKWGKRLRVRYQSRHIDCNNNKSCSRLKEEDDVTGKRKVSESKAETLAKRAKVFDQKMENQIVVYKRDEIESSDLRAEKRRFNVSEQKKENQIVVYTRRSEKSFIDRWSVERYKLAEKNMLKVMKEKNAVFGNSMLRAELRAEARKLIGDTGLLDHLLKHMAGKVAPGGQDRFRRKHNADGAMEYWLESSDLVNLRKEAGVEDPYWTPPLGWKIGDSPTQDPVCAGEIRDIREELASLKREMEKLASKKEEEELAIMTTPKSCVTSQNVDHDSMMTPAKEMYADLLKKKSKIEDQLVVIAETLRKMEEDMGWLKKTVDENCPRMPDSTEMPFLLEDSPMFKTQEGEVKENQITESPQKARKDSRQGEPSLIHNTGFRICRPVASFSWPKLPALVAATDTDEFASSPSHRPSPVLPFPFTLRSPETPTNLFDL >A02p058730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35198274:35210076:-1 gene:A02p058730.1_BraROA transcript:A02p058730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G64100) UniProtKB/TrEMBL;Acc:A0A178U798] MGLVRSVCLLVTFLSYVVISVHGQATRVGFYSTTCPTAETIVRNAVTAGFNSNPRIAPGILRMHFHDCFVLGCDGSILISGANTEQTARSNVNLRGFDVIENAKTQLEAACPGVVSCADILALAARDSVVLTRGISWQVPTGRRDGRVSLASNANNLPGAGDSVAVQQQKFSAVRLSTRELVVLVGGHTIGQAGCGAFRNRLYNSTTGPADTTIDPTLLAQLQTQCPQNGDASVRVDLDTGSGTTFDTSYYNNLSRGRGVLQSDQVLWSDPATRPIVQQLMSPRSTFNAEFARAMVRMSNIGVLTGANGEIRRYYQKHKMGRGYNLLFILVTFLVLIAAVAAQGNSGSSRRVGQRPRVGFYGNGCRNVESIVASVVRKHVQSNPANAPGILRMHFHDCFVRGCDGSVLLAGNNTERTAGPNRSLRGFEAIEEAKTRLEAECPGMVSCADILTLAAREAVVLTGGQGWRVPLGRLDGRISQASDVILPGPNDAVDKQKRDFAAKTLNTLDLVTLVGGHTIGTAGCGLVRGRFFNFNGTGQPDPSIDPSFVPLVQASCPQTGDASARVDLDEGSAGSFDTSFLSKVRSSRVVLQSDLVLWNDTETRAIIERFLGLRRPPLRFGTEFGNSMVKMSLIEVKTGSDGEIRRVCSAIN >A09g512940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39051787:39059857:1 gene:A09g512940.1_BraROA transcript:A09g512940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNHEANFYGFYTQEGVQANWNWAKIFTEQDVMNFTTQRFLSLSICEYPTLEGDLSSSKERPEANPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANQFNQLQTRNWQPGDHFNQSGGIPEVLSCTITQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKNQVSHLEPKSHKKLQRLVSDFVKLVSRALYKLLDSHCKETPLITKFKPWFHHFYQFVGYPPCAYNILVSELKLLTKGAMVGEAHGQTLEATLSQQLIAIQELNDKIAQLGKRNKPQGRRPQHRERRFGDAPETGYVEPKPPDPSWITSHHTSSTYKYLTHSYLYFKSVNEVKIYFFSGSSWPDDYLSWERTMDDWFSYQGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWKDLKDVMIRKYVTTLPTQETRRKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDQIRPSQMPTVLYDKYQPYEVPKSMEKNLFSPDTLARHKEKSDKPILQGKAKNVKTGPEVQKDTNSTSLLESKAVHDLRNKEIPSPKKEETTSQCKSSNSENLKDQTCYRFHKRGHFAVVCPSKQVLTETSLEKKTDLSIKSDSFIQSDLLAQNSCMMHLSLSKGNVTGTKEQEFKEEEPPGVTLEMDQKIVQETMQSILLKEAKPKQCQGKALESQKRMKADLLYLEGGDDVVIRSATEPEVSPKPYSTSQGANQDIRARKMPYLTNQEGVQANWNWAKIFAEQDVMNFTTQRFLSLSICEYPTVEGDLSSSKERPEANPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQALKKVPRKLSYPLKPSRFKPWFHHFYQFVGYPPCAYNILVSELKLLTKGAMVGEAHGQTLEATLSQQLIAIQELNDKIAQLGKRNKPQGRRPQHRERRFGDAPETGYVEPKPPDPSWITSHHTSSTYKYLTHSYLYFKSVNEVKIYFFSGSSWPDDYLSWERTMDDWFSYQGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWKDLKDVMIRKYVTTLPTQETRRKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDQIRPSQMPTVLYDKYQPYEVPKSMEKNLFSPDTLARHKEKSDKPILQGKAKVSPILDKFVYNSSPTSMSHLSLSKNVKTGTKEQEFKEEEPPGVTLEMDQKIVQETMQSILLKEAKPKQCQGKALESQKRMKADLLYLGTDYTVSRSKPCQEGGDDVVIRSATEPEVNPKPYSTSQGANQDIRARKMPYLTNQEGLNHEANFYGFYTKEGVQANWNWAKIFAEQDVMNFTTQRFLSLSICEYPTLEGDLSSSTERPEANPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVSDFVSLLDLFPFFSYYER >A05g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18906912:18907984:1 gene:A05g506720.1_BraROA transcript:A05g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIYFPHLANNRQGTSSLTPSTCEYICAPPSIDMERITSIDYKRVTSIDMERITSIDKEPKLTFNTNLTSLFVLGLGIHGIGFFRQVWKSSKRDLEAAIFKARFRKELSDIGQKEVNRTWWQPPLSFNSWKPVQSWSLILQWKQTLTQERNFEREKLGTNFYLQLQILV >A06p009060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3174347:3177374:-1 gene:A06p009060.1_BraROA transcript:A06p009060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVKERTLEQTSTWAVAVVCFVLLFISIVLEHSIHKIGSWFKKKHKKALYEALEKIKAELMLMGFISLLLTIGQTPISNICISQSVASSMHPCSAADEARKYGKKVPAKQEDDGEENSGHRRLLLDLAESYIHRRSLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFILAVVHIIYCIVTYALGKTKTRRWKHWENETKTIEYHYSNDPERFRFARDTSFGRRHLNFWSKTSVTLWTVCFFRQFFGSVTKVDYLALRHGFIMAHLAPGSERTFDFRKYIQKTVEEDFKTVVEISPVIWFVAVLFLLTNTNGLHSFLWLPFIPLVVILVVGTKLQVTITKLCLRIQEKGDVVRGAPVVQPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFAWSTYEFTLRNCFYENDADVVIRIVVGVVVQILCSYVTLPLYALVTQMGSTMRPTVFNERVATAIKTWHHTAKKHTKHGKHTESNTPYTSRPTTPTHGSSPLHILNNRSVETLPSSTSPRYSDHHDHHQFWDPESQYQEAGPSTHHSLAHESSEKQPVLGSVELPPIRTTKSSRDFSFKR >A05g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20350201:20352039:1 gene:A05g507200.1_BraROA transcript:A05g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSRERDRDESGKKFWRRVSSSCDQDSAPPPGSSSLRNSEESLYKGHAVGNSVEKVGRCRAKVPENRKKITMSVSCSMIQEPVRTWQNKMMETILVEF >SC117g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:289292:293623:1 gene:SC117g500100.1_BraROA transcript:SC117g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVPTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >SC182g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:32434:35001:-1 gene:SC182g500040.1_BraROA transcript:SC182g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTVPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGETSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMKKTTNPKDNSDLLEIKNSLKTLHSFLQNKHRSDIAQIDENALSDTDDYSDEETNCSDPSSTGKSNSHPIFLDELDPNPSQDNRKTTAEKAKEKAIDLEEDTKIEDEIDRQYGTDVDRPKHPPSINNRRNPSIDDRTDDYTQLMDASIDIANVEANDDSE >A05g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28682428:28683882:-1 gene:A05g509420.1_BraROA transcript:A05g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPIRERDQRMDQPYDVLCPRQGTSDFHSLPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24656063:24658929:-1 gene:A08p044680.1_BraROA transcript:A08p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVQLRGSSTVEAMSSLGDVDREQVSEPFDIENESRKDTNHPSSFDVGYSSDDNLETLPKASTEAISPADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTIHFSIQAVLSKMVTWYWSGRFQPDNAISWRDYFVRVVPTALATALDINLSNESLVFISVTFATMCKSAAPIFLLLFAFAFSSDTVSVVRLESPSLKLFGIISVISAGVLLSVAKETEFEFWGFVFVMLAAVMSGFRWCMTQVLLQKETYGLKNPFTFMSYVAPVMAIVTGLLSLLLDPWSEFRDNIYFDSGAHFTRTCFLMLFGGALAFCMVLTEYILVSVTSAVTVTIAGVVKEAVTIVVAVFYFHDEFTWLKGFGLMIIMVGVSLFNWYKYEKLQKGNKTEDEKKLQAPSQTGKYVILDETDDQENGP >A04p001990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:954007:957345:1 gene:A04p001990.1_BraROA transcript:A04p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDNFHINKYTFRFLKCTYFSRHKLAPQVYLLPFSPRYKLAPQVYPTPAQHLASTWSLPLRMPPGSLSDTHCLNNYFNDYYTFLFLKCTYSSRHKLAPQVYLLPFSPRYKLAPQVYPTPAKHLASTWSLPLRMPPGSLSDTHCLSNYFNDYLAALWPMLNDEILKNQPKGKYPSRTLQPPAEKLPTSELKADGNLRFLWAARLSPRSRNLYRAATPTYRLDGTPENKNEYIIGKFHKCSLPPGGLIYVVVNRIWGRSCKISCKKLGDSSFMFHIPHQPSRHWVIQQGVWHIDDCLLFVLPWTPKGSFNIPEISTLPVWANLKNVPDYCYSRLGISHVASGLGEPILTHKPRLDPTSMGEAKVLAEMELDREFPKLIPLDDKQGNIFLVNVEYTWIPSMCERCGNLGHKAKMCLLPSTTSKVSASTSASKDTCSKVPVVDIDTILQQKDNVSSSPATIQQNEPHANPKLSLPTPYCGAPEPQKHIKEIEEQKNNTASLNSATILVDSQSTPITAPIMEYSPSTIINTEVCEILVADPLTTSPIPCAFESPSRFKVLGDVNEVETEPSSSLSLTKGGREIKSPIKYQNMEWKSIRGREKRGRGSYH >A10p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13021379:13023050:1 gene:A10p018680.1_BraROA transcript:A10p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAIVLDLLKKSHNKHAFHSSSSLSSAAAVSAFATAPFASRFLFGSFEPRVAYCDAAAAIDDDYLAAAIRKMSADTLQLQTPTYIPTSSKVYNIQPKPLFSAFEFRALAMTTVRSLLMFYLPLLEPKPASEDDDDFLNNAADERRGADLIVPLKKSVKQIARETTVVTTRRVLERLAISYVSQRMAWKLLKDVPQSTLRKAGRGWPTHVYIYKVSQTTLRGHFLGIAASWTVQVGIEIYRCVNRYVKPKPEEEDEEQVEIAEQAKDLGNKVVGITVRCGASLVFAAIGAGICSCLMRPSTGQWIGCTLGDLAGPMVVSICLQKTLQADG >A04p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19049418:19050636:1 gene:A04p032650.1_BraROA transcript:A04p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVAAFVYSAWCFGESYTLGTSFFTILFPFQYFREKNKSKASRSRRNHLYKFSTMVGIFSRFSAGRSGHRRTQSAIDMREALPSSTTELVGSTHGIEVATEFKPVEHPIEPMDIDQPIQCPLPEPSILNDGRIWKERVSASMRRRGDLDIAQDGTDVVESVGTSSCGPTEPDGFMTKPSVTSQCNPKRRFLPSLSAPERHMLNLLEECKASGTI >A09p016300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8563863:8564998:1 gene:A09p016300.1_BraROA transcript:A09p016300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVRALPTTDVNRNTEWFTYPGVWTTYILILFFSWLLVLSIFNCSPGMAWTIVHLAHFAATYHLFHWKKGTPFGDDQGIYNRLTWWEQIDNGKQLTRNRKFLTLVPVVLYLIASHTTDYQNPMLFLNTLAVFIMVVAKFPHMHKVRIFGINADQ >A06p020810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10240480:10241466:-1 gene:A06p020810.1_BraROA transcript:A06p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLRVVRKPNLMKKKHTSISVKEITKASFETALPKKPSADNVTLDGGRINSSSWVKLLLLGTGAYGSVYLGTSKSKTHHTGDRAIKTAEISHASSLMDEGRIMIRLQSPFIVRCYGDEIAREGYSTQYNLILEYCSGKTIADLIEDNHGELLESEAKVFARDVLSGLTYIHDRNIVHCDIKPDNLLLSPTAVRFRSTGYLTKIGDFGLAMEKGSVEYGNGYGHKRGTTRYMSPELIGHGFVDFGADVWAFGCTVLEMLSGATVWGEYGDLAFDDWVNLIGHSDRMPHVPAWLSKEALDFLSRCLERDVNKRWSTLELKNHPFVLL >A04p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12959493:12960571:-1 gene:A04p021400.1_BraROA transcript:A04p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPSTLTYLIQQHLLRHAKPKSPLSLFRRSFSLLIRKPNQQCCFPSLFFFSSISSSLPLQCPYISLSSLLVPKYLSSACEPEQDKDNVSLLPSHSPKNDDRTLVSTREIQRSSEEVMAVSLPMKLSVKEKKKLASYAHSLGDKLKCQLVGKSGVTDSVVFSVLETLEKNELLKVKILRTCPGTLEDMILHLEEATGSVVVGKIGRTVILYRPSPTKLTSGISGLKGLETVPSMARLIHFLLMFFKNFNLKHTARSLEVHCPAIEDVQGLRENRA >A09p032830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19887493:19888319:-1 gene:A09p032830.1_BraROA transcript:A09p032830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICWRAHQLIHPDDVETELEFPYRAADLSPSSCRLGDETSNRNSVIKPSINQVGERSAIKSRSSSTVQVSLLRFWDARNLSRGGDLMGVDMLLLDSQEQ >A04p005360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2802386:2805897:-1 gene:A04p005360.1_BraROA transcript:A04p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLQSVQKRNRKQKPFLKRNFAKSLGNGRREAEDEEEKMMEQPHKKRCSTKMKLQLSNVVIQIVMDILTSKCKANDHPMQVVDETRTDTMKRKVLSASVLTSCCDLVNEEQSAQALTSLLNWYRAACHYELEPSGMSSPDICYEIEDSETFPKVMIFVLQKADQTFRSILGLSSSSNKEKILKLKNNPKWDSVKPLVKSFFRSTIHLVKQAADLEITVFALAQLRVSFALKICRSKSYTKASVFITQLAKLSKMALSTKNKEAVEMIHSGHFTNCLDLWVSFISANVQDCDLQPLVYTIIQIINGVATLFIGPRLKKFNERSTIEGLKRVVKRFTEQVEMNIEYVQMKRDEAAFSPNDQQSIETFLLVEKRDKTSPYTQYYESIIDKAIGTKQTKR >A01p005450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2341864:2342298:1 gene:A01p005450.1_BraROA transcript:A01p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQELGLERFSNDAVYLDLTPPSQTSSTSLSIDEEESSEAKIRRLITEHPVIIFSRSSCCMCHVMKRLLATIGVIPTVIELDDHEVSSLPLALGEEYSGGGSGVVPPPAVFIGRECVGGLESLVALHLSGHLVPKLVQVGALWV >A03g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7840779:7841072:-1 gene:A03g502440.1_BraROA transcript:A03g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVNVHHDPGSSPPPIGYHRLCKFFVLQILQDKNVKKMTVTNIHLPEFETGSCKETVGTRFLCFSEARNVKK >A03p031910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13499666:13500460:1 gene:A03p031910.1_BraROA transcript:A03p031910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTCTSRPPVSLRSESRIASSPSASLSARRMFTLSPDSARLRIRLSQSPSSLTSKVPRPRRGIVCEAQETTTDIVSIVNDTTWDSLVLKADGPVLVDFWAPWCGPCKMIDPLVNELAKEYTGKVKFYKLNTDDSPSTPSKYNVRSIPTIMIFVNGEKKDAIIGAVPRSTLASSIDKFLQ >A05p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23689051:23696759:-1 gene:A05p038430.1_BraROA transcript:A05p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGAAARDEHHLFSTNNYVGRQTWEFDAEAGSPEELAGMEQARRNFSLNRSRFKTSGDLLWRMQFLSEKKFEQKIPRVRVEDADKITYEDAKTAMRRGMLYLGALQAKDGHWPAENSGIMFLNSPFFICLYITGHLEEIFNVEHRKEFLRYMYIHQNVDGGWGIDIESDSCMLSTVLNYICLRILRVEPEQGSTCAMARKWILDHGGATYTPLFGKVWLSVLGVYDWSGCKSIPPEFWMLPSFSPINGGTVWIYFRESFMALSYLYGKKFVAIPTPLILQLREELYLHPYAQIVWSQAQNLCAKEDKYNQQSYLQDLFWKSVHMFSENILNRWPFNKIIRERALQTTMKLIHYHDDSTRYLTTGCVPKVLCMLACWVEEPEGDYFKKHLARLHDFVWIGDDGLKFQICGSQIWDTAFSLQVLLAADDDDEIIRSTLIKGYDFLKKSQVTENPPGDHLKMFRHITKGGWNFPDKDQGLPDSDCTAESLECCLMFETMPQEFIGEKMDVKRLYDAVNLILHFQSKNGGVTAWEPAPGKTWLEWFSPVEFMKDSVVEHEFVECTGSALVAIARFMKQFPEYKREQVKDFIKNGVKYLENLQMSDGSWYGSWGVCFIYGTFFAVRGLVAAGKTYNDCEAIRRAVRFLLETQNEEGGWGESYLSCSKKRYIPLSGRNKTNLVNTGQALISLILGGQMERDTRPVNRAAKVLINSQLDNGDYPQEEMSGVLSVNLKLHYPMYRNIFTLWALTYYTQALRALQ >A10g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16677709:16679993:1 gene:A10g506100.1_BraROA transcript:A10g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEANCWLSSEGLASVLNPQSSAPGGLPPKPPDPPDPSLSEFPPLSPPIPPSSTKFSARSTIQTTVKPNFTFGSTASLFNLPPKATILTSPDNSDVVMTDSSVTTHGSAVKNVESSKESNFTILPPKQSSPILTNRASSHLPNPIARTTPPPVLPHSKSAPIPTDPPPISNPVTNLNHTPETPQKKQKSATPKPHPAQTHTYASKAKLLSDRSLKRLAPTICSPEGKPRVLVPDAVFARGAALHKEYIVGSFLGKMPDYGPIQSVLNYMWGKGSKLEIHLQPLKHSMLVRVPNDYIRTKILEKKLWYVDTSMFYVSQWGENPTVSYPEITSIPLWAHLRGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNISSLNVAHVKIEANLCKPLPTAGELLRENGEVIEVDIDYPWTPPLCSHCCRIGHIVKNCIYPAATASQQDNAANATASVSLQGYSPHAQLDKEVQNKAITATPPLLTVHIPPQIAVEMVDRMQEDQVVANTSSASTILESESQLAVDLIADATPLSYDTETITVSPIPSLPSSPPLLKAISLAKSSPPSPKSSRSSPQKALSLTKSTPSSPTSPSILSFTSSASNAQFYKPFSMNTKLTFWNVRGLNEPTKHQPFSQWLYSQRTVFCALLETHIKEQNLSSLMQKLCPGWSYTSNHASDEDGRIILIWNHPVSIQ >A02p026870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13051783:13051956:-1 gene:A02p026870.1_BraROA transcript:A02p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALNASTCDNFLPPEQRNQVLQLILPKKSPSAPRDSPTVKLVDTIAWSPTLHVIT >A09g513820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41937781:41938741:-1 gene:A09g513820.1_BraROA transcript:A09g513820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKMSLSLYIVHLLIFSLISTYVISNQEEDNLLQGLNSYRTAQSVPPFAKNDKADCVADEIADKLEDEPCTNHTTVSTITPGSVPPRLSNYQDILSECKVDPNSTRDGLILPVCIPNRVPTLVLTNYTHTSYAQYLNDSRYVGAGVGSEKEWMVVVLTTSTPGGSFSSGEATSLRVMVGLGLMGLLFNCLLVL >A01p022010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10826827:10827279:1 gene:A01p022010.1_BraROA transcript:A01p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQALFLVTLSMVLVSFSLAQSPMMAPSGSMSMPPMPSGGSPMPMMTPPPMPMTPPPMPMAPPPMPMAPPPMPMAPSPMTPMRPSTTPMGPSPASVPDMASPPMPAMESAPSPGPMPPTMASPDSGAFNVRNDVVAFSFVVAAHLLLV >SC140g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:107438:109223:1 gene:SC140g500060.1_BraROA transcript:SC140g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVTYSGALNTRGNDQDFIRRSEMDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPFLELISHLTLIVRGEGNLKLKKMVRMEPA >A02p052440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32082694:32089668:-1 gene:A02p052440.1_BraROA transcript:A02p052440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-15 [Source:Projected from Arabidopsis thaliana (AT5G48100) UniProtKB/Swiss-Prot;Acc:Q84J37] MSHPLFIYFLISLSLYSSCTAHRHTFTVKEVPYKKLCSTKKILTVNGRFPGQTLKVYKGDTIYVNVRNRASENITMHWHGVEQPRNPWSDGPEYITQCPIRPGSDFIYEVIFSTEETTVWWHAHSSWTRATVHGEWWKKDVREVVELFMRTGGDPNVSDALTINGHPGFLYPCSKSDTFELMVEKSKTYRIRMVNAALNLILFFAIAKHNLTVVAADGHYTKPINATYITISPGQTLDLLLHADQNPKSTYYMAARAYHSNPNINFNNSTTIGILRYTSSTSSSSKRYPNLPYYNDTSAAFRFFTSIKCLYSGQVPVKISRRIISTVSINNLMCPNNLCEGPNGSRLAASMNNISFVTPSHVDILKAYYYHIRGVYGTRFPEFPPLVFNFTADDQPLFLQTPRFATEVKILKFGESVEIVLQGTSLVGGGIDHPMHLHGFSFYEVGVGFGNYNVTEDPSNYNLKDPPYINTATVPRNGWVAIRFIADNPGVWFMHCHFDRHLTWGMKVVFIVMNGRGLNQQILPPPPNLPPWDGRKWINFGGFNSSFGSTRSIHGTGSSFMSAKDYYEVLGVSKNAPDGEIKKAYYGLAKKLHPDMNKDDPEAEKKFQEVSKAYEILKDKEKRDLYDQVGHEAFEQNASGGGYPNDGGFGEGFNPFDIFDIFSRNKQEFGGQDVKVDKVFLLAPNVKDVKPVTALGCFVFADNNEKGYVKHPTNMRQVWWSWSNLLRGRVVRGQKTVKVNIDPGVDNTDTLKVSKSGGADPDGDQPGDLYVIFKVREDPVFRREGSDIHVNSVISVTQAILGGTIQVPTLTGDVVVKVRPGTQPGQKVVLRNKGIRARKSTKFGDQYVHFNVSIPANITQRQRELLEEFIKEEQGDYEKRTASASS >A09p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22012809:22014520:1 gene:A09p042380.1_BraROA transcript:A09p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWLQLKMAGVCVPWGAEACRLHFPIRRELPVSFALPKSSSMIVNDNLSAFLEILPRDLRHRLLNDPRRNQLVEVIMDLGRPPEARYLGERGGQYLRNIEVSMEELEDAQELVGEFGADNRAGIEGTLHRISAIRNRKGFIVGLTCRVGRAVSGHIDMLYDLLHYGKSILFVGRPGVGKTTVLREMARVLSDEFQKRVVIIDTSNEIGGDGDIPHSAIGGARRMQVPKPSLQHKVMIEAVENHMPQVIIVDEIGTEAEALACRSIAERGVMLIGTAHGEQLQNIIKNPTLSDLIGGIETVTLGDEEARARRSQKSILERKAPPTFYFLIEMRERDYWIAHQTEKSVDMLLRGRNPMVEVRKRDEEFKVVIERWKSYDGQGI >A05p047960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28166097:28170404:-1 gene:A05p047960.1_BraROA transcript:A05p047960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIEDNTERERSPVIENGFANGSLSSPTTDVLSPTPKATQGNNDTLSYANILRARNKFADALALYETMLEKDCKNVEAYIGKGICLQTQNKGNLAFDCFSEAIRLDPGNACALTHCGILHKEEGRLVEAAESYQKALMADASYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDSALGCYEKAALERPMYAEAYCNMGVIYKNRGDLEMAITCYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDVSQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVLYKDRDNLDKAVECYQMALSIKPNFAQSLNNLGVVYTVQGKMDAAASMIEKAILANPTYAEAFNNLGVLYRDAGNITAAIDAYEECLKIDPDSRNAGQNRLLAMNYINEGLDDKLYEAHRDWGWRFTRLHPQYTSWDNLKDPDRPIIIGYISPDFFTHSVSYFIEAPLTHHDYTKYKVVVYSAVVKADAKTFRFRDKVLKKGGVWKDIYGIDEKKIASMVREDKIDILVELTGHTANNKLGTMACRPAPLQVTWIGYPNTTGLPTVDYRITDSLADPPDTKQKQVEELVRLPECFLCYTPSPEAGPVCPTPALSNGFVTFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSIRQRFLTTLEQLGLESKRVDLLPLILFNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSKVGLGHLVAKNQDEYVQLSVDLASDVTALSKLRMSLRDLMAGSPVCNGPSFAVALESAYRSMWRKYCKGEVPSLKRMEMLQREVQEDPLVSKELGSSRLNVTGEATPSLKANGSAPVPSSLPTQSSQLSKRMDSTS >A02p054160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32940475:32946512:1 gene:A02p054160.1_BraROA transcript:A02p054160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKVVDVMSHDQGLLVHEEAASTPNLNEKRRLASLDIFRGLTVALMILVDDAGGDWPVIAHAPWEGCNLADFVMPFFLFIVGVSIALAFKRVSNKFEACKKVGFRTCKLLFWGLLLQGGFSHAPDELTYGVDVTMMRFCGILQRIALSYLVVALVEIFTKDSHEESLSTGRFSIFKSYYWHWIVGASVLVIYLATLYGTYVPDWEFVVSDKDSILYGKIQSVPCGVRGKLNPPCNAVGYVDRQVLGINHMYHHPAWRRSKACTDDSPYEGSLRQDAPSWCHAPFEPEGLLSSISAILSTIIGVHFGHTIVHFKEHSTRLKHWISTGLALLALGLTLHFTHLMPLNKQLYTFSYTCITSGAAALVFSALYSLVDVLEWKHVFLPLEWIGMNAMLVYVMGAEGVLAAFFNGWYYRHPRNTLINWIKEHVFVRVWHSRRVGVLMYVIFAEILFWGLVTGVCHRPRSLDKVIVHQEIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLKQIYGASAEKVKVENRAWKVDAGSRTIDLELTTLSSTNHVELTPSDAGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYSSSCRLILCCNSSSKVTEAIKSRCLNVRINAPSHDEIVKVLEFVAKKETLQLPHGFASRIAEKSNRSLRRAILSLETCRVQNYPFADNQVISPMDWEEYVAEISTDMLREQSPKSLFQVRGKVYELLVNCIPPEVILKKLLHELLKKLDSELKLEVCHWAAYYVRLFVYCSRVLALEFYFSSALFQHMLFLLRLSFDLMMAFLMIMSWALVTGTSDEIRSESHIPHRSICGQVYEHIQKLPHFNIWVDKEGSKSRKRGHAFCIVVLLSNAMI >A08p005530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3178984:3180339:1 gene:A08p005530.1_BraROA transcript:A08p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNERQLRTRNPTALLSAASPHSHYEDIVLSFDGQFALFGSWDGELRLRDLATGFSRRFVGHTKRKDVLSVALSTDNRQIVSASCDGTIKLWNALGECKYTIFDQGEGHKKWVSCVRFSPNTLVPTIVSASWDHTVKVLVVGKMVRSCFGIRLEGRSFTLLKQARLSTLYSLVPIDTGCDIMHELELECRLRYIVQWIVDTLMELSGFGVFVVIIEEEKT >A04p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12428680:12430697:-1 gene:A04p020460.1_BraROA transcript:A04p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTPALGVCFVTLFMFLFHYVPCALGNQAFLSCDSVFECGNLTATFPFWGENRGEPCGHPLLKLSCDRVSNKTSINIANIFFNILHIDNTFKTIKLVRQDYSVSFCSTLSFTKTMFPPKIFQLFPNYKNLIVFSNCDPRFHYLENFTCSNGGTGSVYHNEHYYQSCRTNSDVIVPAGFIPEKEAWNLENVLREGFEVKLDINERPCKQCLKTGGFCSFDKFANQFCCKEDFSFYPNRIKEFSGSLLGIKCIKTSSGELPKSSAGADPTSFTYILTGSREALEHPRIENFEELIPLKRYSYAEVKEITNLFAQEIGRGGYGIVYEGNLCEGLKVAVKVLKESKKNGEDFINEVANEDEEFARKMILVGLSCIQACPSDRPSMNRVVEMMEGSLSALEAPPKYLLHLSASPMPPSAPEDKNSISSEESA >A02g512800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35090578:35091263:1 gene:A02g512800.1_BraROA transcript:A02g512800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDLWQNDYEHDDDSFSIQSMHDKTLEVEVSTGERPFPVQIDGEPFIQQPGSLEITHHGQVFMLRRASDEPRGHVAAIMNEVLLDAECKGVINAAQKKQLLQQMALNLPYKKKKKYKFC >A04p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18078564:18080466:-1 gene:A04p030440.1_BraROA transcript:A04p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGETSTAAKEARGLKKGPWTTTEDAILTEYVRKRGEGNWNAVQKNSGLLRCGKSCRLRWANHLRPNLRKGSFTPDEEKIIIELHAKLGNKWARMASQLPGRTDNEIKNYWNTRMKRRQRAGLPLYPHEIQHLGIGNDDEFEIRCFQFPNQDHPNHQNMIQYTNSSNTSPSSSSSSSQPPKELCLDPLISTNPGLNQIPNMFSPYNNSFENDNKQFGFSLTFSSSSSSNELCNPDQLLELMSENLDTNVASKKDIDVLSLMGDHETIPSYFSLGLDTTVLELPSNQTPCTSNIMHDNNVHLDSPAGNSGLLDALLEESRALSRGGIFKDARVSSSGLCEFQDKRVKMDFENRLIDHLSSSHQSSFEILKEASPSGILIGHHQGNNRVEPHKPPPSSNVDPVASLGSSYWRNIPRIC >A09p015680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8169668:8171296:1 gene:A09p015680.1_BraROA transcript:A09p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKAEKMKLRQDYRNLWHSDLMGTVTADTPYCCLACLCGPCVSYLLRRRALYNDMSRYTCCAGYMPCSGRCGESKCPQLCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLSQVACIFSIVACLVGSDELSEASQILSCCADMVYCTVCACMQTQHKLEMDKRDGVFGSQPMGVPPAQQMSRFDQPAPPVGYPPASYPPAQGYPPASYPPPGYPHH >A03p065050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28366573:28368183:-1 gene:A03p065050.1_BraROA transcript:A03p065050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G30580) UniProtKB/Swiss-Prot;Acc:Q8GXU8] MSKSHGCFSSRDSAMDVASARGVSSHPPYYSKPICSSQSSLIRIPINKGCCFGRSSNLITSLHAASRGVTRRTSGVQWCNRSIRCDPFKVNDKNSRTVTVRSDLSGAATPESTYPEPEIKLSSRLRGICFCLVAGLSAIVLIVLMIIGHPFVLLFDRYRRKFHHFIAKLWASISIYPFYKTDIQGLENLPSSDTPCVYVSNHQSFLDIYTLLSLGQSYKFISKTGIFVIPVIGWAMSMMGVVPLKRMDPRSQVDCLKRCMELVNKGASVFFFPEGTRSKDGRLGPFKKGAFTIAAKTGVPVVPITLMGTGKIMPTGSEGILNHGDVRVIIHKPIYGSKADLLCDEARNKIAESMNLVS >A06g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26475044:26477459:-1 gene:A06g509250.1_BraROA transcript:A06g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTFLDNLRAGRCSNTAEVRLLRFWEAKNINKGGALMSVEMLLIDEHSTVVQGSISATRQLTLTDGPVSIRFNDGTAFEKLATTVRIIPTEHFRFRPYEQLIELANTGKQLPDVMGEIRAIRSTITDHIPGAQRVMLTLRLESDVNVCVSLFDSLALAFHSKLDLYAREPRIVLVTGINPKNVSGKLYLNGTSATRVYFDSETVVGKDELPDVGTEQSGSSSKVVHAEKIELLTVAELTQFVISGDPQIIEFLSNLRVGVILAAPFVQRNSSARNRRSHVPRAMYRVVLSVSDNTCSAAFVGFDTEVAKLTNVLASEAAQIVGIGINAQVDTDLPQALAGIVGNTYTFQLRLTDFNFTANHQTFTISRIFPARELAPLPTFEEGVDVHEPAVPQTVAPVSDPIDEITINVADQATTSEGSLAVRREAAEGKTDLEESAPKKARVE >A09g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21766484:21767690:-1 gene:A09g507520.1_BraROA transcript:A09g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVQCLDIDRWYLCTSIDINLHLSRHFMVSIDSTGCASIDCSSSRRPLHVPNFSLALIFSLESKSASISGSVTKIGHASMNQNLMRALKIAASMSRFELFYWSLYESSLNGFSHQVLRYLPDLSEEFDPMYITSPKTSGYVRFSAGNQLWLLHTVKASV >A08p042450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23799669:23806819:1 gene:A08p042450.1_BraROA transcript:A08p042450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLVHNLLNRALIRPPHHTKLNSSSLQPVGKISRSNLSTQLYGKGLHKSGRRLLTESGRPVEVTSTNVREVNIQIAHTSDSLLLHWGAIRDNKEKWVLPSRSPDRTINYKSRALRTPFVKSGGNSYLKLEIDDHAIQAIEFLIFDESRNKWYKNNGQNFHINLPMGSNVEHNVSVPEDLVQIQAYIRWERNGKQNYSPEKEKEEYEAARTELREEMMRGASVEDLRRMLKKDDNSDSPESKETSSSGQESEKKVSKQPKQKKHFSTEKIQRKGRDLNKLICKHAADSVEPESKSSSEPRALTTLEMYAKAKEEQESTPVFSKKTFKLEGSMILVLVTKLSGKTKIHLATDYKEKITLHWALSQKGGEWLDPPSDILPPNSLPVRGAVDTQLTIASTDLPSPVQTFEVEIEGDSYKGMPFVLKAGERWIKNSGRDFYVDFAKEEKHVQKDYGDGKGTAKNLLDRIADLESEAQKSFMHRFNIAADLVDEAKNAGQLGFAGILVWMRFMATRQLVWNKNYNVKPREISKAQDRLTDVLQEVYASYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCAGGMMEEWHQKLHNNTSPDDVVICQALMDYVKSDFDMSVYWKTLNDNGITKERLLSYDRAIHSEPSFRREQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDGEGFMVGVQINPVSGLPSGYPDLLRFVLQHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTVRTAIERGYEQLNDAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWQFALNMFKSKKDHWALYAKSVLDRSRLALQSKAERYLEILQPSAEYLGSCLGVDQWAVSIFTEEIIRAGSAAALSSLVNRLDPVLRQTANLGSWQVISPVEVVGYVIVVDELLTVQNKTYDRPTIIVAKRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILSDLQAMDGKVLSLKPTSADVVYREVNESEVSSQSSDNLEDVPPPSISLVKKQFVGRYAISSEEFTNDLVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVLSEKANQAVSEKLQVLKKSLDEGDQGALGEIRKTVLGLVAPAELVEELKSTMKSSDMPWPGDEGEQRWEQAWSAIKKVWASKWNERAYFSTKKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRSLSFICKKNNLDTPLLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDKVVLDYTTDPLITDDSFQKKILSDIARAGDAIEKLYGTPQDIEGVIRDGKLYVVQTRPQV >A02p013950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6113788:6116457:1 gene:A02p013950.1_BraROA transcript:A02p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGNNMNRAKRSFDGNGDGDQPDRKRPALASVIVEALKVNSLQKLCSSLEPILRRVVSEEVERALAKLGPARLTGSSGSSPKRIEGPNGSNLRLQFRSRLSLPLFTGGRVEGEQGAAIHIVLIDANTRRAVLHGPEASAKLEVVALDGDFNAEDDEDWTKEEFESHVVKERQGKRPLLTGDLGVTLKEGVGTLGELSFTDNSSWIRSRKFRLGLRVASDGVRIREAKTEAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGAFHKKLSAAGIVTVEDFLRIMVRDSGGLRGILGSGMSNKMWDALAEHAKTCVLSSKLYIYYPEDSRNVGVVFNNIYELSGLISGDQYSSADSLSESQKVYVDGLVKKAYDNWNLVIEYDGKSLIDMKQPQNPPENYSTTAFDHPMQMAGANQSLDFTIGGYDQTMVTSYPQHPQLLDSNPGAQYEVASDQLMGSVHQAQSSTNNQNMNGLLALGPPQSSTSHYQHINQANLNPFEDWSNHGERGGGGGGGAEDFFSEEEIRLRSHEMLENEDMQKFVRLFSMGGGGTGNDSVAHFPEDGYTSFPSFLNTPMQGYDDEDSGRSGRSVVGWLKIKAAMRWGFSIRRKAAERRAQIVELDDDDEE >A07g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12049494:12051938:1 gene:A07g505430.1_BraROA transcript:A07g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHNRSERFNKFFNLGLIYMFFRSGSDSGRPMESLLGNDFKEVFETTSRKSSKRLQRFFQATSWKSSMKFSDGVFFHIKSSLSLRLPRRSFGGFLGNRPFSRLQPCGQTNLGKKTNFIVSTSEITCLAHISLLQAPRISNKSDPPRIKQNLGFFWMNKERKCIKSFKIVVHGGWCMDGNDNIVNT >A03g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27175732:27177161:1 gene:A03g507700.1_BraROA transcript:A03g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKIQKGSNRKRKVEEEQTHTNRLKSQKTNGVACIEVLSKDYFHVYMKELEEILNSGFTKLSSEISSLKAKLNHLDKNFESLKKTMKNDPKTTLNATECTDSSVEILSITPLKTNEMKTLDKKIKKFGMLLDTSGGTERRKQVVKSYDPFAVVDHGKDAWLDSWMKIDRDITINLGIIKADKFFFAELIEPKVWLSEEHIDVGMSLLRRKLGEKSCPFQSNRLAFLDVPFTLLISRSYQKFLEDPKNFEWSSEFISYYNGILPKCERTHKRLGVDVDDAYVVLNIKNVHWIALAISILQRTVEVYDSSWMLSGDDEITDDAEYTIIRCKKIPQNIQSGDCGVYAIKYIECLALDTDMEVGLCDANIKFIRKKLAAD >A02p006640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2827363:2829955:1 gene:A02p006640.1_BraROA transcript:A02p006640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEKEDIMSEPFIVKTINDEEATLRDYERYNNNPQGNTSFSKTCFHGINALSGVGILSVPYALASGGWLSLIILFIIAITTFYCAILIKRCMDMDPLLRTYPDIGYKAFGNTGRVIVSIFMNLELYLVATSFLILEGDNLNKLFSNVGFDFMGIEFGGKQMFIVLVALIILPSVWLDDMRILSYISASGVFASGLILASIFWVGAFDGVGFKNNDSKMFRTNGVTTSVSLYMFCYCAHPVFPTLYTSMKNKHQFSNVMVICFTICTFIYASVAILGYLMYGSNVDSQITLNLPTDKLSSKVAICTTLVNPIAKFALMVTPIIDALRSRFMRNKKAGGLVLGTMLVASNVVVAMLLPFFGELMSLVGAFLSATASVILPCLCYLKISGKYRRLGLETLVLIGIVLIGIVVMIIGTYKAVKDIFGQVLKSQ >A07p011810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8471773:8479451:-1 gene:A07p011810.1_BraROA transcript:A07p011810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLFTVLPGPHSSPPTNFSHVRAQAVTVCLLLRRKIIRTTSSSTSFFEPQPSSIAERNTNREVFRNYLEPYGILDTLTIDEVAVHHIPERLIQSDCTKSLAFSHPETHIFDPGATSQSDLPRSLPIQSDPLERHTKVACDLSPGDTKNRPWSDFPERHLQVAPRLEGQEELCFINNNGSWYKKEPKFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDYMRSLCTTSRSDSSRATARSRSRFHIRRHTYLTLERPLRATYQGRSRSRATRWSDTPKSLATSCPETPKIGPGATSRSDTCKSLRSNHNASSELATQLLILRHFSPERCSMKCPRQLS >A03p031890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13492418:13497342:-1 gene:A03p031890.1_BraROA transcript:A03p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLIGRESRGGGTDRIVRRSEAITHGSTFQIAAALVDLAEDGIGLPEQILDQSSFGEAAKYYFIFTRLDLIWSLNYFALILLNFFEQPLWCENKPTPSCKDRDYYYLGELPYLTNAESIIFEASTEPMSSWPFIFPIDGSRIFWTSRLNLVKVACVVVLIVDVLVDFLYLSPLAFDFLPFRVAPYVRVIIFILSIRGLRDSLILLSGMLGTYLNILALWMLFLLFASWIAFVMFEDTKQGLTVFTSYGATLYQMFILFTTSNNPDVWIRAYKSSRWSSLFFVLYVLIGVYFVTNLILAVVYDSFKEQLAKQVIGMDQMKRRMLEKAFGLIDTDRTGEINKEQCIKLFEQLTNYRTLPKISKEEFGLIFDELDDTRDFKINKDEFADLCQAIALRFQKEEVPSLFENFPEIYHSALSQQLRAFVRSPNFGYAISFILVLNFIAVIVETTLDIEESSAQKPWQVAEFVFGWIYVMEMALKIYSYGFENYWRDGQNRFDFLVTWVIVIGETATFITPDENTFFSNGEWIRYLLLARMLRLIRLLMHVQQYRAFIATFITLIPSLMPYLGTIFCVLCIYCSIGVQVFGGLVNAGNKKLFETELAENEYLFFGFMKTLLQKLSYLLFNFNDYPNGMVTLFNLLVMGNWQAWMESYKDLTGTWWSITYFVSFYIITVLLLLNLIVAFVLEAFFTELDLEEEEKCQGQDSQERRNRRRYAGSKSRSQRVDTLLHHMLGDELSKPECSTPDT >A01g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5488787:5489998:-1 gene:A01g501460.1_BraROA transcript:A01g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDSWRYDEPAASIRCVCSSSDFVFFVSAKLAVVVQFVLQPPQHIATHQNRDCRRLSSSSRNQARAVVVITGSAFLVVAESFSRRRKSSRDCATVSFKTRPSFLRGRAQVHRDRAQLHRGRARRFRPRKSFDPLQW >A03p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17685928:17687228:-1 gene:A03p042190.1_BraROA transcript:A03p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACPRNKETCPKLLDLIPQGRKWYQEEKNNTDHEHKLELRLAPPGGDEEDRSAIYNTNIETRNNNFEKEAEEKSIFNLSGNHSSPSNKTTYAPHISHKRTAPGPVVGWPPVRSFRKKLASTSSSKLGNESFLGGQVNKSGDGEKQVQPSKREGMYVKINMDSVPIGRKVDLNACNSYEHLSFAVDQLFRGLLAAQRETSGGEGEEKPIIGLLDGKGEFTLTYEDNEGDKMLVGDVPWHMFISSVKRLRVTESSEISSALRFGCSKQQKMRT >A01p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25899228:25903654:-1 gene:A01p045930.1_BraROA transcript:A01p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHYTNTVSTVLLLFFLFISSASSSSFIRQYTDGFNKNFQQENGAVPDSIRGEAHYLRQHEQEISSRDYKLSASNTVKGLRDRPPSSYSLKMESFNTLLKSTNADKYVSRPFSAAGYKWTLVVYPNGNKNDNGAGFYVFNRVEKKYLTIQDTDGWRFSYFKTMWGFSQVLPVNTFKDSNNGYLYDGDHCEFGVDVTTPTVFKESELFTVTDKFYNPKYTWSIQKFSTLLEDSYYSDVFSIGGRRWNIKVYPGGCATGKGKAVSMFLILNANEKFRPYEKIYVGAKLRVLNQRNFNNVEKQIDNWYNGPGYGDGYGWGYYEFIPFSDLKDSSKGFLVNDVLMVQVEIEAISSTKYFPKENGAGPNPNVGEADHLHQHQEISSRDHKVSVSSTVNGLRDRPPSSYSLKMESFNKLLNSPYTERYQSRPFTVGGYNWTLMVYPNGNKKDSGSGYLSLYVAIDNSTLVAGQQEVYADLRFYVFNNNERKYFTIQDTNVWRFNVFKMMWGFSQVLPVATFKDPANGYLYDGDHCEFGVDVTIPTTYQISELFSIADNFYNPKFTWTIRGFSTLFKDTYYSDLFSIGGRTWTIQVNPSGRGTGAGKALSMYLNLDVNEKFRPYEKIYVRGKLRVLNQRGLNNIERQLDIWYQGPGYGEYSWGYHEFISFSDLRDSAKGFVVNDVLMVQAELEDISSTKYLPN >A06g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30137476:30148117:1 gene:A06g509990.1_BraROA transcript:A06g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWEMFLGALKNNSEWRKLLLDLLHDALLLLCFTLIYIIFLVVTTHCHDPNPGPSDIQRGLNLLQKAQVSGSPELVAGATVCRDHVQLVTPDQHPRPPSCSSRREEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSPSAGATVHSGHSPPSPTAVRRSRRDRPPSVRRREAAAVAAADFSVSRRHPPPLPPGLVPVIRRRLANSAESTRFRVYLSSWNRRAGVIIGPVLLGFWASIAFFRFYLYRAFRPLDFYRYVISYFKLSMPPRRRTTRAQTARAVRDNVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQETARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQNQHDHQDPVQQVPLPQVPLQQGPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIQLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRFAGVGISEEDMIRKFLSGMRVEIRNRCRVVTYHRLGDLMEKAAEQEAGLAEEQKLTKAVHVKSGKAPESHSRGGEQSGPPSCSSRREEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSPSAGATVHSGHSPPSPTAVRRSRRDRPPSVHAEKSCRRVAASPPSPPLIFRAGDSPATRQLGRVDPVRGYSRTPLTRLRTSNKIVLPDTETDFCMPDCMRGYGQSVDRLDRSLVWSINRLRAVTPSTLSEVLFGLLVGGESAPR >A03p071090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31260874:31263300:1 gene:A03p071090.1_BraROA transcript:A03p071090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFDFFTKRFFLLMITAFCLSSSFVLSLQLGETCSSSSRCDAGLSCQSCPTNRNTGSTCSRIRPLNPTSKVNGLPFNKYSWLTTHNSYSITSAKSTIGSFIISPRNQEDSITNQLKNGVRGIMLDVYDFRNDIWLCHSAGGTCFNFTAFQPAVNALKEINDFLESNLSEIVTIILEDYVTSSRGLTKVFKASGLSKFLFPVSRMPKDGKDWPTVDDMVKQNQRLVVFTSKKGKEASEGFAYQWDYMVENQYGNDGMNDGSCTNRNESPPLDTKSRSLVLQNYFITNPNATQACADNSSPLIKMMTTCHEAAGKRWPNFIAVDFYQRSDGGGAAEAVDAANGRLTCGCDSLSLCKTNATFGTCDAPPPKAAPKPAPGGESTRNPSDLPAGSAVHTGTGLSSLVMISVATLLLWW >A05p034690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19537190:19540735:1 gene:A05p034690.1_BraROA transcript:A05p034690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETCRGNRPNPAPPLLFGSAEEARPFLFFFALRQRPVSKEIEIEISFITKRKLIGDKCFCFWRNCLSSDKLYKFDSMDSLVRFLCRHCLYKTEEMDESDGRKIRNICILAHVDHGKTTLADHLIASSGDGELLKPRLAGKVRYMDYLDEEQRRAITMKSSSISLRYKDYSLNLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLICELRLSPMEAYTRLIRIVHEVNGIVSAYKSEKYLSDVDSILASPSGEITDESLELLEDDEEVTFQPQKGNVVFVCALDGWGFGVSEFANFYASKLGAKAETLQKSLWGPRYYFPKTKMIVGKKSLSAGSKIKPMFVQFVLEPLWEVYEAALDPGSDRAVLAKVIKSFNLNIPQRELQNKDPKNLLQSVMSRWLPLSDAVLSMVVKHLPDPISAQAYRIPRLVPERKVIGGNDADSSVLAEAEHVRRSIEACDSSRDSPCVVFVSKMFAMPMKMIPLGGDHRERMNGLNDDDSKSESDECFLAFARIFSGVLRAGLRVFVISALYDPLKGESSQKYIQEAELHSIYLMMGQGLKPVNEVKAGNVVAIRGLGPYISKSATLSSTRNCWPLASMEFQVSPTLRVAIEPSDPSDMSALMKGLRLLNRADPFVEITVSARGEHVLAAAGEVHLERCIKDLIDRFARVNIEVSSPLVSYRETIEGDGSNLLESLRSLSLNASDYVEKRTPNGRCVIRVHVMKLPHSLTKLLDESTDLLGDIIGGKGSHSIKILESQSPSLEENVDPIEALKSQLVEAGVSSSSETENDREKCKAEWAKLLKRIWALGPREKGPNILFAPDGRRICEDGSILVRGSPHVSQRLGFTEDSTETPPDSALYSEALTLESSIVSGFQLATASGPLCDEPMWGLAFTIESHLAQDSETEKQPENFGIFTGQVMTAVKDACRAAVLQTNPRIVEAMYFCELNTAPEYLGPMYAVLSRRRARVLKEEMQEGSSLFTVHAYVPVSESFGFADELRKGTSGGASALMVLSHWEMLEEDPFFVPKTEEEIEEFGDGASVLPNTARKLINAVRRRKGLHVEEKVVQHATKQRTLARKV >A02g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21891166:21893178:1 gene:A02g507870.1_BraROA transcript:A02g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSKITPVLRGGYVAVRKFVLRTTYGSLMCRLHRRERKKCFQHKETKLVLMCTYLMLAIHGVFQEAVEQRALITSEEGSPVQFGDVDYKVHGLDFVFAGKDTRFSEHQQQIQCQELSESCVWWTKRIRCYLSSKK >A03g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17028896:17032370:-1 gene:A03g504760.1_BraROA transcript:A03g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDHRPIVLSFSLEHEDRGHGRFYFDKRMVGKAGIEEAIARGWEGDPSQALSSVMDRLSRCRSELSRWKKRVHFNLHDLWFPNSRVWNAQKLFDTLTEEDALQILKIKPLQNGHDLDVWGFTKTGSYTTQTGFSQSSVFLNLHYMVAGYKQQRSDRDNLKAFPWILWNLWKGRNALVFENIRVTPNSTVVKALEEAEIWYQAQQPDQNTSMEKKSTNASLGIWEKPPPDMVKCNVGMAWVDTGTMSGASWIARDYQGQPLHHSRQALIGSSTKRESDLRSLLWAVQAMGDLRHKNILFEASSVETRQALLNPTSFPDLSPLILKILELLNGFEKWTISYVSRHINRAAATIAESVTFGPYLQSYVASGGPRWLHKMLQEDMRNT >A05p008530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3493816:3495526:1 gene:A05p008530.1_BraROA transcript:A05p008530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVHNKPVDSIGKSPSATVKHHLIKQSSGGVTAVTAADMQEPSIDTDKLSYEIFSILESKFLFGYEDPSPEQETSPTTVAEPLNGVVQGSVKNQRGKVCVLSIDGGGMRGIIPGKALAYLEQALKSKSGDPKARIADYFDVASGSGNGGVFTAMLFGSRDGDRPIFNADDTWRFLANNGKRFYKPTSGTGRILNRVMKTGSGSKKLEKSMKESFAEMTLKDTLKPVLIPCYDLTSSAPFLFSRADALETDGYDFKLWEVCRATWAEPGVFEPVEMRSVDGKTRCVAVDGGLAMSNPTAAAITHVLHNKEEFPFVRGVEDLLVLSLGTGQLVDVKYECDNVMKWKAKQWARPAVRISTDSAADTVDQAVSMAFGQCRRSNYVRIQADGSSFGPCRPDIDTDASTSNVNMLVGVAEEMLKQKNVESVMFGGKKISEESNFEKLDWLAGELVLEHQRRSCRIAPTVAFKQSSDRRTVQKTIFKDIDCMF >A08p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21424211:21426534:1 gene:A08p036550.1_BraROA transcript:A08p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLLTSALQLAELSVSSVVHVLFGLYLFSSAIAGDLTQTFLESIFKPKPIVEVKQGNTTTQVNDLTPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVHDRARELFYYLKGGRVDYGEDHSKACGHSQFGRFYDKGEYQEWDEDHPIHFVGHSAGAQVVRVLQQMLADKMFDGYENTNENWVLSLTSLSGALNGSTRTYLDGIQPEDGKSLKPICLLQICRFGSIIYDWLDISWLKSYYNFGFDHFNMSWKKTGLRGLVDCLLGNAGPFASSSGDWILPDLSIQETMKLNANLKTFPNTFYFSYATKRTRKPPLGRVMGIHPLLSIRVLQMSQWRYPRGIPLPYKGYIDEDWQDNDGALNTVSMTHPRIPVEHSNLVVRSDSDCLPLQPGIWYYKIVEADHIMFILNRERAGVEFDLIYDDIFERCRKHVFRQSPQTMPNKAQRKLGGDKEE >A01p009400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4547716:4548150:-1 gene:A01p009400.1_BraROA transcript:A01p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRCLAVFLVALTVAQSVSATRPAPAKNVGAGLDDQKNFVAFAGIGGAAGVGGVGAGLGGVAGGVGGVAGVLPVGGVGGGIGGLGGVGGLGGGSGLGGGIGGIGGGSGLGGGVGGLGGVGGLGGVGGLGGIGGGSDCGGLTHP >A09g505800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18256505:18256729:1 gene:A09g505800.1_BraROA transcript:A09g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSIEKDTKDLYLFINSPGGWVISGMAIYDTMQFVRPDVQTICMGLAASIASFILVGGEITKRIAFPHAWRQ >A09g512690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37953536:37955345:1 gene:A09g512690.1_BraROA transcript:A09g512690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLNKNKEHDRSKSVNSIDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTRKDKEEIKCRKMLEDLTVRLPLMDAIQMMPSMRSFMKGLISGKISEESEFMTVSKECSASMVNIDADGYAKMLDSAKSMGRMVASLSLGEDIS >A02g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12506734:12508703:-1 gene:A02g503790.1_BraROA transcript:A02g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFFLVFLFSSFLTLNVDANPNYVEALSKSLLFFQGQRSGPLPTDQQLSWRASSGLSDGSSANVDLTGGYYDAGDNIKFNFPMAFTTTMLSWSTLEYGAQMGPHLQNVSRVNIRWATDYLLKCATATPGKLYVGVGDPNADHKCWERPEDMDTPRTVYSVSPSNPGSDVAAETAAALAAATMVFREVDSEYSLLLLAAAKNVMQFAIQYRGNYSDSLSSSVCPFYCSYSGYKVYSHMYYLFPKDKSLQLNNFNVNFIMMTQDELMWGAAWLLKATDDSNYKNFIESLGGGDHPDIFNWDSKYAGAYVLLSQRALVNKDINYELYKQEAESFICKILPNSPSTHYTPGGLMYKLPQSNLQHVTAIIFLLTTYAKYMKATQHTFNCGNSVNIVPDTLISLSKQQVDYILGENPIKMSYMVGFGSSFPMRIHHRASSLPSQVLLSKPFNCTEGFQFYHTQNPNPNILTGAIVGGPDKNDEYPDKRYDYIHSEPATYINAPFVGPLAYFASRGSA >A06p056970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29631618:29633108:-1 gene:A06p056970.1_BraROA transcript:A06p056970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIALSKRLYSPPFSGYRSLHGGINDALSKTVFSGPILGRSVHHLRKAGRFVVPRVLFVSRNLSTNVSSSKQPAFLRWYLRKVESHPFITKSVTTSLIYMAADLTSQMITMKPSGSYDLIRTARMASFGLIFLGPSQHLWFSYLSRILPKRDVLTTVKKIMMGQALFGPFSNTVFYSYNAALQGENSEEILARLKRDLLPTLKNGLLYWPVCDFVTFKYVPVHLQPLMNSSCAYIWTIYLTYMANQTKADS >A03g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8662706:8666861:1 gene:A03g502780.1_BraROA transcript:A03g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRSHGGLPQKTLPSPDQPPSEIQRTAAEEQRRNEKIVRFTELSRDMEEKKLADRVSDEQVKRIELMVSDVDARDTEDEVFEEAIDSSKPESFQADDGLHEDLPSEEVKVPEVNGESHGEAGAGNVSETATLSFSENGIVSPEKKAVLLSFGSEKEEKKLGDNRIIHDQVENNILLVSDVVFVEAIVGFQADDGLHEDLPSKATPEHSMDDLEEENSNDKEVKDSKVSGETHGEANLQHITEGEAAPGFVTSKMNGDEGESGKQPTLGRVLGLSSVLWRRNGWRFRVDQHKNRLNHQKLENCEPERKAADFRVDQHKNRLNHQKLENCEPERKAADFRVDQHKNRLNHQKLENCEPERKAADFRVDETYKFPYIPLFLQLGSINVLTAYVAILSEKEVALVRKKIDFVNKEFSTSQSVKLPPPAKNPQGDLEKHAEATWHFFPSQQGSEKTTRRYSLPYSGVLWKLYKKRNHSLLSTPISKIFNS >A10p020680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14024404:14026173:-1 gene:A10p020680.1_BraROA transcript:A10p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSISRRFGSRVLSYRSSSVASLHSHATSFGFQQVKEEEKSKLVGNVFTNVASSYDIMNDVMSGGLHRLWKERLVGKLSPFAGMKHLDVAGGTGDVAFRIFDAVNSVKRRALRKVDEDSLEETQIYVCDINPNMLNVGKQRAAERGLGDNKSLVWVEGDAEALSFDDNSMDGYTIAFGIRNVTHIEKALAEAYRVLKRGGRFLCLELSHVDIPVFKDVYDLYSFKVIPNLGELIAGDRESYQYLVESVRRFPPQEKFATMIADAGFEKVEYENLVGGVVAIHSAIKL >A10p014970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3870584:3883582:-1 gene:A10p014970.1_BraROA transcript:A10p014970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNCGELKSTNDSELPWMLKGSKSSTRRDEKAIVRVADSYVENKDKSSSGTMANESEPGKLLGKHVAERVRHVPMKKRGMMVASMSPRKSCGRRGISEHRPEINHVWKLNPKNDSSGPDFSGIALLADAACNLRNDLAPAVDRLTSEEPVVQQQDGSTIFPHAVGSTDQVGRGKKDYVAPEKSSLDLAAKGIASVGGMIIATKGASESENFAPDSGVVIKSDKSSVSEATEKKNLRLHWDLNVSMDAWGPPCDVENDASEKDVKGAITNPMPPRVSPPIDGFVVSAGQEKFSSACGPKAEAAARNGNKFKSGYNSPLEDGELREPYRRGKNKVEDEGFYSMAENNDNKMNDSGKRILAETKLGPLERKSHDALRIGEAHNRRDVEKNDVARMNDLHLKKRSSSSSRRSDDNEELRMSPYKCFGRHERSSGRGYFSGSGSRPPYVLEPRHPENLGMMGGFDQSGSGSGQGSQPDGYVRKRFSKGGYRGGRFRRFSNGGDRLLRGRHGDNNQFYGRMHNWMSGNRRGRGNSPVFRRSRSRSPVPWNGGDRLSHPHDGFRAEERMMESVRFPFHERFLEDQEIGFMSPPRNRMPPLGFDERRSHDSGTNHNSFRGRRFGLGQRHDARRSLRRLNSGNINNCIPFRRQRRFDDVEDNTGGNMFEMRQQQTRRADVTEDGGDDVACSGFAKESRGCNQKQQRQREQRGMAKTNRGELKSTNDSELPWMAKGRKSSTRRDEKAIVRVADSDVENKDKSSSGTMANESEPGKLLGKHVAERVRRVPMKKRGMMVASPSPRKSCGRRGISEHRPEINHVWKLNPKNDSSGPDFSGIALLADAVCNLRNDLAPAVDRLLSEEPVVQQQDGSTILPHAVASTYQVGRGKKDNVAPEKSSLDLAEKGIASVGGMIIATKGASESENFAPDSGVVIKPDKSSVSEPTETKNLRLHWDLNVSMDAWGPPCDVENDASEKDVKGAITNPMPPRVSQPIDGFVVSAGQEKFSSACGPKAEAAARNGNKFKSGYNSPLEDGELREPYRRGENKVEDEGFYSMAENNDNKMNDSGKGILAETKLGPLERKSYDELRIGEAHNRRDVEKNDVARMNDLHLKKRSSSSSRRSDDNEELSMAPYKCFGRYDRSSGRGYFSGSGSRPPYVLEPRHPENLGMMGGFDQSGSGSGQGSQPDGYVRKRFSNGGYRGGRFRRSSNVGDRLLRGRHGDNNQFYGRIHNWMSGNRRGRGNSPVFRRSRSRSPVPWNGGDRLSHPHDGFRAEERMMESVRFPFQERFLEDQEIGFMSPPRNRMPLLGFDERRSHDSGTNHNSFRGRRFGLDQRHDARRSLRRLNSGNSNNFIPSRRQRRFDDVEDNTGGNKFEMRQQQTRRADVTEDGGDDVAGSGFAKESRGCNQKQQRQREQRG >A08p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14672563:14674328:1 gene:A08p022020.1_BraROA transcript:A08p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNIWTGILLSSSPPAPPASPLPVFLSHDSIFNSLMSCISYSFFQLYCSGSTVNATGRDLCGLVLLVGVHQSGPLLRGLLDNCFRHTSLHFFILHVCYHQLFISINLFNSTSAMKRLAEDPHRKRPQPHLLLTSCVNPATGVDGILFRRPDLFLQSLISRGSPHRFRLVLQIHNVPYQQFKSSWAWPSTLAEAASPVINLLKSRNLQHIVSQSISVLVSLVNFKSFDGFIEVFILSLLQYHSVSKQFSVDSPDLLSFSSSSLVEDKILQSCLHSMNGDVLSDPFPSYCFSLLTGLFPYVAVCTGPEGAIKITSVFLVGEGCLLTSLVTKSQRSDFSGNALSTHSSHVSNSLSTSYEDLLCLIAFAVVVYGTTIDYYPDPDCASVYKQLAPKQSITMKGGFRIVKSVFSGLVPIVLLILSPNYSTGISSD >A07p016020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9880860:9883110:-1 gene:A07p016020.1_BraROA transcript:A07p016020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKVKQSVDPPPPITQPQQQQQQEVVLFAVSEETDLTPENLLYFHRRSFCFLDLHRLRLPINLLLPGKQATPPSRKFSSFKGREIDKSNPNLGFDCVFDPSFDYSMSSAQDPFYIVKEEIQDSIDKLQSAFHKWERISPGMGDQVHVTKELLANCGSIEWQVDELEKAVNVAAKDPALYGIDEAELERRRRWTSNARTQVRNVKTGVLAGKGSAGGGNASEVRRELMRMPNSNEANRYDQYGGRDDDGFVQSESDRQMLLIKQQDEELDELSKSVERIGGVGLTIHDELVAQERIIDELGTEMDSTKNRLDFVQKKVGMVMKKAGAKGQMMMICFLLVLFIILFVLVFLT >A01p028700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18115518:18119212:1 gene:A01p028700.1_BraROA transcript:A01p028700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGEESSSRVEESGGGMDASPCSSLHYSSCGESEFDRYCSANSALGTPSVCGSSGPFHDSFDSDFHNFTLGPTLKLSDGGFAPHNTNIIQERDSMDQFNDEDDDDDDDSLPDHSRQNLCFLQHKEESNDNPFLINSSTAFGTNDWDEFEREATQLNDSPFDERCAETEGMSTKLPGKGIEHTNLTVLNQREQGGVARDSITVRGSSDLSVDIKTLVVPPQESLQDSFLSNNRTEDRPVAMNYLQSCDSDDVLDITPVQLGNEDFSCGIGHLDGNVSFGLLHESFGNANLSVPFGECTSEPLLASSNSDKPSSTVSHPIINALQVTNAQPKKENTELNDFYDDFVHDMEDILLDSGESSGARYSKSDKMFQLQLSLPNRDGGQTATTSGLEDSSPIVSQRFRIDRVEVVGVKQKKGDVSLSERLVGVKEYTMYVIRVWSGKDKWEIERRYRDFYSLYRRLTSLFADQGWTLPTPWASVERESRKIFGTSPNAVAERTVLIQDCLNSLLQSRFFPTLPNALLRFLSPQDASSAGSDSIMSPTGSASDTFAATSSSYGNTISLIVDIRPHKSVKQLLEAQHYICAGCHRYFDDGATLVRDFVKALGWGKPRLCEYTGQLFCSSCHTNDMAVLPERVLHHWDFNPYPVSQLAKSYLDSIHEQPMLCVSAVNPFLSSKVPALNHIMSIRKRITIMLPYVHCPFRKTLNKGLSTRRHLLESTDFFALRDLIDLSKGPFAALPAIVETVRKKILEHITEQCLVCCDVGVPCNARQACDDTSSLIFPFQEDEVNKCRTCGLVYHKRCFSRLSNCHCGTQLNPIKSSAELQVSEKKSDSTSVLPLRFLSGLFGKTKKDTETTILMGSLPTNDL >A07p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10390204:10393101:1 gene:A07p017070.1_BraROA transcript:A07p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSELEENLFAASDAKLHRDMCKELSAVYCKVLSIFPSLEEARPRSKSGIQALCSLHIALEKAKNILQHCSESSKLYLAITGDAVLLKFEKAKSALIDSLRRVEDIVPSSIGSQILEVVGELEHTKFLLDPSEKEVGDRIIALLQQGKKFDNATDNSELEIFHQAATRLSITSSRSALAERRALKKLIDRARAEEDKRKESIVAYLLHLMRKYSKLFRNEITDENDSQGSPPASPTGNEDPAHHAFGRQLSKFGSVNFKKSGQVPTLPEELRCPISLQLMYDPVIIASGQTYERVCIEKWFGDGHNSCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGISVPAEPPESLDLNYWRLALSDTESANSKSVDSIGPCTLKAPETVPLEERSTIDKEEVNVADVDDEVTSEINVLEKYQDILAILEKEEDLAKKCKVVENVRLVLKDDEEARILMGANGFVEAFSRFLESAKMISCSHSQGPVTALYLNLSCLEKAKPVIGSSQAVPFFVKLLVQGEHTETQCKLDALHALYNLSTHSPNIPTLLSSNIIKTLQFLASTGDHLWIEKSLAVLLNLASSQEGIEEMISSQGMINTLATVLDTGDTIEQEQAVACLVILCTGSERCIQMVLQEGVIPSLVSISVNGSPRGRDKSQKLLMMFREQRQREQPSLNKEEAPRKSVSAPLPMSVSGQASSPEAEGKPLFKSISRRKTLTRPLSFLWKKSYSLHH >A07p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3801851:3804057:1 gene:A07p002470.1_BraROA transcript:A07p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVNSFDLWQKDVFFSAAEEVQKSADIMESAYRLWIREKKDDIFKELQAALGTAKWQLEEFEKAVRLSHRQCGDDSTSTTRHKQFVAAIENQIHRVEASLQETYSENGKEPLRWVNLSEEERDDLAMFLSGSSLTSHSFSSESSIKSNVAEVTNDVNGSECVIDIQESAKPRNAEKTAGTRRTWSSPDFSSLRIIVPGDNEQEEEKLVTQIESTPKVKGTKPVLWMQRLPDHTQLGCFQNPIRLSFNHPIKLTVSLMLMVFLLLPFVVYSS >A09p079360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58439324:58443895:-1 gene:A09p079360.1_BraROA transcript:A09p079360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMKFFVLAVFALSCVAKPTTRELYEEDLKLERQLKLVNKPSVKTVKMRPSNMNGLEEVEKEEGNNEIEVECPHGTVPIRRTTKEDLIRQKTFNQMFDSNVHPLTNSEPGGFAHFSLYQTPMVHQLQFSSGLIKVSNGTDFIKAGWTVNPTLYGDNRCRKFAYFHTRDQHCFNTNCPGFVVVNNNSPLDYAFPKVSEIAVRIMECRFYIYRDPQNGNWWLFTGKGKKQKALGFWPAKLFTDLAYNADQVYWGGELFTLPNSMTSPMGNGLLIKHVDDPRLYAYARDCSVVDAETQKVIGVADVNSEEVTDFDGWYGRRKAHIEKYWGHTIMFGGPAKLIKGN >A05p054810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31348984:31350403:-1 gene:A05p054810.1_BraROA transcript:A05p054810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSPSLNMSNGVGEGGRTQIRESQGKRKLFQGSDFAYAIARMAVAQICESVEVNVYQDSHTTREGLRFSSFQESALDKLTGVAVQYVQSIGKTAKLYANVAGRVEGNSLDVVQALEDLGSGVGFAGVSDTDRCLAGSSVVKDVIRYTGEAEEMPFVFALPRFPVNREKRPAPSFYEVGVEPPDEHIPVWLPAFPRTKSCHISEEANAGAIEVEVGSKENGPSLPSIQHSFNGGGLEVLKSPNDVRKSTEGEEEVEGNPFLTAPLRFVEKEVSPVFGPVELSINHVPDKNMSNNHRIPVLEASTPSDDINKENGFAESEDGEKKDGARPQQTLLRFKVGTLKRPSCLAIKRSVQEQGWFQEGGDKREKKLGTERIDLGTVVSDVK >A05p011850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5178361:5179200:1 gene:A05p011850.1_BraROA transcript:A05p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL28 [Source:Projected from Arabidopsis thaliana (AT2G35420) UniProtKB/Swiss-Prot;Acc:Q6NKR1] MASTTTSTTIPATEVFPSVTMPITVALTCVLLLVLVCGFISLFFWKCFLHRLFSAWTRQRTPYGDLIHVTTPPENIGLDPFIIKSFPVFLYSSSTMKNQCTECAICLSEFSDEDTVRLITVCRHGFHSICIDLWFESHKTCPVCRCELDPGQVGSGSHESLHSTVTITIQDLNHDQEDPPSASASKRLTRFSRSHSTGHFMVKMTDVNVKIKRRQYYQTGNSVMLEENAPSTSTSSSKRLREASAWRFSRSHSTGHFMGKAMYLNELTRYEGVEYGMAW >A01p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23293929:23295505:-1 gene:A01p035130.1_BraROA transcript:A01p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid transfer protein GPI-anchored 2 [Source:Projected from Arabidopsis thaliana (AT3G43720) UniProtKB/Swiss-Prot;Acc:Q9LZH5] MTNVAVIAAILITVLLSASVSEQMAPSPSSGPSGAPDCMTNLLNMTDCLSYVQVGNGGGAANPDKACCPELAGLVDSSPQCLCYLLGGDMAAQYGIKIDKAKALKLPRVCGVVTPDPSLCSLFGIPVGAPEAMGKEEASPAFAPSSGAESPEGLGSGPSASRTSDAPNTPYSLFLSVIIIPLAFAFHLYS >A01p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10332081:10333288:1 gene:A01p021230.1_BraROA transcript:A01p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g28210 [Source:Projected from Arabidopsis thaliana (AT4G28210) UniProtKB/TrEMBL;Acc:Q9M0I6] MTFYPLSSSSFCHNTRIPAISFSHLSRNYYLNGANKLTLAPPQFCNAGGSLRGEFPSVRLRVSTRGEKEDAQKGEVEDEAERFARRESTMPDRFRYLTKEAQDSPIIWPWFIALAFLVYAWRAVLFELSNWRKAVFAIGGLLGDLSKFALALVFHFIGDPITSLISLVETAIYSVRGFYSGIVAYTPVRELTTVILLASSVLAIGETVAPNSISKQPYLVTLAGLLGYAAVHGYISEPFFWTVLVGLYGYSRLLKKRDHVTSALPCAAVLAGVGEPWVRVVAITGYLALAMYHNSTKTSSEEDRQSLGKGPPVPLLAAALAIDVRVAANWAGYRHLTWMIV >A06p054770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28691743:28695328:1 gene:A06p054770.1_BraROA transcript:A06p054770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPEETRLRHKRDFIQFLENIYMDEIKALVQNKRHRLIVNVSDIHTHFRESESSSRILKNPIEYMQSFCDAATEATRNIDPKYLKEGEQVLVGFEGHFVSRRVTPRELLSEFIGSLVCVEGIVTKCSLVRPKVVKSVHFCPSTGEFTNREYRDITSHAGLPTGSVYPTRDDNGNLLVTEYGLCKYKDHQTLSIQEVPENAAPGQLPRSVDVIAEDDLVDSCKPGDRVAIVGIYKALPGKSKGSVNGVFRTILIANNISLLNKEANAPIYTPRDLQEIKKIAARDDAFDLLSRSLAPSIYGHAWIKKAVILLMLGGMEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADKGIVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDAGIDSMISEHVLRMHRYQNDRGEAGPDGNLPYGREEDGESEVFVKYNRMLHGKKKKRGQTNEKTLTIKFLKKYIHYAKHRIQPELTDEASERIAEAYADLRNAGSDTKTGGTLPITARTLETIIRLATAHAKLKLSRKVTKTDAEAALKLMNFAIYHQELTEMDEREQEERQREQSEQERTPSGHRGNQENVSVNVESETADPMDVDEPPSEQFSGTVSAARIESFERVFGQHMRTNRLDDISIADIETVVNNNGVGASRYSADEIMALLEKLQDDNKVMISDGKVHII >A03p040350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16810159:16812161:-1 gene:A03p040350.1_BraROA transcript:A03p040350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMRIGVLALLVALSVVEFGLASPNTVPAFLWSPHLQAANGELDEAVNYQVMSAKDLVGSVFTHGGWSNFLCSEKKVEQPVDVALVFIGRELLSSDVSSKRNSDPSLVNTLNNLFTASNFSLAFPYIAAPEEERMESLLLSGLKQACPHNVGVSNIVFSDSCLVEDGTIQKLSDLQSFKDHLLARRETRKEGETDLVVLCSEGSQSHSERESISELVSSVEQSGSKYTALYVSDPYWYTSYKTLQRFLAESATGNSSSVGVSTTCDELCKFKSSLLEGILVGIVFLLILISGLCCMAGIDTPTRFETPQDS >A06p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2465395:2468346:-1 gene:A06p007150.1_BraROA transcript:A06p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRDLLPSLAMVLVQIGYAGMNITSKMAMESGMKPLILVAYRQIFATIATFPVAFFLERKTRPKITLRVLVQIFFCSITGVTGNQVLYFIGLQNSSPTIACALTNLLPAVTFLLAAIFRQEAVGIRKASGQAKVIGTVVCVAGAMVLSFYHGHTIGIGESKIHWAYAQNITNHGSDSNGSNFFLGPFMIMAAAVSWAVWFIIQTKMSETFAAPYTSTLLMCLMGSIECGGIALISDHKLADWSLSSPLRLISALYAGVVASALAFCLMSWAIQIKGPLYVSVFSPLLLVVVAVFSWTLLEEKLYTGTFMGSALVVIGLYRVLWGKDREMNENQDEEENQKKVKQEQKVRSVVNEDIESRLPAVGGGAAAASGSGNGATRLVSP >A07p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20714328:20714889:-1 gene:A07p038990.1_BraROA transcript:A07p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSEIVEQELGASPRSKLSITSESSLASVASLSMPLIQEIVLSADIRCSDCQEKIADIMSRMIETYSILVSVLEKKVTLTCTYSGDRRVSKSYGEALLCKISIFKRRMFHSSRKTTTQCRLA >A06p020550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10064101:10068513:-1 gene:A06p020550.1_BraROA transcript:A06p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSVSFAEMGKKGSGGWFSTVKKKVFRSSPKDSKRENNISNNTAVRWQQQHDTQEVVSFENFPAESSPEISHDVESTASTPGTTVGERKHAMAVAIATAAAAEAAVAAAQAAAKVVRLAGYNRQTEEETAAVLIQSHYRGYLARRALRALKGLVRLQALVRGNHVRKQAQMTMKCMQALVRVQGRVRARRLQEKEKSEGMMKRERALAYAYTYQRQMQHTYDDETIGFSVNGLDRTQWGWNWLDHWMSSQPYTGRQTGPGSSPGPGPYNPPPYPPFPTAAATTAGTTTPDDVSEKTVEMDVTTPTSLKERIIGLTDREYVDFGSYRPAHKQRKSPSHIPSYMTPTASAKAKVRDQDTSVKIQGTSFMPYWNSSTKNGSINGSGCDSSSSGVVSTGYPGLRSPIPKMDFRKPVSPSQSPTGVGKRGWRHDL >A08p008020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5272959:5273228:-1 gene:A08p008020.1_BraROA transcript:A08p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHSNCQNFGTDCKDLIAMIKDPKAWPNFSTEVIQIILMCYSDFKITYVPRTQNEIADSLARNARSFHRSLCFIGCSIPVWLPRPPHV >A03p004980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2077410:2078240:1 gene:A03p004980.1_BraROA transcript:A03p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable CCR4-associated factor 1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT5G10960) UniProtKB/Swiss-Prot;Acc:Q9LEU4] MAETLKEDSITIREVWNDNLLEEFALIREIVDKFTYVAMDTEFPGVVLKPVETFKHNNDLNYRTLKENVDLLKLIQVGLTFSDEDGNLPTCGADDKFCVWQFNFREFNIGEDIYASESIELLRQCGIDFKKNLERGIDVARFGELMMSSGIVLNDSISWVTFHGGYDFGYLVKLLTCKELPIKQADFFKLLYVYFPTVYDIKHLMTFCNGLFGGLNRLAELMGVERVGVCHQAGSDSLLTLGSFRKLKERYFPGSTERYTGVLYGLGVEDGTNVAN >A07p016960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10353739:10354811:1 gene:A07p016960.1_BraROA transcript:A07p016960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDDREREMDPDAVAKAFVEHYYTTFDSNRAGLVSLYQEGSMLSFEGQKIQGSQNIVAKLTSLPFQQCKHNITTVDCQPSGPANGMLVFVSGNLQLAGEQHALKFSQGTRSLIDVELTRLFVKLCDALSDRPVIRSETLLFLFLMVKALNLVYVPFGIESGKLLRFQRHIQVELCLRIVVAWIYEPLFQAKRD >A07p045520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24703508:24708188:1 gene:A07p045520.1_BraROA transcript:A07p045520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDSYCELSNDVVDMEQSSDPVALGFSLASPDLVNCGVSPDIPRGSYVDSPEIAKRLRFSTELSLENGIDGRKTRSVKFSAINQTFEFELSPESSFELPSLPGNATTPVMSVNSGSINEDVSVGDVTFLKDEFFSGGGTVMTDDVVGSEEEVLLYQTARVGDFGYTFKALDPGDYFIDLHFAEIEFTEGPAGVRVFDIFIQGAKARLELFFVISGLDLFSQVGANTPFVISDLRMLVGVEGELTVRLEGVIGAAILCGISVRKEATATYGGDTGVLAVKGKTDTVLSLPPQENVDCGTEEETYEVRSDCEQHKKEMADMKRMVDELKQENQRKSRECEEALNSLCELQNELMRKSMHVGSLAFAVEGQVKEKSRWFSSLRDLTRKLKIMKMEQIKLLEEASTYKSLVQDINVFSSHIHSRVKHDAELHENLKAKFVEGEKERKELYNKILELKGNIRVFCRCRPLNFEEIEAGVSMGIDVESTKNGEVIVMSNGFPKKSFKFDSVFGPNASQADVFEDTAPFATSVIDGYNVCIFAYGQTGTGKTFTMEGTQDNRGVNYRTLKNLFEIMKERENRYSYEISVSVLEVYNEQIRDLLVPASQNASAAKRFEIRQVNEGSHHVPGLVEARVSTMEEVWDVLKTGSNARAVGKTTANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTEVQGERLKETQNINKSLSALGDVIYALANKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNEKDNSETLCSLNFASRVRGIELGPAKKQLDNTELLKYKQMVEKWKQDMKGKDEQMRKMEETMLGLEAKVKERDTKNKTLQEKVKELESQLLVERKLARQHVDTKIAEQHTKQQSEDENNTSKRPPLANMLLGSNKTSNETASSKETVSTSSYDLPPLPNGGLKCNDLTEKENNPDMAERLPIPKRTGRFSVGPKRILPAPAPRRSTLAPTPYLPITSTSPLRSSRQATITNSPDEKSGTNQVPCISPKLHKSNGKTLTSILRRSMQKRMQTKSSTPRQQQPLRRGGINVGMEKVRLSIGSRGKLAHRVQLTNARKAGLKETPLKQAQKEKERWI >A07p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15844100:15844728:-1 gene:A07p027870.1_BraROA transcript:A07p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIIGGPGTVCGLLLRIGQCASAAASIGVMLSSTEFYTRTAFWYAFYLIASMGLQLLWSFGLACLDVYALRGKKDLQNPILVSLFVVGDWVTAMLSLAAACSSAGVVVLFARDLKYCNIREQFSCLRYQVSVALAFVSWFQIAVSSHVTFWILASV >A10p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16207511:16213340:-1 gene:A10p025240.1_BraROA transcript:A10p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G21930) UniProtKB/Swiss-Prot;Acc:B9DFX7] MATNLLRLSLPPPSSLHFRSGKFLDAKFSKRIFPRYRRSRIQRHGSKPSFLVSNSIEITTQSIESSIESVKSVATDDKPVLLDVSGMMCGGCVARVKSVLMSDDRVASAVVNMLTETAAVRLKPEVEEAVADAAESLAKRLTESGFEAKRRVSGMGVAENVKKWKEMVSKKEELLVKSRNRVAFAWTLVALCCGSHTSHILHSVGIHVAHGGVWDLLHNSYVKGGLAVGALLGPGRELLFDGIKAFGKRSPNMNSLVGLGSMAAFAISLISLVNPDLEWDASFFEEPSLISTQSRLVITSSDNNTAADSVLSSDSICINVPVDEIRVGDSLLVLPGETFPVDVEEAQGNAAPVQRLADAIAGPFVYTIMSLSAVTFAFWYYIGSHIFPDVLLNDIAGPDGDPLALSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGYLIRGGDVLERLASIDCVALDKTGTLTEGRPIVSGVGSLIYEEQEVLKLAAAVEKTATHPIAKAIVNEAEALNLETPETRGQLTEPGFGTLAEIDGRLVAVGALEWVANRFHKKNDSSDVVKLENYLDRKLSSTSLTSRYSKTVVYVGREGEGIIGAIAISDCLRKDAEFTVARLQEKGIKTILLSGDREGAVATVAKNVGIESESTNYSLSPDKKFEFISNLQSSGHRVAMVGDGINDAPSLAQADVGIALKIEAQENAASNAASVILVRNKLSHVVDALSLAQATMSKVYQNLAWAIAYNVISIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHKSETSANS >A04g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6153952:6154200:-1 gene:A04g502610.1_BraROA transcript:A04g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLYVFVYSFASKAELVSKLKSVTVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGPKTF >A06p056810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29563428:29565363:1 gene:A06p056810.1_BraROA transcript:A06p056810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP1 isozyme 7 [Source:Projected from Arabidopsis thaliana (AT5G43380) UniProtKB/Swiss-Prot;Acc:O82733] MEAGALNSVISRLLEAREKPGKIVQLSETEIKQLCFVSRDIFLRQPNLLELEAPVKICGDIHGQYPDLLRLFEHGGYPPRSNYLFLGDYVDRGKQSLETICLLLAYKIKFPENFFLLRGNHESASINRIYGFYDECKRRFSVKIWRIFTDCFNCLPVAALIDDRILCMHGGLSPELRSLRQIRDIRRPTDIPDRGLLCDLLWSDPDKVVRGWGPNDRGVSYTFGSDTVSEFLKRLDLDLICRAHQVVEDGFEFFANKQLVTIFSAPNYCGEFDNAGAMMSVDEDLICSFQILKPNEKKSKFSFGSRGGVKTGFPYPQVKSILSSQSSKQYN >A04p015800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9790073:9790369:-1 gene:A04p015800.1_BraROA transcript:A04p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLAVISALIVQILGVQNVQPNTTLLCPKKTALDTVAGCFEAVKLASGSDTRLLTRECCQAVKALPECLLIVYPTRALNTLILKAICAKKFPGSTL >A01p020520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10070014:10071731:1 gene:A01p020520.1_BraROA transcript:A01p020520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRVLKFLGSLTMNPRHNEIAPLNTSSANSPRFPSPRTNGGGESGPRGGMPRPRGRILPPLLPYPQIPQTRPPMRLPTYRSSSRPVFSFGSQPPMTPAPSWLPPYYPPAPVANYNAALPPLPFTRPTTSVYSGGSRNGHRRRNRYNVPSSSSQRPRSADRMIYPRPIEMPFGVMMPNEAGNVMNVLLTDYMDPDKIFYDYNEDDLVITFGDSDNVKSSGSENRSEDTSGVTSVSMNSNLDCNESPKLPPSPGNLQGVTNSANRNENAFEIDKGAGHSHEIAPSDPNRAKRVLANRESSARSNAMKVHAARSRVGRKKIFICAVRRAQPSQVSCKRIAGAVVT >A02g501520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4533864:4534409:-1 gene:A02g501520.1_BraROA transcript:A02g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFKNMCRYTKSGFSKSSSTPQIGTLERVFSATPKSENQQPPPAEEDIVDDDLVSPFIYPSNLGYSRNSFEKKTEEEDADGSDSEKDQDEEDSDGSDSEKGQEEEADTNDIPMDHGNYNYRQGWNPQSGPFRRSPTYLPIHPSLSYGNDGCPVCSHSDCPGCKSCTGGSGICPGCGHYPG >A09p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6204830:6206453:-1 gene:A09p012100.1_BraROA transcript:A09p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSKRLALLGAQSALSIARPRGLGSSSSSAYGLIDRRPFQFRQISELTKVNGKRAFLVDTLALVRSLEAQGVPSKQAEAITSAITEVLNDSLENVSESFVSKAEMQKIEMIQDSNLSKFKSEVKSSQEHHFTVLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNSETTNLTNKLDREIHALRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRIMM >A02g502300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7409827:7410933:-1 gene:A02g502300.1_BraROA transcript:A02g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLSRLRLGKQGLLRRSSLLIFSNGFSSSSLHQTPPCNILGAKPCGEGLGKLIIKNANERDATELEKKVPMDLVYNNHGNDTIVGASHGWIATLKDDGILRLQDDLNPYASYTEPKHIPLPPLVTMRHCQTKIVTNVSMSSSCPDDDENCVVAAKFLGAQLSFCKPASQSSKPEWTNIRIKNPCFYSSRVVFSKKEKMFRILGYGGHLMGSWDPCKPSEDPKLQILHFQKIPKLPKATRELMDSCCKSEHLVESPAGETFLVKMYRKTSEISKGGIAKMKTRALMVFKIDEEGNAVHTQDIGDLVIFLSDSEPFCASAASFPGLHSNHVEFLEAFNEVAYIDLSGHAFISYIDSHFPAYYIPPQKI >A04p040880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23057869:23059758:-1 gene:A04p040880.1_BraROA transcript:A04p040880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKVVVSVASVLLLVGVAIGVVAIVNRNNDTPLSPQMKAVQGICQATSDKASCVKTLEPVKSDDPNKLIKAFILATQDAITKSSNFTGKTEGKLGSSISPNNKAVLDYCKRVFMYALEDLGTIIEEMGEDLNQIGSKIDQLKQWLTGVYNYQTDCLDDIEEDDLRKTIGEGIANSKILTGNAIDIFHTVVSAMAKLNIKMDDFKNMTGDFFSSSEKGAAPVDKKATPAVDTPVADPDGPSRRLLEDLDDLGIPRWVSGTDRKLMANAGRGEKGGEGGARIKATYVVAKDGSGQFKTVQQAVDACPQKNPGRCIIHIKAGIYKEQVVIPKKKNNIFMFGDGARKTIISFNRSVKLTPGTTTSLSGTVQVESEGFMAKFIGFKNTAGPMGHQAVAIRVNGDRAVLFNCRFDGYQDTLYANNGRQFYRNIVVSGTIDFIFGKGATVIQNSMIVVRKGNKNQFNTVTADGNEKGLSMKIGIVLQNCRIVADKKLQAERLTVASYLGRPWKKYSTTAVINSEIGDVIKPEGWKIWDGESFHKTCKYVEFNNRGPGANTNKRVDWVKIAKSASEVNQFSVANWLAPVEWIQEANVPVNLGL >A02g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2801374:2802077:-1 gene:A02g500890.1_BraROA transcript:A02g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIEEDLSPLPEADTGGVTVIESSTPIAETASSKTNSALQPKDATTRACSIQYETSISLLGEDMSPPLPEADKDGGVIVTESSTPIAEAASSKTNNGSQTEHIQKPDDKSTKPVTLRFSRDCFLYKAWYVVVIYNATNQMS >A05p055420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32791545:32792458:1 gene:A05p055420.1_BraROA transcript:A05p055420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRFNLQQSPFQLRPRRTIRPRITNISNQTEETDPRPPSSAGKIQRLVLNNEGRTKLNARPDREFYSYPRFVNHVDDGFISSLTDLYRNRLRSGSIVLDLMSSWVSHLPEEVKYEKVVGHGLNAQELARNPRLDYFFVKDLNEDQKLEFQDKYFDAVLCSVGVQYLQQPEKVFAEVYRVLKPGGALIVSFSNRMFYEKAIRVWRDGTEYGRVQLVVQYFQSVQGFTQPETIRQQPPPGGGAEKPVLNWFMGLIGLASRPDPFNAVIAYKNFKPLYE >A01g503180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10221710:10221967:1 gene:A01g503180.1_BraROA transcript:A01g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFFTIASSFSEPRIQIRSSKRTSLSLQYSIPYKANSRSRRRLVVSSVSAPKVELRTGPDDLISSLLSKVCIGFSQIHFQF >A10p039290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21779251:21786937:-1 gene:A10p039290.1_BraROA transcript:A10p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate synthase 1 [Source:Projected from Arabidopsis thaliana (AT5G04140) TAIR;Acc:AT5G04140] MAMKSLSPVPKLLLSTTPSSVLSSDKNFFFVDFVGLYCKSKRTRRRLRGDSSSSTSRASHLSRLSSVRAVIDLERVHDKDLSSPSYLKPQVANLEDILSERGACGVGFIANLDNIPSHGVVKDALIALGCMEHRGGCGADNDSGDGSGLMSSIPWDFFNVWAKEQGLAPFDKLHTGVGMIFLPQEDTFMQEAKQVIENIFEKEGLEVLGWRDVPVNAPIVGKNARETMPNIQQVFVKIAKDDSTDDIERELYICRKLIERAVAAETWGTELYFCSLSNQTIVYKGMLRSEALGLFYLDLQNELYTSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSREASLKSSVWNGRENEIRPFGNPRGSDSANLDSAAEILIRSGRTPEEALMILVPEAYKNHPTLSIKYPEVLDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWKTSDNFVYVASEVGVVPVDEAKVTMKGRLGPGMMIAADLVNGQVYENTEVKKRVSSLNPYGIWVKENLRFLKPVNFKSSTVMENEEILRTQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAGLSQRPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILELGPENASQVILSNPVLNEGGIEELMKDTYLKPKVLSTFFDIRKGVEGSLQKALYYLCEAADDANGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVALMRNGKIPTVTIEQAQKNYTKAVNAGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFTGSVSKISGLTFDELARETLSFWVKAFSEDTTKRLENFGFIQFRPGGEYHSNNPEMSKLLHKAVREKSETAYAVYQQHLANRPVNVLRDLLEFKSDRAPIPVGKVEPAVSIVQRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWKPLTDVVDGYSPTLPHLKGLQNGDIATSAIKQVASGRFGVTPTFLVNADQLEIKVAQGAKPGEGGQLPGKKVSAYIARLRSSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQINPNAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLIENGLRERVILRVDGGLKSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGILAQLGYSKLDDIIGRTELLKPRDISLVKTQHLDLSYLLSSVGVPSMSSTEIRKQEVHTNGPVLDDDILEDPLVKDAIENEKVVDKTVKICNIDRAACGRVAGVIAKKYGDTGFAGQVNLTFLGSAGQSFGCFLIPGMNIRLVGEANDYVGKGMAGGEIVVTPVDKIGFVPEEATIVGNTCLYGATGGQIFARGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYLLDEDDTLLPKINREIVKIQRVTAPAGELQLKSLIEAHVEKTGSSKGETILKEWEKYLPLFWQLVPPSEEDTPEASAAYVRTATGEVTFQSA >A01p055990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31593888:31595075:-1 gene:A01p055990.1_BraROA transcript:A01p055990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA5b [Source:Projected from Arabidopsis thaliana (AT3G07410) UniProtKB/Swiss-Prot;Acc:Q9SRS5] MGKEDNQGEEYLFKIVLIGDSAVGKSNLLSRFSRDEFDTNSKATIGVEFQTQLVEIEGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALIVYDITRSSTFESVKRWLQELNTHCDTAVAQMLVGNKCDLEDIRAVSVEEGKALAEEEGLFFMETSALDATNVDKAFEIVIREIFSNVSRKLLNSDAYKAQLSVNRVSLVNNGDGAQSSSCCSR >A04p022270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13435753:13440406:1 gene:A04p022270.1_BraROA transcript:A04p022270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ A6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22360) UniProtKB/Swiss-Prot;Acc:Q9SJZ7] FFFYSSLFLSLSRARTALPCPVDHLINKGELVLIELKTMASIQFGSTCVAQWSIRPHFALTASHPSSGLQSSTRQQNSMRSQINCLGASRSSMFSHGSLPFLSRNMQPRRGSRFTVRADADYYSVLGVSKNATKSEIKSAYRKLARNYHPDVNKEPGAEEKFKEISNAYEVLSDDEKKSLYDRFGEAGVKGAGGMGGMGDFSNPFDLFESLFEGMGGMGGGGGMGRGSRSRAVDGQDEYYSLILNFKEAVFGMEKEIEITRLESCGTCEGSGAKPGTKPTKCTTCGGQGQVVSSARTPLGVFQQVMTCSSCNGTGEISTPCGTCSGDGRVRKTKRISLKVPAGVDSGSRLRVRGEGNAGKKGGSPGDLFVVIEVIPDPVLKREDTNILYTCKISYIDAILGTTLKVPTVDGTVDLKVPAGTQPGTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSKEEKKLIEELADMSKNKTANSSSSSGVYLHCAKMGVTQFRSSQFAVRAYHPSRIKQNSMRSQINCLGASRSSMFSHGSLSMAGTSRNMQSHRANTCDFLFGTDNTDYYSLLGVSEHSTPSELKKAYWRLAKTYHPDVNKDPDAADKFIEINNAYEVLLDEMKAYDAAGRIRGLKAVDDICEDEVIIPIKRTCYDVYSLKTLMAKCTTCGGQGHVYTSVKTPLGMKQEALTCSSCNGTGHVFDLRTSDIS >A02p026860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13037965:13039247:1 gene:A02p026860.1_BraROA transcript:A02p026860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSDFQTFDPITQLAELNNTLNHFQTMFASPFSSSLDSLFFHHQQHQQFPEHFPGKSPENNGFHQGILLPNYNTHNNDDSSSGIDTKKRKTLMESVSTSENSVSDQTLSTSSAQVSTNGTKNSSLRRGKMSKNREEEKERVYVRAKRGQATDSHSLAERVRRGKINERLKCLKDIVPGCYKAMGMATMLDEIINYVQSLQNQVEFLSMKLTAASSYYDFNSEADAVDSMQKAKAREAVEMGQGRDGSRVFHSSSWTL >A05p035260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20009610:20010702:-1 gene:A05p035260.1_BraROA transcript:A05p035260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGWSNHFVSKKGINRLRYPTTIWRLGGAHINLKVKGHDGNEVFYRIKSSTQLKKLMDAYDFREHKQCGQIKMFSSTTENERN >A07p036420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19554886:19558520:1 gene:A07p036420.1_BraROA transcript:A07p036420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQNRSTLDLIEALSLSIYHYTYPMAGKRLIQDQESDQENKTEKRMKSLPLFGSVFGALNTENTMKSLSSALEPVLRKVVRQEVEYGISKRLRSLSRSPSFCVEARESIAPTLKLMFAKNLKKPIFTGSKIIDEDNNPLQIILVDDSNNDHSIAPVNLDRRPIRLDIVALHGDFPSGDKWSSDEFDRNIVKERDGKRPLLAGEVTLTMRNGVGTIGDIEFTDNSSWIRSRKFKIGVRVAKGSSDQGVAVCEAMTEAFNVRDHRGELYKKHHPPMLEDDVWRLEKIGKDGAFHKKLSAENINTVQDFLKLSVVDLDRLRQILGQGMSDKMWDVTYKHARECTLGNKLYIHRGPNILLTLNPICEVMEAAINGQVFSNEEALNQLNIKKLARQAYSKWDFLEVIERKTNEVPLLTQGHTMDQQYGANHYHNIEINKSYQQNGYALERSPNNLEMINEGYITTTPMEFGVCFNVTGSSSQSHMNPFENPHLR >A01p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22067321:22070695:-1 gene:A01p041280.1_BraROA transcript:A01p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCEKKLSKVIVPDKWKDGARNVTEGGGRKINKNKLLSKKNRWSPYGTATTKCMICKQQVHQDGKYCHTCAYSKGLCAMCGKQV >A09p071520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55163141:55167179:-1 gene:A09p071520.1_BraROA transcript:A09p071520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSSKSYCLLGFPLGVLFLFLVFSSFPMNESPHQQFFSSLTLPSLLDHTNALQSSSSTSSLSNSPPVSIKKRSNLERREEELRKARVAIRRAVKFKNYTSNEDMTYIPTGQIYRNSFAFHQSHIEMMKRFKVWSYKEGDQPLVHDGPVNDIYGIEGQFIDELENVVGGPSARFRASQPEEAHAFFLPFSVANIVHYVYKPITSPADFNRARLHRIFNDYVDVVARKYPLWNQSNGADHFMVSCHDWAPDVPGSKPEFFKDFIRGLCNANTSEGFKPNIDFSIPEINIPKGKLKPPFMGQNPENRTILAFFAGRAHGYIREILFSYWKGKDNDIQVYDNLTKGQNYHELIGHSKFCLCPSGYEVASPREVEAIYSGCVPVVISDNYSLPFNDVLDWSKFSVEIPVKHISEIKKILQEIPHDKYLQMHKNVMKVRRHFMVNRPAQPFDVFHMILHSVWLRRLNIKLPS >A07p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13081386:13083240:-1 gene:A07p022380.1_BraROA transcript:A07p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACTNGLSRVVSVSLLLLLLSFFFSLSSACSNGNCQMLESCSSATDCVPGLYCGNCPAIGRTKPICTRGQATMPTSIINGLPFNKYTWLMTHNAFSNANQPPLPGVQRLTFFNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLRGQCFNFTAFQPAINTLREVEAFLTQNPTEIVTIIIEDYVHRPKGLSTLFANAGLDKYWFPVSKMPKRGEDWPTVTDMVQENHRLLVFTSVAAKEDEEGVAYQWRYIVENECEYQSQTGDPGVKRGSCPNRKESQPLNSKSSSLFLMNYFPTFPVEKDACKEHSAPLAEMVGTCLKSAGNRMPNFLAVNFYMRSDGGGVFEVLDRMNGPVICGCETLAACQPEAAFGSCKNVTLQTRAPDMDSTAGTYSGSVQFSRSLAAVAYSPNTILVLCFSWLPLLVFLL >A03p016250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6460289:6462869:-1 gene:A03p016250.1_BraROA transcript:A03p016250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLVMSRFGYMFINVLVLVLSLMFLKLLLRCWILPVRAQKKLRENGFSGPPPSFPLGNLNDMKKLKTAMVMVEKSKSSTIINHDIHSTALPHFALWQQQYGKVFVYWLGIEPFVYVADPEFLSIMSKGVLGKSWGKPNVFKKDREPMFGTGLVMVEGDDWTRHRHIITPAFAPINLKAMTSMMVESISNMLDRWAIQINSGNHEFDMENEIIGTAGEIIAKTSFGVKGENGAQVLKNLRAVQFALFNSNRYVGVPFSNILAYKQTLKARELGKEIDGLLLSIINERKRSLVEGDDHHDLLGMLLKADKGKFTATELVDECKTFFFAGHETTALALTWTFMLLAIHPEWQDTLRDEIRQVIGDSEIEYNKLAGLKKMSWVMNEVLRLYPPAPNAQRQARKDIEVNGRVIPNGTNIWIDVVAMHHDPELWGDDVNEFKPERFDGSLHGGCKNKMGFMPFGFGGRMCIGRNLTTMEYKIVLSLVLSRFEISVSPGYRHSPKYMLSLRPGYGLPLIVRPL >A03g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1724387:1725519:1 gene:A03g500600.1_BraROA transcript:A03g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGSVGMYYFSGQDCVQGHVGDLKAGGVEKLFSRGSSVVRKQKEREESIWKQESSMNSYSILFLGGGFGYAKDTLTGEAYNWWNQLDANRIYFNDPAFTWKEVKMVMYTEFVERAQHIQKASTRRLVKPQVLQPATQREAVPQRQSSRPVHKPQVKRTQGEYSSTSKPPEVICYRCQSPGHLAKDCPTK >A09g516880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49662905:49666725:-1 gene:A09g516880.1_BraROA transcript:A09g516880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) UniProtKB/Swiss-Prot;Acc:Q9M350] MLHELLLALLGFTGDLIVDEREQLKTLGLDSPLSDESTFKLAPDISFIQPSERDLIERLIKLGFYYRELDRFANKSRNLSWIRSVTTVHPLERADELSKQTSSSGEKKPSVYRRAIANGIVEILSVYRSAVLHIEQQLLAETRPILATVTQGLNKFFLLFPPLYEVVLEIERDDIRGGQLLNVLNKRCHCGVPELRACLQRLLWHGHQVMYNQLAAWMVYGILQDPHEEFFIKRQEDGDLDHRSSQEEISEKLARTSVHETSLTDWHSGFHISLDMLPDHIPMRLGESVLFAGKAIRVLRNPSPAFQFQKDKSFQQTMRGSQRIRGFMHSDFSEKETELDADLTGEELLPQSEADKIEAMLKDLKESSEFHKRSFECTVDSVRAIAASHLWQLVVVRADLNGHLKALKDYFLLEKGDFFQCFLEESRQLMRFPPRQSTGEADLMVPFQLAATKTIGEEDKYFSRVSLRLPSFGVTVRSSQADLARSKLALTGKANLTSDTSVDGWDAIALEYSVDWPMQLFFTQEVLSKYLKVFQYLIRLKRTQMELEKSWASVMHQDHMDFAQHRKDGTKESISQQRRQGIRPMWRVREHMAFLIRNLQFYIQVDVIESQWKVLQTHIEDSQDFTELVGFHQEYLSALISQSFLDIGAVSRILDSIMKLCLQFCWNIENHESNPNTSELENISEEFNQKSNSLYTILRSSKLAGSQRAPFLRRFLLRLNFNSFYEATTRGLLNVVRQRPALPL >A09g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12073476:12075570:1 gene:A09g503810.1_BraROA transcript:A09g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding THNINISPSPAPNPAFYDDNNNVFDVTSFGAIGDCRTDDTSAFKMAWDTACMTTGVDSAVLLVPYTFCFLVKPTSFNGPCRTRLTLQIDGIIVSPDGPKSWPWNFKRQWLMFYRVNGLSIQGSGLVNGRGQKWWDLPCKPHKGVNGTTQPGPCDSPVAIRIFQSSDVKIKGLTFKNSAQFHVRFDNCDDVVVDSVTINAPASSPNTDGIHIENTHNVQIRNSVISNGDDCVSIGAGCFNVDIKNITCGPSHGISIGSLGVHNSSAWVSNITVTNSTIWNSDNGVRIKTWQGGSGLVSRIVFRNILMVNVRNPIMIDQYYCQTKNCANQTSAVIISDVLYANIKGSYDLRSPPMHFGCSDSFPCTNLRLEDVDLFPYKGQLFENPFCWNAYGSMQTVTVPPVNCLIEALPGC >A07p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22859156:22861841:-1 gene:A07p038950.1_BraROA transcript:A07p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PERIANTHIA [Source:Projected from Arabidopsis thaliana (AT1G68640) UniProtKB/Swiss-Prot;Acc:Q9SX27] MQSSFKTVPFNPDFYSQASFFFRGDSCLDEFHQPINGFHHDEAVGLSPNVTVAASNNLHYTTFDTVMDYGLRERLEGEDECLDTGELMYQRGTRLVGGGGGEVNSSLDKWCDSVSAMADNSQHTDTSTDIDTDDKSQLNGVNQGMLLATNCSDQSKTLRRLAQNREAARKSRLRKKAYVQQLENSRIRLAQLEEELKRARQQQGCSVERGASRENTHAPAGNGVFSFELEYARWMEEHQRLINDLRAGVHSQLGDNELRVLVDAVMNHYDEIFRLKGIGTKVDVFHMLSGMWQTPAERFFMWLGGFRSSELLKILGNHVDPLTDQQLIGICNLQQSSQQAEDALSQGMEALQQSLLETLSSASMGPNSSANVADYMGHMAMAMGKLGTLENFLRQADLLRQQTLQQLHRILTTRQAARAFLVIHDYICRLRALSSLWLARPRD >A05p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13812514:13813154:1 gene:A05p026190.1_BraROA transcript:A05p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSVAIQSLQGDLLRGQSKADLDALTSQLREEKNNALAREKEIKALRLKVKAQDEAEKMAASENEALRKELEGREEEVVELKLAKETFGAEKTMATDSWDPAVALEQYKTVKTTEVELLGLPAPSFNDEHEILWPAEAEKTPEPTDDDPPAE >A10g502840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7790326:7791699:1 gene:A10g502840.1_BraROA transcript:A10g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHLCLLCLLLSLVNLAASQDDATIMQSLKSTLHLTPDVDWSNPDPCKWVAVQCDGSNRVTRIQLKQKGISGTLPLDLQKLSELIVLEFFSNKISGPVPDLSGLTHLQRLNLHDNLFDSTPKNLFSGMNSLQEAYLDNNPFASWEIPETVKEATSLKNLSLVNCNLTGSIPDFFSSHTLPSLVSLKLSRNNMQGGLPASFGASSLQQLYLNGQNLSGSISVLQNMTSLVEVDLQANAFSGPIPDLSGLQSLRLFNLRENQLTGLVPPSFTGLKSLTVVNLTNNCFQGPTPLFKNSVAVDVIANTNSFCLETPGTPCDPRVEALLSVAESFGYPVKLAKSWKGNDPCGGSWLGISCSASNEVTVVDLGRQELTGTISPSFAKLTSLETINLSNNQLTGSIPTELTSLPRLRTLDVSNNDIHGDVPKFRASVSVVTTGNVNIGRVSPTSWKSFRNRI >A04p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4146936:4150467:-1 gene:A04p013030.1_BraROA transcript:A04p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVGVVVSDPELHSQFTQVELRTLKSKFNSNKTLLDRVTVGDLPPVLAKLNAFSGTFDADEIKSVLDKSYPDADQEVDFETFLRAFLSVQARGVEKSGGSKGSSSFLKTSTTTVHHAINEAEKASYVSHVNSYLRDDPFLKSYLPIDPATNAFFDLVKDGVLLCKLINLAVPGTIDERAINTKKILNPWERNENLTLGLNSAKAIGCTVVNIGTQDIAEGRPYLVLGLISQIIKIQMLADLNFKKTPSLFQLVDDTQDAEELMGLAPEKVLLKWMNFHLKKAGYEKQVTNFSSDVKDGEAYAYLLNALAPEHSTHVTLETKDPTERAKRVLEQAEKMDCKRYLSPKDIVDGSANLNLAFVAQIFQHRNGLIDDSSKSTSFAEMMTDDVETSREERCFRLWINSLGTATYVNNVFEDLRNGWVLLEVLDKVSPGSVNWKHANKPPIKMPFKKVENCNEVIKIGKELRFSLVNVAGNDIVQGNKKLLLAFLWQLMRYTMLQLLKNLRSHSQGKEITDADILNWANRKVKRVGRTSQADSFRDKNLSSGIFFLELLTAVEPRVVNWSLVTSGETEEDKKLNATYIISVARKLGCSIFLLPEDIIEVNPKMMLILAASIMYWSLQQQSDTESNVSEDATDEGDANSVTGEISNLSLDEASESSPSSQDQELVTKADEDDVDDGNNKDA >A03p049980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21466815:21467693:-1 gene:A03p049980.1_BraROA transcript:A03p049980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G60950) UniProtKB/Swiss-Prot;Acc:Q9FME5] MESLFSAMIVLLLVSSSCFTYSEALTSNKGNITIKWDLMTWTPDGYVAVVSAYNYQKQRSIPSPGWKMSWRWTRKEVIWSMVGARTTKQGDCSMFKGNIPHSCINKPTVIDLPPKTPYNQQIANCCKGGVLKPGLESAFQISVGQAGTTVKTVRMPVNFMFTAPKQQYICGPTKNVRPTTFITADKRRMTRALMTWNITCVFHKAT >A07g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10438192:10438921:-1 gene:A07g504900.1_BraROA transcript:A07g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRGNHIFSEFLLIYIVPRNFLGIFRGNSEEHMFGPRNIPRKFRGKTSVPRNFLGIFRGFSEETETLKAKAGIVGKASEYSEEIPRLFRGSRVFKPKTTFCGLNNTYITLIKCLRLIMKSKICLSETLI >A05p038860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23799266:23801728:-1 gene:A05p038860.1_BraROA transcript:A05p038860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM40 [Source:Projected from Arabidopsis thaliana (AT3G20000) UniProtKB/TrEMBL;Acc:A0A178V9B1] MADLFPPLVTAQIDDKPKVDEKVDYSNLPCPVPYDELHREAYISLKTENFEGFRFDFTKGLNQKFALCHSVMMGPMEVPSQSPDTTIKIPTAHYEFGANYADPKLMLVGRVMTDGRTSARVRADLTDKLMMKANAQITNEPHMSSAMFHFDYMGSDYRAQLQFGNSALIGANYIQSVTPRLSLGGEVFWAGGPRKSGIGYAARYETDKMVASGQVASTGLLLMNYVQKVSDKVSLATDFMYNVFSREAVASVGYDYMLRQARVRGKIDSNGVASALLEERLSMGLNFLISAELDHKKKDYKFGFGLTVG >A06p057180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29727924:29729091:-1 gene:A06p057180.1_BraROA transcript:A06p057180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIENKKQEEEEEESSTTTNTMAWPDIEEELVIPELLHSEGVKKLHMSIQNEWDYLQKSACQTAAGRALWKHVIHDPLAHLFAGETHLRNLHTKIQRDRLNNAREVSGVILAVRTLWFDTRIQAALDSFDNDATQVVLLGAGMDARSYRLNCLNKSDVFEVDFPDVLQTKTSLVQAAVSSREELKMTAKSLIDVATDIRDKDWFENLKKSGFVPEINTVWVLEGILYYLSHTEAMQVLNLIAEKCKVTSTVLLADFMNKPSASLPNSVFHFYSDWPDQLLPSLGFSHVKLSQIGDPDANFGLLHNPLNLFNKLLRLPRTAQIHPDDGTPCCRLYLVEASGSPPPDKSITNNVSL >A05p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1785239:1786061:-1 gene:A05p004600.1_BraROA transcript:A05p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGPMTQDWEPVVIRKRAPNSAAKRDEKTVNAARRAGADIETVRKYNAGTNKAASSSTSLNTKTLDDDTENLTHERVPTELKKAIMQARTEKKLTQSQLAQLINEKPQVIQEYESGKAIPNQQILSKLERALGAKLRGKK >A09p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14516187:14517066:-1 gene:A09p026570.1_BraROA transcript:A09p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRERKVDLAGPDVRPAHTRACRRRDRSPRGCGEGLMRWRGGSFRLRLDYGRGRLLQLRRRRFFFLREVEALFAPPLPVQCFERGRLSLAPPSSYSFRRVEATTALRCQLETRGDFGSLHGCYHRQEKIVQGVGGPEESAVSPMTLFMEDHRVLAAFRGGADQVEVVCDACRSEGADASTWHAASLLDASSPTASVGLYGLGRGPV >A03p015300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6071978:6072232:-1 gene:A03p015300.1_BraROA transcript:A03p015300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFSPFEALYAESNGFKMKLPGGQKQSSGDSQSPAAEQQKSYGRIPITSDDEKNKKEEKKIQPMRIAPELDGVHCFETILPF >A01p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2129030:2131648:1 gene:A01p004930.1_BraROA transcript:A01p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFGRENDDRVGVVVRDRVCNLTRNACLPMAKRVNTCKCVATPQDKIEYKTNVSRNLNMSKLQAGYLFPEIARRRSAHLLKYPDAQIISLGIGDTTEPIPEVITSAMANKAHELSTVEGYSGYGAEQGAKPLRAALAKTYYSGLGIGEDDIFVSDGAKCDISRLQVMFGSNVTVAVQDPSYPAYVDSSVIMGQTGQFNTDVQKYGNIEYMRCTPENGFFPDLSTVGRTDIIFFCSPNNPTGAAATRDQLKQLVEFAKKNGSIIVYDSAYAMYMSDDNPRSIFEIPGAEEVAMETASFSKYAGFTGVRLGWTVIPKQLLYSDGFPVAKDFNRIVCTCFNGASNLSQAGALACLTPQGLEAMHKVVGFYKENTNIIIDTFTSLGYDVYGGKNAPYVWVHFPNQSSWDVFAEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENILEACRRFKQLYK >A05g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11560359:11564231:1 gene:A05g504190.1_BraROA transcript:A05g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEIPRRLPRIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLISVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25854389:25854681:-1 gene:A02g509680.1_BraROA transcript:A02g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSSSFKTLPQLAKPPSCSVQVIQSSLKLPRLANIFNQHARDEAGEDMATNQPAIRKVIGGT >A09g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1960381:1965237:-1 gene:A09g500430.1_BraROA transcript:A09g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGSPRNLLGIFRGNSEELGELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQD >A02p001230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:579720:581342:-1 gene:A02p001230.1_BraROA transcript:A02p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRLISFLVLAGFYVGVGTGQPLVPALIIIGDSVVDAGNNNHRTTLIKANFPPYGRDFFAHNSTGRFSNGKLVIDFTAESLGFTSYPVAYLSQDANGTNLLTGANFASGASGFDDGTSLLYNAITLNQQLKNYKEYKIKVTNMVGRDRANEIFSGAIYLLSTGSSDFLQSYYINPILNRIFTPDRYSDRLMNFYSTFVHNLYNLGARRIGVTTLPPLGCLPAAITMFGGAGNNTCVERLNRDAVSFNTKLNNTSMYLANKLPGLKLVVLDIYNPLLSMVMNPVANGFFESRRACCGTGTMETSFLCNARSVGTCSNATNYVFWDGFHPSEAANRVLAASLLVQGISLIS >A02p013670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5964415:5967357:-1 gene:A02p013670.1_BraROA transcript:A02p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLTLHLLVARAGSDYVYVPSLIPLPVEGGSHCDLFPASASCKCYFFDNLGEFSGRRFGEVSQPSFSDVLRRRFIRLARTRGRRKSTRLSQRLRLSEPGYEEEAEHLKISIDFLLELAEPDSNSSSFSNWSHEAVDFILASLKKLISMGRNLEAVEESISFMVTQLIKGMCTPFKGNEVKQLETSVGFYGQHLIRKLGSDPYIGQRAIFSVSQRLSILAENLLVMDPFDESFPDMDECMFILKAVAALDERNGLYLLYMDRVTGELAKRVGQVTSFPEPTQNDYLSGHDDDQAGQTRKLGVFIRKRGGGGYRRARTTTSASATLFSGSFHMTACLVSSFLLSLLF >A06p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24458969:24464365:-1 gene:A06p045630.1_BraROA transcript:A06p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 5 [Source:Projected from Arabidopsis thaliana (AT5G27230) UniProtKB/Swiss-Prot;Acc:Q5XV31] MGSLIEKAMSELRLVDLSKRNFKQTLDSLQENAHSLILLSIQWKEIESLCDSSKALLEERAKELEAMDASLKVRALELEAKASDLEKKEEALGLEEVEKRKEDDKVKELEEKAKELEFKVRELQQKQRGGVTTVRSEFEPLVSLLAKNIGTNVSMPTNSSAFRLSGDDLVKRNQGLARTIPCLDPAKLVLDAVEGCFKEDFGKGGDRRGSVVDSCILLLEKLMEMKVCVTREVKQEATQLGIDWINKAIITGPKNDSLVLGCLVFLAAYGLDFVTTPEVLLHLSESFLLYEQAPRLFRRLGLEDNVSDVVETLKMKDEYVATLRFICEFRLSKLCPGWRPRTVLHEFLQSLTRVDRVTDETGNFLEVEKAKREKRKADAAMALDCIREKKAESLFNANALKELSLLAKKDSAPRATEPVRESCGNGQNKANAVEKSNAVSVIPCEQKNETKRQRLTEPITPCQNSTVNQLKVVPPSSGEKAVELVVNHPQPDAKATNPLSTEAEPNILSRSTNADTLRKLLEKQPPEESDISNAIKCFPDPAKLVLDTSMALCPKTPEGGYDFKLLVTSDRCLLLLDQLKKLPLQIEHPVKDEAKKLAVHWKDEISKSKTDELEAVCFLKFVGIFGIVSEFKANDLLALLDTSYWQTVSPDLCQFLGLDSAIPGFVQNLIKTGYRLKAVDYIYSLGMVHRFHPVSAIINDSMRITKESAEKSYRDANNEPAPQVAAVERQIKTLRAAIKCISCHKLESEFQLGDLEAQIKALLKRRRNLLAGPNSTVKQSQPNSAEVGSVTSNTPLEPSTTAASSSVTRPGSTSNEKRGQKRGFSENKQSSGRVTSTPRNNFQGNEGQSYRVDHHLSQRDFVFAEQSGFRLQAFQLKGLYYCSTFRHFNGLCVCRMRY >A10p033830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19737637:19739143:1 gene:A10p033830.1_BraROA transcript:A10p033830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVSRLIRYKSSVPQTRFVSASSTGGRYLSTDSNKIDEPFNVEEAETVHVPPPLTEKLLVLGGNGFVGSHVCKEALDRGLSVSSLSRSGRSSLQEPWATRVTWHQGNLLSSDLLKDALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAASEKGVKRFVYISAADFGLAKYLLSGYYEGKRAAETELLTRFAYGGIILRPGFIYGTRSVGNMKIPLGVFGSPMEMVLQQAKPLNQLPLVGPLFTPPVNVESVAKVAVRAATDPVFPPGIVDVHGIQRYSQQKSR >A03p065940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29176078:29189314:-1 gene:A03p065940.1_BraROA transcript:A03p065940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLNSMANPPLESPTNPHFFQPLLPGFDTHLTIPVAFFSKHIEGKNKQKTAKLRSHASDKTWEVTIDGRRLTRGWKDFAKAHDLRIGDVIIFKHKGDMVFNVTPCGPSCCEIQYAQSHLIKEEEKDNSDDDNENHCRTNKNLKPEREPKSSFAFDYCFVAQVTASNLRLDTLDLPMEAASSNALNKQCHEMIVVNKEGNSWTVSLRFRESSGSYYIRGGWRRFCRDNRRKIGDLMVFNLVGDGKTSPMICICPEEECSELVRKTKRRSNWVASSSSRRNRFVTISLTRYNFRSSKLILPATFMKINGIKKQNEIILMDKHGVKWATKLVKDGSKYGKRGLGKGWKDFCEANDVLKIGEPFLLELVWEDTLPVLKFCSKVKILPATSMNINGIKKQNEIILMDKHGVKCVTKLVRDGSKYGKRGLGKGCKDFCEANDVLKIGQPFMSELVWEDSVPITAAIIGLYLSKILRKQVHSIRFDTLNNNMIYDFSNHLCNKRALPYRPPRFFLSLQILTMTREHYLVFNLLFLVLVLLMQVGEGQQNRASDVKVGIVDDVGMAYSNMTLFCINMSLSDFYSSHPETRTRLVTTVVDSKKDVVTAAAAALDLITNKEVKAILGPWNSMQAQFMIEIGQKSHVPIVSYSATSPFLASIRSQYFFRATYDDSSQVHAVKAIIKLFGWREVVPVYVDNTFGEGIMPHLTDALQEINVRIPYRTVISPNATGDEISVELLRMMTRPTRVFVVHTEDLLASRFFAKAKEIGLMKQGYVWILTNGITDGLSLMKETETDAMQGVLGVKTYVPRSDKLEAFKSKWKNRFPLSDLSVYGLWAYDATTALALAIEEAGASNLIFVTTDDAMRNMSGLQGVSQYGPKLLQTLSKVRFKGLSGDFRFINRELQPSVLEIVNVNGHGGRTIGYWTKEHGLLKYVDQRQATTTTFTTWKDRLRPIIWPGDTTFVPKGWEIPTNGKRLKIGVPTNNHFPQFVKGTKDPITNSTIFSGFCIDYFEAVIQAMPYDVSYDFFPVEDMDYETMVYQVYLGKYDAVVGDTTISANRSMYVEFSLPYTPSGVGLVVPVKDNVKRSSTIFLMPLTWGLCLLSLLSFFIIAVVVWVLEHRVNPDFDGPGRYQISTILWFSFSIMVFAPRERVLSFWARLVVIIWYFLVLLLTQSYTASLASLLTSQQLYPTVTNINSLLAKGERVGNQRSPFILGRLRESGFSDANLVTYGSPEQCHELLDTEPAKGGVSAVFMELPYVRLFLGQHCNKYKMVQTPFKVDGFGFVFPIGSPLVADVSRAILKVEESNKANQLESAWFKKIDESCPDPLTSPDPNPSESFRKLGIDSFWVLFVAAAIVCVTALGKCMFHFLKENPDQRNLQGLWERFLQPDTKSYINQVKKCQCSINNHEEANNGRQMI >A02p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7314016:7323186:-1 gene:A02p016320.1_BraROA transcript:A02p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEQTRLLRVHKEIFRERTCQVDHRIKDTQPMIPQSPSELEDDARTKKKTPNLHEAFFYKIHYLQIRFELVPVMANLGGGAEAHARFKQYEYRANSSLVLTSENRPRDTHEPTGEPETLWGKIDPKSFGDRVAKGRPQELDDKLKKSKKKGRDAVADDTASARQSKRRRLREESVLTDTDDVVYQPKTKETRAAYEAMLSLIQQQLGGLPLNVVSGAADEILAVLKNDAVKNSDKKAEIQKLLNPAPDQIHQVFDQLVSIGKLITDFQEGGDSGGGKANVDEGLDDDDVGVAVEFEDNEEDGEESDPDMVQEEDDEEDDEPQKTGGMQVDAGINEEDAGDANEGTSLNVLDIDAYWLQRKISQAYEQQIDPQQCQVLAEELLKILAEGDDRDVETKLLMHLQFEKFSLVKFLLRNRFKIVWCTRLGRAEDQEERNRIEEEMRGLGLELAAIVDQLHSTRATAKEREENVQKSINEEARRMKEETVGDGGRGRRDVTDRDSESGWLKGQRQMLDLESLAFDQGGLLMANKKCDLPAGSYRTHGKGYEEVHVPWVSKKVDISEKLVKITEMPDWAQPAFKGMQQLNRVQSKVYDTALFKADNILLCAPTGAGKTNVAMLTILQQIESSRNEDGTLNHGKYKIVYVAPMKALVAEVVSNLSNRLKDYGVTVRELSGDQSLSGKEIEETQIIVTTPEKWDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKENIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLGQQYIGISVRKPLQRFQLMNDLCYEKVLAGAGKQQVLIFVHSRKETAKTANAIKDTAMANDTLSRFLKEDSASREVLQSQIDLIKNVDLKNLLPYGFAIHHAGLTRGDREIVEALFGEGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWMELSPLDVMQMLGRAGRPQYDEYGEGIIITGYSELQYYLSLMNEQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWLGYTYLYIRMVRNPTLYGLAPDALVKDVVLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTIATYNEHLKPTMGDIDLYRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKETLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLVRALYEIVLKRGWAQLAEKALNLSKMVGKRMWSVQTPLRQFHGIPNEILMKLEKKDLVWERYYDLSSQELGELIRSPKMGRPLHKFIHQFPKLVLAAQVQPITRTVLRVELTITPDFQWDEKIHKYVEPFWIIVEDSDCEKILHHEYFLLKKQYISEDHTLNFTVPIFEPLPPQYFVRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYVRLYQDFKHFNPVQTQVFNVLYNTNDNVLVAAPTGSGKTICAEFAILKNHQEVLLRQQDDTKRQSGPDTTTMRVVYIAPLEAIAKEQFRIWERKFGKGLGLRVVELTGETALDLKLLEKSQIVISTPEKWDALSRRWKQRKYVQQVSLFIVDELHLIGEGQGGTVLEVIVSRMRYISSQGENKIRIVALSTSLANAKDLGEWIRASSHGLFNFPPGVRPVPLEIHIQGVDISSFEARMQAMTKPTYTAIVQHAKNKKPAIVFVPTRTHVRLTAVDLMAYSHIDNPQSPDFLLGKLEELEDFVDKLREETLKETLRHGIGYLHEGLCSQDQEIVTQLFEAGRIQVCVMSSSLCWGTPLTAHLVVVMGTQYYDGRENSHSDYAVSDLLQMMGRASRPLLDNAGKCVIFCHSPRKEYYKKFLYEAFPVESHLQHFLHNNFNAEVVAGVIENKQDAVDYLTWTLMYRRLPQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKCIEIEDEMDLSALNLGMISSYYYISYTTIERFSSLLSSKTKMKGLLEILTSASEYDMIPIRPGEEDRVRRLINHQRFSFENPNCTDPHVKANALLQAHFSRQSITTNLEMDQREVLLSATRLLQAMVDVISSNGWLNLALLAMEASQMVTQGMWERDSMLLQLPHFTKDLAKRCQENNIETVFDLVEMEDEERQELLKMKDTELLDIARFCNRFPNIDLTYEVVGSEDVTAGKEVTLQVMLERDMEGRTEVGAVDAPRYPKTKEEGWWLVVGDTKTNQLVAIKRVSLQKKAKVKLDFQVPSEAGEKSYTLYFMCDSYLGCDQERCWILEKSILTFM >A01p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14410213:14411452:-1 gene:A01p039320.1_BraROA transcript:A01p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDAPARLVVFGTLVVSLDIDFAACGLVMLEVYSKGVIITSQTLNRVASEVAASVSRDLRLHSYVTSGGPSWLSSLINSEAAANDHAQGN >A02p034370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18650622:18651004:1 gene:A02p034370.1_BraROA transcript:A02p034370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALPLPTQCLLMTKKLLIPILTSSYNWFMIEHSVKNPSARVMRVSQKIGKDQLLYFQQRGIDHQRVLAAMIFRFCREIFNKLPDEFGAEVNQLIGIKLEGSVG >A08g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8093055:8095410:1 gene:A08g504910.1_BraROA transcript:A08g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWMDYSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRPVEPTIDRQSESDVDRHTPPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLASPPEPKPNPLNSSPEPVQENKETEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASVSILPRIMADQLEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKQPVDHFTAPNHCYPHFAFPPPSKIGHDNYSIGSWVDSGFHESFAVDTVITSSNEEHREEYDEDYWKEHTIEMSLHDERFETQKFKNTFPTSIAEVHSTSVDTHPRPEKQPLTSTDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFASPKPPQHTRADTQANKMNTLPSTSTGKSMKSNHLKNTSSAEITLPSIDASVSTLIDTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSRKNIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTETSIDRVTQTSIDRDDPTSIDRRYKFGNRAFDMYRARKFT >A09g513190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40134110:40135917:-1 gene:A09g513190.1_BraROA transcript:A09g513190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRPGLARVVAGVVWGASLGLLPAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPDRDHLPYLTEYPHGHGQTGFNRSGNGISAWINRMMYSALDKGHPTFTDFPLEKQHLWFRQFAVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMRRMYPNEVFPNIQDA >A07p035270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19094201:19095322:-1 gene:A07p035270.1_BraROA transcript:A07p035270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPISTNQGEELKKLIGSPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKKNGCVFL >A02p054950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33298642:33305352:1 gene:A02p054950.1_BraROA transcript:A02p054950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPHTRTPMGSGNWASSLWSRACFHTNLRIKDFSLQVKYPQYLGEYVDEHNCYSTKLHRGTYKAEPQKQMEKQAALRMLLTFTILCFFYYGVYGTASTEIDMKLRALNKPALKTIKMKPSVELGSKKISSPNNGFSKPVTIQTWSKSGRCPVGTIPIRRVSREDISRASSPSSFGRKTPHIHNILEKAHQHKTNFSLIAENLHSPRRDNRSEAIFFAVGSNYLGAQSDINIWNPPVQKGDYSSAQMWLLDSEMTESIEAGWMVNPRVFGDSLTRFFAYWTNDAYRKTGCINLLCSGFVQTSKHLALGAAIKPISSTSHEQYHITVKIYRDPQSGNWWLSTHDIVFGYWPSAILKHLQQSATVVQWGGEVYSSNVRKKPHTKTPMGSGQWPAQLWGKACFHTNIKIQDASMQLKDPPPLVEFSDEGYCYTTKFHQETNKSEPYLFFGGPGQDCVDIYKQPAFDHPALRNRKLQMKPSMELGSRETNSPKDGSSKLVTSQIWTQSGQCPVGTIPIRRVSREDISRTFSPSSFGRKPPRIYNTLEKAHQHKANTNSTARKKHNPRPKNRSEAMFFAVGSNYLGAQTNINVWNPSGVQKSDYSSAQMWLLAGDQSEMSEVIEAGWMVNPRVFGDNRTRFFAYWTKDAYRKTGCINLLCSGFVQTSKHLALGAAIEPVSSSGHEQYYITVKIYQDLQSKNWWLASHDNVYGYWPSAIFKHLPQGATAVQWGGEVYSRNVRKKPHTKTPMGSGESPIQLFGKACYHTFITIKDASMQPKYPMPLAEFSDENQCYTTILHKATNVSEPYLYFGGSGQSPLCR >A01p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27774246:27775959:-1 gene:A01p049460.1_BraROA transcript:A01p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSVLLFDLKTGRSSSTVQVRLLRFLEAMNVCRGGELMGVDMLLLDSQANYLTPLSAVKMHNQQEKMRGDPRVVVATSVNPKMVGEHLLPIHPVGHLFLNATSGTHIYFDKETAVGESFFYMLVAQDTGLTPATPRLRGYANVESLSIAELNNFVTTAPSQEIDFVCTGRVTGIKVEKGWCHVSHVCLATILMQLIYRVEMSVADETGEALFVSFDGVLTKLHNMGALYNLNK >A02p049070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30476794:30478412:-1 gene:A02p049070.1_BraROA transcript:A02p049070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor GT-4 [Source:Projected from Arabidopsis thaliana (AT3G25990) UniProtKB/Swiss-Prot;Acc:Q9LU92] MFVSSDKPRSPIDFYKDDDDNNNSPSSPTSRHINMMIDGDLQPPPHQILLGDNSSSEDHEINTKAPKKRAETWAQDETRILIALRREMDGLFNTSKSNKHLWEEISSKMREKGFDRSPAMCTDKWRNLLKEFKKAAKDQQVSGKMSHYKEIEDLLRERSKKVTTSYKSVTAPSKVDSFMQFTDKGFEDTGLSFASVEANGRPTLNLERQLDHDGHPLAIASADAVTANGVPPWNWREPAGNGKSSDGQPFVGKIITVKYGDYTRRVGIDGTAEAIKEAIRSAFRLRTRRAFWLEDEEQVVRSLDRDMPLGNYTLHVDEGIAVRVCHYDESDPLPVHQEEKVFYTEEDYRDFLGRRGWTCLREFDGGFRNIESMVRNVDSMDDLQPGVLYRGMR >A03p054420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23371263:23373479:1 gene:A03p054420.1_BraROA transcript:A03p054420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSKKKKKKEKPILSKEEKNAKKALKKKNQKERLMRDGYTGKLELHAIVGYKGKLELHTQPSKNTRGHREVTHLPKVTSSSSSLSEKVKNCSSIHRVAIVFHSNSSLVIEINRAVDNTYNNYQSPLVAFRAVDKN >A09p062720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51172724:51175487:1 gene:A09p062720.1_BraROA transcript:A09p062720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVRSSTNLNSQLHYIRAVKDGSIRIVANVVANGKSKLKFRPLVDVYNRGNTEDEVPISRVVRELENDSEMTQGAEVDANTDTEDFDMTLQKVRKQCREKKRKLGNRGDTETVAQVKVKQEYSTLHTEDEECEVEEPLSRWNTKFSKRRKKKQECKSKCGSTSSPSAKEVDLPVFCDVKLEASWDELVTVDVNVENQTEDEGCDVEEPLSSWNTKRSKKKQKLKTKCGSTSTPSVEKIDLPVLCDVKPEASWDDSYLVPAAMYIIPTNPLLDSDKEPESISNKELVEEIVHDFSKDAIPVLHRSPEPNSLGIVAIEEPITTKPVDKTVEDASEEFIEARKAPCCLVGNFALENVLCGSASREEDELETTGYVKNLSYSNTSSVTEEVREDEESNVSKPNVDMITTGLEIMKLDAPEVLASLDMTIIGSEIVKADAPQMLATDISDSLTVDYGVRNTELVWEDEDIANDELHEATDILPLTSCNDLMNNLHSAPDDSTVSLEEDHLPERLQQSSSSGNVVDEAGDNKSSQLFQAPTDEAKTAEESDSIQQQELHSQPEKLLSGRKTLSPTSQANLCKAMEHSDSSEKMCKKSKGKLYFSSHNSHRILKAHGLDSIDRVEVVPNPKQAIRKAKINTRQTQYQRATNKFSRRDTQAAKTQPFSTGRTSLQGCTEKAIAFSQGQMRDFQYITAKLTKELKSMRQITKRCLLAESNPSIMPECNLDEVKTLIGKAEKTEESSKKWLSMIERDCNRFCKLMGMVKEDSSDTDNIVQKKKKIKFADDAGGDLCHVKVFEIDLESES >A07p050040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26683452:26686081:1 gene:A07p050040.1_BraROA transcript:A07p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGFAMLSILVLALFASSIRSEETETKEFVLTLDHSNFTDTINKHDFIVVEFYAPWCGHCKSLAPEYEKAAAELSSQSPPIFLAKIDASEESNKGIANDYKIQGFPTIKILRKGGKSIQDYNGPREAAGIVTYVKKQSGPASAEIKSADGAGEVIGEKSVVAVGVFPKLSGEEFDSFMALAEKLRADYDFAHTLDAKLLPRGDSSVAGPVVRLFKPFDELFVDSKDFNGEALEKFVKESSIPLVTVFDKDPSNHPYVSKFFDNPATKVMMFVNFTGETAESLKSKFREVATSSKGQDLAFLVGDAESSQGALQYFGLEESQVPLIIIQTPDSKKYLKANVVVDQIESWMKDFKDGKVAAHKKSQPIPAENNEPVKVVVAESLDEMVFNSGKNVLIEFYAPWCGHCQKLAPILDEVALAFQNDPSVIVAKLDATANDIPSDTFDVKGFPTIYFRSADGKVVVYEGSRTKEDFISFIEKNKPASHSEESSTTVRSGEHKTEESAAKDEL >A07p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1790667:1795348:1 gene:A07p006130.1_BraROA transcript:A07p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLVKKDDDLDDELEFSPFMGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPGSDEVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSAALVSGLHMLKSNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVGSLTKGAVRSPLAQCLLIRYTSQIIRDMGNHSQSGERPFYDFLESCLRHKAEMVILEAARAITELDGVSSRELTPAITVLQLFLSSPKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVERLMKQITNFMSDIADEFKIVVVEAIRSLCVKFPLKYRSLMNFLSNILREEGGFEYKRAIVDSIVTIIRDIPDAKENGLLHLCEFIEDCEFTYLSTQILHFLGIEGPNTSDPSKYIRYIYNRVHLENATVRAAAVCTLAKFGFMVEALKPRITVLLKRCIYDSDDEVRDRATLFLSILGNDDGLVETDNDSREFLFGSLEAPLVNMETSLKNYEPSEEAFDVDSVPKEVKSQPLAEKKAKGKKPTGLGAPPPAPVSGFDAYERLLSSIPEFATFGKLFKSSSPVELTEAETEYSVNVVKHIFESHVVFQYNCTNTVPEQLLERVNVIVDASEAEEFSELSSKPLNSLPYDSPGQAFVAFEKPEGVPAVGKFSNTLTFVVKEVDPNTGEAEEDGVEDEYQLEDLEVVPADYMVNVGVSNFRNAWENMDPENELVDEYGLGPRESLGEAVKAVTDVVGMQSCEGTETVASNARSHTSLLSGLYIGNVKVLVKAQFGMDNRKEIAMKLAVRADDQSVSEAIHAIVSGG >A10g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5546918:5547959:1 gene:A10g502090.1_BraROA transcript:A10g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFTFLADQKVGRCSNNEEAIQHVSWTITEFSFSVCEICKKSNGVSAKEICVILELSSNLPRVLEVCTSAIPQAFLDGTDTNPSRLTEEGTNVPEVALPEVVVPGRDDKDGLMLPVPDNLTYHFGFLFVFDDLNVPNGIDYLTAHVEPGTKLEFFMSFASTLSHETDNSL >A07p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2873628:2876271:1 gene:A07p004370.1_BraROA transcript:A07p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYSSCRIMLLGLIIIVISSWVLGKAQAFGEFGFEFHHRFSDRVVGALPGDGLPSRGSSQYYRVMAHRDRVIRGRRLATQDQSLVSFADGNETVRVDALGFLHYANVTVGTPSNWFLVALDTGSDLFWLPCDCTNCVRELKGPGASSLELNIYSPNASSTSAKVPCNSSLCTRGDRCASPNSNCPYQIRYLSNGTSSTGVLVEDVLHLVSNDKNSKAIPARVTLGCGQVQTGVFHDGAAPNGLFGLGLEDISVPSVLAKEGIAANSFSMCFGNDGAGRISFGDRGSVDQRETPLNIRQPHPTYNITVTQISVGGNTGDLEFDAVFDSGTSFTYLTAEAYTLITESFNSLALDKRYQTTDSELPFEYCYALSPNKDSFQYPAVNLTMKGGGSYPVYHPLVVIPMKDTDVYCLGVMKIEDISIIGQNFMTGYRVVFDREKLVLGWKESDCYTGETSARALPSNRSSSSARPPASSFEPEATNIPSQRPSTSSSSYYSLSLTVSFFYFFSVLAFL >A01p009270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4474350:4474801:1 gene:A01p009270.1_BraROA transcript:A01p009270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKCNFMDQTASFLWSEIGNDKTTLFWFDNWLQVEKLLNITGASGTQVLGYRTVSEAASGGEWNILQCRGYHLWAMIACINSVPAPAEDAVADLHGTEMRITN >A05p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9013453:9016689:-1 gene:A05p019150.1_BraROA transcript:A05p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELEKRLLEAGKALLLLPSSVDTLLHLLDKLYICLVEVEQSPPSSIQDALSPLKKALVDERLFKHWNVHVRVHVASCFIQVTRINAPTAPYADEQMREVLKLIVSSFEHLDDKSSLSYTKSTSILNTVAKYDVSYLMLDPVYDALCIEMFQHFLKALRDDHPVEVFSDMENIMTHVLKESDDLPPKLLAPILHYVNETDEVPSISRRLAEKVLLNCSTKCQTYLAEAVKSSGVSLDKYSNVVAFICEGASSDIPKKKDDDEPQQLDSNAINSGLDEETGRAVNQKKKESSMEAKPSAATASASQEIGTAQAKLTKESGKKIASASNAKPTVPPTKRSTSEIKATKQSERPISLGDNKKTIVSSGKSVSKSKTEVMQQPSEKTLANTNAKRKHSLDTEKAFDDRKYDKTLVGSRIRVWWPLDKMYYRGEVTSYDPSRKRHMVVYEDGDQETLDLKNHNWYLVEASKSSKHKDKQKAAEVSNREQTGAPKRRPNLSLPHEEDPAEAETQARKRARVQSHSLHTSHGEMEKPTAEGEPFCHHRKPGSELGHSQTCSITHQLGKVKQSITDTITSVRKFGSEVETKEQTIVDMLTSVRQFCSQLETKEQSIVDMLTSVKQFRSELETKEQRIVDTLNSVQQFRSEIKKKEDNLVASLHEVDVLGEKISGINKILNS >A01g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23044236:23049466:-1 gene:A01g508120.1_BraROA transcript:A01g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDCVLHDDAVSDCPYRTFDNDGDANSLVSVSLSDSLSKLVAHDSFVCADSSSPSTPAPLPTPSFDATPSGSSFETDLSEGSYDQTPVHMPLSPDPYFMDIEVDVPHSPMPRGRGGRAFRGGRSGDPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGERGHYATSCPHTHLAQPVVTSAQPVVPVNPPLPLPPTKRQATAGRAYALELPGPSGPPQVASCLLSAKLCYELNPQVKQPQLVFNPPPAASHVQNPAEKPREFQSEVQPQAYLGEEDQLRPSSPLVCLGKLWSPSLTKVWSFASPILSIQSLGPFSFVQEELKSCPSQFQDCSLGESRQMLR >A09g506060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18410331:18410552:1 gene:A09g506060.1_BraROA transcript:A09g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPASSFYEAQTGEVILEAEELLKLRETITRVYVQRTGKPIWVVSEDMERDVFMSATEAQAHGIVDLVAVQ >A05p001260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:133969:136196:1 gene:A05p001260.1_BraROA transcript:A05p001260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RFI2 [Source:Projected from Arabidopsis thaliana (AT2G47700) UniProtKB/Swiss-Prot;Acc:O82239] MKYCWLNDSGTWNKIKLYRVQEKKGKRNGETNVIVWFSTRIDMTYSICKKIIITASAFEKADQFGESDGDDFCLTFFENQIFFVVLCSIRLRLGGDMAGANDDDYDRNNRRIANQSTDSAADVPGKRGNEDSSSSLVEVSCSICLELVVDDGSRSSAKLQCGHQFHLDCIGSAFNMKGTMQCPNCRNVEKGQWLFANASTRLFPEFVMEDWIPEEDLYALSYPEMQYRVHWCPFGELSQAGSFEELEPSAPTYHNEFHGHHAVAMNHSYPAYVAPGPASTPRTSDNSNNPDDHPWNSHSSDHFHQLSVAPHYHHHHHHSTSFSLPGAHVIDGEIDSSAARGISHPHPFLFGYRSNPRTSPAINTQQGSSSAQMREHLHTQQQHHVYNNQRQHHVNGPNLASPLVSMTRRGLQPLPMPDQNVGFFLYPPPPQPPSTSGGHREPESDQFHPWERDWFPHFPIPSIHRTISSFWHRHF >A06p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6615826:6617132:-1 gene:A06p014820.1_BraROA transcript:A06p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGWMQNKRNAKYENHNRTSTSSASSHHLKQEPREEFSDWPHALLAIGTFGSTSNGVSDTKSKNVHEEIEEEKEAISQPEQEEEPSSSDDIDDFTPEEVGKLQKELIKLLSRTKKRKSDVNRELMKNLPLDRFLNCPSSLEVERRISNALCAVVDSSEGNKDEDMERTINAILGRCKEISIESKKKTDISNKSFSYLFKKIFVCSDGISTSPSPSLRDTLQESRMEKLLKMMLHKKINAQASSKPTSSTTKRYLQDKKQLALKSEEEEEETNERRSSSEGHKWVKTDSDCEFSSNIH >A02p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2428395:2429869:-1 gene:A02p005590.1_BraROA transcript:A02p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSLSITREEFNAFHKFERDLFTRIVISLRRDISLSFEIMCFLIYLEKSVFMSKLIFNLVSLPDLFINSVVDEVVMCLSCLSYENFPTFVASLKNINSLSIPWIRRMTRQNFTLEGIHQKREDILLEMKKHLTSICYPAFEDICVRFEMQNKEKMHTSSHFLCGQQATTNHAAGTSNVEGQQLTAEDRTVFLTFSKGYPISEVEVYEYFTRRFGDIVEAIHMGGVGGKDLQALYARMVLRSAAKIPEIVKDEACPTKFTINGKHVKARKFIPIRKSVNNLPHPSFGVSL >A08p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22984249:22984899:1 gene:A08p040250.1_BraROA transcript:A08p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMEKRDEDLKKAQMLDARARNISHNVRCTECGSQSIEDSQADVAILLRQLIRDEIGAGKTDKEIYIKLEDEFGETVLYAPKFDMQTAALWLTPVLIAGGTAAGLVYSKHRQRTNVHIMALDLIRGVSLTPKERVTILDVLIPPPPPPQGVASRFRRWLNR >A08p045960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25114130:25115342:1 gene:A08p045960.1_BraROA transcript:A08p045960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLEHFVDENDNLINLIKKLPDQLHPNVPFKRRLPSSLQLLAEGLLEIREEYEEEEEDYATVLQPGVKKEASGVNPGGEGEAGEGEVEDDEFARIMSKLNELQMQEELEGEDGDDYISEEQDSSVESVEESELLGVVNGIGDKTNHNKIDYEKSAAKQESSISVLEKVSGHSSFIGEPMRYSDPRVKEKAIQVLPERYPHKHADDLLKAFTGSIVEHARNLETNTHCLMQPSGSQPSKPVSIFKAQRR >A08g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10510322:10511122:1 gene:A08g506150.1_BraROA transcript:A08g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVLELGIVVHSIVIGLSVGATNNTCTIKGLIAALCFHQMFEGMGLGGCILQAEYGWAKKAVMAFFFSVTTPFGVVLGMALSKTYKENGPDSLITVGLLNASSSGLLIYMALVDLLAADFMGQKMQRSIKLQLKSYAAVLLGAGGMAVLAKWT >A03g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18366595:18368352:1 gene:A03g505170.1_BraROA transcript:A03g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLIYRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKMAYTNKKTGQIDDGLVRDVVDLVQTHVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A01p020680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10127326:10134097:1 gene:A01p020680.1_BraROA transcript:A01p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTNSQVIPLTKISSDEEASLYAMQLGSASVLPMVLKAAIELNLLEIMDKNDCFFGSQMSPSELASHLPTKNPAAHVMLDRILQLLASHSILTCSVRKLPDGGVERLYGLNTVCKYLTNNDDGVSLATHCLLNQDKVLMESWCKQRKKVLRMYHLKDAVLEGGIPFDKGYGMPTFVYHGKDQRFANVFNNGMSNHSTIVMKQILEAYKGFEGLSSVVDVGGGIGASLHMVVSKYPTIKGTNFDLPHVIENAPSLVGIEHVKGDMFVSVPKGDAIFLKWVCHDWSDEHCLKLLKNCYEALPDDGKVIAVECLVPIAPDSSLLTKQVVHLDCIMMAHTAGGRERTEEEFESLARRVGFKGFQVICSVFGTYIMEFYKMT >A06g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14193384:14198956:-1 gene:A06g504620.1_BraROA transcript:A06g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPEISGATTCQDIVKLVITINRSLESPETAMQLSLVSPSSCSSRRDEAVDTDHAEIGAQTKPYAPPEVTPTARVSFTRSRRISPPPASATLLRRSSDAAGNFLRCLAAFDTGKLRHCRRQIDFWSKFDQVDFDQRVDLSTDTETNFCMPDCIRLWPTLVDRLSCSLEVSINRPRAVSKHYLELCYVFGLAMSGSMDYWVASHTSLSDSPVAHPSLFPFSGETNKYVIFGRTWCYWTFSSDFIWVLGECNWVYGLLYYMILLVAHRVISFFGHDFEVIQEWICEVSATNPLQAIVPRVYLFCEFVEIAFKLGCGSLRSVDVGVTLGMLPVVANEEKLKEKESERKKDRNHCMLPPEIAGATTCQDIVELVITINRSLESPETAMQQLSFVRLVIHR >A01p047580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26834395:26837580:1 gene:A01p047580.1_BraROA transcript:A01p047580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEKNSSDELKKRIRKRNRGKKNELQKVQVEETHNVEENADEIKKKLKKVKKLQERGETDEDVEAKEEEVEDKMVVVAKGIMTNETFESLDLSEQTFEAIKAMDFKHMTQIQAGSIPPLLEGKDVLGAARTGSGKTLAFLIPAVELLFKERFSPRNGTGVIVICPTRELAIQTKNVAEELVKHHHSLTVSMVIGGNNRRSEAQRIANGSNLLIATPGRLLDHLQHTKGFIFKHLKCLVIDEADRILEENFEEDMNKILKILPKTRQTALFSATQTSKVQDLARVSLTSPVLVDVDDGRRKVTNEGLEQGYCVVPSEKRLLLLISFLKKNLNKKIMVFFSTCKSVQFHAEIMKLINVDSCDIHGGLDQNRRTKTFFDFMKAEKGILLCTDVAARGLDIPAVDWIIQYDPPDKPTEYIHRVGRTARGEGAKGKALLVLIPEELQFIRYLKAAKVPVKELEFNEKKLLNVRSALEKYVANDYNLNKIAKEAYRAYIAAYNSHSLKDIFNVHRLDLQAVALSFCFSSPPKVHLNIESGAGKVRKARNQQGRNGFSPYTPYGKGKSTPKEA >A07p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16004859:16007787:1 gene:A07p028300.1_BraROA transcript:A07p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1 protein homolog F [Source:Projected from Arabidopsis thaliana (AT3G54600) UniProtKB/Swiss-Prot;Acc:Q9M1G8] MGSMAQKSVLMLCGEFMEAYETTVPLYFLQAFGVSVHCVSPGRKTGDKCIYSELVVDHLTLNANFHEVIPEQYDAIIIPGGRFTELLSTDEKCVSMVARFAELGKIILTSCHSQLLLAAAGILGRGMKCTAFESMKPFIELSGGSWWQQPGVQTLFDITDCVMDGKFISTLGWPTLGNTLRVLLESIGSKITCSKETQPSLLFLIGDCVEDYSINVPFKAFQALGCKVDAVSPNKKKGDKCATIVHDLEEGRQLPTEKSSHNFYVTVAWEDVSVDDYDCIVVPGGRSPELLVMNDKAVGLIKKFVEKGKFVAAIGMGNWLLAATGALKKKRCASGYGTKVAVKVAGGQILESEQCVTDDKLVTAATTSDLPAFVHALSTALGLSVVF >A09p042350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22032976:22035436:1 gene:A09p042350.1_BraROA transcript:A09p042350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSLFPIILWFVLISFGGSSVSAQTCMNNGNFRSNGTYDANRRLILSSLSSNVTDQDGFFYNGLIGQEPNRVYARGMCIPGSTLEDCSDCIKTASDGLMESCPNQTEAYWWRGEPTLCLVHYSNKSISSSANLDPRVTLTNTGDLTSNLTEFTKIWEDLLVRMIDVASTPKSTPSSSNNYYTANSAVLETFQDIYALMQCTPDLSSGDCEYCLRQSARDYQSCCGQKRGGVASFENITVVSSPPPVNVPQAAGDGDENTTVNEKSSKGISAGIVAAITVPILVTILIVLVLGLVLCRRRKSYQRTEIETDSDISTEDSSQYDFKTIEAATNKFSSTNKLGEGGFGEVYKGKLPNGTDVAVKRLSKNSGQGTREFRNEAVLVSKLQHRNLVRLLGFCMEGGEKILIYEFVPNKSLDYFLFDFEKQSQLDWTRRYKIIGGIARGILYLHQDSQLIIIHRDLKASNILLDVNMIPKISDFGLSTIFGMEQTQGNTHRVAGTYAYMSPEYAMHGQYSMKSDIYSFGVLVLEIISGKKNSGVYKMDETSTCGNLAWRLWRKGSPLELVNPAIGRNYQGNEVTRCIHIALLCVQDNPDDRPMLSTIILMLASNTVTLPVPQLPGFFTRSMHRLDP >A09p023260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12778251:12781715:-1 gene:A09p023260.1_BraROA transcript:A09p023260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRIGSYIYRGVGTVSGPFHPFGGAIDIIVVQQPDGSFKSSPWYVRFGKFQGALKNKRNLIKIDVNGLDSPFNISVRESKVEDGVVGSEEKMVDSDLILETPLVASPTLRYLDEKEQDFRESNNVDDYCEENAILVDNGSTSTVFSIASEGSGEVDVCVESAEPLVQDSKQEMLSVPESVESVAADQVDLWSIGTSQEGSSTGSLVQDESKITTGDDFEKSQSTSVLQPEMKEEQFSFSDLDDCKPAESSSGGSNSPHTVKVDGKEDSKVLSEAVDIERKNDVSGEEVERLVESLPIMRLHDNDDMDASPCQPMSQSFDPCSNTSKWDLREDESSSRGLDAESVAESSPNFKAFTHVIANPDVVELSFCKHLLREGMGAEAASQAFKSEKLDMETFASLGPSVLENDKLILKIGGCYFPWEAAAPIILGVVSFGTAQVYEPKGMIAVDQNEKPGDASTPEGGSWNLWPFSSRKSKNDTEVSSKDAGEPEEKQQEKPLPRPVKKMVRALAPTSEQLASLDLKEGMNTVNFTFSTNIVDARIYLWKWNARIVISDVDGTITRSDVLGQFMPLVGIDWSQTGVTHLFSAVKENGYQLMFLSARAISQASITRQFLVNLKQDGKALPDGPVVISPDGVFPSLYREVIRRAPHEFKISCLAEIRALFPPEHNPFYAGFGNRNTDEISYLEVGIPRGKIFTINPKGQVAVNRRVDTRSYTNLHALVNGMFPATTTSSEREDFNTWNFWKLPPPSFM >A04p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16296919:16298401:-1 gene:A04p027140.1_BraROA transcript:A04p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEINGGTSAATPTVSAVAVATVKETTTPINSPSPTSSPPPPPLSPQQTVVLSPCAACKILRRRCAEKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPESQRTDAVNSMVYEAGARMRDPVYGCAGAIYHLQRQVSELQAQLVKTQVELVSMQLQRSDLLELLYKMEQTKLAAQEQGQQNMSFESSFESGDEFISSPDEVTNDLGFLEDNNNNNSSMSWWDPLWT >A06p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4313081:4314816:-1 gene:A06p011570.1_BraROA transcript:A06p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVRNMHGKRSSFQSEFNGNGASKRRNLHDETDRNVIGSEDTVYRYLCPVKKTGSIIGKGGEIAKQIRAETKSNMRINEALPGCEERVVTIYSTSDELNHFGDDGEIVCPALDALFKVHDMIVAEVNEEDDDDDLGDKQTVTVRMLVPSDQIGCVIGKGGQVIQNLRNETNAQIRVIKDNLPACALTLSHDELLQIIGEPLIVREALYQVASLLHDNPSRFQHSLLSSPSTLMHQPLISSHRKHAAARRDLADATEFCVCFICPAENVGGVIGKGGGFINQIRQETGATIRVTTSESEEDDSIIFISSKEFYEDQSPTVNAAILLQERCSEKVGKDTNDSTISTRLLVSSSNIGCLIGKGGAVISEMRSVTRANIRILQKENVPKIAREDEEMVQITGNPDAAMKALTQVILRLRANAFDMNHGLVLLPTSFPYISQVSGSSNKPKYARGDGSKDQDYSRLNLNSKRRNHVS >A02p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16723496:16724441:1 gene:A02p031840.1_BraROA transcript:A02p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGADKAVEALLRGQESANLLKTVLEHRRTSSVSIEPLFDTLLDSFSFAISLFASSNTQPHRDSSQCKATPGTARKSSKNNSNEEECLEQYSHDSPTPLQNDGFSWRKYGQKKIKASSHQRCYYRCAYDKDRNCNATKRVQQIQDSPSVYRTTYVGKHICDVNVFSELDDDIANGSKMIRFDKMDQAMPDSVMPQLAPVEKQAIAIEEDTDQIMNLEFDINEFLVDDDQLWAYQFPPFSPGFLDDLSEFDHNPFLV >A08g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:633001:634605:1 gene:A08g500260.1_BraROA transcript:A08g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESFSPPDATRQRSVVLYLPKVVIRTKLRRLGKPPSSPSLSSLAIPLPTISYYGRSLRLYARFAPTKRLVSPLTPSELSYIYRIPRVFRSLYFLTSVAKMTYPAAPAASAAIAAVPYSTFNSLRLGRNINKNGEFMSITILFLHELRTDLLRRGATRHSASSFTILETLMNHKANIRALFQSNGWILSHTTAKPEEGR >A08p045080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24775704:24777398:1 gene:A08p045080.1_BraROA transcript:A08p045080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSHVIVLPFPGQGHITPLSQFCKRLASKGLKPTLILVSDKPTPPYKIDNDSITVFPISNGFQEGEDPLQDLDDYMERVETSIKKRLPELIQDMKLSGNIPKALVYDSTMPWLLDVAHDHGLRGASFFTQPWLVSAIYYHVFKGSFTVPSTKYGHSTLASFPSFPMLNANDLPSFLCESSSYPNILRVVVDQLSNIDRVDIVLCNTFDKLEEKLLKWVKSMWPVLNVGPTLPSMYLDKRLSEDKNYGFSLFTAKSTECIEWLNSKQPSSVVYVSFGSLVILNEDQMMELATGLKQSGCFFLWVVRETETDKIPKNYVEEIGEKGLIVSWSPQLEVLAHESVGSFLTHCGWNSTLEGLSLGVPMIGMPHWTDQPTNAKFVEDVWKVGVRVKAEGDGFVRREEIVRCVGEVMEGEKGKEIRKNAEKWKMLAKEAVAEGGSSDKSIDEFVSMVC >A02p001150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:547604:550429:1 gene:A02p001150.1_BraROA transcript:A02p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHHPQYGVPELRQLMQGGGRATTTESPSTSSHFPSDFFGFNLAPAAPPPPPQHHRLHQFTTDQEMGFLPRGIHGLGGNSSTAGNNSNLNASSSGGAVGFSGFLDGGGFGGSSGGNGGGTGRWPRQETLTLLEIRSRLDHKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTREGKAGRQDGKHYRFFRQLEALYGDSNNLVPCPSHNTQFMSNALHGFHTQNGMNVTTTTSNIHNVDSVHGFHSQSLSLSNNYNSSELELMTSSSEGNDSSRRRKKRSWKNKIKEFIDVNMKRLIESQDVWLEKLTKVIEDKEEQRMMKEEEWRKSEAARIAKEHLFWSKERERMEARDVAVIEALQFLTGKTLIKPLCSSPEERINGNIEIQKNSENQNENESDQTMTNNVSIEGSGSCWNEQEITKLMEIRTSMDSAFQEILGGCSDDFLWEEVAGKMAQLGFDQKSALLCKEKWEWISNGKKKMNKKRKDNSSSCGGYYPTTEENTIYNNQESGYNDNDQRHQMNEQGNVGSSTSNVNAAAGNPSGAMTANTNCFPFFMGDGDQNLWESYGLRLNKGENHE >A08p038100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22110111:22111433:-1 gene:A08p038100.1_BraROA transcript:A08p038100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSGDSTDRKSWDKLFKTLVKILQTKQDEVESLLKDRKVLEDKLKSQHENWISAARNHEEQLSLMNREIETREMMQFFETAKCSLLSGFKERDHSLCNLKLEQTVDERDDFKAWFDFLTLNTNKESGSSSLEAEMRKLKLEYEKLASEKKREVSDLSRENGFVWSQLKCIESGFTDKLKKREDEIAQANSKIASLLSFQEQLQSSNQEKDEVISSLKAKVAEMETDSRKRDEEISKLSRERESLKKSRSFTPVLTRCTTRDKGNNSNTVSTKKEKLAASTPNQEEIKSAKRKRENKTTPATVSVIPKLFTSTFRLPKLKSPPSGVIG >A08p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8567976:8569081:1 gene:A08p010400.1_BraROA transcript:A08p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 60 [Source:Projected from Arabidopsis thaliana (AT1G42990) UniProtKB/Swiss-Prot;Acc:Q9C7S0] MAEELGCVDFLGEDDDLFLDFDLSLFTETPIAGDFIRSSPDSANSWIGDIESQLMNDEDNQNCLELDQQSVSEFLADIFVDDPTADSVTGKVDDVPTEGSDDAGKEKAVEKKRNDSGSENLDEAKVESEISGDDDAIAKKRRRRVRNRDAAVRSRERKKEYVTDLEKKSKYLERECMRLGRMLDCFVAENHSLRLCLQKGGGNASMMTRQESAVLLLESLLLGSLLWYLGDIICPFLPLPQPKTCFLPAEADGPEKLVLSGRGSSKLSNNYAWKSRRCKGSRPRMKHQVVA >SC301g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000146.1:131:510:-1 gene:SC301g500010.1_BraROA transcript:SC301g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKLDHGNLFTVIMVPGLLSISSFLCFTLSSIQAWLSFTTLDVIAMDYASPWINVQSWQNTLNMCFALLNYPGRVP >A09p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6442128:6446337:-1 gene:A09p012650.1_BraROA transcript:A09p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTDESESCGSRAVVASPSQENPRHYRMKLDVYGEILQRLQESNYEEAALPDFEDQLWQHFNRLPARYALDVKVERAEDVLTHQRLLKLAEDPATRPVFDVHSVQVAPRNSAESDPALEEDAQSSSHTSGQGVLAPPTFGSSPNFEAITLGNKIVEDVDSAVNATLTTRPMHEITFSTIDKPKLLSQVGGSSFNISKILPRHLVSQAHQLCYNFDASLTSLLGELGLNIQEAHAFSTVDGFSLDVFVVDGWSQEETDGLKDALSKEILKLKDQPGSKQKSIAFFEHDKSSNELIPACIEIPTDGTDEWEIDVKQLKIEKKVASGSYGDLHKGTYCSQEVAIKFLKPERVNTEILREFSQEVYIMRKVRHKNVVQFLGACTRSPTLCIVTEFMARGSIYDFLHKQKCAFKLQTLLKVALDVAKGMCYLHQNNIIHRDLKTANLLMDEHGLVKVADFGVARVQIESGVMTAETGTYRWMAPEIPYAFLTPLQAAVGVVQKGLRPKIPKKTHPRVKGLLERCWQQEPKERPDFEEIIEMLQQIMTEVGDEDPGKPSKKPLGWCGLNGEDLVLTGHLLAGEERFWTELDGVAKVWHEINVLLKNKIP >A04p008300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8394309:8394800:1 gene:A04p008300.1_BraROA transcript:A04p008300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A20/AN1-like zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G52800) UniProtKB/TrEMBL;Acc:A0A178VIF4] MAEEHRCQTPEGHRLCANNCGFLGSSATMNLCSNCYGDLCLKQQQQGSSSLSAVSPPPPVITSISTPMIQPLVQNPSAELEVPAKNVSVTVTATEQPQKRPNRCTTCRKRVGLTGFKCRCGTTFCGAHRYPEVHGCTFDFKSAGREEIAKANPLVKAAKLQKI >A10p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15235405:15236712:1 gene:A10p023290.1_BraROA transcript:A10p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVVVGGPTFLSLRVRCDRDRVHEIRESDGRDEELKLNPVIAFDCAEAYVWSLQNFVLREHILKPCPDNPTLAFLIFARSVIELEMLLVLQACAISACGNFAVVGTASGWIERFNLQSGISRGSYLDKSETRSYSHDGEVVGVACDSTNTLMISAGYHGDVKVWDFKKRELMSRWDVGCSLVKIVYHRVNGKSATIRRE >A06p034700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18803544:18807683:1 gene:A06p034700.1_BraROA transcript:A06p034700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNGGWFSTVKKKVFKSSPKDSKQQNDTQEVVSFEKFPEESSPEISHDVESIASTPAPAVGERKHAMAMATEDAAKAAVAAAEAAAKVVRLAGYNRLTEEDTAAVLIQSHYRGYLARRALRALKGLVRLQALVRGNHVRKQAQMTMKCMQALVRVQGRVRARRLQVAHDRFKKQFEEEESRFMKEKSNKGSANVQTEREKKKKLHEVNGTSLYQTPGKGKEKTQEMMERERALAYAYTYQRQMQHTNDGDAIRFSVNGPDRSQLAWNWLDHWMSSRPYTGRQTVPGSSPGPGQYNPPPYPPFPTAAATTAGNTTPDDVSEKTVEMDATTPTSLKDRIIGLIDREYIELGTYRQAHKQRKSPTHIPSYMAPTASAKAKVRDQGTTVKLQGTSFIPNWNSSTKQGSINGSGCGSSSSCGEVITGYPGLRSPNPKTDIRRKPVSPSQSPTGYGKRGWRHDH >A02p054290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32997676:33004243:1 gene:A02p054290.1_BraROA transcript:A02p054290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFNESVHRLEKESGFFFNTKYFDEKVLAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVQDLRVFSTFNEDLYKEITQLLTLQNFRENDQLSKYGDTKTARTVMLSEVKKLIEANPLFRDKLTFPALRSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAVPNGPLAPSSVNQPVTTLTKPTAFPPLGAHVAILKRPRTPPATPGIVDYQNPDHELMKRLRPAPSVEEVTYPAPRQQALWSPEDLPLKVALALHQGSTVTSMEFHPMQNTLLLVGSATGEITLWELAVREKLVTSPFKVWDMTNCTNQFQALIAKETPISVTRVAWSPDGNFIGVAYSKHLVHLYAFSGPNDLRQHAEIDAHMGAVNDLAFAIPNRQLCVVTCGDDKLIKVWDVQGRKHFTFEGHETPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGKWCTTMLYSADGSRLFSCGTSKDGDFFLVEWNESEGSIKRTYLGFHKKLAGVVQFDTSKNHFLAVGEDGQIKFWDMDNINVLTSTDAEGGLPALPRVRFNREGNLLAVSTADNGFKILANTAGFRSMRAMEASAFETMRNPVDSSLTKAVPGAPVASVNCKIERGSPVRPSPMLNGVDASKPRIIDDSTDKPRPWQLAEIVDPAQCRQATLPDTAGSSTKVVRLLYTNSGAGILALGLNGIQRLWKWVRNEQNPSGKATTAVVPQQWQPNSGLLMANDVSGVNLEESNPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMQPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTTLNILVSSGADAQICFWSIDTWEKRKSVAIQMPAGKAAANGDTRVQFHVDQIRFLAVHETQLAIFDASKMECIRQWIPQDSLSAPISSAVYACNSQLIYTTFRDGNIGVFDADTLRLRCRISPSAYLPQGNQGLSPLVVAAHPQEPNQFAVGLNDGSVKVIEPTEAEGKWGMVPPSEAIKSSPSTTNNQTQEQLQR >A08p028180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17708315:17710955:1 gene:A08p028180.1_BraROA transcript:A08p028180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPAANGAAAAGPGDQQAYHHHQQSWMMQPHQAQPPAGWNPQSAPSLGQPQQYSGGSQTPGSGDEIRSLWIGDLQPWMDESYLVNSFSITGEVQQAKVIRNKQSGYSEGYGFIEFVSHAAAERILQTYNGALMPSSEQTFKLNWAGERRQSEGPEHTVFVGDLAPDVTDYMLTETFKNVYSSVKGAKVVVDRTTGRSKGYGFVRFGDESEQIRAMTEMNGQYCSSRPMRTGPAANKKPLTMQQPGGYQNPQGNAGESDLTNTTIFVGALDESVTEDVLKSVFGQFGELVHVKIPAGKRCGFVQYANRACAEQGLNALNGTQLGGQSIRLSWGRTTSNKQTQPDQAQYGGGGGYYGYPPQGYEGYGYAPPPQDPNAYYGGYPGAGYGNYQQPGGGYQQQQQ >A03p017810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7252902:7254292:1 gene:A03p017810.1_BraROA transcript:A03p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQMVAVIIVTIGAVMSIKNFNNSFNNHHQRLGVGLYAIVWFQALFGFLRPSRGGKARRNWFVGHWILGTSVAILGIINIYTGLNAYTQKTSKGAKLWTILFTAQLAAFVMLYLFQDKWSYIQSQTNRTQSVDHNSNISTAEPSQGDEVEETKLALEKC >A09g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14480072:14482920:-1 gene:A09g504790.1_BraROA transcript:A09g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIYRSVEPTIDRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKSNPLNSSPESVQEEQESEGRRLRKIKEKIPKNLKREANDKEMNGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCVVKGVEFSHLMCDTGASVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTLTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNYCHQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSASVDTHPRPAKQPLTSIDIHKGTSIDIRAAANIQEQENIPSQLEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKLNNYANIDYGFLTPDEFGIFRDTDANARAMDGRILQVSREDITDILQVANGPDNLFLQQRGTPDVIQTDPNNHAGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDMDELTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGVAGEMIPVTKDNIRKILEIASLFEESHICLPEYATSFTSLDAKISAMNERLRTYEDMHDRFISPVKIDLNKLSSQLLDAQKDIENITNQRFLQAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQH >A02p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16172024:16173991:-1 gene:A02p031240.1_BraROA transcript:A02p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRVVKPSELTPLTALAATEFALRCCIYCKKVSLELGGNAPSIIFDDADLDVAVKATYSFDLVIFRNSGQTCVCANRVLVQDVQKLEVGDGFKEGTPRLITIESRSADYWYLVSSSVNWHIPYTKLLDLRKEIFGPVAPLIQFITEKEAVRIANDTIAGLAAYIFTNSVQ >A02p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23706398:23707068:1 gene:A02p038030.1_BraROA transcript:A02p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSGGSILQRSAEAEVVSDEGLVVDLAVKSGMVVVQTAAQGVMEQGGNVVSDLLRELAVLPTGSHLQVESRLYRGLNIDVLPSRFSPLLEMDEDDDEVLEDAINEVKETEEGELIGDKATLPKQENRRGRRAAGANSHKSAKKTIVRAKDLKFGGKQGQLKRSSVRKL >A05p036880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20911193:20911990:1 gene:A05p036880.1_BraROA transcript:A05p036880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLVVHYLALGFLFTIQLWTERRTLKNISCSRTYSDLHQGFSYIFVAKKRESYKKAKNFRVWKLSVLVGYPLELDIFDLSEDRRKKFEAPRQCKEQLKGRRCEMTDVEDLFSLFLWIIKRNWQIIHKRPTQ >A08p009720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7618452:7619009:1 gene:A08p009720.1_BraROA transcript:A08p009720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 9 [Source:Projected from Arabidopsis thaliana (AT4G12970) UniProtKB/Swiss-Prot;Acc:Q9SV72] MKHEMRNMKLRCISFFFLLFGLLLGNFIVEASKARSIDDTLSLPRQVHLPYSRRHMIGSTAPTCTYNECRGCRYKCRAEQVPVEGNDPINSAYHYRCVCHR >A06p003330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4744151:4746388:1 gene:A06p003330.1_BraROA transcript:A06p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVEEREDLMVSPSTHLLKPCFTTSIEGSPPLDLKALSLSVSFNGWRFPNAKFKSWATKMSALHEPTWKKAGIFEAVVASTLKITKDSDLVLEIAEKWCPDTNTFVFPWGEATITLEDVLLLLGFSVLGSPVFASLDSSGEKTKEKLEKERVVFKRDQVSNRATQTVWMSRFMDSGDDDELEHVAFLALWLSYFVFPTRYYQINEAVFPVAVHLASGFRIGLAPAVLAHLYADLTLLKDHTRDFSNDKIELNALFKLVQVWTWERFKELRPKDTNPLLKGQIRLARWDDVKEGNNDVRRILDNSSFEWRPFTNTVKNWEVPKFYPEKAMWVPVGPELDEELISFARCIKVSELVGMDCVEHYFPNRVAAQFGLLQDVPCLVNRSNLSEEEAWDEYDKPIEDLTLFIPSRSAVPRVTSVFCDWWRKSFPQLQHSLKEKCVVESSRNIIGDDTSASGSRKRNRRKRVCTVGCCQTHDSDNDEDLSLTIAQIRRLSIKKCSGGEDASEPLGKKSRFEADNNDSGPLQELVSVRANGKETVPPPEIEQNMVRSPLGENNSSDPPLGFDDETHDILVSPPPETRQTCDDEVDVHGSNAEKMAMADDGSKEPECLLHEDGEKVSSGKKEDDSLTQTEIATNADNNEPTPCQNLALGGSEVLGESNSGNAVGDETQGHDCLFHDTVLGSKEYMKMLVVGLHNVKKLASSIEKLALSIDEGIARAERSVAWLKERKATKQKKIAGAAARLL >A07g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9066910:9068119:1 gene:A07g504510.1_BraROA transcript:A07g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLQQIPMVSFLLSSSAPTTQTTQLNHGVHLSLKNPSVARGIALGLMYPDPPEPPDLLDLGSVMVTMGMDLRVASAEEWWEERGRDSSLDGERDLGLMVTDFLETGGGGSGGDSWCSSDSDSEFPDPSYLSDKILHLPRELLPVCSLLMLIMFLQLHTPLWLRISSQFQGSSKWCMITFVAKFLAALYVFITAACSGSSSPSVSSDSRGFISPISIYDVSILSCLSVLFAFICVYVICLAFDAAVSFFILAVLLCLLNNLSIDRA >A03g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28863599:28863919:1 gene:A03g508460.1_BraROA transcript:A03g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGNTYNSAKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRRIFFFRRNRNIFI >A03p058350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25350507:25360262:-1 gene:A03p058350.1_BraROA transcript:A03p058350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNNFVLQLLLTIPLIIVAEAARDRRAILSEKEKKELERQLKAINKPAIKSFKTEHGEIFDCIDIYKQLAFDHHLLKNHTVQVKPTSVPKWITSKNISQKLDPLQLLPRGISCPDGTVIVKRTTMQDLLNMQHLKSIGFNRHRHVHTEGNEIDLTGHHFATVDYEYSTVSGVKGNINLWDLQVSQDKVSLATMAIAGGPIENLASISVGWMVNPLLYQDHIHLYTYWTADGYKKTGCYDIRCPGFVQVSKRIPLGVLLKPVSIYDGTQYQMELSLHQDHATGDWWFIFGGVNVGYWPKSLFIASGLAKGTDKASWGGQVYSPLTKKSPFMGSGHFPNEGMGKAAFINGIEIIDGKGEALIPQIYTIKTHESSPKCYKAKFIHDDDDPWIRAVFYGGPGGCTGKLSSEESEAIALIVVAKSDRTMPVEMEENELVSLLNSINKPAVTSFQTEHGDILDCIDINKQLAFDHPLLKNHTIQLRPRNIPEWTINNSSSKNGGSTPFRQDGISCPFGTVIVKRTTHEDLILSQRLKSTGSKYSTYVTSKDKNIDLTGFHFAMADYGHKNYGAKVNLSIWEPKVSPTQFSSASMLIAGGSKEQFQSIRAGWIVYRWLNRNHSRLYTYWTADGFIKTGCYNTLCRGFVQVSKRVPLGILLEPVSIYDGHQSEVGIVIYKDIVTGNWWLFVHDEMVGYWPNSLFTKSGLGQGAGLVSYGGEVYSPVNEKSPSMGSGHFPAEGYTKTAYVNGFEVVSNNDSIFSEPSFRVMMFANTPKCYRVMPGRGQLRVWNCAPVSPGIEYSGSIDLKSTWESWIAKKNLPPTGVVAGSLAPWILWQIWITRNKLLFEERRCSAEEVITQATIIAREWTQSQQKIEKKTHSLAPPPPQTQCAVLRTDAAWNENQKIAGLGWTLEKTDGSFSSFATPATHVHSPLLAEGLAMREAVLKCRDLGVARVRCESDSATLIKVLKSEASNAELYGVAADSLSLSKQKAPLVHLCCSGGWRLLPPSEAVWVAIWLQRDVLCGYLVRSLFALAGLENRRSEVAIGFGEVVVGLGLSSIKRIRFLKRLGVCVVCGKCGWCLLSGLRLGSSLWSPDRSYHPWSLCCPLVCNRRVLPDYLVRGCGAFAQLREACYAFSINPASNAGCFACLDCMVILLWRLVILFSLVYVSFLGNIMSELHSSLQLPVLDLTQPIQSSVLSSLSQACKEWGFFYVTNHGISREMFRRIYSLSRDVFSAPLDSKLKLGPFSYTPRHIASPYFESLVVSGPDFSGSAKASADVLFQDHDKPELRETLQEYGAKMAELSKRLVEILLMMILGEETGKRFYQNEFSNCHGYLRLVSYTPPQDVEKQEELVEGLGMHTDMSCITIVYQDSVGGLQMRSKEGKWIDINPGDDLLVVNIGDLMQAWSNGRLRSSEHRVVLRKLVNRVSLGFFLCFEDEKVILAPQEILGEGNCRRYKSFKCSEYLKFRQSNEVGKFERIGYTVKDFAGLTLPEHDDP >A06g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6221811:6223608:1 gene:A06g501640.1_BraROA transcript:A06g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRSTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNINSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVKDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADMGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPKVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A05p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2684744:2686800:-1 gene:A05p006700.1_BraROA transcript:A05p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEDDNKGGGEMASSFLDGTGLVCVTGGTGFVASWLIMRLLQRGYSVHATVRTNPEGNKKDISYLTELPFASERLKIFTADLNEPESFKPAIEGCKAVFHVAHPMDPNSNETEEIVTKRTVQGLMGILKSSVDSKTVKRFFYTSSAVTVFYGVGSGAGGNGGEVDESVWSDVEVFRNQEEKRVSSSYVVSKMAAETAALEFGGNNGLEVVTVVLPLVVGPFICPSLPSSVFISLAMIFGNYKEKYLFDTYNMVHIDDVARAMIFLLERPVAKGRYICSSVEMKIDEVFELLSTKFPQFQLPSVDLKSYRVEKRMSLSSKKLRSAGFEFKYGADEIFTGAIKSCQTRGFL >A06p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17933436:17936994:1 gene:A06p033420.1_BraROA transcript:A06p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQAPRLLLQIKVSTKLGMACSQRSLPTDRENEQSVFRRYPQPTKEYQSFSLIYGDRSLDLVCKDKDEAEFWITTLRALLSRNCSSSLVLHSRSRSFVPDYGGEQSSSNNNSLSNIRSVSSDTSCEEHAKKASGSHINTPQRLGKVFSEVLSQTAVLRALALDELVHKPHNTSPETLENRPTNNHSPAVDTSKYTVSSALSSSSQGSTFEDLKSLCDVFVWGESIGDGLLLGCGGGGAMHKSESSSSLTAETFLPKVLKSHVALDAQSISCGTNYAVLVTKQGQMYSWGEESGGRLGHGVCSYVPQPKLIDEFDGSAVELADCGEFHTCAVTSSGDLYTWGDGAHNAGLLGLGSEASHWKPVRVLGQMEGINVKTISCGPWHTAFVTSEGKLFTYGDGTFGALGHGDRVSTSVPREAEALSGCRTIKIACGVWHSAAVVSVFGEAASSGKLFTWGDGEDGKLGHGDKESRLVPSCVAELNATSFQQVACGQSVTVALSASGQVYAMGVLDPGHENVVRAPSCIEGGLGKSCVQEVACGFHHIAVLNSKAEVYTWGRGSNGQLGHGDTENRRLPTLVRALKGKQVRKVVCGSNYTATICLHKPITGTDSSRCSGCRHPFNYMRKLHNCYNCGSVFCNACTSKKSLAAAMAPKTNRPYRVCDDCYIKLEGVREYLGTPANNSARFSNASLQSSINEMDDFGTTPQRQLLRVDSFDFFRQSKIPDLKTIGETSGGSHASSSSGFNLKGIRQLSRLASFDSVNQEGKQRTKHCASKSDTSSLVRHSVTCGLPFSRRGSVELFPLSIKSSPVESVGNTSDFTADITDTEFLQEGTKKPNQCLNQEISVLKAQVEELTRKTKQLEAELGTTSKKLEVAVLMQRDDAEKIKTSEEIVRSLTLQLMDATKKGVDKTRRRRSSF >A04p027360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16466361:16467642:-1 gene:A04p027360.1_BraROA transcript:A04p027360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLSPRLPKKVYGGDGGSYFAWCPEELPMLRDGNIGAAKLALEKYGLALPRYSDSSKVAYVLQGSGTAGIVLPEKEEKVIEIKKGDSIALPFGVVTWWFNSADTELVILFLGETHKGHKAGQFTDFYLTGSNGIFTGFSTEFVGRAWDLDETTVKKLVGSQTGNGIVKVDASLKMPSPRKGDREGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLVGEVGFGADLVRIDGHSMCSPGFSCDSALQVTYIVGGSGRVQVVGADGKRVLETHVKAGALFIVPRFFVVSKIADSDGLSWFSIVTTPDPIFTHLAGKTSVWKALSPEVLQAAFKVAPEVEKAFRSKRTSDAIFFPPPN >A01p052780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29591642:29593250:-1 gene:A01p052780.1_BraROA transcript:A01p052780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAMGSWDTRREEQEEEEKQVLKLPGFRFHPTDEELVGFYLSKKVFLKKSSKIDEIISQIDIYKFDPWDLPRSRNTEKESYFFCRRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSDGSSKAVIGLKKTLVYYLGSAGKGSKTDWMMHEFRLPTANDTIPGGSTLLNPTPSSLLHAEVWTLCRIFKRNVSSRKYTPDWRELAGGKRMKPQQSKYQEAYISFGDNESSSSTNNINVMERKENYERNVFQLRQTPDQHQPIPVDTTITTQVDSTVPHFSNDNIHDITYENWDELRSVVEFAFGPSFHS >A02p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:626025:627414:1 gene:A02p001390.1_BraROA transcript:A02p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDASKEFKWGEDVNVKSEVTEIVLVRHGETTWNAAGRIQGQIESDLNEIGQKQAVAIAERLGKEERPIAIYSSDLKRAKDTALKIAETCFCSEVTEVPELKERHVGSLQGLYWKEGAEKEPEAYSAFFSTQNDLEIPGGGESFDQLCERSMSALEQIAKKHKGERVIVVTHGGVLRAIYMRITQASSAGKLLNASVNVVRFSDEKWIIDSWSDVSHLSSVGFLQRGFDGDAKP >A07p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:658515:658979:-1 gene:A07p000510.1_BraROA transcript:A07p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFEALSWWNPPHRTGALFLRLLWQKLWRLKLQLQLQSLPMLAASLLTPTPKNLILLLKTQGQDVALRAVLHDIHVLYRSFVSISFKFIPRLANVQADSLAKAALLSLSFAASDVVD >A03p060750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26354721:26356297:-1 gene:A03p060750.1_BraROA transcript:A03p060750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLNNNVDTVSAAASAIFSSSESRLQSSRVQKKKWGSCWSLYWCFKSQKNNKRIGHAVLVPEPVASGSAPVAPVQNSSSNSTSMFLPFMAPPSSPASFLQSGPPSMSHTPHGLLSLPVNTYSLNEPSSAFEIGPYAHETQPVTPPVDSAYTTRPSTAPFTPPPESGQMSSATPSSPEVPFAQLLTSSLERARRNSGGMDQQFSAAHYEFQSHQVYPGSPGGSLISPGSGTSSPYPGKCSIIEFRVGEAPKFLGFEHFTARKWGSRFGSGSITPAGPGSRLGSGALTPDGGGGLGLGSNDEAHGSLEGTVFGYSDHGSSWNDEALTVGHRVSFEVTGEDLARCFASKLNRVGLNDQMDTYQAVSPTMRWSDKTWGETESELSHKLRTFSLGSSKEFKFDNTEEMVRTEWWSNEKVMREGDNNSPGNSWSFFPVLRSGGFS >A10p010830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6728509:6734592:1 gene:A10p010830.1_BraROA transcript:A10p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLLSHPHHLQVITRLPLYDIEFFSRSVRQTTYLGSRLAVDDLPGSRLVNAKMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLSEGSTHADLVAMAQEDYNLDMNTESMELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEAEEGDEAEERDEADVSDEDEEGDEAEEGHEAEEGHEAEDHDGEEDADIPVVADAEDYSEYGKFKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTMFVATCRVSGCQWKVRASWWRIHQRRWRIERSVEDARNPSSLLPCGPDILRWKTDGYMQLVVEPNYPSEITFWVKSMGVPLHYWTILTLTSIDQALGVAKDMDLDNGKVQIEVDGFKKLCFKTLMEFHGGEEALVNLRYKRFFGYCRICYNLCHDHHKCPTKKEDQEEEKV >A01p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25662704:25663464:-1 gene:A01p045420.1_BraROA transcript:A01p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEPLSLSLYQTYVPYGGMPHSRMPLPPEMAQDPVYVNAKQYQAILRRRQARAKAELEKKLIKSRKPYLHESRHQHAIRRPRGNGGRFAKKTNTKAAQQKAGEKRNACRTQSPTSSSSDQPEAWNDENRTQSKEMQSTACKRRKEADCSGQQWNIISSNHPSQARLAIK >A01g510720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29680870:29682233:-1 gene:A01g510720.1_BraROA transcript:A01g510720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFKEIADVLVDDQWRFRNSRDSGIEQVLAQIKAKPLLLTPNVDDGVKWKRGDVEYGSEFSAYSTWDMVRAQNAKVPWAKLIWFKQGVPRYAFITWLAVKDRLSTGSRMRTWGVIQGCTFCGEPEESRDHLFFACPYTYGLWLQIIGSLLRPAPSPDWNEIMARILHSAHDRLVSILLRLALQVTIYYIWRERNERRHTQRSRPANQLAKVIEKTIK >A09p006480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3413835:3414488:1 gene:A09p006480.1_BraROA transcript:A09p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tny [Source:Projected from Arabidopsis thaliana (AT5G25810) UniProtKB/TrEMBL;Acc:A0A178URN2] MIGLESTSKNSSTNSVSQENTRETKPVRDSGKHPVYRGVRKRSWGKWVSEIREPRKKSRIWLGTFPSPEMAARAHDVAALSIKGTSATLNFPDLVGSFPRPSSLSPRDIQAAALKAAHMEIIMTTSQSSSSSSLTSSSSLESLVSSSATGSEELEEIVELPSLGSSYDGTTQLSKEFIFFDSADFWVYPPHQLSEVDYEMIPGSLSQDWDLPGLFNY >A03p049720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21311933:21318883:1 gene:A03p049720.1_BraROA transcript:A03p049720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMAMKSSVTAKLTLPPSSSSCKKRVRQVSVALPTTTSISLLSVFSSPPPEAKAAVSISKDQIVSSLTEVEKTINQVQETGSSVFDATQRVFQVVGDALKPALDTALPIAKQAGEEALKLASPAFSEASKKAQEAMQSSGIDAEPVFNAAKTVTDVAQQTTKAIEDAKPIASSTMETISSADPSVVVVAAGAAFIAYLLIPPVWSAISFNFRGYKGDLTPAQTLDLLCSKNYLMVDIRSEKEKEKAGIPRLPSNAKNSMIAIPLEELPNKVKGIVRNSKRVEAEIAALKISYLKRINKGSNIIIMDSYSDSAKIVAKTLKVLGFKNCWIVTDGFSGGRGWLQSRLGTDSYNFSFAQVLSPSRIIPAASRFGTRSGTKFLPSSD >A06p040480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21892834:21899613:-1 gene:A06p040480.1_BraROA transcript:A06p040480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVFPSAIEPISLGCQCYQVLKRQRGTPFRGERKEMSGLENIKNENVDLEKIPIEEVFQQLKCTSEGLTTQEGEDRIQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVMPALRQKALATINNYAERGLRSLAVARQLVPEKTKESSGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSAALLGTDRDSNIASVPIEELIEKADGFAGVFPEHKYEIVKKLQEMKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMSAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLVATLIAVYADWTFARVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRTALTTRRDYGAGERQAEWATEQRTQHGLQTRQEVNVFPENGGYRELSQIVEQAKKRAEIARLREIHTFKGHVESVAKLKGLDIDTSGHHYTL >A08p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13252762:13254180:-1 gene:A08p019420.1_BraROA transcript:A08p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTSQKVVSTETAKAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPAGGSKPSTVQIRGQPVNQQSGCCSS >A09p016280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8544236:8544870:1 gene:A09p016280.1_BraROA transcript:A09p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMSLSSVTVLVMILLVQVSSTQYKVGDLDSWGIPTDAKVYTKWPKSHSFKIGDSLLFLYPPSEDSMIQVTASNFKSCNTKDPILYMNDGNSLFNLTQNGTFYFTSGHPGHCQKYQKLIVSVGTYSAEADALSPSSSSADADAPSYQNAFGSIPLSQKSSSSSLLFSTVVASLACAVVVVGALM >A06p009600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3373436:3374553:1 gene:A06p009600.1_BraROA transcript:A06p009600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVIHALLRTCSSFQLDSHWSCFHFTRSFPALSHSPRRLCQENKVSISLIAGFMGEGKGSTLVFVLVIALCLVAFGFSIAAERRRSIVHRSSLSGKSIQDPITNATYCVYSSDVATGYGVGAFLFLLSSESLLMGVTKCMCFGRPLSPGSDRAWSIIYFISSWMTFLVAEACLIAGATKNAYHTKYLSAQAFSCESLRKGIFIAGAVFTVATMILNVYYYFHFTKSVSSPPTHKANRSGSNIGMAGGYA >A04p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:306006:306788:1 gene:A04p000520.1_BraROA transcript:A04p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFECPIARKVWDLVPAMAIPSRAVCNSLEDLLISCSRMTNLPPTGGLGWICTNSAGSYRFQGTETKRYIASALAAEALALLAGLSKAAFSGIKDVICLSDSKSLIDIITGNKAVVAIRGILHDVGVLSDSFNSISFRFISRVCNEPADRLAKNALFQLSNSLSEIASTDEL >A09p056260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47914158:47915562:-1 gene:A09p056260.1_BraROA transcript:A09p056260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVLAAAARDYKKEVLANEKAQSSKHVNEEVDLDELMDDPELEKLHADRIAALKREVEKREAFKRQGHGEYREVNEGDFLGEVTRSEKVICHFYHKEFYRCKIMDKHLKTLAPRHVDTKFIKVDAENAPFFVSKLAIKTLPCVLLFSKGIAVDRLVGFQDLGTKDDFTTTRLENVLIKKGMLSKKKKEEDDEDAEYQESIRRSVRSSENLDSDSD >A03p013490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5310254:5311764:-1 gene:A03p013490.1_BraROA transcript:A03p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISISCHCFVRNFKTRSHIVSYKMDLISKLPEALLLSILSLLPAQDVASTMLLSKRWRFLWTSVPRLEYDDSYQSIEYGRFSRFVDRFLFLHEAPVIETLHFKLGKTCGGEDIRVWIRAADKFCVRELIFEIDSSSNDSPPILLPSSLYTGCRMLVTLKLNKVILEDTSSSIAFPSLKTLSLVSVEYPGDEFVSSLLSSCPVLEDLHVEQRPYDNVTIFTVRVPSLKSLVLSIIMGKGFSVIDGFVIDTPSLEWLDIVDYRNAFCIIENDMPKIMTARFDVNYKHPGEILRYITSVKRLYLCLLLTSENVYPSGSIFYCLVHLKICTCMTKWLNLLMCMLRDSPKLKSLKLEQCHILQAWRPCWKEPNSVPECLLSSLEALEWVGYEGTEEEKEVVAFILRSANCLEKVSICSNSTDPCKKLELVKELLFLSRCSSTCNFIFD >A09p050730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44511295:44513448:-1 gene:A09p050730.1_BraROA transcript:A09p050730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISVLVFFFLFFLMAEARSKKMTDCASTFSCGSLDFRFPFFNTTMPSRCGLFRLNCTNHQTSEIQLVEKGIWYKVTSVSQAGTITITDPRLNQSLETRSCSDLSSFSLPDSPWLNMTTLYKCNNSRKNGFTFANCKGGESSLYYSNLTDYSGCSAIRTPESWVIPSHKNQSILNATFSLHIHLPRGCYSCRRRGGECTMIKDKFRCRGGSKDPKKSQEEKFRLGLGIGGSLILIIILVALFIFIHRNWRRKTSSDLISRYNSNSDIEFSHVFFKIPIFSYKELQEATDNFSKDRLLGDGGFGTVYYGKVRDGREVAVKRLYEHNYRRLEQFMNEIEILTRLHHKNLVSLYGCTSRRSRELLLVYEFIPNGTVADHLFGETENPTHQGFLTWSMRMSIAIETATALAYLHASDIIHRDVKTTNILLDRNYGVKVADFGLSRLFPTDATHVSTAPQGTAGYVDPEYHTCYHLTDKSDVYSFGVVLVELISSKPAVDINRSKSEINLSSLAINMIQNNATHELIDQSLGYGTNEGVRKMTTMVAGLAFQCLQQDGTMRPTMEQVVKELKEIQNGEQKCQYYNYREETVTPHPSPPDWGEASLIENKKFPRSPISVTEQWTSKSTTPNTSAYSC >A10p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22049282:22053829:-1 gene:A10p039880.1_BraROA transcript:A10p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTEMVSHEENTGIVEWFLGPHPFTYPPYGVELIHEEEEAHYHHHQDQSGEYYREYEEDHRSSSDVDNDEIIARTLQDDFLQLQIAEENNNNDYSNHLQQQHQEEEEEEEGGGYTNNYNSNNNEYGWNDQAVVDYSSDWVGGNENDQEDDSSGNIYSCSSPSDTDEYVYSWESDQCEADGEFGRRLNQMVPIPYVPKINGEIPPEEEAVSDHDRLRNRLELFDFAEVRVPGDGNCQFRALADQLYKTADRHKHVRRQIVKQLKSCPDSYEGYVPMDFSEYLKKMSRSGEWGDHVTLQAAADAYRVKIVVLTSFKDTCYIEILPTSQESKGVIFLSFWAEIHLQQSYRGGENGGMMDGDQDNTLQHGSRQDISPATMNGISAEITEEISPSQHERWRDLVFDIQLRAQEDAHDDFLRANESFPPYTPSPVSKRFNFSPMASPRIGRRVGSMSPSSSRRTTLKNVFNFKGQNNNADIEEGVALVYEGRDKYNIPRTWSLTNILTPKRSKKTESLPVTPLAHSNPESMHGRYAVDRVTSMKRERTLPIRRTRSVPTLIDKDGNVKPVGVLRVIPAPSRVGTESLELMHEHHDGGEDVPEEEAVCRICMVELGQDSEAFKMECMCKGELALAHKDCTIKWFTIKGNITCDVCKQEVKNLPVTLLRVEDDSQDLSSGAEHTESNQGKDVPILVTVSMLAYFCFLEQLLVMDMKSSAVAVALTFACIIGLLGSATSTTMVKGKYVWIFATIQYSIVVLFGHQPVTCIVKATVVGFGLTMSGAAVITMFMEWRRSHAHHQPAITQVATPPFQTIE >A08p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11535309:11536786:-1 gene:A08p012710.1_BraROA transcript:A08p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRSAMEQQMDLMADLVQKLSGELRTGLQPAYANFIGFFHAIDWKEPWIMGLMGFHALFLMVTLLSRRHLNFHMFLFLFALGGVYFAESLNRLLRKNWKSFSTQNYFDPHGVFLSVLWSGPLLVIAMIILINTLFSLCYLIVKWKRAELRHRARLARTKQE >A05p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10458110:10458567:1 gene:A05p021920.1_BraROA transcript:A05p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLSCSHGVVIATAMVFSSTALFLAISRQFSTNQTSDLHDQQILRSCLSSEEKKKKRKKVKFAEDVKEPKGNGEEYRKREHLRRIVPEPVIKPEKAGSVCRNDMPANRMALYNGILRDRDHRLQCSY >A06g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6769565:6769944:-1 gene:A06g501810.1_BraROA transcript:A06g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEDGWVFNVPVDAKGFGLHDYHTIVKEPMDLGTVKAKLGEGLYESPLDFAEDEKWVPIELQYHNLHREIKPASVVEPLPSPTPSSVSSACGA >A08p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1792480:1799179:-1 gene:A08p003160.1_BraROA transcript:A08p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVPFWRAAGMTYITYSNICANLVRNCLKEPFKEEALSREKVHFSISKWADGKPEKPKPIKTLEDDEEDDDDSFSSSIITLESPDRENQRLTEAMKPVQSAPVKSRPRRRPDLSLPLPQRDVSLAVPLPLPPTSSGSSSSSSSAPSSAGSSAPKNYSDLERGNRIGSGAGGTVYKVTHRPTSREYALKVIYGNHEENVRRQICREIEILRDVNHQNIVKCHEMFDQNGEIQVLLEFMDGGSLENRHVSNERDLAHLSHQILNGLAYLHGRHIVHRDIKPSNLLINSDKIVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNQGRYDGYAGDIWSLGVSILEFYLGRFPFNVSRQGDWASLMCAICMSQPPEAPATASPDFRNFISCCLRREPARRMTATQLLQHPFIRRAVSQGQNRSPQNLHQLLPPPPRTLSSSSSSPTT >A02g506310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17972328:17972636:-1 gene:A02g506310.1_BraROA transcript:A02g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEQNELPEATPREAELQRQFDGIQRQVTELNRARIEVAENPKLSSEVQNLKEKLDEHSKQLEQSAEKLIQLESENLTLQDENQAFNTASNKKRRFRTQI >A05g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10854213:10854865:-1 gene:A05g503850.1_BraROA transcript:A05g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHLSSTLPPTLPWKYHMLLDESTLPPTFIDSATHFTLEMGCDELGDR >A01p001900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:861577:862164:1 gene:A01p001900.1_BraROA transcript:A01p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-10 [Source:Projected from Arabidopsis thaliana (AT4G36900) UniProtKB/Swiss-Prot;Acc:Q9SW63] METAREVAAAVPASTVASTAATRKREKQYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPEAAARAYDTAVYYLRGPSARLNFPELLAGVTVEGGNGAVDLNKLPDPETSDDD >A08p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23549219:23550354:-1 gene:A08p041790.1_BraROA transcript:A08p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMTLIARATDGLPLAEGLDDGRDLPDSDMYKQQVKALFKNLSRGHNEASRMSVETGPYIFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGPNIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVFLLFWVKNKLW >A07p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6410915:6414501:1 gene:A07p010280.1_BraROA transcript:A07p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVKIEAGDWERGGPGLWKFHVDHTRVKYDVIMKENDSYSTVIGIVRAKYKLDQILLPTKPMLLTYDFSEYTTTSGEYTSPPVEIKAYGNVEMFMARMEEDEVEQDEVVMRPPERRQHRDFRRDVYFLASESVLREICTTLSEMASEEFPPVIIRNRENQCTGVLAPTPKMLSGKGKEKEPAGASVVSLTTRWADVGPQDDLPSGQTQTCHGDGGGRDMASKDQLYVGRIFETRDAFKLHMSLYAIANKFKYLVKPSEPGKMVLQCGGANCGWRVYVVKVRGSTRFEIRTVEAAHMCSVNERWGFRHHATSSIVGGMIRQRAASVSNAGAVTPKVREVLTRNFSVSTGYDVRHIINAEFEPLLTPIRVLKHSWLRSTVTNIGLAYGGTINPVHDSQFDSESATGGDGMKLLPPRTRRPPGRPQKSRILSAGEFRGSRIFKRCRACTRCGRLDHNRATCRIRI >A08p018640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12838024:12838371:1 gene:A08p018640.1_BraROA transcript:A08p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSSIVLLQERFRQLQRTRELRAERELLNPKPNHQDNNILQYYTQPASFDFFQFLPLNSQTSSSQQLLSLSLCPISTSYSTEKPSFYHHWPNKDDKKVVGTDRYDDVDTSLRL >A04g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7453600:7454466:-1 gene:A04g503420.1_BraROA transcript:A04g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSEKAASRAKPAYVPTHLRNMQSEPVATLPQNDRPGYGGQPSRWAPGGGVGGGGGYRNDVGRTRYVPPPVNTFADIDFGEALNLNIRRWSVKTAAFCFPIISGIMRDQHLQRPRGSRTVYPLAIILSPTRELASQIHEAKTFTCQTGVKVIVAYGGTPINQQ >A07p041150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22231620:22232836:-1 gene:A07p041150.1_BraROA transcript:A07p041150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKKIAHEIGGVKRDALRFGLNGVKSDIVGSHPLESSYESEKRSHEAMKRTIIGHTYGTALPLKMDMDRQILSRFQRPAGPIPSSMLGLEVYTGAIDDFGFEDYLNDPRDSETVRPVDFHHEMEVRLGMSKGPVAPSFI >A03p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12236506:12243752:1 gene:A03p029120.1_BraROA transcript:A03p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILILMLTVIVISEVCLVEACRSYCGNITVDYPFGIRNGCGHPGYRDLLFCMNDVLMFHIRSGSYRVLDIDYAYQSITLHDPHMSNCGTIVLGGKGNGFEAEDWRAPYFNPTSDNVFMLIGCSPKSPIFQGFPEKKLPCHNISGMSCEEYMSCPAWDMVGYRQPGLSSGSGPPMCCAIGFESVKAINLSKLECEGYSSAYNLAPLKLRGPSDWAYGIRVKYELQGSDAFCRACVATSGTCGYESADGGGLRHVCICDHHNSTTNCDSVVAPTGASSSVRPKTIGSLILYFITMNIPSIPANKMGKKTKKAGKGKEKTERKTAKAEEKKARREGKKLSPEDDIDAILLSIQKEEAKKKEVLVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGQKTYVYGDLYRYDVDKQEWKLVSSPNSPPPRSSHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDVKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIIFGGFYDTLREVRYYNDLYVFDLDQYKWQEIKPKPGAMWPTARSGFQFFVYQDEIFLYGGYSKEVSSEKSSEKGVVHADLWSLDPRTWEWNKVKKIGMPPSSRAGFSVCVHKKRALLFGGVVDMEMEGDVMMSLFLNELYGFQLDNRRWYPIELRKEKSSKDKAKKNLEAKPMASNDDDDDDDEMDSAEGESPSAMGDVAGSSDGISERMAACLTVEGSTSKALKGRLDPQVSVSEEVVKPCGRINSCMVVGKDTLYIYGGMMEIKDKEITLDDLYSLNLSKLDEWKCEGKALRRKEKRARIEQIRANLGLSDSQRTPVPGETLKDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYLELKPILDELAILEAEQKAEEAERPEASGTSRKGGIAKKKR >A07g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11473789:11476253:1 gene:A07g505260.1_BraROA transcript:A07g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14321478:14326367:-1 gene:A02p028300.1_BraROA transcript:A02p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSKSRGWCGWFIALIVLAAVILAVVYMVKSKMKKSDDGDGAAGKLVNNKISWRGDSGLKDGSEADLDLSKGLYDAGDHIKFGFPMAFTATVLSWSILEYGDQMDVVNQLDPAKESLRWTTDYFIKAHPSDNVLYIQVGDPKVDHPCWERPETMKGKRPLIKIDADTPGTEVAAETAAAMASASLVFKDSDPQYSATLLKHAKQLFDFADTKRGSYSVNIPEVQDYYNSTGYGDELLWAASWLYHATEDKTYLDYVTKHGNEFASFGNPTWFSWDNKLAGTQVLLSRLLFFKKDLSGSKGLGSYRDTAKAVMCGLLPKSPTATTSRSNGGLVLVSEWNALQQSVSAAFLASLFSDYMLTSRLHKISCNGKIFKATELRDFAKSQADYILGKNPMGMSFVVGYGDKYPEYIHHRGASIPEDATTGCLDGFEYFNSTKPNPNIAYGALVGGPFLNETFRDARDNVRQNEPTTYNNALLVGLMSSLVTTSSALQSLK >A09p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9140051:9141553:-1 gene:A09p017680.1_BraROA transcript:A09p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLQDPLTSSTKPSQIKEEEKFDEETVSLQAESLVNTLAFPMVLKAALELGVIETITAVDEGVWLSSYEITIRLPTKPTNPEAPVLLDRMLILLASHSILKYRMVETEENENSKRVYAAEPVCRFFLNGGDGSGSLAPLFMVNLSEVYFKTWTHLKDVILEGKDACVSAHGMKLFEYIGSDERFSEKFNRAMSEASTLTMKKVLELYRGFEDVHTLVDVGGGIGTVISLVISKYPHIKGINFDLASVLAHAPLYPGVEQVSGDMFIEIPKGDAIFMKWILHDWNDEDCVKILKNCWRSLSEKGKVIIIDMITPLEPKANDFASNVVLAKDMVMLTQLSGGKEKSLSQFETLASDSGFRRCEIICRAYSYYVIEFHK >A09p057160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48305166:48307190:1 gene:A09p057160.1_BraROA transcript:A09p057160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALSPSPFDPTKTPNAEPKKSFMSSLITLRSNHFKEDTYFVSELKPAEQKALQDLKEKLSSSSFGASSMWGVPLLGGDDRADVILLKFLRARDFKVGDSLRMLEKCLEWREEFKAEKLTEEDLGFKDLEGKVAYMRGYDKEGHPVCYNAYGVFKEREMYERVFGDDEKLKSFLRWRVQVLERGVKMLHFKPGGVNSMIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVATKIFINVPWYFSVIYSMFSPFLTHRTKSKFVMSKEGNAAETLYKFIRPEDIPVQYGGLSRPTDSQNGPPKPASEFSIKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYTAEFVPNAEGSYAIVVEKPRKMKASDEAVCNSFTTGEAGKLILSVDNTLSRKKKVAAYRYTVRKSTTAAV >A03p016500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6581998:6583164:1 gene:A03p016500.1_BraROA transcript:A03p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCNSIDTLYPKPSLPHKSHFFPLSDTKLRNLSFAGFPHLRIKSCLKSASSSEIDMVRNKEGVFAPKEKKVVVLWDLDNKPPRGPPFEAATELRRVAEHLGRVVEISAYANRHAFIHLPHWVVEERRSRRSLDFAERKGEVVPEEPYVCGVCGRKCRTNLDLKKHFKQLHERERQKKVNRMRSLKGKKRQKFKERYVSGNEKYNEAARRLLTPKVGYGLEAELRRAGVYVKTVEDKPQAADWAVKRQIQHSMTRGIDWLVLVSDDKDFSDMLRKAREADLGTVVVSDRDGVLGRQADLWVPWMGVENGEIGEKDLVPEKRRRFDDEEVEEEEGDGLFSLAYDEDEREEMRVESDGFGRFSVSAFSENEGDFALSDSDDDSDDELSF >A04g505460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11653140:11653316:-1 gene:A04g505460.1_BraROA transcript:A04g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTNSLKTDMVKLINTTTTCLRKTKEKLDEEDDELVKDGHRETHEYGDGGSPRERR >A09g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27604303:27605332:1 gene:A09g509400.1_BraROA transcript:A09g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLQNAPNECFTFGVSIIGCKDFRQVSGTAGSVTKIGQASMNQNLMRALKIAASKSRFELFYWSLYESSFNGFSHQVLFRLILSVTFQTCLKNLIPCIPSPKTSGYVRFSVGNQLWLLHTIKASV >A08p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13663725:13665926:-1 gene:A08p020120.1_BraROA transcript:A08p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSNKVPSLRLIPTTGTLLNPSKSLSLPRVSFSLSSAASHKLTTSRKVIAMAVEGSRDLEMSNLTALSPLDGRYWGKVKDLASSMSEFGLIYFRVLVEIKWLIKLSKIPEVSEVPSFSKEAEVYLQGIIDGFSMDDALEVKKIERVTNHDVKAVEYFLKQKCESHPEIAKVLEFFHFACTSEDINNLSHGLMLQEALSSVVLPAMDDLIKSISLMAKEFAYVPMLSRTHGQPASPTTLGKEMAIFAVRLSEERRYLSETKIKGKFAGAVGNYNAHISAYPNIDWPHVAQEFVTSLGLTFNPYVTQIEPHDYMARLFNTISQFNNVLIDFDRDIWSYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANAELTFLSMKLPISRMQRDLTDSTVLRNMGGALGHSLLAYKSAIQGIRKLQVNEARLKEDLDQTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNEESIREFIKGLDLPAEAKSQLFKLTPHTYVGAAAALALAVDEALHL >A07p025730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14789444:14791835:1 gene:A07p025730.1_BraROA transcript:A07p025730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAESMGRDLDLVEENLTTVGGEISAEQVVAVDEKLCIPKETALDVSSDSGVTRPPQTVSDQQQPTVHVTFKHLTRASKQKLESLLQQWSEWEAEYTSLAQDQEQPLESGEETFFPALRFGLKKTSSVNAGSRLPSRYYQKAQSGKYDGLKPGTLDAETRQLLNLGELDPPPWLNRMREIGYPPGYLAPEDDHMSGITIFGDEMEETREEIESEDGEILEKTNPPEPQMKMTVEFPGINAPLPENADEWLWDAAAAPSHRSSRSNNNRWQQRTSRGHDYRDDDQLGFEPSSYPPRYGSRSDYGYGSKDRRSRSRSPIMERSLTERSKRDYYSSRDSDFMDRDRNKDRNRGWDRDRDWDRDDRNRDRGDRDRDRDWDRDRDDRDWSYRLSSRR >A09p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:470260:472000:-1 gene:A09p000700.1_BraROA transcript:A09p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 5 [Source:Projected from Arabidopsis thaliana (AT4G01280) UniProtKB/Swiss-Prot;Acc:C0SVG5] MVSLNARPAPFLDPVSVSFDSMASDSSDGFGFTPIATTPVTGRRDTASYKIRKPYTIKKSRENWTEQEHDKFLEALHLFDRDWKKIEAFVGSKTVVQIRSHAQKYFLKVQKSGANEHLPPPRPKRKARHPYPQKASKNVALPSHAVCSIPSSNAPLLQPAYLYSSSDSQSLLGNPASSSSSLNHESTNLPKPVIQEEPGVSATALPKNRCYSTSNKEVKPNLPTVTEPNNEEQSCEKPHRVMPNFAQVYRFIGSVFDPNTSGHIQRLKQMDPINIETVLLLMRNLSVNLTSPEFTEQRKLISSYSSKALK >A09p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10692305:10694187:1 gene:A09p020120.1_BraROA transcript:A09p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-dihydroxy-2-naphthoyl-CoA synthase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G60550) UniProtKB/Swiss-Prot;Acc:Q8GYN9] MADSKELGTASRRISVFTNHLIPLETIPTRVHSVELSTASSMNDNYHKVHGEVPTHQVVWRKADLVGDDGETKEFVDIIYEKAVGEDIAKITINRPERRNAFRSQTVKELMRAFNDARDDSSVGVIILTGKGTKAFCSGGDQALRTPDGYADPNDVGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFMTRFYTTSEADKMGLINTVVPLEDLEKETVKWCREILRNSPTAIRVLKAALNAVDDGHAGLQGLGGDATLLFYGTEEGIEGRTAYMERRPPNFSKFPRRP >A09p018490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9686962:9687285:-1 gene:A09p018490.1_BraROA transcript:A09p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGSWTSTSQFSGCGWAWMDNLGKVQLMGTQNYSRRESPLHSEVEALRWAMESMIKYSTCQSFGTDCKHLITILKEPQDWPIFATKLEKIETLQLCFPDFKITHIL >A10p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2131446:2132185:1 gene:A10p004360.1_BraROA transcript:A10p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAEKNNPGWSKFGSENPPPAKFPAPTPSMVLIIIIINGFQCKRVDYHHQQSFKAKGIAGIIVHAVAKTNAIVAGLIVIEAIKVLKKDVDKYSLLL >A10g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3197982:3200046:-1 gene:A10g501100.1_BraROA transcript:A10g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVTIREDEEIGYAFLSISLSLKLSSTKGDSTSPSVTLVGDVSFGVSHRRRLLRWLLSATSFSVVLLEATEFSLGGSLEIESLLVLSLGGSSPPNFLSIEGSKFIEQRFKQFRSSLQNKGNK >A02p060110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35842677:35845212:-1 gene:A02p060110.1_BraROA transcript:A02p060110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKKKDLLSSAMKRTSEWISSQEVSSDVTVHVGEASFSLHKFPLLSKCGLFKKLVSESTNDSDATVIKIPDIPGGSEAFELTTKFCYGINFDMSTENIAMLRCASEYLEMTEEHSVENLISRSEAYLNEVALKSLSSAITVLHKSEELLPIAERVKLVSRCIDAIAYMTCQESQFCSPTSNNSNSEVVSKQPVVDWWAEDLTVLRIDSFQRVLIAMMARGFKQYGLGPVLMLYAQKSLRGLKCLYTENLRSGLVNQFSSLQEIFGKGTKKIEPKQEHEKRVILETIVSLLPRERNAMSVSFLSMLLRAAIYLETTVACRLDLEKRMGLQLPQAVLDDLLIPSFSFTGEHSLFDTDTVQRILMNYLEFEVEGVRLSNNGVDLAGDMERVGKLMETYLAEIASDRNVSLQKFTGLAELIPEQSRVTEDGMYRAVDIYLKAHPNMSDVERKKVCSLMDCQKLSREACAHAAQNDRLPVQTIVQVLYYEQQRLRGEVTNDSDSPAPPPPQPTATVLPPPKLSSYNDELSKVKRENQDLKLELLKMKMKLKEFEKENNEKKSSTTTTMSSNHSSPRSTASMDKPPLPRKSFINSVSKKLGKLNPFGIPPRGRTKPPKDRRHSIS >A09p015390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8004485:8006446:1 gene:A09p015390.1_BraROA transcript:A09p015390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFSKPRALTSLVSHFKTYRPITGKLHVATLTLLLFLVAAAVAVTSSLWLSKTTKQFDRPTLVTTKPVPELESPQKTGVLVNCTSLLNKNRSGSCSRTSLWLNKTKSYNPPTIITTKPVHAPVPVPEKKSTKKTRISVDCTSFLNQNRSGSCSRTAQPGYKDQTESNRACPDYFKWIHVDLKPWRETGITREMVERGQTTAHFRLLIVNGKVFVENYKKSIQTRDVFTLWGILQLLRKYPGKLPDVDLMFDCDDRPVIRSDGYDKSNLTAENAPPVLFRYGGDRWTADIVFPDWSFWGWQEINIKPWSKVLKEMEEGKKKKKFMERESYAYWKGNPFVASPSREDLLTCNLSSQHDWNARIFIQDWISEGQKGFENSNVADQCTYRLVLSRGGPTCINGGSADTP >A04g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2360720:2365663:1 gene:A04g500840.1_BraROA transcript:A04g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPEIDIVFSLTLNCSSPRYYFTDRRIGTGKAFFSSYSGNGDSGTLTILLHICAVGRPSPSPELHSICIWNILANSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINQLLKSGPRGADMGATYGFSPARHSSPFRGQNDDFNYTEVNPDRHTTHSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPEKQTESTHGAELRAQTGVHHGGDAGIGNVEVGEQGGDADIGHDPINVENPSPSVNVGAPDNSDAANPPSRVDVDEVNISSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDARGDATVANKGEDADEDDVTITKVQAGDKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPPVRKKDGNKKVARQTDDNPAPPKRGKKVAAEPSNPKPRRQEKHTFIGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPYMVSRFCLTSRARELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPAV >A02p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31628356:31630733:1 gene:A02p051640.1_BraROA transcript:A02p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYGFDPMKTVRPEEFATPYSATSIISIANIDDNQTRCSLSILLEFTGTRIHASVGEQLIKKFDDKLREGDAIVLQLFKVYDATASVTTLMEVVSAHLKIKPSLPQIEDPKTVNDIEPYTVKIHSFFKWMKYVGDKIMGGRKKEESEESMKLTVEQKEIKEGILKWETVIARITITMV >A06p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2025866:2028023:-1 gene:A06p006070.1_BraROA transcript:A06p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARVNSPSTCTVTLEVLGHKLNFAQDPNSKHLGTTVWDASMVFAKYMGKNCRKGRFSPSKLKGKRAIELGAGCGVAGFAMAMLGCDVVSTDQKEVLPLLKRNVEWNTSTILQMNPGSASFGSLRVAELDWGNEDHIKAVEPPFDYVIGTDVVYSEQLLEPLLHTILALSGPKTTVMLGYEIRSTIVHDKMLQMWKDNFEVKTIPRSKMDGEYQDPSIHLYLMSQKASAESSGNAVQDEPAVVVDAADETKCEKEVSTLECLVDSPNLLEEEDVATHRPKLREDSLLMRLREGKMSEWEMRRYGKVAAQLLRDVKIDVQVKK >A05p035820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20266628:20268586:1 gene:A05p035820.1_BraROA transcript:A05p035820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRWIKALVGFTKSDKSKSKKKDDNVKTATKSRFGRNHSVDFDAEKFQLGFEDSNTHSSMLDNGVSTSTSYGAANEEQRKEHMAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAVTLRCMQALVRVQARVRARRVRLALESETGPQMLQQQLADEARVREIEEGWCDSIGSVEQIQAKILKRQEAAAKRERAMAYALTHQWQAGTRQLSAHSGFQPDKNNWGWNWLERWMAVRPWENRFLDSNLRDDAILGENNMEQTENVVHKTQMKIASKIPNTSNLASGVSSQKVTGPSLSDGNSTSPGISSSMPVPVVSKDRSKLAKDDLAVEVVNSRPGAGPRSQSNPKERSRAPDRASKERLSLPNSGKSLGSQSAKAKSSQNQKRRNSDPIKQRLA >A01p012430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6097356:6099780:-1 gene:A01p012430.1_BraROA transcript:A01p012430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLEEIIRSVEAFLKLKNSTQKPYVDPNLDPVLLVPGIAGSILNAVDHDSGKEERVWVRIFGADHEFRTKMWSRFDPSSGKTISLDPKTSIVVPQERAGLLAIDVLDPDLIVGRESVFYFHEMIAEMLGWGFEEGKTLFGFGYDFRQSNRLQEAMDSFAKKLESVYKASGEKKINVISHSMGGLLVKCFMSLHSDIFEKYVQNWIAIAAPFRGAPGYITSTLLNGMSFVNGWEQNFFVSKWSMHQLLIECPSIYELMCCPYFKWELPPVLELWREKESNDGVGASGVVLESYRSLESLEVFTKSLCDNKADYCGEPIDLPFNWKIMEWAHETKRVLHNAKLPPKVKFYNIYGTNLATPHSVCYGNEKMPVKDLTDLRYFQPTYICVDGDGTVPVESSMADGLEAVARVGVPGEHRGILNDHRVFRMLKQWLNVGEPDPFYNPINDYVILPTTFEMEEHHENGVEVASVKESWDIISDDNNNNASTVSSISVSRPGDDENPQAEARATLTVHPQGDGRQHVELNAVSVSVDA >A03g501900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6028412:6028933:-1 gene:A03g501900.1_BraROA transcript:A03g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANDVAQPMVQETNIANPQIISLGNICLLDGAWTTSANFSGLGWVWMDNTGNTQLMGMKNLPRRESALHSEVEALRWAMENMLQHSTCQRFGTDCKELIAMLDDPHAWPSFATELERIETLRICFPEFSITHVPRARNQFSDFLAKNARSFHRELLFIGCSIPVWLPRPPQA >A01g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10773518:10778240:-1 gene:A01g503410.1_BraROA transcript:A01g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDCSEEPEEPDMQKIPEMMFAAGEEPVGVRILTYQSSTAPQRILNALDEEELVMVAAVPALTEVVQDSYSSSDSDSEDIDGSGRDIFTKKRTLNPAHARNLDKRTDFIVHSILVQDPERPIDEAILVRHDEVHDSRVDNLVEAVRRNYQFNNSCFRGGIRKIDVVHMREKVKSSAKCKRAKKGITTSSEAENSVIVDLVLDKIKPQIDVLESNIKIGSSRVDAIEGGVRKQVETLLSKFKGEMLSSLKDIVSEVCKDHLAAHKGPGNYQPSSPTNLFVPGCHTSHVADANAKTIENVLRDISQYSTPPRSNRICESVNPTPTTNQQVESGNVCGTSVIQSGAQSANSENRSRQQSFQHKLTPQNNKDNIADEPSFSLGLTQEEQIQEDIPILGQTCPHHVQLSQTNVDDNIEGISSSRRSKRQKTVPSGLVEDYLCGPHLLSRAKESQRSIFATLDISELVRKFTNLESKMKSNFVINVSGLAVSRREILQICERQRNYTAKVVDILIRVLRSVILDQLPSEGSHSAEFLDSKFGAAIMKNFVRFLKSKNKESYIFPKSLSGIFPTKEAPKVNPRKYYFPLNVGNKHWVGICFDAVCGTVTILDSCLALHKQKALEKIITPVIQTLPYLARYASLDIDTEPVIQCYDVARPKSVAQIKNEADSGLMSLLFMARHALYGPEACKNIGDDVLMAIPLSVTLHHGAWRRADDGHWTFHRNPSDLGYTVMVKPTETVQDLETIIRERYNFKPETPMVLAYHLPEWMLEPEGTRTPPITLTTTPQVETMMQIRSWWSELKLCVTSGAENVAHYQFLSQTTFTISGATFVFKGYNDKELLASKEVLEECFKEEEMVPIYRVHLEIEKAKSKERGAQGLPSMATEAEGSGSSPSGSQG >A04p040320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22721543:22722619:-1 gene:A04p040320.1_BraROA transcript:A04p040320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMMSDYKVDTINDDLQMFYVTFHGPTDSLYEGGVWKIKVELPEAYPYKSPSVGFVNKIYHPNVDESSGAVCLDVINQTWSPMFDLINVFESFLPQLLLYPNPSDPFNGEAASLLMRDRAAYEKKVKEYCKAYAKPEDIGAPEEISSDDEDDSMSERGSDSDDNDEIAGKADP >A04g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5937419:5943002:1 gene:A04g502500.1_BraROA transcript:A04g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLTGSTQLDDCGTGCEALGHDIEVIANWNGLVESLDLFLILGRTGSTLETVQMSNQGSGAGGSFGTSGQDGSRYANYHNFWDALNSANQTGDSGPRSMQGVERAHKPFVGPQRPHSSHADSEGSTSIRINSRPAEPATPTPAPAQAPAEGSRPADPTLSMVHNLLSKVLTNKVTPSPEANQPETRQPDFLNQSKDDKLRTNQKKGRKGQFKRHGKKPQAQVTCYNCGQLGHYSRECTNSMAEKTDWKASVTCYNCGERGHFANECTVNRPGQGRGSSALFCRYEKIDKKRARGDRRFETEEGEALSK >A02p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24680225:24684723:-1 gene:A02p037770.1_BraROA transcript:A02p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPESHQTVQTGHLGGVKRSLSSFQEAQDQDKFSRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDISMHSGSLSNGPEESDKFIPCTSPHRIRRILINPNLPYLELLAIQLQQLFFLQIRHDLSTLQTIKNVPRKLSYPLKPSRYKENTIYIHLPKILIIKPPTASFHGAINSFAFKLIKASFHRALWRPSSHLHPLIDLESDTHPARPVPSSFISHPLILVESDTHTATKISSPSIYPFLFEAMVGKTHGQSQMAKQNQQLTALQEINDRIAQLRKRNKARVQRPQQGERRFGDAPEAAKVSPILDKSVNESSTTCMSHLSLSKDDKTGPEVKKETISKSLLDLKSMLLKEAKPVIKVSHQGKFQTPPLDTSTDVCVLGTGRTNESYKLIVVPKKEPDPKLSHEPTSNDRGSVQGVYLYNQKEFQHETNFIGFYTPEAVQPNWNRAKVFTEQEVMNFTSQRFSSPSICEYPTLEGNSSPRKERPEPKLIIGVKRSLSSFQEAQDQDKFPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDISMHSGSLSNGPEESDKFIPCTSPHRIRRILINPNLPYLELLAIQLQQLFFLQIRHDLSTLQTIKKVPRKLSYPSNRPDTRRTPSTFIWPRFSS >A02p057950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34838788:34839594:-1 gene:A02p057950.1_BraROA transcript:A02p057950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVQGKWIGSSNYNTLLDPLNGEPFIKVSEVDESGVQPFVESLSQCPKHGLHNPFKSPERYFKSPERYLLYCDISTKAAHMLALPKVSDFFTRLIQRVAPKSYQQAAGEVFVTRKFLDNFCGDQVMQCSKKSV >A01g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1585754:1586308:1 gene:A01g500380.1_BraROA transcript:A01g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKASGILLDLESQESCGSPSFMSHTPSKDPQPTSGDEDDGDVGRIPLEEWLPITESRKGNVFTATFHLLCSGLGFQVLLLPAAFAALGWVWGIIILTVAFAWKLYTTWLLVHLHEAVHGTRLSRYLRLAIASFGNIVITILSNS >A03p042100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17616527:17618197:1 gene:A03p042100.1_BraROA transcript:A03p042100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSNNGHNSRTCPTRGSGTCGGLGGSSSSAVKLFGVRLTDGSIVKKSASMGNLSALAVSPSFPLAMGNHSDSPLSDHARYSNQDNEGYLSDDPARGFGPIHRRVERKRGIVLLLKLIVSYHRFSEVVCGKASSHLNLSPWLCVRVYGVEFDIGLLLKLIGSYHRFSEVVCGKASSHFVYHVVEHGVYGVPWTEEEHRLFLVGLRKLGKGDWRGISRNYVISRTPTQVASHAQKYFIRHTCSSRRKRRSSLFDMVTDSLPTQEDTSSPSKELKNKTYLPSLELSFNNTTESEVVTTTAPPQGELEEAIEPSNGLSTMLVPSGYFPPCFPVTCTIWLPASSTSLQGTEHSLEAETSSQQHHVLKPKPGFAKERVNMDKMAGEPSRPSAFYSNGSVNSSDLSKGNSAIQAI >A09p074140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56311678:56325317:-1 gene:A09p074140.1_BraROA transcript:A09p074140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRADRYQRGSSGSENPNDIRCADARLNTPILVTGGNLNNKDGNYVATQHHFENLKQRYGKLIIILNLLKKGKHRENILLEEFRKSIWFINGCMKENYLEAIHFDLHEHYKSGADAAFGYLCAYAKRALGLINLFFCEAPSVLILMLPLSSNHIPNQDEEAISSEEEALKADIFMLQKGVLRSNCLDCLDRTNFAQYANGLVVLDHQLHLLGIRGPPVVDMNNPLAEKLMETYEKMGHVIAMHCASLLQQRLYNDSEKQDAINVFLGEFKPQLGRPALWDLRSDQRNTKRSNLNLDIENLRSKLTRSFSDNLLLEGLDPKKLVLENPQPSREGLNGGWETTSEFGFYEEDSSSPSVHSAIRDEDHLRGTESRQMFPGSSSTSDSRWLDDVPGFSHSYNAKFTTADEMFERSSSMSSDNMFSDMYKSFTSTTGTDHPSDADQPADGIVLVPSFSIDFIQWVEHGRALERNYYLIGRDEKKTFWRILKIDRTEPNELNLFEDPTRYTRDEITQLKKWISRGNQKYGGLRAETTCYGLIGFVKFLGPYYMLVIKRRKKVGEICGHTVYGVVESQMIMIPYPSRKTIDADSSAERKYKKLLNMVDLSKDFYFSYTYHLMYSLQKNICNTERGKIHDETMFVWNEYLTHGIRRILQNTVWTVSLVYGFFQQTKCLVSDEQFILTVIARRSRHYAGTRYLRRGVNEEGSVANEVETEQIVTKEVPEGQKIPITSVVQMRGSIPLFWSQKPSVFNPQPNIILNNKDRSYVATQLHFENLKQRYGKLIIILNLLKVGFPRQAGKHRENILREEFEKAILFINGGTRRENHLIANHFDLNKHYKSGADRAFELLCADGKEALESINLFFGEAPSGIGADGVINDIFFNSPILNRDEEATSSKQEALKANIFMRQTGVFRSNCIDCLDRTNVAQLAHGLVALAHQLQKLGIRGPPIVDKNTPLGKKLMEVYENMGDAIAMQYAGSDAHIKMFSALRGDWNIVKKNRDKIIALRRHLYNTFQDSEKQNAINVFLGKFKPQLGKPALWELRSDQQNTMRNSSNLNINNLRPNHSRSFSDNLILGSLDLKELVQENHQPSRAGLNSGWETTSNGGWETTSEVGFCEEEPSSSRVHSVIRDEDNLRRIGSRQMFLEVDSTSDSHGLEDAPGLSHSYNATFITAEEMFERCSSTSSDLIIEVPSGPISIFKGVSDDFAQWVEEGRT >A10g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9022493:9025247:-1 gene:A10g503450.1_BraROA transcript:A10g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIGGAGEGRRVKLVYFLSSRSGQVDQPHLLSVHHLSRNGVFLRDVKRWLAGVRGSAMPDQYCWSCKRRYKNGYVWQDLLDDDLITPISDNEYVLKGSEILLSTPKEESPHAEKKAWKTRNFGDGSIDAKENLLKSKLTSDMIHKESPVFCSQRWTATTSTVTDESTTNDEETFVLKKPGLKKVSGEGHGSTGKVSGNDTESGRTSVSSTTSSSSFIKGKSYSSGRASQVLRNLIKYCGGLDTNDAVLVPLNKSASRSFGATWEDEPRFQYQQHNARKSFEGAWNAIKMKDTIEFCKPKVASSKPSMAPLCSLEGMLMKVSIFALVQALVYLILSTSSSVFSKSNTMKRLHSFRSARSMSIRRILAVLQDMPAGGEISPSSMSLSSFLASPSSSPRI >A08p014830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9812226:9812606:-1 gene:A08p014830.1_BraROA transcript:A08p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAVSLFMPLTQNRSPSSTKTVALRKPLLPLKPSKASSSSLAMPSRRFQVNASNLKMEKAVSGLAAAALTVSMVIPEVAEAAGSGISPSLKNFLLSIAAGGVVLTVIIGVVVGVSNFDPVKRG >A01p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14799593:14804311:1 gene:A01p038880.1_BraROA transcript:A01p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSLEESTDCAPPQKVQREDDSTQIINEELVGCVHDVSFPENYVPTPAQADNKPPAKEFPFTLDSFQSEAIKCLDNAESVMVSAHTSAGKTVVASYAIAMSLRENQRVIYTSPIKALSNQKYRDFKEEFSDVGLMTGDVTIDPNASCLVMTTEILRSMQYKGSEIMREVAWIIFDEVHYMRDSERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPAGGSGLYLVVDEKAKFHEDSFQKSLNALVPANDGDKKRENGKSQKGLILGKLGEESDIFKLVKMIIQRQYDPVILFSFSKKECEALAMQMSKMDLNSDDEKDSVSNILPILKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDKRGICILMVDEKMEPAVAKSMLKGSADSLNSAFHLSYNMLLNQLRSEDGDPENLLRNSFFQFQADRAIPDIEKQIKALQEERDSMVIEEEESLRNYYNLILQYKSLKKDIREIVFSPKYCLPFLLPNRAVCLDCPNDNGEQQSFSIEDQDAWGVIMKFNKVKSLSEDDDNRRPEDANYTVDVLTRCLVSRDGAGKKKSKPVPFKERGDPVVVSVPLSQIKSLSSAIMNIPKDYLQLEARENALKKVSELLSRHPDGIPLDPEVDMKIRNSSYKKTVRRLEALENLFEKHKVAKSPLIAQKLKVLHMKEELTAKIKSLKKTVRSSTALAFKDELKARKRVLRRLGYITSDNVVELKGKVACEISSAEELTLTELMFSGVFKEAKVEQLVSLLSCFVWRERLPDAAKPREELDLLFIQLQDTARRVAEVQLDCKVDIDVESFVHSFRPDIMEAVYAWAKGSKFYEIMEIARVFEGSLIRAIRRMEEVLQQLIVAAKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >A05p054610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31267958:31270041:1 gene:A05p054610.1_BraROA transcript:A05p054610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLRSVIAQAHRSWRSVAPRCNFSSSTRESKNGQGKRAVCTIFIIRGLAMGVGYVIGEVYWHDWVQENQRKYDENIEYFERSEKMWAQFAAEDRLRSRAALVSDRKMNLRRRQRMLDKQIESNNLLREQVMKADSL >A07p043490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23781618:23782725:-1 gene:A07p043490.1_BraROA transcript:A07p043490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLQSLRLQLFSRTLFTVGLVTLLMIDAFALQNNNEADTTKDTTTIMSMKNSITHGNEHEDGTRHGDLSYAGSKRKVPRGSDPIHNRRAGKSRRPPGRA >A01g510400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28553561:28554183:1 gene:A01g510400.1_BraROA transcript:A01g510400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQSWSYVKDKAIVSNLAEQEWENSMDGEEEDAGDEDKRKRVMERARGTNTDRVPPRLCQVHRCTANLTEAKQYYRRHKVCEVHAKASAATVSGAKQRFCQQCSRFHELPEFDEAKRSCRMRLAGHNERRRKVSGDSFGERSGRRGFSSQLIQTQERNKVDIKFPMANTSFKRP >A02p029460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15050093:15052067:1 gene:A02p029460.1_BraROA transcript:A02p029460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRALTSRFNIPSTLSPYSHRRSSSLAAITRRITPVIVSAKRSPIEGVSEELNLIASEELDQAPARRRVRSAFVDLQLQLDHCLFKKAPVGIRTEEWYERNSKGEEIFCKCWLPEAGVKIKAAVCFCHGYGSTCTFLFDGIAKQIAGSGYGVYAIDHPGFGLSDGLHGHIPSFDDLADNAIQQFTKMKGRPELRNVPRFLFGQSMGGAIALKVHLKEPQAWDGLILVAPMCKISEDVKPPMLVLNALILMSTLFPKAKLFPKKDMSELFFRDPSKRKLADYDVICYDDQTRLKTAVELLNATRDIEMQVDKVSLPLLILHGAADRVTDPNVSKFLHEQAISEDKTLKLYPGGYHCILEGDTDENIFTVINDIVAWLDARTTPK >A05p001060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:72003:80434:-1 gene:A05p001060.1_BraROA transcript:A05p001060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCC3 [Source:Projected from Arabidopsis thaliana (AT2G47980) UniProtKB/TrEMBL;Acc:A0A178VN21] MSTGATQGPHSLAFRVMRLCKPSFHVDPPLRIDPFDLLAGEDFSDDPASASLLRRHLSSAEGVDSDLSYRNRFLINHPTDPIGLSGLLLLPQSFGAIYLGETFCSYISVNNSSTSEVRDVTIKAEIQTERQRILLLDTSKSPVESIRTGGRYDFIVEHDVKELGAHTLVCSALYNDADGERKYLPQFFKFVVANPLSVRTKVRVVKETTFLEACIENHTKANLFMDQVDFEPAKQWTALRLHDPSSQHPPSSVLGGIIPNPPVIIRSGGGIHNYLYKLVPSADVSGQTKLQGSTILGKFQITWRTNLGEPGRLQTQQILGAPVSRKEINMRVVEVPTAIHLNRPFSASLNLTNQTDRQLGPFEVSLLQDESQMEKPVAINGLQKLKVTPLKLLQMLPRLEAFGSSDFQLNLIASKLGVQKIGGITTFDTREKKTYELVPEMEVSVLLTVFFIDICRVGLVIFRGIVITSKKRTRDQDDDNSGGGENQNQKQERSTSQDQKSPELDDDDFQETRPQSKRSRTLPTHQNLIEVVKGKVDLIPKAVKIWVERYENSPNLATSELLSMLFQACGAKYSIKEDLLDETDVDDVVVALVNLARSGEIEDYHTSRKKEHKNFKENLVSFWNCLITDSQNGPLFDKLLFDNTPPRVYRHTATLMGLQLVTSFISVANTLGSHRETTQRQLNAETKKRPDGPLLHSLNKRLSLSHQHITTLEDMMRKIFTGLFVHRYRDVDPDIRMSCIQSLGIWISSYPSLFLQDLYLKYLGWTLNDKAAGVRKASLLALRKLYEMDENVPTLGLFTERFSNRMIEMADDVDLSAAVCAIGLVKQLLRHQLIPDDDLGPLYDLLIDQPQEIRRAIGELVYDHLIAQKFNSSPSGLTGHDDSSSEIHIFRMLQILREFSTDPILSVYVIDDVWEYMKAMKDWKCIISMLLDQNPRTGSTTDEDTTNLVRLLFASIRKAVGEKIIPSTDNRKQYHSKAQREMFENNRKDITVAMMKNYPQLLRKFMADKAKVSSLVEIVMFMKLELYSLKRQEQSFKAAVRLIKDAFFMNGEKEALRSCVKAITFCASESKGELQDFSRGKLKDLEDTLFDKLTSAIKEVKDGNDEYSLLVNLKRLYELQLLKPVLGESMYDELAMTLHDFRNLDEEVGKEIRLELLLYLIVICFLLLNMHMYVVWSLHSVVNCETVSEASLSSLISKRDILFAELTYFLNGVEESRKYGNQLALRICALLAEEWCLFRKSTFESSKLEMLGYCPESVILKKFWKLCEEIFNTSDETDEEDENKEYVAETNRDVAAIAACKLVNCGVVPKVITKCIDHAADYLGPEIISHLVMHGPSVTEVIKNLITCLRKTEDDISSIYMESLKRGYQRYESELSCDGGESRVEKCLQVCRELAGRLSGMYVGAARNKHRLEILSVVKEGVEFAFRDAPKQMLFLEVAILPFATRLSVPDIIDIKREVQGRIVHVNTDEDPSGWRPCFTFLETLEEKCLKTEDVQDDKEGVAVKRKGRPKKRAETERKRLFDEESGSDEDESISGGSDKEDKGDFVDEDAPLIETIRSAARRRALRGERSK >A01p054480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31020898:31023181:1 gene:A01p054480.1_BraROA transcript:A01p054480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALEDNNLMEKVLPPDEQEIDVELEAKEKKYLRGEGANLESLKDKKLKTQLASREKLYGKSAKAAAKIEKWLLPASAGYLETEGLEKTWRIKQTDIAKEVDLLSSKNQYDIVLPDFGPYKLDFTASGRHMLAGGRKGHLALVDMMNMNLIKEIHVRETVRDVAFLHNDQFFAAAQKKYSYIYARDGTELHCLKEHGPVARLRFLKNHFLLASVNKIGQLHYQDVTYGDMVASIRTGKGRTDVMEVNPHNGVVALGHSGGTVTMWKPTSQAPLVQMQCHPGPVSSVAFHPNGHLMATSGKERKVKIWDLRKFEEVQTIHGFHAKTLSFSQKGLLAAGTGSFVQVLGDSSGGGGGYSRYMSHSMVKGYQVEKVMFRPYEDVLGIGHSMGWSSVLIPGSGEPNFDSWVANPFETSKQRREKEVHLLLDKLPPETIMLDPSKIGAMRPSRRKERPTRGEIEAEKEVAIEAAKSVEMKKKTKGRNKPSKRTKKKKEMVENAKKTFPELEHSNIAGKKRRIGEDAAAELPASLKRFARKN >A06p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4126006:4127725:1 gene:A06p011190.1_BraROA transcript:A06p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVESNAPLADPHIVGNAFVQKYYNHLYESPSQVHRFYLEDSVLGRPGPDGEMVSVNSLKAINEQIMSFDYENSKIQILTADSQPSYKSGVVTLVTGLLTVKDGERMRFSQSFFLVPQKGSYFVLNDVFRYVSDELVAEPEANKKEVEEEVEVIPQVAEAVAIPTQQTTETLVKEPARAVENVDAPKKSFAVIVQSPAKVGAAFNVKASSPAKPVKKLSAALESKAHAPVPQSDQSAEGGSIFVANLPMDATPEQLNETFKGFGVIRKDAIQVRSYRLKGNCFGFVTFESAEAVKLVLQAHKESAIRIGNRRVSIEEKRGNNENGRSSMRNGGYRSENGYRNDGFRPRGNGFNGGGGGHGGNGYERRGESRNAEANNNGDGSRAYQNGLVKSSRENAQARG >A05p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12049553:12050225:1 gene:A05p024700.1_BraROA transcript:A05p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKLLRMGKWRTQSRLKTDVDTRVVTEGDEELGGNAGEEEKKKGSRKVLFKKPPGIAVGTSKMRLVQAVLSPRKNGSTKSGKHQGGGEGAKQTEEKGPLNPKNSSAKPLN >A06p017160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7682431:7684172:-1 gene:A06p017160.1_BraROA transcript:A06p017160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSSWTSKQNKMFERALAVYDKDTPDRWQNVAKAVGSKSAEEVKRHYDILVEDIENIEQDLVPLPKYKTIDVGSKSRGINDFDLSLNNTIITSSFRILHLFQYIFKTKFAGDRMAKTWVAVVLSVMLLVSINSVVAEEEQPTTVGQRIDSALTGVTNAFNEHGGKDAVETISSTAKSVYGWFGDKA >A05p052410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32398237:32398692:-1 gene:A05p052410.1_BraROA transcript:A05p052410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSAAVAKGKKKGVSFSIDCSKPVDDKIMEIASLEKFLQERIKVGGKAGALGDSVTITREKNKITVTSDGQFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNLYELRYFNIAENEGEEED >A09g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5538293:5538966:1 gene:A09g501550.1_BraROA transcript:A09g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLHKSYLLAFYTADEGQAQISALHLIEARGARKTPHVRTPEIFKLQNFFRLGSQGFEHTEVDPAAVFVCFLQAWSEEYVCKLALA >A05p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24549739:24550816:-1 gene:A05p040060.1_BraROA transcript:A05p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTNEYLALILVTFLISLYYHPISATPQHGHAHFVLVHGAGHGAWCWYKLIPILKSQGHNVTAVDLAASGIDLGRAETLLSINEYIKPLMDLMNSLNVDEKVILVAHSFGGLAISKAMELFHDKVHMAIFVTALMPGPTFNFTFLSKGLVRWQAPLLDMKFIFGDGPNNPPTLSIGGPLFLSLNMYDLSPREDVELAGALVRPQRLFSNEDIDTSLVLTPERYGSVNRIFVVSEKDKTLMKEFQLWMIKNNPPNHVEHIQDSDHMVMISRPLDLGDRLLSLAKKFA >A06p016920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7592598:7595709:-1 gene:A06p016920.1_BraROA transcript:A06p016920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIKRKMGIAHFARRIKHPRGLWVKMAFIVVLGLCFVFFWSFLSSYASSFNVQRESFDDIAEPVSSRTKPARNEVTESSKSHERSKVESGSKSKEGRHVGGSVHKHETKKKKEHVVVSHPHKKKDLPKPVVVVEEEAVVKEVQEQVEAETEESESNKEDGEDGTESDGNEGESDQNGDESSASVDEEVEEKKEEVEISKKRKRKGPVFDPKAEYSWRLCNTRSKHNYMPCIDNDGLIGRLQSYRHRERSCPKKPVMCLVPLPHDGYDPPVPWPESKSKILYKNVAHPKLAAYIKKHNWVNESGEYLTFPQNKTAFSGNVLQYLEFIQEMVPDIEWGKNVRIVLDIGCSESSFVAALLDKDVLTVSLGLKDDLVDLAQVALERGFPTFVSALASRRLPFPSGVFDIIHCAACRVHWHSHGGRLLLEMNRILRPNGYFILSIHPWCAAMTALTASICWNILAHKTEEASEMGVRIYQKPESNDIYELRRRKNPPLCKENENPDAAWYVPMKTCLYEIPSAIEQHGAEWPEEWPKRLETYPEWLTSKEKAVGDTDHWKAMVNKSYLTGLGIDWLHIRNVMDMTAIYGGFAASLVNQSVWVMNVVPVHSPDTLPFIYERGVIGIYHDWCEPFGTYPRSYDLLHADHLFSRLKNRCKQPASIVVEMDRLTRPGGWVVVRDKVEILEPLEDILRSLHWEIRMTYAQDKEGMLCAQKTLWRP >A03p069880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30701958:30702358:-1 gene:A03p069880.1_BraROA transcript:A03p069880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISFKSAFIILLAFTSEFKTALSALLMFITSCTPVVISITVQIGEAKRMLQEEKSLPLLDLQVSKLVNPQMVGFCKEPCKPLCFQFSCHCVCPEPPTL >A01p029070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20706658:20707285:1 gene:A01p029070.1_BraROA transcript:A01p029070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDGCVHPPVTNVTEVHSGDTSSKGSEFILTSHIVSCGYNKETDNGESVDDVSVTPPKHNRVIEDKDDFVDPPDTQATQFQGGEAFMKGIQVSEMYEYNDVVPAFNDMVASGFNLHDIDYIKEYSDRYIGRMFKDKAQFKLTMAIYAITKACRFKFRHAKWFITAKYLDKECAWKGST >A07p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15222385:15225045:1 gene:A07p026690.1_BraROA transcript:A07p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLGRLRRFALPTKVDAVNIEELFPTTQIDGLARASKDMQEMRERYDRLLSVAAATANSAYEFSESLGEMGSCLEQISPHNDEESGRILLKLGQVQFELQKLVDTYRSQIFKTITRPSESLLSDLRTVEDMKQQCEEKRDVVKNMVMEHVKDKVHLKGSKGERLIRRQLETARDELQDEATLCIFRLKSLKEGQARSLLTQAARHHTAQKHLFLAGLKSLEAVEKQVRIAAERQHIDCELSDNENEMESSEDDDDDDRHFKRDGELSFDYITSEQRVEALPTPQGSTKVNADHREENPMSYQDRRKSSHSAPLFPHEKSANAYVLPTPVDSKSSSIFTQANHSAHLWHSSPFEPIKTVHKEAESNLYSRLPRPSETTQHAFSGPVKPSSTRLPVPGQAHSSSPRLSPAASPPLASSPRINELHELPRPPGQSAPPRRSKSPVLTGHSAPVTTWSQERSNVAVSKNIVASPLPVPPLVVPRSYSIPSINQRDQPFPDTDRVVSPPPLPLTPASLMNLRSLSRSRSRSRVGEAASQSGQLRGNEHCVFCNLGTAKSSSSPMILRQELLFMSNAGVKLIGR >A07p050070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26699936:26701251:1 gene:A07p050070.1_BraROA transcript:A07p050070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSVFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKLKPLIVVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGIPALLLEGNGILSWFDAHPSPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVMVSWLIFRNPISYMNAVGCGITLVGCTFYGYVRHKLSQQQQPGTPRTPRTPRNKMELLPLVNNDKLEGKV >A03g500500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1490347:1490550:1 gene:A03g500500.1_BraROA transcript:A03g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTIVRQHYMGLEVKSTMDKGREGPSMKQVMHSESQNRGSTAPPCTIKRKENKTRPTTKLQNRYDD >A06p015300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6856261:6858397:-1 gene:A06p015300.1_BraROA transcript:A06p015300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G17850) UniProtKB/Swiss-Prot;Acc:F4I933] MMLSLVQAEKIMRASPALSLSLTIPLPLASTRTRFSSEPLRFKQHVSNTHFFSRPVRLLSKQPIVRWSFSCQCRNRGRNYSKFDDEGEDFIVVNFYRFVSIQDPAAEIAKHLSFLQDLNIRGRIYLNEQGINAQYSGPSKDALAYVEWLKEDERFSDILVQYEGGISHLPLLDPPMRAKPLEPSEWKEKLKDITDGDGEASPSDSGRSCILLDVRNGYEWDVGHFRGARRPEVDCFRNTSFGLSDEKEAPSDPLINVDKEKTDILMYCTGGIRCDVYSTVLRQRGFKNLYTLKGGVSHYLEEEGTSEWVGNLFVFDSRLSLPPAAYNNNTVDEARTTPQTPEDTSFARCYICDSQVHELRHRNCANLDCNLLFLCCAECVVDLKGCCCSDCVTAPRLRPVLHGIKRYQKWHVYRDS >A09g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26692176:26702347:1 gene:A09g509300.1_BraROA transcript:A09g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKTYLWKNQWDKTKNREKEHTQIACLVDDQLDGEVASMVISVSWLIKLLQRHSSCFHDILTNPPIACVNFLDLDRVIGPLDKARTSSSAGLSRSSSSPLANCLGDFGPRGLYVQYTQDVRCCPCVSVWVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHVGPSRGFGTHRTSVAVRVCPSAHLGRPCVSVSTHRTSVGVRQHTQDVRVCPSVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFRTSVAVHVCPSVSVSTHSTSVAVHQYINQHVGPWTQYAGPSRGLFGMFPTASLSLLVIARVIGPVGMAKTASSSASVSLVSPSSSLSTSWSMIISHRPSVGVLQHRQDVYGCPCVSMCVRLCPSAHTGHPWLSISTHISTLLLELSMLALPVDCSGDFCPRGLPVQYTQDVRGCPPAHTGRLWVSVCVHVCQSAHTGHPWLSISTHISTLVLGLSTLALPVGCSGDFGPRGLSVQYTQDVRVCPSAHTGRSCVSVSTQRTSVAVRQHTHNVRGCPSVHISARWSFPWLILDNHRLSVDCRSVHIAAG >A03p029780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12522356:12522841:-1 gene:A03p029780.1_BraROA transcript:A03p029780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLLSAIGRSFRRKRASSLDILSPKRAPRDFYKGKNCKPTGFHTKKGGYVVQSDKLPNYVISDLTGFKLKPYVSQCPIEVNKTTEASQ >A06p008160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2815091:2816482:1 gene:A06p008160.1_BraROA transcript:A06p008160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSLSSVTLSLDDALHLLQRFLTSTNQIKQIHSVLLTTNALLPSSHRGGVHLGKQIHCYVMRREVILAATLGTALVDMYGKGGDLEAALIVFDQVYDKKVCSWNAMISALASNGRPEKALEMFEMMKARNVDPNGITLLAVLTACARSNLVELGVKMFSSVCGEYKITPTSEHYGCLVDLMGRAGLLADAVKFVKSLGLEPDASVLGALLGACKIHEDAELGNKVGKQLIGLQPEYCGQYVALSTLSALGNNWAEAEEMRKVMIEAGIRKIPAYSVLS >A03p008370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3365911:3373450:1 gene:A03p008370.1_BraROA transcript:A03p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVGVLDATVAAFFVTGSKEERAAADQILRDLQANPDMWLQVVHILQNTKSMDTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRSERLYVNKLNVILVQIVKHDWPAKWTSFIPDLVAAAKTSETICENCMIILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFKLIHELCLYVLSASQRQDLIRSTLSALHAYLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLTEVAALNFGDFYNVQYVKMYTIFIGQLQTILPPSTNIPEAYSSGSDEEQAFIQNLALFFTSFFKFHIRVLESAPDTVSLLLTGLEYLINISYVDDTEVFKVCLDYWNTLVLELFDAHHNSDNPAASINLMGLQMAQVLQRRQLYSNPMSKLRGLMINRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLTKQLSGEEWAWNTLNTLCWAIGSISGSMAEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGEREPFVSELLSGLASTVQDLEPHQIHSFYESVGNMIHAESDPLKRDEYLRRLMELPNEKWAEIIGHARQSVEVLKDPVVIRTVLNILQINDFTAGCNYFHVFTMQTNTSAATSLGTDFLSQISLIFLDMLNVYRMYSELVSTSITDGGPYASKTSFVKLLRSVKRETLKLIETFLDKAEDQPHIGKQFVSPMMEYVLADYARNVPDARESEVLSLFATIINKYKATMLDDVPNIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFPALIKLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQQSAFCNQFFRSYFIQIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDTATVPYPYPNNAAFVREYTIKLLSSSFPNMTAAEVTQFVNGLYESRNDPSEFKKNIRDFLVQSKEFSAQDNKDLYAEEAAAQREQERQRMLSIPGLVAPNEIQDEMVDS >A07p007810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4758701:4762347:-1 gene:A07p007810.1_BraROA transcript:A07p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAAFCDFASNSATSPATVVAATSLAPAFLLHPTDEELLSYYLKTNFLSKTVSLDGIGVVDTSMSLGTYQVLWRRKEDKSAHEVQHSKYSEPSDIPPADKHAWQHGAIDVSTCLCFHVPKICPSSILKDPFFERS >A06p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1511199:1513721:1 gene:A06p005170.1_BraROA transcript:A06p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRIFLVGNSIFRPQNPSSFPLSLNRFPSVSLRLRCFSNATATAAASATVESDSPSSPSPHPWPEWINFVDRLKTKGYFTKNIEDDTVYQEMNVVKDACLSFARDRYDVLRSLSSSDIQALVERGCPNLFRKTVNSSKRIRAHVKLDEGDVCGSCELRSSCDRAYVILKDTEADARTVDVMRLLLFNALDSVVVSRGEVPPGKELVHESARRLLLELLELSEKPVNPVLPKPAAKVALPPKERVFKSRSDEPSQRVRSQYSADWACPKCDFLNFARNERCRECNEVADRRSVAAVVKEGDWLCPECNFSNFSRNQSCLKCKAKGPKRSSMENIEMKKGDWNCSGCGFMNFSSNKKCKQCREQRPPRQLEPGEWECPSCDFLNYRRNTVCKKCECKRPSESNNHEDDHSWKRPALL >A08g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16847357:16850163:1 gene:A08g508930.1_BraROA transcript:A08g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPNGKSPVTSDHDDKIMLFRDVTPGPHETQLRFRLIHFWEAWNPLKKTLIGIEMLLIDEEGSVIQGFISPSRIERHLSKMKPGSLYKLNNFYGSSNKTMYRVSDHAVTVSFSWNSELSVLEDSPTPFEEDRFRFHSFEEFQAGCDSKGDLYDVLGHMKLVNGQCLTGTPVLDEVDIARARHVLVHVQSYDGPVVKLYLWDQAARDFCKKFKSYERTPTVLLVTTVNTKSLGGTLALTTMSSSRVFMDCDVQPTVDYFSWLGSNPQSAELVNAEVVTKRETLTIGEIFSYIRDGSNKEAFFECTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQAVFVLLGDAGFELTGKHAAELVSSYFEANGDQGVTQEVPFPEALISTIGQKHNFCVKVTQHNLDGKSRSLTVTKILPMESPPVTEASGGNYNPTTLEEGFETGTKVCEASKISGDSAEGSKSNGDMDEMGKAKRLKRGV >A04g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8634165:8634831:1 gene:A04g504150.1_BraROA transcript:A04g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVWEALLCILKGKAAIELWLAAMIPYRLQLPNWFVGAHTMPVLYEKYEDEVGGFVDSLLMKFHSHYKKMDTGFLSRIPSGRMFQTMLAYFLMMAIDFTNQSVS >A05p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10667394:10669755:-1 gene:A05p022330.1_BraROA transcript:A05p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITRHKRDVNVFVLQLGAALAISFAGLLFSRFKKQTKRIGPTLPTLRPQSPDHGYRGSSNKSVDRGDSETPKTSEEETSIGFSPRSECDVDEKDVFLLPEFEDEVNKLDLLVYDDECETPKSDLSAPLAFPTTEEAGHENEIKRLRNTVRALRERERCLEDKLLEYYSLKEQQNIAMELRSRLKLNQMETKVFNLKIKSLQAENEKLKAQCSDHSKAVLELDMANSHVEVLKKKLNIKTQQHVEQLLSLRERVAKLQEDEIKATLPDHDADKMMHRLTELEGEINELRDCNMRLHFENYELAEKLESVQIIASSKLEDPEEMETLRKDGNRLRSENEELKKEIEQLQGDRCSDLEQLVYLKWINACLRYELRTYKPPAGKTIARDLSTTLSPNSEEKAKQLILEYAHEEDHTYYDDQWSSSQEEASSMITDSIFLDDSYVDTLFAKKTRKSGKKKLMHTLMKILHGKDSTQERNKRAGSSEPSSSRTGVRTTLTRLRPSRSMDFQMLLCGKDEEEEFKDHIRMLRGKSEAAKSSNYGEEECNLKSDQQKKKELVKYAEALRKSSSTKKLHKKSVSLFFRE >A09p055110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47222611:47223844:1 gene:A09p055110.1_BraROA transcript:A09p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKMWTPKEVTALRDGVRKYGSGKWSGILSDSKYGRALKARSNVDLKDKWRNLGAGTFGSRKKKVLAIVAVANDNDGEQEIVPASPVNDDDEGLFASVDTFILEAITSWKKLLRPNKKWILHHVEEKNSMQPGKKWLVASRLEHLVNVGTIIKKNNRYTISPTYAAAEAEQSSPQLLLEGVVNHTKSQEDGEAFKINGMTEEEASSAAAIALEEAEFARAEAEEAAREADRAEEEAEAMQDYAKAVKEVWKNLMRSQT >A05p042830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25869599:25871224:1 gene:A05p042830.1_BraROA transcript:A05p042830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jacalin-related lectin 35 [Source:Projected from Arabidopsis thaliana (AT3G16470) UniProtKB/Swiss-Prot;Acc:O04309] MATKLDAQGGRGGEVWDDGGVYENVKKVYVGQGDSGVVYVKFDYEKDGKIVSLEHGKKTILDPEEFELDPEDYITSVKVFYEKLFGTPVEIITALIFKTFKGKVSQPFGLASGTEAELGGGKIVGFHGSASDAIHSLGAYISPSTTPVTPPASGGPTKLDAQGGRGGELWDDGGVYENVKKVYVGQGDSGVVYVKFDYEKDGKTVSLEHGKKTILDPEEFEVDSDDYITSVKVYYEKLFGTPTEIITALIFTTFKGKVSQPFGMASGQEAELGGGKIVGFHGSASDVIHSLGVYIAPTSTPVTPSDTIPAQGGDAGVAWDDGVHDGLRKIYVGQGDSCVTYFKAEYVKASKPVLGIDHGKKTLLDPEEFVLEDGEYITSVIGYHDKIYGVDAPAIICLKFKTNKRTSDPYGMNSGTEFVLEKKDHKIVGFYGQAGDFLYKIGVKVAPIAN >A08g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6467783:6468262:1 gene:A08g503740.1_BraROA transcript:A08g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDKELIKQFEGKLTEGDAKFVHLFKLYDAIGDYHTTAHPYKIGFFQTNFVGTTDEFPSEVPEKYFADARYTFTAYESSISTISMTKLIDLSNNVTRPIAYKI >A09p030220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17975813:17977889:-1 gene:A09p030220.1_BraROA transcript:A09p030220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILDTGARFSAVRFSPVFNPPRSRHFTVRANLPFPKHQAKYHRELEAAIDAVDRACRLCVDVKRSLFSSKDKILEKNDQTPVTIADFGVQALVSLELSKLFPSIPLVAEEDSLFLRENNLVSSVVSEVIAKASVGDDRLSDADVLEAIDRGGKDAYTFCNKPATYWVLDPIDGTRGFLKGDDALYVVGLALVVDNEIVLGVMGCPNWPGDSSDGTTGTLMLSHSGCGTWTKNLQVSGDWTRRFVDACGLVNKARFCIQDSQPWESLPLSGLFDTKIDSGDLHGNEIRLLPTCCGSLCKYLMIASGRASVFLLRARTERTIKSWDHAVGIICVHEAGGKVTDWEGVDINLEEDQSERRIIFPAGGVVVSNGSLHHQLLEMISSASPTI >A05g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22399347:22399852:-1 gene:A05g507750.1_BraROA transcript:A05g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTSSASYRPYSLTFVIIIAYKPRCVNLPVRSHSSVRRIQEVVSKVRALGSSSLESEIMVCDGLSGLTDLYTCVSEDLFKFSPETQQTILNSGLMDELLDVFLKYLQVSGGATDGASRIKKSVVNLHL >A03p024030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10157014:10159139:-1 gene:A03p024030.1_BraROA transcript:A03p024030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKINDPDHLVNVHLNIFPYISSVYKNRKQNLPVPPHLLSTHTDRVLSLPKQKRHLKSSTDQRSNQGFSLAAMSDAYCTDCKRETELVVDHSAGDTLCSECGLVLESHSIDETSEWRTFANESSNSDPNRVGGPTNPLLADSALTTVIAKTNGSSGDFLSNSLGRWQNRNGSAERGLIQAFKTIATMSDRLGLVGTIKDRANEIFKRLEDQKSTRGRNQDALLAACLYISCRQEDKPRTIKEICSVANGATKKEIGRAKDFIVKTLGLETGHSVELGAIHAGDFMKRFCSNLGMSHQAVRAAEEAVKKSEEFDIRRSPISIAAVVIYIITQLSDDKKPLKDIAVATGVAEGTIRNSYKDLYPHLPKIIPSWYAKEEDLKNLSSP >A06g507580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21232761:21234581:-1 gene:A06g507580.1_BraROA transcript:A06g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNRWALTGHASAAKPPSPTSGNTNLPPPHPPDPPDPSSSLSPVQFPPLSSTPPKSRSELRRSHLTLALGDSTKSLTTSPPTKASLPELAPRFGSFTEIESQITIPATGNPCSLVATHTTHPTSNPLKVLLPKHNSPLITNRASLYTQTSNHKLPVHSPVQNPDLPTNSNLPSNSLPSIVTPNLPPIFQSPPVAALPTLAEKLRVKGDKTLQRLAPITMAESGRPRVLIPDSVFQKGAELHKDFIICYFNGRPPPFNQIQSVLNHMWGKGRRLEIHNSPLQRSAIVRIQSEFLREKILDKNIWYIGDSMFHTAQWSSEHSSSTPPLSAIKIWSHLTGVPLDLRYQQGLSLVAGLIGDPKETDDFTLNLVSLTLSHVKVEVDLTKPLPTVVEFERQSGEVVEVKVDYPWLPPTCSHCHELGHVIRNCLHYSPPKDAPAPATTESIQKQKPKGPESSKKTPAKAQKNKHYVPIKRPPPPSTPPVLPPPITPSNSISLPSSPSAFKPPLFFKSKTFIPPLPSMDSPSDKPPKPSLKRCRSSPSLSPPVPLKPPFQNSNPINPPPPLFPVIGTVQYIENSSFIGPSFKNSFLPLLTADTLQSPGEPLFSS >A05p022010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10500344:10502622:1 gene:A05p022010.1_BraROA transcript:A05p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVNMQKQTDKVNLFETQMTMPPTSEKGSSGNVPEDDPSFNPFGFVTDNPSSRSAIQLPETPAEDGNVGQMLYRTEDKGREFGSTIKSGKLRWFVRETGSKESRRGTVVFLHGAPTQSFSYRTVMSEMSEFGFHCYAPDWIGFGFSDKPQPGYGFNYTEKEYHEAFDKLLDTLEINSPFFLVVQGFLVGTYGLTWALKNPSKIEKIAILNTPLTVSSPVPGLFKQLRIPLFGEFTCQNAILAERFIEGGSPYVLKNEKADVYRLPYLSSGGPGFALLETAKKINFGDTLGQIANGFSSGSWDKPTLLAWGIADKYLSQSIAEEFEKQNPQNVKLQLIEGAGHLPQEDWPEKVVAALRSFF >A09p079060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58292736:58293093:1 gene:A09p079060.1_BraROA transcript:A09p079060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRHLLKSSQISSSVGRIRTISSSPNVAETIVPSVVNIYAPNEDLYGSGILFGEGNKIITCGHVVRNLHKF >A06g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12221189:12225880:-1 gene:A06g504000.1_BraROA transcript:A06g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGNGVTKDLTGLKYSRVEPTSLAAKPTKLDRPCRARPCYLMGLVEGCNPSPTISPPPKFFETAQLIATHSHLRWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKESKKRSREETSVGAMETSAAAGNDGAERNDPADSTRRSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGRGIRFPDREEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVIFISLIFANRQVMNRCPKVDNKELSIRVKGPSVRFLSDN >A01p025640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19987807:19989060:-1 gene:A01p025640.1_BraROA transcript:A01p025640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRKKAKLEMASLTEVPPMINNLDVGDDKTAHLSSSGKPPRDLSSMRHCNSTAWLIDSEGDQRCCPEGDNTAFQLVFRSGSWSDKGPKRSMEDEFICVDDLTDHIGSTGAFYGVFDGHGGIDAATFTKKNILKLVTQDKHFPTNTKKATRSAFVNTDHALADAPSLDRSSGTTALTALILDKTMLIANAGDSRAVLGKRGRAIELSKDHKPNCTSEKLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGTKGSLCPLSCEPELEEIVLTEEDEFLIMGCDGLWDVMSSQCAVTMVRRELMQHNDPERCSQALVKEALQRNSCDNLTVVVVCFSKEPPPRIEIPKSHKRRSISAEGLDLLKGVLNDL >A01p043090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25052002:25053075:1 gene:A01p043090.1_BraROA transcript:A01p043090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 [Source:Projected from Arabidopsis thaliana (AT3G23100) UniProtKB/Swiss-Prot;Acc:Q682V0] MVEWERTKHTCLRLVLSGTDPIFVKGTWHPSRFDISITDGSSSWLCNATEEEVAERAAQWDQPVSEYLQLAEQYLGFQQPNSIYSFSDALEGSKRLSWTFEKEGTKLEWRWKCKPSHESKKITVGILNFLMEANIRLSEEVVNKTRSFERMKSEAEKCLAQGERLCSEKMEFEDATYAKACLLIFVSVLNAKKAKLRALRDKEVSGKEVAEEEEESTDKGESSESGRSDNEHSEEEASKKAATTTSRGRGRKRAARS >A09g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25202239:25202986:1 gene:A09g509050.1_BraROA transcript:A09g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGTSAGCLSTHYICWEACQNEGKHRSWGCVRQLSPVVVSAKLLFRGRRNKDQQNGRQSNVKIGGKLIGVDMLLVAEKISNSHTTTSLASFLISSSQTRTFKLFINAKKSSLTQQNIISKQKSINLSY >A09g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24075200:24081613:1 gene:A09g508560.1_BraROA transcript:A09g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISRMQQLGIYRNYNLQHLNSGPASNIISNLSYIYHLLANDPAHLERTIRRGQRSTSLDATTLTSIDTHNQPSTDTRPSSSMDPNRLTTIDTIPRTSIDTVSSKMVNIIILTQDESGSLYDQAGHLRNATVVRNENLEEGDFEIESSMSLGGSQWCRPMSMNSHRLTNHDEDRWTDYSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPPLSMKKIDRSVNSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLRREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWIFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYESEYETKYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKECAIEMSLHDERLEIHKLTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIRATAKIQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKMNNYANIYYGFLTPNEFGIFRDPDGNARAMDGRILQVSREDIADILQIANGPDNLFSQQRGTPDVILTDPNNHAGVATTEINTDLSRQPKGQASIDGTTETSIARVTRTSIDMVDPTSIDRCYECGSRAFDMYGARKFTWEQRNEYGVYRDERGHTRSTAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTVFGICGAQEKLGEELKTLQLEKEATTSASIDAPRATSIDVSLPTAKILAEPRCATQHRDEWEVSYINTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPEKSASIDRLRGPWIDGKKPVELLPYTAAEVAKITSKIYTALDTMEERLDKHCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRIKAKSIDGDSPRSTNKHIIASIDAESIPIGEQLIHKTAGTRSALTTFKKGYRTSPMYFGIWMTNGQEMMRPQEVSLHLDSTKDAKADQPINYTLALNRMK >A04p001980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:946543:947850:-1 gene:A04p001980.1_BraROA transcript:A04p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRYPRNLDSCCIYRVPDCIREVKPEAYTPQLVLIGPLHRPLKFQDLKALDRGDDITYTTSMKYLYMEEHKKIYLAAFAARFEGEKTVDRFNRIFEEEEENIIDRFKRIIEEEEENIRESYSESTAWIKSSEFVEMVLHDSVFIIESILRFLIKGRKKTGDRLMDWGCLTYTLFDDLILLENQLPYFILEKLFDPIVQRILPQKTFCDLIISFFNLQGKIGDDSKFRHFTDLQRCVRVETLPNHDVCIHQQHIQHAYNADKLESGGVQFEAVGEEFSLNVRFENGCLKMPRLMVDDRLEMTLRNIMALEQCHYPFNAHVCSYIFFIDHLIHTTKAADLLVEKGIIKNRTGERHPVKQMVYKLRSGIPETGSYYSDIANEVNKYSENRVNRSKAVLKRAFFGNILSGTATIVITLLLVMTLIRTWAMVTQINQHKS >A09g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17818218:17819643:-1 gene:A09g505670.1_BraROA transcript:A09g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKISKSRAAIAAAPFFKKRNLGRVGILIGYRAALINELVNFFQFVFFMCRENRHESISACPVLIDEFMNITKIIAAATRDYEVPIALLETNKIRQMSKMFIQVVLRDRVKSLTKSWEKTMDSRSLDQCLMSASNKVKVLDGDDPLTE >A03p041470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17329377:17329890:-1 gene:A03p041470.1_BraROA transcript:A03p041470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPFPSQLCQVDWCTNLTEAKQYYRRDKLCEVQAKASAATVADAKKRFCQQCSRFHELSEFDEPKRSSSGDSFGEGSGRRGFNGKHETSYDQLIIQATTDKINIYKHTRCSFFCHLTSISTFSCYPNNWHLTMSRKVTSGTESYTNI >A03p062720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27215527:27216349:-1 gene:A03p062720.1_BraROA transcript:A03p062720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNVKNRDKMEIENQEDDLATLGDSGGEFDCNICLDQVRDPVVTLCGHLFCWPCIYKWTHCTNNTRQRVDQYDKKESSKCPVCKSEVSDATLVPIYGRGQKVPQSGSNVPNRPSGPVYDLRRVGQRVGESESQRYMYRMPDPVVGVVCEMVYRRLFGESSSNVTPYRGDRYTSLRLRRRTMQADESLSRIYLFLLCFMVMCLLLF >A02p016970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7638756:7639397:1 gene:A02p016970.1_BraROA transcript:A02p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISETSPKHCAKKGGININNRRKKLFFTFSTFFSGLLLIIFLIWLILHPAKPEFSLTEADIYTLSVSSSTTHPLNSSIQLTIFSKNPNKKVGIYYDKLLVYAAYRGQQITSEASLPPFYQSHEEINLLTAFLQGTELPVAQSFGYQMVRDRSAGRVIIGMKMDGKLRWKIGTFVSGAYRFNVNCVALVAFGPNMTTSPLGSIQGTRCSTDI >A07p045890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24856444:24859258:1 gene:A07p045890.1_BraROA transcript:A07p045890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 6 [Source:Projected from Arabidopsis thaliana (AT1G72650) UniProtKB/TrEMBL;Acc:F4IDF1] MGTVVGTVEDCREMFDVSAQTRITPFSSTNQIGNPVAYKLVRVAGDGRLVPATDEEILEVNETDMHNASDACQSLGYLPTEWSPSRLSQLEECSEVLNLGLLRSDNVEPSTEQLSSQPEYSEELLQKAKQDERLVMVSTPPDANIQFCNENNMFDEDQVHHEPLPFSLDVQNGCDVNQTNTVEPSCPKETALPASAASQKPDFALVRGEICLNDLPIKALQETFRATFGRETTVKDKTWLKRRITMGLTKSFDVPTTNLTIKDNKLVGIKDKSSDVADVRDTNVKEAPSSSAYHVNGHSNASGRSLGVNHYWATEDFSSEERAAKRVRKPTRRYIEELETDDKSVVTSKDQRLSEKSEVRSMSVSSGKRVTVTRVVPLGGSEVEVPYVSHVRRSRPRENFMALMECHTSCLEAKGSAGESNLLNSEVVSPGSVVNSASGPIQKELAASHNNNSDEHIAAEADQDVEADHLDSSGNSSDEYNNTGAPMMQGGGLRRKHHRAWTLTEVTTLVEGVSKYGAGKWSEIKKFSFSSHSHRTSVDLKDKWRNLLRASFAQSPSNTMGSFKKHGSMHIPVEILLQVRELAEKQSLVPPNHR >A05p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24554857:24558609:1 gene:A05p040070.1_BraROA transcript:A05p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDQTFIQAPEHRADSNSIPNQPEEIPVIDLSRLNDPEDIQNVISEIGDACETWGFFQVINHGVPCDARKRVEETVKIFFDLPMEEKIKVKRDEVNPAGYHDGEHTKNVRDWKEVFDIYFKDPMVMPSSTDPEDEGLRVVYNKWPQFPSDYREACQEYAGHAEKLAFRLLELISLSLGLPKERFHDYFKEQMSFFRINRYPPCPRPDLALGVGHHKDADVISLLAQDDVGGLQISRRSDGVWFPIRPVPNALVINIGNCMEVWTNDKYWSAEHRVVVNSTRERYSIPFFLLPSHDVEVKPLEELLSPENPPRYKGYKYGKFYVSRNRSDFKKLEIQNIQIDDFKVPLYYQINIRLTAKTAMGRLDKAFIQAPEHRPITHLTNSGDFIFSDEIPTIDLSSLHPNSDKTTIAKEIGKACERWGFFQVINHGLPLDLRRRVENTAAEFFNLTAEEKRRLRRDEVNPMGYHDEEHTKNVRDWKEIFDFFLQDPTIVPATPEPEDTDQRKLTNQWPQHPSDFREICQEYAREVEKLAFKILELISISLGLPGDRLSGYFKEQTSILRFNHYPPCPNPELALGVGRHKDGGALTVLAQDSVGGLQVCRRSDGEWIPVKPIPDALIINIGNCMQVWTNDKYWSAEHRVVVNTSKERFSVPFFFFPSHETNIEPLEELISEENPPCYKKCNWGKFFVSRNRSDFKKLEVEDIQIDHFKA >A03p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3406924:3409419:-1 gene:A03p008470.1_BraROA transcript:A03p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELITYYLKRKINGREIELEIIPEVDLYKCEPWDLPGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSLRDKAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDETECEPTAFGMQDAYALCRVFKKIIIEAKPRSDQHQQHHQPYVNTSSNISGNSSFDACSDFEISSSTLQVLPYNTAAAETQPRFGNTNAIGDHDGWSQYMAQGMHTGFSNYGSPYGSYLTQPNVNTEIESGMLQHQMSLPPLRVEGSPIQTSDFSNGTNQNSGQYGFNDFTFAASNSSQLNNNNVDDHLIHIGSLDEQLLSAGNTTWMKKSDESLKQNFIEDEDILPSFEDNDQDLEYFDETRTNTINNIEIDDFFTLENQAEDTDKTTIEEDIRVEHKMLICTRQTTEVLYYQVVPSQILRIQINPIQGIEERTMLIEEEGKDSWFKKAENVTKMKMKQIGLVTKHYYKRLTIIF >A10g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9469283:9471911:1 gene:A10g503690.1_BraROA transcript:A10g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVHLRGGGTLEVISSQGDVDREQVLEPFDIENETCKETNRSSSFDVGYSSGANTLQPLPTPSKDAISPADILKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTIHFSIQAVLSKMITWYWSGRFQPDVTMSWRDYFIRVVPTALATALDINLSNESLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLFGIISVISAGVLLSVAKETEFEFWGFVFVMLAAVMSGLKNPFTFMSCVAPVMAIVTGLLSLLLDPWSEFRENRYFDNGEHFARTCFLMLFGGALAFFMVLTEYVLVSVTSAVTVTIAGVVKEAVTIVVAVFYFHDEFTWLKGFGLMIIMVGVSLFNWYKYDKLQKGHRTEDEKQLQSPSQTGKYVILDERDDQEDGP >A05p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24440672:24444362:-1 gene:A05p039980.1_BraROA transcript:A05p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMIDPLKLFMEHIEKTQILSGKYVKIHAYLVSLKEEKSKIIDLDLPTSKQFLDHGITNVDVLPEEMIRVFQNPSLNQNNTVPQAPQVPMQSQQGFVQQGLGKQGLGEQGLGQQGLGQQGLRQQELGQQALGQQALGQQALGQQALEQQALEQQALAVWAMGQQALRQQALGQQALGQQALGQQGLGQQGFGQQGLGQQALGKQGLGQQALGQQGLGQQELGKQGLGQQQPQGFQQPQVNLSHLQQQPRGFQLQQQMMKQNHMQQQQVLKQSQMMKPSQVPQHQELLQQVGQQVFGQHDEEKHIEKSQTLTLHGKYVKIHMYLVSLKEEMGKIKDLGLPNSKRILDHAIKTLEVEHDSVSEKLKNVTNQTGVTNVGVFPKEMIRVSQKPSLNPTNTVLQAPQISMQSQQGKWHRGLGQKGSVQAIMQQQRYQMLQLHQARRQQEQQQQGLLQPQVMKPSQLQQQMIQQQQQMIQQQQQIRQAQMQQLLQPQIMKLSPTINEPSQLQQHRELLQQLGQQVFGQQE >A09p078820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58183590:58185559:-1 gene:A09p078820.1_BraROA transcript:A09p078820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein POOR HOMOLOGOUS SYNAPSIS 1 [Source:Projected from Arabidopsis thaliana (AT1G10710) UniProtKB/Swiss-Prot;Acc:Q45GQ7] MAGSLTASVHRGNAEASETFRWLISFARFIPFPSSPSPYPGLVPLGKRERSSSPIGTWLSTSFSKVYLTLVDEVNGSDAILSVELAGKILEEHYISKLNFSWPHMTCVSGFPSRGSRAILIQKFALRFSTCDAAVTFVAALKEKLKGFEEAGIQEPERDTSFQSDYNPGNEIIPSYVPEMLPRLECQQSLYPRESAIVEEPAMVKHLGSYVPEMQPRLEYQTCHTFYAPQIATVEEPNMVKHIGSYVPEMQPRLEYQAGQTLYPPHATLSQIPNDPFINLPPSFTTLLSGCFPNSSLDAGQTTVKQDPDLKSQILKHMEDSSFQDMLQKVERIMEEIGGNWIL >A08p035750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:21073761:21074510:1 gene:A08p035750.1_BraROA transcript:A08p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSSFKKGHNMVVNSLAESSDNYSVILETKSSSGEQLKKVDECCKKDNLGRVENGDVMYESDNSDSGYFRNGPKKLDSDVFVDDGFSNKAAMGFNSSQDKSLNRFKKEWSGTGSGRSATKYDLRKSKRGFPCYGRKRTKYELAESVYDSGDQLSLETESCADTIKIHSNKSIMVKKASGGGGAKKKSKGHECPICFRVFKSGQALGGHKRSHFLGNHEHRTLVIQQQHQVAHEMHTLIDLNLPAPID >A09p063440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51511040:51514860:-1 gene:A09p063440.1_BraROA transcript:A09p063440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCVPLAIFLGVLLMLSWCEALSSNVDDGYGHEDGRYESDSLIKLNNDDVLTLKSSDKPTPESSTVSVSDFGAKGDGKTDDTQAFKKAWKKACSTKEVTSFLVPKGKTYLLKSTQFRGPCKSLRSFQILGTLSASTKRSDYNKDKNHWLILEHVNNLSVDGGSEGTVDGNGKIWWQNSCKIDRSKPCTKAPTALTFYNLMNLNVNNLRVRNAQQIQISVEKCNNVNIKNVEITAPGDSPNTDGIHITNTQNIRVSNSHIGTGDDCISIEDGSQNVQINDLTCGPGHGISIGSLGDDNSKAYVSGVNVDGAKLTETDNGVRIKTYQGGSGTAKNIKFQNIRMQNVKNPIIIDQNYCDKDKCEQQDSAVQVDNVVYRNISGTSATDVAITFDCSEKYTCKGGGGGVGGGGEAAVGTPASMQLRHFLSTQPSMSWSPPSDKWRPPQSLAKMPAKTDNMVMTAKTMTSVSIERWSEL >A09p064270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51856748:51859475:-1 gene:A09p064270.1_BraROA transcript:A09p064270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIRVHLPSEIPIVGCELTPYVLVRRPDKSAATEDVPESSPLEGYFLRYRWFRVQSDKKVTICSVHPTEQATLQCVFCSKRPALVSKSYHCSPKCFTDAWQHHKTLHERAAAENGNNEEDNNNNNNNDLSRANSAASGVLASTLSSLNGPPTPFYPSSIAQKNGGETLVEVGGCKTYTPTADDIGYVLKFECVVAVAESKQSVGHPSTIMTSRVIPAPSPRPRRLIPVNGADVVGGHLDQDGRIQSAGSFTVLSYNILSDTSASSELYSYCPTWALSWPYRRQNLLREIVAYRADVVCLQEVQSDHFHEIFAPELDKHGYQALYKRKTNEVLSGSTSAIDGCATFFRRDRFAHVKKYDVEFNKAAQSLTEAIIPPAQKRSALNRLVKDNIALIVVLEAKFGNHQPTDGKRQLICVANTHVNVQQELKDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNTLPGSAPHSLLVYGKVDPQHPDLLVDPLGILRPQTKLTHQLPLVSAYSSFVRSVMGIGLEQHRRKMDLNTNEPLFTNCTRDFIGTHDYIFYTADTLMVESLLELLDEDGLRKDTALPSPEWSSSHIALLAEFRCMPRTRR >A03p050600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21739843:21742158:-1 gene:A03p050600.1_BraROA transcript:A03p050600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGISFPLTINTPSSTGTSSLFPPSQIKQKPREISISSSYPVTSLTELLRDAADKNSLQNTKSIHGIVTKSHLTSKSLTLLLNQMVIAYSKTSDFASARQVFDEIPHKSVFSWTVLIVGATENGFHRDGFGYFVEMLSCGVSPDEYALSAAAQACTCCVDGVTLCEMVHAQVVIRGFSYLTFVNTSLLSVYAKLGRVGDSRKVFSSMESRNEVSWNAMISGFASNGLYSEAYVSFSRMLEEGIRPNVPCFISVSKAVGKLGDVEKGRYITRVALEMGVQSNVHVGTALIDMFAKCGCLTEARSVFESSFSGCVGVNLPWNALLSGYTSSREGEEAVLLFLRMCRNDVERDVYTYCSALNSIADMRSLEYVKQVHGMILKGGGETTGVMSLFNALMDAYAKCGELGAMRKLFDVNREEANQISWTTLVTAYSQSSEWEEEALSVFSQMRETGFQPNQITFSAVLASCASLCFLEYGQQVHSLTYKTGFATDKCVESALIDIYAKCGSVRDAIKVFESLKDPDVVSWTAMISGYAQHGMAKDALKLFRKMEELVFPKPNSVTFLCLLFACSHGGLVDEGLRYFHLMEDKYGLVPEIEHYACVVDILGRVGRLSEAWEFIMKMPMEPDEKVWSTLLGACRVHGDIQLAEIAAQEVVCYNPEDSAALVLLSNAYREAGNIEAELSVRNVMNSQAMRKEPGLSWITIGGQIHKFCSGDHHHPQKDDIYRVLNDLMEKIKSKKH >A07p038110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20322926:20333583:-1 gene:A07p038110.1_BraROA transcript:A07p038110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKPTTSDALDYLKIVKKTYQDKLEVYMSFLEVMKDFKAQRTDTYGVILRVKELLKGEKELLLGFNMFLPKGFEITIEGDQTLPDVDFDKAISYMKKIKTRFQGDNMHAYICFLDILNMYKKEKKNIIEIYDEVAVLFRDHQDLLAEFAHFLPHSFSRKMVTTKLTYALEYVKTVKDKFKDTRQKFDEFLEVIADFRAEKIDTYGVILKVKELFKDQQELLLGFDSFLPEGWKITLGVDQTPPKEPVTFEEAVIFVKNVKARFQDNDRPYRSFVDSLNMYRNNNRSRTEVLEELAFLFREHYDLFVEFTRFAPLAKFQIMVGGGGSAQKLTTNDALAYLKAVKDKFADKREKYDEFLEVMKDFKAQRVDTSGVILRVKELFKGNRELILGFNTFLPKGFEITLLPEEDDQPPPKKPVEFEEAISFVNKIKTRFQGDDRVYKSFLDILNMYRKENKSITEVYQEVAILFRDHHDLLVEFTHFLPDTSGTTSTNDLVKMSVRDRGGFKSLPTIRDSDKVPPSLEQKDRINDLKTEHMELDQERSLLKESKEDVRRVDKKNDYMDDQKGHFLHSKKKLTIRDEDSTETSNQGREGDKFCGAIATSSTKDDKGHVQELAFVDRVKAKLSSSEYQEFLRCLNLFSKEIISQPELQSLVGNLIGGYPELMEAFRVFLVQCEKNDGLLSSVVTKKSLWSDGKCPQPTKSQDKDTDREREKTERLRERDREKERLEKAAASQKWAKPISELDLSNCEQCTPSYRLLPKNYPIPIASQKMEIGSQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNSATNHVEELLSKINRNELKTDTPICIEDHLTALNIRCIERLYGDHGLDVIDLLKKNAYLALPVILTRLKQKQEEWGRCRSEFNKVWADIYTKNYYRSLDHRSFYFKQQDSKNLSAKALLAEINEISEKKRGEDDALLALAAGNRRTICSSMSFDYPDPELHEDLYQLIKYSCGEMCSTEQLDKVMKVWTEFLEPMFGVPSRPQVAEDQEDAIKTTTNQNLKTGDASEDSPQNGATVASTVRSNGPRKADRDVTASKSSDDNIQNNKMRKNQTTPEERPETKEAVTIERVHSSNSPPVDGLIPQRNGKTSVLSIVGLSNSTPKPGGLTSGTEMESKPNHVNGTLGETSNNQRSNEVSAGETKVEREEGELSPTGDFEEDNFAENDLDALSNGKDDAGKSSGENDANVNDEGDESAPRSSEGSGNTSHNGDVSGTESGDGEDCYPEDDNKAESEGEAEAEEGMSDAEGDRPVLPVYARNLLHAKPLSKYVPPALGDKDNKDDSQKKNSIVFYGNDSYYVLFRLHQILYERILSAKINSSCPERMWKTSNSTNPADSYARFMNALDNLLDGTSDNSKFEDDCRAIIGTQSYVLFTLDKLIYKLIKHLQVVAADEMDSKLQQLYSYEKSRKPEKYLDDVYYENARVLLPDEDVYRIECELLAPTTKLSIQLLDYGHDKPDVTSISMDPTFAAYLQNKFLSSQAKVKENPRIYLNRNKRKNGDDDELCTTDGVKIINGLECKITCSSSKVSYVFDTEDILHRVKKRKVLSGSIIRERRIQRYQKLLTSQ >A05g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5106867:5112393:-1 gene:A05g501430.1_BraROA transcript:A05g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPCDWLCFRPEPRRLSHPLSLQTLTSPPPLPTSSSPTSSPMSTTSAEKPSPTISGLGPSSSDVLPLRFPTTVPQAQSTSDSAIQDRSVQTTVQTTVHETLNYTIHPPKPSSPLRTNAASAQPANHQTQTQTPQSESPLPLHLPTTDPIPVSPSPRTTAATRNARVPKENAPPKTWAQKAKASTDRSLQRLAPTTTLANGTPRVAVPDEVFQRGADLHKEFLVGTFLGKMPDLGPIQSVLNYMWGKGTKLKIHLQPFKRSFLVRVPNDFIRSKALEKRLWYVGTAMFHVSQWSSSSTCTIPEITSIPLWAHLTGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNLTDLNIAHVKVEADLTKPLPSYGELLRQNGDIIPIDINYPWVPPSCTHCLKIGHVVKDCIFAPTPADVHDSPDTNAPVLVPDRPDEVPDPPDDDVIQDPSEPADTIMETITSTEPETCLSDPSLVLAPDPSVLPLDPPTNPIFVSNHILSPSQASASTKPPIIPLSSSSPPLSPPPIQFSNSPSKASFVFGIPATYAPTFGSYICTKQALAFNAPAITLPPQYSIPSRPSLVFCASKSASEPMLNNILSRVCPRWNYVSNHQSDDDGRIIFIWKSPAVVTLLNQSRQSLTCEVCLPTMPKLIVTAVYASNLAAERVDLWAELISIQQTYSLHLSPWLVAGDFNQITHPREHSSPSVQSSTSAMIQFRDSLLHMGLFDLRFQGSLNTWSNKCPSSPITKKLDRVLVNHEWIISVPQSSAIFLPPEFSDHSPSLIDLASTLPIAGTKPFKFFNYLTKHPSFLETVTEAWILAGISSTCLSDLSWKLKSLKSVLQKINSENYSKIQERVLLANGLLKDVQGILAPAVLPLQNAPLSWFQELIPFRGLSSWNKACMIKLIWLLFCNAGSLWVAWYTKEVLNGQKSNFWTCRENQKHSWLANKLIRLRSTAYQWIKVQVGNGATTRFWTDNWSPYGCLEDFLQLTISRRMGIPATATLQEISLNGNWNIQSPRNDNQVLVQTYLSTLVLTDTEDSYTWTVDGVVWDKYKTGVIYGLLKLHSQLVSWHGIVWTNGGIPKHNFLVWLFTLNRCPTRDRLLNWGLTVDPKCLLCNVADESRDHLLFHCNYSWHVWSVTAARCQLQATREWEDTITTLQNIRLPKPHKKLLLIAWQCSIYLLWSERNSRIHRNGYKSPQLLLSSLDLIVRNRCSSFREQNPQLSSSMLQLWFR >A02p011460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4965686:4965977:1 gene:A02p011460.1_BraROA transcript:A02p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRNVIVATGLVVFASAGLAFPFYPRSSSSKKPVIDPTKPLPPQATFRGPYINTGSRDVGPDDLSNLRYLP >A05p013700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5962942:5967363:1 gene:A05p013700.1_BraROA transcript:A05p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) UniProtKB/Swiss-Prot;Acc:Q8LL04] MGNDEDLIVLAAGVEDGEIAAASGNNPIEVRQSTVADGGDVDVGAVAGGGRGGGFDGNSRVWTMRDLMTKYPEYRGYANSGLSNFAWAQAVQNKPLSEGLGKEYERRESGDKIVIEDSDDEKEEGELEEGEIDLDSGSTRDDEMENESLVVLTSVADEVEDDRVRKERELESKVKMIRDVLESTSLVQAQIAFEGVCSRLLGALESLRELVSDNDDFPKRDTLMQLSFASLQTINSVFTSMNNMSKELNKDTMSRLVSLVNDHCSRFLSSNQRIEIESMNQNLRRSAIPLNVGASSEENVNRMTQTSNGDLFPAKNLSTEGTRRGAFYARSRLPLLDLHKDHDADSLPSPTRETTPSLPVNGRHMMVRPGFPFGKEGQTSEGAKVHHPYESEALKAVSNYQKKFGVNSLFKSDDLPSPTPSGEPNEGNGGTGGEVSSSVVASTKPGTLMTYGQDVPLPSTFSSRSMPVANAVSSSTVPPHPLSIYGMSAPTGATQTVVASDQTVKPSAKSRDPRLRLAKPDGAASVTISPRVVPSTELVNQRKQKATSELFIDGPTWKRKKSDNDAQKAANIGGWLEDTESSGHPKLESKPRMIETGVTSMKTSVMPTNAVSVTPKVTTATSTEALSSLFKDFAENPTMLNPTMIMNILKMGQRQTVPEKAPQKPVDPRRAAQLPGSSSVPPVVAPPVSIPASNALPANFPQPGAPKDESGSIRMKPRDPRRILLGSTVQRTDSVAEKQSKLNDSSTLKGKTEVLETPSQLVPRQSISLNGTSNMRVSGEPVRGKTPDFTKNLKNVADMSVLSQQVGNPLATTHAADLKTDKDQEESASVSAASVTAAAGPTRSMNSWGDVEHLFEGYDDKQRVAIQKERTRRLEEQKKMFGSKKLSLVLDLDHTLLNSAKFHEVETAHEAMLRKKEEQDRDKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKLLDPEGVLFNGRVISKGDDGDPLDGDERVPKSKDLEGVMGMESSVVIIDDSVRVWPHNKMNLIVVERYTYFPCSRRQFGLLGPSLLEVDFDERPEEGTLASTLAVIERIHKNFFSHTSLDEADVRNILASEQRKILAGCRIVFSRIFPVGEANPQLHPLWQTAEQFGAVCTTQADEHITHVVTNSLGTDKVNWALSRGKFVVHPGWVEASAFLYQRANESNFAIKP >A02p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25684478:25685792:1 gene:A02p040660.1_BraROA transcript:A02p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVLSLTLAFLFIGMVSARDWNILNQFKGLKPTKTTTSQKGVTTLKDPNLTGYCESWRINVELHNIRDFTVVPQECVWFVQKYMTSSQYEDDVERAVDEAIIYLGKTCCEKKKCDGMDAWIFDIDDTLLSTIPYHKSNGCFGGEQLNTTKFEEWQSWGKAPAVPNMVKLFHEIRERGFKIFLVSSRKEYLRSATVENLIEAGYHGWSNLLLRGEEEEKKSVTQYKADVRTWLTSLGYKVWGVMGAQWNSFAGCPVPKRTFKLPNSIYYIA >A01g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18886084:18889107:1 gene:A01g506500.1_BraROA transcript:A01g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCRWGARKSDVLLGICKRENVGAMEDQCMVMCGDWVCGDGCKWEFVVDKRQMARLVPISESIALKELQESVLREFGKEEKLWEPVLSYWTPTSFELATGIRTPPVQLTSDGAIKYFVQHMKVKGAMNLFVRFERNVTFSEKDNVDDSGMGFITPVAFGPKSSSKLGSGTSNGGYVSTGASKSNIVDLQDVEFLSEVERVEEVIKGGSEGGKGEALSQSSVGEEETVVEEVDELDVRPRGYDEDFWSPLLKTDYKGSNAVNVIYNEEEIVAGLTKSSGPRRYTCTTNDAFDHVVEVGGSSSGNMVLMPPLTTRPAGRRRKNRIPSTGEFPVAKKTKLVPNKCGRCRCEGHNRTRCTNPI >A04g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8088766:8094071:-1 gene:A04g503780.1_BraROA transcript:A04g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLNGLEPITKNTIVDFDTGEEARLTLEYEGIQYMCYICNRLTHFAKECPWNPVRATSPSRAPENRQTTESVSRQYSSLREPRYQPYPTHKRQERTLQEGDYHQRLDRHGRPFGERISQSRGSARPLRNKIAPAPYEPTRRGAHGRSNSPITRDYASEAGRYGAKNPSDYRVSTKPSGPQQMWREKEKPPPPSREDDQSVDPITPAPEDQYQPFEHSSLGRNLAISDFPQVARIPTTEEVMQELVDVSIQYTNCADPVEREARRQRVLQSNAEGIMEKTAASIIAAAASTSINEPHILLAPANTSRIIPDEPEVAILPTSAPILLPGPGSSIPKRRGRPPKEKKQSPGAKVLYGASSRKRNLTMIHTTPARRLTVVPRQAAREWQLAQNPIDTSRNTQKEPSITLTPTIPSGTILCNSDAAWDKNSESTGLGWIFTSPGTPTLQGTQFQTHVHSVLLAEALAVRSALQHAIRLGYTQIWLRSDSLVLIRAITSINKPKIIHGVLSDIGALSLSFAFCFFSFIPREFNGPADHLAKSSLCNRASVLGLDF >A07p029190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16398338:16400039:-1 gene:A07p029190.1_BraROA transcript:A07p029190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKKNKLENLLSPSSSWRENHNPPRRNSNSSVVSSGCLPGFFNLFLSTFNFSSNRRKSITLGSKKQEHRTVVYASPPEDTTNGDGRGKVEPPLPRNEGVEEDAARVSLVGALEKCDRDLEELRRTINVIKTTYLLHKKLEVSPPKARTGDVVVGMQTNNNTKTTIHETDTNTTMFSTMMNDHEYKGNNINLVTKPDHNDVISKRTIDTRCTLPLVVRKMRSRSLMDSVNQVCDDLVSGQRREVGKIGLALHDHICRDLITEIVGELCFSHYDNNKCHKPAVDSADGHDKGSGRRHIRRGSTNSLPLDACRRRLVF >A09p002590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1726716:1729205:1 gene:A09p002590.1_BraROA transcript:A09p002590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELRRNWAFFLSSRSNCSYTLAASAKPCASRTSAQVWGGLDVVTSLSPLPRVSLASVIWRLRRVSEVLVGYVVVYVIEFGTMVRFFCFNSRIPRHRPKESVEEFSERVIREDGSNSKGLSSSIGESSKLADDSTAAIERVWKSEEIKPQETGKHHLKKSQSHGDELYLDGRDATENGTDDGTDRVGSPNSLEQRETLAAGMSSTKRLERSPNVYQKDALGSVSACQGSDQALYGSIFSVGDLRNDDISLYSEQMENSNSQTPYGSPLLVRSNSMPNIADSASGKSSPLKYSSRSSDDLCALGRRQRDNKPVHETDAKAKQNRDYDDDANGYSSMAKDWIVPATDELNSTKFIKGETSNQPAGYPGKDSKFKRIDDWVNDLQHVNSSAEEADEIDDDEFQREPELTTAASPSVDVMKSTPGMEAAKKYFSSLSSAATTAQLVSRGLVVIPLLSKFVGLRVLNLSGNAIVKITAGALPRGLHALNLSKNCISVIEGLRELTRLRVLDLRYNKILRLGHGLASCSSLKELYLAGNKISEIEGLHRLLKLAVLDLRFNKFSTTKCLGLLAANYSSLQAISLEGNPAQKNVGDEQLRKYLLGLLPHLVYYNRQGAKDARLGTSTLQLERGLRSELKNNGRKSSHGASSTHKAGSSSAARKASSGVQKRSSKERSSSRLPPVGHKVSPAAYENYCVASGDRLATLRSELSMRRTRSEGNLGPI >A01p041260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22063214:22065240:1 gene:A01p041260.1_BraROA transcript:A01p041260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 50 [Source:Projected from Arabidopsis thaliana (AT1G61770) UniProtKB/Swiss-Prot;Acc:Q8GUN6] MAPPATERWFWALIIVLLSLFVRSSTAIYCGEDDCYALLGVAQDANASDIKRSYYKLSLEHHPDKNPDPESKKLFVKIATAYEILKDNETRAQYDYAIEHPSEVFYNTAQYYRAKYGHKSDPRAVLVGLLVVLSAFQYLNNVARYNEALATVKRTPAYKNRLKALELERTGGVSSKKKGPKQIDQKLQEELSNELDLQIKGAEKPSVWDLLGVRFVLLPYTIIKLLVWYSSWVWRYKVKKAPYSFEDASYLTRRSLGVPLDAWTNLDEYKKEDLVQRRLWEKQNLENYYAEMRKESKRRR >A08g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21549597:21550391:-1 gene:A08g510020.1_BraROA transcript:A08g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMIQDAKKKLEKEQMHSHAMELGLIASSSVLKDADYSDDLIKVAEVVEKRINIGFRLWWIEILGLEFRRLKLVYIGLWLAKIVSTALLPRNLVSGVFRWTDGEMLRCRSSYGEAGGP >A08p023590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15534340:15536024:-1 gene:A08p023590.1_BraROA transcript:A08p023590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIILSEIFLSGFMLNSTIRRRTHLVQSFSVVFLYWLYYRKRMLSNRESARRSRMKKQKLLDDLTAQVNQLKEQNNEILTSVSITTQHYLTVEAENSVLKAQLDELSHRLESLNGIIEFLESTNGTCPNPLTGPEPDDFLVNQMNMNMFCMNQPLMASSDALLGEVEEEKRCGCAGSFPATHRPWLGPSGVASSLDGRPAFGSGVCAFFSGVGLPAQVYPVTVSSGRISVSGVASIFGERPAFAFGALTFLSGIGRPAFVSVSLSFLVVTVAFYRVFPIMCFSKRRFSERLGIQRRTFWMNGSSPVRLRSSEFLPTASVSLEDDRSPSS >A08p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1410329:1412287:-1 gene:A08p002470.1_BraROA transcript:A08p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSLPVSAITSGKSPLQAVGGTKSFWFEGDGFVHDVDCFVKTIATIKANGARPDHIGSVIAHYASTRLPDLSGVVMENQQQYSHSVTACVMKKRFLVETLIGILPPEKDSVSCNFLLRLLRTANIVGADTDYKADLEVRISWQLEEASLTELMIPSFSHTCAALLDVELVTRVVKNFARIYNEGIKSGTSLIKVAKLVDSYLAEAAADLNLSLIEFITLTDALPRHSRVTEDGLYLALDTYLKAHPDVTKQERRRLCGLIGIKKLSMEASLHAAENPRLPVRTIIQILFTEQTKLSHGRHNNSIDCNVSSLKPTPSWCMSKFDMNVQQAEISRLRVGIGKLHNECEAMRRQVKKEKKGGRSSTGNTCGSKWYFRWKMLRFSKCFTTNDVEKKNGGEFGDNKEGEEEFELEDLTTVLGDAIASSGQVDNREDPTALIIPDQQIKRNREGKRLKKEMNQLMEQLVEGDRKINTTSSSAQKIVDEATHHRDQNLPNPDKFGLLTKTTRINNHIHVPADSDLCTLKYGGSNQPINARYCCSTPPLFKEV >A09p013850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7177287:7177986:1 gene:A09p013850.1_BraROA transcript:A09p013850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWSVVVWMIVVLVIATMEREAEGSVCLFNCLKKCRHKDPSVRRYCICECVFLCTIDFKSPQGNQLAERKSFYQALNQTCGQTPNAPSPSPQMKTSFSKEILAREDVHG >A07g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2025370:2026085:1 gene:A07g501040.1_BraROA transcript:A07g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPNEGVSLKEKSKTDDRDMYKAVDGIYMLPKLRNRGCIKAETQSFPRRYGISPVLRCSSLPEKWSWKSFRRNTVDPSSTAVDLSSTAGVYASCLRERLLSLAASAAKIRRRMRRRSKPPVHHHSSGGYRILMQGLRIPLNREAVKLRESPVCSELDLTILIPIPSLEFPARPHLRRG >A09p073080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55841962:55844253:-1 gene:A09p073080.1_BraROA transcript:A09p073080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNHNTLNSSRVAIIGAGVSGLAAAKHLARHNPTVFEASDSVGGVWRNCTYGTTKLQSPRASYEFSDFLWHDRNNTAFPTYVEILDYLESYAKHFDLFKYIKFGSKVTEVRFNGEVEAPQMVGLGDYGNLLPGKPVWEVAVQTRDRNPEDIQWHAFEFVVICTGKYGDVPRIPTFPLKKGPEIFNGTVMHSLDYCKLEKEEASHLLRGKKVAVVGFKKSAIDLALESALANQGEGGQACTMVVRTPHWVIPHYWIWGLPFFLFYSTRASQFIHDRPNQSFLRTLFYLLFSLLRTVVSKFIESYVMWKLPLKKYGLKPDHPFEEDYASCQMALVPDNFFEEADKGMIRFRKTSKWCFYDKGIEFEDGTTLEADVVILATGYDGDKKLKAIIPEAFRTWLEFPCGLMPLYRATIHPLIPNMGFVGYVQSNWNVRTAELSSMWLSRLMDEKFKLPSKEKMLDQFFKEVEVMKRSSRFYTNHCFSTFIIQHADDLCNDMGLNPWRKSNFFRDVFCPYGSQDYRFDQEET >A04g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8271722:8274071:1 gene:A04g503880.1_BraROA transcript:A04g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFSSFGNILVFNQMVLIFHSFKVFQIWKTSETTYLLVTTSRKSSGLPGSLLTNFSFISSGVLAFLCKIMIYNSFTTYTEVVRPTTYMEVNQDKQGLTRISEYNHGRLKCKSSDGRLPRLQSDDLLVRRLQQDDLQVSRLDEQIWKKTDFIVSTSEITCLSHISLLQTPKISNKSDAPKKRKLQCIKSFKLVVHGGWCIDGNCNIVITRGR >A02p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7574062:7575289:1 gene:A02p016830.1_BraROA transcript:A02p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSKSSKLLALYINTHSPGTKDALKPNLRSRRFSLTMVALMKSLCFSFVVLASIVTLFSVADAHKFQVGGKGDWVEKPHEGYNSWAESKRFKVHDTIHFKYAKGSDSVQVVAKADYDACNVNNPIEKFDNGETEIALNRSGPFYFISGNKEHCAKGQKLIVFVLAIRNQPKVPISPAKAPSTAQPPKSHSPVVAPAKAPSTAHSPKSHSPISPVAPAKSPTAHSPASHISPAKTPWTVQPPKAHSPVSPTAPAKAPTMAHSPKSSVSPSPHPVAHSPAVSPSKAPATSPAKHSPSPSPTNSPRSSPATPPKASPSTPEKPPKSTPASPAPEKTPPSSPTPSDDNMAPAPSPSAATIVTVTSVMSTLFTVAFTVSMFA >A05p038050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21688405:21689998:-1 gene:A05p038050.1_BraROA transcript:A05p038050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEESVLDAIYEDEDGFETMEDVDMVDVEEGEIVVVHGGMDGDKDKDGILGKEDGQNQPNKNKRKKNKKKKRRNGPVMGKPMDLDRFVRDTCRRLKEKKSYMVYTAVGCLGIPALSDLVNEVEAIETCGGQVTADGSRKRSGGGVLWNIIKARQPASYREIMKKTREFEKQFRYPNTRPKLGPKRDEGSSSEGLASANASSDEALITDMCAMPVVEQTESNPQKERKSVHERIRVPVSYDDLFGEVPVDASEAQPQDSSV >A07p034090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18554572:18555363:-1 gene:A07p034090.1_BraROA transcript:A07p034090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARIALREKGVKFEYREENLRDKSPLLLQNESGPQEDSDEVWSAKNPLLSSNPYQRAQARFWVDFINTKVRYLSGREHSQNNLLPRASQFYARMLIVFEPADEIWSTKGEVQEKAKKEYIEALKILDKPYFGGDNFGFVYIAMTGYYMWFEAFEKCGNFSIEPECPTLIALAKRCL >A03p017430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7043231:7044292:1 gene:A03p017430.1_BraROA transcript:A03p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTMAKEEQSSKPLSLITSLPQDVIVDILARISRFDYPTLSLVCKHFQSIVTSPEIFTRRALLGRTEHCLYVVLCLQNHTRIYILRKNKTNGDTPLVLIPSLPAMPLYLNFVAAGSRIYAFARKSDYEMMTLSIDCGSHSVQPFPSIPTHLNLIVAGVIEGRIYGVGCRFSEEWEKVMVVFDTKTQVWEPGMISVMKEGFMCGCVVMADKMYTRDYANSFVYDPKENKWEKDEMLNLHKWENACVVDDVLYYLDCNEKELRAYDGKRRCWQVVKGLEALLPETRRRKEWSQTVNYDGKLALFYPKENCEIWCAEISLETRQGGEIWGTVECCRHLVTSQSCFMKALDVVV >A09p064490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51941340:51943085:1 gene:A09p064490.1_BraROA transcript:A09p064490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIILKAYFDDIKPYNMHGPCSVFLLKPVKGTIERYKKACSDAVNPPTVTEATKYYQQEASKLRRQIRNIQNSNRHIVGESLGSLNFKELKNLEGRLEKGISRVRSKKSELLVAEIEYMQKREMELQHDNMYLRAKIEQGARLNPEQHGSGVIQGTAVYESGLSSSHDQSQHYIPVNLLEPNQQFSGQDQPPLQLV >A07p038730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20591188:20595376:1 gene:A07p038730.1_BraROA transcript:A07p038730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGDTWDGPVEIVPLKGIKMGRGRVQLKRIENKINRQVTFSKRRAGLMKKAHEISVLCDAEVALVVFSHKGKLFEYSTDSCMEKILERYERYSYAERQLIAPESDSNTNWSMEYNRLKAKIELLERNQRHYLGENLQAMSSKELQNLEQQLDTALKHIRSRKNQLMYDSINELQRKEKAIQEQNSMLSKQIKEREKVLRAQQEQWDEQNHGHNMPPPPPPQQHQIQHPYMLSHQPSPFLNMGGLYQEEDQMTMRRNDLDLSLEPVYNCNLGCFAA >A01g503650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11212483:11212710:-1 gene:A01g503650.1_BraROA transcript:A01g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGVWPARERPCRRRSPSRSRRKSSPAFPTRSPSFALLELWSGPCPSSIPRRVLRHHRWCCGWSNDAIGWGSV >A05g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5163790:5164730:-1 gene:A05g501450.1_BraROA transcript:A05g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSFPARSDDAEKFYGKADRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEASETLKKNVVCAIKELRIYNTL >A07p024770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14243977:14248199:-1 gene:A07p024770.1_BraROA transcript:A07p024770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIAAKMVRGKKVEIVSLLILVIVMAATAATVTYDHRALVIDGKRKILISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNGHEPEKNKYNFEGRYDLVKFVKLAAKAGLYVHLRIGPYACAEWNYGGFPVWLHFVPGIKFRTDNEPFKAEMQRFTAKIVDLMKQEKLYASQGGPIILSQIENEYGNIDSAYGAAGKSYMKWSASMALSLDTGVPWNMCQQGDAPDPIINTCNGFYCDQFTPNSNNKPKMWTENWSGWFLGFGEPTPYRPVEDLAFAVARFFQRGGTFQNYYMYHGGTNFERTSGGPLISTSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKLCEDALIATDPEITSLGSNLEAAVYKTSSGSCAAFLANIGTQSDATVTFNGKSYRLPAWSVSILPDCKNVAFNTAKINSATESTAFARQSLKPDGGSSAELGSQWSHIKEPIGISEADALVKPGLLEQINTTADKSDYLWYSLRMDIKGDETFLDEGSKAVLHIQSIGQVVYAFINGKLAGSGNDRTNISLDIPINLVNGKNTIDLLSVTVGLANYGAFFDIIGAGITGPVSLNSAKTGSSMDLSSHQWTYQVGLKGEDTGLGSGDSSEWVSNSPLPTNQPLVWYKTTFDAPSGSEPVAIDFTGTGKGIAWVNGQSIGRYWPTSIARTDGCVGSCNYRGTYRNDKCLKNCGKPSQTLYHVPRSWIKPSGNTLVLLEEMGGDPTKISFATKHTGSNLCLKVSESHPAPVDTWTSDSKFSNRTSPVLSLKCPVSTQVISSIRFASFGTPTGSCGSFSHGRCSSARSLSVVQKACVGSRSCKVEVSTRVFGEPCHGVVKSLAVEAVCA >A02p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5931071:5933914:1 gene:A02p013540.1_BraROA transcript:A02p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFIFFFLFIHSCVAAPKIPAAVPATKWLTLNGQEPAVVARGGFSGLFPESSASANDLAISTSSPGLTMLCNLQMTKDGVGLCLSDIRLDNATTISTLFPKAQKTYKVYGQDLKGWFVLDYSADTIFSNVSLVQNIFSRPSIFDGQMPVSAVEDVLGIKPPKFWLSVQYDAFYMEHKLSAAAYLRSLRFRGITFISSPEIGFLKSIGMDALRAKTKLIFEFKDPEAIEPTTNKKYSEILKNLAAIKAFASGVLVPKDYIWALDSANYLKPASTFVADAHKAGLEVYASGFANDYRTSYNYSYDPSAEYLQFVDNGQFSVDGFITDFPPTASQAICEMTSHPCLSHQKGNLPKIGNALVITHNGASGEYPGCTDLAYQKAVDDGADVIDCSVQMSKDGMAFCHDSADITATTNAMTTFMSRATSVPEIQPTNGIFSFDLTWAEIQSLKPQIQSPFSTSGGFQRNPANKNAGKFMTLVDFLEFGKAKAVTGNAAYLASKKGLGIVDAVKSALTNSTLDKQSTQKVLIQSDDSSVLSSFEAVPPYTRVLSIDKEIGDAPKPSVDEIKKHAEAVNLKRSSLDTISESFATGKTNVVEEMHKGNISVYVSVLRNEYISIAFDYFSDPTVELATFIAGNGVDGVITEFPATATRYLRSPCSDLNKDQPYAILPAEAGALLSVAAKEAQPPAIAPSPPLDAKDVIDPPLPPVANIGSSDGEAPPHAPPHSGTIATSANLGLSLLAILALGVLFAVE >A07g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8574506:8581071:-1 gene:A07g504310.1_BraROA transcript:A07g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERAAKVDQLLKGNQSQVFIMEDATPEKSAGDKAFESEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGHQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPAGSNFPSQKGEGSPRLRKASRKKPVVETVSPGSEQPAEAVCPIPEVVPPREYIPKVPYPVPAKEYGENGSESKSGGGYQQLGFCLFKASLFTFPFSPPYTDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIAKTRFASSFLTAFIDRFLTNA >A09p045100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39738063:39738586:1 gene:A09p045100.1_BraROA transcript:A09p045100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGTASTTSVTTAPLSTIIPPPYCNNSHDPVTPSSTSAPPPIHSDDGHFDVAPENSGHVPTARYHERRTNTIRSIGACSKSFLVHSQIQNFWSSMGETTNLTKKNSLLKVSSSLNLPVKKLPPTKLKEDGSLHIP >A03p016600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6619959:6621241:1 gene:A03p016600.1_BraROA transcript:A03p016600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIQKTIKRYAEYKGEYFVAESHPTEQYVQGLKKEMVTMVEKIEMLEVHNQKLMGKSLAFCSLKELQEIATQIEKSLHIVRSRKAKLYEDEVEKLKAKGRELKDERVRLSGRVGEEPSGMPMPSGSKEKEDVETDLSIGFRPDLNILLVP >A02p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4981407:4984166:1 gene:A02p011490.1_BraROA transcript:A02p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 3 [Source:Projected from Arabidopsis thaliana (AT5G22900) UniProtKB/Swiss-Prot;Acc:Q9FFB8] MEFGDDKMLYLRDTWKESNMICDVTPLNPSSNGVWPSPNFTDPNINIHFWDHAFPHLQLIFLVISFLWQFLHFFLRRLGMIRFTSHMLTGVLLSKSFINENTAARRFFASEDYKEIVFSLVSACSYMMFWFLMGVKMDMGLIRTSGRKAITIGLSSVLLSILVCSVIFVGNLKDVGNKRSDNTLSSLEAVVVYSIQCLSSFPVVGNLLFELRLQNSELGRLAISAAVISDFSTSVLSSSLIFMKELKDEQTRLGSMFIGDVIAGNRPLMRAAVAVLFVCVAIYVFRPLMFYIIRKTPSGRPVRPIYLAIIIVMVSGSAILANWCKQSIFMGPFILGLAVPHGPPLGSAIVQKFESAIFGTFLPFFVASSSSEIDIWALLNWNALNGIIYIMVTSFVVKFILTTLPALFYGMPMEDCFAISLIMSFKGIFELGAYALAFQRGSVRPETFTVACLYITLNSAIVPPILRYLYDPSRMYAGYEKRNVQHLKPNSELRILSCIYRTDDISPMINLLEAICPSREAPVAAYVLHLLELVGQANPIFISHKLQTCKTEETSFSNNVVLSFEKFHKDLFGSVFVSTFTAVSMPDTMHGDVCMLALNNTTSLILLPFHQTWSADGSALISDSNMIRNLNQSVLQVAPCSVGIFVYRSSHGRRNINDTVLNMSSYKVCMIFIGGRDDREAVTLATRMSRDPRINLTVVRMTTVDDKEKEISEWDKMLDDEHLREMKSNASVDVFYTEKAILDATETPGVMKSLASEFDMIVVGRGKGRKSVYTDGLEEWSEFKELGVIGDLLTSHDINSQASVLVIQQQQLMI >A09p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000279.1:45:470:1 gene:A09p038300.1_BraROA transcript:A09p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQHADMSSVHGSVHESVHWKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVYTDQYTDQYTDSPREEPACEMCGQHADMSSVTRISTHDQSTGRASMADRCTDMVHQFVPKSLQRTVQRGRPTG >A09p042010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23744909:23749828:1 gene:A09p042010.1_BraROA transcript:A09p042010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDKLPPISWERKLNSQAKTPSEFKLTKRDHLHLFPLGYRLWRHTKEEAAKGRASIFDIFRKHHITGDHGVPLGGIGAGSIGRSYKGEFQQFKLFPKICEEAPILTNQFSVFVSRPGGVKHSTVLCPTRPEVIKDNGGYLCRGEAPNVGIDSWDWNMTGEKSTYHALYPRSWTVYEGEPDPELRIVSRQVSPFIPHNYKETSLPVSVFDFTVTNTGAEQAIVTLLFTWENSVGGTSGLTGQHLNSTIMAKDGVHAVALHHKTANGHPPVTYAIAAKETEDVRVSSCPSFLVSGTSPNKITAGDMWDEIKKNASFDKLTSNACSPSKPGTSIGAAIAAKVKVPPGCDRTVTFSLSWDCPEARFDEKTYHRRYTRFYGGLGNAAVAMAHDALLNFSEWEAQIEEWQAPILADTSLPEWYRTTLFNELYYFNSGGTIWTDGLPPKQSLDSIGRRKISLSISTIDNPDSDQNNIALDILGRIDAVCSQIHAPLSSNAALGTTMVQHTEENIGQFLYLEGIQYLMYNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVLMHDSSKKQVMSSGEFVTRKVLGAVPHDIGLNDPWFEVNAYNLFNTDRWKDLNSKFVLQVYRDVVATGDINFAKSVWPSVYTAIAYLDQFDKDGDGMIENEGFPDQTYDAWSCTGVSAYCGGLWVAALQAGSALALLVGDNGAAVYFNAKYEKARSVYEKLWNGSYFNYDNSRSGSSSSILADQLAGQWYARACGLKPIAKEEWIKKALETVYDFNVMKVREGTRGAVNGMLPDGRVDTSTMVSREVWAGTTYSVAACMIQEGLADKGFRTASGIYEAAWSDRGLGVSFQTPEAWTTNDEYRSLCYMRPLAIWGMQWAHTMPQPNREQEQSLRPQEEEETSVLFQQHAGFIKVAHYLKTTKGKDHRSRLQSAYETFLRVVRL >A09g503170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10330570:10330926:-1 gene:A09g503170.1_BraROA transcript:A09g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILAFLDRMMRDRLLSYLESSSFSSSLLLFIFCIRLP >A10p035080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20231289:20235089:1 gene:A10p035080.1_BraROA transcript:A10p035080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSKLPLLSHGVHSTDSFNFAKEPAALSVLLPCPSQIRLFSPFFTTAIGDSGVVKCRGTRHSRGGEEGLRKESFVEEDDDDERGERKVRCEVDVISWRERRIRGEIWVDSDSQSVWDVLTDYERLADFIPNLVSSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLHECPDSPNGRELHFSMVDGDFKKFEGKWSVKSGIRSVGAVLSYEVNVIPRFNFPAIFLERIIRSDLPVNLRAVARQAEINYRAREKPSIIDALLGRASVPSTPSHSVESESPVTERSAATSSAGSLSHSNELNNNWGVYGKVCKLDKPCTVDEVHLRRFDGLLENGGVHRCAIASITVKAPVCEVWKVLTAYESLPEIVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARAVLDLHETREQEIRFEQVEGDFDSLEGKWIFEQLGNHHTLLKYTVESKMRKDTFLSEAIMEEVIYEDLPSNLCAIRDYIEKRGEKSSETCKVETCHVSEETCYSSRDTSAETLKNGNDCVDQTKQRARIPGLQRDIEVLKSEILKFISEHGQEGFMPMRKQLRSHGRVDIEKAITRMGGFRRIAVMMNLSLAYKYRKPKGYWDNLENLQEEIGKFQQSWGMDPSFMPSRKTFERAGRYDIARALEKWGGLHEVSRLLALNVRHPSRQLNSRKDNGGTMQRSESIDTDLNSTLSSKKNKPYVSQDTEKWLYKLKDLDINWVQ >A08p015190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10017835:10021947:1 gene:A08p015190.1_BraROA transcript:A08p015190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G33290) UniProtKB/TrEMBL;Acc:W8PUC9] MAAPRSRRCSLSLLTLFSISLILFSFSLFVYTKPSNKPFIDYKNQFSVSLSFLAPPLSLPEQNNSTNNTLDSVSSPPPMSLLRQNNTANTTLSPICPPPPPPLRQSNTTNSTLASVPFTSPVSDQDNQEKSSSPTSKTTFIRKRSGLNKLEADLAKARAAIKRAASTQNYSSSLYKNPAAFHQSHTEMMNRFKVWTYTEGEAPLFHDGPVNDIYGIEGQFMDEMCVEGPMRSHFRADHPDDAHVYFVPFSVAKVIHFVYKPITSVEGFSRARLHRLIEDYVDVVATKYPFWNRSRGGDHFMVSCHDWAPDVIDGNPKLFERFIRGLCNANTSEGFRPNVDVSIPEIYLPKGKLGPSFLGKSPRVRSILAFFAGRTHGDIRKILFKHWKEKDSQVQVYERLPPGKDYTKLMGMSKFCLCPSGWEVASPREVEAIYAGCVPVIISDNYSLPFSDVLNWDSFSIQIPVSRISEIKTILESVSLVRYLKMYKRVLEVKRHFVLNRPAQPYDVMHMMLHSIWLRRLNLRLIT >A03p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4431154:4433654:-1 gene:A03p011060.1_BraROA transcript:A03p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKIEEIQSTAKKQRIATHTHIKGLGLEPTGIPIPLAAGFVGQLEAREAAGLVVDMIKQKKMAGKALLLAGPPGTGKTALALGISHELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKDVYEGEVTELSPEETESLTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVVVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLQDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGVCNVRGTDMPSPHGVPIDLLDRLVIIRTQIYNPSEMIQIIAIRAQVEELTVDEECLVLLGEIGQRTSLRHAVQLLSPASIVAKMNGRDSICKADIEEVTSLYLDAKSSAKLLHEQQEKYIS >A06p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3935449:3936383:1 gene:A06p011130.1_BraROA transcript:A06p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEAILSSDSNQATTMNDLQSQSDKMFMDKKVSACDLQEIVVCNCKEPTQIVVKDICVDEGVPMVQEKFLFNKEENVKVEDNKPEECADTKDVMELVVTGDEVGCEKPPPEDALAESESVSNKALTLRDIISMEDSNKPLNNVNTYEPEGDLGRDIEQRKTVEKKSVSWRYLPSETVEPENQRLNNVHVEDSYDHHHLFSSYEFGARSFSEAAESGLAHITYSGPISISESLSARSDGSTVSANSFAFPVLQGEWNSSPARMVRAKKRQEKGWRHYSLICCRFCRSRVP >A02p019500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8997928:8999688:1 gene:A02p019500.1_BraROA transcript:A02p019500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTPFFFLSFLIISSSLAFQSDEFGLEGAQQQTPTTRKRYSDPDSDSKVQFSLEHAFGDSDFSPAGTFSARLKSWSHGGKTLTKLRFSRNGFSDEEKDTFKNLLKGDDFYRIRLPSNVISPPGKEFVVASVRARCLPRDGLDEHFVIHMEGANILALSYGSLGACQYPRQFKLPAKWSFNSHTILKSSEQAPRTPIFTEEVLGGENAEGEVEPPPERSFWAKYWMYLIPVGLVVMNAVTQATNMAEEQTGGQAGGSQVQQSARRR >A07p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23339118:23339467:1 gene:A07p043100.1_BraROA transcript:A07p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLMATFILVAMTAISCDFFNVETGIFVEAAAPKCGPDCTEKFFNQDCYKYCVELSYKNGVCVLSEGFPSKISTYWCCCLNF >A10g504410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10901879:10902187:-1 gene:A10g504410.1_BraROA transcript:A10g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAPEAFCLQRDFMKLMMQQRRIWDHKILKKDKTVRGILWLEADLGVNTQSRILTLSLLRDLQEGFVRKLFGDERYELLVKSQELLRRGEIELDRFQGFKK >A03p057400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24887308:24891530:-1 gene:A03p057400.1_BraROA transcript:A03p057400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine phosphoribosyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT4G22570) UniProtKB/Swiss-Prot;Acc:Q9SUW2] MSGNKQEEDPRINGIKTKIRVVPDFPKKGIMFQDITTVLLDPKAFKDTIDLFVERYRDKNISVVAGIEARGFLFGPPIALAIGAKFVPLRKPKKLPGETIFEEYELEYGNDRLEMHVGAVEAGDRALVVDDLIATGGTLCAAINLLERVGAEVVECACVIELPELKGRQRLKGKPLCMLVEYR >A05p029360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13662436:13665484:-1 gene:A05p029360.1_BraROA transcript:A05p029360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTFPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGMTYSNRSAIKILGDDTKKSKFNADYYRMVRQNPFRGSFPEHPQDHIETLEELIPDEYDRCKLFSFSLEGEALRWLNCLATGSLTCWENIRRAFLREFFTDERYWKVRKQISTFRQGPRESFKNAWGRFRGYEFECPHHGYSEPQLLNIFYGGVNLSYKTTLDTASDENFITRNPEGARRLIKNMTTGRSYEKMDEEIEKTTNPKDNSDLLEIKNSLKSLHSFLQNKHRSDIAQIDENTLSDTNDYSDEETNCSDPSSIFHVESFTQAYDTALKSRTGRERFNIRQALTGNRKTKLEFYGKINMVYGELMEKADSLGELIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRRQVSAVMLRSGKNLAAGTRNNSDVGKPDDADETGKSNSHPIFLDELDPNPSQDNRKTTTEKAKEKAIDLELEEYTEIDDEIDRQYGTDVDRPKTPTIDQQPEKPIDRRSTQPEHIIERVYRSLPPFPPKTQTKKSLENAICKKALDRISTLSYEKALRKKESRCPTKARNRSLRSDRARAKARSLRSDQAIIPLGRYRVTELSQARSLCSDRAIVPLGRYVATERSSRSVATDRAIVPLSRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGHYVATELKPKLGRYVATEHLSRSITSIRHQSLHSRQNFECYLPKTVVSSVHVSCLSNSSIKLRGLKTAENS >A06p041020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22123876:22128285:-1 gene:A06p041020.1_BraROA transcript:A06p041020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECIIRMLNLNQPLKQSGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKTVRDVPAVYFVQPTHSNILRIISDASQALYDTFHLNFSSSIPRPLLEDLASGTLKSGSVEKVSKVYDQYLEFVTLEDNLFSLAQQNTYVQLNDPKAVEKDIEAIIERVVNGLFCVLVTLGVVPVIRCPSGGGPAEMVASLLDQKLRDHLLSKNNMFAEGGGFMSSFQRPLLCIFDRNFELSVGIQHDFRYRPLVHDVLGLKLNRLNVQGEKGGMKSFELDSSDPFWSANSSLEFPEVAVEIETQLNKYKRDVEEVNKRTGGGSGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATALLGQIKERSIDAFTKKESDMMMRGGIDRAELMAALKGKGTKMDKLRFAIMYLISTETINQSEVESVEAALNEAGADTSAFQYVKKIKSLNVSLAASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDQQLAVTRTVEALTEGKPNPEFDSYLLLDPRAPKPSSGGGSHVKGPFREAMVFMIGGGNYVEYGSLQELTQRQLTVKNVIYGATEILTGSELVEQLGLLGKKMGLGGPVASSSSSGRRKPNKSKSKSRWCPFCKIVFVSAFHLTRSLRRIINFLFASDSFLESINQSPGASLQPYDLEFLKRLVMACKEDTNASAQDEATEICKTELESRRLQVDSLEAELLDVKAYLDFGSEEDARKELGVLSSRVRATATMLRYVRSKARALAIPDLPQMEYEKDGGSSSCIEDEMLQSIEMVTGVLESLVRRVTEAESETAVQKEMALLGEEELSRKTVQIENLSVKLQEMERFAHGTNGVLSEMRERIEELVEETMRQREKAVENEEELCRVKREFESLKSYVSTFTNVRETLLSSERQFKTIEELFERLVTKTTQLEGEKAQKEVEVQKLMEENVKLAALLDKKEAQLLALNEQCKVMALSLCKSKDKPPEFELSFDSFIFHQLDFEHITGLSIYGSWCGYKEGHLPFSSRNAYSDSVDYVIQADVAELQRQLMSMVDGQPEEL >A03p053930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23152409:23153502:1 gene:A03p053930.1_BraROA transcript:A03p053930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPAHMKKSLLGFSSPLTLGPQVSAAVTDVDPKSDPSPETPPVSNRTVASRSSRQPRLSFSSLAPSSERDHHKKAKSDENPPRREEAPASAEEEDEKRKWNLRPRKACGGSKKGNGAVPAETCGGGGASEAKSQKPVAAVVEAKSNRQRGIPAESPGLGGGGGGVEAKNENHRLWVALSRDEIEEDVFSMSGNRPSRRPRKRTKTLQKHLDVIFPGLCLVGMNADCFRVSTSPAKVRLGLDQPNYIEDCVKYKQMRVDRRDAW >A03p051220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20614950:20615838:-1 gene:A03p051220.1_BraROA transcript:A03p051220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNVSVRGRRRELDVSEENEANTTPKRRKLEVDVESRIVFSPCVQSTSRGGTVARNSAGASETSVVIVRRRESPVDEQCQIPSSVSCCSSNVSEQKTKRRIEFVDLEEDDDGNLDQTVTSWIYDNFNNTRRDMQHEDSTAMEVQEVECRRRLKMKKSRETVKEAELEDFFQAAEKDVRNNKMLECSWKYNFDFEKDEPLGGRYEWVKLNP >A03p069410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30504095:30512147:-1 gene:A03p069410.1_BraROA transcript:A03p069410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGGHGGFLPNSSFGAFSETATNMDFMDELFFDGCWLETTDGKSLKQTTPTNMNDNNNSFLYETERKFPQITPGSLKIEDLTDQPTNQVPSDHSAAMSSTQAEKFLLEETERGKRWWIAPRTRQGPSSSVKDRLVQAIKGLNEAVQDKDSLIQIWVPIQQEGKNFLTTLEQPHSFNPKHLSLKRYRDASVAYNFLADEDSKESVGLPGRVFLGKLPEWTPDVRFFRSEEYPRIKEAQKCDVRGSLALPVFERGSGICLAVVEIVRTTQKMNYKPELENICKALEAVNLRSSENLKSPRSEVYNQFYNAALPEVSNFLASVCRSYDLPLALTWAPCARQEGRGGSRHSDENFSECVSTVDSACFVLDQQSYHFQVACSEHHLLQGEGIVGKAFRRTKLFFVPEVTTFSKTNYSLAHHAKISGLHAALAVPLKNKFNGSVEFVLEEELLFSEKPLPLEPFPLEEISQEDSSWISHMIKANEKGKGVSLSWEYQKEEPKEEFMLTSGWDNNNQIGLGHGHSSFDSASFGVGQSLLGSRRQGEKRRTKTEKTIGLEVLRQYFAGSLKDAAKNIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGVQGSIQLDSFYTSFPELSSQNVSGTGTGTGTYFKNAQTENGVSAQGIASKSPPSSSCSHSSGSSTCCSTEANNTANTLTTLMAENAGEILKRARSEVKLHTLEETKPISRTRSHKTFSQHPLSLKAGGASKVKATFGEAKVRFTLLPTWGFRELRHEIARRFNIDNNNIATFDLKYLDDDKEWVLLTCEADLEECIDIYRSSQSRTIKISVHEASQLKLRGSFGSTGTGPSL >A07p015390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9563698:9566412:1 gene:A07p015390.1_BraROA transcript:A07p015390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYSRVDGKKSSGYGLTITIVLVVSLSLVGAWMFMSSWSAPTDESVDFSSTQTPTDVDTTTTKTDFTNEEVGKGFKSEETEVVTESNEEKPDPESSGEEKKEFEDKNGEGDRKDGEGESESDETKQKEKTQLEESSEENKSEDGNGTEENNEENTEKKTEESAGETEENTEKSTGDQAEITKESSSGSGAWSTQLVESQNEKKAQVSSIKWKVCNVTAGPDYIPCLDNWQAIKKLHSTKHYEHRERHCPEESPTCLVSLPEGYKRSIKWPKSREKIWYNNIPHTKLAQVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNRTRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIWKAMSKLTKAMCWKLMTIKKDKLNEVGAAIYQKPMSNDCYNERSQNEPPLCKDSDDQNAAWNVPLEACMHKVSEDSSKRGAVWPESWPERVETVPQWLDSQEGVYGKPAQEDFTADQERWKTIVSKSYLNGMGIDWSYVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSADTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSALKKRCSLVSAMAEVDRILRPQGTFIVRDDMETIGEIEKMVKSMKWNVRMTHSKDGEGVLSVQKSLWRPSEVETVTWAIA >A06p039430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21235962:21237355:-1 gene:A06p039430.1_BraROA transcript:A06p039430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGSSDSLSRFLPICHSATDNQLSPRPTATGFLYSGTGDYSPMFDCLEDGSLEDIAVGHASSTAATEKKRRLSVEQVKALEKNFEIDNKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYGVLKSNFDSLKRSRDSLQRDNDSLHAEIKQLRAKLNVDGISRSSSNASTEENVLVKADETVMPSNKVLELNQRPLPPPPHIPTATEAPALELEYEMLSIFPRAEIFREDPADSSDSSAILNEEYSPTAAEAAAAVEMSTMGCFGQFVKMEEHEDLFSGEEACKLFADNEQWYC >A03g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1363898:1364341:1 gene:A03g500410.1_BraROA transcript:A03g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQHLCPLHRDREKLTDDTRKCFRDTFYRLAKNSHHKSESEFLEDITSRYRNQKTELETNSIDRAVANLTFNKMESNMRNMHPPKMR >A07p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4247151:4250116:-1 gene:A07p001700.1_BraROA transcript:A07p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEAAAAAAEGGTVTPARKKRGRKPKIKEDSQTKEQSGKESGGKKTKQSVDEKYTQWKGLVPILYDWLANHNLVWPSLSCRWGPQLDQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVETQPNRHAVLGAATSRPDLILTCHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHITTVGTDSKSSGSIIKQTGEGGDKTESPSVAPRGVYHGHDDTVEDVAFSPTSAQEFCSVGDDSCLILWDARTGTSPVTKVEKAHDADLHCVDWNPHDDNLILTGSADNTVRLYDRRNLTSNGVGTPIYKFEGHRAAVLCVQWCPDKSSVFGSSAEDGLLNIWDYDRVSKKSDRAAKSPAGLFFQHAGHRDKVVDFHWNAADPWTIVSVSDDCETTGGGGTLQIWRMSDLIYRPEEEVLAELETFKSHVMTCASKP >A03p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18000081:18001674:1 gene:A03p043100.1_BraROA transcript:A03p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIRSFWRKHRKKILVTTTCLGSGYLLYKLYNAHTRSLADLERELADERHNDEIIKTQMKAHFENIQMIADVTTLPHALRRLSSRIAEEIHVSSVMETLSKGKGTLVPSEKLHLWNELKILSFTRMVLSLWSVTMLSLYIRVQVNVLGRHLYIDTARGLTTSHLLEELDQIDREEEKKFLTSADYLATIGMPSLISAMKRAVKEVLKGKQLKDVLTTRTLEETVIRILDVFMSKGSPHHWVDYLMMAQDTTMSPRDTTTSVLSSDDVAVSKLHQLINETREVLTSTEFTNVAEISLKSCTLALVEEMEKQTGLAAGMQLAKLLPQIEKTIPEISAVPDENRFLQLIRDLPEVQLFFTLLYSNMPLQFTKLPN >A08g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10170751:10171283:1 gene:A08g505920.1_BraROA transcript:A08g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLRRGSTYEVLGSHERQTRSKAYGCGHDPPRRRGKKVEETALRILAETAANMSIESSWQKHIHNKLEKRYERKCFY >A05g510510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32370781:32372023:1 gene:A05g510510.1_BraROA transcript:A05g510510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTVRESLLSGPQIPWWNAFGSQPLAPVSLAGDSESLGETEHGVDKQSNSAFQLPFSLGGVKSSIDVPKPHGAAFSVQPPPCLELGFSQPQIYTKYPSVEQEYYGGVVSAYGSQSRVMLPLNMETEDGTIYVNSKQYHGIIRRRQPVQKLLLFFITTNLVSEVPYMHHSRHLHALRRPRGSGGRFLNTKADGTEQTQPQPQESNSQSSEVVHPESEIMNLSHELYVSVSEVTSMNYFLSSSVHPLGGVVMPSMWFEAAAVDNGCFNFNT >A10g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12238813:12241580:1 gene:A10g504960.1_BraROA transcript:A10g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKAPMGKTLRKRKEKVAKDLKRGANEKEMKTFLKRVFKIPLEIPFEDAYFTHRLWMFFKETKEAEEDTRRMFYETREKMKNMITLKKKSDPGKFATPCLKVEPSKESFTFVDFSQQSSGGIIRDLEVQTGNAIVPKVSIDDSIRMSIDTPIRPSIDRTTELPIDNPSSKLYRAFSYKICSSHILASLSTNTNAVTTIDSLSSPRQLPLRHATVELSTRQSILRRSKLTPPTLINNTNQKSIDNHIDESIDSSPDNWENDYYNPTLAEHSARPSTRATLHKEEYDEHYEEERTTEYRGICDEEGNYSIGSSADDRYHESYAVETSVHEIRAKNFFMQQRNIPEHQQRVANEFYNTFGEVDDHFKPKYRQHTRPSIDIGDPTSIDRRPEFGKRAYDRDGTRRFHWEEN >A06g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21242701:21243085:-1 gene:A06g507590.1_BraROA transcript:A06g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKFVSIAVYSLVSRYIFPVLDLLISTNLAPNLGFTVSVDIVAFGYVSVLLMLST >A03p068420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29973669:29974977:-1 gene:A03p068420.1_BraROA transcript:A03p068420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34180) UniProtKB/Swiss-Prot;Acc:Q93V74] MTRSVNFPLLGLAAALLLSPLLAVSGKLADDLKPNRQEVYGGGKIFDISHRYTPEMPAWESKEGLSNHLRLIASMKNGSFANVSEMKLSVHSGTHVDAPGHFIDEYYDAGFDCDSLDLQTLNGPALLVDVPRDKNITAEVMESLHIPRGVRRVLFRTSNTDKRLMFKKEFDSSFSGFMTDGAKWLVENTDIKLVGLDYLSFAAFDESPATHKVILRGRDIIPVEALKLDGVEAGMYSLHCLPLRLVGAEGAPTRCILIK >A08g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13422351:13425450:1 gene:A08g507570.1_BraROA transcript:A08g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLISQDAFQEVKSKRDEKKDTKDQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETRLAQRGKGARNHWAGSSAPNSDRPKNAEVKEAEPTGPTGAASSSLLPAPAYQSAWAKANPGKKTMAEIVKMGKPLHQKKVSAPRSSETQESGSKAPLKDEGSSTEKQESGSKAPLKDEGSSLEKQESGSKDPLKDEESSFETQESGSKAPLKDEGSSFEMQDVSDPVPSLLKPFFEPKTHADQVSEPQHVDESQMNDEVLETKTNQVAIHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEENSSFRQHDTNFYGGEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNVMDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGFQQGFPVGNHQAPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQPPGFVQAQQLHQQQLSQQALMSLDQLRHQHQYQHHQQSAGEASSQTQEQLWPNNS >A07p049140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26141613:26149467:-1 gene:A07p049140.1_BraROA transcript:A07p049140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALKVLSALDVARIQWYHFKAIIVAGMGLFTDAYDLFCIAPIMKMIGHIYYHKDSIGTAVLSTSYAIALLGTALGQLIFGYLGDRVGRRRVYGLCLMIMVLSSFGCGFSVCTTRRSCVIASLGFFRFVLGLGIGGDYPLSATIMSEFANKRTRGAFIAAVFSMQGLGILMSSAVTMAVCEAFKNAGEGSLEKMRAAGMETLAPPEADIAWRLILMIGALPAALTFYWRMLMPETARYTALVENNAIQAARDMQKVMSESILSQIAEDTSSEPPQQPPSSSPYKLFSRRFFRLHGRDLFAASANWFLVDVVFYTSNLLLSQIFTFSNKPLNSTNVYDSAFEVAKVAAIVAACSTIPGYWFTVYFIDRVGRVKIQIMGFFLMAVVYLAAGIPYSWYWSKHEKTNKGFMVLYGLIFFFSNFGPNTTTFIVPAELFPTRFRSTCHGISGAAGKLGAIVGTVGFLWATKHDEEDKEDIFPDVKRVRIAFLILGGVCIAGVLVTYFFTRETMGRSLEENEEEDEIRTTSAPGGSSSANDQTQKHIPLIPDIIMTRQRLPLLSVCVSSKTKKENKTYFIYLKTIKSTMPAPRVLSALDVARIQLYHFKAIIIAGMSLFTDAYDLFCIAPVLKMLGEIYYREDSIGTPILSIFYAIALLGAALGQLLVGYLGDRLGRRRVYGICLVIMVLSSFGCGFSVCTSRRSCVMASLGFFRFVLGLGIGGDYPLSATIMSEFANKKTRGTFISAVFSMQGLGILMSSTVTMAVCAAFKNAGKGSSEKTKAAEADIAWRLILMIGAIPAALTFYWRMRMPETARYTALVENNAIKAEKDMEKVMSISKSSQVEEDLPQLPSSSSSTSSSYKLFSRRFFSLHGRDLFAASANWFLVDVVFYTSNLLLSQILNVSNKPHNVYDSAFEVAKVAATVAVCSTIPGYWFTVYFIDRVGRVKIQIMGFFLMAVVYLVAGIPYSWYRSDHGYTSNKGFVVLYGLIFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVGTVGFLWGTKRDEEHKDVFPDVKRVRIAFLILGGVCIAGVLVTYFCTRETMGRSLEENEEDEICAYSSAPGSPSASELPTITTQAIVF >A08p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20233469:20234184:1 gene:A08p033850.1_BraROA transcript:A08p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARSVFRSAASRASAAASRFSTGPKPTPSSSRPAFRMPKQSPLSNRIFRSPVELSCCVETMLPYHTATASALLNSMLSASRRGWIVEGLDETR >A04p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18466663:18468163:1 gene:A04p031240.1_BraROA transcript:A04p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPISGTRHLTRSLLFNTTLLAPPRLPSSVCCGGFSSGHDAVVPRFTSLRCRANRSGSAYSPLNSGSNFSDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQEMIDCYVQTLAKVVGSEEEAKKRIYNVSCERYLGFGCEIDEETSTKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQRRVEPQPQRAQDRPRYNDRTRYSRRKENTR >A03g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8168585:8169557:-1 gene:A03g502620.1_BraROA transcript:A03g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIVFPLDLVWLSLFACGWNEVSSPGLLAVRCFRSMERVGARALWPARVVLSYLGVSLALVGVRGFGLGCALVLNLVAAEASDGSSSTNFSRSVLDGYGRVVVLVSLTASSNVRVNAAAAAVVVHVGRCFEALGKLFSADDADWVESWDSAGGC >A01g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10487360:10487878:-1 gene:A01g503290.1_BraROA transcript:A01g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLVALHFAMFATPSLDISNLGSGFSVVGFHSHSLRLFHFPLFPLVCVFKLRGDFGLPVVILLLARFLDFIFYHFLTQAFPGQDTL >A01p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8751176:8752182:-1 gene:A01p018000.1_BraROA transcript:A01p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMMERCEIHRNEYFGAENLRKQQYVQELKNEMVIMADKIELRRLHSRRLMGQDLDSCSVEELNEIISKIEKSLTNVRSRKAKLNEDKIEKLKEEIAKEKEVFNEGSRLRQMFEAQPLWMQSRSLKSEKSAPSCDCGNMNISDVETELSIGLRPESQM >A03p009270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3713333:3715626:1 gene:A03p009270.1_BraROA transcript:A03p009270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLNNALSRNYNGLELWEIIVIVLSAIFLVVLALSIYLTFRRKKSRPSSSQIPLTLHVAPTVPEEIKEIRVDEVSSTNGDGNGYPSISEKFGDKEPEKGVAVVVAAESENGDSSRSGSFNHMEKKDGSSVSSANHLTAPSPLSGLPEFSHLGWGHWFTLRDLQMATNQFSRDNIIGDGGYGVVYRGSLVNGSPVAVKKLLNNLGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLRGDNQNHEYLTWEARMKIIIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDKFNSKISDFGLAKLLGADKSFITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYARPPPEVHLVEWLKMMVQQRRSEEVVDPNLNTKPSTSALKRTLLTALRCVDPMSEKRPRMSQVARMLESEEYPIPREDRRKRRSQNGTTRDSDPPRNSTDTDKSEYHDLKPEAG >A09p023550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12882225:12883421:-1 gene:A09p023550.1_BraROA transcript:A09p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRAPGKKPITITTTSTSASNFLYWSPESSPTAVSSPTAMDPVIWSNLPNHILDHILSFLPFKTLVSLRSTSKHLRSLILSPTFLSDHSFPLPSFLLLSHPQAFQSFPLFDPNLISWRTLPLPRSLSLTCASSLLSSSHGLLCFSVSPSSASSLSVFNPLTRSSRSIKFPFYPFPFELLSLVAFPDSYRIFTISSSASRSVCLYDSGDRWWRIFGGVDQVLPRGFNQDGVFYNGSLYFVRSEPFLLVSVNLDDGKWTTAAGDGVFPAEDEITFARLVTDPEKKILYMVGGIGSNGICRSIKIYEFKRETESWIEAETLPDIVCRKFTSVCYHNYEHVYCLWHKEMICVCCYNWPEILFFHVGRRTWHWVPKCPSLPEKWSCGFRWFSLVPSLSASV >A04p019360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11601292:11602581:1 gene:A04p019360.1_BraROA transcript:A04p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPRFSEKWDFRRQENNFDDDSSSDDPDSYSTHEPIIHNTDPVLDSNHLDNGTKTVSTEQPVKTRKRRSKKDESKPEKAKQVRKRKPKTVCAEENTKKKETVMETDEVGMFMKTLLDDLTASRESLMNWMNTELNGSSDQHVVSRPPPKKRAVAAGPAKKRRTKTKGQEEGSEKQRKQDEICQRMNKPKEKGSEVVQNGGDQAQQLDYDVGTLDMFLRSGHEQGQQGIVAQTEIENATAMDEKKSVVLAIEAPKLRQRQKKTREANTIKNRSETKKDDNFAIPYVPQLSSSPTLQSFPVGSSLFPSPSQGVTSLASNNSYQPMQPPVVPQFSDLYEFQTGFAGGQGYDKSSPFHNNGYFSGFPAASQPNLMAQVNHTTYSQQRDNNNMFGELQMVVGAIPYSGSRFHESEVGNGNNILSDYKTSSGR >A10p029670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18111139:18114526:1 gene:A10p029670.1_BraROA transcript:A10p029670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVLNPNAEVLNKTAALHMTINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELVKQSERCIDEGMHPRVLVDGFEIAKRATLQFLENFKTPVVMGDEPDKEILKMVARTTLRTKLYEGLADQLTDIVVNSVLCIRKPEEGIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERRSVDERVQKIIDLKKKVCAGNDNNFVIINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPESLGWAGLVYEHVLGEEKYTFVEQVKNPYSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDECVVLGAGAFEVAARQHLLNEVKKTVQGRAQLGVEAFANALLVVPKTLAENAGLDTQDVIISLTSEHDKGNVVGLNLQDGEPIDPQLAGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPTA >A07g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27565957:27566218:-1 gene:A07g509260.1_BraROA transcript:A07g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >A04p002570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1233961:1235033:1 gene:A04p002570.1_BraROA transcript:A04p002570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGCLIYLDHLESGTKTYATANIQTIDYKVKELLTAMSFRNTAYVFTKYQDDPACNLILYAMCQQYLSPLFGGVDHVKIPADGDAIYLFWLWEELESAMIIACSLRQNRYLDVVGLPAVVSQCDLIYPSLSGNVDGEGVREKTIFSKEAAVMLGRFHQMACLVLFKDMDTSFWNDADRNRTMKSLLSTDGVKLIAYMEEQMSSGILQMSNGMDWLKYIDDETMERLRKYSVFEGLWLVKSDPDKVLKGGVIRCLKRGVNDQTSICIPGLNTDDSFDVSKEEVILGGGDSELQFAEGAFSLKLACIERIEGPRKIISFSQEGTSSFI >A05p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6986216:6988285:-1 gene:A05p015730.1_BraROA transcript:A05p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQTSPVQQTKTMEKQQSFRCVENNNNPPRKARSLDKQVSFQGVSVENHHHPSRLGRSMEKQQSFRGVATAENHKRGVIMERQKSFRGGFLEKQKSFRVVMERQLSFIGERRKKTESPGKRGDSSLHIAARTGNLGKVKELIRGGCDGEELRELLSKQNLEGETPLYTAAENGHSAVVEEMLERMDLQTASIAARNGFDPFHVAAKQGHLEVLKILLETFPNLAMTTDLLCTTALHTAATQGHIDVVNLLLETDSNLAKIAKNNGKTALHSAARMGHVEVVKSLMGNDPSIGFRTDKKGQTALHMAVKGQNDGIVVELVKPDVAVLSVEDNKGNTPLHIATNKGRIKIVRCLLSFEGTNLNPINKAGDTPLDVAERIGNAELASVLKEAGAATAKDLGKPQNPAKQLKQTVSDIKHEVQSQLQQSRQTGVRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTIPGQYEEDRSKGDLLGQAHIANKAPFLVFFIFDSLALFISLAVVVVQTSVVVIEEKAKKKLVFVINKLMWCACLFISIAFVSLSYIVVGKEEMWLAVCATVIGGTIMLTTIGAMCYCVIMHRVEESKLKSIRKERSKSQSFSMSRMPSDSEILNGEYNKRMYAL >A07p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2514966:2515414:1 gene:A07p004990.1_BraROA transcript:A07p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCFLLKLGQATLVHSIIFHMSSLQHTSAVGFLRYGVEMSVADETGKALFVCFDEVMTKHHNMRAFEADHLLAGDGVNPEETQAPPFV >A09p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17754599:17756628:1 gene:A09p029830.1_BraROA transcript:A09p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPKGGSIKRGGKKGSNDRDPFFEQETRKRRKVSYDDEDIESVESDAEENGFTEDKREANEAEDEDEFADETAGEKRKRLAEALLKRTREARQRERDDDDDDDDEEDDDIVKTLMKKQQEDTGRVRRAIASRVQEPLSSDGFSVIVKHRHSVVSVALSDDDTRGFSASKDGTILHWDVSSGEKEIYKWPSDEILKSHGMKVREPRNKKHSRATLALAVSSDGRYLATGGVDRHIHIWDVRTREHVQAFPGHRNTVSCLCFRHGSTELYSGSFDRSVKAWNVEDKAFVQDSFGHQDDVLAIDALRKERAISVGRDRTMQLHKMPEQARMMYRAPASSLESCCFISDTEYLSGSDNGTVALWGMLKKKPIFLLKNEHSVTINENGDHDNVEYNNSCTASSWVSSVAVCRGSDLAASGAGNGFVHLMAVEATALRPLFKLPLSGYVNSMAFAKSGKFLIAGVGQETRFGRWGCLKSAQNGVAIHPLRLS >A03p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8915157:8917740:-1 gene:A03p021860.1_BraROA transcript:A03p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT2G38330) UniProtKB/TrEMBL;Acc:A0A178VTF1] MAAVATFLSLSPLRSASSFQLRDLPRNPNPSIRPRIHFKSPVVAASSKNSSPQNIQNIVESPEPDPDPKPEHGIGNIGMEIMSIALPAALALAADPITSLVDTAFVGHIGSAELAAVGVSVSVFNLVSKLFNVPLLNVTTSFVAEEQAIAAKDDKDSNETRKKVLPSVSTSLVLAAGVGIAEAIALSHGSDYLMDIMAIPFDSPMRIPAEQFLRLRAYGAPPIVVALAAQGAFRGFKDTTTPLYAVGKITVAVSDVVNYVWTVAGNVLNAILDPILIFVLGFGISGAAAATVISEYLIAFILLWKLNENVVLLSPQIQVGRANQYLKSGGLLIGRTVALLVPFTLATSLVAQKGPTQMAGHQICLEIWLAVSLLTDALAIAAQSLLATSFSQGEYKQAREVLFGVLQVGLATGTGLAAVLFIGFEPFSSLFTTDSEVLKIALSGTWFVAGSQPVNAIAFVLDGLYYGVSDFGFAAYAMVIAGFISSLVMLLAAPTYGLAGIWTGLFIFMALRLVAGAWRLGTRTGPWKMLWLAPDKP >A03p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14845130:14848240:-1 gene:A03p035330.1_BraROA transcript:A03p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCVAMEKHFFGMPLLLLVLLLSSIDRSTQLQSSQSQTLLRLQQLLHYPNVLNSWNNFTDFCNSEPNASLTVVCYEDSVTQLHIIGDRQTHMLPKSFSLDSFVTTLVKLPDVKVLTLVSLGLWGRLPEKINRLYSLEILNVSSNFLFGPIPHELSSLASLQTLILDENMFSGRVPDWIGSLPSLAVLSLRKNAFNGSLPSSLSTLSGLRVLSLANNHFNGALPDLSHLTNLQVLDLEGNSFGPLFPRLSHKLVTLILSKNRFRSAVSSQEVSSLYQLQHLDLSFNTFVGPFPTSLMSLPAITYLNISHNKLSGRLSTNLSCNSELMLVDLSSNRLTGSLPACLKPSSGTSRDVVYAGNCLATSNEDQRPVSYCSNEALAVGILPKRRNRVSKLSIALGVTAGILGMILLAGALFVTLRRVNAKRKATKASPRLIKENASFGYTSKLLSDARYISQTMKLGALGLPSYRTFSLEELEYATNNFESAAFMGEGSQGQIYRGRLKDGSLVAIRCLKMKKSCSTQNLMHHIELIAKLRHRHLVSVLGHCFECYLDDSTVSRMFFVFEYVPNGELRSWISDGHMGRLLTWEQRISIAIGVAKGIQFLHTGIVPGVYDNNLRITDVLLDNNLAAKISSYNLPLLVEGLGKVGQLVSRTFPKGTPSTKEEDKIDIYDFGVILLELIVGRPMRAKGQVDVLKEQLQASISADDGARRSMVDQTVHKTCSDQSLKTMMEICVRCLIKDPLERPSIEDVLWNLQFASQVQEGWLQNSNPSSIRGSPSLGASSLPAPSRLHITTLESPRYSGYKEHER >A06g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8049163:8049807:-1 gene:A06g502340.1_BraROA transcript:A06g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDTNKQLLEDLKTDHKYRPCWDCEIPYPTLSYLISTILSTLGLGGRPKWIYFWFPSQKASITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITDLRQPGSDTNCWDCEIPYPTLSYLISTILSTLGLRGRPAWIYFWFPSQKASYY >A05p049490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28808383:28810677:1 gene:A05p049490.1_BraROA transcript:A05p049490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein CCR1 [Source:Projected from Arabidopsis thaliana (AT3G09780) UniProtKB/Swiss-Prot;Acc:Q9S7D9] METHLFLLFLSLLLFLSKPGSGFGSPGPIAASFGGTSAFFCAIDASGRQEVICWGKNYSSPSSPSSSSSSSTSQSYNIPSMAVLSGGDGFLCGVLSNTSQPFCFSSLGSSSSGTDLVPLSYRTTAYSQIAAGNRHVCAVRGAYYSDHDSGTVDCWEITRADDNSFTAKESSNFYDQNVSNLVFNKIVSGDGFSCGGVREGGVLCFGPKSSTLGLNTTTSDSFEVLSAGKSSLCAILNSSREIKCWGDKDSFVNSPSDNSRFVALASGPNHYCGIREDTHEVACWGNANFSLIPKASGFKAIASSDSIVCGIREEDLVLDCWMVNGSSTLAYDPPLELCSPGVCRAGPCGDKEFAFNASILDEPDLTSLCVRKELSLCSPCGSDCSKGFFLSSSCTENSDRVCTSCSLCQNSSCSDICKVHNHDSSSDKHWNQLALIVGSSASALLIIIICCCVVPRFVTSPNKEDGFKSCIGKTDLETEPLEMIAPAPSVTPFAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAVLSDGRQVAVKRANASTIIHTNAREFEAELEILCNIRHTNIVNLIGYSTEMGERLLVYEYMPHGTLHDHLHGGFSPLSWGLRVRIALQTAKGLEYLHVEAEPRIVHGDVKSSNVLLDSEWAARVADFGLLTSSNERSLDVKGDVYDFGVVLLEILTGRKRYDRDCDPPEIVEWAVPLIREGKAAAILDKYIALPRNVEPLLKLADVAELCVREDPSQRPTMSELVNLLDQFARDGLIL >A03p061890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26891926:26892627:1 gene:A03p061890.1_BraROA transcript:A03p061890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCDLCEGVARMHCESDQASLCWDCDGKVHGANFLVAKHTRCLLCSACQSPTPWKAAGLRLGPTFSVCDSCVALKSAAGGRDGVSSTERILTENPGEEINGFDNDDGDGAESYDDDEDEDEDEEYSDEDEDENEDEEAENQVVPWAAAQPPLVMSSSSSDGGCGGPVAKRTRDCSDEEIGCSSAEESNCSRPLKRPSREERRR >A06p012750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5810828:5814899:1 gene:A06p012750.1_BraROA transcript:A06p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQADAGEPEYESDPEELKRSLAARRREASDDEEDDDGGEGVKNRRAEVDSDSEQSDEHVGAVKYDNDESKSEDGEESYDEEEEEGDEYLDDDKRSKSKPAEDAAGHVDGEEEKEKQSAAVPTGGAFYMHDDRFQELSAGRSRRGRGGRKPWGSGDERKWGHDKYEEMNTTQEQHYDQKASRGRHRGRGRGRGQGRGYTRGRSSSASSSNGQQIFVPKAATREGEPRKDETPLSKGNQAHSMQKKQLRNSRGSQHWHEKGTHHDSQRSPSAPAKTGNEYSHTKKNVVASSLSSASPPFYPSVSSSNLAHGIQVGMEKLHTNENATSSGKKYRNTKSVYLPVNTARNVQSTSQGRGAPAAGNVFYPQSHSRGDNMQLNGDSKGTGQSYTRSSTQNTSSSKNRYPPGEIESASETGASFGKGKGTLQPSGSGSLMYSGSHVMGRAESLPSGDNSNFPAFLPVMQFGGQHGGVPTFGMAFPGYYQPENGVGNPEMTWMPVLTGPGALGASYSPPYAAIDGSYQAHKPGLPSSAGSSSKENSTNNLTDLENPMESPEVTESGVSKRQNNNPSKQPRRALALLQASLLLTLAAVVPAFLREVVVSSLGQPNNRLCYEQEMVNG >A04g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12600612:12604155:1 gene:A04g506080.1_BraROA transcript:A04g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEPEKMISEPEKTISEPEKMIPEPETSIPESEKMIPEPETTIPESEKMIPEPETTIPESETTVPGQFTLMPPSLTNLKNKFEINLSPSSFHRFFFSSPSSSSSSLRRRLPVLVVVVSPSSSSSSSLRRRLRLSVDPSSSSLRLFIVVVVSQLICRFYVYSSHSLSRVSDLIKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A08p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19527237:19535193:1 gene:A08p032210.1_BraROA transcript:A08p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL3 [Source:Projected from Arabidopsis thaliana (AT4G38600) UniProtKB/Swiss-Prot;Acc:Q6WWW4] METRSRKRAEATSTAPSSSSSSPPPSGPTTRSKRARLSSPSSSSAAATAPSSSTRSRSSRSTTATAAVTPMDTSTESSGFRRGGGRGNRGNDNTNSDKGKEKEHEVRIRDRERDRARQQLNMDAAAAAAAAADEDDDNDSEDGNGGFMHPNMSSASSALQGLLRKLGAGLDDLLPSSGIGSGSSSHLNGRMKKVLAGLRSEGEEGKQVEALTQLCEMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVAVSTAANMCKKLPSDASDYVMEAVPVLTNLLQYHDAKVLEYASICLTRIAEAFASSPDKLDELCNHGLVTQAATLISASNSGGGQASLGVSTYTGLIRLLSTCASGSPLGCRTLLLLGISSILKDILSGSGVSANASISPALSRPADQIFEIVNLANELLPPLPEGSISLPTSANALVKGSGQKNSSPSTSGKQEDSPKVSPREKLLSDQPELLQQFGLDLLPVLVQIYGSSVNGTIRHKCLSVIAKLMYFSTPEMIQSLIGNTNISSFLASVLAWKDPQVLVPALQVAEILMEKLPETFSKVFVREGVVHAVDQLVLVGKPSSHASTDQENDCVPGSARSRRYRRRSSNANSDGNQSEELKNSVSASIGANHNSMESPTASFMLRETVSSCAKAFKDKHFPSDGGEFDVGVTDDLLHLKNLCTKLTSGTNDHKVKGKGKSKASGPCLGDFSASKEEYLIGIISEILGELSKGDGVSTFEFIGSGVVAALLNYFSYGYFSKEKISEVDLPKLRQDGLRRFKAFLEIALPSDGNEGKIPPMTVLIQKLQDALSSLERFPVVLSHPSRSLSGSARLSSGLSALAHPLKLRLCRAPGEKALRDYSSNIVLIDPLASIAAVEEFLWPRVQRSESGVKPAAPAGNTEPGTLPSGAGVSSPSSSTPASTTRHSSRSRSAIKIGDASKKEPVHEKGTSSSKGKGVMKPAQPDKGPQTRSSAQRKAVLDKDTLMKPASGDSSSEDEEMDISPVDMDDALVIEEEDISDDDEDDDDEDVSILSPVLDDNLPMCTPDKVHDVKLGDAVDDEGAGLAPSGRQMNSALAGSSGTATARGSNSTDAGIGNLYGSRGALSFAAAAMAGLGAASGRGIRGSRDLHGRTLNRSSDESSKLMFTAGGKQLSRHMTIYQAVQRQLMLDEDDDDRLGGSDFISSDGSRLNDIYTIMYQMPDSQANRLSAGGASSTTPSKSTKSATTNASVEAQSYRASLLDSIVQGKLPCDLEKSNSTYNVLALLRVLEGLNQLGPRLRAQTVSDRFAEGKITSLDDLNTTAAKVSHEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTTACPFLFPFQTRRQYFYSTAFGLSRALNRLQQQQGADGSGSTNEREMRIGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSGDKLSMQTDRDEIQDGKSAAARDRDIVQAPLGLFPRPWPSTADVSEGSRFHKVVEYFRLLGRVMAKALQDGRLMDVPLSTAFYKLILGQELDLHDVILFDAELGKTLQELRVLVGRKHYLEAGGGDNSSGISDLCLRGSRIEDLCLDFTLPGYPEYILRPGDDIVDINSLEDYISLVVDATVKRGVARQIEAFRSGFNQVFDIKSLQIFTPSELDYLLCGRRELWEAETLVEHIKFDHGYTAKSPAIIFLLEIMGELTADQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKLSSTSNAAANGTGASETADDDLPSVMTCANYLKLPPYSTKEIMYKKLLYAINEGQGSFDLS >A06p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20034126:20035292:1 gene:A06p036940.1_BraROA transcript:A06p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKSHTCLLIFRSPSLDKHVVKPNRVRFKSSTNADIILASKTHELYSLQDPSLSHYLQAFYNTYTTIFKLLTVEKRTLIDHLTHFRKEFALPNKLRALIVRHPELFYLCSLLSLHGCYKYNKGAAGDGVDSTDAIAMNSERRFRCGIFQ >A07p046130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24954771:24956914:1 gene:A07p046130.1_BraROA transcript:A07p046130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73060) UniProtKB/Swiss-Prot;Acc:Q8H0W0] MAMAMLPALFSSPSILTRRVRCGATAKSGGALSSASPDSDPRRGVSVYKPKSYEVLVSDAANSLAFALQESKSRLEIDFPPLPSSISSYKGSSDDFIDANIQLALSVVRKLQEKVETRACIVFPDKPEKRRASQLFKAAFDSIDGISIRSLDDIPGTSVTNFFRSIRSTLDFDFEDENEGSWEPKEPPTLYMFINCSTRELSVIEQFVENFASSTPALLFNLELDTLRADLGLLGFPPKDLHYRFLSQFVPVFYIRIREYSKTVAVAPYVLNYNGALFRQYPGPWQVMLKQSDGSFACVAESPTRFTLGETKEELLQVLGLQEEKGSSLEFLRRGYKAATWWEEDVDLELSSNWRN >A05p050740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29539608:29541290:1 gene:A05p050740.1_BraROA transcript:A05p050740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTQTLAIKYLHSSLLRSYQKLISSPQTKKEKNTAISSTIMSVLHLLTLSLLISISGITTTSTNGGLISHPKCQSFFLTGAKFSGRPGVNYGQLGNNLPSPSDSVTLIKSLNAKSVKLYDANPSILAALNATDIVVSVMVPNELIVNITKSKTLSDDWIKSNVLPFYPSTKIRYLLVGNEILSSQDSELKSALVPAMRKIQRSLKTLGVKKVKVGTTLAMDVLNSSYPPSSGEFRSDISGSVMKPMLQFLNRTKSFLFVDVYPYFAWAQDPAHINLDYAIFESTNVTVVDPVTNLTYHNLFDQMIDAFVFAMKRLGYPDLRIWVAETGWPNHGDYDQIGANIYNAATYNRNVVKKLAAEPPVGTPARPGKVLPSFIFALYNENQKTGPGTERHFGLLHPNGSQVYEIELSGKTTEYKEALPAPENNEVYKGKIWCVVAKGANWTQLGDALSYACSQGNNTCDSIKPGGSCHKPDLMVFHASYAFSSYWASFRKVGGTCYFNGLATQTIKDPGYGRCEFPSVTL >A08p041040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23261886:23264279:-1 gene:A08p041040.1_BraROA transcript:A08p041040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFILPSVVAANESFLSQPKSSLKGTWAAQHNAGVAADMDFFIGDEALAKSRSSSTYNLRYPIEHGQVEDWDAMERYWQQCVFNYLRCDPEDHYFLLTESPLTPPESREYTGEILFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATRVVPVAEGYVIASCIKSIPIAGKDVTLFIQQLMRERGENIPPEDSFDVARKVKEMYCYTCSDIVKEYNKHDKEPGKYIKQWKGVKPKTGAPYTCDVGYERFLGPEVFFNPEIYSNDFITSLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDARVLANNARTGGEITSQPVEVNVVSHPVQKFAVWFGGSVLSSTPEFFASCRTKEEYEECGASICRTNPVFKGMY >A04p035980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20685535:20688127:1 gene:A04p035980.1_BraROA transcript:A04p035980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDTKKLRSTLRNVDDVISIVMPYVTDPKDRESASLVNRTWLRADSETRYHVTMALCYASAPDRLSARFPNLRSIKLKGKPRAAMFNLIPENWGGFVTPWVNEIALSLRRIRSVHFRRMIVNDLDLDVLARARGDELEVLKLDKCLGFSTDGLFTVVKHCRKIKTLVMEESSFLEKDGKWLHELALHNTSLEVLNLYMTELTKLNPRDLETIARNCHRTLVSVKVGDVEMLELVGFFNAAVNLEEFCGGAFTPETPDKYTKLTLPPKLSRLGLTYLGANEMPMIFPFAAQIRKLDLLYAFLGTDDHCELIQKCPNLEVLETRNVIGDKGLEILAQCCKQLKRLRIERGADEEGMMDEIWGADEQGNEDLGGFVTQRGLTALAQGCQELEYMAVYVTDISNESLRTIGAYLKNLSDFRLVLLDQEERIRDLPLDNGVRSLLMGCEKLRRFAFYLRRGGLTDVGLRYIGQYSPNVRWMLLGYVGETDEGLVEFSREGCCPKLQKLEMRGCCFSERAIAAAVMKLPSLRYLWVQGYRESVTGQDLRVMSRPNWNIELIPARRVPEVNLGDVREMEHPAHILAYYSLAGQRTDCPPTVKVLREL >A02p001220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:576533:579166:-1 gene:A02p001220.1_BraROA transcript:A02p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03800) UniProtKB/TrEMBL;Acc:W8PUG9] MATVNHHCLLNLPHIPPPPPINRPKPLLPPSSLPSLSLSRLSSLSASLSLSPATIRKRSPSSSFEREEIESAVDGFFYLLRLSSQHHDVEVTRAVHASFLKLREEKTKLGNALISTYLKLGLPRDALLVFASLSSPSVVSYTALISGFARLNLEIKALKVFFKMRSEGLIEPNEYTFVAVLNACARSGRFSLGVQIHGLIVKSGFLDSVFVCNSVMSLYSSGDARKVFDEMPKRDVASWNTLISCLVKEGLFGEAFGLFCEMNRVEEADSFTVSTLLSGSDSMRGRELHGRVIRVGLRQELSVSNALIGFYAKYGDVKKVETLYEMMSVRDGFTLTEMITAYMAFGMVDSAVEMFEKIPEKNTISYNALMAGFCRNGFGLKALKLFIEMLLRGVELTDFSLTSAVDACGLVSEKKLSEQIHGFCVKFGCASNPCIQTALLDMCTRCERMTDAEEMFEQWPCNLNSSKATTSMLGGYFRNGLPEKALSLFYRTLKEEKLVLDEVSLTLALAVCGTLGFVEMGDQIHGYALKDGFFNDIGLGNSLISMYSKCFRFSDAVKVFNTMREHDLVSWNSLLSCYILQRNGDEALAIWLRMNKEGIKPDTITLALVISSYRYTESDKISTCRDLFRSMETVYNISPTTEHYTAFVRVLGHWGLLEEAEETVNSMPFHPEVSVLRALLDSCRVHSNASMAKRVAKLILSAKPDNPSEYILKSNIYSASGLWHRSETIREEMRERRYRKHPSRSWIVHGNAIHSFHARDTSHPEEKDVYSGLEILIMECLKAGYEPNTEFVLQEVDELTKKSFLFHHSAKLALTYGILTAKNQREPVRVVKNVMVCGDCHEFYKYVSVVVKREVVLRDSSGFHRFVNGKCSCKDLW >A01p013910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6792336:6794500:-1 gene:A01p013910.1_BraROA transcript:A01p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALTTGYRNLTLPVSLLRTLASTRFTTPLFRYKQSPRLISSSRRLTCLSSLQTDSTIQTTLSSSGYSEYNRLMPCPAHNFPPRIEHMVVLEDVRVADYISKQLDLPPLYVADLIEFGAVHYALVCPKPPLTATPEQVKLFKEVTSPLVLSKRTSIKGKTVREAQKTFRVTHVNQFVEAGTYLRVHVHPKRSPRCYEIDWKSRIIAVTDSYVVLDKPAGTTVGGTTDNIEESCATFASRALDLVEPLKTTHQIDNCTEGCVIFARTKEYCSVFHTKIRNKEVKKLYRALAAAPLPCGIISHYMRPVNMAPRLVSEDSIKGWHLCQLEILECKKIPWPDAATEKEHNIEDCGWTTKDFAYECTINLLTGKTHQIRAQLAACGAPLVGDSMYMPAAIAETVNPEINPYGKGKEHCTMEESDKETAVAEWIDRHGKEPKVGIGLQACQISWEDGDGEHFYEAGTPWWR >A03p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3819649:3822176:-1 gene:A03p009520.1_BraROA transcript:A03p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRESSETLDSTTTSSSSPSCDVDVENRGKQLSPFSTRRSRAGFSDSFSSHDLQSFCSNQENIKLYPNQTESDDDLSRSIESGTSCPVVSTSDSSSSEPHHHHSHHHIGLTSGQWRGRFVRLLKKGSSAMPFNTPLKGVPKLTRRKSKRIRDNMVPVLPATSLDTGDLFCFKPSWRNYSLQDIQTATNGYSRENLIGEGGYAEVYKGQMADGQIVAIKKLTRGSAEEMTMDYLSELGIIVHVDHPNIAKLIGYCVEGGMHLVLQLSPNGSLASLLYEAKEKLSWSIRYKVATGTAEGLYYLHEGCQRRIIHKDIKASNILLTENFEAQISDFGLAKWLPDQWTHHTVSKIEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGKQALDSAQHSLVMWAKPLIKENKIKQLVDPVMGDDYDLEELERLVVEIFRGDKGSLDQLKLRQNSKLQRTYSEELLDNEEYNSTRYLNDINRHMETVLGTSKDS >A08p040800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23163146:23163637:-1 gene:A08p040800.1_BraROA transcript:A08p040800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLSDQVKKFSDSKPEEPDHNKPVEGTEAANRPASSSELMASAKVVAEAAQAAARNESDKLDKAKVAGASADILDAAQKYGKLDEKSGAGQYLEKAEKYLSDFESSHSSGGAGTPPPAAGAPPPAAGAPPPVSQAEPEAKKAEEESGGGLGGYAKIAQGFMK >A10p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15355007:15356663:-1 gene:A10p023520.1_BraROA transcript:A10p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSQESSESMDSSATINGQDGGDLDEQDPFENNEFLNHAWLYNIALPDNLYPQDANPHPLSPPRLTHFTFRWQEILDGTQNLHNTNLLRRGDSSEVYLCDFPRFDKVGAAKIRDLQDGEAHPEFVAEIKALHRANNPNVVKLLGKCVNREHCVIVHEFMPKGSLDHYLFAGNKTNPVLDWYTRMRIAVGVAEALVYVHEELKMIHRDVRASKVLLDEDFMPKLSGFGLATRIVEDEAGVEQQSEISRIKGSTGCIAPETEWFGLVSSKSDVYSYGVFLLTLFTGRKAFDIEELGPARTLTDWLVPLLGREECMPLVVDAALARWFSAEGLVRLFHVARMCLDQEVVARPTMRFVELMVRHAADYEALEWLPVTKRSSI >A07g500160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:225942:226202:1 gene:A07g500160.1_BraROA transcript:A07g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELDRWDLRISEWEGGGVEVRGGKRCRERGEVWSGGSGGKGGERRASPEVEDDGCTAEARPGNTHRNCIGKRVRERLTLYSFVFS >A03p041550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17346329:17351245:1 gene:A03p041550.1_BraROA transcript:A03p041550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLGAVIGRYTSSDGSDKDGIIKHNRKCRDITFLVIFIAFWVSMIVNSSFGFNQGNPLRLTYGLDYEGNVCGSSHRHRDLTQLELRYWLNPNQVYESGLKDGETTLANARTICLLDCPEPSDDTLSWVCDYPDGEIRLKMDDWIDRNYDYFEFLTPEMRNSSLQLQGPCYPLIFPSVNVYWSCQFIARASNSSLRHWEQMGGVSIQEDMIIDKSIRRSVNSRASVLKRYVADVGKSWPVLIVCGGLVPLFLSIVWLLLIRHFVAAMPWITVVLFNMLLISVTIFYYLKAGWIGDDAVTPIIGEHDPYIHVYGRELTHVRIVALLMTFISAVAILTSIAIIRHILMATSVLKVAAKVIGEVQALIIFPAIPYAMLAIFYMFWLSAALHLFSSGQVVQNNCNNTNCCAYDLVLKKVNCEHCCGYSIRYTPHITVAIFFHLFGCYWATQFFIASSATVIAGSVGSYYWAQGEEAASQEIPFLPVFASMKRLTRYNLGSVALGSLVVSFVESFRFILEAIRRRTKVSGTRPDHWLGRMGYYTSRGCLKSVEWTIKSANRNAYIMIAITGKSFCKSSAIATELIRNNIMRIGKVNVIGDVILFLGKLCVSLFSALFGFLMLDSHKYRSSHNKVSSPLLPVLACWALGYVVATLFFAVVEMSIDTIILSFCQDSEENEGNAQHAPSLLLETLDSNHEEEPLLFHFPSLFLLLLLLPPTVNYCLLRSHTFRSPTYSATSFDGEVMMGSRGVISDKWSMRILWGCAFGSAIGLYMVAVERQTQNRARALAEGMRAAESQGGGGDGDGSMYGGNILFVPKDAVSCWQIRLQIMASEVEDPDHTVKPMGYGVGRGYRGGNWRRISYVVGLIKASR >A09p063710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45837939:45838154:-1 gene:A09p063710.1_BraROA transcript:A09p063710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGEEVLAGDARWRSAPMATVQLVNGPIFDLYSLSSCDVESLFRLVDVKARFSFRTVTFGFRLKVGMV >A04p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16072111:16077907:-1 gene:A04p026720.1_BraROA transcript:A04p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTSTGETMVRTGCKRELQFMLKSRSEICGGESLGRTRGSNTAAKRPPPTRCLRSASLKKIRLAKEDVDDAVVSGSAEEEEEEEKSDVVDDYGDDDEGKGVVFSEKKIESNGCGDVAMSEEEEEKVEKGVTEVGEAEKECSSVVRREIVMACPSGFSEFAKLTSRSCQVKLESGLGYGKPGRRLTRSMLKSEANADDEANDEGSKLGADGGEDHINEEAKVEGSKSEANGDKDHVNQEREAKDSCVADGGKSELNGEEDHIDQEREGKDSEDNCVDASTLVGCGKEEELPEKKSVQPCHVDKNAMSDVVDDNPLRRLTRSLGKQTSDLDDPDLENVEPSDLGKVAVHANDVGMDDFQSPPVTTPNKRGRPKKFIRDFPKKLKDLLDSGILEGLTVYYVRGAKMREAGTRGLKGVIIGSGIMCFCGDCKGTQVVSPAVFEQHASSTNKRPPEYILLESGCTLRDVMNAFKETSYDTLEERLRLLVGPDFKKSSVCFGCQGPMVEPCETKSLFACKSCLERKEPEPHTSPSKANPLNGFSSPDVAKKTIPRRPISTPRQSNRREQPTKKSPEPGVLSRTTPSESKSSSIKSNSQGKLTRKDVRLHKLVFEDDILPDGTEVGYFLAGKKMLVGYKKGFGILCSCCDKVVSPSTFEAHAGCGSRRKPFHQIYTTNGVSLHELSVALTMDQKFSVGENDDLCSICKDGGELLCCDTCPRSYHIVCAYLPSLPSERWSCKYCVNMMEREKCVESNPNAVAAGRVRGVDAIAQITTRCIRIVSSLTSELPSVCVLCRGHSFCRLGFNARTVIICDQCEKEFHVGCLKEHNIADLKELPEDKWFCSLGCENINTSLDSLVVRGEEKLSYNSLRKRQNPNEESCPEDNTTPDIRWRVLSGRLTSSDDTDTKALLGKALSILHERFDPISESGSRGDLIPAMLYGRKSKGQDFSGMYCTMLTVDGVIVSVGIFRVFGSELAELPLVATSRDCQGQGYFQCLFDCIERLLGSLNVKHLVLPAADEAKSIWTDKFGFTKMTEEEMKEYRRDFSVMVFHGTSMLRKTVPAFSKPEEDSMEQ >A04p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12402759:12403825:-1 gene:A04p020420.1_BraROA transcript:A04p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAETSDEVNGSSSSIPSSQKRKRSSVSSSGDGAKKRSLMLLDSDLLDCPICYEPLTVPIFQCDNGHLACSSCCPKLSNKCHACASPIGDKRCRAMENVLESIFTPCPNAKMGCTKNVSYGKESTHLKQCSFSLCSCPVVNCRYAGSYKNLSDHYCLTHPDSECSDCFICGLSFTVQTNISDKILVLWEYKKHLLFTVECFAEPYGVNVAVSCIAPCAPEVGEFSYNLSYTVDGHTVTYESPDVKRVLQVSGQTPQENFMLIPNSLLRGDLLKMKLCIKSRS >SC105g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000012.1:187893:188588:1 gene:SC105g500020.1_BraROA transcript:SC105g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMPSQLLKTCYAENNPSTIYVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTQAIFYGDAQYYRRIPRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYLCHCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFCDFEPISLFRDTSKW >A02p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1522609:1524349:-1 gene:A02p004010.1_BraROA transcript:A02p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSAHLSQPMNGLKDIVETRNFKAWLLDQYGVLHDGKQPYPGAISTLQNLATVGAKIVIISNSSRRASTTMEKLKGLGFDPSFFSGAITSGELTHQSLQRRDDPWFAALGRRCIHITWKDRGAISLDGLDLNVVENVEEAEFVLAHGTEAIGLSSGGVSPMPLEELEKILEKSAAKGLPMIVANPDYVTVEANVFHIMPGTLAAKYEELGGEVKWMGKPHKMIYESAMAIAGVANPEEAIAVGDSLHHDIKGANVAGIESVFITGGIHGSELGLTSFDETASLDSVKTLAAKHNAFPSYVLSAFKW >A06g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15662438:15663444:1 gene:A06g505320.1_BraROA transcript:A06g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQAEKEHYSNIRDKERQRENKKKEMNMMQEENRKGPWTEQEDIILVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVTELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKKAQEKKRHVSPSSSCSNCCSSSMTTTNTQDVSFESRMSSGKVSFYDTGGNRELNQEETKDGYSMDDIWKEIDHSAVNIIQPVKDFYLEQSYCLSYPNMASPTWESSLDSIWKMEEDKSKMSFFANDQFPFCFQHSRSPWSSR >A03g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25260840:25261589:-1 gene:A03g507190.1_BraROA transcript:A03g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGHLIGRGRAAAVELRKFSSSFADKDKGGRRSALNQDVQSHVFFPLGISRTEISRTVRCFDHRGQHQFFELVFIVGLNTVINRYTTKMFH >A09p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41680274:41682578:1 gene:A09p048140.1_BraROA transcript:A09p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) UniProtKB/Swiss-Prot;Acc:Q9XF57] MPMFKAPFNGYSVKFSPFYESRLAVATAQNFGILGNGRIHVLELSPGGPGVTESIAFDTADAVYDVCWSESHDSVLIAAIGDGSVKIYDTALPPPSNPIRSFQEHAREVHSVDYNPTRRDSFLTASWDDTVKLWAMDRPASIRTFKEHAYCVYQAVWNPKHGDVFASASGDCTLRIWDVREPGSTMIIPAHDLEILSCDWNKAPLAVLNGHGYAVRKVKFSPHRRNLIASCSYDMSVCLWDYMVEDALTSHHPRTLGPTQLKRTIPPLFLLLPVKSPALEVRETGSEIYDTTRPPPPLAAAHSEERETRPGEREKEARRGERERKETRRRERKRRETAREREKESTARDSSLRGFSAGLRF >A03g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29697590:29702914:-1 gene:A03g509000.1_BraROA transcript:A03g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGWVTFVCDSVCLEKPYICSSDDLLGKSSGCRRLTWKSSMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTKSVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEADVSDEAEEGDEAEEGHEAEEGDEAKDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEIFFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSILDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFKERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A01p016920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8377583:8383936:-1 gene:A01p016920.1_BraROA transcript:A01p016920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKNAESSSLQPSPQQQQNQQQQNNNPNDQQDLEAAHGDTCSIPQSGNSDSRSRGGNTVFKSGPLYISSKGLGWTSWKKRWFILTRTSLVFFRSDPSAVQQRGSEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKADTMEDLNEWKAALENALTQAPNASHVMGQNGIFTNDHADAPVSLEEQKDETPTRSTVIGKPVLLALEDVDGSPSFLEKALRFVEDHGVNTEGILRQAADVDDVEHRIREYEQGRNEFTPSEDAHVIADCLKYVLRELPSSPVPASCCNALLEACRSDRGNRVNAMREAICESFPEPNRRLLQRILIMMQVVASNKNVNRMNTNAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSMQLLQAAAAANHAQAIVITLLEEYASIFGEGSLSPGLYSDSGESGSGTEVGTDDEEYDDDDDEYDGTQGSDDYTDEEEDLENESNGSYSENAALDDNHGNDIHHDDHKARSYTKVNDNLSSESKTPEGTTEPPQVTKKLLSSSKRSSLPRHDNTKRDENLLVKGADNAEVKTVAEVSTTEDKISSIPDVASDIKKPSTLSSPPGGSNKRWGRTPGKKNLSMESIDFSAEMEVDEDDADIERLESAKSELQNSISEEVKNNAVLQASLERRKKALYVRREALEKDVERLQEQLQLERDKKSALEAGLKMSKGNQPIPEIADEKLKKDLQDVAQAETDIANLEHKVDDLENRLSHQDAKASGSTHGASKEPRSIPENSAKMKEKQKDTEAASTHVSERSSLLKDEQGSARENETEKQQDQRRKSLQQETSRGSSKPAGMSKRSGSKGEGNTTTSALSKLTMRLNFLKEKRSQIASELSNMDKGKSTGQPSPSSVQNQSLQETERGTGPNHSHNQNQDSDSNKLHSQHVLDRGRSENGGDRGRGGSGGNQPSTTPRTFSR >A10p035780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20454743:20458840:1 gene:A10p035780.1_BraROA transcript:A10p035780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVPSLVFFLQYNRSSRRRRSTSGTVPSCSSRSSFRFPFFGITMSISQFPPPASPSPASSVRLWRPPAQRNIRNQWLKMSACRQQWIAACSFGMSHANSLVNSYLSQTFVPMMKFGVLSDMFDIKKKALNKLYKQQSSYRDKLLSSYKEMVAGVVEMVNVSTSMRCYMKSSSGSIVQFSCSKEDSKNSGDCGGIPVFSFWNISTFEKMAEELVEMFKCEVMVKRLLMMELVSLSSEVPKPVRLSWADELYQGEFDDLSKCSLYSMEVSEPIFPRLKEDNLCISSVSHTTQPTAEMLHMYLITWAAEVNIDTHRKEEILAVVGEEIGLTFSGSYSGRSSSACSSRSDCENSSFDADELVQIGSRRMELRKEKDLLKESQPLSIELVRRLELQTKSLSESRFEDTARIRTMEKELLSCYKEIDYLRDQLIFKSKEVSYLNEHVHNLECKLAESRNMEEEVNCLREELCLSKSENLLLLQELESKETELQCSSLSVEKLEETISSLTLESLCEIESMKLDITALEQALGDAMKIQEESIEEKEYLKRIIKEIQFQSQEAEENAKSFEEQNQELRERIAASEKSIKEFFQSAKGRFESENGQRPLNAECFFAELSHLFPVSSEVRECFDAVIEKLELSRNGTLIDKMEGMGKRIELHEDLVKRLKEELKQEKLKAKEEAEELTQEMAELRYKMTCLLEEERKRRVCIEQASLQRISELEAQIKRETNKRSSTEMLPLSGL >A09g511270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33847448:33848454:-1 gene:A09g511270.1_BraROA transcript:A09g511270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRTVHGKGQHADMCGQHADMSSVYGSVHGSVHGHTRISPRISTRTATDVGQHADMCGQPADMSSVYGSVHGSVHGHPRISTRTATDVGQHADMCGQHADMSSVHGSVHGSVHGSGTRISTRTVHGKGQHADMCGQHADLSSVHGSVHGSVHGQSTGRASMLIRYTDQSTDSLCVLTDKHGRPACADRRPVCTEQTAHVGQNHQNSPQEGPAC >A10g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3406184:3413113:1 gene:A10g501190.1_BraROA transcript:A10g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNECRSTHKYIGVKRHFCEKMSRVYFMTNLGLNVTTNYHNAHGRLDTYLEVWMLIVGKNSYGIAMGFKKKLLEQNDDVMKSSTPLTCLRCILHTYVSIRYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSLSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVEGLEGQEELCFINNNGIWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAECFDIPQNWFDNLLYYNICLKSLENS >A09p051810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45556731:45557628:-1 gene:A09p051810.1_BraROA transcript:A09p051810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSENLWTFLITLAIISRVSQSLHFELRSGRTKCISEDIKSNSMTVGKYTVVNPNEAHPSPPSHKISIRVTSSYGNTFHHAEDVDSGQFAFTAVEAGDYMACFTANGHKPEVTLSIDFDWRTGIHSKSWGSVAKKSQVEVMEFEVKQLIETVNSIHDEMFYLRDREEEMQNLNRGTNSKMAWLSLLSLFVCLGVAGMQFMHLKSFLEKKKVI >A01g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10933957:10934659:1 gene:A01g503470.1_BraROA transcript:A01g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPYHLRISFVSSCSEPSNTASSVGRNLHPHPSSPEEDLNMENVYYSEMKDAGFFDPDWE >A01p057800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32774949:32776358:-1 gene:A01p057800.1_BraROA transcript:A01p057800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco accumulation factor 1.2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04550) UniProtKB/Swiss-Prot;Acc:Q9SR19] MVSLTATILKSSLFTQSTTHGFPTNPITRPVNPAPHTLTVTANVSRKPQNMIPKNPPARQNLYQPFRPPSSPVPPQFRSLDSAGKIEILAGRLALWFEYAPLISSLYAEGLTPPNIEELTGISSIEQNRLIVGTKVRDSIAQSLHEPELIAAFDTGGAELLYEIRLLSTTQRVAAATYIIDRSFDSKKAQDLARAIKDYPSRRGDVGWVDFDYNLPGDCLAFLYYRQSRENKNPSEQRTSMLQLALDAAESEKAKKKLEKELHGGGEEEEKVKVEEVKAVTIPVVRLKFGEVAGASSVVVLPVCKAEEGERNVVEAPREINAGGEFKVVEAERGWKRWVVLPSWKPVAAIGRGGVAVSFRDDRKVLPDGKEEPLLVVVDRERSAVEADDGYYLVAGESGLKLKKGSVLKEGSVGESLGMVLLVVRPPREDDDDWQTSHENWE >A03g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25425910:25426956:1 gene:A03g507210.1_BraROA transcript:A03g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKQQLRENYKGKYLLKHCKRFCTFFKSCINTALNDISTFSNIFDTENKVRNPILAFQHENNTSVYINRQVGARGEEDFFPVARAS >A06p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3667770:3669722:1 gene:A06p010240.1_BraROA transcript:A06p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phloem protein 2-A12 [Source:Projected from Arabidopsis thaliana (AT1G12710) TAIR;Acc:AT1G12710] MGASHSALHKDLSSSSSSSSCLGDLPEDCVAQIVENLDPIEICRLSKLNRAFRGASWADFVWESKLPPNYEAFLERILGGFPENLQKRDIYACLCRVNSFDQGTKKVWIDKRSGGVCLSISAKGLSITGIDDRRYWSHIPTDESRFASVAYLQQTWWFEVDGEIDFPFPAGTYSIFFRVQLGQSGKWFGRRVCNTEQVHGWDIKPVRFQLWTEDGQYSSSQCMLTERARWNHYHGGDFVVRESRRSSTKIKFSMTQIDCTHTKGGLSLDSVIVYPSSCKDRLNRSPEKD >A03p027860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11646638:11651376:1 gene:A03p027860.1_BraROA transcript:A03p027860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVECSIGDSISTLHPDIIQTQILTRLDGPTLASTASTSSYLQTLCYEQKLWREISTATWPCINDSRVVQAISSFPSGYRSFFADSYSSTEYTWESEKQDTPTTGLISAVDLYYRGELIYSKVQEMETENGKAGWFLSAPFRVDLLDEKESVQTQILYPGGDYEAWVRNMEESMELNWIVIDPVKKRAANISSRKAVSAKRNWLTGDLEIRFSTVVAEAAGKKNAAEVAVVVSCGSAETWKEVDEEVGGEVHVRDVRLQLEDIEGKCLKGRDSLVILQGMLEGKRCCKDGGGGGRGKGRYEEYMAMKTHMREKKERREKAQDTICMIFGFSFRQWHYKTLSFGSTFAAVSFGVLMPSLGCYVTMAVVKHQLLSYAGWRRVLIQQSPASSLKCEINEYYIILCTRPSIRPPKSISLLPKKSNRYKFEICVNQSCSELTRNKKNPIMDLVAPTKNEADVHLQSDSSQAFYFLIAILALLVGSAIATEPYIYSSPPPPPVASPPPPYEYKSPPPPVKSPPPPYEYKSPPPPSPPSPYYYQSPPPPVKSPPPPYYYHSPPPLVKSPPPPYYYHSPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYSSPPPPKSYPPPYYYSSPPPPPKSYSPYYYSSPPPPVYKKRLKFHLEWRHYAGGDGGRGSESMSASRHSLELQGRLIVFGLGLRGR >A03p008990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3591919:3593425:1 gene:A03p008990.1_BraROA transcript:A03p008990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDWELLPKDPYKGLDHEEDHEAAMKIIRNTENNFDMEDYFICPTQDSVGKTEFHRRVVPTQLLHVPVTWEPVSTVDDTDHKKNQDSVPSPRITFKTEKENEYVDMKVDLRERCTSPLPLNDEKHSVSGGEYHDEMRTEVEESGGLRRKKEVDWDENENICGERTNLWKMSLHGIGAICSFGVAAAAATLCVFFLGHNNSIKGCRNKNKNQILRFQIYSDDNKRMNEVVKHATKLNEAISVMKGLPVARAQISFGGYYDAL >A09p081340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59228463:59234028:1 gene:A09p081340.1_BraROA transcript:A09p081340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADCSLPACPTEDAIRALLENLVDPLLPLKPSPSNVPSKALRESVAKQVHAVVLLYNYYHRREHPHLERLPFESFRSLTTVMRPALLPHFKESGEDGVLEKVIVDACSLSMSLDASSDFSTLKKWPIRKVAVLLVDSKKTCCYLQHSSITQGVWSLLEKPIECQSEEATFQKVAFAAIKEATGIDHKDIVILERHLVHSLSEEKTTTKFYIMKCTSEDNFPGEFPVEEALNCMQGPLFDKSFSEWSTNSIVEYFHVLPYANLIADWFSRREAAEFVIEKEAEAVCDELESNGKADESDICHKEDAIRALLENLVDPLLPLRPSPTDVPSMALRESVAKQVRAVVVLYNYYHRREHPHLECLSFETFRSLTTDVKPALLPHFKESGDGVVLLEKVIVDACSLSISLDASSDFSTLEKWPIKKVSVLLVDSKKTCCYLQHSSITQGGWSLLEKTIEREKAAAAEGQSEEAIFQKVAFAAVKEATGINHKDIVILERHLVHSLSEEKTTAQFYIMKCTSQDKFSGEFPVEEALKCMQGPLFEKSFSEWSTNSIVEYFHVLPYANLIADWFSRREDAEFVIEKEVETVCDEIESNGKADESDVCHTGDAIRALLENLVDPLLPPKPSPTDVPSKAVREAVAKQVHAVVLLYNYYHRREHPHLECLSFDSFRSLTTVMRPALLPHFKDSGEDGVLEQTVFLEKVIVDACSLSMSLDASSDFSSLKKWPIKKVAVLLVDSKKTSCYLQHSSITQGVWSLLEKAAAAEGQNEEAIFQKVAFAAIKEATGINHKDVVILERHLVPSLSEEKTTAQFYIMKCTSQDKFSGEFPVEEALKCMQGPLFEKSFSVSEWSTSFVVEYFHVLPYASLITDWFSRRKDTEFVIEKEAEAVFDENGKADESDIFDTPGRGGDNATSKRSNDTNARKDASRSSPKARKKVAPKFYSRNLRGRTVPAVEPQVETVVALKANNADNEMSPCKDNGERGGMEVGSGSNYQRERDNQRKKAVTDKLKSILKLNNASPASARDSNLNLEELQTTLLSRASSLSETALKVLHCKRDKLTLQQRDIEDEIAECDKLIKNVKGNWELQLETILECCNEAYPRRSLQESQSNKRVKLSEPLPFTKSMCQKLDDLCLENNWVLPTYRVSSTDGGYEAEVRIKEIHFAHTVCGDEKSDAEEARESAAACLLRRLQHNTRQQPSTSRHD >A02p052100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31876359:31879030:1 gene:A02p052100.1_BraROA transcript:A02p052100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMMVILGLLLIIVCICSALLQWNQMRYSKKGLPPGTMGWPVFGETTEFLKQGPDFMKNQRLRYGSFFKSHILGCPTIVSMDAELNRYILMNESKGLVAGYPQSMLDILGTCNIAAVHGPGHRLMRGSLLSLISPAMMNDHLLPKIDDFMRSYLCGWDELETVDIQEKTKHMAFLSSLLQIAETLTKPEVEEYRTEFFKLVVGTLSVPIDLPGTNYRCGVQARNNIDRLLTKLMQERRESGETFTDMLGYLMKEEDNRYLLSDKEIRDQVVTILYSGYETVSTTSMMALKYLHDHPKALEELRKEHLAIRDRKRPDEPLNLDDIKSMKFTRAVIFETSRLATVVNGVLRKTTHELELNGYSIPKGWRIYVYTREINYDTSLYEDPMIFNPWRWMEKNLESKSFFLLFGGGARHCPGKELGISEVSSFIHYFVTKYKWEEKGGEKLMVFPRVSAPKGYHLRVLPY >A08p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10208852:10211086:-1 gene:A08p015590.1_BraROA transcript:A08p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNTEVVAPASNGVDGGCCKSGPGYATPLAAMSGPSEKLIYVTAVYSGTGREKPDYLATVDVDPNSPTYSTVIHRLPMPFLGDELHHSGWNSCSSCHGDASADRRYLVLPSLISGRIYAIDTKADPRAPSLYKYVDPKEIAEKTGLAYPHTAHCLASGEILVSCLGDEEGNAKGNGFLLLDSDFNIKNRWEKEGHSPLFGYDFWYQPRHKTMISTSWGAPKAFTKGFDLQHVADGLYGSHLHVYSWPGGEMKQLIDLGETGMLPLETNINNLCRLWLQIRFLHDPSKDTGYVGGALSSNMIRFFKNSDETWGHEASLLSFLVVIQVKPLKVENWILPEMPGLITDFLISLDDRFLYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPVKAVGEDGNTFQYDVPQIKGKSLRGGPQMIQLSLDGKRLYATNSLFSAWDHQFYPELMDKGSHIIQLDVDTEKGGLSINPDLFVDFGDEPDGPALAHEMRYPGGDCTSDIWI >A10p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22131544:22134833:-1 gene:A10p040040.1_BraROA transcript:A10p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ protein P58IPK homolog [Source:Projected from Arabidopsis thaliana (AT5G03160) UniProtKB/Swiss-Prot;Acc:Q9LYW9] MDLLRVGSGMDLLAWRGMAYTLLLLNFVFACQLLLLHPLVSAFDGQSVDAAELFERASQSIKVKRFSDALDDLNAAIEVDPALSDAYFKRASVLRHFCRYEDSENSYHKYLELHPGDSNAEKELSQLHQAKSALETASSLYESKDIGKALEFVDKVVLVFSPACSKAKLLKVKLLMVSKDYSAAISETGYILKEDENNLEALLLRGRAYYYLADHDAEDNASKGKLRVSIEDYKAAIAMDPEHTAHNVHLYLGLCKVSVRLGRGKEGLDSCNEALNIDSELTEALHQRGEAKLLLEDWEGAVEDLKQAAQSSQDMEIHEALGRAEKALKMSKRKDWYKILGISKTASISEIKRAYKKLALKWHPDKNVDNREEAENQFREIAAAYEVLGDDDKRARFDRGEDLEEMGGGGGHHGGFDPFGGGGGGGYTFHFEGGFPGGGGGGGFGGFGFSTLLSETRWCSRMSVCWLVMSMASPPFDKHGNNRCAGHDLSLPLYTKATPSSISALLFRFLKLKTFFRLVLILDEEGLMDPTRLLILGLAMGEKLNLFYTGLGVEE >A01p030720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13746044:13748097:1 gene:A01p030720.1_BraROA transcript:A01p030720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTDSSLITPQYEINFVRHEAIGSLKTSVDDVTLYFQNHPEFERLAMLQNVTPKPKFLSLATVKSTIIGVKDATRLEITGDQPSWLPRVGRRAAPTSRVRVRLPASLTPSRDVATSSSSCVRVWLSASLDPELRRRDYFLFVRAGLAPCVSRRRVETSTLPPLRGQPRVLHLHRNTRHRRHQQVAAVASDRAVTACPEAPACFSFAATSPEPAIAVCARGDYNFRLRCHWLMTSLSPRRGRALPRHGKLSVVLSDSSRSLLL >A08g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6162326:6163741:1 gene:A08g503350.1_BraROA transcript:A08g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIYNKESDMGRVFRVWHGDWKKNRQQQWFFVLKASDYGFTLYMDSSETYETVEATLREQYLLHETTPLWARTADDTVYNGRLITVMSRRPPLTDVNLMVTMGARPVAESQCILLSEFSVGPNRYVVDGTEDAAAKARYDSLVHGHRIPTSVAVLNEIFGKQDMLIFHRIALEMNHADSNQEMEIINLDDDDEDMLDVQPLQTIPPANGRADPETSHSNQPPSLTAMSATGAPPVMWDVGIDLINYPEFYNNQMNGSFESSDTDFWNGLIEEASNSTAADSVDNIGLPVEVQLSPSTLLLN >A05g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13525155:13525618:1 gene:A05g504840.1_BraROA transcript:A05g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVEFTTACQDAPGVLSSDFGQPRMHCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVRSNQNCSLGHG >A01p023190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11460923:11463719:1 gene:A01p023190.1_BraROA transcript:A01p023190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKTKREESLLKKRREGLQATQLPQVTSAASSVEKKLESLPSMVGGVWSDDRSLQLEATTQFRKLLSIERSPPIEEVIDAGVVPRFVEFLMREDYPQLQFEAAWALTNIASGTSEHTKVVIQHDAVPIFVQLLASQSDDVREQAVWALGNVAGDSPQCRDLVLSKGALLPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLIHSTDEEVLTDACWALSYLSDGTNDKIQSVIEAGVVPRLVELLQHPSPSVLIPALRSIGNIVTGDDAQTQCVISHGALLCLLSLLTQNHKKSIKKEACWTISNITAGNRDQIQAVCEAGLIGPLINLLQNAEFDIKKEAAWAVSNATSGGSADQIKYMVDQGVVKPLCDLLVCPDPRIITVCLEGLENILKVGEAEKVTGNTGDANYYAQLIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEEDETLPPGDESVQGFQFGGSDAAAPPGGFNFK >A05p046280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27537821:27543005:-1 gene:A05p046280.1_BraROA transcript:A05p046280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGNTNPNNPPPFDLGTLFKPSSSPFPTPPASYPPPAGPFLHNEVPTSSSSPAANLHQQQRTLSYPTPPVNLQSPRANHNPGTHLLALLNNSSNGAVANQEPHQETARSFPSGPIRVPSCKFPMGRRLTGEHAVYDVDVRLHGEIQPQLEVTPITKYGSDPQLVVGRQIAVSKVYICYGLKGGSIRVLNINTALRALFRGHSQRVTDMAFFAQDDLTVSFLFLQNSVSLDGKVFVWKISEGSEGDDDPQITGKIVLALQILGEEDTKHPRVCWHSHKQEILVVSIGKHVLLIDTSKVGRGEVFSSESPIQCHLDKLVDGVKIVGKHDGEVTDLSICQWMTTRLVSSSVDGTVKIWKDFMAQPVAVLRPHDGYPVNSSTFVTSPERPDHIILITGGPLNREMKIWVPAGEEGWLLSAESESWNCTQKLDLKSSTEPRAEMAFFNQITALSEAGLLLLANAKRNAIYAVHLDYGSSPADTRMDFLSEFTVTMPILSFIGTHDPSEEPIVKVYCVQTQAIQQYTLDLCLCLPPSGEENVGLEKSDSSVSREANLVDGMSEPSGLKPTEFPSVDSVPKPSILVNRSQGSASGDTTAPAIVPSNSEPRTSGLLSDTNGGGSAYATATQLPLSPRLSSKLSGYQTPVEAFEQVESRYELSGKAPSADYGVDKHTFEESSSSEEKNITPDDDESGIRSSSSFFKHPTHLVKPSEFSMGVSSAEAPVATEDKSDRVNNDASGTEIEASEVGEVNYHEETMNGTSESREKIFYSQALNLSTEMARNCYPSTDETKAYEQSVQADDSLESRDVSAKIPELVSSSGLPQLAATNSKGKKQKAKSSQNPNSSADSYNEKSQSLSLPLTDSLPQILAMQETMNQIMVSQKEMQRQLSNAVNGPVTKEGKRLEVAIGRMTERTSKSNADALLARFQEETVKSEKAMRDHSQHIVNATTNFMSKELNAVFEKTVKKEFAAIVPAIARAATPAIEKTISSAITESFQRGLGDKAVNQLDRSVNSKIEATLTRHIQTQFQTSAIPVLQEALKSGLEASLIPSFERLCKNMLEQVDTALEKGIAEHTNAAQQRFEAGHSQLAHTLKETITSTSSVAQALSRALAESQRNRSGVLTGGSDPSVTPVSKGPVATLLEKVEAPMDPTAQLSRLVSEGKYEESFTSALQRSDVSIVSWLCAQVDLHRLLAMNPLPLSQGVLLSLLQQLACDISKDTSRKLGWMTDVVTAINPSDQMIVVHARRIFEQVYQILHHQLNAPGSDVSAIRLIMHVLNSMLMGCK >A04g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17587727:17588055:1 gene:A04g507270.1_BraROA transcript:A04g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELLHARDSIRSVIASLRWRLRPSPAEIRELVFPLPYSSLTSFKLSSLRWRLCLLQLSLIADFLLNKYHRMSFILLLLFCY >A09g519380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60268869:60269611:1 gene:A09g519380.1_BraROA transcript:A09g519380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLAFVKVLFHGEVKETSRYLANNVRLVKDRIVLERHMFVCHIHFLEDEYHKLGKDHIYSKEEEMVVEELRNRNFTYLVNEHPSVDGYKCLFSEEGFDRVELRQGFPPIVLVKRAKVYVHQDMKADDQLHKKWPGC >A07p037620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20106721:20108995:-1 gene:A07p037620.1_BraROA transcript:A07p037620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAWEIIHIPDKPAFSPEHQPTLKVYASVIKPKFANTIVRQLCKIAPLEDLRHVKRVRRKILPNNGEPQLTVILCLAPEHNDHLNDMPPDVQKLVGPYELSPFITEVCKYAAVSKEEWEEQCKIWPTSFHPPTYNIDGIGGFSEEDKQSICKFMSVAINLAVSSHKPLVNAAMIVDPSVRRVIASETDQVYPSSAPCDMTTSETIPFNETGESTHCQSVNREANASSEICLSGVLEKLNGSSHAVACLNPWQWSLQPHDGDKCSQWHPLRHASIVAIESSAARDRYLFPNSSESFGMDHSQPSSADSPAKKQKTSINSPGVQNDSREAALRDPSMEKPYLCTGYDIFLLWEPCTMCAMALVHQRIKRIFYAFPNPTAGGLGSVYRLQGEKSLNHHYAVFRVLLPGHGHAHNALDLDQGLKHVTAES >A06g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28325448:28325751:1 gene:A06g509710.1_BraROA transcript:A06g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIPAYAAIVRGWFGAWPMPLGWERPWQEWPICVCYGAIGGCIVGHILSLSLMVLLSKHKNLKVA >A09g511450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34325706:34326130:1 gene:A09g511450.1_BraROA transcript:A09g511450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGVLYNGRLGAYIRLRDKGSDLVPDLKRKELLDINVGLLQIRQNSSWTPIISPDWLK >A02p001960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:814707:820081:1 gene:A02p001960.1_BraROA transcript:A02p001960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRSLAARALLGKTNQRIAARRREIRVCSPPASEMKWIVRLWRVPTDGSQRRKERQQQQAAAVMDSSSSNTNKALTETRFSDLEPPLSEDIIEALERSGFEFCTPVQAATIPLLCRHKDVAVDAATGSGKTLAFVLPLIEILRRSTSYPPKPHQVMGVIISPTRELSTQIYNVAQPFVSTLPNVNSVLLVGGREVKSDMSTIEEGGCNLLIGTPGRLSDIMERMEILDFRNLEILILDEADRLLEMGFQKQVNSIISRLPKQRRTGLFSATQTEGVEELAKAGLRNPVRVEVRAESKSESSSQQLTNSKVPSGLHLEYLVCEADKKSSQLVDLLVENEKKKLIVYFMTCASVDYWGLVLSKIPALKSISLIPIHGDMKQNARDKALASFTEASSGVLLCTDVAARGLDIPGIDYVVQYDPPQDPNMFNHRVGRTARLGKEGRAIVFLLPEEEDYVEFMRRRGVPCQEKKLSEEASDVIPIIRSLAMKDRAVLEKGLKAFVSFVRGYKEHHCSYIFRWKSLEIGKLAMGYGLLYLPSMSEVKQHRLSSEGFTPVTDVKFEDIKFKDKSREKQRKQNMQARKEKRQQEKKEKGKKNSKKAVVAAATDSNKRKLTGKQRQTIQTAEDEEEMARDYRLLKKLKKGSIKEDDFAKLTGADDF >A04p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15862075:15863301:-1 gene:A04p026270.1_BraROA transcript:A04p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKAGSRREEEEPIVQKPKLDKGKGKAHVFAPPMNYSRIMEKHKQEKVNMASWKRGVAIFDFVLRLIAAITAMAAAAKMATTEETLPFFTQFLQFSADYTDLPTLSSFVIVNSIVGGYLTLSLPFSIVCIIRPLAVPPRLFLILCDTAMMGLTMMAASASAAIVYLAHNGNSSSNWLPVCQQFGDFCQGTSGAVVASFIAAALLMFLVVLSAFALKRST >A09g517520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52212826:52214319:1 gene:A09g517520.1_BraROA transcript:A09g517520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNRVLLYKVSLLMNKDLPCYSRLNGTAFPLIRRDSGFSPQSVSKATVTEKVICTDVTGHRRGPLINYQVHHNTTSSGTDEGSSSAPTLYGWFKKIESFTLSELCTHGLTASPDSSKALTLQTDGAISHVPSANANCNVYSQLFNAMHIKTTMQLVLLAEWWQPRNPRISTSPMTPRHCWQHPNLPFESLPVQLLIKASKHQSLTVPYI >A06g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3852275:3853507:1 gene:A06g501020.1_BraROA transcript:A06g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRREENSVPDLKITNVLYIFRGHESCAKKAASFLPTNPTLTEQNARDVESMRKTALDMIHLMNENFVLLISQLTHTSKKTNSMLFFSAMELPSQRWNITCLFCIAEHTSLKLSKLSFNFQHRMQKKIKNVVPKNVFEKAEEARDHRLVDGSWLMMLSLHISHTIDLSILAWNLWQMKNDTQIQT >A07g502040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4229752:4229988:1 gene:A07g502040.1_BraROA transcript:A07g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEAVGAEEPNYTTRGAKTPTSAKNDKGKGIASSSTQKKCYGPSPTQLELVDEEDDIEGEEGVGYDRELEYEDSESE >A09p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7724565:7727434:-1 gene:A09p014780.1_BraROA transcript:A09p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme beta-isozyme [Source:Projected from Arabidopsis thaliana (AT1G62710) UniProtKB/Swiss-Prot;Acc:Q39044] MAKFYCLRPALLLLLLLLVVRTQSRRRFEPKILMPSEEAKSNEQEKTMIGTRWAVLIAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVMMYDDIANHPLNPRPGTLINHPDGEDVYAGVPKDYTGHNVTAANFYAVLLGDKEAVTGGSGKVIASKANDHIFVYYSDHGGPGVLGMPNKPYLYATDFIETLKKKHAAETYKEMVIYVEACESGSIFEGIMPKDLNIYVTTASNAQESSYGTYCPGMNPSPPSEYITCLGDLYSVAWMEDSETHNLKKETVKQQYQTVKMRTSNYNTYSEGSHVMEYGNSSIKSEKLYLYQGFDPATVNYPANELPVKSPVGVVNQRDADLIFLWQMYRRSEDGPRKDEIMKELTETTRHRKHLDTSVELISTVLFGPTTNVLKSVREPGLPLVDDWECLKSVVRVFEMHCGSLTQYGMKHMRAFANICNNGVSKELMKEASAAACGGYEARFTSHPSVVGYSA >A06g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25779451:25781103:1 gene:A06g509060.1_BraROA transcript:A06g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELPNRLYGEGLEPQVKKINNSCRLKLLELLKEKMEPEFDEVMKDPIFSQIMGILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATNSEFEESGEEKGDDQRADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEGLVQASFTTFREKFCQQFSDRSIHIADRSVHIFDRSVGTRSSFADRKNYTRSIKRLSVFLFVLHIDQDRPVDRPFNIQIDRSTLSGHHSFFKGLIESDLLTKLIRHEN >A03g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9236593:9237429:1 gene:A03g502980.1_BraROA transcript:A03g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNADGPNVAGLILAGLGDLKTELRQSHMFDPRLETKILKVVHVTLGGEYGFSRAIEMSSELLGGVRYIQEKRLIRRLFQELRLENGKYVIGVDDTLNTLGAIETLIVWQDLAINRYVLNNNATGGTVIRYMDSEQEGNEENFRDGNIELVVMENTPLVEWLANEHKRFGCVLEFVTDNSNEGSQFRKGFGGIGGILRHNIN >A07p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5086344:5088884:-1 gene:A07p008510.1_BraROA transcript:A07p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKISGPIPSSLGKLEKLKFLRLNNNKLSGEIPRDIANNGLSGDIPVNGSFTLFTPISFANNSLRPLPDPPSTSNSPPQPPPGKKTTTAIAIGAAAGAAILCAGPAIALAWWLRSKAQDRFVDVPGEEDPEVHLGQLRRFSLRELLVATDNFSNKNVLGRGGFGKVYKGRLANGKLVAVKRLKEERTMGGELQFQTEVEMISLAVHRNLLRLHGFCMTPTERLLVYPYMCNGSVASCLRESHEGNPALDWPKRKHIALGAARGLAYLHDHCDQKIIHRDVKAANILLDENFEAVVGDFGLAKLMNYNESHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQKAFDLARLANDDDIMLLDWVKEVLKEKKLESLVDAGLEGKYVDKEVEQLIQMALLCTQSSSLERPNMSEVVRMLEGDGLAEKWEEWEKEEMLTNDFNYPHADINWLIPESLSHIENDYPSGPR >A10p033720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19683875:19688289:1 gene:A10p033720.1_BraROA transcript:A10p033720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSFHDGNIFNLLHSRSTEPSHDVDQRMQLHSSLVRRLSQEQELEGHQGCVNAISWNSTGSLLVSGSDDLRVNIWDYSSRKLVHSVETGHTANIFCTKFVPETSDELVVSGAGDAEVRLFNLAGLRGRADDDNALTPSAMYQCHTRRVKKLAVEPGNPNVVWSASEDGTLRQHDFRESTSCPPAGSAHQDCRSVLLDLRSGAKRALADPPKQTLSLKSCDISATRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMPPPPCVNYFCPMHLSERGRTNLHLTHVTFSPNGEEVLLSYSGEHVYLMNVNNGVGTMQYTPGDVANFFSLSNILHDVESPPQVSTTQNGFHRNGNAAMLKKCTELVELAKSSLEEGTDIFYAIEAANEVLDAHSNDIESALRHECLCTRAALLLKRKWKNDAHMAARDCQNARRIDASSFKAHYYMSEALQQLGKCKEALDFATAAQQLKPSDADIVAKVESIKRDLQAAGAEKKEEPEGGTGRVLSLSDILYRSEANSDSSHDMSRSEGDQSDYDEELEVDIQTSMSDDEGGDPDSNAMRGCLNLRIHRVSDDNKPIENSGDNGSSGTASSSQNDRTSYQPEGAIDMKRRYIGHCNIGTDIKQASFLGQRGEYIACGSDDGRWFIWEKQTGRLMKVLVGDEAVVNCIQCHPFDSVVATSGIDNTIKMWSPIASVPSIVAGGSAGPATANVVEAMESNQQKLSRNRENPLSVELLQRFRMQEFAEGNFHPFECTQS >A03p040600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16929551:16932238:-1 gene:A03p040600.1_BraROA transcript:A03p040600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTKGSSFRFYATLFLSLLSFSLSRAFYLPGVAPRDFQKGDPLYVKVNKLSSTKTQLPYDYYYLSYCKPSKILNNAENLGEVLRGDRIENSVYTFQMLEDQPCKVGCHVKLDAESTKNFKEKIDDEYRANMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGSYEGSKEEKYFIHNHLSFRVMYHRDLESDSARIVGFEVTPNSILHEYKEWDENNPQLATCNKDTKNLIQRNTVPQEVEQGKEIVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRPPVSSGLLCVYVGTGVQIFGMSLVTMMFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLHKMFKGDKWKRMTLKTAFMFPGILFVIFFVLNGLIWGEQSSGAIPFGTMFALFCLWFGISVPLVFVGSYLGYKKPSIEDPVKTNKIPRQVPEQPWYMRPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIVTCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSAFYLFLYSIFYFFTKLEITKLVSGMLYFGYMIIISYAFFVLTGTIGFYACFWFVRKIYSSVKID >A06p016750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7518104:7520387:1 gene:A06p016750.1_BraROA transcript:A06p016750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFNKIFKGSTQRFRLGNDHDHNGYYQSYPHDEPSADTDPDPDPDPDETHTQEPSTSEEDTSGQENEDIDRAIALSLIENSQGQTNNTCAANAGKYAMVDEDEQLARAIQESMVVGNTPRQKHGSSYDIGNAYGAGDVYGNGHMHGGGNVYANGDIYYPRPTAFPMDFRICAGCNMEIGHGRYLNCLNALWHPECFRCYGCRHPISEYEFSTSGNYPFHKACYRERYHPKCDVCSLFIPTNHAGLIEYRAHPFWVQKYCPSHEHDATPRCCSCERMEPRNTGYVELNDGRKLCLECLDSAVMDTFQCQPLYLQIQEFYEGLFMKVEQDVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSTVRKRSKHGTGNWAGNMITEPYKLTRQCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFRTLSQDVEEGICQVMAHKWLEAELAAGSRNSNVASSSSSRGVKKGPRSQYERKLGEFFKHQIESDASPVYGDGFRAGRLAVNKYGLPKTLEHIQMTGRFPV >A06p043810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23588351:23590758:1 gene:A06p043810.1_BraROA transcript:A06p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHQHTRLYFLSICIGSIALVFAISRCSISFFDYSLQKLEFSFPESELRRSFYNAGDENRAVDSRDVVSQQILSVRSRNDTLQSKPEKKKKKLNRRRTVEVGLSKARASIREAASSNRNATLFSVDLPNAQVYRNPSALSQSYLEMEKRFKVYVYEEGEPPLVHDGPCKSVYAVEGRFIMEMEKSRTKFRTYDPDQAHVYFLPFSVTWLVTYLYQYNYDAEPLRTFASDYVRLISSKHPFWNRTSGADHFMLACHDWGPLTSKANEDLFHKSIRVMCNANSSEGFNPAKDATLPEIKLYGGEVHPQLRLSKILMTSPRPHLAFFAGGVHGPVRPILLDHWKQRDPDMPVFEYLPKHLNYYDFMRSSKFCFCPSGYEVASPRLIEAIYSECIPVILSVNFVLPFSDILRWETFSVQVDVSEIPRLKEILTSISDEKYQSLKRNLRYVRRHFELNDPPKRYDAFHMILHSIWLRRLNLRLT >A04g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8927290:8928995:1 gene:A04g504330.1_BraROA transcript:A04g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIEIATTKNYAEKQASVPPLNERILSSLTHRSVAAHPWHDLEIGPEAPVIFNCVVEIGKGSKVKYELDKTTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATAAYEAVQHSMDLYADYVMETLRR >A02g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19415853:19418686:1 gene:A02g506890.1_BraROA transcript:A02g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVGILAMDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCLAFCTELEDVISMSFNAVTSLLEKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQLFEKCGNTDVEGVDSTNACYGGTAALLNCVNWVESNSWDGRYGLVICTDSAVVDGKLSQTCYLMALDSCYKHLCNKFEKLEGKEFSINDADYFVFHSPYNKLVQKSFARLLYNDFLRNASSIDEAAKEKFTPYSSLSLDESYQSRDLEKVSQQLAKTYYDAKVQPTTLVPKQVGNMYTASLYAAFASLVHNKHSDLAGKRVVMFSYGSGSTATMFSLRLCENQSPFSLSNIASVMDVGGKLKARHEYAPEKFVETMKLMEHRYGAKEFVTSKEGILDLLAPGTYYLKEVDSLYRRFYGKKGDDGSITNGH >A10p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1492336:1497262:1 gene:A10p002870.1_BraROA transcript:A10p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSDSPEPIKRGEKEAKSKGSSDEEVDESDSTTTSSSKVIISLEDESVIDVSGQSLDLSLLDNNNSDDGSVKGLYFFRNVFTLIPKSIGGFGSLKKLKFFSNEIDLFPPELGGLVDLEYLQVKISSPSLGEGLSWDKLKALKELELTKVPKRSSALTLLSEISGLKSLTRLSVCHFSIRYLPAEIGCLTSLEYLDLSFNKIKSLPNEISYLSSLVSLKVAHNRLIELPSVLALLQNLETLDVSNNRLTTLDPLDLSLMPGLQILNLQFNKLPCYCCIPAWIQCNLGGNYEEMGVDTMSEMDVYETPYENYTITLPHKGFHRNPLIMSTGASSISRCFSARKSSKRWKRRQHYYQQRARQERLNNSRKWKSEVSPEELNLKMYVVEETGKQGMVDSICLDDNYKLSEESEIVESVVTSEEQESSLKSDLVSDNSQLKSERDNKECCEVKASSSPSLDYNSSSERKKPNHKPKSKRCYDEDLDNPKASKCHRPSTDTANLSYKYSSSSFCSTEDSLPDGFFDAGRDRPFMPLSRYEKILPLDSREVILLDRAKDEELDAITSSARALVARLKKLNHLTADVDNLQVASYLALFVSDHFGGSDRASVVQTTRKAVSGSNYQKPFICTCLAGNQDDLAALNKQVSGGTAQDVSLSDVCETSLRSIKSKQNSIVVPLGKLQFGICRHRALLMKFLCDRMEPPVPCELVRGYLDFMPHAWNVVHVKRGDSWVRMVVDACRPHDIREYTDQEYFCRYIPLNRLSESICARAGLEPGSSFSSLSTGEGVERANSSLIRCKLGSSSAALKMRTLEVSGASVDDIRTFEYTCLGEVRILGALKHDCIVELYGHEISSKWITSENGNEHRRILQSSILMEYIEGGSLKGHIEKLSEDGKHHVPMDLALSIARDISGALMELHSKDIIHRDIKSENVLIDLDNQKANGEEPVVKLCDFDRAVPLRSHLHGCCIAHVGIPPANVCVGTPRWMSPEVFRAMHEQNFYGLEVDIWSFGCLIFELLTLQIPYFELSELQIHESLQKGKRPKLPEELETLISETGEDDESAKTLREEYDLAESDLDTMRFLIDVFRLCTEESPLDRLNAGDLHEMILSWTKSKSPTGTTSTS >A02p045900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28741690:28742730:-1 gene:A02p045900.1_BraROA transcript:A02p045900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPQFFRASQIYFFCVLDYGRRRWRRWWCRVQPHTVEDVFRDFKMFRSFTDFAIQMNWPLKVVALFPQLLGYSMEKRIAPRGTVIKALIAKGLLGSEPLRFHVSWHIPVKQLVTELLLISEILRKDSNKID >A01p055760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31704826:31705761:-1 gene:A01p055760.1_BraROA transcript:A01p055760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDISRDVISDQEHHGSGAIHVITGPMFSGKSTSLLRRIKSEINAGRSVAMVKSSKDTRYAKDSVVTHDEIGFPCWALPDLMSFQDILGQDAYAKLDVIGIDEAQFFGDLYEFCCKVADDDGKTVIVAGLDGDYLRRSFGAVLDIIPLADSVTKLTARCEVCGHKAFFTLRKTRDTRTELIGGADVYMPVCRKHYVNNNHQVVVKASKEVLDSDKERSESCVETVAAMI >A10p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14251134:14254241:1 gene:A10p021140.1_BraROA transcript:A10p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAATVLNQSISEAARRNHGQTTPLHVAATLLASSSGFLRRACIRSHPNSSHPLQCRALELCFSVALERLPTATTTPANDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKAAIEQSLSNNNNNSPSNTTPVPSVSSVGLNFRPGGGGGPMTRNTYLNPRLQQNGPATAQQTGVINKSDDVERVMDILGRGKKKNPVLVGDSEPGRVIKEILKRIESGEAAVKNSKVVHFEEIGSDKEERIKKLDGLLETRINNSDPGAGGVILNLGDLKWLVEQPQPMAVEVGRTAVAELRRLLEKFQGRLWFIGTATCETYLRCQVYHPSMENDWDLQAVSVAAKAPASGAFPRLANNLGSFTPLKSFVPGSMTTSKCCPECSKSCERELSETESVPQEVKTEVAQTKQLPQWLLNAKPVDRVPQAKIEEVKKKWNDACVRLHPSFHNKNERIVPIPTPTPTPIPLTTSSYGPNMLLRQPLQQKFQPNRELRERVHLKPMTPLVTEQAKKKSPPGSPVQTDLVLGRTEDSDTRVRDFLGCISSESVQNNNDKISVLQNSLDIDLFKKLLKGMTEKVWWQHDAASAVAATVSQCKLGGGKRRGVVSKGDVWLLFSGPDRVGKRKMVSALSSLVYGTSPIMISLGSRQEPGGVRGKTVLDRIAETVKRSPFSVILLEDIDEADMLLRGSIKRAMDRGRISDSHGREISLGNVIFVMTASWHRSSGTKACFSDDEAKLRDLAGESWRLRLCMRGKRRASWLSSGVEERLTKPKKEHGSGLAFDLNQAADTADDGSNNTSELTDNNDQEEFSGKLSLQCVPFAFHELVSRVDDAVAFRAVDFGAVRQRVSDTLSERFTTVVGESLSIEVEEDVLQRILSGVWLGEMELEEWIQKAIVPVLSQLKARVSSSGTYGDRTVARLELDEDSGERSGGDLLPTSVTLAV >A02p056910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34288516:34291152:-1 gene:A02p056910.1_BraROA transcript:A02p056910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESEVVKTFAELGVREELVKACERLGWNNPSKIQAEALPYALEGKDVIGLAQTGSGKTGAFALPILQALLEYVNNAEPKKGRRPDPAFFACVLSPTRELAIQIAEQFEALGSDISLRCAVLVGGIDRMQQTIALGKRPHVIVATPGRLWDHMSDTKGFSLKTLKYLVLDEADRLLNEDFEKSLNQILEEIPRDRKTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVAAKYKDCYLVYILTEMPDSTSMIFTRTCDGTRFMALMLRSLGFRAIPISGQMTQSKRLGALNKFKAGECNILVCTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVGISLVNQYELEWYLQIEKLIGKKLPEYPAEEDEVLSLLERVSEAKKLSAMNMKESGGRNKRRGEDDEESERFLGGGNKGGNKGDKKSSKKFKR >A08p020170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13704765:13707943:-1 gene:A08p020170.1_BraROA transcript:A08p020170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEADKKVTRCFSCTRTKKKKNKEDEKLIASRGIAKRWRDLSGQNHWKGLLQPLDQDLRQYLIHYGEMAQAGYDTFNINTQSKFAGSSIYSRKDFFAKVGLEKAHPYTKYKVTKFLFATSQIHVPESFLLFPVSREGCTKESNWIGYVAVTDDQGTAALGRRDIVVAWRGSVQPLEWVNDFEFGFVNAKKIFGEKNDQVQIHQGWYSIYMSKDERSPFNKANARDQVLREIGRLLEKYKDEKISISICGHSLGAAIATLNAADIVANGYNRPKSRPDKSCPVTAFVFASPRVGDSDFKKLFSGLKDLRVLRVRNLPDVVPIYPPLGYAEVGDELPIDTRKSPYLKSPGDLATFHCLEVYLHGLAGTQGTSKADLFRLDVKRDIGLVNKSVDGLKDEYMVPGHWRILKNKGMVQQNDGSWKLMDHEIDDNEDFDF >A01p040920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21821798:21824757:1 gene:A01p040920.1_BraROA transcript:A01p040920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGFEVQMTSMKSRRLRGSFPDDFQKVVWTSWKSSGLHGSLLTKSSFHNRSEHFGFSDLEDFWDDLPKTSRNFSRLLPLQSSGLPESRLDFLKVVWTFWTSSGSRLDFLKVVWAFWKSSDKVLLRFFCNQTKSDDLAFSRLRKQILKSIAKITSALTRRLPAHIRLLQSHIISNESDPPRIVSFYDFMNHKKCKIKILSFFSSMWRESERYVVFSL >A01p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14995809:14999279:-1 gene:A01p039270.1_BraROA transcript:A01p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFNTEQDHPAAESGNVYDVSDPTKNVDDDGREKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGTLILVIFSFITYFTSTMLADCYRAPDPVTGKRNYTYMDVVRSYLGGRKVQLCGVAQYGNLIGITVGYTITASISLVAVGKANCFHKKGHEADCTISNYPYMAVFGIIQIILSQIPNFHKLSFLSLMAAVMSFTYATIGIGLAIATVAGGKVGKTNMTGTVVGVDVTAAQKIWRSFQAVGDIAFAYAYATDTLKSSPAENKSMKRASLVGVSTTTFFYILCGCLGYAAFGNKAPGDFLTDFGFYEPFWLIDFANACIAFHLIGAYQVFAQPIFQFVEKRCNRNWPDNKFITSEYSVNVPFLGKFNISLFRLVWRTAYVVITTVVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKVKKYSPRWIGLKMLCWVCLIVSLLAAAGSIAGLISSVKTYKPFRTIHE >A06p031890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:375:572:-1 gene:A06p031890.1_BraROA transcript:A06p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACAQVSAKSILTGALKPKRVNSSLQYACTSVRLASIIPRKNVNTWLDDGKSSQHKYYLDQSD >A07p035000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18984917:18985479:1 gene:A07p035000.1_BraROA transcript:A07p035000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPENHPTTTHSKVISHVFFTGTAKQGCAGPPLGLVDIGVSDIAYIFRVSLPGIAKYQDKIKCEIQREGRVCIQGVVPEIAIPSDSGCLYRMQVQQLSPPGPFSITFNLPGQVDPRLFSPKFRADGIFEVVVVKLGVRIPTS >A06p050150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26404192:26405513:-1 gene:A06p050150.1_BraROA transcript:A06p050150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSWIRTIKQNGLDQSKEFKGNFCCLRARVSSEVQDIRTNSFSFYGPSHDPKPPEADEELEFDHEDGFGGFLTIGTLGRDPETPRFTSVAKEDVTGVHRDIAKLITVKLDKLLEEYPEDSSSKQVERSNAKEHRESVDVCKDRIEFTKSSKEVKKKEGLLASLFKRSKTVEGECNTLKKHGTGDLIKTVFEKLHMSSSKTIKDDNDDYMHKKKDIRKNVQNFRSKVHPVLCTPARDDSEVDDRRSCTNLKDPPALNGGFLVSSSISEANWKREKWIKTDAECKSRSILYTQHLNTNLFYFRLSRILFWNFEDQHTLQQTYIDVLL >A10p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1383933:1385614:-1 gene:A10p002670.1_BraROA transcript:A10p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 2 homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G03950) UniProtKB/Swiss-Prot;Acc:Q941D5] MNIFSKKPNPREVLRESKREMTQATRGIEKEISSLQSEEKKLVLEIKRTAKTGNEGATKILARQLIRLRQQIANLQGSRAQMRGIATHTQAMHAHTSVAAGIQGATKAMAAMSKNMDPAKQAKVMREFQKQSAQMDMTTEMMSDSIDDALDNDEAEDETEDLTNQVLDEIGIDVASQLSSAPKGKIGRKNAEDVSSSSEMDDLEKRLAALR >A03p051890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20335278:20335859:1 gene:A03p051890.1_BraROA transcript:A03p051890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLPEADNLSRIKHKTEEEEKGANGGVLNNLISNLIGTTTTTVNDKSGENIPKSECEDGDHEKKKESSGAGILENIISHLPDDIEVPTTGEASMLIHSVID >A02p010380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4477212:4477721:-1 gene:A02p010380.1_BraROA transcript:A02p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIQDSGLIVTQLLYQMAVFITLLRWIFAWILRYRSKSRTCAPPVSSQAIKESLSVTTFHDALERSPELISDTCAVCLGDLEDGDEVRELRNCSHVFHRECIDRWLDYECCGGDGNEGEEDNHRTCPLCRTPLLAADTSSCGDWPVKTEPSWAVERLLYLFGDDLLV >A02g510870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28784664:28788941:1 gene:A02g510870.1_BraROA transcript:A02g510870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVVDVSAANAQANAATLEEFKKMFATYEKRSEKQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRTPPHKDEVKSSVNANASDVEARHKSEAHATTQPEHPENSRQGIQSHQRPVPEIQIRPSWPKRNPKTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVIGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPHMITSKLCLSLQHLALHASEIPLRFLLLEAVDHGFSMTRFDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A09p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34344699:34347614:1 gene:A09p040250.1_BraROA transcript:A09p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT1G35510) UniProtKB/Swiss-Prot;Acc:Q8H1E6] MHGLSRLGNGNGNARSLASPPSSPRIRHLRGKTSNQDQGIGERLVFLLFSVVFRRKGVLLLAPLLYMAGMLLFMGSFGFTILDLGHGVDIVYRRAPPGSVYRSPKVFKRLWPMMEADANRSSHNALMEAWKPRVKGMWKPCISTNVSAPGSNSNGFFIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFGDIFDEDFFIYALSKNVKVVKELPKDVLERYNYNISSIVNLRLKAWSSPAYYLHKVLPQLLRLGAVRVAPFSNRLAHAVPAHIQGLRCLANFEALRFADPIRLLAEQMVNRMVTKSVQSGGNYVSVHLRFEMDMVAFSCCEYDFGEEEKLEMDMARERGWKGKFRRRGRVIRPGANRIDGKCPLTPLEVGMMLRGMGFNNNTLVYVAAGNIYKADKYMAPLRQMFPLLQTKDTLATPEELAPFKGHSSRLAALDYTVCLHSEVFVSTQGGNFPHFLIGHRRYLYKGHAETIKPDKRKLVQLLDKPSIRWDYFKKQMQDMLRHNDAKGVELRKPAASLYTFPMPDCMCKEPDPKPETDPA >A02p045370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28448017:28449928:1 gene:A02p045370.1_BraROA transcript:A02p045370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGCCRNLRITIKAVQHLHLRPPRGSSLYRRIQSTHLSSSSSSMADLKSTFLNVYSTLKSDLLHDPSFEFTEESRLWVEKMLDYNVPGGKLNRGLSVVDSFKLLKEGEDLTEEEVFLSCALGWCIEWLQAYFLVLDDIMDNSVTRRGQPCWFRVPQVGMVAINDGILLRNHIHRILKKHFRGKPYYVDLVDLFNEVEMQTACGQMIDLITTFEGEKDLAKYSLPIHRRIVQYKTAYYSFYLPVACALLMAGEDLENHIDVKNVLVDMGIYFQVQDDYLDCFADPETLGKIGTDIEDFKCSWLVVKALERCSKEQTEILYENYGKPDPSNVAKVKELYKELDLEGVFKEYESKSYEKLTGVIEAHKSEAIQAVLKSFLAKIYKRQK >A09p044710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36258624:36262196:1 gene:A09p044710.1_BraROA transcript:A09p044710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRIITVNPSSASVEMSHFNSNNEVLYSTVVDDETPSPKAATDKIRKVSMLPLIFLIFYEVSGGPFGVEDSVNAAGPLLALLGFVIFPFIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGSGLPRVAAILVLTLMLTYLNYRGLTIVGWAAVAMGVFSILPFAVMGLISIPQLEPSRWLVMDLGNVKWNLYLNTLFWNLNYWDSISTLAGEVENPNQTLPKALYYGVILVALSYIFPLLTGTGAIPLERELWTDGYFSDVAKALGGAWLRWWVQAAAATSNMGMFLAEMSSDSFQLLGMAERGMLPEFFAKRSRYGTPLLGILFSASGVVLLSWLSFQEIVAAENLLYCVGMILEFIAFVRMRMKHPAASRPYKIPIGTVGSILMCVPPTLLICAVVALSSLKVAAVSFVMLIIGFLMHPCLNHMDRKKILKFSISSDLPDLQQETRECQETLILQSLDSHSHEKLECHDYNNSDVASPKAATNSIKKVSMIPLVFLIFYEVSGGPFGAEGIVNAAGPLLALLGFIIFPFIWCIPEALITAEMSTMFPVNGGFVVWVSSALGPFWGFQVGWMKWLCGVIDNALYPVLFLDYLKSAIPVLSTGLPRVASILILTLLLTYLNYRGLTIVGWTAVLMGIFTMLPFAVMSLISIPNLQPWRWLVTDIGDVKWNLYLNTLFWNLNYWDSVSTLAGEVANPKQTLPRALCYSVIFVTLSNFLPLLSGTGAIPLNRELWTDGYLAEVAGAIGGGWLRVWVQVAAATSNMGMFIAEMSSDSFQLLGMAELGMLPEVFAKRSRYGTPLLGIVCSASGVLLLSGLSFHEIVAAENLLYCGGMVLEFVAFVRMRIKYPAASRPYKIPAGTVGSVLICVPPIVLICSVVVLSTLKVAVVSFVMVMVGFVMKPCLDHMDRKRWVKFSVSCDVPEFQKESQEMEESLLR >A03p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4466023:4470349:-1 gene:A03p011130.1_BraROA transcript:A03p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPPPSLQSSIRNSSVSEFLSRRRLCSFPRPAPGSAREFSSEMMEDGKDQDIDVRSLVEAVSADHSFGAPLYVVESMCMRCGENGTSRFLLTLIPHFRKVLISAFECPHCGERNSEVQFAGEIQPKGCCYHLEVLAGDVKIFDRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILARAADELSALQEERKKVDPKTAEAIDQFLSKLRACAKAETPFTFVLDDPAGNSFVENPHAPSPDPSLTIKFYKRTLEQQATLGYSSDPSQAGQSEGSLTARSAETASIPHGTIGATAGHRAIAQSNSTDISDNLFRYSAPEEVMTFPSTCGACMKQCETRMFVTSILSKFHSLKIEIPYFQEVIVMASTCEDCGYRNSELKPGGAIPEKGKKITLSVKDITDLSRDVIKSDTAGVIIPELDLELAGGTLGGMVTTVEGLVTQIRESLARVHGFTFGDSLDESKKNKWREFGSRLTKLLSLEEPWTLILDDELANSFISPVTDDIKDDHQLTYEEFERSWEQNEELGLNDIDTSSADAAYGSTETTKLP >A06p049180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26001375:26008097:-1 gene:A06p049180.1_BraROA transcript:A06p049180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) UniProtKB/TrEMBL;Acc:A0A1I9LM66] MGVQGLWELLAPVGRRVSVETLAGKRLAIDASIWMVQFIKAMRDEKGDMVQNAHLIGFFRRICKLLFLKTKPVFVFDGGTPALKRRTVIARRRQRENAQTKIRKTAEKLLLNRLKEMRLKEQANDLKKQRLQQSKSAGDKKRVSSVSLQEPLRGSADEDGAGGSCFQEEKIDEISSATMVGESVSDDAMKMSSKYDPKGKGVLLNEDDLDNRMKSNLEQGCSAGTKDYQEKLDEMLAASLAAEEEGTFTNKASSSAAEIPSEEEGSEEDEQILLPAMNGNVDPAVLASLPPSMQLDLLVQMREKLMSENRQKYQKVKKAPEKFSELQIEAYLKTVAFRREINEVQRSAGGRAVGGVQTSRIASEANREFIFSSSFSGDKEVLASAREGRNDGNEKNTPQQSLPVPVKNISSGNKSDATTELEKDETANPDENIEVYIDERGRLRIRNKHMGIQMTRDIQRNLHLMKEKEKAAFGSTSNNDDETSNAWENFPSEDQFLENLPVNKDDVMNLDNPNDDSMLQNQSAIEISFEHDGGGKDLDDEDDMFLRLAAGGPVTISSAENDPKENSLSWASDSDWEEVPVEQSSVISKLEVNSSNQHIPEDIISDEEAAREDTTCENVSNSMENDTVTKFSKGYLEEEADLQEAIQKSLLDLHDKESEDLLEENQTVGVNLVDDKPTQDSLCSSETVGKAEEEGTLDGIPILKASGAINEQSNTSVTEDGHIDITKQIGTHPSSSSDNVRRAVGNEMPQVKPVISPEKSFTIASESSMVSTMAKHNNEDGSESFGGESIKVSPMPIADEERISSHIEILYDTDSQQETREENKLDHRTFNIESSIDLEEKTVPVELSEAILEEEMRVLDQEYVNLGDEQRKLERNAESVSSEMFAEIQELLQIFGLPYIIAPMEAEAQCAFMEQSNLIDGIVTDDSDVFLFGARSVYKNIFDDRKYVETYFMKDIEKELGLGRDKIIRMAMLLGSDYTEGISGIGIVNAIEVVTAFPEDDGLQKFREWVESPDPTILGKTDAKAGSGVKKRGSGSADNESTSGVSADDTQEIKQIFMDKHRKVSKNWHIPATFPSEAVISAYLNPQVDRSTEIFSWGKPDLLVLRKLCWEKFGWNGKKTDDLLLPVLKEYEKRETQLRMEAFYSFSERFAKIRSKRIKKAVKGIGGGLSSEVVDHNLQEGPRKGNKKRLSPHETEDNISEKDLGKTDEKEKNKRKRSEKPSSSRSRGRAQNRGRGRGRKNLIPELSDGSSDGEGDDNDNEVGLQAPNEAKPGGNPHKVRRSTRSRNPVKYSEKEDDQLDESRGNGESLSENLDDDDDDFLKNLGKLGNVSEERTQNEPTTMDASNNDCPSEDYIQMGGGGFCVDEAETGGDAHVEDKASDDYKVMGGGFCVDEDETGKEDDAMDEEVLETEDVNSQNKAKRQNEDASLEEYGGGIEIGNSSAGGLSAMPFLKRKKRKN >A10g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1672672:1674060:-1 gene:A10g500510.1_BraROA transcript:A10g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKRPSPTSISTKPDPTDQKTTHNTSDNAFHLPPLKLGSIFVISTLLCSLHLYLLCFHYKVETQLKRSILINVALSLVGFFVTLKMIHVAARYVLRRNTFGFDINKSGTPQVEMVTMHSLIKLKSQEQKLALPEPALIKISNLLSLFAIADTALLFASSELTYAISMFQ >A05p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16084016:16086010:1 gene:A05p031050.1_BraROA transcript:A05p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGLRAVGSHCSLSEMDDLDLNRALLDRPRLKIERKRSFDERSMSELSRHDSPRGRSVLDTPLSSARNSFEPHPMMAEAWEALRRSMVFFRGLPVGTLAAVDNTTDEVLNYDQVFVRDFVPSALAFLMNGESDIVKHFLLKTLQLQDSEKRVDRFKLGKGVMPASFKVSHDPIRETDHLVADFGETAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSETPECQKGMKLILSLCLAEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFYMALRCALSMLKPDGDGRECIEKITKRLHALTFHMRNYFWLDYQQLNDIYRYKTEEYSHTAVNKFNVMPDSIPDWVFDFMPLRGGYFVGNVGPAHMDFRWFALGNCVSIMSSLATPDQSMAIMDLLEHRWDELVGEMPLKICYPCLEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWQLTAACIKTGRPQIARRAVDLIESRLHRDCWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMLLEDPSHIGMISLEEDKLMKPVIKRSASWPQL >A07p013470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7458587:7460877:1 gene:A07p013470.1_BraROA transcript:A07p013470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMQSKEKLMFNHVRSGNVEGIRALCLEGANLEWMDKEGNTPLILACKKSKLYDVAQTLIELGANVNAHPSAPRDATPLYHAARRGLEKTVKLLLSNGANYDCQTPLQQARYYKYTKVVRAIENHICVFSGWMREFYGPALLGVFAPQLLSRRVWVVIIPTSSIYPKPYKFELVVYASLKDAQPRVVMALRNPHLKEPEEMHPNTSVTIVDNDNKKKSLKLASSIEEGRQQLKWFCDACKGIPQPVRRPPVVVQTVLPSAPPLADYDTEAIEEGPMHYPLSGSTTPSASGGGGNKKDGGSSGQCLICMDAPSDGICVPCGHVAGCMSCLTQIKSHASECPICRANIHQVMKVYRV >A07p046400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25033909:25037199:-1 gene:A07p046400.1_BraROA transcript:A07p046400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVGGVGGSGSGGGDGGGSGSGSQQHHDGSETDRKKKRYHRHTAQQIQRLESSFKECPHPDDKQRNQLSRELGLAPRQIKFWFQNRRTQLKAQHERADNNALKAENDKIRCENIAIREAIKHAICPNCGGPPVNEDPYFDEHKLRIENAHLRDELERMSTVASKYMGRPISSHLSTLHPLHISPLDLSMTGPSLDFDLLPGSSMHSHPNNNLATISDMDKPLMNDIALTAMEELLRLFNTNEPLWTRGDGGREILDLGSYENLFPRSGNRGKNHNVRTEASRSSGIVFMNAMTLVDMFMDGVKWGELFPCIVASSKTLAVVSSGMGGTHEGALHLMYEEMAVLSPLVATREFCELRYCQMIEQGSWIVVNVSYHLPQFVSQSSHSYKFPSGCLIQDMPNGYSKVTWVEHVETEEKEQTHELYRKMIHKGIAFGAERWVTTLQRMCERFASLLAPATSSRDLGGVIPSPEGKRSMMRLAHRMVSNYCLSVSRSNNTHSTVVAELNEVGVRVTAHKSPEPNGTILCAATTFWLPNSPQNVFNFLKDERIRPQWDVLSNRNAVQEVAHIANGSHPGCCISVLRASNASQSQSNNMLILQETSIDSSGALVVYSPVDLPALNIAMSGDDTSYIPLLSSGFAISPDGNHSSSTTEQGGGASTSSGFGGGGSLITVGFQIMVSNLPSAKLNMESVETVNNLIGTTVHQIKTGLNNCPSGSTTA >A07p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5777919:5779987:-1 gene:A07p009380.1_BraROA transcript:A07p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSLQFATIRPNISSKVVKAGTYIVGANPRNASSWDKLAFTRHLSKLGCLRNNSSLPTSKKSFSFSTKAMSESSENKASSGLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDQSRVLPDGSLMEIKKVYPLDAVFDSPEDVPEDVKANKRYAGSSNWTVQEAAECVKKDFGTIDILVHSLANGPEVSKPLLETSRKGYLAAISASRGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKQNIRVNTISAGPLGSRAAKAIGFIDTMIEYSYNNAPVQKTLTADEVGNAAAFLVSPLASAITGATIYVDNGLNSMGVAIDSPVFKDLK >A03p023830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10063102:10070571:1 gene:A03p023830.1_BraROA transcript:A03p023830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKVTMKGRLGPGMMISVDLESGQVYENTEVKKRVASYNPYGKWVSENLRTMKPSNFLSSASMETEEILRRQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDTPVAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPQNVSQVVLSGPVLNERELEGLLSDPHLKSEVLPTFFDIHRGIDGSLKKALLKLCEAADEAVRNGSQVLVLSDRSDNPEPTRPAIPMLLAVGAVHQHLIENGLRMSASIIADTAQCFSTHHFACLIGYGASAICPHLALETCRQWRLSNKTVNMMRNGKMPTVTMEQAQKNYRKAVNTGLLKVLSKMGISLFSSYCGAQIFEIYGLGKEVVEFSFRGSASRIGGLTLDELARETLTFWVRAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVREKSETAYAVYQQHLANRPITVFRDLLEFKSDRKPIPVGRVEPASSIVERFCTGGMSLGAISRETHETIAIAMNRLGGKSNSGEGGEDPIRWKPLTDVVDGYSSTLPHLKGLRNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKVAQGAKPGEGGQLPGKKVSPYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVSEAGIGTVASGVAKANADIIQISGYDGGTGASPISSIKHAGGPWELGLAETQQTLIGNGLRERVIIRVDGGFKSGVDVLIAAAMGADEYGFGTLAMIATGCIMARICHTNNCPVGVASQREELRARFPGLPGDLVNFFLYIAEEVRGILAQLGYEKLDDIIGRIDLLKPRDISLVKTHLDLSYLLSSVGLPKRSSTSIRKQEVHSNGPVLDDTLLQDPEIMDAIENEKMVHKTMSIYNVDRSVCGRIAGVIAKKYGDTGFAGQLNLTFNGSAGQSFACFLSPGMNIRLVGEANDYVGKGMAGGEVVILPVESTGFLPEDATIVGNTCLYGATGGLLFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLLPKVNKEIVKIQRVTSPVGQTQLKSLIQSHVEKTGSSKGEAIVEEWDKYLGMFWQLVPPSEEDTPEANSDHHLKTTTGEEEQVSNTFAV >A06p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19452895:19454909:1 gene:A06p035840.1_BraROA transcript:A06p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSGIGPSGVITVKGDEALASRTEFQQSPSFLQFVSPTTVVTPPPPPPPPAPTPASTTVNPGSAAAPPPPPISSAGSDLTKKKRGRPRKYAPDGSLNPRASRPTLSPTPISSSIPFSGDYNHHSHWKRGKAQQQHVDIIKKSHNFEYGSSPAPPPPPPPPGLSCYVGANFTTHQFTVNAGEDVTMKVMPYSQGSRAICILSATGCISNVTLRQATTSGGTLTYEGRFEILSLSGSFMPTDNGGTKGRSGGMSISLAGPNGKIIGGGLAGMLIAAGPVQVVMGSFIVMHQAEQTQKKKPRIIEASPPPLQRPPPGFTITTVNSTSPLVATVEEPKQQTYGGGGGIMRPISQMPSSFNNDNSGMNNFTTTFQGYGNMNTGTNKDEDDYDDGGDDDSGDTRSLSTSG >A06p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19899290:19902341:1 gene:A06p036740.1_BraROA transcript:A06p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPAPKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGYEEKAKVVQTILFVAGINTLLQTLFGTRLPAVIGASYTFVPTTISIILSGRFSDTSNPIDRFERIMRATQGALIVASTLQMILGFSGLWRNVVRFLSPISAVPLVGLVGFGLYEFGFPGVAKCIEIGLPELLILVFVSQYLPHVIKSGKNVFDRFAVIFAVVIVWIYAHLLTVGGAYNGAAPTTQTSCRTDRAGLVGAAPWIRVPWPFQWGAPSFDAGEAFAMMMASFVALVESTGGFIAVSRYASATMLPPSILSRGVGWQGVAILISGLFGTGAGSSVSIENAGLLALTRVGSRRVVQIAAGFMIFFSILGKFGAVFASIPGPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFLGLSIPQYFNEYTAIKGYGPVHTGGRWFDDMVNVPFSSEPFVAGMVAFFLDNTLHKKDSSIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >A01p043570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24830545:24833281:-1 gene:A01p043570.1_BraROA transcript:A01p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFNGILRAVQFRLPPYKTLRSSTLILSSFGLSHSLVSSVNRKCFLLSNRKKRACSSSSEKRSTKTMNYLKRLTNGINAGDVKKLQDAGIHTCNGLMMHTKKNLTGIKGLSEAKVDKICEAAEKIVNFGYMTGSDALLKRKSVVRITTGSQALDDLLGGGIETAAITEAFGEFRSGKTQLAHTLCVTTQLPTSMKGGNGKVAYIDTEGTFRPDRIVQIAERFGMDPGAVLDNIIYARAYTYEHQHNLLLGLAAKMSEEPFKILVSMSAYFIVRFESMSSFSGAYRCFQIVDSIIALFRVDFTGRGELADRQQKLAQMLSRIIKIAEEFNVAVYMTNQVISDPGGGMFISDPKKPAGGHVLAHAVTIRLSFRKGKGEQRVCKITPGGVADAKD >A02p037650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20115476:20116296:-1 gene:A02p037650.1_BraROA transcript:A02p037650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSSSSQMAATSSSLLQDTVPPPESMYSYEVKCSLDTMTNFSVTLKIIERKLKDRAIWFKEHPQFQHIFHMPRQLHNYMALWALILRTAYISDDEWWTILNGVPLRYSIREHALYTGLCCDPLPLYFEKCFEERHNLSLSFAEKYFIDTSKVDILDVEQKLESMEEENDDLVKMALLFFIGSVFKRKKNKKQSYIDVFYLKVVDNLSLCETFPWGTLSFNECIYNLSSMMKRAKGNPNKSWSFSCFITPLEAISAL >A03g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29355184:29356163:-1 gene:A03g508690.1_BraROA transcript:A03g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKRNHFRPNAKKKKSSRRQAISKRLDTKMSNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTYTALNKQCQEIILVNKEGNSWTVSL >A05p050640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29444019:29446416:1 gene:A05p050640.1_BraROA transcript:A05p050640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGETHHKDEHHEDASPNLIPLSKYSNRVELKTLLEQSDGGAGFLGKRVVVGGWVKSSRAVKKESLPPPPEVFVPPPSSGGSQASPTPSVSCTEIINSRMNIFRKLFDVLSGGGKTYPIFEKHDLAGQKATSPPEYIIHFQINDGSSISTLQVIVDSVLSSVPATQLMSLGTCIVAEGVLRQRLSASAKHVIELEAEKLLHVGTVDPDKYPLSKKQLPLHMLRDHSHFRPRTTTVGSVTRVHSALTLASHTFLQNNGFQYVQVPVITTTGAGEMFRVTTLLGEDDDKEEKKHVNEKDGFSIDTVKAAVKEKTRLIDHLKRSDSNREAVVAAVYDLKKTSDLASQLEMKQRPKASATLIKPEKIDFSKDFFGCEAYLTVSGRLHLESYASALGKVYTFGPRFIADKIDSTRHLAEMWNVETEIAFSDLDDAMDCAAEFFKFLCKYLLENRHEDMKFISKRVDKTITIRLEATASSSILRFSYTEAISVLQKATTRTFETKPDWGVALTEEHLSYLTDEIYKGCVIIHSYPKEVKPFYTRLNDDNKTVAAFDLVVPKVGVVITGSQNEERFEILDARIRECGLTTKDKYEWYLDLRRHGTVKHSGISLRMEHMLLFATGLPDIKDTVPFPRTWGKANN >A03p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14443724:14445909:-1 gene:A03p034190.1_BraROA transcript:A03p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RGLG1 [Source:Projected from Arabidopsis thaliana (AT3G01650) UniProtKB/Swiss-Prot;Acc:Q9SS90] MGGGNSKEDWRQESPSSSSSSWASHQSYPQSGPGSYNYPPPPSYSPAPDPSPSSAPNFGAHLPQPPPYTQEEGYAYPYPPTQPHPTTDRKKFDRRYSKISDNYASLDQVSEALARAGLESSNLILGIDFTKSNEWTGAKSFSKKSLHHISNTPNPYEQAITIIGRTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPEGRSCNGFEQVLARYRDIVPHLKLAGPTSFAPIIEMAMTVVEQSSGQYHVLVIIADGQVTRSVDTEHGQLSPQEQRTVDAIVKASALPLSIVLVGVGDGPWDMMQEFDDNIPARAFDNFQFVNFTEIMSKNKEQSRKETEFALSALMEIPPQYKATIELGLLGRRNGSIQERIPLPPPVQQSGATFFKTSPIQSFEPSVPTYPIESKNMTTSVDDNQLCPICLSNPKNMAFGCGHQTCCECGPDLKVCPICRAPIQTRIKLY >A02g512660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34635795:34638573:1 gene:A02g512660.1_BraROA transcript:A02g512660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIEMKKIENATSRQVTFSKRRNGLLKKAYELSVLCDAQVSLIVFSQRGRLYEFSNSDMWKTIERYRKYTKDHETNNHDSEIYVQRLKEEASHMITKIELLEFHKRKLLGQELASCSLEELQEIDSQLQRSLAKVRAKKAQLFREQLEKLKAKEKQLLEENVRLHQKTVLEPWRGSTDQQEKFRVIDLNLEVETDLVIGLPEKHCK >A03p040990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17102162:17104224:1 gene:A03p040990.1_BraROA transcript:A03p040990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYNRNVVEQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITKMPILVKGVLTGEDARIAVQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEDGVRKVLQMLRDEFELTMALSGCRTLSEITRNHITTEWDTPRPLARL >A07g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13745968:13748819:-1 gene:A07g505940.1_BraROA transcript:A07g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSELLKSYGLPRSLLTKFSSISSGIQACLCRGMIYNSFTTKLYVAWSTQRLFLQLTLKSVIVWLKKNSKKLDGLHFSRHRLVLHLTELFQKFDFSGRLTFQSSNDLQLSRHRLVLQLKKKPSRFNYIQTTYNSVVQDLRGLTRISEKNPRRLTSKSSGGRLNYKSSVLQISKSIAKITSALTRRLPGKSSTARRLPGKLSTARRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPRVVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKEEG >A07g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10416064:10417263:1 gene:A07g504890.1_BraROA transcript:A07g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGTKNWNEQFIKSIIREEDARYILNTRVGSITCQDTPVWNFTRTGEYTVRSGYHLCNQNVNELISLVKKDKRELLNFFIGWRVWKKRNNLIFQQKRDHIVKVIHDAIRDLGQWEEANSSLKQQCAREERPKENPLQAQHTMPTSAQYYCQVDASWRNDEEVAGVGWSLHSIQGNQLLQGSSSIHATNTPREAETEALRMAVRQVRALAFSKVHFISDCKSLMDELAQHLTGATIVKVRNTESFSMIQDIVEASKANGYTFSYMSRNRLSLVDKLAKNARCNKQNYVITWF >A03p044420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18544046:18546098:-1 gene:A03p044420.1_BraROA transcript:A03p044420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT3G20310) UniProtKB/Swiss-Prot;Acc:Q9LDE4] AHEIKGEQGVSLDALVGIFTRIGREVEETGVGNADGQPLISEFRKSGLLEEFECLTHSLIQACDDMIRFSLMTPENRELIQKSIYSTLVYTLKNVDVTLLCDEATRKKNLKLLIKSLRVPSSSSSFHQLQTHRSLLNHITSGSPTMRKGRGSSAVPPALPGSVKEPRYRGVRKRPWGRFAAEIRDPLKKSRVWLGTFDSAEEAARAYDAAARNLRGPKAKTNFQIDCSPSSPLQPLNHRNQIDPFMDHRLYGGEQEVVIISRPASSSMSSTVKSCSGVRPASSSVAKAATKRYPRTPPVAPEDCRSDCDSSSSVVDDIASSSSRRKPPFEFDLNFPPLDGVDLFVGADDLHCTDLRL >SC152g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:10315:10479:1 gene:SC152g500030.1_BraROA transcript:SC152g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDRKIGMDPALAGRMSLSRFGQGMEWIEGRHKDQWIGSLICHTAALNVSHN >A02p017060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7661206:7661592:-1 gene:A02p017060.1_BraROA transcript:A02p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEEHVHKQMVFKFHFPHLHILHHHNHHHVPKGCVAIMVGHEGNEEGLHRFVVPLMFLNHPLFLRLLKEAEDEYGFKHAGPITIPCRVDKFKHVQEIIDEEIHRRHSHGNGHNNHSHHHHNNHLRCF >A01p026950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19216168:19217563:-1 gene:A01p026950.1_BraROA transcript:A01p026950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDGSENQQQEDVKVDILECGNLTDESQEGEDGLCQSSSSSFGDSLCARDDDDGDDDNDFEAESMLNRDYPLPDTFGDGSELLGLRKKKLTDEWRKFCQPLMWRCKWLELKAKEIECQARGYDRQVTSYYQSKQFDLDKSKLEGFDGKSKSFGDQTQRMSVYKRGRRRRVEETTDVAAYISNHNVFSYSDKRKPTTLKAQCPVPGRKATGKEEEVEEDDCFVSESDCSDDILGMILCQIDEAQDKAKRLKKRVDQLLCCESQDGHTSLMPQPSGRDFNVQNVKQLALVEEEPSLPHIQREGTVQIGRQRISADHTEDLLIPQAPPPFESDGQFLYNISPLPYERLGFPTIDDLLMDGSEMNDYEAEPDLDNCFMKLMNEFGKDTMSDDDEEEEDPTPATKRHKTSH >A06p054020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28372867:28374578:1 gene:A06p054020.1_BraROA transcript:A06p054020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLFLFKSKKPKPRDQHKDKRKGKEIARNSAPELRNQSETLSFNLQTPRSLPSRRSIRDLYTERENNLRVFTYEELSEATNGFSRKLKIGEGGFGSVYKGKIPTTEDSDSPLVVAIKKLNQQGLQGHKQWLAEVQFLGVVNHQNVVKLLGYCSDDGERGIERLLVYEFMSNRSLEDHLFTRGSHTLPWKQRLEIILGAAEGLAYLHEVQVIYRDFKSSNVLLNDEFCPKLSDFGLAREGPQGDNTHVTTARVGTHGYAAPEYVQTGHLRMKSDVYSFGVVLYEIITGRRTIERSKPAAEQRLLEWIKEYPADSQRFSMIVDSRLRNDYPAGGARSLAKLADLCLKKNEKERPTMEIVVERLKKIIEESDSEAYSTSASKESGQVRSKSRVAGPVKGSSRGGVSVRG >A09p035200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21156562:21158328:1 gene:A09p035200.1_BraROA transcript:A09p035200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLKTWRSTAFGIYGYMNFTKNGFLDHSKKFKPEDMQIQIQGKNCVVTGANSGIGYAAAEGLASRGATVYMVCRNKERGEEALSKIQNSTGNQNVYLEVCDLSSVNDIKSFASSFASKDVPVHVLVNNAGLLENKRTTTPEGFELNFAVNVLGTYTMTELMLPLLEKASPDSKVITVSSGGMYTSPLTTDLQFSGEKFDGVLQYARNKRIQVALTEKWAEKYKNKGIGFYSMHPGWAETPGVARSLPSFKESFSGKLRTSEEGADTVVWLALQPKEKLVSGAFYFDRAEAPKHLTLAGTSKSHDLIDSVIDTVHSMAALDP >A06g503390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10305965:10306294:-1 gene:A06g503390.1_BraROA transcript:A06g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMYFFWFDNWFGQEKIIDITGDAGKIHFGVLRNARVSDAVREGQWHIRGQHSWLFPTLHYLINEAPIPYAENGSDQMLWRHSYNHFKDHFFAVETWQHLRVKREKVP >A01g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25462212:25467694:-1 gene:A01g509420.1_BraROA transcript:A01g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASGRRSSVSPPSSCASGDKRILIPPDPPDSNLPLAQYPPLSPTIPTRREKALVNSTIVSPYPTGQQISAGLSETGIALCNVDVEMVLGPVSVADPITRSDATVGATVDFQIQPSTTVVPPTEFTNNLQEKFTVLLPKFSSPIQTNPALSPTPTIASTSGDEDLPHASIPHPNHPVPPGNSQPVPNPSLVEKIRKSEDKSLKRLAPVTISASGRPSVLIPDAVFQKGADMHKDFIVCVFNGRSPPFSQIQSVLNHLWGKGKRLEIHNNPSSHSLLVRITSDYLKQKILEKGYWYVGDSLFHTKQWTTTNKSLAPSFSSIQIWAHLTGIPLDLRHQEGLSLVAGLVGEPKETDDFTKNLVSLTLAHAKVEVDLTKPLPDVVEFTRQSGEIVEVLVSFPWLPPTCSHCKELGHVVRNCLLVPLPPKAPPANPSKNKTPTIPKTPSGINLHLKTPTKTPSKTPTKTLLKNHPSSSSSVPSPSLSLPEPCPVVPVGSNNSVASVTIHSGLPSTSKNLKPLSSSSLFVPPPFSFASIKNPSLDVPSPTYQPSLKRSRSDPSISPPNNLSLFSNSSRPPICPYTTNQFSLLSTLDSSETHIKDQNITNLLSILCRGWRFASNHASDDDGRIIIVWRDDVNVRILHQSRQSITCEVTLPATAPFIYTAVYASNFRAERVDLWVELLDVCQTYQLHLQPWIIGGDFNEIMHPSEHSLMEVNVTTLQMQEFKDCLQQLEVFDLRFQGPRFTWSNHCPEGPIAKKLDRLLVNSNIISIFPNCVATFYPTLFSDHSPCVLDLAHHLPLAGTMPFRFFNYLTRHPSYHQLVLETWSQAGSLALNLTKLSWKQKSVKGVLKQLNRENFSNIQVRVLEANRVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A08g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12205392:12208660:1 gene:A08g507060.1_BraROA transcript:A08g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIGLTLVFLLAVATCKADEEITCKETLSTCGHTDQFNSGSFEKDFIFGVASSAYQACNNSTINYYCIEGGVGRGLNVWDGFTHRYPNKSGSDHGNGDTTCDSYSYWEKDIEALVELNATGYRFSIAWSRIIPRGKRSRGVNQEGVNYYRGLINRLVEKGITPFVTLFHWDLPQALQDEYEGFLDPQIINDFKDYADLCFQEFGANVTNWITINQLYTVPTRGYGFGSDAPGRCSRALDPTCYAGNSSTEPYIVAHHQLLAHATVVDLYRKNYKHQGGKIGPVMITRWFLPYDNNDPESRAATERMKEFFLGWFMGPLTNGAYPQIMIDTVGKRLPSFTPEESKLVKGSYDFLGLNYYVTQTICIASFLPSLKWWGAQYVQPSPNHVDWANHTAMMDAGAALTYRDINGHFIGPLFTEDKVDATKNTYYYPEGISYVMDYFKTKYYNPLIYVTENGFSTPGDEPREAAKFDCKRIDYLCSHLYFLSKVIKEKHINVKGYFAWSLGDNYEFCKGFTVRFGLSYIDWNNITDRDLKQSGKWYKKFIITKDLPKKDFLRSSLTFEKKKKFADA >A01p027040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19163823:19164931:-1 gene:A01p027040.1_BraROA transcript:A01p027040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWEASLNWLRRASKCGKTSLINVVENVGYSEDLDPIIGFHMREVIKRNVTFKIWDASGQSRFRSSWERFVHVGVLLSCYRGLQLFTDREVCCFMISCKKYANIDEVFDWLLKHSKSNKFLSLLCCVPCSRLFSRVKQEFAPAAGLFQSGLVSI >A03g502250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7271592:7271807:-1 gene:A03g502250.1_BraROA transcript:A03g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFLSDGGAGGLGLVWGFVVVAATFSVIAMAVFVCGDKKSSDNEKEHRTGPGAGVYASQASVPSIPTGC >A09p073700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56107795:56110514:1 gene:A09p073700.1_BraROA transcript:A09p073700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNLVDGVRRWLFQRPSSSSNNNPHEPIVPKSDTFSIPHHQSELIITEDLDFSGLKLIKVPKRHHLPMDPQKKGVQEKDFFTEYGEANRYQVQEVVGKGSYGVVASALDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLKHPDVVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPDHYQFFLYQLLRGLKYVHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMILGKPLFPGKNVVHQLDLMTDFLGTPPPESISRIRNEKARRYLSSMRKKQPVPFSHKFPKADPLALRLLERLIAFDPKDRVSAEDALADPYFSGLSNSEREPSTQPISKLEFDFERKKLNKDDVRELIYREILEYHPQMLEEYKRGGDQLSFMYPSGVDRFKRQFAHLEENQGKPGAGAGGGRSTAMHRHHASLPRERVPAQSGQTAEESSDVERRAAAAVASTLESEEADNGGGYSARSLMKSSSISGSKCIGVQSKTDKEDTIAEEGDDESVAELTDRVASLRNS >A06p053240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27065262:27065871:-1 gene:A06p053240.1_BraROA transcript:A06p053240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTYNEPWFTNTLYCWIGPGDQIWPNQQQMKRSDFGVSMGHHITTLILIVLSYVCRYSLRQA >A05g510360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31985692:31986479:1 gene:A05g510360.1_BraROA transcript:A05g510360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPDFSNGSEDPEGSTVLTGSSSVDSKSNVKTPAKCEGSPIESLEAAFYKSTANKHGPSDSIKKSNLLQQGATIEATFHWYVNLLFGINLEEGAWFENNDFKLMHAFALIRPTKNKYHISITRNHFSQRFSLSQTVTFFAVRVTWIFSVVYLILSFVLV >A08g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:302391:304798:-1 gene:A08g500160.1_BraROA transcript:A08g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHVNGRLPLIKKSMIEYDNGDEVVATLQYERLERHCSQCNKLDHEVRDCLEAKALKKALLVTQEVNHHKDDISSQVPRKEAGQLNRANVDNRVPLHRDEGIRQSYGGEVRHLTDRTLSYGVSQNQPLCRDRVLRGRDSHRQEWQPKHLKRPPGHMHNSRRYDIGGREDSRNYSDRFSGDSHAHHSSKSRHYHPYRRREEERRPSLRDRSPTPQELSSASRINLQPSVRGISLPMCNDKVPQEAVESALGEIREALSNYAACSDPTESAARRERLRLAELNGNIEKNAIQNAKILRARQAATEELLANGEKESSLRTPVSVRLGPLPADIAAREATNICSSTDTGSLERTHIKTRLGPVPVNLELSQDVGMVVSAERQSLEQTERVPAVERLGVLTTEGPKSSPIMRKRKLGRPPGSRKVMSSPALPQNAGSRKRKPQQDKPPTGRKKQNPEGEKSRRTVKQTKPRAINLRRAAKKMISDAWRDLGSGTVREKLVSTRSAISAWNRTQHRNSQ >A09p002750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:649979:653570:-1 gene:A09p002750.1_BraROA transcript:A09p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASKRSITQFGCSKLYCLLPLLLSLLILTPSLSFAATNPDDVVAINGLYAALGAPSLPGWTASGGDPCGEAWQGVICNVSDVIISITVNAANLEGELGDNLSKFTSIRGIDFSNNRIGGYIPTNLPVTLQHFFLSANQFTGSIPESLGTLSSLSDMSLNDNQLSGELPDVFQNLVGLINLDISANNLSGTLPPSMESLSALTTLHVQNNQISGTLDVLQVLPLQDLNIENNLFSGPIPEKLLSIPTFLKDGNQFNSTTLAPSLSPSTSPTRPFFGVPPPPPPPERNRGKIDGEPPSPKEQKSSSQTTRIVLIAIAGVVLFIILVLALLLLLPKCLRRRRRRERASSVFKPHQVGAADRGNRENALENGPPLLPPPVRSEKVPFTKAGQEPKVLHDIERLQRPITRQESQDIDFSTLTPPPPPLPPPPPPPAPPVVTFMPIKSPERPFKKPSPKTRVPLTSVKHYSVASLQQYTESFSQENLLGSGMLGSVYKARLPDGKLFAVKKLDKRACEQQQDHEFIELVNDIDRIRHANIVELVGYCAEHDQRLLIYEYCSNGTLQDGLHSDDEFKKKLSWNKRVRMALGAARALEYLHEVCEPPVIHRNFKSVNVLLDDDLSVLVSDCGQLLAAYGYGAPEFDSGVYTWQSDVYSFGVVMLELLTGRMSYDRDRSRAEQFLVRWAVPQLHDIDALGKMVDPSLNGQYPAKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRRERHGSGEPSAD >A09p049250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43626863:43631690:-1 gene:A09p049250.1_BraROA transcript:A09p049250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRIPLTYIRINTFPVNKFVLPEQRIRYDPNDTEAGLKLLEDLTTNAEAIQKQVLHQILSQNYETQYLQAFLDGESDKNQQSFKNKVPVVNYDDIKPFIQRIADGESSDIVSSQPITELLTSSGTSAGKPKLMPSTAEELERKTFFYSMLVPVMNKYVNGLDEGKGMYLLFIKPEIKTPSGLMARPVLTSYYKSQHFRNRPFNKYNVYTSPDQTILCQDSKQSMYCQLLCGLVQRSHVLRVGAVFASAFLRAVKFLEDHYKELCADIRTGTVTSWITEPACRDSVLSVLQGPNQELADEIESEYAEKSWEGILRRLWPKAKYVEVIVTGSMAQYIPTLEFYSGGLPLVSTMYASSECYFGINLNPLCDPSDVSYTLLPNMAYFEFLPVDDKSHEEIHFASHSNTDDDDDALKEDLIVDLVNVEDGRYYEIVITTFTGLYRYRVGDILKVTGFHNKAPQFRFVQRRNVVLSIDTDKTSEEDLLNAVTQAKLNHLQKPSCPLLTEYTSYADTSSIPGHYVLFWELKPRHNNEPPELENKTMELCCSEVEDCLDYVYRRCRNKDKSIGPLEIRVVSLGTFDLLMDFCVSQGSSVNQYKTPRCVKPGGALEILDSRVIGRFFSQRVPQWQPLGLDS >A05p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10502768:10505118:-1 gene:A05p022020.1_BraROA transcript:A05p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formamidopyrimidine-DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT1G52500) UniProtKB/Swiss-Prot;Acc:O80358] MPELPEVEAARRAIADNCLGKKINRVLIADDNKVIDGISPSDFQKSVLGKTIVSARRKGKNLWLELDSPPFPSFQFGMAGAIYIKGVAVTKYKRSAVKDSEEWPSKYSKFFVELDDGLELSFTDKRRFAKVRLLENVLTLILLAFFTKLDLVVAYWMFVLLQPVSVRPISELGPDALLEPMTVDEFAKSLAKKKITIKPLLLDQGFISGIGNWIADEVLYQARIHPLQTASSLSKEQCEALHTSIKEVIEKAVEVDAESSQFPSNWIFHAREEKPGKAFVDGKKIDFITAGGRTTAYVPELQKLSGKDAEKAAKAKPAKRGGAKSKEDDEEEELEKEDDSAKPKNQKPKGRGKKPALKRKTKDSEDEDDDADGEEDESDAEEEVVKPRGRGTKAAIKKKPEEKAGNKKPKGRRS >A03p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7725748:7726908:-1 gene:A03p018930.1_BraROA transcript:A03p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQDQKKKRNDYKGCFPIDLTSEILLRLPEKSVARFRCVSKLWSSISTDPYFINLFETRSPRQTILLCVRKYDSLFVSSIPQHKHMHTLHQSSNKSFSSSQPISCYNMKFPETNDLYPTESVHGLICFQESGKPVVWNPSKKEFVTLPKPRKSWNDITVFLGYDPTQGKHKVMCLPCNRSTDVCRILTLGSAQESWRTVKTNQNHRSSIITIGRCIKGVIYYLAYIFHSRLQVIMSFDIKSEKFDTIPLPREDIDRPFLITYEGRLAFVDDKNPRRMLILEDAERRKWSSQNFLACLRHRDVITSEYLHLGGSTHAGIAEDESWLNADQDEPLFSPRSRLHIFPNHTESQISL >A04p011960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6286617:6289036:-1 gene:A04p011960.1_BraROA transcript:A04p011960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSRVSLNMNQKRKSSSLRRLFTFVFLLAVVFLIGNAFITVDYKQGNISGWSSIIRLNLGKLKMCKTQLRPLGSETLPRGIVSSTSDLEMRPLWGAKRNKNPKQNLLAMAVGIKQKESVNKILKKFSSSEFVVMLFHYDGTVDEWKEFEWSETAIHVSVVNQTKWWFAKRFLHPDIVSAYSYIFLWDEDLGVDHFDATRYVSIIREEGLEISQPALDPNLSEVHHQLTSRDNKSRVHRRTYKVIGKARCHENSTGPPCTGFVEMMAPVFSRAAWRCAWHMIQNDLNHGWGIDFQLGYCAQGDRTKNIGIVDSEYILHLGLPTLGGSAENKIDSEQLDKTKTEDSSDKSKPSSTSQMSSARSEVRKQTYAELETFKHRWRNAVKNDECWIDRFEP >A01p040390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21540587:21542617:1 gene:A01p040390.1_BraROA transcript:A01p040390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g61215 [Source:Projected from Arabidopsis thaliana (AT1G61215) UniProtKB/TrEMBL;Acc:Q6AWX2] MVMMMLTKKMEMESDWGTWEELLLGGAVLRHGTGDWTVVSEELRSHSMSGIFTFTPEICKAKYKDLRERYLGCKSWYEEVKKKRVAELKAALIKSQDSIGSLESKLESLKSESNDECHENNDYDSSRTLSLEPPSPKSEGGGECTSKDTSKDLSSAGSFTQQELTTTNWSPPQAKSEAIKEQDKKNNLLHGDIFRSMYGVGGGGGQVLPSMRKKRGKRKRKDCSASKDVTAVEESHMLDDIASNSRSKEAASTSSNSQSRGHRLALPGELLKIYNTISQNECALVFRRRLDSQKRARYKKLVRRHMDLDTLKSRINGRSISSAKELFMDFLLLANNAAIFYSKNTREHKSAVSLRDIVTKSLRHYLTEDHHPPHRSSSGTTSAKVPVPALASKSPSVPKSVGVKKPRTGAHPLKLVEQDMVKTTSGGGRKRLVTDSPVAAVKSSAASKKVTAVERRRRDAKQVNGGHDSPALAGRKRNRVR >A01g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3522172:3523849:1 gene:A01g500890.1_BraROA transcript:A01g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETGESSDQAECARVLVDIPEEVVRVLDEIIKLVHPQFQAVVADVLQLVPQDILALITEAKRDSTVRIMEYIDKTSADIKHVRYATWVKRRLIPDCLKGKEWQEIMGELRHQRDHDVCWAIVVSELIRAMRIIDGRQTDKTIRYSPQDLIDFSDKDKRRTEQKSGHYCYTLNLIKGILEYVVENGIQREEDRPFKGCPENVAERKPSEFAYIEKFVRLRSLEDALLQLAHHPIGAALAMFQPEYREIGKKIYRGPANRFSRFVGLHAISLMAVYEDENGEKYILGRASHGDDFGDHGYIRISLEAMLLYIPTPGEAIDDKFSKYFSKPAPLLSRFSYPKLLSLKDEEAKRVKHAKQIRHG >A07g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2956720:2961435:-1 gene:A07g501440.1_BraROA transcript:A07g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPTYIGRLFIDRVGIIDGRGDALSLTTQHSRGVEHLLAVREENAYTLRVQQIDGWKFFVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLSSRRPLSKSSNDFLCFMNFSVVVQKTFKKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITRSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIELCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPQTFLDWQKEGIKPA >A05g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7082635:7082914:1 gene:A05g502220.1_BraROA transcript:A05g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASAPADVSETSLSSLLDNKFIRSLSDVRDVDEALKIVTINSDPTVAKMMRARAKEEDKGRRA >A07p050190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26758397:26760461:-1 gene:A07p050190.1_BraROA transcript:A07p050190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHKVSRRVISLRWVPFICISFFVLGAIFTSRSWEPSSDSGSQLISQRHRDHELQIVSDDCAHKKKATQEKDVIDKVLRTHQAIESLDKSVSSEEKETLSSSITEATTPKKKVFMVMGINTAFSSRKRRDSLRGTWMPQGEKLEKLEKEKGIVIKFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYIKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLAQKTVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLAKYISVNQPILHKYANEDVSLGSWFIGLEVEHIDDRNFCCGTPPDCRWKAEAGDVCVASFEWSCSGICKSVERMKIVHEVCSEGEDAVWNALL >A04g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19501512:19501956:-1 gene:A04g507740.1_BraROA transcript:A04g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSSGEPNRSERNRDRSYYVLVYHRIAEQKVIKCVLVSQRGNHSKIHHTEEDSGCEECSTESQRKPHEDSVYTNNFW >A10p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17643823:17646331:1 gene:A10p028400.1_BraROA transcript:A10p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLIAKEEDETSKGILWVLHGGDDSLSARRAPDFLECGTRQNPCRCKVVGPTLGFVAFLVTGVIEWPVGAVVYIFKHSKGRRIMGHPATVELSPGKEARKLAVENALKKLNKGPDGRYTNVWEMMSDVDVLIGAFENIISGPEYEELRKSVPKRLNMQFFKYVQTRMRDSHLRLS >A01p005780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3008734:3013131:-1 gene:A01p005780.1_BraROA transcript:A01p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEMKAPNVKEGGSQGNLQGKHGRTCGPTRRSTKGQWTPEEDEVLCKAVERFQGKNWKKIAECVKDRTDVQCLHRWQKVLNPELVKGPWSKEEDNTIIALVEKYGPSKWSTISQHLPGRIGKQCRERWHNHLNPGINKNAWTQEEELTLIRAHQIYGNKWAELMKFLPGRSDNAIKNHWNSAVKKKLDSYYASGLLDQCQSSPLVALQNRSVASSSSLMHSSGDESNFRQGADAEESECSQASTVFSCSQSNNDLLDEVKPPNEEFYIPELLSGLEQQVSNSPSHAESYCPSFEDVKIVVPEVRTTTATEDHLQGVSNNVRQDLSLDCPQLLAHNMDGDEKNEACQAFQDSVKLSDQPSLPNSDTSIHPQPQTLTTDVECCRVLFPYAMKDNGTSSGEQGQNMVDPPKGKGEETNAHETGNIPALSWHQSNSESLEGHNGVTLLYSAVEDSVLLHNDSAQDCDLLGATALERETDTNDGNDDNDGIPESYITKDFLKLVPLNNFASPSRVNKIHFPIDEKPAEKDKGSLCYEPPRFPSADIPFFSCDLVPSNSDLRQEYSPFGIRQLMNCTTPLRLWDSPRHDKSPDVKSFSGAPSILKKRRGDLLLSPMLDRRKEKMVKSAKASSLALDFSRLDDCNKNKRASSSEAKEDPNETLESGGVSSAKTDQETRRSLVYYNDVGMQVSSPDKAGSRLDNKVNTVAKDPSDQHEKSLATIPTEEISSEPPFTADEIPLSVISGIKTNTAESSVDIETFSIFDGTPFKKLLDTPSPWKSSPWKSPLLFGSFLQSQNLDPDITLEDIGCFMSPGERSYDAIGLMKHLSEHTATAYADALEVLGNDTPETILKKRRLNKSIQGKENQHWPHDQLENRSQVEGRALDFSNCGTPGKAKVSSASPGSYSSPSSYLLKSCRSFNSGHETID >A01p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:723611:724288:1 gene:A01p001640.1_BraROA transcript:A01p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNVLLTVTLICIVVAGVGGQSPASSPTKSPDAPSTPTTSPSSPPVEAPKSPSPVTSSPPPAPVPESAPPSPPPKASAPVRSPPASVPEAATPPAPVADAPAPSKGKKHQNATATAPELDSPPSPPMEAPGPSSDAVSPGPATSANEKSGAESTSVLRNLAAVGAAATAWAVLVMAF >A05g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18823006:18823584:1 gene:A05g506660.1_BraROA transcript:A05g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDAPDHCFRTNTVSGLVKAGCLVVFSLTLFVPGFGDIRKLCVRVVVPTTEVPIIRKGPTTRSGSRVIRAGFAKAVQELLAQEQTGFKQLLI >A06p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1531975:1537702:1 gene:A06p005240.1_BraROA transcript:A06p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKKLRRLFSSSKKVESANTPANSPSESDDRERRSNRILGFAAVLIAFAKKHCKRAETSTLGLNRRSLRVTLEACFSFRNDEATPELNTHQDSDTTSNVSPCDSGTPENPKELEPPRYQALLNMTSAPRKWFSGDKKSFSHELNAEGVRPFPLRKPHRSNSWEEVLNHIKAKFNKAKEEVNVDLIQFSEDLLGISKENNHELKVITGDLMILTLKCTSASSGKFWLQCERIVRELDDKRQELAPGVLKQLHTRLLFILTRCTRLLQFRKEGWRQEEDFEQLSHSRLLSSSYNETSWNRLPSIVSKGVKEAAVSIICRICEEDVPTTHLEVHSKLCELADRYDHKGASVDARLARVASTLISIINFRLSDCSQDDMLDCFGDQGMTTTSSASSMTPRSPRPNPFELLGGKATFYDRYDIPLMTALSNIARRAAEAISHDDKSMTILYSCLRDLKVTVDRRDFAALTVETFGTRIEKLIRAKYLQLNEILDDEKVDLSSTVIDEDVLLEDDVERSLRIRSVHLRDRISIDDFEVIKEISRGAYGRVLLAKKRATGDLFAIKVLKKADMIRKNAVERIHAERDILINVRNPFVVRFFYSFTCRENLYLVMEYVNGGDVFSMLKLISMDETVARVYIAEVVLALEYLHSEGVVHRDLKPDNILIAHDGHVKLTDFGLSEVGLIDSTGDLSGPKVPTSEHKSERRDMAGTPDYMAQEILLGTGHGATADWWSVGVILFEFIVGIPPFNADSPEQVFDNIINRRIPWPSDPEQMMSYEARDLIDRLLTKDPHQRLGARGAAEVKQHIFFKDINWDTLPKQKAPFVPETEDALDTTYFLSRYASDKRSSPTNENGKSCESGSSGCLSNDHNDGVDERCGPAELETNVSENNPFDNFSYKNLSQLACINRDLVSKGQKHGIATTLYPRQQTNQCYNYL >A01p010310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5022122:5022650:1 gene:A01p010310.1_BraROA transcript:A01p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYALILSKVEKQMRHEQYTSFRILSLDPSFKKLSVEHTVSAYKRTKNGAILVDYYGTMVQPGSIRTTSSNETIQTLKSLFSDPKNIVFLVSGKDRKTLTEWFSSCDDLGLAAVAEIFACTVGQKQEKLNTIWMKRQNCQNA >A06p010840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4039917:4040339:-1 gene:A06p010840.1_BraROA transcript:A06p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFKISHFVLVILMVLAIGITLSEPLRVEANHRDRYGRLIASTRGRKGWSRTSAAMTCDKSPRVCRLKGSSGRACCRKRCVDLRTNKLNCGRCGKSCQYSEICCNGYCVNPMFNKRHCGGCFKKCNKGRSCAYGMCSYA >A01p005950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3074081:3079035:-1 gene:A01p005950.1_BraROA transcript:A01p005950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLGSSNGVGVPKKSRSLDLKTLYKSSISNDSVSKSLKRKSSPGNDGGKQDKRIRKVVSLSSFKQVGSEEDKLVDNACNGTTVLDSLEDSSVGLCDSNGLGLAGSMIYVPRRRRDFVGRSRFENGLVQKSAGESGSQEELIDKLLKEAGEESSVQEDQISKAEEDCGKEVKESNSVAQLQLENVHSDPLPVKDDQLVVKQKPCNSRKRKSSASSRRAGNNEAKSSSGRISKVSQEDDEENLEANAARMLSSRFDPNCTQFPSNPVTPGSPSASRLNPLSSGKNSAAPQSELFSSKSVSDDPDDRSLRPRRQLDGKSKVRRRRHFYEISYSDVDSHWLLNKQIKVLWPLDENWYHGFVDGYNGDKNLHHVKYDDRDEEWISLQGERFKILLFPSEVPGKNLRKGSSSESKSTPKIKGNDKSSKDEEKQKVKLEDDCCMGDMESEPIIKWLARSMHRDKSSTLKAVRRWKKSEIMTSGEPLKMNGDVVRDTRGQYTDRSASSLPSCGPSVNESFLEGSGFCKSSIYPLVYYRRRLHTAKKGIYKGSGDNSVEQLHVSKYPDPDVEFLPFEDSGPLEIYCPWNDRVPVELSLSLQVVSLMNYFFLADLDRLSRVALLLRHGTLVILWPRVCLEMIFLNNQDGLRYLIFEGCIMEAVQLIFHILTVVDHSNKQGVQGADADLKSPIFSIGLQVSFVPGLQRQFAFQFYSFHEVKDLKWSYLEHNFRRHSLLVKQVSASECTPDNMKAMQKVMQKRSRHGISSGFVSRRSSSVEALPISACYKKQSTPPFALHFASRPPTLFLNLHLQMVRELGQDSAEHLGTERNLVTDGGCDLADSGPTTTSSRGQEAQESGDLHAQSLRQHLGFNSENGMSCSSSVVRHKHETRSNVAMNGINIQVPVSDNSEDGALQSRNLASNIQGSTSSPKATAPRSMWQRSKSSLNGHLSHGWSDSKGEFLHSNLGSGPKKRRTQVSYSLPSGGSDSRNKGSLHKGLPNKRIRRSAADASVRRQKDLESSFCDANVLVTLGDRGWREYGAQIFLEPFDKNEWKLAVKISGATKYSHRAHQFLQPGSTNRFTHAMMWKGGKDWTLEFPDRGQWFLFKEMHEECYNRNTRAALVRNIPIPGIRMIEADSSDGTEAEFICSCSKYYRQTETDVEMALDPSRVLYDMDSDDEQCLARIRECSDAENSASCEISEDMFEKAMDMLEKASYVKQRDHFTSIEIQELMAGVGSLEAMETTIYEHWRTKRQRKGMPLIRHLQPPLWEKYQREVKKWELVMSKAHTPNSNGSSQMKESPGEKPAMFAFCFKPRGLEVKHRGTKHRSHQKKLSVYAHQHSTALGNYDAYNSSGRRGVGLASGDERFVYSNHHNYEHSEEFPSHHGTYSPRDLSMGYFSSGGNGCHQNKSQRINGKRNMSERWNARGYYESPGSNLVCVDAEELHSSSSRDTDEYKLREAAGAARRAWAIAKVKRERAERLRYKADLAIQKAAAALMFADGSMGRQHCNVNKSF >A01p011220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5463769:5464569:-1 gene:A01p011220.1_BraROA transcript:A01p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPVYAASFDSMESRKRKLNRVPLPYLIRPFKRQPVYDTYALYNDFIAYSSLEDECDDEEPSVKAGRTSLENASLSDTVSAGSLTDEEYVVVDNVEVSPNPKTERAGAIQMMELSKSVHEMTLDDAGSDNEDAGSDSDAWVVL >A01p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11008219:11008887:-1 gene:A01p022330.1_BraROA transcript:A01p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGENDGKRLVFVTVGTTSFDALVKAVVSENVKEELHKRGYTHLLIQMGRGIYSPPKVCDGADGSLAVDYFTFSSSIADYIRSASLVISHAGSGSIFETLKLGKPLIVVVNEDLMDNHQCELAEELEERKHLYCARPHTLHQTLTKMELESLVRYAPGDGTPVARIIDRFLGFPDD >A06p039770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21496873:21498712:1 gene:A06p039770.1_BraROA transcript:A06p039770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTQMNVFEELLVQTKQETTDDINTNYNNLSFNGGFDHHHHPHQQLFPNGWNIDYLCFNNEEEDENTLLYSSSFMDLISQPPPLLLHQTPLLPPPSASSPPLTSSVATTFDYPFFEALQEIIGSSSPSPPLMLPTSQEDSFINPTSYPSPLMESDQSKSFSVGYCGGETTNKKKSKKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDAIDYMKELLDKINKLQEEEQELGNSNGSHHSKLFGDIKDLNTNEPMVRNSPKFEVDRRVVDTRVDICCSPKPGLLLSTVNTLETLGLEIEQCVISCFSDFSLQASCSEAAQQRDFITSEDIKQALFRNAGYGGNCL >A04g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12253813:12261367:1 gene:A04g505920.1_BraROA transcript:A04g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYLFISEILYSRICIHNSEEKTFGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNESVLSQSRRNPNLSQSLAMEEVCEGKEFSFPREEENVLSFWTQIDAFKTQLKRTEHLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVENEIDKKLDIKRRDQVLQMGIDKYNEECRSIVTRYVEEWEKVITRTGRWIDFRNDYKTMDLPFMESVWWVFAQLFDKNLVYKGFKVMPYSTGCKTPLSNFEAGQNYKDVPDPEIMVTFPVIGDQDNAAFVAWTTTPWTLPSNLALCVNAKFVYVKVRNKNTGKVYVVAESRLSALPADKPKANADTKKANPKAKGGAKPDSVADSYEVLEKFNGDSLVGKKYEPLFEYFSDFSSEAFRVVADSYVTDDSGTGIVHCAPAFGEDDYRVCLENNIIKKGENLVVAVDDDGLFTERITHFSGRYVKDADKDIIEAVKAKGRLVKTGSFVHSYPFCWRSDTPLIYRAVPSWFVRVELLKEQLLENNKQTHWVPDYVKDKRFHNWLENARDWAVSRSRFWGTPLPIWISDDGEEIVVMDSVEKLEKLSGVKVFDLHRHHIDHITIPSSRGPEFGVLHRVEDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGHFVAEGLDQTRGWYVQVAYVKLSITISLGLLPPFNVLDGLVLTTVLKLSNESEANGRFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKKLRNYPPPLEVIDEYGADAVRLYIINSPVVRAEPLRFKKEGVLGVVKDVFLPWYNAYRFLVQNAKRLEIEGSGPFVPTNLATLRSSNVLDQWIHSATQSLVHFVRQEMDGYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEDDCHIALSTLYNVLLTSCKVMTPFTPFFTETLYQNLRKACEGSEESIHYCSFPEAEGTRDERIEQSVTRMMTIIDLARNIRERHKLPLKTPLKEITVVHPDAEFLDDITGKLREYVLEELNVRSLVPCNDTLKYASLKAEPDFSALGKRLGKSMGLVAKEVKAMSQQDILRFEEAEKVTIAGHTLELTDIKIVRVFKRPDGLKETEIDANGDGDVLVILDLRADDSLYEAGVAREIVNRIQKLRKKSGLEPTDFVEVYIESLDKDVSALRQVLNSQEQYIKDTIGSSLLLSTLMPSHAVILSEESFQNVSKLSFKISLARPALKFNEEAIIALYSGDVKFASGLQAYLLSRDHSNLKSEFQEGNGKITVSCVEKLPAVTVVLGDHLHLTVGDYLLSTTNS >A05p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1814439:1816431:-1 gene:A05p004700.1_BraROA transcript:A05p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSLNAEMSKKISFFGLKGLKLWVWVCLVVGVFMVMILCILSLWITFRRKSRRSFSQIPHVSKDIRVDRAAGFQSHSNPQPESLYIAMNDNKSTMMSHLARTKSSDNDTLSRCSSVNNHHERACSSHSGDEAGFGSVGRQYGGGLVTASPLVGLPEISHLGWGHWFTLRDLELATDRFSAENVIGEGGYGVVYKGKLANGTEVAVKKLFNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNSGNLEQWLHGAMRQHGTLTWEARMKILVGTAQALAYLHEAIEPKVVHRDIKASNILIDDEFNAKLSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANSGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPRLEPRPSKSALKRALLVSLRCVDPEAEKRPRMSQVVRMLESEEHPFGQERRNRKSRTASIEIVETKDGSLSPSGTETHITKA >A10p029560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18075942:18076746:-1 gene:A10p029560.1_BraROA transcript:A10p029560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAQNKIKKDKNAATTECDELVAQALFDLENTNQELKSDLKDLYINQAVNMDIAGNRKAVVIYVPFRLRKAFRKIHPRLVRELEKKFSGKDVIFVATRRIMRPPKKGAAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRLDGSKIMKVYLDTKERNNTEYKLETMIGVYRKLTGKDVTFEYPVEA >A09g502550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8513219:8513443:-1 gene:A09g502550.1_BraROA transcript:A09g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAGRVSLSTRSKDGLKDRYGSGSCWTYVSLKIGQGMEWIEGLHKEQWIDSLICQTATLNSFTQLKDLGFLC >A09p079100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58308054:58314209:-1 gene:A09p079100.1_BraROA transcript:A09p079100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFSSRKRSRKESKNPYSDLGLEKFSALLSELDEKRQNIYSTRVDSDGPPLVRFVFKSSGECVPIMIKTKKVTKKKDSQDDLNVKIETKVEEENETKKTELVTEQKQSCVLNENLKKISRPKHFLPVTTILVLIFFVFFGRTVSIMCTCIVWYLVPLIKEHCRRRGSSQHETEKKNKKKFPHVGDPGKTRGGEIEKEYKVANREKQSCVLNENLKKTRDNDLGIDLLGVLWMNRVDSNITEGEDHNHTRQKRKTRRDLRAMDASMITNPKSIVSLPSLFMARSGGSIRSSQCNVTMGQTVSFPRQKTLTLKVSRNVKRKSGGGAFAATCSSGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLLIPNVLSAAISRGCTMLHPGYGFLAENALFVEMCREHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEGVRLANEIGYPVMIKATAGGGGRGMRLANEPSEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQILADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIYSVDLIEEQIRVAMGEKLRYTQDEIVLRGHSIECRINAEDPFKGFRPGPGRITSYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTRERAIERMKRALNDTIITGVPTTIEYHKLILEVEDFKNGKVDTAFIPKHEEELAEPHEIVPVKDLTNVAA >A08p024170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15754417:15755817:1 gene:A08p024170.1_BraROA transcript:A08p024170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEYGVNIASLEMDRDAEASSESESESTLSNSPESGLTVESSRGDDADAKKMEECGGWTNERHNSYLEYLENSFVRQLYSLLGGEERRRLSTARDLQSNSHISTDDQFTVLQNGCRQKVNFGKKRPHLETSSSRQTPLGHEYPAQSTAGNVLLSLEASGQNFREEVGEKECNSRASRKRRREANYDDSSLNDQDGEAAHRK >A02p042300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26511510:26519907:-1 gene:A02p042300.1_BraROA transcript:A02p042300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPIPKPIKDIWDEWSIRTTLIFSLSLQTFLIFFAPQRKRTSRKVLLSLIWSAYLLADWAANFAAGQISDSQGDDAEPGEPKNNSKLLAFWVPFLLLHLGGPDTITALALEDNELWLRHLLGLGFQAIATVYVFLQSLPNDLWRPILLVSATGVIKYVERTLALYLASLDKFKDSMIQRPDPGPNYAKLMEEYAAKKVMKMPTQIIKIEEPEKDPKAGAKVKPEDLTELNILQYAYKYFNIFKGLVVDLIFTFQQRAESKRFFSELKPDEALRILEVELNFIYEALYTKAEILHNWIGVIFRFIALGCLIAALRIFQYKDKKDYGDFDVGLTYALLIGGIALDCIALIMFCLSDWTFVRLRKMKDEVDDPDNNFDKFLNWILGARGLKTAKYRCCNANVKTCHDVNNKLNWFDKIIKPILIFMRLKKDEDVEKDRTDKTCHEVLDTFFMVRRWSEYVHAHNLLEYCLWITPKRIHHTKGFIHMSFDWFFAVFRIGVVFEAIGKAIAFCFHSIKQAGHNVYKWFDNKISVLCKNRKWLKEDYIRSWIYWTFVIVHLLGYLIRKFMDFFGIQAQFEEIIFTSSDRVTLDLWEHIFGEVLKKSRFADDSESAMRVSSARGDWSLRDIQGEDRETEKKREKLLRYVMEMDYDQSLLVWHIATELLYQSEPATEESHSDREFSKILSDYMMYLMMMQPTLMSAVVGIGKIRFRDTCEEAKRFFDRRHIEYGDIKKASEAILSVTAPAKAEPIDVKGDRSKSVLFDGSMLAKELKGLKELPEPKELNGLKKVKGEAYMWEVVSKVWVELLSYAATKCGAIEHAAQLSKGGELISFVWLLMAHFGLGDQFQINQGDARAKLVIGKEQTIKNTDWSKDMTNEEAVLKNRERERVERKKPHQERATTMVDVIPEHIKDVWDRWNIRGAIILSLTLQAILICFSPLRKRTPRRLLIMLVWSSYLLADWSANFAVGLISKNQGKELKKDDPPQDKKLMALWAPFLLLHLGGPDTITAFALEDNALWLRHVFGLVFQAIAGVYVVLQSIPNSLWLIILLVFISGTIKYLERTTALYSASLDKFRDSMIQAPDPGPNYAKLMEEYKAKKEARLPTKIILIDEPDKENRPKKLVHPAQASEKRKEKEKSKLTDLEIAQYAYKFFNTFKGLVVNLIFSFRERDESLEIFENLTDPEEALRIIEVELGFLYDALFTKVAVLHTVIGTISRVVASGTLVAAFILFHKKPNKGTEFHPADVVVTYTLFAVGLALDLISILLFLFSDWTCAALSSLKDDPDEVLSPKDQFFNWLLSLRKLSWTMQECNKEGDDKCSKHEVLTTGFFLRRWCGSINVFNFLAYATNAEVARIHDARGKLRRYAWTAFTYPFEKLSFIIQTLGGWVPKLINAVHRRISHKVNETSRKHPWARSTIYPFYFGFLSRIPHFIKFVWDKFSDFFDISDMLDMVYKTLFVHGEPMTKELWAFMFNELKYKSKFGDSPENAKRISLARGQWTLRDNLPEDADRAKLVGYVTNFDYDQSLLMWHIATELCYQQEETIPEGYDKSKHYSNREFSKIISDYVMYLLIMQPGLMSEVAGIGKIRFRDTMAEADKFFHRRHIENVRDVKIASKTILDVSSDIDPMGVKGDRSKSVLFDASRLAKDLRQLEERYGKDKWEILSKVWVELLCYAACHCDSTAHVEQLSRGGELINFVWLLMAHFGLTDQFQINKGDARAKLIIGK >A07p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1564569:1567853:-1 gene:A07p006630.1_BraROA transcript:A07p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSLQITVQYSKEEKPKVEVKYIILQTGGVKSLIGEGQQNQEWFRSYRAIRFSARDETSYIFMFLMVYQNHKKKSWKKRNHECQILLSQNCLEQKELSLLGSLTLLTTKQTEKSLSHPMVLIKAMTKPPPFSLPAFDCFLLFFFFFSFSSVVLALTDAEASFIAQRQLLTLPEDGDLPENIEYKVDIKLTFPNQRLKRAYIALQAWKKAVYSDPFNTTGNWHGPHVCDYTGVVCAPALDDPNIAVVTGVDLNGADIAGHLPAELGLLTDVAMFHLNSNRFCGIIPKSVSRLKLMHEFDVSNNRFVGPFPSVVLSWPALKFIDVRFNDFEGKVPQELFKKDLDAIFLNNNRFTSTIPESLGESSASVVTFAHNNFNGCIPKSIGNMKNLNEIIFKDNKLGGCFPSEIGKLANVNVFDASMNSFTGVLPQSFVGLIRVEEIDISGNKLTGFVPENICKLPKLNNLTYAYNYFNGQGDMCVPGSQKDIAFDDTRNCLRDRPKQRSAKECAVVISRPVDCSKDKCAGGGGSSPTTPSKWTPPSRVPTRPVRKPRPSKESPKPNAPQNQSPVNFKRSPPPPIVLSPPPSPLVHSPLPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPPVHSPPPPPPVHSPPPPPPVPSPPPPEPSPPPPVHSPPPPVHFTPPPEPSPPPPVILLHHRVHSPPPPVHSPPPPPVHSPPPPVHSPPPPVYSPPPPVHSPPPPVHSPPPPVHSPPPPPVHSPPPPPPVHSPPPPPPVYSPPPPHDYSPPPPVQSPPPPVYSPLLPLVHSPPPLVHKPQTPNDSPEPNDPFDQSPVKFRRNPPPPQQSHPVDSPPSPFHSPPPPIYSPPPAPVQSPPETPVNSPHPRAPTRTVEAPLPSEEFIMPTIIGHQYASPPPPMFPGY >A05g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25093760:25099585:1 gene:A05g508590.1_BraROA transcript:A05g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFTSPIKPRMVVVIFTPSSSFSSVLLSLLSLFPTMNPLNQHSQSYGYMGLLQRQQSGALHENSPYESFHSEASDFPQFINMGRYSYSQPSQSDTYGGGHSDSDSNEIEALIHEDQAQLELVNAQQVVYPPQPETEFGFPKACYCGTQPKIATSYSRVDPGRRYYTCSNVDDGECHVWKWWDEAAMKEIRATERHTQLLAEKVDSLLSLTDYETEQKLVRLENMVCELGKSYARCRFDYFVAVTSRRRQRRFVRRFLTANEILSVSSQDETVLLSPRSTSFDSPPFSNQKMANPHEPHFFKPLLPGFHSGVTIPLGFFSQYIEGKTNQKTWKLRSDASDQTWEVIQEGRRLTGGWKDFTTSHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDVADDGAVDADDNENHHKISGSGAMSSSSFDYCFMAEVTASNLKADKLSLPVAATTCTALNKQCQETILVNKEGNSWTVSLRFSESGGMYYITRGWRKFCLDNRCEVGDIFVFNVVGDGKTTPLMCVCPEKKECSELLSKHLGRKSGRRL >A09g516160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:47746102:47746908:-1 gene:A09g516160.1_BraROA transcript:A09g516160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSYSGEHRFILTDRGPKISAVGIEEQQNNVDDPPSLIVKDITLTKVRPCEAIKLYRAVHCDGLLLYVMENQLLVRNPLLKETTWIKCGSDFHQRDDAYSLGYLSHCDFRILRFRCASNSRNRPSRVEVCEVASKTWKVIDNISFDWFLSVPLSILSLRGTPYCIGLREDHTAFVQSYDFSGERFQPIDDLPFSYDEMNPIALEIYKGDRLSVLEQCHKTRKICIWVKHWLMLTSWTKLVVVDIPEFPLLYPRPSLINFYELLFRQKR >A05p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4727953:4728732:-1 gene:A05p011130.1_BraROA transcript:A05p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPSMSPSSISTVKSPPPADTSMAIVAFDNSTTHYSSSSPSPPHALSESDEEERRSSRRSKTPVKEEPFASMAHQTPSPIVVVHNPSVKEFVPPPVATTRKSARVGSGRRTGGGGQRSGAVLAILKRSKREEVVKYSALGFRLSEVVLALISFSVMAADKTKGWSGDSFDRYKEYRFCLSVNVVAFVYSAFQTCDLAYHLVREKHFINHHLRPLFEFILDQASLSLTLLIY >A06p050600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26603958:26606313:-1 gene:A06p050600.1_BraROA transcript:A06p050600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4B1 [Source:Projected from Arabidopsis thaliana (AT3G26400) UniProtKB/Swiss-Prot;Acc:Q9LIN5] MSKPWGGIGIGAWADEAEKADEEQAAEASADVQSFPSLKEAASNVKSKKKKKMTLSEFGAYAAPAGRNSIGLTQQEILQLPTGPRQRSEDEMQPGRLGGGFSSYGGRSGGMGRDRNDSEGSWGGGGGGGGGGRRPYGGGFDDDRRGGSPRVSEFPQSSRADEVDDWGKGKKSIPFDQGRQGGRYGGLGGGGGGSFNGGGGGGGGSYGGGGFSKADETDNWAAGKRQAPVRSSTFGSGYGDSGREPDRWSRGVAVGGVQEERRRLVLEPRKVDSGGASETPPAGAKTSKPSPFGAARPREEVLAEKGLDWKKIDSEIEAKKGGSQTSRPTSAHSSRPSSAQSNRSESLGMNNVVKPRPKVNPFGDAKPREVLLEEQGKDWRKMDMELEHRRVDRPETEEEKMLKEEIEELRKKLEKESIAPEIKQSDQEPGTNNNNHHDLPETLRGKEKALEILTRELDDKVRFRQKPVERPGSGACRTGSYSERTHSRSGSIDESRSFESTERPRSRGAVDAWVRPVDDQRRNFQGSKERGFFSNRPSSREGW >A03p067420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29655287:29657191:1 gene:A03p067420.1_BraROA transcript:A03p067420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKILPILKYSYDNLADEHIKSCFLYCALFPEDYEIVKESLIECWICEGFIGEYQVLKRAVNKGYELLCTLIRANLLTEFGTIKAGMHDVIREMALWIASDLGKQKESFVVQAGVGLHDVPKVKDWGAVRRMSLIGNHIKDITQPISMCSQLTTLLLQKNGLDYLSGEFIQSMQKLVVLDLSRNDIIGGLPEQISELTSLQYLDVSYTNIRQLPASFRGLKKLSHLNLTGTERLCSIRGISKLSSLTSLKLLNSKVHGDVNLVKELQHLEHLQVLTISISTDAGLEELLGDQRLAKCIDSLSIRRLNITLDVQLRPIYLSLLMSMENLRHINVTNIDVSEIDTNENWRKSKRNSSGLHNPTVPYFFTNLSTVGIVDLNGMTDLTWLLFAPNLVKLHVGNSEEVKEIINKKKAKKVTGTSPPFQKLEMILLEGLPKLESIYWTPLPFPFLKKIVKLECPKLRKLPLNATSVSRVDELSIVMKPEEEAQLEWEDEDTKNRFSPLISLGREM >A10p039170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21732084:21738717:-1 gene:A10p039170.1_BraROA transcript:A10p039170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RNA-directed DNA methylation 3 [Source:Projected from Arabidopsis thaliana (AT5G04290) UniProtKB/Swiss-Prot;Acc:F4JW79] MDRKGRGKQIAGAGSSSGKKRKGGGGVEFRDEGLRINSKRKKPGVLQFFEESAEVGYYGGSSDEECDMDDLFNDMEDEPEVETSGKDDKGGKGKGSSSFVFPKEEEMNEDDYDRMMEERYKPGSGFVRYAADDVKSSIEMDALVPTAHDPPIWKIKCAIGREKHSVFCLMHKFVELRKIGTKLRILSVFFVEHVKGFIFIEADKEQDVLEACMSLNGIYATRMMLLPKAEAPHLLTVQRKTKTFSEGTWARIKSGKYKGDLAQVVAVSDTRGKALIKLIPRIDIAALTQKYGGGVIAQKGLNPAPRLISSSELEEFRPIIQVRRDRDTGMTFEHLDSLMLKDGFLYKKVSLDSLTSCGVKPSKEEILKFTPLEEKETGDVEWISEIYGEEKKKKSIPAGKGVEKGEGSGGGKGEGSGGGKGEGSSESKSESSHELYNLVCFSRKDFGLIVGVDDKGDGYKVLKEGSDGPVVVSVGKKEMQEPFDSKFSALDLNSKQISIGDVVKIAKGPSEGKQGVVRQIYRGIIFLYAEGEEENGGYLCCKSQLCEKVKLFTEESNDKTGGFDASAFGDSTSSPKSPLSPEKEWQQQPKEKYVNSNQGDKGSMYSIGQKLRIRVGPLKGYLCRVIALRYSDITVKLDSQHKIFTVKSEHLAEVRDRNTKPSTSVDAGMGSFQQFDMLGTTEGNNGDWAKGAGTSEDNTSTWGSTAAENKPDSAGDQSGGWNAWGKPPAPEASTVGAWGDASTPKVEASWGKQGASTSNVEDSGSWGKHGGSSDGNKQNDDSVWGKLVEESSQKKEESSWGKKTGSDSDLGKGNKESTWGNKDGNSSASNKEGVSWGQHDKGSDGNKGGSSWGKKNDSVKDDGGSSWGKKDDGGSTWGKKDDGGSSWGKKVDDVIKDDGGSSWDKKVEGNKDDGGSSWGKKIEGTKDDGGSSWGKKVDCNKDDGGSSWGKKVEGNKDDGGSSWGKKVDCNKDDGGSSWGKKDDGGPSWNKKDDGNKDDGGSAWGKKVESGSSWGNKDGGSSWGNKDGGSSWAKKDDGGYSEQTFDRGGRGFGGRRGGGRRGGRDQFGRGRSFGHSEDQAPWSKPGGGGGSSWGKQDSGGGGSSWGKDNDAGGGSSWGKQNNAGGGGGSSWSKDNDAGGGSSWGKQNNAGGGGSSWGQEKDGGGGSSLGKQGSDGGGSSWGKKNDTGGGGSSWGQDNNAGGGSSWGKQASDGGGSSWGKKNDAGGGGGSSWGKDNDAGGGSSWGKQGSDGGGSSWGKKNDAGGGGSSWGKQGSDGGGSSWGKKSDGGGGGSSWGQENNAGGGSSWGKQGSDGGGSSWGKKNDAGGGGGSSWGQQGGGGGSAWGKQNNDGGGGQSWSKQDDGGSKPWGEQSGGRGFGGRRGGGFRGGFRGGRNQSGRDGGGRSFESSGWKRDNQENTTWKSNQSGGSDWKKGWGEDSNSAKPSDSSSAGGNWGSWDANSKKETNAGGGWGANSKNETSTGGDWGSNSKKETNAVDGDKPSNENKAAAAWGTPANDQENAGNNNDGWGKKPSDDVKTSGAADNAWGGKTNAGASSSSGSAW >A02p007920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3325402:3328394:1 gene:A02p007920.1_BraROA transcript:A02p007920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVTEVNRKYYDRDVCRLYLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYREAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLQEEDAKAAIAISVTEVTQSPEIIELSKQIKEKMNEADLHDLEGKTDMKIRALELVEEMRTKRADLQAVLLLEAFNKDRASLPQPIPAAALPPPDPRTQEMINEKLKKAEEFGEQGMVDEAQKALEEAEALKKLTPRQEPVVDSTKFTAADVRIVKCNVLIPALYRISSLSVTLFYQTDQKLRLCDICGAFLSIYDNDRRLADHFGGKLHLGYMLIRDKLAELQEAKNKVHKERVEERRRGAESENQVETEEIAVTVEEMLTVGVEIATGIMTTVNMTETMTQEAGGTGHGLGKDTGIMIAAADAVTATKTLPETVAAGLRGGFTDAVRIKLELQTLFRSSYVLKRFLKLYGAKFVIRVSWDAFYMLMTSNTCE >A02p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23374466:23375710:1 gene:A02p039700.1_BraROA transcript:A02p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTKQLTAYCHVCLISDMSCPDKTCIRGIQLSHGAADLTKNDNFQFLVRFRASFYSETHRPSPSSESHCLSILNHTVSVFEFKTCKVTTNNRRKRGSPCFSRRCDFEFVKKACGGYFNWVVSLSVLVHMMAFADADWIFKLAWKLDDMKKKVLASVSTTSIPIHAFVEGIHVHTSPVHNSSIPNSPVHISFVDTHRLETTLSVYV >A06p028930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:95657:99060:-1 gene:A06p028930.1_BraROA transcript:A06p028930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTCGDSHWKDSNELLAAYTGAPSNRGNNDQEYLRKADLDALIKLFKENGNTFGYSFGARARENHKDLTRTDRMHESLIDMTSNLEHEGGNETRTPNREETSREESSGSHDQAVESDDQEEGAEE >A05g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11014207:11014464:1 gene:A05g503900.1_BraROA transcript:A05g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKMNSSEYTEEFPRQFRGNTKFGFLGISSEYTDGIPRKIQFVGIFRRNTEENSVRRNIPTEYRGK >A06p006920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2386745:2388328:-1 gene:A06p006920.1_BraROA transcript:A06p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHSEFDLLAAETKLHCSAHHSCGYVRMKIRERACRGLHLLSGGETSGTTVTFVIIDGWTITVGSVGDSRCILDTQGGVVSLLTVDHRLEENVEERERITASGGEVGRLNVFGGNPVGPLRCWRWFVSFELSDAGGRLIIASDGIWDILSSDMAAKACRGLSAELAAKLVVKEALRTKGLKDDTTCVVVDIVPSDHLTWLQLLVRSRTHFTAFLSRTKHSDTNNKNGNKLSSVGVVEELFEEGSTVLADRLGKDLLSNMETGLLNCAVCQIDQSPAEGLSCNEGPTISSAPKRWEGPFLCAECKKKKDAMEGKRPSKGSVTTCY >A03p010950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4367969:4371509:-1 gene:A03p010950.1_BraROA transcript:A03p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGLSKSLGFSSSLKKQQGIVTILGGSSISSAPSLRRTFSADLSSKNWLTQNGTPPMKRISSSEKLHNFGATDSLSSQDEEHGSRSGVDIWTQIQEDKNKKEHETEPSQTDVWSSILSDKKKMTDTETVPPPYVHPLVKRASSLSEKSLEICTESLGSETGCEGFSSYASSETGEAEENLVLEVTVTKEEEETEFVVEVEQEQVTVPNQTSCMEMPRGSFPPPIRSLSSQSGSALHMKTRRDNGRLVLEAVSMPSHNNFSAKRQDGRLLLTFAEIEEKEDETDEVQWFDEEEEVEEAQDEWAYKPNGLLYKVAQKPIGPITVHRLACKPIGVPKINSRWPATDEFETKTDMSTPVVHSLPPRPRVAQLARSMKPPSTVDDTVGAACFNTCDYSWKPTNNEVLGGNTKPQFQAQDYVHKSMGVVVTHDLINGCKEPRRSLLSVEPSRSTVRNQTQVLACKNLWIGQCMYVLTVEDVFTALCLYSSVVSSDCYIVTTQCSKACYLQFHICGKHEQIYEQSEEFQKELKLKVREILTDQEWRRRKMVMRISEEEGRLKKDEEEQKEIWKKKREHEAQWEGTRENRVSSWRDFMKAGKKAKKGETRPPKLKTEDPNKSYVQRPVKKG >A05p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10027986:10029050:-1 gene:A05p021160.1_BraROA transcript:A05p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLLFKTEAEPEFFRHRLLLLSARNFSSLSSRVNFGVVEKKKAVLYHYPCHDCVFAALTPHLYFSANSIPSLTARFCPASLSQRRQCSHPCGIEDADIWKWELPGSKAFNSGIVDLGIEYDLNQNQTLFQKLLSLDHESVINRGRESLSRKHKLIQEALEQSYEIVLGGDEEFGWCLAVNADENAELRSELGNQLAEKRKRMRLRGVGAVVYRVPELEDAAKLKISLRSVAEEDTTQVSQRFGGGGHKNASSLLLSSVELEQWKVKRN >A06g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17943674:17947354:-1 gene:A06g506350.1_BraROA transcript:A06g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFVIRTNDQTRPRQRRGRGGTGSQSRGSSSHIQDSASPHSSYHTSPSPFPAPAPPAPAAAPAPAPPDPPGVMSVAELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSALDKEHPTFTDFPTDKQHLWFRQFANSTGILMIRSLSITTKVMDNYGKQMHEWKKKWEINKVSNSMNNTVWKELCVHWDKEETKETSSTNSTNRRSERKGKGVFKHNLGAQSIATLGDRMAEENDGEPVDDLALMKKAYTNKKTGQINDGLVRDVVTLVQTQVQDEVSQLQTEDDDSTASTNVSRVRINEIVESKKGRLVGLGHRSRSAAPFSAPPPFVDPEVLTAQLKDKDDRISVLETQMAAQQAGYEAQKRLNEQMMEMMKRMYPNEVFPNVQDPLTERQRRKPKSNEQNKEM >A09p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6504096:6505450:1 gene:A09p012800.1_BraROA transcript:A09p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDATSLISALVMLHLCSGSVQTLSGVDIAASSNLFATNRVFTQLLPRLSELNLYPRQIMPRQSELRFERFQFFTGEKPTKPRASAIDVKETVICAGVQENGKLPTKNEPKIGLSLQNVRTVKVEGLPGLFRYRFTKQQSTPYKVVLLQCTMVVFFPILEQHNGNSYDYRRGRKVIHCQRM >A09g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12089586:12091196:-1 gene:A09g503830.1_BraROA transcript:A09g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFAGKTNKEGAPEKKKKNESAAEKKKAVAEKKKAAAVKRRREAKKTETAEKKRKQDSSSSESSSNPTKRRRTASSPEQHSDPDHSPAPSAELLSQDDREGTPSPSFPIEPQKAPTQTPSEAENPLQAPITSNNREDPNRESHSPEAAINNEAQRTIGSNNSDSNPPEAAIGSATIDNDAPRTPCLGCILHSLSLLGVGVPHGVLGDIWMHLELKGREIGDHWTSRAWERGSAAIATCPERRPEVARISHPSQSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRGLLVISLCTFYISKTYVLNIFCSHQADYLLIYLRNTQKLSGEVHLLDFDCCVLVDFLSISLHDYSEIQYGFKRNHGDYNHNASSELATQLLILRHFSPERCSMKCPRQLS >A09p017230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8913674:8916349:-1 gene:A09p017230.1_BraROA transcript:A09p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVATNSVKAECVGREEQKQREGKILSMAAIASLQAIHLNFGRRGSIRCGISEPSGEPAPIGQKTRYNDGLAERVFMGLFARKMDKFGGSKKKNEMKEKGFWDYDYESFVEVSKRVMQGRSRTQQQEVVREVLLSMLPPGAPEQFRKLFPPTKWAAEFNAALTVPFFHWLVGPSQVIEVEVNGVKQRSGVRIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFDDMSCEMIYGQAPPAFEDDPATRQPCLADISRVYKTEQMERSHETMMTRIPTSDPHNTTSDIMDSVWKLYDNPYYCCSQSQEHQHQRKSFIWDLNFIRVFMESELEKARAEIKGLKAELNYERKARRRAELMNMRLAKDVEDERKGREAEEMQYKRLLKERSSEKAEMVRMRQEVEEERQMHRLADVLREERVQMKLSDARLFLEEKLSELEESNKERDKERNMIMKTKILDRASSTPASGRCENPHIKRGIKGFVEFPRVMRAIRSKSEKWGSKIECQKVQLKTLLRQKTTPRCASIHSSA >A06p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6268419:6270606:1 gene:A06p013830.1_BraROA transcript:A06p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMMSLTTSLPYPFQILLVFILSMASISLLGRILSRPTKTKDRSRQLPPGPPGWPILGNLPELMMTRPRHKYIDIALKGQKPEIACFNFAGTHAIVINSDEIAREALKERDADFADRPNLFNMRTIGGNHKSMGNSPYGEQFMKMKRVITTEIMSVKTLNMLVAARTVEADNLLAYLLSMYKRSETADVREFSRVYGYAVTMRLLFGRRHITKENVFSDEGRLGQAEKDHLDAIFETLNCLPSFSPADYLEKWFRGWNIDGQEERVVMYCNKVRSYNNPIIDERVELWREKGGKAAVEDWIDTFITLKDENGKYYITPDEVKAQCVEFCIAAIDNPANNMEWTLAEMLKNPEILKKALKELDEVVGRDRLVQESDIPNLNYLKACCRETFRIHPSAHYVPTHVARQDTTLGGYFIPKGSHIHVGRPGIGRSSKVWKDPLVYKPERHLEGDGISKELSLVESELRFVSFGTGRRGCVGVKVGTIMMVIMLARFLQAFNWKLHPGYGPLSLEEDDALLMAKPLLLSVEPRLAPNLYPKFCP >A08p038410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:2170:3502:1 gene:A08p038410.1_BraROA transcript:A08p038410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDRTQQLRGSRIAIAILVGIIIGCVCALIFPNGFFNSKSNSSLTVNERVQVGSSSCESSKTLKSDFASLSEKNNELKKQLRELTEKLRLAEQGSDNARKQVLSLGPQIKAGPFGTVKSLRTNPTILSDESVNPRLAKILKSIAVDKEVIVALANANVKAMLEVQIASVKRLAIKNYLVVALDDYIESFCKQNDVAYYKRDPDKELDAVGKTGGNHAVSGLKFRVLREFLQLGYGVLLSDVDIVFLKNPFSHLYRDSDVESMSDGHSNMTAYGFNDVFDEPAMGWARYAHTMRIWVFNSGFFYLRPTVASIELLDRVAERLSKAKLWDQAVFNEELFYPSRPEYVGLHASKRVMDMYEFMNSKVLFKTVRKDEEMKKKVRPVIVHVNYHPDKLNRMRAVVEFYVNGKQDALDSFPDGSE >A08p041340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23341304:23342760:1 gene:A08p041340.1_BraROA transcript:A08p041340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKGSETECSEESSCAAASRTTNFRSHFSLEGYARLKKRCKENDSVGSFKRRLAGVATAPPCGASSLVSSGRGLKRKILCVDVSTQTGRKNKIDDDYVFGPSIGKGNFGSVRICRSRNNGMDFACKTLKKGEETVHREVEIMQHLSGHPLVVTLHAVYEESDCFHLVMELCSGGRLVDQMVKCSEQRAANVFKELMLVISYCHEMGVVHRDVKPENILLTGGGKIQLADFGLAMRIAKGQTLSGLAGSPAYVTPEVISENYSEKVDVWSAGVLLYALLSGVLPFRGDSLDAIFEAIKKVKLDFNSGVWESVSKPARDLLSRMLTRDESARITADEVLSKPFSACRHPWILFYTDMTLKTMCIKSKHKGQAGPPPPCLQIRSLNLNRTNIEKKTTSDSFSNTEEEEEEDESGVVDALVVAISNVRISEPKRIRVYSPTNIPPMEQQHSSNLTATNTLCRAF >A06p033870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18290669:18291259:1 gene:A06p033870.1_BraROA transcript:A06p033870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNRSVVSIKRLVSIAMDRNHKEKEKEKASGLYADVVSPDQIRVGFIRFIESVGDLALHIPDLLPPVFLARANKTLPESSEGFQVILTVEKSYLWAAHHEELVENRLGGSTLVTVEEKKNRKRYQKFYRNTWRIVTLVQLVGASES >A09g501190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3837506:3838999:1 gene:A09g501190.1_BraROA transcript:A09g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIFFIVMLLSISVTYFAPVFKLLLRDGGTLRLPHRRLNRRHLTSMSGALSLWLMVSGPEVPSLPLSPTAATTSLPDLLPTAPEKTYASLVCGLETIRVISETSACRRAHPDTSAATINRLTRLSPLAGRSDNSMSQIWYDGAPTPKRNLEPTHHLCSVASPVTGYRSSPSAVTTLTSSHQQLAFLPPQLPQSPQRDLITDLNARSHKKDVDAMLLRFDLSKSPWFWYGNAKVQRLGSFKTSALSSHLIIFSVSAKVNSASKSKLSIDYATVAHQPKVQFIHLSLLVIVCLGKSMLAITTNEPITVTMSLFFSVMASFALPDEVSRTGVYGSTLSDQATLMKSLTSAAQAPTMVTMLFVSLLNSPMESEKKRISTASLSRLSIFLLLGCIEIHIVSRGNIDGCRAVFFRLTGALASVGFPLLFKPLSLGYFNVFSDYLKLFRAVVSRIQVKIICGSLYFELVSPCNTSILGFIVSVLLLFILPSSIPPVINVVMP >SC163g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:164096:169504:-1 gene:SC163g500140.1_BraROA transcript:SC163g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALAKGCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACEQSQPWD >A01g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6378543:6380098:1 gene:A01g501760.1_BraROA transcript:A01g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPPLPPPPDPNPFANQPGRHMDFSASRALHRSSSLSPLLPDLPGVPLCPPASYPPVAHTTTPFSFKENGSPSNTASLPEGIEKVTGHNTLDGTTNESVTWSSVGKIPDSKAKVTISPEGRPRVKIPQAVFERGAKLHSDYIVGIFYGKPPSYGKIWGVLNFLWGKDRRVTIQHLAKNAYLFHIPSPSLRRKVLEHELWRVGDSPFFVTQWKSEFSYNPPALDRAPVWTTISGIPFDLITPEGLGFICRPLGKAVDYKPFKSVTSAEVKIIVNLTKPLPKELEVECEDGKVLVLQVTYPWLPPLCPLCNEIGHKKELCPSAPPSDPPKNKSAPKSKSEWTKVSHDKRKQDKVKETHKPPTPQVVPTPPVSVKGKEAVMEEAVSTNFSEPISLDPQPSFSHLGLPRSEEILEEVQITLNQCRVGDGSTSTTTVSNSFAALCSEEAMAEDIEHSPLAMVIHNPKAHNSSVSPNRKRLKRQRASPSPHSSPGTGGVLLLHGGYRHSTNL >A01p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8432672:8438173:1 gene:A01p017030.1_BraROA transcript:A01p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTGDRRWGTARRSGMTILGKVAVPKPINLPSQRLENQGLDPNVEIVPKGTLSWGSKSSLNAWGTSSLSPRTESGPGSPSHLSTRPSTGGSVTRSRPSTADSDKAHDSSSTWDSTSRPSSASGVLPANQASVALQRPHSADTRPGSSHLSRFAEPVSETSATWGQHAPTKKDGFSLTSGDFPSLGAEKESSEKSSVPQDAARPASSSGRSVEEREANVRIGDDNTWRRDDQPYSEDAPRHCREAGQLDSRCPQSYPNANFPHQYDGWRGPPVNNHQGGGWYRGNHPYGAPMGPGGFPIDPFPFYPPQVQPTPGHEARPRGNHPANGNMFRPPILDSYVHPRMQTRPGFYLGPVPHEGYYGPPMGYGGPSNRDLPFAGRPAGPHAYSQHSGQGGYDTSGSSVGLEQNEPSHPQERQRQYKVLLRPQDGRNGEDETKREGILGNRLPNAEVVAHQMQNSKNNRRGNNNEASDEVQPIRAENAAPEDPSLIQKIDGLNSKTRNGDGWQNASSVVNRDQQENKTRTVNSGNSVDKVSGRMPRTGHASDGTNSLHYKHGDLATNKNSELTAISGTSISRRSTQQTQGRADHQSQQRVKNEGNDGWRKTNVMSGSSPATLASNSESFAEVNVGDSLDTGSVGKPVPGISVDPNDNQRTTMRELARQRAQERQKEEEERARDQRAKALAKLEELNRRSQAAEEGSASNASIANIPEVPRSLSPARMVAKSTESTEESGKPSMQNAMTSTEDAHYVDPNHQDNLPRHRDGAASKQKRLGYKQKQHIVFEKKTAGNSFSEATTEVSDVVPSPEVSNQGVISHNSDTPPTSSVSTEPAFTKRKNNRNGKKKHKVDEAKLMNTTRAAVGKETKSGDESIEIGRVRAPEIKFGSFPDPSLDIKVSGNSSDQISSSTNEESQSRAKTNSKTQHLRKTPRNTLVNKPADKFPGNSTVIWAPVHPQQKADSSTGVGSQSAVPESSTSSKSLHQGQTSSRSKRVELERYVAKPIVKETAEQMVSKNPVTASPEMAENVLQKENCGGEEGKGILQPPGSPLKSRHGNGRQGKHGRGSAASTKALEDGRLGTSNQPIRGTVNYHTSNQTGQISVDSSKDRTASSTDGWNDGWYVTPETHHSAATAEEMEASASRTIAVGKDQGMSIQGKQQASRSNYGDSKKPNTRDSSKAHMQQSGHGLGQQDLHVASSEIRGQSGGRQYSRDRTYASQKRDVAGYEQQGFTPDQKMTSADTPDHSQNRSASQEVQGGHNPNNMFQKNTGQNRRFGRGQESHGGWGSSSMQENMHHHHQRPLSNRDRQKPNLHYEYKPVGSHAYDGEQLKDSSEGPRYREKGQGNQRHGGQKSYQQQRGSAGRNTGHGLSDERN >A10p014840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3985235:3986881:1 gene:A10p014840.1_BraROA transcript:A10p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVETVNAAATAIVTAESRVQPSSVQKRRWGNCWSLNSCFGSQKNNTRIGNAVLVPEPIASGAPAISIQNSTTSIAPPSSPASFLHSDPSSVSHTPLGPLSHTFSPKEPQSVFSAGPYANETQPVTPPVFSALITEPSTASFTPPPESSLHITTPSSPEVPFAQLLTSSLELTRRDSSGTNQKFSSSHYEFRSNQVCPGSPGGGNLISPGSVVSNSGTSSPYPGKSPMVEFRIGEPPKFLGFEHFTARKWGSRFGSGSITPVGHGSGMGSGALTPNGPGMVSGNNTAWPQISEVESLANSDHGSEVIVADHRVSFELTGEDVARCLASKLNRSHDRMNNNDRIEKDERRSIERLSGDRETEQQRIHQLSSSSVGSSKEFKFDNTKEENSEKVAGNSWSFFPGLRS >A01g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10657109:10661212:1 gene:A01g503320.1_BraROA transcript:A01g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLNDSVRGRFTSTALLFIGLISCLTVYAVFFNILRPRPQDHTLDSAVRFTDSRDHARVDGGGCCRGVANLELWGPAVKWGTDFKFESPGECCKACKAMCSGNDGPCLCDTWVFCGNKEACGPKFGECWLKKQKDVLVPDRQEGGQKFMWTSGLIFGQGQGIVGFETDHGVLHVKLHPECAPHSVYYILSLLTQRHCAGCQFHRAENRGSYWDSEGYHINNAPYGPPYAMIQGILQPEGNIFTPVPTEHCPTITRGSVAWVGSGPEFFISLANHHEWKQSYTVFGSVLPEDMDVAERIAGLPTIADVWNSVNVSVLEKPVSLTVRRMKSGQEQAEPGSRVPPPCWNDEETAALVNAYKDKWFALRRGNLRAADWDDVAAAVSSLHTLGGPAKSAIQCRHKIEKLRKRYRGEKQRSLNRPGKFSSSWDLFPILDAMEFAPVTPTAVEPYDPDLDNDDESNGLDGFRVRSKRSGKFDSPRDGFGVRSRSKSQMKMYGGFDSDHDSGGGFGLKRRYNGNPKVSGDFDADSDEEIVLVPKATRLKGSHGKPSSGEFGGGFPLKSFGDRSFASHGFKAKNFSKPEANFSPEMDYDDEFDEGFNPRIQHSRSSSRANGYGRKDGSYPRSNNTGVSNGYGSSSRFKHEQMNAADVESDPIDEVVSSVKMLTEMFVRVENSKMEMMREMEKTRMEMELKHCQMMLESQQQIIGAFAEALSEKKSTNARRPGS >A05p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:81044:82268:1 gene:A05p001080.1_BraROA transcript:A05p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQVKAGTHFNIEGDELHSRSLKKHSQRLIHRKKERKKSMGVSLFVGFTLLSLCLPTSTSELLQFQLSTISAAPSFLPEAPSSFSASPPEATSPDISPLFPTPGSSEMSPSPSESSAMPTIPSSLSPPNPDAVARDPLLDTSPVGSPLPASSSVALVWSPLSLLLVFPMLLLLPFSRFST >A03p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11199366:11202369:-1 gene:A03p026880.1_BraROA transcript:A03p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFEGIKNDLKGRLTCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKARPELGRNLFLAWSGWVCVWTALMLFVMAICGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPDRENQKLKEFLPSWRFANGMFALVLSFGLLLTGLRSRKARSWRYGSGWLRSLIADYGVPLMVLVWTGVSYIPAGDVPKGIPRRLFSPNPWSPGAYGNWTVVKEMLDVPIVHIIGAFVPASMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARRSIKTNASLGQLYNNMQEAYHHMQTPLVYQQPQGLKELKESTIQATTLTGNLNAPVDETLFDIEKEIDDLLPVEVKEQRVSNFLQSTMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKTIATFTIFQTIYLLVCFGLTWIPIAGVMFPLMIMFLIPVRQYILPRFFKGAHLQDLDAAEYEEAPALPFNLAAQETEIGSTTSYPGDSEILDEVITRSRGEFRHTSSPKVTSSNSTPVNSRSLSQVFSPRVGELRLGQMSPRVVGNSSKPPSTGRSPLNQGSY >A10g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3936340:3936693:1 gene:A10g501370.1_BraROA transcript:A10g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSFIGSPLIAEAVVMRTALFLVISLEFSALRVFSDNSTLIRAITGDIQSKEIIGIISDIRSISSGFASIVFSHFSGSKNMVADNLAKRPFRTFLLLHRCKLIELGHRFGSSSIFS >A06p050980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26776615:26778516:-1 gene:A06p050980.1_BraROA transcript:A06p050980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G25900) UniProtKB/Swiss-Prot;Acc:Q9SDL7] MGLEKKSALLEDLIEKCGGCAVVDGGFATQLEIHGAAINDPLWSAVSLIKDPELIKRVHMEYLEAGADIVVTSSYQATIPGFLSRGLSMEESESLLQKSVKLAVEARDRFWDKVSKTSGHSYNRALVAASIGSYGAYLADGSEYSGSYGEDVSLDKLKDFHRRRIQVLVEASPDLLAFETIPNKLEAQACVELLEEENVQIPAWICFTSVDGENAPSGESFEECLETLNKSNNICAVGINCAPPQFMDNLIRKFSKASKSFKQSIALYFLVLTIYVMSYLQLTKKAIVVYPNSGEVWDGKAKKWLPSQCFGDAEFEMFATKWRDLGAKLIGGCCRTTPSTIKAICRDLKRR >A06p020520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10046621:10047228:1 gene:A06p020520.1_BraROA transcript:A06p020520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVFISFLLMLSLCSSGFGEGREIADNDLFSSNKVEELYSDRMMDYPPTGPNPGHDPTVPPPPHSDEENMMENYVN >A06p007370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2556720:2561078:1 gene:A06p007370.1_BraROA transcript:A06p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALDLSTFAPPHLLSLSRSLCSLTPDSIPEGEVVSVLAMALVLHTYKGNKGAEKALIAAEYTGVKIDVPDFEMGVSNKTPEFLKMNPIGKVPVLETPEGPIFESNAIARYVSRLNGESSLNGSSLIEYAQIEQWIDFSSLEIFGSIFMWFGARIGYKPYSVPGEEAAISALKRALDALNTHLASKTFLVGHSITLADIITVCNLSLGYTTVMTKSFTSAFPHVERYFWTVINQPNFKKVVGDVKQTEAVPPVASKKPAQPAKAKEEPKKKAAPAAEAPKPVEEEEAPKPKAKNPLDLLPPSPMVLDEWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYAFGKMLICGSEGPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSQMIEDAEPFEGEALLDAKCFNERTIPPCVQANSYRQGDRDREPERRGLHMYREMEGSSWRRLAFLASISLHFVLGLSGDSKNTNKAESHTSSSRTGTKVILVLLGFVAVAMFSFFLYKLWQKKKRDEQYARLLKLFEEDDELEVELGLRD >A02p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:954192:955753:1 gene:A02p002280.1_BraROA transcript:A02p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGDYIELHQKRHGRRLDYEERKRKKEARAVHKRSKQAQNSIGIKGKMIAKKNYAEKAQMKKTLKMHEESSSRRKADEDVQEGAVPAYLLDRENTSRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELRCTFCLEIIGIKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >A01p056180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33023920:33025825:-1 gene:A01p056180.1_BraROA transcript:A01p056180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCLYFHEKKKAPKDSDNSRRRNGELTSRDNNKTHPENPKPNKTGNEQNKNDDANKEVTNNIAAKTFTFRELATATKNFRQECLIGEGGFGRVYKGKIEKCDKIVAVKQLDRNGQQGNKEFIVEVLMLSLLHHKHLVNLIGYCADGDQRLLVYEYMSRGSLEDHLLDLTPGQVPLDWDTRIRIALGAAMGLEYLHDKADPPVIYRDLKASNILLDNDFNAKLSDFGLAKLGPVGDKQHVSSRVMGTYGYCAPEYQRTGQLTIKSDVYSFGVVLLELITGRRVIDTTRPKDEHNLVTWAQPVFKEPSRFPELADPSLEGVFPEKALNQAVAVAAMCLQEEATLRPLISDVVTALGFLGTAPDGTIAVPRYDEVRPPQPSGETSGEDSMAPKERERAVAEAMEWGVASRANSRNTSAGHSLNPSAAHSRNPSAS >A03p046820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19808992:19809724:-1 gene:A03p046820.1_BraROA transcript:A03p046820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSIVSCTFFFFLLLIVFPHMDRALGTQTELHKLSRETNYPDKVTVQSQRRYFIGPPSIPCQLYQRCKRTPPTPPTPTPSCHIYNRCKGSPGKYGSGH >A07g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7403057:7404280:-1 gene:A07g503750.1_BraROA transcript:A07g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKDMEFVGITVLFLDEDFVIHGFTPVGRANHYMPSLKAGSIVKVDRFEVVRCSSMYKITDHPLLICFISLAIIDEFITDVVGQIRSVQGSDLTKETNRVVILLLIDPKLQTLIKTTNNDFCLHITHQIKKKQTQPYKEIQETIPTYTKATTTSPPTHSSCLMKITYMLNVNMPMLLSFYEKCIYSFKIH >A06g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6800617:6801438:-1 gene:A06g501850.1_BraROA transcript:A06g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLEPEIVTAALEKPEGDEEAPVDVRDLKMDEKRRLSEELQDLPYDKLETVVQIVKKSNPELSQQDDEIELDIDSLDIQTLWELYSFVTGYKENLSNKKEEDQGFGSERDAESAHNIIQEPATGTERSRVTESGKAIRMSSSPVRQENKAGGSSSSNSSSSDSGSSSSDSDSDSSSGRGSDTGN >A02g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26570097:26574175:-1 gene:A02g509960.1_BraROA transcript:A02g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKVEKEVIAPSRLVDWSRFRFLAKPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNSKSPPSSLMVEQSIFDEFDIFSSENKRFNPSNIHHGAMMDTENMDLAQRLLVSEAREQFRGDDDGEEAVDASIVPISYYPGNIFAEESPLEVWRIRPSVVDGQDWSNVERTKSTVDVCSSNVCFSSLFFLVGHPNLATYPEDWRESARIVALQKQDHWEDFTRERIQRSVDRIANQSWISEPHPHINQSTSKRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGAKRGASENRVGPSGLEVVEATPIATEQARTGGSSQGKSSKKSKKSAGGPKDSSEPEHPGADGSSKKGGKKRKAGDPPTEDIPKKKRMKKKELAPPRSSSVCEEELQALVPEAIHEVGTSEDDENETIALRRRRRESRVTEEVSRGALAGDLRSTEVPRGISTSGGQRDRLRNESPAHVMEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSSLRRAREQIRESEEAKKRVEEALWVSTREKTDAIAREKALRKAFDETRTSDGAELQMCKESMNNLEFVPTDQDIDPAKQASAGAVVLKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKGDDALAGMPTADATAPAPTKFGRVSGPGEGDGRGNEDPPVVD >A08p038620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22331452:22332136:1 gene:A08p038620.1_BraROA transcript:A08p038620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGDLYFRHEIDRNPSASDAGTFKACATMVTWHEDMEDSETTFSFTLSAEDVIERQGLANKIQELDELFMEAAFPQEDNLLLLTQEAYHCFIESLRVESMLSSYPVDMNLMMHVSDTGSRPITFVHCVVSSWLVSIIEDIEN >A09p073600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56061499:56065332:1 gene:A09p073600.1_BraROA transcript:A09p073600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7A [Source:Projected from Arabidopsis thaliana (AT1G18370) UniProtKB/Swiss-Prot;Acc:Q8S905] MRTPGTPVSKTDRTQAVTPGGSSSRSREEKIVVTVRLRPLNKKELLARDQVAWQCVDSHTIVSKPQAPHERSHHQSSFTFDKVFGPESFTEDVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGVTEKAVNDIYNHIIKTPERDFTIKISGLEIYNENVRDLLNSESGRALKLLDDPEKGTVVEKLVEETANNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTHRENSDCVRSYMASLNFVDLAGSERASQSQADGTRLREGCHINLSLMTLTTVIRKLSVGKRCGHIPYRDSKLTRILQHSLGGNARTAIICTLSPASTHAEQSRNTLYFANRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAERRTPGPSTEKDFKIQQMEMEMEELRRQRDDAQIQLEELRQKLQGDQQQDKGSNPFESPDPPVRKCLSYSAALTPSAENKTLNRNERARKTTIRQSMIRQSSTAPFTLMHEIRKLEHLQEQLGEEATKALEVLQKEVACHRLGNQDAAQTIAKLQAEIREMRTVRSSTDVIAPNKSVSANLKEEITRLHSQGSTIANLEEQLDKLVMSLPSNINGGDETTPKTKNHHPKKKKLLPLTPRRQSFLKSPCSPLSSSKQVLDCDAENKAPQENNSSATTPQETPQKGEESGDVSSRESTPLGYRRSSSVNMKKMQLMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVHQVLDLENDGAGYSVENEEKTVEEDEELSQVAWHITFIEEREQILELWHVCHVSIIRRTQFYLLFKGDQTDQIYMEVELRRLTWLEQHLAEVGNATPARNGDESAVVSLSSSIRALRREREFLARRINSRLTPEEREELYMKWDVPLEGKHRKLQFVNKLWTDPYDSRHVQESAEIVAKLVGFCESGNISKEMFELNFALPSDKRQWNIGWDNISNLLHL >A04p020400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12396138:12397399:1 gene:A04p020400.1_BraROA transcript:A04p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGEGIEEEQISRIEKGIEKDCHGGIETIICTSPSIVCLTQKLIAELIGTYFIIFSGCGVVVVNVLYGGKVTFPGICVTWGLIVMVMIYSVGHISGAHFNPAVTICFAIFRRFPWYQVPSYIGAQLAGSLLASLTLRLMFKVTPEAFFGTTPADSAARALASEIIISFLLMFVISGVATDSRAIGELAGIAVGMTIILNVFVAGPISGASMNPARSLGPAIVMGVYKGIWVYIVGPIIGIVAGGFVYNFIRFTDKPIGELTKSSSFLRKASSNNNASSSNS >A03p022930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9662890:9666064:-1 gene:A03p022930.1_BraROA transcript:A03p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNFKPNAINSKYGLTVTHTQRVTNNTLCTDPKHLSGEIPSDASIMKPLANADLLKNPTLIKISALLLLTVASFYLGKHWSNDSYHQLLFFSSSATSGTSTPKISISPNSNKTFNLSAIIPSNQTTTPPNPIPIPSVVTETEPNPPPPPPPIQSFGVVDENGAMSDDFEVGEVENVEDWGNQTETVESESDGEAKPNRFRIKKFGMCPESMREYIPCLDNADAIKKLESTERGEKFERHCPEKGNGLNCLVPPPRGYRQPIPWPRSRDEVWFSNVPHTRLVEDKGGQNWISRDTKDKNKFKFPGGGTQFIHGADQYLDQMSKMVSDITFGKHIRVAMDVGCGVASFGAYLLSRDVLTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGILLLEINRMLRAGGYFAWAAQPVYKHEAALEEQWTEMLNLTNSLCWKLVQKEGYIAIWQKPLNNSCYLSREAGTKQPLCDETDDPDNVWYSYEIFLYTSLKPCISRIPENGYGGNVPSWPDRLHTPPDRLQTITFDSYIARKELFKAESKFWNEIIGSYIRAMKWKKMKLRNVMDMKAGFGGFAAALNDHKLDCWVLNVVPISGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHASGLFSVERKRCEMSTILLEMDRILRPGGRAYIRDSIDVMDEIQEITKAMGWQTSLRDTSEGPHASYRILTCEKRLLKA >A09p001820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1341060:1347907:1 gene:A09p001820.1_BraROA transcript:A09p001820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHTTISTNGINLHVASIGSGPAILFLHGFPDLWYSWRRQLLSLADLGYRAIAPDLRGYGDSDSPPSHESYTVLHVVGDLVGLLDSLGVDRVFLVGHDWGAIVAWWMCMIRPDRVKALVNTSVVFNPRNPSVKPVDAFKALFGEDYYICRFQEPGEIEEDFAQVDTKKLINRLFTSRNPRPPCIPKSLGFRGLPDPPALPAWLTEEDVSFYAEKFSQKGFTGGLNYYRAMNLSWELTAPWAGLQIKVPVKFIVGDLDITYNIPGTKKYIHEGGLKKHVPFLQEVVVMEGVGHFLQQEKPDEVTDHIYGFFKKEETMEKIEHTTVSTNGINMHVASIGSGPVVLFLHGFPDLWYSWRHQLLSFADLGYRAIAPDLRGYGDSDSPPSHESYTLLHIVGDLVGLLDSLGVDQVFLVGHDWGAIIAWWICMIRPDRVKALVNMSVEFHPRNPSVKTVDAFKALFGEDYYICRFQEPGDIEEDFAQVDTKKLVNGFFTSHNTRPPCIPKSVFRYLPDPPALPGWFTEQDVCFYADKFSQKGFTGGLNYYRAMNLSWELTAPWDGLQIKVPVKYITGDLDPTYHIPGTKEYIHEGGLKKHVPFLQEVVVMEGVGHFLQQEKPKEITDHIYGFFKKF >A08g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8602802:8605182:-1 gene:A08g505180.1_BraROA transcript:A08g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRPIFVVLGFDWMCEDDDNFLCGVILDFGIFSLSDLMGVEILRVVLILEDQFLGGSGQIRYYGNVSLRLEVENEDIEVIQNRLRNLQDRQFRYVPQHRIWYVINLLMALIAATSYKAVVANDTKQFGERREAHYNRSQAGRGGDKGKGIVREPQGVYRQEGSFHPYKGKHPRGYGDGASYQGRYTGYGDRRMGMQFRGPQQQQLRNDERDQLLQDPNKLMLGAFKGVRRSPVAGTVNKVGPDGTGASSSKARKTLLFEEPASTIQIGSTDQDVVAVGDTPNMQEIPVEQKQGEVEEETKLAEERSLHSQALDEANLMVDGVLLSDSELLLEEGDDQEDWEQGEIMDFTEEELASEDQGVGDQTVQETAQFETDKQVAGEGDEEKDTRKKGPMLEPAATGGSKKRGGQSFVSPRKKLLAKVAAKQGDKGIKKAPPKAKNSAA >A03p015740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6234419:6236818:1 gene:A03p015740.1_BraROA transcript:A03p015740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKNTARETVGEEPLSPCSRLFNSPDFNCAIIVIMGCKVKGNPPAIIDGLKHTLVNHPRFSSILIQEMKNGKKGKPSWVRTEVRVEDHVIVPDIDPNIENPDQYLEHYISKLTTVPMDFSKPLWEIHLLCLKTSNAESIGLLKIHHSLGDGMSLMSLFLACTRKTSDPEALPTVSVQRKQFGPSSYNGFFNKIWWDTETPLLAKPGTELVPKRFVHRIISFDDVKLVKNAMKLTVNDVLLGVTQAGLSRYLSRRYDQEATPKLKESMRRIRLRSAIMINLRPNTGIEALADMMAKKSKCRWGNLFGYILLPFSVGLETDPLEYVRRAKVTVDRKKHSLEAVFSMAFFKLILKVLGLKASVVLVRKVIHNTTLTFSNVVGPKEEITFHGHPLSYIAPSVFGHPHALTVHFQSYEKKVIISVTADPTVIPDPHKMCDDFVESLKLIKSSVLERGLYEMEV >A07p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13374743:13376142:-1 gene:A07p022990.1_BraROA transcript:A07p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQSAATFLQSAKISTAPSRGSAHLRSTQTVGKSFGLETSSARLTCSYQSDIKDFAGKCSDAVKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYAGKKFCFEPTSFTVKAESVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSSDGSVNFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLDASGKPDNFTGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNTAASVGEITLKVTKSKPETGEVIGVFQSLQPSDTDLGAKVPKDVKIQGVWYGQLE >A02g507160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20434255:20434704:-1 gene:A02g507160.1_BraROA transcript:A02g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWYGYCDDEPDTFISFDPVFTDTQHNLKNPNFSFVFDFELVYRQAPEPNSDSDEDEDFCNLETRILRQTHEFDRDWLIGGDREQIQANVFQILEMIQVPSYSDIVYTLTFKIFDLKKRESESDSPEIERIRVGIDVIVPRSPGANDDV >A06g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13374004:13376641:1 gene:A06g504250.1_BraROA transcript:A06g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMVQIPVVYGEWVVKGSLWEFVVNNRKGGRMFLVSDGCTHGELHEMTLEDYGVDKKIEKVELTYSLPDVILQQMAPDTPPMHVMNDRQVRNLIDNFADEDTGQDIDSEWDEDSNDADDVQATADDVQATVDVAVDVDDGVNYSDYEKVKDEDFDEDANETLYDGYKAQFSGGEGRLLSLKDNIYVGQSFASKAELVSKLKSVAVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGPKTFWWRIEWLNKNILLRNIRGVENLLAIREEYADMMNVERIDAPNTDDTSPANEEEAPNTDDPTPANEERADKPRKCLPPEVKRGRGRQKKSRWQSWLEISRMKGNQPRKLHKDYSFSQCKQPGHTRPNCPERRLLL >A09p000290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:156387:158797:1 gene:A09p000290.1_BraROA transcript:A09p000290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNKHKDQRPLSFDKSSKPIFSFYLSSSSPCSFTAIIILLIFFSYFLYSFSFITFLHPYSPSRISNSLLVPVMRLGSGQQPDEKTELKHIVFGIAASSSLWKRRSEYVKTWWRPNGEMKGFVWLDKPVNDTVSSFPSWAFPEIKISSDTSSFKYRYRSGHRSAIRITRIVSETVRMLNGTEHEKHVRWVVMGDDDTVFFAENLLRVLRKYDHKQFYYIGAPSESHLQNLHQFSYGMAYGGGGFAISYPLAKALEKIQDRCIERYSDLYGSDDRIHACMAEIGVPLTREAGFHQFDVYGNLLGLLSVHPQVPIVSIHHLDVVEPIFPRTNRVNAVKRLMIPAKLDSASLVQQSICYDTTRQWTMSISWGYTVHVTRTYMPARMMEVPTRTFNDWHKRHDFTNVAFNTRPVTYTDCQRPRVFYLSRALNDSSSGTTISEYLRHNEWNPKCEWGIQDPSDINRIFVYKKPNPDRWTKAPRRDCCRLLPTTKKGTMVINVTACENDEIVAYSDK >A03p066400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29340721:29341261:-1 gene:A03p066400.1_BraROA transcript:A03p066400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRRSLAASLFPLRLIDRRKSFSYNQLPDEPIKLTVLKLDGSSFGIEDSYSKGAQMAVEAAFSHLPITSLGNYISCSAYPIYEDQRLINDSDYLTDFHIKDGDQVCSLS >A10p016820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2749008:2750737:1 gene:A10p016820.1_BraROA transcript:A10p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPLYHYDHGGDSQYLPPGFRFHPTDEELITHYLLRKVLDGCFSSRAIADVDLNKCEPWQLPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTCALVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKFSYHFISRSSKDEWVISRVFKKTGLANTGASGGEASASVSSCTGGSKKTKVPSTIYTNYREQPSSPSSVSLPPLFDPTTTLGYTDSCYSYNSRSSNTTLTATAITEHVSCFSTATTTTASGLDVNVDSFNHLLPPAPPGFDHFSRFGSRNVSTLSNIRSFQENFNHFPYFGSSSASTMTPSVNLPSSHGGTGMNYWLQTTAEENETKAGLLNGGLDCVWNY >A04p041320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23273298:23284541:-1 gene:A04p041320.1_BraROA transcript:A04p041320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRERPMSSVLNRYNTNRISQSRAEQLPFCLISSPPPRRAGGERKLGWNRWEWGHGDLLASLLMASFLLGFFLPSLLLAASLINWSLISFFDLIAFLLLHYIAPEIGYRFQRRHWLLWPIFIFSFAVLVAQALYLVLWATVGQHWDTSDSAWMSVIGFIILKSWRNPTVLYFLALQLLASLVALADIYSSRFGFVRWRWSRFSELFEHLGSHLRVASCLFLPAVQLALGICNPSWVSLPFFVGSCAGLVDWSLTSNVSGLFRWWRVLYIYAGFNIVLLYLYQLPINFSDMIRWIASFIGLFRLSAETEGTDICSGLFLLLFYIMVCFFLLGLVLLALAQVSKLIVTYLSYIRSDLEDMDFIMSPSENNLAERLLPAKYSFFIRESRAGVRHTNVLLRGAVFKTFSINFFTYGFLVSLFALSFWSFHFASLCAFGLLAYVGYIIYAFPSLFRLHRLNGLLLVFILLWAVSTYIFNVAFSFLNTKTGKDMKIWEMVGLWHYTIPGFFLLAQFGLGMLVALGNLVNNSVFLYLSEESSRSSNDRSYAEADEETKVLVVATIAWGLRKCSRAIMLALIFLIAMKPGFVHAVYVIFFLMYLLSHNINRKIRKSLILLCEVHFALLYILEIDLVSNSLKRQGSVSRDILFQLGLLRSESSWDFLEIALLACFCAIHNHGFEVLFSFSAIVRHTPSPPIGFSILKAGLNKSVLLSVYSSPSSSYSQDNTTYERHIASFLSAIGQKFLSMYRSCGTYIAFVTILISVYLVKPNYVSFGYIFLLLFWITGRQMFEETKRRLWFPLKAYAVLVFMFIYCLSSFVSFQLWLSGFIDLYFYLGYNSEAPLLDNVWESLAVLIVMQLYSYERRQNGHYIPGQSSLVHPGVFGFLERFLVWHGQKILFAALFYASLSPISVFGFVYLLGLVICTTFPKSSSVPSKSFLIYTGFLVSAEYLFQLWGMQAQMFPGQKYAELSFYLGLRVYEPGFWGIESGLRGKVLVVAACTLQYNVFRWLERTPGLTIIKGKYEEPCPLFVSAEGTTASVSSSNGENPSSIDHASISIKQGEATSNSWPFFSPRDNQAAGFLHPKTEGSESGSSKKFSFGHFWGSIKESHKWNKRRILALKKERFETQKNLLNIYLKFWIENMFNLYGLEINMIALLVASFALLNAISLVYIALLAACVLLRRRLIQKLWPVVVFLFASILAIEYVATWNNLLPLDQDPSETSVHCHDCWSIAAVYLKFCRDCWLGVRVDDPRTLISYFVVFMLACFKLRADQISSFSESSTYHQMKSQRKNSFVWRDLSFETKSMWTVLDYLRLYCYVHLLDVVLILILITGTLEYDILHLGYLAFALVFARMRLEILKKKNKIFRFLRVYNFVLIIFSLAYQSPFVGNFNDGKCETVDYIYEVIGFYKYDYGFRITARSALVEIIIFMLVSLQSYMFSSQEFDYVSRYLEAEQIGAIVREQEKKAARKTEQLQQIREAEEKKRQRNLQVEKMKSEMLNLRVQLHRMNSDSNFGFASPRTEGLRRRRSSYLIPDSGAASPEIDGVVHRKEGQPIDEDTQYPFEAHELPMSATPEAPDSPECSFGASPCEITEIQHNADVMSTEREIKEKSEGKDNALISAVQLIGDGVSQVQFIGNQAVNNLANFLNISPENSDINEQSSVDDEVYDEMESQNRIHKPFERSTSLQSDRSSDGASFQIGRILRHIWSRMQSNNDIVCYCCFIIAFLWNFSLLSMVYLAALFLYALCVHTGPTHIFWVVMLMYTEIYILLQYLYQIIIQHCGLSIDAPLLQELGFPTQRIKSSFVVSSLPLFLVYISTLIQSVITVKDGDWVPSADFASRRNARGSQKDLTRINWSLRVWDVYKKLRDGVKLVIRSMYRYWISLTRGAESPPYFVQVTMDVHMWPEDGIQPERVECRMNQLLRLVHNERCEKGNPDLCPYSSRVHVQSIERSTETPNEALVVLEVEYASPTNGCSTAEWYKSLTPASDVAKEIRKAQHSGLGEGTGFPYPILSVIGGGKRETDLYAYIFGADLMVFFLVAIFYQSVIKNKSEFIDVYQLVDQFPFDFVIILMVIFFLIVVDRVIYLCSFATGKVVYYLFSLILFTYAVTEYAWSIYPTQQHAAGLALRFIFLAKAMSLALQAIQIRYGLPHKSTLYRQFLTSEVSRINYYGYRLYRALPFLYELRCVLDWSCTATSLTMYDWLKLEDVNASLYLVKCDTVLNRATHKHGERQTKMTKCCNGICLFFILLCVIWAPMLMYSSGNPTNIANPIKDASVQIDIKTAGGKLTLYQTTLCERISGDNIDLGLDLGSQSFLPTYNKNDIQLICCQADASVLWLVPDTVVTRFIQSLDWDTDMDITFSWLLNRDRPKGKETVKYERSVDPQDLPKRSDVQMVLNGSMDGFRVHNLYPKFFRVTGSGDVRSFEDQTDEVSADILMNHADTKWWWSFHNLKASENISACEGMDGPVAIIMSEETPPQGFLGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDLYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKLDYDA >A02p052060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31785509:31786127:-1 gene:A02p052060.1_BraROA transcript:A02p052060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHQASPHVGVSQNERGQSQLKRDPEYVMADLSSLRDLEGSFTLKNQHLGFKISRDIDDRANPWLGNKVTCKERTHGESQEAGNSVTRARVSLARRFPLPETLLKSPSLSDTVNATVSCGNTTMHGLGSCGFQVT >A02g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22704617:22704980:1 gene:A02g508310.1_BraROA transcript:A02g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISATAARAFKYVLQGILLSSEGEKLNSMSLMLYMFPIAVIALLPVTIVMKPNVMSVILSLARQHQYMWILLLVTKHTSRLILQVTKKMKTFQH >A04g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13552645:13555952:1 gene:A04g506330.1_BraROA transcript:A04g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIREAVHLSQSEILYRSRTPPLIFTKTYSLLTSASCLLSYSHAPPLANERGNLQSSEKESEAKRRYLEKDKGQSSIERILLRLRNLGLAASDDDEEDDAEDNEEEDVKKPVTEEERLGDLLKREWVRPDVILAEGEESDYEEDDDVAERNEGDGGLLAVKKRRARAPSLPELTIEDSGLRRLRRDGMYLRVRINIPKAGLTQAVMEKIHDTWRKEELVRLKFHERRTGGMVIWRAGSVMVVYRGRDYQGPSAVFNQMARPEEHYQGPSAGSVLLMREDEATLGKITKPRRPKTVVLCPTRELSEQFWLPPYRMTITLEIMRHMPEHPNVVTLRETYEDEHAVHLGMELCEGVELFDRNVARGHYTERAAAVVTKTIMAVVQVRHGFALCLSPDWSIDVLCLSLSSCAAPSSSSLAAMPVFTFANQAELDMLETTLVSLQDISLEKIFDDNGRKTLCSEFPQIMQQAFASLQGGICLSSMERPVSYERAVAWKVLNEEENAHCICFVFINWSFV >A07g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1410583:1414534:-1 gene:A07g500710.1_BraROA transcript:A07g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPEMVFRTTNKAFMDEMRNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNEKSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDLFLGKNMSLRVNSTAHVLHAFVNGKHIGSQHAENDKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLIGFESQLFRTESMSKWSVESVPFNRTMTWYKTTFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSKNGCDANCNYRGAYHAEKCLTNCGEPTQRWYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSLCANVYEKNIIELSCDRKPISAIKFASFGNPDGNCGSFVKGTCESSNNTVDILTQECVGKQKCSIDVSTKRFGTPDCSGAVRRLAVEAIC >A07p026670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15214897:15216849:1 gene:A07p026670.1_BraROA transcript:A07p026670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTVLVADVPSLPDSVYGLSDGMSPSGLELSEPKPFKMPGFSVIGHRGNGMNVLQSSDRRTRGFKENSILSFNSAAKFPIDFIEFDVQVTKDDCPVIFHDDFIYSQENGIVNESRVTDLSLSEFLLYGPQKEAEKTGKTLMRKSKEGQVLKWEVDSDDSLCTLQEAFERVDQSLGFNIELKFDNQVVYEREFLVHVLRTVLQVVFDYAKDRPVIFSSFQPDAAQLVRELQNTYPVFFLTDAGNQMFKDERRNSLEEAIKVCLEGKLQGIVSEVKGVFRNPSAIAKIKESNLSLLTYGKLNNVGEAVYMQYVMGIEGVIVDFVEEISESVTLMMIRPPSPSSPLPSSQSKDDVAAITRPEFSQKEIDFLLKLLSQLIQH >A04p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7367916:7372303:1 gene:A04p010120.1_BraROA transcript:A04p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVRKFVTSRPIFVFFLLAFLVIVFGCIPVTIWFRTTKNVTDGIVFCTEGLRSSLVSDIENIGKFTYQKTSSSTTGLANIIDSYLTNNDTHFKKIQTQVAPVLFKAYLTIPQVSQVSYISTDGLLFSYKTELNASVAVFANSSSGKGDYTCYTQTVDQITGRLTGNATKSKPVDITHKDWFQAAQRNHTTAFVGPGLGGEVNEAMFQSVVSLYSKKGAVSLGFPVKTLIDSLNRLDLKGGELYLWTKEGTLIVPGRSLNATFFISNGSICFGRESSHCIPGNCSSRGYQVEIGRLKFQAFCSVLEVSGVPLRYTLMFPNKERGPSIGSASLYLLVATTLLGLCWPLGFVACMVNAAGREMHMRATLIKQMEATQQAERKSMNKSQAFARASHDIRGSLAGITGLIDLCHESEEVRRGSNLESRLKLVNGCTKDLLDLLNSVLDTSKIESGNMQLKEEEFNLAKLVEDVIDFFHPVAMKKGVDVVLDMHDGSVFKCSNVRGDGGKLKQILNNLVSNAVKFTVEGHISIRAWAQRTSSNVILAPDNEGGLSKFSKKSKDQAGSMSNSIRNNGNMMEFVFEVDDTGKGIPMEMRKSVFENYVQVRETDQGQQGTGLGLGIVQSLVRLMGGEIRIIDKAMGEKGTCFQFNVLLSAASESQVSRQDTEEGEHMHGLIKTSSGGSMSIRNMSPRLHNWLSSSPKQERSRVVLLMKDGERRRVTEKHIKSLGIKVTVVKKWEHLNHVLERLGVSRQGSMGRNESLSSSSRELPLIGMDGIDSRSQTPKRTRHGFSPALLVVIDAETRHFLELYDTVEQFRRGMHHGQSCKVVWLNDRGQGSLRGDISCSKPLHGSCLNKVLKVLPEFGGTEPNDDKQGALKPSEDELLSGKRVMVVDDNHTTSLFATIKLKRMGASEVKQCYNGKEAVRLVSEWLTQREHGEGGSSEVLLPLDYIFMDCQMPEMNGYEATREIRKMEEKYGGGLHIPIIAVSGHDHGSTEARETIQAGMDAFLEKNLNHDQLAKVIREITSKFPTFSKWEYASIRFTASWMKHLSAFSSSTNFRKQASSILGRFSFLMP >A10p032280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:19136974:19138917:-1 gene:A10p032280.1_BraROA transcript:A10p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLSKNDSELEHSFSALLEFAADNNVEGFKQQLSLVPCINQMGLWYRRQRFVRRMVVEQRTPLMVASIYGSVDVVKLIISFPEAELNLASGSDKSTALHCAASGASVNSLGVVKLLLSAGADPNIHDAHGNRAVDVLVASPHAPGLRTVLEEILKKDEDPSSSLGSSLRSLSSSPENGSSLQSLGSVSSPTKVDASEKKEYPLDPSLPDIKSGIYSTDEFRMFSFKIRPCSRAYSHDWTECPFAHPGENARRRDPRKFHYTCVPCPDFKKGSCKQGDACEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHLKEELRPLYASTGSGLPSPSSAASTMDMASVLNMLPGSPSAAPISPSASMGWPQQNNIPALHLPGSNVQLSRLRSSLNARDIIPSEQLSMMQEFEMQRMSSPRFMNHHHSARPKTLLTPSNLEELFSAEVASSPRFSDQLGVSSVLSPSHKSALLNQLQSNKQQSMLSPIKTNLMSSPKNVEHHSPRAMEPISPMNSRMKQQLHPRSLSSRDFGSSLPRDMMPTDSGSPLSPWSSWDQNHGNKVDWSVQSDELGRLRKSHSLSNNNNSNREADVTWVQQLVKDSAASPRNNNGAMNMNGSRPLVQGGSSVNPPHSESDILDAWLEQLQLDR >A03g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30132893:30133726:-1 gene:A03g509170.1_BraROA transcript:A03g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVLYFKLASGVKSDLGGADDGLWMMDIWSSGESGVTLLEGGGKRPCCVPFIADLVVGRGYRLVFSDLQGGGAMSYGVGDVQRLPKSGMHPFGSVTNGLFDNWFSRLSSNGGECSDCLSSVSFSVSVSGKVRLVRLRLRGVFVGLLSRSKWTFMITSHPYPKSQVLGRYRLASVGCSDKSCVGVRSGGYRVCGGLCAATVNPDHWH >A05p039560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24181219:24182398:-1 gene:A05p039560.1_BraROA transcript:A05p039560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSASSTRVSVHNSLGGGSGESFSLYHSNRLSDYHQSLIEILRVCLSIQLLIFEILRLELADLLLWRNPTGAVIVLVSSTVFWFLFERAGYNLLSFVSNVLLLLVAILFLWAKSASLLNRPLPPMSLVLWAISYVGTLINSLTLVYIGILLSLSVPLVYEKYQDHIDDKLNSTSKVIRSISMKIPMMPVSKEKKYQ >A07p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11542004:11542636:-1 gene:A07p019420.1_BraROA transcript:A07p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEAPPGNSKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVEWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKEATA >A04p008460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8196040:8198521:-1 gene:A04p008460.1_BraROA transcript:A04p008460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase, insoluble isoenzyme CWINV2 [Source:Projected from Arabidopsis thaliana (AT3G52600) UniProtKB/Swiss-Prot;Acc:Q1PEF8] MQSKSTSLEVVSHLHRTGFHFQPPRHWINGPMVYKGVYHLFYQYNPKGAVWGNIVWAHSVSKDLINWEALEPAIYPSKWFDINGTWSGSATNVPGKGPVILYTGITENHTQIQNYAIPQDLSDPYLKKWIKPDDNPIVRPDHGENGSAFRDPTTAWFNKKDGYWRMLVGSKNKRRGIAYMYKSRDFKKWVKTRRPVHTRKATGMWECPDFFPVSIGKKTGLDTSYDGPNTKHVLKVSLDLTRYEYYTLGTYDTKKDRYKPDGTSPDGWDGLRLDYGNFYASKTFFDETKNRRILWGWANESDSAVEDTLKGWAGVQLIPRTALLHSSGKQLVFWPIEEIESLRGKNVQMNNQKIEMGQRFEVQGITPAQVDVDVTFNVGSLDKAETFDASFATKPLDLCNLKGSNVTGGVGPFGLITLATSDLQEYTPVFFRVFKDATTNKPKVLMCSDAKPSTLKGDTGTDSTEKMYKPSFAGFIDVDLADGKISLRSLIDHSVVESFGAKGKTVITSRVYPTKAVGENAHLFVFNNGSQPVTVESLNAWNMQKPEKMNQGAK >A09g516320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48131900:48133365:-1 gene:A09g516320.1_BraROA transcript:A09g516320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCLYTENLRSGLVNQFSSLQEIFGKGTKEIEPKQEHEKRVILETIVSLLPRERNAMSVSFLSMLLRAAIYLETTVSCRLDLEKRMGLQLPRAVLDDLLIPSYSFTGEHSLFDTDTVQRILMNYLEFEVEGVMLSNNGVDLAGVMEMLSRVTEDGMYRDVDIYLKVTMQGSSSHRMFFSAYGAVCVVLRVTLDAVFKEAYDVLVAHPNMSDVERKKVCSLMDCQKLSREACAHAAQNDRLPVQTIVQVLYYEQQRLRGEVTNDSDSPAPPLPQPTATVLPPPKLSSYNDELSKVKRENQDLKLELLK >A03p035660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14963326:14968105:-1 gene:A03p035660.1_BraROA transcript:A03p035660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRLLKAAAKGDTSLLDLSKLHQSDFNKVTPHNNNVLHIATKHQRRDFAAAILDRCPLLLLRENNNGDTPLHVAASVGSFQILQLLVNEVASDIENLGVTTKQLLRITNKQKDTALHVALKNGHGDVAKLLVGLDAGLLEMANNNNESPLYLAVERGLFDVAGHILERFPLVSGKGPKGMNALHAAVDSDIISTDFLRKLMETRSEMTKEVDVIGWTPLHYSVWLEKIEITQLLLQQDSSAAYISDKEGQCPLHLAASTGQIDAYRELVGSCPYVWELVDGKGRTSLHCAVISGQRGIIQCILDMPEISLHLLNESDVDGNTPLHLSVVYKCHTILVLFLRNKRVDKLAMNRNHLTAAELFYSQKQEQQNIDTKKQEKADVEESNARHDGAMYEVHLFVAVLVATVAFAAAFQLPGGYKPDGTPVLSEEVAFTCFLVFDTIAFIFSVATVYLLFYASRDMFRARSALLYMCTLLMVVSLIAMASAFVSGMYLISSKCRELAIVPFLMVGLFVLHCFFYWFIDPRGSYVRGLERPRRFFRNLVFRNSMVLHNTKQK >A01p021610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10540504:10541465:-1 gene:A01p021610.1_BraROA transcript:A01p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP2 [Source:Projected from Arabidopsis thaliana (AT4G17340) UniProtKB/TrEMBL;Acc:A0A178UVY8] MIITHKPQYKELLITNQNQYNRISYSGIKMVKIAIGSLGDSFSVAYLKAYLSEFIATLLFVFAGVGSAIAFGKLTSNAALDPSGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTLGLAVGGNITVITGFFYWIAQCLGSIVACLLLAFVTNGESVPTHGVAAGLGAVEGIVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQIWIYWVGPLVGGALAGLIYGDVFIGSYAPAPTTESYP >A06g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:967071:967364:1 gene:A06g500200.1_BraROA transcript:A06g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSREQIESVLAVMDGVTDEGLRSGKEVDVYDATEEDEYKVIIKRFNDNTKYVFGKGWSTMKYSLDLEEGQELKLYWHRGYKRFIVLNFQYTLLMI >A04p011820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6432465:6433598:1 gene:A04p011820.1_BraROA transcript:A04p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRLPVTAASTVAVLIRRRIPAAYLLSTKSSMTSDSSGTAFTTIKEIVSLEKEIKKSKFIAIAGPISSEQSAQLFLSQVRDPRATHNCWAYKVGDQHRSSDDGEPSGTAGKPMLSAICSSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGVTSDCLKTASTCLFKSKIHSFMQVQMGVEVTFDLLGVVYNQLQSCQAEDIKEDYDTGKDGTTMVSFKVDFDQVDKLEDAIKSNCKRDLVFFKNLAIGNQLG >A03p025290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10635642:10638983:1 gene:A03p025290.1_BraROA transcript:A03p025290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNQELQKSINIHNISQGIFIRLKQNILREMEKRGHVLAVPYPAQGHITPIHQFCKRLNSKGLQTTLALTTFIFNSIKPDPSGPVSIATISDGYDQGFDSSGSIQDYVQSFKTFGSKTITDIIRKHETSDNPITCIVYDSFLPWAFNVAREFGIAAAPFFTQSCAVNYVYYLSYISNGSLNLPIEEFHFLELQDLPSFLSAPESYPAYLEMVLQQFTNFQKADFVLVNTFQELELHEQELLSNVCPVLTIGPTVPSMYLDQRNISDTNCDLNIFDSKDAAFCTSWLNTRPQGSVVYVAFGSIAKLNNVQMEELASAISNFSFLWVVRDSEEEKLPSGFLETLDKDKSLVLKWSPQLEVLSNKAIGCFLTHCGWNSTLEALAIGVPMVAMPQWIDQPMDAKYIQDVWKAGVRVKIDNESRIAKREEIEFSIKEVMEGEKSKEMKENAKKWRELAVKSLSEGGSTDINIDTFVSKGFLQN >A07p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20232434:20233485:1 gene:A07p037900.1_BraROA transcript:A07p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEKALPCPDSSKITSPALKFDALDFPAMKAMEDFETCAREHGEKMREDPAYILELSRCNPGAKFDQEQLSNMGQMFQRLQDHARQDPRASFENLGKPGALEEFAERVAQIRKDPEVEPILAELDACPAALLKFADDKQVLKKLGKSMLRSQLHVLKLIQMDHCA >A10p008810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5356762:5358819:1 gene:A10p008810.1_BraROA transcript:A10p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G50380) UniProtKB/TrEMBL;Acc:F4K8Y6] MAATTTPAASISAGAGAGSNVGAEDRVLATAQQIVKSLNTPKEVREDMMLIFSSFDNRLSNIKTVMTDQNDALLARLEAAETIIHRWDGGNDSSRHSSSSSGNHRSSSFSLSFDGSPDEATEFLSAVDEIISLLVDLSSENKPDMVDRADSALQMAMSLLEDEFRRILIRNTVPLDAERLYGSMRRVTLSFADGDVTEDFENFGLVANGDGDGSGSRRRLFHERGGSIGCDLWVDLINPTAVEDLKEIAERMIRAGYEKECVQVYSTVRRDALDECLMILDVEKLSIEEVHKIDWKSMDEKMKKWIQAMKITVRVLLAGEKKLCDEIFNDSETSKEVCFNETTKGCVMQLLNFGEAVAIGKRSSEKLFRILDMYDALANVSQTLEVMVTDDFVCSETKGVLEALGNAARGTFVEFENNVRNETSKKPTTNGEVHPMIRYVMNYMKLIVDYAATLNSLLENDESDGLSRDDDTEEMSPLAKRMLRLITCLESNLEEKSKLYEDGGLQYVFLMNNIHYVVQKVKDSELGKLLGDDWVRKRRGQIRQYSTGYLRASWSTVLAALRDGSMAGGSSGSPSYGQRSNSSSSASKMALKERFKGFNASFEEIYRLQTAWKVPDPQLREELRISIQEKVIMAYRAFFGRNKSQLEGGRHAGKYIKYTPDDLESYLPDLFEGNQMVIHPRRKSS >A05p013100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5698546:5700356:-1 gene:A05p013100.1_BraROA transcript:A05p013100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFSDMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >SC359g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000201.1:5202:5941:-1 gene:SC359g500010.1_BraROA transcript:SC359g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFLHQLQQEAFKIRHFLQLQPISFFQPDMSHQFTSSRSRFRVELTVDDGKDSATFVVFAKEMTKLIKKEATNLALEEPPTYLIEMSGGEEYLEDLAGYEYVSQLRVTPYILIPNHRPTVSYSDISNPPPVVDMESGEATESANTMGWWRYHRELVKQV >A04g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12635510:12639117:1 gene:A04g506090.1_BraROA transcript:A04g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSLKMVSPRPPTTLFGLQRQITANGDGFPTFLPKEVKDIKDPFARALAQKIVRIPVPLQMENFRGCVMSSCTKPTVQLPDKSPVVLLHCFDSSCLEWRRAYPLLEEACLETWAIDLWKTYIKRPMILVGPSLGATVAVDFTATYPEAVDKLVLINANAYSEGTGALKDLPKSIAYAGIGRLHCQMPWWEDAMVNFMISGGYNVASHIKLINHKTLVICSENDQIVSNQLSVKLLCELQNAVFREVPDSGHLPHVENPKQFVKLISDFASGKLN >A02g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12324879:12325380:-1 gene:A02g503650.1_BraROA transcript:A02g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFPMLFGVRTDIHAPNHHCKRKLKTQRDGLSIDCEQLVMLIQKEKDWPVLDSELNEIQAVSKEFSELSIAYIPRALKFRMNSLAKGVRSRASQSAFVNPFAPSWLAPQASMRMSKKRIKLKVKLNISMNKFIRSPYLCGCLYRTNNFFRQL >A01g511200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31419944:31421161:-1 gene:A01g511200.1_BraROA transcript:A01g511200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCRDINFLPLSHRLPHNISIQDGTSIVRLHGYADLVPSHISGSAQGRVRHRSRKSIPLSWPNKPQSSPAKLLPLSSSDMFHQGQEIPPCSLASSSLGSSQECERRTWHPS >A01p048280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27192936:27194818:-1 gene:A01p048280.1_BraROA transcript:A01p048280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLVNLNLSDTTKQIIAEYIWIGGSGMDIRSKARTLPGPVTDPSKLPKWNYDGSSTGQAAGDDSEVILYPQAIFRDPFRRGNNILVMCDAYTPAGNPIPTNKRHNAAKIFSNSKVASEEPWYGIEQEYTLMQKGVNWPIGWPVGGFPGPQGPYYCGVGADKAIGRDIVDAHYKACLYAGISISGVNGEVMPGQWEFQVGPVEGISAGDQVWIARFLLERITEISGVNVSFDPKPVPGDWNGAGAHCNYSTKTMRNTEGLAVIKKAIEKLQVKHKQHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILG >A05p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:974630:976317:1 gene:A05p002790.1_BraROA transcript:A05p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 59 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G46200) UniProtKB/Swiss-Prot;Acc:Q8VYD3] MNPANYQPPFHWAPMLPPDPPRRGAFWNTKNITDQLKNLQDTIHLAKSMEKELDALRMIKDGKDSMEGVECLRRYVESVKVDLEEQEKLSVEAANSLMSTLRAQLEPFRFVVDESSPWEEKSAAARLSCRMKKSKRNKLWKKKKRRCVAEMRAKVGEPERFKQADREADEWREKEMAKDMANRKVISGEAIKWFPRVDEMKAIEKVKAKRERRRLEPELELALIVEEMQELRSLRIEKLKKQGHFFPEEDDKFFDSVRAAVEQEENQAQSVINTETEEIVIASEEDTSLATSNKIINNDTDKESNTVLGAACEKKIEAPPPDNGVDNVSNLPVECYHYYYGSNLDMGRLIEIRREWDAYLCPGGSRIPGHWVQPSPPANEIWASCLVKTPNSDLI >A01p031390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17924958:17925267:1 gene:A01p031390.1_BraROA transcript:A01p031390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTPMDQNSQRFSLFLLFLSFVSLFHSQEHEASLVPHSVCSVLHLTNFLHKFVYNSKRKRGSRAESKSFSYTSSRRLG >A06p036200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18715372:18725823:-1 gene:A06p036200.1_BraROA transcript:A06p036200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKQIKTAIVSNEQAIPINNLKPVHTAKMVHAKVLHSWKQNIQLGGETMEFVLVDENGHKIHATCKKTYIESKGSLLPVGAWRYIRNFHVRPAGGAYRTTNHAYKIVFNPNTNVTRSNFMNDELYLNLVDFQLILSGTLDEKLLIDVLGQVLDCGDVETIQCSGGYQRKKLEFILRDIKIPCCIWGNLTDILHSACNQDDGMVTLLLRFAKLGKFRASSGSHSPPVELPSGSPTQISDHPCPSAEPWSRPGCRTNSATLITPGGPGGAGAGAAAGAGAAGASNGEGDGRFAGNRERERWSRRKSREGETVRRGEKERNGEEDRARPNIMRRPTETESQEDNNKQVNHPGKRGQRDKWLIFPTKTIQEMIAVIKTGTNIKVVKGTEITTHIWWCETCNDTVFKVSPRFWLHLMVKDDTGVSKIMILDKVANGIVPESPLKFFTFGVYVEKDNVSYGAEIFKVGKVYKDRMICLTGGITSSHSEKPLTITSGDEGSVYFTDSQELNDSVTTPSSKRKEDDSISLPDISSTSKKPCLMTVKIEKAEEAAKKRG >A09p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6724256:6724998:1 gene:A09p013170.1_BraROA transcript:A09p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:Projected from Arabidopsis thaliana (AT2G18370) UniProtKB/TrEMBL;Acc:A0A178VTK3] MSILKSLVTIFVLGIFLTPRYSESAISCSVVLSDLQPCVSYLTSGSGQPPETCCDGVRSLDAATTTSADKKAACQCIKSVANSVTVKPELAKALASNCNASLPVDASSTVDCNTVG >A01g507080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:20723505:20724404:1 gene:A01g507080.1_BraROA transcript:A01g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEDKLKPDGTASDPWNLCTMQQVEEVKCIVRVIPIWLACAVYYLAITLQMTYPVFQALQSDRRLGSGGFKIPGATYVVFLMSGMTVFIIFYDRVLVPSLRRVTWLDNGITLLQRIGSGIFFALLSLLVSGFVEERRRAIALTKPTLGIEPRAGEISAMSAMWLIPQLVLAGIAEAFAAVGQMEFYYKQFPENMKSFAGSIFYVGAGVSSYLASFLISTVHKTTEHSRSGNWLAEDLNKGKLDYFYFMLTGLMFVNMVYFLLMAKFYRYKVTNDEANSVIKTNEEETKENQQQDKSYV >A07g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4152223:4153651:1 gene:A07g501980.1_BraROA transcript:A07g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVREGRRGEGEREMMMILMSLMMKIGEVLRERVGRRRGEGGAGVTGLMILIRLRMMQVVEGRSVGTKWQLLPTLMSQAMMKIHALGGVLLRGAAVAAAAIAGRYGIHSWQAFKARPIVPRMRKFYEGGFQAAMTQALAGHNHLEVNQPSNCLHSSNISCKKAWCMG >A08g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8017158:8018875:1 gene:A08g504810.1_BraROA transcript:A08g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRKGRLTAHYKEMFGELGSRLDPSSSSAPGSSGQETVPETQFGIDGCLASDVTDTMKGYFSMPHPNWSKTPHYVRKKLFKINAVVPKKKGRTLGIGSVNDVPRATSSYVQRRDDEVSQLRRESEELRRESTELRHEFSTRSAISYGWTRGLLGRCSGHKSGMGDFVEDHATTKSYPRRVTDRRLTCRGGCSGEE >A04g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14113827:14114785:1 gene:A04g506410.1_BraROA transcript:A04g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDDDNGFLIQEGTATTLLQGSIFVHRLNTFKHMLKEGAVYKLSRETLSSSFIKNMNEPSLGDDGEVHSTDNEALSIVSYRIEWNMRHALKPTYETLFEMLKYSSWRVAFFVHPMC >A01p058580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33363694:33367905:1 gene:A01p058580.1_BraROA transcript:A01p058580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLAIVGLISYYVYKSIKPPPPIPLPENVSKKSLRVELSDGRHIAYRELGCPKDEAKNKIIIIHGYANSKEVDLYTTQEMIDEFKIYFLFFDRAGHGESDPNPSRTLKTDTYDIEELADKLQLGPKFHVLGMSLGAYPVYGCLKYIPHRLSGASLVVPLINFWWRRIPQNLLNAAIKKLPFAFQMILRVAHYCPWLLYWWMTQKWFPNDRDPKKIWTERDIELSKIYYKKSYMDAVKRQGEYVSCYQDIIAGYGNWEFDPTELSNPFTDTNKGSVHMWCALDDKQILREVLVYICDKLPWIKFHEVPHMFKPEFYFTLTTSPKSSGQMMLLLLAIVGLTSYYVYKLIKPPPPIPLPENVTKKSPRIKLSDGRHIAYKELGFPKDEAKNKIIIIHGYANSKDVDLYTTQEMIDEFKIYFLFFDRAGYGESDPNSSRTLKTDTYDIEELADKLQLGPRFHVLGMSLGAYPVYGCLKYIPHRLSGASLAVPLINFWWRRIPQNLLNAAIKKLPFEFQMTLRVAHYCPWLLYWWMTQKWFPSNRDPKKTLTERDIELSETYSKKSYKDAVLRQGEYVSSQRDIIAGYGNWEFDPTELSNPFSDTNKGSVHIWCALDDKQILRDVLVYICDKLPWIKFHEVPHAGHWIIHEKKHFEAIIKAACTE >A02p017970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8203747:8204616:-1 gene:A02p017970.1_BraROA transcript:A02p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGYLAYARDRPCIGLDKRKVHFGYEIRALEDSWVPSSSARPDQAIALVNAILEALVVLDNISLIQSLALRKLYQWVQSRACICFKHLLGKIKTSKKYAFLYGRLRMETWWELDKPAINFQRSSLYTNQYVLEKNQIRILITGERSISRKKIHPKARNDKLFRGTSLISIRHTEEESRAWHEANMGENQLDVQKNHQLT >A03p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7563567:7564711:-1 gene:A03p018530.1_BraROA transcript:A03p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLSMAETLDALIDNSQETDYSSAHPEEDNTQNIDLSLLRINSFGNSSDRRRANSSPPQFPSHGSFGSPSPAAATSPVKRPSPESKEADEPRRKKLFLPQPRDAEDDETIRSLSDTFSSRSGVAQETAPSLPPRPPVFRRCVSDVSPAASKAFHGTDVEANKMLYAIKNGVVELDQWCDKLLHYGESVKQDGSSPKRLIKEGVRELDQWCNKLINYGEDSSPKAQVESPEEEHDKECKEGVKVDRVGEAFVVEINCPCGRNYRTLFSGRDCYYKLL >A10p038850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21630610:21632626:-1 gene:A10p038850.1_BraROA transcript:A10p038850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSRFLKTSPLSPPHNSSLSELSSAPAGEAVEMEAKIPLSPISHQLYRSSSRFWNTATTLPFCSPVSYPYLIGSSSQLRARHPLISFAVTKVSLLHDLGATGAVLGGAYALVLSFESLTKRNVISQRLSRKLVHILSGLLFVLSWPIFSPSKEARYFAALVPLVNCVRLVVNGLSISPNSTLIKSVTREGRPEELLRGPLFYVLALLVSAVFFWRDSPIGMISLAMMCGGDGIADIMGRKFGSQKIPYNPRKSLAGSISMFIFGFIISIGLLYYYSSLGYLHMNWETTFTKVAIVSMVATLVESLPITDQIDDNVSVPLATIMAAYVSFGY >A04g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2420683:2423696:-1 gene:A04g500880.1_BraROA transcript:A04g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTWPAVKSSTPKTVAGPNSFSPAPVLTGSSKPTDPRSGISFPVNFKILQPKHSSPIQTNKTSSPSSNLPHQTTASLSKPLSAATSNFTLNSEKNPFATVNPNPVSASADPPPATDRKSTTTLPTVNPPTKKAPPTTAEPTQDNPPNQPTASSSPLLEKIRKQEDKSLKRLAPVTLSEKGVPRVLIPDSVFQIGAEIHKDFIICYFNGKTPPYTQIQSVLSHMWGKGKRVEIHMNPLSRSMLVRIPSDYLRQKILEKSAWYVGDSMFQAVQWSSSASTSPPNLESIQIWAHLTGVPLDLRHQQGLSLVAGLVGEPKETDDFTKNLVSLTLSHVKVAVNLTKPLPSVVEFVRQSGEVVEVQVTYPWVPPTCTYCKELGHVSRNCLQAPPAPKSSETPAKKAQNAPSASQKGKNVAAPSVAPTVAHSTSNLPPHSASGSTHASASTSSSSFFQPEVNLPPKPATFATSFQTPRKNFPPSPALAHVPKPLTSPLTSIIPSTPPSPPDTFLTPSLKRPRPDPDQKPFPSFTAQLSYFSTISSSNSLPLALPAPSFVNHSSNPFTVLDPDDPIQMSVHAVTHFQQILGPSPAPVLGVLSTTQ >A09p078630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58098527:58099250:1 gene:A09p078630.1_BraROA transcript:A09p078630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMMKEGRSLAETPTYSVASVVTVLVFVCFLVERAIYRFGKVSGPGDEDEMLILCPFVFPVVKEDKKKGTFYFSGEDERRVDVAGAYITAPVTKREMDFGNLCKLFSFQQ >A05p011460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4892072:4894422:-1 gene:A05p011460.1_BraROA transcript:A05p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKPVQDVTDVTESKNEEENVDVVESSKDKNIPDVLDLSTEKTDENVPVKEAGLPEPGTSVKSKTANKIVKKKTGTFSRSPRFLSQSSSFPARGARDDITRKSIDATTTPKASSKPVVASGSRPKAMASPSSGVSTKRNSLVSAPLKKQTVPVKPVSKTAAKVPASKLVVDEGSKSIKDEVASKNTEDAPSTTAVVAEKVSKPVKGEVASKEDDDTRSTTTSISTPRGRRSSVGSASGFSFRLEERAEKRKEFYMKLEEKIQAKEVEKTTLQAKSKESQEEEIKRLRKSLTFKAGPMPSFYKEPPPKVELKKIPTTRPKSPKLGRRKSSSDATGGETGAPRVTKPRDSPLSSSSSLKKPITKSQPKTESVKAKERKKEEAEKRREEQKTSSTVAAKPEEKKVEAEGEKASCVSVAAKPEEIKPESNNIQVKAEIMTSEVAVGS >A07p005510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2102007:2102338:-1 gene:A07p005510.1_BraROA transcript:A07p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKYILIAFVFTIFFAMSIVRCTDIVSDFGIKYNMCYGPCDDKGVCEPFCSAKKGLKRGDCVGKAKDYLGVCCCDEH >A04p017940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10832116:10835703:-1 gene:A04p017940.1_BraROA transcript:A04p017940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYCNDDLNMPVYSAGAPTNELRFSKHVYDNVHGNIYLDPLCLKFIDTEQFQRLRELKQLGVTNMVYPGAVHSRFEHSLGVYWLAGETAQKLKNFQGMELDIDKHDLQTVRLAGLLHDIGHGPFSHMFEREFLPKVMSGCEWSHESMSVKMIDHIVDTHNIDIDAQMLKRVKDMILASTEFSQLKSNAEKRFLYDIVANGRNGIDVDKFDYLVRDSRACGLGCNFQFQRLTETMRVMDNEICYRAKEYRNVHKLFATRADLYRTVYTHPKVKAIELMIVDAMVKANDYLGISTNIDDPAEYWKLDDTILKTIEIAPDQELAEAKDLILRVRRRQLYQFCNEYAVPKEKIEHFKAVTAQDIVCSQKHTSLTLKEEDIAVTNVKIDLARGRENPLECIKFFKVHYRLSVLYTIISTLYLIDGFLVQDYESEEKFVIPEERVSHLLPTTFQDMIVRVYAKKPELVEAVSEAFENFQVRTYGIKAQVHETPEKKKRRVM >A03p026210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11025028:11027225:1 gene:A03p026210.1_BraROA transcript:A03p026210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMRHDYFTNQSQISRTKSKDHILSDTLSLRSDRSTRLYYSHLLPPLSSSSSTFKSLHPSMAVKKPGVIALFDVDGTLTAPRKEATPELLEFIRELRKVVTVGVVGGSDLSKISEQLGKTVTTDYDYCFSENGLVAHKDGKPIGIQSLKLYLGEDKLKELINFTLHYIADLDIPIKRGTFIEFRNGMLNVSPIGRNCSQEERDEFERYDKVQNIRPKMVAELRERFAHLNLTFSIGGQISFDVFPRGWDKTYCLQYLEDFNEIHFFGDKTYEGGNDYEIYESPKTIGHSVTSPDDTMAKCKALFMS >A08p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24363390:24369949:-1 gene:A08p043860.1_BraROA transcript:A08p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G08430) UniProtKB/Swiss-Prot;Acc:Q9SJE9] MEKLREIVREGRRVGEEDPRRIVHSFKVGVALVLVSSFYYYQPFGPFTDYFGINAMWAVMTVVVVFEFSVGATLSKGLNRGVATFVAGGLALGAHQLASLSGRTIEPILLATFVFVTAVLATFVRFFPRVKATFDYGMLIFILTFSLISLSQFRDEEILDLAESRLSTVLVGGVSCILISIFVCPVWAGQDLHSLLISNLDTLSHFLQEFGGEYFEAREYGDIKVVEKRRRNLERFKSVLNSKSDEDTLANFAKWEPPHGKFGFRHPWKQYLVVAALLRQCAHRIDALNSYINSDFQIPIDMKKKLEEPFRRMSLESGKALKEASISLKKMMKSSSYDIHIINSQSASKALSTLLKSGILNDVEPLQMVSLLTTVSLLNDIVHITEKISESVRELASAASFKNKMKPTEPTVSLKKSDSGSIGCAMPINSRDGDHVVTILLSDDDDDTSNNVVDDDTINEKPEDGEIHVDTSCVHGVGMMLEHSLGVRAMEKVREIWKEGRRVGKEDPRRIVHSFKVGLALALVSSFYYYQPLYDNFGVNAMWAVMTVVVVFEFSVGATLGKGINRAVATLVAGGLGVGAHHLASLSGPTVEPILLAIFVFAQAALSTFVRFFPRVKARYDYGILIFILTFSLISVSGFREDEILDLAHKRLSTVIMGGVSCVLISIFICPVWAGQDLHSLLASNFDTLSRFLQEFGGEYFEETDDGDVKEVEKRRRNLEKYKCVLNSKSNEEALANFAKWEPRHGQFRFRHPWKQYLAVAALLRQCAYRMDALNSYINSDFQIPMDIKKKLEEPLRKMSSESGKSMKEASISLKKMRKSSSSDIHVLNSQSACKDLSTLLKSGILNDVEPLQMIALTTTVSLLIDIVNLTEKVSQSVHELASAARFKNKKKSTVSSKKSDSVSTVRAMPTKSQDDHVVTILCDDDLSNTSMKNMKMVKYMYIRVVYYVVKLMLVMF >A09p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44231222:44242030:1 gene:A09p050350.1_BraROA transcript:A09p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSRSPTYGRRSEDNGMKLLATALFATAFGILLGLSFPSLWITKANLPKNLLRSITISNRHSDIAALVHAIDISKIWVPSNPKGAERLPPGIIASESDLYLRRLWGNPEEDLKKKPRYLVTFTVGYKQRQNIDACVKKFSDNFTIVLFHYDGRTSEWDDEFEWSKNVIHISVRKQTKWWYAKRFLHPDIVARYDYIFMWDEDLGVDHFDAEEYIHMVKKHGLEISQPGLDPEKGCTWQITKKREHVEVHKETDEKLEWCSNPPRPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVAEPAYEKIGIVDTQWIVHQFIPSLGSQGKEEDGKSPWQGVKDRCHMEWKMFEREWMRQRRNILNRYKFKLHPIQLHCTSTLPFFLSCEIFKCFFSTFTY >A01g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19724214:19726128:1 gene:A01g506710.1_BraROA transcript:A01g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTAESGSGESHILLSIFLTYKQTEDQPTKSNQRLQKRCWDDQSSHEPPAVSLHSNDPREIRANRLALAPRTGASSKMPHQTYTTGENRRVDRQAGEKETTSRTPERPRIQLDLYRTEAHNKLDVERVSHHTAVIERIEIRGFVTGLLYAVLFILKQQWVLEFPIIQMKGRRRSLRLLKRRWSCSRRQRLEFGVDFSTKSTSLAAGTTPKSTPKADGGGSRVGIGHLAFAMYRSWLVLLVFNQRLGTCLLGLSFNLPHRS >A01p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4926250:4928698:-1 gene:A01p010120.1_BraROA transcript:A01p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGSLVNYTPLHSRADGEENKDHQRYQRMKSISVNNKYKETKTLKRKAIQEPVLEFNYGFKKAVVEEDASNRSSSGSAISNSESCAQFSSADGSELTGPSQSNAWDTTVPCKRRTCMGLRPKTSSVEKLTQDLYNILQEQQSSVSSGEEDLLFENEMLSMVSVEIGHGSVLMRNPHSFAREEVSEEASCLSSVENKSSISDAYSSHSVKRAEIGAERGSVSVGQAVKEEQLKRTKSQNGRVHVLGSHGSPLCSIDLKDVFNFDEFIEQFTEEEQKKLMRLLPQIDSVNLPDSLRMMFESAQFKESFSLFQKLIADGVFEMPSSTSEARLEEMRTFKKLALSDFRKSRLVESYNLLKEGDKGSATTTSRSSNPNVHKHIVTIKRRCENQTQLKSESRGLMRSPKSVTKMKASYEGNGSCFSQRSLASVFAQGNCSSDQDLLLLDLPSNGSFPQAELLHQL >A07p040650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21528598:21530861:1 gene:A07p040650.1_BraROA transcript:A07p040650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDLGFACFLSGRTRESSHEHNKAWLLEETRPTFIESDPYSGQSSFRFSLCSQVELEKMRREQPSSSFQVSEGSATVLLVNEVKETDKPTVEMNWSTALSLEKSISPVTNTLIRFSYSEIVTATRNFSKGRVLGKGACSYVYRGRMGIWRKALAIKRLDKEDTESPKSFCRELMIASSLQCPNIVPLLGFCIDPEQGLFLVYKYVSGGSLEHYLHDKKKKKRGVKVPFCLPWSTRYKIALGIADAIAYLHNGTEQCVVHRDIKPSNILLSSNKKPKLCDFGLATWTAAPSVPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEVRRPSGEENLVVWAKPLLRRGMEAIEELLDPRLTCTRKNSVAMERMIQAARACVTDEESRRPGMKEIVSILKGGERRRVELRTFSSRTKSNLSSLMDCYPQLQRTKSEMKSHLALAMLGVTEFEDDDDNDNVLL >A01p000720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:289963:291982:-1 gene:A01p000720.1_BraROA transcript:A01p000720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPILDNRPIDKWKVTELKEELKRRRLTTQGLKLDLVRRLHDALRAEQQESETAALAAANQLPDVTSVPLVGGAAFTTPEPIGVKTTTTEASAAIQTAPPPVFSKPVSVLDDVRDVAALNAPVVTQEASNEQIIEMENKETFSGLDSKAQPTEVSAIHNQVSEVIPVTGFEVKSDCISTDCVSSSNNEKIQLKGNEIADNVKLEHSLSKSQEPSTVIGESHPKISAGGGDDADMTKGYNNNSVDAGDSEKLNLDRSSGDESMDDEPETKQNESVTSHEVVDKSVQNDLLPDKADNMDGEKGEAPDNKCHPLVASDKRKLPVNDQEAVGNNEPAKRQRRWNSENIKVPEAQAVEPTTTPRSAGLKRDFSRSVSSVSEDGHKERVVPPSPKEPTNFLRIDRFLRPFTLKAVQELLGKTGNVTSFWMDTIKTHCYVSYGSVEEAAATREAVYNLQWPPNGGRLLTAEFVGPEEVKAKLEAPPQPQTQAPSHPPATALPPPPPLAKAPPVKERHALPPPPPLVPEEQEAPIVTLDDLFKKTKAIPRIYYLPLSDEQVAAKLAANNK >A07g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23525559:23525880:1 gene:A07g508590.1_BraROA transcript:A07g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILPVTDSAPTPVPLEIHTDDVVTDANVELIPPTTRRPPGRPRKSRILSRGEIRMKPQRKKHICSRCKASGHNKATCKMPI >A03p053340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22878268:22879718:-1 gene:A03p053340.1_BraROA transcript:A03p053340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEDSLSHSNSTRFQSTTNFYGSPSSPSTERIHRQAGRSMRTVRSNFYQSGDQSCSFVGDEYLSDSVVDMRLGELASKNTNSSPHSKASSLIDEVFLDISQAFSDFSACSSDISGELHRLACLPSPDVNENVGVQDPEPCLGFLERESFSTEIIECISPEDLQPTVKLCVDGLRSSSVAIKRSAAAKLRLLAKNRADNRLLIGESGAIQALIPLLRCNDPLTQEHAVTALLNLSLHDQNKSVIVAGGAIKPLVWVLKTGTETSKQNAACALLSLALVEENKNSIGACGAIPPLVSLILNGSCRGKKDAVTTLYKLCTLRQNKERAVAAGAVKPLVELVGEEGTGMAEKAMVVLSSLAGVEEGKEAIVEEGGIVALVEAIEDGSVKGKEFAVLTLLQLCGESVRNRGLLVREGAIPPLVGLSQSGCVSVRAKRKAERLLGYLREPRKEGSSSSS >A08p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4533006:4533389:-1 gene:A08p009000.1_BraROA transcript:A08p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKINDVSEYISDTLCILCEVKLLWLLRHSDIVEIKNILLPPFKKEFKDIYVFFEQMESNLHLVIKANEDLKQERHQFYLYEGFLMFTTMI >A01p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8162744:8164225:1 gene:A01p016480.1_BraROA transcript:A01p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTRAEHNKEDFVGGGFGFGVVEPSHREVMIPSHHHHYPSYISPSSYSGSAGVTDPVFSSSTNHAYTCSLGEMYSLAGSNSAAVSAADPLFTLSSSGEMGRSMSEKEGAAAAAFSESQWQELERQRNIYEYIMASLPVPSELLTPFPKHPSHTYHQDVAKGGSLKLGFTSNASNNAADMEPWRCKRTDGKKWRCSRSAVPDQKYCERHTHKSRPRSRKHVESSQHNDTRTTKNAASHYAGTYPQLYGQPVNPFSNDHREFRWFMKEDDANANLNPAVGPSRELKRGFDYDLNFRQEEALVNQSFGALEGLLSPNRQETRRFFVEGDQDEAMGSSLTLSMAGGGMEEAERRRNQQDQWVSHEGPSWICYTSPGGPLAEALFLGASIDPSVSTTTSSCSRSSS >SC150g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:56797:60151:1 gene:SC150g500020.1_BraROA transcript:SC150g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKEEAMKWIMARPDELYGKLKGLIHEVLYREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPACSQVKSIAIFYHLPTPPSSDPGRDSHSRAAPSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHDRKWKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A03p027430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11480962:11486352:-1 gene:A03p027430.1_BraROA transcript:A03p027430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLDKLPQMDLMRSEKMTLVQLIIPVESAHRSVTYLGELGLLQFRDLNADKSPFQRTFATQVKRCGEMSRKLRFFKDQIDKAGLRCLQRHELEPNIELGDLERQLADHEHEVLEMNSNSEKLRQSYNELLEFKIVLQKASGFLVSSNAHAIGDETELHESTYSNNGFIESSLLEQEMRPEPLNQSGLRFISGIINKDKLLRFERMLFRATRGNMLFNQTPSDEEIMDPSTSEMVEKNVFMVFFSGEQARTKILKICEAFGANCYPVPEDITKQRQLTREVLSRVSDLEATLDAGSRHRNNALNAVGYSLTKWMTTVRREKAVYDTLNMLNFDVTKKCLVGEGWCPTFAKTQIHEVLQRATSDSNSQVGVIFHVMHAVESSPTYFRTNKLTNAFQEIIDAYGVARYQEANPAVYSVVTYPFLFAVMFGDWGHGLCLLLGALYLLARERKLSKQKLGSFMEMLFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTTCSDAYTAGLVKYRDPYPFGVDPSWRGSRSELPYLNSLKMKMSILLGIAQMNLGLILSFFNARFFGSSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHILLLLLAFIAVPWMLFPKPFALRKIHMERFQGRTYGLLGTSEVDLDVDPDSARSHQEEEFNFSEIFVHQLIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENILIRLIGVVVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFFHGDGYKFKPFSFTLISDDDE >A02p009670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4081675:4082949:1 gene:A02p009670.1_BraROA transcript:A02p009670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTCHIEAMLTDILVRLPLRSIARFKKVCKTWKTTIESPYFRRLFVSSHQNSSSSWSLLLCGTKEIIGFHGCETWDLPKSPSYFIPPSLKHSSFGYFSYAASSSGLVLINDSSYSDESYCYVGNPVLKQWIRIPPAPSYSIVLGLVTRVDEDGVVSSFKVIRLASVQSMNNDLACIWRVFIYSSEIGIWSFKEIYCPHQIRNMYYITLNGTAYFGWVSVYGVLVAHDFYSESNEFRVVKLPDYQSDKKGLRRFLTMSGGFIMYVTAFYQTEDDVLKIWRLNDDDESWKLLWVIKLPSISVYVPMAMHPFDIGTVYLCSHHDRHLVSCNLRTLNYTILKDGYQDCFIDQSVCESFVNGVSDPRSSSYLWNGVSVKFLPLVLPRWIGSVPCPPQAEMIDTVSLLSYMTSTDRTMKRKNRNDNDF >A05p051050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29694723:29696565:-1 gene:A05p051050.1_BraROA transcript:A05p051050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCFYFHEKKKTPKDSDNSRRRNGELTGRDNNKTHPEIPDKTGNEQNKNNDAEKEMTNNIAAQTFTFRELATATKNFRQECLIGEGGFGRVYKGKLEKCGKIVAVKQLDRNGLQGNKEFIVEVLMLSLLHHKHLVNLIGYCADGDQRLLVYEYMSRGSLEDHLLDLTPDQVPLDWDTRIRIALGAAMGLEYLHDKADPPVIYRDLKAANILLDSDFNAKLSDFGLAKLGPVGDKQHVSSRVMGTYGYCAPEYQRTGQLTIKSDVYSFGVVLLELITGRRVIDTTRPKDEQNLVTWAQPVFKEPSRFPELADPSLEGVFPEKALNQAVAVAAMCLQEEATVRPLMSDVVTALGFLGTAPDGSISVPRYDDVSPPQPPGETSREDPAAAEERERAVAEAMEWGVASRAHSRNPSAAQSLNPSAAQSRNPSAAHSRNPSAS >A09g504810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:14490048:14490830:1 gene:A09g504810.1_BraROA transcript:A09g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLTFKERELDRMRVEISIKTKEVSVASFEFENKSQLLSQAKEIVERQEDEIEALQRALKEKEKEIEIATAKKRLEQEKLRETEANLKKQTEEWLVAQEEVSKLQEETGKRLGEANETMEDFKRVRKLLTDVRFELVSSREALLSSREQMGEKEVLLEKQLEELKEQRRSVLSYMKSLRDARGEVESERVKLRVAEAKNFTLERETSLQKELLEDLREELKKEKSLLEEAMRDVSPIQDELDKKTNEFQVSCPSSTSC >A08p036880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21579312:21580300:1 gene:A08p036880.1_BraROA transcript:A08p036880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKNKKPIYQSRPVNMDRANAAGFKATALRLAGTTPFSRGMNSLRQLPLAQVSKTQPISRNGDGITPLLYGYAAALTRNNALSTSTFETSPPSPPSLEEESMKFPVAAFENRMAQDDSNVEHFSAWSPFDEPFITIDKEQQPEYVPPQVPLSSSYDSLDCPNALNDSPPFPRTLVSDNLNWPNFSHCLRSEQTPLPQPTTSPSESLRRTGSAHGLRSGNIPATHLPWGSLYPNAYGPVPARPSYLSGRGSQNMVPPVPGYLPSESLFSWSNSHNSPPLVPAALPYGSVGWPCYHGFGTIPS >A02g510350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27458799:27459261:-1 gene:A02g510350.1_BraROA transcript:A02g510350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFQVSGALCHEENSSLPRGGRLGENNHQLTPLARENIKGTDAAEQETMVLWRRALPTLRSEQRPTFSKISCFESHFGQTISKISYNSSLFNY >A06p013900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6286649:6287561:-1 gene:A06p013900.1_BraROA transcript:A06p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEERHVVTKPKDKSCRLQWINYLRPDVKRGNFSVEEEETITELHQSIEARRTDKVIKNAWYTHLKKRLISYTNLNPDEEVATKGSLNREETFKESFPNASMSFGGSNILSILQDWSFVNGSDSFQQPENSLTPRAHQDSQGDEVDKWFNYLESELGL >A09g518070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54961693:54963631:1 gene:A09g518070.1_BraROA transcript:A09g518070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRQRNTNSRRPTNSNQTAEDSTPPAEATLRNKDKYKWSYIQEKTLIQLFDEAVALDDYTLKNPSAIGREYMVDKFNRAFNMNITYNFFKNKLDEFKKSYKRWKTLMSFTGISVDPDTSMIYASEAWWKEREVGCKLTKSLNRKPPIFWEVMVRCFALHDVQSQSQHSARQRREELINTRLVDEEVDDGSDTDSGDRPQTQPQEMEEEEVYRVIVDDGTHHLNEDTNETVRRCHQRGRQNVQSSARRGTTSHRLGETSRVPLRGGSRGNRRRQSFETTIQDTIAGYTEFQRQSLQQLRPGAFDQENYDEWKKAEEIFLALSIPKGRFYWTCLNTLKELVFWRKYFLDIAGSIDEDKLQLLEAMTGVSRNNEDVPKQLGVDQSCGSSYSQQWGTPPTAQQWGTPPFSQQWGTPPNAQQWGTPPSVSRWGTPPNAQQWGSPQPTQQWGQPPNVQQWNTPSTSQQWGTPPNSQQWGPQPNISQWSTPPNASQCETPPNASQWGLSQNTSGWGISSNFQQGGPARTNPTTVQYGFSVGSEEESVRNAQENNAAVGTSPNDNAGHTSHTPRPGGLFNIWGTSININESHQNYSEDED >A06p015770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7051596:7053887:1 gene:A06p015770.1_BraROA transcript:A06p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative aluminum-activated malate transporter 3 [Source:Projected from Arabidopsis thaliana (AT1G18420) UniProtKB/Swiss-Prot;Acc:Q9LPQ8] MAAPKLESFRRGSMFDVSFRRSSMFDGSFRQSIRDRLLPHPTRDSFYPGEDKTPVRCCSYRYFSDKITGFVTKSQDVLVTAWEMGTSDPRKIIFSAKMGLALTLVSILVFFKLPGSELSNHYLWAILTIVVVFEFSIGATFSKGCNRGLGTLSAGALALGMAEISALTGKWAEVFNSVSIFVVAFFGTYAKLYPTMKPYEYGFRVFLLTYCYVIVSGYRTGEFMETAVSRFLMIALGGGIGLLMLVYEAVTDDPVYSGYRSAVQSTSQEDTLMGFASWEPPHGPYRSVRYPWKAYVKVGGALRHCAFMVMALHGCILSEIQAAEDKRSVFRNELQRVGVEGAKVLRFIGEKLKTMERLNPIEDILHEIHQAAEELQSKIDKKSYLLVNAETWEIGNRSKDLTDEIKISNLDEDVSRILAHKSQSEATIRPPKNWDVVTTTSKNPNPATIQSQQSRTAMQKQSSWPSRISLTPGSLLITPGDDDEAAKMYRSASALSLATFASLLIEFVARLENLVNAYDELCEIANFKEAA >A06p035110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18978288:18980043:-1 gene:A06p035110.1_BraROA transcript:A06p035110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKLNQTPAKKHGATTMEDVLVALHETKEERDLRIRRLFEFFDSSKLGFLDDTHIEKGLTSLRIPPKYKYARDLLRVCDSNRDGRVDYEEFRRYMDAKELELYIIFQAIDVERNDDICPDELSQALVKSGIEIEDKELASFMEHVDKDKNGTITFQEWRDFLLLHPHEATVENIYHHWERVCLIDIGEQPVIPDGISKHAQRSKLLLAGGLAGAVSRTATAPLDRLKVVLQVQRTKSGVVPTIKKIWREDKLLGFFRGNGLNVTKVAPESAIKFAAYEMLKPIIGGGDGEIGTKGRLLAGGLAGAVAQTAIYPMDLVKTRLQTCVSELGKTPNLLKLTKEIWVQEGPRAFYKGLYPSLLGIIPYAGIDLAAYETLKDFSRTYIHHDEPGPLVQLGCGMTSGALGASCVYPLQVVRTRMQADSAKTSLSQEFLKTLKGEGVRGFYRGIFPNLFKVIPSASISYLVYEAMKKNLALD >A10p014090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4371441:4372961:1 gene:A10p014090.1_BraROA transcript:A10p014090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSATKLQCQRIGCNAMFTDDDNPDGSCQFHASPFFHDGMKEWSCCKQRSHDFTLFLEIPGCKKGKHTTEKPVLAKPAPRQPPITVPTSSPVANAAATLKDSCSRCRQGFFCSDHGSQPKGQIRQDLSTPVVQAQEEDIETLAPPPPVKKVVIDINQPQVCKNKGCDKTFKEKDNHETACSYHPGPAVFHDRLRGWKCCDVHVKEFDEFMEIPPCSKGWHSSSADQAV >A07p022570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13163384:13165676:-1 gene:A07p022570.1_BraROA transcript:A07p022570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAFRTRLSLLRLLLLVALALLSILVSVKGESPYKFYTWTVTYGVISPLGVPQQVILINGQFPGPKLEVVTNDNIILNLINKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNFTYKFQTKDQIGTYNYFPSTAFHKAAGGFGAINIYARPRIPIPYPLPVADFTLLIGDWFKTNHKTLQQRLDSGGVLPSPDGMLINGQTQTTFTGDQGKTYMLRISNVGSSSTFNFRIQGHAMQVVEVEGSHVLQNVYESLDVHVGQSLSVLVTLNQPSKNYYIVASTRYTTSKLSVTGLLRYSNSGVPASGDMPPPPPEDLDWSLNQARSFRWNLTANAARPNPQGSFHYGTITPTKSFVFSNSAPLFNGKQRYAVNGVSYVNSDTPLKLADHFNIPGVFSTNAIQSVPSSSPVTVATSVVQASLHDFLEVVFQNNEESMQSWHLDGYDFWVVGFGSGQWTPEQRPLYNLVDALTRHTTQVYPKSWTAILVSLDNQGMWNMRSAIWERQYLGQQFYLKVWDPVQSLANEYNPPDNLLLCGKAIGRRV >A07g507340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19485528:19485980:1 gene:A07g507340.1_BraROA transcript:A07g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRPSQTLRLSLLLSSIRSSSLVSSVSLSWSENIVTVSFSGGSRSMFSVSSSAHRLTLTVMCLVGLRSVRISSRFIVIAPVRALIVSHAVDGSPLRPSLKVSRDDVVKPFGSLSTGVVIFWGVPHFSSVTVSHNHRDSPMLCNCNSG >A05p031370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18513582:18515634:1 gene:A05p031370.1_BraROA transcript:A05p031370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHTKEELQDPTMQVSSLNCIDLANDDLHHSACLDCGFFYVINHGITEEFMDDVFEQSKKFFALPLEEKMKVLRNEKHRGYTPVLDEILDPENQVNGDHKEGYYIGIEVPKDDPNYDKPFYGPNPWPDSDVLPQWRETMEKYHQEALRVSKDIGRLLALALDLDANYFDTPEMLGKPIATMRLLHYEGVSDPSKGIYACGAHTDYGMMTLLATDGVMGLQICKDKNAKPQKWEYVPAIKGAYIVNLGDMLERWSNGFFKSTLHRVLGNGQERYSIPFFVEPNHECLVECLPTCKSESNVPKYPAIKCSAYLTQRYKETHAELSIYNQEI >A02p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8838604:8839474:1 gene:A02p019100.1_BraROA transcript:A02p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKKSCEIERSSKAKPWTPLIPSSIHSETSLRIAFVSLSVVTNQIAKIHESCCSYSDWVRCDGIRGLLCEAHLYSDQQHHRRCHLGSKEQNGRKAKTSFEK >A05p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13860021:13864483:-1 gene:A05p026020.1_BraROA transcript:A05p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTSSVCALPSSSMYTPYSSCCSMRIAIFLTRKDPGMVPMRIAIFLITKGNEGSGEAGESKPTDQQEKEVPMVAHIAKFSQKKEETVELVPVDEGLDLANESADSLEVFINMDMDENLVPEVETGNDNGVGEYFQDLCNEESEVKGAISYDHADAVGDEENKEEGEIEKRLL >A10g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9384684:9387016:-1 gene:A10g503680.1_BraROA transcript:A10g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDHPDSPEEQQMRPIPKMMFAAGEEPVGVRVLTYQSSSVLKRIFNALEEDEVEIIRQSSFVEVVTVSSLIKMLYRKTVKDKEIRIKYACLALLESVLLPTSLNMKISREHAEAIEDLGEFFASLWGRLSFDLLMGSIKERDEIGLSQNTIVVKGFVLGLQLVLVEAVPALTEVVDDTGSSSESDVKDLDGVGRDIFRKKHTLNPAHARSVDKRTDVIVHSILDDDPLQPLDAGNLAYSDEEQDSRVENLVEGIKGNINYNYSSFEGGMRQIDVECMRQSSKGSSKSKKANNLHNNVERIDPSYVAATVIEKMKPRLDLLEKNQNAASSTLVEMEGRVVRQVESVLVKFKEDMINSVKDMVSGLCKDYLAAHVGPQYVTPPVRNDVSGTSNHTTPVADQNEVTIRNVLRNLSDYSTPPRSTRMSQDENRTPTMKDNHGSGYECVTPVPPNGAQSANSESRARQSSFQQRLELHKRKAHNVEEEPSFSLGLTQEEQSVGIVNVSVREDEFADLVPPIHVADNIEERQLCRKSKRQKTVPSGLLETYQCGPHLLSRLREYRKFIFLLDDMSAMERKFEKLSSDVKRNS >A06g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8677264:8680423:1 gene:A06g502570.1_BraROA transcript:A06g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTLYSSSPSLKQSTVKPFTSPPTTRGDSFSFPHTSKPTTHLPLTLSASRSHTSHSDAASAAAKKELIKDPDALWKRYLDWLYQQKDIGLYLDVSRVGFTDEFVVDMEERFKGAFKAMEELEKGSIANPDEGRMVGHYWLRNSSLAPRPGLKTLIENTLDSICSFADDIVSGKIKPPSSPAGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMYDWVGGRTSVMSAVGLLPAALQGIDIREMLAGAAIMDEATRTTSLKNNPAALLAMCWYWASDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNTVNQALTVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWDLEPGVTCGDYLFGMLQGTRSALYANGRESISVTIEEVTPRSVGALIALYERAVGLYASLVNINAYHQPGVEAGKKAAAEVLALQKRVLSVLNEASCKDPVEPLTLDEIADRCHAPEEIEMIYKIIAHMSANDRVLIAEGSCGSPRSVKVFLGECNVDDMYA >A03p023440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9898840:9903996:1 gene:A03p023440.1_BraROA transcript:A03p023440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDANVYTQDGTVDLQGRPVLASKTGRWKACSFLLGYEAFERMAFYGIASNLVNYLTTKLHEDTISSVRNVNNWSGAVWITPIAGAYIADSYIGRFWTFTASSLIYVLGMILLTMAVTVKSLRPTCANGVCNKASSLQIAFFYMSLYTIAIGAGGTKPNISTFGADQFDNDSLKEKKQKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYGIPTLGLLVSLMVFYIGTPFYRHKVIKSENLAKDLVRVPIAAFKNRKLHCPNDVLELHELDSHYYKSTGKHQVHHTLIFRFLDKAAIKTCSGESPCTVTKVEVAKRVLGLTLIWLVTLIPSTLWAQVNTLFVKQGTTLDRKLGSHFQIPAASLGSFVTLSMLLSVPIYDQYFVPFMRKKTGNPRGITILQRLGIGFVIQIVAIAVASAVEVKRMRVIKEFHIASPKQVVPMSIFWLLPQNSLLGIGDVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGLGNFLNSFLVTMVDKITTKGGGKSWIGDNLNDSRLDYYYGFLVVISIVNMGLFLWTASKYVYKSDEIKDFSGGCVEMEAKALDTSPLTIQL >A09p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9067299:9068501:-1 gene:A09p017520.1_BraROA transcript:A09p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRHNNSKKTKIGKDSNSLPPDLVIEILSRLPVKVVSRSQDFIRSFPSHSIQPHPPRLFYAVNNIEKQNGFYKWFFYSSPSTTLVEEEIIFQSSISRTTCEIWSQSPSHVHYVNGLISFGYGEKQIITSPSTGKTIYLPKVRSRKQIIRSYFGFDPVESQYKVLAVCMSDKVGDYSKVPSSEHKVFTLMGREGRVAQTWRMVTCKTSPHCPKTNGVCINGVLYYVASTGKKKMSEWFLMRFDVRTEKLDLLSRLSWRLYDLDRLSLINYQGKVTFVVQTCPSSTIFDLWVMEDTVEWSRICVHIPWKSCWKSTIDIIGTIHTGQIVFFYNHSDDMYARCFVLYYDHKTNRLIHRRLSYGIEVGFQPVSAMLLSCDYVENVMSFFSF >A02p036390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20827979:20833462:1 gene:A02p036390.1_BraROA transcript:A02p036390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEQVLPLLTKAKDPTNPSSASSSSSCETTEETPVSSNQEEEEEEEEENSPIREVALTVPTTDDHSLHVLTFRMWVLGSISCILLSFLNQFFWYRREPLVISAISAQIAVVPLGRLMAAKITDRVFLQGSKWEFTLNPGPFNVKEHVLITIFANAGAGSVYAIHIITVVKAYYMKNMTFFVSFIVIVTTQVLGFGWAGIFRKYLVEPAAMWWPANLAQVSLFRALHEKEERSKGGLTRTQFFLIAFVCSFAYYVFPGYLFQMLTSLSWVCWFFPSSVMAQQIGSGLHGLGIGAIGLDWSTISSYLGSPLASPWFATANVGVGFVLAIYVLIPICYWLDVYKAKTFPLFSSSLFTNEGSKYNITSIIDSNFHLDLQAYEREGPLYLSTFFAISYGIGFAALSSTIMHVALFHGREIWEQSMDSFKEKKMDVHTRLMQRYKQVPEWWFWCILAINIGVTIFACEYYKDQLQLPWWGVLLACTVAIIFTLHIGILTAISNQAPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMKQAVLFLEDFKLGHYMKIPPRIMFMAQIVGTLLSCLVYLITGWWQLETIPDICNSNSVWTCPHDKVFYDASVIWGLIGPHRIFGDLGLYKSVNWFFLVGAIAPILVLLASRMFPRQEWIKLVNMPILISSICWMPSATTVNYTSWLLAGFFSGFVVFRYRPNLWQRYNYVLSGALDAGLAFMGVLLYMCLGFENVSFDWWGNELDGCPLASCPTAAGIVVEGCPFYT >A01p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1898973:1903801:-1 gene:A01p004440.1_BraROA transcript:A01p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLRYNLLRSCNRRLFHRLPRTFSSSPTGSSLNLKPSPPNHPSRCPPPTIHSLSQPSSFSKKKSVLALSAAALIAYAAAYTPDHDQSDGGNSRIYDSIERWVQKSGTSLRRVVHHARQTGVAASVLWQSLRSVLSSANHEVRAGFELRVAALLADIASASAARRAALVGAGGGAVVDWLLETVAIPGDRIGAQDEAARALAYLIADPTVRKDALGRPDAVPKLLKFIFSCQPKNKKHSRRSSFDISDSLKGRSMLVTAIMDIVTSNCDTIEKTSFKSSLPGNAKMRDIAAAIQVIEEGGMYFDETEEDDDSDDGNSGIKGIGIKILEGTTVLGLSRTSGLAPLGCVNTNADEEVPKTFALISKHDNSSQANLSSAVIPGLWDDLHCQHVAVPFAVWALANWAMASDTNRSHIQELDRDGQVVMTALMAPERTVKWHGSLVARLLLEDPNLPLSDSVSDWSSSLLATISHASKTEDIPLAQVALSAFLVSVDKSDEARKMVMEKGLHLMRDSARKTKKHKVVQEGLSKALELLCAGEMHLSLEESQKWSGILLSWVLRKVASDTVQSSARRILSSTFEDYGPRSIPISQGWLTLIMNEILNYSKTLSAKGASLPKTEKPKVDLSKVASATLSTNQLAGAVVNLAMAQLGTVPDSINNVPLADLLLSEPFVVPIKNLKKDNPPKFNAAESALATLKSIKSLTEVCVEDSICQNKIVDFGILCLLRRFLLSDDYEKLGAIEAYDASRSLEARERAPDSVGESSITDIQDPSSVKVPASAHIRRHAARLLTILSLLPKVQKIILGDETWCKWLDDCARGRISGCTDPKTQSYARASLLNIYCNQQGDSGSGNGGSSKPDISNMNTNCPRYGDMIFLINPGLAHWKCPEKEQQSGKKNGSSSEGEATNAADTVRDHVVDASDLSSSMDPSSSGSRVHDPEFDVIFLHGLRGGPFKTWRIAEDKSSTKSGLVEKIDQEAGKLGTFWPSEWLSNDFPQARLFTLKYKTNLTEWSGASLPLQEVSSMILENLVSAGIGDRPVVFVTHSMGGLVVKQILHKAKEEKLDKLVKNTAGVIFYSCPHFGSKLADMPWRMGLVLRPAPSIGELRSGSPRLVELNDLLRQLHKKGIVEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRSDPSYTEALQFLRKLSSQRSRSNIKPEAGIHD >A03p074390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32390562:32390993:-1 gene:A03p074390.1_BraROA transcript:A03p074390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVGSEPPEVFTRAARCQNIAKLVIIICSPTTIVILSSRRSRSHQPRRNRSPNEAARAFGSFASAPELHAQLPEIVAAALTAVLRCRTAAMPPSTAVLRRLSPPSSALRRRRR >A05p050580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29417280:29419357:-1 gene:A05p050580.1_BraROA transcript:A05p050580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKVDVDGDGMVNFKEFKQMMKVEGNLLRGEDVVENTITEEASSVVEPFIGMEFESEEATKSFYDSYATRTGFVMRVDAFRKSMRDGTVDEKDAKIRELSTELSRERKRCATLQQQLDMVLNVMEEHANHLSVNINNVIQSVKEIESITFTKPLS >A04p028200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17012516:17014637:1 gene:A04p028200.1_BraROA transcript:A04p028200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYARTFSSLTHLHQTFSPPIPLLRRRHHSAKPTSRITCNLKSNHHKLRSSRSVELDQFITSQEESGGGGGGEADEEIGEGFFEAIEELERMAREPSDILEEMNHRLSPRELQLMLVYFAQEGRDSWCALEVFEWLKKEDRVDEEMMELMVSIMCGWVRKLVEEECGAGEVFELLVDMDCVGLRPGFSMVEKVIALYCEMGKKESAVLFVKEVLRRRDGFGGESVVVGGSEGRKGGPSGYLAWKMMVDGDYKNAVDLVVDLRHSGLKPEAYSYLIAMTAIVKELNSLGKTLRELRRYTRAGLVAEIDDHDRLLIEKYQSELISRGLELAAWAIQEGKDNESIVGAVHERLLAMYICAGRGPEAEKQLWEMKFAGREPEADLHDIVMAICASQKEVDAVSRLLTRAEYMGTERRKKTLSWLLRGYVKGGHFEEASETLVTMIDSGLCPEYIDRVAVMQGMTRKIQRPRDVEAYMGLCKKLFDAGLVGTCLVYMYMDKYKMWIVKMM >A01p022630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11155545:11156382:-1 gene:A01p022630.1_BraROA transcript:A01p022630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEEGHCYEKKKISLNPTTERIDPILKSNCYCFSNFIDVYHGLAHPNFSIGLSNNEYMIFSYETNIYGAVVGVGFLEEYMNEDGNNAYVLNFFFTTYGNVLVKCVAYGTLAHAFQDLWDSTNADVVLCVLQSIQELNIDGFFKVVFEPYDVEIDAFRK >A02p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3042485:3046090:1 gene:A02p007200.1_BraROA transcript:A02p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase small subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16290) UniProtKB/Swiss-Prot;Acc:Q9FFF4] MAAATTTAASLACAHFLNQTKVHAFPSKTSVQVSQIIDGRKMRSATLFSAASTDKAITTAQSVSSTACDRVRRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNEDKAMFTIVVLGTDKVLQQVVEQLNKLVNVIKVEDLSKEPHVERELMLIKLNADPTTRSEIMWLVDIFRAKVVDTSDHTLTIEVTGDPGKMVALTANLEKFGIKEVARTGKIALRREKMGETAPFWRFSAASYPHLVNQSSHETVAANTKRALTGGNGTASSGGDVYPVEPYNDFKPVLDAHWGVVYDEDSDGLRSHTLSILVANVPGVLNLITGAISRRGYNIQSLAVGPAEKEGLSRITTVIPGTDENIDKLVRQLQKLIDLHEVQNITHMPFAERELMLIKVAAHTSARRDVLDIAQVFRAKAIDVSDHTITLEVTGDIRKMAALQTQLEVYGICEVARTGRVALVRESGVDSTYLRGYSLPL >A08p032180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19513263:19515044:1 gene:A08p032180.1_BraROA transcript:A08p032180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGDAATSSSSSPLLSKPSTSALDSPRRSSDPESDPTQFLQISYNFGPRPFKDVAFLLLFDLFVFSTFGFGVFSVFHRNTDYGDSSSFSYDLASTSCVKVSTFTSFSNSYWSDPVFEKDLIWTLVVTLILSAPFCFVVLLLLKHYTKQIVYACLPLFVLFPIFFNVYWFVACTLSSSCSDALPLAYRVLVLVFVFLVIAIIVWIIVANWHRIELTIQIIGVASDALSKNLKLFVVLPLLILGLVVYYAPVVVFLVFARFNGEFVPREVDGDEYVCEWKEDSWVPAYYALAIITMLWSLAVMVEMQVYVISGAIAQWYFSKEDSMPKKCIRSSLRNAFGQSFGTICLSGILVGVVRVVRAIVDNAREENPQGIVNFALRCCANALLGAFDYLNKFTINFAAITGESYCTSAKMTYELLRRNLLSAVFVETVSTRILTGIVFVLSAAYAVATWAVLRGVSSLGVDSYLVAILAWLLLTVVLAFFVHVLDNVIDTIYVCYAIDRDKGDVCKQEVHEVYVHLPISRSTRSALSPNALNA >A03g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26244905:26245585:-1 gene:A03g507400.1_BraROA transcript:A03g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQWLVPGRLSGLKPPYVDADDPSLLPPAPPDPPDTRPPTIPLPPSLVERIRRSEDKTLKGLALVSISPSGRFRVLIPDYVF >A04g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7383567:7385674:-1 gene:A04g503360.1_BraROA transcript:A04g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSDRLSETGCVTRSEKTKKRFQDVLTGLIRFDPVNWCVLKRVINLAPLPGIEPGSAKTSLARRIRVELITDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISILELQLEELCLPCGENIAHDLDSLVLINECLDLICETRKLDELRIEKLARDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTLFEPVVDCVFPPYAFDSHDHLNLKEHFIIHVTSLVKLFEEKSALSFSASVHVHR >A04p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9700121:9700653:1 gene:A04p015660.1_BraROA transcript:A04p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFLVHFVFNSVTMSLASTPVAAPTLVCLVTVNGEQFAQAIQTYTEADLYKQLSYVCHILDTQCSLEKIEVCVRIQVEKAITKIRHAFEAAASIARSIRDRCAYGWVQLTDIAM >A03p002380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1090727:1101477:1 gene:A03p002380.1_BraROA transcript:A03p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVRSLTVLGKFKPFGLIAEATDCKPPDVDVSDSYEYFLFDPHLTGQGNDGDGNEANFSRQREHELFIRHNRIIWTSGSRVLKRFTLSSPIIKACWSHLGRGPEAFLCVLQIGCLTVYSTSGEVVSVPLSRTVISIWPLPFGLLLQQAAEVNQSSHIPFSSATPTLASREMLRQRKETGNISPQNFHSSVAHELTSRRDMSSHLILRDPLEEPEPIYLEERGKLNIMKDYDERTIWTSDRLPLMTSYNKGKMQHSVWAAEFIDSNREASASCSSGVAPDTVFPKRVSFRRIWQAKGAKKAASKVFLATDDAVPVICFLILEQKKLLSVGLQTVEINNEILFDVKPDISWSVSAIAAAPVVVTRSQVKNGLLPHLDIIVLSPENDLVLYVGKQCLCKYVLPSGFGKNLVSGDRESAEKDSGPRDLKITGLSDAVLGCINLSVNHSQIFRCALTGSPSSSLANDCIAALAEGLQSDLYNLFLSLLWGDDNSDQKGSCVHFEWEAFCNIFLGICKKPTDVHQKQPKTSSESSWEFLLSSKFHKAYPRFHSGIISNNSSDLEGIVPFGTKTGGGKIPSKSMELMVQSLDCLHAVYESLKMDNLRKQDLHQLAVLLCNIAKFLGEKCYIDHYIRDFPRLSETIRACTTLSSSRKPPNLFRWFENCLRRGSLPTNLDDLPDLIRKDGCSIVSWARKIVSFYSVLFGDKPVGQKLSSGVPCNISPGSYSSNEELAILAMAGERFGLHQLDLLPSGVSLPLRHALDSCRESPPADWPAIAYVLLGREDMALSVFRNLSSSKEFEMQSDTSLISMFIPYMLHLHPVIVPSSLSESVGMENSKIEDTSSVDGSVIDGMEHIFNSYTQLRYGRDLRLNEIRRLLCSARPVIIQTSANPTISDQEQQQAFTVPKLVLAGRLPAQQNAIVNLDPNVRNIQELKTWPEFHNAVAAGLRLAPLQGKVSRTWIKYNKPGEPNAVHAGLLFGLGLQGYLHVLNLSDIYQYFTQDHESTTVGLMLGLAASYRRTMQPEIAKALFFHVPARYQASYAEFEIPTLLQSAALVSVGILFEGSAHQQTMQLLLGEIGRRSAGDNILEREGYAVSAGFSLGLVALGRGGDALGSLDPFVNRLLQYLGAKEGRSLLAPSNEDHRSAAQITDGSTSNVDITAPGAIIALALMYLKTESEVIFSKLYIPQTHYELECVRPDFIMLRVIARNLIMWSRIRPTCEWIESQVPEVVKNGISHLQDDMDDMYEMDVEALVQAYVNIVAGACISLGLRFAGTRDGNARDLLYNYALYLLNEIKPVSATSGTAFPRGISKFVDKGTLEMCLYLVILSLSVVMAGSGDLQIFRLLRFLRSRNSADGHANYGTQMAVSLATGFLFLGGGMRTFSTSNGSIAMLLITLYPRLPSGPNDNRCHLQASLTLLSAFRHLYVLATEARWLQTIDVDSGLPVYAPLEVTVKETELYSETRFCEVTPCILPERAILKRICVCGPRYWPQQVELVPEEKHWWSFGDKSDPFSSGVIHVKRKVGACSYVDDPVGCQSLLSRAMHKVFGLRTLGESNTLANSHRELDSDSVDHLVSTFSSDPSLIAFAQLCCDKTWNDRSDSDFKEFCLQVLFDCISKDRPALLQVYLSLYTTIASMADLLVKTDSNVCDSLSISSLKVALAYNEAVTSGRLASSGGFVQSIFLASLGKRCEEILNCSTELKINLRNYLTSEAWSDDHNSKLQKDTILLSWYLKWFSVPSPSIIRAAVEKIKSKFNISTSAVPLLRLLLPSTHISAISEIDRVFFPSNVTIAL >A07p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6713016:6715142:1 gene:A07p010690.1_BraROA transcript:A07p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLAQTPPCTPDIVGNTFVHQYYHILHQSPQHVHRFYQEISKLGRPEDNGTMSITSTLQAIDKKILALGSGVINAEIATVDTQESYGGGFLLLVTGYLTGTDGVRRTFTQTFFLARQETGYFVLNDMFRYIDDATTVRGTQIPVNNIQVPVNIQQNPHAAQDIPEDFVEEKYVQENHAVEQSKSINVPEVFTPTEEEQVSLAEDAPAPGIVHEAPHDVHKVGESDSSRTGEVPKRSYASIVMVMKENAAPMSASRTPTKVVEPKRQEDQATHVPLPTPLSEKSDSRANVAVNGNDQDNERAPGPSIYLKGLPLDATPALLENEFQKFGPIRTNGIQVRSQKGFCFGFVEFESASSMQSAIDASPIILSGQKVVIEEKRNHRGRAAFGVGTGYRNEGGRGRGSFRGGRGGGYGRNDFNGYGNNRGNNRGGYANRANGDGGGFPRRVRRGAGGGIDANGATQPVDAPPVSITA >A04p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13010676:13013405:-1 gene:A04p021510.1_BraROA transcript:A04p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH3 [Source:Projected from Arabidopsis thaliana (AT2G21540) UniProtKB/Swiss-Prot;Acc:Q93ZE9] MADTMSAHMDRHNKPDHECSEDDKKTKLCSFKKKAINASNKFKHSFTKKTRRNSRVMSVSIVDDIDVEELQAVDAFRQALILDELLPSRHDDHHMMLRFLRARKFDIEKAKQMWCDMINWRKEFGTDAIMEDFDFKEIDEVIKYYPQGYHGVDKEGRPIYIERLGQVDATKLMQVTTIDRYVNYHVREFEKAFNIKLPACSIAAKRHIDQSTTILDVQGVGLKNFSKAARDLLQRIQKIDSDNYPESLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHMVQNGEGKCPRKTLSNIEEKTISVYESTTEKNTFNAENEKFTPIVDKTVDASSTWPTTLHKATFTEPEDLYSAVKPSERKGGEGYLFGGVMSLVMGLITVVRLTKNMPRKLTEAAIYGGAAEKGETAMVSTQEYMSMVKRMAELEEKCRSLDNQPAPFSAEKEQILNAALTRVDQLEFQLSETKKTLDEAMAKQLEIVAYIEKKKKKKKFFGF >A04p023840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14500126:14500568:1 gene:A04p023840.1_BraROA transcript:A04p023840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCELCCEIVIAILLPPVGVCLRHGCCTVEFFICLVLTCLGYLPGIIYAIYAILFLNRDEYFDEYRRPIYYVA >A08p009540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7515976:7516308:1 gene:A08p009540.1_BraROA transcript:A08p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQCGKPFTTRGRKPNYSRRKLSSPHDEKETMPLWNPCSTNATTPGNFDRGRQHHSRAPPLHREPTTGTDTTGGNQANYAKYKASDSDTDEAMQEVTVSKKSATSAILK >A09p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10518790:10523816:-1 gene:A09p019770.1_BraROA transcript:A09p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTIAKDVLNKLNATPSRDFEDLVGIESHIARMKTLLCLESQEVRLVGIWGPAGIGKTTIARALYNQFHENFKLSIFMENVSESYGGTNLDSYGLKLGLQQRFLSKLLDQHGLRIRHLGAIKERLKNQKVLAVLDDVNNIEQLQALAKETQWFGNKSRIIVTTRNKQLLISHNISHVYKVPFPSREEALAIFCQHAFRECYPSDDFKDIAIEFATLAGHLPLGLRVLGSFMRGKSKEEWEVSLPTLKTRLTGEIEKLLKVGYEGLHKDDKALFLHIACLFNGHHETYVKQMVVANSDLDVSFGLKVLADQSLIQIYVDGKVVMHSLLRQLGREVVREQSVDEPGKRQFLMSAREICGVLSNNTGTDSVLGMSVDMCDLNEDFYINEKAFENMRNLLYIRIYRSNDANPNKMKLPDDGLSYLPQLRLLQWDAYPHMFLPSRFRTECLVELSMSHSKLKTLWGDNAQPLRNLKNMNLSNSPNLESFPNLLEATKLERLDLSWCESLVELPSSIQNLHKLSLLEMSCCTSLEILPTNINLASLSRLHFRNCLRLKTFPEISTNLNYLKIKGTAITEVPPSVKSWRRIEEICMESTEVRILINLPYILDTLCLRGNTKLVAIANYLIRLRRLRMIDISFCVSLVYLPKLPYSVRYLTAFNCETLQRLHGPFRNPSIRLKFTNCLKLDHNAQEMIHQSVFDVVILPGGQVPAYFTHRYNGNSGFYHFTFDGSVSFYSFKVCLVLAAGTRFESCHTSFYTSFRGDPIKKYYTYMLNQPQLKVDHICMFECVLPPDYDGPPYLGTRPSTTKLFKFDFNCNYGCKVLECGVLFLKARKSLIAAKRAESSSTCPRPAKRSKAQVYWSTTWSSWRHLEAFGAQKGVFRVVIGRARHGSDQSGATPPSRSDLPIGATLPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATLPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATYRSDGLRSLRVLFLLELVISQGPFGHFIMHFIIEILCSYLFPVFLYMINLKSNMGLRGIMEITNEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICLRSLENS >A08p023280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15333928:15334622:-1 gene:A08p023280.1_BraROA transcript:A08p023280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVTILDGSRSKQSFRFADTPVSLLFEEVSESRNFIHKELLRFQGYEELMSVANTNSELPGLFNSPRSNSIFLILDNHFIHTHNSICFIPDILVGDDNPGHDMPGAGAVTTDPSQSVERGIHDNSNRPSNHVMVESLPGDVTEPNDTPVSSDMSSAESLEADGVHSAKKPHHG >A04g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3105489:3106036:1 gene:A04g501150.1_BraROA transcript:A04g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRNTITREVVLVERKLCCACCPAKERALEVVGAQIKGCLILGTQGFEDIFPKKSDINKFEKNAWLVVWLTHIDIFGRLVNLLMTFPAD >A09p065650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52406661:52419343:1 gene:A09p065650.1_BraROA transcript:A09p065650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 27 [Source:Projected from Arabidopsis thaliana (AT3G60120) UniProtKB/Swiss-Prot;Acc:Q9M1D1] MTQKRNTFSKKNSFGRSDFPEGFLFGTASSAYQYEGAINEAPRGESVWDTFVRKYPERNCYSNADQAVEFYSHYKEDIQRMKDINMDAFRFSISWPRILPLGKKSKGVNQEGINFYNDLIDELLANGITPLATLFHWDTPQALEDEYNGFLSEQAVDDFKDFAALCFEEFGDRVKLWVTLNEPWVYSIGGYDTGRKAPGRASKYMNEAAVAGQSGLEVYTVSHNLLLAHAEAVEVFRNNPKCKDGKIGIAHCPVWFEPYDSNCPDDKEACERAMEFMHMDPTVYGDYPEVMKKSIGKRLPSFTAAQSKKLRGSFDFVGVNYYSAFYVKSIPEVDHNTPNWRSDARIEWRKQNKAGQTLGVRGGSEWDFLYPQGLRKFLNYAKNKYESPKFMITENGHCDMDYEKKPKLSNLMDLQRTEYHKKHLQSIQQAIQEDGVEVEGYFAWSLLDNCEWNAGYGVRYGLFYVDYNNGLKRFPKMSAMWFKEFLKREEEIEESEKEEYLLKPAMKKKRFLLATGSASCFIPKMNDFRDYAELCFQKFGDRVKHWTTLNEPFTVVHEGYTIGEKAPGRCSSFTNPKCFGGDGATEPYIVGHNFLLAHGAAVKIYREKYQAIQKGKIGIALNTVWHYPYSDSHADKLAAARATAFTFDYFLEPIVYGRYPADMVNYVKGGRLPTFTPEESSMLKGSYDFVGVNYYSSFYVKDVPCATENITMNTDSCVSIVGERNGVPIGPAAGSDWLLIYPEGIRDLLLHAKLKFNDPALYVTENGVDEASIGEIFLNDDLRIDYYAHHLKMVSDAILMGVNVKGYFAWSLMDNFEWSEGYTVRFGLVFVDFEDGRKRYLKKSAKWFMRLLKGEYNGMRQKDVLF >A09g511480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34515372:34519876:1 gene:A09g511480.1_BraROA transcript:A09g511480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATMRNLTVKPVAIDSQVKQSTQRIGFIPKGTWRLKEADEYNISEVDWGEEPGYSWEDQNYGDGSEEDDQCRESRAEDGYEEESCRAELDSKPQDHYKNHTINKNYSKPWLKFTDKFYDYSPTVFTKTLVSFSGKENYSRREEDMENYFWEYKVPEHKKLSIALDTLVGEAYQWWLQVEECRIYFKEPTPHWEFVKELMYEHFEMRRLPPRTCPKRFVKLKPRQLHEREVTLTSHYNSYDQFRLYKFSGKGGDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTEKAQRYWKREEKYREQFQEPPIRTWEQVKGVMRDRFAPYIPTQHTQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDMVISELNVINLNYQNTGMMHLYSVQNVYEGLGNEETRPEAQEQENNEQSILESSTPADHALEVANTEAESMQDNQARLRDYPDIKGDPKDAFLSAQTHKIQGEKKTSIHGHILYQLSPTARFSLIISFQAQASGLIKGIFPQPFVATINPFKLDKSCCLVI >A03p068310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29919126:29920712:-1 gene:A03p068310.1_BraROA transcript:A03p068310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYELGLLDPSFSLLVPTISVTFSYATVAFVGTFVVYVWSFTAVCSCPFTAVCRLPSTFALMAFVMIWHSLLLWQLGVKVLKLRIFPANLVCLGFNCPPFSFKELFFLPHLSLVTSEIVIGSIVLKMVLFEAEAKMFIVSRLDGVNYLTSLTMEGFIPPLYCFEEECQFEEVFLFDCPLSETTVVEFVISPLSLSFYLSTCCLSFLISLSSVLVYALVCLAPCSLIVSSIDGVLVPIGFSTEEIETVVLVDVLRRAGAEVTLVFVEQKLEVEGSPGTKLLADVLISKCSEQVFDLVPLPGGMSGAVRLRDCVTLEKIMKRQAEDKRLYRAISKAQAITIFPWGLLTRKNTIGHSAFFGKLPTFWAGSLQLAVDQALCSEEVEVVTVADVLRRAKVDVTVASVERSLRITASLGTKIVTDKLINEAAESSYDLIILPVRH >A10p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12921374:12924255:-1 gene:A10p018440.1_BraROA transcript:A10p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G55470) UniProtKB/Swiss-Prot;Acc:Q8S397] MGIGLTEFLSIELATEHPKVIPISVFIVILCLCLVIGHLLEENRWVNESITAILVGAVSGTVILLISKGKSSHILVFDEELFFIYLLPPIIFNAGFQVKKKKFFHNFLTIMSFGVIGVFISTTIISFGTWLLFPKLGFKGLTARDYLAIGTIFSSTDTVCTLQILHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKIHFESINGLTALRVLGNFLYLFSTSTLLGIGVGLVTSFVLKTLYFGRHSTTRELAIMVLMAYLSYMLAELFSLSGILTVFFCGVLMSHYASYNVTESSRITSRQLHVFAMLSFIAETFIFLYVGTDALDFTKWKTSSLSVGGTLEVSGVITALVLLGRAAFVFPLSVLTNLMNRNAQRSESITFKHQVIIWWAGLMRGAVSIALAFKQFTYSGVTTDPLDAAMVTNTIVVVLFTTLVFGFLTKPLVNYLLPHDESSDNTGNSRGKQSAPGSPKEDATLPLLSFDESTSTNLSRAKDSLTLLIEQPVYTIHRYWRKFDDTYMRPVFGGPRPVNQPEC >A09p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18454672:18458234:1 gene:A09p030360.1_BraROA transcript:A09p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRLCGFTPITFCRKLTRLQVARGLALKKLIKGKHDFRRASTSKNNVEEKKSKNKIKSTASQTPSVAEASPKSFKSPKQATASGSAVSPLSVQKKPNTPRHNDFEGSSPKCTANFILMVELRKNIFSFRDMIDLPSLDGSLSVTEIITHTMKDLQKLSPEIVTINQSFDMEGAEMDKMLIFFYEDLRAIGDSWIMDSDWIYRSKYRNSGVGKNKSDRLVEHVLAALDGLIKMTKERFGMMDLDSDGRKSFKGVPSEARRSFRRSVSYSESNNSFFPSPLTPRSVIPGTMMSSSSSTSPSLWNLRAQALDKLSPVDLKQLAMRILSRRDSDSLQDLDLKNIIEEEQEGSDKLGDDDEDDDSSVSETEHGSETEDHSKCSETEHEIETEHHIESSGTEHVATDGEQHKEGSETEHEDHSEATTSETESTESFQEAISVTKLAPPSPPPPPPSPSPSFLNKKANPLSQPPPPPPSSPQPGGKTLSPPPPPPPSRRHDSGGNSPTTPAPPAPPGSGRTLRGKRTTSKLRRSAQIANLYWVLKGKLEGRGVEGKPSKASKGQNSVPDKSPVKGARSGMADALAEMTKRSSYFQQIEEDVQKYAKSIEELKSSIQKFQTKDMKELLEFHSKVESVLEKLTDETQVLARFEGFPEKKLEAIRTAGAVYKKLDGILVELKNWKIEPPLNDLLDKIERYFNKFKGEIETVERTKDEEAKMFQRHNINIDFEVLVQVKETMVDVSSNCMELALKERREANEEAKNSEESKMNNVKEERAKRLWRAFQFAFKVYTFAGGHDERADHLTRQLAHEIQTDPDQADSSNMS >A01p000840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:330402:330841:-1 gene:A01p000840.1_BraROA transcript:A01p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGRGGDGSRGRRVRGGFEERRRHWVGGGPGGVNLYRLFHSSNMRGVAVQCVQDGGVQG >A10g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18794119:18794592:1 gene:A10g506560.1_BraROA transcript:A10g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPLASQPYKLASSARLPISTFRSPKFLCLASSSSPALSSSTKIEEVVCWKGFEKS >A01g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15995818:15996659:1 gene:A01g505320.1_BraROA transcript:A01g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEQSSCKESIETNRVQIRGALEYEMGDAHMAIQARLNQALHKLSHSLRYMLLSSLRPGVRDQFIGGLSD >A07p037060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19839063:19840654:1 gene:A07p037060.1_BraROA transcript:A07p037060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGSAQKEADIKMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPAQKWDVMVDLFFYREPEEAKPEDEDEVAPQAEFGLPAPEYGGDQWTTAAIPDAAWPGEAQAPISAAPAAASWNDSTVAPAAEGGWDAAVPPTTAAAGWE >A02p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6923837:6934611:1 gene:A02p015670.1_BraROA transcript:A02p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCSEKAPSVSKSNDEGCEDDKPLSARVKVQMSSTVQIKISVFASSSKKRPLVDNIKRNGSKPKGQSSQSPSKRHLEKGSSSNQSFVKRPKLLGNAATPDIKGKNLDACKPLKVNQATVREENSDGDDHLPIASRMRSGYSNNKSSSSKTNASKMIASSSRKIAKNICVKDSKALPFRDGQKKWTTLVHNGVLFPPPYKCHGVKILYQGKPVDLTPEQEEVATMFAKMRETEYYNKPLFRENFWNDWRELLGKNHVIRNLDDCDFSPIYEWYMQDIEIRKQMSAEEKRILKEEKLNQEEKYMWAVLDGVKEKAVNFRVEPPGLFRARGDHPKMGKLKKRIRPCDITINIGKDAPIPECPTPGERWKEIKHDTTVTWLAFWNDPINPKVFNYAFLAASSALKRLREACTKDLSDKDATKRETEVATYLIDKLSLRAGDEKVTIISDHDQRTVSESNGPQAERLAVKIEELREKIEELDIDLDGTEKETPAAEWTSDFFIVEPSVRRLEREKPPEHRRETKEIDIGFPLQSLQSLEEENECVEDSDDDKPIGFKRTSGVVSNSNQSKSNTQRSNAVSPLKSPVTTPNGTTPSNKTSAMTSSSKASPAKSSLQNEEDSDDDKPIVFKRTSVASNSKSNTQKNNAVTSTKVLPLKSPVTSPNASSKQVSSPQPEKKNTSGRPLGGANRVVKDESDDEKPISSVFQKKTGSSGMSGSKKVSGDEKKPLTKKLHQNGSAVKSEVPDCKVSGKRPLEKNHPANESSLKKPKVSASSTSGKMKQESVKTESSADKGRVLVSPTAKRAKPVSTKEDGSDDDDDVPISKRLKSESSSSKVSAAKPKAVKGNPSSSAAKKKVTKVVSPPSRTRTVNKNSKKVTKDSKYSSSSKSSPSSSDGQTKWTTLEHNGVIFPPPYKPHGIKILYMGKPVDLTIEQEEVATMFAVMKETDYYTKPQFRENFWNDWRKLLGKKHVIQKLDDCDFTPIYEWHLREKEKKKQMSTEEKKALKEEKLKLEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKKRIHPSDITMNIGKGVPVPECPIPGEKWKDVKHDNTVTWLAFWNDPINPKEFKYVFLAASSAMKGLSDKEKYEKARNLTNHIDSIRATYTKNFTSKDVAKKQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNQIKFDFLGKDSIRYENTVVVEPLVYKAIGQFQAGKSKEDDLFDELDTSKLNAHLKELVPGLTAKVFRTYNASFTLDEQLNEKMGAGDVTQKVVVYQQANKKVAIICNHQRAVSKSHSAQIEKLDAKLKELQNGLGELRTNLKRAKEGKPPVEGSDGKKPRNLDPNAWEKKIAQQEVKIEKMKRDMQTKEDLKTVALGTSKINYLDPRITVAWCKHIHQVSTGEVFMGNGCRTKLQILVIAGGKEKLSELGQIGLFVWPSQHRADPNPDHTKEEEEEEDTHHLPSPRGSFHLNLLRSKDGIPNNKPNLRGRWDNCFSASLYLGHYCDCLLLDDVGDCIHCADESSDCPNPKRNRVK >A09g517180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50453850:50454229:1 gene:A09g517180.1_BraROA transcript:A09g517180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTTKAEAVALHVLLGGDSDDSSRRSMNKLRQSCASYAVQGFWTVFNGYGLRDSKWSPFMKESWDKNLGISADLIVAQAVHHYIFLKILTEMPLCSIEVLWMTKMLLIPV >A09g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9551958:9553324:-1 gene:A09g502820.1_BraROA transcript:A09g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSIFPVPQTGVYGVRHSTFASLRLGRSSQSIKDSEFIGITVLFFDENVNYVIHGFIPAGRANHYIPSLKVGSIVKIDRFEVARCSSMYKITNHSFLIHFISPTIIDEVITDAPKINLQSKLDCSTISKTLTLRKKQLESLSVFLLIRKKQSTHNSLYIIIYIVLTSIIKNISYPKFVITIPIDTNAATTSPPAHSSCLIKIAYMSNVNWSVLLSSYEK >A05p002810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:981256:981882:-1 gene:A05p002810.1_BraROA transcript:A05p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASSSPVFLFHPPLPPSPPHDSNHSYLTTLGFGYSIAIALGFLVLLSTVLLSSYICCRGSRRRTTAVESTSASVILPRVIFVAEEDLEAGDVNVGLDQAVINSYPKFHFSKETSAASSDGFGSGGDTTCSICLCEYKEAEMLRMMPECKHYFHLCCLDAWLKLNGSCPVCRNSPLPTPTSTPQSTPLSEVVPLSQYAADRRRARR >A09g510650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32862393:32865208:1 gene:A09g510650.1_BraROA transcript:A09g510650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDHVTYVFVVGSVQLRELGLCVVRDPRMCCVWRHPLSGAEDEARRRFPLLFARRKILMFSLVLLFMGSRQVGSRSRMRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGTGAIPQASDSFNYHTVAVNIIDISSRESSLWISMPAWSPAFSLGGSLDYSLESIGQSFDPYNEYHYSPMPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMESTQNGRTRPNGALGGQVEKGSTSRRPASNVQDSRNIPTEEECNVCGADDHHTRACTRIRSQPDLSAYLICSSCETRGHFIADCPMTNVTIAVPISVVPPTSLLDQPHLQQEDQTLETLTLLGVLIGVLVAAKFCVVERCVCNLVVLWTDMSLDILLPKDSCMCGKIWNQRKSWYRSATSVQRFRVVNVKVQSRQRMFKSRRAVWGFKRSDGVIFLPRRIFVQSVHGHVCKTRAISDRASEEATKAVTEALRPECMMFEMVMS >A03p016410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6519841:6521618:-1 gene:A03p016410.1_BraROA transcript:A03p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR1 [Source:Projected from Arabidopsis thaliana (AT5G52100) UniProtKB/TrEMBL;Acc:A0A178UH15] MAAVSCCFFKLSHSRHLKPSRPSFSCSASQPSQNNIKVIINGAAKEIGRAAVVAVTKARGMELAGAVDNHFLGEDIGLLCNMEEPLEIPVVSDLTMVLGSISQGKEVGVVIDFTDPSTVYENVKQATAFGMKSVVYVPRIKPETVSALSALCDKATMGCLVAPTLSIGSILLQQAVIMASFHYNNVEIVESRPNATDLPSPEATQIANNISNLGQIYNREDSSTDVQARGQVIGEDGVRVHSMVLPGLPSSTQVYFSSPGDVYTVKHDIVDVRSLMPGLLLAIRKVVRLKNLVYGLEKFL >A09p069970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54388873:54389902:-1 gene:A09p069970.1_BraROA transcript:A09p069970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIALIWKFDFSAFMPIGTINHQDHFKLQSQAISHTYNSWKLGEIIATGVVLGSYLAIMTVIFSLVAHKTEFFSETFGVKSIRNRSWSFVERAGALLMIAFLIAQLFAIRYMVTGKVWLNLVKNKIAFTTKKDFNKEEREAQWAVAQRTLQGLGSCTHSRGMWNH >A09g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18330396:18334000:1 gene:A09g505910.1_BraROA transcript:A09g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNQYRLIRNLIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDLIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSRTERSINRDPNAYRYKWSNGSKNFQEHLKHFVSERKSRFQVVFDRLCINQYSIDWSEVIDKKDLSKSLRFFLSKLLRFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQPCNQLLESIGLQIVHFKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTYGQFLTILFIHNKIFSSCGGKKKHAFLERDTISPSSIESQVSNIFISNDFPQSGDERYNLYKSFHFPIRSDPLVRRAIYSIADISGTPLIEGQRVNLERTYCQTLSDMNLSDSEEKSLHQYLNFNSNVGLIHTPCSEKYLQRKKRSLCLKKCVDKGQMDRTFQRDSAFSTLSKWNLFQTYMPWFFTSTGYKYLNLIFLDIFSDLLRILSSSQKFVSIFHDIMYGLDISWRILQKKLCLPQRNLISEISSKSLHNLLLSEEMIHRNNESSLISTHLRSPNVREVLYSILFLLLVAGYIVRTHLLFVSRAYSELQTEFEKIKSLMIPSYMIELRKLLDRYPTSEQNSFWLKNLFLVALEQLGDCLEEIRGSGGNMLWGGDPAYGVKSIRSKKTDLKINFIDIIDLISIIPNPINRITFSRNTRHLSHTSKDIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVCLNKFLDNKPKGFFLDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLALGLLVNSLSRDCERCSTRNSLVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISKIGSGSWDPFLSDRKGCCTKCTYK >A05p047060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27819223:27822681:1 gene:A05p047060.1_BraROA transcript:A05p047060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 5 [Source:Projected from Arabidopsis thaliana (AT3G12380) TAIR;Acc:AT3G12380] MPIVSKTRRQTDYNLFPPSVPIVIDNGASYFRIGWAGETEPRVVFRNIVQRPRHKATGETVTIVGDQDPAMMKFFDCTRSGPRSAFDSNVVYQFEIMEYILDFAFDRLGASGSAIDHPILITECVCNPVYSRSKMAELLFETYGVPSVAFGVDAAFSYKYNQRHGKCQKDGIVICPGFTTTHTIPFVDGEPVYNGSCRTNIGGYHVTDYLKQLLSLKYPFHSSIFTWEKAEELKLEHCYIAPDYVSEARKFQEGTKEAEEKTRYWQLPWIPPPVEVPPSEEEIARKAAIREKQGQRLREMAEAKRSSKINEMENELHSLHFLVKQLDQVEEDDIPSFLSDTGYASRQELESTVSKVTQALRKARGEPKDETDEFEENNDSNEKYPLINVPDNMLTPEQIKDKKKQLFLKTTTEGRLRARQKRNEEELEKERRNQLEEERRRENPEFYLEEMRAQYKEVLERVEQKKRLKTNGSNTNGNQKSGNVGRGERLSAAKRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDHDEDDERPDSDEAELARLSSRLQEIDPTFELKAEGEPGQASGEAPRVRPLTEEDYKIVIGVERFRCPEILFHPNLVGIDQVGVDEMVGASIRRLPHDDDKELEEKLTSSILMTGGCSLVQGMKERLECGIRMIRPCGSTINVVQAKDPVLDAWRGASAFAADLNFLGNAFTKKDYYEKGEEWLRSYQIQYNTL >A09p060100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49969326:49973103:1 gene:A09p060100.1_BraROA transcript:A09p060100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.4 [Source:Projected from Arabidopsis thaliana (AT3G54450) UniProtKB/Swiss-Prot;Acc:Q9M1I2] MADSTSLIVNKQTKGGWNAAVFIMVVEMTERFAFYGLASNLMTFLTNELGQSTATAAKHINTWLGVSYLFPILGAFLADSILGRFKTVLLSSFVYLLGMVMLPLSVTVVASRLREKAFFTALYVMAVGEGGHKPCVMTFAADQFGEASAEEKATKTSFFNYWYLSIVLGSSIAVLVLIFIQERVSWSAGFSVIAGSVGIAVLIFLIGIPRYRKQVPVGSPLTRVAQVIVAASRKWRVSTTSHHHGICYEEGTEEDKSLESTTNAHLLAKTNQFRFLDKATIIDEVDNTSNKSRNPWRLCPVNQVEEVKLILRLVPIWISLIMFCATLTQLNTFFLKQGSMMNRTIGDHFTIPPAAFQSIVGVTILIVVPLYDRVFVPNVRKITNHHSGITSLQRIGVGLFIATFNMMVCGFVEGKRLTIARDHGLIDSPKQVVPMSSLWLLPQYILVGIGDVFTIVGMQELFYDQMPETMRSIGAAIFMSVVGVGSFVSTGIISAVQTISKSHGEEWLVNNLNRAHLDYFYWVIASLNAVSLCFYLFIANRFVYKKVQDKDDDDVEGEREE >A06p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13608790:13612715:-1 gene:A06p026910.1_BraROA transcript:A06p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 8, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT3G42640) UniProtKB/Swiss-Prot;Acc:Q9M2A0] MASEISWDEIKNENVDLERIPVEEVFQQLKCTKEGLSSDEGKKRLEIFGANKLEEKSENKFLKFLGFMWNPLSWVMESAAIMAIVLANGGGKPPDWQDFIGIMVLLVINSTISFIEENNAGNAAAALMANLAPKTKIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKIIELCNLQGEARRKAHEVIDGFAERGLRSLGVAQQTVPEKSKESDGSPWEFIGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGIETGRRLGMGTNMYPSTSLLGNSKDESLVGIPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLNEIFATGVVLGTYMALTTVLFFWLAHDTNFFSKTFGVRSIQENEEELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLIAFVIAQLVATLIAVYANWGFARILGCGWGWAGVIWLYSIITYIPLDILKFTIRYSLTGKAWDNMIQQKTAFTTKKDYGKGEREAQWALAQRTLHGLPPPEAMFHDKNHELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >A10p005520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9378809:9380131:-1 gene:A10p005520.1_BraROA transcript:A10p005520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPCEVLILRCQVDDFTVKSDLWCYVMAYRFTHLRKPLAYSPSRSIPFSRQVSSFEAVEKAIKCAVETKEYLQIPHLVVSLKEPYQNSKLFSFLSAFHHHHRIRVIDEILQSFVPVRPRSLPKIVYSSLLTYCLQSSDPLPLSFAILQRTLRSGCLPNTQTHLLLSDAWLERRGRGSQSVVEIINEMKLIGYSPDTGTCNYLVSSLCAVDKLDEAVRVVEEMGGAGCIPDVESYGAVINSMCLARKTSDVVKIVKEMVSKAGISPRKGMLTKVAAALRANREIWKAIEMIEFVESRDYPVEFETYEVLVEGCLEVREYILAGKMVMRMTDKGFIPYIKVRQKVVERLIGIGEWKLACTVRQRLAEMRS >A08p025980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16635979:16637140:1 gene:A08p025980.1_BraROA transcript:A08p025980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTSSGSRRTRSQVAPDWTLKDCLILVNEIAAVESDCSNALSSFQKWTMISDNCNALDVHRNFNQCRRKWDSLVSDYSQIMKWESQERGRVHSYWSLSVEKRRKLNLPGNVDNEVFQAINAVVMIQENKDGSEPDSDSDPEAQEDFDVVDVTAELGSKRSRQRTMVVMKENPPHKRKTEEERRRKNIQEQRAKATHQKNKTMEVKKKPVVEISTDVEEEEEEEEEEEEEEEEEETMSIEEEIKALEAKLGEKADMIHAIVGRNLAKGSETEDDVGIEDKLKFVKQQGDELIACLSEIVNTLDRLREVPQEI >A01p056960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32326534:32327007:-1 gene:A01p056960.1_BraROA transcript:A01p056960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIWKSKCRRACSRSVAKVFNPHRNFNTLLLHCSSIQKQFESLLSIVGGVCGEPKTSSTTVSGKSHGDSVVVSDVEGKKRDARAWLSQRMLFEGGGVKKL >A10p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16522512:16526155:-1 gene:A10p025840.1_BraROA transcript:A10p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKANSEESSSSRKLLKEVETLNESLYVNKNPRRSVVAPNNTSTKPSAGEPQKEKKSIWNWPLRALSHVRNRRFNCCFSAQIHSIDNLPPTFQDLYLTVHWKRRDESLTTRPAKVMNGRAEFKDKMTHTCSVYGSRSGQHHSAKYEAKHFLLYAALVGSPDVDLGKHRMDLTSLLPLTLEELQDEKSSGKWSTTFQLTGKASGATLSVSFGYTVVGDTRSASNAKQGSSNAALTRAISGKSSLGSGKSVSRRYDHGVVSKESRPLSKNVVEVKDLHEVLPVAAQSDLVSSVNALYKKFDEEKLDAAAAESQFESDVVIKHIEPDESNEDANAHQVEERVANMNESDVPLEDVKKAEEVPSTFEKVGTEDLLPEEPCVDRDETDVLSQDIKQAVEDTTAGIEEAGTENLPLEEPLVSRKETDVSFEEPKIAGEVPITRSEEAVEISRENLPSEERSNVFPKEEEGIVSGDDEDEINGGRDVKETIMKDLESALKNVEMLEATASEDEEDQENHGRSTPNKEAASINVADSVAKESLLSEEESNVSPKEEESIVPADDEDVMNGERDVKETIMKDLESALESVEMLEATESEDEEDHGGSSTPNKEAASTTSIDVADSVANEFLDMLGIEYSPFGLTSESEPESPRERLLREFEMETLADSSLFGFSIESDADPQTEVDENYESDFDLASLVHDIEEEYQIETQARVSNPRAKMLEDLETESLMREWGMNENTFQNSPPHNAFPPADFPVNEAFDLPPLGDGLGPVVQTKNGGFVRSMNPLLFRNSKAGGSLIMQVSSPVVVPAEMGSGIMEILQRLANNGIEKLSMQANKVMPLDDITGKTMEEVSPGIDSGHRDHIPPQHDTAAKPKKFGSSSGHNNSSSEYVSLEDLAPLAMDQIEALSLEGLRIQSGMSEEDAPSDITAQSIGEISAFQGLEGAAGLQLLNIKDDGDGDDDGLMSLSLTLDEWMKLDAGDIGDEEEINEQTSKILAAHHANPLNFIRKGEKRKGKKGRKCGLLGNNFTVALMVQLRDPLRNYEPVGAPMLSLIQVERLFVPPKPIIYSTVSELRRTDEEGEETEAVKEEKTMLEEEGIPQYKISEVHLTGMKSETDKKPWGVTTQNQQVQSGSRWLMANGMGKGNNTKVPLMKPKPGDKLWSVSGSGSKWKELGKMGKLSAHKRNPNVIMPK >A03p008560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3467318:3470123:-1 gene:A03p008560.1_BraROA transcript:A03p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTLFVAIILFSSCVTSQFLDPTNDEELQWSGYAKAPSATHKHIPHRELKECFSSYKMVTKCLMKTLSKNPTVGSECCATIKTLNENCKHTVFKTFRNPFVNNYVEKHCSSYNDDELQWSGYAHAPSAIHKHSLNQELKECFSSYKMVTKCLMKSLTEKPTVDSECCAMIKTLNENCKHTVNRSFRNPFVNNYVKKHCSSHDATAPSPA >A10p037090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20992169:20994947:-1 gene:A10p037090.1_BraROA transcript:A10p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDYIELHQKRHGRRLDYEERKRKKEARQVHKRSKQAQNSIGIKGKMIAKKNYAEKAQMKKTLKMHEESSSRRKADEDVQEGAVPAYLLDRENTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFRVIRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELRCTFCLEIIGIKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >A08p029220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18103931:18105168:-1 gene:A08p029220.1_BraROA transcript:A08p029220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFDEDEPTQTYESVALIIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPTWNADHPIDYIQCDVSDEEDARSKLSSLKDVTHVFYVTWTNRSSEVDNCKVNGSMLRNVLRAVVPNAPNLRHVCLQTGTKHYLGPFDSVGKDVDRHEAPFTEDMPRLKIENFYYTLEDVLSEEIKMKESVTWSVHRPNTIFGFSPYSLMNIVGTLCVYAAICKHEGSKLVFPGSKEAWEGFTTASDADLVAEQQIWAAVDPYAKNEAFNCSNADVFKWKHLWKILAEQFGIEEYGFEEGKNVGLVEMMKGKESVWEEMVKENQLQEKKLDEVGVWWFADVVLGVDGMIDSMNKSKEYGFLGFRNSNNSFISWIDKYKAFKIVP >A09g502630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8782369:8782626:1 gene:A09g502630.1_BraROA transcript:A09g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELDEKPISCRELPKEGRSQTMIAMVPTASNRNPKCTRAKNPNTSLKPAGKVRGEAENRRCRRSAVCTGDYRLHYISSGPYTPI >A02p031440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16254672:16255851:1 gene:A02p031440.1_BraROA transcript:A02p031440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSDTWMREYNEALKLSEDINGMMSERNSSGLTGPDAQRRASAIRRKITILGTRLDSLQSLLVKVPGKQHVSEKEMNRRKDMVGNLRSKANQVASALNMSNFANRDSLLGPDTKPDDAINRVSGMDNQGIVGFQRQIMREQDEGLEKLEETVMSTKHIALAVNEELTLQTRLIDDLDYHVDVTDSRLRRVQKSLAVMNKNMKGGCSCMSMLLSVLGIVGLALVIWLLVKYL >A02g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4820308:4821180:1 gene:A02g501600.1_BraROA transcript:A02g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIRKEYLPKYEENLELSIAKAQLDELKSDALEAMESQKKKFVLAEDLSPLPEADTGGVTVIESSTPIAETASSKTNSALQPEDATTRACSIQYETSISLLGEDMSPPLPEADKYGGVIVTESSTPIAEAASSKTNNGSQTEDIQKADDESTKPVTLRFSRDCFFYKACYVVVIYNATNQMS >A05p035000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19790001:19790721:-1 gene:A05p035000.1_BraROA transcript:A05p035000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SALRRCHRGIGQPFHLPSLKLKRFVDQQLLDHILLKPRFGVLSYDFCLPADETREMGRRNVRIELWWHGGGGIHGDGSNRRKNDVVRIELRVRSVVKGVRSFGLRCRGRIAFVARRTNSIV >A02p031130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16091865:16093993:1 gene:A02p031130.1_BraROA transcript:A02p031130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLKVDPLAKVTASTTSMKSPSEPYHEILETYQGLPCPYGGYYGFYYPGLDGSVGEAKDNGYYGYGTEVQYPVMQGEDGSLIYMMPGFQSYDASPTYMPITAGSVSSQALRSPMYAAQGYYQNQYGYGDVSSPNYLWDPIGDKYVYGVASSNQPLKDNTSSSSHNHSSYYPKSKTSYGMGDRPKTLRKNSYAPLPIHNETEKVKARNKDNVNSTEGECESCVGYVIKRDQYNLPSFQTKYEEAMFFVIKSYSEDDIHKSIKYNVWSSTLNGNKKLDSAYQESQKKVAEKGGTCPVFLFFSVNASGQFCGVAEMTGRVDYEKSMEFWQQDKWTGYFPVKWHIIKDVPNPQFRHVILENNENKPVTNSRDTQEKKEEADLVADFKSMEISNTFKEETQT >A03g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2460242:2460827:1 gene:A03g500830.1_BraROA transcript:A03g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGSVLHIMKAAKWTNHGCYNSRYATLELRHSALGSEDGLMVICLTRDRFLEIRVKLLTGSSSIQLNEEEKERVVTSYTRKFPRNMTSCSFAGNISADPVASIRVSLRRLPKRKVKCCDVEYDGADVATMRLRDCR >A08g506620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11389455:11390129:-1 gene:A08g506620.1_BraROA transcript:A08g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTREGIEEFKINLLNKMHDEIREELQKMKVCIADSVKRLEKTVNHMVEVVKDMRSTEEKASDGNVQQPSQRCVSVMQSANSTLKRGRPRTVPFRKCQTSHVGKPRNISPITYRDGLGSTRTQKQGTLPQAPSMRWRFQVSAYALDGAMEKNSFGGEGGKGNHPFDRGKVRIMEKLFSKIGMNFGDKVLLEGEVLIRSWSMMDTCGSYINRKSPTCSTCSLLV >A05p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14178501:14181166:-1 gene:A05p026740.1_BraROA transcript:A05p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNQNRRPSFTSSVKPISNTAVMAKKRAPLGNITNQKKESQIPNSSVDFAHCSNKSAKLKQAPTQPVCVNATSLLCEQTQASSSSKSDDESMSIDETKSTCDSYKSPGVEYIDSEDVSIERKAFSNLYITPSSETTNVNYCRRYVLSEMENKDKFINIDNNDADPQLCPTFACDIYNHLRAAEAKKRPAVDYMETVQKDVNSSMRGILVDWLVEVSEEYRLVPETLYLTVNYIDRYLSGNVISRHKLQLLGVACMMVAAKYEEICAPQVEEFCYITDNTYLKDEVLDMESAVLNYLKFEMSAPTAKCFLRRFFRAAHGVNEAPCMQLECMANYIAELSLLEYTMLSHSPSLVAASAIFLAMYILDPTRRPWNSTLQLYTQYGAMELRGCVKDLQRFCSNAHVSTLPAVRDKYSQHKYKFVAKKFCPSIIPPEFFNNSCIDQFSN >A06p011970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5486911:5492642:-1 gene:A06p011970.1_BraROA transcript:A06p011970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol oxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G14520) TAIR;Acc:AT1G14520] MTILIDHSDHNEKNVTEEETELVLDDGGFVAPHTNSFGHTFRDYDAQSERRRSVEEFYKTNHIGQTVDFVRRMREEYGKLNRTEMSIWECCELLNEFIDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHSSFGELPQWAVVGDTYPVGCAFDESIVHHKYFKENPDFNSPSYNTKYGIYNEGCGLDNVFMSWGHDDYMYLVAKKNKTTLPSAGLFIIRYHSFYALHKSEAYKHLMNDEDRENMKWLKVFNKFDLYSKSKVRIKVEEVKPYYLSLINKMEGRAAEDVFKDFRGRRAGLIKALTTDVRKFYDKCDPANENLCLYGLPNGSWELNLPVDEVPPELPEPALGINFSRDGMPEENWVSLIAVHSDSWLIAVAFYFGARFSFDKNERMRLFKMINDLPTIFEVVTGNAKQSKDQSGNSGRSKSGGVKARQSESQTKAAKRSPPPPSREESESGDDDGEEGEGQGEFCGVCGESDDGEEEFWIWCDTCEKWFHGKCVKITPARAEHIKHYKCPSCCAGSASVSSRDTEERGGTSRRLLQIKQHRKEQVPGAECYKWHGLDVINNFPQHQHALSSSSDSNFTAAVSMEPVQRQRPRGRPQGSKNKPKPPVFLTVEPPMSPYILQVPSGNDVVASINRFCRERSIGLCLLSGSGSVADVTLRQPPPAPPGSTITFHGKFDLLSVSAAFLPPPVSSSFTVSLAGPQGEIIGGFVSGPLISAGPVYVVAASLNNPSYYRLPAEVKQENSSASAEAEEGKGQSPPVSGGGGESCHIEGSDVVWTPAAGILTKPSL >A02p036570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21006914:21007576:-1 gene:A02p036570.1_BraROA transcript:A02p036570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHFTHLFLFSLYATFVTVGACGKGVHRGVDSKRSQDVLEQGEGVVEVAEKAAATGKEVVLDLVQELENTKAVSSGGNLTVRVSESGGNGKEVMNGKEISKVGVDIQWKSATNRGRSCSPIGNHVNSEGRRNSASSSNGYAVLQDLRDKDVIMEDGECEVVEETEKVSGDVEEDLEEARIVKENKQIELGSKTTTLGIHRGRKEGRKPIVSLKALLQV >A05p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17179523:17181845:-1 gene:A05p026810.1_BraROA transcript:A05p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENLKNVQGLGVSMDVLPRNSSDLFDEDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAVAQIGWVGGPAVMILFSLVTYYTSILLCTCYRSGDSVTGKRNYTYMDAIHSNLGGIKVKICGVVQYVNLFGTAIGYTIASAVSMIAIQRTSCQQSNGDKDPCHVSGNPYMIAFGVIQIVFSQIPDFDQLWWLSIVAAVMSFGYSTVGLGLGISKVVENKEIKGTLTGVTIGTVTSSGTVTPTQKVWRTFQSLGNIAFAYAYSMILIEIQDTLKSPPAEENTMRKATLISVVVTTLFYMLCGCVGYAAFGDSSPGNLLAAGGFRNPYWLLDIANLAIVIHLVGAYQVYCQPLFAFVEKEASKRYPESKFITNETKIHLFPGSKPFKLNLFRLVWRTIFVITTTLISMLMPFFNDVLGLLGAIGFWPLTVYFPVEMYIVQKNVRRWSTRWVCLQVFSLACLVVSVAAAAGSVVGIVTDLKSYRPFKTDF >A10p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3520189:3523274:-1 gene:A10p015610.1_BraROA transcript:A10p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIQGSMLSPNGRSLSTRSDKFLKPASFAVKVLGNKAKRSGRVSLKSRRVVDTSVRSSSCVETQVVPVSPENMPNKFSDTSVGMWSKPTVRRKTKIVCTVGPSTNTREMIWKLAEAAMNVARMNMSHGDHASHKKVIDLVKEYNAQSKDNAIAIMLDTKRGVSTPTCASVNYDDFVNDVEAGDMLLVDGGMMSFMVKSKTKDSVKCEVVDGGELKSRRHLNAEEKVQRYLQPLDWEDIKFGVENKVDFYAVSIVKDAQVVQELKNYLKGCGVDIHVIVKFESADSIPNLHSIITASDGAMVARDDLGAKLPIEEVPILQGKIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAGVMHTVALRTEATITTSSEMPANLGQAFKNHLSEMFAYHATMMSNTLGISTVVFTKTGFMAILLSHYRPSGTIYAFTNEKKIQQRLALYQGVCPIYMEFSGDAEETFANALATLLSRRFVVVGKGEEIVIVQSGSQPIWRSQSTHNIQVRKMQPRV >A06p015370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6885302:6887692:1 gene:A06p015370.1_BraROA transcript:A06p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPIQSYFILFLSLIFNTLASPRLEMNALLEFKNEFPLSAPDPHASFAFSFSSWNTSIDHCSWKGVTCNANSGEVISLFLQFIFLNGSLKANSSLLKLQHLDSLTLSHCNLIGKIPSSLGNLSHLIDLDLYGNNLVGEIPYSLGNLSRLTYLLLAENHLRGEVPPTIGNLNQLTFMVLASNNLSGNIPSSFSSFSKLSYLDLSKNQFTGGDLPSILSNLTSLSELDISYNHFKSKLPSNMSGLHNLEVFDAKENSFVGNVPISLFTIPSLKEVFLSGNQFEGPLEFGNTSSSSKLKWLNLASNNFNGPIPESISGFLKLGVLDLSNNSFLGPIPGSMSQLVSLRVLDLSYNKLEGQVPSFLWSLPKLTLSHNSFSSLEEVVVNGSSSDLSSNSHVDLGSNSLRGSFPRWICRSTTLDFLDLSNNHFSGSIPSCLMNSTASLGDIILRNNNLSGFLPEIFTNATKLRSLDVSRNQLAGKLPKSLINCKSMEYLNLKGNKFKDTFPSWLGSLVSLRVLFLGSNAFYGPLISSHFGLPSLRVIDISHNSFDGTLPQDYFVNWHEMSSVWVDETKWPLPEDPIYQGSPFTMGGVNIFDDSMDMMYKGVDTKFPRIFRAFKAIDFSGNKFTGQIPKSIGLLKELILVNFSRNAFTGSIPSSLANITHLEALDLSHNKLSGNIPRDLAKLSFISYLDFSHNLLQGPVPRSTQFQSQNCSSFEDNLGLYGLEEVCGPIHAPDPTSGNSQQSEEFSSEESEEVLNWIAAAIAFGPGVFCGLVIGQIFFTSHKHIWLVEKFSRN >A06p019520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9564620:9564838:-1 gene:A06p019520.1_BraROA transcript:A06p019520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKGEISSSTAERKVKKEAASVIPVKRKLVKTMAVEAIISAFSPCSSRTSDHSNGNGHGKGNGGRVYPTHP >A06p003190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4806170:4807186:1 gene:A06p003190.1_BraROA transcript:A06p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLNEAKTMTETSGSSNSVLCLANPMEQPHVSTTTRSVLSNTKYKGVVQQPNGHWGAQIYSEHRRIWLGTFKSAAEAAASYDSASIKLRGIDANSYRNFPWCEITTHEPAFQANYTTEAVLNMIKDCSYQHKFMEYLRRRSQMVDFANINIVAAASRQSRGGRGVQEPFSCTPLFSKELTPSDVGKLNRLVIPKKHAVKHLPFISDGQKEREEGEIGEAVDDVEVVFYDRAMRQWKFRYCYWRSSQSFVFTRGWNGFVREKRLKEKDVIVFYHCDVPTNVMTLQGQNNSFLMIDVDYFTDKGPVAPKEVDKMVHNTSEEEMKTETKGGFMLFGVRIQ >A04g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10727694:10728149:-1 gene:A04g504970.1_BraROA transcript:A04g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCGGWSNDATGLRVRWGDVVEGVRVGRRSKASDVEGVVLPAKFAYQFRCRKEAGEISGYRLISPLLGTRGWKWLRR >A05g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16470241:16470686:-1 gene:A05g505810.1_BraROA transcript:A05g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFPTACQDASDVLSSDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVRSNQN >A08g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17703984:17704546:-1 gene:A08g509130.1_BraROA transcript:A08g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTIEEVASSCNAVRFVQIYVYKQRQVTAQMVKRAEKAGFKDIVLTVDVPKLGKREADIKSKLISPKMKNFEGLFSTELQPSEGSGIEAVASRALDASFSWKGVK >A09g514340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42911685:42912727:-1 gene:A09g514340.1_BraROA transcript:A09g514340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNQYRLIRNLIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDLIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSRTERSINRDPNAYRYKWSNGSNNFQEHLKHFVSERKSRFQVVFDRLCINQYSIDWSELLRFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQPCNQLLESIGLQIVHFKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTY >A02p022980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11015504:11017568:-1 gene:A02p022980.1_BraROA transcript:A02p022980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHS9 [Source:Projected from Arabidopsis thaliana (AT1G69240) UniProtKB/TrEMBL;Acc:A0A178W505] MGNSLRCISQDQEQNQKKPTVVNGGGESSNSEKHVRRLSLIPSFRRRTLLPSLSCAGSSTSGSSTSKKGGVKTKKKIRERHHQEHHHHDNEKDSRIQEQTLAATNVLFSQTPRNSNSAPPFRRSTSVVYPSSQPPPSAVAAVTGSVSGVLTPKKSTCGFVRSSSNRQRSSTDPELKVEGADTKRFVLVHGGGFGAWCWYKTITLLEKHGFQVDAVDLTGSGVSSFDTNNITSLAQYVKPLLHFFDTLKPTEKVILVGHDFGGACMSYAMEMFPSKISKAVFISAAMLANGQSTLDLFNQQPESSHDLMEQVHLFLYANGKKSSPTAVDFDRSLLRDFFFNQSPPKDVALASVSMRPIPFAPVIEKLHVSEKNYGSIRRFYIKTMEDDYAVPVCLQDAMIKSNPPEQVFQLKGSDHAPFFSRPQSLNRILVEISQIPSKKSS >A01p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24260206:24261910:1 gene:A01p044610.1_BraROA transcript:A01p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNRFNVIVAVAATILMGMFESSDAQLQMNFYAKSCPNAEKIISDHIQKHIHNGPSLAAPLIRMHFHDCFVRGCDGSVLINSTSGNAERDAPPNLTLRGFGFVERIKTLLEAECPKTVSCADIIALTARDSVVATGGPWWSVPTGRRDGRISKLAEATNNIPGPTSNFTTLQQRFAKQGLNLKDLVLLSGAHTIGVSHCSSMSERLYNFSTTVKQDPSLDSEYAANIKANKCKSLTDNTTILEMDPGSSRSFDLSYYRLVLKRRGLFQSDSALTKNLATLKMINDLVNGSEKKFYKAFAKSMEKMGRVKVKTGSAGVIRTRCSVARS >A09p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16925538:16926104:1 gene:A09p028100.1_BraROA transcript:A09p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLRLISAVLLMFMIFIASGMGPVTVEARTCESKSHKFRGPCVSRHNCANVCHNEGFHGGKCRGFRRRCYCTRHC >A10p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:197626:199003:-1 gene:A10p000380.1_BraROA transcript:A10p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETKQHVVAEAEQENGTLIEDEIERSKVGIMRALCDRQDPSTKEVDDLMIRRFLRARDMDIEKASTLFLKYLAWKKIVLPKGHIPESEIANDLSHNKVCMQGHDKMGRPIVVSFGNRHNPSKGNPEEFKRFVVYTLEKICARMPRGEEKFTAIGDLQGWGYSNCDIRGYLAALSILQDCYPERLGKLYIVHAPYIFMTAWKVVYPFIDTNTKKKIVFVENKKLTATLLEDIDETQLPDIYGGKMPLTPIQDS >A06p002000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5350271:5350594:-1 gene:A06p002000.1_BraROA transcript:A06p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTRRRFPPREALEMPAAELNRTGRRNHGSSLRTRLACTCSGRPGSARCVRHGYIVPSREKLIRRASDGNREILKRALMPSSHHRMSHRRWNFRPTPSRLCNMSST >A02p057670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34654208:34661031:-1 gene:A02p057670.1_BraROA transcript:A02p057670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTPRRNSPLRMHRKESRWHHHSRPTRFPCVPAEPDLSSPVISKDIIVSDFTWMRLYLPTAALRLPKQKLSLVVYYHGGGFITGSVDFKLYDDFCNLMARVLNVVVASPSYRLAPEHRLPAAYHDGADALTFIRKSDDEWIKSHVYLFNVFLMGTGSGGNLAYSVGHRVANVLDPLLRICGLILHHPFFGGEERCESKIRHENDRVFPPAVGDLCWELCLPVGENRDHAYSNPTMGDGLEVLGALKWKVMVCGGGGDPMIDRQRDVAKLMKEKGIHVVECFTDGDVHGAELGDPSKSQTLFASIKSFISSTPYLSDPYAHIGIVKNPDGSITRDPTRFPYASATPDPSPVNTVVSKDITVSHSNSTWMRLYVPVTALNDGVSSKKLPLVVYYHGGGFVICSVDFKPFHDFCNRMARELNAVVASPSYRLAPEHRLPAAYDDGVDALRFIRTSDEEWIKSHADLSNVFLMGTSAGGNLAYNVGLRSALADLSPLCIRGLVLHHPFFGGEERCESEIRLEHDQVFPPTAGDVCWELCLPVGANRDHEYSNLTVGDGPVNMEMIGRLGWKVVVSGGGGDPMIDRQRDVAKLMKEKGVHVVERFTDGDVHGAELGDPTKNMSEPSPDPCPLIGIVKNPNGSITRDSTRFPRVPATPVPSPQNPFVVTKDITVRHSNSTVDFTLYDDFCNLMARELNVVVASPSYRLAPEHRLPAAYVDGLDALRFIRMSEDEWIKSHVDLSNAYLMGTSTGGNLAYNVRGLLAVRVGDQTREHDHVFPPTVGDLCWELCLPVGANRDHEYSNQTVGDGSRDHEEKSNGWDGR >A10p008700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8309955:8311502:1 gene:A10p008700.1_BraROA transcript:A10p008700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 9 [Source:Projected from Arabidopsis thaliana (AT1G44970) UniProtKB/Swiss-Prot;Acc:Q96512] MALFKLIPCLVLFALFSFDVGVAHPGFGFGWGSNGPSIGRTYSSGLFPQFYQFSCPQADEIVMTVLEKAIARNPRMAASLLRLHFHDCFVQGCDASILLDDSATIKSERNAGPNKNSIRGFEVIDEIKAKLEQVCPQIVSCADILALAARGSTILSGGPSWELPLGRRDSTTASLNGANTNIPAPNSTIQNLLTMFQRKGLNQEDLVSLSGGHTIGVARCTTFKQRLYNQNGNNQPDETLERSYYYGLRSICPPTGGDNNISPLDLASPARFDNTYFKLILWGKGLLTSDQVLLTGNVGNIVELVKAYAEDESLFFEQFAKSMVNMGNIQPLTGISGEIRKNCHVIN >A03p047750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22231002:22233692:-1 gene:A03p047750.1_BraROA transcript:A03p047750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G05760) UniProtKB/Swiss-Prot;Acc:Q9SHZ3] MAEISHPPMEQLQDLEYCIDSNPPWPETVLLAFQNYILMLGSSAFIPALLVPAMGGTDGDKARVMQTLLFVAGIKTLVQSLFGTRLPAVVGGSFAYVIPIAYIINDSSLQKISNDHERFIHTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLLGNCIEIGLPMLLLVIGLTQYLKHVRPFKDVPIFERFPILICVTFVWIYAIILTASGAYRHKPSLTQLSCRTDKANLISTAPWFKFPYPLQWGPPTFAVGHSFAMMSAVLVSMVESTGAYMAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTGTGSTVLVENVGLLGLTRVGSRRVVQVSAGFMIFFSILGKFGAVFASIPVPIYAALHCVLFGLVAAVGLSFLQFTNMNSMRNLTITGLSLFLGISIPQFFVHYWDARHYGLVHTDAGWFNAFLNTVFMSPATVGLIIAVFMDNTVEVEMSKKDRGMPWWVKFRNFRGDNRNEEFYNLPFNLNRFFPPT >A02p039770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25093528:25097091:-1 gene:A02p039770.1_BraROA transcript:A02p039770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHISSCRKTLSQWRREHNLNKLRNNNIVEPELDRDSYPWIIWYLWNAKNDKLFREIDKDPLELVRYAEKRIENLKICFPDFKITHIPRTRNQISDFLARTTRSFHRVFYFIGCSIPVGLPRPPKI >A06p036820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19972314:19972880:1 gene:A06p036820.1_BraROA transcript:A06p036820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQRNDPVDRIPLFVSALNGAILVASFMANPADMPVNLRLSMWLLSLSMLSAALSSFLASGLRGRAWRRCVGDASSSAAVFFCGGIIPLLFIAVLSERFDRIQFLLGVTFVMVGAILVVYLVMRDRKMIPLIPQGAEQRPFPWLVFSVGMVGSVLVVLFAAKINGLRSELFKDTYGCGRYNPTYKLF >A05g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4182874:4188401:-1 gene:A05g501150.1_BraROA transcript:A05g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETEKRLNEQMMEMMKRMYPNEVFPNIQDP >SC245g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:116140:116385:-1 gene:SC245g500020.1_BraROA transcript:SC245g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDRERSRLADGICCWTYVSLSKMPRSDRKIGMDPALAGRMSLSRFGQGMEWIEGRHKEQWIGSLICHTAALNVSHN >A04g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21621969:21622371:-1 gene:A04g508290.1_BraROA transcript:A04g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERRKVDLLVVSVSGRCVCARAVAGTAVLSYKSFFKASPSYPSPIFALSDLWLRPNPWCMVVVCVELGRGSGKIKVRFREVEALFAPPPSVLSSGGGGLPSSVVAVLSPEGGGYSSSMLPVWYP >A03p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5815434:5819170:-1 gene:A03p014710.1_BraROA transcript:A03p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAFRGGDGQVEAVCDACRSEGTDASTCTAASFLDAFVLPTSFGLVWKPSDFVTIMVTDTESTHLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQIEQECLHVYKRKVEQAAKSRAELLQTLSDANAELSSLTTSLGDKSFVNDIPDKSSGTIKEQLAAIAPALEQLWQQKDERVREFSDVQSQIQKICGEIAGGVTSEVPIVDESDLSLKKLDDFNSQLQELQKEKSDRLQKVLEFVSSVHDLCAVLGLDFLSTVKEVHPSLDEETSVEAKSISNETLSRLAKTVLTLKDDKKQRLQKLQELATQLIDLWNLMDTSDEERELFDHVTCNISASVDEVTTPGALAHDLIKQAEVEVDRLDQLKASRMKEIAFKKQTELEEIYVRAHVETNPESARERIMSLIDSGNVEPTELLADMDGQIAKAKEEAFSRKDILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARVMVSKIPAMVDTLVAKTRAWEEEHNMSFAYDGVPLLAMLDEYGMLRQEREDEKRRLRVKFITSTLYKVNMTCNKPMFCLNEQEQKKVQEQPHVEQDTAFSTRPSPARPVSAKKPVGARASNGGANGTPNRRLSLNANQNGSRSVAKEGGRRESLNRPAAPTNYVAISKEEAASSPGTGAADQVPASP >A04p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9665009:9665968:1 gene:A04p015590.1_BraROA transcript:A04p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSPWVGSEFSCLLCFFLAVGLPLIVHARLGFQDLFFSDLTFILLFSLCSLILLHFSLLVASLVALHFAMFATPGLDSVFPHSLRAFVSYLVAAGYALGILFFSLCYLISLYFSSLVASLVAFHFAIFDTLDLDSVFFRVCGVSLLDLWSLLFGVLWFFIGTGALYVVVLFM >A04p032920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19198193:19199384:1 gene:A04p032920.1_BraROA transcript:A04p032920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNRGHFPFVNSSSSESSDEFDEWLEYENEAMEIEEQIAINMIARNNYVIHQLLLIQQSDQEATMAESPVQMNLVPTEATSESFAEYGQVIEASSDGDLFGPNDAQLDLSRGIPRFYIMRLEGRSLGFSTITHHANVTQCLGSIGGHVWYLGVAKPSLIEDADRERVGDNVESGSDGHLYAPPTVEEVRVFRFSGPKFVKLNRGTWHAGPLFSDSSMDFYNLELSNTNEVDHTTRSFKKKNGVIFRFEDSTSSS >A04p003710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1855326:1856105:-1 gene:A04p003710.1_BraROA transcript:A04p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 2F [Source:Projected from Arabidopsis thaliana (AT3G57600) UniProtKB/Swiss-Prot;Acc:Q9SVX5] MEKPSSMKQWKKGPARGKGGPQNALCRYRGVRQRTWGKWVAEIREPKKRARLWLGSFATAEEAAMAYDKAALKLFGHDAYLNLPHLQRKQGPSVNNTQRFKWVPSKKFISMFPSRGLLNVNAQPSLHIIQQRLDELKRNGLLSQSYSSSPSSASFLDEQKKPEMDLNEFLQQMGILKDKSQAEASEVAVSHYTPPWKEQEESGGPFTDQSLSWETMIDEMPGSETSAMHFDCSNFGSYDDLEDDLGFPSIWNFCGSLDE >A06p035470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19190294:19193452:-1 gene:A06p035470.1_BraROA transcript:A06p035470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPKVKTDWIRFGFKPKPTGSTKTLKTRLSNPNSSIVIFARPSFVSPTPSSSSSSSSIVRRALSIRSTLISRRNQLAFHIIPRDNEEGSFTSQRSYHSFLHQKTAVSSDLSHFPGGGLRVPLSPSSASAFAFYRYMSSAHGVGSEKIGVINDIAEVITDSTSLQDVPAQAAAAVGEVALAANDSFLPIAALQHCIDMVHCYTGLEWWASIVLATVLIRSSTVPLLIKQMKDTMKLSLMKPRLEAIREEMQNKGMDQVTMAEGQKKMKNLFKEYGVTPFTPMKGMLIQGPLFICFFLAIRNMAEKVPSFQTGGALWFTDLTTPDSLYILPVITALTFLITVELNAQEGMEGNPMAGTIKNVFRGFALLTVPMTMSFPQAIFCYWITSNLFSLTYGLVIKRPQVKKMLKIPELPPPPPGQQPSFDLFAALKKMKAMTQDHTENQTQPPLPVNPRISSLSPVSKRLKALESQVKGRKKNSSKKR >A08g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9988712:9991621:-1 gene:A08g505810.1_BraROA transcript:A08g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIVKVNCYHSGKFKIEDRKLIYADGKVEVLEVDGVTIFEDVVFQMVHKTELGNMWYKLPYEDLEDRKSLSNNIDQGKKKLATGGCWMKEIDFYIEKIGEDERICGEEVNVEQENVVLEEEEIMIGQGANEEERMIGQGANEEERMIGQGANEEERIIEQGEHENEANTVNEEAGEHGFEEDEDDADYEESGNVSESEDDSWSDLRATDDESDENDEPPEEDIDMINNNYEDEIPDEDEVPKGKARIKGVMESPKKGKKHPETKVSRKGREMHCGLCGGKGHNAKKCPHESEENRVKRRCIRKGLASEEAQASEEPQAQAQQEQGPSTNAQTSQHGSDD >A06p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3301101:3304233:-1 gene:A06p009390.1_BraROA transcript:A06p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFGHILFLSILLFEYGNSGDILRSGDRWVSVKGGTLVSSKGTFEAGFFRAAGTESWFLGIWYKNVAERTYVWVGNREEPLHSSNGTLEVVDDQLVIRDNSKPVWFATRRRESSSSSTTTAVAQLLENGNLVLKDVNNDNPEDFFWQSFDEPTDTILPEMKLLWNYQNRYLTSWRAFDDPRPGNFFFGFKTPQYPVLAIWYNDFLLYRSGPWNGYKFIGAPPLFRLEWKNQHILYLSINTTTTSPDYTRVQLDNDGSVRHYTWNQRAKKWDQQWSLSPDQCELHRTCYGNAYCRVGSSSPACECIPGFEFSSMNVTTKECVQKKNWTCNGDDHFSLVRKMNIPDSRNAKSNPSITKPEQCGKICLGDCTCTAYSMSISLETDNWSCITWSGDLLDLRSYSDEGLDLYVRTAGKKKSKTGLIVGTCVCILVVLALLALFCYWRRKKTREIERERERERERERATTAASSIEIMMPRERENLIEADQLSAPMDFAMIVNATDNFSQEIGHGGFGYVYKGVLASGEEIAVKKLSQLSKQGLDEFRTEVRSISRLRHLNIVRLYGWSVYKEEKLLIYEYLVNGSLERHLFGGGELNWETRFHIIKGVAQGLAYIEEGGYDLILHRDLKPDNILLDRYMTPKISDFGLARMCARSEKEVFTQHTAGTHGYVSPESLLHGIFSSASDVFSFGVIVLEIVNGKRNRSFSSSIGYLLGYAWNKYNEGNWSEIIDEKIRQDCVESWQVLRCIEVGLLCSQYFARDRPKISLVVAQLQQETIEIQKPKRPAFYPIDHEGKSGIPSSSNFKGESSTSTSCTVNGYTFSEIDAR >A04p014750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5674674:5675306:-1 gene:A04p014750.1_BraROA transcript:A04p014750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPKKKILVSVNVLGSVGPIRFLVNEDDKVSSVINTALKTYARQGRIPVLGFDVNNFIFYSNSAGFKTLNPQEKIGSMGETNFLLCKREREPLEKVEGREESKAREGKGWKNRLRRSLLGEFLYKNKSNSTKVARTRSLYQ >A06p046040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24673291:24675513:-1 gene:A06p046040.1_BraROA transcript:A06p046040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKASILKTILMLVTKIILSKCEISLIYVSKKKINLYNTRKLISIDFEGYTGLRRRKNTKKTNKTSGVAVSKPPRLLVKACIYTIALPPPLKSFTPLSLHYLFTFSKVSQDSVTIVCSGMAAATNGSTDYGAYTYKELERELYWPSEKLRISITGAGGFIASHIARRLKHEGHYVIASDWKKNEHMTEDMFCDEFHLVDLRVMENCLKVTDKVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMIEAARINGIKRFFYASSACIYPEFKQLETTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEEKKLPIHHIPGPEGVRGRNSDNNLIKEKLGWAPTMRLKEGLRITYFWIKEQIEKEKAKGSDVTLYGSSKVVGTQAPVQLGSLRAADGKE >A03p055280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23832666:23834097:-1 gene:A03p055280.1_BraROA transcript:A03p055280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCIMIMMMVIAMVLNVAIGEPIAPCYFIFGDSLVDSGNNNQLQSLARADYFPYGIDFQFGPTGRFCNGKTTVDVITELLGFDDYITPYSQASGEDILRGVNYASAAAGVREETGCQLGARITFAGQVANHVNTVSQVVNILGDENEAANYLSKCIYSIGLGSNDYLNNYFMPLYYSTGNQYSPDSFANDLINRYTEQLRILYNNGARKFALIGIGAIGCSPNELAQNSRDGTTCDERINSANRIFNSKLVSLVDYFNQNTRDAKFTYINAYGIFQDMVANPSRYGFRVTNAGCCGVGRNNGQITCLPGQAPCLNRDEFVFWDAFHPGEAANIVIGSRSFRRESPSDAHPYDIQQLAML >A09g511200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33791908:33792281:-1 gene:A09g511200.1_BraROA transcript:A09g511200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPYEEDREMKALKDDIDMLDFVMDAECGIPTRCPCWGGGGNHQRGVQEKVQMLRKRVDVMAAEITELKYKLNRLNPTSP >A05p050840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:57040:57270:1 gene:A05p050840.1_BraROA transcript:A05p050840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTSHPGIPTNATLASLNQNGISNLPPARSEAQLELQVHLTTIVLTDENDYYECEIAGKVRKKYSTGAVYTYLS >A10p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9588493:9589791:1 gene:A10p006150.1_BraROA transcript:A10p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSTCKLQAALNGEALRKEVERMKIETGEVSVNGQDLRFNQNQLMEKSNSQSVSETQSFYRTDGCKGVEIVKSEGPSLTSSAY >A08g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9626387:9627106:1 gene:A08g505730.1_BraROA transcript:A08g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPQQESQPIAESVSFSSDKKYDITQVYKFCTILKLTTRYCDTSTELPDKPKLIIQRKEEFSEIENSHLAEVL >A05p011120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4721924:4725074:-1 gene:A05p011120.1_BraROA transcript:A05p011120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase KIPK2 [Source:Projected from Arabidopsis thaliana (AT2G36350) UniProtKB/Swiss-Prot;Acc:Q9SJM3] MESFVGACEIVEEKDVVRLTKHSSRYCNKPALGSSKDMERPVGSMEYDIDQLFQSISIKPSPGRVIGSSSFHHLETSASAGTSRSTSPSKKSAMKKPFPMGGTPRSPRVGPSDSVSLKQALRDLCISKASEMAAQKRLSKSAAASPRVSEADRIKSLYRQVLNESVGRSGLPVDKGKRLVEVSLTPLDDIPSSSQSVPDVLERKPSKFLSGSMGETVLLYKSNNSGSSLSFGSGDFEIEIDEYPTSPPPQVVIEDGVVEIDKHVTSLPSCSSSKDDAEEELDKSIISSSRVISEAKALPSGLEGKLVNKVKRNIPRPKPRPKRKILVKKKLRVAVASSATKLVEEVDTSLEPSASQTLCQKCHCSLKSISENVSVEANQESVASSHLTPIVKSNKETRREADIVMQQDAIQNKQQRENPTSSEKIIFSLSSKNSSIGNYSSSTSMSEESNLSRFSCGNKPHMSMDVRWEAIKHVKLQCGGSLGLRHFNLLKKLGCGDIGTVYLAELVGTSCLFAIKVMDNEFLARRKKTPRAQAERAILKMLDHPFLPTLYAQFISDNLSCLVMEYCPGGDLHVLRQKQLSRCFSEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLTDFDLSLRCEVNPTLLKTTSLAGKDPARVSGHYNTSNCIQPLCIEPSCRVPCFSPRLSSKPRKQRRPDPLTQQFRSLPQLVAEPTEARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVLLYELLYGKTPFKGYDNEETLSNVVFQNLKFPDSPLVSFQAKDLIRRLVMKDPESRLGSVKGAAEIKRHPFFEGLNWALIRCAIPPELPDVYENGATEATSPKGNGNGYLECKAMGDHLEFELF >A08p025230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16305260:16308667:-1 gene:A08p025230.1_BraROA transcript:A08p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKYIPLQIFIHGFQLLISVLLVSSFYAHYGDSDSEFISVRDFLSDSGNTNADQSQAIQDAWKALCAGKSKSLVIHANEVYTLLPQVFQGPCVASNPHIQIDGKIEAPKLVKDWGSNKPENWLSFDKVSGLTITGSGLLDPHGESWWSTVKFDSRPQALQFNRCNNLIYNGLTQRNSPKNHISISNCIQATLSNLHLIAPANSHGISIGSLGEGGATKTVENVHVQHCTFTGADNAARIKTWPGGGGYAKNIWYEDITLINTKFPIIIDQQYDDDFYKYAKGSAVKVSDVTFRYFRGTCAQPIAIKLDCDKIGCGNIVLEHINITSSSPQTSPSAICRFADVTSSFVNIDMKCVNHADLQGPSPSPELPSQYDQPHAQLPQASAPHAQARPFLSFL >A03p051410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20512687:20514478:-1 gene:A03p051410.1_BraROA transcript:A03p051410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIHRWIRSPSSPWRSPSRLRATMPTFSAIALDRMIEPGASTSANTTVPSTNSHYYYPKPPISNADKAKSLNERRRTFTRPKMSPALYATPDAIPLPNSPSSFPPSPYIINHKSRGPPRLLKSSSEANVASQQKTVDDEAAEVKASPRRKSTSFSFPVSEPTDEDFGGVVDGPFGSWSNGLEPVTAKAEKESECEDFYDPGESASFTSNAEVEVDAGEEGSQRLATPVGEFYDAWDELSTDSGMQSSVNNIESELREIRPSLLMEIEKRKQTEEALEQMQTHWLKLREQLAQVGVFVPIDPTTSTNNMNLSEELRCQLEVARFVSDSLSRGMAKAEAEMAMESRLETKNFEITRLSDRVHYYEAVNREMSQRNQEAIEVARRKRQKRKKRQRWIWGSIAATITLGSAALAWSYIPNASKPSSEVSQTLKDD >A10g503470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9093275:9093496:-1 gene:A10g503470.1_BraROA transcript:A10g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPPTDTPPPLKRNSNDVGWEYGVLCDAKSLDKVKCKLCGKEFSGGVFRMKEHIAHLKGNVLACPVSSKEDQ >A03p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:421116:422986:-1 gene:A03p000680.1_BraROA transcript:A03p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAATKCARAAAATIRGRAIPVRSSLVRYSTPLRLIHGEISVPNANHVAIQMVNYALSHARSQRSDESYAQGMLVLEQCLGNQASDDKASQDSKATVLLAMSDLLYESGNSSEAIERLKQVMSLTLSSFGIRVVAVEALVGLLIQSGQDDASLNVADEFLELVKERGHENLQGVAATAKTIKGLTELVKGSIESAESLFQGLENHEICKGSNIALSYGEFLHATGNFEMAKEMYQKAIQGVTETKESMSSCNMNLKAVSLAATFALGQLESHIGNFGDAEETLTSALTSAEEHYGHSHPKVGVILTGVALMYRNKAKQERSSSIMIQEGLFRRALELMKAPPLDDTEGIINVETQEVMALARGGED >A06p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19033472:19036267:1 gene:A06p035210.1_BraROA transcript:A06p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEGFTSGLFRWNPTRAMVQAPTAIPPPQQQQQSPATPQTAAFGMRLGGLEGLFGPYGVRFYTAAKIAELGFTASTLVGMKDEELEDMMNSLSHIFRWELLVGERYGIKAAVRAERRRLQEEEEEESSRRRHLLLSAAGDSGTHLALDALSQEGLSEEPVQHQDHTDAAGINCGGRGGHWEAGQTTIKKQQQRRRKKAIVTSVETDDDGNEGEDDDGMDIVNGSGSGMERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLLQVQTIAKDRGEKCPTKVTNQVFRYAKKSGANYINKPKMRHYVHCYALHCLDEEASNALRRTFKERGENVGSWRQACYKPLVDIACRHGWDIDAVFNAHPRLSIWYVPTKLRQLCHLERNNAVAAAAALVGGISCEGSSASGRFGV >A06p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9263509:9267055:-1 gene:A06p019090.1_BraROA transcript:A06p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILIEETLSANSKSQTVIDDDNELGLMAVRLANAAAFPMVLKAALELGVFDILYAEAARTNSFLSPSEIASRLPTTPRNPEAPVLLDRMLRLLASYSMVKCSKVSSGKEERVYRAEPICRFFLKDNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFKDVNVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVPGDMFVDVPKGDAMILKRILHDWTDEDCIKILKNCWKSLPENGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSGGKERSRAEFEALAMEAGFTHCKFAKPLL >A06p014950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6672587:6673583:-1 gene:A06p014950.1_BraROA transcript:A06p014950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALVSRLTKGRSLLGGLTNAFSGLMSSSTGMMSGSTLSQHQQQQQRTFIQMGTRLKVVDNSGAKEVVCIQSLRGKKGARLGDIIICSVKQGLPEKKKGKDDAVPIGKKFNWKDFIGTGKVRKGNVVYGVVVRAKMQKGRVDGSQVCFDDNAIVILGTKEPKKEYTGGFYQPIGTRVFGPVPHELRLRKQLKILALAQDLV >A07p019980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11857699:11858312:-1 gene:A07p019980.1_BraROA transcript:A07p019980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPQIKRTTSLSEIKFDLNLPNEPEEPSDHQVQMLSNPKGKLVTVDEQRQAHHGLLDQRLLATVSPRGTQRRHSADHSTHAGADFLRSCSLCKRLLVPGRDIYMYRGDRAFCSLECRQQQITVDEKKEKSLVRSTFVVGAGSGKGERLSAAV >A07g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:972296:973537:1 gene:A07g500450.1_BraROA transcript:A07g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRLEPCLLAPLAISSAHRRDTYCWSYTRSGQYTVKSEYWVARNVLKREEEKQVVEPSITDLQAYVWKLKTPKKICHLIGQLLTGHVAVTRNLARRNMRCDNYCSRCGELEESVTHAIFECPPTLQVWSLSSTPTGPGIFRVPSVYTNMDYLFWRKNAIIEPDQDRDPYPWLIWYIWKARNKKLFRGIDRDPLELVRHAESECQAWFEANKVVQPIIQDINVEESQVINLGNICLLDGSWTADAHFSGCGWVWMDSGGNTQLMGVRNLTRRESPLHTELEALRWAMENMLQHSTCQSFGTDCKEMIAMLKEPQVWPSFATELERIETLQICFPEFNITYVPRAQNQFSDFLAKTARSFHRELYFIGCSIPVWLPRPPQA >A07p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13984101:13987479:1 gene:A07p024290.1_BraROA transcript:A07p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCIFHICRTQRVESSHTLLLSLFPRRDSPRPSLSLGWIYFVQIQVPRKVQTMEEIQSQSDLYRSSSSCASSPTSRVPSSHFFYVRKPGSLRQPISFEDSPEWDDPDARMEYEAGGVGVGGGGGDSINDATATPISPSLSKINSGSMASPHVPEGGGGGGNAVRKIAGASIAWRDLTVTMTGKRKYSDKVVKSSNGYAFPGTMTVIMGPAKSGKSTLLRALAGRLPPSAKMYGEVFVNGSKSHMPYGSYGYVERETELIGSLTVREFLYYSALLQLPGFLFQKRGVVEDAIQAMSLSDYANKLIGGHCYMKGLRSGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASMGCTLVFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAIRTLEATYRSSEDAASVETMIFKLTEREGTQLKSKGKAGAATRVAVLTWRSLLVMSREWKYYWLRLILYMILTLCIGTLYSGLGHSLSSVATRVSAVFVFVSFASLLGIAGIPSLLKEIKIYGSEASNQHSGAFVFLLGQFLGSIPFLFLMSISSSLVFYFMVGLRDDFSLLMYFVLNFFMCLLVNEGLMLFIACLWRDVYWSILTLISMHVIMMLAAGHFRIRNALPKPVWTYPLAYISFHTYSIEGLLENEYLGEVFAVGEVRSISGYEAIRGDYQISPDTSSKWRNMLVLLAMAFGYRLIVYVLLRFGLNKNVSARLLLCHRKNNSSSR >A08g500320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:732528:732935:-1 gene:A08g500320.1_BraROA transcript:A08g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVMNAGITTLYGAKELTSATSPLHAEAESLIWAMQEVLKAGTRRIRFELDCEQLVNLIHKEEDWPSMAAEIDEIKALSLAFLETSITYIPRSLNIRADCLAKGGRSRAINPPYVDCSAPSWLANYAGQNRAI >A07g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17314091:17315605:1 gene:A07g506840.1_BraROA transcript:A07g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHTVINTSRISEAMLLCEISYFVFGLYVTFELMCDFSAQRVYGNRWTEIQRKDKVVNNMFTRLCMKTAKHETMASEDKSNKNRMLFLDGSSTPANIKLLLPIEQGASSICPRLHILSPRLDKQKLTILFDNPNIGKSKEKSLFLGHFHAKGTVQKSSLSSARVQLINNSTKNIALARKMGHAVGLGDIYGVRYKVSRVSVVSISALYKEKEQPTS >A10p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17355847:17359316:1 gene:A10p027610.1_BraROA transcript:A10p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMEETATVWSLLKSDLRPIEDVVAEFNSKFPRDRRFTACNSLSLLLQARALASLLPLNFLPLLGPDLMLLRSTDRIIAFAIMYQCYPSEKPSVNPFVSDMMNAACNEQVEKHERAFILHLLQWNSYNKSKEILKLSAVDYIKSFDPSTHDFPELGELQREYGDKAGSGPSSHIAADYAVKKLLHDPDVPRGCDPNSPEFDVQPGGNPKIGCGDRDEAVTGILGSLTTGGLAPRWIRPCPPRYPVHQSELLWIDPDNKHELIWDDKMCADTSRGATVRDLLVKGLKVTLSPSEQEDITTALANDAKLVYHCGITPRKLPQLVEHNPQIAVEILTKLINSPEIVDYFTALVSMDMSLHSMEVVNRLTTAVELPKEFIRMYITNCISSCENAKQQDKYMQNRLVRLVCVFLQSLIRNNIIDVKDLFIEVQAFCIDFSRIREAAGLFRLLKTLE >A06p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14991943:14992274:1 gene:A06p025110.1_BraROA transcript:A06p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRKVRKSCLIKNKSYIKAELMMHVRHTKMSILTGQQFDSNRSYGAGKAINCMYDSRKILIHSLPFSQRGCIVNNIMSIRYYVSTQLKQLN >A02p056410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34075711:34077852:-1 gene:A02p056410.1_BraROA transcript:A02p056410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGGGLGHASPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRSINPQTIMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRPFDTHSKTNEQTVQEMLNLAAKYNKAVQEEDELSPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >A06p057210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29733274:29735738:-1 gene:A06p057210.1_BraROA transcript:A06p057210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVYRLSLLFFLCLYGLHQTKSQPFIGVNYGQTADNLPPPSATAKLLQSTSIQKVRLYGSDPAIIKALANTGIEIVIGTGDVPGLASDPSFARSWVETNVVPYYPASKITLIDVGNEATTFGDRNFMLQLLPAMKNVQSALEAASLGGKIKVSTVHTMSILSQSDPPSAGVFAADHADILKGLLEFNRETGSPFAVNPYPFFAYQSDPRPETLAFCLFQPNPGRVDANSKIKYMNMFDAQVDAVYSALSSFGFKDVEIVVAETGWPYKGDPDEVGTTIENAKAYNKNLIAHLKSMAGTPLMPGKVIETYLFALYDENLKPGKGSERAFGLFKPDLTMTYDIGLTKTTNQTSMAPQSPTPRLPPAAAPTSQTLPAPPQMILPSPTSPSDKNSGQTDVHNSTPRSASLAHLCSSLSVPSMMLFVSVMYALII >A02p040110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25382743:25383636:-1 gene:A02p040110.1_BraROA transcript:A02p040110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGVSIKVNAKVIEVEGPRGKLIRDFKHLNLDFQLIKEAETGRKKLKIDSWFGSRKSSASIRTALSHVDNLITGVTRGFRYKMRFVYAHFPINASIGGGSKSIEIRNFLGEKKVRKVEMLDGVTIVRSEKVKDEIVLDGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKSKIVEEE >A02p002980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1258878:1260779:-1 gene:A02p002980.1_BraROA transcript:A02p002980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g08160 [Source:Projected from Arabidopsis thaliana (AT5G08160) UniProtKB/TrEMBL;Acc:O04265] MGCSFSGLNALYDSGGGDVWINENRFRIVRQLGEGGFAFVFLVKEIVADAASGGGLASKVMDPAHLSADGTYAMKKVLIQNKEQLELVREEIRVSSLFSHPNLLPLLDHAIIPVKGGQEGAGKHEAFLLFPVHLDGTLLDNSTAMKAKKETFSTTDVLQIFRQLCDGLKHMHSLEPPYAHNDVKPGNVLLTRRKGQTPLAILMDFGSARPARKQIRSRQEALQLQEWTAEHSSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYVLEESDGSLQLAIVNAKIKWPKAGPKASYPEALHQFVTWMLQPQAAVRPRIDDIIIHVDKLIAKFTK >A01p026620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19444088:19444996:1 gene:A01p026620.1_BraROA transcript:A01p026620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVVSCGEMEPSRWSKLPSDLMQLVLERLGFADFQRAKSVCSSWHYASKQTSPNNQNPWLILFPEEGKDCCLLFDPEEKDDKLYRIQNLGVNFANSNCLVTYGSWLLMQDDHQYNLLYILNIFTCEKIDLPSMKSQLSIAETEDDMFLVRLDNNKDVLFWFDEKTKDYVVIWIIQPRFLVYSRKSDKYWKRIELFNFNFDMVYKDHRLYLYTSSRDVKVLDFSQDIPRQVFETQVNYDYSRKPEDVFYYDAPLHVCRKIKTENLVVRVTGEVLRVKSIVLCNSDFWYFRIYKMNSSNSEWE >A09p051650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45474989:45477539:-1 gene:A09p051650.1_BraROA transcript:A09p051650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYERDFMKHTMLEHDAVFKNQQVHELHRLYRVQRNLVDEVKGNNSNGEGSTSQACNGPLQSRRKMIDLELPADEFDETDDITTCIPSKRPRSGREDGSHRSNSSGSCLDVKNSNGLADLNEPLNWQDAEPVAALSRDVYSHYGRNTSQNGGMVLGAGHDRSTQRDLHLPSHSVQVRSNSAVQPQSYLTTDHSNVVFSRERAYRELEVRSKNPQASYDSYVESFPASNAPRLHNDYRPDFVRPWSHWSSSWENPRSTSHQRFFPVQTNPYMNFVARARTDTSFDTRSPVSNGAYHGFSSGSKEAAVSFPSGGFRPNASLGEVVKHQSSESFQGLKTQESSAMLPWLKPKPSCKSERSNGFFDLNASTDQFMDGTDTGDGRNGVSLQTGFRSSASCSYDANMGRIELINPQNSGKNIGGPVFQKHSICKEEHAPSISHSLYVADQHKEVKRDFDINLPCDASVSVDQRGSKAFCAVKEEGNKAAINRHFFDLNSCASEDDEDSGLRSSLRVKTKGNIWIDLEAPPALESEEEGGGGSQDMKGQDDNALDELIKEAAQAIVDISLSDHRRHPDDAASSTTDAALKSPLSWFADMITSCGDELERKADKSPEATDFEGYREEYSSGEIDYFEAMTLNLHPTKEEDYMPEPLVPKNLILEGTGLNRLRRGQARRGRPKRDFQRDTLPGLSSLSRQEVTEDIQLFGGLMKSREHTWSSGVASRRNSKRKRTVTNVSQAQVCPSMTQPESVSVVGLEDGEITGWGKATRRPRRQRCPPAGNPATVIFT >A09p083360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60011913:60013127:1 gene:A09p083360.1_BraROA transcript:A09p083360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQMFPMAPSMPPTNITTEQIQKYLEENKKLIMAIMENQNLGKLAECAQYQALLQKNLMYLAAIADAQPPPSTAGATPPPAMASQMGAPHPGMQPPSYFMQHPQASGMAQQAPPAGIFPPRGPLQFGSPHQLQDPQQQHMHQQAMQGHMGMRPMGINSNNGMQHQMQQQPETSLGGSAANVGIRGGKQDGADGQGKDDGK >A08p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20291461:20294257:1 gene:A08p033960.1_BraROA transcript:A08p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNGKSPKSNADSANQKQNPILVEVNNIEKQLWTLIHSKGILHPDVSELYTKSTSTYEQIFKSNLKQEELQEVEFCLWKLHYKHIDEFRKGVKTDDPVTHMKAFKMFLSRAAEFYKNLISKVEESGFLCHRFYICLGDLERYKEQYLKTHEHPPNWSTAASYYLEAAKSWPDSGNPHNQLAVLATYVGDEFLALYHCVRSLAVKEPFPGASNNLLLLFEKNRSSPLKSLSTDAEFNFLNPSERNVVVKDIMSGTDLLWPLMVRTISFFFLKSSFDDFGCTFASTMRELDAAFAADDKSLEAMLESYQVIDSSRKGPYRILQLVAVFVFIFHHLAEFNESDKAKEEVKLTMVFIVMGRVVERCLKTSPLNSCPLLPALLVFLDYLPFLLDKTEEEEECKFDEKSEISISYFFGKLVDLLNGLQMKGENCSDEKMIALWEDHELRSLAPLAPVHLLLDFSSHMELRESFDKGKEIRLQRILNSAIRISSRQKKSSQKWLFLDKQGTWSYSNEEGSNITCIAVGTAENESERSVPAEEEEVILLKPLVRSQSAPISSSGIATKPLSSDNKTLSDESLRRTSSLIVNHNSESFSFTQGLKNTEPQLEEGTVSGRPPSLSAWVVDKKKENGGVSNKANGLSPIDETSPVTSFDSLSTSSYSPPTPSAPLLPEDASWFHNGPIDEPPGFMKTYTNSPHVGMSSSEWLRRYRESRNLGPAYSYHQAQGSSKFSLLARYGTPNDQSMISSENSMFHPQLYNESRGEKLWKGQQSTRNAYGFSDDPGPFLRYLREKEWLNENGERLREGCK >A03p063080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27391562:27393980:1 gene:A03p063080.1_BraROA transcript:A03p063080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase FAO4B [Source:Projected from Arabidopsis thaliana (AT4G28570) UniProtKB/Swiss-Prot;Acc:Q94BP3] MEEDRRSRGHPLLRSKKRQGEGGYSHGFSISQIQTLSFICQTLLPPPPPETTAEQNAVDSFNVASGSQPPFTDEVAEMIVKNGRSEAVKVLRIILTILSYRFGTLFLCGSLCLAKDWPFVHKFSDLPLDKREEILRMWSRQSGLFLPLRITFFLAKFYTLFSFFSQRDQNMKNPALEAIGYSIDTTEMRKDDEAPRPLEKGIIETKNESDVTIRQSLTQKGVHVARDDNDNIHRIRCDVVIVGSGSGGGVAAANLAKAGLKVLVLEKGNYFTSRDYSGLEGPSMLELYEKGALMTTVDGKFMVLAGSTVGGGTAVNWSASIRTPDHVLKEWSEESKIEFFGSQEYQLAMDEVVRRLGVTERCVKEGLQNQVLREGCERLGLKVVSVPRNSPEDHYCGSCGYGCREGGKNGTDKTWLVDAVENGAVILTGVKAERFVFTDNEGKKKKKRCVGVIASSVGGKIEKKVMIEARVTVSSAGSLLTPPLMRSSGLENRNIGRNLKLHPVLMTWGYFPEKGSEFSGKMYEGGIITSVHHVHDAESGCRAILETPLAGPASYAGLSPWVSGADLKERMMKYGRTSHLFALVRDYGSGEVLKENEVTYRTSKKDRENLRVGLRQALRVLVAAGVMDRG >A01p021760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10604780:10606683:1 gene:A01p021760.1_BraROA transcript:A01p021760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARYNSYDSRSSVSSSIQSDPSSSAEFKSNKAIVRSKPSYLTKTAKHDTNPGNLTCMMKKLMDMKKSNPKGKRVELVIPHELKKLDTVRGGGKSALGTLQRKLFGKEKEKVKALTEVKGNTRTLSMVLRSERELLSMNKDQEIEISELKFQLEEKNREVEKLKDLCLKQREEIKSLKSALLFPDGMNSEMQELNEARQIIPNLQKQVLSLNGQLQCIAQDLAEVKANKYISESCYWQAQTSSYDSLEFSSGSPDRLALEDLNPCLTPYAKIKPKEFARVDSAEESLSGRSTVTNTGGKGKSSSKSVKMSRSSEGKAGHRSEESTGWHRGGRMF >A06g500710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2995968:2996837:-1 gene:A06g500710.1_BraROA transcript:A06g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSLSHCLLYSGQRRVMVSQWAYKFLFINWLIGQSRRLGQICDKSIFSLIGEFFISLIVECDLLANKIWRFCFVGVFPWLVSHGGLFSPENSLKELRAPCNKKRNLKPPWTWNRRNLKPPWTWNRLRTSCALDNLSWMIVAPLLRSDKIQHYLTIKREMDRLCEGMEWKTMVFDYYQCQFEELCMVWIWNTTKHVISCSGSIWNGQRCNRWIVPPDLLSDERRWITMDDSRTQDHIRPRTTIEKLVNQSILQSELGSIVLLLFCYHVQFKNVFVVCFDSDTLLNFS >A05g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10888187:10900326:-1 gene:A05g503870.1_BraROA transcript:A05g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPASDTSTMAKTNKTDMEAKKAAAAKREFELRGKPLEPAEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKMSKGKGIALERDRSKSPTVEELHHHLAKGVSWVPTRFADPKTMEELGIENDVRTMLQHMKMESFYSMAYPTYVEPSSQFLATLEASFYEGNHVRHGWGKIKFTVNGKSYFMSFKEIGAMMGIEDNEDQTLPRFKKLPTGVWRVISGNQHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRLLYRAVIDNVTPEQLEEFEETDKMKFPTTDIFKRFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNRKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPLLRLARNPQAHKSNSGNKSPSLASTDAETDNEEVLEFPLVDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAP >A02p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11798758:11799831:-1 gene:A02p023630.1_BraROA transcript:A02p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFHNAKAVRMRNVHEKYLMADEDEETVTQDRIGSDKRARWTVELVRGSFEVIRLRSGYGNYLTASNERFLLGATGRKVVLSKPNRLGSSVEWEPVREGSKVKLRTRYGNFLRANGGLPPWRNSVTHDSPHSSDSFLWDVDLIEILVGTAPPALAPATTPAPHRKLSSPPMSRTSSEKYVVELTQSLPKSEGRVIYYHIADKEGHVENDSAVGYALTFKGNSVEQLTQALREETSMDDVVVCTRNPLNGKLFPLRLQLPPNNGTMHVVLVPSTT >A08p014330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9581123:9581672:1 gene:A08p014330.1_BraROA transcript:A08p014330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNFKATAITNRTQRVRLKFSKNCYVATDPQTSRSQRSDRPSHSVHSDRPNLLFGRYQRGPGRYVETKLSRTSINGYDPNPCILPYHFSHHSDQSYHRNFTIKTTRTCFCRKENCNKRFMSKDSQRSLKRDYKSTYDFLRMSL >A09p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21467685:21469906:1 gene:A09p036160.1_BraROA transcript:A09p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase-like protein 2 [Source:Projected from Arabidopsis thaliana (AT4G08790) UniProtKB/Swiss-Prot;Acc:Q94JV5] MNTWFASVDLTKASLSTQIPMATVKKTVRVAAAQMTSVNDLMANFNTCSRLVQEAASAGAKLICFPENFSYMGEKSGDSVKIAESLDGPVMQRYCSLARDTNMWLSLGGFQERFDDTHLYNTHVVIDDTGMIQSTYQKMHLFDVDVPGGSSYKESSFTVPGKNIVSVDSPVGRLGLTVCYDLRFPKIYQQLRFDQKAQVILVPSAFTTVTGEAHWEILLRARAIETQCYVIAASQAGKHNEKRESYGDTLIIDPWGSVVGRLPDRFSTGITVADIDFSLLESVRTKMPIDKQRVSIDL >A02g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4617877:4619090:1 gene:A02g501550.1_BraROA transcript:A02g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYIEPYFLDNDADAASVTGQSFDGVSESLHIQGEILCGGAATDIAGKDFGGMNCVKPLAVVRPVGPEDIAGAVRAALRSDKLTVAARGNGHSINGQAMAEGGLVVDMRSTAENHFEVGFLPSGAFVDVSGGALWENVLKRCVSEYGLAPRSWTDYLGLTVGGTLSNAGVGGQAFRYGPQTSNVTELDVVTGNGDVVTCSEVENSELFFSVLGGLGQFGIITRARVLLQPAPDVVRWIRIVYTEFDEFTRDAEWLVSQKVESSFDYVEGFVFVNGDDPVNGWPTVPLHPDHDFDPTQLPQSSGSVLYCLELALHYRDSDSNSTVDKDRFELSRPP >A02p044560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28081196:28083135:1 gene:A02p044560.1_BraROA transcript:A02p044560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIRGPSDYSQEPSRDPCLKINAKASPSFLLFFASFLIHRSAIDSILGVMCYSVSVTGLIDNPTKLFIKDIKSLPKYNVTATLQCAGNRRTAMSKVRNVRGVGWDVSAIGNAVWGGAKLADVLELLGVPKLTGSTRLGGRHVEFVSVDRCKEENGGPYKASIPLNQATNPEADVLLAYEMNGEILNRDHGYPLRLVVPGVIGARSVKWLDSINVIAEECQGFFMQKDYKMFPPSVNWDNIDWSSRRPQMDFPVQSAICSLEDVQMVKPGKVSIKGYAVSGGGRGIERVDISMDGGKSWVEASRTQEPEKDYISEHSSSDKWAWVLFEATIDVSQSTTEVIAKAVDSAANVQPENVESVWNLRGVLNTSWHRVLLRLGHSNL >A04g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14391742:14396464:-1 gene:A04g506470.1_BraROA transcript:A04g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDCSEEPEEPDMQKIPEMMFAAGEEPVGVRILIYQSSTAPQRILNALDEEELVMVAAVPALTEVVQDSYSSSDSDSEDIDGSGRDIFTKKRTLNPAHARNLDKRTDFIVHSILVQDPERPIDEAILVRHDEVHDSRVDNLVEAVRRNYQFNNSCFRGGIRKIDVVHMREKVKSSAKCKRAKKGITTSSEAENSVIVDLVLDKIKPQIDVLESNIKIGSSRVDAIEGGVRKQVETLLSKFKGEMLSSLKDIVSEVCKDHLAAHKGPGNYQPSSPTNLFVPGCHTSHVADANAKTIENVLRDISQYSTPPRSNRICESVNPTPTTNQQVESGNVCGTSVIQSGAQSANSENRSRQQSFQHKLTPQNNKDNIADEPSFSLGLTQEEQIQEDIPILGQTCPHHVQLSQTNVDDNIEGISSSRRSKRQKTVPSGLVEDYLCGPHLLSRAKESQRSIFATLDISELVRKFTNLESKMKSNFVINVSGLAVSRREILQICERQRNYTAKVVDILIRVLRSVILDQLPSEGSHSAEFLDSKFGAAIMKNFVRFLKSKNKESYIFPKSLSGIFPTKEAPKVNPRKYYFPLNVGNKHWVGICFDAVCGTVTILDSCLALHKQKALEKIITPVIQTLPYLARYASLDIDTEPVIQCYDVARPKSVAQIKNEADSGLMSLLFMARHALYGPEACKNIGDDVLMAIPLSVTLHHGAWRRADDGHWTFHRNPSDLGYTVMVKPTETVQDLETIIRERYNFKPETPMVLAYHLPEWMLEPEGTRTPPITLTTTPQVETMMQIRSWWSELKLCVTSGAENVAHYQFLSQTTFTISGATFVFKGYNDKELLASKEVLEECFKEEEMVPIYRVHLEIEKAKSKERGAQGLPSMATEAEGSGSSPSGSQG >A02p054350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33028082:33030772:1 gene:A02p054350.1_BraROA transcript:A02p054350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERKRSRGGFLNLFDWPGKSRKKKLFSSGSWELSEEPNQTKQNAHNLLKSSLNEVDKNGKKWNYNSRSDSSCCASSVTSDDGQGTRAPSVVARLMGLESLPVPNVKEPDLDPFFFRHSLNTNKWNAYKNLGYVNLQSDYDGLNRPVERFQSETFPPRSAKPITVAKNRLLSPIRSPGFVPFRNPVYVMEAASRMTEPSPRMVTRTRFSSPSDSPSTSVPMRIQDLREKLKAAKKVSSRQVSSNNTFNDKRASTSVTTKSSPNGLKRKARPPHVSAAQAKASTTPLRVIRNSPSHKEKAEPKKRIVKSGLKQKNEQKQNCKDNQRLVNKVPVERGSISKKQSGLRTEPAGKTVPRSKKPPNGMQECGIKRGESVIKCNIASDGGCLHSGKDDRRKEVDVITSSVKGLSSDSLSSTQATDQRTDSAASFDMFGGGNPLKLRELTSTLESSSSCSSLTQEESSRCSATDGVNGMFSSSSESESVSDKQKLQTYEEGIDIEGEMVSTLVDDLVNELASVFKEEH >A01p056490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32057092:32068263:1 gene:A01p056490.1_BraROA transcript:A01p056490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDLRLNPLGGDTQVEILCNDWYVFRFQRDVIMIVPGMQCRKSNLVTPLKIVGQDPINVMVEDRDAAFAMNVAQRCVRGESWTGEFPVKSKSGKIFSAVTTCSPFYDDDGSLVGIISITSDIAPYLHSRISLSKSKQGETISSPARNSFASKLGINSHQPIQAALASKISDLASKVSNKVRSKMRGGESSATLSDGGTLDSHHSDHSVFGVTLSDHRDDAAPSGVSSPRGDFIQSPFGVFTCKDSTDGKTAIHKILPSKSEECLVNKDFSWPWKGNEQEGSKGRPAHYVRSWVHNDQEKDKSHQINPFSGAKSESNKPTIDEGGSLWSSSINANSTSNASSCGSTSSDSDCLEYEILWDDLKIKEQIGKGSCGTVYHGLWFGSDVAVKMFSKQEYSEEVMQSFRQEVSLMKRLKHPNVLLFMGAVSSPQHLCIVSEFLPRSSSHIALINLQWKSLPSTTEEHITIGLEAAYSPPIIHRDLKSSNLLVDRNCTVKVADFGLSCIKHETYLATKSGRGTPQWMAPEVLRNESANEKSDIYSFGVVLWELATGKIPWETLNSMQVIGAVGFMNQRLEIPKDIDPLWISLMESYVHISQLMLTLNQSVFLRSLVYSARQRYNAETYIPSTDGETKRSAKEVYNTVPSYFSRFDRTMETPPAEQLLKKILELEENQEHLKQEMSRLKVSTEIRQRSHSVSPHRPPRRNIGGDGGSSWRKSGAASFRHASPLRKDSRIQGPINLRAGVGGGPGGGDGGRSAGKFTDKQNAMAEKLYGYSAAEALGENPINVIADDRDAAFAMNIARRCVRGESWTGEFPVKSKSGERFSAVTTCSPFYDDDGTLIGIICITSNTAPYLNPRISLAKLKAEEGETSFVPARNSFASKLGLDSKEAVISKLGLDSDQPIQTAIASKISNLASKVSNKVRSKMRAGESSSATLSEGGSGDSHHSDHGGVFGATHSDHRDDAASSGASTPRGDFVQSPFGVFTCNEEKFHSKPFKDSSDESDEKPAIHKVLTSKAEEWMVKKGLSWPWKGNEQEGSKGRPTHSVWPWVQNGQGKDKTRQIIPSSVVKSESLAFESNKPATNNEGGSMWSSSLNATSTSSASSCGSTSSSVMNKIDDTDSDGLEYEILWEDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSEDVIQSFRQEVLLMKRLRHPNVLLFMGAVTSPPRLCIVSEFLPRGSLFRLLQRNASKLDWRRRIHMALDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRIKHETYLTTKSGKGTPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWETLNAMQVIGAVGFMNQRLEIPKDIDPLWIALMESCWHSDTKLRPTFQELMDKLREMQRKSTIQLQATRAALRDNSPLKDN >A10p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:799612:801416:1 gene:A10p001550.1_BraROA transcript:A10p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNNKISVRLLKHLTSRRMILLLERPDSGKSTLLLALADKSLKLTESAQPKPTELFWVSSVRPTTCLGEHQQTATCQEHNQRPFAGGSTCNALTLRLERDLGFYRSEPKIVLATSINPKIDGEQTAASSKVAHVQKIEPLTISEHEYVIAAQPQIIEFLCIPAQANRAFAEAIDVVRDKGVAMAVAVVVIVAVASAEDIDAANGARPNFFRGEESSLN >A06p014730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6585825:6589790:1 gene:A06p014730.1_BraROA transcript:A06p014730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPREDPRRELIDLPVLHKLKLYSTRTTFYLIGRDEKKTFWRILKIDRTDPKELNLFEDPTKYTHDEISQLKKWISRGNQEHGGLRAETTCYGIIGFVRFLGPYYILVIRKRKKVGEICGHAIYGVAESQMIMVPYPSSETRVSSSAAERRYRKLFNMVDLSKNFYFSYTYRLMYSLQKNICNTERGKIHDNSMFVWNEYLTRGIRGILKNTVWTVALVYGFFEQIKCSVSNEEFILTVIARRSRRYAGTRYLRRGVNEQGSVANEVEIEQIVSKEVPEGKKIPITSVVQTGDKDHRESILKAAFGKAIWFINRQTKKDSRLKAIHYDLNKHFKSGVDGAFEQLCVLGKRALDLIDLFFCEAPLGIGAEGVINDSFLNNPIMNQDKEETIQENEALKADIHKLQSGVLRTNCVDCLDRTNVAQYSHGLVALNQQLRTLGITGPPIVDKDNPVAKKLMEVYENAGDAIAIQYAGSEAHTKMFSALRGEWNMMMKHRDIITAVRRHYNNAYQDGEKQNAINVFLGKSGPQLGRQAPWELGSDQRNTRRTSSNLDIETLRPKISRSLSDNLLLLGELNLEEPILENPEPSREGLNGVIWETTSESGFIEAEPTSPTFHSAIVDEDHLRRTGSRQMLQGSSSMSDFLGLDDVPGFSHSYNARFTPADEMFEICSSVSSDNMFTDLDESITSTTDTNILEFHSSSNQPGRFIEFPLVDGYSNEFTQWVLHEKSSLSRAPR >A03p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9072527:9077923:-1 gene:A03p021330.1_BraROA transcript:A03p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKSLNFTGTAFSVIYRRHSRRRLSSFAAAHGPIPMGKRKPPKRATPPKLPAGEKVLASSSSESPACTGSTDSLQVSIEAPTSQRTASVSNFQDPISVPVTGSEDPNTVITVNSAKVILVTDPAKTPTCEDKEEGEISPTKSNLITEKDDAILDKTRNFVLASVPTTDNTATAKSAPPAVEEVYIPVDPKRHSTASLKESASSFKKMEIGETSGKVESSARGSIDRASLDLGGLEMSAAESDSSDLDSSDSHFLGGPSSLSLFDPQDINASKSQRALRNIAVQAIIYNIWAERNDRIFNNKALTPLERFNLVDKNVRNIISARKTRKEFKHLMVL >A04g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11520149:11521020:1 gene:A04g505370.1_BraROA transcript:A04g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNDEDFLPSGVGGPNGGQSSNNGEGEMVIIRSRPNEVEETGSSQRNNITHTPKENVHILVTELVLQEAFASTSHVESCKRISKAKEVCY >A09p057240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48352627:48357404:-1 gene:A09p057240.1_BraROA transcript:A09p057240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQALLILSILLSVAVVGEGATAAYDPTDLFLFNCGATSDNTDNTGRKWTAENRQTLSSNAVNASFSSDASSQESGVLQVPYMKARIFRSDFTYSFPVSPGWKYLRLYFYPTRYESGFDAVASFFSVTVNGFTLLKNFSASLTVTASKHVLIKEFIVPVHQTLNLTFTPSANSPAFVNGIEIVSMPDGFYSKGGFDDRVINVGSDVEYQIDNTTSFETVYRLNVAGQDVGDTGMFRRWLSDDGFFLSENSGIRPVVEDVKINYTDKTPAYVAPEDVYETYRTMGNVDNAKLNMNFNLTWLFTVDAGFTYLVRLHFCETLPEVNEPGQRVFSIFLRKQMAKIEMDVIQLSGGSRVPMYLDFVLVHVGSENELRTDLQVDLHPFSAISPKYLDAILNGLEILKLSDSDGNLAGPNPNSSRTPDSVTQDIQKGKKKSHSLVIITLAVVGSAILLAMFVVAIIIIKKNKKKKIELSVDNTSKPTDSSWTHLPLVTGSSHTRSATSLPSDLCRRFSIQEIKSATNNFEKELIVGVGGFGPVYKGRIDGGATLVAVKRLEISSNQGAKEFDTELEMLTMLRHIHLVSLIGYCDDEEEMVLVYEYMPHGTLRDHLYKRNKVSDGPLSWKRRLEICIGAARGLQYLHTGAKHMIIHRDIKTTNILLDENYVAKVSDFGLSKVGPTSASQTHVSTVVKGSFGYLDPEYYRRQVLTEKSDVYSFGVVLFEVVCCRPVKLENVPREETDLVRWVKSNYKKGTVDQIVDADLTADITLVSLEKFCEIAVRCVQDRGIERPSMNDVVWALEFALQLHETATNKNGVESLDLPTRGEVGATTEGEDDFFSKTTGRVSTTDDDSARLAGNMLNGWQNLTGVHEDSEEVIWVSLVPDKKKYLAYSTMNRPAACPSSMNRFCTAIYSQQNSQCTIYNRCKHGSTGSS >A10p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5749759:5751160:1 gene:A10p010410.1_BraROA transcript:A10p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFEQLLDDAPESMQIDQTSERRTLRKRKEKVPKHLKREVNEKEMDSFTKRVLMIPLDKPFEEAYFTHRLWMFFRETKETEQDIHKIFNQIREKMKMRITQKKKNDNGKFAVPCLVKGIEFPCEICDTGSSVIILPKVMADHLACDCNYEDEYEIKYSGSIDSETLPSINIAIHPAIDNKSIKSIDSSVNATFTLPAHFYPRFDADDSLQESFAVETELPQTRCDNYNEDYHRDKNIEYHGLAMDNRGLLHTWDSEGQERAMDGCILNISKEDIVDIIAINESSNFFH >A06g501090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3992550:3992906:1 gene:A06g501090.1_BraROA transcript:A06g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILAFLDRMMRDRLLSYLESSSFSSSLLLFIFCIRLP >A01p027790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:18669120:18671180:-1 gene:A01p027790.1_BraROA transcript:A01p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g49142 [Source:Projected from Arabidopsis thaliana (AT3G49142) UniProtKB/Swiss-Prot;Acc:P0C899] MKRINVGLRLRHFPELKKFHTQKVAFSPTKPEFEPKSTSVHDTLLGQVLDTNPDYKTLKTVHSRIILEKRRCDSALGVKLIKSYAALKDVVSARKVFDEIPERNVIILNVMIRSYVNNGFYREGIQVFGTMCGFDVRPDHYTFPCVLKACSCSGNIVIGKKIHGSATRVGLSSTLFTGNGLVSMYGKCGFLSEARLVLDDMSRRDVVSWNSLVAGYAQNQRFDDALEVCREMESVKISHDAGTMASLLPAVTNTTRDNVMYVRDMFLKMGKKSLVSWNVMIGVYMKNAMPLEAVELYSRMMEADGIEPDSVSVTSVLPACGDTSALSLGKKIHGYVERKKLIPNLLVENALIDMYAKCGCLDRARDVFENMKSRDVVSWTAMISAYGVSGKGRDAVALFSKMQDSGLVPDSIAFVTTLAACSHAGLLEEGRSYFKLMTDHYKITPRLEHLACMVDILGRAGKVKEAYSFIQEMSMEPNERVWGALLGACRVHSDTDIGLLAADKLFQLAPEQSGYYVLLSNIYAKAGRWEEVTKVRDIMKSKGLKKNPGASNVEVNGGIHTFLVGDRSHPQSDEIYRELDVLVTKMKELGYVPDSESALHDVEEEDKETHLAVHSEKLAIVFALMNTEEGEEDNNAIRITKNLRICGDCHVAAKLISQITSREIIIRDTNRFHVFRFGVCSCGDYW >A06p022300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12346413:12347944:1 gene:A06p022300.1_BraROA transcript:A06p022300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNKIVQSFFDVVTEASALVVLEILFHDSLSTNDMDENYIGSLSESFAIPLSCHLLNLILNAAFQSHQAAPKVEISQLGDGWVQTMLRFSEAKNGHIAVSTRRLMQGKLVSKNTENTSAASLLRGYAKIEPLPMADLNEFIITTIPKGLNASSRLFSMFLEMSPGTSLKRWKVSPTSLKCPSMAPSESGSMFCAWFARQTPSRIWAAAEMSASEPTMSAAAPSLKSAYHTSESS >A07g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5307039:5307567:-1 gene:A07g502550.1_BraROA transcript:A07g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGVQSGEIELIKTEHNRNRESGLDLTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGLV >A02g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21339932:21344558:-1 gene:A02g507700.1_BraROA transcript:A02g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIKANDSGWVTFLESRLAVDDLPGSRLSVDDLPGSRLVNAEVIFAIDFEICNLRRLKMMRQLHAVYGEWLLKDECWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSDLRLTAVRRRFSFRIFKSMKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRIFIDRVGIIDGLNPQHIKDAMKNMFGMTLDYTTSYRALLYAQEMVRGSAEDGYERLPSYLEQIKAANPVEKIPCSHALAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFKERALNKQIRDHCDKRLHYLTEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPKPS >A10g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16716774:16717741:1 gene:A10g506120.1_BraROA transcript:A10g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLAFEMMASSCFEKPQEEEDHIEDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTFLARRIRVELIKDRAELEGREVTQYEFDAAYALKEVMYWVPPPQLEGICISTLELQLEELCLPCGENIAHDLDSLVLINECLDLICETRKLDELRIEKLARDHIEVCFDKNYLCASIDLEYEFLMLNEPKTSS >A09g514330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42909124:42911620:-1 gene:A09g514330.1_BraROA transcript:A09g514330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWREILFHLRQSSHMYLTYSYLTIFHKVVTKDISGTPLIEVQRVNLERTYCQTLSDMNLSDSEEKSLHQYLNFNSNGGLIHTPCSEKYLQRKKRSLCLKKCVDKGQMDRTFQRDSAFSTLSKWNLFQPYMPWFFTSTGYKYLNLIFLDIFSYLLRILSSSQKFVSIFHDIMYGLDISWRILQKKLCLPQRNLISEISSKSLHNLLLSEEMIHRNNESSLISKHLRSPNVREVLYSILFLLLVAGYIVRTHLLFVSRAYSELQTDFEKIKSLMIPSYMIELRKLLDRYPTSEQNSFWLKNLFLVALEQLGDCLEEIRGSGCNMLWGGDPAYGVKSIRSKKTDLKINFIDIIDLISIIPNPINRITFSRNTRHLSHTSKDIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVCLNKFLDNKPKGFFLDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLALGLLVNSLSRDCERCSTRNSLVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISKIGSGSWDPFLSDRKGCCTKCTYK >A01g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15635383:15635847:1 gene:A01g505230.1_BraROA transcript:A01g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQGAPGVLILDFSQPPLLPNKHRHRVGESRLFSCSLVISGSYAYDPTKTEVLGMDERITTESAGT >A09p003180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1868594:1868893:-1 gene:A09p003180.1_BraROA transcript:A09p003180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELLKAGRREVRLESDCEQLIKLIEEEDDWPAMAPELDEIKVLSSEFIDFSIAYIPRASNVRADSLAKGGRSRVFGFPFVNGFAPSWLAAHAGQEAAI >A03p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14893914:14894801:-1 gene:A03p035460.1_BraROA transcript:A03p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLQFLIAKIILLALASSVVTCYDPSPLQDYCVATSNTNGVFVNGRLCKDPKLGTSNDFYFSGLNTPGNTNNRLGSSVTNVDVRRIPGLNTLGVAISRFDFAPGGQIPPHIHPRASQMILVLEGTLLVGFVSSNDYNSTLFSKFHANIGKTNAVAIGEVGSQNPGVVPIGKTLFGSRPLIDTRLLAKAFALDVNTVRYLQRVFSSEENIVTPIVN >A02p007830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3280690:3282876:-1 gene:A02p007830.1_BraROA transcript:A02p007830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLTANVQGDDNRVEETAEIQLNDQVFDYAPKVRKPYTITKERERWTDEEHSKFVEALKLYGRAWRKIEEHVGTKTAVQIRSHAQKFFSKVARESSGGNGNSLEPIVIPPPRPKRRPMHPYPRKLGNEGDQTSRSVSPSERDNRSPTSVLSTVGSEAFGSSDSHSPNRSLSPVSSASHPAALVTTAPEELETLKLELFPAERLLNKELKKQSLKLFGKTVLVSDPDMSSSPTTYCKSAIQPLPRKLSRLETFPMVINPQEELLGCWIQVPPKQEEVENRCLGSEKGVQNEGSSTGSNTGSVDDTGHTEKSSEHETMVCRREFKPSERSAFSELRRRNSESNSRGFGPYKKRKMVTQAEEQQQEIHIYL >A08p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17667090:17675479:-1 gene:A08p028120.1_BraROA transcript:A08p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSSRDIPETSGAVIMTKLMAEFETVSGKENLSAVTLEEKGSKSVEGEDVVTGEWALAMGKASYPGTELNDLDDSISPNGFQALQDLREEGEIESDDEAEETREEGNMETNLKELSTQEKSIAADDKRAEGGNEKLELSSYLTVSTTTSIIIDRSSDGDFLRMDFNIRLNVTKTIRKFSIDSNLRPTGSEFHSGEFLSRVNHGDESAEELVEGSVSLGARNFDTFLHQYPISVVNFYAPWCYWCNLLKPSWEKAANQIKERYDPEMDGRVILAKVDCTQEADLCRRNHIQGYPSIRIFRKGSDLRDDNAHHDHESYYGDRDTESLVKMVIGLVEPIHVEPHKLALEDKSDNASKTLKKAPSTGGCQIEGYMRVPGNLMVSARSESHSFDTSQMNMSHVVNHLSFGKRILPEAFSNLKRLAPYLGGSHNRLDDRSFINQHDLGPNVTIEHYLQIVKTEVLKSNGHAMIEEYEYTAHSSVAHTYYLPVAKFHFELSAMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHQTMTLMKKIELGKNF >A02p012520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5475222:5477160:1 gene:A02p012520.1_BraROA transcript:A02p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDLHIRFRPDQGERMEEEKRVKRRIVLVPVPAQGHVTPIMQLGKALHSKGFSITVVQTQYNRVTSSKDFSDFHFLTIPGSLTESDLKNLGPLQFLMKLNQICEATFKQCLGQLLKEQGDHDEVVCVVYDEYMHFSKAAAIEFQIPSVVFSTTSATAFLCRSVLAKVNAEKFLIDMKDVEMQDKLFPGLHPLRYKDLPTSAFGPIESMLRVYSETVNTGTASAVIINSASCLESLSLARLQQELQVPVYPIGPLHIAASAPSSLLEEDRSCIEWLNMQKPRSVIYISLGSLALMETKDALEMAWGLSNSNQPFLWVIRPGSIPPSEWTESLPEEFSKLVSERGYIVKWAPQMEVLRHPAVGGFWSHCGWNSTLESIGEGVPMICRPFTGDQKVNARYLESTWRNGVQMEGELEKEAVERAVKRLLVDEVGAEMRKSVVDLKEKLEASVKSGGSSCSSLDNFVNSLKTKNFMQQ >A03p027050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11274344:11277217:1 gene:A03p027050.1_BraROA transcript:A03p027050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTKDAELELYTIPAHSSWFVWEDIHEIERREFAEFFSESSITRTPKVYKEYRDFIINKYREDSSKRLTFTSIRKYLVGDVNLLRKVFLFLENWGLINYLKRSDDGSMEESEAKVEQGTPAGIRVTATPLSMRSVTVPPLVEERAEPAFKFSPLTSYSDVFTGSKKPLVCGHCGGESSCDSAFYQHTKSIVSLCDKCFKNGDYGENNSPDDFKLIAAASWTEEETLLLLESVLKHGDDWDLIAQSVSTKSRLDCISKLIELPFGEFLMGSSSGRLRSSIPTSEDENLSSPSNLVDQMKADGQEQKETETREENEDEPPAKRKRVAMLSDGGDSSLMKQVAAMACKVGPSVATAAAKAAIAALCDEASCPKDTFETTCDFTDFAVDRADGDKGTSDMEEQQEDKEGPQDLPVALRMRASVATALGAAAAHAKILADQEEREMEQLAAIIIDQQLKKMKSKLKFLDHLELIMDAEEQVMEGVKETILQERISVLQFAFGSDGFHHLLPKLLFSSAMVTEWSVATP >A05p028020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:162079:174665:1 gene:A05p028020.1_BraROA transcript:A05p028020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGVKESHPKAANGCSHMLGQAVKVFYLSSYDQTMLLPYPDCSFLIQTLLGRASLSKWVKVKACKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHKSSGVVKIQEENKWVWPRWVKKALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILFGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKYDVTCEKGDGNYEELPALDQTVEGRIRPRLDRLSGQAGEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENGNTLGTLGYSFGASYEPMVTCEKGDGNYEELPALDQTRGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDR >A02p025090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12459773:12463518:-1 gene:A02p025090.1_BraROA transcript:A02p025090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSQKANLLGKRKPEDGFGTELVLKKHKEKFEEKETEARVELLSDEANSVTVETEPILKGIREEKETAIGFSDEIKGRVELLENEANLISVEGLDEGPEEAAALEKKKNEYLHKRKIFLDVAHKGALCLPPKYCIDHKVWYQEDYLQQESLRIQENPNINFLEDVGDVVSVRLIVNHEGKHVGYGFVEFASANQAKKIKHVRLGFVEFASANEAEKALEKNGEYLYDRDIFLDFVEEATYTILSSINIFKRVGEVVRVRLIVDHRGESLGCGFVEFASAEEAKKAVQEKSGCAIYVKVAEKAPYLFRPKCNLADLAEKLWYEDKLRREGFGLPSKSELRKEEAVFCGKKITFSDKD >A10g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16225750:16226747:1 gene:A10g506030.1_BraROA transcript:A10g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A07g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1047706:1051862:1 gene:A07g500500.1_BraROA transcript:A07g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTLLANLRAGRCSNTAEVRLLRFWEARNINKGGELMSIELLLIDEADTLVQGCVSAVHQRKFRERLAEGSVYTLSGFDVTRSKPKFKLSDGPVSIRFNEGTEFEKLAATARTIPTEHFRFRTHEQILELANTSRQLPDVIGEVRAIRSTITDRLPGAQRVMLTLRVESDVNVCVSLFDSLAVAFHTKLDGYGREPRIVIITGINPKIVLDNSTLLLAGKLYLNGTSASRIFFDSETSAGKDRLERQDIFLIIYSRYFGSLYSIPFDAFSVLSSYRLPGGGADEPGSSSMVVHAQKIEPLTLAELNEFVLSAEPQIIEFLCTAKVIGIQLDGGWCYIGCSLCSKKLVREESSFTCPSCNETNAVWVVFSVSDPTGTSSFLGFDKEVAKLTNVLASEAAQIVGIGLSAHVDTELPRTLAGIVGNTYTFQLKLTDFNFTANHQTFTISRMFAAPEIAPIPSFAEAEEDPQPAVSQTVTRESAANSAIGNREAAEEEQFGREESARKKARTPSFLLYECNCDHHSGSLSSGLREMVKTPEELARKASEVSSRRRHVLSTISTAESSSATKRSRKKKNVSDQRRPWLQSQYIPIAPASMLSFRSDVHLSTVNNARLARQNRRLLMRSKRNANLPAQDIQPRQATILPDQVDDIDVDSPEQVTARASRALRIKKQKSKRIATRDIASTSGSRP >A07p042900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23222948:23226220:-1 gene:A07p042900.1_BraROA transcript:A07p042900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter PHO1 homolog 10 [Source:Projected from Arabidopsis thaliana (AT1G69480) UniProtKB/Swiss-Prot;Acc:Q6R8G0] MKFGKIFKKQMVPEWVEAYMDYNGLKRILKQIRSYKHSKLTRVASKVSQQAEALHRSFSGLSYHHRNSEHGGDIEDQVITVDTEVHQQDDSHRKLYKTKFLKKSEEGSEFEESFFKKLDENLNKVNRFYREKVEEVLEEAALLDKQMDALIALRVKVKKPDASSNTNLEKHPSDKVVVDDTSPDSTMRTPGSANRDMVHGIDRTSIPEEEASHIVTDIVPVSRTSGNEEEDGAGGKQDLHEILERVKMNEALESPLSTLKGFFGDSKEPVSKKGLKKAEEQLRLVFSEFYQKLRRLKEYSFMNLLAFSKIMKKYEKIASRNASRNYMKTVDNSLIGSSDEVNRLLERVEVTFVKHFSSGNRREGMKCLRPKVKRERHRVTFFSGFFSGCSIALIVAVVFKIESRKLMEKDYGTAYMANIIPLYSLFGYIILHMLMYSANIYFWRLYRVNYTFIFGFKQGTELGYREVFLVSTGFAVLAFVCFLLNLQFDMDWKMKAHNTLPEVIPLGLVTIVLFILFCPLNIIYRSSRVFFLRSLLHCICAPLYEVTLPDFFLADHLTSQVQAIRSLELFICYYGLGEYMQRQEKCQRHGVYNVFYFVVGVIPYWLRFLQCVRRLCEEKDSIHGYNALKYMLTIIAVIIRTAFELKKGRNWMIMALVSSGVAICMNTFWDIVIDWGLLRRHSKNPYLRDKLLVPHKSVYFAAMVVDVILRVAWMQLVLEFNLKSLHKVAVSTIISCLEIVRRGMWSFFRLENEHLNNVGKYRAFKSVPHPFHYYDDDETDDKDD >A09p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9389697:9391329:1 gene:A09p018010.1_BraROA transcript:A09p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIGIAFANTVRTIPRINTRRSKISCEWDPKGILGPAQTGHIARLEFKRRLERDSEAKEAFQKQLREERERRQALRESRVVPDTSAELIEFFLDTEAQEIEFEIARLRGRLNDEFFAQIRLEIGQIRFAVTKTAEDEDRLIELESLQKALEEGIEAYDKMQKELMTATNSLTKILTSTDIKATLLDMVEKNEINRSLLTLLDENIANAYRGNQKEAGDYMEKVRASVLKYLTV >A04g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21801797:21804795:1 gene:A04g508350.1_BraROA transcript:A04g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFPLSYTPVSVKPVTYSRRSKLVVFSSSSNGRDPSPSEEKSVPNGVKSIEKLQEEKRRAELSARIASGAFTVRKSSFPSTVKNGLSKLGVPSNVLDFMFDWTGANEDYLKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHMKYVAAMISLFGEASDRLCQKLDTAASTGEEVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGVIEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRQRKVATSLKLINDTLNDLIATCKRMVEEEELQFHEEYMNERDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTTNPKVVAKLQEEVDSVIGDRFPTLEDMKKLKYTTRVMNESLRLYPQPPVLIRRSLENDKLGPYPIKRGEDIFISVWNLHRSPLHWDDAEEFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCIGDMFASFENVVAIAMLIRRFNFQTAPGAPPVKMTTGATIHTTEGLKLTVTKRTPPLSVPILPMEAPRDEVSSALS >A06p047990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25447481:25449314:-1 gene:A06p047990.1_BraROA transcript:A06p047990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILDRYERCSFAGQNIPTPSLDSQGECSTECSKLLRMIDAMQRSLRHLKGEEVDALSIRELQGLEVQLDTSLKRIRSRKNQLMVESITQLQKKEKELKELKKQLTKKVDQREDIETQNLSQGLASLETPPCEPPHPLPGPISPNPPLPLGNISHRNEVGEADGGTLIIRPTNTTLPHWMPRVTGE >A08g502820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5731434:5732390:1 gene:A08g502820.1_BraROA transcript:A08g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLTPLEDPPTASSTDDDQVEALSGDDEKEQISDDSSSDHLMNPVAIPSAKRSKSEKPIAVTKPKAVKKRPIETTSVSAKRARIAEEAKKPSSFQRLWSEEDEVSLLQGMIDFKADSGKSPYEDMDRFYELASKSISFEASKIQFVDKIRSLKRKYMGKAKHGAESLTKAHDIDCLKLATCIWGLALDSNSSAKKLGKEEVVAPESANGDKVEEDREVLVSGGEKDKEVLINGGGASKSHGVRADDWFEDSFLVGSIAGLGVSEQFVKQKWSMVTVETKKRMEDKWKLLQAKEMELVLHKTDFMREIGSVIAEAS >A05p055330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32675297:32681316:1 gene:A05p055330.1_BraROA transcript:A05p055330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP38, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01480) UniProtKB/Swiss-Prot;Acc:Q9SSA5] MVDGFPYQVPEEYRNMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFVDLVERHFYDGMEIQRSDGFVVQTGDPEGPAEGFIDPSTEKVRTVPLEIMVEGNKTPFYGSTLEELGLYKAQVMLPFNAFGTMAMAREEFENDSGSSQVFWLLKESELTPSNSNILDGRYAVFGYVTQNEDFLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >A10g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3707842:3709577:-1 gene:A10g501310.1_BraROA transcript:A10g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASEAGMSNTVMCVLTDPEGTHLGSSMDIPQTAGPLQLTQLVNKFLNNEEMLPYSFYVSETLCVCFSQQQAVFRIRPVNRCSQTIAGHKNWVLSIAWSPDGKHLVSGSKSGELCCWNPKKGELDGNPLTGRKKWITGISWEPVHLSSPCRRFVTSSKDGDARIWDVTLKKSLICLSGHTLAVTCVKWGGDGIIYTGCIPLMPTIARHLMHITNVITALERYNQAKGDSPEILVSGSDDFTMFLWEPSVSKQSKKRLTSKSLLYSFTLYFKSF >A08g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17533376:17540149:1 gene:A08g509100.1_BraROA transcript:A08g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVAFKNGKVKIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDFIGMQQERGGLVRLSCVGPRRLAPLRLNPAILALIFFWMPPRNARQAQPTTTAQRAARRAARAASQATSDNGSHAGDGVDENQVNGDAQEQDQVNGPAQGQDQGNGPAQGQGQAAMDAAAVEELRRYREAYGGRLPQEGAAGTGAAQPTPPPKKPATLPRLSHHKPTKRSPARHESCRRHQHAEEAPPSTTVRAAQVADRGCQAASFSILWSVTACTSESQIRNRNCYLAVLDPVRQPIKVRTWTGGEYGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPTEPDAGCTRAGDFIGMQQERRGLVRLSCVGPRRLGVSGFLEPVLTEYFPQNAHPSLPQ >A06p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4884170:4886112:1 gene:A06p003020.1_BraROA transcript:A06p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 5, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G51390) UniProtKB/Swiss-Prot;Acc:Q9C8J2] MKGITRFLNSLSRRRAREVFSSPSPLLVSRRPMLISATTQFSTSHGMTYASLNPPKVSSFPLKSISLGVQRRTMFIQTQSTPNPSSLMFSPGKPVMEVGSADFPNSRSAMNSPLAKAIFSIDGVVRVFFGSDFVTVTKSDDVTWDFLKPEVFAVVMDFYSSGQPLFLDSQAAAAKDTAVNDDDSETVAMIKELLETRIRPSVQDDGGDIEYCGFDTETGIVKLRMQGACSGCPSSSVTLKSGIENMLMHYISEVKGVEQEFDGEEEEGTSSGPVE >A09p023180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12752864:12753327:-1 gene:A09p023180.1_BraROA transcript:A09p023180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAYVMIFFFWALLTIITPMLVSWSQALKEHNKKDSGPRRMMGYSAEMFLTREIVERLEEEVELMMKPSMAPAPEDSSVLPSKNQTSKRINQQEGSVTKHKHPMSLR >A03p068620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30066193:30067331:-1 gene:A03p068620.1_BraROA transcript:A03p068620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSETFDCVLSQKKGVKPIKTTTDPPHRSPPINTPPINTPPISQTTTDPPPIETTTVGRKERTFIVLDNFIKGDLSHGEPHVEGLFPELLPLRNWCIKKTT >A09p068950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53864261:53866506:-1 gene:A09p068950.1_BraROA transcript:A09p068950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEF8 [Source:Projected from Arabidopsis thaliana (AT2G25580) UniProtKB/TrEMBL;Acc:A0A178VVM1] MYRKLSLFTRANYARNEPRSSSKTLLRHLSTAVERLGFSNPNEHRADDDSSHTYGDINPRAGFYGNEVSVRTQQSQSSWSRNLNSNSDYWRSSDYNRNGWHSGSSKIEETLEEFDSYCENYLLKKALESMESLESMGHVLDLARLLRLVHLCGEDHLSLEDSLLRDAKVSLQGKIRVWVHHSDANYLKYYTDLVVEEFDVFCRQGNVKKALYTMDTLASLSHPVDLARLMGLAKLCGEVEASEEAKFVHGKIISLGCVLDVSSYHVLIEMYSNCGLMNEASSVFEEMPGKTLETWCVMIRCLAKNGLGEDAIDMFTRFKKNQGNKPDSGLFRGVFYACGLLGDVDEGLLHFMSMSKDYGIVPTMEDYVSVVEMLALPGLLDEALAFVERMPIEPNVDVWETLMNLSRVHGDLELGDRCAEIVEELDPTRLNQQSRDGYLPVKASDVEKASLKKRSGIHGLGRISNSRTHEYRAGDTNLPENDELLQILRNLRTHMLERGYVCQTKLALHDVDEESKENALLAHSERIAFARALLNTPPRNQFTILKNLRVCIDCHNAFKIMADIVGRPVVMRDAKRFHHLKDGKCSCKDYWLYDQLCRECCVLLVLDNIINMFLAFRVAFKKYY >A06p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18281301:18282429:1 gene:A06p033850.1_BraROA transcript:A06p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYMYKRAEHQKLYNKTTLKNLKNPDMQMMIMILLLCSVIITILFFTKGGKSNTPSSPPSLPLIGNLHQLGHHPHRSLCFLSHRYGPLMLLHFGSVPVLVVSSMEAAKEVLKTHDRVFASRPRSKIFQKFLYDGQDVAAAPYGEYWRQMKSVCVLHLLSNKMVRSFGNVREEEMSLMMEKIRKASSLPVNLSELLANLTNDVICRVALGRKYGCETDFKELMERLTRLLGVFSVGTYVPWLAWIDWIRGLDSQLEKLRNDVDEFLERVLQDHEDGDRGDRTDFVDVLLKIQREKSVGFDIDRVSIKAIILDVFVGGTDTSYTLMEWVMLGVFKAPKTNVVV >A06p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20856184:20857838:1 gene:A06p038820.1_BraROA transcript:A06p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELPTKEANLLKGHEGAVLAARFNGDGNYALTCGKDRTIRLWNPHRGILIKTYKSHGREVRDVHVTSDNAKFCSCGGDRQVYYWDVSTGRVIRKFRGHDGEVNAVKFNDSSAVVVSAGFDRSLRVWDCRSHSVEPVQIIDTFLDTVMSVVLTKTEIIGGSVDGTVRTFDIRMGREMSDNLGQPVNCISVSNDGNCVLAGCLDSTLRLLDRTTGELLQVYKGHISKSFKTDCCLTNSDAHVIGGSEDGMVYFWDLVDAKVVSKFRAHDLVVTSVSYHPKEDCMLTSSVDGTVRVWKK >A08p042910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24001282:24003366:1 gene:A08p042910.1_BraROA transcript:A08p042910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEDSKIHGIVEEDGHGGSDLTKKKTKKKKKYWMGCLRAESDESGNVDLSVEFPGERTEPTHLVVMVNGLIGSAQNWRFAAKQMLKKYPQDLVVHCSRRNHSTQTFDGVDVMGQRLAEEVRTVIKRHPSLQKISFVGHSLGGLIARYAVACLYEQESPQNSDDTCDKGLIEEPKERIGGLEPVCFITSATPHLGSRGHKQVPLFSGSRTLEKLATRMSWCLGKTGKHLFLADGDDDGKPPLLLRMVSDRRNLKFISALRCFKRRIAYANTSFDHLVGWSTSSIRRRSELPKLQRGPVNEKYPHIVNVEAPGTSSNHEEVQSETNSNESKNFDMEEEMIRELTKMKWERVDVSFRGTVQRFLAHNTIQASVKTKMINSAGADVIQHMIDNFEQ >A07g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14991793:14993643:1 gene:A07g506310.1_BraROA transcript:A07g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSYYSNARHHHNHHHSERRWILFPIVIASIFAVFLLFLTTLTSPTGSRFLPFTRPVLLTGSGSSAFVESKIKPRPISSLPDPPRFAYLISGSAGDGKSLRRTLLALYHPDNRYVVHLERASSPEEREDLHGYIRNSSLFRRFQNVHVIEKANLVTYRGPTMVANTLHAAAILLREGAEWDCNIGWKASQRAKPVIIDPGLYLNKKSDVFWVTQRRSIPTAFKLFTGSAWMALSRPFIDYCIWGWDNLPRTVLMYYSNFLSSPEGYFHTVLCNAEEFRNTTVNSDLHFITWDNPPKQHPHHLTLADMDRMVNSNAPFARKFRREDPVLDKIDEELLNRGFGMPTPGGWCIGSHENGTDPCAVIGDTDVIRPGPGARRLESLVTSLLSTENFRPKQCK >A01p000130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33983:36030:-1 gene:A01p000130.1_BraROA transcript:A01p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRIVLFVILLVSLSEVEPVSFKITNRCRNTIWPGFLSGATSPPLPTTGFRLSRGKSKSVTIPASWSGRLWARTLCSQDPSSGSFSCLTADCGSGKVECSGSGAKPPATLAEFTLNGTGGLDFYDVSLVDGYNLPVLILPKKTVLGGCGATGCLVDLNGACPRDLKLLARGRRGGREAVRIEERRGDTSASKQEHDTFAVAPLLILLSGQQIGYQKNLCIENAAVKCDTTTTSSTFIIVLLPQPALEVLTTWWQLNGIKIPWIRSPEPKAALALLQTLSFPFEFLDTLDPLDLRGMLSDVRERESCIHITVVKHEHLEGSF >SC161g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:44628:47996:1 gene:SC161g500010.1_BraROA transcript:SC161g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGHITTGEAPKLITQGGDQEESLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYSYSFGASMIAYKDDHLIRELVERTSQDPRNHATTPPEVGSPSHLDHEGGEDHEEPMQEANQDEGGVENEGEESIGSDGHGHDHALGFTRGEMGTIEACRVKVAFRMIGW >A04p038160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21730617:21735554:-1 gene:A04p038160.1_BraROA transcript:A04p038160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIIVIAKRFDALIGPGVMLLYPLYASLRAIESPTMLDDQQWLTYWIIYSLITIFELSFWRILAWLPFWPYIKLLFCMWLVLPMFSGAAYIYSNFVRKYVKIGMNVGGGTNYTDEQRRVLQMMSLDARKSVQDYVDRFGWDSVEKAIKALRHNTRVRDKVTSDQMETKNENSDVSRAEEMKNQANEAFKGHKFSNAIDLYTKAIELNGNNAVYWANRAFAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRICPNDPDAARKLKECEKAVMKMKFEEAISAPVSERRSVAESIDYHTIGNKPRSSSFLPTKTALAAVVAAVMVVAVRGFATTEILMVLVSVVLGTYWWGSFSGSEVEPQYSGARIEGEEVTLEFLKQMMDDFKNQKTLHKRYAYQIVLKTRQILQALPSLVDISVPNGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEIILTLFAIKCMSPSSIYLARGNHESKSMNKIYGFEGEVRSKLSEKFVDLFAEVFCYLPLAHVINEKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGGDVTKRFLEDNNLDLVVRSHEVKDEGYEVDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDMKPNIVTFSAVPHPDVKPMAYANNFMRMFN >A09p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5326781:5328014:-1 gene:A09p010400.1_BraROA transcript:A09p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCTDSPDASIPIQGPSFMPRRLQFVNSSSAFMSLAKELLIVHNNNFDIGVRSVPFLLWWNHLEPVATVSCFGASGLKPELSKFPPGEDYKRRKKVF >A09p077600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57607056:57608577:-1 gene:A09p077600.1_BraROA transcript:A09p077600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSKFEPSSSKIVFDDSEDDDDEQSLSSMSSSDEEEEEEEEKGKELTLEEIHRLRADGSRPRPAVPVKPSFSQVKKNTGRASDKNITFSEAKKPARANKNRPMELSSKRPVSRYREVIQAPKKVVRDPRFDSLAGNVDPEGFRKRYSFFFEEKLPVEREELKKKLKKTKNPEDVEELKDQLTYVEKLLKYDPSTNSKGKSILTEHKKKEREAAKMGKKPYYLKQSEIRKQELIEKYNSLKESGKLSSFLDKRRKKNATKDHRYMPYRRADA >A05g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11597235:11599459:1 gene:A05g504200.1_BraROA transcript:A05g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSDRDNGKRRESLKCYECGGIGHIRVDCHEAQQRELKCSECRGVGHTRRECPNSKKGKGVPLQSSDDSESEEDGKVMKNMVAFGARREKSSESSDSDVSTDSENYQVMHNKWLNLKNENLRLQHDLIQSREQYEDLADELVVVNYNYDSLEKEVSKLREVAINEREREMRLERDLAENRKQIRMLNSGSKSGIKYSQWVNQPRRIEDWDIEEFGAKGACQEVHQDVRQGVRQEVLQRVAVSNKPKIVHQCNNMKVRQEVLKHGCAAGTRKETYRCISNCVRPSKKQYQMCCCFCGKVGHKKVECFAREKSRNMAKKVNKTFTKPKRVEEVSLAKSGLLDEIKDETSEDGCSSGRSDLEVDQEASSVESGHGVVCDTKGKEIKKALGADGEGLMFKKKTHDGSLVLNRSWSKGSSTGASDRDAVLVIPLQQGLQRKNGDVLVQRMHISWGRKAWCGAHLMGEKSTFGMKVSRDRDTEADLIEREKLLEVFWVVLEQWLKYLTEKRHKRVA >A03p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8698494:8701434:1 gene:A03p021050.1_BraROA transcript:A03p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVSLLLSCLLASTCLFTSSSAQSAGFVSLDCGGTEPFTDELGLNWTPDDHLLYGSTANISSLNETKTQYTTLRHFPADSRKYCYTLNVTSRNRYLVRATFLYGNFDSNNVYPKFDISLGATHWTTIVISEAATVETAELVFLASSPSVSVCLSNATTGQPFISTLELRQLNGSMYLTEFEDKFHLSVSARINFGAETEDPVRYPDDPYDRIWESDLLKKANYLVDVAAGTMRVSTTSPIDNGAVMDKPPQKVMQTAVVGTNGSLTYRMNLEGFPGNGRAFTYFAEIEDLAEDESRKFRLILPDHPEYSKSIVDVKENTQRAYKVYEPGYVNITLPYVLNFRFAKTADSSRGPILNAMEISKYLEKSGGSVDASVMADLASLYSSTVWGQEGGDPCLPSPWSWVTCSSDPQPRVVAVKLSDMNLTGEIPSELTQLTGLVELLLDGNSLTGSIPDFSRCLNLKIVHLENNRLTGKIPSSLAKLPNLTELYLQNNLLTGKIPSSLTKNVISNFTGNFNLKESGDKGRKLGVIIGASVGAIVLLIVTIISLMCMCKLKEDNKMRKTSGICLLFVFNDS >A03p051810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20381366:20384369:-1 gene:A03p051810.1_BraROA transcript:A03p051810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLSVSNKPEFWNRMEEATREIIEQVHPTLASEDRRRDVTDYMQSLIKMTLGCEVHAFGSVPLKTYLPDGDIDLTTFGGPWNDDELAHKVLAVLENEREKQNGDPRFIIKDVKLIRAEVKLVTCLVQNLVVDISFNQLGGISTLCFLEKMDHLIGKDHLFKRSIILIKAWCFYESHILGAVHGLISTYALETLVLYIFSLFHSSLDGPLAVLYKFLEYFSKFDWDKYCISLSGPVCRSSLPEIVVDTPENGGQDLLLTSDFLNDCLVMYCVPSQGLETNPRAFPSKYLNIIDPLKENNNLGRSVNKANFNRIRTAFTFGARKLRQIILQSEEDISFELQNFFSNMLLRKHRSGHHSLNRIENGEVLNGNVAEKLQENSCLADSRRVKEETHAGTPYVLADLTGDNDSQFYSLVYGRWQLGYVQNGPMSPLWTQLPNNNDSWEVFPLNANGAHSFLMNPQMITNADFGLEELPTHQGTETYFPNMNQYKDSRPPLRGNSRRNNGGSMAQWTSEMNFRDGNSREGRDRHYPNQTNGCCDMSQAGILGSFFGSNGLINHPCEPLPAEVRDHPEGSKQSDIATSIPSSMQEDRVTCRQSYNLTDDQEFPFL >A09g513130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39877466:39878960:-1 gene:A09g513130.1_BraROA transcript:A09g513130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKKMQTRTLHSDRSIATRSLRSDRTVSDIVKRPQQEADDYQGSDVEEITLVEFRLSMGYFIRVEEAEEDIDPMFRRVVQKLKDNAHPKREQGESSRGKSRRRD >A03p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15211339:15212089:1 gene:A03p036300.1_BraROA transcript:A03p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCFLSSEGGLRRGLELKPSLFTTSRHLVVVERLAFSQLSGVDLLSMAVATASLVTALSFFASRASFSHFARTLCETTSPSVSDAFWVCSLYGGAPVVASRDRDRLSISGVKCRFGDLSESLVLVRRVRFAWDYGHPSVASVPACVLEGVLFLQSAARLWSVAALGLYYFRIKARPLSARVFPVSGLAFYACWVWLR >A09p005620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3073093:3075608:1 gene:A09p005620.1_BraROA transcript:A09p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFQTSTQRAKWVFTPQKLAERYKAANHRAVQFLEKCGTTQVEVDASGSLTYPTDKGDARDHSDKKLKPLSVDEERFMRAFYEAKVQEVCSAFEFPHKIQATALQYFKRFYLQWSVMQHHPKEIMLTCVYAACKIEENHVSAEEIGKGIKQDHHVILKYEMAVLQACLEFDLIVFAPYRAMEGFVANMEEFLQARDDEIQKLQSLLKAATAEADKVMLTDAPLLFPPGQLALAALRIANGILGVVDFDRYLENIVSQPNSEHTASDLSKLLDGIESLVKNYKYPSEKDMKHINRKLKSCLGHSSSHDESKKREKRSKHKSHRSSNDAPNGPPTS >A05p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7608043:7609207:-1 gene:A05p017010.1_BraROA transcript:A05p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGANRAAVESSHRVLNLLGTPHQQDQEYNNVSLLSETREAVFMFKRASSLLSTTSVGHARFRRAKEPQTHLSQSIFLDPVHQRTEPPPSQKVLRSGFHELSTDSLTLGTRSFSLNSDAKAKAPLLQLNESIHQNMFPEHQQLRERLEAHRHQMQQQHKHQGEVMLRKCNGGISLSFDNSSCTQTMSSTRSFVSSLSIDGSVANVEGNNSFHLVGVQSSQHSKRKCLIKCGSSSRCHCSKKRKHRVRRSIRVPAISNKVADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERCLEDPVMLIVTYEAEHSHPKLPSQAMTT >A02p008090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3363350:3363823:-1 gene:A02p008090.1_BraROA transcript:A02p008090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRGDPRIYIVTLLFLSCILSGGVLLGLYLLLPDPNPLFLPAGMFFAGIPWLFWFLAYLYSCVLKPCTVSVSKSVTSFDPEKGVEKNSKSITENATSASDPVAAVEPMEGERHVQLGNVVVEQEQEQENGRLSSRRSHDDEDCDRTPLRLSVGNK >A08g507490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13220465:13220875:1 gene:A08g507490.1_BraROA transcript:A08g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGEANQSGLESLPDDVLCIIVSKGGSSSSLDVCNARLSCRSLKFDLSDRAIAKDLKLSPLVKNPMRAKFYNSLINSCLKEDNLDAHFIVGVLEFFGNRNKYLGLHHLQIATKRGHPLRQNAKVSGPARARGLCP >A07g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5254251:5256159:-1 gene:A07g502520.1_BraROA transcript:A07g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAICLHVGFKVDILIFQGKLRNPKLTPISHTTVLSTPPINEMMLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A06g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18614600:18615309:1 gene:A06g506670.1_BraROA transcript:A06g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERTSTHACGSSLNSTRDETFQLGLMEAMNTLTAKVGSMNTVIVEKVLTAVDASVDEKVNAMIGGSSQILTRRVFQQQKVAEGCGSVVSLPLDKCCPKPHGSSRRVSSFHEDVYAVKYIECKAIGCGFEGLSDQCIPAMRIKLAAEIYDEVLGL >SC292g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000138.1:4998:5213:1 gene:SC292g500010.1_BraROA transcript:SC292g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEKKNRFGPIFKLLINGWVWVSSWVMGVIGYGCCWVWAKYGCGCFKPRKKNTQLNIPIWVWSNPTDRPKH >A10p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9574548:9576648:-1 gene:A10p006120.1_BraROA transcript:A10p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLA-I(gamma)1 [Source:Projected from Arabidopsis thaliana (AT1G06800) UniProtKB/TrEMBL;Acc:A0A178WG46] MATIPSHNLLLPKPINQSAHSLSFKPHSTLLNFPARSSPVAVTRALSTTDGASTSSRLEPLENYGITAADDVRRRDREAKESKSLRDTWRKIQGEDDWAGLMDPMDPVLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFTRKKLFDSLGIFDSGYEAARYLYATSNINLPNFFSKSRWSKVWSKNANWMGYVAVSDDSEATRHRLGRRDIAIAWRGTVTQLEWIADLKDFLKPVSGNGFRCRDPAVKAESGFLDLYTDKDTSCNFSKFSAREQLLTEVKRLVERYGDEEGDDLSITVTGHSLGGALAVLSAYDVAEMGLNRTKKGKVVPVTVFTYSAPRVGNIRFKERMEELGVKVLRVVNKHDVVPKSPGLFLNEHAPDALKQLAGGLPWCYCHVGEKLALDHQNSPFLKPSVDLSTAHNLEALLHLLDGYHGKGQRFVLSSGRDPALVNKASDFLKDHFMVPPYWRQDANKGMVRHTDGRWIQPDRIRAEDHHAPDIHHLLTQLHHPS >A06g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17141577:17144253:-1 gene:A06g506020.1_BraROA transcript:A06g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRQKDKEKEKEKDLSSGDRTPKVSGVVCKPLDIAGNLMALNHGLGRFRNEAHGLSRAVQTWTVIKERNCEDSSRGEICGDWVLVDRLTFVCVVNRKGTKRKSKKGNDAAGASGNVEAERVDPAQVLPTQVLPTQTGLVNNDTGLPQGPTLPTESNREEAGDQHDQNQEQEAETSRTDERVGLNMAGGAENVETGPEREVAEPSMREVLEALKLMGAQMVTLTQAFTPLVNSSVGQVTPPVRVAPRAAGEHRTYYNHVPNHLIRDSRIRSEIPRSCPRTGFLVIPS >A03g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21839791:21840296:-1 gene:A03g506100.1_BraROA transcript:A03g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPDGVQRSLVGEIIGRFENKGFTLKVVDIGAMTWLGLKLIAVDRPFAEKHYQDLSAKPYSLVDYIISGPVVAMIWEGKNVVLTYGKKDHWRD >A10g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1010899:1016127:-1 gene:A10g500290.1_BraROA transcript:A10g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCLAFPSVLRGGVEIGCLFVKLRRLFEEFGSEDVVEENVESWYAFAHNFTVFKTRKFMYGFNEGHALSWYGDEISQYGFSSWGDLKVRLLNRFNTSAKEEKEQLEQSRFMDILKEISNRFEQRWKRKEDKEVSGGEDIGKEKRIKKSQEEKTLESDDVKQVESDDGGDDLIMYSNQLIQYVKSDMLILAYPVMVQEKDDPETETLLFDEDNSSKTEMDSGACQVFEKILKRRKKVTKKKKRLKKLFSDIEDQLVEFLSHKESDNSDMLGIQMENTTKRQRKSWLEWSKGNCHWVHTRQRLDRKWMLIFRKKKRKRKREIKVLLGVENKIEIVKSYQRGEIEELEKLLVKMNDTMESFTKEEKAVRDMEDKKMESNGSKQKIELKLYNTMTQQKEVLNPINLELMINPGKVRMYVCGMTAYDLRHIGHNRAAVFFDVLYRYLKQLGYEVNYVRIFTDVDDKIIKHANENGEKPLDLSKRFCKEYWVDMEAIQCLPPTDEYYLRSHIDDIIIYIDNIIEKGLGYAVKREDVFLSVEKFTDYGKLSCQLLEHKWGGEQIEVDPRKRKPAVFTLWKAAKPNWESSWGQRVKPRWHIESSVVSAHYLSPSFVVHGGGADLKFPHHENKLAQTCSVCDDGGMSYWLHNRHVTIHNDKMAKSKKNLYEFIEKEKAMVVQIDAIGKEKMVKESELERLMNEKVSVEKQMEMFYVQCLDKEKSIGKLSREKIELEEHLLQEEKRRVELIRKIDELVRVETSEKLQCKVGEIRMLEHVELERENLNDALDEEKRHGEDLKADVSKSEKMIETTLEEFEKIRIERESLSTVKAILEKKLQDLTNPINALKKEVKSAVMEAKHSLVTLKGTASDVSQSDSEQQKQENGTKSYALDLVSIEKAYETEEDIIEEMKKEAKSMKLSTEEYHKKKKNDWPLEEHELKALEARRARALREIEHKRRKLGLVEDDNSKTEEGYEHEKKEDNVTRVVNGRDDSEKAFYKELFYHSRFHGTLADIFLRDTDLISDSRGMVAFVATITTTLTGYLYYITASPKDPTYIMAPVLMLRYARDELKMMAPYIEKDWEVNKFGIGKKEAALLILRNLDVISFDLEKPNIAAGKQEEGTFCFSSLGKLSYSKVELEDDGSVSEVDKDISLLKH >A10p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5796893:5798320:1 gene:A10p010300.1_BraROA transcript:A10p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRCLSLRSVLNARRYRSSYACFVPFNSRRDEEEEEEATRRFPETRSYHSFIHHQSSLIGGVSNFSRNRSSFHSPAIPSHRTISTFNFAGAIGTVEILTDWVLKSAVSNVHALHNVADALASLQHLLALLNAFTFSQWWVCIIVTSLLIRGVTIPVMIDWLNNIADFFKNVGLHSASAQGEALNKASLLTKSGRVMYTALEKEFLGVKGSISGKGIQVPVFWLSSAELRQNMAGILVSCLRGKTFSAELIRNRVLSKGRLVIVVGDGFGLEIQIFDLDFSLILRRRQVQKQAQQYHQYLLE >A08g510240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22526735:22527278:-1 gene:A08g510240.1_BraROA transcript:A08g510240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLQTNNYLSQLEGTTESLQYLKSLKLEHGSKSKRRHVDEAFKRFTSDMWMKLSNVRHVDEALKRFTSIWIQLLRKRLYQRKFIL >A09g513550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41286720:41287323:-1 gene:A09g513550.1_BraROA transcript:A09g513550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPKRVIDLEREIRSALCKKNDVESAYKVLDEIPEMALNFVATSEALCISPSVVAVIISSFRLDMLWDAGINSVIVSGNFKSTANLASKLSHRCRKSEVNCRCYCAFLFVGRLTQRLRPEQG >A04p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17434956:17435653:1 gene:A04p029110.1_BraROA transcript:A04p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSELDMNWVQRKIYLYNVTFGLYMLDCSRTELLLFPLTFSFRFFGCNPDVVYSVQWISLLLGALQETSFLKPGHSYQCHGSMKTTWSSETCANKNTFVLLFLNLLLWYHI >A09p059570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49731943:49734874:-1 gene:A09p059570.1_BraROA transcript:A09p059570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIIKRTKLASPQTHYTSTILSLLQTHSISQSSIQKVMEQSKNDIESYDDQQKWVFDSSLDSRGEIPRRARTGAWRAALFIIAIEFSERLSYFGISTSLVVYLTTILHQDLKMAVRNANYWSGVTTLMPLLGGFVADAYIGRYATVLLATIIYLMGLILLTLSWFIPGLKPCHEEVCVEPRRAHEIAFLIAIYLISIGTGGHKPSLESFGADQFEDDHPEERKMKMSYFNWWSAGLCAGVLTAVTIVVYIEDHIGWGVAGIILTVVMATSLLVFLIGKPFYRYRAPSGSPLTPMLQVFVAAVAKRHLPRPNDSSLLHELSREEYNKGRLLSRTEKLKFLDKAAIIENRGSENASAEKESPWKLATVTKVEELKLLINMIPIWFFTLAFGICATQSTTFFIKQAIIMDRHIGHNFIVPPSSMFALVALSMIISVTVYEKILVPLSRRLTGKERGISILQRIGTGMVFSLLAMIIAALVERKRLDYTKQHHIPMNVIWLAPQFIVIGIADAFTLVGLQEYFYDQVPDSMRSLGIGLYLSVVGAASFVNNLLMTVTDRLAEEISGKTLFGKDLNSSRLDRFYWTLAALTAANICFFVFVARRYTYKSVKSSVVVADGGDDGC >A09p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2407434:2409126:-1 gene:A09p004160.1_BraROA transcript:A09p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHFIGYSEAAKDPEMQGIYSNASSLNARPSNSSTLNQTMNVSRQRKRKDSTFLQSPWHKVYLQPSKLCHSISVSEQEWALAANTLCEKIDPNEVVSPSKKRLVLSTNLMQQLLQPAPTFVFSDIKSAFNYEIMLYFESRITLADACSVTCHSDFDKSTNDQERGYTALVKAFMEKFQHLEEDFQSSESATSMLDIILEIQDIERFSVINRLAKFHSRAKTNTKRSVPQRYVDLQKPGNLPEPLQCLSL >A03p043190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18033396:18033797:1 gene:A03p043190.1_BraROA transcript:A03p043190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGLPEFEETAPDGFDPANPYKDPVAMVEMREHIVREKWIQIEKAKILREKVKWCYRVEGVNHYQKCRHLVQNYLESTRGVGWGKDHRPISLHGPKPEAVEAE >A08p019140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13099140:13099595:1 gene:A08p019140.1_BraROA transcript:A08p019140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSEPQLNSTCKSQSCSWKPYSHPNDFTANAFILLIIIFSSLICVLSLKAALRCCLRPVLQHDPKPNPDPEASHSEPFPVLVYSPELNLAGTEAECIICLSEFKDGDTLRVLDRCKHGFHVHCIQQWFSSNRSCPTCRTDIFSPTGTP >A08p021740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14510375:14512665:-1 gene:A08p021740.1_BraROA transcript:A08p021740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLRMWVASLLLLTHLLHGALCWGDDGHYAAVEGVAPATERLGPPLCWGDDGHYAVCKITQGYFEEETVVAVKKLLPAYADGELAAVCSWPDEIKRLPQWKWTAALHFADTPDDKCYYDYSRDCPNDWCVTGAIFNYTNQLMSASKDSQSIVHYNLTEALMFLSHYMGDVHQPLHEGFLGDLGGNKVKVNWYNQETNLHRVWDDMIIESALEKYYNSSLSVMIHALQDKLKYGWSNDVWSWGSCQLNQTACPNPYASESIDLACKYAYRNATAGTTLGDYYFLSRLPVVEKRIAQGRRDSLGSDSKPNLFCETEARYRMKLRPIRPYCLFISMHVS >A08p046260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25216140:25218194:1 gene:A08p046260.1_BraROA transcript:A08p046260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02910) UniProtKB/Swiss-Prot;Acc:Q9SRY4] MAVATAPSLNGHFLRRPFSGVKKRRPWLLTGDANLFDSRRNRDSRLLVFASSPSPLSPNSPTDAVTAESCVNTGLDLFKRGRVKDALAQFETALSLDPNPIESQAAYYNKACCHAYRGEGNKAADCLRVALRDYNLKFATILNDPDLASFRALPEFKQLQEEARLGGEDIGDSFRRDLKLISEVRAPFRGFRKFFYFAFSAAAGISTLFTIPRLIQAVRGGEGAPDLLETTGNAAINIGGIVVLVALFIWENKKEEEQMVQITRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKETVTLAMQKADRFRTELLRRGVLLVPVVWGERKTPEVEKKRGFGASSKAAATSLPSIGEDFDTRAQSVVAQSKLKSEIRFKSEIVSPGEWERWIRDQQIAEGVVPGEDVYIILRLDGRVRRSGKGMPDWAEISKELPPMDDVLSKLER >A02p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13129020:13130434:-1 gene:A02p027100.1_BraROA transcript:A02p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHFPLYFRSRKIFHPLLKFAPRPDQQEATMPAKQRTPKVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVAAVAEKPPKFYPAEDVKKPLANRRKAKPTKLRSSITPGTVLIILAGRFKGKRVVFLKQLPSGLLLVSGPFKINGVPLRRVNQSYVIGTSTKVDVSGVSLEKFDDKYFGKVAEKKNKKGEGEFFEAEKEEKKAFPQEKKDDQKAVDGALIKAIESVPELKTYLGARFSLKQGMKPHELVF >A02g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17660357:17660753:-1 gene:A02g506200.1_BraROA transcript:A02g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLHIFRRRLDVSELRVHVYPIDSVCPELPPFFTITTRRNKIEESKLEKLCNLFYNKLSECCFMLKPTILTRSYWPWM >A02p015540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6880092:6881407:1 gene:A02p015540.1_BraROA transcript:A02p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPCASSESESLDKDSEPFVETDPTGRYGRYDELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRCFSDDTAMLERLYSEVRLLKSLKNTNIIALYKVWRDERSNTLNFITEICTSGNLREYRKKHRHVSMRALKKWSKQILKGLVYLHTHDPCIIHRDLNCSNVFVNGNIGQVKIGDLGLAAVVGKNHLAHSILGTPEFMAPELYEEKYTELIDVYSYGMCVLELVSLEIPYSECDSVAKIYRKVSSGVKPEALNKVKDLEAKAFIEKCIAPKKLRPSAAELLRDPFFDGIVDDEEEEENNDNGGTGRIVS >A08g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11958556:11959096:-1 gene:A08g506900.1_BraROA transcript:A08g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCELKEHHTDATETVNNLCNKLRQRHLQLLNTDGLCFSSSSSQSICSGLGFGQVLGGADWYLLTPTLIWKVSKPSLVRQIHLSVWFLSAGASQNNRSRKIKELDKKAKSSTKKKNKKLGIE >A06p011270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4137277:4148905:1 gene:A06p011270.1_BraROA transcript:A06p011270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSICRLPIRKSGVDQKLTPAPDCSPHIPIGDDYLWKHLRTIPNINVYCQTGFGTAAPKLVIKTVNKGGRKQQLFSSPIPLIPLINSYYATANRLIQEISTRENDENANRNIANMEKIHRKEIEKPVVSHVVALCSGAVGVDATALSLQKSINYNTLDLLGDPILWTPFLPPESSYTSERRAVPHIATFHRRSELFPLDVDDSPYREMVKLLKSNQLHKIQRWTKERNKLQKRIETSQATTVSGAWSQLRNQELELTVSVKVECGSVEDIDGLRDRITTVIHRGPQHLDFESFTEYRDHDDTFLYPFIDYVPLNLYTSRTLVSLKLTFLGLEDPGFVSLPCLKSMTLVKVHFLYAAHLEKLVSGCPVLEELTLVRNMDPILVGTDEKIMRVRSGSLKRFRVPLLCRSSVKCTLEIDAPVLEHMTLGEDQYDSVMVKNLTSLFMVDLGIKFAVKFGEFVDPGEDFSKRNEIRYFLSGISSVKHMIISEKTVKVLELYSNVGMIPKFNNLSRLEAMFPCDSLHFLPAFLECCPSLKHLILEIYYPIETENVYQLANVPGCFLSTLECVQLKRIHVWGEQEMEVATYFLENAAVLKKLTLSLTNYPRYVSDEEIFEEVNRVAKRSPTCQILPDWDLFTTVSLSLFAHSGRQNPPPNQIVRVMPPRCDRISELPESLLTHILSFLPTSHSVETSVLSTRWKNLWLNVPSLDLNCDHFPYREDYVVISFLDRLLQFDPDSRLVKVKVKCGSVEIKGLKDRISTVIQRGPQVLDVESCTKYLYPDTQTYSPYVEFMPLNLYTSKTLVSLKLTRSGVEDPPGFVCMPCLKSMTPVAVHFRDDVTLEKLVSGCPVLEELTLIRDIYSCFVGEVDRFMRLRSGSLKRLRVPLWYGLSCSSSSAKCRLEIDAPGLEHMVLGEDQFESIVVHKKLTSLFMVDLNIKFGELFLYGISSVGHMIISETTLKALELYSRVELIPKFNNLSRLEAVFPGNLLQFLPAFLECCPNLILEVYYLWEMEEDAFELTNVPGCFLSTLECVELKRIHDWEEEEMRVATYFLENAAVLKKLTLSLTNYPRLISDEEIFEEVNKVTKRSPTCQILPDW >A02g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20981354:20981787:-1 gene:A02g507480.1_BraROA transcript:A02g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRRENSARKLCFCRLDAEIRQAWTDKNPGRRFYGCPRYKEKNGCSYFKWFDVEDARDEIQEKSRVIEQLNQTIAELTINLERIQQEEEIVRDFQNLYV >A09g500130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:741661:742317:-1 gene:A09g500130.1_BraROA transcript:A09g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRETWNLIRSKPCLPPTGISRCHLAPWIMWEVWTARNKLMFSNLILKTEDSLSRAIMMAREWQDGQVTTEKTKRMSRPHPQATFQTTLKTDAAWNATSRRAGMGWTLTQNDETASFAAIENNVSSPLLAEGLALREALLKICNRGIVSLSIQSDSKTLINRSPAPELYGVVADILCISAAFESVSFRWIPREENTNADLLAKQVLSVNEAFMAST >A08p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12673420:12677721:1 gene:A08p018310.1_BraROA transcript:A08p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSGRLIGSEIHGFHTLQDLDIRTMLEEAYTRWLRPNEIHALLSNHNYFTINVKPVHLPKSGTIVFFDRKMLRNFRKDGHNWKKKKDGKTIKEAHEHLKVGNEERIHVYYAHGDDNPTFVRRCYWLLDKSQEHIVLVHYRETHEVQAAPATPGNSYSSSTTDHVSAKPVTEDINSGVRNACNTARSNSLVARNHEISLHEINTLDWDELLVETGMTNQSSPTQDDVLYFTEQLQTAAMGSAQQGNHHAVYNGSTDIPSYLGLGDPVYQNNSPCGAREFSSQHLHCVVDPNQQTRDSSATVADEQGDALLNNGYGSQESFGKWVNNFISDSPGSVDDPSLEAVYTPGQESSAPPAVVHSQSNIPEQVFNITDVSPAWAYSTEKTKILVTGFFHDSFQHFGRSNLFCICGELRVPAEFLQMGVYRCFLPPQSPGIVNLYLSADGTKPISQLFSFEHRSVPVIEKVVPQEDQLYKWEEFEFQVRLSHLLFTSSSKISVFSSRISADNLLEANKLASRTSHLLNSWAYLMKSIQANELPFDQARDHLFELTLKNRLKEWLLEKVIENRNTKEYDSKGLGVIHLCAVLGYTWSILLFSWANISLDFRDKHGWTALHWAAYYGREKMVAALLSAGARPNLVTDPTKEYLGGCTAADIAQQKGYEGLAAFLAEKCLVAQFRDMKMAGNISGNLEGVKAETSTNPGHSNEEEQSLKDTLAAYRTAAEAAARIQGAFREHELKVRSKAVRFASKEEEAKNIIAAMKIQHAFRNYETRRKIAAAARIQYRFQTWKMRREFLNMRKKAIKIQAVFRGFQVRRQYQKITWSVGVLEKAILRWRLKRRGFRGLQVSQPEEKEGTEAVEDFYKTSQKQAEDRLERSVVRVQAMFRSKKAQQDYRRMKLAHEEAQLEYDGMQELDQMDMES >A07p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19046670:19047384:1 gene:A07p035190.1_BraROA transcript:A07p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKNVHEQEVPKVVMEESSAATGEVTDRGMFDFLKKKKEETKPEETINSEFEQKVQVSEPVPEVKHEEAEKKPSLLEKLHRSDSSSSSSSEEEGEDGEKRKKKKKDKKKIATEGEVQTEEAKKGFMDKLKEKLPGHGKKPEDDSAVAAAPVVAPPVEVAHPAEKKGILEKIKEKLPGYHSKTVEEEKKDDH >A10p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14936315:14937241:-1 gene:A10p022700.1_BraROA transcript:A10p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAILLLQKQLKDLCKHPVDGFSAGLVDEKNIFEWNVTIIGPPDTLYEGGFFNAIMSFPQNYPNSPPTVRFTSDMWHPNVYSDGRVCISILHPPGDDPSGYELASERWTPVHTVESIMLSIISMLSGPNDESPANVEAAKEWREKRDEFKKKVSRCVRKSQEML >A10p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18695410:18709254:1 gene:A10p031160.1_BraROA transcript:A10p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKVSPLLFLSWLLLLQTTHQLQNSQTQVLYQLRKHLEFPKALESWGSYYGDLCQISATPHMSISCEGNSITELKVMGDKLLKPFGEFDGASLPNRTLSEAFLIDSFATTLTRLTSLRVLSLVSLGIFGELPGKIHRLYSLESLDLSSNYLFGSVPPDVSRLVKLQSLMLDGNYFNGSVPDALASLTNLTVLSLKNNRFNGQFPSSVCRTGRLTNLALSHNEISGELPDLSKLEHLHMLDLRENRFDSELPMLPKRLVTVLLSKNSFSGEIPRHFSGLSQLQHLDLSFNHLTGTPPRFLFSLPSISYLDLASNKLGGKLPLNLTCGGKLGFVDLSNNRLVGTPPPCLAGSSGERVVRLGGNCLSINSSHDQHREFLCEEAERKQLQGRKIETLIAMISGAVLVLALVALGLVLLCVKRSCCCCSRGKSVPKTRLKILTDNSHTSLSSEVLASARLISQTAKLVAQGVPSCRSFSFEELKEATEGFHSSRFLGEGSLGKLYRGTLENGSFITVRCLVLSKKFSSQSIRGHLDWMSKLNHPHLLTFLGHCTQTSGEHDPAATILYLVYEYIPNGTYRAHLSESCSEKILTWPDRLAILIEIAKAVHFLHTGVMPGSFNNQLKTNNILLDEHKIAKLSDYGVSAIIEENEKLETKSEPHNKSKKIAKREDDVYNFGFILLESLIGPLPTTKGEAFILNEMTSFESQDGRQKIVSPTVLTTSSQESLAIAISIANKCVLLEPSARPSFEDVLWNLQYAAQMQSAADAERKSDTFINDTPSIAGSVMALSGSILSALIFFALFINPLEARVPFDRLARKQPQRTAAEGICASSVRIFGYKCEEHDVVTQDGYILNMQRIPEGRAGAGDMSRRQPVLIQHGILVDGMSWLFNPADQNLPLILADQGFDVWMGNTRGTRFSRRHKYLSPNQPAFWNWTWDELVSYDLPAMFDHIHGLTGQKIHYLGHSLGTLIGFASFSEKGLVDKLRSAAMLSPIAYLSHMTTVLGDIAAKSFLAEATAIIGVAEFNPKSGLVGNFIKIICRQAGIDCYDLISVITGKNCCLNASTIDLFLANEPQSTSTKNMIHLSQTVRDKVLRKYNYGSSNYNMKHYGQALPPAYNISAIPHDLPLFFSYGGLDSLADVNDVKFLIDQFKFHDVDKIEVQFVKEYAHADFIMGVTAKDVVYNQVATFFKQFSKAPMSANNNNPQKPQQGSAPSPFGGNPGMASASVPGNQGFTQPHMAPNFPAQFQFSQAQAMAHAQAQAQSKAQAQFQAQMQAGMTMNQGQGSQGIGGVLGSSSPSMTPGSLNMKRFQQRPPMRPPSGFPASNSTVSPMRTMELTPAARKKKMKLPEKSLQERVAAILPESALYTQLLEFESRVDAALSRKKVDIQEALKNPPCTQKTLRIYVFNSFANQNNTIPGNPNADPPTWTLKIVGRILEDGVDPDQPGFVQQANPSHPKFSSFFKKVIVNLDQRLYPENSAITWESARSPAPQEGFEIKRKGNQEFAATIRLEMNYVPEKFKLSTALMDVLGIEVETRPRIISAIWHYVKARKLQNPNDPSFFNCDAALHKVFGEEKVKFTMVSQKISHHLSPPPPIHLEHKIKLSGNNPAISACYDVLVDVPFPIQRDLNNLLANAEKNKEIEACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSKDLKVVAGEASRNAEKERRADFFNQPWVEDAVIRYLNRKPAAGNEGPGTWSNLRDRIERLYTVSHSRKHMMLWFLVGMLFFCMVPESNAQLSENFYASTCPNVELIVRQAVTTKYQQTPITAPGTLRMFFHDCFVGGCDASVLIASDNGDAEKDAHDNISLAGDGFDTVAKAKLAVEAQCPGLVSCADILALAARDVVVLAGGPGFKVELGRRDGLVSHASSVDGKLPGPELDVTGLVNLFASNGLSITDMIALSGAHTLGFSHCDRFAHRLYNFSALMPVDPTLDPAYAQQLMQTCPRVGSEPNVVSTLDATTPDVFDNAYFQNLVARKGLLTSDQVLFNDFRSQATVVRFANNAEDFNSAFTSAMRKLGRVGVKVGKQGEIRKDCSAFN >A07p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:355860:358481:1 gene:A07p000950.1_BraROA transcript:A07p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G20020) UniProtKB/Swiss-Prot;Acc:Q9SL79] MSLKLNTPFPIFAPSLFPNPNPRAPSEIRFSRWGNANAERFEQRRRTQEELEAEIRRDRRFDAATSIVHTQDSAAASSEPKTSPFRSRGTPSQPSARSIPGRRSKYSKPDYGPTRPKNKPKVPDSPPQLGAKPEVKLSEDGLSYVIDGAPFEFKYSYTETPKVKPLKLREAPYAPFGPTTMGRPWTGRAPLPQSQKPPREFDSFSLPPAGKKGVKPVQKPGPFRPGVGPRYVYTKEEILGEPLSKEEIRELVTSCLKTTRQLNMGTKLYALSLSSLDSVVLIDDQIVFVEPQVLLFRYIRIELLKASRDGLTHNMLNNIHDLWKRRRVCKIKCKGVCTVDMDNVCEQLEEKIGGKVIYRRGGVIFLFRGRNYNHRTRPRFPLMLWKPAAPVYPRLIQQVPEGLTLKEATAMRRKGRELMPICKLGKNGVYCDLVKNVREAFEVCELVRIDCQGIKGSDFRKIGAKLKDLVPCVLISFENEQILIWRGREWQSSLTVPDKKDDILRDIDVDTVSEEDEASESLNHTQTVNQNSSSGPMEFQHDPDGDELCSSTGDISALEGAGSTLHASSTEDVTTDNSLGDHQEPEQESETSEEINRQSVERVLNMMKQAVESGAALVLDAADLDPDTVFARAVAFSSVATPGPVFQHGLRKQPAVKKEEKREFGYKNIEAKTSSAVVPGRKEVAATVERYDKREKEGVELKMEELDEDYREVIPHGTLKVDELAKLLA >A02g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12974230:12976094:-1 gene:A02g503890.1_BraROA transcript:A02g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVFSRVDLSSFVTGSTEHTWQPTMSAETNIPSYWLNWRFFLCAIFVLTSLFLSSFLIWKYEGPGKRRKRGGGHDHDQREGTGVVYDDETWNTCVRRIHPNWLLGFRVFGFVTLLGLISGNAIADGAGIFIFYTQWTFTLVTIYFGVAALVSINKFRSGDNCHNGVSSRVDEEQGSYRPPIHNENSNVFKASNGHERHNRSTRQVASTLGYIHQILFQTCAGAVLLTDGVFWFIIYPFLTSKDFNLDFFIVIMHSVNAVFLLGETFLNSLRFPLFRISYFVVWTGVFVIFQWIVHACVSFWWPYPFLDLSSSYAPLWYATQTHRPYAAVGLMHVPCFGVFALIVKLKYMWLSKCFSEEP >A06p050320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26486891:26488312:-1 gene:A06p050320.1_BraROA transcript:A06p050320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFTRNFKLIVENGSNSKPVISTLLYSTSSSRLEGKVAVITGGASGLGKATAEEFVNHGAQAIIVDIDEEAGRMVTTELGSAAHFIKCDVTEEEQVARAFETVMARHGKLDVLLNSAGISCSISPPSIADLDMDIYDQVMRLNVRGTVLGIKHAARAMIPAGSGSILCLSSISGLMGGLGPYAYSMSKFTIPGVVKTVAGELCKHGLRINCISPASIPTPLTLRMFREALAGHNIPEEHLMAIVNATGDLKGEKCEERDVAKAALYLASDDAKFVTGHNLVVDGGFTCFKSLNLPFP >A09p072580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55586228:55587170:1 gene:A09p072580.1_BraROA transcript:A09p072580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTMSSSMKSLLKGLRYISQVFESGKEEEEIEIGNPTDVKHVAHIGWDGPSATPASAPSWLNEFKTGGGLESGQGGGEDDSSVKCMSECGGRTRDLPKLPKSTRKAASEKGSPTKEISSDKTKRRSSKKGTTSSSRRPKEVSELNDLSLTHEVPKKSRRKKKTKETGGSTRSIRRSDVDNMSDYMSETGSVRSMPQFDSRDDF >A09p037120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22614187:22618446:-1 gene:A09p037120.1_BraROA transcript:A09p037120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFPCRKFFISWKRSRFQRPSSVFLLAGTWSVSLSGTRGSGSCLEAGGNYTRVFFPNCLPIISRFRHRSQGITCALKSTGIAHSQQAPLRQNPIPLVLLDWVPLKPELILNPCEDRMTKGFDLSLGSSSIGTRVHSRRRVINEAGESMDSSESSLDLTAEIEELRNAAVGEALPPPGGGKISPVGPLSIIGVEEVANWRKKFRLPDDVTIRIPDFELGEIPVYEGFFESGFRDQIPSLVAEISKVVKISSGQLNPPSWRIWIAMQSLGNLEGFTVGVAKVLYYYSISPLNGGEFRYHLHPRGKVLPARELSKAERKRWPVFEGCWTSMFAFMPFPGFSPTWCAAGKDTIEQLLELPLERQEVSFLVSDEALDRWSIRGVMSGSKGHEALVEYKKALGGMSARKAAVKRTAPVEDEEVQFVGSSRRHVTAAVAPPSSKKKSKASGSVPKTPSSASFDWSTVLSNLNVKVFPLVPSRLSLDGTLLWPSALFRAASQLFHPGERMEDKTTAKVEVDARGERCLLDPILVNTKMGHDQRNGPRQVEAHNENRARRRSRGGRRDRVTRSLDRGATTGISESTYYKRRRRNTEEDTLKTLERATHSYRSCCLPTFRFSLYQPRLYRCPHHLSSLDQPPITIKYFHDNGSQPNVRNYSFGNQRHRSYLRRLVVVILSPASIVDKPGDPALRVVPYGYGRVYVVSALVAEERSSVRQEPPVFSMRNHMAWKTEEGPRLGDMDPGPRGRDQDLGAGTRKTEAGVISSWNIFFPTSGNNMTFFIGLYKLHRSITLLCRSFSDVLALGVKGFPAWSEMYDL >A02g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3911560:3912244:-1 gene:A02g501170.1_BraROA transcript:A02g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSNALQGNVLSSLNLSDNALGEKGVRAFGTLLKSLSSLEELYLMNDAVADALKESASPVEVLEMAGNDITSEAASAIAACVAANQDLNKLNLSENLLRDEGCIQIEKSVEEDHSKLQYIDLSNNYIRRDGAVALAGVVMKKEAFKLLNVDGNIISEEGVEEVKEMFKKKQSCLGVWMRMILMEKKEMKRMMSWSQS >A05g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13681664:13683511:-1 gene:A05g504930.1_BraROA transcript:A05g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTIENMFQEQESEKYVTNAQKETQVQEAQEEETLDITPPIAYKRRSSPRVQPQERQQAKPCPSRTPPPPPPQAAAAREALAAATEPLAVASLIQYGREGAGVDVRMVQVFSRVWVMVSDKVHRARTVTDVIIKMNLEGNNGRNLHSGRRLMKKQRMFMRLNQMIPSYKLIPEEERCLSKFEHKKLTEYEEAMTKRENEMIEADRLMERMISSVEKLEIRVIKGEMKGRRL >A09g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6431015:6433885:-1 gene:A09g501730.1_BraROA transcript:A09g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLELSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPSIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSIFGALRASNWLFMVVVVLMTMAIL >A09p066420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52727434:52727829:1 gene:A09p066420.1_BraROA transcript:A09p066420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITYGDPKVRMHHLTPSAYNWNISLYILLRPGFKKPGNSSSSSAKPCLEAIPLTSEGMLPHDYVLEDPDSHFIYICNKKVEVAAQIHPHTHIWKGACFVLDTCGWCGD >A09p066770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52851477:52853762:-1 gene:A09p066770.1_BraROA transcript:A09p066770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNCFMEKNCGRREGINQIGCMWMFISIFGSNQKRLLMEKKHRSKRFAGTNESVQKLIEEDIDVSIAGDEHVEAKAFKDESEEKFREIIKRLIAQKQGEIQSCKDLLEAFHVLDSEEESLLKKKVSHEDSQSLGDSKRVVEEEQEAVLLPKRKCNFFSKKWRSEERKRNRTSQVTKTIVVLRPTPNSLDVDSSSSNKSKTGRIFSRFIIGLLKRRLQASVGKKSCDVHVDKSHCVQEKIQSKSGKHVSDEEEEPLCSEGTSEDSKKIMSGLYIAARKHLSEMLANGDIDVNLPDKEVPRILGQILSLPEFSSPGDSPSLIPTHDLVSTLSQTTEVPEMLQCSEDSDKDDETLFTIDVSVPTMLSDHVKETEKTEIEPIFETTSSSISRQEDGETATDVQGKLSPVSVLETLFTDDESSPTTSTRFNSAEKRIQPLCIRFDEADSPKQDKPNNLKTSMDDKELTLAYIKAVVKSSGLSWEELLTRPFYSEQLLDLESTDDIAFCSTQLCDDKNLLNDCINEVLMDFCENELNPGPWITFLKPEVQLISDMEVAAKVAQEGVYWHLLPLPSPHTLDQIVKKDMGKAGSWMDLRFDIGWIGSGTSELILGDLIEEIIRSCRDMVQAEPMQEQNSNSL >A04p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4581115:4584035:1 gene:A04p013680.1_BraROA transcript:A04p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQGVVWTSRNSFFIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSCLDFLKVVWTSCKVKSSGLLRSRLDFLEVVWSCLLKWNPSFRHRLVLHLTGLFQKFDFSGRLTFQSSNDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRRLNFQSSQVTDFKVNCKNNLCVDQTTSSSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKIFRIKNLEWKKKKDKSILGALRASNWLFMVVVVLMTMSIL >A07p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13017199:13019881:1 gene:A07p022210.1_BraROA transcript:A07p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g67280/K3G17_4 [Source:Projected from Arabidopsis thaliana (AT5G67280) UniProtKB/TrEMBL;Acc:Q9FGQ5] MTTLAAVLLRYLFVFTVLLLCDQSLALNTDGVLLLSFRYSILDDPLSVLRSWRFEDETPCSWRGVTCDESSRHVTVLSLPSSKLAGTLPSNLGSLPSLQRLDLSNNSINGSFPASLLNATELRFLDLSRNHISGELPASFGASSKLNVLNLSENALVGELPATLGWYRNLTVISLKNNYLSGEVPGGFKSTEYLDLSSNLIRGSLPLHFGGNRLRYFNASFNRISGEIPSGFADEIPENATVDLSFNQLTGQIPGFRVLANQESDSFSGNPDLCGPDPAKHPCRDREATSPPPSLTPNSPPALAAIPNTLELTNHPISSKSGSKSKSALKPVIIVGIVVGDIAGLAILGIVVFYIYQSRKRKTVTATSKWSTSATDSNVLSKWYCLRKTVNVDGDCEEEEEESETSGSESDEENRVGRNRRSGLDDQDKKGMLVNLDSEKELEIETLLKASAYILGATGSGIMYKAVLQDSTAVAVRRIAECGLDRFRDFETQVRALAKLVHPNLVRIRGFYWGSDEKLVIYDFVPNGSLANARYRKVGSSSCHLPWEARLKIAKGVARGLTYIHDKKHVHGNLKPSNILLGLDMEPKVADFGLEKLLIGDMSYRTGGSAPIFGSKRSTSSHDFGPSPSPSPSSVGLPYNAPESLRSIKPNPKWDVYSFGVILLELLTGKIVVVDELGQVNGLVIDDGDRAVRMADAAIRAELEGKEEAVLACLKMGLACASPIPQRRPNIKEALQVLEKFPFHFSSQ >A06p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20119183:20121088:-1 gene:A06p037170.1_BraROA transcript:A06p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIDKLKKVVEEGNYYGALQVYKSISARYVTAQRFSEALDILFSGACLELEHGLVNCGADLALLFVDTLVKAKSPCNDETLDRIRCMFKLFPRVPVPPHLVDVSDDEDVHNLQESLGEARSRVENLTSFLRAAIKWSAEFGGPSTGYPELHAMLADYLYTECPELDMVRISRHFVRAEDPEKFASTLINFMGRCYPGEDDLAIARAVLMYLSMGNMKDANLIMDEIKKQAEAKHPEFSESDLIQFTSYLLETLQRDALPLFNMLRVRYKSCIDREPLLNELLDEIAQRFYGVQRKNPMEGMFGDIFKMMG >A06p021530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10674034:10676166:-1 gene:A06p021530.1_BraROA transcript:A06p021530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGICGSIAREGLETEPVSRSPSLADGSPSDLNHAADVLSKELKNVGLDEEKTMSFPGGGDDSKEEEDGVSENESEALYPVRPGAEDCAFYMRTGSCKFGSTCKFNHPLPNPTNIQIARESKVREKEEDADKMRLMDCQYYFRTGGCKYGLTCRFNHTKPNSDVPPSPPELNFLGLPIRPGEIECPFYMRNGSCKFGADCKFDHPDPTTLGGTDSLSFHGNNGGSIGSFSPKSTFQPSSTSWSSPRHANGTAPFIPSMLSQSRGASSQTPEWNGYQATTMYPSERSVFSTSTTYLMNNSSAETSIFTPYKYQKPAEEFPERPDQPDCSYYLKTGDCKFKFTCKYHHPKNRLPKQPPFALNDKGLPLRPDQVICTHYSRYGICKFGPACRFDHSIQLPDSTGSSHVVAEPPQVGANGNESDGWN >A01p017880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8704957:8706577:1 gene:A01p017880.1_BraROA transcript:A01p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTNNTTTSLNQAAAASSDAYPMDPPRPDNPNPFSAPPTTSSVGNAAPQFSLTMPAETASSEQKKKRGRPRKYNPDGTLAVTLSPMPISSSVPLTSGLPPRKRGRGRGRSSQWLKKPEMFQFDRSPVETSFAGVGTAADFVGANFTPHMLTVNAGEDVTMKIMTFSQQGSRAICILSANGLISNVTLRQTTTSGGTLTYEGRFEILSLTGLFMQNDTGGTRSRAGGMSVCLAGPDGRVFGGGLAGLFLAAGPVQVMVATFIAAQEQSHLQLAQERRQRFGAQPPSISFNITAEERKARFERLNNSVTVPAPTPPSYPHDNTGNAVHSYYTNSVNHVKDPFSSIHGGGEDEGEEDEGDGNELEGEDEEFGESDNEIPS >A04p022580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13622281:13625344:1 gene:A04p022580.1_BraROA transcript:A04p022580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIKRIDDSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLIIFSNTDKLYDFSSSSMKSAIERFNKTKMEQQQLLNPASEVMFWQREAATLMQELHSLQEYHRQLMGEQLNGLSVNELHNIESTLEMSLRGIRMKKEQMLTDEIKELTKKRNLIHHENLELSRKVQRIHQENVELHKKAYATSNTNVLGLGELEDAADESHAQVRLQLSQPEQSCYDSPPKS >A07p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13249972:13252547:-1 gene:A07p022760.1_BraROA transcript:A07p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 21 [Source:Projected from Arabidopsis thaliana (AT5G66790) UniProtKB/Swiss-Prot;Acc:Q8GYF5] MDHTPYLIFLFFTLTVAAQTTGSVNCQPGSLPYPFGFTDGYPIRFNCSEKTDEAAIGEFSVAEVTNANIYVKIPPLCKRDIRKIEQLFRHSFAPSISQNTILVQGCNESSSDCSIGRSFVQDRLNLSSCISQVRCLDAATEIKADVMSVVNVVNRSGCKYWFSSITPQSKSQGSVNLLGQLRLDWWLKEGCSSTTCSANASCTTVNIGGGGFGHRCTCDDGFYGNAFNVHGGCRPVGKRKGLLHKLIVLGIAILLGASLIAILIFAFIYRHKRSASSSRSSLANRLFCELAGNSSVPFYTYKELEKATDNFSDKNKLGTGAYGTVYAGEFPNSSWVAIKRLRRKDTPSIDQVVNEIKLLSSVSHPNLVRLLGCCVAQGEPILVYEFMPNGTLSQHLQHERGHAPLSWPLRLSIASQTANAIAHLHSSVNPPIYHRDIKSSNILLDYEFNSKVSDFGLSRLGMSTDFDVSHISTAPQGTPGYLDPQYHQDFQLSDKSDVYSFGVVLIEIISGFKVIDFTRPFTEVNLAALAIDRIGRGRVVDIIDPSLQTVNDPKMFSSLQNLAELAFRCLSFHRNMRPTMIEVAEDIQRIKLMYFGKEARNSKNRSDIDIKRLNSK >A03g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18322044:18322765:1 gene:A03g505160.1_BraROA transcript:A03g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFYYTAGYKVLLSKSKNRELKNRRTEKPTNKIFRRRRPVVSKRRASKGEAKEMSQTEEGAVNGGKGQSLLLVSVF >A10p030900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18606943:18609643:1 gene:A10p030900.1_BraROA transcript:A10p030900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKASQQKLRSRWKRKVFATLMFAFCLGSFVYFQARYIGITASLKPRLDQKPQIAFLFIARNRLPLEFVWDAFFLGEDGKFSIYVHSRPGFVLSEATTQSKYFLNRQVNDSIQVEWGEATMIEAERVLLRHALRDPFNHRFVFLSDSCIPLYSFSYTYNYIMSTETSFVDSFADTKDKRYNPRMNPVIHVDNWRKGSQWVVLNRKHAEIVVNDTIVLPMFQQHCRRKSLPEFWRDRPVPTEGWKEHNCIPDEHYVQTLLAQKGVDSELTRRSLTHSAWDLTSTKSNERRGWHPMTYKFSDATPHLIQSIKGIDNINYETEYRREWCTSKGKPAPCFLFARKFTRPAALRLLRESILLKDKEQDS >A01p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19827951:19829142:-1 gene:A01p025990.1_BraROA transcript:A01p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVLGFRSVATEIVAFPIIGSLCGGEACLDGKASGVGFLGLHCKGNPIFRSVLGFHFFIGLEARVGDSFNQTQAEIPVSREASGLGSARGDVYAQASLAGKASPSLTFLPHVVVRRLGFPSTDIAKLSGVDTNQLEQRCGKAKITKMLSRASSKVPAPFGNATLGQAPASGSASFLYC >A08p038810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22415949:22418214:-1 gene:A08p038810.1_BraROA transcript:A08p038810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKRLESTGNRQVTYSKRKSGILKKAKELSILCDIDIVLLMFSPTGKPTVFHGEHSCIEDVISKFAQLTPQERTKRKLESLEALKKTFKKLDHDVNIHEFLGARNQTIEVVYRSSLGLSNQVAISQAQLMECHRRLSCWTNIDRIENTEHLNLLEESLRKSIERIQFHKEHYTKNQLLPLDCTTTQFHSGIQLPLAMEGNNSMQEAHSMSWLPNNDNQETILPGESSFLPQREMDGLNPVYSNGFFESVKQEDQMCSNQGQQFEQLEQQQGHGCLGLQQIGEEFSYPTPFGTTLGMDEDQEKKIKSEMELNNLQQQQQQQQQQQDPASMYAPTANNGGCFQNPHDQSMFSTDHHHHYQHYQHHQNWVPGSVFGQASYNQVCVPLELSNYVIHQTKYVSVYLVIAATKLITKERAHHVCNLILWTMTLRMNKDEFEPLL >A09p058690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49348434:49350250:1 gene:A09p058690.1_BraROA transcript:A09p058690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/arg-rich protein kinase 4 [Source:Projected from Arabidopsis thaliana (AT3G53030) UniProtKB/TrEMBL;Acc:F4J982] MEAEKWSSDGGEYTSEDEGTEDYRRGGYHAVRIGDSFKNGRYVVQSKLGWGHFSTVWLSWDTLSSRYVALKVQKSAQHYTEAAMDEITILQQIAEGDADDTKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIRMVKEICYHMLVGLDYLHKELSIIHTDLKPENVLLVSRIDPSKDPRKSGAPLVIASGKEKTVDSNGDFVKNHKKDVRKVKRSVDGKSSAAAEEDCPSTSNGGEEGKQGGKKGSRSSRRHLVESADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDLFNRHGDLRHIRRLRFWPMNKVLTEKYEFSEQDANDLSDFLVSILDFVPEKRPTAAQCLLHPWINSVPRSLEPSLSPEDQKSEEKLDTERKKRENEEQEAMVVKMGNVAISSPKSKPGMSKSSSLKQAI >A09p056590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48054722:48057168:1 gene:A09p056590.1_BraROA transcript:A09p056590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSRIDRKEIVSRCKARKRYLKHLVKARQTLSASHALYLRSLRATGSSLVNFSSKETPLHLHHNPPSPSPLPPPPPPRPQQPPLSPSSETTWTSTTTSSALPPPPPPPPPPPPQPSSTWDFWDPFIPPPPSSSEEEWEEETTTGTTTRTATGTASSVAAPTTAPTTATPQGSSVVSGFSKDTTTTGSELAVVVPRNSKDLMEIIKEVDEYFLKAADSGAPLSSLLEISTSINATAFSAHAKGGKMYSNYECNLNPTSFWTKGFAPKLNEYRNSALVGGGNCIVGSHSSTIDRLYAWEKKLFQEVKNAESIKMEHEKKVEQVRRLEMKRADYVKTEKAKKDVEKLESQLTVSSQAIQSASNEIIKIRETELYPQLVELIKGLMCMWRSMYESHQVQTHIVQQLKYLNTIPSTEPTSELHRQSTFQLELEIQQWHHSFCNLVKAQRDYIQSLTGWLRLSLFQFSKNPLVRSSYESKIYSFCEEWHLAIDRIPDKVASEGINSFLTAIHGIVAQQDEEHKQKKRSDSMLKDFEKKAASLRGLESKYSPYSVPEGRKKNPVIEKRAKVEFLKGKAEEEKSKHEKAVSVTRAMTLNNLQMGFPHVFQAMVGFSSVCMQAFESVYNQAKCIGEEQEEVKRLLP >A09p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6031402:6033403:1 gene:A09p011930.1_BraROA transcript:A09p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTSLSLRFSPPPHTLLPSKPRRSLVVAAQTAPPAVEADRLEPRVELRDGFFILKEKFRKGINPQEKVKIESEPMKLFMENGIEELAKKSMEEIDGEKLTKDDIDVRLKWLGLFHRRKHQYGKFMMRLKLPNGVTTSAQTRYLASVIRKYGEDGCADVTTRQNWQIRGVVLPDVPEILKGLASVGLTSLQSGMDNVRNPVGNPIAGIDPDEIVDTRPYTNLLSQFITANSLGNPEFSNLPRKWNVCVVGSHDLYEHPHINDLAYMPANKDGRFGFNLLVGGFFSAKRCEEAIPLDAWVPADDVLPLCGAVLEAFRDLGTRGNRQKTRMMWLIDELGIEGFRAEVEKRMPSGKLERESSEDLVNKKWERRDYFGLHPQKQEGLSYIGLHCPVGRLQADDMDELARLADTYGSGELRLTVEQNIIIPNVDTSKTQALLQEPFLKNRFSPEPSILMKGLVACTGSQFCGQAIIETKLRALKVTEEVERLVAVPKPVRMHWTGCPNTCGQVQVADIGFMGCLTRGDNGKAVEGADVYVGGRVGSDSHIGEIYKKGVPVTDLAPLVAEILVKEFGAVPRVREENED >A05p023600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:11421100:11421459:-1 gene:A05p023600.1_BraROA transcript:A05p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHMVGIVRKMNTGDGGASVGTASRSSRAVRSYTILSLDQSKTSPPKRRRARSTRISHQHHTFWSKKQHMHKRKRNKISPTEKKEATTKNLVYKSSVCMNVLARTYQLKQLRTRLMLNG >A06p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3743093:3744367:1 gene:A06p010370.1_BraROA transcript:A06p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRMSNPRFDAAATAPQAVVDIPETPPHSSSSSTGKPFSLSSPTVSPTILTAAIIAAWFGSNIGVLLLNKYLLFYYGFRYPIFLTMTHMLSCAAYSSAVINIAGVVPRQHILSRRQFLKILSLSAIFCLSVVCGNTSLRYIPVSFNQAIGATTPFFTAVFSFLITCKTESTEVYLALLPVVSGIVLASNSEPSFHLFGFLICVASTAGRALKSVVQGIILTSESEKLHSMNLLLYMAPMAACILLPFTLYIEGNVLSILIEKARTDPLIIFLLAGNATVAYLVNLTNFLVTKHTSALTLQVLGNGKAAVAAGVSVLIFRNPVTVMGVAGFGVTIMGVVLYSEARKRSKLLNQK >A05p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24210811:24212648:1 gene:A05p039600.1_BraROA transcript:A05p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKHTRVQILGCILLASLALTMADTPPGIAKNPSHATCKIKKYKHCYNLEHVCPKFCPDSCHVECASCKPICGPPSPGSDDDGGEDDGGYTPPAPVPPVSPPPPTPSVPSPTPPVSPPPPTPTPAVPSPTPPVSPPPPTPTPAVPSPTPPVSPPPPSPTPAVPSPTPPSSPPPPTPTPAVPTPTPPTPSVPSPPGTPTAPVPPYFPPATPTPSIPSPTPTSPGSTPPYVPPSSPTPTPPSDGETGAGVRRARCKKKGSPCYGVEYSCPADCPRSCEVDCVTCKPLCNCDKPGSVCQDPRFIGGDGLTFYFHGKKDSNFCLISDSNLHINAHFIGKRRSVWHVTSHGSNPLLSSSALTVSTSEPSRPPHGATWTSSPGVYPQVSVKRVNADTNNLEVEVEGMLKITARVVPITVEDSRIHGYNVTEDDCLAHLDLGFKFQDLSDNVDGVLGQTYRSNYVSRVKIGVHMPVMGGDREFQTSGLFEPDCSAARFTGNRGSNGGRSKMELPEMSCASGVGGKGVVCKR >A09p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46070394:46070756:1 gene:A09p052850.1_BraROA transcript:A09p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQASLILMFILSFFALHQCAKMNVRGIEDSNIVITSNCVHARCSKIFVRDCWCCPGRVRKCWKDEASCNAICPRPPHLPPK >A10g506990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21233626:21233883:-1 gene:A10g506990.1_BraROA transcript:A10g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSEV >A07p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23924758:23927873:-1 gene:A07p043760.1_BraROA transcript:A07p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRTYQNTVKKESWRTVLTLAYQSLGVVYGDLSISPLYVFKSTFAEDIEHSDSNDEIFGVLSFIFWTITLVPLFKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPSCQLADEQLTEYKTESPLEMGPRSGFAARLKSTLEKRRVLQKVLLVLALIGTCMVIGDGVLTPAISGSTVHSFVLSVTVFSAVSGVELSTAKEHHKYIEVPAACVILIGLFALQHYGTHRVGFLFAPVILLWLMCISAIGVYNIFHWNPHVYQALSPYYMYKFLKKTQSKGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLILAYMGQAAYLSQHHVIDTSYNIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEINWLLMVLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHKNILFAIAFVVFFGTIESLYFTASLIKFLEGAWVPVALSLCFLAAMCTWHYGTLKRYEFDVQNKVSVNWLLSLGQTLGIKRVRGVGLIHTELVSGVPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVRPEERFLVGRVGPKQYRMYRCIVRYGYRDVHKDDIEFEGDLVCSIAEFVRSEAATAVVAETNGDDDDDERMSVVGTCSTYMQGVEDHDESDPDDPDKPGTSEIRSPMAKKKKKSKVKKKVRFVVPETPKIEKETREELMELTEAREGGVAYIMGNAYMRAKHGSGLVKRVAINVGYEFLRRNTRGPRTALTSPHASTLEVGMIYHV >A03p033590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14218516:14218855:-1 gene:A03p033590.1_BraROA transcript:A03p033590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKNLVIFSLAVTLAASMFNSNILASGEEIGPIEKCLDFCTNGYTLDTVLNKGFKPQNVKMFLFLIPL >A03p019210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7824913:7833739:-1 gene:A03p019210.1_BraROA transcript:A03p019210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSLVWVEDTDDAWIDGEVVEANDDDVKVNCQTKTVVAKANAVYPKDPEFPELGVDDMTKLAYLHEPGVLLNLKARYNANEIYTYTGNILIAVNPFKRLPHLYGNDIMEQYKGSDFGDLSPHPFAVADSAYRKMINEGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKAQSEGRSVEQQVLEEIERYKLGKPSTFHYLNQSNCYSLSALDDAKEYLATRKAMEVVGISSEEQDAIFRTVAAILHLGNIEFEKGEEADAAEPKDDKSRFHLKVAAELFMCDEKALEDSLCKRVMVTRGESITKSLDPGSAALSRDALAKIVYSKLFDWLVTKINNSIGQDPSSEYIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDVLDLIEKKPGGIIALIDEACMFPKSTHDTLAQKLYQTFNGHKRFTKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVREHQALMNSSDCSFVSSLFPKLRLESSNSSKFSSIGSQFKQQLQSLLETLNTTEPHYIRCVKPNNVLKPEIFENINVLHQLRCGGVLEAIRISCAGYPTRKPFNEFLTRFRILFPEATNKSFDEVDACKKLLAKVELKGFQIGKTKVFLRAGQMAELDAHRSEALGHSARIIQRKVLTYQSRKKYMMLQSASRDIQAFCRGRMARLQFESMRREAASLRIQKQARTYICQTAYKNLCISAVYIQTELRAMAARVELQYRKKRHAAIIIQASFKTSFFMTNNFSFFLIINNMQKLLIPQSQIRRCLCRRRYLRMKKAAITTQCGWRVKVARRELRKLKMAAKETGALQETNAKLEKELKELTSILELEKQTRMELEEAKNQEVEELKAALNDMKLQLGETQETKSEEILKLQSALQDMQLEFEELAKDLEMTHDLAAENENLKELVSSLQRKTDESETNYEETGKQSEKQEVPVIDNDAIIKLEAENQQLKALVSSLEEKIDALDRKHDETSSNITEQLKESASSDYEIVSDLAAENERLKALVSSLEKENNKKDGSDSSNEQKEEGTHMIKEESSTEDIDNEITKKLAAENKELFDLVDLLEKKIVETEKKYEEASRLCEERLQKVVDTENKYEEASKLCEERLQQVVEAETKLIELKTSMQRLEEKVSDMETEDKILRQQALAHSASRKMSSQMSFTGPPPMENGHHASLAPIPSRRFGTISFRRSRIERQPHEYVDVLIKFVSQKVGFSHGKPVAAFTIYKCLIHWKVFEAEKTSIFDRMVPVFGSAIENPEDNDHLTYWLTNTSTLLFLLQRSLKNHSTSSASPRLPPQPTSFFGRMTQGFRSPSSGSLSGDVVQQVDAKVPALLFKQQLTAYVETIYGIVQENVKRELEPVLLSCIQVNPSIYVMMFFMYLDDLPAQSSEQNSPAKSSEQNSPAKPSEDKIPPEKPSEEDPHAKPEGSSPEKPSEEDPHAKISEENTPAKPSADNAPEETWQGIIDLLNRLLGTLQKNYVPLFLAQKIFSQTFQGINVQVFNSLMQQECCTFNMGKRVNAFLNELEAWCSLATEEVKVHLPILVTKQLSLLIATEVS >A03p052570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20005197:20008952:1 gene:A03p052570.1_BraROA transcript:A03p052570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNDNDPSKSLIGPHFLFVTFGAHGHINPSLELAKRLAVTITGARVTFAAPISAYNRGIKLPRNPNLRHLLRWPRRRHQILYFLRQISPRRSRTIHVRDETTWHRNPKGTKEDNRRQNRPFTCVVYTMILLTWVAELAREFHIPSALLWIQPVTIFSIFYHYFNGYADAISEMVINDNPSGSIKLPSLPLFRLRDLPTFLVPTNAYSFLLPAFREQIELLKQEENPMILVNSFQELEQEALSMVLDNIKIVPVGPLITSRTDSGADGEYDKWLDTKIDSSVVYISFGTVAVLSKKQLVEICKALIQSRRPFLWVITDKSYRSKEDGEEKEDEIISSFREELDEIGTVVSWCDQFRVLKHRSIGCFVTHCGWNSSLESLVAGVPVVAFPQWTDQMTNAKLLEECWRTGVRVVEKKEGEEVVVESGEIRRCIEEVMEEKGEEYRRNAARWRDLAAETWALQALGGWENELDYCHELLEADVFNNSAWNQRHYVITRPPSLGGLKAMRESEVSYTTKAILANPGNESSWRYQKVLYKDDAASWISDPSVSSVCLRVLSRTDCFHVFALSTLLDLLCVGLRPTSEHRDSVKALTNEEADTNLAGLVCTFELVIDYRESYEHVFIHQRFKTNPDFLASCVY >A09p008880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4566020:4566550:-1 gene:A09p008880.1_BraROA transcript:A09p008880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB [Source:Projected from Arabidopsis thaliana (AT5G63090) UniProtKB/TrEMBL;Acc:A0A178UKE6] MASSSSNTYNSPCAACKFLRRKCMPGCIFAPYFPPEEPHKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISYLQRQVHRLQKELDAANADLVHYGLSTSTPSNVVDLVFQPQPLPQQPQQVNPVYRLPGASRGTGGSCGTFLPWNNGHNQQGGNM >A05g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12383781:12384327:1 gene:A05g504490.1_BraROA transcript:A05g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHMKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFENNGLHFRTPWVFAIQDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A10p023950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15569404:15570219:1 gene:A10p023950.1_BraROA transcript:A10p023950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTREEVGEFSKLYNGFMTVMRRFIFKVLCVGPIPNHISFIMDGNRRFAKKHNLQDLDAGHRAGFVSVTHVLQYCQEIEVPYVTLYAFGHDNFRREPEEVKCVMDLMLEKIELTIDQAISGNLNKLKVIFIGDLNMLNERLKAAAQRLMEVTEENKGLMVVVCVAYSTSHEIVHAIRESCVRKCGDGDSPQVLEVSDIEECMYTSIVPDPALVIRTGGRDRLSNFMTWQTSRSLLHTTAALWPELGLWHLVWAILKFQRMQNYLQKKQKLD >A06p057230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29743872:29745125:-1 gene:A06p057230.1_BraROA transcript:A06p057230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein i [Source:Projected from Arabidopsis thaliana (AT5G42560) UniProtKB/Swiss-Prot;Acc:Q8LE10] MIGSFLTRGLVMLLGYAYPAYECYKTVEKNRPEIEQLRFWCQYWILVACLTVFERVGDAFVSWVPMYSEAKLAFFIYLWYPKTRGTTYVYESFFRPYLSQHERDIDHSLLELRTRAGDMAVIYWQRVSSYGQTRIFEILQYVAAQSTPRPPQQPQKRGGRANQVPVKPKKSPSVQPESEEAASSSSSSSDSDGNDAAGKEAGPTSGPATDTKSAGITQIVQKLVSSPPTQSTTQVEAEPMQIEEVGGEGEAESVNGNSNPEGPKETVMEQTIRMTRGRLRKTRSEESR >A02p012920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5664595:5666845:1 gene:A02p012920.1_BraROA transcript:A02p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRCSKLSLCWWPTHQKSTLNDASDLENGTEDLPSFSEFSFDQLRAATSGFSTDSIVSEHGVKAPNVVYKGRLEDGRWIAVKRFNRSAWPDTRQFLEEAKAVGQLRSERLANLIGFCCEGDERLLVAEFMPFETLSKHLFHCKGTTVYLFGDSEPMKWAMRVRVALYLAQALEYCSTKGRALYHDLNAYRILFDQDGDPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFMMLMDSALEGHFSNDDGADLVRLASRCLQYEARERPNVKSLVTSLAPLQKETDVPSYVLLGIPHGAASPKEATSLTPLGDACSRLDLTAIHEILEKVGYKDDEGIANELSFQVWTDQLQETLNAKKQGDAAFKGKDFVTTIECYTQFIEDGTMVSPTVFARRCLCYLMSNKPQEALGDAMQAQVVSPEWPTAFYLQAAALFSLGMDKDACETLKDGTSLEAKKQNNRN >A02g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25018831:25020075:-1 gene:A02g509370.1_BraROA transcript:A02g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTKQLTAYCHVCLISDMSCPDKTCIRGIQLSHGAADLTKNDNFQFLVRFRASFYSETHRPSPSSESHCLSILNHTVSVFEFKTCKVTTNNRRKRGSPCFSRRCDFEFVKKACGGYFNWVVSLSVLVHMMAFADADWIFKLAWKLDDMKKKVLASVSTTSIPIHAFVEGIHVHTSPVHNSSIPNSPVHISFVDTHRLETTLSVYV >A07p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3691755:3694255:1 gene:A07p002660.1_BraROA transcript:A07p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETMEEKAIQEIKQLLQLVDQKLSSIPSSPMKHDLIHEIKQWSDEKKESESMEQVVNPYEVSAKDGGKIDYDKIVEKFGCKRVDQSLIDRVHRLTSRDPHVFLRRGVFFAHRDFNEILDSFERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPVVIQLTDDEKFMWSKTLKVEDTKRLARENIKDIIACGFDVEKTFIFSNIEHAGTFYENTLKIGKCVTVNKANAIFGFAGEDPVGKMGFPPVQAAPSFASSFQHLFLGKDNNLRCLIPCAIDQDPYFRMTRDVAPRLDYSKPALIESKFLPSLKASTFKLGVNGKMSASNPNSAIYVTDTTKDIKKKVNSAFSGGKDNSTEQENAGADLEVDIPFKYLSFFLDDDAELEHIKKEYGEGRMLSGPVKQRLTEVLTEVVEKHRKARAAVTDEMVNAFMAVRPLPKMFD >A08p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1396004:1396718:1 gene:A08p002450.1_BraROA transcript:A08p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLFDFMKQSKSIQLNNKHSLDIYTNTYTYHKASSSSSTPKALCDVFINHRGTDTKKTLATLLYDNLKARNLRPFLDYKTLKPGDHIFDHINGAIHTSKVAVTVFSPNYGHSYSCLHELALIMESKKRIIPIFFDIKPSQLDVVIERVRCPDDEIQRFRWALQEARDIVGLMFDSDKGNLSEVVTSASDIIVQRLVELEAEDESV >A09g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22780985:22785260:1 gene:A09g507990.1_BraROA transcript:A09g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLTVKPVSIDSQLKRSTQRIGFIPKGVMESNSLIKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLMFRHDLETIETSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLNTFIFFLRYLILNLVDMTTEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEDDQCRESRAEDGYEEGPCRGEICPKRFVKLKPRQLHEREVTYNSYDQFRLYKFSGKGEDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTEKAQRYWKREEKYREQFQEPPIRTWEQFKGVMRDRFAPYIPTQHAQKVSTKKVVQPQVLQLANQRQSSKPFDDLINLIKAGSNSVSSNSMNVLTHLSSAQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDKVLSELNVINLNYQNTGMMHLYSVQNVYKGLGNEETRPEAQQLENNEQSILETSTPADHALEGNHRPTMERASSNIGSLKEGYPCNHEEFNRETSCYRFSTQPEHAANWFHTKRSNDLGDMPVTSQTIYTASELVLIKESNSLLKECTTQTHVWKPGDYSLYLRAVGEVLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVFHLLFLSKLFF >A06p045140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24227765:24228524:-1 gene:A06p045140.1_BraROA transcript:A06p045140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNGSGYMEAEAYGSAEARFLKILGSGEYFRSVLEARFSKLPQGSDSDSGSEAGSGHPMKLPCNVAFDTIDCFCK >A01g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25590670:25591931:1 gene:A01g509460.1_BraROA transcript:A01g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNPAVRVWRFRVKLHRIYPFYSYVTNSGPYYNYILADEDGYKMEMNPYRNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTSSPFRLIALRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDLKYPIDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLSEVEEFRQSVLNSDPYIQKYGVEGLV >A04p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9581308:9583869:1 gene:A04p015430.1_BraROA transcript:A04p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTNFEIEVNPADTISDTKRRIETHQGAQYPAAQQMLIHQGKVLKDETTLEENNVVENSFIVIMLSKAKVSSSGASTASAPAPAPQAQPAQTVATPQVAAPTVSVPEPTSVAATVAAPSAAAASTQTDVYGQAASNLVAGNTLESTVQQILDMGGGSWDRDTVVRALRAAFNNPERAVEYLYSGIPAQAEIPPAPQAPATGGQAANPLAQEAAPVPATGGPNANPLDLFPQGMPAADAGAGAGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPQLVRLIQEHQADFLRLINEPVEGEENAMEQLEAAMPQAVTVTPEEREAIERLEAMGFDRAMVLEVFFACNKNEELAANYLLDHMHEFEEQ >A06p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2474022:2476521:1 gene:A06p007160.1_BraROA transcript:A06p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLHSHTFFLLSLLLVIFVLRQHLAVAGGCQYPPVIFNFGDSNSDTGELAAGLGYTIGLPNGRSFFRRSTGRLSDGRLIIDFLCQSLNTSLLNPYLDSLVGSKFQNGVNFAIVGSTTLPRYVPFVLNIQLMQFLHFKSRALELASTSDPLKEMMITENGFRNALYMIDIGQNDIADSFSKGLSYSQVVKHIPNVISEIKSAIKTLYGEGGRKFWVHNTGPLGCLPQKLTMVHSEALDKHGCLSSYNSAAKLFNEGLDHMCRELRTELRDADIVYVDIYTIKYDLIANSTNHGFEKPLMACCGYGGPPYNYNVNITCGHGGSNSCDEGSRFISWDGIHYTETANAIVAMKVLSMQYSSPPTPFHFFCSR >A06g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11929040:11930229:-1 gene:A06g503880.1_BraROA transcript:A06g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNVIGSTSLNPKIVGDGSASTATKYGGVKKIVSLTLLLSCNYPLTNPNTCRLQSSCALRKLTALKKQMGGATSHNLNAPGNFSVVSHRLRRRSGRPREHSLPKCVKDIVVRNLTFQLKLSEFNFSGKQLFTKYSFTVSRIFCRNQRPPLPQHNEVMITLTMRCHELEL >A09p018830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9943932:9951015:1 gene:A09p018830.1_BraROA transcript:A09p018830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLRLSLHGRRLVELQKWRNMRVSVTLLQNASFSSPSDVSPRKVLTLLRTHGFTDSQITTIITDYPLLLVADADESLAPKLKLLQNRGASTSELTEVLSKVPKILRIKKDKALGRYYDFVREIIEADKSSKFERLCLSLPLGSRQENKIRNVLVLRDLGVPQRLLFPLLISRSAHVCGKERFEESLKKVLEMGFDPTTPKFVKALHTVYELSDKTIEEKVNVYKRLGFGVGDVWKIFKKHPSFLKFSEKNISHSTDTFLGLGFSRVELAGMVKRFPQCIGLSAETVKKKNEFLVEKMKWPLKSLALFPHVFGCSMEKRIAPRCEVIKALMSKGLLGSELPSVSSVLGCTDHMFLKKYASKHDDKVFVDELMAIFTKDDRLVWTVWLLLHMYKRWGSIGKKGVEMGFESTTSKFVEALRIVYGLSYKTIEAKINVYRLWEMFKKCS >A01g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11524581:11525958:1 gene:A01g503750.1_BraROA transcript:A01g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKAQEQENERLKIKSREESSSSSSQMKWSSSFSKRSLDKKCSSINEERKITHQSSLDKRLYLDDDDEASVTFSFLHLLSLFVNDRNKRGRGSVGPRMDENVPYLPTEKVDQLQSSDTRERKFLAKNKSECLQEEWGVLEKSPEAALDVDQTIFVVVAEDVERSKTTVLWAARNFSSKKICLLYVHHLLMNHD >A02p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1723182:1724033:1 gene:A02p003440.1_BraROA transcript:A02p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAKAGIVKKRTFKKFAFRGVDLDALLDTSTDDLVKLFSSRIRRRFSRGLTRKPMALIKKLRKAKRDAPAGEKPEAVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEVKPEMIGHYLAEFSISYKPVKHGRPGVGATNSSRFIPLK >A07p040090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21289875:21291950:-1 gene:A07p040090.1_BraROA transcript:A07p040090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSLVHIFTILVTIIMSRFAQFDARTSLNVLTFGAKPNGVVDSTKAFSDAWDSACRVEDSAMIYVPKGRYLIGRELRFEGESCKSREITLRIDGTLIGPQDYRLLGKEQNWFSFVGVHNVTVLGGSFDAKGSTLWSCKANGQNCPEGATTLRFMDSYNVKIIGVLSLNSQLFHIAINRCNNVKIEDIRIIAPDDSPNTDGIHIQKSTDIEVRNASIKTGDDCISIGPGTKNLMVDGITCGPGHGISIGSLAKDLEEEGVVNVTVKKAVFVRTDNGLRIKSWPRHSKGFVERVRFLGALMVNVSYPILIDQNYCPGDSYCPTEESGIKINDVIYSGIMGTSATKVAIKMDCSERFPCTQIRMQAINLTYRGGAATTSCINASGKQLGLVIPNGCL >A03g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21143379:21143802:1 gene:A03g505910.1_BraROA transcript:A03g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNHTSHISYLNLFLLVSRYLSLSFFFYRSKSDLISFLILWISIFLNHHHHNHPFIFAVPASQPPPHDRSFAVQASPPPHNHGSKARQVWRLEEEDDGFRFRKRKLTASW >A09p077480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57572643:57574500:-1 gene:A09p077480.1_BraROA transcript:A09p077480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETTTNSSSFDPAPLLSSGDENEGRSNNGGERRSARRQGLREAAMFLSRASSGGRAMREPSMLVREAAAEQLEERQSDWAYSKPVVVLDIVWNLAFVSVAAGVLVMSRDENPIMPLRVWLLGYALQCVVHMVCVGIEYRRRNRVRSNRTPRSRSSSSSSSSMEEDGLGSRRSSHEQLESESSSVAKHLESANTMFSFIWWIIGFYWVSVGGQELAQESPRIYWLSIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAVLYAVADQEGASKEDIEQLTKFKFRKVGDVNKHAGDEAQGNTDGIMIECGTDSPVEHTLLQEDAECCICLSAYEDGTELRELPCGHHFHCSCVDKWLYINATCPLCKYNILKSSNLDREEV >A01p030190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21274290:21278971:1 gene:A01p030190.1_BraROA transcript:A01p030190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNYYRSWIDRPHLDPNTRLLTEEYQRGITEFMGLVQRQPEAKTGMLKCPCSNCKNRKIIKEWDVWTHLYLNGFTRSYKIWYHHGKTDYEYGSTSKLQPTADENDGEPVDDCVLMKTAHTNKKTGEIDDGVVKDVFSLIETQKEDEETRLSQLQTDLDATSTASTNLSRIRINEIVESSVPKKKESLVGLGRRARSVPPSAPQPYVDPEGLMDPLKDRDDRIAALEQKIADQEAGWEATRKQNEQMMEMMRRMYPNEQFPFGNIPRKCIPRDIPTTASSDIPRDVGPWNFPTDTVPRNIPTAQVSRKIPTAENFQSLVVLSDERKRNVERRRRVLFMTVSGELSSGTSSFRFVISTSTRRRLSYKKNPKSDFTFGCFRQNIIGVTIYASLEEDALIYSLNVVDVDVSDNPMPRGEIVVGDNGVTAGYFNNQAKTDEVYKRNGAEQAGVKYSDFSELCENGDAVNEVQQSLTKAAKLEKFEIPAKIKLLPEPWTSESGLAQLLTR >A09p030480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18565874:18567364:1 gene:A09p030480.1_BraROA transcript:A09p030480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVKDIASKSELDNLRQSGAPIALHFWASWCDASKQMDQVFSHLATDFPRSHFFRVEAEEHPEISEAYSVSAVPFFVFFKDGKAVDTLEGADPSSLANKVGKIAGSSTSAEPAAPASLGLAAGPTILETVKENAKATVKDRAQPAPTTENLNDRLEKLTNSHPVMLFMKGTPEEPRCGFSRQVVGVLREENVDFGSFDILSDNEVREGLKKFSNWPTFPQLYCNGELLGGSDIVLEMQKSGELRQVLSEKGKQSLEDRLKTLINSEKVMLFMKGSPDEPKCGYSSKVVKALRDENVNFGSFDILSDEEVRQGIKSFSNWPTFPQLYYKGELVGGCDIIMELSNSGDLGATLSE >A02p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27498861:27500062:-1 gene:A02p043660.1_BraROA transcript:A02p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKKLTGIFGFGHNDGGHGAAARDEDGEGDNTGSVSEDGDKRREGNQSRFRETGLPRRGFGVPVQVAVERSNPGPILQPCAASDGGVQGLRWYSMRLRIDEDGDVADEFLEDDNCKTLPRKCKTKAAKVRGFVISSDGKLQPLMH >A09p013430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6882905:6884650:-1 gene:A09p013430.1_BraROA transcript:A09p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDNPPPSFICLVVNEKAVQWFPKKTIGETTYLIISDVQYEGTLNSHHLRSVLGCNNKEGERSDHYCWACRSYKTGTTYFYYCNECYNYYHKECVESPPIFISSYHPKYPLQLLKYDRGIRRECLCCGLEIYGLVYHSSISDLFMDPLCASKQEFSTIHNPERHEHTLHYFPRKAPITCDVCASDDTKNCFYSCLQCDFIVHGRCINLPHVIRISCHSHRLSFTSSLPFGNSWFCGVCSQKMDENYGSYSCTKSCAYAVHTNCATLLDVWAGKELKDQPELEDDNIKPSFEEMGDGIIRHFRHAHHCMKFIEDIEGVFDAKQQYCRACILPLYDGNVYTCMECDFFLHGTCANLPRIKRIEHRHRLILVKGGIYFCCRFCNRYSCGFGYTCGEGCLNYYLDVRCASLSQLVDHPSHPHPFFLFYQRKSYQKCSACERTESISLNCIERDFVLCCRCASLPYKVKYEHDEHMLTLSYEKIASGPCWCQICEETTDPKKGYYTCSECRVTFHTECVLGNDIYLKEGNELYTYYGRKVEIIPNNRLSRPICHGCRKRCQYNIVFKNYLGNIFCSSMEVIYSR >A03p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5277152:5281829:-1 gene:A03p013420.1_BraROA transcript:A03p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAMRDLDEALQGCGQKSGIEIWRIENFKPVAVPNESHGKFFSGDSYIVLKTTASKSGSLHHDIHYWLGKDSSQDEHGSVAVMTVELDSALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFNHVKPEEHQTRLFICKGKHVVRVKEVTFARSTLNHDDVFILDTESKIFQFSGSNSSIQERAKALEVVQYIKDTYHDGKCEIAAVDDGRMMADAEAGEFWELFGGFAPLPKKSAVSDDQTAESDGIKLFSVEKGQTEAVEAETLEKELLDTNNCYILDCGLEVFVWKGRNTSIDQRKSASEAAEGFFRSSERPKSNLISVMEGFETVMFRSKFDSWPTTSTVAEPRQGRGKVAALLQKQGVNVEGLVKTSSPSSKDEPKPYIDGTGNLQVWRINNEEKILLEGAEQSKFYSGDCYILQYSYPGDDREEYLVGTWLGKQSVEEDRATAISMASKMVESMKFMPAQARIYEGKEPIQFFVIMQSFITFKGGLSDAFKKYIAENEVPDNTYNAEGVALFRVQGSGPEDMQAIQIDAVSTGLNSSHCYILHGDSTVFTWCGNLTTSGDQELMERMLDLIKPDEHTKAQKEGIESEQFWELLGGKSEYPSQKIKKDGESDPHLFSCTFSNGKTICQHMFPLCFLNPRIYLNCYLHFLMGFVLVDVAILPETLKVTEIFNFTQDDLMTEDIFILDCHTEVYVWVGQQVDPKKKPQVLDIGEKFIKHDFLLENLASETPIYIVTEGNEPPFFTRFFTWDSTKSAMHGDSFQRKLAVLTNKKPLLNKPKRRVPVYSGRSSVPDKPQPRSRSVTSTTDRSRVRGRSPAFNALAANFGNVSTRNLSTPPPMVGPMVRKLYPKSHAPDLTKLASKSAAIAARTAIFEKPKPTPPQETPTSPGSSEATNGAETAEDESMSNIKEEEAEEESNLPTFPYERLTTESEDPVPDIDLTRREAYLTAADFKEKFEMTKSEFYKLPKWKQNKLKTAVQLF >A02p053350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32459598:32461727:-1 gene:A02p053350.1_BraROA transcript:A02p053350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNEKTHVRRNSHKVAVDAEQSRRRRESNLVEIRKSRREEILKKKRLHVTKQAPQGSPLPSSDSIDKMVRNLIGLVAGVLSDDPLLQHESLNQFRLILSTSKNPPIGMVIESGVVRRFVEILNKDDSPWLQLEAAWILTNIASGTCKHTEVVIDHGAVPIFIKLLASPHEDVREQVLCTYVNMNPHHPYMCSHVSLQAVWALGNVAGDSPQCRDYVLECGAMMPLLAQLNKHSTLSMIANATWTLSNFCRGKPKPAFEQVSPALPVLERLVRSNDEEVLADACWSLSFMSDGGEEEIQSVIEAGVVPRVVELLLHPCPSVVSPALRTIGNIVSGNTQQTQCVINCGALPILSKLLTQYYKKSIKRDVCWTIANITAGIKEHIQLVIDATMIPSLVHLAQYAEFDIKKEALCAISNATRGGSHEQIKYLVEQSCIKPLCDILVCQDPSVISVSLDGLENILKVGEVEKSTGGQRNYSQLIEDAEGVEKIEKLQSNDNNEIYEKAVKILETYWVEEEDEETQQEQDAGDDGSHLGIQFGRKRVQVPPGGFNFG >A01p027000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19176065:19176505:1 gene:A01p027000.1_BraROA transcript:A01p027000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVTSSRRKNRKAHFTASSSERRVIMSSPLSTDLRQKYNVRSMPIRKDDEVQIVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGTTVNVGVQPSKVVITKLRLDKDRKSLLERKAKGRAAADKDKGTKFTAEDVMQNVD >A10p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14522099:14524307:-1 gene:A10p021720.1_BraROA transcript:A10p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTYNQGGGGGGAPIPSYGGDGYGGGGGYGGRSASGGGGYGGRGGYGGGGGRGNQGGGGGYGDRGGRGGGGGGGGGRGGGGRDGGGRDGDWRCPNPSCGNVNFARRVECNKCGAPAPSGTGDRGGGRGASDRGGGGGRDSGRDSGRSYESSRYDGGSRSGGGGGSYGSDSQHRGNGSYGQGPPLPLAAIPSYDGSGSYPPPPMGYGMEAVPPPSSYAGGPPSYGGPTGGYGGDAPSTGGRGGRGGGGYDGGSAPRRQEPSYEDAPAEKVKQCDENCDETCDNARIYINNLPPDVTTDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDDKGKNKGDACLAYEDPSAAHSAGGFFNNYEMRGNKISVTMAEKSAPKAPAFDQRGGGRGGGGGGYGGGGGDRRRDNYGSGPDRNHHGGNRSRPY >A04g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2061419:2062361:-1 gene:A04g500730.1_BraROA transcript:A04g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKELRIYNAL >A06g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5168029:5175453:-1 gene:A06g501380.1_BraROA transcript:A06g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVWSDEPDDPQLVRLVEDIHAGRYVKGFWEVQRDEQGKGNEKKKKKKTKGVSSEAEPSTKKQKKEAAETRKGSSEEEAVLDKATLTNLVSALQNISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVELQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNAELEKDTVVRRILGDDFNETDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVIVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELQKKKKEKEAELPKKKKEEEAELQRTEECVVTNDEKMSSSSSVSGNTYFHRRHVERGTPKQCWCGEPAELCTSASRANPGRLYYCCRKGYIKMDLQRGIPRTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKIIECLRMKS >A03p013510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5320855:5322607:1 gene:A03p013510.1_BraROA transcript:A03p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPRMMISSWLGKDAKKILKRKDSDAGEKGKALEDLRASLFNRFRSPETPKRQQQQQTHRVCGPTVALTFNFFVAISIIFMNKWVLKNIGFEFPVFLTFIHYVVAFILMALLKSFSLLPAPPPSSKSSSLSLYTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVFAEFLWYRKRVSFMKVVALTVVSVGVAVATVTDLQFSLFGACVALAWIIPSATNKILWSNMQQRENWTALALMWKTTPITLLFLVSMIPFLDPPGALSFDWSLANTTAIFISAFLGFFLQWSGALALGATSAITHVVLGQFKTCVLLLGNFYIFGSNSGVVSVCGAVVAIIGTSLYTYLNTRNQSLKASSSSSSLLDKKSRFSELKDDEKSLLEPYGSDAV >A06g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28492133:28492545:-1 gene:A06g509730.1_BraROA transcript:A06g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQQMIEQGVLDCLLEEGETDDALMGILRVSNLLSLSLVESSIRVHKITESDHFVIAANDGLFNFFSNKELKLLPPLASFLF >SC186g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:46189:46588:-1 gene:SC186g500030.1_BraROA transcript:SC186g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A03p050890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20762840:20764207:1 gene:A03p050890.1_BraROA transcript:A03p050890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSVSKSELHDLLSKTSLNGIPLLVLGNKIDKPGALSKEALTHEMELQSLTDREVCCFMISCKNSTNIDQVIDWLVKHSKSKN >A04g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6763830:6768714:-1 gene:A04g503060.1_BraROA transcript:A04g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKIYSIDMIAFKQNAKNDELRNGVTKDAFIRIKQEVTRSTETSSFGPCRERPSTRASYYGVEPTSLAAEPTKLSRACQARACYLMSLVEGCNPSPTISPPPQFTCERLIGLSEFVGQVRNRLSREEKGKDIAASPSPARDADGGSLDEFDIIHRDAMRDTENMSLSQRLLVADAHRQFREEAEGNAEDEGGEASGASGAEAPSQVVRPRRRAQRRALRHPNTIAYPEKFFETAQLIATHSHLRWPDLSREWIRRQQARIARESRLPCVLGPRKSRLSLFTRKQQKLLNKAREMEGIPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVDSEPPAQSSPKEKASKAKKRSVPLEEAPSSAAASEVAAKKKKKKKDSKKRSREEASVEVLETSAAGGDDDAGRHDPTDSTRGSSEERPKKKSKKTTAEDDGTPAPEVPSRSGEQATETGDGSRDESPLKRLRAENKKDSDKAAKEKEILRVKFAELEDKLKTDRLEKKDALREKARLERLVASLEKEKTELEGERDAVVGTLVKERERVSNSRIQEVTRERIRVQTAMADKSTRCFGRVKDYLDRLNALEKAKSLYGQASGTKKCLEVIRDSGTEIPQEMIDIFSEQEKLHEAEVAKLRLDPFSEADFALSPLNLPSRFVSEELMGTLDLYGSNVGLIGHESASQLITSREAADDPIDEPMIDITSALSKRVNVPEGTAVEECPDKNDPEVGGNAIQEETGDVAAEDPVLVSSSEEREDDEGGDQEENRSSPALIEEAAPNPSASDPPAQIEGLNAHVAEETVESLDPAVSNKDDQDTVA >A07g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4217951:4219085:1 gene:A07g502030.1_BraROA transcript:A07g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVIVLVLGNIGGDGFLSEEEIRACNNEMLETDDMQQLLRLFSISGGGSGGPQTPMNMGEDGFGFHSFVAENFLDFQWKTTHEVTMLDQQMLLWKRILQRLSFVCCSSWVRY >A08p024930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16166599:16170433:-1 gene:A08p024930.1_BraROA transcript:A08p024930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMVERATSEMLIGPDWAMNLEICDMLNSDPVQAKDVVKGVKKRIGSRNPKTQLLALTLLETIVKNCGDMVHMHVAEKGVIHELVRIAKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPPNLRNAGPANDLPEPSAEPDFPTLSLSEIQNAKGIMDVLAEMLSALEPGNKEDLKQEVMVDLVEQCRTYKQRVVHLINSTSDESLLCQGLALNDDLQRVLTTYEAIASGKPGTSVQIEKPKSETGKSLVDVDGPLIDTGDSSNLANGATSSSGNGVLNQLALPAPPVANGSANSKIDLLSGDDLALVPVGPPQPASPIASDQNALALIDMFSDDTSSPSIATAPTGSSAPQSSPLTPQLHQQPTSQAGLQQSNGFSPQAGYSQFEQQPSYGQGASSPWNAQPAHQMQQPLQPSNGAQDSMAFPPPPWEAQHQDFSPTADSGSPFSPQMHQTQVAFTHAQQYPQMPQTGQPVNNNSPYAQIPQTGQVVNNNNQYPQMPQAGSGIYMQQPMPNQVLGQGYPSQQQQQQQQMMMAQYYAQQQQQQQAYGNQMGGYGYGYNQQQQQGGRPYLDQQMYGLSMRDQTSHHVSSSSSATSYLPPTKPKNKPEDKLFGDLVDISKFKPTTKPTSGRAGTM >A02g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24076399:24081041:-1 gene:A02g508990.1_BraROA transcript:A02g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVQDGSTKWVLVLGHGVAKLPECELRLSDRFAKGRKGEKPPMGGYGAASYVSWEHTQLVRRGGGRLDSTLKGMNSLEDGCNIETGFMEVSWKESDGTLVMRQEINTTGFEDESFVSGGDLSCPQSWIGLSGRTAVQGNAPVRSHDLRTSVSWS >A07p029780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16671092:16680364:1 gene:A07p029780.1_BraROA transcript:A07p029780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-functional pseudokinase ZED1 [Source:Projected from Arabidopsis thaliana (AT3G57750) UniProtKB/Swiss-Prot;Acc:Q8LGB6] MEMKKIACGVLFVAASMTAVMAIEEAGAPAPGPASAASVALPALGSLVGASLDGSLFSLSQPSQLQLFINLMGKKTKKIRSDLKNGGLLLAELIASFNGKTNPIRCFSSDQILKATNNFSESLIISSWGYFTWYKGLIEDRPVSIKKWSNQNLSTFTEAYRDISVSSQMSNHKNSLKLLGCCLDFDLPSLVCEFTAHGPLNRDGGLLSSGGAPLPWRARVKIAKEIASSVAYLHTAFPETIIHRNINPTNIFVDEDWTAKLCDFWFCVAIPEGELYVEDDVKGVIGFVDPDYYWTMKVTEKVDVYSFGVVMLVLLSGRAAVFNGPGEAPMSLNDHVSEVMGRGGFEEVVDKEVWSVSGGDDELVVRRLQVEAFLRLALRCVRYKKEDPVSCMVEVAKELKLIEKLSCLRMD >A07p034120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18564189:18567186:-1 gene:A07p034120.1_BraROA transcript:A07p034120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTSYYRSPFGDTTFTKVFVGGLAWETPAAEMRRYFDQFGEILEAVIITDKNTGKSKGYGFVTFQEADSATRAVAAPNPVIDGRKANCNIASFGRPRPSPPRGRGQTGSPSPYQSGGPSAYTGMAAPLPPASAAHQLMYPSYGYTYNPDQFRYHQHQRFTSPPLLVYPSSSPFSSPLQGLLSSSEESEAPQQVSAKVEATTAPESTSSKEPTSS >A02p003130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1296267:1298332:-1 gene:A02p003130.1_BraROA transcript:A02p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHCSITKPAFSVSISTDKQRRSTSFFNFGIRCESGDVSSPSNRTNAVSLSSEKEDSSLKKNLMESGVRKSEPYPGGMPKMGPFTGSDPNVKKPEWLRQKAPQGERFQEMKESLTRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPAPPDPMEPENTAKAITSWGVDYIVITSVDRDDLPDGGSGHFALTVKAMKRLKPNVMIECLTSDFRGDLEAVDSLVHSGLDVFAHNIETVKRLQRLVRDPRAGYEQSMSVLKHAKISKPGMITKTSIMLGLGETDEELKVAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKTYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESYAKSMS >A07p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17749127:17750984:1 gene:A07p032280.1_BraROA transcript:A07p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTGRKEKLPASKGSEIEVSLDWHHHRLGEVWYRAILQENLAAASSKRKKLSVRHLNPLLNEDHSPPVITTAVHRLLRPVPPPLEVGFEEGDMIDAAHKDGWCTGTTVEVKKKVEPFGIIWAPAITIKENETGTLLVKYKGFGREGRKTSVPYSKIRPSPPPFGSRAFGLMENVDALLESGWCPSVVTMVLSWNRYAVLLGRNKPCEVFNHSQLRPSVEWSDGAWQTQEEKANEESNNRESVNERQRGQQPQESASQTPDPMPNVVETPKAKETIMVLPFVKKSPCWQVLESVEILKAVPQHPHFSPLLKCKEALREGEAIRAMINFAKLLGIVGDLQVDDSLNDINSINEFFLMLEKYGFDVTAPRAKIEKLLSIKESRTWALEELQVAERVMAEKDNKRRKLEDQIVELQRQEALLKEEKVREDKEIARIQSEAVVLDQKVQNAVQEFLLTATAPW >A06g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21730673:21731766:-1 gene:A06g507780.1_BraROA transcript:A06g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCPYRRTEYENTIQGCVHPSLIEKFGDGLHEGAIIEICKFNLQDYNKNYKISYHKFQIRLTERTTIACVEQKLPQTPPEKFQFRNYEEFAQLKDSTYDLYDVIGCIKNIEKTDVRSKTTPVLRRQHYGLNKQNYLKINIAL >A03g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20339978:20340377:-1 gene:A03g505760.1_BraROA transcript:A03g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPQVCSFPIYDGHGGCLAAEFAKKHLHLNVFQLGYRQNFYMIGKNKRTEPFEGLSS >A10g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12614192:12615382:-1 gene:A10g505090.1_BraROA transcript:A10g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRIINIPEDDITDTMLPIPEMMFAAGEEPVGVRVLTYQFSLCEFTIVTVLNSGEFPKRAKAKSKMKMNINEKPYWPELFGKAEDLRVSTTLKMLRRKTITENKCDEDEDLTHKKTKKQTLSSGHVREVDKKTDVLVRSIIPEDPDQPIVAANLVWADKVVVVKVDNLLKLITQRHLFIVEMFKRWRYQTGC >SC208g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:121360:123709:1 gene:SC208g500020.1_BraROA transcript:SC208g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYHLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDLWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSSDMRGMDTKRADECVTKKEWDELGSRSITKRIQVSMIRRRHRK >A01p043870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24639852:24641534:-1 gene:A01p043870.1_BraROA transcript:A01p043870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNETEATPENNLRSRGGGAAVGNNSKKDMIFRADKIDLKNLDIQLEKHLSRVWSRNIEKNPKPKEEWEIDLAKLEMRNVIARGAYGIVYKGIYDGQDVAVKVLDWGEDGYATAAETSALRASFRQEVAVWHKLDHPNVTKFVGASMGTTNLKIPSSAENENSLPQRACCVVVEYLPGGTLKQFLFRNRRRKLAFKVVVQLALDLSRGLSYLHSERIVHRDVKTENMLLDYQRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDIPYPDLSFADVSSAVVRQNLRPDIPRCCPTSLSSIMKKCWEANPEKRPEMEEVVKMLEGVDTSKGGGMIPEDQRPGCFCFVSGRGP >A09g515290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45493578:45495386:-1 gene:A09g515290.1_BraROA transcript:A09g515290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYQTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDFALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDKVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A05p006030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2388431:2389276:1 gene:A05p006030.1_BraROA transcript:A05p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRHIVDFSSSIGVCASCLRERLFSLAASTAASERISPPPRPLVFPRSVSPYVSARKSDAGRASSQNRFFPTPQVTSTAGGGGGGGSSEKVFDSGRSYKKKQSRLSRFSSLFRSRSDEFQDPFVASTSSTSRSWLSKVLSVRSKKPSPNDTCYIEDLIASESSHRPRQRYCRGMSPATVDYDDIEETPERVKRTPAAAAAMGTPGRRKTAMIGTGMGFCLSPLVRAKPSNWKGKLPPEFGYATTGEMKSPARPHISTAASFCANRSKKLVDLGRVDPRR >A09p053500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46426128:46427379:-1 gene:A09p053500.1_BraROA transcript:A09p053500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVELELERRSKFLNSLIQQKKKAKEQHDLKDEFNVRVRASDMPLVMQNRAFGLSRELLNATPGKADNKRLALSLKKDFDSAYGPAWHCIVGTSFGSYVTHSIGGFIYFQIDKVYVLLFKTAVEPLTNE >A06p056160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29271301:29272989:1 gene:A06p056160.1_BraROA transcript:A06p056160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFETFEVGTVPFNSDGWGPPDASDTSSTSVAAANLLPNVPLASFSRSEKLGRVADWTRSLSNPSARPPTGSKSDPSAIFDFSAFAIDEGFGLANPDEDAAFRLVDGKPPPRPKFGPKWRFNQYHNRNQLPQRRDEEVEAKKREAEKDRARRDRHYNMNRNNIHQQRREAAAFKSSVDIQPEWNMLEQIPFSSFSKLSFTVQEPEDLLLCGGLECYDRTFDRITPKAERRLERFKNRSFKVTTSDDLVIRRLAKEDKATVFATDAILAALMCAPRSVYSWDLVIQRVGNKLFFDKRDGSPLDLLSVHETSQEPLPEGKDDINSAHSLGVEAAFINQNFAQQVLVKNGKREAFDEPIPNANEGEENASVAYRYRRWKLDDSMYLVARCELQSTVELNNQKSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLANELKNNGNKLAKWTAQALLANADMMKIGFVSRVHPRDHFNHVILSVLGYKPKDFAGQINLNTNNMWGIVKSIVDLCMKLSEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEDEQVQPPEENNTEG >A10p030270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18324013:18327044:1 gene:A10p030270.1_BraROA transcript:A10p030270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-gated ion channel 2 [Source:Projected from Arabidopsis thaliana (AT5G15410) UniProtKB/Swiss-Prot;Acc:O65718] MRITHSYLPWPIQSPLSTSLSNLHLQIFCLSHGGSSISIMPSHTNFLFRWIGLFSQKLRRETAGISENNGGESSSSSDDTPVLSSGECYACTQVGVPAFHSTSCDQANAPEWRASAGSSLVPIQEGSAPTNPVRPRLRRLKGPFGEVLDPRSKRVQRWNRALLLARGMALAVDPLFFYALSIGRTTGPACLYMDGAFAAVVTVVRTCLDALHLWHVWLQFRLAYVSRESLVVGCGKLVWDPRAIASHYARSLTGFWFDVIVILPVPQAVFWLVVPKLIREEKVKLIMTILLLIFLFQFLPKIYHCICLMRRMQKVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVASCIRQQCMRTANCNLSLSCQEEVCYQFVSPTSTIGFPCLSGNLTSVVKKPMCLDSDGPFRYGIYGWALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVVFSIVMVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQIRCRDMEWWMKRRQLPSRLRQRVRRFERQRWTALGGEDELELIQDLPPGLRRDIKRYLCVDLINNVPLFRGMDDLILDNICDRAKPRVYSKDEKIIREGDPVQRMIFIMRGRVKRNQSLSKGVVATSTLEPGGYLGDELLSWCLRRPFIDRLPPSSATFVCLDNIEAFSLGSEDLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQMSWRRYRKRTCGGVGGSMSPVSEHSVEGNSERRLLQYAAMFMSIRPHDHLE >A02p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2506518:2510459:-1 gene:A02p005850.1_BraROA transcript:A02p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDKEPDDHHDHDLKDKSSGEEKCDVVSDAQPPDDTHHEDGINKDNVDETDHDQRDKVDSPLVQEVPEITQTLESLSEELDQLLSTLSLHQEQYKDSAKEKEEEDDDYFQIPQFVGKFLDLFEDKLSKHDSGEPKTTWYQDPEEVSSLLDAVDRVSNLMRLLHNTKSCVDHHEPLINHAGSIQQRAMAFLEDEFRILLEESVIKEAAVVTDDNSSQRKSSADQQDHHNDAVVSQDQDQMVVPEHGDQEIEYPGYSEEMVMLLKNIAEKMKAGEYACECREVYLVSRRNILMSTLKQDCEFEKVSIDEVLKMSWDALEREIPIWNKTFKNCSSLFFPGEFKLAEKIFPGDEGSLFCIVTHSLAIQLLGFYEAVAMTKRSTEKLFKILDIYETLRDSFPAMEKLFPEELCSELRNEVNSARSQLGETAINIFLDLENSIKSDSSKTPVPGGAVHPLTRYTMNYLKYSCEYKDTLEQVFKSHSTMEREEEEEEKKKEENSPFASQLMRIMDFLDGNMEAKSKLYKDIPLSCIFMMNNGRYIVQKIKGSAEIHEVMGDTWCRRRSSELRNYHKNYQRETWGKLLGFLGHEGLMHNGKIVKPNLKERFKSFNATFDEIHKTQTTWVVNDEQLQSELRVSITAVMIPAYRAFMARFGQYLDPGRQTEKKHFLLFNGHGQEKNIVRIHVRHTLAGSFSLRIGLSVIGTKLIAFTAEEVYPKRSFSMADQRRKRITSATSQKKKKFGSGDHHISLEWDNNRSKVVSKKEQVGLSLRHLREFVDSVPPPRRSLLAQVCTVPHETFQLDNLSLVRFGGIAYPLALVVQQLLGGENFHFGSPFLDWLVTVCNSDRTQRRPKSCAVEKEKSQRPLLPQENAVNVGVKARKRDKLPKLSVQQSDGAKYGKRYSHRNKDVINSLERELKDKLNAENSLQKHDVELDLRKNDQESLNPNQRGDLAPDWPGKTG >A02p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5131417:5131804:1 gene:A02p011750.1_BraROA transcript:A02p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWIKYLNQIVSMFTFGVWTNFSTKPKELSRLKNRFFDFSIIFIPHSENVSSNSLAKIAISFHIYLLY >A05p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26515190:26516596:-1 gene:A05p044060.1_BraROA transcript:A05p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIFHKMKESVKEKVGLGASDSADSGKGKSKMSKQITHGFHLVKGKAFHEMEDYVVAKFKEVDDNELGLFAIFDGHLSHEIPDYLCSHLFDNILNEPNFWQEPEKAIKKAYYITDTTILDKASDLGKGGSTAVTAILINCQKLVVANVGDSRAVLSKGGVAKPLSVDHEPNMEKDEIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKMHLSSEPYVTVEIIDDDPEFLILASDGLWKVMSNQEAVDSIKGIKDAKSAAKHLSEEAVARKSSDDISVVVVKFH >A05p053010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30420945:30421413:1 gene:A05p053010.1_BraROA transcript:A05p053010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRDDKEERGIIIVERGKKQKKKLKGSFFHFGKYSLASSGIPSSGIRLINSQIFEYLKVL >A09p067690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53300342:53302284:-1 gene:A09p067690.1_BraROA transcript:A09p067690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRSEDPEIDDDFSEIYKEYTGPVTTTTTNVQEKPKLPEDKCGEEEEQQELPDPNSVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQEFLERVPARDKNVRALFTDKVVEKIESETGCKIKIDDKFIIVSGKDRLILRKGVDAVHKVREEGEAKTSSASHRSRSRSPRRTSVGPPPPPRAARNPEPQRQQHMPLSHGSSSFSERSGRQDKFVDNRVREENRVRENQRNASRGSPQAKAYGSDRARSRSTHSKSPGRPRNSGWDKPYEKQKYEVSGYRSERWEQERMGGASGSRDIQMSHQFERPAFPQSLEELELEYTRDVMELAKKRDKEEDEENNKHRETMRELRESYMKKLAGLRGMNAKHWEEFLQVDAQRRQEQQARQQQIAGQSYGSSNYRQFPYAEFDDGYSSNPPPPPYAGNNVPMDSEGRYPPNHVKNYPSRHQDNSNYGGFQRQRREDYGKNFNRY >A03p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16995367:17003492:1 gene:A03p040750.1_BraROA transcript:A03p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYAALLRRRSFPHATIVTLTQLRSFSPTIFFSKTNGESFYRHSLRAKLSSVLNPNSPRRKQSSSSRFGAFAYTWAGELDGSLKRHSRDSLVYLTTCMIGHLVEAHLKNGSVYSGIFHAADVKKDFGIVLKMASLVKDGTLPGHKSRSQFVRKPPYKTFIIPADELVQVVAKDLSVVSDGKLNAVQSDKSGELLTDSSISKSCRVVQRSERKPRVLDGEAPEGLDNVFDGSCKSEEQVLKATPLMVSSQLKVQESTSLVQTVEEKNSPGKESAEASPSSMSSSQLTYTPEEGKEEGIESFFKVATVASREAAIACLTHCKWNQDDAISYFFGDYTKAIQDSTSPVKESAEALSSSMSSILLTNSPEEQLSRLKEEIVKSFCDVVIVASRKDAEACLSHCKWNQEDAIRYFFGDYTEANPEIAWSQAGESQPFQNETNTDQSCSIPGDHAGHLPSEQRSKDFPPQDSSTSESQLGERRNNNNPEGAHSNRTPEKSVSGSGHGDIKEGGGGTSASKTVAENERQVSQISGETKSESAFGQSDSRRFSESGPATPTSTRPGLSPSSPISSLPSPEKSTLNPNAKFKATMNVEDGSLKRRSRDSLVYLTTCMIGHLVEAYLKNGSVYSGIFHAADVEKDFGIVLKMASLVKDGTLRGHKSCSEFVRKPPYKTFIITGDELVQVVAKDLSVFSDGKLKAVQSEKSVELLTDFSISKSCRVDQGRELKPWLPDGQVPEGLDNVFDKPWKRGGWDQFKVNQERFGVRSTFNEEMYTTPLDRGLWTRELEEKAREIAREIEGENTGDLHIAEERGLQVNEKFDTDEEAKYSAVRRVDGFDDSGFDEEDDKLLDTCNDQTFGGSSTSIVQKPPSSSGQKPTSSSGKGYGGESCSISDDHAGHLPSEQRSKYFPARGSSISESQLGERRNNNNPEVARSNRTPDKSVSGHGDIEEGANLGGGGTSSASMTVAEKERQVNQVSGERKSESSFGQSASRRGSESGPAPSASTRPGLSSPSSSISSLPSSEKSILNPNAKEFKPSQSPAPVRPQSSIAGGSFYYAAPPPPVQQMPVMPVMPAGYGVQPQYPGQQQLLRYPGHEYPQTYYPPNAPPQYQQWQQLMMQGQELMMQGQQPRPPDMIYMPLPPTYQPGNPHNQG >A03p005630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2359716:2362189:-1 gene:A03p005630.1_BraROA transcript:A03p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSSSFFSGSASPSTTPIKINQDNFVFEKMSAVSKSKSRDKKVVNDSQKTPSQILGSIGATTGGAYNPLLGTFQTVETLNGSSSLHNNGRFRSIDDSDSTGADCDSVSNNGSWSGDSEDHKEKVLPTTATAKQEAIPGAADNDKREKMRLKNERKHQRQKEKRALELHERCCQFLMSRKLEVLVQQVQVIVMGVSHERATYALMLNEGKLEESVNWLLDDSGASVADTKLDPAPGNLKLDISQELGRILELEREYKCAKQDVEKAVVTAEGDIEKAEESLRRQKQEESTASVKAEDVSDNASVSRAPSVQAIQNRIAQLQPNSGMYTAGGEESRKSLGYLRGSSYVNGESGNQRVDRIHTELQWLKMQQNAAMEEKKRMLSQQTQLPRPREETHYVSAQGSQFKRLQQREPVMAMQQQQQRSQSANTNTLPVSTMNSPFTVSAAAGGGSDWYSANRSEAAQSNGYLPTRTLPPGDLNSNLMYQQLQYQQYQGQVSNGHRTAGGSASVQHAVAPAASLGLFSGYGSSSSAVDWNTDGSVGHSDYNNIDWSLDRGLASPKPNQQQYMATASPYEANMNGRTRSMGMAMGVQEAALVGNGREWTSPFEGKDLFSLSRQYVPPSL >A08p028010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17619201:17620787:1 gene:A08p028010.1_BraROA transcript:A08p028010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSERRWIFPLAMASLMFIFLTAVSFNMGLLSSVRSINSLIFSSSILPTTNETTVTFAESKIKQHPPPPVQSSPPRFGYLVSGSRGDLESLWRVLRALYHPRNQYVVHLDLESPAEERLELAKRVREDPVFSDVGNVYMITKANLVTYRGPTMVANTLHACAILLKLSKDWDWFINLSASDYPLVTQDDLIHTFAGLDRNLNFIDHSSKLGWKEDKRAKPLIIDPGLYSTKKSDVYWVTPRRTMPTAFKLFTGSAWMVLSRSFIEYCIWGWDNLPRTLLMYYTNFLSTPEGYFHTVICNAPEYSNTVVNHDLHYISWDKPPKQHPRTLNINDTKRMIASGAAFARKFRHNDLALDKIDIELLGRGNGNFTPGGWCAGEPKCSRVGDPSRIKPGPGANRLGALVSRLIWSSKLTQRKCR >A09p057980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:49015520:49016146:1 gene:A09p057980.1_BraROA transcript:A09p057980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGGGKEAAVRRILTAVIAFIIIVLITIFLVWAILRPTKPRFVLQDATVFAFNLSQPHLLTTNFQITFASRNPNSKIGIYYDRLHVYATYRNQQITLRTAIPPTYQGHKEDNIWSPFVYGTAVPIAPYNSVALGEEQGRGFVGLMIRADGRVRWKVGTLITGKYHIHVRCPAYINLGNKAAGVIVGDNAVKYTLVTKCTVNV >A07p000570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:629992:631789:-1 gene:A07p000570.1_BraROA transcript:A07p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNHEVVDVSSDEEEEVDTRVDEDFDWLNDLSSDSTDVVEVLSEMKGSVDSLYRKPKALEDDDDDCVILDGDPDKTTKTDTDKLAKDDDDDDDEVLVVGQKGEIACRDFPHPRHSCAKYSFNSTSHEKYCDMCHCYVCDIPAPCAYWCIAVSSIEHCHANDKEKIWRNQREFFRTGTMPTEPSPKPLPASPTVTRQIPPSPIPNIIRLSQNPLPGSMIGIRPCSSSSRVAANLSNVSARQRSPHNHGLQSLIGGRSNIIRKDRSSYSGANLRSRMASSGTRYSGNSIRVGLHTNAKVSQSTHHIPSVVAPPTITAEMYAQQQQRSRHLNVPDYRAAVTGSQSNLYTQHSVQSKSVGQFQANAGLFAPPETPLTTGGLQAQTVQQQPPGSNDNNVLQTKLSEVESWLMDSSNQVGLVSPLPEPVGEDNVSPLTFDFENFLND >A03p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3923699:3928692:-1 gene:A03p009850.1_BraROA transcript:A03p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNARMTSDLSRAGGPVARDVEQPIFQRYPRPEKEYQSFSLIYNERSLDVICKDKDEAEVWFSGLKALISRCHQRRWRTESRSDGTPSEATSPRTYTRRSSPLHSPFSSNESFLKDGSNHHRLHSPYESPPKNGIDKAFSDMSLYALPPKGFFPSDPAAISVHSLSSGASDSVHGHMKGMSMDAFRVSLSSAISSSSHGSGHDDGDALGDVFIWGEGIGEGVLGGGSHRVGSSFEIKMDSLVPKALESSIVLDVQNIACGGQHAVLVTKQGETFSWGEESEGRLGHGVDSNVQHPKLIDALGTTNIELVACGEYHSCAVSLSGDLYTWGKGDFGILGHGNEVSHWIPKRVNFLMEGIHVSSIACGPYHTAVVTSAGQLFTFGDGTFGVLGHGDKKSVFIPREVDSLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEPKLVPTCVAALVEPNFCQVACGHSLTVALTTSGNVYTMGSPVYGQLGNPHADGKVPARVEGKLHKSFVEEIACGAYHVAVLTAKTEVYTWGKGSNGRLGHGDVDDRNSPTLVESLRDKQVKSIACGSNFTAAVCLHKWASGMDQSMCSGCRQPFNLRRKRHNCYNCGLVFCHSCSSKKSLKACMAPNQNKPFRVCDKCFNKLKKAMEADGSSHSSLSRRESVNQGSDAIDRDDKLDSRSDGQLTRFSLLEPVRQVDNRSKKNKKYEFNSSRVSPVPGSQRGSLNITKSFNPTFGVSKKFFSASVPGSRIASRATSPISRRPSPPRSTTPTPTLSGLITPRIVVDDTKRTNDNVSQEVLMLRSQVENLTRKAQLQEVELERTTKQLKEALAIASEETARCKAAKDVIKSLTAQLKDMAERLPVGSVRTIKSPSHNSFGSSPDYVAPSSNSLNRPNSRGSDPDGPNTIPMFANGASTPVFDGASFRQQANHAAESINRNSTRAKESEARNENEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSEKQAEEWWAENRGRVYEQYNVRLVVDKSSVGVGSEDLAH >A05p045850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27366095:27367385:-1 gene:A05p045850.1_BraROA transcript:A05p045850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTVDMTSSSGKRSVAKKITTPCCTKMGMKRGPWTVEEDKILVSFIKKEGEGRWRSLPKRAGLLRCGKSCRLRWMNYLRPSVKRGGIAPDEEDLILRLHRLIGNKWSLIAGRIPGRTDNEIKNYWNTHLRKKLLSQGIDPQTHKPLDANNTHKPREEVSGGQNLLEPNSSSHTDDTTVSRGSGAGKISVSVFGDEDFGFCYDDKFSSFLNSVINDDPFDSNIPILSHPLQTHDFIDEIVGTSSSLGHDQRPEDL >A09g514430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42983091:42984590:-1 gene:A09g514430.1_BraROA transcript:A09g514430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLLAYLEAERVRDRGYSYCKPSSHALNVYLCDTVVAEFRLSAPFVVLIAPVTQNELVATHIRLLNSIATITFNAFGKLQTHAPPVQISPNYPVPTPSAPTTTATMPASVLSTVLPTSTLQFSPVPSSVSRAPMTSLGSEEQICTVNVSSSFLSKAKVSGKIYSFNKWNYQLWDENLKTLLYGGIYVYPRDAKSKMKSLGYCMTGGKRSYGHQIYSINIQLAKIHPKVTLYIGSKEEMEKLDDVFERFMSFSFVDSKIA >A09p048880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43388498:43388986:1 gene:A09p048880.1_BraROA transcript:A09p048880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF domain protein 11 [Source:Projected from Arabidopsis thaliana (AT1G28370) TAIR;Acc:AT1G28370] MAPTVKTAAVKTNVGEKKEHHYRGVRKRPWGRYAAEIRDPVKKVRVWLGSFDTAEEAARAYDKANLNFRGAKAKTNFAYPDFVHDESASQSRTVVSSTTTISDLNLETRFPFPKVQVKSGMFFIETSRSESEGSSVVMDRSPERPSRLPLDFDLNFPPMPET >A07g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26407137:26408482:-1 gene:A07g509020.1_BraROA transcript:A07g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIKQKMSRGVRESISKHSFSSRMIIIGIFLVLFSAHDLFSFSEAQQLQFGFYSETCPSAESIVGDVVKQAVTKDPGNAAVLLRLHFHDCFVEGCDGSILIKHEVNDDERFAPGNAGVGGFDVIDNAKSELERLCPGVVSCADIVALAARNAVVVAKGPFYEVPTGRRDGLTSDKSNAANLPDVEDSISILKSKFREKGLSDKDLVLLSAGAHTIGTTACFFIMSRLDAQDSTISPQFFQVLRSKCPKGGDVNVRIPLDWESQFVFDEQIFRNIRDGKGVIKSDSVLYQDNDMKNIIESYLASNESSEANFAADFAEAMVKIAADFAEAMVKMGAIGVKTGVKGEVRRICNATN >A03p051980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20272163:20274027:1 gene:A03p051980.1_BraROA transcript:A03p051980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTADYTFPQGAEEPHLRHHTVEAPEPQPFLKSLQYSVKETLFPDDPFRQFKNQNASRKVVLGLQYVFPISEWAPRYNLTLFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAVLGSSRDLAVGTTAVGSLLIGAMLSKEVNADKDPKLYLHLAFTATFFAGVLEASLGIFRLGFIVDFLSHATIVGFMGGAATVVSLQQLKGIFGLTHFTEATDIISVMRSVFSQTHQWKWESGVLGCGFLFFLLSTRYFVSIII >A03p060390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26159747:26161056:1 gene:A03p060390.1_BraROA transcript:A03p060390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKGANQYNSIIVPSVQEMVKEKMIKTVPQRYIRSDLDKTEITDDSGPRTEIPIIDLNLLCSSTSMTSEIDKLDLACKEWGFFQANSKFLDKVKSEIQDHFNLPMEEKKKLWQQPGEIEGFGQSFVVSEEQKLDWSDMFYLTMQPVLSRKPHLFPKLPLPFRDTLERYSTEVKSVAKILLGNMARALKIKPEEILEELFDDKLGQKLRMNYYPPCPEPDQVIGVTPHSDATGLTILLQVNEVEGLQIKKDGKWVTVKPLPNAFVVNIGDMLEIITNGTYKSIEHRGVVNSEKERISVAAFHNMGMGKVVGPLRSLVERQKGALFRSVTIEEFLKVLFSRELDGKAFLDVWRI >A03p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14950398:14952894:1 gene:A03p035610.1_BraROA transcript:A03p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKKDSIASDSSEQVVNPWEVAAKDGGKIDYDKLIDQFGCQRLDDSLIDRVQRLTSRQPHVFLRRGVFFAHRDFNEVLDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDVTKTFIFSDFDYVGGAFYKNMVKVAKCVTLNKAMGIFGFSGEDHIGKLSFPPVQACLSVPAVPSFPSSFPHLFPGKDKLRCLIPCAIDQDPYFRMTRDVAPRLGYSKPALIESSFFPALQASLHAFKLLSILFILFSQGENGKMSASDPNSAIYVTDTAKEIKNKINRYAFSGGQDSIEKHREIGANLEVDIPVKYLSFFLDDDTELEHIKKEYGEGRMLTGEVKKRLTEVLTEMVERHRMARAAVTDEMVDAFMAVRPLPSMFE >A02p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13314625:13314994:-1 gene:A02p027440.1_BraROA transcript:A02p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQSSGTTRMSEDQINDLVIKLQQLLPELRDSHRSDKVSAARVLQDTCNYIRNLHREVDDLSERLSELLANSDTAQAALLRSLLTQ >A04p006920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3697251:3699308:-1 gene:A04p006920.1_BraROA transcript:A04p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGTASSSHLLLSSSRHVAASPQPRILFPSLSGKRVAVGKNHHATGVRCMAVAADATAETKPAAKKKSGYELQTLTSWLLRQEMKGEIDTELTIVMSSIAMACKQIASLVQRAGISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYVVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLPENSDDTSALGSEEERCIVNVCQPGNNLLAAGYCMYSSSVIFVLTLGKGVFAFTLDPMYGEFVLTQENIEIPKAGKIYSFNEGNYQMWDENLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDAKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSKEEVEKLEKYLA >A10p028340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17627834:17632138:1 gene:A10p028340.1_BraROA transcript:A10p028340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKTIAMSLASNRSLIFPTSPRSSFVSTSRFSRLPLKRACIGVDGFRGSALTRWNPIVSNRRRLVPVRATSSEGSSGLEKVKEYEEWDSWTAKFSGGANIPFLMLQLPQIILNAQNLLAGNNTALSAVPWLGMLTGLLGNLSLLSYFAKKREKEAAIVQTLGVISTHVVLSQLTMAGAMPLPFFVATSAVVTVGIILNCLFYFGKLSTTLWRLWEDFITVGGLCVLPQVMWSTFVPLVPNSILPGTTAFVIAVAAVFMARTGKLPEEGVKFVQSLSGWTATLMFMWMPVSQMWTNFLSPDNIKGLSPITMLLSMTGNGLMLPRALFIRDLMWFTGSIWATLFYGYGNILCLYMSNCTSKLFFAASTIGLISWIGLALWRDSAAHGHNSPLRSLKELTRPIVPPQQQNPNPNPSLGQPTPNLQQQQQQQQQQVAASQQMLQQQQQQQQQKLMRPLNHIELQFAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDPTGQALSRSQQWDNNIAAKVAEFTATFEKQALAFNIITRKRAMGEFRSEERLMVEQALLQEERKALLELKAEMDREKAGREAQEAKLRMAALAQAGQSQSHAEIMARNPLRANAVGNQGGSIQLSHEMGEQGRGMNPDEMMNGWGNNSQREEKEPSEDFLNDEENENGETGEQENWREAGEFDLNSR >A04p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20600054:20600338:1 gene:A04p036210.1_BraROA transcript:A04p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEKKQLDKRAKKGETVVPGGSGGRSFEAQQHLAEVMGLMFLNILFKGGAEEGIRARKKQLGTKGYQKMGR >A10p029590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18082670:18083751:1 gene:A10p029590.1_BraROA transcript:A10p029590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGKKRLHSIIIESRISLFHVWKVLPFASPRSLPSTLHSPTEQIRKTFDSKELDKKEFIGSFKRTTEGDSQSLLFHRRGNIFDTYQIHPPTGHRRGLRGYLPSWFDFLSHPFSCLTVKPTCRTETKISNSDSGVSCGRALAQRIKAPRVTRKRVKCPPSSFMAFFVSLIDSLEKERKRMGEGEWGRCPSFMAVTPNCQL >A08p046280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25220444:25221953:1 gene:A08p046280.1_BraROA transcript:A08p046280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSHSSSFLLPAGETSAKRYALIVLNQNLPRFTPLLWEHAKLRLCADGGANRIYDELPLFFPREDALLIRNRYKPDVIKGDMDSIRPDVLHFYLSLGTKVIDESHDQDTTDLDKCILFIRDSPLNQESSKLQILATGALGGRFDHEAGNLNVLYRYPDTRITLLSDDCLIQLLPKTHRHEIHIQPSLLGPHCGLIPIGAPSAKTTTTGLQWDLTDTEMRFGGLVSTSNLVKGEKITVESDSDLLWTISIKKQDPNKTLRSG >A08p042230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23732597:23733698:-1 gene:A08p042230.1_BraROA transcript:A08p042230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKRNQAQFGDVEAGQETMNLDKFFEDVENVKDDMKGVETLYKKLQDSNEECKTVHNAKKVKELRAKMDADVGQVLKRVKIIKQKLEALEKANANSRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQSLRARMNDEYKETVERRYFTITGEQADEQTIDNLIASGESENFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLLELHQVFLDMAALVEAQGQQLNNIESHVAKASSFVRRGTDQLQDAREYQKSSRKWTCYAIILFIVVFILLLIPALPHIMLMLK >A01p051700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28983743:28985579:1 gene:A01p051700.1_BraROA transcript:A01p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNTNNGRSKEEDDKVLGTPRSKQTIKSLTSQIKDMAVKASGAYKSCKPCSGSSNRNRDSDAASPSGRFHYAYKRPGISGSSTPKILGKEMESRLKGLLSGEGTPESMSGRTESTVFMEEEEEGEVKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFDKWQAQKWWGENFEKVMELYNVQQLNQQTVPVPTAPPRSKDESSSKNSPATPPLQKECPRGKSSLAHQPTIQTQSRHHRDSSGLATTPKLSSISGTKTETSSVDMSARSSGSSREEEEEEEDDQSEEVSVSNASDMESEWVEQDEDGVYITIRALPDGSRELRRVRFSRERFGETNARLWWEENRARIQQQYL >A05p050800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29563334:29565810:1 gene:A05p050800.1_BraROA transcript:A05p050800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQLLLDSQSKLEQTLVLLQEKHLHQFLELFLCYQLGKDNESAESRRLSNILLKRSLDGVKKDDVVKQWRLLEDDVDLQSLIVESLVNMNQQSSLPHLKQSTLVTLCYVCEESFHHLKHEGPASGDSSSPQFIKKALPHLVPMLLVNLRKAEEDNDHSGDVSLISRRCLCLLSSAGGDELVSLAIPFIMENIAESRSWQDREASICAFGSIVHVSTINHLSPHVPVLLRFLLTAIKDDNEDVRETNVWTLDRILPFCYSFSAEALEVLEESVRKESNVPETASRVLSLCFMMIKQLSPAVDLPQMEGLQQVGSDVTLSASQLRAEQSRPKREWLQQRVRGSDITFTTSGQSQSQPTAQQQPQPQQPKLTVSVSERKCLVELITLVRAHLEGNGELDKEMWGICLLEEEKSQAILLKFLRATDCSVSKSFNMFKNALKWRTDEKINELCQHSVVDHAPSIFMYGLDRKGHPVVYDNIYHDFAKNIFDTRGQLDHTVAGFIKSRDMFMEMTLRKLGGDSSFLYIFNVLNEHGLTKQDLNLVTELTKEKLKLSQKRYPNFAATQLFINCSLMEYLQYQMSRIHRSPRSKSEVVMAQTSKSLKTLIKYVRPNEIPIKFGGLSVEAEDISSEDIAEAISIKAGKRKTVEIQCPNKCEILLTFRSTRNCLVYNSAFIPGTFRTVVGQTSFTQASDKLEFTDIAGLEIEGDLRRHSFKVTEPGKLVVAGDNPTSSKAMLLYSYRVNYNVN >A07p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10940735:10945047:1 gene:A07p018220.1_BraROA transcript:A07p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQSLLPASSSRLPHSPPCLVQNIPVAFFSTHIKGRNDHIKIAKLRTDASDKTWKVKMDGSKFTDGWEDFAVAHDLRVGDITVFRHEGEMVFHVTALGPSFCEIQYTSSHNINDDTHDQTNNIVTGNSSREKRKRVKKNPKPVPQASLHSSCYVGSVSASSLKHNKLYLGREFVTSNGLNKGCSEIVLKNEGGGRWTLPLKHYKSINHTYLGPGWTTFCQVNGIKAEDAFMFKLVRTGEKPVLCLCPEESSHRDKTPVECLEDSDDVNPLSSSSKSKESKEESLGDKRASSSYSEDRFLTLTLTQKAVKRYQLFLPMGFAKRNGINKPGKITLLGQDGVKQEVALKQNKRAGQMQIGKGCRVFRDAHGLKIGQPFVLELIWEDEASPTIPVAFFLKHVQGRNDHIKIAKLTTDASDKTWTVKMDALKLTHGWEDFALAHDLRVGDMIVFRHEGELVFHVTTMGPSCCEIQYTHNINHNHTNNIIESSSSDNSLFVAKVSASNLRLDRLYLPMSFARTNGLDKMSGEEIILLNGEGRSWSFKLKHDKSDMHTFIRPGWRRFCAENGMSHGHYTLKLVRNSGPPVIKLCGQVHNRPKPVPVVSSLHHSCFVGSVTSNSLKTDKLYLGKKFVIENGLDRGCSEMVLKNEWGGRWSLALRFYESAYQTYLGPGWRTFCQVNEIKVGDSFKFKLVGTGDNPVLLLCTRKTPLECPEESSGSDTSSGDDSSEPQESEEESLGDKNISQ >A01p012200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5954499:5964411:1 gene:A01p012200.1_BraROA transcript:A01p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIRAMDIAKRKVTETDYTGAKTFAVKAQNLYPQLDGLKQVLMLIDVYISAGNKINGGEPDWYGVLGVDPLADDGVVKKQYRKLALLLHPDKNKCEGAEGAFKLILEAWSLLSDKVKRIAFDQKRRVVLVKEVKPRKGRKQKQEPKEPKQATKRQKQPAKEPKQPAKKQKQPPKEPKQPKQPAKQQKQPAKQQEQPTNQQEQPPKQQEQPTNQHEQPKEQQQAPKETKQEANEQQQPPKQPKQEANGQQEPPKEPKQEANEQQQPPKQPKQEANGQQEPPKEPKQEANEQQQPPKQPKQEANEEHQPPKQQPKQEANEQQQPPMQPKQEANEQQQPPKQPKQEANEQHQPPKQPKQRPNQQKQQPSPQKQQQRQPKSKASRNGRGRSNTPTSKVSTFWTMCNICETQHEYIRVFFVNKHVKCRSCLGSFKATEIEKSQQATEERVVVEESEEAARGIANSDFKVEERARKKLKTDGSCRVKKIAMAGVLGGECSYNESGVSSHSRNANESQDEVSRWYFARKEIEENSPSRLDKIDLKKETYLRKSYCTFLQDLGMKLKVPQITIATSIIFCHRFFIRQSHARNDRRMIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPVTAQKIKQKEVYEQQKELILIGEKIVLSTLGFDFNVNHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGDKVWWQEFDVTPRILEDVSNQMLELYEQNSVPASQVSEVESSVGGGSSQQVGSRPISARPAHEHSNSDNPWGSSKATQNQSNDNGSGEAGSVITEHAETHQADQSRTKVEAPGKDKTERIDANLPDDSVPLDKSRSVVVKSGDAPVSQSPKDIKYLRDKVKAKLEAKKSQGEKTKKKDVIDEDDLIERELEDVEIAVEDAKGNEKKMGTEHGEILDGNNLVGNSEEGEMVDDVSSTMPSRKRKMESPCEKQLGEGKKQHIDNSEDADGGQKTSRGESSHNSHGDREARRHSQERSRICVKNLPKEVAEARLRDVFSKKGEITDAKLLRSREGTSRQMAFIGFRSEQDAQEAIKYFNNSYLDTFRISVETARKVGDENAPRPWSRHSLKKEEKLKENSEKNKKSNKEQEVDDPMREEFLDVMLRGKSKIWSNDTSVAPSVKKEKEALVKKADEPVVVSSGAAEKSSDTEKSKKRNVVAPTDDVDDLEYFKSRVKKNLSDSDSDSESGSDEDKADDDDDGEAGADTRISPVDGDDDEAGEVDEDAMEVGEEDDGKMAQDSKADSDDVLQTGRLFVRNLPYTATEEDLMEHFSPFGEISEVHLVLDRETKRSKGVAYILYQVPEHAARAMEVLDNDFFQGRLLHVLPSKPRVTDETSNLSKTFKQKKEEARKASEAGGNTKAWNSLFMRQDTILENTVRVYGVSKSELLDRESDDPAVRLALAETKVIAETKEALAKAGVNVAALEAFATGKGDEKNRSKHILLVKNLPFASTEKELAQMFGKFGIEKIILPPTKTMALVVFLEPADARSAIKKMAYKRYKDAPLYLEWAPADILEPKTVPENKEEKSDAGVNDVRRVNLEQEVNLDPDVTESNVLHVTNLSFKTTDESLMKHLKDLVKQGKILSVKIMKHVKNGKNVSSGYGFLEFDSVETATSVFRDLQGTSLDGHALKLRFTEHKRRDTVAKGSDKISTKLHVKNVAFETTEKELRQLFSPFGQIKGLRLPKRNIGQYAGYAFVEFMTKQEASNAKKALSSTHFYGRHLVIEWAKDDNSMEEKRRRSAAKYQENDTPKRRRTAE >A06p009370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3279641:3284482:1 gene:A06p009370.1_BraROA transcript:A06p009370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTTSSSLLRAASSRSPLLSSRSSLTQSSASASPSPPSSLLGRRSFATSSPAFRSLPRWSHCLHSRPSPFRLSSQIRAVSPGLDRLERNFSSMASEHPFKGIFTTLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKEDVEKIIDWEKTAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTQGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKMRNGVTATDLVLTVTQILRKHGVVGKFVEFYGDGMSGLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANNMFVDYNEPQQDRAYSSYLELNLDNVEPCISGPKRPHDRVPLKEMKADWNSCLDSKVGFKGFAIPKEAQEKVANFSFNGKPAEITHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACDLGLQVKPWIKTSLAPGSGVVTKYLLKSGLQEYLNQQGFNIVGYGCTTCIGNSGEINESVGAAITENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFETEPIGTGKNGKDVFLRDIWPTTEEIAEVVQSSVLPDMFRATYESITKGNPMWNELSVPENTLYSWDPKSTYIHEPPYFKDMTMEPPGPHSVNDAYCLLNFGDSITTDHISPAGNIQKDSPAAKYLMERGVDRKDFNSYGSRRGNDEIMARGTFANIRIVNKLMNGEVGPKTVHIPSGEKLSVFDAAMRYKSSGEDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIHLPTDISEIRPGQDVTVTTDNGKSFTCTVRFDTEVELAYFNHGGILPYVIRNLSKQ >A07p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15952659:15954709:-1 gene:A07p028140.1_BraROA transcript:A07p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNKNQTTISSPAKKDDRAHLFALIPETLSLILLHHQAKSQRSVCRSVQRMSQKGLIYSFVAKGTVVLAEHTPYSGNFSTIAVQCLQKLPTDSSKYTYSCDGHTFNFLVHNGFVFLVVADESTGRSVPYVFLERVKEDFKKRYGASMKNDEPHPLADDDDEDDDLFGDRFSIAYNLDREFGPILKEHMQYCMSHPEEMSKLSKLKAQITDVKGIMMDNIEKVLDRGEKIELLVDKSENLQFQADSFQRQGRQLRRKMWIQSLQMKLMVGGAILSFILIVWVVACGGFKCSS >A05g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23163542:23164239:-1 gene:A05g507920.1_BraROA transcript:A05g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAGRVSLSTRSTDGLKDRYGSGSCWTCVSLKIGQGMGWIEGLHKEQWIGSLICQTAALNSFSQLKDLGSLEPLMARWCLQALSRPTPGSIVA >A09p018590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9764884:9766237:1 gene:A09p018590.1_BraROA transcript:A09p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCPTYIEDIGNLINEEKASFSFQKIPKSCNTQADNLAKEGRMKNLNYVRVSSNVVVCDERLKILVFVMKLGSCFLFISWFASGSLFGLLISVVPDMLFLSVCPQWFPVVKQYNEAEALKLPDEGAFDPCTFWVPGPPGRQKTEAKQAGASGRKRLSILAAIF >A09p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5612362:5614164:1 gene:A09p011150.1_BraROA transcript:A09p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIGAAVSYPSSKSSSLPSKTSFVSPQRIFLNNKSTLCYREACIYRGRVKAQVSTEAPVKVAKESKKQEEGITVNKFKPKEPYTGRCLLNTRITGDDAPGETWHIVFTTEGEVPYREGQSIGIIPEGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNESGEVVKGVCSNFLCDLKPGDEAKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPENFRLDFAVSREQTNDKGEKMYIQTRMAEYAEELWELLKKDNTFVYMCGLKGMEKGIDEIMVSLAAKDGIDWLEYKKQLKKSEQWNVEVY >A01p015520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7584580:7586758:1 gene:A01p015520.1_BraROA transcript:A01p015520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFGKKGASGFSARSTAEEVTHGVDGTGLTAIVTGASSGIGVETARVLALRGVHVVMAVRNTGSGAKVKEDIVNRVPGAKLDVMELDLSSMDSVRKFASDYKSSGRPLNLLINNAGIMACPFMLSKDNIELQFATNHLGHFLLTKLLLDTMKNTSRESKREGRIVNLSSEAHRYSYPEGVRFDKINDKSSYSSIRAYGQSKLCNILHANELTKQLKEDGVNITANSLHPGAIMTNLGRYFNSYLAGAVGAVAKYVLKTVPQGAATTCYVALSPQVTGVTGEYFLDSNIAKPISLAKDSELAKKVWDFSTKLTESQSGESSTSN >A06p052800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27894344:27895861:1 gene:A06p052800.1_BraROA transcript:A06p052800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G01650) UniProtKB/Swiss-Prot;Acc:Q9ZU93] MDDVKDKLKGFMKKVNLSSSSGKFKGSGRVLGSSSSSAPVNPIQNRFNPPQSSNPTPRPTKPSHSPSAPLPEKPVTSGSEKKPDPDPVRAPPQDGFDPYGAFITSSNRSQNGYSLNMFECPICGNPFKTEEEVSVHVEETCLGETTTTTNGDEPASEVEKLVVVYLSGKPTESSVDVLLRLLKNIVKEPENGKFRKIRMSNAKIKEAIGDVAGGVELLELVGFELKEENDEVWAVMDVPGGDQTQLINEVVGMLEKRNDEVLPVEPVAAAPKKIDREIRVFFSVAENVASRIEVPDSFYSLSADEIKREADLRRKKIAESQLLIPRSYKEKQAKAARKRYKRSMIRVQFPDGVVLQGVFAPWEPTFALYEVVFVSSALKEPSLQFELLDPVLVKRRVIPHTPAPGQKPRTLEDEELVPSALIKFRPIETSSLVYTGLCNELLEISEPLT >A10p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1193996:1195804:1 gene:A10p002320.1_BraROA transcript:A10p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAAYSLLPSTVSIRKEGKFSASLKETTPTGFSFSNHLKADKISTLLTVKEQRRQSPRFSSVTRAQTVATPRANEASPEQKKTQRKGTAVITGASSGLGLATAKALAETGEWHVVMACRNFLKAEKAAKSVGMSKEDYTVMHLDLASLESVKQFVESFRRAERPLDVLVCNAAVYQPTAKEPSFTAEGFEISVGTNHLGHFLLSRLLLDDLKKSDYPSKRMIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLNGQNSSMIDGGEYDGAKAYKDSKVCNMLTMQELHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLGKSGVYWSWNNNSSSFENQLSKEASDAEKAKKLWEVSEKLVGLA >A06p056510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29427651:29429150:1 gene:A06p056510.1_BraROA transcript:A06p056510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMFRLLGSEDFSDRRRCVWVNGPVIIGAGPSGLATAACLRDEGVPFVVLERAECIASLWQKRTYDRLKLHLPKKVCQLPKMPFPEDYPEYPTKRQFIDYLESYATRFNINPQFNECVQSARYDKTSGLWRIKTSSSSATSGSEMEYICRWLVVATGENAERVVPEIDGLTTEFNGEVIHSCEYKCGEKYKGKRVLVVGCGNSGMEVSLDLANHNANPSMVVRSSVHVLPREILGKSSFEISMMLMKWLPLWLVDKLLLILAWLILGNLTTYGLKRPNMGPMELKVVTGKTPVLDIGAMEKIRSGQVDIVPGIKRFSRNHVELVDGQILDLDAVVLATGYRSNVPSWLQESDMFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAVNIAKDIGNVWREETKRVQKIRTRVGHRRCISIA >A02p011450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4964118:4964717:-1 gene:A02p011450.1_BraROA transcript:A02p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTASPNRPLHPRRSRVCYVFLVILTLIFIIAVGFLITWLVTKPKKLHYSLENASVQNFNLTDDNHMSATFKLTIQSHNPNHRISVYYNSVEIYVKFKDQTLAFDTMEPFHQPRMNVTQIDDTLVAQNVAVSKSNGKDLRDQHSLGKIELEVYVKAKVRFKVAVWKSSHRTAHIKCSHATVYLSQSNKSLNSSCEADI >SC122g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:151564:151877:-1 gene:SC122g500130.1_BraROA transcript:SC122g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLRGMDGEDELAPPNLPMHLSRFMVVCPFSLQQETACPRPELANHTQGSSHWLFASTKHLLDSL >A05p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20177167:20179360:-1 gene:A05p035640.1_BraROA transcript:A05p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLTYPLTAEERRKRVFERNTHEESEDYLTSPGPERRRIRESYLSDRATASGGAGGSTSASSNSSFSGSVADTEDDQTIARILAEDESLRREGMLGKRLSHLDSIPHIPRVNNQIPDINDATLDHDLLSVRLATYGLAELQMEGDGNCQFRALADQLFRNPDYHKHVRKHIVKQLKKQRKLYEGYVPMKYRHYTRKMKKPGEWGDHVTLQAAADRFEAKICLVTSFREQSYIEILPHNKNPLKDVPTRQYRKKHWLF >A07p031200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17281509:17282658:-1 gene:A07p031200.1_BraROA transcript:A07p031200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKERSGGGGGGSSRSEILNGAVDRKRINDALSKKLEKSSPSTSRPFASTAKPQLPDVESETDSEEGSDVSGSEGDDDTSWISWFCNLRGNDFFCEVDEDYIQDDFNLCGLSGQVPYYDYALDLILDVESSNSEMFTEEQNELVESAAEMLYGLIHVRYILTTKGMAAMTEKYKNCDFGRCPRVFCCGQSCLPVGQSDIPRSSTVKIYCPKCEDISYPRSKFQGNIDGAYFGTTFPHLFLMTYGNLKPQKPTQKYVPRIFGFKVHKP >A08p032330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19583857:19584319:-1 gene:A08p032330.1_BraROA transcript:A08p032330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIQTGPKTQKPSPSTLKQSSSSSSIKRWGRRHPFVRYGLPMISLTVFGALGLSQLLQGSKDIAKVKDDQEWEIIETRKALSRTGPLDAYQPKHTSIQDELKAMQGKVDISTYEYKKIPKP >A07p024040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13865501:13866230:-1 gene:A07p024040.1_BraROA transcript:A07p024040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAFRFVSRRFSNGKVLSEEEKAAENVFIKKMEQEKLEKIARQGPGEQAASGAKASGGGGGTSSASAESGPKVSEDKDRNYAVVAGVVAVVGAIGWYMKSGGKKQQPEVQE >A03p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:995173:999886:-1 gene:A03p002080.1_BraROA transcript:A03p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLMSREWIGIQQFPSAAQSKLLEILEKFKEEDVSSLTVLVMGKGGVGKSSTVNSVIGERAAAVSTFQSEGLRPTLVSRSRSGFTVNIIDTPGLIEGGYVNDQAVNLIKGFLLNKTIDVLLYVDRLDVYRVDDLDRQVVAAITDAFGKEIWKKSALVLSHAQFSPPDGLNYDLFVSRRSDALLKLIRGAAQLKKQDMQGSLIPVILVENSGRCHKNESDEKILPDGTSWIPNLFNTITEISFNGNKSIHVDKKLVEGPNPNERGKRLIPLIFAFQYLLVMKPLVRFIKSDVSRESKPAWEMRDSESQSEIVVESLLSSSNFRSSMPAQQPQSLRVFVATWNVGGKSPHSGLDLDSLFHVHSEFDIYVLGFQEIVPLNAGNVLVLGDNEPAAKWLSMINQSLNKSSSSSSSGGRFVPKPPAFGAGSMFFVKPSLKKISENFRTGCRRKLKICNCSSFSEEISRKYGRESCFRCPESLVNQTELFSDDDEEEEDDEDDEDEDEEGGGKVASIVSNQMMMKYGLVASKQMVGIFLTVWIRKELIQHVTHLRISCVSRGIMGCLGNKGCIAVSLQLYKTSFCFICSHLASGEREGDERRRNSDVIEILKNTSFPRICRTSFTRVPHRITKHDRVIWLGDLNYRIALSYSETKSLLDKNAWDTLLNKDQLKIERDAGRVFKGWHEGKIFFAPTYKYSYNSDAYAGDTTKEKKNKRRTPAWYSVTGFSGMVMESGSSRMFAASRDSPITGRYAPCLSSTLRFARVEPELGDNSLHQLLKDILVDTKKATPF >A09p070290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54522880:54524443:-1 gene:A09p070290.1_BraROA transcript:A09p070290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQASRSRRDMDVTIFLFSFLLTLTALVEAAGRGVSNNNNKNGGLGASFIFGDSLVDAGNNNYLPTLSRANMKPNGIDFKASGGNPTGRFTNGRTIGDIVGEELGSANYAIPFLAPNATGKALLAGVNYASGGGGIMNATGRIFVNRLGMDVQVDFFNTTRKQFDDLLGKDKAKEYISKKSIFSITIGANDFLNNYLFPLLSVGTRLTETPDIFIDDMLDHLRDQLTRLYQLDARKFVIGNVGPIGCIPYQKTINQLNEDECVDLANKLANQYNVRLKSLLEDLNKKLPGAIFVHANVYDLVMELITNYKKYGFKTATKACCGNGGQYAGIVPCGPTSSLCEERDKYVFWDPYHPSEAANVIIAKQLLYGDTKVISPVNLSKLRDM >A07p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21194700:21196542:1 gene:A07p039880.1_BraROA transcript:A07p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISPSDQAADLLQSLSIDQETMAKVTDNTGNNKQDVYGGNSNNGFSTKKKLGYNNYSAQKGSYGSYYYPQAYQYPRYGYDMTYPSGKTTTNTPYLGRSGGGYMDSMYSMYGPYSYMSGYGYDTYGYGTYKYTPNWYSFNNGYKTKGYGLYGKENAEWLNELNRGPRAKGFKSGQPETLKDVSVPDSKEYNKEDFSETYYSNAKLFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNAAYNEAKEKSCPVFLIFSVNTSGQFVGLAEMVGPVDFNQTVEYWQQDKWVGCFPVKWHIVKDIPNSSLRHITLENNENKPVTNSRDTQEVKIEQGVKVIKIFKEHESKTCILDDFVFYESREKIIKEGKKKHQEYKKQALAASDKKATPKEEPKETKETSSMIPEVVEEISQNGVAEVASAC >A07g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16555532:16555810:-1 gene:A07g506660.1_BraROA transcript:A07g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHQWTMVAEYSAEAILRRVHALQNDDFMPPIEAILAPLEAELKLAIRGWEGSRR >A10p039840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22032020:22032731:1 gene:A10p039840.1_BraROA transcript:A10p039840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYDSAIILSNEKETSSEKNFVNHAEIAWQEMRKSWVGDPSKRTSELPMEPVISFNATYEEMLLNNHTPFHKSIPLAEMVDFLVDYWHGDCLFD >A09p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11567945:11579489:1 gene:A09p021460.1_BraROA transcript:A09p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAKFFFGFLIVSIFLWMIFILCSRFFAWILSRVLGASVVFRVGGWKCLKDVVVKFKKGAIESVSAGEIKLSLRQSLVKLGVGFLSRDPKVQVLICDLEVVMRSSTSTKNVPKAKSQKPRTSGRGKWMVVANVARFLSVSVADMVVKTRKAIVEVKELKLDISKDGGTKPNLYVKLHVIPILVHLCESRMMSDESSSIGFERCTASQTSSATSDRSSAALFCDEFSLSTEFGHDRAVGIVSRNVEIVSGDVTLTFDEDSFPKSKHSSSTVHSDDVVRSTSVTSSAKKSDKEHKLVAALAKYSPSFPEKVLFILPKLDVRCVNREHDLVAENNITGIQLTSVKSKSFEDTGESTRLDVQMELSEIHLFREAKSSVLEIMKVDVVSFIYIPIQPVLPVRAEVDIKLGGTRCNLFISRLQPWLRLHFLKKKKLVLHVPTHTLEKSKAADTKAIMWTGTVSAPEMAVILYGIDDLPVYHFCSQSSHVFANNISSMGTAIHVELGELNLHLADEYQECFKENLFGIEPNSGSLMHIAKLSLDWGRRDRTSSDEVSCRSKLVLSVDVTGMGIYFSFKRVESVITNAMSFKALFKTLSVAGKKMNQTGGVQPAKGSGKGTRLVNLNLERCCVTFCDDTGLDNTVIEDPKTVNYGSQGGRVTFSSLADGRPRTANVASTASEECKRLKYSVSLEISQFSLCLNKDKLSVQMDLGRAVSIYQEYLEEHKPCSKVKLFDMHNAKLVRRSGGLNDIDVCSLFSATDISLGWEPDVHLSFYELFLRLKSLVYAQKLKEQERVGRSSVKDGGSGEERNLSNSADKQKKKESMFAIDVETLTISAEVGDGVEVKLEAQSIFSENACIGVLLEGLMLAFNGSRVLKTTRMQISRIPAASSSVSDAVPVMTSGPWDWVVQGLDVHICMPYKLQLRAIDDSIEDMLRALRLITVAKSKNLFPGKRESSKPKNKKSSPKFGRIRFGIRRLTAYIEEEPIQGWLDEHYHLVKKEACELAVRLKFLEDFIQKATQSPKGAETSDPTDERKMLFDGVEIDVQDPSAINKVKDEIHKRSFQSYYQACQGLASSEGSGACTEGFQAGFKPSTARTSLLSVCATDFDLSVTAVHGGDAGLMEVLKKLDPICQENDIPFSRLYGSNVDLKTGSLVVQLRDYTLPLLSGTSGKCEGRIVLAQQATCFQPQISQDVFVGRWRKVRMFRSATGTTPPMKTYSDLRIHFEQGQVSFGVGYEPAFADISYAFTVALRRANLSHRGPGILPTVKKERSLPWWDDMRNYVHGNISLSFSESKWDVLATTDPYESLDKLQIVTGPIELQQSDGRLFVNAKDFKIKLSSLESLISRHSLKIPVGTSGGAFIEAPAFNLEVTMDWECESGDSLNHYLYAFPSEGKPREKVFDPFRSTSLSLRWNFSLRPEKFHQSSSGTEHPTDTAVLCSSQDQPETPTMNLGAHDLAWILKFWGLNYYPPHKLRSFSRWPRFGVARSARSGNLSLDKVMTEFMLRVDATPSLINYMPWDSDDPAKGLSFNMSKLKYELCYSRGKQKYTFECKRDVLDLVYQGLDLHVPKAFLNKDEHPYVPAGIQVLRKSSQNALIDRVPSGKDHKRNEKHRDEGFLLSSDYFTIRRQAPKADPERLLAWQEAGRRNLEMTYVRSEFENGSESDEHIRSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIANRDAVWSFVGGISKAFEPPKPSPSRQYTQRKILEESQEESCLETHQGETLKSSPSPGRNLPSQPMEMEEPLSSPSHSVKIEKSYDRAGNPETSESEEDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFNSIMRVGVEVIEQALGTGSAQVPECSPEMTWTRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRNSPKVKRTGALLERVFMPCDMFLRYTRHKGGNPDLKVKPLKELTFNSHDITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLQCPTEDEDVEEEADEVVPYGVEEVELAKINLEEKERARKLLLDDIRKLSYCSDNIDDTHMEREGELWMISTRRSILVQGLKKELLYAQKSRKAASVSLRMALQKAAQLRLMEKEKNKSPSYAMCISLQINKVVWSMLVDGKSFAEAEINDLIYDFDRDYKDIGVARFTTKSFVLRNCLPHAKSDMLLSAWNPPTEWGRKFMLRVDAKQGAPKDGHYPLERFHVEIYPLRIHLTETMYRMMWEYFFPEEEQDSQMRQEVWKISTTAGSKRVKKGLAGDSSTTSHSAVEASRRSSESLSASATTLSQSNADSVQKSNTPSLRCSTGGSAQELRTSSFDRTGGENMAESIGNELVLHASSVEQQEDSSKQKPKETKTIKPGRSSHEEKKAGKSHEEKKSRPRRMMEFHDIRISQVELLVTYEGSRFVVNDLKLLMDTFHREEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKSQNNRESADNELILSDNDHTGKSDNQVPWFKRQSDGAGDGFVTSIKGLFNTQRRKAKAFVMRTMRGEAENDFHGEWSDSDVELSPFARQLTITKSKTKRLIKRHTKKFRPRPQRGSSSQQRESLPSSPREATAFESGYSSGTSPYEDFRD >A08p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12043094:12044520:1 gene:A08p017200.1_BraROA transcript:A08p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWSYIALGSEVVGGRWTDQENQAVFPQRSSRRRSEEESGCERHLEAWRSRVEPVNYEGSRDFLSSPTMKQYSGVKKLEP >A07p051330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27267796:27273250:-1 gene:A07p051330.1_BraROA transcript:A07p051330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDHVMMMRTTATFRTSANSFYKKSKAVNFHYFLRLSLPSSLFDPYIEGFFEVSLRCAMGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEASVQKIQHLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYNRLYKEPIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGEISSKNIEIGKIGADKVFRVLTPAEIDDYLAEVEESAAATRRTGKIGNEEEESKMMTGGRNYTRLPIDSQKVSGSVCTPRWFFSRERGVKISPSHHLLICFHKRERNARIFISTATPPAALRVSLDRLIRDRLLSVLSVLVRSASISSLLQFYFSCTRPL >A08p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14727008:14728344:1 gene:A08p022150.1_BraROA transcript:A08p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase QQT2 [Source:Projected from Arabidopsis thaliana (AT4G21800) UniProtKB/Swiss-Prot;Acc:Q8W586] TVWKSHKLVDSLDNLRVSTGSSSNFKKKPVIIIVVGMADNLLCANKRGYVLNLDPAVMSLPFGANIDIRDTVKYKEVMKQYNLGPNGGIMTSLNLFATRFDEVVSVIEKRADQLDHVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSTSPITFMSNMLYACSILYKTRLPLVLAFNKTDVADHKFALEWMEDFEFYRNIRSVGVSAITGAGMDDFFKAIEASAEEYMETYKADLDKRKVEKEQLEEERREKEMEKLRKDMESSKGGTVVLNTGLKDRDGAEKMTLEKDDDEDFQIEEDSDGAIDEDDEDEEMKI >A05p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:831362:835042:1 gene:A05p002460.1_BraROA transcript:A05p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTTSPKWFCKTSSSTIPSLVLHHDVKTYNTKPTTFSDSSILLSRSFHRQVFFEDRKKMSFGQPLGASYWKESGIKIDGLGDVVEGTMVTTIDGSIWSETIEYLSRLVYCVQYVINAIHDLTGFNWSMSVFLTVLLARGLMWPVKMQIQRQVWELKIISFSRRKLSPKWFCNTSSTTIPPLVLHDHVEDYNTKPTLSDSTLLLARSFHRQVFFFEDRKKMTFAQPLGASGVFLCRHISSSSFGKPAEESSITLDALGDIVEGLVPEKSVEAAIDGCSTAAFENLYFPLNCVQYVINGIHDLTGFNWWIMSIVLTAFLVNGLMSPLSLRIERQVWELKILRMCIQKVRRVMQTCDPKGLAKHKKWEAECTQKFGECYKSYRRLRLIDPFIIISFISGVKKKENEFIYMFSLLPTYSLYLSSKHINTMAKKIPGFTDLLTPEHMIQ >A01p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13548858:13549757:-1 gene:A01p030980.1_BraROA transcript:A01p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANAEMVAANAEMVAVNAEMEAAISKMEAAKAEMEAAISKMEAAKVEMEAANASMEGNTLLMRTFINMLKIIICVLLENMTLCRVNNFPRPTDPMDPLKSDNQISDDYIIDDIQKMIDVKTPPVNVMIPTNDGDFEEIVSKLKKHGHTILLAYNDDRNAEGDLPSDNVTACGTLMSLRMITCVTAVSGSKSSACSYVILNR >A08g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6393382:6394484:-1 gene:A08g503620.1_BraROA transcript:A08g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTQKHEVSQYLQSAHANRRAEARVSRCMNTRHAEGQVDVEMSSGMAREHSEGHVDTHVSPRMRPDACRTTHKRSVAHSYWPATSIYTQLPWFTFTHSDTPSTKKNVSRERERERERGKKASPDQFIQDIEVGFWDLTSRYQDEDLEGIKGFGKLSESESQSTSVPDIRDGFRARIVGPVIASSNGTTKPVVVQNVVGSVELGLITKAECHTWMGQPSASLIEDRGTAILIEDRDREIPKRLRLCGVIVKGFLV >A10p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1222691:1223893:-1 gene:A10p002350.1_BraROA transcript:A10p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSLEIDDFSKKNNGIKSDNFSSGGCEWFVKVYPKGYRCSDHLSLFLHVVNPESLRRGWKRRAIYCFVLSNQSGQVLYRSPNESKCDLFCAEVPNWGCQKTLPLTELGFLEKNKLTVEVYIKVVEVVHQGKSTENDIIDFNGFQIIASQAFSVANIVSQDPYFVVDFRPENQWVQTKYMYLLGLVETLSKSPQSLSATELSNAQRDLTALTEAGFKLDWLNSKLEEVSLEWKKASHSDGSSVQQLKEQVKNVELSLSDLIVELENVKIKSAAAAKVSSFQFIDFLIKKFFLSCFSFSKS >A06p009470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3325627:3326244:1 gene:A06p009470.1_BraROA transcript:A06p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRKLTISQSERYLGSSYSFGDSNGNSVTDESELTEEDVWSHAVDHIPEDTPESFGAWSSRDAVMRNGRVCGGLSLAFEDMSSSPTIVHQIRGGGEVGGGEVGGGGGGGQAQRQLASSAPVNVPDWSKIYRVNSVESIHESEEEEDEESGMMPPHEYLAKSQKRRSRKSGGGASVFEGVGKTLKGRELRRVRDAIWSQTGFYG >A09p043910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35702097:35702490:1 gene:A09p043910.1_BraROA transcript:A09p043910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKISSEYSGSSRWCVFTSVAKVLAAFYSFVVQTIIHHITCIVSILNFFCVMYASIQCLYASRLAFDAFSVTVLLYLNNFSMTGVFIKVGLNKKKILIRDIQVQCMNSIVNCLEDVY >A08g503020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5877461:5878096:1 gene:A08g503020.1_BraROA transcript:A08g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGDLLRESADETGSGRTMMLGLLEEDRIDGEDRATSKWSTLKQRLRFDWIGCCGGPTLLRLRGSEAPVAEEDEDDEEEEEHSGQNRVIDLSNSVPDPGLVAETECLTRGTRNLAEALAEERLARGENVTEASVAKVPLMKLLAETEGCDATTWTGADPLCCVCMGREKGAAFIPCGHTYCRVCSREIWMNRGSCPLCNRSIFDVLDLY >A10g500410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1244579:1247023:-1 gene:A10g500410.1_BraROA transcript:A10g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSEEEAEEYNTSEVDWGEEADQDCWDDGDDHTEGHWCADSVPEYVPNDEQEYPEVEPESMDRYSTCYGPKSQLIYEDSSEGKYYSQACPRREKTTVAAPSRSYHGSLSRHAHSTPWNYNGDQFYQNRLAAPSIHFSGHKQGPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNSLKPSEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSISSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNFEIGDFEKETTILPREIIDQPWKGGIASLLIKEEPPVGQCITKPCIYQGKTLAFQIRMKPNLLYLGAGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVFHLLFLSKLFL >A02p053730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32658656:32659103:-1 gene:A02p053730.1_BraROA transcript:A02p053730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQLHKTMEAAHVERAIRVPSPDKEKEKSHKHRGIFHLHLHHHSKDEKEEDKKKDGSKILAKKKKRWLKERKDSCSDKEGGQEEEEDGGDEEEEDSGDEEEEDSGDEEGGGKFSAFISVIAEAFEE >A10p003260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1649752:1651542:1 gene:A10p003260.1_BraROA transcript:A10p003260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMFPSFQLLELNIISAQDLAPVSRKMKTYAVAWVHSERKLTTRVDYNAGTNPTWNDKFVFRVDEEFLYADTSAVVIEIYALHWFRDVHVGTVRVLISNLIPPSRRPGYRTSNNEYHRTPPQGMRFVALQVRRASGRPQGILNIGVGVLDGSMRSMPLYTHMDSSAVGYRDLLGEEDRHLQHLHLNSNKGSSKNPQSPSSRQFQSVVSRPELRRTKSDTSSMVVSDLLSRVERSRLAKQPISALVSSEEFETEPTTMDTDATEIKENGPTKIMRQIYKPDPAPRESHDVSYHHSSRKTPRRVTMNEKHRPIKARASPYLSRHGTPLRSNIVASSPMRRNEVRSTPMRSKIIAMSPMHPNMTSMQTPLRSNMTPMQTPLRSNMTPMQTPMRSNMTPMQTPMRSHMTPMQTPMRSNVLKSTPMRPNYMSTPMRTSNLAGRRILTDSELGPSPSEIAEQLAKNRSHANDTESSILSEWSIDETSVEGLRSKLERWRTELPPLYDIGSSQVSSTEYDGSTIVPAGGRSSRRKTPAVKKHSRRHTEGGNGLFSCFSKICGVECSFACGGGGGGSVDHDESRKGGDGRVHRTYSADDLSSL >A07p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11358591:11359762:1 gene:A07p019100.1_BraROA transcript:A07p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEAKVVVPRSFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTILGPHNTAYEGKIFQLKLFCGKEYPERPPTVRFQTRINMACVNPETGVVEPSLFPMLTNWRREYTMEDILVKLKKEMMTSHNRKLAQPHEGTEEARADPKGPAKCCVM >A03p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10123002:10123417:-1 gene:A03p023930.1_BraROA transcript:A03p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYNQPPVGVPPPQGYPPEGYPPQGYPPQGYPPQGYPQQSYTPPYAPQYPPPQQNQQPHQQSSTTGCLQG >A05p051800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30076836:30082612:1 gene:A05p051800.1_BraROA transcript:A05p051800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLM8 [Source:Projected from Arabidopsis thaliana (AT3G06100) UniProtKB/TrEMBL;Acc:A0A178V7E3] MNVEVRSRVFDQEAGSTLSSLRDGDLSTQRLFRCIPYELDLNPLRIVIAELVGTFILMFSVCGVISSTQLSGGHVGLLEYAATAGLSVVVVVYSIGHISGAHLNPSITIAFALFGGFPWSQLLSPGSVIYNGANAGGNGGNVSWGVSLRRGSMNPARSLGPAVVAWDFEYIWVYMTAPVIGAIMGVLTYRTISLKSRPSPHSPPVSSLLR >A02p027380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13280534:13281496:-1 gene:A02p027380.1_BraROA transcript:A02p027380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLICIRRGLQQRQVREQVRSAAERDYDSFSVKPFNGNYDEELGVFINSFVTDEDTSQEQLLFSFLCDAIESVRDCKRLYVCSRYSMQVNGGTVRTRPNESINKKMKTGVLEVVAEHVEILNPMRSKLGVRTNKKKRVGPVPGVQVGWLQSMLPQSPQLFKQMLMVSGFDNYYQIARYIYNLWPSQVYNLKWIYF >A06g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18369135:18370338:-1 gene:A06g506590.1_BraROA transcript:A06g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DWSINKDFKDQILIEVVAWHWVGKVLCFVWKEKHFISTQHEKKKFALSHKEAIVLLLISLGDKSDKGEKKNCSFIRTWSFFPFPAMVDKGPVISLGRSLLRAGHQYPDYNIREYAKRRTLEGFRMNKNLTDHSKVEEAYAEGKKQLEVVERVVKVYLAYPPRTKNIMELKLQ >A05p011180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4754403:4755173:1 gene:A05p011180.1_BraROA transcript:A05p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDFAIPPVVFPSGGSTANPNVQQRRFPAAPFQPPRPSSSAIPFMSFDIGSAAASSAAPAGPFTGTLNSSASFGGGGSSSFEDEEPLLDELGIHPDQIWKKTRSILNPFRINQTVHHDSDLSGPIFLYLALCLFQLLAGKIQFGVILGWIVVSSIFLYAVFNMLAGRNGNLNLHTCTSLVGYCLLPVVILSAVSLFVPQGAGAVRFVVAGVFVLWSTRACSALVVSLADGGEEHRGLISYACFLIYTLFSLLVIF >A09p054630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46997801:46998306:1 gene:A09p054630.1_BraROA transcript:A09p054630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDGEIRQGSDQTTQIAISRDQFLAWKRQKDAESSAKQAEAARKREQDIAAGRVEMNGRELFLHQPWVFDDTPHL >A03p047060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22592735:22595042:1 gene:A03p047060.1_BraROA transcript:A03p047060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 82G1 [Source:Projected from Arabidopsis thaliana (AT3G25180) UniProtKB/Swiss-Prot;Acc:Q9LSF8] MIIFFSIHFSLFFLVLAISGYVFLRKQSKCEGNSSTIPEPLGALPLLGHLHLLRGKELVCKKLAAMSDKLGPIFSLKLGFYRLVVTSDPEILKDCFTTNDLVLATRPNIAFGRYVGYNNAALALAPYGDYWRELRKITTVHLFSNQSVEMLGHIRYAEVNEFLKHLYEGSDGTSTVKIDMSFEFLTFNIILRKMVGKRIGFGKVKSEEWRYKEALKRSEYLAAVFMIGDVIPWLGWLDFTKIAQMKSTFKELDSVITKWLEEHLEKRSRKEKNQEKTIMDLLLDILPEDVVICGHVRDVIVKATILVLTLTGSDSTSITLTWAVSLLLNNPSTLKAAQEEIDNSVGKGRWVEESDIRNLKYLQAIVKETHRLYPPAPLTGIREAREDCLLGEYHVKRGSRLLVNIWKLHRDPKIWHDPETFKPERFMEEKSLCEKSDFEFIPFSSGRRSCPGMNLGLRVVHLVLARLIQGFELHKASDEPLDMAEGPGLALPKINPVEVVAMPRLEPELYHSL >A02p045150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28377505:28379521:-1 gene:A02p045150.1_BraROA transcript:A02p045150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADIVRQTRDLLLYADRSKSLPDLRESKREEKMAELSRNIRDMKSILYGNSEAEPVAEACAQLTQEFFREDTLRLLITCLPKLNLETRKDATQLVANLQRQQVNSRLIASDYLEANLDLMDVLMEGFENTDLALHYGAMFRECIRHQIVARYVLESEHVKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLIKNEDWFFADYNSKLLESSNYITRRQAIKLLGDILLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIINILVANKSKLLRLLADLKPDKEDERFEADKSQVLREIAALEPRDLA >A08g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8267048:8267501:1 gene:A08g505040.1_BraROA transcript:A08g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRLGSNHRLEGFFPDYSSSSIIADVIICENMFGVLTSSSSSAGTPLRFFVYDKYLKGLERNSRVVTTLQKYFQSIWTIGIITLHSRGAFKEPSIEYSVKK >A06p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7074092:7077279:1 gene:A06p015850.1_BraROA transcript:A06p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILRNPMLSSPTTTIPTPSLPSSSSKPSPLSFRFPPSHHRSSVSLRSQSLRLSCSLSDPSPPLRRRRPEYIPNRISDPNYVRVFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDVIEAGFPAASKDDFEAVKTIAETVGNAVDGDGYVPVICGLSRCNKRDIETAWEAVKYAKRPRIHTFIATSDIHLEYKLKKSKDEVIEIARNMVKFARSLGCEDVEFSPEDAGRSEREFLYEILGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLSGAHSGARQVEVTINGIGERAGNASLEEVVMAIKCRGDHVLGGLYTGIDTRHIVMTSKMVEDYTGMQTQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIICPEEIGLERSNDAGIVLGKLSGRHALKDRLTELGYVLDDEQLSSIFWRFKSVAERKKRVTDADIIALVSDEVFQPEALWRLLDIQITCGTLGLSTATVKLVDADGKEHVACSMGAGPVDSAYKAIDLIVKEPATLLEYSMNAVTEGIDAIATTRVLIRGNNNYSTTNAITGEEVQRTFSGTGAGMDIVVSSVKAYVGALNKMLDFKENAPTKVPSQNNNVPA >A09g511520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34546288:34549929:1 gene:A09g511520.1_BraROA transcript:A09g511520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQYLAHDNHKLPVCLFPFSLINFDWLETASWEGKDSVLQMMKQVANCLVLAMLCYELNPQVKQPQLVFNPPPAASHVLKPTEKPREFQREREKEEQKNQPVCCFEKDQKPQAYHGEEDQLRPSSPLVRLAKLPGDRVLHDDAVSDCSYRTFDNDGDANSLVSVSLSDSLSKLVAHDSFVCADSSPPSTPTPLPTPSFDATPSGSTCETDPSEGSYDQTPVHMPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAAHFPPAPAAPIPAAQPGPRPTDPAIIALLELMAEMVNLQHQALNAQREARLEFHRLGDLVERAVNVEEAIAAERELLLAILQNLDVHQFKVSLSHILLRCEDEEVELFREVILERQATAGRAYA >A02p054630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33148811:33152186:1 gene:A02p054630.1_BraROA transcript:A02p054630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSNAPPKLTRQPRKPQQSSGAERSALYARREAAKVLHTVLRGDAERRAVASIKSLVFSPSVRNKRGTFALVCETLKYLDVIKDVLEIANVLNSKWKRQEPLVYIICYDILFGKETPSIGDAEKFLMRRKDALLSGLATLLVRKKVKSVEELLDLSQLNGHIKQRYVRVNTLKMDVDSAVKELEKLYKVQKDETVPDLLVMPPGSDLHAHPLVMNGRIFLQGKGSSMVAAALQPEAGWEVIDACSAPGNKTVHLASLMKGQGKIIACELNEERVKRLEQTIKLSGATNIEVFHGDFLSLNPTDPSFAKVRAILLDPSCSGSGTITDRLDHLLPSHSADNTNYDSIRLHKLAVFQKKALAHALSFPQVERVVYSTCSIHQIENEDVVSSLLPLASSLGFKLATPFPQWQRRGLPVFAGSEHLLRMDPVEDKEGFFIALFTKTNNLDNPKASELPEGECRGRRKRFYPFLWPKVFFRAWNGRLHGSRR >A04p041190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23232934:23239162:-1 gene:A04p041190.1_BraROA transcript:A04p041190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRYRSRDPPREEGEYQKRSWRPKDSFVRDSTARKEDLRSLLNRTETRKQLDTVIGDSQSDHSHKSRYNEEHRARVEERLSPASYITPRQSTLASPRNGPHQLDRGIPLRKENENLPKEAVDAAMGEIRNYMTQYASCADPMESAARKERLRQAEERGQVEESAMQMVKASMARENEGTTARTSQEPSSNERLPATARLGPIGQAQEEQVVTNIQTEGGHTEKLPMAARLGPTLEGTSIIDLPPTLEEPRKQKRKPGRPPGGKKNASPALAPGSGLRKRKVTPKPTTSRRRVTGESERPRKAARASHTRASQQDTPSPMGPVCESLLELKVSDLLQAESCDWDLQKIQFYLPGYEEKIQRIRTSQTGVPDKLIWLGTKTGEYSVKSANWAAICSKTCLPPSGVVSDTLAPWILWHLWKARNKFVFEGHSGSPEDTLSTAISLAKEWDSQQRKEQKPSHLRRKMPEAHLPPGAVTIRSDAAWNPRGTAAGLGWVIHSPSGIRTFKCSTSQIILESSSSSVSSSKRALRVMATVEEKPLMVVGVDESEQSTYALEWTLDRFFAPYAPNFPFKLLIIHAKPNAVSAVGFAGPGIVEVVPHVDADLKHTAAKVVEKAKGICESKSVHDATMEVFEGDARNILCEVVDKHHASLLVVGSHGHGAIKRAVIGSVSDYCAHHAHCSVMIVKKPKIKL >A03p068560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30037477:30039030:-1 gene:A03p068560.1_BraROA transcript:A03p068560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVIERYRDAKCDTNSEMNPASEIKFWQNEAAILKRQLHNLQENHRYVFASSDDGEELVIDRQMMGEELSGLSVEDLQKLENQLEMSLRDVRMKKEQMLVEEIKELNREGNLVHQENLELHKKVNLMHQQNMELHKKVSEVESVKSADKTSLLTNGLDMGGNSSEHVHLQLSLPQQHDETHSKAIQLSYFSFIA >A01p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24349178:24350234:1 gene:A01p044460.1_BraROA transcript:A01p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILPSESKRLFLVPILAVMAIQFLFVRTVSSVNMTNTYLHHKCFVDQGKYEPGSKYEENLNFIIEPIPVTSESFEKGTKMEMIGEGPDLISFTLQCRGDISGPRCRSCYATALSALHRRCPGYKGRMIWYDQCILEISTIDSLDKIDYNNNLCVSNAKKMMAHYYSNSDWLDLIHNLTTKALRQGNSKGMYYAAGETRLGMDNIYAMVQCSFYLSSKDCEKCLRHNIKHYEDCCFNRQGARVLGKSCSFRYEFYPFFFEKSRRPKYLKI >A09p047950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41576925:41579135:1 gene:A09p047950.1_BraROA transcript:A09p047950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRFAGDMTHLMSILILLLKIYATKSCAGISLKTQELYALVFLTRYLDQFTDYVSLYNSVMKVVFIASSLAIVWCMRRHPLVRKSYDKDLDTFRHQYVLLACFVLALLLHEIFTFQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVLFLGAYRGLYIINWIYRYFTEDHFTRWIACVSGLVQTALYADFFYYYYLSWKTNTKLKLPA >A03p032990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13970854:13972582:-1 gene:A03p032990.1_BraROA transcript:A03p032990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLLRVPPPSPTIVFSYSSSPRSTTRSLNLTRRVRCRVSNSTEVEGASKSEYKPGVLDDFFMRSFRNKLVEEVGSDSDKPGYVGLIELVKLLLLKSRTRSETNDAAVRILKSLFPPLILELYKLLIAPLAQGKIAALMVARVTVLTCQWLMGPCKVNTIELPNGESWESGVFVEKCQYLEESKCVGVCINTCKLPTQTFFKDYMGVPLLMEPDFKDYSCQFKFGVAPPEDDGSVNEPCFETCSIASRRKLNSGECPMS >A03p040650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16952426:16953834:-1 gene:A03p040650.1_BraROA transcript:A03p040650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGL26 [Source:Projected from Arabidopsis thaliana (AT5G26880) UniProtKB/TrEMBL;Acc:A0A178UI04] MGSCGRSSLSFFIASRPFHLRHQSSDRLLPSLGLTHLNLKRKLSLSITSFSSKILSPPPLSDKDKRSNALPRGAGEGVKEDARSKMLQVVLVSPQIPGNTGCIARTCAASAVGLHLVGPLGFQVDDAKVKRAGLDYWPFVVVKAHSSWAEFQEYFRQQEGEKRMIAFTKRGTKIHSEFSYRRGDYLLFGSETSGLPPEALSDCKSELYGGGTIRIPMVETYVRCLNLSVSVGVAVYEASRQLNYEQIECAPEGDSVNGEEPLFADDIFA >A03p044510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18579115:18580286:-1 gene:A03p044510.1_BraROA transcript:A03p044510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLVKSMINSPKLRLSTAPLGFSRCNRWCVSLPNMSSKSIPFASLTISASSGKKEVVATEKAPAALGPYSQAIKANNLVFLSGVLGLIPETGKFVSDSVEDQTEQVLKNMGEILKASGVDYSSVVKTTIMLADLGDFKKVNDIYAKYFPAPSPARSTYQVAALPLNAKIEIECIATL >A02p053760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32680684:32683363:1 gene:A02p053760.1_BraROA transcript:A02p053760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protein FAR1-RELATED SEQUENCE 10 [Source:Projected from Arabidopsis thaliana (AT5G28530) UniProtKB/Swiss-Prot;Acc:Q9LKR4] MASKPLNNIWIRRQQCPCGDWKCYIRLEGDESTTKTEIESTTTPSSSSPSSSSSPYVGQIFRTDEEAFEHYTTFARKTGFSIRKARSTESHNLGVYRRDFVCYRSGFNQPRKKPNVQHPRERKSLRCGCDAKLYLTKQVLAIEGTTTTTKTQWYVSQFSNVHNHELLEDEQVRLLPAYRKIHQTDQERILLLSKAGFPVNRIVKLLELERGVQLPFIEKDVRNFVRACKKSVQESDALLNEKRESDLMELLESCKGFAERDMGFVYEFTSDESGKVESLAWAYGECVQGYSMFGDVVVFDTSYRSITYGLLLGVFFGMDNGGNAILLGCVLLQDETCRSFTWALQTFVRFMRGGHPQTIVTDIDTGLKDAIERELPNTNHVVFMCDVVSKLASWFSQDLGSHYDEFRAGFEMLCRAGSIDEFEQQWDLLVTRFGLVPDRHAALLYSCRATWSPCCVRQHFLAQTMTPEFSLSIDSFLKRIVAEPTCMQALLEEVSVAASLAKQIPQRVPYPTMKTCMPMEDHARAVLTPYAFSVLQNEMVLSLQYAVAEMANGPYILHHFKKMEGECCVFWNPENEEIHCSCKEFEHSGILCRHSLRVLAVKNCFHIPEQYFLVRWRQESSLVSEENQNGMGIGDDCVQTFQSLTETLLTESMVSKDRLDYTNQELSALIDRVRNIEPANTCISHDC >A08p027660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17438085:17439838:-1 gene:A08p027660.1_BraROA transcript:A08p027660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGASGRVNPGSKGFDFGSDDILCSYDDFTNHDSSNGSNSDQLIGASNSNKELHKTRMARSSVFPTGSYGPPGDSVREELTATVERSMKKYSDNMMRFLEGLSSRLSQLELYCYNLDKTIGEMRSDLSRGNEEADVKLRSLDKHLQEVLRSVQVLRDKQELADTQKELALQLVSKDSSSSSHSQHGEERVATPVPEAKKSENTSDAPNLQLALALPHQIAPQPPAQPQQYYMPPTAQLQNTPAPAPVPPPPSQPQAPPAQAHFMPPPAAPSHPSSAQTQSFPQYQQNWPPQPQARPQSSGAYQPYTPAPPSNQSPVEPLPSSMQMQSPYTGPPQQSMQAYGYGAPPAQVPQQTKMSYSPQTGDGYPPSGPPPPGYANTMYEGGRIMQYPPSQPHQQQQQGHYMQGPPGGGYAPQQHQAGGGGNTGTPSPVLRSKYGELIEKLVSMGFRGDHVMAVIQRMEESGQAIDFNALLDRLSVQSSGGPPRGW >A02p007660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3233892:3236516:-1 gene:A02p007660.1_BraROA transcript:A02p007660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSSSSHHEAPDTSSVPPPPPPPPPSDGAPLQDHALLPQSIEHSVAVQEAEMSEEEVKGSLEAVASTGKFWQDWEKLKGMLSWWLKKVLSEYPEAKMTDEQQKEALGETYSQLVNRLDEALLNFDEGPPFTLQRLCEILLAARSIYPKLSKLALALEKNLLVTSMLSISTDPQLETTENTNAATEDTGTAAANWAQNGIEAVGGDKDEIMTEVEEADVDDAMTIDMETIDEPSETTTTTASESEKPSETNAAEPSSDSMAAEEGDSRLP >A05g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11722550:11730046:1 gene:A05g504230.1_BraROA transcript:A05g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNQAVTFLGQSGDNAEHRIRIKQGREFRLSSSSSSVAVMPGSEPSEIKWPAKRVRDTYFDFFKGKGHKFWPSSPVVPHNDPSLFFANAGMNQYKPIFLGTADPNTELSKLTRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYGLPVDRIYATYFGGDEKAGLHPDHESRDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAASLVNYDDPTCLEIWNLVFIQFNREIGGSLKPLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDDIQKATGARPYSGKIGLEDVDRVDMAYRVVADHIRTLSFAIADGSRPGNEGREYVLRHILRRAVRYGKEILKAEEGFFNGLVSSVIRVMGDVFAELKEHEKKITEIIKEEEASFCKTLAKDAFILSATYGFPIELTQLMAEEHGLLVDVDGFNKAKKEARDISKNAQNKQAGGTIVMDANATSTLHKMGVSATNDSFKYIWFQGHDSEVKGIYTGSAFLESSASGDNVGLVLASTSFYPEQGGQIFDTGIIEGSFGTFNVCNVKIFGGFVLHIGSLSTETGEVSVGDEVTCKVDYERRKLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGEPVDPEDLRKIESIVNTQIKDELEVYSKESILSEAKRIKGLRAVFGEVYPDPVRVVAIGRRVEDLLADPENDEWSSLSSEFCGGTHITNTREAKAFALLSEDGISKGIRRIIAVTTKSAFDALNTASLLEKEVDEASKAVGNALEKKVNALKRRVDEVDIPAAKRADIKAKIAQLQNEVRKAQKKIAKENLKKSVEIATEAAESAASDGKNFCIVQLDVGLDAAAVREAVSKVMQKKGMSVMVFSTDESTNKAVVCAGVPDKSDQFKQLDVTEWLTTALSPIKGKCGKGKKVVSLRDRYMMFLFSLQSSSGPQRDHNLLYMLFWFQGTDASQRRFFYDGGSTVTMMRRKMYESLRKSQQTRFIFSSSSTQFHLPLRYRHEEISCFVTPGCPKQRPPLKPPYQLQKIWPKGIKDVVNTLLNKQISIP >A02p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4044488:4046524:-1 gene:A02p009580.1_BraROA transcript:A02p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKVAPIGVWNTVKPFVNGGTSGMLATCVIQPIDMIKVRIQLGQGSAASVTKNMLKNDGIGAFYKGLSAGLLRQATYTTARLGSFKMLTAKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADNTLPLAQRRNYTNAFHALYRISADEGVLALWKGCGPTVVRAMALNMGMLASYDQSAEYMRDNLGLGETSTVVGASAVSGFCAAACSLPFDFVKTQIQKMQPDAQGKYPYTGSLDCAMQTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQITKFQKTIGM >A04p027920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16842315:16852104:-1 gene:A04p027920.1_BraROA transcript:A04p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U7 [Source:Projected from Arabidopsis thaliana (AT2G29420) UniProtKB/Swiss-Prot;Acc:Q9ZW24] MATKEEDVKLLGFWASPFSRRVEMALKLKGVSYEYLEQDIFNKSALLLELNPVFKKVPVLVHKGKILAESHLILEYIDQTWKNNPILPQDPYEKAMARFWAKFVDEQVGPVCFKSVVKADKGIDVAIEEAQELITFLEKELSGKDFFGGKTIGFLDMVAGSMIPFCVARAWEGMGIDMIPEEKFPELNRWIKNLNDIEIVRECIPQREKQIEHMMKVKKHLRGRKIRAGNWTFVFWLTDDYPVPDGVEINSIYNTIHAALYQMGFDKVQPIRKYCDNDKQYDAPPAKQMVREMLALAGSMCDDPVTLVVIAKQSTNPDMNRVLHCLNSRNNPVLVVEPPDDDTARDSVFRSVDSFVECTHVVGGGKTTRPQSCCYDIDSGSDPDPDPDPEEDAYRWTKRMIISWNAKILMSSKPSLLGDGGELHIGKITLKKTRSKAYSELKRETMAERSEEVKLLGMWASPFSRRVEIALTLKGVPYEFSEQDITNKSPLLLQSNPVHKMIPVLLHNGKPISESLVILEYIDDTWQNNPILPQDPYDRATARFWARFVDEQVYVTAMKVVGKVGEEKDAAVEATRDLLKLLEKELVGKDFLGGESLGFVDIVATLVAFWLMRTEEVVGVKVVPVEMFPEIHRWVKNLLDVDVIKKCIPPEDEHLDYIRARMESLKLKSV >A09p052390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45915318:45915710:-1 gene:A09p052390.1_BraROA transcript:A09p052390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIVDLILSLFISLFSHLVAPFTADHVFGGSPFRHICYSSLSFCFSVVGFLSSTLRLYIYSSHASLGVGVWSYSFVALVSSIQSFVVLRYYWRFVCTCLVCEVLLIY >A02g500140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:508936:509619:1 gene:A02g500140.1_BraROA transcript:A02g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWDSLCALKCLPPAGITTGSLVPWILWSLWKARNNFVFEGHSASPEETLSTAIRLAREWGVEVKKDDNPGSRQTPLELAPPCPGSLVVRSDAAWSYEANEAGLGWVIFSNAGNSSFKKPVYRVATPLMAEGLALREAVQSCVTLGVKAVTFQSDSSQLIKAVTGGVTTIELYSVVADIHSFVSVFDSVSFSWIPRERNVIADALAKAALIVAGTLVVEDAFIAPS >A03p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2070444:2071838:-1 gene:A03p004970.1_BraROA transcript:A03p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKKLSSSPAKTEPSTVSGKDKVSNGEELVGSRVKVWWPIDRKFYKGVVDSFNSRTKNHRVFYDDGDKEILDMNNERWELVGEDDDDDEDNADEDKSDDEIADVIPEPIDNLKRTNRKSARTNGVMGDIGGKMVAAASRLRSCNKISEATNGKKKGASSSPSEAVSEKEAKPMASSKKSKRKRTDDEEVGGDEHASDDESKPLQILVDKLKGKKKKKEEKEAPKEEAEEGEELKEEAEEGEEPKEEGEEEEGGPKEEEEEEEEEEGEEA >A01p003670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1602505:1603215:-1 gene:A01p003670.1_BraROA transcript:A01p003670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENVKRMKRNAKSRERERERERERFTKHIQKEISEDKWCEFNPHKDRSHLKILREMIRDREGREVPGETEEGKKDVDQRK >A02g511470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30579739:30583053:1 gene:A02g511470.1_BraROA transcript:A02g511470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTLTLSSSPPSVSLLLPESQSSLSHRRLSLSLTIDFRRLSHHLVSASLSPSSSVDFLSPSTSGDEIDSKSPRYQLLYETSSADPLCLRRDSTRAPTITEVLEVEKKNNEQTQDEEEQQVEVGAEFGDGDKERETSKTNEGQTLEEGEKEQMEADTEVEEPVQEQKHKKNKEKRKDEAYEKAKEKFQDEDGSKLDKLIQMLYDLNKRVEVIENVLRLAPDGYESPCNYDDTKGAPNDENEKEENSGGKRNATDDENDEEEISDTQQLTEVNIFRENENTEKITLDEDTEKRSM >A08g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4603492:4604999:1 gene:A08g501970.1_BraROA transcript:A08g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRGSIYIQTKLETNRIPIHFDHGVFSSSFPELKAGRCKQMFHTRLLQFYDGRNVNKGGELMGVDMFLIDVKICVDMLMPFWDARNLKKGVNCRSDNLETIPASALAIANMLFASVTKPSLWSSLNHLNRFRLRVSGFGIMKASYNEETQCIQRMMITLSIKSGEAIKPSYASGDGRNTSELTQRRKMGPLEIRHGTD >A03p039470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16420164:16422258:1 gene:A03p039470.1_BraROA transcript:A03p039470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDDASLPLIKSPPSAATGDRTSAIQTLGNIIVSIVGTGVLGLPYAFRIAGWFAGSLGVIIVGFATYYCMLLLIQCRDKLESEEGQEESKTYGDLGYKCMGTKGRYLTEFLIFTAQCGGSVAYLVFIGRNMSSIFTSYGLSMVSFILILVPIEVALSWITSLSALSPFSIFADICNIIAMCFVVKENVEMVIEGDFSFGDRTAVSSTIGGLPFAGGVAVFCFEGFAMTLALENSMKDREAFPKLLAKVLAGITFVYVLFGFCGYMAYGDETKDIITLNLPKNWSAIAVQIGLCVGLTFTFPIMVHPLNEIIEQKLKRVDWLQKHNHNYSNETSSVSKYVIFTTRTLLVVGLAAIASLVPGFGTFASLVGSTLCALISFVLPASYHLTLLGPSLSLWSKSVDVFIVICGLLFAVYGTYNTIVGV >A04g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20529209:20529969:-1 gene:A04g508030.1_BraROA transcript:A04g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDAVNPLSASDHKSSTSRLSWSAGGLCYSAMVSFHLHQYASMGKWSVLRVWDVLLFEGNRVMLFRTALALDGIEQLEQGVRELRKLVSDKKDQEAAMIQVLMGMEQEHKVTEDARRAAEQDAAAQRHAAQVLQ >A03p052420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20064546:20064905:1 gene:A03p052420.1_BraROA transcript:A03p052420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRCTSCIIDRCRDAKRATWFQPTLGNVYLIWRERNACRHRISWMGTEHMSRLIDRTIRNRILALKYGTAHNSKGLLQRWLAIYD >A01g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15068094:15069941:-1 gene:A01g505080.1_BraROA transcript:A01g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLAFGLILGALFLQPSFLVRVEDQLGSRQKAISFFERDNSSNYLIPACIEIPTDGTDEWEIDVKQFQIEKKVASWFIWGDLHRGTYCSLEIVKVADFGVVRVQIESGVMTAETGTHRWMAPEIPYAYLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLQRCWNQDPKDRPEFEEIIEMLQQIMIEARFLRAGCGGGENKQAGDEDPADKDKHCWR >A07p021180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12440576:12446386:1 gene:A07p021180.1_BraROA transcript:A07p021180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAWFVWQDSSYQLLLLQDRENRIIKPAAWWDGDEETKDILGGRDEKTGGTWLGCSKQGRVAFLVNVTNQTSFTHTGAELLTVKFLKGKMTTSEFANELASNKELSSGLTFNLVVADIASKSMVYISKKSPTKEVDEMIDPIGPGRYFITSAGIYDIFSSQDKHPKDKFSEVLDENKESPRREFYEKLMNDDQIIVPEGEGETDTSRTGPKGVCIGTTSIEVKRGTSNIGFNEYYWENNEFKEHKFHFDMDWVSKKQRPLLLGAYSEGYAQSLEEGEADSSATNGSFTSAPTAVLTGFQLGPSSGGRVSGNQNASKTQRKRPPSWKRKPNTSRAQASYPAPSQHLNKAKRKQEAPVDLTLKVSDLIDVHSGQWIVPRVRETFSPDDVRLVLNTKINPSRHDNTICVGMRRKYGLYPVFLLLLLATLRHRSLLNPTKFPEVQYLIQETLQSLHRFSTYTLNHVEPEKNRVAKLIAVSVTKDQRYQSYVAQGGPAWLAHTIQREALPHDL >A06p010780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4067358:4068047:-1 gene:A06p010780.1_BraROA transcript:A06p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSPPQIKSDDQLLHLLPVAILSLAVVLSPEDREVLAYLISTFSYSSDRNSTSRLNKTKPHKQSHFHNHSPLFHCDCFSCYTSYWVRWDSSPSRQLIHEIIDAFEDSLEKKKQRKNKKNINGKKDRRKRSVKSPALVSSSFGTNDIPSQLSESSGSSCPCKCTSSSELVDGTGSCNGGLVSKEEFRAGDVGEEAAEEEEKGTVSRFVNFIGEKVFGVWR >A09g519220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59387596:59388844:1 gene:A09g519220.1_BraROA transcript:A09g519220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVVSSFQPRTLTDNMVVGISKGFEKKLILVGVGYRATVEGKELVLNLGFSHPVKMQIPESLRVKVEENTKITVSGYDKSEIGQFAATVRKWRPPEPYKGKGVKYSDEIVRRKEGKAGKKK >A08g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16232970:16234669:-1 gene:A08g508720.1_BraROA transcript:A08g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFTLFADVKAWRCPNTFEVRLLHFLGGEEHVRKVSELMSLDMFNREHNHRQYIRVQCVMLTLRLKRKELKIVLATNINPKIVGGRLVLKATSGTHIYFDSETAAGKEEFDNSAHCRLTGDGTDQTASSLKLVDTELPRSLAEIVGNTYTFQLKLKDFNFTSKHQTFTISGVFPSRELAPAPAFVVNEGAQVPEASQPEVVTTGSDVKVDNTCSVRGAIYIGWFTCRTYSIS >A02g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13906978:13907768:1 gene:A02g504200.1_BraROA transcript:A02g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLFSSPTSSSPLETFSAEFSSINFHTFNFRLPLLLGISPEIKFDDKFKALRLERRVIEVGIGPLMRLSDKSMNVRLEWKDFGIEVGEVDEASEGESREAGEGGDGGEGVERVREVRGRGEGRAVVEREGGEGGGEGFEGCGGDGEIGGAVCEREGGYDGGGGFFGGGGVVVVAGVLEGGYVEGFEGFELLWVEWGGGGCARGSGGGAWDQDRL >A03g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10624699:10627278:-1 gene:A03g503260.1_BraROA transcript:A03g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGDVAFSVYHGEYWVKLYNGEFTYFGGEMKITGMQFWQKLGCVVKPPAGLIEEVENRKGRKPKPKRKKGQNESPKKKVSREKRIMHCGRCGIAGHNAKNCKNFGVPKFLKPRKRMSSNTGEDGYESTNTNG >A06p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2369535:2372379:1 gene:A06p006860.1_BraROA transcript:A06p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENNLMEETALIEDSSDVEFACCGDPKVEPRVGDEFQAKIPPMLSASERAVLLSTPPALDDHSSYSFLIGQPVQVTWIDKRPKGQANGDDDDVDMNQSLKSLRSKRSRCSDKNPKPKKRRLNLEAVPELPSSSWEDHEVASFVLGLYTFGKNFTQVKKFMESKGTGEILLFYFGKFYNSVKYHTWSDSRKKRSRKCVYGRKLYSGWRQQQLLSRLVPSISDESQKQMLVNVSKSFAEGKITLKKYIDAMKDLVGLRPLVDAVAVGKGKEDLTVRSAVPVKTTKPWFTVSPKSSSFPGLGAYASLTSADIINQLKGSSRLSKARCSDIFWEAVWPRLLARGWHSEQPKDRSYITSKDNIVFIVPGVKRFSRGELVKGDHYFDSVSDILTKVASEPELLEVETGGGGEIVNESVNSSDQSDEELPPSDSQRHRYLKSPSSSRGNLQMRFTVVDTSLASGGKLCDLRNLKVSETKTGLGDKDSSSLSSQNVEMPSLDAPMRFVIVDTSLDHRKKWSGLRRWKRLPSDGRNKDDSSVKEEEESLERVKDPSKRLIKKHRAEDTNHHSVKSAPSLKRRRLSACVKREKSLSKEDPESDSLSLCAVQHKNSTVEEMSEDKERYENVDYMKLKSDQSEKTGTGPSSAVVETQEMSETKPNGLCSVSGEDNDCSPEEVRTSHELISSEHHSNGLCSASGSDKGRVSIDTEQEQEVELHQKATIDHPVNTQELGSSEQQEANTDAPRRHSTRKRPLTTRALEALESCLLTTEIMETTVKPRKRERSSRKKHSAKVSNRAQLLPDNGITDKEQRGGEDGSKATASNNPLDQIEDTKPSFIVNGAATESSKPPLDRRHDSKPALTEHPKLPPIILKLSLKRSRASET >A09g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26885452:26886916:1 gene:A09g509340.1_BraROA transcript:A09g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFASTDALLSRLFRHDISIDVQYNPSIDTTFIDNANFFFFLVSDEHSVLHGLAPKTHHSIGTRYRITITELCRIYGFDESIASYTEGKLWRFRIRDEHRLLPLPDRRITDFGNNVEQLRCMPDEAFLRNPRNMSRRPPSIHRTRAQDAQAPPLPDFPNIPDIPMHDQGDFQRFVVDALQAIWARVSCRSRRATGAQAPAPAAARRDPSPEDDEATDEDTD >A02p003590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1685716:1686526:1 gene:A02p003590.1_BraROA transcript:A02p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNKDDDFTFSSVVPPDSEVSLETKDLASRVDSITLKDALDPQRSNKPLSQVPPKEKTVGSLSFTVTDSSSKPSNESSSEIIKARKPITRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGESNRRLISMDEVKTHNKTGDSMWTVLKGRVYNITPYMNFHPGGVDMLMKAVGRDGTLLFNKYHAWVSYDILLEKCLVGVLDDTKVKK >A04p022590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13626857:13627371:1 gene:A04p022590.1_BraROA transcript:A04p022590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BRICK 1 [Source:Projected from Arabidopsis thaliana (AT2G22640) UniProtKB/Swiss-Prot;Acc:Q94JY4] MAKAGGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLVQFESTTKSKLASLNEKLDLLERGLEMLEVQVSTATANPSLFAT >A06p052790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27886754:27887972:1 gene:A06p052790.1_BraROA transcript:A06p052790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 12 [Source:Projected from Arabidopsis thaliana (AT2G01660) UniProtKB/Swiss-Prot;Acc:Q9ZU94] MFCTKTLLFITVLFLLGTFTFAAVDTFVYGGCSQTKYFPGSPYESNVNSLLTSFVSSASLYTYNNFTVNGISGGDGSVYGLYQCRGDLSLGSGDCARCVARAVSRLGSLCAGATGGALQLEGCFVKYDNSTFLGVEDKTVVVRRCGSPVGYNSDEMTRRDSVVGYLSTSSGGSYRVGVSGELQGVAQCTGDLSASECQDCLMEAIGRLKSDCGTAAWGDVYLAKCYARYTGRGGHSRANGYGGNRDNNDDEIQKTLAIIVGLIAGVTLLVVLLSFMSKSCERVKGGK >A10p015690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3493553:3494227:-1 gene:A10p015690.1_BraROA transcript:A10p015690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTKDQDRFCSTPKLPLFSYPMNMPYETPGLATPPVNIGGSVPFLWEEAPGKPKARSSVRKPPRSKHTGEKRGVVRSLDLPPRLLLPGESCKSFAANEPSPTTVLDGPYDLRRRSLSLPRSAYVVRKLRGVPAPAPAKEKRLFGSSRWGSFGKCKDVSEGIFDFPRFRDGGCDCRRDWTGGGSDFSGDGGTKVKLFRLKRKGNLFNLSHATKSEFWVSFAFFS >A01p039330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14403007:14409258:1 gene:A01p039330.1_BraROA transcript:A01p039330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKKERSFTYLNGQKEFFQIDHNDLYPYLLVNIGSGVDGDGKYERISGTRLGGGTLFSFSYDELLELSHHGNNRISLSSTAIASSFNGKELEEYQPDDITYLNARFGLKRIFFGGCSICGLVYTMDTIYVAVHFRFRVHRDFTSSVSPSMASRFAFHQGLESRSFITISRFSVSFSLLMKGIGEIAFRSTTRSPSIVDGGSGSDRPRREPCQEGRGVIAIPTRSRFRLSWACECLDQLAELGLRMSLPMCRYCGSSSAINYCWVARQPRICYGRSNDYAYQTRGHLQSPICQFCGQNPALIACYQENLKLCYQCFVNHQICVPALHTVHVIPFSSHMVCAQPIGALGNRAGRGAGERGQGGGRGHDSDGGNKRPRTVIGPEMPLLQLLDKPGPSQPHSHRQAGIMNLDLMFHQSTLAALEEQIIRSYGGTRLPRGLGIGTRLPLLINLQHTSSSGSNSIENCITDVIAGSTGVSGSTGGALLALMKAAKESLRKILVLGDFSEYPLDENMHCTDRFAVMFSGYLDDVEAQHENVAEFLTKELKYLDAFGDVDMPEEVMSRSVFTAILSQLLDEIHPKPADFVSGVWDYVEAVLSLVITKYSDNFPQIQSSLKRAGQSLISRMEEQSGNRVAEILETEKLTDYTCNRTYMTSWTQKMNEQQSFINAVLHDGSKPAYFSLTGFGDVQISHLRKYHAHLLQKAFGLKMRIAAYWPLVSQRIVDSISLHLQLSVNYLVNSRFETEILAEMVDFWGGGRVERMLRGGEQEKEIMESSIGLLKDTVDVV >A07g500080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:153643:153882:-1 gene:A07g500080.1_BraROA transcript:A07g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALGAHALHYQWLLEARAAATRMAKLRVDINQSFGAALWTSQILSNRGLIGYQRLPSHATMLLVLSFDYVQANKLFC >A09p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3791544:3798500:1 gene:A09p007180.1_BraROA transcript:A09p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peroxidase 61 [Source:Projected from Arabidopsis thaliana (AT5G24070) UniProtKB/Swiss-Prot;Acc:Q9FLV5] MSNIKFPKHVLFFVFFLIFLLSKSSDAYGGIAIYWGQNGNEGNLSSTCATGRYAYVNVAFLVKFGNGQTPELNLAGHCNPAANTCTHFGTQVKDCQSRGIKVMLSLGGGIGNYSIGSREDAKVVANYLWNNFLGGKSSSRPLGDAVLDGIDFNIELGSPQYYDDLARFLSKYGVKGRKVYLTGAPQCPFPDRLMGSALDTELFDYVWVQFYNNAPCQYTSGNTKSLFDSWNTWTTSVTAQKIFLGLPAAPQAAGSGYIPPDILISQILPTLKESKKYGGIMLWSKFWDDQNGYSSSILASFVLFFPLLALLVISLAGTATVEAATGLNPPVKLVWHYYKVTNTCDDAETYIRHQVEKFYRNDSSIAPKLLRLLYSDCMVNGCDASVLLQGPNSERTAPQNRGLGGFVIIDKIKKVLETRCPGVVSCADILNLATRDAVHMAGAPSYPVLTGRRDGGVLNADAVDLPSPSISVEESLAYFKSKGLDVLDMTTLLGAHSMGKTHCSHIVNRLYNFKNTGKPDPTMNTTLVSELRTRCPPRTKKGQTDPLVYLNPDSGSSNRFSNSYYSRVLSHNAVLGVDQQLIYNDDSMEITQEFDASFEDFRKSFALAMSRMGSINVLTGKAGEIRRDCRVTNANYGA >A03p064520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28058387:28060360:1 gene:A03p064520.1_BraROA transcript:A03p064520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTNQTIFSIFSLLLLFSSCVSAQLRTGFYQNSCPNVESIVRNAVRQKFQQTFVTAPATLRLFFHDCFVRGCDASILIASPSEKDHPDDMSLAGDGFDTVVKAKQAVDRDPKCRNKVSCADILALATREVVVLLGRRDGRLSTKASVQNNLPQPGFNLNQLNAMFNRHGLSQTDMIALSGAHTLGFAHCGKFSNRIYNFSPRTRIDPSLNSGYALQLRQMCPQRVDPRIAINMDPTTPRTFDNAYFKNLQQGKGLFTSDQVLFTDQRSRATVNSFANSETAFRQAFVSAITKLGRVGVKTGNAGEIRRDCSRFN >A06p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10538076:10538992:-1 gene:A06p021280.1_BraROA transcript:A06p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLFINLEEDLLYNDLLKMVSEDFRIREEAITLSYGISLFLIREEGITLSYGISLYVKSMVENIPNLHRKQSPTKKSHRQE >A09p043190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35095522:35102225:-1 gene:A09p043190.1_BraROA transcript:A09p043190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFRSSVLVVLSLIIVSSVARASAETKIHIVYLGEKQHDDPKLVTESHHQMLSSLLGSKEDAHDSMVYSYRHGFSGFAARLTKSQAKKISYSPEVVHVMPDSYYELATTRTWDYLGLGTANPKNLLNNTNMGDQVIIGVVDTGVWPESESFNDDGVGPIPSHWKGGCIPGENFKLTNCNKKLIGAKYYINGFQSEIDGFNFTESPDYSSTRDFVGHGTHVASTAGGSYIPDVSYKGLARGTMRGGAPRARIAMYKACWYLEELGGVTCSSSDILKAIDDAIHDGVDVLSLSLGSRIPLNSETDLRDAIAIGSFHAVAKGITVVCAGGNAGPSAQTIANTAPWILTVAATTLDRSFATPIILGNKKVILGQAMYTSPNLGFTSLVYPEDPGNSNETFNGDCESLNFFPIRAMAGKVVLCFTTSRRYTTLSRDASFVKRAGGLGLIIARTPGYTVSPCKDDFPCVAVDYELGTDILFYIRSTRSPVVKIQRSRAIVGQPVGTKVATFSSRGPNSISPAILKPDIAAPGVNILAATSPNATNNAGGFAMYSGTSMAAPVISGVVALLKALHPNWSPAALRSAIVTTAWRTDPSGEQLPAEGSSRKAADPFDYGGGLVNPEKAAEPGLIYDMGPKDYILYLCSAGYNDSSISQLVGKVTVCSNPKPSVLDMNLPSITIQNLEDKVTLTRTVTNVGPVNSVYKVVVEPPLGVRVVVTPKKLVFNSKTKRVSFKVRASTTHKINTGYYFGSLIWTDNVHNVTIPVSVRTQILQNYFDEN >A06p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19720045:19727890:-1 gene:A06p036330.1_BraROA transcript:A06p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHENAVKEALNALYHHPDDTVRVQADRWLQNFQGTLDAWQASYSLPDLTWIFLGSIVADNLLHDSSSNLETLIFCSQTLRSKVQRDFEELPPGAFQKLRQSLTVLPFQAIVTLLKKFHKGPPKVRTQISIAVAALAVHVPAADWGDGGIVSWLRDEMNMHPEYVPGFLELLTVLPEETFNYKIAARPDRRRQFENELTSQMEAALSILTACLNIIELKEQVLEAFASWLRLRHGIPGAVLACHPLVHSALSSLNCDPLSDASVNVISELIHHTASPSSGGISAQTPLIQVIVPQILSLKAHLRDSSKDEEDVKAIGRLFADVGDSYVELIATGSDESMVIVHALLEVTAHPEFDIASMTFNFWHSLQLTLTKRDSYISLGSEASIEAERNRRQHVFRPAYENLVSLVGFRVQYPEDYQDLSYEDLKEFKQTRYAVADVLTDAASILGGDTTLKILYMKLLEANAQPGNGFQEWRPAEAILFCIWAISNYVSVVEAEVMPQVMALLQNLPQQAQLLQTACLLVGAYSKWLNAAPASVSILPSIIRILMSGMGASEDCAAAAALAFRHICDDCRKNLCGYFEDLFTIYCMAINGGSGYKVSAEDSLNLVEALGMVVTELPLDQARSALEKLCLLAASPLEEAAKEDLDKKHARELTVHIDRFAFLFRYVNHPEAVAAEINKHWAIFRVIFDARPWDMRTMEALCRACKYAVRTSRRYIINTIGEMLAKIQFHYQQHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIETLFAHTTCLMTSIKEVTARPDIADDCFLLASRCLRYCPHLFIPSHIFSPIVDCAIIGMTVQHREACHSILTFLSDIFDLEKSVNEEQFKRIRDNVIIPRGATITRILISSLAGALPSSRLDTVTYALLALTRTYGLQAVGWAKATVSLIPRTAVTETESAKFLQGLSDAAYGADVNSLIGHAEELSDVCRRNRTVQELVQAALKPLELNLVAPVS >A04p038620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22294765:22298264:1 gene:A04p038620.1_BraROA transcript:A04p038620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITDLLEELLVRLPLKSLGRFKSVSKEWKSILESKWFVERHLNFQKSSRKILTAYNCDCRVSPTLLPESLFEEGEEFVSLHCDATRPSMSCDGLVCFPEEECVNVMNPSTGQLQRFHSPPLVNRRTNSNFTNGTWTAYFPGYYAMGFGREKVKGHYKVVRISGDPNYSDTLDVNTGKWRTLWKPRRYKVDVGRKSACVNGTIYWLRIVSHGVTILALDLHKEKFHYVKHPHLPKGIMLEAQIVNVGDRLAITMPERNFFGGLELGIWTMDVGQEETWSKTHSISLLGIKPDVVSRSFTPLSVSNQGNVVFYDDKKRLFKYYQDTDQLRPFSSDICAICPYLESLVPLKPHQVEFSTRVTCIHIPTDEIQSRRAGEFLHVGASLWKNKWIAMYLIMCCFGTRGAVFRAAMLLLVCLISRSGYLLYIIVFIVLLRIYAIVICGVIMCSLLILNSSDALLYVIRCLSKLIEFDFA >A01p021660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10559256:10560789:-1 gene:A01p021660.1_BraROA transcript:A01p021660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLQTPYIYPNTTIYAQILKSIPSTMVNQTSVTIAQAPSPLFFTQVSAGPGDSKLQFRLIHFWEARKHAKGGILIGIEMLMINEQLKRGSIYTLTNFFASNSKVMYGVADQKLVICITHTSILSKLEENIEGIPSQCFRIHSFPDFEANCDLRGDLYDVVGYLKLVGRPGSPSTSRFTHQGWLNFTENYVLPPKDRPLEMPPMPISSSQNNHTASEVDSSVVTKSSGGGLSYANSHPSSATDEEKKPKRIRRNG >A09p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14788128:14801828:-1 gene:A09p026180.1_BraROA transcript:A09p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein RPS6 [Source:Projected from Arabidopsis thaliana (AT5G46470) UniProtKB/Swiss-Prot;Acc:F4KHH8] MIEEIANYILGKLNLSPPDEFDHFVGIEDHIRAVSSLLDLESEEVRMVGIWGPSGIGKTTIARSLFSRLSRWFQSSVFIDKIFISKCMEVYRGANVCDYNLKLHLQRAFLAEVLDKRDIKIDHIGAVEKMLRHRKTLIFIDDLDDQDVLDALAGRTQWFGSGSRIIVVTKDKHILRAHGIDHIYEVCLPSKDLALEIFCRSAFRRNSPPDGFMELASEVVFCAGNLPLGLDVLGSNLRGRDKEDWLDMLPRLQNGLDEKIDRTLRVSYDGLCNKKDKAIFRHISCLFNGQKVKDIKLLLGDSDLDVNIGLKNLVDKSLVHVRSDIVKMHSLVQVMGKEIVRRQSDKPGEREFLIDLKDICHVLKDNTGTNSVLGISLNMDETDELHVHENAFKRMENLRFLEVNSKSHYMLGKAGKLHLSQNFNFLPPSLKILCWFGYPMRSMPSKFHPENLVKLKMRKSMLEELWTGVVSLTCLKEIDLSRSENLKEIPDLSMAKNLETVSLMYCSSLLELPSSIQNLRRLRHLDMFSCTNLKTIPTGIYLKSLNFLGLCKCPRLRSFPEISKQHLPQTSIEESGSPSNLSLEVLHMTNLKREKLREGVHQLLTPFMRILFLSEISSLVELPSSLQNLNKLKFLDIRNCKNLETLPTGINLQSLEYLYLYGCSRLRSFPNISRNIKRLYLSYTSIEEVPSWIENFSRLEGLSMRNCNNLRRVSLNILKLKHLEMGDFSNCMALTEASWENSAKTTENIHSRLPEKAGSSLTDAYISMVQLNFFDCFNFDHKALFQQQTVLMQVILSGEEVPSYFPYRTTGTSLTNIPLSHISPSQPSLRFKACALCDVCFRFVDISGNHFDYVDSQPEFSTSKLGGHLVIFDCFFPSNKDIKPLADQLNYAHVDIQFGLVEEDDAVELKGCGILLPENGQSLGNRPCNPNILPIVCGGITINNAYMGGYETKDSQECGDRAVESSPDTLSHVCEADHEDHVANDGFHETEKVKKFYFADSYATILTQQQDSRRIARHSQDIAIEFQVYIIGEDVRKTFLSHFLKELDRKLITAFKDNEIERSRSLDPELRQAIKDSRIAVVIFSINYASSSWCLNELLEIVRCKEECAQMVIPVFYGLDPSHVRKQTGDFGKIFDKTCQNKTEDEIILWRKALTDVANILGYHSVTWDNEARMIDEIANDVLDHIRAMSSLLDLESEEVRMVGIWGPSGIGKTTIARALFSRLSRRFQSSVFVDKVFISKNMDVYRGANLGDYNMKLHLQRAFLAEVLDNRDIKIDHIGAVEKMLRHRKALIFIDDLDDQDVLDALAGKTQWFGSGSRIIVVTKDKHFLRAHGIDHIYEVCLPSKHLALEIFCQSAFRRNSPPDGFMELASEVVFCAGNLPLGLDVLGSNLQGRDKEDWLDMLPRLRTSLDRKIERTLRASYDGLNNKKDKAIFRHVACLFSGRKVDHIKLLLEDRNLDVNIGLKNLVDKSLIHERFNTVEMHSLLQEMGKEIVRAQSDEPGEREFLMDSKDIWNVLEDNAGTKRVLGIELIMDETDELHVHENAFKGMCNLRFLEIFGCNVVRLHLPKNFDYLPPSLRLLSWHGYPMRCMPSKFQPENLIKLVMRAGNLEKLWEGVASLTCLKEIDLTLSVNLKEIPDLSKAMNLERLCLDFCSSLLELPSSIRNLKKLRDLEMNFCTNLETIPTGIYLNSFEGFVLSGCSRLRRFPEILTNISESPSYLTLDVLNMTNLRSENLWEGVQQPFTTLMTRLQLSEIPSLVELPSSFQNLNKLKWLDIRNCINLETLPTGINLQSLEYLVLSGCSRLRSFPNISRNIQYLKLSFSAIEEVPWWVEKFSALKDLNMANCTNLRRISLNILKLKHLKVALFSNCGALTEANWDDSPSIVAIATDTIHSSLPDRYVSIAHLDFTGCFNLDHKDLFQQQTVFMRVCFRFIDISGNHFDYVDVQPEFSTSRLGGHLEDYELQLKGCGILFPENGQSMGNQPCNPNILPLVFGGNTSNNGYLGGHETVHSQEYGDSALESNPDNISHVLAADEDNVVNDGFHVADQSVETLRKSKRMRTT >A01p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2976846:2980116:-1 gene:A01p005700.1_BraROA transcript:A01p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLHKTLFTHNPTTLDQLMMCHTGKDSSWKKLENIEEEGPATVALEVKTALPPETPTETMEFLGRSWSISAVELTKAFFNNSAADTNSFLLSTIVNTNKEVREDEEDSTSMASSRDLVSHLFLHSKPVRLVWFAPATHRKQDQSSNFSKNRQRNEVMQHLYKSMVRGRTMGRRIKDQKEKKKQETRTRNAEIHAAVSVAGVAAAVAANAASNAVAAAEHTVESTAVAAAVASAAALIASHCIEMAGEIGAGYNQIETAVSSATNARSNGDVMALTASAATALRGAAILRARMERNNENKAMLYATKENVEREERNVFGAMTFVSRGEELLKRTRKGDLHWKQVSFNINSNWQVVLKMKSKHVGGTFTKTKKCVVNGVCRDIPEWAYRGGRVEKMVESRAYFGVKTVERVIEFECVNKKEKQMWIEGIQQLLSSLETGSIVFTGKH >A01p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14367753:14368104:1 gene:A01p039380.1_BraROA transcript:A01p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLIKSNLSRLDASFVESTLELLPTFLGCCPNLQSLLMDFDCLPETDEIKLSYLPHCFLSFLRVCSDGDTNHSHRTICQDESSKILYKEL >A04p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23017662:23019463:1 gene:A04p040780.1_BraROA transcript:A04p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDWISELPRDLIIQILSSLSTKDVTATSVLSKSWRSVWKMVPNIKFDSGYHYKTESHSFSEIVCKSLLSCSSPVLESLYLVNIYESEASDDIELLIGVAFARPVRKLVLELLLDKDYDGELIRILPSVFFSCNNTLEILELSSKLLLLDFPCRVSLKSLKKLRLIDVEFKDDESVCNLLCGCPILEGLVVHRRNSYDSKAFIMAKICNSKYEDDLIRFPSVFSSGNNTLEILELSTMLLDFPCRVGLKSLKKLHLINVKFRDEESVCNLLCGCPILEDLTVDRRGNYDAETFTIAVPSLQRLTIVDTFQGTANGGYVINAPSLKYLNIKGVGFEWFRGLITLGECYEFFLIENATELVEAKIIGVIMDIHVHNEDILASLASVKRLSLDFSPLKIKCPTGITFYQLVSLELNTRKSEWSNLLARMLDNSPKLQILKLINTANDYNNRTLYSFRLVGEWEKRPKCVPECLLFHLVTFQWTNYLWELEEELEVAKYILKNARWLKKATFYTEPEDVETLEEKREMLNELASVVRASNSCHLVFESSSN >A04p002940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1394522:1400762:1 gene:A04p002940.1_BraROA transcript:A04p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNLAVIIAAAACSPNPDERKAAEQSLDQIKHTPQHLIRVLQIVVDGGCDPAVRQAASIHFKNFIAKHWDPHSGDQSKILPSDKNVVRDQILVYVSQLPPILRVQMGECLKTIIYADYPEQWPHLLDWVKHNLQDQQVYGALFVLRIMSSKYEFKSDEDRAPIHRVVEETFPHLLNIFDKLVQIESPSLEVADHIKLICKIFWSCIYLELPRPLFVPNFFNAWMVLFLNILERPVPLEGQPEDPELRKSWGWWKTKKWVAHILNRLYTRFGDLKLQNPENKAFAQIFQTNYAAKILECHLKLLNVIRIGGYLPDRVINLILQYLSNSIPKNSMYTLLQPHLDVLLFEIIFPLMCFNDDDQVLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVTELIRKRGKDNFPKFIQFIVGIFKRYGEAPLEQKPYRQKDGALLAVGTLCDKLRQTEPYKSELENMLVQHVFPEFSSPAGHLRAKAAWVAGQYANINFSDQTNFSKALHCVISGMRDPELPVRVDSVFALRSFIDACKNLDEIRPVLPQLLDEFFKLMNEVENEDLAFTLETIVYKFGEEISPYALGLCQNLANAFWKCVDTDNGDDEADDTGALAAVGCLRAISTILESISSLPHLYGQIEPYLLPIMRKMLTTDGQDVFEEVLEIVSYITTFSPTISLDMWSLWPLIMEALVDWAIDFFPNILVPLHNYISRGTELYLTCKQPDYQQSLWSVISSLMANKNIDDSDLEPAPKLLGIVLQTCKGHVDQCVEPYLRITLDRLRGAEKSSFKCLLIEVVANAFYYNAPLTLGILQRLGFATDILTIWLHMLQEKKKSGAHANFKGEHDKKVCILGLTSILSLPAGQLPGEVLPPVFRALLELLAKDFRSYSDDDDEFSEDDYSDEELDSPIDEVDPFILFMDAVTAMQATDSLRFQSLRQTLDPHYEGLANNIAQHTEQRRAEILKEQLEKQASTTVAS >A09p080060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58719718:58725536:1 gene:A09p080060.1_BraROA transcript:A09p080060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHNDNHHLSYSLLHGLSNNPPPPGFIDQDGSSCFDYGELEEAMVLQGVNSRSHEAKPRLFAGGGATTLEMFPSWPIRTHKSLPTQESSKSGGESSDSESANFSGKAESQLPEFPMSSKQNHHLMLQHHHSNNMANSTSASGLPSTSRTLAPPKASEDKRKATTSGKLLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELQRARSQGMFMGGCGPPGPNITYGAAMFDMEYGRWLEDENRHMSEIRTGLQAHLSDNDLRLIVDGYIAHFDEVFRLKAVAAKADVFHLIIGTWMSPAERCFIWMAGFRPSDFIKILVSQMDLLTEQQLMGIYSLQHSSQQAEEALSQGLEQLQQSLIDTLAASQVIDGMQQMAVALGKVSNLEGFIRQADNLRQQTVHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWLSRPRETLINDETSCQTATDLQMVHSSRNQFSNF >A08g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16071628:16073205:1 gene:A08g508690.1_BraROA transcript:A08g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEIVRAWCLLDPILVNKLNGSASVWAVQCRTSKRPVTSCSKRDRRPKDRGRVAGILDSIYYKRRSTHMKRDTLKTLERATSIHQSCFHLALDLFPYRSPHSVLFIQIEIETLTGVCMCSQGAPHHPSLLMSETWNKPYSREYAAFSAPMALLRQVLCALSSQMKNKSPKQPRDRDGDRKLGRERGRKTSNLKFVDTETFPERLLLCPSPYPTILDVPGSPHTYWGRFLCVPETSPSPRRTRYVRRHQVGVPVQPSKSQLQCLLDLH >A03p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7002276:7003484:1 gene:A03p017370.1_BraROA transcript:A03p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVFYDGHGGAKAAEFAAKNLDKNVLEAVAGKNDESEIADAVKRGYLTTDAAFLNENDVKGGSCCVTAMFSDGNLVVANAGDCRAVMSVGGVAEALSSDHRPSRDDERTRIETTGGYVDTFRGVWRVEGSLAVSRGIGDAHLKKWVIAEPETKTLRIEQDHEFLILASDGLWDKVSNQEAVDIARPFCLGTEEKPLLLGCKKLVDLSASRGSLDDISVMLIPLDQFI >A06g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17661883:17663095:1 gene:A06g506210.1_BraROA transcript:A06g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVYYPLCGDIDSLTTHMNAHTRPSIDSDHTSLRGKLVTEKFLHDKLDEITFSQDLLKEDIYQELKDISESTHARLGMQQCSIGNLQHRINASEVARERLKNQWTGEERRGVHQKLHWYLVPDERGRYAKVDHRAKYSHLLRMFERTKLTSNLIELNLLVLGLGIHWIRILLQVWK >A02p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1036875:1039271:1 gene:A02p002440.1_BraROA transcript:A02p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNINFETSNASQGSRLHLHSQSQPPQLFNLQDVNMNHYNQSSPWTSETFSGYTPYDSTVNQSLSVQCSSSKPCHALFHPYHHQSSDHPSVDQFQSMELCRRTYSTSNVTQLDFSSSHHQAKQTHPRFSSHSFSAHGGSMAPNCGTVGNKTRIRWTQDLHEKFVECVNRLGGADKATPKAILKLMDSEGLTIFHVKSHLQKYRIAKYIPDYQEGKFEKGSCSKELSQLDTKTGVQIKEALQLQLDVQRHLHEQLEIQRNLQLRIEEQGKQLKIMIEQQQKTKECLLLKSPNAEASLSHSASDHSPPPYSIQDAEALMLTTYGDTQFQSKIS >A09g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25151311:25155686:1 gene:A09g508980.1_BraROA transcript:A09g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFVLDALIMSSFGPCHERPSTRASFSGVEPTPLAAKPTKLSRACRARACYSVGLVERFRHPNTIAYPEKFFESAQLIATHSHLRWPDLSREWIRRQQARISRVDWESRLPCVLGPRKSRLSLFTRKQQKLLNKAREMEGIPDLSALLKGKLKMLSSKSSSAGASEARPTPADGDVNSEPPTQSSPKKKTSKAKKQAKKGQSSSLEGSVPLEEAPSSADASEVTAKKKKKKKDSKKRARNDDAGRHDPTDSTRGSPEERPKKKSKKTAVEDGGTLAPEGPSKRGGKATETGDGSRDESPLSKRALSSSARKKGVESGGSLPQMAGKGFPDRVEFLYDETTPLVLNPLRCAELTRQIRERREYELSRREVRQYSEADDDPAGCLGETCGNQAERDREDSAENKKASEKAAKEKEVLRVKFEELEDKLKSDRLAKKDALRRRLGCRRWNAGQREAALEKVELEGERDAVVGTQVKERQRLRDSRIQEVTRERVKVQAAMADKSTRCFGRVKDYLDRLNALEKAKSLYGQASGTKKCLEVIRDSGTEIPQDMIDIVSEQEKLHEAEVAKLRLDPFSKTDFALSPLNLPSRFVSEEFMGMLDPYGSNVGLIGHESASQLITSREATKDPTDEPMIDITSALSKRIAVPEGTAVEECSDKNDSGVGGNAIQEETGDVAAEDPVLVSSSEEREDDEGGDQEENRSSPTLIEEVALNPSASDPPAQIEGPNAQVAEETVGSPDPVVSDKEDQDTVA >A07p032420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17791433:17794236:-1 gene:A07p032420.1_BraROA transcript:A07p032420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRGYPSMAATIYGVRSFFLLAAIVILLSGRSGGATAATRGYIKYKDPKAAVEERVEDLLTRMTLPEKLGQMCQVDRFNFSFYPPATRHEIFTKYLIGSVLSNPYDTGANPSKRVVEANTMQKLSLSTRLGIPLLYAIDAVHGHNTFINATIFPHNVGLGATRDPDLVKKIGAITALEVRATGIAQAFAPCVAVCRDPRWGRCYESYSEDPKVVTMMTESIMKGLQGNAPYVADFKTKLAGCAKHFVGDGGTVGGINENNTVADNATLFGIHMPPFEIAVKKGIASIMASYSSLNGVKMHANRAMITDYLKNTLKFQGFVISDWLGIDRITTPPRANYTYSIEASINAGIDMVMVPFQYIEFLEGLTKLVNGGYIPMSRIDDAVRRVLRVKFSIGLFENPLAEETLVAEFGSEAHREVAREAVRKSMVLLKNGKTNVDKVIPLQRNVKKIVVAGAHANNMGWQCGGFTLTWQGFNGTGENIGRNKAMQLPTGKTRGTTILEAIMKTVDHTTEVVYVEEPNQDTSKLHADAAYTIVVVGEAPYAETQGDSTTLSIAAPGPDTIRHTCGSGMKCIVVLVTGRPLVIEPYLDTIDALVVAWLPGTEGQGITDVLFGDHPFTGTLPRTWMKSVTQLPMNVGDKNYDPLFPFGYGIKT >A01p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10428230:10432683:1 gene:A01p021470.1_BraROA transcript:A01p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSFQRSALLLITLSIFFFIPVSISVPFILLHGIRDQCSNGGTISFTQLLSNLSSSPGSCLEIGNGEQDSVSMPLTQQASIACEKVKQMKELSQGYNIVAQSQGNLVARGLIEFCDNAPPVLNYVSLGGPHAGIANIPKCTSPVCQLLRTDVYSDYVQDHIAPSGYIKLPNEMSKYLEHSKYLPKLNNERPTERNSIYKERFTSLHNLILVMFQGDKVVMPKESCWFGYYPDGATTPLLPPQQTKLYTEDWIGLKTLDAAGKVKFVGVPGEHLQMAHDDVTSLDFFVFFSRLTIVISLSSSMDKSFKRSALFVTFAIFFFIPVSISVPFIHLHGINDQCSSVEAMSFTQLLRNLSSSPGYCLEIGNGEKDSMYMPLMQQASIACEKVKQMKELSQGYNIVAQSQGNMVARGLIEFCDDAPPVLNYVSLGGPHAGISIIPKCPAGASYPLCQLQETEVYSDSAQDHIAPCGYIKLATEISEYMEHSKFLPKLNNERPNERNSTYKERFTSLHNLVLVMFEGDTIVVPRETCWFGFYPDGATAPLLPPQKTKLYIEDWIGLKTLDDAGKVKFVGVPGDHLEMAHDDVVKYVVPYLQNQLSFSS >A01p038240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14509844:14512925:1 gene:A01p038240.1_BraROA transcript:A01p038240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative potassium transporter 12 [Source:Projected from Arabidopsis thaliana (AT1G60160) UniProtKB/Swiss-Prot;Acc:O80739] MDEEDRIEEASSNTSLRRVGTGSSSDRRWVDGSEVGSETLPFPEFKDVADYSFGNLRRRLMKKPKRADSLDVEAMEIAGVHGHDLKDISLLGTIGIAFQTLGVVYGDMGTSPLYVFSDVFSKVPIRSEVDVLGALSLVIYTIAVIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNKLPNQQPADEQISSFRLKLPTPELERALGIKEALETKGYLKTLLLLLVLMGTSMIIGDGILTPAMSVMSAMSGLQGEVEGFGTNALVLSSIVILVALFSIQRFGTGKVGFLFAPVLALWFISLGSIGIYNLLKYDITVIRALNPYYIVLFFNKNSKQAWSALGGCVLCITGAEAMFADLGHFSVRSIQMAFTCVVFPCLLLAYMGQAAYLTQHPDASSRIFYDSVPESLFWPVFVIATLAAMIASQAMISATFSCVKQAMALGCFPRLKIIHTSRKRMGQIYIPVINWFLMIMCILVVSIFRSTTHIANAYGIAEVGVMMVSTVLVTLVMLLIWQTNLFLALCFPLVFGSVETIYLLAVLTKILEGGWVPLVFATFFLTIMYIWNYGSVLKYQSEVRERISMDFMRELGSTLGTIRIPGIGLLYNELVQGIPSIFGQFLLTLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDVRKEDSRVFEQLLIESLEKFLRCEALEDALESTLTDFDPDRVSVASDSYTDDLMAPLIRRGKRSEAEAEQELETDVLPSSSVGASMEEDPALEYELAALREATDSGLTYLLAHGDVRAKKNSIFLKKLVINYLYAFLRRNCRAGAANLTVPHMNILQAGMTYMV >A03p064530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28061893:28066243:-1 gene:A03p064530.1_BraROA transcript:A03p064530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDIKNETVDLEKIPIEEVFQQLKCTKEGLTTQEGEARVQIFGLNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAASNDLSKRVLDIIEKYAERGLRSLAVSRQTVPEKTKESLGSRWEFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGNHKDPALANIPVEDLIEQADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLREIFATGVVLGSYLAVMTVVFFWLAHETDFFSNLFGVRSIKGNDHELMSALYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFLIAQLVATLIAVYANWEFAEVKGIGWGWAGVIWLYSIITYFPLDVIKFAIRYTLTGKAWLNMFESRTALTTKKDFGKEEREAQWAVAQRTLHGLQPKEPVNIIPEKGGYRELSEIAEQAKKRAEIARLRELHTLKGHVESVAKLKGLDIETPGHYTV >A02p010640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4606459:4610580:1 gene:A02p010640.1_BraROA transcript:A02p010640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT5G21326) UniProtKB/TrEMBL;Acc:A0A178URQ5] MNRPKVQRRVGKYEVGKTIGQGTFAKVRYAKNTETGESVALKILDKQKLLKNNKMSVQIKREISTMKLINHPNVVRLYEVLASKTKIYIVLEFAMGGELVDKIRHDGRLREDGARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDGQGNLKISDFGLSALSRQAGGDGLLHTTCGTPNYAAPEVLGDQGYDGATADLWSCGVILFELLSGHLPFEDSNLLTLYNKITAAEYNCPYWLSPGAKNLIVRILDPNPTTRIKVPEILEDAWFKKDYKPAVFEEREEAKLDDVEDVFKDSEEHHVTEKKEEQPTSINAFELISMSRALDLGNLFEGEEGFKRETRFAAIGGANELVQKIEAASKPLGFDIQKNNYKMRLENVNAGRKGNLEVATEIFQVSPSLHMVEVRKTKGDTLEFHKRGTPVRRLTSSRLMIDEAPKETPSLISALNVERAIRSPS >A10p035730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20441049:20443758:-1 gene:A10p035730.1_BraROA transcript:A10p035730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan endo-1,3-beta-glucosidase-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G08000) TAIR;Acc:AT5G08000] MAVLFLYLLSLLMAGHCSATWCVCKTGLSDSVLQRTLDYACGNGADCNPTHPKGSCFNPDNVRAHCSYAVNSFFQKKSQASESCNFTGTATPTTQDPSYSGCPFPSSVSVTGGGGSTTGGSGSTTGGGSSSTVTPGKSSPKGNSPITTFPGGNSPYTGSPFTGTPTTGLLGGNITDATGTGLNPDYSNENSGFEPCQVCGDIGFVDLIMTCFYCRDVREHTYCAKVHLMSPPDMWLCEVCRSSGRVLHVPSLVDKDTKDLTTDHGASGSKISGESSEKGSSSYTSPSKTNKPPFLAFPSPKRKRRTPRIPGEICF >A08g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1290183:1297888:1 gene:A08g500520.1_BraROA transcript:A08g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILSHSPKIFIRKPVLIRASAGGSSSPLQTPPCYINGAKYAPDNVELPSLLHGVGILRLHDDLNPAASDANPKRISLPPLVTLPHCQTQIITNVSLSSLSPEEEDCVVAVKFMGPQLSFCRPSAQGDSKWFNIRIANPSFYSSRVMFSKKHNMFRIPGSGGQLIASWDLCKDIKHTPKFQELRYHFLPEPTEAEREVMDTCLTTEHLVESQSTGETFLVRCFRQTVYGKALLETKAVMVFNVSPKGNAVYTQDIGDLTIFISKAEPFCVRASSFPGVRPNHLRTFMSLLLSHSPKIFIRKPVLVRASAGRSSSPLQTPPCFVRGEDPCGPDHVELRIAYATRFFPKLIKKAPVELVYNDASDAAVTTIGSSHGWVASLMHDVGTLRLHDDLNPVASNSDPKRILLPPLVTLPHCQTQIITNVSLSSLSPEEEDCVVAVKFLGHQLSFCRPASQSNSEWFNIKIDNPCFFSSRVMFSKRHNMFRMPGSGGQLIGSWDLCEDKHTPKFQELRYHNLPELSKAERETMHSCFTSEHLVESRSTGETFLVKLFRQTVDGTSLKVKGTKLKTKGVMVFKVDDHGNAVYTQDIGDLAIFLSKSEPFCVCASFLPGVIPNYVNILDVRELAYFKLTDSSIISYTHRFKAPYFCPPQNIEY >A09g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6759190:6762834:1 gene:A09g501870.1_BraROA transcript:A09g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQVFFWNVRGLNDPDKHKLFAQWINYNKPLFGAILETHIKEPMLHSIISKTCPRWNYLSNHYSDEDGRIIFIWRPPVSAWILAGIFSSTLADLSWKLKSLKSVLQRLNSENFSKIQERVSYANRGLNCRDLVAWNTACILKLIWLLFCNSGSLWVAWYKKEVLNGLLSNFWIRKPNQKYSWLANKLLKLRGIVYQWLLVKVGSGRNTRFWTDNWTPFGCLEDFLSPSISRRMGVPANATLRDISCDGNWVIQSPRTENQLLVQTFLSTVQLNDEEDTYEWTVNGTKLGKYNTGLIYGTLKTHATMVPWFQTIWCKGGIPKHNFLAWLFVINRCPTRDRLLGWGLTVDPSCLLCNSLPESRDHMFFECSYSWDVWSRTAIKCQVSTPREWTAIVSYLNSVRLPKPQKKLLLIAWQCAIYLLWSERNSRLHRACFRSPDSILSSLNLIVRNRCSSLRPQNPSTASAMIQLWLQ >A07p046650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:25153644:25156565:1 gene:A07p046650.1_BraROA transcript:A07p046650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPNCYRHYTSSRDVPSSSFFLTSRSRVSLPWNFSPKVSTRFQLHCSSSSSSSPPRCSKPNSNSRHHHRRYGGVIPSILRSLSSSADIETTLTSLCLNLTPKEQTVLLKEQTRWDLTLRVFRFFQRHHQGYSSNVIHYNIVLRALGRAAKWDELRLCWLEMSRSGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMEQRSQFPDEVTMATVVRVLKNSNEFDSADRFFKGWCEGRVVLDLDSIDDDHVSLKQFLSMELFKVGARGPVERTSNSSSSSSPRKPRLTSTFNALIDLYGKAGRLEDAASLFSEMLKSGVAVDTVTFNTMIHICGTHGRLSEAEALLKKMEEKGVNADTKTYNILLTLHAEGGDIEAGLRYYRKIRRVGLFPDTVTHRAVLHVLCQRKMVKEVEDVLAEMERNNIRIDEHSVPVIMEMYVDEGMIGKAKALFERFQLDCVLSSTTLAAVIDVYAEKGLWVEAESVFYGKRRSVMNDVLVYNVMIKAYGMAKLHEKALSLFKGMKNQGTWPDECTYNSLVQMLSGSDLVDEAQRVLSEMVDSGCKPGCKTFSSLIASYVRLGLLSEAVDVYEEMRKAGVKPNEVVYGSLINGFAESGMVEEAIQYFKTMEEDGVKSNQIVLTSLIKAYSKVGCLEEARRVYDRMKKDTEGGPDVAASNSMLSLCAELGMVSEAEAIFNDLREKGTCDVITFATMMYLYKGMGMLDEAIEVAEEMRESGLLNDCVSFNQVMACYAADGQLRECCELFREMLVERKLSLDWGTFKTVFTLLKKGGVPREAVEQLQTAYNEGKPLATSAIAATLFSAMGLHAYAMDSCKEVTRDEIPLEHYAYNAVIYAYGASGEVDMALKTYMRMQEKGLKPDIVTQAYLVGVYGRAGMVEGVKRVHGWITFGELEANQSLFRAVREAYVSANRRDLADVVKKEMSIAFEDGQEEEFSSISGEEDEQEEEDESEEDDEF >A04p037550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21425470:21428216:1 gene:A04p037550.1_BraROA transcript:A04p037550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWFLSALLIVGFLSKSNLGEAQLSDEAALLAIHRELSVPGWSSNGTDYCNWVRLKCGGANNSFVEMLDLSGLHLKGNATLISDLRSLKHLDLSGNSFTGPVPASFGDLSELEFLDLSLNRFVGRIPVEFGSLRGLKSLNVSNNLLVGEIPDELMVLERLEEFQVSGNGLNGTIPQWIGNLSNLRVFTAYENELVGEIPKGLGLVSELELLNLHSNKLEGKIPKGVFEKGKLQVLVLTQNRFTGEIPEEVGICSGLSSVRIGNNELVGAIPRTIGNVSGLTYFEADNNSLSGEIVGEFSKCSNLTLLNLAANGFAGAIPAELGKLMSLQELILSGNSLFGEVPKTFLGLGNLNKLDLSNNRLNGTIPKELCSMPRLQYLLLDQNSIRGDIPHEIGNCVKLLELQLGRNYLTGTVPPEIGRMRSLQIALNLSFNHLHGSLPSELGRLDKLVSLDVSNNMLTGSIPQQLKGMMSLFEVNFSNNLLSGPVPVFVPFQKSPNSSFSGNKELCGAPLSSSCGYSYEHLRYNHRVSYRIVLAVIGSGVAVFVSVSVVVLLFMMREKQEKAAAKNVDVEENIEDEQPEIIAGSVFIENLKQGIDLDAVVKATMKETNKLSTGTFSSVYKAVMPSGMVVSVKKLKSMDRAITQHQNKMIRELERLSKLCHDHLVTPIGFVIYEDVALLLHQHLPNGNLAQLIHESAKKSEYQPDWPMRLSIAVGVAEGLAFLHHVAIIHLDVSSSNVLLDSGYKPVLGEIEISKLLDPSRGTASISSVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSRAPVEEEFGEGVDLVKWVHGASGRGETPEQILDAKLSTVSFAWRREMLAALKVALLCTDITPAKRPKMKKVVEMLHEVKLSK >A09p023460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12852405:12852875:1 gene:A09p023460.1_BraROA transcript:A09p023460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g43050 [Source:Projected from Arabidopsis thaliana (AT5G43050) UniProtKB/TrEMBL;Acc:Q9FMH9] MAHSLSFISTNLNTLLLNHHQCRSFGAFVSPSKSKTRFARTIRAVQETQGGPRRLIDIIRTVPDISRNYFKKPSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYVTRFYYSRTTVTFPIALLNNFKMGFTYGLFIDAFKLAS >A06g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14201431:14202189:-1 gene:A06g504630.1_BraROA transcript:A06g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSRPRSLPDLKNLRIKLRSEKPVYLKTFKWLKNRKNFWKKVRTLYNKKLPNEDKSDIKTYQNAQIYYERETSSEDFQEVHTTSRKSRRLKWKSSGRLPGSRLVHYIFDG >A04p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5460480:5460775:1 gene:A04p014340.1_BraROA transcript:A04p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEAPVTHWTCVNLNFSTLRLELAKTFCQELIGKCSKAANLQIFIVILLDTTGKIKKICETELGIVS >A05g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5030102:5031954:-1 gene:A05g501360.1_BraROA transcript:A05g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYDGLEACFNNNQSLQEESGRSRGDECLTDSLDDDAFSSCSSSKDTSESFSSKWLPKKNGKNISNEWDYERSPKHFYSKEERPGYTLCSSDVEAMKEKFSKLLLGGDLTGGRKGVQTALALSNAISHLANSVFGELWKLEPLSEDNKQRWRREMDWLLSPCNHMIELVPSKLDDKNGRSLEIMTPKPRADIHMNLPALRKLDSMLIETLDSMVSTEFWYSEVGRRAEGKNEISRESRRWWLPSPKVPKPGLSSLVRKNLLEKGNVVYQSFKAAKSINEEVLLEMPVPTIIKDSLHKSGKTSLGDELFRMLASESASVDEIFVSLRLRTEHAALETVNRLEAAIYAWKEKFTEQRSSGKWSLVRDSLSEISRIEPLINKAERLNGQIKSKYSNLPQSFLDATKIQYGKDIGHAILEAYSRILASLAFRILSRIREILQEDALSNASSTATPSCFSGSNDMFRTPERLLVSSRLRHSLIHDINKADDGTRNSLE >A05p006090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2408903:2409282:1 gene:A05p006090.1_BraROA transcript:A05p006090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYAGVFGSEEPHFLESCSLCRKHLGRNSDIFMYRGDKAFCSNECREEQIESDEAKEKSWKLSARSLRKKSSEAAKDCKTVRTGTLVVA >A07g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12739757:12740821:-1 gene:A07g505630.1_BraROA transcript:A07g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALAFGRIVFSIPCIDLIRFINQEAGPKRGFPKIPWLSWQVPSVLFIVAPAPSGGIRAAFLWFLNRRTILDVMLISSCNLYPFVDESPFVEREYHEIYREIYGDPIYDTYEDDVYVIDCLQKKTVENPRRAKFVRKRIIEDFVQNHIDNMIRAKLGRSQFDLNSVQKNKYEEGFQSIYLATRTLLKIRGRIFLSRRDLMQLDIWNTSKYLDYLLIHQSRSRSQVRIPQNPLVELAGPKRGSITPWLSCLSLLLLHQADVAEAYRDRTRDPLILRIPGKLE >A02g511230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29758864:29760916:1 gene:A02g511230.1_BraROA transcript:A02g511230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYAVSVAVCSSSSPRTSSSRFMICFPGLILSEVGTSCSVVEFSGFRLGISLVLHFMLAGASDGFSSSSFSSSVLDGYRRVMVLVFLTVTACVRSSLTSQHYMGLLELLVVVREAIVCRLGSDYGVIDAIGELFLGGSLSLRADSSGSPKLSGSGSRLNLVHLFAASCWCELVDSGTAVVFKASVDLFRQQLLSASLPSEASVPTLLLPKTSQYSHAPSSHHSIRFGLDCEGNRVLQSLRSRELTTSRHAKFIQIRTLMILKLHTVSREEIKRRGLIRKSLLLMRKMCFFYRSLKMRSRSQIYWCR >A03p070480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31004011:31005709:-1 gene:A03p070480.1_BraROA transcript:A03p070480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQISNENAAEKPTEEHTGVNRYALQCAIVASIVSIIFGYDTGVMSGAMVFIEEELKTNEVQIEVLTGILNLCALVGSLLAGRTSDIIGRRYTIVLASILFMLGSILMGWGPSYPVLLTGRCIAGLGVGFALMVAPVYSAEIATASHRGLLASLPHLCISIGILIGYLVNYFFSKLPMHIGWRLMLGIAAIPSLVLAFGILKMPESPRWLILQGRLGEGKRILDLVSNSPEEAELRFQDIKTAAGIDPKCKDEVVKMENKKTHGEGVWKELILRPTPAVRRVLLTALGIHFFQHATGIEAVLLYGPKIFKRAGITTKDKLFLVTIGVGIMKTTFIFTATFLLDKVGRRKLLLTSVGGMIGALTMLGFGLTMAQNSGGKLVWALVLSIVSAYSFVAFFSIGLGPITWVYSSEVFPLKLRAQGASLGVAVNRVMNATVSMSFLSLSKAITTGGAFFLFAGIAAVAWNFFFFLMPETKGKSLEEIEALFQRDGDHKVRGENSEA >A06p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:991211:1008728:1 gene:A06p004020.1_BraROA transcript:A06p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSQSFAAGRSSSLASPSQSGRFGGPAATASGGGSFDTLNRVIADLCSIGNPKEGASLAFRKHVEEAVRDLSGEASSRFMEQLYERIANLIESKDGAKNMGGLRAIDELTEIGFGENATNVSRFAGYMRTVFESQREPEILVLASRVLGHLARAGGAMTSDEVEFQMKTAFDWLHGDRVEHRRFAAVLILKEMAENASTVFNVHVPQFVDAIWIALRDPQLQVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGSNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRFLEHRDRLVRLSITSLLPRVAHFLRDRFVTNYLTICMNHILTVLKIPSERASGFIALGEMAGALDGELIHYLPKIMSHLREAIAPRKGRPLLEAVACVGNIAKAMGSTVENHVRDLLDVMFSSGLSSTLVEALDQITISIPLLLPTVQDRLLDCISLVLSRSHYSQTEPPVTTEVRGSTVSMIPQSSEPSCSAQIQLALKTLARFNFMGHDLLEFSRESVVVYLDDADAATRKDAALCCCKLVANSLSGIAQFGSSRSTRAGGRRRRLVEEIVEKLLRTAVADADVTVRKSIFVALYGNQCFDDYLAQAESLTAIFASLNDEDLDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTDLEQSSADNKCREESAKLLGCLVRNCERLILPYVAPVQKALVARLSEGTGVNANNNIVIGVLVTVGDLARVGGLAMRQYIPELMPLIVEALMDGAAVAKREVAVSTLGQVVQSTGYVVTPYKEYPLLLGLLLKLLKGDLLWSTRREVLKVLGIMGALDPHVHKRNQQSLSGSHGEVPRGTGDSGQPIPSIDELPVELRPSFATSEDYYSTVAINSLMRILRDPSLLSYHKRVVRSLMIIFKSMGLGCVPYLPKVLPELFHTVRTSDENLKDFITWGLGTLVSIVRQHIRKYLPELLLLVSELWSSFTLPGPVRPSRGLPVLHLLEHLCLALNDEFRTYLPVILPCFIQVLGDAERCNDYIYVPDILHTLEVFGGTLDEHMHLLLPALIRLFKVDAPVAIRRDAIKTLTRVIPCVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIESVHKLLLKHRLRHKEFEDIHARWRRREPLIVATTATQQLSRRLPVEVIRDPLIENEIDPFEEGNNKNHQVNDGRLRTAGEASQRSTKEDWEEWMRQFSIELLKQSPSPALRTCAKLAQLQPFVGRELFAAGFVSCWAQLNEDSQKKLVTSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALAEKCRVFAKALHYKEMEFEGPRSRRMDANPVAVVEALIHINNQLHQHEAAVGILTYAQQHLDVQLKESWYEKLQRWDDALKAYTLKASQTSNPHLLIDHNVGQMRCLAALARWDELNNLCKEYWSPAEPSARLEMAPLAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLASPSSSGDGSRDGTFFRAVLLVRRAKYDEGREYVERARKCLATELAALVLESYERAYGNMVRVQQLSELEEVIEYCTLPMGNTIAEERRALIRNMWTQRIQGSKRNVEVWQSLLAVRALVLPPTEDVETWIKFASLCRKSGRISQAKSTLLKLLPFDPEVSPESMQYHGPPQVMLGYLKYQWSIGEEHKRKEAFTKLQILTRELSSVPHSQSDMLASMVSSKGVNVPLLARVNLKLGTWQWALCPGLNDGSIQEILDAFSKSTIYAPKWAKAWHTWALFNTAVMSHYISRGQIASQFVVAAVTGYFHSIACGANAKGVDDSLQDILRLLTLWFNHGATADVQAALERGFTHVSINTWLVVLPQIIARIHSNNRAVRELIQSLLIRIGENHPQALMYPLLVACKSISNLRRAAAEEVVDKVRQHSGALVDQAQLVSHELIRVSILWHEMWHEALEEASRLYFGEQNIEGMLKVLEPLHEMLEEGARKDNTTIQERAFIEAYRHELLEAYECCINYKSNLQEAELTQAWDLYYHVFKRIDKQLASLTALDLDSVSPELLLCRDLELAVPGTYRADAPVVTISSFSRKLVVITSKQRPRKLTIHGNDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLAIQRYSVIPLSPNSGLIGWVPNCDTLHHLIREYRDARNIILNQEHKHMLSFSQNYDILPLIAKTEVFEYALENTEGNDLSRVLWLKSRSSEVWLERRTNYTRSLAVMSMVGYILGLGDRHPSNLMLHRHSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQFGNNNPNGPTEPEEVGEDPADIDLPQPQRSTREKEILQAVNMLGDANEVLNERAVVVMERMSNKLTGRDFSTSASPSNPIADHNNLLGGDSHEVEHGLSVKVQVQKLIDQATSHENLCQNYVGWCPFW >A03p010480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4206008:4206643:-1 gene:A03p010480.1_BraROA transcript:A03p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIIHIFFTLSLVSSISFASVQDFCVADPKGPQSPSGYSCKNPDQVTENDFAFSGLAKAGNTSNIIKAAVTPAFAPTFPGINGLGVSLARLDLASGGVIPLHTHPGASEVLVVIQGTICAGFISSANKVYLKTLEKGDSMVFPQGLLHFQLNSGKGPALAFVAFGSSSPGLQILSFALFANDLPSELVEATTFLSDAEVKKLKGVLGGTN >A10g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4353398:4354642:1 gene:A10g501550.1_BraROA transcript:A10g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPFYSCVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDLKYPIDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLLEVEEFRQSVLNSNPYVQKYGLKVSCKCLMSVKFCLNIGTTMC >A07p023310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13501407:13502058:1 gene:A07p023310.1_BraROA transcript:A07p023310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTLSRVMRQRSLDPVGKEMLMPKDPNATITMLGTGTGIAPFRLFLWKMFFEEHEDYKFNGLAWLYLGIPTSSSLLYKEEFEKMKEKKPENFRLDFAVSREQTNEMGEEMYMKRMAEYAEELWELLKKDNTFVYMCGEGYR >A07p023980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13831622:13834007:1 gene:A07p023980.1_BraROA transcript:A07p023980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITHKFTDYLRRAEEIRAVLDEGGPGPGSNGDAAVATKPKSKPKDGGGDGEDPEQSKLRAGLDSAIVREKPNIKWTDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESSPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHSDDKVLVLAATNTPYALDQAIRRRFDKRIYIPLPEAKARQHMFKVHLGDTPHNLTESDFEYLGLKTEGFSGSDVSVKDVLFEPVRKTQDAMFFFKSPDGTWMPCGPRQPGAIQTTMQDLATKGLAEKIIPPPITRTDFEKVLARQRPTVSKSDLDVHERFTQEFGEEG >A09p073730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56117843:56122157:1 gene:A09p073730.1_BraROA transcript:A09p073730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEADIRALQLDSAEENNGVVIPEAHNSDEVEKLDTAEEDLKDKVEESAPVPDEQQASEDHDQEVHHAVHNPAKAKEKAAQEKAAKEEAEEEAEANKKRHLNVVFIGHVDAGKSTIGGQILFLSGQVDDRQIQKYEKEAKEKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETASTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLVVVVNKMDDPTVNWSKERYDEIEQKMVPFLKSSGYNTKKDVVFLPISGLMGFNMDKRMDPKVCPWFSGPSFFEVLDSIEVPPRDPNGPFRMPIIDKFKDMGTVVMGKVESGSIREGDSLVIMPNKEPVKVVAIYCDEDKVKRAGPGENLRVRITGIEDEDILSGFVLSSAVKPVPAVTEFVAQLQILELLDNAIFTAGYKAILHIHAVVEECEIIELISQIDMKTRKPMKKKILFVKNGAAVICRIQVTNSICVEKFSDFPQLGRFTLRTEGKTVAVGKVTALSSA >A04p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:767968:770749:-1 gene:A04p001620.1_BraROA transcript:A04p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSPHLTHPVKEDGVDIWDSVRDHLRRSSDGWLESYDVAPLIQASRQVDMKLLGSLSREDLMKICGDNFPQWISFPAFEQVKWLNKLLGKMWPFIAEAATMVIRDSVEPLLEDYRPPGITSLKFSKLTLGNVAPKIEGIRVQSFKEGQITMDVDLRWGGDPNIVLGVTALVASIPIQLKDLQVFTVARVIFQLADEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVDSIVKDMLQWPHRIVVPIGGIPVDISELELKPQGKLIVTVVKATNLKNKELIGKSDPYATIHIRPVFKYKTKAIENNLNPVWDQTFELIAEDKETQSLTVEVFDKDVGQDERLGLVKLPLSSLEAGVTKEMELNLLSSLDTLKVKDKKDRGSITLKVHYHEFNKEEQMAALEEEKKIMEERKRLKDAGMIGSTMDAVGSGLGAGVGMVGTGIGAGVGMVGTGVSSGVGMVGSGFGAVGSGLSKAGRFMGRTITGQTSKRSGSSTPVNSETDGSKQQ >A01p042790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25263615:25264824:1 gene:A01p042790.1_BraROA transcript:A01p042790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLNRGPWTPEEDQILVSFIHQHGHSNWRALPKQAGLLRCGKSCRLRWMNYLKPDIKRGNFTQAEEDAIISLHQILGNRWSAIAAKLPGRTDNEIKNVWHTHLKKRLEDYQPAKPKTSNKKKSTKPKSQSVPAKSKSTKTESELASSSNPSFESLFSASPSASDEVSSETLTSHEGHSKEAQMDNKLREMITTTDQDSFSFINFGEDIDQSFWNETLYSQDEENHTSNLDEMKQEFQHLGSVGNEMIFDSEMDFWFDVLPRSGGEQDILAGL >A01g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4832569:4833015:1 gene:A01g501250.1_BraROA transcript:A01g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSRNPSGITFAEAIEPLKCILKHLSFYSDRYPYPVLQSQSHSFKTKIRCLPGSKTRFSSLASFIQKSISSSMSSIKGSSSFACSIFNVKNHPN >A06p007170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2477389:2478219:1 gene:A06p007170.1_BraROA transcript:A06p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09410) UniProtKB/Swiss-Prot;Acc:Q56XI1] MKAQLLLRRAFCSSVTPSANAKITHPSRTSQIHEARKLFDSCDSNSVSSWNSMVSEHFTNRMPREAQLLFDQIGERNITSWNGLVSGYMNNGEMEEAKKVFDEMPKKNEVLWTMLQDRRNDNASQGRRCGDVSELRKGIRKSTGCSWTEVGDKAHTFAMGDIHQEQEAIVKFLDEWDVLLREDGYNPDCDAEEGERVDSLSGRYHSERLAVAYGLLKLPEGVPLRVLKNLRVCRDCHAAINLISKVKEKDIILRDAKRLHHFKNGECSCKVIAEVQ >A05p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:172411:182946:-1 gene:A05p000910.1_BraROA transcript:A05p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKENGNIFGYSFGARVVENYENLTRTDSMHESLIEMTSNLEHEGGNETRTPNREETSREESSGSHDQAVESNDQEEGAEENDEDLDKPTDGDVLALPKGPMTRSRSRKLTQAIGGLVKMSWKQEECTNGERIRRRSRASKEEQDAERSNDRANESDHDLYYG >A01g511220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31455060:31458544:1 gene:A01g511220.1_BraROA transcript:A01g511220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWYVISLTLSLSLSLSPLLSIDINLVLHRSRRICSCSLGFPRDLRGADSKEDFLRGVRRVEDSLIDPWGSSKVVTVQVRAPKVRKDSIGDGAVDEAAAAEEEASAQKKRVSLQRQAAVTVEAAEDYARRFESGLNELSSNDEELAQCGVNVMCRMCFLGENHGSERARRMLSCKTCGKKYHKNCVKSWAQHRGALLLSYFLWLDRSLIAVSLQICFIGVHGVVPRAGFVRSVCRRTGDPNKFVFCKRCDAAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCDSTVPGNGLSVRWFLSYTCCDACGRLFVKGNYCPLCLKVYRDSESTPMVCCDLCQRWVHCHCDGISDEKYLQFQADGNLQYKCATCRGEGYQVKDLQDAVQELWKKKDMVDAELVASLRAAAGLPTDEEIFSISPFSDDEENGPVSGRSVKLSIKGLVDKSPKKSKEYRKHVSKKGHHTKSELQQDSDVHHGMESQRSRLSGAKTDSFGIQMNERSDVRSSVAGICSTHEPRIVKHKRVDDVMVTDEEKPSRIVRIKCSNKPQDSDSEETSLKAKKLVINLGARKINVSDSSKSNVVSHSRDRDQSSGEVRTLKISGRFGKTKSEGSKGTFGSITQFPASTSAGSHVDDKTSISPSLQKEARPLLKFKLRKPDSGDQTSLATTQSEDEKLSSGKGQRSKRKRPSSLVDKASLEEDGDSRQDSPRSDEMMDANWILKKLGKDSIGKRVEVQGSQNSWNKGTVTDVIRDTSTLSVSLDDGSMKTFELGKHNVPLHTAEAKEVEELIRHQAAF >A06g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5981929:5983136:1 gene:A06g501560.1_BraROA transcript:A06g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGELLKITRCRPTSLLSIMKKFWESIHKKRPQMEKAVKTFERVNTSKGGRAIPEDQRPDYHPHAETILSSILPCVDEQTTNQTLAQIKVVINSIVTVVNTFVYALANTNPSPGQNFYYNQSRPPMTPLCSSFDSNMEDRECVTWELSIGNASAVWESYICEVTKSDVCTTVGRVTPEIYKQLVAAVNESYALEHYTPPLLSFRDCNFVKDTFESITSDYCPPLERNLRVVNAGLGMISVRDLECCYVWCCGYSTQTAPKGRKCLRILTSLK >A01g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18995443:18996175:1 gene:A01g506560.1_BraROA transcript:A01g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDFPRSLQKVFQNLLSKTDFERLLRRLSEYFSEDFLGSLLMHFMLEDFPGSLPKSSGSLPKSSTQNLSQSLKDFSEDSEDFLESFLMYFMRKDFPQIFQEVFRSFLPKGVQRDDVKCGVQAYLC >A07p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2962694:2968138:-1 gene:A07p004210.1_BraROA transcript:A07p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVSSFETSHVHSRSPTQSEMKKGIIEEKAKTVPFYKLFSFSDSTDVLLMIVGSIGAIGNGLGFPLMTLLFGDLIDTVGRRNLFTNDIVELISKICLKFVYLGLGTFVAAFLQVSCWVITGERQAARIRSLYLKTILRQDIVFFDVETNTGEVVGRMSGDTVLILDAMGEKVGKFIQLFVTFLGGYALAFVKGWLLTLVMLTSIPLLAMAGAAMSLIFTKASSQQQAAYAKASTIVEQTCGSIRTVASFTGEKQATSSYKELINSAYKSSVKQGLSNGLGFGVMFLVFFCSYALAIWFGGEMILRKGYTGGAVINVMIIVVASSMSIGQAAPCLTSFAAGQAAAYKMFETIKRKPVIDSLDLNGKVLEDIQGEIELRDVCFSYPARPREEVFGGFSLMIPSGKTTALVGESGSGKSTVISLIERFYDPSSGQVLIDGVDLKEFQLKWIRGKIGLVGQEPVLFSSSIMENIGYGKEGARVQEIEAAAKLANAAKFIDKLPRGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMVNRTTVIVAHRLSTVRNADMIAVLHRGKIVEEGSHLELLKDHEGAYSQLIRLQEINTESRRLEISNGSIRTESSRGNGVSRMHNDDESVSVAGEENTEKPKDMPQDVSITRIAALNKPEAPILILGTLVCALDGAIFPIFGLLFAKVIIAFFQPPHELRSDSRFWSIIFVLLGVLSLVVYPIHMSLFAVAGGRLIRRIRSMCFEKVVHMEVGWFDEPENSSGAMGARLSADAALIRTLVGDSLALTVKNVASAVAGIIIAFAISWELAVIILVMIPLTGINNYVQVKFMKGFSADAKTKYEEASQVANDALGSIRTVASFCAEEKVIEMYKKRCEDSIKSGTKQGVVAGLGFGLSFFVLYSVYAACFYAGARLVKDGRTTYNGVFQVFLALTMTTIGISAASSFAPDSSKAKSAAASVFGIIDRKSKIDSRDESGMVLENVKGDIDFCHIEFAYQTRPDIQIFRDLCFSIRAGTTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVELKKLQLKWLRKQMGLVGQEPVLFNNTIRANIAYGKGGEEATEAEIVAASELCNAHKFISSIQQGYDTVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERVVQDALDQVMVNRTTIVVAHRLSTIKNADVIAVVKNGVIAEKGTHETLMNIEGGVYASLVQLHMSAF >A04p002740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1322162:1323192:-1 gene:A04p002740.1_BraROA transcript:A04p002740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNNNMGPQPQAVPQPNPFGNAFSGPGSGLIRSGLGAYGEKIFGSSSEYITRYFSDPQYYFQVNDQYVRNKLKIVLLPFLHRGHWARISEPVGGRLSYKPPLYDINAPDLYIPLMVFGTYLVLAGFSLGLYGKFSPEALNWLFVKGMVGWFFQVMLLKMTLFSLGSGEAPLLDIVAYAGYAFTGLCLAVLGKIMWGYSYYVLIPWTCLCTGVFLVKTMKRVLFAEARSYDSSKHHYLLIFVALAQFPLLIWLGNISVNWLF >A09g518230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55669419:55671627:1 gene:A09g518230.1_BraROA transcript:A09g518230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAVVAPTSFDDLRLGRSAQFVVARLLRFWDSRNIKKQGEFMGITLLFLDQQNSVIHGFIPAARSGHYRPGLRSGSIVKISRFEVARCTNMYKITDNPFVIRFLPQTTIDEVLVNAPIINLQKFMLRKFEHLQALANTNLELPDVVGMIRSVQGSDLKDAAVMTRVVVRFVIEPNVVVYLSLWDEAAATFRGLISSGERAQSVMVVTTVNPKIFGGNLYLNSTPATKFYFDVNIPAITQFTASLGGPVGEAFPCIDTKESIKKKEHVSIRDLNKFISNSDEQTQEAEFICKARVLEVLQQNGWSFVSCTGCSRKLDQSGNSLRCNRCVNANVTGVIKYRVELSVDDGNDNATFVVFDREMLSLIKKDAATLTVEQMNGGGGEQLPQCLGELGGKEFVFQIRVTPFNFTPNHRTFTVCGISDHIEPETFNTKEASIVGGESGETSASAGASVEGEAYDPNPTGGQVKDGNRKRPRE >A10p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3180977:3192165:-1 gene:A10p016220.1_BraROA transcript:A10p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEEEPLSPMARIFQSSGADYCTVIIVGFKTKINPDVILDDLKQNVSKHPRISSKLAEDGARWIKTQVNVKDHVFVPDIDPDEIGDQGDKFVEDYISRLTMLPLDRSRPLWDIHILNVKTSDAEAIGVIRSHHSLGDGMSRMSLILACTHKSSDPEALPTIPILKRRENVSHGLRNTGWFLSSMFAIYATVRLIWNTIVDLLLLLATVLFLKDTETSLKGGAEAESNAKRFSHRIVSLNDVRLIKDIMDMTINDVLLGVTQAALSRYLNGAHDKTNENGGTSRPLLNNIPCNIRVRAGVLVNLRSEIGVQPLADMMAKDSKCRWGNLINIVVLPLSIGPETDPLVYLSKAKSTMDQKKNSLHAPILYLIMSFIVSVFGAKIGAALFNRLLLSTTAFISNVNGPTEEISFHGHPIAYIAPSVYGHAQSLLIHFQSYADKMVISIAVDPTIIPDSHKLCDAMEESLKSMKIALLGKGLLINEEVSVR >A08g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10962384:10962908:1 gene:A08g506420.1_BraROA transcript:A08g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A05g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19450945:19456481:1 gene:A05g506900.1_BraROA transcript:A05g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAWNLPTTASAANPLSFSAGEPPPPSLPPDPHDLNPPLSPHDYPTLSFAAKTGSSSFSKRRGTMKPKSPQTGAAVQHQLTVSSSAGSPPVPENTKTVPLESSSTVHRSEIQNFTVEKPLLPNIESHQNFIILPQKSSSPSQTNKASSLENPVQAENQTNHISSNTSAETQLPSPLTPPFVPQTSPLVSIPSLIQRLRQAEDKTLRRRPTPVIITENGRPRILIPDSVFEKGAAIHKDFIICYYNGKAPPFNQIQSVFNHMWGKGKKLEIHNNPLNRSTIVRIPNAYLREKILEKNIWYVGDSMFHTAQWSSEHSKATPPLKAIKIWAHLTGVPLDLRHEEGLSLVAGLIGDPKETDDFTKNLVSLTLSHVKVEVDLTVPLPSVVEFVRQSGEVVEVSVHYPWIPPTCSHCHELGHIVKNCLQYIPPPPAPAPSQKPTDKPQKPQKPQKPSEKSQKQPQPAKPQTPIYRKKTKDPLDLLPSSSNTDLPPIPTLPQFTPPPTTVVSTAPIGPDVMFTDSPQPLCQLPMTVFSTVQSSLSSPDLPRPSLKRSRSSPTFTPGHSSNPNPFCSSSNPPIQNLFNTSDPPLNPDPSLPKTHIKEPFLNPLISKLCPDWSFTSNHSEDEDGRIILIWKHPASVQVINKSRQSITCLVSLPNLTPFYYTAVYASNESEERNDLWTELIETQSLLNLDNQAWMIGGDFNQILNPSEHSSPSVNAPNSQMFIFRDCLLQAGVFDLRFTGPNLTWTNNQPDDPITKKLDRFLVNSSAVSAFPHAHATFLPQLFSDHCPCLTDLAFTLPKTGTQPFKFQNYLTKHPGFSAVVNDAWIRAGSMCMTMTQFCWKLKVSALQSPTPQLFQQERELHQKWLFLREIEEAYFRQKSRINWLREGDFNTTYFHRMCQVRASYNAISTSFTWLANKLLKLKTVVYPLIKLRLQNGTSARFWTDNWTPFGSLTTFLNNSSSRLGIPAAATVASLSWNGMWQLPSARTEQQLQLLTYLTTVTLNQEQDYYEWELAGKTVSKYSTGDVYTYLRGEIADVNWSKSIWSSYEIPRHSFLAWLVINNRCPTRDRLIGWGIQVSPLCLLCNLHSESRNHLFYECGYSFDLWNLVATKCGLTPCRDWDGTVFQMIALPRSKSRRHSTLLTLLAWKSTIYWTWNERNSRLHQNSFRSVDFLFVALDRQLRNRIQSFRETNPTLSSAMIQLWFQSP >A05p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2622159:2624017:-1 gene:A05p006510.1_BraROA transcript:A05p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKGRGFGEVPNPCSSMQPSGLKETQLNNNNNNQKVHPQPMEQQQSINQNPEAMEALISNLFGNISSLKSAYIQLQSAHTPYDPDKIQEADKVVISELKNLSELKHLYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIKQMMEKIEEANQKRLKLEKNLKLRGMGDGSGGGGIEFPDLTVELFMSNYEAASKAVHDFSKPMINMMKAAGWDLDSAAESIEPGVDYAKRPHKKYAFESYICQRMFSGFQQRTFSLDSERSSTMDDDDDDDDDDTETFFRQFLSLKDMDPLDALCANPDSNFGKFCRGKYLVLIHPKMEASFFGNLDQRDYVAGGGHPRTGFYQAFLKLAKSVWILHRLAYSFDPAAKIFQVKKGSEFSDSYMESVLKNIVVDEKGESPRVGLMVMPGFWIGGSVVQSRVYVSGVKVVE >A03p043990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18394809:18397394:-1 gene:A03p043990.1_BraROA transcript:A03p043990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFGIVVFQFLFFTLSLAIRDNFFFTPDFDVSRNVSYDEIKELVSSDPNNETFPSSPPVPTANIGMKRLVPSGLNNEMSPPSPPHSTTDFGGKRLVPSGPNNETSPPSPPHSIADFGIKRLVPSGPNNETSPPSPPHFIEDIEVKRLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNEASPPSPPHSIADFGVKRLVPSGPNNETSPPSPPRSIAGFGVKRLVPSGPNNETSPPSLPHSIVDFGVKRLVPSGPNNETSPPSPPHSIADFGVKRLVPSGPNNETSPPSPPHSIADFGVNRLVPSGPNNETSPPSPPHSIANFGVKRLVPSEPNNETKVFNDEVRRSFSNSLSNETSLSPPSHSIAEIEVKRLVPTGPNNETSPVSPPDSIVNFRIKRLVQSGSNNETSSHSIVNFRAKRLVPSGPNNETSSPFPPHSKSF >A05p012230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5304567:5306189:1 gene:A05p012230.1_BraROA transcript:A05p012230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSSVLQRAAIAVVSVIAIYAILNASVSRSLPSSSDLPRQLIREEREREAPSPIQPRVKVYMYDLPTRFTHGVIQQHSIVRSGGGGGGLKKPTNDVTALKYPGHQHMHEWYLFSDLNRPESDRSGSPITRVLDPADADLFYVPVFSSLSLIVNAGRPVEPGSGYSDEKMQEGLIDWLERQVWWRRNGGRDHVIPAGDPNALYRILDRVKNAVLLVSDFGRLRPDQGSFVKDVVIPYSHRVNIFTGDIGVESRNTLLFFMGNRYRKDGGKVRDLLFQVLEKEGDVTIKHGTQSRENRRAATKGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPVIVSDSIELPFEDVIDYRKFSIFVEANVALKPGFLVKMLRKIGRKKILEYQREMKTVRRYFDYGNPNGAVKEIWRQVSQKLPLIKLMSNRDKRLVLRNSTEPDCSCLCTNQTGVITSI >A08g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5813344:5814313:1 gene:A08g502950.1_BraROA transcript:A08g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRKQPGKFASRSGWSCCRGGAMTFTRWRLTKNTMTSSKKRAAKENAKEAAKPEEEAVNERNEDFVSLDDMLDPEHDPQINCTVSRVSKWYDLKGSSRYARDLVEHVLCGDYRMEHRGCGE >A07g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6184735:6185107:1 gene:A07g503050.1_BraROA transcript:A07g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKLHFVVLLIIISFILNIQSARILDDSSSDCEFKGPCQKKTDCYERCGVGKPPFKIALCEPYGNSRVCCCI >A02p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18739035:18740625:-1 gene:A02p034510.1_BraROA transcript:A02p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVNVCVSLFDGLAFAFHSKLDSYGSEPKVVVVTSINPKLVGAAGKENYEKLIGDGSNQTPSSSKLLHAQKIEPLTISELNKYVITSEPQIILCTAKVNGTQTDKGWCYIGSSKCSTKLQHRVELSVSDLKDDAVFVAFDMEIVKLTSIQAFEAAQILEDGQEPSDDVPMEKLVASNGFLGADNTLAEVASGVRAEGGETTTANHQPSSSIVAGLVRSASTIPNSHT >A05p024300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11803133:11804986:-1 gene:A05p024300.1_BraROA transcript:A05p024300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQIRDPFRTVVNARSVNTCVHPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLNIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY >A06p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2862259:2863414:-1 gene:A06p008290.1_BraROA transcript:A06p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGGVSCLRRSEMMSVGIGGIDSPPLDVDEVHVLAVDDSLVDRIVIERLLRITSCKDIEKSKKIKHISGGSVSVTAVDSGWRALEFLGIDNEKASAELDESSSFRQVPVVIMSSENVITRIDRCLEEGAEDFLLKPVKLADVKRLRNYLARDVKHSNGNKRKLPKDSPPLTLSPDSSDSSPPRSTLSPDSSDSSPPPSTLSPDSSDSSSPPLSPVEIFSSPLLSPLDDEVDDVLTSSPESTPSLVRRQKMRSPGLD >A03p064090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27875416:27883225:-1 gene:A03p064090.1_BraROA transcript:A03p064090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIKLPTHKHPLYPTPWVRSCNGCYRESGYVKYGYRCYECTIFFHKECAESSLKINYPSHPEHPLHLVIMEKQYESKTCKLCGQKLYNMFYHCPICEFVVDTACIKNPPPDVIEHPKAHEHSLVHLNNVNYGTCDFCKLFCTRYLYKCSQCQLKFHFECSNLPLDITHTFHPKHPLKYCLTSEEHHFSDGKCRICGDVLWRRFYHCSICKFSVDVACVKNPPPLTILFSKAHDHQISLMPRIVSFNCDACGLAGDRSPYSCQQCDFMIHQSCIDLPEIININRHDHRLSRRPHLNPGSWVCGICHKKVDWSYGAYSCSICPNYAIHSNCAIRDDVWDKLELKGIPEEFQEIKPFKVIDENLIHHFSHEEHYLQLNKENITCGGNIRCEACVSPITYQAFYSCVQCDFILHNTCANLPRKKRHIYRDKPLTLVDDMTQFKCSMCSNLSSGFRYITKYVKIDVKCAALSEPIVHESHGCPLYYIYGNGKTCAACGIRSYSTIFYCDDCKFGLDPKCVVLPKTTKHWYDEHPLSLCYRVNIKGEYWCDICEESVEKYWFYKCDDCCVAFHTKCVLGEFSLLMPGRRITTYYDELIIEVMQTSPRFSPRCYYCRSRRAVPFVLKIYAKTCNKKKKRGQERPKRIIKLPTHKHPLYPTPWVRSCNGCYRENGCTKDGYRCYECKIFFHKKCAESSLEINHPSHSEHPLHLSIPEKFSESKNCKLCGETLIYMFYHCPLCKFVVDTSCIKNPPPDVIDHPKAHEHSLVHLKHYYHGTCDFCEKKYCSRYLYKCFQCQLKFHFECSNLPLEITYPFHPKHPLKFLTREEHHFSDGKCRICGNELGRRFYNCSICKFSVDVACVKNPPPLTILFSKAHDHQISLMPRIISFNCDACGLSGDRSPYSCQQCDFMIHQSCIDLPEIINVNRHEHRLSRRLQLSPGSWICGFCHKKVDWSYGAYSCSICPNYAIHSNCAIRDDVWDKLELKGISEELQEIKPFKVIDENLIHHFSHEEHYLQLNEENITCSRNIRCEACVSPINYQAFYSCVQCDFLLHETCANLPRKKRHMYHDKPLALMVGDMMEFDCSACSQCSSGFRYNNKSFNIDVKCSTLSESIFHESHGCTLYYIYGNNKECIACGNRSYCTFYCDDCKFGLDPKCVVLPKTTKHWYDDHPLSLCYRVNTKGEYWCDICEESVEKYWFYKCDDCCVAFHTKCVLGDLSHLMPGRRIITYHDELRIEVMQTSPRFLPRCYYCRSRRAVPFVLKLFYPNQTVFACSSDCLLFTHQVCKFLY >A02p054660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33170160:33172047:1 gene:A02p054660.1_BraROA transcript:A02p054660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQRSLCFISLAFLFITCSSAEFLIQQVTQGRGTENNSSYSLDANLGVTRVLRDERPSSKIVTIAGYSVIKGRGEPYESSVFEAAGYKWRIVLYVVGNANDGGAGYISLYVRIEETESLPFGWELNNVDLKLFVHNPKLNKYLTVTDGALKRFNGVKKESGFGQLIALSTFENTNEGYIVQDTCSFGAEILIVKPAEVQEKVTFISNPPDNVFTWKILRFSNLEDKFYYSADFLVGDRYWRLGFNPKGDGGGRPHALPIFLFAQGFGPNAVATNTWGAVNLRLKNQRSTNHRQIYSAAWYPIRSGYGVGVNNIILLADLNDASKGYLVNDAIIFEAEMVKVSVTNIVSV >A06p008380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2903381:2904567:-1 gene:A06p008380.1_BraROA transcript:A06p008380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAGITTSNPTFLQLRISTTSLRSVAPCNSISFPRSSSFVNLNRRSRLYVRSSSAPVAPAMEGLKPAISLTDSALKHLNKMRSERGEDLCLRIGVKQGGCSGMSYTMDFENRANARPDDSTIEYQGFAIVCDPKSMLFLFGMQLDYSDALIGGGFSFSNPNATQTCGCGKSFAAEM >A04p033020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19218151:19219934:1 gene:A04p033020.1_BraROA transcript:A04p033020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKKTEEIAAQEKMHLPWELIEEILSRVSPISLVRFKTVCKRWNAILDDKTFINNHKETFRFILKSKSKIYSVSIDPKIVVRELTLDVPGLESQKPKFWIDYDNNWTSIWGNYKVWIIHDYAFVAWKHVTSGDCDERKIQLKTMHSEIGGSLNGSLFWIAYRDETDPLYCLCRFDFYKERFYRFCDLPCGMTHPRDALVVRFFKGDRFSVLKQCHVTKKIEIWVTNNKVDVEDGRDVVWVSFMTFSIPNFPSLVQAEPYSHQQPSYYIDDKRLVMCSCDENGQAWIYVLGENKLISIVQLDSVVDPWPSHCTYFPSLVP >A08p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19431738:19433616:1 gene:A08p031980.1_BraROA transcript:A08p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLKASPVLDKSEWVKGQSVLFRQPSSASVVLPNRATSLAVRAASSYADELVKTAGLVPLVGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHDIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVAAYTLKLLRNRIPPAFLSGGQSELEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRAENVNAAQTTLLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY >A05p035110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19906904:19909444:1 gene:A05p035110.1_BraROA transcript:A05p035110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G22740) UniProtKB/Swiss-Prot;Acc:Q8LAX0] MGSLVKKGTSSSLMTEFLEKCGGYAVVDGGFATELERHGAHIKDPLWSAKCLITSPHLVTKVHLDYLESGANIIITASYQATIQGFVAKGLSVGEAESLLRRSVELSCEAREIFYNRCNKGSWDFDHAGKASRRPVLVAASVGSYGAYLADGSEYSGVYVDSVSKESLKDFHRRRVQILAKSGADLIAFETIPNKLEAEAYVDLLEEEGIDIPAWFAFTSKDGVTVPSGESIIECAEVADSCKKLVAIGINCTAPRYISDLVISLRQVTHKPIIVYPNSGEVYDGLNKKWIKSEEESEEDFVSYVSRWREEGASLFGGCCRTTPNTIKAITNVLSCESSAPSKLKFW >A07p045550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24716148:24722436:1 gene:A07p045550.1_BraROA transcript:A07p045550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSVTPFLITLLLAAAVCTHGKEEVKDSNGNPVKIGAKYFIQPAKSNGGGLVPAAIKVLPFCPLGITQTLLPYQPGLPVSFGYYPPFVGTDYIVTSTTINVKFESDIWPVCNEFSKLWAVDVSSSAAKEPAIIIGGERTAPNSLFKIEEATGAHTYKLTTSSGTVGTIPGAWLSAPQLLVTNDEAKTLFVKFVKVDDDATKATATTTSRVEKLAVCTHGLEEVKDSNGNPVNVGAQYFIQPVKTESNNGGGLVPAATNILPFCPLGITQTLLPYQPGLPVSFAYHPNILGRYTIDTSSDIIIGFVSNIWPVCNEFSKLWAVDDSSSAKEPAIIIGGKLERPNSAFKIEKATGAHTYKLTTSYGTVGTTPGAWLSAPQLLVTNDVAKTLYVKFVKVDDDATKATITTSRVEKLAVCTHGQVPVTDTDGKNVRINERYFIQPVNTGINGGGLIPVAAILPSCPLGITEALPGESGVLVRIAFPPRLIPPLLPRTIVPTNSDITIEFKSNICNGISKFWEVDEFAQNPDQAEILIGGNRRRGNSWFKIERAGKEAETNIYKFTTSAGTVGTISGALDSPQLVLTNDVAKTIFVKFIRDVTTVVTSASRAEK >A04g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5837145:5842972:-1 gene:A04g502430.1_BraROA transcript:A04g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHRLRSSHMADIKVIGLPLHLWTDKNLRNIGARLGHVHVDTLDVAEGRMLVDVDSRRPLKFSRKVESKDGDEVTIEIKYEMLFKHCSMCGMLTHEKDHCPSVSDMRSRLQTHTERPAIFTRMQLPQEQAQRYAFHNERRASDLSRQGSHMEAPTRYLTSSGYGEDDRKKAQRKPYSDEIRSTHADRIVRHHSDRSRSNRYGGSRDSKGPYDRPQRQTWQAKAERTRHPVPSVRSREIVPYEQSSPIRNDGMNGPIEHQGIRSGDGKTAKRLASTIVTPSRSGHDMEENVTKRAKGLTRSLSFTSLSEQEPAATDGDNQIIGALNDMDIEDQQEEEVMECDAPDEDLLGMELKEMEDTAARHDANIKTTCQDDNVNEVNEANALKSSKQGTRANVPLGFQSKKFEVLRRGSPRKSFSSSQGVTNNDTNQVLSLGNICLLDGSWTASDRLSGCGWVWMDSREDIQLMGTRNFTRCESALHSEVEALRWAMENMLQHSPCQSFGTDCKELIAMINEPQEWPRFATELEKIETLQICFPDFKITHVPRVRNQLSDFLAKTARSFRRELLFIGCSIPVWLPRPPQA >A10p017630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2334818:2335042:1 gene:A10p017630.1_BraROA transcript:A10p017630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREPQVWPGFATELEAIKMLKLCFPEFKISHIPRAQNGIYDLLAKSARTFHRKLCYIGCFVPVWLSRPPKFLE >A02p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16814439:16816476:1 gene:A02p032060.1_BraROA transcript:A02p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDYVEYVLMAKRRAMEEQKVLQRKGKVLELEEEAEKEKLAESKPSLLVQATQLKKDVPEVSATEQIILQEKEMMEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLHVRKMSRKQMDLIRKQWHIIVSGEEIPPPIKNFKDMKFPRAVLDTLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPMIMIALQEEEMMPIGPGEGPIGLIVCPSRELARQTYEVVEQFVAPLVQAGYPPLRSLLCIGGVDMRSQLDVVKRGVHIVVATPGRLKDLLAKKKMSSDSCRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPTKIQIFARSALVKPVTVNVGRAGAANLDVIQENKADVDDIHEYLLLKGVEAVGIHGGKDQEDREYAISSFKSGKKDVLVATDVASKGLDFPDIQHVINYAMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELKDPMEEAETIANASGVKGCAYCGGLGHRIRDCPKLEHQKSVAISNSRKDYFGSGGYRERYNLVPLMLRFIGSILSYF >A06p035560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19240783:19242181:1 gene:A06p035560.1_BraROA transcript:A06p035560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLPMVDASMSLTMTEFEDKKKHMHELQDRLADTERQLFEGEVLRKKLHNTILELKGNIRVFCRLRPLLPDDGGHQEASVIAYPRSSESLGRGIDVGNKHPFTLDKVFDHGASQEEVFFIATCSKRIGWLQGSGKTYTMMGRPETPEQKGLIPRSLEQMFKTSQSLSAQGWKYKRRVSIFPRMLASFNIIVVQGLSLWS >A01g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18020786:18029114:1 gene:A01g506100.1_BraROA transcript:A01g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVLAQQTQPIEAQNHFQKPKEKKCDHFAVSGSPELVPGAIVRRDHGQVATTDNRPRPPSCSSRRDEAVDTNHAAIGARTKPLELPEVSPLRGRETHAPPSPSAGATAHFGHSPPSPTNVRRSRRDRPPPFAAGKLPPCHRRTLAVAGDFLVSHRPPPSPPMTGTGDSPTTRRRLANSAESTRRRVCFMLELGLHFSSAMNSVSGLWFSIPTPHWAIPLSLTPPSFPFQMPPRKRVVRTQAVRDAREVEAEDEHVQPAVPQQAAPPIDQDALRQMVQDAARQAAQEAVQQTAQEAARVAAQEVARQMAAVQQGQQIPHGPQVQVQQGPQIHMQQAPPVQVQHDHQVPHQPAPAQQYPQVPVQPVPGVFQVPPPPPAFPVQVPEVDETFIRVLGQMKYVSLEHFSGTTEPTVAHDWKHSLDKCLKTISCPPRLKLNIAELYLRGDASIWWDRAGGTSGSEKRTWEQNGVPHCGRCRRQHFGECIQCFNCGLFGHISKNCRKPPRTQVAAPAAAVAPAAAARNCYGCNQPGHIYRDCPRRGNAALPPPPKRPAIAPRVEWVAELVAGATVRRDHVQLATTDNHPRPPSCSSRRDEAVDTNHAAIGAWTKPLEPPEVSPLRGHETHVPSLSAGALDFYHFYRIRAVGRLTSIDVARGASIDAEVVASFSSC >A08p030860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18873844:18875550:1 gene:A08p030860.1_BraROA transcript:A08p030860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSRKATCNTHGQDSSYFLGWEEYEKNPYDEVKNPDGIIQMGLAENQLSFDLIESWLAKNPEAANFEREGQSIFRELALFQDYHGLPSFKNAMADFMSENRGNRVSFDPKKLVLTAGATSANETLMFCLADPGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSANGFRITKSALEEAYKQAQKLNLKVKGVLITNPSNPLGTTTTRTELNRLLDFVSRKNIHLISDEIYSGTVFTSTGFISVLEVLKEKKLENTDVSKRVHIVYSLSKDLGLPGFRVGVIYSNDDIVVAAATKMSSFGLISSQTQYLLSALLSDKNFTKNYLKENQIRLKNRHDKLVSGLEAVGIECLKSNAGLFCWVDMRHLLRSNTFEAEIELWKKIVYEVKLNISPGSSCHCNEPGWFRVCFANMSEETLKVALIRLKMFVDGPSSTTRSQSEHQRLKTLTKMKVSNWVLRLSFQDREPEER >A04g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15488291:15488797:-1 gene:A04g506810.1_BraROA transcript:A04g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGLFEEVDFALEEWMVDQMHIVRPAVETVFENLLLQLLLAKKIPSIGKFHLAEGLNVDGVLESWGKIKPVTWKLGMKKLEMIGLKRT >SC166g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:191505:193329:1 gene:SC166g500100.1_BraROA transcript:SC166g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEGKALTTQHTPGKSNDGEMIRRSDIDALIKALKENGETSLMELMRMKGAQVLINKGCEMKMKLKKEISLRYNLKEEKKEKY >A09p077200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57264191:57267677:-1 gene:A09p077200.1_BraROA transcript:A09p077200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIAPREEARRSPLGIVLEPPCPRSVDGISIDPEPNWNFASLLSEIESVEKKLNVFSKFPQPLTQTALRMGRRGGGFVMRVSEDEMESDVDEESEEEEEHRQLCTKGTRFACDDLYLSDESDDEFDCEPESFLLSKMGLAESALYEVINDHQTEVKEDIRSQVSVVETAMLQEIETSRSAIDRVEKYKEIRKEVERKLDLQYQRKVAEALDTHLTAIQREHEIKSQIEERKIRSEEARRRERAHQEEKIRQEKARAEAEMQAKQRAEEAKKEAERKAAKEAAEKELTDRKASEQRIAEEKAARERTSAASNAQAGGKSIQAAESALTLENHRLKKLEELEATNQSLRSRPNEDFSSFEKQITRAIKQIRGTKDNVSKKSNEIVKIFRDPRCPVSISIATFAKKIVSAKDHFAGSYVIVYVTSQFPQAMDIVLAEFHKACNYTVPKHILNSQSAWDSDAYEQLDSTMRLYGALVQNDIRGVTNIHGIDQGWAWLARFLNKTSATRATATALNAFLQMAGFGLHQRYRSQFLKVVNIVREHFLPRLRARKDASNLQTIITDITAYLDDQMYLKEPVGRTMQTNTLSAEITAEEVHQSNNQRYQGNNYYRDYY >A09p034140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20629052:20630480:-1 gene:A09p034140.1_BraROA transcript:A09p034140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 44 [Source:Projected from Arabidopsis thaliana (AT4G10465) UniProtKB/Swiss-Prot;Acc:F4JMB8] MSTFIAKSLGSIVSIVARFFSFRRRPKSNTRPTTHISYFRMSKKRPLSLQTVDLKVRMCCTGCVRIVRKAISKLRGVDSVEVEREMGRVRVVGYVDRNKVLKAVRRAGKRAEFWPYPEPPLYFTSTQNYFVDPSKEFKESYNYYRHGYNGTEQHGNIPAGSRGDDRVSNMFNDDNVNACSVM >A07p024610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14166506:14168640:-1 gene:A07p024610.1_BraROA transcript:A07p024610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative F-box only protein 9 [Source:Projected from Arabidopsis thaliana (AT2G04920) UniProtKB/Swiss-Prot;Acc:Q9SI34] MVLSDLPPDLVEEILSRVPATSMKPIRSKIQDSPRNTLVKLQGRVPAKVDLNVVPSSVEFKDEVKLKDFHISDVFHCDGLLLCTTTDRRLMVWNPCLGETRWIQYKHNNERYSIFALGYVNIKSGRSYKIIRCWKRYRYSCCETNGPVVETYEFSSDSWRVLDDVTLDEVPHGCVSIKGNIYWANTYTTDDFLLCFDFTKERVKRMCLPQFQYSGCNVLSVVGEEKLALLHLSERSSRMDMWVTDKIGTDTEAALRWRKSFTVDEPDYVPLPMSFLLDEEKEVALCCACSEVSEFMVYTLGENVWFKSSNKVETEEENETGPSHHRSIQYETPGPEIWSYGKNPLTWQGFLLGIREEGLSVSSSHFKRKDIYHALRHANNVLVGISSGLAAATAIKLAKRPENTGKLIGISLFQS >A04p002140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1023961:1029204:-1 gene:A04p002140.1_BraROA transcript:A04p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDIEALKSITTESIDLENVPVEEVFQHLKCTKEGLTSKEVQERLTLFGYNKLEEKKESKILKFLGFMWNPLSWVMEVAALMAIGLAHGGGKPPDYHDFVGIVVLLLINSTISFVEENNAGNAAAALMAQLAPKAKSALTGESLPVTKNPGSSVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTTHVGHFQKILDMAHNKLEIKEKVHAKIDKFAERGLRSLGLAYQQVPDGDVKGEGGPWDFVALLPLFDPPRHDSAQTIERALHLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLSDNHTETISIDELIENADGFAGVFPEHKYEIVKRLQSRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVMCFMLLCVFWEFDFPPFMVLVIAILNDGTIMTISKDRVKPSPTPDCWKLKEIFATGVVLGAYLAIMTVVFFWAAYETNFFPNIFDVRNFNQHHFNMRDKAVAANLNEQMASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVIAFLIAQLVASVIAAMATWPFAGIRSIGWGWTGVIWVFNIVTYMLLDPIKFLVRYALSGKSWNRMVEQRTALNGKNNFGKDERMAAWASETRTQHGLETEMMISYVGLLDELCRMRELQTLKGKVESAAKLKGYDPDDVNNNNYTI >A03g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10875578:10877337:1 gene:A03g503320.1_BraROA transcript:A03g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALLDIDPIDLQFPFELKKQISCSLYLANKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSSSEVLVTMQAQKEAPADMQCKDKFLLQCVVATPGVTPKDVTPDMFSKEAGHRVEETKLRVVYVDPPRPPSPVREGSEEGSSPRASVSDNGNNAASDFTAAPRFSVDRLEPQDNPSEARALITRLTEEKNSAVQLNNRLQQELEQLKRGSNRSPSGGGIPFLYVLLVGLIGLILGYIMKRT >A03p001450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:714866:716414:1 gene:A03p001450.1_BraROA transcript:A03p001450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTTNNLENMRVAFMLPTWPESSSFNSLHNFNYDPYAAGNSYTPADTQTGPVISVPEPDKIINAYRLPSNNNEITKKKRLTSGQLASLERSFQDEIKLDSDRKLKLSRELGLQPRQIAVWFQNRRARWKAKQLEQLYDSLRKEYDVVCREKQMLHEEVKKLRAILRDHGLIKKQISGVTSGEDTTEIPSVVTTKVYGTDQYNNQMVVASSCWPPYP >A04p033440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19435828:19436192:-1 gene:A04p033440.1_BraROA transcript:A04p033440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFIEMEKLNSKLYVQNCYIIKENERLRKKAQILNQENQQLLLELKQKLSKTKNPNGSNQGSNNSLSTSSSASGQS >A04p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16880104:16882684:1 gene:A04p028050.1_BraROA transcript:A04p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCESQIYHERQRLQFCLLHSLNNLFQDKDAFTREGLDSISEVLGTDDPCQETWTPLSLLLKPHHNRLTGNYDVSVMVAALEGKGKSVEWHDKRYGAYSINLGADTLMGIVLNVPVTRYVGLWRSRRWVVMRKINGIWYNLDCDLTVPQPFTSEDEVKGFLDQNLTSYSRKVSAIYKDMSATETKRCNANTRPVTCGKQSSVKGSYGKNPGCTTSCGLRLPKKTEATAARLVKVLSCKLVKGLRLVVMRRKKKRSPPLKASSTGRSQPSVISVPNDTCRSEAIEDCIQFINSSTSFIRSSSVSGRKS >A06g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18228703:18229664:-1 gene:A06g506530.1_BraROA transcript:A06g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMLVILHLNIVTDVPREKAYHLKPRLLGKIIEVSIRPTYPSSKKVKDYDKLEVEVKKNRRMISLKKTLFAQSTGGIYWDSAFNKLERLVLRKSRALFMMIWRSRHEKYDLI >A07p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24894150:24897825:-1 gene:A07p046000.1_BraROA transcript:A07p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRVDNGIITVIAIDKDKNSQHALKWAVENILVDSPQCVLLHVEPKGIISIFIYLSSSKQKMSDNSNEVIYLAEGNTGAHIQRDNQDDSHQFFLPFRGFCSRKGIIPKEVLLREIDISNAIVNYITNNSISNIVVGASSHNAFFNLSTLLYNNEPRSSNYSSDSERDSVVSTQHSKPMSDFSQTSSPPRISSTQTMSEFSQSDTDNGSYGMVSTVTSYTISQSSTTNGSSISSTSTESPHAVTFMEQQNQNLEAEVRRLRQELKQYNPSNNKESSQEHKLPRAKGVRRLDQAIELPRALSEENQKRLSAIQAAEIAKQLAKMESQKRKLLEMQAKLEKQRMASNVSYRRYSIKDVEGATNGFSDSLKIGEGGYGPVYKAVLDYTSVAIKILKSGITQGLKQFQQEVEVLSSMRHPNMVILLGACPEYGCLVYEYMENGTLEDRLFCKGDTPPLSWRARFRIAAEVATGLLFLHHAKPEPLVHRDLKPANILLDRHFTSKISDVGLARLVPSSVADSYSNYHMTSAAGTFCYIDPEYQQTGLLGVKSDLYSFGVVLLQMITAMPAMGLGHRVEMAVENNKLSELFDPKVSEWPEEETLELAKLALQCCELRKRDRPDLASVVLPALNRLREFAREDHERIKDRTSHVSQSNYSDPVPSSQISRKPTLGVPEIRGDLDQLKTRSHSVPRRSRRPPRSERSGQYKGNRWSFMSCAPSKSSVG >A10p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9042014:9047387:-1 gene:A10p004830.1_BraROA transcript:A10p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 23, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05580) UniProtKB/Swiss-Prot;Acc:Q8VYD4] MTSGLPNSTTNTAYEIDVSRFGKIVCYDQSLLFEKREQKGWESGSTLASSLPFFITQLFVANLSYRVIYYLTRPLYLPPFVAQILCGLLFSPSVLGNSEFIVQHVFPYRFTMVLETFANLALVYNIFLLGLGMDLRMVRITEAKPVIIAFAGLLIALPVGVFLYYLPGNGDPEKITSGCVFWSLALACTNFPDLARVLADLKLLRSDMGRTAMCAAIITDLCTWVLLVFAFASFNKAGTWNQMMPWVILTTFIFVLLCIYVIRPGITWIFAKTVKAGQVGDTHVWFILGGVVLCGLITDACGVHSITGAFLFGLSIPHDHIIRNMIEEKLHDFLSGILMPLFYIICGLRADIGYMLRFTDKFILVLVICSSFLVKIVTTVVVSLFMQMPTRDALAIGALMNTKGTLSLVVLNAGRDTKALDSPMYTHMTIALLVMSLVVEPLLAVAYKPKKRLVHYKHRTVQKIKGETEFRVLACVHILPNVSGVTNLLQVSNPTKQSPLNVFAIHLVELTGRTTASLLIMNDENKPKANFSDRVRAESDQIAESFEAMEVNNDAMMVQTITAVSPYATMHEDICALAEDKRVCFIILPYHKHLTPDGRMGEGNSSHADINQNVLNHAPCSVGILVDRGMAMVRSESFHGEPNKRGVAMLFVGGPDDREALSYAWRMVGQHQINLTVVRFVPGREALVSAGKVAAEYEREKQVDDECIYEFNFKTMNDSSVKYIEKVVNDGQDTISTIREMEDNNSYDLYVVGRGYNSDSPVTAGLNDWSSSPELGTIGDTLASSNFTMHASVLVIQQFSAVNRQAAAAAAATTAVGAMAGGVGNNQEAIGIVPKKTHDDEPFMKSMYEEDGDDEEEEHHYGIHR >A05g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23630057:23631130:1 gene:A05g508120.1_BraROA transcript:A05g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPSTKGASNALKWLGTKTGEYTVKTGYYTAMAERCGNLESINHLIFHCPFAREVWKLAPLDGSFGISGLTDLRADWNDLHRLGCLPPTGLTPPPLVPWILWALWKARNRFVFENFSGSPADVLSQAIVLAREWSAAQDKKETVRSDAAWSAVTKNVGLGWVVVIREQKTLFKLGISFTPSALVAEALAMKEATCCRLGVKDVRFDSDSRLLINAINGKDPLLEIYGVVEDIHILSNAFDVVSFAWLSRERNGEADLLAKNALSLYEQGVVVATLMPPPN >A07p049110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26116137:26117647:1 gene:A07p049110.1_BraROA transcript:A07p049110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYTCNSKSLKIHAKEKLPVNSKTHLQLHGELDTGTGAPSYFCAMIRHLFPEAATGLGVGIHYDKRQKLRCHVRGKKQFPVRDDKSVTFNVKGRCDFDQDFNQVPYMQIRENNWTLNANMKGKWNLRFDL >A08p016680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11798050:11800497:1 gene:A08p016680.1_BraROA transcript:A08p016680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKAKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFDWLCQLYKPKSEIPAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLETITEELRLKDIEFIKKKIEDVEKSMKRSNDKALKVELELLLKVKAWLEEGKDVRFGDWKAADIEILNTFQLLSAKPVVYLINMNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERSLADMLPDEAAKYCEENKLQSALPRIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGNETAVKGAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >A05g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10360077:10361316:-1 gene:A05g503570.1_BraROA transcript:A05g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNTKINLKTLSPNPSAASASSSRSEVFSGRRTSPCCLSDDGGALGIRAVAGRWFVFGEVGFCVMACSFRLQDECVGCLVSGLSSFLSSSYLLWLWKDFDLCRLLALEGKCSLPAYVYSTTFHPF >A09p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1545059:1547572:-1 gene:A09p002180.1_BraROA transcript:A09p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTTTTQKPSSVSTTVPGFNHSHVIFSSLLSFPNSSPFSIASSFDRELDKALSSASGDVSAQDSLLDRTLQLASLLLDSTKRCFRKRASAHNSSSWFLPPDLTIKVFSMLDTKSLMQASVCCTLFNKCAMDRLCYTHIDLTTADSGVVCIMIHRAGKELRSLKLGRVARSDGSDPTPSLLNGSFLSPLSYNHDRKELEQDKRDKLKTKNESRLRSLRLYNIRPINYTSFTEVLSLCSNLTDLRITGLNSPVMLLFKTLREKCRLIENLCLEAYQASGTVDAKTGSPLIEFVTNSYNLTSLTLISFRLTDGLALNLAESSSKLKYLNLSRSPTLNGRFLRDLGHSCKESSLKTLIMRNCYNLQEKEVMELCNSLLKGKFKSIRHIDVSSNNGLLTNGVRFYKPELPLKKLKEERSDVTFVADFPLLRSGKCYRVCDEGDEEELREIEMIEAKNDGGNEDDSDDEESDDEDDTSDGTGEEDDSEEEDMEF >A06p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12548555:12550654:1 gene:A06p021890.1_BraROA transcript:A06p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPPENPNLPLTSSSSSLHHSYSRKQKSLGLLCTNFLALYNRHGIETIGLDDAASKLGVERRRIYDIVNVLESVGVLTKRAKNQYTWKGFSAIPAALKELQEEGAKDTFHRFYTNENVKVSDDEDDEEESSSQPLSSSQTDTSKPGSLDPSKIDNRREKSLGLLTQNFIKLFVCSEARIISLDEAAKLLLGDAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTLDSRKPAFKWLGYNGEPTFTLSSDLMQAESTKRVFGTDLTNVSVKRSRTHENATERRIKMKQHAIADSSYNQSSDDAHESRRYEFGPFEPAAGGTYPAARLEDNSKRAFDVENLVSDYRPSYQNQVLKDLFAHYMDAWKSWYSEVTQKNPLPDTSKRH >A06p009020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3161922:3162891:1 gene:A06p009020.1_BraROA transcript:A06p009020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKRNQAQMSDVEAGQETMNLDKFFEDVENVKDDMKGVETLYKKLQDSNEECQTVHNAKKVKELRAKMDADVGQVLKRVKIIKQKLEALEKANANSRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQSLRARMNDEYKETVERRYFTITGEKADEQTIDNLIASGESENFLQKAIQEQGRGEIMDTISEIQERHDAVKEIEKNLLELHQVFLDMAALVEAQGQQLNNIESHVAKASSFVRRGTDQLQDAREYQKSSRKWTCYAIILFIVVFILLLIPVMPHIMLMLK >A07p009040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:168756:169152:1 gene:A07p009040.1_BraROA transcript:A07p009040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPYKISACEVPRWLGHVLPLAARRSAGDRGRDSFHAPAFSVRGPLSSVDEGFQYFHETCSHIHPLEGPGSEVSCLAKGLPPASVGRSLLRIRPMYPLRRSP >A10g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6417886:6418857:1 gene:A10g502350.1_BraROA transcript:A10g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTTFAWARRRGRSKTGAATWDCRLNRRRKSISDCEDHAKDHLGVKRMMEGHIGTKMRCTNKDIGSSWGKKADGNKDHRGGNMVPALFPDEEEMEFAEQPNAHIRERTVRHRVLMPHFQRAAESSRLYQGQRTFQLAPEVEMTPPSRGRGRPRKIGSTRESLGPIRVGLRKEIQGKLIGVLEPWKFALVNRMAGQAMNAERTLTRWVVAISSSEEDVAVEEDPSEDSEWEEEPAS >A10p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19062238:19065100:-1 gene:A10p032110.1_BraROA transcript:A10p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRVCSSPSSFFNLRPLHLLTSSPKLPFGRPSLRREFRLIPSRSSSSSFHRMESPPASSSSSSQTPVTASETDSLAKDLQNQNLGAAIDEGGAKIKRKLEDFNWDHSFVKELPGDPRSDVTSREVLHACYSKVSPSVPVDDPQLVAWSDSVAELLELDPKEFERPDFPLLLSGAKPLPGAMPYAQCYGGHQFGMWAGQLGDGRAITLGEVLNSKGERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHFLGIPTTRALCLLTTGQDVTRDMFYDGNPKEEPGAVVCRVSQSFLRFGSYQIHASRGEEDLEIVRKLADYAIKHHFPHIESMNRSDDSLSFITGKEDDSVVDLTSNKYAAWVVEIAERTATLVARWQGVGFTHGVLNTDNMSILGQTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDIGLWNIAQFAKSLAVAELINQKEANYAMERYGEKFMDEYQAIMSKKLGLSKYNKEIISKLLNNMAVDKVDYTNFFRLLSNVKADPNTPEAELLKPLKAALLDIGKERKEAWIKWVQAYIQEVSGSETSDKERKARMDSVNPKYILRNYLCQSAIDAAEQGDFSEVNNLIRLMKNPYEDQPGMEKYARLPPAWAYRPGVCMLSCSS >A08p017810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12374894:12383564:1 gene:A08p017810.1_BraROA transcript:A08p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQKIRESDAATSCLTDKETGITRRAVADLQAQIENLTAAVAALSTQHVTLVFRQERNNQAAIDDEFEEDKNPLSRLRCQPPVRNNNNNDSYFDNKYEYLDIKVYDTRDNDNSYVVQLGGPIFDVSDTEEEGEKFSEQIFDPIFDVSDQDDTENFSTHDVANEDITEIAPIYDMFEEDEMNQVIVGKVEDESIKFNESVYAEEIPTFSNEAFVKSNGIIPDFNLKDTSPLCQTMKETVDQSDNYFWKSSRSNQREDHMDVPKPELIPICDERAGNTFLDLQKKHMNYGAWINNFNQHINREPPDRVPHQDCEAEQEDTKMLTTKITKLEEALLLEQEKNLILEHELSEIRRNIRMLNKGSTTLDKILRMGRTEKTTAGLGYQGGPSGSHTVFVRSNYVETYKPDFVFGSDKNPAMEFPTVSNVYTRYCEINLLQRQGCVRQQWSTGSMSAIHKTQRCLIGEEFVSILQQCVVTPVKQRSDQYWHLHRAHMRLLKKEEVEDCNDEKVGGDVYPTSDEDSSEQTIISLGLEIPHHHSLESILIHNKVFLASIHVKIHKNELYMATLVSGQSLHAGESHSYVDVLFERNTTFLTCWTNVIMVNWNINESSDSFLKNLIKENHAEVLTAIYDISFLRRLVSSHGSVLVYAFTGGEDSMFLWPLLKGSLESVVYWQVRHCGFHKLRIWDDDKLLNNLNDISTTATWRSYVTCVSSKYLWEAYSCVIWVHCNTIFLPLPSWIDFSTLLGAISQEVFPFQDPYGIRCSSF >A09g514230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42806249:42818769:1 gene:A09g514230.1_BraROA transcript:A09g514230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHPIRSIQPATNNPRPDPEHPLWANCKLSKRTQSQPVEVDSSRPHTACALKISHGIRARFVRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWPISHLTLIVRGEGTLKLKKMVRMEPA >A02g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20394045:20394877:-1 gene:A02g507110.1_BraROA transcript:A02g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKGSRLLPESEILRKGKDDYNAIIIRRGFCINLEKPGLYHGNHEEEQWWFCDFFYAQYITVSITGKCSHNQHKKGNMDSLSAPSASEERIYNTTNVKDKFL >A08p044930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24724766:24725094:-1 gene:A08p044930.1_BraROA transcript:A08p044930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKSPGLKILWVWTLGTAAILVASVVRTRMHDMESMMNQEQAPKQTHHLTAAPSDVTVLPDSDREIAKELK >A10p023560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15382423:15383550:-1 gene:A10p023560.1_BraROA transcript:A10p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGDSSGDRLPKFFKVYLPNDSGDDLEIPVSFNSCLPSSVPKGVTVTNINGHVWKLKLRKLSGDPEKFSMVDGWKRIVKDEDLKGGEFLAFEFDGSRLFNFCVYGQATCKKLGKSAEVDDDDDDDDDDVIVIDDDDDDEDDDGDDDEAADDEDNDEDVDVDVEADDVDDGMETDDGDEHRQLLDDPDSPSFTVILNPKKKSQLLIPSHIMKDYNLHFTERITIVDPLVPKFGTLERKIKLQDNGCLFVKGFGSVFRRNNVKTTDTIICEVKKNGNDVAHILKVHIVRGL >A01p058610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33448286:33449099:-1 gene:A01p058610.1_BraROA transcript:A01p058610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQEQMESLMLGEERRRENCVRDADADTDEGFNSPSSFPNSPDESDRRSSSSSSRRGLSKHYRGKSQSFTSLSEALTVEDLAKPENPLNAKLKQRRESSHCRRLSGCGGASQQNLAGHDAFFAGNDRQPRLSGNRLPPRAQTLSAAHISALLTRT >A01p057130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31932179:31934611:1 gene:A01p057130.1_BraROA transcript:A01p057130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRYDDDSKKKRRYAVILISSVLLISMIVAVTISLNKNEANGDSKSNGELAASVKAVKDVCAPTDYKKTCEDTLLKNGHNTTDPMELVKTAFSVTMKQITDAAKKSQTLMDLQKDPRTRMALDQCKELMDYALGELSNSFEELGKFEFHLLDEALINLRIWLSAAISHEETCLEGFQGTQGKAGETMKKALKTAIELTHNGLAIISEMSSFVGQMGIPELNSRRLLSQDIPSWVDQRGRSLLQAAEGYSDAKADIVVAQDGSGQYTTINDAMKFVPKKKNTTFVVHIKAGVYKEYVQVNKSMTHLVFIGDGPDKTIISGSKNYKDGITTYRTATVAIIGDYFIAKNIGFVNTAGAIKHQAVAVRVQSDESIFFNCRFDGYQDTLYAHSHRQFYRDCTISGTIDFLFGDAAAVFQNCTLLVRKPLPNQACPITAHGRKDARESTGFVFQGCTIAGEPDYLAVKETSKAYLGRPWKEYSRTIIMNTFIPDFIQPQGWQPWLGNFGLDTLFYSEVQNIGPGAALAGRVTWPGIKTLSDEEILSFTPDQYIQGDVWVPGKGVPYTPGLLAADPNAATTTPSGSAAPDFSSFPDTSGAGSVSPAAAPEGSTKRTMVLTD >A03g500580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1703566:1703994:-1 gene:A03g500580.1_BraROA transcript:A03g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSYLNHLNVSGAFEELSELSTIDLKGRLPTKSLRSAEKDCSCCGGGGASSEKMFPSSSTLKSSVTATLRTKRDAADEAKMEREMDWELSSKEREEVGWKWRQVWRWRRWRERGMKLKQPWRRKRRDRVDGIASNSTGLAG >A02p040290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25490179:25491280:-1 gene:A02p040290.1_BraROA transcript:A02p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINSTVTILSPKSIPKIINSKLRVGVSDQTTGFSPNVVKCVSSSSCRRLKLAKLVSAAGLSQIEPDINEDPIGQFELNSIEMEDFKYGYYDGAHTFYEGEVEKGTFWGAIADDIAAVDPPSGFQGLISWLFLPAIAAGMYFDAPGEYLFIGAGLFTIVFCIIEMDKPDMPHNFEPQIYKMERGARDKLINDYNTMSIWDFNDKYGDIWDFTVEKDDIATR >A09p051580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45449420:45450330:1 gene:A09p051580.1_BraROA transcript:A09p051580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAKGGGKGKGKQASGSDEAAASKGKGKSGKAADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFNTPVGVTSAPFKSTHGYHIILSEGRKN >A01g511270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31504378:31504710:-1 gene:A01g511270.1_BraROA transcript:A01g511270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSTKSKSKRVPLKQKHKVIRKVKEHHKKKAKEAKKLGLNRKPRAEKDPGIPNDWPFKEQELKALEARRARALEEIELKKVARKEK >SC170g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:22363:31122:-1 gene:SC170g500010.1_BraROA transcript:SC170g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQGWCVQDSRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGQAGEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENGNTLGTLGYSFGASYEPYKGLGIHTTQDPKERRSTTNTQPTEASNLDHGGETTPEPAEVHVESHDQEGSGDHDQSVIHEDRESLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGVTQTPSQDEV >A06p009800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3510993:3515915:-1 gene:A06p009800.1_BraROA transcript:A06p009800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFILPTVVASNESFLSQPKSSLKGSWAAQHNAGVAADMDFFIGDEALTKSRSSSTYNLRYPIEHGQVEDWDAMERYWQQCVFNYLRCDPEDHYFLLTESPLTPPESREYTGEILFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATRVVPVAEGYVIGSCIRTIPIAGKDVSLFIQQLMRERCENIPPEDSFDVARKVKEMYCYTCSDIVKEFNKHDKEPGKYIKQWKGVKPKTGAPYTCDVGYERFLGPEVFFNPEIYSNDFTTSLPTVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDARVLANNARTGGEITSQPVEVNVVSHPVQKFAVWFGGSVLSSTPEFFASCRTKEEYEECGASICRTNPFCKNPMNQENAGYGDNQKLLHQGSGTIPALADEELMGEDDDYDDLYSDVNVGESFFQAHNQPQAPAQVGGSIQTQNSTVSEPRMAVVSGGGVEAKYHNGISGPETRSDTYPQGSSFGPKGMNVDVQSNQPNKVNPQGSTSIVLNTHSFSGNAVNVPEPPPVHNNPYGGAPQGAQQIPVSQTSVNPSAMVNRSPTQPFVVDNGNTMLFVGELHWWTTDAEIESVLSQYGRVKEIKFFDERVSGKSKGYCQVEFYDSAAAAACKEGMNGFVFNGKACVVAFASPETLKQMGANFTGRNQGQNQMQNRRPLNEGMGRGNNNNNNNNNMNTQNGDGGRNFGRGGGFARGGQGMGNRGGHWGGAARGRGMNNMANGAGAGPYGGPGLAGPAFGGMMHPQGMMGAGGFDPTFMGRGGGYGGFPGLAYPGMPQPYPGVNPMGMVGIAPHVNPAFFGGAGMGTMGNAGMNGVHAGGMWNEASGGGGGGEEGGSEYGGYEDENQEKEEKPSREKERSTTERDWSESSGGDRRHKSHREEKDSHREYKHRDSEEYDRGQSSSKSRSRSRMSEDDHRSRSRDADYGKRRRGD >A09g510780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33165541:33167243:1 gene:A09g510780.1_BraROA transcript:A09g510780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLALDRWYIKSHSASLDDHFNPSQFQKSHLPSRIISNLKRSSNLERIKWYQSHSTEFISEFKQMINAKFAPIHKRIDQLETRQKRSVPSHEKSESRRLAAEDWFVDPENKAQGSLLEEEQLDNPTQDSLLVTRRPLNYDFGPIFDEESQPETIEQSDLKETKEAAKEELFKISTKTHFDDIFKRYSHYSRPDPYIICFETLKEVEYGKKKLWAFLGKLNMEEGRVVSSVLNSQSKINEPVEFVFGESALWNPAAKAKALLFEELKPYIKTKFQYKFLDVGCSKNARDDLQYLEVCSFHPKEYDAGNGTQRNHHIIDKVRDGCGVTKLKLLAQLQWLHKGGNVNVISIPPTFPLDPGESDLWTNPFEEEGNDAPQIVQPTSCSLPPWTRLVRMNLDSRQRLQVKRLFLVEPVRHIRQQIMFASLSDL >A09g516920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49721383:49724088:-1 gene:A09g516920.1_BraROA transcript:A09g516920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDTQDLKIQTLSFHSLKYYSQISHLILLRYYDDATCVLRKICLDAKAPHISSTPPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIARSNSKTEGETKCYRGETTKLKSAVKLQDLLDATRMLVLRTRPGRESDSDPENLEHAKKLCQVEAVIKKVL >A09p079720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58589006:58592983:-1 gene:A09p079720.1_BraROA transcript:A09p079720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BONZAI 3 [Source:Projected from Arabidopsis thaliana (AT1G08860) UniProtKB/Swiss-Prot;Acc:Q5XQC7] MGNCLTGDVAGGKQAIGGVQQRPTSTTTNNAAQNDAVDFFFRSRGQYPLFSQIELSLSASNLIDRDITSKSDPMAVMYLRKKDGKLEEIGRTEVILNSLNPNWIEKITVSFQFETVQTLVFHVFDVDTRYHNVPVKTLKLKEQDYLGEATCVLSEVMTKPNRSMTLNLHGDFGAGVSRKLGTLSVQAEETVASKTVVEMNLRSVNLDNKDLFSKSDPFLRISRLVENSIVVPVCRTEVVDNNLNPIWKPVCLTMQQFGSKDTPLLIECLDFNTSGNHELIGRTEKSVAELERLCQQREAINFVYPSTSHGRNKVLKGQLIVDRFVEKIQYSFLDYISSGFELNFMVAVDFTASNGDPRTPSSLHYNDPSGRLNSYQKAIMEVGEVIQFYDSDRRFPAWGFGGRTADGHVSHAFNLNGASYGDEVVGVEGIMSAYSSALANVALAGPTLFSHVVDKAAHTASQSLSQNSPKYFVLLIITDGVLTDMAGTIDALVRASDLPLSVLIVGVGNTDFTQMEILDADKGRRLESSTGRVATRDIVQFVPMKEVHSGQVSVVQALLEELPGQFLSYVRCRNINPVGAPAI >A08p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3046254:3048950:1 gene:A08p005260.1_BraROA transcript:A08p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGQIASALQKMSNVTIIDEKALNECLKEITRALLHSDVSFPLVREMQNNIKKIVNLEELAAGHNKRRIIEQAIFSELCKMLDPGKPAFSPKKAKPSVVMFVGLQGAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKARIPFYGSYTESDPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFVDKLQDVVPKDQQPELLEKLSQGNFTLRIMYDQFQNLLNMGPLSEVFSMLPGAAAQMMPKGHEKESQAKIKRYMTMMDSMTNEELDSSNPKMFNESRMMRIARGSGRMVREVMEMLEEYKRLAKMWSKMKGLKIPKNGDMSALSRNMNAQHMSKVLPPQMLKQFGGMGGLQSLMKQMGSGKDMMGMFGGGKDK >A02p001570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:691456:691845:-1 gene:A02p001570.1_BraROA transcript:A02p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLLQDLPMLNFPSPIKIRSNNRDADGGGGGCTTPTSSDHKIPPSSATTPPPPPQKRRALPPSLVYRSCKRKLLTSSKFEIIANKDEIDRFFSSVYNQTMTSSPTTATTSTLPVARRRRSFRSCSRR >A03p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10129171:10131251:1 gene:A03p023970.1_BraROA transcript:A03p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVLQFGLHSSKFLAVPLRRSLRFGSSIVSVSVRVVGTTSFNKRLMSNATTPFSINNNTKGKEMMKVAAATDHNCHKMVGSKDDQKEIEAMTVQELRATLRQAYAPFLCYCFAYNPKTMRLPPLPEGTKSVKIMTWNVNGLRALLKLESFSALQLAQRENFDVLCLQETKIQVKDVEEVKKALSDGYDHSFWSCSVSKLGYSGTAIISRIKPLSVRYGTGLSGSDHDMEGRIVTTEFDSFYLISTYVPNSGDGLKRLSYRIEEGDRTLSKYIKELEKTKPVVLTGDLNCAHEEIDIYNPAGNKRSAGFTIEERQSFRENFLDKGLVDTFRKQHPGVVGYTYWGYRHGTRKTNKGWRLDYFLVSESIVANVHDSYILPDINGSDHCPIGLILKL >A06g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14960857:14962654:1 gene:A06g505070.1_BraROA transcript:A06g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDANRTTDEFLATMRSFYHIPDVVEFWVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHMFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLPPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGGDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A02g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13326686:13328460:-1 gene:A02g504040.1_BraROA transcript:A02g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKKAIFCIPRIGISGCVRMRIVALIVSAFELSSLKCRYCASVSTYILQKLRKIMIKSINHQLRLSAIIMFLRSFSDFSFSIFLIEFRVVIPRPKLLPGLHVQSTYGYSKKWFFLSVWSHAQLTLASHCVIYVVTMQPVSAMCDWQVWAYLQICSLHELLFFFLKLQPDGFFSH >A02p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26048564:26065929:-1 gene:A02p041300.1_BraROA transcript:A02p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWLRWTTTLHACFVHAIELFGVLERATPKSVLELVKYLTLVHVLMSICVTVAMSTPVLTINSPDGDLIDCMKINDQQALQHPLLKHHKIQETPTGHMVQKGENSGWQVWHRSGACPRGSIPVRRSEDTIFQKNETYAIAYVKGKRKIYGTKATINVWDPIVEASGDFSLAQIWVASGSYETNDLNTIEAGWQVFPSKYGDAQPRVFTYWTDPISGNWWLAIGVDTFEPVGYWPAELFTTMSDHATMVEWGGEILYRNTSGLSTITQMGSGEFPEKGYRKSAYFCNLNVAEEHNSLQPVEDFNLQADHEELYTIMKSNTEACGNHFYFGGPGHGPVRSTAVRAAATFVFLFSAVLLVL >A03p065880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29144320:29154812:1 gene:A03p065880.1_BraROA transcript:A03p065880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKNRADPLAAGRQKLQQFRQKKADKNSDQKKDPKGSTSKGKSSKKSGKSEKHEGKPDKSVVTDEAEALSAGGATSHVNIVDSPQASADALSHEYVLVHGSSSEPDTLLPGNTTATADSGAELGKDILNPEDDTGISLSTVEEDMKRIDSTAAGAVKSVTSEHADSEKGVTRDDASISVDGVFAASGDLAEGEGFEVESGSGDVEKPHQPSSSPEFIPDVSLSGARGDQVTDVGEMQEDSGSHKEQFSEASAKADMDWIVTEERQASYPAVVDSSASPSHFLERSSVAFDSVELEGTSGKIRSQQIREAAELSEERPESSIGFHNNRDHVLSTEPEDSSIQLQLPQSASTSGLLSHEEPCKQDTLNPSGEVSAAHVHEGRSVSFLQLMEIVHALGQDEFQVLCTAREAASSTDPGTSSLEGLREQLFVSRTTEDILHVQLTEQSHLQNEFDHQHDQLVAEISQLRASYNALTERNDSLVEELSECQSNLYAATSSNENLENQLLATEAQVEAFTAKMNELQSSLEKALLDLSEAKEKVINLQVENDTYGAILSSWNDEKKELFEEKESKNYEIKHLLSELCNCKNSEAILKAEVERLEKTVGPLTDEKVNLVEEKYNVLGEAEKLQEELANCKTLITLQEVENSNIRETLSSLTGQLTKLEEDNLHLTEENEKSHLERSAYLISETYLLSEYSNLKEGYSLLNNKLLKFQEEKENLVEDNDKLTHDLLILQERMSTVQEERIHLAAELGEAVARLDKLTEEKTSLSSSIEVEKTGILDIGNEDASELSNQEISETSGRSLEVGVTSKQSVPFVEYTCQSLGTQPTVLEGVIDASSGFSSLNKNLEKGEKMIQNLEEAIKQIRTDSSLIKSSNKPDTPPVSKLIQAFELKGQSEEQESEKAQLTGDQSEAFVSVNVQIRNLRGMLEQLALNAREAGIQFNQLNDDRTATNQRLEEFNVKFASHQDHINLLEADTIENKISFEALKNYSGELQQKNHELEFLCESLKLRNDSMGLENTELNKKLNSCLSRIYELENQLESLQNNLSSMLSSMEEQLVALQDESEKATMLEHELTSSMSQFGDAVVRLDGCLLRSGVAGAQVGLDMSKHISGSVDMAVKVIEDLEEKLEVAEAKHESSLNKYEELKQSFNTLHENNEFVTATMHKVYADLVKLITESCGSVEIAKFRVENLAISDPFSDGNCENLMEAVRNILSERLELQCVIDKLQSDLSSITKDMEELTQRSLDPTSLGELVQKVEGVLELETGEISFESPSLYVEFLVSQLVQKFIEAEDLANLVRKQVEAKDNELMETQESLLHHKTEMGGLMENLSQAEESLVAVRSELQKKSNELEQSEQRLLSTREKLSIAVTKGKGLMVQRDNIKHLLAETSAELQRRSEELSLKETRLQEVEAKLKTYTEAGKRVEALESELSYIRNSATALRESFLLKDSLLHKIEEILEDLDLPEHFHARDILDKVEWLSRSANGNSVRPSDWDQKSSDGGAGYVLSEPWREDGQTGTSSEGDLRIKFEKLQGKSYGLAEQNEMLEQSLMHRNNLVQRWESLLENIDMPPQLKSMEAENKIEWLASTISEATHDKDTLQQKIDNLEVYCQSLSTDLEAAQKQVCDVEANLQSVDNERVDISERLETLNEDHDNLSARANHLEVENEKLQNQVKDLHGKLAEKLGNEEQLQTIEGGLLSLRYMINDVIQEDGLQDLALASNSETLDGLLRKLIDYYKNLAKSSPSNDEATSPRHTPELADSNIVEATSRDIAVVETPDVASLTKDLDEALHVQKLAREERDLYMEKQQSLVAENEALDKKIIELQELLTQEEQKSASLREKLNVAVRKGKALVQQRDSLKQTIEEMNAEQGRLKSELINRDEMLLENEKKLRELESYTLRVEALESECQSLRNHLQETENILQERSGTLSKTLNALNSINIGDEGDRYDPVLKLQRISQLFQNMSTAVSSAEQESIKSRRAAELLLAELNEVQERNDSMQEELSKFTYEIQQLSRQKDAAEAAKVEAISHCENLSLVNNEEKKKIYAQVLSFGTNVNTLRKILAGTSSCLADIFTLDMEFLHHLKTTMESCAKQTGANLSGWPQNSTGNFVDKEIFSRLSAALSNVNLHEISNGGNITEICGSLSRNLDQFVADVSHLKENVSNHLTSRHEQVNIVSNSIDTFFKSIGTGTDSEIADLGERVSLLHGACSSVLAEIESRKAELVGNDNLNMSLHQEEDDYSSMESVRSMVNRLSSAVKEFVVANAETVERNEKEMKVMIANLQRELHEKDIQNDRMCSELVDQVKEAQAGAKIFAEDLQSASARMRDMQDQLGILVRERDSLKDRVKDLQEGQASYSELQEKVTSLSNLLAAKDQEIEALMQALDEEESQMEDLKHRVTELEQELQQKNLDLQKAEASRGKISKRLSITVDKFDELHHLSENLLAEIEKLQKQVQDRDTEVSFLRQEVTRCTNEALASSQMDTRRDSEEIQTVRSWFDTVASLLGLEDSPSTDAHSHLNRYMETLEKKIASILSETEELRLVGQSKDSLLEAERSRVAELRQKEATLEKLLHDKEFQPSSSTSEIVEVEPLINKWTTSGTSIPSQVRSLRKGNNNDQVAISIDADQADQSLSLEEDDDKAHGFRSLTTSRIIPRFTRPVTNMIDGLWVSCDRTLMRQPALRLAIMIYWAMLHALLATVVLVSCYDMARDLKVFQSTTFLLLSASCSSLFSLGNLDPRVTERELEDEFRVYGAIKRQALIHVWVARRPPGYAFLDFEDPRDASDAIRDLDGKNGWRVEQSHNRGDRGGGRGGDRGGDRGGSDLKCYECGEPGHFARECRNRGGTGRRRSRSRSRSPRYRRSPSYGRRSYSPRARSPPPPPPRRRSPSPPPVRGRSYSRSPPPYRRREELPYTNGNGLKDRRRSRS >A10p011200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:6511170:6511475:-1 gene:A10p011200.1_BraROA transcript:A10p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENKRAFITKHLALEQSTTKLANTHQELQKHKDDDSVSKEEKPKSRQKIFAIRESLSPPFLRRRIVDRRFAGCVEAKDGSETTSPMIVPAERIMAIGLIC >A01p014660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7088719:7090334:1 gene:A01p014660.1_BraROA transcript:A01p014660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMRSSPTPDAKMVKEGQVVIRARNLKAGASFIPPKSFRARRFYFSNEENGLLHVPKDQAQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLSAEKDGLDIIQHEWALPRFEHRAESVLRKLVQ >A04p028010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16868100:16868686:1 gene:A04p028010.1_BraROA transcript:A04p028010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSFTNDSHASFTLAEEDHTLANALRFMLNQDPRVTLAGYSIPHPSLECVNVRVQTTGDPAREVLKDACQELMLMNRHVRSVFHKAVSEFKEEQARLKAEEE >A07p052390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27637902:27639427:1 gene:A07p052390.1_BraROA transcript:A07p052390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSTSAPILNSWLPQHCSRESSPEPESQLQRRNRSLSILSSKSIDRHTGELLHQTLCVHKESVHKSSNKENDGNDVISRRQRRSSLDESSHGTVYRKKIFDPSSTFLMERLFSSSGQGEKVCDDDDRLETLVSGGSDGMGSSGGKICTGGGVGGSGVDGGGSEDATDVYYREMINLNPGNSILTGNYANFLKEGAPTMETFSRCTRISFCITMVIVKEHTLISNKLPKCPPKIANLPFIVVCRANAGVRSVTEADDSG >A06p018630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9037107:9037571:-1 gene:A06p018630.1_BraROA transcript:A06p018630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPLHLLEEILFKLNHKSLAIMQCVDKSINSHISNDPYFKSNVKGSMCDSSPDCYESTPSSFPAAMEVDENVEVMKVDLIDDKGNSGLGMIMRVIDTISLYAQKKKTRVGKRLLNEDETTLKMELQSSYSSYKLMNVERINKRRRIKSYAWN >A06p032940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17679554:17680758:-1 gene:A06p032940.1_BraROA transcript:A06p032940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLLLRNGFLNYRHHQFSIASAGFHSHRRRLLCSLADKPQFREDDSPMPAMSSTAPVDDNWRYEDPDYRKWKNLEAEILGDIEPVALLAKDILHSDRYLDGERLDFEDEKIIMEKLLAFHPYAKDKIGCGLDFIMVDRHPQFRHSRCLFVVRTDGGWIDFSYQKCLRAYVRDRYPSHAERFIREHFKRASS >A02p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13461604:13463432:-1 gene:A02p027740.1_BraROA transcript:A02p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAADNSNVLNRGFSQLQNCFGDCSSEEELTVLPRHTKVVVTGNNRTKSVLVGLQGVVQKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDVEVGHTQWNPSDMTCEDTLKPHKSKQRGYRSARLSHKAMCRALSSDSHSKSSTITPPVNMKVDLSKLDMPALQRYIRHFNLVDTLPNPSKEQLLDIVQGHFMSQEMDELQVIMGFVQAAKGMKKACRCNLREHQKH >A05p008210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3343377:3346371:-1 gene:A05p008210.1_BraROA transcript:A05p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGGT-I [Source:Projected from Arabidopsis thaliana (AT2G39550) UniProtKB/TrEMBL;Acc:A0A178VS16] MKELNVPSVVSAAEISQSLQSDLEVAPPLFTVSSDVSVDCQGTSNNTLSSLVDSQSTPITAAIMDSVPSNIINKEVQTPSIVDPLTTSLQVSEFESPSRFTVLEEVDEVESKHMSSLSLTRTNHRSKNATFLDGKAKVSRVQIQLRDSLTDPTEEKPMSETAVHGEAVDWESEDEDSDDSEEEEENTSSANFDKDRHLVYLQMMYELLPYHYQSQEINRLTLAHFIISGLHFLGATDRVDKDAVAKWVLSFQAFPSNRALLKKGEFYGFYGSRSSQFPIDENGDLTHNNSHLASTYCALAILKVIGYDLSTIDSESLLLSLKNLQQDDGSFMPIHNGGETDLRFVYCAAAISDMLGDWSGMDKEKAKNYILNCQSYDGGFGLIPGSESHGGGTYCAIASLRLMGFIGADLLSNDSSSSILDPSLLLNWSLQRQASDGGFQGRTNKRSDTCYAFWIGAVLKLIGGDAFIDKVALRQFLLSCQSKYGGFSKFPGALPDLYHSYYGYTAFSFLEEPGLSPLCPELGLPLLTSL >A06g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23250582:23251823:1 gene:A06g508210.1_BraROA transcript:A06g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSEILIMESETKPTFSKSTGLPRKRFYRARAHSNPLSDSHFPIPISPAHVDFSLHFPKFVGANNEEVSKKVEFADIGCGFGGLLISLATLFPDTLMIGMELRDKVTEYVKERILALRKTSSGGQYENVSVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISVDLLDEYAYVLRAGGIIYTITDVEELGEWMRSCLEKHPMFESLTQDELDSDPVVELLCSATEEGQKVARNGGQTFRAVFRRIAYVS >A10p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3043525:3045554:1 gene:A10p016450.1_BraROA transcript:A10p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSHTSTSSGNSSSPLPSSTSLPSSSSSTSPPSSNSSSFSSSSSSSSSPSSWIHLRSVLFVANLSSPSSVTSSDRYDLNSTSEEREAVNTQKRKEYEKLQRRCKMLLKRGNGSTDDLEEEADDQCVRFMDDYKTPGPMTNQDVVSAVNTDSSDTDSCEDNEDVQLLPSLVYSDEKKPGEENSNNNNSCGETSSPSPEIQVEVTLHEDFSTWQRIIRLDALRADSDWATYSSSSTAITETKARGLAESVGLKDYDDNLESCRLYHAARLVAILEAYALYDPEIGYCQGMSDLLSPILAVISEDHEAFWCFVGFMKKARHNFRLDEAGIQRQLGIVSKIIKSKDSQLYKHLENLQAEDCSFVYRMVLVMFRRELSFEQTLCLWEVMWADQAAIRAGVGKSPWSRIRQQAPPTDDLLLYAIAALVLRRKLIIQKYSSMDEIVEECNSMAGQLDVWKLLDDAHHLVVTLHDKIETLSSQSLSI >A09p067170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53041935:53042237:-1 gene:A09p067170.1_BraROA transcript:A09p067170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSWQAKVSAYHVMANTHTRTSHSHDSLWDERLGKRASFVSTCRLSSVYSSSSRALLIAENNWRLYQ >A03g502670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8348416:8348829:-1 gene:A03g502670.1_BraROA transcript:A03g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIEHKIVFETSLKLLIVAWVERSKQGVRKLHGWKLTLHPHNTPMWSATQHYVPSSLLHGLPVHNSPNHSSFVYNSRYNSSPIHSSPDHMSPDYNSPIHRSPAHNSPIHMSPAQNSIIFQWDLWEAVVGLLFPTRT >A09p015870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8279770:8281728:-1 gene:A09p015870.1_BraROA transcript:A09p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARFHNTGFAANSSPNVFNILGRSLHIQEVEAAADTTLRLDSLAYNTKGIKRKWNLIDGSKGQEADSLLSLRLGHSSSSSDSKGSSPTDSMTLSSPKEIEEASSSMDLDLDFTLHLGNDKPANLKMKGLQAPSPIFDLELSLSGGGGGSCQSEITAVQQQQGNQYPTLADMLRATNEGSTSFGGCRPGFASSPALQALSSKETSSFLAHAPKKIIIPAADLSSSSATTTTTTPISSGTFTSDLAQQLKPQHKNSSSSSKLCQVEGCPKGARGASGRCISHGGGRRCQRHGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEDCTRAARGRSGLCIRHGGGKRCQREDCTKSAEGLSGLCISHGGGRRCQSNGCTKGAQGSTMFCKAHGGGKRCTHPGCTKGAEGSTPFCKGHGGGKRCAFQEGDPCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKSDGCGKSAQGSTDFCKAHGGGKRCAWGHPETEYAGQSSSGPCTSFARGKTGLCALHNSLVQDNRVYGGMTVASESQEPRVSSSETENEEEYSGSQDMNMDRMKARSADGSPETDIDLNEYEAGLGLAPEGRVHGGSLIMAMLAGREGGSGSGSSNLPKRWM >A05p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2767985:2770931:-1 gene:A05p006840.1_BraROA transcript:A05p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 76C3 [Source:Projected from Arabidopsis thaliana (AT2G45580) UniProtKB/Swiss-Prot;Acc:O64638] MELSIIPAVVTVLFFLVTLFFFSTARNRRNSSYGGNGQGSLPPGPPGLPLVGNIFQLGFNPHRSLAVFSKTYGPIMSLKLGRSTAVVISSPEAAKEALKTHDHVMSARTFNDPIRAFDHHKHSVVWIPASARWRFLKKIIVQNLLSPQNLDGIQSIRIRKVEELLSLVNTFCERGEAIDMARASFITSFNIISNALFSVDLATYNSNSSSFEFHETVVHLMEICGKPNAGDFFRFLRFLDLQGSRKESTLCIEKLFRVFQEFIDDRVAKRLSQTGASSNDITMEWAMTELLRNPEKMVRAQCEIRQVIGENGVVQESDISKLSYLLAIVKETLRLHPPAPLIPRKSESDVQIFGFFVPKNSQVLVNVWAMGRDSNVWENPMKFEPERFLLREIDVRGKDFELLPFGSGRRMCPGISMSLKTTPMVLASLLYSFDWKLQDGIVPGNMDMSEVFGLTLHKAKPLCIVPIKKPTSSS >A08p032630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19739630:19743702:1 gene:A08p032630.1_BraROA transcript:A08p032630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAGFNPHPHEVTGEKRVLNSELWHACAGPLVSLPPLGSRVVYFPQGHSEQVAASTNKEVDAHTPNYPSLQPQLICQLHNVTMHADVETDEVYAQMTLQPLNAQEQKDSYLAAELGVPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYTQQPPAQELMARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRAHRPQTVMPSSVLSSDSMHLGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGICDLDPARWANSHWRSVKVGWDESTAGDRQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSGLPSFHGLKEDDIGMSMGMSSPLMWDRGLQSMNFQGMGVNPWMQPRLDASGLLGMQNDAYQAMAAAALQDMRGIDPAKAAASLLQFQSPSGFSMQPPSLVQPQMLQQQLSQQHQQQLSQQQQVVDNHNLSASSAAMSQSNTSLLQSMTPLCHQQSFSDTNGGNNPITQLHTLLSNFPQDESSQLLNLTRTNSAMTSSGWPSKRPAIDSSFQHSTAVNNNQSVMEQLGQSHTSNVSPNAVSLPPFPNGQEENPSDPHSHLLFGVNIDSSSLLIPNGMSNLRSIGIEGGDSTTLPFTSSTFNNEFSGTMTTPSSCMEEPGFLQPSENQQSNTFVKVYKSGSFGRSLDITKFSSYNELRSELARMFGLEGQLEDPVRSGWQLVFVDRENDVLLLGDDPWPEFVSSVWCIKILSPQEVQQMGKRGLELLNSAPSSNNVDKVPSNGNCDDFGNRSDPRSLGNGIASVGGSFNY >A06g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27234979:27236927:1 gene:A06g509370.1_BraROA transcript:A06g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACLSYSYHMDDEIARFIRRVNPPKVVIDNDVYKNVTVIKVDSANKHGILLEVVQVLTDLNLTIKKAYISSDGGWFMDVFNVTNQDGNKVTDEIVLDYIRKSLGPDESSCYSPRSTIGVKQSVDFTVIELTGTDRPGLLSELCAVLTDLQCNVVNAEIWTHRAKAAAVLQVTDEETSSAVTDPERLSKIRKLLGYVLTGGSRSRRCREPKTTVSSSLNADRKLHQLMFADRDYDEWENNIDDEDKIGRVVPDVDVSNLHDLDYSIVMIKCKDRPKLLFDTVFTLTDMKYVVSHASIDAEGPEAYQEYYIRHTDGSPVKSEAERQRVIKCLKAAIQRRVFEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVKTKGGKALNTFYVRDASGYEVDAKTIDSIRQVIGQKILQVKGGNTEVKPSSPESQTGFLFGVFKSRSFVNFGLIRS >A04g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20401672:20402912:-1 gene:A04g507980.1_BraROA transcript:A04g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRDRREKEQQRLCKIQRDDDAVTSQAPQEPTTPNAGDALLWIVLNKAFKITSDLTMKLLLFLLLRIIYEQAVTRSVKTENQDAFQRLLPFDIGKSLFPKGFLLCCSTRRIKCGLFLIKSCVQGEDIFGGRVVIKAMNSKKEEEEGNERTTFENVAKEIIKQ >A01p016020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7927407:7933589:1 gene:A01p016020.1_BraROA transcript:A01p016020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTDDEKRPMVDLVKDKNGTDQVLLQNPKGASVKANSKPPHPVRGGIPICFPQFGTRGSLEQHGFARNKMWLVENDPPALPSFDSTGKAYVDLVLKSSDEDTTRIWPHWYSNCDYFTNLFFSYFRFLNNCYCSCFSFEFHLRVSLGLDGNLTLISRVRNINSKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNMLDKERFTEQGDALTFESEIDRVYLNSKDVVAVFDHERKRTFLIEKEGLPDVVVWNPWDKKAKALPDLGDEEYKHMLCVDGAAIEKPITLKPGEEWTGKLTSDQRQRNISFVFCFLTTPMEEAWRVIFTLCLLIYVANADPIQDKRALLEFLTLMRPTRSLNWNETTSVCNTWTGVTCNHDGSRITAVRLPGVGLNGQIPPNTLSRLSSLTVLSLRSNRISGLFPGDFAELKDLAFLYLQDNDFSGPLPEDFSVWKNLTSVNLSNNDFNGTVPDSLASLKRVQSLNLANNSLSGDIPDLSGVSSLQHIDLSYNNLNGPIPSWLQRFPTSSYQGVGGFSLVQPPPDLAHQELKPRQKPKPHFLGLTKTVFLLIVIAVSVVLLAVLVFVLAVCYLRMKLSQGDGIVTDAKLQKKGGMSPEKFVSRMEDVNNRLSFFEGCNYSFDLEDLLRASAEVLGKGTFGTTYKAVLEDATSVAVKRLKDVAAGKRDFEQQMEIIGGIKHENVVELKAYYYSKDEKLMVYDYFGNGSVASLLHGNRGENRVPLDWETRMSIAIGAAKGIARIHQENNGKLVHGNIKSSNIFLNSERNGCVSDLGLTAVMSALAPPISRQAGYRAPEVTDTRKSSQLSDVYSFGVVLLELLTGKSPIHTTAGDEIIHLVRWVHSVVREEWTAEVFDVELLRYTNIEEEMVEMLQIAMSCVVKAPDQRPKMSDLVRLIESVGNRQASLGPEPKPKSENEASETSTPGEI >A03p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8674425:8675908:1 gene:A03p020990.1_BraROA transcript:A03p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQEGAKVEQEKQTATVVSAETIDNKPKSSEGDFAAAPAAAAATSAFVYKVDMHCGGCAKKIKRMVKHLDGVKDVTADMSGNKLTVVGKIDPVKFQETLEEKMKRKVLLTNPPPPLPPKVDASAAATAVGEKKSDGGDKAAAPNPPPPPPAPKESLVALKIRLHCEGCIEKIKKIILKIKGVETVAVDATKDIVTLKGMMDVKELVPLLTKKLKRTVEPLLPAKIDDGAAENNKTEAAAPTAGNKEGPASGFSEVKKEGNEHGENKKGAEDTGENKKEAEATGEKKKESGDGGEKKKETGDGVEKKESGGGGGTPVAMVNKMDYYGYSYPTALMYWQEGHVYSQTYSLEDQSYSGSGYNYMSESYVPYSHPNMNAPPGMFSDENPNGCSIM >A06p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6022651:6023225:-1 gene:A06p013170.1_BraROA transcript:A06p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEASCPWTGNVDKELVCTHKHVDEEFVYKVNDLVYIDNIVEKDLILLLQNQSILAVMQCCPELEDHRESIYDCLRRSTSNKKSAKKMRRSGLHVVEVLGYNTYTAGDTEGKHYWIVQMARGTEWGVNGVGKVMIQISRKEDKSLFVSALYPKVGLSEA >A07g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3946872:3948152:1 gene:A07g501890.1_BraROA transcript:A07g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDISGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPAEGRTTNPVDPTLQLAETLAADASPTAESPRATAPATVAQVDSAAGSSRTPPGLTKSCKLHG >A06p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7703623:7705685:1 gene:A06p017200.1_BraROA transcript:A06p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKILVIGATGYIGKVFVEGSVKSGHATFALVRESSLTDPVKAELVQSFKDLGVTILYGSLNEKESLVKAIKQVDVVISALGRTQILDQRFLPSEFGNDVDRTVAIEPALSEFIMKAQIRRAIEAAEIPYTYVVTGCFAGFFIPSLGQCHLRFRTPPTDKVFIYDSGDAKGTRYTHLSLRSVQLLVQRCDSFLSLSLLLAIINTEEDIVAYTMKAVDDPRTLNKILYVHPPKNIVSQNDMVSLWEGKIGKTLEKTYVSEEELLKSIQEAQHPMDFVMGLIHAVLVQGDLTSFTIDPSVGVEASELYPDVKYTSVDEFLNQFV >A10p008180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11172938:11175289:1 gene:A10p008180.1_BraROA transcript:A10p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDDKASNDFSLLPDLNDDFSTPFTSIEFDSSILDLINLEDGGETPNLLPEHNPFLESVNTFQEDEDLHFSVEAESPKVYIAPRAIINHHDSFSLDPRIDTIEDARISFPDSPRGSQDLSLSRLKVPGSPRALALPRASGSPRFGSPTSPAALIDTAAPFESVKDAVSKFGGITDWKAHKIQTIEKRKTVDQELEKIQEDMPEYKKQAFVAEEAKQQVVMELERTKSVVEELKMELEKAEKEEQQAKQDSDLAKLRVEEMEHGIADASSIAVKTQLEVAKVRNVSAVSELRVVREEIEMVSNEYESLLKEKEMATKKAEDSVLAAKEVEKQMTGLTIEVIATKKLLESARAAHLEAEENKLEAAMARDQDVYNREKELKMVEEEIERFRQEMHASDDVRIKIETASVLQQDLRAEITSYKDDNMMIEKRNNSDIQAAVDSTRKELEEVKSNIGKAISEVKTLKIIVGSLQSELEREKKDLSETKQREALSVHRNSKEAREERCSEIAKKLQEANKEAEEATSLASAAQEELRKAKEESEEARTGVSAIESQLVEAKREMEAAKASEKLALAAIKALQETEYSTKIEDISTPRSIIISVEEYYELSKQAHEVEEAANKKLAEIVSQIEVAKEEESRVLEKIEEVNRETAFQREKLKEAMDKVEKARDKKVSMDHELRKWSSENGKRSPMSSPEGGEKESHDLGKSKSALHSARSFAFGEEGSNNVGDSSNVTHETKKKKKRFSLLPKVFMFLSRKKSNK >A09p062860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51229643:51236618:-1 gene:A09p062860.1_BraROA transcript:A09p062860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGSLLFPNSGWAPTGECESYKLVRDNNDSFLDFPVPKTYGVLHHQTSLGVPVLSEVNGLNNNSVVIKKLNHNANERNRRQKANALFSSLRLCLPRSYQSKKLSNPETVSRSVLYIPELQEEVKKLIQKKEDLLVRVSDQREHYVKPEPKVAASYFSTVFATNLVDNKVTVQISSSKIHNFSIYNVLNGLEEDGFVIVDVSSSSSQEEWLFYTLHLQVDKIDSYKLVCEDLMGSITSLSKNKTLSPLSTTRVSTAASPPSGGRRRVSLAAAGPSFSFSFSLSSGSVSSLSSPPLSGVFLWSTARSGGDSTRGRCLFFQCSVPVASFDSVRLRGFVALLRVEIGWCCVVVAEMLARATCAWALLVSVSLAPVSSCGNARGGHGGAGWWQPPRFFSVGFFVFLSLWAVFRWFRFGLCRDLSPPRLHLPLNKLRFRRVSHLSVRYLYMGFRFNGCKRSRRAGMEAAISGTVRGTTASSIDGSLSCRRRFSCRGIEFVCVWILHSGPVMAEWSEAVGAVASRFEGTFLSVARGARPLSRLVSVCKYMLTVQPYIININETKITTLIQENIPPIPSYIFRPQHYHQLISLANATNFLPNVVGRICFIQGSDLYNHYTDSKIIIGLRLDRLKLVRLTLWDKEASNFRELNHIYTRKKQIDNYHSQPHMDRASTLTTILVSYNASKRGINCYPKPHSKRHQPTFKKFTLPLP >A03g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24196584:24197532:1 gene:A03g506780.1_BraROA transcript:A03g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLFQPVDDPVNGDNRYHEEATSYHKIFRCLLFCSDQISVSNSELFVEFFKTSNARKPKQIIIFRDGVSESQFNQVLNIVVDQIIKVVFQSSLARSGFYQIMFLVKAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKISFTPHCICLCFTLPISQGTSRPAHYHVLLDEIGFSPDELQNLIHSLSYV >A04p029690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17741071:17742853:1 gene:A04p029690.1_BraROA transcript:A04p029690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNTITFILFIATTLLASSNAADNATTQPLFPAILIFGDSTVDTGNNNYPINTFFRATHLPYGIDLPNHEANGRFSNGKLIPDILAAKYNIKQLVPPFLQPNLSDQDIVTGVCFASAGAGYDDLTSLSTQAVPVSEQPNMFKSYIARLKSIVGDKKAMEIINNALVVISAGTNDFILNYYTIPTRRLQYHHVSSYQDFILKRLDNLIGELYSLGCRNIVIGGLPPIGCLPIQMTAKFRNIFRFCLEQENKDSVVYNQKLQKQIPQMQASLTGSKILYADIYNPIYDMMQNPGKYGFKETKRGCCGTGFLETSFMCNVLSQTCDNHSEFLFFDSIHPSEATYNYIGDLLDTQIQTVKEKKEDVVGRVHTHDLGLSVELRQSSSEKAVKGRSVMSNSNGRLQSTEGMRSATAGGVVLKNTFSPLGQCIEGL >A09p057660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48525997:48528484:-1 gene:A09p057660.1_BraROA transcript:A09p057660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEASRGESGHVVCGSWIRRPKKVNWAIIARASKRRGASSPALLSIFSFDPITTSLSSSPLAIHELKESDGDPVAISVHPGGDYFVCSTSKGGCKLFEIVGGAMCVTILAKELPPLQNAGLQKCMAFSFDGSKLATGGVDGCLRIMEWPNLTVILDEPKAHKSIRDMDFSLDSEFLATTSTDGSARIWKAEDGFPLSTLERSGDENIELCRFSKDGTKPFLFCAAQRGDVPVVNVYDISTWKKLGFKKLSRKSASTMAVSLDGKYIALGGKDGDISVAEVKTMEIYHYSKRLHLGQTIASLEFCPSERVMLTTSSEWGEMVTKLTVPKEWKEWQIYALLFCLFMASVVLAYVFFENSDSFWKLPMGKDQRRPKISLFGDSSTTPAEDHNKWNLDL >A07g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17737050:17738568:-1 gene:A07g506930.1_BraROA transcript:A07g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVMYDLADDYGLLFSPQTAVSALYSQLKELQKKDADMKERDKMLYSKGDTDSTSKLVARDTDLPLAATLLKAYAKVEPLTIAELNCLLSLLHPRTLTSYVPGELLALTWTKGGVMLHAPNAVKLQHTVSAIAYRVEKAIADGTAEGTFFRFDGVVTKLHSLRASEAGQMLAEGVNPEDFKMPPFTTHIEAKTYTFQFSTFTITLILDERDRVPVPDVVDNIGNDDGDDMPDGNPIPVKVETGGSSGEAAFNADTDPVGVCRRRRPTHLLRWLRRRVWLEID >A10p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18828594:18831989:-1 gene:A10p031560.1_BraROA transcript:A10p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLSTSLASPAVLRRQASPLLHNQQVLGVSFASALKPGGALRFGSRRRPLHRPITCSASPSTAEPSSEVKKKTLVRRNDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVMQERIMDSNDLERERGITILSKNTSITYKNTKVNIIDTPGHSDFGGEVERVLNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNATDEQCDFQAIYASGIKGKAGLNPDDLAEDLGPLFEAIIRCVPGPNIEKDGALQMLATNIEYDEHKGRIAIGRLHAGALRKGMDVRVCTSEDSCRFARVSELFVYEKFYRVPADTVEAGDICAVCGIDDIQIGETIADKAHGKPLPTIKVEEPTVKMSFSVNTSPFSGREGKYVTSRNLRDRLNRELERNLAMKVEDGETADTFIISGRGTLHITILIENMRREGYEFMVGPPKVINKRVNDKLLEPYEVATVEVPENHMGPVVELLGKRRGQMFDMQGVGSEGTVFLRYKIPTRGLLGLRNAILTASRGTAILNTVFDSYGPWAGDISTRDLGSLVAFEDGTSTSYALASAQERGQMFVGAGVDVYKGQIVGIHQRPGDLGLNICKKKAATNIRSNKDVTVVLDTPLTYSLDDCIEYIEEDELVEVTPLSIRMCKNPKMAKKGR >A06g504280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:13408905:13409204:-1 gene:A06g504280.1_BraROA transcript:A06g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGVASLRFSSVRIATVMSMHILPSVCPSIMLGVWFSDPHEIMSTLFFGVGGGELGDSGSVSLLLLFEEPLVFRLDFGVAGSSSSSSVSSLVFLFSF >A02p051940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31744901:31747948:-1 gene:A02p051940.1_BraROA transcript:A02p051940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGARKYPKRLYDVDKTPIQSRSMNHREKYGNRREGAGVPLLSWRGSRPRINFSDFCAQEKRTYQKVRVRHMVEKPIEDRYPQWEDNKLHTELDNLIQDILKGQLDEKYWEVMPATKSTKRKSHVNPPCVLDTMDVGPSTKRTKDTENVNGCHTSETVVAHNIAILGLVESVKNLTAKIDGIDVIVADKVSEKLDATIQAKVDAKVGLYKDEVMMKIAMLVEDVKNLKEKADVNIPIDVANSNDHNSIVPEEDDASSNELSWMLQKKINSQDGLPIECVVKKEKKKTMVKPERKTTDVKNKGKKAEVPVKKVKKEKAFVIPELNDKSISSGDWKNHLEWEKSDKCRQVMQELASTLEKVKLKRKPHLTKTQVWPFVGNSTVKRIITGVTPSTVSYDPFAKVESQKLVKVMDFIKRDLEQEESGYGEFCAQFYLKIMVPRDEWPTDKYGWLSDSHIAAAMLMFHRRSMQSLSPYSSSRVAFLDRWFVKLWVNDFEKQDKKKIEVSDMYIKAFNGEYPEQFVTGKKWYEDVDTLFLCHHVNGDHWVALRIDLRKSTIHVYDSIPSVVKEHKDLSEECRPFMKMIPLVLNKMMPPNSGRKKTEQQFALRRHKDAPRNDDPGDCGVYSLNYIECLAIGCTFQGLSDTIIPEQRMKLAAEIYEEVAEVDAQA >A02p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5934948:5936253:1 gene:A02p013560.1_BraROA transcript:A02p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKMKLYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCNREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFADKVLKREARLSQ >A02g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15288001:15291093:1 gene:A02g504790.1_BraROA transcript:A02g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRAHPDVNPVTDGGDLPIKSSPHRHKVGVPPKQNMFHDFMYTFKETFFHDDPLRHFKDQPKSKKFMLGLQSVFPVFDWGRNYNLKKFRGDLIAGLTIASLCIPQDIGYAKLANLDPKYDSSFVPPLVYACMGSSRDIAIGPVAVVSLLLGTLLRAEVDPNTNPDEYLRLAFTATFFAGVTEAALGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIIAVLDSVFSAAHHGVRILLLFLDSYIVKNVSLNHINMAMQWNWQTILIGASFLTFLLTSKFIGKKSKKLFWIPAVAPLISVIISTFFVYITRADKQGVQIVKHLDKGINPSSFHQIYFSGHYLAKGIRIGVVAGMVALTEAVAIGRTFAAMKDYQIDGNKEMVALGVMNVVGSMSSCYVATGSFSRSAVNFMAGCQTAVSNIIMSIVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLIDIQAAILIFKVDKLDFVACMGAFFGVIFASVEIGLLIAVSISFAKILLQVTRPRTAVLGNIPRTSVYRNIQQYPEATMVPGVLTIRVDSAIYFSNSNYVRERIQRWLLEEEEKVKAASLPRIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLILANPGPLVIGKLHLSHFADMLGYDHIFLTVADAVEACGPKLSDEV >A08p040410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23036561:23039431:-1 gene:A08p040410.1_BraROA transcript:A08p040410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 3 [Source:Projected from Arabidopsis thaliana (AT1G14700) UniProtKB/Swiss-Prot;Acc:Q8H129] MASSEYKPINFIFHVFYLIFIFSAHSSTAELKRLLQPAKPDGTVSFLVIGDWGRGGSYNQSNVALKMGEVGEKLNIDFVISTGDNFYDNGLIGLDDPAFQDSFTNIYNASSLQKPWYTVLGNHDYRGDVEAQLSPMLRAMDNRWVCMRSFVVNAEIVEFLFIDTTPFVDKYFVQPNKHVYDWRGVLPRQTYLNNLLEEVDVALKESTAKWKIVVGHHTIKSAGHHRNTIELEKQLLPILHANDVDLYVNGHDHCLEHISSVESSLTIGKDLVGQLSCSRVRCQAEANYSFCHQMRYWVLGLVPSPGKALPGKVDRCLTGPRGMIHFITSGGGSKAWKGDVNHLDPQELKFYYDGQGFMSGDISEAELRAVFYDVSGNVLHHWKTYKEALYFAS >A03p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15791739:15792004:1 gene:A03p037780.1_BraROA transcript:A03p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLSSYSAAFLICLDLKPHPEEGKGESSEATSMRAKWKKKRMRRLKRKRRKMRQRSK >A04p034930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20203284:20207342:-1 gene:A04p034930.1_BraROA transcript:A04p034930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAYGADLPKVPEWLNKGDNAWQLTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLLCWVLLCYKMAFGDELLPFWGRGGPAFDQGYLKDRATIPSTVKKFGNGTIEREAVTPLFPMATLVYFQFTFAAITTILVAGSVLGRMNIKAWMAFVPLWLIFSYTVGAYSIWGGGFLYHWGVIDYSGGYVIHLSSGVAGFVAAYWVGPRPQADRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANLTSSIAVLNTNLSAATSLLVWTTLDVIFFGKPSVIGAIQGMVTGLAGVTPGAGLIQTWAAIIIGIFSGSVPWASMMILHKKSTLLQQVDDTLAVFYTHAVAGILGGTMTGLFAHPDLCKLSLPNPTNGAFYGGNGGKQLLKQWAGAVFIAVWNLVSTTLILLFIKMFIPLRMAEEELGIGDDAAHGEEAYALWGDGEKFDATRHATQMQQFERDQEAAHPSYVHGARGVTIVL >A09p050150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44133809:44136173:1 gene:A09p050150.1_BraROA transcript:A09p050150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAATKLTSLKAVKKLGFREIRQVRQWSPLQSAMPHFGMLRCGSRQSFATSTVVKAQATAVEQSTGEAVPKVESPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSAKEAEEVSKQIEAYGGQAITFGGDVSKEADVEAMMKTAIDAWGTIDVVVNNAGITRDTLLIRMKKSQWDEVIDLNLTGVFLCTQAATKIMMKKRKGRIINIASVVGLIGNIGQANYAAAKAGVIGFSKTAAREGASRNINVNVVCPGFIASDMTAKLGEDMEKKILGTIPLGRYGQPEDVAGLVEFLALSPAASYITGQAFTIDGGIAI >A09p011850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6006184:6007347:-1 gene:A09p011850.1_BraROA transcript:A09p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSISFLLPLLFSLFIFTTDALTSPSDVSALKAFKASVKPNSIPQWSCLASWDFTASDPCATPRRTHFTCGITCSSDSTRVTQLTLDPVGYTGRLTPLISGLTQLLTLDLADNNFYGSIPSSISSLVSLQTLTLRSNSFSGSLPESVTRLNSAESIDISRNSLTGSLPKSLNSLSNLRQLDLSYNKLTGSIPKLPQSLIDLAIKANSLSGPISKESFTESTQLEVVELAENSFTGTLGAWFFNLQSIQQVDLANNSITGIEVIPPPKLAGESDLVAVELGFNRIAGNAPASFAAYPRLTSLSLRYNMLHGAIPAEYERSKTLRRLFLDGNYLTGKAPARFVKPETEVMGSLGNNCLRGCPGKAKMCAPSQKPFSICKQAYGGKPKS >A07g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2413933:2418691:-1 gene:A07g501170.1_BraROA transcript:A07g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRKRSGKGLVEGTAATAKSKRSGKGVVVGTAATVNERLPSRLFATDRYPSNRNNCYSSLERNLTVDDLAAMVAGESTMSQEKKFRICLIIIVDGVLMPKIQKPKPTLQYVKLVENLDKFFSFQWGRESFWWTISTMLPAKKVLGKCDDPEGAFCAQLRQDSKFLLGFPLALQLWAFEAIPLTVLPMVDVHEDRDDGWGVFDCEILDRKVSYMVGLLKSGHKFEKGEWGGGDAGEPLYVHDPTANEVKRKIRKLTHNAEAGPVMKQRRLSRYFSRKGPEVGDKYEVLLDAVGELKKELGRLNKVVEKQGRMLKKYKAKSIGKLSSSRGLLSRRKRVRPVVSGDIFGGSDQEGTHKGSDEMEDELGGGSRSTALKEGDEIPLLYSEKVDGREQTHVVQFGSGSNTFYVTEEEVGSKTGGVVVGNAYPVSYVEQGSDEAGDVGIPGAVVDCGTEVDFGELNRLVGVITREGAGAGAENEGRKPTGFGEKASGLDGIEPQVHMDKVGREAVGDASQRGKLIQGPEEKTTDSAVVKVGDEKVALVTEGMEAAGDASHVGNLIEEPQDQTSVEATGGRARGTDVEEAEDVGNKEPIGDGVGADKDESGAVEDVTEAKDDNKKEPKKGSLISEGDGLNCANAEEDDTLAVQPVRDGQSSGVEGEGVDVDGSVDEQVMDLSDSSPCQRSEKHKPVEREAELASLLLAKEPFTMDKIVPTAEDTDYRFFENVLIGNPKVLLLNAGKFDLDNQFFIELATSQEWVSTKHIEALVEYIAARHEDTLKERRCIFLPPWFVAHLQGKTRAFNAAKVNRGRVLGDGRLSGFLKKEGRKWGVDVDTLYAPMIWDDNHWVGLCISLTDWRVLVLDPNPRLKNMEEVRGVLESVSKMIPFLVEKVCPVPESGPYGLESFTVERMGGAYENRRSGDCGPVSVKLMELHALGNPHPRMDGLTDDLVDIMRRQWAMDIYKDWVVPVYVGEEMV >A01p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1633674:1634211:1 gene:A01p003760.1_BraROA transcript:A01p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHVSEYFDCSGDSKRHRSLQTVDVRVLIDCEGCERKVRRALEGMKGVRDVTIEPNAQKVTVVGYVEPNKVVARIIHRTGKRAELYPYVPYDVVAHPYASGVYDNRAPVGYVRNTEYDPHVSRLARASSTEVRYTTAFSDENASGCVVM >A03g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:168141:170326:-1 gene:A03g500080.1_BraROA transcript:A03g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRYSREEKKKWIPTRSQTIRKPPVQIPEIDTAALIEENKFTLIGRVTNPATQNTKALMTRDISLPSGEIIEVEFSYDNLQKHCFRCHSLSHEKDDCPTSENSRERDRSPNRIGISQRNTMARLDDNRRRYEERKRFKGHQVQHKREAPPSYTRRDYYEDRTTDSRYNSRQHQSYAPVTSEYRRGREDYNPGRSFSRESGARVGVKNNLHISDSPSKMTEHDRDRDGVGQITEKRRAPSHDSASKIQSPALQDPTPHSTDLRRALSRREDGEASGDQVSSGCRPIKERLRLPEIPHSTDLRRSLSLRDDGNEMGGQTSADRPPAKQRLSLPSNGKSRLAQQGTSTGSSRLQDIDIHYLEEIMEPPRLDSSRPSGSRPPGVIHSPPQENSPIRTLSEDRRHVSLRLGPQPVPNQMDSPMQARLSERPGIITRSVAKKKDGKMPQKKKYNTSPLKGVSIKKRRPEVQVDILSFSSNFIDTSISMFQRSML >A06p002880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4932294:4933319:-1 gene:A06p002880.1_BraROA transcript:A06p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLRQLKLSLRVSNFNASSRCFSSSPIYYVRGAEPVGDGNKETIRIPSLKVPMELLKDMGIIGASHGWIATMKSGVVCLQDDLDLPDTKPKRIPLPPLVTLPHCQTQIVTNVAMSSSSSPDDEDCIVAVKFLGPQLSLCRPAQRDCKWSNIRISDPSFFSSHVMYSKRDEMFSMPASRGHYIGSWDLGRHMKEPKIQMLRLHAEEDQIPKMKKRVWQRLESCCTKQHYLVESLHTDETFMLKWYTQSKPPTLNVWDHFLVLKIDKEGYAVYTKDIGDVCILLSRSEPICIPAKLNRRVQNCVYMLTDHEFAIVSISCNQKFGATPFACSLPYYISKNLI >A07g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21914707:21916509:1 gene:A07g507940.1_BraROA transcript:A07g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSDYVGHMKLVDGQTITEHWSSTKLTYQRSDIYVFIGPVIKLYLWDMAASDLCEKFKSYGSTIQAFCWSPQLSSNSDIANKINAKSEQAFFIILGDASKELTGKHASELVASYFESNEGVEADHCVPVPQALLDTIWQTYKFIVEVSDHNLSGKTQTIIVAKIFPPEAPQPIAPLEEPDVLSTSDDILMTGSEESGPSRGFEDSARDRVRKASESLESDEAKRYKSG >A04g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:954029:955459:-1 gene:A04g500210.1_BraROA transcript:A04g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVHIHVHWRLGFQERGDHSKRAMESSVFHKTLAIIIEEGAGHLSYWCDPEGEDVHGCSMQKTIMLKDGRYGHWKVRMKLLVRGINDAAWIAVKTEWEDPTIFTAEEKKPKPKEPRQGVFREVVREVVWRMRQRGEVVTFWSKECISRGGEEHGDGRPDVDGAYLRVNQEACGVVETMWTQDAELAWDKLEEQVYTVEKKARDKLGEQVYALRNKCISRNGKCTY >A06g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23362607:23364963:1 gene:A06g508310.1_BraROA transcript:A06g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKMAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A01p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19972988:19973705:1 gene:A01p025670.1_BraROA transcript:A01p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDPITRTTRVLLRDRYFSNGVSMSPDQTHLVFCETPLRRCSKYYINEERVEVFIESLPGYPDNIRYDGDGHYWIAMPSGVTTLWKLSFRYPFIRKLQALAAKYGFNTMIMENAGVLQVDLDGKPIALYHDPKHSHVTSGVKIGKYLYCGSLLDSHIVRLDLLKYPAQKRL >A10p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9767719:9768536:1 gene:A10p006570.1_BraROA transcript:A10p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDPVHTFYSRRPSLCRSYRRSEILDRIVPKRLVLADMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >A02p046790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29260492:29262993:1 gene:A02p046790.1_BraROA transcript:A02p046790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTDQDTVVMLDAASNKNTSNTCCVVSSSSSDPFLSSSENGVATTNTSNQKRKRRPADPDAEVVSLSPRTLLESDRYICEICNQGFQRDQNLQMHRRRHKVPWKLLKRDNNIEVKKRVYVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCSIRKVHHEPPPPPQATVNVPACSSRTASTASTPSTETNYGGAVATPLPLEGRPIPIRNSSNDVNLELQLLPLTPNQNRNQENQQHKIKEPSHHRNHHDTTNLNLSIAPSLSHQYNNFDRIKEIMASEQIMKLAMKEKAYAEEAKREAKRQREIAENEFVNAKKIRQQAQAELERAKLLKEQSMKKISSTIMQVTCQTCKGQFQAVASADETSLVVSYMSSANTDGEAS >A07p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6153246:6154896:-1 gene:A07p009870.1_BraROA transcript:A07p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRFRFRKTQQRKSKRAFSCVPDASTQPSGSSTTPILVDGKEKATESMSSPPARKEIVLILCAPSATPGVKPKDRKRRCTRGNDGESSQQEGLSLASGFRGNFVLLIDRMISECSSEVSRLVRDLTEMQGKLSESESMLKDIEDSHSTKVSKLEVQIGELERDLGKTASSFLKEKKARKTKSSEVRRLPRRIESGEGSTNRGVEEAKDALRVELQTRLAKISNFLGSLECIRSMDLALATVNGGMAVVQALQGETPSSVQVEEATLSACKGDLGAVDGSFDLILADLKSECFLPTYSEDPEGQYPVVGENRGGAAPSLDEAMGEGEKEDYELSSHTFLRGGAMTNSTYISRYSFDLIPYRFKVRDRFSAYMTCVVGIKHLSKDNF >A09p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5586308:5587052:1 gene:A09p011060.1_BraROA transcript:A09p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGHRPRRVEFTAVHMVLILVVVLSDLSISAGAEKSVAAFVQNAILSNKIVIFSKSYCPYCLRSKRIFRELKEQPFVVELDLREDGDKIQYELLEFVGRRTVPQVFVNGKHIGGSDDLADSVENGQLQKLLAAS >A06g500420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1839098:1839397:-1 gene:A06g500420.1_BraROA transcript:A06g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTGAICIAFVIVLVSSLALTNAAVEDEKVLACNPKELNPCSPAVKTGSKPSTECCAMLKKEEPCLCGYINDPVYGQYIKSKNAHKAFSSCGIPPLSC >A09p050450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44307317:44316700:-1 gene:A09p050450.1_BraROA transcript:A09p050450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLAITSLAAHIRPFTCSAASQPSRLAPHPPDLVRWIKREGGFVHHAVKLSQDTPFGIGLISTEQIPQGTDLISLPPHVPLRFESDETAPSPLLAALARRVPEELWAMKLGLRLLQERASADSFWWPYISNLPETYTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLDFEREIRHTLEDVKATDHPFSGQDVNASALGWTMSAVSTRAFRLHGDKKLRGGSSDHVPMMLPLIDMCNHSFSPNVKIIQEQDGSDSNTLVKVVAETQVKENDPLLLNYGCLSNDFFLLDYGFVVESNPYDTIELKYDEGLLDAASMAAGVASPKFSSPAPWQHQLLSQLNLAGKMPDLKVTIGGQETVEGRLLAAIRILLSGELVEVEKRDLETLKSLSSTAPLGVANEIATFRTVIALCVIALSHFPTKIMEDEAILKQGVSDTAELSIKYRIQKKSMIIDVMKDLTRRVKLLSAQETPTMATLKAAITFLKANIRRLICASQRPPRLSHHPPDLVRWIEREGGFVHHAVKLSQDTPFGFGLISTEQIPQGTDLITLPPHVPLRFESDDAPPSPLLAALARRVPEELWTMKLGLRLLQERANADSFWWPYISNLPVTYTVPIFFHPEDIKNLPLKPFQYQFDERCRFLLHFEEEVRETLEGVQASDHPFRGQDVNASDLRWALSAVSTRAFRLHGNRKVVQGGSSDHVPMMLPLIDMCNHSFSPNVRIIQEQDGSDSNTLVKVVAETQVKENDQLLLNYGCLSNDSFLLDYGFVVESNPYDTIELNYDEGLLDAASLAVGFASGKFSSPAPWQHQLLSQLNLAGKMPNLKVTLGGQETVDGRLLAAIRILLSGELVEVEKHDLGALKSLSSVAPLGIANEIATFQTVMYRIQKKSVFSGVIEDLRRRVKLLSAQETPNAS >A04g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12695260:12698916:-1 gene:A04g506110.1_BraROA transcript:A04g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTFFWNVRGINETDKHLPFSQWLNVHRPIFGSILETHIKEPNLVSTMAKLCNGWNFASNHTSDDDGRIILLWKYPATVRILSQTSQLMTCEVFIPPTQKFVYTAVYASNLSEERIELWIDLINLQALDSLPWAVGGDFNQILHPSEHSSPTVNTFSSDMVEFSDCLLQMGLFDLRYQGLFNTWMNKQPDLPIAKKLDRLLVNQSWINSFPSSSALFLPHDFSDHTPCVLDLAMPLPISGTKPFKFFNYLTKHPKFLVTVEEAWVAAGSIATSLGELCWKLKSIKGVLKSLNSRNFSKIQERVIIANQHARALLPACINLNATMVSLSHRLQEGGLGCRDLVNWNTACILKLILMLFTCSGSIWVAWFKKEVLKGSLSHIWSATTKSKFSWLTNKLLKSSNLAYTWIKIRVGRGTSVRFWYDNWSPFGKLLDVISPGHTNTMGIPLSATLADIYSDGAWNIRPARSDIQIEVQSFMTTITLTDTDDSYYWEIEGKEWTRYRTGRIYYLLKNHAPTVPWWKLVWNKGGIPKHSFSAWITMLNRMPTKDRIISWGLQATPACILCSSGFESRDHLYFNCDYSWELWKPLALRCGLTPSRNWTDTISSLLTVSSPAWQRRLILLVWQLVMYSIWQERNSRIHRQIFKSGDTISKSIDRTLRNKIHSSREANPTMASNMLQFWISSSLHPTP >A10p014390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4205578:4206670:-1 gene:A10p014390.1_BraROA transcript:A10p014390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPQIAKMGDKAELSATIKWPKIKAKPNLSVSYLKNLDLFTVENCLTSDESKGFVKIAESLGFTHQGSRGPAYGEAFRDNHRISVNDPVLADTLWQSGLSNLFTDIKIRRKVAVGLNPNIRFYRYSAGQHFGRHIDESVDLEDGKRTFYTLLIYLSGNNNAKSKSKSSSSSKTNDSASAEPLVGGETVFYGSRNSIVAEVAPMEGMALFHIHGDKCMLHEGRNVSKGVKYVFRSDVVFA >A02p017500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7909212:7912197:-1 gene:A02p017500.1_BraROA transcript:A02p017500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSKRNYHYVQDYDQDSIPRSKPRFNNNYGGGGGNNRYRGGVGGGGGGGNGRPSKSHPETMATTTYRVLCHDAKAGGVIGKSGSIIKSIRQHTGAWINVHELLPGDAERIIEISDNRRRDPDGRMPSFSPAQEALFSVHDRILESEPQFGAEDEEDYGGVRGGGGRVVTRLVVSKLHVGCLLGKGGKIIEQMRIETKTHIRILPRETNLPRCVSLSEEIVQIVGEAAAVRNALAIVSSRLRESQHRDRSHLQGRVHSSPDRSFSPADDYIPQQRRQTSDRFHYRNNSFSSRQSNYAEEEEAPVGENVQPFYTEELVFRILCPVEKIVKVVGEAEGIVDLLQNEIGVDVRVSEPVAGSDEQIITISSEEAPDDQFFPAQEALLHIQTRIVDLIPDEDNIITTRLLVSSRDSVCLEGKAGSVSEISRVTGASVQILPREEMPRCVSTNDVVVQITGEIRAARDALVELTLRLRSHMYRELSQKETPPASTSTTGPLEGVAGVIEVASSNNTTQPREGLSIAPQYKESGGSVAKAGESEQREEVPNTANRMSVPLVTRSTLEVVLPDQVIPKLVTKSRNKLAQISEWSGASVTLVDDRPGETQNVIRISGTPEQAERAQSLLQGFILSKEQAFHPPDGDVFGNWFLDRTCTPVTLDLDYTPAQS >A08p018610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12814809:12815908:-1 gene:A08p018610.1_BraROA transcript:A08p018610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENLIKDRGFVAAPLTFFVVVIFQLLSKRLDQLKKKGSKNTREAELRSEIKQLLREATGLSQPATFAQAAKLRRSAAAKEKELAQYLEQQNKEINLSYDMYGKVLLASKVLVYVILVLWFWRTPIAIIAKQLVQPFGNLLSWGTGGHLTGHVMVGIIPWLILSTRVSKYVCRFVEF >A08p038630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22332777:22333022:-1 gene:A08p038630.1_BraROA transcript:A08p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASRADQWDNSGNAFVRSAGGGGTASNLNTAKYKEKFGHGLDKTKAVASSGFKKLKTGSAVGFRWQGQVSQNHKQTLNI >A09g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24510462:24512264:1 gene:A09g508810.1_BraROA transcript:A09g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSGLASVVAGVVWGASLGLLPYISLSITAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGQGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKRMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIVTLGDRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLLQTDDDDSTASTNLSRVRINEIVESTVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDQISALEAQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23592696:23593674:1 gene:A09p041700.1_BraROA transcript:A09p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASPIQCIRIINPSSSSSSLRFSKSKPSVFIIRCSQAEGPLRRPSAPPTLREPTPPQKPVTPTPSSSPPPPQKAVAVDGKSVVVTTVEFQRQKAKELQDYFKQKKLEAAGQGPFFGFQPKNEISNGRWAMFGFAVGMLTEYATGSDLVDQVKILLSNFGILDLE >A01p023090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11401798:11406139:1 gene:A01p023090.1_BraROA transcript:A01p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSGSQSSVVITMKVATTPEAHLAFTNLAYCSPSDLSQFAILGTNFFLANVADSIQDGTIALNAVQRRHARVSADDMVTITRFVPPEKFDLILLALELEFVKKGTKNEQVDAVVLSTQLKKKFMYQVFTVGQRVTFEFHGTNYILTVNRAVIEADGSQTNGIERGMICNDTYFVFEASNASGIKIMNQREAATRKIFKEKEFFNNLSLEIGGLNAVFVDLFRRAFSYRILPPYLRDRLGRKQVKGVLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLNKFVGETEKNIRDLFADAENDQRTHGDDSELHVIIFDEIDAICKSRGSSSDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDMLDEALLRSGRLEVHIEIGLPDEAGRVQILHIHTKMLKENSFLAPDVNLQELAAQTKNYSGAELEGVVKSATSYALFRHLNMEDLSNPVDEENIKITMEDFRQGLREVMPAFGASPKALQNCRPKGFVDCGDRQNLIYKRIMFLVEQVSNETSPPVTCLLKGPSGSGKTALAATIGIDSNFPYVKIVSAETMAGLQESTKAARIAKVFEDAYKSPLSIVILDNIERLLEYSEIGPRFSNMILQTLLIYLNQLPKEGSKLLVLGTTSELTFLKAVGLRKAFSVTYDVPLLRTEDAKKVLKQLNVFSDDDMEEASKALNDIPIKKLYFLIEMAAQRDGRSKETIYTRKEKLDLTHFFDCLEEVTEN >A01g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17665692:17666013:-1 gene:A01g506000.1_BraROA transcript:A01g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKCLEKLKPFIVAYEGGDRGSRRMGANIEVIGEVMVEAPLLKEIVDHYSVPDRVTAKKQNEELDRIATTVPKVHLML >A01p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26109464:26111272:-1 gene:A01p046380.1_BraROA transcript:A01p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVSQTPGVRNYNYKLTPKVFVTCFIGAFGGLIFGYDLGISGGVTQMEPFLEEFFPDVHRKMKSAHQNEYCRFDSQLLTLFTSSLYLAALVSSLFASTITRVFGRKWSMFLGGFTFFIGSAFNGFAQNIAMLLIGRILLGFGVGFANQSVPVYLSEMAPPNLRGAFNNGFQVAIIFGIVVATIINYFTAQLKGNIGWRISLGLACVPAMMIMIGALILPDTPNSLIERGFTDKAKQMLQSIRGTNEVDEEFQDLIDASEESKQVKHPWKNILLPRYRPQLIITCFIPFFQQLTGINVITFYAPVLFQTLGFGSKASLLSAMVTGIIELLCTFVSVFTVDRFGRRVLFLQGGIQMLISQIAIGVMIGVKFGTVGTGDIGKTDANLIVALICIYVAGFAWSWGPLGWLVPSEISPLEIRSAAQATNVAVNMFFTFLVAQLFLTMLCHMKFGLFFFFAVFVFIMTIFIYLMLPETKNVPIEEMNRVWKAHWFWGRFIPDEAVGVSAAEMQQKSV >A08p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13116769:13120915:1 gene:A08p019180.1_BraROA transcript:A08p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRYYQLNHTFDPCPFEVFCSGTWKAVEYLRVESGSMTMRLFENGHVLDDVKPFQKLRLRSRKATTIDCTTFLRHGVDVCVLYQKDEVTPEDDLEPVWVDAKIVSIERKPHEPECLCEFHVSIYIDQGCISSEKHRMNRASVVMGLDQISILQKFPKEQSVDRFYRWRYSEDSASLVKTRLTLGKFMPDLSWLLVTSVLKNSVFHIKTIQNKMVYLIMTDEESSSSSCLSAMNITVEDGVYLSKVVTFNPDEDDTTLALDYVHVEEEESEEEEEVMELRRSKRRNMRPDRYGFSGVQPDSKDGWVRLMPYKYSTWTDDEEGDDEDSNDDRDSDDDLYLPLSHFFGKESNTKGFSKRKESEIVLVDKTARKKKMKMKKRDGSGGSRELSVIPFTPVFDPIPLEQFGFNANSLCNGGFSGSNLIDEMDRYRSKPSKYGKKKMLSEMDEMESDLGWIDNMSKSSVQKGTGSHSRIRSGYGKTGHSDEPQIFKRRTLSAGAYNKLIESYMSNIDSTIAAKKETNSVVEQWEALKNPTSTTVEDEDGSSEDDDSEGETSENEMLWREMELCMASSYILDDNELRVDNEAFQKATSGCEHDYELNEEIGMCCKLCGHVGSEIRDVSAPFARQKKWTTEAKHINEEDIDTNVKQDGDERRSFTMPVATSEVPSPEESENVWSLIPQLRRKLHMHQKKAFEFLWRNLAGSVVPSMMDPSSDKIGGCVVSHTPGAGKTFLIIAFLASYLKIFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRTYCVAKENKIQFKGIPKPSQDVSHVIDCLDKIQKWHAQPSVLVMGYTSFLTLMREDSKFAHRKYMAKVLRESPGLLVLDEGHNPRSTKSRLRKALMKVDTDLRVLLSGTLFQNNFCEYFNTLCLARPKFIHEVLMELDEKFNTNQTVQKAPHLIENRARKFFLDIIAKKIDTKVGDERLQGLNMLRNMTSGFIDNYEGSGSGSGDVLPGLQIYTLLMNSTDLQHKTLTKLQTVMSTYHGYPLELELLITLAAIHPWLVKTSTCCAKFFNPEELFEIEKLKHDAKKGSKVMFVLNLVFRVVKREKILIFCHNIAPIRLFLELFENVFRWKRGREILTLTGDLELFDRGRVIDKFEEHGGPSRVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSRGTLEEDKYRRTTWKEWVSSMIFSEEFVEDPSQWQAEKIEDDVLREIVEEDKVKSFHMIMKNEKASTG >A06g501140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4158178:4158633:-1 gene:A06g501140.1_BraROA transcript:A06g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIEKPNQKEVPNDKLRYRLFNSEREREVLTNSSRKRRQGETEEAATREAKAATLSHSVGPSKRETDPDPLEIKILDLPPRRCLLLRSDYPHLRHHSRETEDTLSHRFDSPVPAVNRRRTREEEQGGYGEIEAREGMKLDSGVIGVATDG >A03p026760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11164870:11167332:1 gene:A03p026760.1_BraROA transcript:A03p026760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLFLPSSSSSSALFLLLLLLLTLQTLTSITLSQPEALPSLEKCGNFSISFPFHLSSSSSSSPVAFRLTCANSSTLFLHINHQTYRVIEFFTDGLLVDFPSSPCRQFNDLRSFPFSTNQFFSISFENVISLYDCEDSSLCKAGCESNVLFGCDGREEDETSGGGDIGCCYPLSDHSAWRPGDDFSVFGKYGCRGFSSWVVPRGTNRGKRGVKLEWAIPRNSSEGTCDPEARTVNATAIQGSVRCVCRDGFAGDGFVHGNGCLRSCYRDGNEVYGNKCEIKKHNGKKLTVLAGVLAPLFILGSLLALFCLLKRPVTTTHEGQHFDHSPTTTTTSVSFRKGYTKTRLFTYRELEEATKGFQDSQKLTQGKTGTIYSGNLKNGTRVLVHKVLCENQIEFLEISSQIDHLSAVLHRNLARIIGFCMDIGYNPVVVYEYPVNGSLEDRLRLGLDWCKRVNIVAEVAGLLALLQYENYPPILHNNIGSGYIFLDEDFQAKVTGFGLQRKQRTDSCMYDVAVLLLEIVTGSKQREENVTQALQRIRCGKLEEIVDPSLYFHEQPVVYREQIGLVADLATRCVLFGGDGKFGMVDAARELLQIAENNGGGGCDKKGDGIEETFSNSSLLQMISMSPDSIYLPKT >A02g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22632290:22636529:1 gene:A02g508250.1_BraROA transcript:A02g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVVDLEHIMCDCGVYAVEKIPCFHAIAVGTSAGLHISTLVCPVYSKDFLFAGYSDNIHPCFGQQVEECACFPLDVQRGPGSLKKSRWQSWLELSRMRGRKPQKQYMVYRCSKCKETGHTKQHYSIRRFDQNAWIDVCRCSEEFNHFTATELQLDPGRYVATERDERSVATSVKISSKKKIAKKGSSSASAYEELIVPKTEFVPHSVHPAENEAWWVAHYGSLTPPKEKSFPVLIHRGDEKGDASRSTDEFLVIMRLFYHIPDDVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILARVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDMDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRLFINPLAPFPEDIIVVRDLLRNGPFFWTSLTPKRVQRALRFVQPGPASAANTGSDSEPDDQNPVEAPTAVPESSSWKGKDIDLGNIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFADLPPSFDAPPPTKESARPRVVAEGCRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLSRDHARAIRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDLRECRGSVGSLWRTQADDYVFENEMSLMKSGMNEHAHAEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDRPADAFGASLSGDFDFGL >A09p012520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6389907:6390083:-1 gene:A09p012520.1_BraROA transcript:A09p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRHALSEVVWANHDEYDYHLLRKKVSRGKVNYHSLGETLVLWKVGEVKVTGETVS >A09g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24405427:24410840:-1 gene:A09g508770.1_BraROA transcript:A09g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFNKVTGREKKQKKKGEKLDFHNPNIVGLSASLFHGDKDGDCVFSVVLPPLLSPPLRLSATLRFSPPLSASLRLSASRRLSASRRLSASRHLSASLRLSPRLSSSCRLVASLHLSPPLRLSPPLRFSLILYDSLHLSATLRLSASPSLPISASLIRLSVLNLRTDNFFSVISVTGNGDVFLDAQYTRKGEMEDERVDLVLERIRNKYDWSSTDWPVLDPEETKMEESDSHDRGSEADKSVDHTDVVADEETSSVKVAGKGKRKFLDEGADTRKKKVLCKRSAEKYLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERVFTERMGKMEIEVSQLRDAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGGQAPPKSKGAQAPPKRKGDQPTPTKNWNDEEIDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLMDKSEWLNSLEIDAAMYVFRERTSLKRWRPHRVAFMTVVFSNMIKKEYGHLEAQGRKSYMLHNLLLQYGKGVLPPHGRTHEIWNIDVDCLYVLVHVSGNHWIALCISFVTRSIDVFDCSGRKRYKEVDGFANLIPRIVKARKKENHLFKWVDEALLDEVRRVEVEQGRIVEEIDDLKSSMTHTIEEEVRKQKNSLELGCLGSILWLFGRLRSQE >A04g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11818121:11822649:-1 gene:A04g505580.1_BraROA transcript:A04g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRTITAELAQIRQGGRRNGPRPPGRHQPDPHDTDSDADSTDDTRSQDEERPNRGGRRNARGPRAQVGGGRDHRGGVACTGTNRVQATIDPGVV >A08p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1559466:1560952:1 gene:A08p002820.1_BraROA transcript:A08p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGADFLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVNDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECITKNAFKNEPEEEPYMPDTIDVARGQQQRSTGCEC >A04p037440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21361309:21362874:-1 gene:A04p037440.1_BraROA transcript:A04p037440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVDDLWGPPLVVKDDAFRKPPPLRGCEFWFDFRTDVLEESFQIERYCEIVWTILNEKKQVDELVGSSDSDKTLSAATLPDADTSSAKSSLDEDENTSSISDAKTSETKDSTNDTEEADLEARLKPQETEPNDAITVEVAEDGDTPPCSPVLALASTIEEAEEHSCSSFVTHQALENKSPSAISQENNESSTLKPLVESREKANAEDGHILKDQQQKQKQNESLQDIETASEPFTTESLLEMCEEPEKSKRSIIPEAVMKTVVVKEEPIINMLTEARIKKAEESLNPPPGSVVLIKKRKRHSNTSLIRYTKQKGPSVPLPLSASTLDLIDRIRQRGGGKKTIMGGEEEPVILISELHDKTGKELRSIAKELKVTRYYKMNKEDLLQHCIMQLKLKPNRLQ >A07p005670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2006024:2008048:-1 gene:A07p005670.1_BraROA transcript:A07p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT5G01830) UniProtKB/TrEMBL;Acc:A0A178UNI7] MAVTLEVTPTRKRRPLVVGHLDSPNISDTKLFRSLFFASQELSHMQPLRFILRRNSQSIIRKVKVLAAVFDELLRSHMVYSQSAQLCFEEMNIVMQRMKTLIEDCTRSSKLWLLLQIENVSFSFHELVTDLSTVLDILPVNDFNLSEDAEDLIVLLKKQCSDSIQFVDPRDDDLRRKVTDTIDGIKHQIVPDQSKLIGIFTDLGLLDSASLIEEIQRLEDETHDQIDERSRIAAASLIGLVRYANCVLYGPSTPSPHPDFRRHKSLSEANIPADFRCPITLELMRDPVVVSTGQTYDRESIDLWIKSGHNTCPKTGQVLKHTNLIPNSALKNLIVMWCRDQKIPFEIYGDGGVGSSTPCTEAVEFTRMMVSFLIDKLISVAEPNAVVFELRALAKSDSVARACIAEAGAIPKLVRYLGSDSPSLQINAVTAILNLSILEQNKTRIMETDGALNGVIEVLRSGATWEAKANAAATLFSLASISTYKRRLGRKVRVVSGLVDLAKQGPTSSKRDAFVAILNLAAERENVGRFVEAGVVQAAGDAFRELPEEAVSVVEAIVRKGGLMAVSAAFGLIRQLGEMMREGGDTTKESAAATLVTMCRKGGSELVAEMAAIPGIERVIWEMIGTGTARGGRKAASLMRYLRRWAAGDTHETAATETQSIVVPTPSRIFNPVL >SC160g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:204247:204546:1 gene:SC160g500130.1_BraROA transcript:SC160g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLIKKKEVLQSSNHGVNTLRDQFRSWLKP >A05p003280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1193245:1193667:1 gene:A05p003280.1_BraROA transcript:A05p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sigma factor binding protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G41180) UniProtKB/Swiss-Prot;Acc:O80669] MKSSSALLTSLNQIQPSSSPTRKPPKQKRKATTSKDNKPIKVRYISNPMRVETCPSKFRELVQELTGQDAAELPPEPTTYAAADPQQEEINPEPLEEGVSEYYSPLDEEVFSAPQMSAGLSAFFSSGFYNVNALGSIGSL >A10p000180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:95581:96045:-1 gene:A10p000180.1_BraROA transcript:A10p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNREQVAELIASLEQATLMSHQIGTAVERNQLLQISSSLRTAHHRLSTLLLSTVPSPASDNSVSSVAPMQLGEGEAEAMEDERDSAVEKVEEKMRECFIRNKRAKKRPLSPSSAVVETSATEERSNRDYYGQFDLDPNASKLRALDLIYQFHG >A04g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4363916:4367644:1 gene:A04g501830.1_BraROA transcript:A04g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVAKAEAQIVEKVEHKIVERVEIQTVKKVEGMVLQPEIQLEESHVVEQSPYDNLPFPQRFLTKAQKKVISKFRKDMGDVGVKLPQISNMHDAHLGRYIPTERRTLGRYVATERGSFSRCVTNFFELSSVASYFHHKAFRKKESVSKKYLSKKLALQLVRVEILARGLTILAKLGVLDEVYTLNSAEEKLSRNELFSFIFFTPSLLLTFKNLSSSKTFAFNMSSSQGDKRDSDVEMGEATSPAPILTFPFEAPRLVCRQVEKELVRAGTEFPSSSARAIAPGHGTEVVVPQDIGTLAGSGIPDAQALPGGSSTTLIIVEDKERAADSMPPPSSRKEIVLALRAPSAVPVAQPKGRKRKLTKGNVGESSQQGGSRVASGLRGKFMLLINGMISKCGSETSCLAGELVELQGRWSETEAMLTAVKDSHSAKVSKLEVAIGELERVLGKTASSLLKEKKARKAKSSERAAGSERPQTLFAQSFILADLKSACFLPTCSEDQEGKDPMAGENRGDTAPGLDEARRIVWKEGDELSSHILLRCEMFRSRSVSRIFSARYRFRGISEDVEYKHRGMVLGSRIFLISCLEMLETSALGLGQDLGLLSVLRFMRISPETIFKPTRSAGPKFWVSFIAVLSLCRMYESHLREMAMSV >A01p021710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10587519:10589549:-1 gene:A01p021710.1_BraROA transcript:A01p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADIVRQTRDLLVYADRSVSTPDLRESKRQEKGDTLRRLIASLPFLSLEARKDATQVVANLQRQLVNSRLVASDYLESNIDLMDVLLDGFETHRSGVTLYGTMFRECIRHQIVAKSFFYYVQLPNFDIAADAAATFKELLTRQKSTVAEFLTKNEEWFFAEYNSKLLESSNYITRRQAIKLLGDILLDRSNSAVMTKYVSSMDNLRILMNLLRLLVAKQKKPSDIINVLVANRNKLLRLLADLKLDKEDESFEADKAHVVSEIASLKPRDLA >A02g511760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31767961:31768321:1 gene:A02g511760.1_BraROA transcript:A02g511760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSDMALTIWFLTELPFGVFFFKYGLRFALPMIFRFTSRHISELKAKANSEVAGSDVDLKISSFQAVLAHMWRSIDILDLNTNM >A03p069610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30591835:30592831:-1 gene:A03p069610.1_BraROA transcript:A03p069610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCLSNKRCTYIHENENEPTRGAIDIHHVIINGSRVTGYARLRWLAFFLVGFAILLGKDNPVRTLSWSCLLSGFFVMIQSRKFVKKESVIIMPTFGIQLETQYLSGKTVSRFIPIGKILKPVLVECVTPVTCYWSLSLFLRGEEQLALVFKELRPSLKMLVPIWKALCAVSGTDQREMMTEEEHVVAG >SC236g500080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:46657:46842:1 gene:SC236g500080.1_BraROA transcript:SC236g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLNGERRTISTETMDLRKRDGGSDGERDGGSDGERDGGSERREKKDHDGGEEGDDRLK >A03p017540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7075724:7076254:1 gene:A03p017540.1_BraROA transcript:A03p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVDEITRPMTAGEILQANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDTSLPEKKKTKKKKEVQRRKKSPENANDIKIHHMVSTSKDLDLTLCEKYLEDVMLSSSKKCFPAGKENRHRRRHSRPASVSLWRPQLASISEDF >A01g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3807496:3808314:-1 gene:A01g500970.1_BraROA transcript:A01g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETEITSMVSSCLSFCIDLNLVNLFVGIEIEITSLHFLHESYLSPNQSDPTSGSSSTAREEGHRRDESRKPRAKFQEEQARVLAASLRGVSFMMALSIKEANNFLLFGFCKNKLRAIQEAKYIKGANVSGIESIFITGGIHGSELDLSI >A09g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15006629:15008397:-1 gene:A09g504920.1_BraROA transcript:A09g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIFFFLELMKIFRGNSDGYLSGRRNFLGIFSFNRTNQPPNILQKLKLKIPRKFRRIKYSEEMDIGPRNIPTNTLPRNIPTAKVSRNISTVEVRRNILGIFNSRYSLRIFRRKISDDHSRRYVLGIPLFRGHTDDICRRNPGVFL >A09p009800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5022804:5026053:-1 gene:A09p009800.1_BraROA transcript:A09p009800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEFSEIAAARRIQRLSSHISPALTEPPQLQTEACSSRSRKLVVNGQALSLYMKGKHRDIQEKVHEFYNSRPDLQTPLEISKDDHRELCMRQLYALVREAGIRPFRYVADDPAKYFAIMEAVGSVDMSFGIKMGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYLGCFAMTELHHGSNVQGLQTTATFDPITDEFIIDTPHDGAIKWWIGNAAVHGKFATVFARLILPTHDTKGVSDMGVHAFIVPIRDMKTHQTLPGVEIQDCGQKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRNGKYTSSLPTINKRFGATLGELVGGRVALAYSSVGVLKVSATIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAYHFATVYLVEKYSEMKKTNDEQLVADVHALSAGLKSYITSYTAKSLSVCREACGGHGYAAVNRFGGLRNDHDIFQTFEGDNTVLLQQVAADLLKRYKEKFQGGTLTVTWSYLRESMSSYLAQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLQSVAMRLKKHSKTLGTFGAWNRCLNHLLTLAESHIETVILAKFIEAVRKCPDPSARAGLKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLAEYLSFQVRNVAKELVDAFELPDHVTRAPIAMQADAYSQYTQVVGF >A03p051050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20682929:20702147:1 gene:A03p051050.1_BraROA transcript:A03p051050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHSRPIPLAHTLLKRLQPARTMVLVNLHTDGQPIIINRRMLTWYLLRMRDQTRNRQSQPVQDLNLLGLPLPGINGLGQENGLQNHEQQREEWVISVKGKMEQALRDGSTTSWDKLCIYRVPLHLQENDKKSYFPQTVSLGPYHHGNKHLLPMEFHKWRAVNMIMSRTGQGIEIYIDAMKELEERARACYQGPIALSVNEFTEMLVLDGCFVLELFRGTVEGFQPIGYARNDPVFAMRGLMHSIQRDMVMLENQLPLFVLDRLLELQLGTLNQTGLVAQVAVKFFDPLMPTGEASTRLNPSKLKPWLERSLNSLGDNGDLHCLDVFRRSLLKFSYTPNPRSEEDPLPLNLVDKRQQQLVHCVTELREAGVKFKTRKTDRFWDIRFEHGCLEIPKLLIHDGTKSLFSNLIAFEQCHIDSTKDITSYIIFMDNLINSSEDVSYLHYCGIIEHWLGSDAEVADLLNRLCQEVFFDPKDSYLSKLSDEVNYYYSRRWNALKATLKHRYFNNPWAYFSFFAAVILLVLTLVQSFYAMVNLTNRPPPPPPPPPQFRSISSRSAGNLIQRLTRSQPVPRPPPPPSWPRPLRPLSRPPPPPPPPRPRPPPPPPPFVPILPLPHLIISRRRRKLYHQRMLTWYLITLKMRQKLQTLNQKPEETREAWVISIKEKMDATLRVDATTSRDKLCIYRVPRYLQENDKKSYFPQTVSLGPYHHSKEHLVPMEHHKWRAVNMVMKRTKHRIEMYIDAMKELEEKARACYEGPIVWSSNKFAEMMFLDGLFILELFRGADNDKGFLVLGYGRNDPVFAMRGSMHSIQRDMVMLENQLPLFVLNRLLELQLGSEYQTGLVVQLAIRFFNPLMPTDKLATKTDQVEIKISLENDNFFNPIADKDKEELHCLDVFRRSLLRPSQKPEPRLSRTRWSWKRRAADKRQQQLIHCVTELREAGIKFKRRKTDRFWDIRFKNGYLEIPKLLIHDGTKSLFSNLIAFEQCHIDSNNDITSYIIFMDNLIDSAEDVRYLHYCGIIEHWLGTDSEVADLFNRLCQEVAFDLQDSYLSELSNKVDHNYNRKWNVMKATLKHKSIPPQRHSFKKRSKNPPPSPPPQPSFGSIPRRRRYFFKKKSKNPPPAPPPPPSFGPSLPWLKRRKINPTLPSFINKDMSLIQKDMLTWYLLTLVMRQKLQTRNQQPEETREEWVISIKDKMEQTLREDATTSWERLCIYRVPQYLQENDNKSYFPQTVSLGPYHHGKDHLLPMDRHKWRAINMIMARTKQGIEMYLDAMKELEERARACYEGPIGLSSNKFTEMLVLDGCFVLELFRGADKGFSELGYDRNDPVFAMRGSMHSIQRDMVMLENQLPLFVLNRLLELQLGPQNQTGLVAQLAIRFFDPLMPTNQPLTKTNTSNLGEDKFFNPIADKDQDELHCLDVFRRSLLRPSTKPEPRLSRRRWSWKTRVADKRQQQLIHCVTELREAGIKFKIRKTDRFWDIRFKNGYLEIPKLLIHDDSPQDVSYLHYCGIIEHWLGSDLEVADMFNRLCQEVAFDPQNSYLSQLSNKVDRNYSRKWNVMKAVLKHKYFNNPWAYFSFFAALVLLVLTFSDISQLLHSSEKSFNNKNKKMVAVFYKDMLSWYLLTLKIREKLEAENQGSEPVNQDQNLLPLQVTRSDQDQNIHNHEQTLSETGKIEVTKESPKETRDDWVISITDKLEQADRDDDTTIWGKLCIYRVPYYLQENDNQSYFPQTVSLGPYHHGKKRLRSMDRHKWRAVNRILKRTNQSIKIYIDAMRELEEKARACYEGPLGLSSNEFVEMLVLDGCFVLELFRGAVEGFTELGYARNDPVFAMRGSMHSIQRDMIMLENQLPLFILNRLLELQLGTKNQTGLVAQLAVRFFDPLMPTDEPMTKTDQSKLENYLAGDKAFDPFADMGELHCLDVFRRSLLRSSPKPEPRLSRRRWSRNTRVADKRRQQLIHCVTELKEAGIKFRRRKTDRFWDIQFNNGYLEIPRLLIHDGTKSLFLNLIAFEQCHIDSSNDITSYIIFMDNLIDSHEDVSYLHYCGIIEHWLGSDAEVANLFNRLCEEVVFDTEDSYLSRLSAEINRYYNQKWNAWRAALKHKYFNNPWAVVSFCAAVILLVLTLCQSFYAVYAYYKPPS >A07g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9126944:9129049:-1 gene:A07g504560.1_BraROA transcript:A07g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRTFRLSHYSRKIFCRVLILLHCFLGEWRSDLPTVLPIRAKRLDIFPRDVQKQISEAKKMGTLPDLSTMIAAQLGLVSGDGPSTAVPRSGEVPPSEAGGAGKGKKRKRGDGSGAGRSTEEASDVPPSSEPQKKKKKKRTKKKSVGEESGNLEGPTETEGGDVEEEGLHPEEEAFATGALGEEDDKEEAVDGQEPEASLGDIGSDNLEEESEGSPLLMRRRDDGADETPNRGNLAAEDNAPLLVLSDTSAEGSRRGNEEEIVGVFEEIPRSDEVHVSPAARESSVRASELSALNDRESDRED >A05p036670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20806048:20813554:1 gene:A05p036670.1_BraROA transcript:A05p036670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALWAYS EARLY 3 [Source:Projected from Arabidopsis thaliana (AT3G21430) UniProtKB/Swiss-Prot;Acc:Q6A332] MAPSRSTKSKYKRTPRPKDVSPHKEEESMSKTKPRKRKLSDMLGPQWSKEELERFYEGYRKFGKEWKKVAGFVHSRSAEMVEALYTMNKAYLSLPEGTASVVGLTAMMTDHYAVLHGGSDSEQEKSETLRSASKRSKLKSSDHPSAGLEGLSDRLQFRSSSGYLPSLKKRRTETMPRAVGKRTPRIPVSYTPEKDTRERYMSPVKKSLNQKGDDTDDDMEHEIALALTEASQRGGSTKNPHTPNRKSKMLSPDKKSEIMRADIDMAIAELRATDTEDARCEPSLESTEAENVDNPGSRNELTNGEDRSAMKEKQYYRRRFGIREDDSKEACSGTDEAQSLGTLDEKFKQEGDGKFLKFTYRSSRRKNEDTACDALQTLADLSLMMPETATDTESSVQAEEKRAGKASDYKETDLASMSKRGSLRKSKQKRPVCNDLCNTEPERKSPSSSVIRKGRQKASPAKVPKDELAAISQVTEPSKNKGIGEEIKPVGRGKRSASIRNSYERKSVKPQDRTSSSNNMVDDDESAPSTAATQKEVNLPTKVRSRRKIVTEKPLTIDDVKKSEILEKFSHCISSFKARRWCLFEWFYSAIDYPWFARQEFVEYLDHVGLGHVPRLTRVEWGVIRSSLGKPRRFSQQFLKEEKEKLYLYRDSVRKHYDELNTGMREGLPMDLARPLNVSQRVICLHPKSREIHDGSVLTVDHCRYRIQFDNPELGVEFVKDTECMPLNPLENMPASLAKHYVLSNHHRQNSSEEKMHERVKESMPEGYPKLSCEAGYPLSSPNYIINNSLKQEKVEISSSDPQAQNGVDEALAVQLFNSQPSNIGHIHAREADVHALSELTRALDKKELVLRELNYMNNEVVESEKDGHNALKDSESFKKQYAAVLFQLSEINEQARVYEGIVRVILSSLLRTAPEVSHSMSQYAQVSLALLGLRQRNTYQENVPSSSITHMSNSGEPDGQLTYVDNDASDTNGFHVSEIVDSSRVKARKMVFRAIQALALLRKDENNVVNIEEAIDFVNNQLSTDESEGSSVQQTQASQDQRLPSTPNLSTSTQHADDSHVNPLDQNDLQVPSELVSRCMATLLMIQRCTERQFPPSEVAQVLDSAVASLQPCCSQNLPIYTEIQKCMGIIRNQILALVPS >A06p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12491079:12493858:-1 gene:A06p022020.1_BraROA transcript:A06p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSRVSSLLSRSFSASSPFLSRSQGKNLNNGSRIVRRFGTSSAAEEVISPSVQVSYTKLLIDGNFVDAASGKTFPTLDPRTGEVIAHVAEGDAEDINRAVKAARKAFDEGPWPKMTAYERSRVMLRLADLVEKHSEELAALESWDNGKTYEQALTAEIPMVARLFRYYAGWADKIHGLTVPADGNYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTAFYVGKLFLEAGLPPGVLNIVSGFGATAGASLASHMDVDKLAFTGSTDTGKVILGLAANSNLKPVTLELGGKSPFIVFEDADIDKAVELAHFALFFNQGQCCCAGSRTYVHEKVYDEFVEKAKARALKRVVGDPFKKGIEQGPQIDSKQFEKVMKYIRSGVESNATLECGGGQVGDRGYFIQPTVFSNVKDDMLIAQDEIFGPVQSILKFSDVDEVIKRANDTRYGLAAGVFTKSLDTANRVSRALKAGTVWVNCFDVFDAAIPFGGYKMSGNGREKGIYSLNNYLQVKAVVTPLNNPAWI >A03p059610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25879347:25882166:-1 gene:A03p059610.1_BraROA transcript:A03p059610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDRNKFSIEEPLSLSLDRSRDDFQPLSSTSERKMHGRPRKAYKPEEEAASASKAAKLRSLQTQFMSIHHHKIYTKEAIDLSRKLLEINPEAYTAWNYRKLAVEDTLSREESNQDLVKSILDEELKVVESALRQNFKSYGAWHHRKWVLSKGHSSVGNELRLLDKFQSLDSRNFHAWNYRRFAVELTNRSKQDELKYTEDMISKDFSNYSAWHNRSVLLSSLLARKAEGFTPNEKIPEEYKLVHDAIFTDEDDQSGWFYHLWLLDQTVNVETPLLTSSWPSHGSCINLSGGDGCLNDSSSSKLTTFCSDLGSFPLILYFDQPVSGVSSSTVAVDSELRGNEDLVWEPVSNKNSRVSCVWVARLNYMSSEPCFPKEYKVKVRIGNSPGIVSSRGCNFSTPYEFVFTAHVHDTVREDSQEVIVSWTDGFDIWDAQSKDLNFLVNLDQQMGLKWRQEAIEEEIELFRALSDSKIGKLTLARLLMAKAMISENGVKGVHYEEILELYSDLMVLDSSHYRYYKDEHSVALLHKVTSSTESLSRYLFQYRDINNSICLRLNNLSLSRIASVEKLLFVQMLDLSHNELHSTEGLEAMQLISCLNLSHNRIRSFSALDSLRHLKQLRVLDVSHNNIGEHSVDTTRYLCSSPLSNSEWTEDEVGRQMTSLVTKYWDAYFVLRDLDLKQLDIAGNVIAGDEFSSFVPQVVPKLVWLDGQKLRS >A05g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13598083:13599597:-1 gene:A05g504870.1_BraROA transcript:A05g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLQDPLTTPPNPSDEAMSLQADSLVTTMALPMVLKAALELGVIETITAVDEGVWLSSYEIALHIPTKPTNLEAPVLLDRMLTLLANRSILKHRMVDTGENGETKTVYAAGPICTFFSNRGAGSGSLAPLFMINLSEVYFKTWMHLKDVILEGKDAFTSAHGKSFFEYTCSNQQFAEMFNGAISDASRLTMKKVLEVYGGFEDVNTLVDVGGGNGTAISLVISKYPHIQGINLDIACVIADAPLYPGVEHVSGDMFREIPEGDAMFLKWILHCWNDEDCVKILKNCRRSLSETGKVIIVDVLKPTQPNISDLYSKNAFAKDMVMCTFLSGGKERSLPEFKNLAFDSGFRRFKIACIADSYYIIELRK >A08p011040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9116727:9116984:1 gene:A08p011040.1_BraROA transcript:A08p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLPTVRLSPYFDTRYSFELSFQFHWFEVNQHSVAEGMSVLLRSGRNASREKAVEKRKYVDRRTLDIDRYGCKNAGRAYFMTD >A07p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19883917:19885680:1 gene:A07p037170.1_BraROA transcript:A07p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESITTTLPTPELTTPEESKPTLEVSARETETAVTTSTPETETTAEKPEVAAPKVTETAVTETAAAQLEVTPEEHQQPKVTETETASTETSQKEEAAEEETTRIPQNLGSFKEESSLLSDLSDSEKKSLNELKHLVREALDNHQFGSVPKPEEDAANAPEEVTIWGVPLLEDDRSDVVLLKFLRARDFKVKDSLTMLKNTIKWRRDFKIDELVDEDLVDDLDKVVFMHGHDREGHPVCYNVYGEFQNKELYNKTFSDEEKRKHFLRTRIQFLERSIRKLDFSSGGVSTIFQINDMKNSPGLGKKELRSATKQAVQLLQDNYPEFVFKQAFINVPWWYLVFYTVIGPFMTPRSKSKLVFAGPSRSAETLFKYISPEQVPVQYGGLSVDPCDCNPDFALDDPASEVTVKPGTKQTVEIIIYEKCEIVWEIRVIGWEVSYKAEFVPEEKDAYTVVVQKPRKMKPADEPVLTQSFKVNELGKVLLTVDNPTSKKKKLVYRFNVKPL >A01p024950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20528372:20530452:-1 gene:A01p024950.1_BraROA transcript:A01p024950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVIRSKEYWDYQSLLWNDYESLLWNDTKVQAFFFVFGFFREQDGYEVVRKVGRGKYSEVFEGINMNSNEKCVIKILKPVKKKKIRREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKALDYCHSQGIMHRDVKPHNITIDHELRKLCLIDWGLAEFYHPGKEYNVRVVSKYFKEHELLVDLLDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKPHMSLTVTGDVWGQCITTYTIIQDFARSIRSNDGIMPMVTTETFGNDSRYDRSSV >A02p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6836136:6837575:-1 gene:A02p015430.1_BraROA transcript:A02p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVCTIFLFLLTASTAFASAFPKPAPVTHWSTSETSNATTASCSATGNPIDDCWRCDKKWKSNRKSLADCAVGFGRDSVGGRAGEFYTVTDSGDEDPLNPSPGTLRYAATQDQPLWIIFDRDMVITLKEDIQVTSYKTIDGRGNNVQIAYGPCLALYQVSNVIIHNIYIHHCVPAKRSLGGYSDGDGISIFESRDVWIDHCTLEKCHDGLIDAVGGSTDITISNNYMLNHNEVMLLGHSDEFVGDRDMRVSIAFNYFGEGLVQRMPRCRHGFFHIVNNIYREWEMYAIGGSANPTIFSQGNVFIASDNQFTKEVTKRESVDGDDEWKEWNWKSEGDEMLNGAFFTPSGNEDSPSYTKMSSMVARPASLLKTTHPSVGVLSCQIDQPC >A10p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11620656:11622174:1 gene:A10p007260.1_BraROA transcript:A10p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSPFSVLLSLFIRALTLSLALDRSHADESTITTTPILQDVLKEISTKQKWNPEEVRFTKVEVKKLRIGTGRSFEIRIRLGKSRFVFIFPDEVTDWRRSGGGRNVELDEIVKQVNSTKVLDPILLKGPLELRVHGDDHRLSLALPINISHIGLKRVLVSEGISVEIREAQAISLFHSSSRRFAATVDIKEGGGCSWSSLGPVCVPLPPIQIIGSASLVAFRTPEADSQIKTSFLSDETIQLLPEKCYDKAHTYKQHYLPSDLLGLKIDKLEKALSSLRSNGTAQTVSSVTAKLKASGMVRFQLEIGRRIGSNESETSKRAEWRTKPKIERVWFEVKAKVEGDKLKAVGMRKVVPFIEVDTEAWSSMMSNMSFTKFPSILGPQEALTLDVKW >A06p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1032129:1033811:1 gene:A06p004120.1_BraROA transcript:A06p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRVNIDRRLRFEPVMGAPKQKWTQEEESALKSGVVKHGPGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKSRLALKRTHSLPKQDDSSLANTSSLQSDEDMADAKHFSTTGTSALQLPATPTPRRPNVRLDSLIMEAISTMKELGGSNKTTIGAYIEEQYHAPPDFKRLLSTKLKYLTACGKLIKAKRRYRIPLSTPLSSHRRRHLGTPSGKQSVLSLPSPITDRDEVNVQTKAQIDAELARMKTMNAHEAQAAAEQAVEEAEAAMAAAEEAAKEAEAAEAEAEEAQAYVEEALKTVKGRNNCKMMVCT >A05p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24087176:24089713:1 gene:A05p039440.1_BraROA transcript:A05p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILETLSLEPNPKRQKLEPVSNDSLSSTTCLHSFACHGVCTACNSMVEKRHFRSFHYFSDGLQIRHEALALAKRLTTKFYSTGEKKLHLVLDLDHTLVHTTSVPSLTEAEKYLIQEAGSRHDLWLLKSDEDPVERLVKLRPFVHDFLREAHKMFKMYVYTRGNRYYAESVLEMIDPRRIYFGRRVITREESPYMKTLDLVLADERGVVIVDDTRDVWPDHKSNLVEISSYEYFRMSNGQCSKPYSEERVDESECNGGLVNVLRLLREVHCGFFRVKEDLESKDVRLLLQEIEFNRGAIQHVSWTITEFSFSVCEICKKSIRLEFKLGSF >A05p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6290147:6292578:1 gene:A05p014410.1_BraROA transcript:A05p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLESLVRFHFLTLLLLCYVSPSSLLRIDDLVPGQVQNLTNLYDIELAYNLFIGTIPSSLLTMPSLEYLDLRGNNFAGSFEVPNSSNSSRLQVLFLGDNHFEGKILEPISKLTNLIRLDLSSLNTSYPIDLTFLPSLKSLFSLDLSGNSISPASLSSNTSISLSMGFLLLSHCNITEFPSILKTLQDLQHIDLSNNGIKGKVPAWFWELPRLSSVDFFKGALPNLPLSIVSFSAWGNSFTGNIPLSLCSRNSLMVLDISDNSFTGSVPSCLSNLTLLVLRKNSLKGSLPDMFNTGSSLRTLDVGYNQLHGTLPRSLLNCSSLKFLNIENNKIEDAFPFWLKALPNLQVLILRSNKLYGPLSPPDQGPLAFPQLHIFLISDNNFTGRLLGNYFVNWKASSLQVSEDGSIYMGYEEDTSFSINGYYIYQDVIDLRYKGLVMEQAKVLSSYATIDFSGNKLEGEIPESIGLLKALIALNLSNNAFTGHIPLSLGNITQLASLDLSRNHLSGTIPNELKALTFLAFLNVSHNQLTGEIPRGTQITGQSKSSFQGNAGLCGFPLQESCASTSVPPLQDVNQEEGEVLSWKAMAIGYAPGLLFGLALGQVIASYKPKWLLKLCCFGFLTSYWNLCRAIYGLKQQKGCQYISVLKICH >A09p060050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49948860:49951140:-1 gene:A09p060050.1_BraROA transcript:A09p060050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVCPFSKAARPDDASASRKQADTTPSVCPFSKADASARKQGETTASGCPFSKSDASARKQGEVASKGCPVNEGRVNKEEDSTTDSATVPAKCPFGYDSQTFKLGPFSCMLCQALLYDSSRCVPCTHVFCKVCVARFKDCPLCGADVESIEADESLQKMVDQFIEGHARIKRSVVNSTDKEEEVENDNKKVIYADVSMERGSFLVQQAMRAFQAQNYESAKSRLAMCTEDIRDQLKREGNTPELCSQLGAVLGMLGDCSRAMGDSSSAVNHFEESIEFLMKLPMDDLEITHTLSVSLNKIGDLKYYGEDLEAARSYYCRALNVRRDAMKHHPKAPSQILDVAVSLAKVADIDRALQNEDAATDGFKEGMKLLESLKLDSEDSAPLEQRRLSVLEFLKKQVEKPAETAI >A01p058820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33532241:33534746:1 gene:A01p058820.1_BraROA transcript:A01p058820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 32 kDa subunit B [Source:Projected from Arabidopsis thaliana (AT3G02920) UniProtKB/Swiss-Prot;Acc:Q8LFJ8] MYGGEFDGNAAFAGGGFMPSQATTQAPESSSASRNRDARTLLPLTLKQLNSVSTNGESNFSIDGVDINTVAIVGRVSRIENRITQVDFVVDDGTGCVECIRWCHERQETEEMEAVRLGMYVRLHGHLKSFQGKRSMNVFSVRPITDFNEIVHHFTECMYVHMYNTKPRGGSITQATETPRPQPYSSMPTPARPFQTGPSNQFSNQFNDPMHGVRQTVLNYLNRPMHLRSEAGVHCDVIARELRIPLQQVKDALEQLSNDGCVYSTSDETCFKSTANA >A03g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8733876:8734436:1 gene:A03g502800.1_BraROA transcript:A03g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGAEGLAARDYQDPPPTPFFDAEELTRWSLYRAVIAEFVATLLFLYVTVLTVIGYKISSDTTAGGVECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSSY >A01g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5866622:5872430:1 gene:A01g501570.1_BraROA transcript:A01g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLRNHKFEQKQNAQRPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGCARPKITLFTSFELQITSCEITSSSRNKTRSDLSPSLRQVAPGFGSDLAVSLREVAPGSFSRLRVMKTRATSPCRSGMVALSFGSDFSTSLWKVAP >A05g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16692147:16693244:1 gene:A05g505860.1_BraROA transcript:A05g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFHSFLFSMLSFPTNNIHTSLGEVISKRTTSLCWTGASHPATFESLETMDSEEERNRPGNSYAGLSNLKMRALNDSMSNLLNTGLEAIHQRLDELQGRPTQSRTRTRRDHPRRNSRSDLEIRE >A05p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31506284:31508074:-1 gene:A05p051630.1_BraROA transcript:A05p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRRGLIRMLLVAVFLVVLQSSTALISSPSSIINPSKVKQVSSKPRAFVYEGFLTDLECDHLISLARENLQRSAVADNDNGDSQVSDVRTSSGTFISKGKDPIVSGIEDKLSTWTFLPKENGEDLQVLRYEHGQKYDAHFDYFHDKVNIARGGHRIATVLMYLSNVTKGGETVFPDAVESSRRQLSVNKDDLSDCAKKGIAVKPKKGDALLFFNLHQDATPDTLSLHGGCPVIEGEKWSATKWIHVDSFDKIVTHDGSCADVNESCERWAVLGECAKNPEYMVGTPELPGNCRRSCKAC >A04p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20171501:20173454:-1 gene:A04p034870.1_BraROA transcript:A04p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVARDVDEELSLFLEMRRREKVQGISSLSEPGTNSVEETTTESLESTTTRYVHLRRSGIEKFLDSENNKSDYEWLLAAPETGGEEEAQENSMVKLKQPKAARSTALKPRVENIMQEPVTLNVKASKKLTRLDSSTAVSKQSNTIADSKTKSKPSRQTTPTPRTTVSSTRPTNSACRTSSIKSNPRASRSSSVGKSVPTAAKSTSLTIREIPARPVSASRGRGYSSGGRSTGRSSKISDDVNPVLMGTQMVERVVNMRKLPPPKHDDNNMNSGFGRTLSRSSLDMAMRHMNIKRSVSGVTSNATNSMDKKESSPSSYCPNMPAF >A03p062090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26970490:26976072:-1 gene:A03p062090.1_BraROA transcript:A03p062090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKRITGHWAKLSPQMKQEVKQSLIESITIENSPPVRRASANVVSVVAKYAVPAGEWPDLLTFLFQCSQSAQEDHREVALILFSSLTETIGNTFRPYFADLQALLLKCMQDESSSRVRIAALKAVGSFLEFTSDGDDVVKFRDFIPSILNVARKCIASGEEDVAILAFEIFDELIESPAPLLGDSVKSIVQFSLEVSCNQTLENSTRHQAIQIVSWLAKYKYTSLKKHKLVIPILQVMCPLLAESSDQEDDDDDLATDRAAAEVIDTLAMNLPKHVFPTVFEFSSMYSQSTDLKFREASVTALGVISEGCYDLIKEKLDIILNIVLGALRDPERMVRGAASFALGQFAEYLQPEILSHYQSFLPCVLNAIEDTSEEVKEKSYYALAAFCENMGEEIVAYLDPLMGKLMAALQNSPRNLQETCMSAIGSVAAAAEQAFNPYAGRVLESMKFFMVLTNDEDLRARARSTELVGIVAMSVGRKGMEAILPPFIDAAISGFGLEYSELREYTHGFFSNVAEILDDTFAQYLPRVMPLVFASCNLDDGSAVNIDESDDESVNDFGGVSSDDEAHDEPRIRNISVRTGVLDEKAAATQALGLFALHTKSSFAPYLEESLKIMDKHSGYFHEDVRLQAVTGLKHILAAAHAIFQTHNDGTGKANEILDTVMNIYIKAMAEDDDKEVVAQACLSIADIMKDYGYVAIQNYLQPLVDATLLLLREKAACQQLEDESDDDDDDAGHDEVLMDAVSDLLPAFAKCMGSHFEPVFASFFEPLMKFAKASRPPQDRTMVVASLAEVAQDMGAPIAAYVDRIMPLVLKELGSRHATNRRNAAFCVGELCKNGGETALKYFNDVLRGIYPLFGESETDLGVRDNAAGATARMIVVHPQLVPLNQVLPVLLKGLPLKEDQEESMAVYSCIYSLVLASNPQIVSHVPDLVKIFGQVVESPVEKAEVKAIVGRTFSHLISVYGDQLHPLISGLPPSQANALAAFASTG >A02p032460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16995054:16996164:1 gene:A02p032460.1_BraROA transcript:A02p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYCGSKRSYFEDIPSPPSSKRFRCYSPSESPIWSSPPSSPLDQLRSAFPHLELTVLVKALEEHGNDLCAATRSLHSEEKKAEELANKESHAVAGGTFSADSDNHQASGDDWVALLIREVTQSTGIDDAKVRAAKVLQSLEQTLSSRAREEAGKIFQEEKVAVQQQVEDLMKDNTVLKRAVAIQHERHKAFEDANQQLELLKQLIPQYQEKIRNLEMNNYALNLRLQQAEQGNSC >A09p044790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36289418:36291554:-1 gene:A09p044790.1_BraROA transcript:A09p044790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMQRAGLELMGVMAMYQEGAYERLCRWVQAECRKLGDTDNPEVGDLLRTAVRCLKEKPALFKYCAEEVGNLRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVHALFDIDSADYKSTAKSTAENDSLKAAESDFTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLTNTLEFYSYTISDLLGRDTALCNTIGMVKDAAQKTFFDILKTRGEKLLRYPPPVAIDLSPPPAVREGVSLTLEIIENYNSMMVSASGEKPAFDPVLSALLDPIIKMCEQAAEAHKSKMSGQLTRRSRTSSDSSQLTSVDALLSSSSSPPQNNETPSKIFLINCLCAIQQPLLRHDVASQYVTNIGSMIENHINLLVQKEVDTLLQRCGLSDKMQIFRSYTTTELALSGRQETSPAVLSECLKAFFGLVLGSEGSLPEFEQIQVPKLRSEACVRVAKTLAEDYEVIYRAVTDQQNGYPDPKSLARHPPDQIRTILGI >SC273g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000120.1:39449:41035:-1 gene:SC273g500020.1_BraROA transcript:SC273g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQAIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A08g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10606598:10607846:1 gene:A08g506190.1_BraROA transcript:A08g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 10 [Source:Projected from Arabidopsis thaliana (AT1G31120) UniProtKB/Swiss-Prot;Acc:Q9SA05] MAARGVEGSTGGEISEESDERGSMWDLDQQLDQPMDEEAGRLRNMYKEKKFSAFLLLQLSFQSLGVVYGDLGTSPLYVFYNTFPHGIKDPEDIIGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKVNTIPNQHRTDEELTTYSRTTFHEQSFAAQTKRWLEKNASRKNSLLILVLVGTCMVIGDGILTPAISVLSAAGGLRVNLPHINNGVVVVVAGLKLSLRIYLTFQSQQCRLHSL >A01p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8869284:8870497:1 gene:A01p018210.1_BraROA transcript:A01p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVHIYDVTNTGSDKTNNTILQINRIFKDGIGLGGIFHSAIQVYGNDEWSYGYCEQGTGVFRCPSSKNPMYTYREKIVLGRTDCTIFMVNQIFRELTREWPGHTYDLLSRNCNHFCDVLCDRLGVPKLPGWVNRFAHAGDTALEVAETTAMRLKQAKTELLSASKVAYRFLSNVTSSVTNSSNGSPQQPGTVNNSDNVNSRMQGTWLKGMLNTSKPSTSTEIENKDEDANHDSEVLQFQQQP >A01g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2384457:2385339:1 gene:A01g500580.1_BraROA transcript:A01g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCEYDFLSFLYSSLHSYISLTSFLILSFMSVRKMTNDNNNPIGTSDVIQTPLNAAATDATGVTTAGNITASTTAATTSTILPAGNAADETTRRSLFGAGLYQT >A01p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11910160:11910785:-1 gene:A01p024150.1_BraROA transcript:A01p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYQVSILVQKSSDGTKVGDGAVSSSSDVDTIECRNCKHFISSRSIALHEVYGSRHTVVCNHLVCGRIVLSGEEAKNFFNIKKEPRDSPLRLIAYRFRGDMVEAGNSAADVRDRMREISEHESTYLWFMATGKGS >A09p008330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4288599:4289198:1 gene:A09p008330.1_BraROA transcript:A09p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFRATHHFLTSLLITVAITITTLKSVHTTATNTEFVKSSCTVTTYPRLCVASLSTHASLIQTSPKLMAHAALNITLASAKATSVMMVRLSSSSQLKPREVSAMRDCVEELGDTLDELRKSIGEMGQLSGSSYEVYMSDIQTWVSAALTDENTCTDGFEGDDMNGKVKIFVRERILVIAHLTSNALALINHFASIHG >A10g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4397788:4399680:-1 gene:A10g501580.1_BraROA transcript:A10g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGFQRYRQWELELGKRNDSIQVVMIRSAKAMRFAPPLILRTSLFHSPYEFVFFFCERDFSGLSRDRNHSYREMLRSGLVDIKKDDAISLFQSMIRGITPNVITFSALIDSFVKEGKLKEAKELYNEMIARGIDPDTITYNSLIYGLCNEKRLTEANQMMDLMVSKGCDPSIVTYSIFINGYCKAKLVDDEMVSIGVPPSVMTYDILLDGLCDNGELDKALEILDQMRKCKMELDIGSLSEAGILFRKMGEDGIAPNDCTYNTLIRAHLRGSDIGTSVELIEEMKGVASLQMLPP >A07p025280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14586364:14588399:1 gene:A07p025280.1_BraROA transcript:A07p025280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSAQIKAESPSNTGVSSKYMSSEANDSQSMGSKCSSASIRTNPRTEGEILQSPNLKSFSLDELKSATRNFRQDSVLGEGGFGCVFKGWIDEQSLAASKPGTGMVIAVKRLNQDGWQGHQEWLAEVNYLGQFSHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWTLRLKVALGAAQGLAFLHNAETQVIYRDFKTSNILIDADYNAKLSDFGLAKDGPTGDKSHVSTRIMGTYGYAAPEYLLTGHLTTKSDVYSYGVVLLEILSGLRVVDKNRPPGEQKLVDWAKPLLANKRKLFKVIDNRLHDQYSMEEVCKVATLALRCLTTEIKMRPNMNEVVAHLQHIQALHEAGGGKNIDKVEKRMRRRSDSVVLLSQKPNEGFARQTAVGGIAAAYPRPSASHLFA >A09p066800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52862823:52865905:-1 gene:A09p066800.1_BraROA transcript:A09p066800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDMAIIKPEMMKSYIWLETSDGSIQQVEQEVAMFCPFICHQVLQKGGGSSKNNAISLPQKVNPAMLSLIFDYCRFHQLPGRSNKERKVYDEKFMRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTMDDPRIRLLNRLYAKKRKELKEREKLKSIEVEEHVDERSVDDLLSFINGRDHKVVNTSKRKKKTKKRKEHKNGTCKVSNKDSHNLHSKQRSVDETSFSLGGVSNLHNIEDDIFSRKAEFEDGYIDDEIDPALKEMLDREVEDFARRLNSSWVLSVGQERQPVHFSINGNGDTRRLTGKEGGGDEWFDFSSVTLGEASDPPEYDKVPSDREELVLDVPSSSSTSLFTFELPLSISELELLREDTSR >A05p050460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29383601:29384298:1 gene:A05p050460.1_BraROA transcript:A05p050460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKVCIYVYSITSHYLEHTLCLYVWKKDFSYDAKRFSNCVQQKILIRVTMTDDKTRAKAMKTAAQFKGVSAVEIKGDHRNQIEVTGIEVNMICLTNTLRKKVAFAELVSVNKVEPPKKEDDKKKECKKPDEKKADEKKADEKKTDEKKPEPCCCNPCYQPWPYGYGVPSSFPHPCDPYGYNFRDYTGEPFYNYGPNWRFM >A01p015150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7354663:7357767:-1 gene:A01p015150.1_BraROA transcript:A01p015150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRVFQAFIIMGCIISSRRKKPATQESPEHRPRFHMPPSRTVIAEGDGDGQAQKVSELLKASDNKLGLKELKREESVLVVNPPPRSSELADSGWPPWLISVAGESLAGWIPLRESHFEKQEQIGRGTFSKVFKARDLLRNKTVALKRIRFDVTDSESIKCIAREITILHKLDHPNIIKLEGLMLVEHDSSILYMIFEYMEHDLLGLSSLLGVEFSEPQVKCYMTQLLRGLDHCHTNHVLHRDIKSSNLLINQHGVLKIADFGLSTFFDPHNSVPLTTNVVTLWYRPPELLLGASRYSVGIDMWSTGCVIGEIYAGKPILPGKNETEQLQKIFELCGSPSEDYWTKLKLSAPLRPMFPYGSNIEETFKEFPVPVISLLETLLSIDPGLRGTAATALNSEYFNTEPLACDHSSLPKYIPSKEMDIKKRGETRKQASQIRTTNESQAVEPIQEDSSLTQQLQRTCSESTSRETSYTKSYEEDETPRQMQTATDMTTDDETGAEDSYTSPYGHNQNLAGSVNMEEDIPWPMSPSRVYENGTSSSERVGIMHTLIENCTVN >A09g515310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45526098:45527639:-1 gene:A09g515310.1_BraROA transcript:A09g515310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVHREPPPLISITSSPSSSRLQISFPGICSSKRNRDRSCTTKVIDVASWPRINLSCCGAETVPTSPSVKAKRSTKTEAFSMEICQGIAAVFVCDQPAGEAILVDQMVADRSKPGGYGQGTYRTTFLPEGLKVYPNPHIRLFSESSGVVILEPEKSIRCFSGFSEEPVAKVRVFSRASLTRLRTSNKYNLFLMCFRLREIESVIPTMDISAGDSTLGVVFAAAQRSRPVVPRRGGETDEYMIFGWIGATRLYFGFLFGLRVSGVMYMGYYAMRYCWWHAVLQIGGDGCHNLVSERGSVPASTRDGD >A09p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4677586:4679534:1 gene:A09p009150.1_BraROA transcript:A09p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLTGSGTALGFSCSSKISKRVSSSPLNRSSIKMSVSVDEKKKSFTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPVLIDSVKGSKMWDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTNKEKFIKFEGCYHGHANAFLVKAGSGVATLGLPDSPGVPKAATSDTLTAPYNDIEAVAKLFEAHKGEISAVILEPVVGNSGFITPTPEFINGLRQLSRDNGALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRGDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLKQPGTYEYLDKITKELTNGILEAGKKTGHAMCGGYISGMFGFFFTEGPVYNFADAKKSDTEKFGRFFRGMLEEGVYFAPSQFEAGFTSLAHTTEDIQFTIAAAERVLGRI >SC140g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:277078:281423:-1 gene:SC140g500090.1_BraROA transcript:SC140g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSLPRWPYLYFQDWPLVALNPLPLYAVYLYDKCFVSSFFLSRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTSRFCPCAGRFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNFLRRLAVIIFTIFGPDEAADERKLKRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNSQKIADIRCLISGFPSLSAFTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDVFVGVVGDIARIQVNVFGFVILRVLCRGRKTFRVPLFDGRFLAGVLTGRSFPRDSCSIEWGGEVEPLPVDFGGSAGTDSLGPCRGEHLFKLLERRGVGLRVGRRYVRYRSVEIGAAASVKGSLHVIRVRQTVGTEIHTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLPWARELSARFLVLATLRRLNLIESQLEITKTEILPLCSSPRTPYILAPRSVYAFTLLPLSRCSKKWRYSIFPDLHNYLQNFRIYPRKLDIYPSSWAKREPCCGSRAFG >A03g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6591605:6592405:1 gene:A03g501970.1_BraROA transcript:A03g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLFRCNLFISFPLRSRIRKEGEFVFVWTSEDVGTEEEALLKNVERMESDIVAGCFCNAAQVIGMKCLVTAVEVREAAMHLGRTEKILEIVDRRCMPALSHHEVAKIDEWTETALFQLHVCGKAVESVSSLLNA >A07p036560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19621566:19622560:1 gene:A07p036560.1_BraROA transcript:A07p036560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organelle RRM domain-containing protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G73530) UniProtKB/Swiss-Prot;Acc:Q9FX45] MASSLGIVAVNPSCSGDRFLRPNFSVTTSCCFSSSVYFRCWRGRSNVGTVVNPARRRHDVGGLLVSGCLSSPDSSSPPSSISGPKTKLYVSGLSFRTTEDNLRNVFEQFGKLTLVNLVMDKVANRPRGFAFLRYETEEESMKAIQGMHGKFLDGRVIFVEEAKPKSDLQRAKPRSDFNKAQTKPRTFRTW >A06p006990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2411870:2412487:1 gene:A06p006990.1_BraROA transcript:A06p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH149 [Source:Projected from Arabidopsis thaliana (AT1G09250) UniProtKB/Swiss-Prot;Acc:O80482] MVDSLFPSIEATVDDASPESRRKRRRISETAKETAESSEINQESLKRWRTNRVQQIYASKLVEALRRVRQRSNDGGKITSAAREIRDAADRVLAASARGTTRWSRAVLATRVRASLKKHKKAKLTGARKPRKDTAAERKRSKLPAVERKLKILGRLVPGCRKVTVPNLLDEATDYIAALEMQVRAMESLAELLAAAAPRSMLTRP >A03p017660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7190272:7191714:1 gene:A03p017660.1_BraROA transcript:A03p017660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGTNRAAVESCHRVLNLLSSRPHQQDHLYDMSLLSETREAVFRFKRVGNLLSSSSSSVGHARFRRAKRPQTRLSQTIFLDSCLERPELTSSQKPPVVLRSDLSLGPTDSLTLGTRSFGLNSNAKAPLLQLNQQQQQQLHERLQAHQQHQQRHQAEMMLRKCNSGMSLSFDNSSCTTPTMSSTRSFISSLSIDGSVNNSSSSFHLLGAPSSTDQSSQYSKRKCLMRGADEHGSAKCGSSSRCHCSKKRKHRVRRSIRVPAISNKVADIPPDDYSWRKYGQKPIKGSPYPRVYCSCWFWVKWVSVGDLVKTLLFLKCIALNGSKLCSLSQFGNTQPKQ >A02p020200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9432913:9437210:1 gene:A02p020200.1_BraROA transcript:A02p020200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTRVHGTTVVPPPMPPGATVLALNHADSSYRSNSYPQMTLNAMLNSPARLSQLYLHPNKLNGALWFGIGPSVNAFIRATWQGYYMWPWKSWRKQNFYWDSEFNDLVYALWKKEIWTTIATEAAKKKSKKAAKSRKYDPVGKGCHKHNAGPRFFARIEYNMMIESGTNERPSYTDLVRKTHTRKDGTFVDYHAEELVTQAEIEAIQLSNTERSPGSRIASSAPSRLMLNKAYLKNAKGKRGHVYGLGSAQYREHVPSSRVPNGLAHKLKLKMRVGSLEKSLKSVNRLLQTLLLQQAPTGQTSAQPQAPTTQPNPVNGK >A09p049880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44010986:44014777:-1 gene:A09p049880.1_BraROA transcript:A09p049880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS5 [Source:Projected from Arabidopsis thaliana (AT1G27520) UniProtKB/Swiss-Prot;Acc:Q9SXC9] MHIAIDFISFSCSLAMFRPKHVFICFLISFAFFIDPSSQHVDDVKKQQMREKVREMFYHAYDNYMTYAFPHDELKPIAKSFTDSLSELGNLKLEHLPTDYNGSAVTLVESLSSLAILGNKTEFEKGVLWLSENLTFDVDVRVNLFECNIRLLGGLISAHLLAIDPTNRLIQGSYNNELLRLAEDLGKRFLPAFETPTRIPYAWINFKKGVMENETTETSTSGCGSLILEMGALSRLTGDPRFESAALRALRQLWRMRSSLDLLGTTLDVVTGEWLEYSSGIGAGVDSFYEYLMKAYILFGKEEYWRMFRSAYLASQKYFRYGPWYHEANMWSGKPTYWQLTSLQAFWPGLQVLVGDVAAANSSHREFFHAWEKFGVIPERYLLDHQMIHPTERYYPLRPELAESTFYLYQATKDPWYLDVGEAMVKSLNRYTKVAGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFVAKRNYIFTTEGHPIQVMSSWHEKLPESYFSGNWTFSKSGEWESGASALSMKVCPSIAPNSRLREQHRESVCHVPDQKIDHKCWSNRECGVDATTCRQRSCSEVGFCGLWNPL >A05p051130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29805515:29808343:1 gene:A05p051130.1_BraROA transcript:A05p051130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone-arginine methyltransferase 1.3 [Source:Projected from Arabidopsis thaliana (AT3G06930) UniProtKB/Swiss-Prot;Acc:Q84W92] MESSPLKKLEQLEFSLDSVTDLSSSPSASPSSPAVATFSCVDGVTELRFLQSDSTHCFTFDLASAQLFKLGTVQFICVSDDNSEEERSFSKGVNIKFGSEKDSKEFCDSFEEWRKDALVHGSSTVSASKSKFDDKIEASSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVMENRSDFAGRVVVDVGAGSGILSMFAAQAGAKHVYAVEASEMAEYARKLIAGNPLFADRITVIKGKVEDIELPEKADILISEPMGTLLVNERMLESYVIARDRFMSPNGKMFPTVGRIHMAPFSDEFLFIEMANKALFWQQQNYYGVDLTPLFGSAHQGYFSQPVVDAFDPRLLVAPPTFHAIDFTQMKEEDFYEIDIPLKFTSSVCTRVHGLACWFDVLFDGSTVQRWLTTAPGAPTTHWYQIRCVLAQPIYVMAGQEITGRLHLVAHSAQSYTIDLTLSAKMWGPGASQGGILQSSTGKFDLKEPYYRMSQPQAYPAAQEPPLPPQPQLNPQDIQIQSDDFEEELLQEPAQNASTTQL >A10g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21353374:21356435:-1 gene:A10g507030.1_BraROA transcript:A10g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSTTKSQLATGKSSVAMYFNDISPGLSESQLRFRLIHFWEAKNIAKGGTLIGIELLLIDEQGTVMQGFISSYRAPTYRRHLKAGATYTLQNFYAATSKEIYRVADQSLTVSFSNGSVLSPLDDIPVSVSFPPDRFRFHTHEDFQANRGLRGDLYDVVGHLRLVNGQSLSDRPVLDESEMISMRHILVHLQTKDGPVMKLYLWDQAAKDFYKKFTSSEDTPTVLLVTTVNPKTFDVILTCLHRQRYPATIDYFSWLSSNPQIGKQVNADEVTRVETMTIGQIFAYIKQEYAKEASFNCIATIGDVKHDSPWYYIACGGCHTKATRGPSSLMCAKCGNTNVSGEAKYRAEISVYDSNDQAVFVLLGDAGSELTGKQAAELVANYFENLVLAIRCLPPQALIDTIGHTHKFRVKVSKLNFTGKVQSITVTRIVSAEDLPPVPNPTEIPLAAEDEVALPTASVFDGSGFNAEGGTEGTSDMDESQKAKRPKRHAC >A07p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22314815:22320174:1 gene:A07p041280.1_BraROA transcript:A07p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRLSSGDASGFKFLFSIASLYALMVAIAYCVLHMKHISPLPFDAPLDRFSEARAVEHIRVLADEIDGRQEGRPGLREAATYIKSQLEMVKERAGSNLRVEVEETQVDGSFSMMFLGHNISLGYRNHSNILMRISSMHSLDTDASVLMNAHFDSPVNSPGAGDCASCVASLLEVARLVVDSGWAPPQPIIFLFNGAEELFMLGSHGFMTQHKLKDTIGAFVNVEASGTGGIDLVCQSGPGSWPSNVYSQAATHPMAQSSAQDVFPVIPGDTDYRMFAEDYGDIPGLDIIFLRGGYYYHTSFDTVDRILPGSMQARGENLISILKAFTSSSKLKVASERKSLDMDANRDMVERAVFFDYLTLFMVYYPRRVAMVLHNIPAALFFLAPFFLYMRDPGIHPLLPVFWAFLKGFIQHTAGILLGVIFPVLFSVVRLFFAYPMSWFAHLYLAFLMFIPCSFFGLLLPRTIYDRVSHCQGVSSKKIMKVETSDEARFWGAFGLYAFVTSAYFFAGLNGGFMTFVICISMLLGWIAFYVSVKSYGHDSIKSPMFYVIALVPCLLYSVYFSGILALLLIEKTGMMGAVPPPYGFYLADVAVAAVTGIVTGLCVGPIIPICGRWLAKASILKFLLHFTVVMLAVSSQLFPYSKDAPKRVILQHTFFSAGGNEITGSSYDLAVIDSNSMEFVFKHAPEVAEKLHAGPSFSLGNAEVSPQEAWLALFPISCVVTTNGRFPAKANKILERYSQLPHLKTHKPPTTFDNGTRRVHLELSLGSLEEIWVSVLNVTGPLSRWSFADGKPPAPELPSGGPPSYILRLSGNSSEKWIFWLEANSKEELRVDLAVLDQRVDEETRHLKSLFPGWSDVIAYSSFLSTYSF >A09p012590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6413113:6415037:1 gene:A09p012590.1_BraROA transcript:A09p012590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-B2-1 [Source:Projected from Arabidopsis thaliana (AT2G17620) UniProtKB/Swiss-Prot;Acc:Q39068] MVTSRENNIVVEPTTTSVLQDETTSRKFGQEVKREKRRVLGVINQNVVGVRGVVNKRGNLTKSRVEEPLYHQEDAKKLKTSVPSENYFGDSIFIDDEEEEEEATLDHTMPMSLEKPYTEADPMEEVEMEDVIVEEEPILDIDLSDAKNSLAAVEYVQDLYSFYSTMERFSCVPVDYMMQQVDLNEKMRAILIDWLIEVHDKFDLMKETLFLTVNLIDRFLSKKAVMRKKLQLVGLVALLLACKYEEVSVPVVEDLVLISDKAYTRNDVLDMEKTMLSTLQFNVSLPTQYPFLKRFLKAAQADKKCEMLASFLIELALVEYEMLRFQPSLLAATAVYTAQCTVGGYRQWTSTCEFHSHYSEDQLMECCRKMVSLHQRAATGKLTGVYRKYNTSKFGYIAKCEAAHFLVSA >A04p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5872086:5875519:1 gene:A04p015090.1_BraROA transcript:A04p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFHAHNFIYEPNITSREPRCSSQPQRHTSRPRRGIGVELESTDSGEINDELKAWIRGELSAQLQTFREEIYGWLHPNESCDGFEKEDNGGSQTEKHMDPSIDGAVCFNNANDAPTVVANDAPTVIANDAPTVVASDAPSVIANDAPIVVANDAPTVAANDAPIVQTPSPEATLLEANQIATAVKAVFHTSVGENSGLPTVEESPVTTRLNLLAHEVENASGVDQEDSELVDNSLTLVLVKPKTYVLSSQQDFVDSAEIETSALDETNPENNETSSPTNSEDYKTPPEHGLTAESRTSEGGNVLNRRYSTKLTKIQDTEEKCIYVRSTKRGKGKLIRKSSTKIGRVYTPYKRLKELFKSCQKPKYTPLAEVDVKKFKNFQKILQENPKQRHESFYLNQWCALMDYYPIACILPKVAKFEEATYKRNFDWQRNWNMELFRDIDRVYVPMLWELDHWVGLVINLHSKQVEILECKITHNEPDAGFVEHMSPLLPAYLPPNVSTPPEDEGCEDSVFTWIRPENIYQNERSGDCGPCAVKFIEMHATGCSYQDMAQINDKMVDKFRQQYAMDTYEEFTGNPEVANQVQKMSYFPIQALSEETQAMVVERVAGNSFGDLYRLRAICKSIKALSERRRVYHFFDVLNFP >A03p002800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1237959:1238558:-1 gene:A03p002800.1_BraROA transcript:A03p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFDGPKFKMTDGTYVQTKSIDVGSTTDISPYLSLIREDSITNSNRAVIFDVNWETDTKPSKWSLSSVKLSTRNLCLFLKLPSPPFHDNLNDLYRFFASKFVTFVGVQIEEDLNLLRENHGIVIRNVIEVGKLAAKARGTLLLEYLGTRELAHRVLWSDLSRLDSIESKWEEKGAEERLEAAAIEGWLIFNVWDQLYE >A05p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2487162:2489920:1 gene:A05p006280.1_BraROA transcript:A05p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMERVGELSKGPVSRRQYLDFENRDNGMHLESLRERAARYKGGGRPVNPTTTLGRELSQVLNVHRDEMMMIGGDMNDFQEFEPVVRPTTMDSKYPLLEIEEVGGGGGGDEAGSSSFRGVSHPPEPDDMDLITTVYVPSSTKGPFLEDLSLCVPEPATSMARASQNTDNSLPPPQDSDKECVWDASPHNSSVESINFARAMSMANSTSADHQRNDVVLSMDKNYIDNRSINMVFDSFESRASDSSCLSEESSWSNFTGSLNKPHKGNDPRWNAILAVRTRDGILGMSHFKLLKRLGCGDIGSVYLAELSGTRCHFAVKVMDKASLENRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIKTFDSDPSKRGAFCVQPACIEPTSSCIIQPSCFLPRRIFPTKKNKTRKTQTDFFKSHHSGGSLPELVAEPNTRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFVHELLYGKTPFKGSGNRATLFNVVGEQLKFPESPATSYAGRDLVQALLVKDPKSRLGTKRGATEIKQHPFFEGVNWALIRCSTPPEVPRQMEVEPPPKYGPIDPVGFGSNSKRMMGPPPVSASAAGGGGGGDAKAGGKFLDFEFF >A06p028750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000181.1:6481:9055:1 gene:A06p028750.1_BraROA transcript:A06p028750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRYWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKICSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKAMEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHGSFKGTNQEPALALT >A05g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30918943:30919906:1 gene:A05g510100.1_BraROA transcript:A05g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKTVVQVFELTSEISTVQFTKLLLGKQRESKTLLAVKARIFNESWHTTYVLATNFDEKECVKDIGSSSASTNYGGVQKLEYVTDAEFNAYVLRHRSGTQHVWMYPLKQV >A06p013840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6271408:6273261:-1 gene:A06p013840.1_BraROA transcript:A06p013840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADSLLPCESCRRRGPAISMWLYGNVKFDTVSHNGDGGALCWWVSSYRSEFLFNNWDFAQIYVIMFCGKRREEGSSNKGLQLLLRRIGVTCVTECTVCSSCV >A10p006750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9873520:9874489:1 gene:A10p006750.1_BraROA transcript:A10p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL4 [Source:Projected from Arabidopsis thaliana (AT1G07320) UniProtKB/TrEMBL;Acc:A0A384KN11] MASSATAPNSLSFFSSSLFLSSSHQIPKTYISVAKPSSGRVSKPLSVAAQLATLPIFSFEGERVGETYLDLKTAPEETARAVVHRAIVNDLQNKRRGTASTLTRGEVRGGGIKPYAQKKTGNARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTAISSAASSEGGAIVVEEFGDRFEKPKTKDFLAAMKRWGLDPKEKAMFLMIDVEENVAKSGRNIGTLRMLTPRTLNLFDILNSDKLVLTPAAVEFLNARYGVDSEIDEEDEDDAEGAEEPAE >A08p010740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8737432:8737898:-1 gene:A08p010740.1_BraROA transcript:A08p010740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKPIGELNHISYGSNELENTGNGHLIIIMIFPFWQNMPQTYLWRPEELAKVSNYVFKDYVITKYKDIMHLLLSKEPHTNFREALKHKRKNYKQEEHKQFRPPDFEQDKHQDITGFIINKEAPLDPEYKTKPSKNRF >A07p050540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26943012:26945903:1 gene:A07p050540.1_BraROA transcript:A07p050540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNCFAGLIGKKKNKRNPKPTLPPRTQRILQIRREEPVKPLEKDEPNTNVIHHKFIDHKDNSPTEQDSYDGEDERDENDSTHRESPPKFQVQEQAVASPTSKELGKEVTVCSENEHDNEESGHVSDPGLGRATSWVASPKLKRSCSTLSKFNGADPRAFHDQRDSFETKSVRSHRSADGVMLKKHSSMQILPSGSRRLWWKLFLWSHRNLHKHLVSLKSSGNNHQSGYTSDFAEQSQTSHQDSANNHQCHKNQWVAFSAESSSMKRVDEWVRGLDVDTVIPVNEEEDKPSFMASSKMVRSPSGNVNDSEAIVHANSLIQSLSKSSSMAHISSIGLKATILQITPASLPKGLHALNLSKNKISVIEGLRELTRLRVLDLSYNRISRIGQGLSNCTLIKELYLAGNKISNVEGLHRLLKLIVLDLSFNKIATTKAIGQLVANYNSLVALNILGNPIQSNVGEDVLRKTVSSLLPKLVYLNKQLIKPQRAREVLKDSVAKAAFGGGDSLHHRRKRTSAKRVVGSPSPSSHHQKGKGRGSKGRSQHQLKKTSYAETPSH >A02p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7383160:7388735:1 gene:A02p016450.1_BraROA transcript:A02p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAKSSLEEMLESLRQKDECDRPRDVPPALPSRPNSRARLPSARRSLPAKFNVSSVMEDQNQNGKEETEAEKEEEGKRKEKDLGVKRKSFGSKKMRTGYGSESPYVLEEKEEEGEGVKVSAATKVSSVENKEEQKPEWNNNLEYFINKKLRVWCRVANGEWQLGKIQSTSADTSLVMLSTEDVVKVSTEELFPANPDILEGVEDLIQLSYLNEPSVLYNLRVRYSQDVIYSKAGPVLIAVNPFKSVQIYGNDIISAYQKKAVDAPHVYAVADAAYDEMMREEKNQSIIISGESGAGKTETAKYAMQYLAALGGGSCGVEYEILKTTSILEAFGNAKTSRNANSSRFGKLIEIHFSAMGKICGAKLETCEYSLSIHTIPLVTNGYVSFDQSRVAQLFNGERSYHIFYELCAGASPILKERLKLKTASEYTYLNQSDCLTIDGVDDAQKFRKLLEAFDIVQIPKEHQERVFALLAAVLWLGNVSFRVTDNENHVEVVADEAVTSAAMLMGCNLEELMVVLSTRKLQAGADCIAKKLTLRQATDMRDGIAKFIYASLFDWLVEQINIALEDGKSRTGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEEYEEDGIDWTKVEFEDNQECLDLIEKKPIGLLSLLDEESNFPKATDLTFANKLKQHLKTNSCFKGERGRAFRVNHYAGEVLYDTNGFLEKNRDPLPADLIHLLASCDCQLLKLFSTKMRNKSQKPLLLSDSTNQTVGTKFKGQLFKLMNTLENTTPHFIRCIKPNSKQLPMVYEEDLVLQQLRCCGVLEVVRISRSGYPTRLTHQEFAGRYGFLLADKKVSQDPLSVSIAVLKQYDVHPELYQVGYTKLYLRTGQIGIFEDRRKKILQGIVGLQKRLRGHLSREYFQSIRNGALVLQSYVRGEIGRRMFCIEAKLHADSVSEASIGELTAVIHLQSAVRGWLARKRFNSMQRQKELLNVTTLSKKRAGLRISEDKEEFQVKPSAMSDLQKRVLESEAALVQKEEENTELREQLRQFEERWSEYEIKMKSMEETWQKQMSSLQMSLAAARKSLATENVTGQAGGGRQDTSTSPFGYESEDTTSTTATPGLRTPTTNFTNGNTPELRSRELNGSLNAVNHLAREFDQRRLNFDEDARAIVEVKVEPEATGQQQQHPEDEFRRLKLRFETWKKDYKARLRETKARLHSDKRRHRKWWGK >A06p052100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27552198:27554292:1 gene:A06p052100.1_BraROA transcript:A06p052100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEVSIKELASNLTTYKEQLQQGFGALESNYSCLRIGEEQIELRQIRVRQLLSQDPRNSEYADMEKELKEVIALTEELLATAKQNEIPLSDAGATPESPDLLEGAWQKMESRNDPIHEGKFPIGTKVQAVYSYDGEWYDATIEAHTINGYYVSYNEWGNKEEVDPDNVRAIETNALLEAERIAEAAKNALKRKVEQAASSDYQSKTLPAKLKIDPNDPEDVKIAKRKKIHAFKSKARQEQLEVTQNKKQNAWQQFQTTKAKTKKVGFFTGRKKESIFKSPEDPFGKVGVIGSGKGLTDFQKREKHLHLKSGNDAEGSNE >A05p008830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3647815:3650217:-1 gene:A05p008830.1_BraROA transcript:A05p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMASLSRVLPTDARVRIQCTSRLPSLTTRRSQAQSLDSIKLFPVGKTGVSLRVQSSKPLSSVFALESASSRSSKVVCNAAADLSGESSPKELSQYEKTIELLTTLFPLWVILGTLVGIFKPSLVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYMIKPVLGFLIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLALSTFQVVLVPTIVGVLANEFFPKFTSKIISVTPLIGVILTTLLCASPIGQVSEVLKTQGAQLILPVALLHAAAFAIGYWISKFSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSGLAVFWRNQPIPEDDKDDFKE >A09p074190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56347385:56349405:-1 gene:A09p074190.1_BraROA transcript:A09p074190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDDHHKTSHGGAAAEKWEEVSTAIRTAETMLRLAPVGLCLAALVIMLKDSQNNEFGSVSYSNLSAFWYLVHANGICAGYSLLSAAIAAMPGSSSTMPRVWTFFCLDQILTYVVLAAGAVSTEVLYLAYKGDNAITWSDACSSFGSFCHRAPASVIITFVVVCLYVLLSLISSYKLFTRFDPPAIVDSNKNVEVAVFGN >A03p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1062416:1064019:-1 gene:A03p002280.1_BraROA transcript:A03p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKLIAFLVIVVTLLLHGNNYTVVEAQLSQGFYSSSCPLLLPIVRTAVTSAVAFDPRMGASLLRLFYLDCFVNGCDASILLDDTSSFTGEQNAASNHNSARGFNVIDNIKSAVERVCPGVVSCADILAIAARYSVVTLGGPGWRLNLGRRDARTASQAAANSSIPEPTSSLSQLITSFANLGFTAREMVALSGAHTIGQAQCRNFRTRIYQETNIDPTFAATRQQNCPITSGSGDGNLAPLDLLSPFVFDNSYFRNLMSQRGLLHSDQVLFNGGSTDSIVREYSQNARVFRNDFAAAMFKMSNISPLTGSDGEIRMSCGRTN >A03p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10730572:10732284:1 gene:A03p025500.1_BraROA transcript:A03p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIENVWKAEQKQEAEQKKLEELRLQIVQEKERSEFRSLQEQAGLVPRQERLEFLYDSGLAVGKGSASGSGVSFQKEEQPLANAADAGNGASEKPDPSAPGALFEDKTPSANDSWRKLHSDPLLLIRQREQEALARIKNNPVKMALIRKSVEEKGEGKDGDTKEHKKRHKHKSGKQHRNETSSRHHSDSEEDSGEENGRKSHHHRSTVDHNEHYERRGSDLDKESKSYSKHYERQRLEDDSKRRDRRDKHYERRRSDLEGESKRREGHDKHYERRRSDVDDESKRSENRSSEKYRSQEDRKRKTEDLDNDKHPSKENGFQNRRRNGGGSKLSEEERAARFKQMQMDAEVHEEQRWRRLKKADETDAVEASKNKISTGKSFLDEANKSVYGVEEGGSSTIEESVRRRSYYSQRGNEAEGNAFRR >A01p030650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13850757:13852079:-1 gene:A01p030650.1_BraROA transcript:A01p030650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFICEVCNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEQVKKKVYICPEKACVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVMSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESSRFASVPPAATAAPYLNNAPDAEVNLGNVKLNHQQPGININRHNTNGFMGQAFANQVSLPANVFASSSLPSPHSASDSLQNLWHLQGQSSHQWLLNENNNRNILQRGISNNQEDQDTKKGIISSGYLFSSDSSTNYDQSGGQVIPSMSATALLQKAAQMGSKRSESSSNNSTAFGLMTSSIFNNKHTDNDIKTKEVDERGFTRDFLGVGSQNRPWPLLMVNHNLPNMTQPATTDVKPTAGTATAGTPTAE >A09p060720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50282195:50284052:1 gene:A09p060720.1_BraROA transcript:A09p060720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONNEAU 1b [Source:Projected from Arabidopsis thaliana (AT3G55005) UniProtKB/Swiss-Prot;Acc:Q9FQ24] MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIANSKGLPPALLGSCNDRARRLHASPSGRLLSALVCEYLDWAQLNHTLKVYQPECNLPKDSWRSELHDFSSNSGHELNRNRDSKPLLLDVLDGFFKFEVVVVVAQGESQKQSPHQALTQEILLVHHLPLRAYLLNEGQYLHRVLPLGTEKRNTVGDMRVKMGRKRNVRDGTNEEDGKD >A03g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22841143:22842467:-1 gene:A03g506400.1_BraROA transcript:A03g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLIPKSLNLVEREGEENLRSEHERRLSGARAVRRRLMVMTTHELLGGCKVGSLMRANLSVVVVVVTGTAEGFAKLEASKSRSSLLAVSASVC >A01p058660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33459919:33466567:-1 gene:A01p058660.1_BraROA transcript:A01p058660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVTLLDATVESFYATGSKDERAAADNILRELKANPDTWLQVVHILQSTRSTHTKYFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSGDEGSFRAERLYVNKLNVILVQIVKHEWPAKWRSFIPDLVKAAKTSETLCENCMAILKLLSEEVFDFSKGEMTQQKIKEMKESLNRQVRLFWNEFLLIHELCLYVLSASKRPELIRSTLSALRAYLSWIPLGYIFESPLLETLLKFFPEPAYRNLTLQCLSEVAALNCGDFYNGQYVKMYGIFMGQLQAMLPLNINIPEAYSNGSDEEQASLLRALGFYPELGTVLHFIFQVILLAVLYLQSHIKVLESAPEIISLLLVGLEYLISISYVDDTEVFKVCLDYWNSLVSELFTLHHHVGHPGLTPSLFGLQMACLPRTAGSIKPEVTERQKLYADPLSKLRGLMISRMAKPEEVIIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLGHDDTERQMLSKLSKQLSGEEWAWNNLNTLCWAIGSISGSMDVDQENRFLVMVIRDLLSLCEIIKGKDNKAVIASNIMYVVGQYSRFLRAHWKFLKTVVHKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVILQVGEKEPFVSELLSGLVTIVGDLEPHQIHTFYESVGSMIQAETDPQKRSEYIQRLMDLPNQKWTEIIGQARQNADILKDPDMIRTVLNILQTNTRVATSLGTHFLSQISLIFLDMLNVYRMYSELVSSNIAEGGPYASRTSVVKLLRSVKREILRLIETFLEKAEYQPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKVNMQDEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFPALVQLSSEQLKLVMDSVIWAFRHTERNIAETGLNLLLELLKKFQKSDFSNQFYRTYFMQIEQEIFAVLTDTFHKPGFKFHVLVLQHLFSLVESKSLTEPLWDASTVLQQYPNNAAFVLEYTIKLLSSSFPNMTTSEVTQFVNGLYESRSDIARFKNNIRDFLVQSKEFSAQDNKDLYAEEAAAQIEREIQKMLLIPGLIAPNEIQDDMADS >A01p007190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3584186:3600095:1 gene:A01p007190.1_BraROA transcript:A01p007190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAVLSLSAVFTFFFRPKASLPPLIYLPSSSQTHIFGYIIYTIVLSIYNLNPLYALPISGDGDADALLKFKSSLVNATVLEAEGWDSGVPPCTGDRGSHSTWKGVMCDNGVVYALRLENMSLTGMLDLQPLVSMPSLESVSFMYNSFEGPMPRGVDGIVTLVYLYLAHNKFSGEIDGDLFDGMNDLVKVHLEGNMFSGEVPESLGRLPKLTELNLEDNMFTGTRPPFKRKSIVSVNFTNNQLEGRIPVALSLMNTTFFQGNKGLCGPPLLPCKNTPPLLPVFLLAITILAVVVLVVFFCSIFIFGRRKNKASEVHEHGYSSSLGTLSIPSEQQFSEKSSMDSKVYRTLANEVSQRDSVATSSILELPREEADKRVDQKKLHFVRNDREKFTLQEMLCASAEVLGSGGFGSSYKAALPSGRAVVIKRFRFMSNTSDGSRIGREELYDYMKRIGRLSHPNLLPLIAFHYRKDEKLFVTDYIPLGSLANLLHANRTPGQVVLDWPIRLKIAKGVTRALDYLYKIFPDLNLPHGHLKSSNVLLDHDFEPLLTDYALVPVVNKDQSHFMVAYKSPEFTLENRTSRKSDVWSLGILILELLTGKFPANYLRHGKGSDDELAAWVESVARTEWTPDVFDKEMQAGREQEGQMLKLLKIGLRCCDWDVERRMELHEAVDRIEEVDHGDVGESFQESFRSSYVTADYEYRFSRTTTGEFSLVKTYHFYWHSTMPSKTYLVASTLMEIIDFHMILHDNKPAVVYSLLVLLLAVSFFVPISSDGDADALLKFKSSLVNATVLTGWGDSGDPPCTGKKGSNSKWKGVMCSAGVVYAIRLENMSLAGTLDVQALGSLRGLKSVSFMRNGFEGPIPRGLDGLGSLVHLYLAHNRFSGEIDGGLFDGMKDLVKVHLEGNRFSGEIPKSLGKLPKLTELNLEDNLFTGKIPPFNQKNLVTVNVANNRLEGRIPLTLGLMNITFFLGNKGLCGPPLLPCRHPRPPLVAVFLLALTILAVIVLITVFCSVCILSRRQRKGPEHDHGHSPSLGLGTVYGPSDQQQQNSEKSSQDSKVYRKLASEAVQRESTATSSALSQRALPREEDQRKLHFVRNDQEKFTLQDMLRASAEVLGSGGFGSSYKAALTGSRAVVVKRFRFMNNIRREEFYDHMKKIGRLSHPNLLPLIAFYYRKDEKLLVTNYIPNGSLANLLHANRTPGQVVLDWPIRLKIARGVTRGLAYLYRTFPDLNLPHGHLKSSNVLLDHDFEPLLTDYALVPVVNKDQSHQFMVAYKSPEFTQQDRTSRKSDVWSLGILILEILTGKFPASYLRQGKGADDELAAWVESVARTEWNADVFDKEMRAGKEQEGQMLKLLKIGLRCCDWDVERRMELHEAVDRIEEVDYREAGGSQESFRSSYVTASDGENRFSRAMTGEFSLKKHKEHSGVAVEMAVTADYQVQFPENDDIYSILAAEGIEFLLSHSGEVPLEYIHGKTICLFFSANWCRPCKDFTPELVKLYESLQKRGEELEIIFVSFDHDMTLFYEHFWSMPWLAVPFSLSLRNKLTDKYRVARIPSLVPLYPDEISVADDVIGLIEDYGPEAFPFTKKRKEELKAIDESKRVGGQLEKLLTHETRSYVVSRNGSKVLVSDLVGKTIGLYFGAHWCPPFRSFTSQLIDVYNELTISTKGSFEVILVSTDRDAREFNINMTNMPWLAIPYEDRTRQDLCRIFNIKLIPALVIIGPEEKTVCTNAREMVSLYGSRSYPFTESRVAELEACLKKEGDSFPRKVKDKKHEHELKLDMAKGYVCDFCKKQGKFWAFSCDACDYDLHPTCVEEQEEQ >A10p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:307478:311363:1 gene:A10p000560.1_BraROA transcript:A10p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANIPHIAIIPSPGLGHLIPLLEFAKRLVDHHRFTVTFIFPGESSPSSAQISILNSLPSSIASVFLPPVDLSDLPSTAGIETRISLTVTRSNPALRELFGSLSAEKRLPAVLVVDLFGTDAFDVAAEFHVSPYIFNPTNANVLSFLLHLPKLDETLSCDFKDLTEPIEIPGCVPVTGKDLSDPCQDQSDDAYKWLLHNAKRFKEAEGILLNSFVDLEPNAIKALQEPGPDKPPVYPIGPLVNTGSSCAKDEYECLNWLDDQPLGSVLYVSFGSGGTLTCEQLNELAFGLAESGKRFIWVIRSPSGIANSSFFNSHSQTDPSTFLPPGFLDRTNGKGLVVPSWAPQVQILAHPSTGGFLTHCGWNSTLESIVNGVPLIAWPLYAEQKTNALLLVEDVRVALRARNSDNMIVRKQEVVRVVNRLMEGEEGKAIRNKMKELKEGAVRVLREDGLSTKALNEVSLKRAFRERSLAFRKVTFYPCLPARLFRFWLDSSDFSLAYSNYSCVELYPAGPSPFLANTPRVLPLTRGRRDRRRPFFNIRRRPEEALDLSDGSLIFREMVNRKGRDDLDIEPHKATFQFSASNRSELREGRPPRYPKEAPGRRTHKAQPKEWQERESSRRSYHSRDRSRNEARHFHASRDPYKQRPNTNSQGRSFYREIPRKQPLTDDNGSSSTKEQDPRCEKGVPLQRQPPSLPEEAIQRARGEVRDVMLQYTTSADPTEREARKERMRQAEERGELEETAILVAQAALNASTQRLNGKQIITTPERIPVSQRLGSTPVQERSPASQRLRNTSPGGAVLEQRSTEGIEFPPCSERIPASSRLGPTPDSPRGTDPNDTVSASKKKLGRPRGSKNPPPIPSTSIASGARKRRVTHSKPSPVRKTPSV >A09p008640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4436700:4441046:-1 gene:A09p008640.1_BraROA transcript:A09p008640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRKKGHRRLLMLRPPSRLISTASSSSSLSLSSPSSFSKDGRRISVGDCALFKPPQDCPPFIGLIRLLVPEREGGGSFKVRVNWLYRPGELKLGKGVLLEAQPNEIFYSFHEDEIPAASLLHPCKVTFLPRGVELPSGISSFVCWRVYDVMNESIWWLTDQDYIDERQQEVDKLLCKSLQQCGCSPMLTTSQVKTGTEGMQNSSSSSSQGKGRKRERGDQGSESVKRERPSRGDDSGSGFVRKENSLKSEIAKITERGRLVDSEGVEKLVQLMLPEKNEKKTDLIGRSILASAVAATDKFDCLSRFVQLRGLSVFDEWLQEVHRRKIGDGSSPKENDRSVDEFLLILLRALDKLPVNLNALQTCNIGKSVNRLRSHKNSEIGKKARSLVDTWKKRVEAEMDAKPGRPRQSEVSHGSRHSVVSFDATKTSVSHLHPFKSVSGISDNSVKSATTSPISTRSAPSPGTGVAVANVGQQRNTVAVHAEGGLSRSISSQRTVTFEKAEGCSNKLLVKLPKCGERGGEHEKAVNESSKIEDIVKPTSPSSGDDVKTEKGHGESHSLMNALIESCVRDSEANACVAGADDVGMNLLATVAADEMSKSPVASPSVSRVSDSLDGLPSEQADNVNPTIVEHVSSSGEQLAVVENDNDSKPGDLDENSDSEIEELQRLVDQCLESNENSDDIAPTAGHTSGIGGNISDDGDSGVVSDLKTDEISETDRVADTVMRTGNSALRICNTIGISQNADSLTAEDSHLEAAGGAQVEDKPKVILSSELVNKMGEVVSVLSEFAKDKSTENVDRSMPEKLSDDNDCGGTANDRKAACTSVETSAPAECKAIVLCPKVDSLAVANSHSDVVDDSKKEQKPPVVLSSELVKETGEDVKVSSGFSKGVAAEKIDIGINHVKQTDKKNKPVTAHLDSSVTKIEVEHVEASLKSAEVGKQCATTTCADGHETEECTSVAKDVPSVSALAGSELEAGVKFDLNEAFNGDDTRKENSSDFSGSLSLTSTPLQTSRPPASITVAAAAKGAFVPRDDLLRNKPAVGWRGSAATSAFRPAEPRKVQEVASCDASTTAGKQTKTLLDFDLNVPDERVLEELPSQRFANPTNPSGVLDLDLNRLDDPADMNNHTVSSGHRVNSTFQQTNLSSGGSRDFDLNDGPAVDDVNVVESSLGFSQNSRSAQPVISGIRPGFSSWFPAVNNYSAMSIPQVLPERGNGPQRMVGPTSEVSSYTPDMYRGPVLLSSPAVSFPPSAFQYPGFPFGSSFPLSSANFSGASTPYMDSSSSGRLCFPPVNSQILGPGVAIPSNYPRPYVVNLPNGSSNGGVSDSSNNAKWFRSGLDLNSGPGGHETDEAALVQRQLSSSGSLPLRDEARMYQMSGGTLKRKEPDGGWDGGGYK >A09p043060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35053910:35054456:-1 gene:A09p043060.1_BraROA transcript:A09p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQFDGTSRKGSKGGAFVSECGVSGEDAGGTRSLLAALVEAAFLISLEKNIDVAEMASYAPLFLNTNDRRWKTDAVGFNSSHQYGTPSYRVQ >A03p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7856418:7856978:1 gene:A03p019280.1_BraROA transcript:A03p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTRGEAKEANGCENHKAIVEEEEEERESQCLLPPPRKGGMSRSTDKVKRTVQWTDNKGDNLAEVLVYEPSEVSDSDDDDSDACICAVM >A10p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19552855:19554470:1 gene:A10p033380.1_BraROA transcript:A10p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMKAIIVGGSIAGVSCAHSLTLAGWDVLVLEKSSEPPARSPTGAGLGLDPQARTIIKSWLSHPHLLDETTLPLSIDQNQATDSEKKVRRVLTRDESFDFRAAYWSDIHGLLFNALPETMFLWGHKFLSFVMSQDGSTVKVETLVMETQETVEIQGDLLVAADGYSGYCAWRGVFDFSGDENSETNNSVTLKVSQEMINKMHQEADTIWIPELARLMKETKEPFLNVIYDCDPLERIFWGNVVLVGDAAHPTTPHGLRSTNMSVLDAEVLGKCLGECGPENLSLGLEEYQRIRLPVVSKQVLYARRLGRIKQGLDRGGIGLEQKHMPFFSGAPLV >SC287g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000134.1:30:4478:1 gene:SC287g500010.1_BraROA transcript:SC287g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HVGPWTQHAGPSRGLLGDFGPRGLSVQYTGRPWVSASTLRTSVAVRQHTQDVRGYPCVSMCVCVYPSAHTGRPWLSISTHISTLALPWTVRVILAHVGYLFSRHMTSVEVRHYTQDVRVCPSAHRGRTWLSVCVRVCPSAHRGRPWLSVSTHISTVLGLSTLTLPVTGWKSGMSIGVRQHTQDVRDCLWLSVSTHRTAPLTVCGWSRAAHTGRQWAVPCGSLVVLGPVCVPCVVCPANTMTSVTVPISYTYQHVWSLGPQHAGPSRGCLGECCGSRGPPGPGAVTHRTSPWFVPPATPRTVWWLAVAVPAAHTGRPWLILCGSVCVGVWYRQHTQDVPPWLAISTISSTLVPWNLQPLALLWTVSVGTKMIEQQESTVEVPGLTTQDSVVLSPSAHRGRRGWSVVCFVVWPVKSTQKLRPWLSSSYTYKQTVPWNLATLAPFPWEPVFSGDVGPKWAVLFSDTHRTSVRVSTAAHTGMSGGCLCGFLSAAHMKTSNAVRRVWFRVNGRQHTQDVNVGWYLFSTTYLQSGPPWTQQQLPLPGGLGFGLNFFPTWACSPPCSVTHRKRPLVVQSAHNNRGRPPVALSRGVCPCVSVSTLKTSVAVYQYTYQHAGPWTQHAALPVDCSGDFGPRGLSVHTIRTSVAIRVCPSSHTGDPWLSISTHISTLVHGLARWPFPWTVWYTKDVRWCPPAHTGRPWLSVAVRQHTHDVSGCACVSVCVCVCPSAHTGRRWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVQYTGRPWVSASTLRTSLAVRQHTQDVRGYPCVSMCVCVYPSAHTGRPWLSISTHISTLALPWTVRVILAHVGYLFSRHMTSVEVRHYTQDVRVCPSAHRGRTWLSVCVRVCPSAHRGRPWLSVRTHISTVLGLSTLTLPVTGWKSGWARWMMEAWMGRPKR >A03p023560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9951249:9952163:1 gene:A03p023560.1_BraROA transcript:A03p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 16 [Source:Projected from Arabidopsis thaliana (AT2G40670) UniProtKB/TrEMBL;Acc:F4II22] MNSGSCSSLMEVGYDDHHHHHGHEELHVLAVDDNLIDRKLVEKLLKISSCKVTTAENAIRALEYLGLGDQDQHIDALTNNDLKVNLIITDYCMPGMTGFELLKKVKESSNLKEVPVVIMSSENIPTRINKCLASGAQMFMQKPLKLSDVEKLKCHLMNCRS >A05p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24796515:24798157:-1 gene:A05p040540.1_BraROA transcript:A05p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSQGSFVTTINEDYESICWGCGLNLVLPSYAPVFKCGWCGAITNHNPVRPETRSFGLRRIRDRCFVVILAVFMLFVICGGIWAAYPVVFSISLACRILHSVTAVSLAISTLTTFMIGNCVGAGNHKNFVAFLISAIISTSYASVMCVYSLIHILPPLENGAAYASSHASNSVSILRGVKSIVLAYLSNAVFISVRGLVLLYLFVASVSVAIGLSVLLWQQLSYIYEGKTYLSHLSSQGSEEDGEKSFGNLLTFFGCPLLIERHLPTIRNLRKRHKT >A06p053020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28012144:28014257:1 gene:A06p053020.1_BraROA transcript:A06p053020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTQTYGFPIYAADWIAEDTVRSKIDKENSEDCIEPSASRSCIALAGGGGEGRSGIPNVIVICRVDLETNSLLEQPVGRVVVSGLPYRMAVHPREGGLICALPESCNYLLIRRRFDWENIMRPREGEELEEVINELEDVGQQLALEFNQEGSLLATGGEDGTLRIFEWPSMITILNESKAHGEVKNLTFSESGKFLVSLGGPLCRVWDVNASTAVASLSKEKDEMFASCRFSVDNSGDEVLYIAAKTERGGSIITWDTTSWKRKQSKLLKNYSITAFNVSPDGKFLAFGTLEGDVLIISSTKMKTHQFVKKAHLGLVTALTFSPDSRWNKLVGGVFVVRVASSGFVLFLDGKWDHSLIGKEYSL >A05p030170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15147176:15148308:1 gene:A05p030170.1_BraROA transcript:A05p030170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVRSLRINQVQAKLGHYVATERPSCSLGRYIAIERSSRSVATLRPSSSQARSLRSDRARPAQSLRSDRALVPLSRYIATELEPKLGRYVATELKPKLGRYVATELFRNIDTTPIHAFSSKPLMLSPDDRSELVKVIAPSRKTAQRDLKHDSTPILRFLNQKPVNRSTVYAWHARKDKCQVSADKYEIFCDSIRFSRLRVARTRNLADSSRAQAYTYRYPGPLGNYGFPSFLI >A03p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7888158:7889391:1 gene:A03p019340.1_BraROA transcript:A03p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPNMETITKSLEKSMQNISLKDRRRRVGRSAATMEERINEHIPPISDRTLELNSHLSLPCHWEQCLDLKTGEIYYINWKNGMRVKEDPRKVMMNEDNDSGESYGTLCSEEDSSYYDSEESSSESSPSSRENHKEEEDQEEDVLVVAGCNACFMYFMVPKIVEDCPKCAAQLLHFD >A01p042840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25201246:25202257:-1 gene:A01p042840.1_BraROA transcript:A01p042840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVQHQPEANTCMLRCPCSSCNNKKLQNKDDRIVALETQNATILTELAGKKDKRGDNGKK >A01p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13405992:13408029:-1 gene:A01p031120.1_BraROA transcript:A01p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSSSIAKHLAYIDSVVIDFDPNKVIRHVAAVGILYGCRVKTTSSHLPRDKFWDLVSGCLILCLEMLETSVLGLRQDLSLITYVGGAMTTSTYVSLIKSSISLRTNFKSPESADQKLRFFYIALLPFYGCSRAISTRWLSLFRTLRILPFLTLREGYVFEKMLVCVGTEIRTRSRISAGPTTSDQIDAENMKDNKTNLEKIVDLISNMRKSVTIITRDYKNLAAKAVSELPKNSESKRVPPFCLSPRTSYILTPRSVCAFSFLPLSHHSSKMEIFRFSDLCDYLRNLTFIRRNLKFILSYEPSINHHKAYGFSVKKS >A01g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24358018:24359965:1 gene:A01g509010.1_BraROA transcript:A01g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPIRDSQLETSSADPLCLRRDSTRAPTITEVLEVEKKNNEQTQDEEEEQVEAGAEFGDGDKERETSKINEGQTLEEEEEEQMEADTEVEEPVQIERQNEEEEIRKHVKNIGHIVQQGCNREPNR >SC150g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:113758:114900:1 gene:SC150g500040.1_BraROA transcript:SC150g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYPVFQPCMSLPDGECLFGSRGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSLCSQEVFLVHHPSELKEEDFAHCVEQWRVEREVVMRHWCEVSLKLTCKLGLILNPSLRRGV >A01p059050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33870959:33871251:-1 gene:A01p059050.1_BraROA transcript:A01p059050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFLLITERVNELMMESKGGKKKSSSSSSSLFYEAPLGYSIEDVRPNGGIKKFKSSVYSNCAKRPS >A03p018800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7683568:7685073:1 gene:A03p018800.1_BraROA transcript:A03p018800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPVPRLWVVIPVTFVFCLFVLFQLQISNLFGKNLQITHQVNNFFISIASSSHQQTPNPTRFANESDGNRAKQPEEEETCAGRYIYMHNIPSIFNDDIIQDCKTLIKWFTMCPFMVNSGLGSQVSLSDNKTARVLTSKTGSWYATNQFLLAVIFRERMKHYECLTNDSSLASAFYVPYYAGFDVSRHLWGYNTTVRDELGIKLAKWLRERPEWRKMNGRDHFFVTGRIGWDFRRGLDDDSAWGSKLMLLPEFSNMTMLSIETTAWTNEFAVPYPTYFHPKSLSEVRRWQRKVKSVKRRYLYSFVGAPRPNMDGSIRGEIIKQCLASHGRCKFLDCNKGQDCDNPVKVMEVFQRSVFCLQPRGDSYTRRSIFDSILAGCIPVFFHPGSGYNQYMWYFPKDYKKYSVYIPEKGMKDGTVSLRSLLGRIDWGSIVRMRNEVVKIIPKIIYTKPGLVGPEKIEDAFEIAVDRVIERVAMVKRMMEEGKYLQSEYSQTRDLKKLE >A03p057820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25056700:25058915:-1 gene:A03p057820.1_BraROA transcript:A03p057820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEMLLIDEADTLVQGCVSAVHQHKFRERLAEGSVYTLSGFDVTRSNPKFKLSDGPVSIRFNEGTDFEKLAATAITIPTEHFRFRTHEQILELANTSRQLPYVIGEVRAIRSTITDRLPRAQRVMLTLRVERQVILEWNIRFKDFLLILRPQLEKIVLSSYRLPGGGADETWSSSKVVHAQKIEPLTVAELNEFVLSAEPQESSFTCPSCNETNVVAELKYRVVFSVSGPTGTSSFLGFDKEVAKLTNVLASEAAQIVGIGISAHVYTELPRTLAGIIEIAPTPSFAEAEEVPQPIA >A09p012070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6194287:6196776:-1 gene:A09p012070.1_BraROA transcript:A09p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRLEGPNLFLLLILGLVLNSLLLFCHCGRTSNYVRRLEATVDMPLDSDVFRVPPGYNAPQQVHITQGDVEGKAVIVSWVTQEAPGSDTVLYWKENSSKKLKAYGKSKTYKFYNYTSGHIHHCTIRNLEYDTKYYYVVGVGQTEREFYFFTPPEVGPDVPYTFGLIGDLGQSFDSNITLTHYENNPTKGQAVLFVGDFSYADQYPNHDNNRWDTWGRFAERSTAYQPWIWTVGNHELDFAPELGETKPFKPFLNRYRTPYRSSGSTEPFWYSIKRGPAYIIVLASYSAYGKYTPQFKWLEKEFPKVNRTETPWLIVLMHSPWYNSYDYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERSERVSNIAYNVVNGICTPVKDKSAPVYITIGDGGNLEGLATRMTEPQPKYSAFREASFGHAVLSIKNRTHAYYGWHRNQDGYAVKGDTMWFYNRFWHPIDDSPSE >A01p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2134516:2139380:-1 gene:A01p004960.1_BraROA transcript:A01p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEATNETSPAASKAAPLGSSVIPIVNKLQDIFAQLGSQSSIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTKSKASGGSDDEWGEFLHLPNNRIYDFAEIRREIEAETNRLVGENKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPTDIEARHRTIGVITKLDIMDRGTDARNLLLGKVVPLRLGYVGVVNRCQEDILLNRSVKEALSAEEKFFRSRPAYHGLTDRLGIPQLAKKLNQILVQHIKVLLPDLKSRISNALVATAKEHQSYGEITESTAGQGALLLNFLSKYCEAYSSLLEGKSEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPDVPFEVLVRRQISRLLDPSLQCARFIFDELVKISHKCMMNELQRFPVLRKRMDEVIGDFLREGLEPSEAMIGDIIDMEMDYINTSHPNFIGGTKAVEAAMHNVKSSRVPHPVARPKDPVEPERTSSSSASQVKSRSFLGRQANGIVPDQGVVSADAEKAAPPANANDSRWGIPSIFRGGENQNRAVTKENFLNKPFNEAVEDMSQNLSMIYLKEPPAVLRPSETHSEQEDIEIQITKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIAVKRKRTRETLHILQQAYRTLDELPMEAESVCSGGTDTTGVSKHLDLPASSSMYSTSSSPYSASPSTGRRNRRAGDQHQNGYGF >A09p068280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53575250:53577622:1 gene:A09p068280.1_BraROA transcript:A09p068280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSLTISHELIKNSKTSPSLNHKLRWISSLKVVWKKDTKLDEAIERDKRYNLCARVVKEVLNEPGQAIPLRYLEKRRERLRLNFKAKSFVEMNPSLLEISHDRIKPKSDPVQFVRPTPRLRAFLDEEQRIYAENEALIVAKLCKLLMMAKDKVISAEKLVHVKRDFGFPNDFLVRLVKKYPNYFRLTGLEGGKSFLELVDWNPDFAKSVIEIKAQEETVRTGVRVRPNFEVKLPSGMFLRKEMREWTRDWLEQEYISPYADVSRLDQASKEMEKRTVGVFHELLSLSLLKRVPVPILGKFCEEFRFSNAFSSVFTRHSGVFYLSLKGGIKTAVLRQAYKDEELVDRDPLLAIKDKFLRLLEEGWEERKDRLKMQRERVEKDREIAKKQQEPEERLSIILLPLSSSSITRGQRIVEIPPPRPLCASQYALANYACSQCCKWVKQMDNECVCDLLVRLPPLLAKPAHDYTVFVDESCIVTFTCGGRLIR >A09g518100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:55222616:55223764:-1 gene:A09g518100.1_BraROA transcript:A09g518100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISDISDGDHGGAPNKKPQKQPGEVNTATSLESLPDVLIDHIIARVPRSNHPELSLVSKLFRRIIASPELRLTRSHLAISEHVLYALLAFPLHPPSWYILNASLRLRRVNTLPPMPSGSAVVTIGHEIYVIGGSNGSEYLTSVTVVDCRTHTCRSLPSMRSPRYRAAAGVIDGKIYVMGGCVNRSGRFRVETFDLERQVWLGSQINSLWRDIVTYDVMKEKIYVLGRHQCLGVYNPTEGTLQSYLGRCNLGGLWQASSCVVDDLLYTIDPGCSVWTPIIVFDPEVNAWKPVKGVCGLPPCLYWYAYESKMANVGGKLVILVGNQSQLFNYYGEKYIWCVEIALERRHGYEIWGKVETVEVVFETTESTTPIIELCRSVIV >A09p024510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13552139:13553143:1 gene:A09p024510.1_BraROA transcript:A09p024510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRWNKQKTGRLSRFMSELQQSPKRGGIMVVETGFPTSLIHLFVKNRDRLKKSSSRTKRILRQIQTAPNASLPVTTNAILEKPVRSDIENVRSVDGGLTAEHHNKRTTSDNNVCGAFALMALKVFIVAVLAFSTKKKLTMGITLSALALLLTEIVAARVLTRFKFCNSDAQKEKSGVVCEKIETCDDSRDENGVPTSPIAVTEDSNSKILRIRDLLLPDEKSTSKSSKLKAKILKKLRSYKKNNKTMKIKEEALTDVSSLVSEDNSEIIESERDDEVKSSQPLIESRGDNMNGIVVIVIVLTGLLSGKVVAIGLTLSCLYLGFGAAKKSGLCI >A09g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4820863:4828890:-1 gene:A09g501410.1_BraROA transcript:A09g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQSMCYMTHLVCEIPVQSGYELMTWRHRLRRSLLWEGKAIMCNSAFMQDWASTEEDSVSHSDCDMTLSDDEDSSEEFEMGLNKLKRYLNAKGFQLSCHTPNRETPQLSLIPTSNMQPRRSSRLRQSLETQSSLISPINPSSSSCQRKSSRKRLRRPTPATPPLPEPEVESLSEDNTDDNDSDGSLEEAASDDTQEEQRDEFLPKGPRYEESRQQFQILIQSNPALLRPSRAPINSRFATVEATERYRDLKNRKFLVQYRLPVDEENLQDVKKVIMDSGLIYTVIDSDPFKPSVIRQFIANLVDAEPRGDGVAVYVKGSLVNFSPSLINSLYLIPAFEEDPDWSTYNMDRVCTFLTNKRIRRWEDMSSKFLTATNQVLYKLVCANWIPTTSYTAMNPERLRFIYMLYHDRKFDFGKLVYNQIMAMAENTRTERTRRIIFPNLIQQVLLFQRNVPPDSDDEEFTGLPKKVVKDKKAGLGSGTESRSPNLEEDIEHAIAGLKAISMRLRRGEYPHQQQNGDSDGGSD >A08p003790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2159760:2161046:1 gene:A08p003790.1_BraROA transcript:A08p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMFQEDNGTSSVASSPLQVFSTMSLTRPTLLPSPSSSPFHSLKDLKPEERGLYLIHLLLTCANHVASGSLQNANAALEQLSLLSSPDGDTMQRVAAYFTEALANRILKSWPGLYKALNATQTRTSNVSEEVHVRRLFFDMFPILKVSYLLTNRAILEAMEGEKMVHVIDLDASEPAQWLALIQAFNSRPEGPPHLRITGVHHQKEVLDQMAHRLIEEAEKLDIPFQFNPVVSSLQCLNVEQLRVKTGEALAVSSVLQLHNLLASDVTSNNGHSLSGDSASSLPLSNSGKIDSFLNAIWGLSPKIMVVTEQDSDHNGSTVMERLLESLYTYAALFDCLETKVPRTSQDRMKVEKMLFGEEIKNIIACEGSERRERHEKLEKWSQRIDLAGFGNVPLSYYAMLQARRLHCFKGMVLMGIGSKKRVGVQ >A09g514520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43307375:43308740:-1 gene:A09g514520.1_BraROA transcript:A09g514520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRQTLRAKRSPRLLLHLHLQWSLFPQNRLRLRHQRDSTLSPPSSTINHLRLFLLDKPETDFTAVTSGFNYKKLQQFQDNFSWKRNNCFLVKQFGKGNAKVLFSKESNNLCKLNSYKHSGLAYKKTMTIQVADKEQGVVLGTTKTKTKKQNNLKLYVNKTCQIQEPIRTYKDFDVRLSAEECHYAIYDFDFVTVENCQKSKIFLIAW >A02p058450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35062130:35064640:-1 gene:A02p058450.1_BraROA transcript:A02p058450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGSRSMPRPMVGHNMQRMQPQGMMAYNFPARAGMNPSASKKTLKRTQKFCGPMANVYVVVAKSPSVPLPQKRGMAQPHQQKEERSWNGSSTSKRLCSSSSSSEPSSSSRSPTKQSKVKIDAAIESRECDQSPNEVSFFIDKSKTFSIGIDLSFVTVMVLLSGEMVRMRTDVSGGRTIRNLYNGIVEFQSVKTTCLCFFPENLISQMKTQLFIVNAAYDTWQI >A04p022540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13603261:13608911:-1 gene:A04p022540.1_BraROA transcript:A04p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDHKPPPTTEEIRQKMISSFTAEITSSSREVALLILEAHQWDIAAAVSAFRDAVVAAAVAASTARANVPSPIRLRSPRSPSRAFSPSDGNILSDSDEKENEDPMESDDVERDVSSLPRRLRFRSLSEILSVIPQEIPRTVTLYRNGYTFDDDNILWPLDDPDCAEFLEIVESLESPRALDSPGGKRRVLITLIRRQQEDFHEPPKPFQGVGRTLAEPDSVPPASSDSLTTEATTSIDPTAPTTSIKVILADGTPVISRFTTTHHTIRDVRDFIDAATPGASRDYQLLIMGSSPPTPLTTDLDQTIEQAGISNAVLTQKRRRKQRKLAMGDDDHKPPPTTEEIRQKMTSSFTAEITSSSREAAILILEAHQWDIAAAVSAFRDAVVAAADAASTARENAHSTIRLRSPRSPSRAFSPSDGNILSDSDEKEYDDAMESDDVDRDLPSSSSSLASLPRRLKFRSLSEILSVTPQVIPRTVTIWRNGVTVDDNPLITLDDPKDAEFLRVIESLDSPRVLDSPCGKRRAVITLIRRQQEDFPDSSNPFQGVGRTLAEPDSVPPASSDSLTTEATSSIDPTAPTTSIKVILADGTPIVSRFTTTHHTIRDVRDFIDAARPDASRDYQLLIMGSSPPTPLTTDLDQTIEQAGISNAVLTQKF >A03p051260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20590175:20591639:1 gene:A03p051260.1_BraROA transcript:A03p051260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEH1 [Source:Projected from Arabidopsis thaliana (AT3G50750) UniProtKB/TrEMBL;Acc:A0A178VA20] LTHALDRALTYTSVGFNLTDSNLTVKKPIYRFSTEHKLVKLVNKRERKKKQRMTASGGGSTAAGGAGATGRMPTWKERENNKKRERRRRAIAAKIFTGLRSQGNYKLPKHCDNNEVLKALCVEAGWIVHEDGTTYRKGSRPTETTPPRVSACSSIQLSHHSSAFQSPVPSYQASPASSSYPSPTRFDPNHSSTYLIPYLQNLASSGNLAPLRISNSAPVTPPVSSPRGSHPRLPKPQFSNFPVSAPSSPTRRLHHHYTSIPECDESDVSTVDSCRWTRFQPVTVSQTCPPSPTFNLVKNSVCVGGGDVSVKPWEGEKIHDVGVDDLELTLGNAKGRG >A02p012080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5275649:5284015:-1 gene:A02p012080.1_BraROA transcript:A02p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:Projected from Arabidopsis thaliana (AT5G60040) UniProtKB/TrEMBL;Acc:F4JXF8] LDSAARGREFEARLGFFPLHRSSLFFFFLSRSVSPACYSICCLNPKTQPPSPSFDWREMETKTEIEFTKEPYIEDVGPLKIQSINFSMLSDIEVMKAAEVQVWKNMYYESNFKPIEGGLLDPRMGPPNKRSTCATCHGNFQNCPGHYGYLKLDLPVYNVGFFNFILDILKCICKSCSSMLIEEKMYEDHLKKMRNPRTEPLRKTELAKAVVKKCSLMAGQRVITCKKCGYLNGMVKKVAAQLGIGISHDRSKIHGGEIDEFKSAISHTKESAGGINPLTYVLDPNVVLRLFKGMSDKDCELLYIAHRPENLIITCMLVPPLSIRPSVMIGGTQSNENDITERLKKIIQDNASLHKILSQPTTSPKNMQVWDTVQSEVAQYINSEVRGVQIMPNTKPLAGLLQRLKGKGGRFRANLSGKRVEFTGRTVISPDPNLKITEVGIPILMARILTFPECVSRHNIDKLRQRVRNGPNKYPGARNVRYPDGSSRTLVGDYRKRIADELTYGCIVDRHLEDGDAILFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAITLMGVQNNLCTPKNGEMLVASTQDFLTSAYLITRKDTFYDRAAFSLICSYMGDAMDSIDLPTPTIFKPIELWTGKQVFNVLLRPNASVRVYVTLNVKEKNFRKGEHYDETMCINDGWVYFRNSELISGQLGKATLGNGSKDGLYSILLRDYNSHAAAVCMNRLAKLSARWIGIHGFSIGIDDVQPGKKLKEDREVIVKRRYKDCDELLKNYEKGDLDAAKTLEANLTGFLNKIREETGKLCMDGLHWRNSPLIMSQCGSKGSPINISQMVTCVGQQTVNGSRAPDGFIDRSLPHFPRMSRTPEAKGFVANSFYDGLSATEFFFHTMGGREGLVDTAVKTASTGYMSRRLMKALEDLLVHYDNTVRNASGSILQFTYGDDGMDPALMEGKNGTPLNFDRLFLKIQATCPPRSHHNYLSSDELLQKFEEELVRQDTSRVCTDAFVKSLREFVCLLGVKSASPSQIFSKGSGVTDKQLEVFVKICVSRYRGKTIEPGTAIGPIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRINEIINATKTISTPVISAELENPLVEASARMAKGRIEKTTLGQVAESIEVLMTSTSASVRITLDKKIIEEAFLSITPWSVKNSILKTRIKLQDENIRVLDTGLDIIPKGDQNGTHFTLHNLKNVLPNVIVNGIKTVERVVIAEDTDKKKEIGGKKRLKLFVEGTNLLDVMGTPGIDGRTTTSNNIVEVSKTLGIEAARTTIIDEIGSVMGNHGMSIDIRHMMLLADVMTYRGEVLGIQRTGIQKMDKSVLMQASFERTGDHLFSAAISGKVDNIEGVTECVIMGIPMKLGTGILKVLQKTKTEDLPKLNYGADPIIS >A09p073590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56056057:56059541:-1 gene:A09p073590.1_BraROA transcript:A09p073590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLLLIISVVLYITDLPSCFSADQLYERCQLPLRCGSEPSLFPNITYPFWGNNIGKPNFCGQTGFELSCKKNQTLTLEIEHITFRVISVNLDNKTITVADDSLFEDGCPHIFNFTGAKQFTLNHNTELVDLFNCADNNSAKSLSKVSCQLNKDNPITYHVFGSAHPPGNCTKVGEIPMLESAKNELRQANGSDQALKMALKKGFELRYDKEDKTCPTSLTSPPATTEEFLTVFFVLVSSGPNYEVKIGIGAAASVFGISAASLAWFVYHRRITKIYRTSSALLPRNPSDQFSKPSSDVEKAEELLAGVRLFSYEELEEATNSFDPSKELGDGGFGTVYYGKLKDGRSVAVKRLYENNFKRAMQFRNEVDILTGLRHPNLVTLFGCSSKQSRDLLLVYEYVANGTLADHLHGPHANPSSLPWSIRLKIAVETASALKYLHASEIIHRDVKSTNILLDQNFNVKVADFGLSRLFPTGQTHVSTGPQGTPGYVDPEYHLSYQLSNKSDVYSFAVVLMELISSLPAVDMTRPRQEINLSNMAVLKIQNRKLQEMVDPSLGFDTNTEVRQTVIAVAELAFQCLQSDKDLRPCMTHVMETLTRIQNNGFGSGMDVADVSKSGPLAAQPLDVANVSKSGPLVAQSVDVAYVNKSGPLVAQSPDSVIVKWNSKST >A10p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3738054:3739804:1 gene:A10p015210.1_BraROA transcript:A10p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNPYSQSAGYIGLLHSQHEKGVKAAKAKRSNAMGKSVGEYKEVWEMRKVDLDRKEKLSKLAILDTLLAKTEPLSEREEVSLNMGPDYSYSQPSQSEEHREYVNAQEFVYPPQPEVEFGFPQTCYCGSQPQIATSYSTTDPGRRYYTCTNVDDGECHVWKWWDEAVMEEMRARDRQTLQLAEKVDSLNFLSDYETDQKLVRLENMVSSSSLSNVLWFSLWFSSELGLVMNYV >A06p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22270327:22270787:1 gene:A06p041350.1_BraROA transcript:A06p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQASHFIIPIPQQILLYFPCRGLTVEAVTHQSNAQPFDEDIQIKFKEPKPNPELKHIEPCPNKQLQEDEPELTELELQDVELFITSKEP >A07p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19460013:19462766:-1 gene:A07p036110.1_BraROA transcript:A07p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g74400 [Source:Projected from Arabidopsis thaliana (AT1G74400) UniProtKB/Swiss-Prot;Acc:Q9CA73] MTSILRHLSSATSSRPVINKFDSFLLLHYHTNSLKSNHTLKHYLESGEPIKALLNLKQRYRESPRFIDSFSVLFAIKASSSAHKGHSFMGQQIHALVRKLGFHSIIQIQTSLVGFYSTAGDISSARQMFDETPEKRNVVLWTAMITAYAENDKSVQALEMFRLMEEERIELDEVIVTVALSACADLGAVQMGERIYSQSIKRKRRLGMDLTLRNSLLNMYVKAGDVEKARRVFDETVRKDVTTYTSMIVGYALNGQAQESLELFKKMSQDSSVSPNDVTFIGVLMACSHGGLVEEGKRHFRCMVEDYNLKPREAHFGCMVDLLCRSGRLKDAHEFISQMPVKPNAVIWRTLLGACSLQGNVELGEEVQRRIFELDRDHVGDYVALSNIYAAKGMWDEKVRMRDRVRKRREPGKSWIEMGNIIAEFVSGGGDDDGKLMVGEISEAELHSMESSVGLHLAAVAGAFLSVGGFSYLLVADFVEQLFRERLVDEQLMSRMLNTHHSQLRISDVSYEERDDVYDELKRRGLSGDSLRKLPCYNDMKTGEITQSLPRCDHTFHLVCVDKWLFRQAVRECIIVEIY >A07p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17075515:17080222:1 gene:A07p030670.1_BraROA transcript:A07p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKMDTGSKDLISSLPDALICHILSFLSTKDAALTSVLSKRWRHLLAFVTNLDLDNTIYDRPKMGRKRRRHLRKSFKLFVERVMALQGNAPLNKFSLRCKIACVTSRVNRWVLKALERGVVDLDLYISSEHEYPLPPQVLMSKTLVRLKLAGTDEFIIDVREVSLPKLKTLHMNDVSFADESGAAFGKLVSGCHVLEELVMVKMTWDFCGACSVSNPTLKRLIIYCEYDDENPESVSLDTPSLVYLELTDTVAAKYPKMNLDSLVEASVGIRMTPDQVFRGRDLVNRHYGYKLRKDVSATDFLMGISHVKILYLSSQALEVLTFCCKVIPVFNNLIHLTIETDQDVDWESLPNLLKNCPNLETLVFEGLHYGDTNQCFDDGYRFKDTNECFVTGADRCVCKPWLGTPSWLSSSPVKKLKVLKFGEITNYKDDMDKQSDLINYFVETMPNLEEVVLYYDTPFDSDLEIVSNGFQQLEKVASTKCKIQVVSDSISFTTTVHSSSSSSTSGLVFFKNTFPCLTVSASHSMGAPYSNHNGHTVSLRSGCEFCSKETMDSASRDMFSGLPDPIISHILSFLPTKEAASTSVLSKRWRFLFASATNLDFKSDDNSPSFMEFVDMLLDLQGTAPLNRFSLHLTDYPDPVHVTVWILHAVGRGVSDLTLRLLSEYPLPHEIFVSKTLVRLKLGEGHDVAFSADVEDVFLPKLKILDIDSVVFEEEGVGFARLLSGCPVLEELVLTNMGWENWEFCSVSVTTLKRLTIFLDDFDENPMSVAFDTRNLEYLEYSDNIAREYPKVNFSSLVEAHIGLRLSEDQSADADFSEEDGYFSEEYEEEKEMVGNATNFLLGLCNVQILYLSAKTLEVFTFCCEATPVFNNLIQLTIESNDESGWDSLPALLPNCPNLETLIFKGLVHKSTDGCGNMCLCKPLKNPSCLSSSAVKVLKIILSVDIDDEGMEMEQIVHFLEKMPRLEQLVVYFNISSYDPSVFDLSKKLQSIPRIASPKCNFQVISPNLSLSSTLPSTLSKIWSAPPNEEYSWFLKALKVTLLLHSAQVCKC >A08p006570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3769622:3770869:-1 gene:A08p006570.1_BraROA transcript:A08p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSQGKSLADSIKAKLESLSSLSNQCCIYKVPNKLRRLNPDVYSPRLVSFGPFHRGKEDLQAMEEHKYRYLQSFLPRVTFSLEDLVRVARTWEEDARSCYAEDVKLNSDEFVKMLVVDGSFLVELILRSRYPHLVTENDRIFGKPWMITDVCRDMILIENQLPFFIVKGFFSLLTPYYQQGTPSILEMVKSHFSCFLSNIDDKMFESSEPEHFVDLLRSCYLPLAPIILEEGISTVYNAPKATELHNAGVKFKPSETSSCLLDLKFADGVLEIPTIMVDDLTESLFRNIIVFEQCHCSEKIFLHYIRLLSCFIRSPTDADLLIRSGIFLNSLGAAEDISDVFDSICTEVIFGRKFYFQSLSENLQSYCNTPWNRWKAILRHDYFHNPWSVASVLAALLLLLLTFIQAVCSILAL >A03p056320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24345868:24354035:-1 gene:A03p056320.1_BraROA transcript:A03p056320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIKELSNVKEEVYGALDSFIAWELEFPLVIVKKALVILEDEREWRKIIQVTKWMLSKGQGRTMGTYFSLLNALAEDNRLDEAEELWSKLFMEHLEGTPRKFFNKMISIYYKRDMHHKLFEVFADMEELGVKPNISIVNMVGKVFLKLGMKDKYEKLVKKYPPPEWEFRYIKGRRVKVKAKQLNELSEGEGGFSSDEDDIDDKMESKSEMLLSDEEPNKENHVLIMWTLVEIVSTIGSCKEKRWTAKVLQ >A03g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23343972:23346105:1 gene:A03g506500.1_BraROA transcript:A03g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor GTPase-activating protein AGD8 [Source:Projected from Arabidopsis thaliana (AT4G17890) UniProtKB/Swiss-Prot;Acc:Q8H100] MSSSDNLTDKTAVFRKLKSKSDNKVCFDCSAKNPTWASVTYGIFLCIDCSATHRSLGVHISFVRSTNLDSWSPEQLRTMMFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKATAEDAAIALPSSPLAAEGSNGVSSFSVKEELPPKESFTVKNEATSSPKASNTVVPSTFKKPIGAKRTGKTGGLGARKLTTKSTGNLYEQKPEEVAPGSASISSADFFGHDQDDSNDDITASDLINRLSFQAQQDISSIVNIAGETKKKLGTLASGIFSDLQDRML >A01g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16224170:16226163:-1 gene:A01g505430.1_BraROA transcript:A01g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEIPTDILNNSEEIPTDNFRRTPHFIRSNQIFFPISLFLSAELSLLSRDFRRYLPFLSAISGESALILLSPYHTSPSPLPARGSSSHNQDSVSPHSSYHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEYPHGHGSTDPGTGSTHGSTQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANKKFTKSMNDTVWKELCAHWDKEETKETSSTNSNNCRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVSLVQTQVYDEVSQLQTDDDDSAASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDLEVLSAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04p004910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2532059:2533100:-1 gene:A04p004910.1_BraROA transcript:A04p004910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVPFSSHRLRQQISIVYPAMTFLSPPSSSMIKSRSEENLSKLNDCMENMDDDVSEMFMECHQTDFRSSPQRLLHHEQVRQRALRVSEEVSGESTTRGVLRVRRGVAGCNSDDDGECDVFSGKLQVCHRDLAEMIVKLESTMKEVERKLRRVRGKRAVVTAAIIAPAVERSIRVTADFAVKKRSSVAVAMGEMERERKRLKSTLVDLDRETGRCGGFVEFGRRLAKGKIFEFLSCGEKSSNS >A06p034290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:18540673:18541134:-1 gene:A06p034290.1_BraROA transcript:A06p034290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLRLNKEFPPYYVQALCNIFGDDEKKVKVYVTKTWLNHSKKLFNSLTCMRRTTLVTKIKSPVEDCIRRKIALSLSPSPSHTSHFDVMKDQLCRDETRIIMFFLKMNGKFPTYYVEALCNIFGGDDKKVKNYVMMKWLDHSEKLINSLTCVS >A03p054040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23186958:23194620:1 gene:A03p054040.1_BraROA transcript:A03p054040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-harvesting complex-like protein 3 isotype 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G17600) UniProtKB/Swiss-Prot;Acc:Q9SYX1] MALLFSPPIFIPKISLSLLSTNRFSLLAVTRANSSDTGITSPATATTVDVNEPPASTPVVESYRRTSVVSESAPPAIRVVREDQVIRTMIYFQNDAVLVNTQCAVSLAQQKPVPRGADLLSPKPKLIQSLAQQKPVPRGADVRQPLAHIEKEEVEDMKTTENFTKFEDARWVNGTWDLKQFEKDGKTDWDDVIVSEAKRRKWVEENPETTSNDDPVIFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQLGNFFCKRLLFVAVAGVLFIRKNEDVDKLKKLFDETTLYDKQWQAAWKDGEEDTSSSLGSKKCKAKTETLASSFL >A01p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3240654:3245371:1 gene:A01p006400.1_BraROA transcript:A01p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGDDDEHDPILDDSIEAEVKSHAFVGGGSGERDMAPSAPGPSIHRSGSRPQLDLSKAEIQGNFEERDPTILLPNQSDDISHLALDIGGSLIKLLYFSRHEEDYSNDDDKRKRTIKERLGITNGNLRSYPVLGGRLHFVKFETHKINECLDFIHSKQLHRRDPYPWSSKTLPLGTGVIKVTGGGAYKFADLFKERLGVSIEKEDEMHCLVSGANFLLKVTFTHMEAEKEFVQIDPNDLYPYLLVNVGSGVSIIKVDGEGKFERVSGTNVGGGTYWGLGKLLTKCKSFDELLELSQKGDNSTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISENKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEMQAMFLRHEGFLGALGAFMSYEKHGLDDLMSHQLVERFPMGAPYTGGNIHGPPLGDLNEKISWMEKFVRRGTEITAPVPMTPSKTTGLGGFDVPSSRGSPLRSDASALNIGVLHFVPTLEVFPLLADPKMYEPNTIDLSDQGEREYWLKVLSEHLPDLVDTAVASEGGTEDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFLDAYRSIKQRENEASLAVLPDLLEELDSMNEEARLLTLIEGVLAANIFDWGSRACVDLYRKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGTGGKQPHRHKRALLFVDNSGADVILGMLPLAREFLRRGTEVVLVANSLPALNDVTAMELPDIVAGAAKHCDILRRAAEMGGLLVDAMVNPGDGSKKDSTSAPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVILEGMGRALHTNFNAQFKCESLKLAMVKNQRLADKLIKGNIYDCVCRYEPPSVSQM >A01p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20638536:20640929:1 gene:A01p028920.1_BraROA transcript:A01p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLRPEMLDISNDTSSLASPELLHVLAVDDSIVDRKFIERLLRVSSCKVTVVDSATRALQYLGLDGDNSSVGFQDLKINLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILPRIDRCLEEGAEDFLLKPVKLADVKRLRDSLMKAEERVFKNIMHKRELEANDIYSQLKRAKI >A05p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6406012:6409434:-1 gene:A05p014630.1_BraROA transcript:A05p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 33 [Source:Projected from Arabidopsis thaliana (AT2G32860) UniProtKB/Swiss-Prot;Acc:O48779] MATTTLTLFLGVLALTSTLSFNAEARPQPSDEDLGIVIGPNTGLDDDLGIVIGPHVSEDSDMVGPHTNLDDEELGTIIGPEFEIHKHDFPKDFIFGTAVSAFQVEGAKKGSGRGLTRWDEFTHMFPDKVEHGDDADIGVDFYTRYKDDIRLMKELKTNGFRFSISWTRVLPNGSVRKGVNKEGVQFYNDLIDELIANEIQPSITLFHWESPFALEMEYGGFVDERIVEDFREFAKFCFENFGDRVKNWATFNEPSVYSVAGYSKGKKAPGRCSPFEVLKCPSGDSSEEPYKVTHNQILSHLAAVEEFRKCCQENGGKIGIVLVSHWYEPKDPNSSKDVEYARRALEYQLGWCILCRFLRPLTYGHYPAVMQKDVNIRLPEFTEEESEKLKKSLDFVGLNYYGAFFTTPLTNTNSSELSYTNDLGAKISPEQNHSPHLKTTSMGIVIYPAGLMNLLRHIQDEYKNPEIYIMENGMDEIDNGTKTLAEATNDYGRKEFIKSHILIMGKAIRLHNVRLKGYFIWSLMDNFEWERGYKMRFGLYYVDFNDNLKRHMRSSGKWLSEFLDSKESLHKCYFEGHREKGYAPKLFDTEYLDPDNWRLSYASDI >A08g503260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6067793:6068488:-1 gene:A08g503260.1_BraROA transcript:A08g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVTELIEDHDNGALVITASSVHQLEDKLVLYRVSIDNNKYFRVEGFRMETRCFTAYVELDMIDGELRAFGVVWLAGTLALSDYMMKIIVTVHAAIYHVLELMRLISTMWEAGFMAGIKTEGESNGDTINGWKRYKRYSMDTYIYDNDVRYMMSLELLMMQVFYSQVKGGEVVVTALRQTLHFTKERFHLPRAPEWYTKGPTWCSVTRFWFKITGCYCMFNLEDKVVVN >A09p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45917048:45918825:1 gene:A09p052400.1_BraROA transcript:A09p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPHDVVEYIFERLDVKTLLKFTAVSKQWKSSIIQCRSFQTRQMLHRKQSGKTDVVLVSLYDGAGSNPNIEALRTLVVGSTVSVKIPTTWENKFYQLVSPSPGFGKDKICGTYKPVWLYNSAEVGLKIKSITTCEVFDFTTNAWRYIVPASPYLIYHSQDPVYCDGSLHWFTKGDETNILALDLHTETFQVIPRPPFLHSLLRLIESIICSLHDRLCVSERIWPEQVIWSFDSEEKTWKKIYSIDLKTTPSWRRNNRWRTFTPLSVLGKDKLLFYDGESSDGPLVTLDLRTKYCDIAYKCKVKAYKALCYVPSLISIL >A08p007670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5060671:5064243:-1 gene:A08p007670.1_BraROA transcript:A08p007670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIWFLSKIVTFFLTAMLIGSSIIQCSVTYDKKAIVVNGHRRILLSGSIHYPRSTPEMWEDLIKKAKDGGLDVIDTYVFWNGHEPSPGTYNFEGRYDLVRFIKTVQEVGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKDAMQGFTHKIVQMMKDHQFFASQGGPIILSQIENEFGPILKGWSDHSYVNWAAKMAVGLNTGVPWVMCKEDDAPDPIINTCNGFYCDHFTPNKPYKPTMWTEAWTGWFTLFGGTIRKRPVEDLAFGVTRFIQNGGSYINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVQEPKYSHLKQLHQAIKQCESALVSSDATVTKLGRYGEAHVFSAGKGSCAAFLSNYHMNSPAKVVFNKRQYTLPAWSTSILPDCENVVYNTATVVAKTSNVEMVPSGSVVYSVARYDEDIATYGDRGTITALGLLDQINVTRDANDYLWYITSVDIKESESFLRGGKWPTLTVDSAGHAVHVFVNGHFYGSAFGTRENRKVSFSAPVNLRGGANRIALLSVAVGLPNDGPHFETWATGVVGSVALHGLDEGNKDLSRQKWTYQVGLRGEALNLISPTEASSVDWIKGSLAKQNKQPLTWYKAYFDSPRGNEPLALDLESMGKGQAWINGESLGRYWTAIAKGNCGSCNYAGAYRQANCQSGCGEPTQRWYHVPRSWLKPRGNLLVLFEELGGDISKVSVVKRSSVH >A06p012080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5532596:5535078:-1 gene:A06p012080.1_BraROA transcript:A06p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCGRSSDGGISGVRPYVRSPVPRLRWTPELHRSFVHAVDLLGGQYKATPKLVLKIMDVKGLTISHVKSHLQMYRGSRPTLLGRLEESSSSSSRRRRRQDNGDQLHDNLSEHARNDCLFGFHSFNFRREQNLGTDNDDDDFLNIMNMARTKTFAGNGESIKFQPHQFFEAQSMKSNMGNEHEEEELSLSLSLNHPPNHQQRWRSNTSSSLSEASEAVSSPFTSRDCFASSKIDLNLNLSFSSLFRS >SC141g500070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:216423:216812:1 gene:SC141g500070.1_BraROA transcript:SC141g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTAKLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNEL >A07g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9594999:9595833:1 gene:A07g504660.1_BraROA transcript:A07g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEECDTCQPLGESTETISRSSSEELSEQSSCVYPLVLTHRRKKSEDVTQVVSYPANHHFNPFNCCIYRLPERKKWLTLLSTFPVDFKFWLIPLSISIQSFSIDWIQPQNEIQHSCYFSRHFDVGRPNFLLDVANENDNRNRGSSDRDLVSMFFRHLDPFSHDDWRSI >A03p053720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23047482:23059127:-1 gene:A03p053720.1_BraROA transcript:A03p053720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSHVLLLLLVQVIVLLPLLCLSDDFVSSRATYYGSPDCKGNSRGACGYGEFGRDINDGEVSGVSSRLWKNGAGCGACYQVRCKIPPHCNEEGVYVVATDYGEGDGTDFIFSPKAYGRMARPGTEFQLYSFGVVDVEYQRVPCRYVGYNLVYKIHEKSYNPHYLAVLILYVGGVNDILAVEFWQEDCKEWKRMRRVFGAVHDYQNPPRGTLSLRTLFQQIGPPEPPTTPTFYLLNSLSLDSLIPHGSLVRFKSICKQWYSLFNDKRFIYKHLDLSREQFIQLAHHNESVNLFNLETQAPSRFQGTPEISRMIHCDGLLLCQCIEGDTSKLVIWNPVLRRFYWIEPSRHFERYSIVYGFGYDNVSRENYKIMRFNVMKNSGLEIYECKSKLWRSVDASLDSCVKLWSPHYQSLSMNGNMYWIAHRKKGNLETEIFVQSFDFTAETFKDIGCGVPFETECTSLCRASTLVLSSFGGDRLSLLHQHKRENIELWTTNKVTDEVVCWSKYLNVTGPDLPILHYAPYYNFPTYFIDHKTSTIIAWCGEFNATKNYIDVIIYEISDGEIKKQVVEAAGHGLGYSCHNLCYVYVPSLISASIWILDKSICINLDGAAKQIRLYNHKVPKEPKLAGNNKPDKRLPPRLFAQYRFPTGRLNIYSKPDILPFIQHVLRNTEELQYIKNSCFGKLFEFPARQCPLSTTHMVEAKVLHLVHDFQCLSKSVTEQIDSHKTWLQELEFKEDMEATLSSNMNTTQLSDNGPGHNFAAAVGIEEHVGMENLEEISMMVK >A03p050070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21504233:21506122:-1 gene:A03p050070.1_BraROA transcript:A03p050070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLIAATAATGYIAKQLQNVTKGKGNSLESSSSEETVVKKQESPCRSLLSRLARVNQPNENKFGDEVPSTSGEGSGNYEDVVHSDSSLFGLLPEFLETGRGYWKASGETELSSSFRQRRFVRRNQRYRRLTKPLSCMESCLMSRFHGEQMTMDDYYLTSPFPSPRGSVSRPLLVTDGDRVISKGTADSLWLSQQQQMTLNEDEAADKNEKSSKSRKHGLSDTTVLLQIGISIGIMASFMARQAKQELKQTENVVHDLEDELEMKDSLIVKEVDTEKAAENSESISNIEAELEAELERLEINMNTSNIEMEPDLEVEFAQGELIADRVRGKRGDETESNQDPSGNSTPDSGNYAVSPRELSLRLHKVINSRLEKRITELETALQESQRKVEQLVMESESKKKNSWSRVWETREVMTTYKSDSKTTAAIEHTKTDNHAEIMQPLVMNLTGEALDAFNESYDELMNINDDSEDDNESPLEMQESELLHHEDFSSTSPWSHHQGDDSKAQEQELLDFIGLEEQDEEISDFESEMEKQLIKQIVEKTKQGSPVVLNAQKMLFLMEESEQKF >A10p037840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21290227:21291614:1 gene:A10p037840.1_BraROA transcript:A10p037840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AL1 [Source:Projected from Arabidopsis thaliana (AT5G05610) UniProtKB/TrEMBL;Acc:A0A178UPZ2] MAGESSNPGTVEEIFKDFRGRRSAFLQALSVDVDKFYSLCNPEMENLCLYGHPNGTWEVNLPAEEVPPELPEPALGINFARDGMQRQDWLSLVAVHSDCWLLSVSSYFGARLSRNEKNRLFSLINDLPTLFEVVTGRKTIKDKPSMDHESKYQNGLKRSIEGEMKITRKLMEESCEDEEDEHGDTLCGSCGGHYLNVEFWICCDVCERWYHGKCVKITPAKAESMKQYKCPSCCTKKGRQ >A09g514720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43845859:43851559:1 gene:A09g514720.1_BraROA transcript:A09g514720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTEPSTNGSIHTETDSKKKDPVSLMGLFGAADKLDCFLMILGGLGACTLGATLPLFFVFFGKMLDSLGNLSTDPKSLSSRVSKNALDLVYLGLVTFVSAWIGVACWTQTGERQTARLRINYLKAILAKDITFFDTEARDSNLIFHISSDAILVQDAIGDKTGHVLRYLSQFVAGFVVGFLSVWQLTLLTLAVVPLIAIAGGGYAIIMSTISEKSEAAYADAGKVAEEVVSQVRTVYAFVGEEKAVNTYSNSLKKALKLCKRSGLAKGLGVGLTYSLLFCAWALLLWYASLLVRHGKTNGAKAFTTILNVIFSGFALGQAAPSISAISKGRVAAANIFRMIGNKTLEGSERLDNGTTLQNVAGKIEFHQVSFAYPSRPNMVFENLSFTIPSGKTFAFVGPSGSGKSTIISMVQRFYEPNSGEILLDGKDIKSLKLDWLREQMGLVSQEPALFATTIASNILLGKENANMDQVIEASKAANADSFIKSLPNGYNTQVGEGGTQLSGGQKQRIAIARAVLRNPKILLLDEATSALDAESEKIVQQALDNVMEKRTTLVVAHRLSTIRNVDKIVVLRNGQVVETGSHSELLSRGGDYAALVNVQETEPQENPRSIMSQAGKSQAVLAGAQTPLFSMGIAYVLTAFYSPSPSVIKRDVEKVAIVFVGIAVVTAPIYLLQHYFYTLMGERLTSRVRLSLFSVTALAIAFYYSWRVAAVISEQFACELSKPTKSSFLRGHISGIGYGFSQFLAFCSYALGLWYVSVLIKHKETNFSDSIKAFMVLIVTAFSVAETLALTPDIVKGTQALGSVFRVLHRETEIPPDQPNSRLVSQIKGDIEFRNVSFAYPARHDVPIFQNLNLRVSAGKSLAVVGPSGSGKSTVIGLIMRFYDADKGNLCIDGKDIKTLNLRSLRKKLALVQQEPALFSTTIYENIKYGNENASEAEIIEAAKAANAHEFISRMDEGYKTHVGEKGVQLSGGQKQRVAIARAVLKDPSVLLLDEATSALDTTSEKLVQEALDKLMKGRTTVLVAHRLSTIRKADTIAVLHKGRVVEKGSHRELVSISKGHYKQLTSLQEVV >A10p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17427329:17429364:-1 gene:A10p027810.1_BraROA transcript:A10p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase 1 [Source:Projected from Arabidopsis thaliana (AT5G18170) UniProtKB/Swiss-Prot;Acc:Q43314] MNALAATNRNFRLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTIASFVGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVANIPYGGAKGGIGCDPSKLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVMFGTEALLNEHGKSISGQRFVIQGFGNVGSWAAKLISEQGGKIVAVSDITGAIKNKDGIDIESLLNYTKEHRGVKGFDGAHPIDANSILVEDCDILIPAALGGVINRENANEIKAKFIIEAANHPTDPDADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNDELKTYMNRGFKDMKEMCKTHSCDLRMGAFTLGVNRVARATVLRGWGA >A07p021030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12364888:12367846:-1 gene:A07p021030.1_BraROA transcript:A07p021030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSYLQTENAVMDTGFMDGLLLDGCWLETTDGSDFLNLTPFDPSSFTWSPTQDTSALLSHMYGQDCVERSSLEEFQWNKRWWIGPGGGASSVTERLVQAVEHIKDYTTERGSLIQLWVPVSRGGKRVLTTKEQPFSHDPLCQRLANYREISVNYHFSAEQDDSKALAGLPGRVFLGKLPEWTPDVRFFRSEEYPRVQHAQDCGVRGTLAIPVFEQGSKICLGVIEVVMTTEMVKLRPELESICRALQAVDLRSTEVPPIQPSLKGCDLSYKAALPEIQNLLRCACETHNLPLAQTWVSCLQQTKTGCRHNDENYIHCISTIDDACYVGDPTVLEFHEACSEHHLLKGQGVAGQAFLTNGPCFSPDVSNYKKSEYPLSHHANMFGLHGAVAIRLRCVHTGSADFILEFFLPKECDDVEEQRKMLDALSSIMAHVPRSLRTVTDTELEEESEVIVTSKIENTLVFDGGDEHNDVFGLKRGFEYKSSESSMFFCDGFSSMAEKKRTKADKNITLDVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVECVSGPLPISSFYANFPNLASREPSQQGKTSPPLPQPLQLSKSPVSTYSSSSSQCCSSGATTDPSQGDVRTASSLDETVLTLSSLENNPQGGTHLLSSSQDEDSLRIKVSYGEEKIRFRMRDSRRLTDLLWEIGKRFSIEDMSRYDLKYLDEDNEWVLLTCDEDVEECVDVCRTTPSHTIMLLLHVSSHCFPERSSATGYSLWQ >A10p020550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13947001:13947694:-1 gene:A10p020550.1_BraROA transcript:A10p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNIPVDAVTCSDILKDATKAVAKIIGKPESYVMILLNSGVPIAFAGTEEPAAYGELISIGGLGAGVNGKLSETIAEILQIKLSIDSSRFYIKFYDSPRPFFGFNGSTF >A07p047750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25581822:25582734:1 gene:A07p047750.1_BraROA transcript:A07p047750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHTVKYWLTEHPNIVNFRWSPTQSYASTWFFLFTAVSSYVIAAVSLHILLAVTRRRRGLSLGPIPALHSLAMALISAVIFVGILLSAAAEIRDTRWLWRRTRTTALQWFLCFPVGTRASGRVFFWSYAFYLSRFLHLFRTFFAVIRRRKLSFFQLINQSSLLCISFLWLEYSQSFQVVAILLTTVSYAVVYGYRFWTAIGLRGACFPLVVNCQAVLLGCLTVCHVGVLCIHLVKRGGCNGIGAWLFNSVLNAVISLLYLKFYVKTRSLSLRKQ >A05p019960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9345274:9346467:1 gene:A05p019960.1_BraROA transcript:A05p019960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit V, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55670) UniProtKB/Swiss-Prot;Acc:Q9S7N7] MKVNIHMNRGSRKIELKTLADTPDVSNLQKSADFVYAFMLGFADAISFLRVDELYVESFEIKDVKTLKGEHLFCAIGRLSGKGGKTKFAIENSTKTRIVIADTRIHILGAFSNIKTARDSLYSLIMGSPAGKVYVIEPKKFSPKEEEEDNMATSASALLTPTTFSTVISQKNPNSISFHGLRPLRLGGSSSSLPKLSTTAGRRSSSAVVRAELSPSVVISLSTGLSLFLGRFVFFNFQRENVAKQVPEQNGKTHFEAGDDRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYVLATSSNGYDPSFFG >A05p034980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19770011:19771156:1 gene:A05p034980.1_BraROA transcript:A05p034980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFNMQSVFAGGLTTRKINTNNLFFAGNFPNLKRNFPVGVRCMVEGEPMKDESTPSTSAAQPLPKSSSPPPPPPPTKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVFAQISDGGVSWFLGTTAILTLASLVPLFQGITAESKSKGFMTSNAELWNGRFAMLGLVALAFTEFVKGGTLI >A06p011960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5469783:5474836:1 gene:A06p011960.1_BraROA transcript:A06p011960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLQQAAESGSIDELYALIDENPYILENMDAVPFEMLNLKPSFARKLNTSGYSPLHLAVDKDKTKFVGRMLWLDNGLARVKGKNGITPFLSLVSRGKTNLVAECLLTSPECIQDETVDSQNALHLAVIHDRFEVLQVLTGWIRRMSQRNADSIEYCMAKLLLECRLVQRNQVNGDGLTFLDIFRTQGQRDAGGDLRSQGPRVLGEDLDLEQVVAKTGCKEAASLPRPKARFEILKSPFTFWSFCFTGMRRLRTNTSDESRGVFLIVCTLIITATYQTALQPPGGLHQSEDSNAGSVVMKQAFFILIWVSNTIGFGCAILYTFCLIPLGSLFENWFSWIGTTLCISYALAMAVISPHPLVFLSATFAFFLLIVLYILLEVFIQRWRKHRSMAPKTRLSWFWKADSMPKKSKLEARSDLLKSPVTFWTYNSTVLRGQRQTLKNEARGVFLIASSIINLKLFFPMDPRLEQAAESGSIDELCTLIDENPYILENIDALPFVTTPLHVAAASGNIPFAMEMLNLKPSFARKLNTKGYSPLHLAANMDQNEFVRRMIWLDGDLARVKGRNDITPFLLLVSRGNADLVARCLRGSPECIQDESVDFQNALHLAVIHDRFEVLQVLTRWIQRMSQRDADTIEYRVLNKFDLNYNTPLHLAASKNDRQAYDETVTAMSVGSAK >A02g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8737526:8738466:1 gene:A02g502630.1_BraROA transcript:A02g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMKRKYQPPLGADGHRLCSKPQSKQRIDHKKNKDVPLSTVFARLLHDVTNRKGATRFQTQVTVSNPTNKRVCFDKENNDNPKQTKKSRIHEDLLGSIDECDDLEVECSSQDSSDTEISDDEQSTVQEPEKVDQSGRVNLLAALFKKTFSEVKTKAKAVSSKEDG >A03p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13106139:13108179:1 gene:A03p031160.1_BraROA transcript:A03p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSSAPGDYVYFKSQVPLHKIPIGTKQWRYYDYGPKTVPPLICIPGIAGTADVYYKQIMALSMKGYRVISVDIPRVWSYHEWIQAFEKFLDTIDVHHVHLYGTSLGGFLAQLFAHHRPRRVKSLVLSNTYLDTRSFAAAMSWAPFVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFAVSQVETLSKDDLASRLTLTVEAASVESLPHSDSFITIMDTNDYCAIPQVLKDELAERYPEARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEPRPEVVKSNSRDGTDGTDSNSQSKKKTDEDKEDRSNNTHQGSGSSTSDQSPTFPESSGSSNDPPLPTDSINPVSMDNLLVMQLITGEVYKSCVVFTLCYCTLVLVHGGFISRQSV >A09g514900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44372458:44372836:-1 gene:A09g514900.1_BraROA transcript:A09g514900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAMVVVHADHTYELFSQPISQAEAIFPLQRTSRSQRNAEEADNGDWGEKINGLEKGEQAVHDNLHFLKSYQNKLKR >SC108g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000013.1:411333:412198:1 gene:SC108g500010.1_BraROA transcript:SC108g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGRPVCADGHTQTHTDSHVESPRGPKSPEQSTGRVSVLSPRTNVLLCVLMDSHGRPVCADGHTRTATDVLCVLTDTHGRLVCADGHPRTSSTYSHGRAYVVVVTHRRPVVGLIDSQGDPNHAQTSTGRGQRAESKDNDARDVVLSESHRQQVVVLAGTHRRPVCTEQTAHMGQKSPKQSTGRASVLSPRTNVPLCVLMDSQRRPVCADGHTRIHTASHERHVCVLTVTHGRPLCADGHPQTSCVC >A08p005010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2911189:2912922:-1 gene:A08p005010.1_BraROA transcript:A08p005010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 19 [Source:Projected from Arabidopsis thaliana (AT1G49190) TAIR;Acc:AT1G49190] MSIAHITEDGDKALFLQQETSEINSPLNEFPPSTNVLVVDANLSTLLDMKEIMERCAYHVTAYADAEEAIAFLTKCKHEINIVIWDYHMPGINGLQALAIIGSKMDLPVVIMSGDDQTESVMNAMVHGACHCVMKPVRKEIIVTIWQHIVRKRMMSKPGLVPPVVVHGDCSKQEKDDSVTVDQDDSEESIDKIEEKATQKQTMICIEETQPMQSHLVKSNGSDQDDDDSRSVRNYNYEQSIDKKKERYLKRPRISWTGDLQQKFLEAIDIVGGPKTEASPKVLLKCLHDMNIEGLTRNNVSSHLQKYRLSLEENKIPQQFPETGWSSLSRPSPFLGMNNGFIAPTSLRNWPAVYPVQDNQYQNGYLAINNNQFVTNNMPGFPYSENDHHLQQQHQQRQYQLSNHVMNYMMRNEPQQAYNSIGLTDLEPNIYPSLPYDPNEFLFDGYNFSN >A10g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2051545:2054468:-1 gene:A10g500610.1_BraROA transcript:A10g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQILEFPPGQREFPGVPSHRVRHRRVAEARDSLIAHPLPNLAQGPDVFSWVIPGSTSPGFSSGLTWEHLHQKFPKLSWTRSVWFKGCIPKHAFTFWVAHLDRLPVRQRLVTWGIDVPDTCVLCNRFSESREHLFLECEYSKDIWRTGRDSRLCFPSGLDMTKSL >A07p016700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10270616:10272033:1 gene:A07p016700.1_BraROA transcript:A07p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLSLSAILLFLFFSASSAQHNVRTERISGSAGDVLEDNPVGKLKVYVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYSPIYPTCDLTPTGLPLPFKSPRMMRSAIQLISSNWPYWNKTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLDQGSITIPPFAPPQKMHAHLIPPDVPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPEVDTILTSIPTEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHDASVYLKAGEKVLNWTAGPVGDLKPW >A01p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3102267:3104184:1 gene:A01p006030.1_BraROA transcript:A01p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRNNNDDVDSRLRVKEQQDKLLFHDFLGSKTPTLASTSMLPLDKADKPVTASASSAGGRGGLSSTSDLGSGVGSHLDGIQLFGQRREASGSIMSNRFSGNKRSNSDSHITTQEHLETLHWSKLLRSGPGSHSVNVANQSPRGGGQISHLLHQLSSSRFKDDAVAQTAADEGSRTGMKGPRIMSSFTMPNPSKVECLTPSSTANRKYLTSSTKQMTIFYGGQAHVFDDVHPNKADVIMALAGSSGGSWSTDLSHKLKTKNNTSDGPYKQGQVYEGGGSSRETPFLSPDIRARPVHQDTTSSPCHRIFTQPGREHQGSIISRRRDTRDPVRISDPEKKPHDYV >A07g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18812560:18816040:1 gene:A07g507210.1_BraROA transcript:A07g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGKKKTTKKSGKSTTAPVAEEHVEELSDGNNSDDMCDPPSEGMKGLKRKRLFTGGGVSSRTRARKAVSNRNEPVREESNPVRGTTVVSLSLDTESEGMSAVSSKQKKIWWKELFESDVAARKFTKTKDKEKVTIVEGSSSNSSLESMLKGVEERIVKAMEEGFSEINLTVETKLEAMNLRMGKLEKNQRILKKKTKKIEDKLTSIESKGNEDEEYRQWNDFDYGRDHGKDREMAEAEKAETGKKISEKGEEDEENSGKDEEDEKNSEKGEEEKDQEPEKDKENSDSVEKGEEYVEESDEENSLLRLHERVRVQAEEFWRTVDDESEAEKETEKEAEKEAEEEGEKEGEEEAEKEVQEEKESEEEGEKEAEKEAEKEVQEEKEAEKEVQEEKEDEKEAEKESEKEVQEEKEAEKEESKGTPTSTEVIVITPRGRTKAAAARKAISISPEIIVVTGIAELAEKEVEVEATQTEQEAIQTEIVEKEAEVTEKDAELAEKEDQDVDEEEEKAEESDKNPDVDQDVEEEEEKAEESDSYPDVDQDVEEEEEKTEENEDNPVESPSEKHAELAEKSVESDVDLDVEEVEKKAEEIEDNLVESPAKKQTELAEKSVEVELKTMRKPRVKVIAVPYGIPRAERLAKMRAEAEKKKARAEKKKAKADGAPKKKGRPKKTEATLKPCTPLPEKRKSEPSRWVQSPFTEGKTDELEVPKKKLKTKT >A07p010210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6392503:6393423:-1 gene:A07p010210.1_BraROA transcript:A07p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSHSTGSSQFAEKITDDPVTYKTAQSTVTCIYQTHISGFWRNVTVLWSKNLMNHSLTVMVTSVEGDMNYCCKVDLKPWHFWNKKGYKSFEVEGNPVEVYWDFRSAKFTSSPEPSSDFYVALVAEEEVVLLVGDYKKKAFKRTKSRPALVEAALFYKKENVFGKKSFATRAKFYDRKKEHEINVESSISGTKEPEMWISIDGIVLVQVKNLQWKFRGNQTVLVDKQPVQVFWDVYDWLFSAPGTGHGLFIFKPGTAEDSDMEGSGHGGGGGGESDTSTGSRYHSTKSGSWPPEFCLFLYAWKLE >A06p049660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26212491:26217919:1 gene:A06p049660.1_BraROA transcript:A06p049660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASRYKGVVGLVFGDNPSSNEDSYIQRLLDRISNGTLPDDRRNAIVELQSVVAESNAAQLAFGASGFPVIVGILKDQRDDVEMVRGALETLLGALTPIDHARAQKTEVHAALMNSDLLSREAENITLLLSLLEEEDFYVRYYTLQILTALLMNSQNRLQEAILTTPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGDVVVQDCLELLNNLLRSSSSNQILLRETMGFEPIISVLKLRGITYKFTQQKTVNLLSALETINMLIMGGADSDPGKDSNKLANRTVLVQKKLLDYLLMLGVESQWAPVAVRCMTFKCIGDLVDGHPKNRDILASKVLGEDRQVEPALNSILRIILQTSSIQEFVAADYVFKTFCEKNREGQTMLASTLIPQPHPRTRDPLEDDVNMSFGSMLLRGICSGETDGDLETCCRAASILSHVLKDNIQCKEKALKIVLESHVPSMGTPETLFQRIVRYLAVASSMKSKDNSSTMGKSYIQQIILKLLVTWTVDCPAAVQCFLDSRHHLTYLLELVANPAATVCTRGLASILLGECVIYNKSNENGKDAFAVVDAVSQKMGLTTYFSKFEEMQSSFVFSSSEAPRQGDKPLSRTATPSEAYEDADAAKAMDKGNEDHPMLISLFDPSFTGLVKSLEGKIRERIVDVYSRPKSEVAVVPADLEQRSGENEKAYINRLKAFIEKQCSEIQNLLARNAALAEDLASSGRNEESQGSVQRSSSVMEKVQMESIRRELQEASQRLETVKAEKAKLESEASDYKNMAAKLESDLKGLSDAYNSLEQANYHLEKEVKSLKGGEDPMEFPDIEAIKEEVRKEAQKESEDELNDLLVCLGQEESKVEKLTAKLMELGVDVDKLLEDIGDESEAQGESDEEEEDH >A07p049750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26551599:26553747:-1 gene:A07p049750.1_BraROA transcript:A07p049750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCHSILSPYSNPMLINTTRREPKILAAVLAPQAKKTVEKMDFICCLRPYHSCRGISVLPAFGISTRLPAANRFLPTTSLRLTTPFLQYPKFSIETYAKKNKKNTKTTIFEPKPNKEDESLIEEEEDEEEEQVLLEDVLDELLTDEEDEEDDFYLEEEEEEEELYAGDGGGGGGIKLAGTTWDKEALSLAEKACESFNGELGIYAFKTLPNSTVQVRIERLSNKSGSPTMEDIEAYSKIYRAKLSEAELAKSIPDSISLEVSSPGVERVVRVPQDLDRYKDRSMYVRYANEEAETDGDGIFRLVSFDVEAKSCVWGIADIRVNREKAGKGRPLSKKQREWRLETSFESLRHLLVRRNVVSSSTHGDDATDTLSLQHVIKGLVDLRERHRVSDELLKLQLLVHVLFDHPGNVGFGFVVPKESTLECPLVQEVHRMSLEGIVFARHTNQHRNTPAL >A09p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13480169:13488259:1 gene:A09p024360.1_BraROA transcript:A09p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCGSIRSIFMHADRVDWMLIGLGLIGAVCDGFITPTVFFITGLLLNDLGGSFSDRTFMTAISKLPNFLMNASAFVGSYVVAFIMMWRLTIVGFPFIVLLVIPGLMYGRALISISRKIREEYNEAGSIAEQAISLVRTVYAFGSETKLVAKFSVALQGSVKLGLRQGLVKGISIGSNGISYAIWGFMTWYGTRMVMYHGAKGGTIFAVIICITFGGISLGRGLSNLKYLSEAVVAGERITKVIKRVPDIDSENPQGQMLEKIKGEVQFKHVKFVYPSRPETPIFDDFCLRVPSGKTVALVGGSGSGKSTVLSLLLRFYDPVHGEILLDGVSINMLQVNWLRLQMGLVSQEPALFATSIEENILFGKEDASMEEVVEAAKASNAHSFISQFPHGYKTQVGERGVQMSGGQKQRIAIARAIIKSPAILLLDEATSALDSESEKVVQEALDNASVGRTTIVIAHRLSTIRDADVICVVHDGRIVESGSHEELMENLDGQYASLVRLQQMDNEDSDVNNNISVRVQGGQLSVLSKDLKYNPKLSTESGSNLLPNTSVESNLPGSVPKSKKPPLPSFKRLMAMNRPEWKHALCGCLSAALYGAVQPISAYVSGSMVSVYFVTSHDEIKEKTRIYVLVFVGLAMFNFLFNIIQHYSFAYMGGYLTKRIREQMLSKILTFEVNWFDEEENSTGAICSRLAKEANLVRSLVGERVSLLVQTISAVAIACTIGLVIAWRLAVVMIAAQPVVVVCFYTQRILLKSMSQKSIKAQEESSKLAAEAVSNIQTVTAFSSQERILKLLKTVQEGPRRESVRQSWLAGSVLATSRSLVTCTTVLNFWYGGRLIADGKIVAKAFFEIFTVFVSTGRVIAEAGTMTTDLAKGSGAVGSVFGVLDRTTTIDPESPNGYVPDKIKGQIRFHNVDFAYPTRPNVVIFKDLSIEIEQGKSTAIVGPSGSGKSTIIGLIERFYDPLKGSVIIDGRDLKSYHLRSLRQHIALVSQEPALFAGTIRENIMYGGASENIDDSEIIEAAKAANAHEFITSLSNGYETNCGDRGAQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQEALERVMVGRTSVVIAHRLSTIQNCNVIAVLDKGKVVECGNHSSLLAKGPTGAYFSLVSLQSNLC >A07p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21315436:21317292:-1 gene:A07p040140.1_BraROA transcript:A07p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:Projected from Arabidopsis thaliana (AT1G65650) UniProtKB/TrEMBL;Acc:A0A178WM46] MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLKPVYGLIFLFKWQAGVKDDRPTIQDPVSNLFFANQVINNACATQAILSILLNSPQVDIGPELSTLKEFTKNFPSDLKGLAINNSEAIRTAHNSFARPEPFVPEEQKTATKDDDVYHFISYVPVDGVLYELDGLKEGPISLGPCPGDQSGIEWLQLVQPVIQERIERYSQSEIRFNLLAVIKNRKDIYTAELKELQRQKEQMLLELAGAEKSRAGELEVLIGEVRSGIEAVSDKIVMEEEKFMKWKTENVRRKHNYIPFLFNFLKLLAEKKQLKPLIEKAKKQKTESST >A05p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26325711:26326957:-1 gene:A05p043680.1_BraROA transcript:A05p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGVEHEITELRLGLPGRDVTEKMMKKRGFTEMIMTSSGSNSDESGVVSGGDVEKINESPTAKSQVVGWPPVCSYRRKNNCKEAWTTKVGLGYVKVSMDGVPYLRKMDLGSSQGYDDLAFALDKLFGVRGIGVALKDGDNCEYVTIYEDKDGDWMLAGDVPWGMFIESCKRLRIMKRSDATGFGLQPRGVDE >A08p002910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1588652:1592337:1 gene:A08p002910.1_BraROA transcript:A08p002910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSYKDGKMGCMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVHENSYFRQHTPNPTLPAKDTSCESVVTSGQHQLASQNPPRDASPAGLLSIAEETLAEFISKATGTAVEWVQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGLEPTRVAEIVKDRPSWFRECRAVDVMNVLPTANGGTIELLYMQLYAPTTLAPPRDFWLLRYTSVLEDGSLVVCERSLKCGPSMPPVQHFVRAEMLPSGYLIRPCDGGGSIIHIVDHMDLEACSVPEVLRPLYESPKVLAQKTTMAALRQLKQIAQESSQTNSSVNGWGRRPAALRALSQRLSRGFNEAVNGFTDEGWSVIGDSMDDVTITVNSSPDKLMGLNLTFSNGFAPVSNVVLCAKASMLLQNVPPAILIRFLREHRSEWADNNIDAYLAAAVKVGPCSARVGGYGGQVILPLAHTIEHEEFMEVIKLEGLGQSPEDAIVPRDIFLLQLCSGMDENALGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSTKEASSPNRTLDLASALEIGPAGTTKASTDQSGNSTCARSVMTIAFEFGIESHMQEHVASMARNYVRGIIASVQRIALALSPSHISSQVGLRTPLGTPEAQTLARWICQSYRCYMGVELLNLNSEGNESILKNLWHHTDAIICCSMKAMPVFTFANQAGLDMLETTLVSLQDITLEKIFDDSGRKTLCSEFPQIMQQGFGSLQGGICISSMGRPVSYERAVAWKVLNEEENTHCICFVFINWSFV >A08p016730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11824759:11826291:-1 gene:A08p016730.1_BraROA transcript:A08p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTSSEFLYVEIKPPGIHFLERFKRSGKLSFKQYQWLVFGLTFVAYIAFHATRKPNSIVKGTLSKSPTGHFKATDQGGWAPFDGPDGTALLGQIDLAFLSVYAVGMFVAGHLGDRLDLRTFLTIGMVGTGVFTALFGVAFWANIHSFYYFLAIQTLAGWFQSIGWPCVVAVLGNWFDKKRRGVIMGVWSAHTSIGNIVGSLIATGLLKFGWGWSFVGPALLITFLGLVVYLFLPVNPPAVEAERDGTEVDSTMRLGDTITESFLSSRMSTGFDRRAVGFLAAWKIPGVAIFAFCLFFTKLVSYTFLYWLPFYVSQTEIGGEHLSQETSGNLSTLFDVGGVVGGILAGYFSDQLDGRAITAGGFIYLTIPALFLYRTYGHISMTINIILMFTAGVFVNGPYALITTAVAADLGTHKSLKGNARALATVTAIIDGTGSVGAAIGPVLTGYIAAISWDAVFYMLMTAALISGLLLTKLIITEVKTLLYGSSEEDEIVSAASEPRPPIDVLI >A04g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1363192:1365205:1 gene:A04g500420.1_BraROA transcript:A04g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVPGVLLKHINTDVKIAGEHMSSLLQVISIVPALAGGELFPNQGFYLKVSDSSHATSVSLPDEHDDLILSDKLHQERGRSPLGMESPTIGKKLPMNKSLVHGIEFGAKALRKLGREHRGGDASKSQVGMFVALAIFLRCKPEALTSVLPTLREDPKYQGHDKLPLTVWMIAQVESCSIGYCYMKDRDLKPENFLLLNEDENSPLRTIDFGLSDFYKPGDVFKDIVGDRCLLHSTRGFEKEFRSCYLKYWCHIVYHLMWCLTLLDW >A03g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5387971:5392226:1 gene:A03g501730.1_BraROA transcript:A03g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYTSCADPSESAARRERLRLAEEHGEIEQTALKMVRASLATQQESPISEENAEPLERVPVRQRLGPPPSAKQRLGPLPSEEVSDPPNAGPIVKRKPGRPPGPRKVAGSPKRVTGTSARKRLVQQPKPTCRRRTQTSKDGKSSSPEDVISRAISSAREWLEAQEPPVSGKGQHVVGEPTLTNCYKG >A05p024740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12072613:12083689:-1 gene:A05p024740.1_BraROA transcript:A05p024740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTELCDIIAENPKQFSEKLAWICGRCPQTEWLLAESPRVSRSHLNAVLAVARIISKNPESTDTRAKSVVTDFLSSAVPASFRRSFWPHSFPSHSISAFYSDFLTYLSCAAHLSPEFATEVARFTGEVVIAATSCRESDGGDPSISKAFLVALSQNFPSILQSDGDKLITMLLDQFVVSPSPKEHQRQQNSDETSSAHSSAQTEESSPASTVSSMSSSVVVNGGSIVWKSGVDQLSFGFSEGSGGSNPVFTQQVASFEDESIESLEMQEISFRLITHILDKVKIDSKLLDQVSFIAKKQLQSMSAFLKSRKRDWNEQGPVLKTRVNAKLSVYHAAAKMKIKSLVSLETDGKTSKRLVLETLALLLDAADACLTSVWRKMKACEELFGSLLSGIAKIAVARGGQPLRVLLIRLKPLVLAVCSQPDTWASNQGAMIESIFKTSCEIIESGWDKDRAPVDTFIMGLASSIRERNDYEEQVDKEKHVPAVQLNVIRLLADLNVAVKKPDVADMILPLFIESLEEGDASTPSFLRLQLLDAVSRIATLGFEKSYRETVVLMTRSYLSKLSSVGAVESKTSAPEATTERVETLPAGFLTIASGLTDTKLRSDYRHRLLSLCSDVGLAAESKSGGSGVDFLGPLLPAVAEICSDFDPTLDVEPSLLKLFRNLWFYIALFGLAPPILKAPTPAVKSTSNSANSSGSMSGLQAVGGPYMWNTQWALAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGNGNEKVASTQRLALSTALGGRVDVAAMNTISGVKATYLLAVALLEIIRFISNGGILNGDSSVSASRSAFSCVFEYLKTPNLTPAVSQCLTAIVHRSFETAVSWLEDRISHTGKDALNRELTTYAHACFLIKSMSQRDEHVRDISVNLLTQLRDKFPQVLWHSSCLDSLLFSVHNNTPSTVVNDPAWTAAVRSLYQKVVREWIIISLSYAPCTSQGLLQDKLCKANTWQRAQTTTDVVSLLSEIMIGTGKNEIWSGIRTANIPAVMAAAAAASGANVKVSESFNLEVLGTGVVSATVKCNHAGEIAGMRRLYNSIGGFQSSSTPSGFGGGLQRLISGAFSQAPQPEDDSFNEMLIARFVRLLQQFVNTAEKGGEVDKSQFRETCSQVTALLLSNLGAESKTNVEGFSQLLRLLCWCPAYISTPDAMETGIFIWTWLVSAAPQLVSLVLAELVDAWIWTIDTKRGLFASDVRYSGPAAKLRPHLAPGEPEESPESDPVDQIVAHRLWLGFLIDRFEVVRHNSTEQLLLLGRMLQRSTDLDWCFTRHPAAAGTFFSLMLLGLKFCSCQTQGNMQKFRSGLQLLEDRIYRTSLGWFAHQPEWYDVNIPNFCQSEALSVSVFVHFLSSELSDSSQSDSKGKPRESGNLIDVTDHYHPVWGEMDNYTVGKEKRKQLLLMLCQHEADRLDVWAQPISSKDSPYSRLKISSEKWTEHAKTAFSVDPRIAISVASRFPANAAVKSEVTQLVQTHIVDLRTIPEALPYFVTPKNVEEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDEGRLVEGYLLRATQRSDIFAHILIWHLQGEAVQETPKEGSIDKNAAFQEILPEVRQHIIDGFTPSALDMFTREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKIEMQGDDLYLPTAPNKLVRGIRVDSGIPLQSAAKVPIMITFNVVDRDGDHSDVKPQACIFKVGDDCRQDVLALQVISLLRDIFQAVGLNLYVFPYGVLPTGDERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSATFETARENFLISSAGYAVASLLLQPKDRHNGNLLFDDVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSKTWHQFVSLCVKGYLAARRYMDGIISTVQMMLESGLPCFSRGDPIGNLRKRFHPEMSEREAAHFMIHVCTDAYNKWTTAGYDLIQYLQQGIEK >A07p025340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14615468:14616342:1 gene:A07p025340.1_BraROA transcript:A07p025340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRSGKSNHHQDNDEEVHHQNSHLTSFKFAKLFDSEASWDKDQLGDVLHWIRQVVGLLCGLLWGAIPLVGGIWLLLFLAISSGIVYGYYALILKIDEEDYGGHAALLQDGLFASLSVFLLAWILVYSLSSF >A02p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23508985:23511048:1 gene:A02p039530.1_BraROA transcript:A02p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPIKYWLRENMDFDQASKGHVLAHIRSMFFNFQSPGRGYIKRQSNTIGVGNIGGLPQPSCDPSIHPVFHPKFISKPNQYRPEVWRCMYSRKIASKLQGSKMDLKSNPFQVGGNDVPLSSTLCKTYMHGLIMGSSKDICSLFDSYLSHHEPSTHEITWRMFSTQLRSSSKKNYIIRSSYLTVMPFTNQVIFSSREFIPPKKLEMANLLSDKPATNSVMPKVIIHVLNVQESLGLDDFQKDSKTYLFGPNGKTDKILTKEKYGFRPGLKGTCICSYQEHIFPFQSPGRGYIKKKSKFQSKTLFSQTCESYQATMREPSFGCLVSNIKHNWSRECRRPSATFVRPFNPSSSPSGVHIQTEPVEDLKDPRNGSLYWYILNMNSGWRTGWIEGSHEGCGKPLIFPTPMVLDMTHKTTK >A09g509970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:28954383:28956638:1 gene:A09g509970.1_BraROA transcript:A09g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFERKRNHEEKAECKATRELQRKKKQIQIPPFYGDYDPDTYLEWEKNMDLIIKCQGYTAEKQAQLASTGLCGYALDWWYQTANTRRQYGEQQISSWYEMKAVMKKRFVAKRYGQTDLERKHSQSGSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSVSIRSNNNLVQKTVSYKLDLQGFFTPEKQDLRSNLFEGREDGVILSICSKNRGETGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDTMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSHDEHKEQLGRTWNKMDRAQERVVRKRPLSARKVEGQASLVYDWPNMIMHDHYGLF >A10g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1354197:1355356:1 gene:A10g500450.1_BraROA transcript:A10g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECGSYYSGSDLSAMAWGLFSNAPSGPLSGQRGAYCGHLRLGEFCSESDGVWSSVRLQLCQIYGPCGYGAVHGSSSCWKSWGWALLFAGSGQAFLNMSDVGSAVDAAARWCGVAIRAAKVVARSEQLRHTAAPLSKISGLYRDELSIPNAFTGALSGQTQNIKEAVGAEWVLRMGQISVARAEDRLAPIEWILAV >A05p016500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7339012:7344909:1 gene:A05p016500.1_BraROA transcript:A05p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEESLCFDPTPLVDAILDSNDSYCEPNTATETGFPVSHQFQLPILVAGNTTNNFNDDVKLPTMEEFSVFLSSETQNLISNDNNNNHMIQQMIHGSNWDNSGLLMNTSVPNTTTTPTPDLLSLLHLPRCSIPLPSSNVSNIMSGSCFTYDPLFHLNLPPQPPLVPSANYDYSGFFLDTNTTQRDQPTAGDENNNAQFDSGIIEFSKKIRRKGRGKQKNKPFTTERERRCHLNERFEALKLLIPSPTKADRASILQDGIDYIKELQRRVSELKYLVERKKCGGRHNNNNNNSDDCINEDTNDDENIVKKLESDVLDQCPSNNSLRCSWLQRKSKVTEVDVRVVDDEVTIKVFQKKKINCV >A06p057200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29730535:29732141:-1 gene:A06p057200.1_BraROA transcript:A06p057200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) UniProtKB/TrEMBL;Acc:F4I890] MASLFSFSSLLQPKVSFTSPLKPKAVKTSTSAPQTQTITEGLEEKFGRKGIKFSEPNMVELKVRNGSSLKLSLADAHVVSYQPKVYWKDDGFEEVLYTVEDGNKTRGGVGLVIINEEEASSVISGCNWTVKDTDSDAIDALQIELSCTAGFLDITYIVSLYPVSIATAVVVKNNGRKPVSLKPGIISSLRFKKRSGAGIQGLKGCSYCPTPPLSSPFELLSPSDAMKADSSGWFGSEDGEKPGVWAVEDSMITLLEKKMSRVYAAPPAERLKAVYNTPPSKFETIDQGRGLFFRMIRMGFEDMYVGSPGSMWDKFGKQHYFVCTGPASMLVPIDVGAGETWRGAMVIEHDNL >A05p007500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3030323:3031276:1 gene:A05p007500.1_BraROA transcript:A05p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ABI4 [Source:Projected from Arabidopsis thaliana (AT2G40220) UniProtKB/Swiss-Prot;Acc:A0MES8] MDPLPSQQEQQHNLDDTIQQTLAQNPQSDSTTTTTDSASPAQQRKRKGGPENSKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAVFLYGSRAQLNLSPSSPSSVSSTSSSVSAASSSPSSSSSSTQTLRPLLPRPSAASLASSFIPCGLPFTNNIFLSGGTSTFCPSYGIFPHQQTQMTQVGQFQHQPYQNLHSCNNNNKIGDVEQTDVPLVKSPSFHHEVALGQEQGGSVCNNNNNSMENLNSLVGSVGSTVVDPVSSMGMDPGYNIVGDGSSPVWPFSGEDEYSHWGSIWDFIDPLLDI >A07p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21539351:21540603:1 gene:A07p040670.1_BraROA transcript:A07p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLNDHPNEPNYIAKQNSSSSEDLSSPENYGLASSSSTMNSDHQQNHQGIVFYPSGESIENHNSLLDFNGSSFLNFDNNNHPTISCGGGFSFLEGNNMSYGFTNWSQHHMDIISPRPIEAPGINQGHKEWLYSDSTAVTTGSRDESLSPKSAGNKRSYTGESIQPPSKKPRSGANGKAKPKPITPPKDPQSLAAKNRRERISERLKILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPDISQVRDAIDAILSSSQRDKNSTPITNK >A02p053140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32367857:32368470:1 gene:A02p053140.1_BraROA transcript:A02p053140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCTCHICFNCRPDLLKPYADNFCYDYIVEAALRHIYKHGVSQEITSLYSCQHKPPSLIYYTPQLNRNWIRYVEPITTLQDMLYHLRSHPIGADIIEFSLFRMGNNIYNAPRAEGVDFLVYHAVITESVMLYRGEYVAYCKMSNGVDAGNDGYTCGSHNTLPAAPKV >A02p056030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33861584:33874233:1 gene:A02p056030.1_BraROA transcript:A02p056030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDHSKTKLELGNLVNLETLECFSTKHGRVTDLKGMTRLRSLSIYIGDQRYTMETLSSSLSKLSDLESLTIDNYDGFYTPTNDDEEGFVWDFFNLKQLNLEIYMPRLPDAQRFPSHLKTIELAYCCLTEDPMPILEKLLHLKEIRLWSKSFCGRRMDCSRDGFPQLQKLRFDGLEEWEEWIVEEGSMPLLCTLWIYGCRKLKEIPDGLRFITNLEDLIVYDVGDEFDLRLSEGYLLSHLTTISLSRCRLTEDPMPILEKLLHLKEISLLDRSFSGRRMVCSRDGFPQLQKLKFYGLKEWEEWIVEEGSMPLLYTLDIWSCRELKEIPFRFITSLHDLAVGQSSIRLLETCLPSFLTTISLIDFRLTEDQMMILEKLLHLKDVQLNRCFCGGRMVCSRGGFPQLKNLKFKRLEEWEEWIIEEGSMPLLHTLKIDSCPKLKELPDGLRFITSLKSLTCCYMGKGWEKRLSKGGKDYYKVQHIPSWQTDILQLKRTRTHEEWMTRRLMAELAVALLPFAVERLWNLLVRETEQFQGVEEQFKGLKNDVETLRCFLKDAEAKKHSSAMVRKVIKDIKEIVFDAEDIIETFLLKKELGESSSSSFKRFAYVTVKRMGLGFVMKPISKRISKVILDMQNLGVQKVIVNEEYMQSLLVKEKEMRQTFPTSDEDHLVGLERSMMEGELLKEIVRVLETQKALIVIDDIWREGDWDLIKHVFLPKKGWKVLLTSRNEEVALHADRQCVTFKPECLTFEESWDVFQRIAFPIKDTSEFKIDEDMKQIGMEMIKHCGGLPLAIKVLGGMLRDKYTLHQWKTIHENIKAHIVRGSGSDDRNVKLQVYDVLHLSFEELPAYLKHCFLYLASFPEDYKIDVESLSYYWAAEGILRPMDFDGASIREVVDGYIEELVKRNMVISKRDVDTSRFKTLQLHDMMREVCLRKAEEENFVQTICRSTANSRSPCKSRRLAVVRRPGETFNVDTEVKNPSLRTLLFIKNGELKATSLFFTSHKLMRVLDLSWAMFEGGKVRSSIGKLIHLRYLNLEMSIVNQLPSSMRNLKKLLYLNLAVYDEVYIPNILKEMQELTFLWLPWRLHDKTKLELKNLVKLETMKNFNTKHGRVTDLQGMTRLRSLSIFITDERYTMETLSSSLSKLSHLKSLTIYNNKEFYTPTNDDEEGFVWDFVNLKQLKLEIYMPRLPDAQRFPSHLTTISLKQSRLKEDPMPILEKLLHLKETSLRDQSFCGRRMVCSRDGFPQLQKLKFDGLKEWEEWIIEEGSMPLLHTLEIGSCPRLKELPDGLQFITNLEDLRVNYMGDEFTLKLLEGYLPSHLTTIELTYCCLKEDPMPILEKLLHLKEISLKSKSFSGRRMVCSRDGFPQLQKLLFMGLLEWEEWIVEEGSMPLLHTLDIWSCRELKEIPDGLRFITSLHNLIVGESSIRLSETCLPSFLTTISLIDFRLTEDQMMILEKLLHLKDVQLNRCFCGGRMVCSRGGFPQLQNLEFKRLEEWEEWIVEEGSMPLLHTLNIESCPKLKELPDGLRFITSLKSLTFYNMGKRWEKRLANGGKDYYKVQHIPSVKFMANRDFTSEIYWHTDAVKLFDEVSN >A03g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29019691:29020337:-1 gene:A03g508520.1_BraROA transcript:A03g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRDDGFASQIRAHPPADLHAVAAWILSSSDRISREEVTLLKLIFQSTIYLIWKERNACIFTSVSTSSSGIHLALDRLLRDRLLSFSASPPAGPSLLSLYFASYRPP >A01g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5825921:5830217:-1 gene:A01g501560.1_BraROA transcript:A01g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQNKTCLHKDSTEATFDVEFGGLRQEDETKTVYANSMTAREMESLVAICDTLIPSLDASEVGHLDDGVAGYYSASASHTGTPDRVARLMSERLHHPKKWILRVGLWSLSTWIGSLVMCGRRSFTGEYPYFRRFCWLPETQREEILLIWASSYFILLRMFFRSIKLITAFVFFTQGPYVPVRFTLSVWWMKKEITWRGKQ >A10g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3017013:3022004:-1 gene:A10g501060.1_BraROA transcript:A10g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKAKKKPTATPEPDSPQDASGSFQPDLRLPPRLFATDRFPTKRLNIYSSPEILPFLRHVLRDTKEFQIIRESCFGKLFDIPARQCPVSAKLIHSFLTRQLVCLPKNTLWSVLVGTLSAMVFKSLGRIPPRHHKASCCGERRSLEETVWEEDDAQTRLFQTSRLPFDTSIGGISRHPPAVIFHSCGTRPAHTHGLRRWLSTTTQIHRFYRCRVEFSSDLAVSPIIPIESQPQSGWGEWPNDPKDDCVIYMEQLIADQFTFNKGMWPAGVTTEPLLIKPKARGHRKGMFPTRVKQSLKPKKVIKKETSSRKQRRISSYFTRSSVASFTNEQLSEMVLKLQKQMKQLHKLLQKKKKTSHPMQRSFHTLISRSKKPATSHKARHEAPIHQDAPDTSHHQHQPVQAPPLDDDHPTDQSVLLRLWDVDAMETDEQPSSQSPIISQYAVHLQRQASASLNIPLQTTPNDSPPRTPTPFSSPDSVETIHTPTVHGLSDHNHTTVHTSPDHNSDSEMIPPDENTTNTIPEDDIQTTPNQPIVPPHPHRHLSNRPEPKSVIYDKSDHPNSPEINHILCHGLRIYDPISPDPPLSNPPIFDSTIGPSSAPDIPLRLSPLPFTPLTSPAKSNDNGLGFLSHTATPNAFAATASTSPPVIGRPTIVPAPADESQGDDEAVIDLTQTKDPPRHVPSMEENHLAKELFSSPLVPAIALISPLPQMEWDLFEKILKANINVYHTTRFEFEFSNKSLLQLAEPKQWTTTYQMELLVHMLSARHSDILQREHAAFAPPTLAKIIQDNHAIQFDKALIQLNSLIADKATSSGTNDNSTIATKDTLQPPNQPSDANNSRAQLINIAVAAIVVGTMTWIYAKITN >SC237g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000088.1:1041:1494:-1 gene:SC237g500010.1_BraROA transcript:SC237g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMSQGCKGKAPLPFQLIPTAHLIHLNLPFPPMDCTVDGTDLLSVPLALSLYIALSSFLVAPDIFMAHPRLYKAVLNALSLHQPSIFSLTPPQPSHDQSKSFLDLTSQDNSFRTLLKLD >A03p054980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23687824:23689120:1 gene:A03p054980.1_BraROA transcript:A03p054980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAIFFFVSAVCLSSLAGVANADADDFDSFQIQGSVYCDTCRVQFVTRLSQFLEGAKVKLECRSRTNGTVTLTKEAVTDKSGSYKMEVTGDHEEEVCELVLLHSPDSGCSDVSTEAYLRNAAKVSLTANDGIVSHETRIVNPLGFMVKTPLADCPAAFKELGIVPDVIF >A02g512370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33502424:33503706:1 gene:A02g512370.1_BraROA transcript:A02g512370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSDNRFQAHYNSSGMHSVVIFLRVPDRTASSLLRCYLPLSWKMENFILLLCFWKRQVPLGVFREHTINFSTSWFVAVHAAVPFILKLKKSLRSRDSSLSSEHYCLRAADANKISETSTVSGSGYNKISDGSGFAKGNCGAGEGVREIYYNVIV >A08p037910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22043525:22054439:1 gene:A08p037910.1_BraROA transcript:A08p037910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G20480) UniProtKB/Swiss-Prot;Acc:Q84P25] MLIFSIGSLPCIALPRLLPFAGLHLNPRKFSSDAFNTSSKPGLATPGLHHPFNHLNKMAVCKRSSSSSSLSPIHPRNGFCYANSTFYSKRNPLPLPANSSLDVTTFISSQAHHGTTAFIDAATGHRISFSDLWRAVDNVADCLYHDMRIRRGDVVLILSPNSISIPLVCLSVMSLGAVVTTANPLNTASEISRQVADCNPKLAFATPDQAPKLAASGAISVVLREDDEERVGSTLGVRVVGSLSEMMKKKPSERRVRNRVNRDETAMLLYSSGTTGRSKGVIISHGNLIAHVARYIAEPLEPNQTFLCTVPLFHTFGLLNYALATVALGSTVVILRKFDLHGMMAAVEKYRATTLVLVPPILVAMINGSDLIKARYDLTLLKTVRSGGAPLSKEVTESFLEKYPTVDIFQGYALTESNSSGASTDSVEESKRHGAVGLLSSGVEARVVDPDTGRIMGVNQTGELWLKGPAITKGYYRNEEATKETINSERWLKTGDLCCIDDEGFVFILDRLKELIKYKAYQVPPAELEGLLLTHPEIVDAAISRQRSRAASDGVHCKKNRKLVIREVIDGVCSQTSGTIQESTKGGFRVFHTKKSIREDSAQGPHQARNFQLHGLKLKMTNSIRSSSTSSSLIDPRSGFCNANLTFYSKRNPLPLPANTSLDVTTFISSQPHRGTTAFIDAATGRRISFSELWTAVNRVADCLHRDVGVRKGDVVLVLSPNSISIPIVCLSVMSLGAVVTTANPLNTAGEISRQMADSNPVLAFTTPELSQKLAGSGISVVLERMGPTRGVRVVGYLSEMMNKAPSGKNRVRDRVHQDDTAMLLYSSGTTGRSKGVVSSHGNLVAHVARHLVEPMDPHEIFLCTVPMFHTFGLLSYVMATASLGSTVVILRRFELHEMLAAVEKYRATTLVLVTPVVVAMMNGADLIKAKYDLSSLRIVRCGGAPLSKEVTEGFIEKYPTVDIFQGYALTESNGAGGSIDSVEESRRYGAVGLLSSGVEARIVDPDTGRVMGVNRTGELWLKGPSIAKGYFKNEEATKESFNLQGWLKTGDLCYIDDDGFLFTVDRLKELIKYKGYQVPPAELEALLLNHPDILDAAVIPLSLVDLLLQFPDKEAGQCPMAYIWRKPESDLSHIQVINFISEQVAPYKKIRKVAFIDSIPKTPSGKILHRKSGFCESNSIFYSKRDPMVLPPPNQHLDVTTFISSQPHRGKTAFVDAVTGRRLSFSELWLGVKRVAACLYSLGVCKGDVVIILSPNSILYPVVSLAMMSLGAVITTANPISTSGEIGNQLHDSLPVLAFTTCQLVSKLAVASAAASASKLPVVLMDENNGVEAHEGVKIVGSLETMMESEQSESRAKQRVNQDDTAALLYSSGTTGKSKGVMITHRNLIALVQTYRLRFGLEQRTVCTIPMCHVFSFGGFATSLIALGWTTMVLPKFEMSKLLSAVETHRPTHLTLVPPMVVAMVNGAKEINSKYDVSSLHTVVAGGAPLSREVIEKFVKSYPNVKVLQGYGLTETTAIVATMFTKEETERYGSSGLLSPNVEAKIVDPDTGRLLGVDQTGELWLRSPTVMKGYYKNEEATAETIDSEGWLKTGDLCYIDCEGFVFVVDRLKELIKCNGYQVAPAELEALLLAHPEIDDAAVIPIPDEKAGQYPMAYIVRKAGSNLSESEIMGFVAKQVSRYKKIRKVEAVSTIIISYFTTPSHSQTNLQTKISNLPPKQKKSPARTDQEPDKCAHKMVTRLHHP >A05g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13866932:13868056:1 gene:A05g504980.1_BraROA transcript:A05g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYPAGRAGIRKNWSLSPSSYDHRTSLSPSSVPDWSLLLEELLHIISKNMDDCFDVVHAHSVGTLCAMLRIEMAGREMRVGTSQLPSVAHVLMKIGVQRACTAQACASRGSGLGSSSRNCIPRGPVPRRPALTVYCSGAEQGGSVQPNFHIYL >A02p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:968103:972730:-1 gene:A02p002330.1_BraROA transcript:A02p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKPPLASGLARTRSEQLYETVAAAIKSPHGSMDANGVPATAPATTGSGGGTLSRKSSRRLMMGVSPGRSGGAGTHIRKSRSAQLKLELDEVSSGAALSRASSASLGLSFSFTGFAMPPEEISDSNPFSDDEMIPEDNENKKPKFQAEPTLPIFLKFKEVTYKVVIKKLTSSAEKEILSGINGSVSPGEVLALMGPSGSGKTTLLSLLGGRISQSAIGGSITYNDKPYSKHLKSKIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTREQKEQRAIGVIQELGLERCQDTMIGGAFVRGVSGGERKRVSIGNEIIINPSLLLLDEPTSGLDSTTALRTIQMLHDIAEAGKTVITTIHQPSSRLFHRFDKLILLGRGNLLYFGKSSEALDYFSSIGCSPLIAMNPAEFLLDLANGNINDISVPSELEDRVQVGNSDRESRSGKPSPAVVHEYLVEAYEARVTEQEKKKLTDPVPLGEEEARAKVLRLNRQWGASWWEQYCILFSRGLKERRHEYFSWLRVIQVLSTAVILGLLWWQSDIRTPSGLQDQAGLLFFIAVFWGFFPVFTAIFAFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDFILPSLFLLVVYFMTGLRLSPYPFFLSMLTVFLCIIAAQGLGLAIGAILMDLQKATTLASVTVMTFMLAGGFFVKKVPVFISWIRYLSFNYHTYKLLLKVQYQDFAQSINGMRIDNGLTEVVALVVMIFGYRLLAYLSLRQMKITT >A06g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14322015:14323059:-1 gene:A06g504700.1_BraROA transcript:A06g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTTLPAHICFDVQCLLLLMDLETENRIASVLLREAAELRRQAEKDGVRAYLEKPNVRHRPNSRFLTAIVLGVQQLCDILLFVEAIAFSVGEVSGGGFRCGSDNLRGCRGRREEEQNDGVMGGKKLLQQEDLLVLRPSIFMDVELFLSVDLLMNHD >A03p063130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27410385:27413134:1 gene:A03p063130.1_BraROA transcript:A03p063130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:Projected from Arabidopsis thaliana (AT4G28660) UniProtKB/TrEMBL;Acc:F4JM05] MERGSASGSASTLSNNENVLVSCEDSSSPAENELELGLTLSLGRERRVSYADDSSSSSSSLSSRASVTAGIKRTADSMAATSGQVVGWPPIRSYRMNSMVNQAKTLAMEDPIKNRTDATTKMRMFVKVTMDGIPIGRKIDLNAHRCYESLSNTLEDMFLKPKTDGHLEAGLKILPDGSSGLVLTYEDKEGDWMLVGDVPWGMFIGSVKRLRIMKTSEATGTGFSVIWTHVPLPFVFHPWDHSDNLIQNFRDSKRSLTSVTHSPRYVSRTTGIVMSSCSVHPITPSAFTGSPISLPRLHTPSPTTLRPRSLVPITMMVKPSLQFIQGTDELTIPDVKLTRSRDGSNGMALFSFDQPSVFDSSGEVGEITGLYMIDEEGVIQSTDVNARFVNGKPEGIVAKHVMRTPKEWDRFMRFMERYSDQNGLQFVKKQ >A08g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9983378:9985276:1 gene:A08g505790.1_BraROA transcript:A08g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRVFFSDLKSANCSSVVEARLLRFWVDMLRVSFVSSFKECPHPDDKQRTNLAENRRTTSRCENIAIREALKHAIFKAHKHAIFKAHKHARTQITQEKGRSVKQIRAVSKQRNTRDRGTSSSPPARSAGVKTPVRNSTSVRGSTSGRASSPPEKIHKAQEKHIKDAWIQIDSCEGEERFRKNSCVQTLGGLTHNVSAVCFQPELPIIPTGFEDGTVRIWHPMTLENTLNYALEFAPLVT >A07p033980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18522407:18524095:-1 gene:A07p033980.1_BraROA transcript:A07p033980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 42 [Source:Projected from Arabidopsis thaliana (AT1G78710) UniProtKB/Swiss-Prot;Acc:Q9ZV89] MNFHQVILLLLLIFLVDLSDRNVKANANYNATGYEDGTSKCNIYEGTWIYDKSSGPLYGTSSCPFLGLDCQKFGRPDKKYLHYRWKPTGCDIPSFNGQDFLTRFKGKKILFVGDSLSKNMWVSLSCMLHAAVHNVSYNFMPDKSLSTFTIPEYGISKFPEEWVPGGFDVVIFNTFHWWGHTGRAKTWSYFQVGDKVVKEMDRMEAFKIALTTWAKWVDQNIDPSKTRVFYQGVSPVHLNGSDWGEPGKSCLGETEPVEGSNYPHTNEGEDIVKSVIRGMAKPVSLLDVTTMTELRKDSHPSIYTGGGSKLNDCSHWCLPGLPDAWNQLLYTDLLGNV >A05g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20729188:20729825:1 gene:A05g507320.1_BraROA transcript:A05g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLYRDLERLGNPSRQASLFLFSLFFSRLSFSLSLSLWDLSPLSLLLASAVWWWWLLLIGGGGQISPLSWFLVPDLFRSPLPCLFGMCIVCDIDNGWRLVNKFKYESK >A01g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3360591:3361503:1 gene:A01g500850.1_BraROA transcript:A01g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEVMVMVAETASLAEVENEETEIMVMVVAVTDFVYGCQLPLRHPWHRHRRQVPEAIREVWHRDLHGEVTKNGARRSTNSVIISTGAVAKRLSFAGSGEGADGFWNGGSRHVLYATAPLRSLGTSLWWLSAAETR >A01p002720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1242487:1244847:-1 gene:A01p002720.1_BraROA transcript:A01p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAIGFLLLLSYFLSLSYGLVQPRRISHGLSESGKYLTRDELWFNQTLDHFSPYDHRRFRQRYYEYLDHLRVPDGPIFLMICGEGPCNGIPNDYISVLAKKFEAGVVSLEHRYYGKSSPFNSLATENLKYLSSKQALSDLAAFRGYYQASLLESLNVKLNRSGKVDNPWFFFGASYSGALSAWFRLKFPHLTCGSLASSAVVRAVYEFPAFDKQIGESAGPECKAALQETNKLVELGLKVNNKAVKTLFNATELDVDGDFLYFVADAQVMAFQYGNPDKLCVPMVEAKKNGGDLVEAYAKYVREYCFGVFGLSAKTYSRKHLLDTAITLESSDRLWWFQVCTEVAYFQVAPANDSIRSHQVNTEYHLDLCKSLFGKGVYPEVDATNLYYGGDRIAATKIVFTNGSQDPWRQASKQTSSPDLVDDTVPSYIITCHNCGHGSDLRGCPQSPLIIEGDSKNCSSPDAVNKVRQHIIEHIDLWLSQCRGVLRSSI >A08p043490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24200747:24205823:1 gene:A08p043490.1_BraROA transcript:A08p043490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEQDSRLCLASILEDFIKQRNIQVSVDVDSSSKNADETSVVGGRDLPADPSDLKRNEAARWIRHTLGVVGGRDLPADPSEDDFRIALRSGILLCNVLNKVKPGAVPKVVEAPNDPLVNQEGAALSAFQYFENLRNFLVVVEEMGIPTFEVSDFEKGGKSTRIVECVLALKSYREWKQSGGSGTWRYIVTSKPTTFGIAKQYKRKDSEASVDAVTTSTPSNTPSSEQPLFVSNTKNEGTVSSIDAIVRAVFSDKSKEEVPSIVEDMLKSVMVEYERRLATQSAMYVEEDVTKMVINNMEASQANNAEVSKIQDRDVYVISKDKAEKQQMILDRQKTHTEELKHDIKAVKAGISLLQMKYQQEFKILGEHLHGLAYAATGYQRVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQTSVLTTVDHIEDSTISIATPSKYGKEGRKSFTFNKVFGPSASQEAVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMMGPNELTEESLGVNYRALSDLFHLSSERKETFSYKISVQMLEIYNEQVRDLLATNGQTSRYPFLRLCLFIMLVCMIILFFFTFLDLWNTLDIRNSSQDGINVPDATLVPVSTTSDVISLMNLGQKNRAVSATAMNDRSSRSHSCLTVHVQGRDLTSGATLRGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLSQKNNHIPYRNSKLTQLLQDSLGGQAKTLMFIHISPEVDTLGETLSTLKFAERVATVELGAARVNKDTSEVKELKEQIASLKLALARKESELDQTQIPRALTPDKLLRRKSLGVSKSANTRQFQTKHKPSLVDDVNSIEGQSDSASSVDLQGLVGSSPPSWKSPSTDGKEEIGEWVDKHEDEITRDKRVSSMKREPSSRAVESKKINVVDKGFEVRKIPYEEEANESDETATSDGSEPSNMMWQLNVQVNVPRAAASSNGSSGGSSTKLKKSLSKTKSMIPSLIPAPTRRLSLGANGSPGQTSSSRQSSNTVVVKKRQNPK >A02p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:302001:310107:1 gene:A02p000510.1_BraROA transcript:A02p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIIVLNFAVTSDRRGPLPASLSSSSDFFFSFSSAEEPSPGESPQLRARVKQVSLQRRVRGGAVSPPLVNQGDGDRLASLRFISPQLTRFPPRGIRLAFVGVPKERCSKQALVEFVRANPSKISEIVSALLPTDEEVKSELKETRERSRKKRFSEAMNWLQWLMFQDDPGVSLSNLAELNVDQRGVCGSVWGHNDIAYKCRTCENDPTCAICVPCFENGDHKLHDYSVIYTGGGCCDCGDETAWKREGFCSKHKGSEQIQPLPENLANSVGPVLDALFACWSGKLSSAESIVQKDALVVLLQKMSNELTFAVVEMLLEFSQSNESLLSFVARRIISSGGLLNYLVKAERFLDQDVTRKLHDLFLKLIADPVFKSEFAKAFASYYPVVISEAVKLGSDNAFKKFPLLSAFSVQILTVPTLTPFLVKEMNFLAMLLGCLNDIFVSCSGEDGVLQATKWERMSEISDRVMADLKFVTSHVVVSKYATHEHRELSRSWLLLMTFAQGMNRIKRETGIHIEDDNEYMHLFFVLGHSIAVIHSLLVTGTYSAANDKEIENEGTTKAGLDKCDGDGERYAKVGRLSHEDSVCTAMVSSSSSDSSIVPENKIDPFRARLPSSAIWLIRECLKVLETCLEDDEGISKFLCRNVSGSTMSWPKRKFSKVEIGRSVSSSLASSSRDPSTGLSPLCGDIQTNLSLDNVDGSNGEVQTDVKAYSRGVSCNSSDPNASGVHILGLCDWPDILYDVSSQPISVHLPLHRLLSLLLQKALERCYGESALHHGVNVSHEIPQADFFSYVIGDFHPYGFSALVMEHVLRIRVFCAQVIAGMWKKNADSALVTCELYRSVCWSEQGLELDLFLLQCCGALAPADSYVNKLLDRFGLSSYLSLNPNMTNEYEAVLVQEMLALLIQILQERRFCGLSTVESLRREIIFKLATGDFTHSQLVKSLPRDLSKSDELQEGKYSLRSSCWKELDLYHPRWHSRELQSAEERFSRYCGVSAVTTQLPRWRMIYPPLKGLSRIGTCKATFQIICSTLYYALQSGSSVKSRAQDGVLISALHLLSLSLDICTQQRGSNIQACCVEDSIPILELAGLEIIGLNQGTGKESLLSLLVSLMRTREGDGLHQFPEAGSCNISSWIGNLLKKFSEIDSVCMNLLQSLAPEVVGHSVFDKATSGSASDDTRKAKARERQAAILAKMKAEQSKFLSTMSSSMDDDDLRSETETSDSVVEHDSEIAIREVCSFCHDPDSKDSVSFLIFLQKSKLLSFVNRGPPSWDQCPQSEKKIPMDEAPDLQRINVSSDSLRMISHVLQLSDDATSESATDYVLEALTARLLGNGQKEKRSSDARGKDESNLESLETAMYQTVRKKTENMLNQSLTHVNHRQPDSESSSERSSVGGLSTLHRFQDIRSKQTSRYLDVSADGFHPADCDGIYLSSCGHAVHQSCLERYLNSLKERSDRRNVFEGAHIVDLVQGEFLCPACRRLANSVLPACPVDLCSVSKVQESSHAKLGRLDEQIPSLWLSEALCLLQSAANVIEDGDTLKTVSLQGDEPRRKDLQSVSNKLWNFYFSKRQEKPPGSSWLPQSIIMWDTLKYSLISMEIVTRCGKNTMLPVYGIDSLYEEFKTSKGIALSLLLRVVQSTRTKNALHVRQRFMGMKYLAESICSGISSTSSSSIFESEGAAGSWKNINLLWSRASDPVLAHDPFSSLMWALFCLPSPFITCEESLLSIVHIFYSVSVIQTVITYCAGRLCYTSELDFKENLLSDISIALRESGGWEYFRSNNMDLSCDIKETIRKYSLPFLRRCALLWRLLKTAPGKFHEEVHMFDVPSDSNSHYMDFMYSPQSELKHVDELEKMFKIPPIDTVINDELLWSSSQTWLRHFQMEYRVNRVKGPLCITPLVPFQLMKLPNLYQDLLQRESGCQTHAVTCGAGTGVYLLIRRTTILLQRFARQSPWPSPYLDTFGEEDIEMARGKPLYLNEERYAALTYMVGSHGLDHSSKVLNQTTIGAFLT >A08p028900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17982632:17983627:-1 gene:A08p028900.1_BraROA transcript:A08p028900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVGKRTLMNLKVQVSMRVLTLGAALASMGLMITNREVASVYGIAFEAKYSDSSAFRYLVFAYIAISAVTLFTLAWACLAVRRGGFIFALFFFDLLMTLTALSALSAAMSEGYIGKYGNTHAGWLPICGYVHNYCNRTTLSLALSFVSFLLLFVLTVLTASAARRS >A10p006370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9687798:9688394:-1 gene:A10p006370.1_BraROA transcript:A10p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH6 [Source:Projected from Arabidopsis thaliana (AT1G07090) UniProtKB/TrEMBL;Acc:A0A178WE41] MESTDSGSQQHGGDPGPSSVTPSSPPATPPSRYESQKRRDWNTFLQYLKNHKPPLALSRCSGAHVIEFLKYLDQFGKTKVHVATCPYFGHQQPPSPCACPLKQAWGSLDALIGRLRAAYEEHGGRPDSNPFAARAVRIYLREVRESQAKARGIPYEKKKRKRAPTVTTARIDVAPSRQSEGGGGCNDSDPSVAEAVPP >A05p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7918340:7920157:1 gene:A05p017520.1_BraROA transcript:A05p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP6 [Source:Projected from Arabidopsis thaliana (AT2G30080) UniProtKB/TrEMBL;Acc:A0A178VN05] MASCATGTEAAIRAAACRDGDAAAHLKLVSVLVIFLTSVFGISGPVLLARYFQGKPLYDKAVLIIKCFAAGVILSTSLVHVLPEAFESLADCQVSSRHPWKDFPFAGLVTLIGVITALLVDLTASEHMGHGGGGMEYIPVGGEAVGGLEMKEGKFGADLEIQERNEDEIVKMKQRLVSQVLEIGIIFHSVIIGVTMGMSQNQCTIRPLIAALSFHQIFEGLGLGGCIAQAGFKAGTVVYMCLMFAVTTPLGIVLGMMIFAATGYDDQNPNPLIMEGLLGSLSSGILIYMALVDLIALDFFHNKMLTTADESGSKLKKLCFVALVLGSASMSLLALWA >A08p035910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21161040:21161803:-1 gene:A08p035910.1_BraROA transcript:A08p035910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L34-1 [Source:Projected from Arabidopsis thaliana (AT1G26880) UniProtKB/Swiss-Prot;Acc:Q42351] MVQRLVYRSRHSYATKSNQHRIVKTPGGKLVYQTTKKRASGPKCPVTGKRIHGIPHLRPTEYKRSRLSRNRRTVNRAYGGVLSALAVRERIVRAFLVEEQKIVKKVLKLQKAKEKVANKA >A05p041260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25087903:25089149:1 gene:A05p041260.1_BraROA transcript:A05p041260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTTTSAMLSSPSNCLLFHMSWYLIGGFVSLPPLVKLRELDGSVSTSITPPSTVKQEGLSNALAYGREQQGAKTAFNVKKRIWKRRERQVLYGVKKEYPEWWGEQKRQAGRGGGRGGRGRGGMTMRANAVQAAVEHLKPVLKPNWRIRSKVLAGLVRSLIWNGYDETQGNYICTRYLEL >A10p016050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3315300:3315623:-1 gene:A10p016050.1_BraROA transcript:A10p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRHKPMATSPSRDWVTSRRPVPCRTGSPAGDQSLAGRGRQLATELLQDGVASWRPDPCRTGSPAGDRTLAGQDRQLATGPLEDGVASWRPVPCKMRSPSGDRLS >A08p020680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13932936:13935534:-1 gene:A08p020680.1_BraROA transcript:A08p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKLIKEVGDGTFGTVWRAINKQTGEVVAIKKMKKKYYSWDECINLREVKSLRRMDHPNIVKLKEVIREHDILYFVFEYMDYNLYQLMKDRQKLFAEAVIKKWCFQVFHGLSYMHQRGYFHRDLKPENLLVSKDIIKIADFGLAREVNSSPPFTEYVSTRWYRAPEVLLQSYVYTSKVDMWAMGAIMSELLSLRPIFPGASEADEIYKICSVIGSPTEETWLEGLNLANTINYQFPQLSGVPLSSLMPSASEDAIDLITRLCSWDPCKRPTAAEALQHPFFKSCFYVPPSLRPKPSVARTPPPVGPRGSFEHQSAKRQTVSLAKPFNNVSPKPSAAFGSGVQRKLDMAKQEGTRNTKPVRSSVKDSKYRPPGRKSPPGGNAAGSSLSKNRVARGVSETADKLSNMSVRGTVSRRQSVSVMQQQQLKPPPMKAGCVGEKRDMFLRPTQPATSAYSRKVAG >A09g508450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:23679227:23679418:1 gene:A09g508450.1_BraROA transcript:A09g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENSVNNYIDIGDSSDYDEYSNSDPTSYFRNINLRYFVVEPFEMLTKHARFKELCLENDNH >A02p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9146039:9149924:-1 gene:A02p019680.1_BraROA transcript:A02p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLDAIDSDRAEAEEEERRRNMTEWDRGALHSQQLSAEHQRNQLDETQQGWLLAPQDSWKKKRKKYVNLGCISVSRTVFTWTVGSIVVLFLVVALPIIIVKSLPRHKSTPPPPDITLLPSIRLFSFSTPRNNNRVSWRGNSGLKDGLPDVVGGLVGGYYDGGSNTKFHFPMAFSMTMLSWSLIEYSQKFKAINEYDHMRDVLKWGTDYLLLTFNNSATRLDHIYAQVGGGLRDSESPDDIYCWQRPEDMSYDRPVISLTTATDLGAEVASALAAASIVFNDKPTYAKKLKKGAETLWPFFRNKNRRKRYSDGQPMIQAFYNSTSMFDELMWAGAWLYYATGNQTYIQFATNPSVPKTAKAFANQPELMVPSWNNKLPGAMLLMTRYRLFLNPGFPYENMLSRYHNATGVTMCAYLKQYNVFNRTSGGLIQLNMGKPRPLEYVAHASFLASLFADYLNSTGVPGWYCGPTFVSTQVLKDFAKSQIDYILGDNPLKMSYIVGFGKKFPRHVYHRGATIPNDKKRRSCREGLKYRDTKNPNPNNITGAMVGGPNKSDQFHDIRSNYNASEPTMSGNAGIVAALISLTSSGGYQIDKNTMFNGVPPLYPPAPPPPKAWKP >A04g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3286413:3287885:-1 gene:A04g501210.1_BraROA transcript:A04g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILKELFVSFRRRSCAVVLINVFSVVVCVSSPLRLRRRLCIASIVVCALPPHHLQSVLICASPLHCLRSIFVTTSSPSTMMSSPSVYAGKKEDRMMMTRLHIVVDIYFVKCGSYVGWRYARRKHLCGLRQDSVYARKKEDRMMMTRLHTVVDIYFGKYGSYVGWRYSRRKHFCRQRQECICWEDRMMMTRLHTVVHTYFVKCGSYVGWR >A09p027370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15447945:15449210:-1 gene:A09p027370.1_BraROA transcript:A09p027370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESKVVVPESVLKKRKREEEWALAKKQNAEAAKKTNAANRKLIYKRAEQYAKEYAEKEKELISLKREAKLKGGFYVDPEAKLLFIIRIRGINAIDPKTKKILQLLRLRQIFNGVFLKVNKATMNMLRRVEPYVTYGFPNLKSVKELIYKRGYGKLNSQRVALTDNSIVEQGLGKHGIICTEDLIHEILTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >A06p019830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9704581:9707652:1 gene:A06p019830.1_BraROA transcript:A06p019830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKVDDQPLVILCRERKELIKAASYHRSALAAAHLAYFQSLCDVGESIKRFVDEELVLAGSNNNSSPPDSPVLTLPSDQGKKKHKISSSSTSVSHSLVQEDEEEDGEGSHLHLSSGSEFDSGSESGSDDSLGHHHIHIESSPKVKKEQPLPETYPPGYPPSYPPSYPPGYQPGYSSGYQYPGQGWGFMGENPNPYQGVYFMKKSAPPSRPVVFQPENHRVENGQWPQENGYGYSNAGYYGYPEQQRRAAPPSPARPPPSPPTVSTWDFLNVFDTYDYNRAGAGESSGFYPAASGVKSNSSSLDSREVREREGIPELEDETEQETLKQSKRNSVEKMKEHIPENEPSKWSQLDERKIKKRGGDSGEGTSREVPMVESSFSSKTVSSFTSSEEGSEFHHVNEGEERSSSHVHEAVARKSVGEVEEEYVRKKGVSFELEENIAATSFDVESSKISSLSALSVHATRDLREVVKEIKSEFEVASSHGKEVAVLLEVSKLPYQQKSSGLKVIFSRIMSSRSQPQPSIRLTSRILKIAKSYNVQDVPEGFTANLSSTLEKLYAWEKKLYKEVKDEEKLRVIYEEKCKTLKKLDSLGAESSKIDTTRAAIRKLLTKLDVCIRSVDSISSRIHKLRDEELQPQLTQANTGLQRDSGLKAILDLEMELREWCISFNDWVNTQKLYVESLNGWLSRCLHYEPESTEDGVAPFSPSRVGAPHVFVICKDWQEAMERISGDNVTNAMEGFATSLHELWERQDEEQRQRVKAEYVSHDFEKRLNDLRMERARVRMRSEQLQDGGASSERSVVLSESGRISALDDLKVDLDSMRKKLEEERARHKETIKLVNNAASSSLQSGLVPIFEALGNFTSQVVKAHEHVRFQQPQSSS >A08p003360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1874658:1875017:-1 gene:A08p003360.1_BraROA transcript:A08p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNSFELPESLFSCPQLETLILEKLSLVDVPPNADLACLKHLHLLSVRFSCEESVKTLLSICPRLEELVVRRSSYTNVKIFVINVPTLRSLSIDNLSGKSRPKGVHGFVINAHSLRVF >A09g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3020810:3021200:-1 gene:A09g500810.1_BraROA transcript:A09g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLFLHCISLYQVLEFPLEILEASKAPERGTGATCDTRSRRIEGWRDVLHRGDTPAPSPTSCRRLSLCGATYGSDVTHPRRMQSDLWSDVINPRAFWRGEACVSERPMGAT >A08p042670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23886847:23892005:1 gene:A08p042670.1_BraROA transcript:A08p042670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G10400) UniProtKB/TrEMBL;Acc:W8Q3T6] MESEKKVHVVLFPYMSKGHMIPMLQLARLLLSHSFTKGISVTVFTTPLNRPFVSDSLSDTKATIITLPFPKNVPEIPPGVECTDKLPSISSLYVPFTRATKSMQPDFERELTSLPRVSFMVSDGFLWWTLESALKLGFPRLVFLGMNCMSPSILDSVFKNKLLSNVKSETEAVAVPEFPWIKVRKCDFVEDMFDTKPTTDPGFELILDQCASMSQSEGIIFNTFHDLEPVFIDFYKRTRELKPWALGPLCLGKNSLEDEGEEKVKSVWMKWLDEKRDKGCYVLYVAFGSQSEISREQVEEIALGLEESKVNFLWVVKGSEIGKGFEERVGERGMVVKDEWVDQRKILEHESVRGFLSHCGWNSLMESICAEVPILAFPVAAEQPLNAMLVVEELRVAERVVAASDGVARRGEVAEKVKELMEGEKGKELRRNVEVYGKKAKKALEEDVGSSWKNLKNLINEFCNNIGT >A02g505310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16181677:16184568:-1 gene:A02g505310.1_BraROA transcript:A02g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGEKIDPKSSGDRIAKGRPLERDDTVSDRQSKRHCIRDDDVVYQPKTKETRDAYEAMIGLIQQHLGGLPLNIVSGAADEILALLNNDAVNNPEKKLEIQKLLKPIPEEMNQVFDQLVSFGKLITDFGVAVEFEEDEEESDSDIAQDEELQETGGMQVDAGEGTSLNVQDIDAYWLQRETAIEREENLLKSLYEAARRLKDRTVADRDLESGWFKGQRQMLDLESLAFDQGGFLMANETCVLPPGFYRSRGEGYDELNVPWVSKKVDINEKLVKITEMPAWAQPAFKGMQQLNSVQSKVYETALFKEDNILLCAPTGAGKTNVAMLTILQQIGFNKKGDGTYNHGNYKIVYVTPMKALVTEVVSNLSYRLKDYGVTVKELSGDQSLSGKEIEEAQLIVTTPEKWDIITRKFSDIQLVRLVIIDEIHLLHDNRGPVLESIVARTLRQIDTMKENIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLGQQYIGISVQEPLQKFQMMNDLCYQKVLAGAGKHQVLIFVHSRKETAKTAKSIVENAMANDTVSRFLKEDSASREVLLSQIELTKNGDLKKLLPYGFAIHHAGLTRGDREIVEALFGEGHVQVLVSTETLAWSVNLHAHTVIIKGTEVYNPEKGAWMELTPLDVMQMLGHAGRPQYDQYGEGIIITSYSKVQFYLSLMNEQLPIESQFISKLADQLNAEIALGTVQNAREACHWLGYKYLYYRMVRNPTLYGLAPDVLAKDVVLEGRRADLIHSAATILDKNNLVKYDRKSGCFQVTDLGRIASYNCVSHGTIVTYNELLKPTMGAIDLYHLLSLSEEFKYVNIGPDEKMELAKLLGRVMVPNKETLEEPSAKINVLLQAYISQLKLESLSLTSDMVFIGQNAGRLVRALYEIVLKRGWVQLAEKALKMVGRITRKKKKLGYLLLTLDQQITGTLFLVLSTSISSDLI >A02g508190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:22573277:22573672:1 gene:A02g508190.1_BraROA transcript:A02g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQPNNKQDLLNPRSTFNNIEEHERDLGRTPHLSLDLDLDLEWEAREVRVEIKDTTRPPPPLAAAHGEERESRPRERREKEARQRERKRRETARGREKKSTARASGLRDSLQSFASKFLMGDYGVEAWYL >A09p072240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55478686:55480108:-1 gene:A09p072240.1_BraROA transcript:A09p072240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVDGEREEWRREVNGLFWIERLFLFLVFVFPTYVCGLIVPLILSTTLLALPFFLFFNPNLSSVGPSGIGGFWLFLLDRTTFYLGLEYSKLLVGGFELTFLWRLTLSLSLVIHSIYIADYFLRSHQAVLPPPQSRNVETPIFSDEGDFHKEVEKVNVLVENGKKMMTSMEHMVHSGLETLRREWREFRDDGKKEMNIQVDIVEHMICSKLETLKDNMRLNVDEIWTGLLDDLRSIVAEDITALRQDSVTDQLDKIYLAIQETAKEAKTTGDKAQETYLAMQETAKEAKTTGDKAQESYLAMQETYKEAKTTADNAQETYLAIQETYKEANTTADKALETYLIFQEGQNKRRMIRGEDVEGFVELREQVLRMSVEAENAAEEQSRVTGELVDARIQQWEEDNADYLASLPFLYCNQHN >A09p009290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4798010:4799740:1 gene:A09p009290.1_BraROA transcript:A09p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTTDDDLNDPCDFVTVEAESNPDSLQQWLSTVENGKANGTRRSDISTKAKKHLSSLGWRFAYFPKRNKKRELRYKSPKGKWFYYLATACSSCVHEDDDGSRRELIVPESDESPRDLSVAFIETVSGDNVSRRRKKRVKDCETAAFSGNKALIVSKKRKERVNVGETAAFSGTKVVLIVDVSKKRKRVSDCETTAFNGVALKEEKNHPLSDILNVDVINQQEKKRMAAAAFNSKAKGEIRPRFEKSLRKVLQVMEKKNETCEKESVRFWRKDCGPEKNCDVCCVCHFGGELLLCDGCPSAFHHTCIGLHILPEEELWFCPCCCCDICGSMVTLGNSKLMTCEQCQRRFHLKCLKQETCLVSCRGWFCSKQCSRVYSGLQSLLGRKIVVGEEGLVWSLIRAPNDGEHYDDEQMAKLDSAVEILHQGFEPSTDPFSGRDLVEELIYRKDASGVGRGFYTVLVERNNEPVTVAAMRVDKDVAEIPLVATLSSYRRSGMCRVLMDELEKQMSRMGVRRLVLPAAKEVVSTWSQGFGFKVMDSWERLEFVKHGMLDFVGTVMCSKFLREREVSGESSLTE >A04p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1948083:1955043:-1 gene:A04p003910.1_BraROA transcript:A04p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRRSDSRYATLFNLEPLRNFTIPRHEDEIENYDETRSNQVANYGNGVKKRKRWIEEAGDDDDDHYNQHVTEEHYRSMLEEHVQILKSSSRESQGNHTSLMRKSNVGSYRGNGQRGRFYDADAISQRRGSYRGSAISITPKIAYEPPYLDIGNGVVYKIPPSYDKLVASLNLPSFSDLRVEDFYLRGALDLRSLEEVMASVKRSGVRSRNGMGEPRPQYESLQARVKALSASNSNPNFSLKVSDDAMNSVIPEGAAGSTARTILSEGGVLQVHYVKVLEKGDTYEIVERSLPKKLKVKNDPAVIEKTERDKIRKVWINIVRRDIPKHHRVFTNFYRKQSIDAKRFADGCQREVRYKVARSYKLPRSAPIRTRKISRDMLLFWKRFDKQMAEERKKQEKEAAEALKREQELREAQRQQQRLNFLIKQTELYSHFMQNKTDSKPSESLSIGDENLVDEELREPAAAAEPSEVEDPEEAKMKADALKAAQEAVSKQKKITDAFDTESMKLRQPSDMDGPPNDVSVSGSGNIDLHNPSTMPAASTVQTPELFKGTLKEYQIKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERTILRKNINPKRMYRRDAGFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKNSTSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPMLFDSHEQFNEWFSRGIENHAEHGGTLNEHQLNRLHAILKPFMLRRLKKDVVSELTTKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHFNDKKLLNLMNIVIQLRKASSLVKPALLVLLSHTYARLWLMVIYLCLPCQVCNHPELFERNEGSSYLYFGVMSNSLLPPPFGELEDVHYSGGQNPITYKVKFNTLDKYQGRQYLVASDFGFNLLIPFQIPKLLQKEMLQSSETFCSSIRRGLSRESFLKHFNIYSPESIFRSIFSSESSVDQAVSGSGAFGFSRLMDLSPSEVGYLALCSAMERLLFSILKWERQFLDEILDSVMEFVDDDLSGGNITRGKTRAVTRMLLMPSKLETSLLKGKRGTRPTCHSFESLVVSHQDRLLSNIKLLHSTYTFIPKARAPPVSVHCSDRNFAYGTTEEMHQPWLKRLLIGFARTSEANGPRKPNNLPHPLIQEIDSELPVVQPALQLTHRVFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNSRKYKYLRLDGSSTIMDRRDMVRDFQQRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILHRASQKNTVQQLVMTGGHVQGDDVFGTADVVSLLMDDAEAAQLEQKFRELPLQVRDRQKKKQPVKCIRIDAEGDAALEELEDAAERQDKEQEPSQEPEKTKPSNKKRKAAASTPKPRVPPKANEEGDAPLQPQRTKRVKRQTKSVNESLEPVFSASVTAANGEVNPSSSNPDAN >A03p056560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24473393:24476977:-1 gene:A03p056560.1_BraROA transcript:A03p056560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQNIYHHSYTFSFFLVLILFHPTLSIVVNMMSSTESLTISSNRTLVSSGGVFELGFFKPSALSRWYLWNGSEFSGIPEVQGLSYMVYDYTENSEEVAYTFLMANQSIYSRLKISELGYLYRFTWIPPSWGWNIFWTLPTDDCDIYESWFDPKNRQQWDLREGSDGCVRRTPLSCSGNGFSLLKNMKLPDTKMAIRCLSDCDGTSFAAGDVQNGGLGCVIWTGDLSDIRSYSIGGQDLYVKVAVVDLGNNWISQSHYKLRYLLSFLGRGERHKINRLEYRSRPYAYSVCYRVLLLEEETEASKDNCNTYRFTLRGGMNKTEKNLSGVNEEEDLEFPLMEFEAVLIATEHFCDCNKIGKGDFGVVYKMKPEDVC >A04p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14036802:14039771:1 gene:A04p023140.1_BraROA transcript:A04p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFMWNIEVLVIALVVVRISHWLYQWSNPNVRCSGKLPPGSMGFPLIGETVDFFKPCGFLEIPSFFQNRMQRYGSLFRTNIMGSKTVICTDSDVIFEIFRQENQSFEHSYPDVFVKVLGKDNLFFKTGDIHKHLKKTTMHLIGSEGLKINMIRSMNQATRQHLNWKASEGTFDLRDAVSSLISSYMTPKLISNLKTEPRAKLIDHFKAFNLDWIRSPFAPSTWKIIYDVLKARKGAMQVIKEALKKRKESREQHGDFLDTLLEEMEKEGSIYDEASVINLLLTIGVISKDTTSVATALMVNLLFKNPKVLTELKREHEAILQKREDKEAGVSWEEYKYNMTFTNMVINESLRLSNLGSILFRKALKDVEIKGYTIPAGWIVAVAPSVVHYNSQIYEKPLDFNPWRWEGKDLRSVTKTLMVFGGGTRQCVGADFAKLQMTVFLHHLVTTYDFSVVQACEMTRTPFPCITKDLLINISPKSPK >A08p000290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:193466:195340:1 gene:A08p000290.1_BraROA transcript:A08p000290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEAAMEDPWIASLEESWNQMSKARDFLKAETCNEVAAVIDALFKSQESSEYKSARALYECCVAHFADFLTLKLLKAYRNCSSSSLLRFRMIYLLSQATTELRSRNFQFSPSALRDVKPLVISCLEMEETRESDIKILRRIVSFVAYNVGMLDEGGWEELNGCILGLTDTSPCRAFHVFLDVPAVCDDFITLPVIQRVYDEAELVLLNAERVGVQDWVLAFQTVVKIGVHAADSEMESTLMERIRKLADDAVKKGKGEFVDRGLQDLKTFLARDGTLSKYNKEQRTFVAELAFKIASCRHESKKERKKVKSEISSVLRKPNMYGHDDDDDDNDHIAGGFEIDWCNHLSTLSSPLEILRIFAITDLEESSRELAIRRLNLLLSDHTTKKVVIEVSVMRQLQPLLISCLKEDRLSVSDSMFKLLGEVVFHVANEVLSNKEEDTWFDLWDYIVSQCKTQFEKAVYIFQSLTMMLDDMDILIPVIDVLLPEINTRLNPPVQLLLVEDNSCWVLAFVGAFCAAIHLVEVTSHADSVKEITLKMIDSVRELVERGGMEVGVVRRAFTDLEKVVKKQVKWYSKSDYGFVKGLLSRLYAIKAMKMESRMVLWRINAIVERGVHDDLKE >A09p064650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52005946:52008826:1 gene:A09p064650.1_BraROA transcript:A09p064650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIVSTLLESKTAKCYAPSFITVNLCLALIDGALAFIAFLQVLHLMIGCSNTGSLIYFVAAVIATCTRWHHWSTALGFLLMAFPKILFLATFLLLLSFWVDVCHQGNGEEEDEDDEENSIQQGLLEKSKSKAGSSSASDRRKCCSFHGIHLGTRQKFVVAAVVLVFILMISFAILIWIASGDNSADPSLLAEVYVDIFASIVLITGGGICFYGMRLLFNLRKVRSEQVSAEMRKVSGLAGVSVVCFTVSSLIALLTHIPLFYHWNPSKLHGVKALVLLIIYYFIGSTVPLAFVLWVLRELPPQEIVSRREEPRRITYVNYESVPRHPPQQQWTATTVSKNQVSKASPI >A02p006290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2664302:2664952:1 gene:A02p006290.1_BraROA transcript:A02p006290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFSSTVSFSPSFSFYVSGDGNIVETAVRVVRESQSYYSVKVDGDGDESEFEFETSPLREESFFHFPTTAEKENDADQSLSSPSQSHSSSDNPDDFSPSRYYCFWTPIRSPARGDTSKSKSSRRCCRIKDLLRRSRSDGAVSTTSEPKRCSFKDLLLRRSHSDSGGNGSPVVKGKLKTASHKSTNVGEVNMRRKTYLPYRQDLIGVFAGRSRFRR >A10p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15786860:15797495:-1 gene:A10p024360.1_BraROA transcript:A10p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVMKIIKPKPDPKQLLRDWQRKLRQESRNIERQIRDIQKEERNVQKAIKEAAKRNDMVSAKALAKEIVSSRRTVNRLYENKAQMNSISMHLGESVAVARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMTKAGVIEEFVNDAIDNALDSEDMEEEIDEEVDQVLTAIAGETAAELPEAVRKERIKVPAQKASTSREEEAVAEGDDDEEELEEIRARLAKLRFDRSTSSSSGAPGCLPATAVEACWSHFELGHHAGYYSLPNAKSSRLFYFFFESRNNITDPVVIWLSGGPGCSSSFGLLAENGPFTLNEDLSLSRNEYSWNQVSNIIYVDQPVGTGFSSVSDTDVLRHDETGVSNDLYNFLQVFFKEHPQLVRNDFYITGESYAGHYIPALASRIHTGNKNNEGIPIKLKGIAIGNGLTNPEIQYGAYGDYALEMKLISQSDHESLNQVYVDCQGSIRECNIDGGLACASAYHVCFQILDYIKSENKDMNPYDARKKCGELMCDVNSNLEKFLNQENVRKALGVGDNVFVSCNATVYVAMIEDWMINLEVKIPTLIEDGISLLVYAGEYDLMNWSGQKEFGAAKTVPFMVDGKEAGVMKNHGPLIFLKPKASLQMLRTWMQGKLANQTGAIAGVIEEFVNDAIDNALDSEDMEDEIDEEVDQVLTAIAGETAAELPEAVRKERIKVPAQKASTSREEEAIAEGDDDEEEELEEIRARLAKVRS >A03p074030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32176702:32178856:1 gene:A03p074030.1_BraROA transcript:A03p074030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFRKNGSSSGRRIKDKLRGGNSDRGKREDEERVRYEGALPPWGILFAEDFVRMDGNVKAVIVDEEGLDVIYWKKLLEIEKEEGIYRGSGSHHEEAFSFHVKNSQSGEVRDESNNNNNTSVDGGRYYGKQSQSKFQAPGGGSGGGGPYPPLPLPPGQLAASSSTSSSPLTVNQTSPPSAGAPPQLAGAPPLPIPAKKAPAPPGKKAPGPPPPPPMSKSGPPKRPGNESSSAQPKLKPLHWDKVNPDASHSMVWHRIDGGSFNFDGDLMEALFGYVGARKPSEANTVPHQPTVSTTQTYILDPRKSQNKAIVLKSLGMTKEEIIDLLTQGHDPDSDTLEKLSGIAPTPEEQTEITEFNGDPTKLADAESLLFHILRAVPSAFYRFNVMLFKINYGSEVAQQKASLQTLESACDELRARGLFMKLLEAILKAGNRMNAGTARGNAQAFNLTALRKLSDVKSVDGKTTLLHFVVEEVVRSEGKRAAMNKISGGGIADASREEQEIEFIKLGLPIIGGLSSEFTNVKKAAGVDYDSFIATTLALGTRLKETKRLLEQSKGKEDGCLTKLTSFFESAEEELRVITEEQLRIMDLVKKTTNYYQAGALKERNLFQLFVIIRDFLGMVDNACSEIARTQRKQRPAATVAAGASTSTAATPTAVAPQRNAVRFPILPPNFMSEHSRYSSSDSDSDS >A03p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1000897:1006138:1 gene:A03p002100.1_BraROA transcript:A03p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTNASIVTKSGKVLVSRQYVDMSRIVIEGLLAAFPKLVGLEKQHTYFETENVRYVYQPIEALFLLLVTTKQSNILQDLDTLRLLSKLVPEYCMSLDEEGIGRANFDLIHAFDEVISLGHKEDVTVAQVKQYCEMESHEEKLHKLVMQSKINDTKDVMKRKANEIDKSKIEKTRGDKGGFSSMGSMGSGRLESSFNDLNISQGGGGGYGSGAGFGMISDFDLLNAKAKDRSRSSVTAPPKSSGMKLGKSGKNQLMESLKAEGEHIIEDVKPTGQTRAAVPSPTDPFTLTVEEKLSVALRRDGGISSFDVQGTLSLQILNQEDGFVQVQIETVGNPEIRFNTHPNINRELFNSESILGLKRPDQPFPTGQGGGGVGLLRWKMQRADESMVPLTINCWPSVSRNETYVSIEYEASSTFDLTNVIISVPLPALRDAPIVKQCDGDWRYDSRNSGLEWSILLIDNSNRRGKQKMQREIMSKKKSSQVHCLPSGDLMTASTSKRIPEIRLYKAWKGNNRFFCGGRLIFGPDVSSLFLTSFLIGAPALTFCIRMLFWIRRGDPSFNYTVLTSGFILTLLDFMFLLLTSARDPGIIPRNKTSMNLEDGSESSLTQSMEWVNNKTPHLKVPKTKDVFVNGYTIKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIARPGKLWRTMSHDIVSVILIVYTFVAVWFVGGLTIFHFYLMSTNQTTYENFRYRYDKKENPYKRGLLKNVKEVFFAKIPPSQLDLRAMVPEEDDVTIASEYQSEYSSSVRYDSEMGGKLTNRDSPKKLPMRARNLDNIDLSDDYDKSLATRDDVSLDLSSHLN >A08p022880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15063499:15067159:-1 gene:A08p022880.1_BraROA transcript:A08p022880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEGGCSQCQSLANEFAAMNLKGNNKNTRKPSSRKKSSQSNVDESRQLECNLKHGHKIGSFIALAENYNKVDLVMSQLLKVSVVAIEEELSGNVGREIIKAIKERSKMRFGSRRKETLFCLYLFVQSGYSSPTQAAITKDLGLTVSEYYVFGSLSNVGAMVGAIASGQIAEYIGRKGDTSFLYMGRLLEGFGLVSVSSLTRSTEHERRIETDITVEVNEIKRSVASSTTRSSTVRFVDLKRRRYYFRLRVGIGLHVLQQLGGINGALFYSSTIFESAGVTASSGDCNINNMVGGQSRDLCLLIQTCTVTENALI >A08p019360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13228645:13229490:-1 gene:A08p019360.1_BraROA transcript:A08p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSEASALSFIKKHLLDESSPLPKVTTTTNPWIASETSSDFSIFGSFDQTGFDFSEFETKPEITDLVTPRFTDSFEFEPEANAEIQLASSESFTFQSNPRRKPPLKIEPPSRTEWIQFATANPEPEQLPVHVAAEEKRHYRGVRMRPWGKFAAEIRDPNRRGTRVWLGTFDTAIEAARAYDQAAFRLRGSKAIVNFPLEVGTWNPRADVGQNKRKRDGEGEEVTVVAKALKTEESHAVETETSSLTAVDDWDLTELLSMPLLSPLSPHPPFGYPQLTVV >A02p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26503746:26504149:-1 gene:A02p042250.1_BraROA transcript:A02p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDEIFDVIGELILMKEESKEDEEHVRGLEKTLIEYLDENKIILDSQHFEAIRHLNIDRLIESQLKIIDNCIEENNKLIEAMKACPGEDCPCSCHSLTNKKTNN >A09p029440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17576314:17577172:1 gene:A09p029440.1_BraROA transcript:A09p029440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25780) UniProtKB/Swiss-Prot;Acc:Q9LS01] MATSSAMSLQSISKTSLGNLSHNHHFHRSSFLGFSRSFQNLGISSNGPDFSSQSRSTSKNLSSTRAFFWNWGKSENARPSKVQELNVYELNEGDRNSPAVLKLGKKPELCLGDLVPFTNKLYTGDLKKRVGITAGLCVLIQHVPEKNGDRFEATYSFYFGDYGHLSVQGPYLTYEDTFLAVTGGSGIFEGAYGQVKLRQLVYPTKLFYTFYLKGIADLPLELTGTAVSPSKDVKPAPEAKATEPGATIKNFTN >A06p057250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29747102:29753237:-1 gene:A06p057250.1_BraROA transcript:A06p057250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease [Source:Projected from Arabidopsis thaliana (AT5G42540) UniProtKB/TrEMBL;Acc:F4K1L3] MGVPSFYRWLIERYPLILQDAIEEEALDVKVPVDSSKPNPNGGGVEYDNLLFLMVRPRKLLFLAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEERLRQEFESEGKRLPPKLDSQVFDSNVITPGTEFMSTLSFALQYYIHVRLNSDPGWKNIKVVILSDANVPGEGEHKIMSYIRLQKNHPGYNPNACHCLYGLDADLIMLALATHEVHISILREVVFTPGQQDKCFLCGQLGHRAADCEGKTGDLSDKAESDVVAKKPYQFVNIWTLREYLEHDMQIPNSQLQKNLDRIIDDFIFICFFVGNDFLPHMPTLEIREGAIELLMSVYKKEFGSSTSYLSNGSKLNLKNVEHFIQAVGLYENNIFQRRAQNHQRQSERFSRDRAKSSRNCNYDPVVQLDSLVEVSDSLRPSLDDNTSVETEYNIQEEIDNREELKFKLKKLLREKSDGFISGKGEEDKVRLGVQGWRERYYEEKFIAKTIEEMEQIRRDVVSFLRPVLKYTEGLCWIMHYYYHGVCSWNWFYPYHYAPFASDLKFLDKLDIKFELGSPFKPFNQLLAVLPSASAHALPECFRKLMTDPSSPIAEFYPPDFEIDMNGKRYSWQGIAKLPFIEEQRLLDAAAKVEHSLTNEEVRRNSVLSDMLFVVSSHPLAELIRSLSSRTIKLSNKERAAVTEKIDPGLSEGMNGYLALCGGDSQPSCFSSPIEGMEDVLANQVICAIYKLPDDLRGSDIARPPPGVVLPKKTVQLADLKGGANLWHEDGDRRRAPAKIIKIKRYNPKGSISGVRLRKAAHRLVLQTISSQPDNTHINSEPALCPNTIFHNNQVSRKVPSPRGSAVQRKHPQSELALKQNRIHQSELTEEEKTAIRKRKEKEKRGRYKANRRERAKNEQQANEGESNLDKKRPRSEVNEENRLEHKRPRFTEGKEQNTCAQSELTEEKNAKRKRKEKEKKREI >A07p039260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20865790:20867751:-1 gene:A07p039260.1_BraROA transcript:A07p039260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYERSNNTKNINHHRNPPPSSSSSDLLPDGNGAAVTQKRKRRPAGTPDPEAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETNEEVKKRVYVCPEPTCLHHNPCHALGDLVGIKKHFRRKHSNHKQWICERCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDNCTMRQPQPSSHRLQQQQQHTANAAQTASTAENIDLNIGPVLPGHPLLRQSPPSDQQPSDLLYPFVGSSASTGSGIELQLLPSRASADGTSLSLSIGMDTTMSSYEKGETSLLLGEREEAKRQIEIAELEYAEAKRIRQHARGELHKAQLYREEARRRISATIMQITCHNCKKHFQAVAASSPSPRLPQPPCTDESTSLAVSYVSSATTEGEKASDRASS >A08g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6451628:6452478:1 gene:A08g503730.1_BraROA transcript:A08g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIWSPETASKAYIDTVKSCEKLGTPGPAELVAAMAAGWNATLIVETWSEGETIAISVGLNVASQHTNARHMCIVPNAISEAAYLQAMTQQYCSTLPETIIMNEEEEGNSENTMQMLQGIDFLVIDWDQKDFAANVLRNAAFGSRGAVVVCRSGYRRSTSCFSWTKAFSDRNVVRTVTLPVSGGLEIAHVAAARSSGKNDNNNKRKWIKHIDQRSGEEHVIRK >A03p063840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27770021:27778765:1 gene:A03p063840.1_BraROA transcript:A03p063840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGWVTSVCDSVCLEKPYICSSDDLLGKSSGCRRLTFQSSGCRRLTWKSSGQRREEVEPPQKKAKLPPPPPPPQPLNHQPCLSFSSLPNDITLNFFARIPKSYYPKISLVSKTFRTLLYSSELYAARSQMGTTVTCLYICLEYSTEHFTDPSPRWFSLYVKPKRNLIDGRTREKSSGNLLVPVPKHSPPPPPPHASSTIMTGSQIYVFGGPLDDNVRRYSSAVRVYECRNKTWRNLPNMNMERFYASACVHDDKIYVMGGCIARSEHQSWFEMFDIKTQTWKTLPPNPDLHVRLGCKKVRKIGMVHEKIYVKTETELRDWVYDVKEKKWSVADVGLSVHWSSSWCVIDNVMFSYSRFRYVWYDLTSGTWKDVRGLEVLKKYRSFSNHVNPNGRVGSVVELVNYGGKLVIIWDRFERRGRSQNKNIWCAVVALERVHEGFWGKIEWFDVVHTVPKSYEFLRCLPVLV >A10p015020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3846846:3847031:1 gene:A10p015020.1_BraROA transcript:A10p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPTHPFGELDQASRPTRRTGELDRERRPTRRNGRVGSSKPYDSPNGLVRPNMQSNLPVR >A09g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3745775:3746348:-1 gene:A09g501120.1_BraROA transcript:A09g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAHGESFYLSQYSFNTFRIYPRVSASSAASALAAAAASMKTVVPHVLCRDRRFYRRQPQDAAFGRGVRRSFLRQRNEQELTQNVDAAAAADTCDNQTNSPIVSFAVLINDA >A07p042600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23071823:23074066:1 gene:A07p042600.1_BraROA transcript:A07p042600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMSFSQDMDDEYEKLIRRMNPPRVVIDNDSCKEATVIRVDSANEYGILLEVVQILTDLDLTITKAYISSDGGWFMDVFNVTDQDGNKVTDEVVLDYIQKSLGPEACFSTSLRSVGVIPSTDSTVIELTGCDRPGLLSELTAVLTHLKCSVLNAEVWTHNTRAAAVMEVTDDLTGSAVSDPERLSRIKSLLRNVLKGSNTPKEAKTVVSLGEVHTDRRLHQMMFEDRDYENLDDESSNVQDERQRPDVCVDNWLDKDYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGTEAYQEYYVRHIDGSPVKSEAEKQRVIQCLEAAIKRRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVKTKGGKAVNTFYVSDASGYSIDAKTIDSIRQTIGKTILKVKNKPKEQQQREKPPSQESPTRFLFGGLFKSKSFVNFGLVRSYS >A02g511700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31593855:31595844:1 gene:A02g511700.1_BraROA transcript:A02g511700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKAQKALFDSLHFVTDSMQGIQERYVCGKRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELQMMKTRLEKCEEHKSLVVKLEVENQELKAEMEKLIARKMASYYPGFVNLLTSQTGESSTPEFVNLSGQEAVTGARPPGVKAAKSAKKMKGGQEESFTELKTVLEMKDKLNKQKLLEKLLEKPEPLSEMEMTLKLKLMSEMLWNRRVREQRGDCYLLLFYV >A06p037850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20465513:20468407:1 gene:A06p037850.1_BraROA transcript:A06p037850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSGGGVEEPATASDAEGSALVIIGVKPDEWSREVLTWSLVNVARPGDRIVALHVLDYSLEGSTSLVSLVRTFDTMLGVYESFCNLKQACFVDLKLKVFRGKSARKVLVQEVKSSGATSLIVGSSKRHHTIRSSASLAKYCARNLAKDVSVFAVKSGKIMFRRVPSNNDGPLMIVPCGSPNVAIEAAKIGNSFSPARTSSRWSTKPSRTSSLQSTENSGVDNSLALVPVQTNETESGQGWHFFRGFYGKRSSWTKVSAKRAVLQWVSRLRGRNSEAVAYLDRKRSDSGCDEDCSSSVDGEDVSVSRSGSEHMLSPLSPCLGSDNVPEELEGLHEKYSSTCRLFTYDEVVTITSNFASDNLIGEGGNSYVYRGDLSDGRELAVKALKPCLDVLKEFILEIEVVTSVHHKNIVSLFGFCFENNNLMLVYDYVPRGSLEENLHGNRKDAATFGWLERYKVAVGVAEALDYLHNTHDPEVIHRDVKSSNILLADDFEPQLSDFGFASLASSAAQHVSCGGIAGTFGYLAPEYFMHGKVTDKIDVYAFGVVLLELTSGRKPICVDQSKGQESLVMWANPILESGKFAQLLDPSLEADDSSNDLIEKLLLAATLCIKRAPHDRPQIGLVVKILQGDEDATEWGKQQVKASEDATAYLTNIESHINLALLDLEDDAASDSSPEASSISVEDYLKGRWSRTASFSFN >A05g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4090753:4091376:1 gene:A05g501110.1_BraROA transcript:A05g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDELDLTYLLESEAQIYKDEAESSLYIAESFQYTPSPEADDGIPTTCYCGSEPEIATSHTHKDPGRRYYTCPNVDDGECHIWKWWDVAVTEEMTEVKRQMRLLKDQAFQCDQNVVKLQKTVCELQKTVCEQKKSVWEVKKPYMRIMVSVLTVLLCFAVMYMSGISSKT >A02p045970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28802789:28808321:1 gene:A02p045970.1_BraROA transcript:A02p045970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEVVQRVFQEGGRDFFQQQPSTSSSSSSSILQSLPLHVAFDHGYYLLVKSIQELREKKDGIVTVGIGGPSGSGKTSLAEKVASVIGCPVIAMEDYRDSVDDGNELETLDFDALVQNLEDLIKGKDTLVPVFDFQQKRCVDTKMVKASSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCKSEIVTSFAQGSEVQKDNFIEMYLRPPSANEEARINDWIKVRQAGIRYYLSLGDQRIVDKHFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTAVSYGNLSLSRETIDTLGETFLVLRGTDRKSVGAEALRMGISGPWITKSYLELILESKGVPRLNTPPLLQQSPVTVNQEKQIVAPKPIRTTPNIVTRLEDLSQPWTRSPTKSQMEPMVATWHFTSFDAPHSVSSGVATDSSFRDNIRLVPMPDSYDLDRGLLLSVQAIQALLENKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFKSEQVKDFKHDDFSSLDLPLLSKNIADITNSRRTKLPVFDLETGTRCGFKELEVSEECGVIIFEGVYALHPEIRQSLDLWVAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPMFQQHIEPHLVHAHVKIRNDFDPVFSPESSLFVLKSNKQVPYQDILSILDSTKFCSSVQNFIDIYFRLSGLPANGQLSDSDCIRVRICEGRFAVLIREPIREGNFIVQPKVDFDISVSTVAGLLNLGYQAVAYIEASAFIYQDGKILIEVDHLQDVPSPYIQIKGVNKKAVTAAGSALKLDGSYTTKSYLQIVLERLPPVQRSSSGIHTQQAARLQELVEFIQSQGSSNSASEASPRRDGSSIDNVLDDMQSRIKRLERWHTINTVLWTFLMSALVGYSLYQRKRQ >A09p061010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50408374:50409650:-1 gene:A09p061010.1_BraROA transcript:A09p061010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVMLEEAKLWFDEPPQRKRKLETPVNPPPPPPAVDEDSSSDDEVDPVAWSKYNRQVSESGGFDVDLFFQPFGGIVPSGCSDYSLLFGKVGLHCYNLEKGTNLQFKQVTKVNTQISSILTFYITLEATDPVHDDSLVTFQTCVTDTVLKLQARLRAFTTTCRIKPQVPGTGELGSIWYPDDDVDACYKVDLPNWLQDDALSGEDKLQFYEVKDMELKDNQWLYLYAEFALFSHSGDDLSAYMPFEMKKVVVQTKEDMKLKSGNAVFYLSFKPRGGPECRGVVRRTTDGKPGHMCLEARCWIDK >A04p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19401496:19404598:-1 gene:A04p033340.1_BraROA transcript:A04p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISNIVSVLLLLVLLSLSSNNIKGVEAFHHVYENLQSQSVESVNHLHRTAFHFQPPKHWINGPVYYKGFYHLFYQYNTKGAVWGNIVWAHSVSKDLVNWEALEHALYPSKWFDIKGTWSGSITIVPGKGPIILYTGVNQNETQIQNYAIPMDPSDPYLRKWIKPDDNPLVMPDYTMNGSAFRDPTTAWFSKDGHWRTVVGSKRKHRGIAYIYRSRDFKHWVKGKHPVHSKESTGMWECPDFFPVSTTDFQNGLDLDYTGSNTKHVLKVSLDITRFEYYTVGKYDPKKEKYVPNGDTPDGWDGLRFDYGNFYASKTFFDYKKNRRILWGWANESDTVEDDISKGWAGLQVIPRTVLLDANKKQLVFWPIEEIESLRSNYVRMNNKNIKTGQRLEVKGITPAQADVEVTFNVGQCLDKAEEFDPSYTFKPLDLCKIKGSNVTGGVGPFGLITLATPDLEEYTPVFFRVFKDTSTDKPKVLMCSDARPSSLKQDRGPLKQDRMYKPSFAGFVDVDLSDGRISLRSLIDHSVVESFGALGKTVITSRVYPVKAVKGNAHLYVFNNGTQTVNIESLDAWSMEKPLQMMNNGAL >SC160g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:114741:116089:-1 gene:SC160g500080.1_BraROA transcript:SC160g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWKQEEGTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHEGSEETDNYYERNRHSSDSRHSS >A03g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16384440:16385175:-1 gene:A03g504580.1_BraROA transcript:A03g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTNHVIFSSREFRPSEKLEMANLLSDESTTNSIMLKAIIHVLNVQESLGLDGFQKDSKIDLFGPNREIDKNGEGKEKDFDQALERHVLAHSGVYSSLNQVKVVAISRDSPKHFLFLLEFPPSKHSLFRWTCASYQSTFRNPSFVGLVRHIKQQLKSDSIKRLFAPLVSPLNPPVLLFGEFIFS >A08g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9327125:9327854:1 gene:A08g505570.1_BraROA transcript:A08g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WTTFLLISSVREDLPASGWAVSIDVESSVSVNVRVKNGYDEVNIQISAKTSVCLSETIMLGKKNVTTHDLEIKPCSSLGWIKHKLSQDNGNISKPATDRFEYDDRNTDKPSSFTTQRPNMHTARSLRSDRACIPLGHYKATERSSRSRPGSSQVWSLHSDQASVPFGRYVATELFRNAEYDTNPCVLVYSLMLSPDDCSKPISCFSIFEVINRTL >A05g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17932495:17934927:-1 gene:A05g506260.1_BraROA transcript:A05g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVSDNLVFLFPHGRIVKFSWQEMKMEGLYLVGSGQFRSNWSNFMEREGKWRDLVANEPRQNGERREGQYGRSQGFQGVRGSDKGKGIAREKQGLHKQEGVYHPYKEKFSRGYGEGPSFYGRNNGYGNKKKAFQTKDSQQQQWQGAGEQRPLNPTKLMLDAFKGVTGSHGSGGVKGIGTIGNASSSKARKSLSFDEAVPEVQSEKMDQMEAVLTDTLAVQEQGELVAETKAETEQSLHSEALDEANLMIDGVILSDSELQLEGDDLEDWEQGEIMDFAEEDGLAVGDQDLGMLVLGDQELGDQALGDQNLGVQEQNDPVDEVTGKVPENKSGEEPNDEKASKKKEMKQEAATAGGAKKRVGLAFASPRKKLLAKVAAKQGDKARKGPPKA >A09p009600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4942686:4945205:1 gene:A09p009600.1_BraROA transcript:A09p009600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLPHSLSTSSSTPLASSAVKPHRKNLPPRAYILIIIAVSAILLLLLTLILYANVSKSSHNRHNTPQQPPPPPTAQIRLACNATRFPDHCFSSLSKPGLVPQDPKPVQIIHSAISVSYESLKSGQSQIKSILDSSAGDKNRTNIATICLEILSYSQHRTESSDVAVTSGGIKDARAWMSAALAYQYDCWSGLKTVNDTKQVVDTITFLDDLVKLTGTALSMMVSFDNFGDDVVSWIHPATERDGFWEKTGPSSGPVKEAGLSFPSGLKEDVTVCKDGGKGCGYKTVQEAVDAAPGSNGDVKFVIGIREGVYEETVRVPFEKKNVVFIGDGMGKTVITGSLNVGQPGMTTYNSATVGVLGDGFMARDLTIENTAGAEAHQAVAFRSDSDFSILENCELLGNQDTLYAHSLRQFYKQCRIQGNVDFIFGNSAAVFQDCNILIASKHSKLGGGGANNVITAHGRIDAAQSTGFVFLNCSVNGTEEYMKEFQANPKGHRNYLGRPWKEFSRTVFVNCDLESLVSPDGWMPWSGDFALKTLYYGEYKNTGTGSVRSKRVPWSSEIPEKHVDVYSVANFIQADEWPSMSA >A09p069610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54215261:54216721:-1 gene:A09p069610.1_BraROA transcript:A09p069610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRIAIAIIAGVPGVTEALFNARQAALAQERIKSVEAPLLYTCGVCDKAYMSSKAHEQHLKSKSHVLNTSSQGGNGEEEDKAIIKQLLPPPRRVERKEPVSIEEESEEEEEEEWVEVDSDEDLEGEDMDDESGSGEDMEEDDIEFELDPTCCLMCDKKHKTLEKCMVHMHKFHGFFIPDIDYLKDPKGFLTYVGLKVKRDFICLYCNELRHPFSSLEAVRKHMEAKSHCKVHYGDGGDEEDAELEEFYDYSSSYANEGENQMVVSGEPANTVELFGGSELVITKRGENKVTSRTLGSREFMRYYKQKPPPSSQKHIVNSLALRFVFETHQWSFLFYSYNQRIRHCRILTWMDVKQRRYKSMGLATVQSKEDIVRMKVMREMNKRGARMRVKLGMKSNVIRNLPNNVTY >A03p066020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29199855:29200187:-1 gene:A03p066020.1_BraROA transcript:A03p066020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKDIPVEVLELDKKNDKIIEVAWEPKGHRFVLIHGNLQRPDVSLYSMRTPGRVLKLVTLKAKQANAVYWSPTGKHMIIADKVKWQA >A09p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4931944:4932953:1 gene:A09p009570.1_BraROA transcript:A09p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 38 [Source:Projected from Arabidopsis thaliana (AT5G64600) UniProtKB/Swiss-Prot;Acc:F4KF16] MLAFTGCTYGLTDAESEELRVMRESTSHWKIKSINSTEQRVEGLCPLTPKEVGIFLKGLGYPESTVIYIAAGEIYGGDDRLLELKSRFPNLVFKETLAGEEELEGFTGHATKTAALDYIISVESDVFVPSHSGNMARAVEGHRRFLGHRRTITPDRKGLVKLFDKVERGQMKEGAKFSNLVKAMHQDRQGAPRRRKGPAQGIKGRARFRTEEAFYENPYPECICSSKEHKEP >A01g510870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30144162:30147248:1 gene:A01g510870.1_BraROA transcript:A01g510870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGGCSSERSLYEVLGVGATATQQEIRKAYHKLALRLHPDKNKDDQEAKEKFQQLQKVISILGDEEKRAVYDQTGSVDDADLSGDVVDNLRDFFNALYKKVTEEDIEEFEENYRGSESEKKDLIELYTKFKGKMSRLFCSMLCSDPKLDSHRFKDIIDEAIAAGEVKSTKAYKKWAKEISEIKPPTSPQKMRHKAKEGAETDLYALISQRRDSRKEKFDTMFSSLASRYGSSADTEPNEEEFEAAKRKVESRRVSSKKSRRNLALVASVFYQVICLTDLEADHMNPFETSICINRLVLPEFLLQGSLCLLFLLTWHWFFFLVSLPVTCYHAMLYNKRRHLIDVTEVFRGIDFEKKLRFTKLGFYISLFILVVFRLTLSVVYSLTEDDDLLHLF >A02p033440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13805767:13807391:-1 gene:A02p033440.1_BraROA transcript:A02p033440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIESLELLQPLQPPFPTPPSTLSTLEGPLSPLLGADLLRRGATRHDASIFTILETLMNHKANIRTLFQSNGWILSQTTAKPEEGREVECCVLKDGYLEFTR >A02p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2463532:2473619:1 gene:A02p005730.1_BraROA transcript:A02p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKEALLEKHKYHEGCPGCKVEQMKQLRKGYPYLELSFVWIIVLSTSLPISSLYPFLYYMIEDFGVAKTEKDIGFYAGFIGCSFMLGRALTSVFWGIVADRYGRKPIILLGTISIAIFNALFGLSLNFWMAIGTRFLLGSFNCLLGTMKVASLAYASEIFRDEYQATAMSAVSTAWGIGLIIGPALGGFLAQPADKYPNVFSKDSIFGRFRYALPCFTISAFALVVTVLCCFIPETLHNHKQDITSDDDSYEVLEAASLESTGKTGKNEKTSQGSLLKNWPLMSSIIVYCVLCLHDTAYSEIFALWANSPRKYGGLSYSTNDVGTVLAISGLGLFSFQVFVYPLAERLLGPVLVTRYAGALMIPIQMSYPFIANLSGLSLSLLLNCASILINVLSVSAITGLLILQNKAVDQSQRGAANGIAMTAMSLFKTVGPAGAGILFSWSERRLNAAFLPGSHLVFFVLNVIVVVGVALTFKPFLTTARRVESKTHSIFSSSSQLFSGFIMADEYTDCLLENKFHENCPGCKVYQMKRLRRGFPFTELLPIWIIVLGSSLHISSLFPFLYFMTGDLNIAKKEEDIGLYAGFVGCSFMVGRTLTSVIWGIVADRYGRKPVILIGIASVVIFNTLFGLSVNFWMAIITRFCLGSFNGLLVPIKAYAMETIRDEYHGLALNCKHLIQIISCFALLVFIISLCIPETLHNHKIDGDVFVYPLAERFLGPMLVTRFAGALMITIQMSYPFIASLSGLSLSLMLNCASIFINVLIESALTGLMILQNKAVDQSQRGAANGIAMTAMSLFKTVGPAGAGILFSWSKRRLNAAFLPGSHVLFFGLNVIVVVGVALTFKPFLTTAVEEREETEKKQRGVKAVEEEEEGEGESKDKEHHRFLASLNRLNPTNPLRIIVNNGGGTRFTTPPSSRAPPPTQTPPPPEEPRPPPSPSPSTPPQPQPLQQQSRSIFTPTPQTLASLNSTKYTNKFFLLLFILHKVVAIAFVCFLVFRGVQGLIGSNGNNVKRKEQRILKFLLPQVEAASLLSIILAFSWQMLFRLWPEFMIHFILWSTFLMSLSSGILLLCFQIPATDAVGVCLIAFSIGNGLYACWITRRIKFCSKILVKSLEPVSKFSDLNLPTYYMLAAGFVWMSLWIFGVIGALNFYFPPLVIIGLVLSLAWTTEVMRNVVNLTVSRVVALFYLRGMQSSTRFSFQRALSRNLGSACLGSLFVPTIEALRIVARGLNLLKGEDEFMFCCANCCLNLMTFIFEHGNGWAFVQIAAYGKGFVRASQDTWKLFEDADMVEIVDADITSSICFLTGICSGCVCVIMAAAWTYTVYKPFTATISLLAFFIGYLMQTRISMALPHACVSCYYACYAENSESRFFDKTIRDRQALIKNGRVVVPTTPRVRCAVA >A05g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13896638:13902273:1 gene:A05g504990.1_BraROA transcript:A05g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRTTSFAKLSECKAVRILTHEEFAAKHSHPPSTFYDKIDQSVEPTIDRQSESNVDRHNTPPIDRQAPLTYRLQLPSIDNDYINALRPPPKSLANPPEPKPNSLNSSPEPVQEEQENEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWIFFRETKVINSVDCGKELGFIGACHCGAKYELEYEIEYSESIDNPTFPSIDSNDSTVTDDRNNTSLDIKHPVDHFAPPNHCYQHFAFQPPSKRGLDDYSIGSWAYSGFHESYAADTVITSPNEEHTEEYDEDYWEERAIEMSLQDERLETHKFTNKFPTSIAEVHSTSVDTHPRPAKQPLTSIGTHTGTSIDIRAAAKIQEQENIPSPTRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRQASIDGTTEASIDRVTPTSIVRDDPTSIDRRYEFRNRAFDMYGARKFTWEQRDEYGVYRDECGHAQGVAGEMIPVTKDDIRKLLERASLFEDSHICLPEQATSFTLTRLAPELYTKDEINEMVLGICGAQEKLGEELKTLQLEKEATTSASIDSPHATSIDASLPTAQIPAEPQCSVQHKYEWEVSYIDTRINDMYYPLNNNVDWLSTKIELLPQDLDTIRKKNQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPWTRQLQKEVKAIHRQLAAQQQISASIERTQAKSIDGNSPRSTNEHLIASIDAESTPIGEQLIHKTIESMQKELTELSAYAYDNIGWHQVTIDNVQERLQNISNVLEKMDEKWTNSTKDAKADQPINYTLALNRHFTRAKHVEVDERKNNRSMRISAVGRYQEMPRQMKLNIDRCKQVPSIDIETSDTRHLDSADLKPKAKPNYQNALTSF >A06g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16434575:16436509:-1 gene:A06g505760.1_BraROA transcript:A06g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQKRTQRRTSPYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQVATWRPSGVRARSLRSHRAVCVLSRYVATERVRARSLRSDRAACACSVATDRAVCVLGRYAATEQRVRARSLRSDQAVCVLGRYIATKPCNRFVVFPFSVINLGVFQRFLGEQVLSFRNVFGKRVLVKPLRIEISFVRKRNRELVLVLFLWRKVATKFSILLNNTAFALEKTVDLISSLRKSAAIITRDHKSFGHKGCQRRILSLKSCPSCFSPRTPYILAPRSVYAFTFLPLSRHSIKWRYSIFSDFRNYLQNSVFICGNLTFVFPCEPSMGLESCLRPLWAVFRLETFIATSFDKEWTFRCFYPQSLIDDLEWRKT >A01p056000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31592114:31592974:1 gene:A01p056000.1_BraROA transcript:A01p056000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIR12 [Source:Projected from Arabidopsis thaliana (AT3G07390) UniProtKB/TrEMBL;Acc:A0A178VEI7] MASRSSLLLILTAACFVSIISPATSQTCSTQNVLSAEKTPFQTCLDLPELESYLHYTYNATNSSLSVAFVAAPSRSDGWIAWAINPTATTMSGSQAFLAYGSGAGAPPVVKTYNITGYNLTEGRLAFDFWNLRAEALNGGRIAIFATVKVPAGAGSVNQVWQIGGNVTNGRVGVHPFSPPNLNSRAVLNLTGTTSTGGGGSKTPGNAGWMVANTELWTTTALLLVY >A02g512280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33260648:33263707:1 gene:A02g512280.1_BraROA transcript:A02g512280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKTSGTTYLLVVWKSSGSLVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLELSIDDLTFSRLMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDCMNHKKFRIKILSFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A10p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15385206:15386082:-1 gene:A10p023570.1_BraROA transcript:A10p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGTGDSSDDCLPKFFKVYLPDDSGDDLDIPISFNSFLPKPLPEYIIVRSIYGNIWKLKLMKRCGDDVDKVSMVNGWKRIVKDEDLKGGEFLAFEFDGSRLFNFCIYGQATCKRLGSSVKTKEITDESEGEDGKSCVDVIVIDDDSTDDDDDVSSGQGSGMEDQSTKDIIVIDEDTSDGDDDDETRSGVETEIIEKGSSEVSTKSDSGEGE >A05g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7378039:7378783:-1 gene:A05g502330.1_BraROA transcript:A05g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASTVLHWVHENLSKIDEKSLSFCSRRWLYCKDVELQEHFNTDSVFTLAHQKIPSSTPILFIKEFWLPGSGPDFGVPPFSGIIAVILLKILLALQALLETNMIKINQP >A04p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20804552:20807200:-1 gene:A04p035790.1_BraROA transcript:A04p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEKLFMEASPQYSNKRYDLEEGVMHLKERLEEEEALSRSLRSAFDGSVVSLPSLSSLFLPPQFREIIQELALVEAEILCLDRKIEELKLKLCYEQRQTQELTEQKRTLARQNHVRRKSLQLRHDLQQRSFSHHYQRSALDTVSSTHSRLSFSYAPDFLDATSSGGFTDSWFYLPDEYDGLSRPHMGRARKGLRLVEVKSKEDPNEVSEQLINCLISIYLELNQVSSKTKGDVEFSRRPSSCSRKSNTYSHYQNAMNLDPYHVLPDSSGGFTRDIGPYKNFIHISRSSIDVTSLTYYCSPAVPRLSVLMEKLSEVDLTFLTYKQKLAFWINIYNACIMHAFLEYGLPSSHNKLLTLMNKASLNVGGIVLNALAIEHFVLRHPCEPEHDSLDEKETLLRHTYGLGYSEPNVTFALCRGSWSSPALRVYTADEVVNDLGRARVEYLEASVGVSSKKKIIVPQLLQWHMKDFADDIESLLEWIYSQLPRSGSLKAMVMECLKRKAKVPLAKMVEIQTYGHEFRYLLSL >A06p016560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7408313:7408570:1 gene:A06p016560.1_BraROA transcript:A06p016560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASWADQWDNSAKGGRIGGGAVVKSSGGGAASNSNTAKYKEKLGQGLDKTKAVASSGFKKLKTGSAVGFRWVKDKYHKTTNKH >A06p036960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20035564:20036466:-1 gene:A06p036960.1_BraROA transcript:A06p036960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQNSSRLAGNPNWGTATVVGIFAGMLYGGSKEASASVSKDAEVMLKMGSTQDKREQHRLMRDAMEKRFTRVTRGSLIGGMRLGMFTASYFSLQNFLAETRGVHDVFNFVGAGSATAAVFGLIMPGSLAWRVRNVMLGSVLGATVCFPLGWLQLKLMEKANEGNKQDTSHHGEVTSGVGAAIERLEQQLRK >A03p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:297976:302638:1 gene:A03p000430.1_BraROA transcript:A03p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDSSSSSSPPVTREETGYIRFEHGQDNGFDHRDRPPWNRSEYDYRHGSIVASENPRNTSTSSEDPWSCVVVVATFCVFVSMTLILGLYGTTNVWLGPNSSFLIKPTSVFVQNVIVEELGNKGSGLMLYGLNQPPQLHVLANWSEVHYLAVPNDSYKYWIQYLNKGSRVKVSYNVESLGSSLYLVIAQGVDGLSEWVQDPTRPDTTLSWHLISDSGFIEQDITKSSSYYIAVGNVYLNEVKATIDIQVEGVLYDTTNAYYKCTFPNDKCTLSVPLFGTNAAVLTSPGPKLNTSKNEFCAKLSYEPRWIAYIVCMVVVTALLLILSSVFNKRQQAVPENEETADENDDVAPLIPGKDDDNSSWCSSYSSILTSTEELEGAHGDGQSSTRYLCAICFDAPRDCFFLSCGHCVACFQCGTRIAETSGFCPVCRRKIRKPEFCIPVTNNKSRPLSSSSLCFLPPANSSPDRRSRLRGSLRACVLDILRLLILDLSLNLFPRSFVRSFGVYGFEIGLGLTAFGVFFSFLGVVFVFDKGLLAMGNILFISGVSLTIGLKSTMQFFTKRQNYKGTISFGAGFFFVVIGWPILGMMLETYGFFVLFSGFWPTLAVFAQKIPVLGWIIQQPYIRSFFDKYLGKRVPV >A08g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13292540:13293976:-1 gene:A08g507530.1_BraROA transcript:A08g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLTVVYLVALLVLTVSAAAEEGNDSGCSAAAAVNSAKDLKYKIVAIFSTLIFGVFGVCLPIFGLDGDGFFYACVRQFGAYVMGLASVVYILPDATASLTSSCIGAFPMTGVVVARAAAIVTMIESKSFASAFMNISHSENHNGDDDRVDNSASQGHTVSRQDHNKIRQKLVTQVLELGFVVHSVIIGILFQGISLGGCISEAKFELKKTLTTVIVFSLTAPVGIGIGIGIAEIYYKNGPTTMIVSGFLHAAAAGMLLFMAGVTGYNLKPHSTLHMLKALGWEFKLPIRALSLHPSSTLSLKSLSQPLIDFVQLLAPLLASASCVSISNPYPTIKAQLYNKYIKGASS >A05p039140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23936759:23937821:1 gene:A05p039140.1_BraROA transcript:A05p039140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCSSSSAAPPFPQTKLSTPSFLKPKTRSLTLCSAFGYPNSITGIKKDISYLFTGPHKLPSLAKSQILVSPESFTDNSTIDMDWEDQEDVEDTGSPWEGSVMYRRNASVTHVEYCTTLERLGLGKLSTEVSKKRASSMGLRVTKDVKDYPDGTPVQVSVDVIRKKKKLRLDGIVRTVITLGCNRCGEEAGESIFSNFSLLLTEEPVEEPDVIDLGFTFGNDKANSFSLDDREDEDEDDEIDWEDKLHFPPEVKEVDISKHIRDLVHLEITMNAICDPACKGMCLKCGANLNKRKCDCGNEEKDKGYGPLGNLRKQMQQKEGLRN >A09p059980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49902604:49905149:-1 gene:A09p059980.1_BraROA transcript:A09p059980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLDGPPRLILVTDLDCTLVDHDDPENTDLLRFNALWEAHYRHDSLLVYCTGRSMRSYLSLRNKKPLLTPDIAITSVGSQIAYGGGESMVSDDVWVARMGEMWNRDIVVEETSKFPQLEPQPDKSQEQHKVSFFVGREHAVEIMKVLPERLLERGVDVKLVYSNDYAFDVLPKGSGKGGALTYLLEKLESEGKQPSNILVCGDSGNDAELFNVPQAYGVMVSNSHKELLQWHEENAKDNPKIILASERCGAGMIEALQRFNLGPNVSPRDGLDTENFHVEVLDTANEVVQFYLLYEKWRCGEVEKSDKYLQNLKSLSSPLGMFVHPSGVEKPIHEWIDDLVNLHGEGKEKQFRIWLDRVSPSLNSPETWIVKFDKHELSDGKVRSCSTRVLLSCQEEKEKLTWMHIQQSWLDGSCSDDQDKWIF >A03p066520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29394447:29396302:1 gene:A03p066520.1_BraROA transcript:A03p066520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTMDPESTMVAVGTTDSALPNGGLTMENHGKLDRAPAENAAGDKVKPKLQKKQVQETSEDDAQSSNSPKADDGKPRKVGALPNYGFSFKCDQRAEKRREFYVKLEEKTHAKEEEINNMQAKSKETQEAELRKLRKSLNFKATPMPSFSQPPKTELKKIPPTRPKSPKLGRKKTDSEEAQTPRVARLSLDEKASRDSTTAKGVVPTVDLKKQPLRKSLPRLPSQKTALPDGKLAPAKAATTSAKVKPERKKVEKDAEALKQSSDPIDEEAQGNVSSSNADAEDSHEIVSPMMDEDRAKSIEVSEVVSVEH >A07p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27454703:27456724:-1 gene:A07p051830.1_BraROA transcript:A07p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAAEVAAAAVVVVREYDEETDKRDVEEMERECDETGPHGKPVMVSDLLGDPVRRVRHFPCHTMLVAEYGEGRKMVGVVRGCVKTVTRGNSIYVKLAYVLGLRVSPSHRNLGIGTKLVQKLEEWFKQQGATYSYMATDCTNESSINLFTKKCSYIKFRTPTMLVQPVHAHSKPICSDITILRLTTKTAESIYTRVFKDSEFFPRDIDAILTSRNSLGTFIAFPKEEHGHKSNRLDRDFPTDYAILSVWSTKDVFRLQMKGVSRLTHACCSGSRSLDSCMPWMKLPSFPNVFDKFWVYFMYGMHMEGNDGPRLMKSLCYFVHNIGRHDGGCGAVATEISPSDPVASAVPHWKRLSWAQDLWCLKKLSDEPELSDWSSSKSNVIFVDPRDI >A10p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17093900:17096279:-1 gene:A10p027090.1_BraROA transcript:A10p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEVSGSPTKSPLTVSTSVTETLDGFHEFKISAYSLTKGLGVGKFVASETFTVGGYTWAIYFYPDGKGPEDNSTYVSLFIALASEGADVRALFELTLVDQSGNGKHKVHSHFGRALESGPYTLKYRGSMWGYKRFFKKSSLESSDYMKDNSLLVRCRVGVVKSCTEGQRNYSIPVPISNLGQQFGNLLESGKGCDVTFEVGGETFSAHKLVLAARSPVFRAQLFGPLRDRNTDRVEIDDMESPIFKVLSLTLFLGLLLFGLDFLFTNVPSGIFSWGATPVVDTLVRLAGGYSEIAVMQTDGFDYLKKSCPSLLTELLKYVARLGEHSVSASGYRKEMFADGCDANGRRVKQRLH >A02p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:391267:392781:1 gene:A02p000740.1_BraROA transcript:A02p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSLHSHRRRTLAVTVTVTGGLIVMSTDSEADVNASTFESGESDSESESTGIDADSQRSKLESLKKLSTDSDLVWGKVSSFPWWPGQVFLDSSVASKKAKKHFKKGAFLVAYFGDSSFAWNDASKIKPFHHHFSQMAKQSDSPEFRNAVGCALEEVSRRVEFGLSCGCVSEEAYERVKTQRVMNAGIREESRVRYGGDEVSSAGLFEPAKVVEYMKRLACFPRYDESDELVFVSNRARLFAFQQWRSCINFPRYETFLKDVTDAKREEKTLSDCIADKRVGKKRKVESLKSGKPEKKIKKMTHTLSLKRTPQPLNLEHSNYEDFEKFLEETSCSNLNHDSEKASTPSDVKESSDQPESGSKETQTGVKDCSGDSSAAPNALILKFASSGSVPSAEKLNSIFNRYGPLREAETRVMKKGKKARVVFRRGEDAETAFSSSGKYSIFGPSLLSYSLKYVGPKAKESNDIITQGNTL >A06p012560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5736036:5736479:-1 gene:A06p012560.1_BraROA transcript:A06p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHA2A [Source:Projected from Arabidopsis thaliana (AT1G15100) UniProtKB/Swiss-Prot;Acc:Q9ZT50] MGLQGQLSDVSSDSLPLMLLSLLAVFLSRLRSFLLPPCDPASNLPLDDGSIVASGLANIIVLADQLSLNRLSSYRSVGEGGSDCVVCLSELHEGEEVRKLECGHVFHKPCLEGWLHFTISISRVLYVDRLWSPMVAFPERSGALGGI >A09p071500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55150112:55154727:1 gene:A09p071500.1_BraROA transcript:A09p071500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) UniProtKB/TrEMBL;Acc:F4IIK4] MAEDSLRVGVGGMMSSGLAVLLNGEDAKESSSKSRIVPHFDYSLHRPLERTIEYIFGLPEKSVSPLDGGKVDSSLVRAVIKNQFSKLHCELDAKTSHREGISVVSHGVVALEDYSICGEIRIVKPPLVLESLALFSSARANACIWKGKWMYEVALETSGIQQLGWATIACPFTDQKGVGDADDSYAFDGRRVSKWNNESEPYGQSWVAGDVIGCCIDLDCDEISFYRNGVSLGVAFSGIRKLGPGFGYYPAVSLSQGERCELNFGAYPFKYPVEGYQPLQEAPSRSSFGTKLLRCFSRLLDRPDRSLADTLSRLRRFASVEELFSPVSRAICDEFYYILEQDSLLAEYLGRGAFLSFLLEIFRTQAPHDCSSLDRVLDVLLASPLSHLIFGHVINALAFSCKTATLTLIECPYSGPYPYLALACHLLRREELMVLWWRSLHFEFLFEGFLSCRSSNKHDLQQLMPVVWWPGSSEDISHESSMGFTISALSEAINKIEEKQRNLCLLVIQFIPPISPPQLPGSAFRGFLQNLLLKNRGADRNLAPSGVTRNSVLVSLFSVILHFLSEGFEMLKSSEESVRHNVGFLHRGGQQKFPLSLFLKNDPHRADITRLGGLFSHISKSYPTDDDQEEEIMRWEEGCMDDEHNRVTHTTEQKPCCCIAYDTDLTKSSKDRGKNTAKSSRGQCSSIPERSSHVAAECSGGSFSEEIEDKPSTSNQSDLPEFGYRPVRFMRTSLQESRVSSAILSEEELLDSLLLLYHIAVAPNFKQASYYMSQQSQSISLLEETDKQIRERASGDQLKRLKEARKSYKEEVMECVRHSAWFRISLFSRWKQRGMYALCMWVVQLLLILSKMDSVFVYIPEFYLESLVDCFHVLRKSDPPFVPSTTFIKQGLSSFITFVVTHFNDSRISNTDLRDLLLQSISVLVQYKEYMEAFENNEAATRHMPAALLSAFDNRSWIPVTNIFLRLCKGSGFSSLKNGESSFSSTVFQALLRDACINDGELLSTFLNRLFNTLSWTITEFSVSVRDMQEKYQVMEFQQRKCCVIFELSSNLARVLEFCTCAIPQAFLSGTDTNLRRLTELILFILNHMTSAVDDEFFDLSLRRQGQPSEKLSRGILLAPLVGIILNLLEASEDSKQKQQHDVVGLFASMDCPDTVYCGFQYLLEYNWDGCVSGDDAYVKKLGQLASFLDHLVNRASSQEPARIEELFNKDATDLDDNTCCICYAGDANAVLVPCSHRSCYGCITRHLLNCQRCFFCNATVIDVVRDNEEGDHR >A04p014720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5660929:5663161:-1 gene:A04p014720.1_BraROA transcript:A04p014720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 4D [Source:Projected from Arabidopsis thaliana (AT5G37720) UniProtKB/Swiss-Prot;Acc:Q6NQ72] MHRSVDLDSVFDIKKGWSVIALKMSGALDMTLDESIKRAKAARSGGGRGSSRRGRGGGPNGFLGGGRGNGPARRGPLAVNARTSSFTINKASSLSGISYLTVLAVIGACSDLALHSLSCMKFEHLAASRRTMSVLPVRRTRSVPWQNGLFEDGLRAAGVSGVDVETRLHITNLDNGVTNDDIRELFSEIGELKRYAIHFDKNGRPSGTAEVVYPRRSDAVQALKKYNNVLLDGRPMRLEILGGNNSEAPPLSGRVNVNVSGLNGRLKRTVVIQQGGGRGIGRLRGRGGRGPAPTVNRLPIQNRQGAGRGRFRGGRGRGGRGRGGGRGNGKKPVEKSAADLDKDLESYHADAMNTS >A04p017760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10753069:10754757:1 gene:A04p017760.1_BraROA transcript:A04p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT5G40140) UniProtKB/Swiss-Prot;Acc:Q9FL17] MEIQRPIIKLMVLHSNHDKSENLSRRESLPGKSKWRISLSRSPSSSSSKSNNSSPSKTEIPTEFLCPISGSLMADPVIVSSGHSYERACVIASKTLGFTPSPSLPNSPPDFSTVIPNLALKSAIQSWCDRRSLPPPRPLDNAAAEKLVLSLMEKTHHRPTSRKVSISEKELIQAIKDKPSVRLDHAATELDRRPNYFNSSSDESVASSSRTLQLATRPSCFSSPSSAEIESLEPNPSPEEEALLVKLKSNRISEIEEALVSIRRVTRLDEGSRISLCSARLISSLRSLIVSRYATVQVNATAVLVNLSLVKSNKVKIVRSGIVPPLIDVLKCGSSEAQEHSAGAIFSLALEDENKTAIGVLGALEPLLHLVRVGTELTRHDSALALYHLSLVQSNRGKLVKLGAVQVLLSMARLGQTMNRVLLILCNMASCPVSRPALLDSGAVECMVGILRGPREVTESTRESCVAVLYGLSHDGGLRFKGLAMAANAVEELTKVERCGRERAKQKAKRVLEVMRAKMEDDSSPENEEIDWEELLNSGSVTRSQYRLGGDGDKSPVNSSEF >A10p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1348718:1349971:-1 gene:A10p002600.1_BraROA transcript:A10p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKVPNIPGSPALSALLKVSVIGGLGVYAITNSLYNVEGGHRAVMFNRLTGIKEKVYPEGTHFMLPWFERPIIYDVRARPYLVESSTGSHDLQTIKIGLRVLTRPMGDRLPHIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFDIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAEGEAKSAQLIGQAIANNEAFITLRKIEAAREIAQTIAKSANKVYLSSNDLLLNLQAMNLEPSSKK >A05p013020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5655100:5659721:-1 gene:A05p013020.1_BraROA transcript:A05p013020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIDHVLSWPLIGEKSSGIKEEVKKQLWLAGPLIAVSLLQFCLQVISVMFVGHLGSLPLSAAAVATSFASVTGFTFLMGTASALDTVCGQSYGAKLYGMLGIQMQRAMLVLTLYSIPLSIIWANTEHFLLFFGQDKSIAHLSGSYAKFMIPSIFAYGLLQCLNRFLQAQNNVFPVVLCSGVTACLHVILCWVLVLKSGLGFRGAAVANAISYWLNVILLSCYVKYSPSCSLTWTGFSKEALRDIIPFMKLAIPSAFMVCLEMWSFEFMVLSSGLLPNPVLETSVLSICLNTSGTIWMIPFGLSGAASTRVSNELGGGNPKVAKLAVRVVISIAILESILVGSVLILIRKIWGFAYSSDPEVVNFVASMLPILALGHCLDSFQSVLSGVARGCGWQKIGAVVNLGSYYLVGIPVGLLLGFHFHLGGRGLWLGIICALIVQGVCLSIITFLTNWDEEVKKATSRIES >SC311g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000156.1:323:772:1 gene:SC311g500010.1_BraROA transcript:SC311g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A02g511360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30230982:30232410:-1 gene:A02g511360.1_BraROA transcript:A02g511360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVREGRRGEGEREMMMILMSLMMKIGEVLRERVGRRRGEGGAGVTGLMILIRLRMMQVVEGRSVGTKWQLLPTLMSQAMMKIHALGGVLLRGAAVAAAAIAGRYGIHSWQAFKARPIVPRMRKFYEGGFQAAMTQALAGHNHLEVNQPSNCLHSSNISCKKAWCMG >A06g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8688841:8689998:-1 gene:A06g502590.1_BraROA transcript:A06g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSAHGTVDLDSIRVKRKTLQNLLDDCQRALELLNLADTSPGGDKTETGGSGEDNSNLVGSSEREEEEFPGDPEADKFYDLIKSRVECHGFREKIELAQLLNLAEEEGSSWDVVSEDDILGVVQTEDDYVVVREEDIADGIACFMATYLSSLKQTKDISPDQLQKALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCKAISKLV >A04p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20984254:20987957:1 gene:A04p036490.1_BraROA transcript:A04p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40400) UniProtKB/Swiss-Prot;Acc:Q9SIY5] MKPTTNGLFASQSSPSFTAPRFRGHLPITFTSSNHHHHHHKNKKNLANVITLSLPSDVSSSSSRVTSSRRAILVAPPLLAAVISLWPSVSSAAGEISSIVAADASPEPAAPPPPATPPPVEEITSRIYDATALGEPMAVGKDKKRVWEKLLNARIVYLGEAEQVPTRDDKDLELEIVRNLRKRCVESERQLSLALEAFPVDLQDQLNQYMDTRMDGEALKSYVSHWPAQRWQEYEPLLTFCRDNSVKLIACGTPLKVLRTVQAEGIRGLSESERRLYTPPAGSGFISGFTPFSRNSSLNINPLTQIVPFGPSSYLSAQARVVEDHTMSQVILQAVADGGGTGLLLVVTGANHVEYGSRGTGLPARVSRKIPKKSQLVVLLDPERQFLRKEGESPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRSRDALPQDIQKGLDLGLVSPEILQNFFDLEQYPLIAELTQRFQGFRERLLADPKFLNRLAIEEAISITTTLVAQYEKRKENFFEELDYVITDSVRASVVDFFTVWLPAPTLSFLSYADETARPNSIDALRGLLGSIPDNAFQKSLGGKDWTLNLRIASVIVGGLKLAGVGVVSSFAAVGSSNALYAIRKFIKPELAVSEQTKRSPMLKTAVVYGGYLGTSSNIRYQIIAGLIEHRISDELSSQPLLVNMISFVVRVANSYYGTQQWIDLARSTGLQAQKSVTASNQIPEALSQPTVEIVEYSTTTTDEATMDDLKNQ >A03g507850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27685807:27686919:1 gene:A03g507850.1_BraROA transcript:A03g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFYSMAYPTYVEPSSQFLATLEASFYEGNHVRHGWGKIKFKVNGKSYFMSFKEIGAMMGIEDNEDQTLPRFKKLPTGVWRVISGNQHATGHDKNSAIRHPAVRYLHKILVYTLYPRKEAGTVNEEELRLLYRAAIDNVTPEQLEEFEETDKMKFPTTDIFKCFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNRKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKRQ >A07p038930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20703935:20704432:-1 gene:A07p038930.1_BraROA transcript:A07p038930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSDPSRTMMERKKKGAPIKFLVPLIYAPALPLIRLTLRHQPVLRDRLFGLVLAGAFVHGFYLVSLAFKTCIAAFVFLSEDLVDKNIANMQESYKVFVLEMAMS >A04p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1014784:1018235:1 gene:A04p002120.1_BraROA transcript:A04p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVASIGSGQDMSRRVRQRVEENGLVSPSCCPVIGDEDLAPKAQHYVDWTSLSYDTVLLLFTRLNYRDRASLASTCRTWRSLGASSCLWTSLDLRPHKFDLSMASSLAARCVNLHKVRFRGLDSADAIVNLRARNLKEISGDYCRKITDATLSMIAARHEALESLQLGPDFCERITSDAVKVIAFCCPKLRKLRLSGMRDVNSEAIESLAKHCPQLSDVGFLDCLNINEEALGKVVSLRYLSVAGTISMNWRVAAESWEKLPRLTALDASRTSVDHVAVSRLFKSSQSLRVVCALNCPLLEHDVNFKGKLLIAKFDDNSKTPKDMFSHWRDLISNEETMRWIEWIISHSLLRMAESNSQALNNFWLNHGAKLLLRLMQSSQEDVQERAATGLATFIVVDDENASIDCGRAEAVMRDGGIKLLLKLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIDVLAGLAKSMNRLVAEEAAGGLWNLSVGEEHKNEIAKAGGVNALVKLIFRWPNGCDGVLERAAGALANLAADDKCSTEVARAGGVHALVMLARNCKYEGAQEQAARALANLAAHGDSNNNNAAVGQEAGALEALVQLTKSPHEGVQQEAAGALWNLSFDDKNRESIAAFGGVEALVALAKSCSDASTGLQERAAGALWGLSVSEANSIAIGQEGGIPPLIALAGSEAEDVHETAAGALWNLAFNPGNALRIVAEGGVTALVHLCSSSVSKMARFMAALALAYMFDGRMDEYAMIGTSSESASKSVSLAGARIKALKHIESFVTTFMEPQVFAAAALSSAPSMLAQVSEKVRIPEAGHLRCSGSEIGRFVTMLRNPSPILKACAAFALVQFTIPGGRHAMHHASLMQNAGEARILRSAAAAANMPREAKIFAKIVLRNLEHHHQAECSKGKKSVIL >A03p062510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27156871:27164941:-1 gene:A03p062510.1_BraROA transcript:A03p062510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB41 [Source:Projected from Arabidopsis thaliana (AT4G28110) UniProtKB/TrEMBL;Acc:A0A178V452] MGRSPCCDKNGVKKGPWTSEEDQKLIDYIRFHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVMGNKWSAIAARLPGRTDNEIKNHWNTHIRKRLVRSAIDPVTHSPRLDLLDISSLLAALINQPNFSSVATHASSLLHPDVLRLASLLLPPQQPLQNFNPIYAPNLDQNIQTPITTVSSQDSQLQAECTTPVSNNETSSFDPFMKARLEVSHEDVLPPLAESLDLESLMSTPQQNSIEAEANSSRQVKTRRVSEVRQHIVECASDQTHQDPAAWFQLRRHHVFLGHSSSLGELTLLPRRFQRLLKLPKCNSVKNLEKECRNSSYSVKGGSKKTTTDRESKMMRKDCQLMGLTWLLARNKTVYIPTVSAVLRAIMYSPHPPHLNKCRLCSPDQEKSRSLSSVPEESLFFYVTFSVFPVL >A01p027130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19123833:19125381:-1 gene:A01p027130.1_BraROA transcript:A01p027130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLKIDEEDDDEKETIEHPGSDQPSSPSALKSQSPRGVKEDISELTKTFRSQLWGVASFLAPPPSSSDPADEETRKSSDLAEGEEDLIAGIRSDFVEIGGRFKTGISKLSGNLPVSEITKMASSFWQGGGGDSKERDGVGDVIGVTEEVVGFAKDLALHPDTWLDFPFPEEDHNFDDFEMTDAQYEHALAVERVATGLAALRIELCPAYMSEYCFWRIYFVLMHPKFSKHDALLLSTPQVLESRALLSHELLHKRNKAALVLPETSGDTGTAANANEEPLTVSSPEPVKTISVETIHLSDTSEVETEKHPIESKEIQVVDKPVIEEKPAPAPHDKPVTGSSPRVIDVQVDDDDDDDVDDWLKDEDNAGTVSSTVATKHVVDEDEDVTFSDLEEDDDDVPVNYKK >A08g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15209588:15211519:1 gene:A08g508340.1_BraROA transcript:A08g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNESVDWQFSGSDEGKAASEASLSTYTSKLFALCDPQGKAILPPRGETAETSHTAERAVVKAVLFGTGNAYAPSIGLPAAKRAVADYLNRDLPKQLSPDDVFMTVGCKQAIELAVDTLAKPNANILLPKPGYPSNLIRSIFKHLEVRNYEFLREKNYEIDLDSVRAAADENTFAIFIINPHNPNGNTYSEAHLKQLALLARELGIMVVSDEVFRWSVFGSNPFVPMGKFSSIVPVVTLGSISKGWSVPGWRTGWIALHDLDGVFKSKNVLAAIKQFLDLNSKPPTVIQAAIPTILEKTGKDFFQRRQSFLKVATEFAYYKLKSIPSLTCYMKPEACTFFWTELNLSSFVDIEDDEDFCEKLATEENLVLLPGIAFTLKNWVRHSIDMHIPTLEDAFDRLKSFCDRHSISGETPRKAVNGVN >A08p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1017904:1020202:1 gene:A08p001700.1_BraROA transcript:A08p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/TrEMBL;Acc:A0A178W3N8] MTRDIEDEIKDEKNPRPLDEDDIALLKTYGLSPYSAANKKVEKEIKELAKRINDLCGIKESDTGLALPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRTKYKIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLSRLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >A10g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9857477:9858909:1 gene:A10g503990.1_BraROA transcript:A10g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRAINAKSLTLRIGEPSVDHEPPRVTNYRRPKMDPSFQPDPSQSATEETWNYRIKLYLFGVLCPGPWTSGLVSHTSLGNLPVTHPSLFLISDIVGGPLFSGLEVTGVTIFILNLHLLSDRGERINESKDEDINQTVSCRGKKLKRQCLATARRGATDLVSLPQTF >A09p061530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50703220:50706298:1 gene:A09p061530.1_BraROA transcript:A09p061530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFDLEVPETAHKISSDSWFQVSFVLTTSINSAYVLGYSGTVMVPLGWIGGVVGLLLATAISLYANSLIANLHEFGGKRHIRYRDLAGFIYGKKMYRVTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDSVMKLPHFIAIAGVVCAIFAVGIPYLSALGIWLGVSTILSMIYIVVAIVLSVKDGVNKPSRDYTVQGSSVDKIFTITGAAASLVFAFNTGMLPEIQATVKQPVVKNMMKALYLQFTAGALPLYAVTFIGYWAYGSSTSTYLMDSVSGPLWVKALANISAFLQSVISLHIFASPTYEFMDTKYGTKGGSPLALKNILFRTATRGSYIAVSTLLSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAMDSELSSVQKLWHWLNVCFFGLMSLASAIAAVRLISVDSKNFHSLFTNAIRHFIGVLLCNSLAGTKEEEEDTQHQE >A03p067260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29565517:29570064:1 gene:A03p067260.1_BraROA transcript:A03p067260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLYLIEPAPATLIVTAVSVTFASAFRALNYAKEMERNRDFSEASITLDTSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFWLLPYALYVKSQLGLSDPFLSRCCSKSFTRMQGLLLVGCVMTVAAWLVSGHWVLNNLLGISICIAFVSHVRLPNIKTCAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLNLPGLEMITKKLELPVKIVFPRNLLGGVVPGVSASEFMMLGLGDMAIPAMLLALVLCFDYRKSREVVNLFDLKSSKGHKYIWYALPGYAIGLVSALAAGVLTHSPQPALLYLVPSTLGPVIFMSWRRKDLAELWDGPAVSNPIEKSHEIRLKARIYITVVRSFKMKNNKMVRAKLLRVIMLMHAIIGLPYTVMGLSMSYYMMSCPAAEQIVTNTVNNALRADPTLAAGLIRMLFHDCFIEDSPANLSLRGYEIIDDAKKKIEATCPGVVSCADIIAMAARDAVFSANGPYYQIPKGRFDGKRSKIEDTRNLPSPFLNASQLIQTFGQRGFTPQDVVALSGAHTLGVARCSSFKARLTTPDSSMDSSFVNTLTKTCSAGDNAEQPFDATRNNFDNAYFNALQRKSGVLFSDQTLFNTPATRNIVNGYAFNQAKFFFDFQMAMQKMSNLDVKLGSQGDVRKNCRILN >A07p038940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20704890:20705825:1 gene:A07p038940.1_BraROA transcript:A07p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALCGRLALAPTTLFNSISGDKHSVSKGPCVNNRGVLMAMSTSVVGKGGGVLDKPIIEKTTPGRESEFDLRKSKKMAPPYRVILHNDNFNKREYVVQVLMKVIPGMTVDNAVNIMQEAHINGMAVVIVCAQADAEQHCMQLRGNGLLSSVEPDGGGC >A03p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16482657:16486160:1 gene:A03p039590.1_BraROA transcript:A03p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSQTSVGSGALSHAYLQHPSLRCNVPESRGLFYDDANRLLICVTSSQVFSWETVTFNPDVSPSVDSIPEGPILSIRFSLDKKVIAVQRSDCEIQFFHRETKQTLTHKCKAGSESILGFFWSDSPLCDLAVVKTSGMDLFACDSAMNLRLVETKKVNVNWYIYTHESRLVLLASGLQCKTITGFQLSTAGVVRLPKFEMTLATTESNSKPIISPGDVHLITVYGRIYCLQVDMEAMLLHTYRFYRDAVVQQGSLPIYSSKLSVSVVDNLLLVHQIDAKVVIIYDLFVDSRAPVSAPLPLLWRGYQGSDTSSQQENEGTESSTSSENIVMYEDAWTFLVPDLILDQTNKVLWRVHLDLEAISASSSDRSSLLEFLQRRKLEANKAKQLCLGIARALILERRPATQVTQAIDVLVTAYSYSVKAGIYKELKNEKTTATTPTNDGASPDNERGRASGSSIDEEEEVEMNLPSGSADEQQESQLSSPAISPDELYKFVFASVEEAMVEESDYLVAIITEFLRSISAEKLKVDLNIYVMTVRLLAHSKRFAELSLFTTNKIIEPSKEVALQLLESGGQNLRVRKLGLDMLRQLSLHHEYISSLVQDGYYLEALRYAQKRKVTSVRSSLFLEAAFASNDMQHLAAILRVLSELIPGFKETSEYYTFHGLLNETSSSVAV >A03p008430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3383493:3384361:-1 gene:A03p008430.1_BraROA transcript:A03p008430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPEDATAKRDSTEVEPDSSVSKKQKIEDEDDTSMEEESDSDNEGLWSVDAEDDDDGARSPTINYSNFQDPEPEWDKDSYDGYELEFDPDGREGFSSDKAYAEFREYKTKAFENRGFLEDPFRSIYPILDLDDLWTTTTKRQYLTDIASLCVKKLNEDKRLSVEVVSIVRGTLKPGGGWKLYITFMAREYPGGPLVEYQAKAVDYAGHKIPPFPILCRPASCIP >A08g507020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12100420:12100788:1 gene:A08g507020.1_BraROA transcript:A08g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTAPRVVLPRVRLVLTSLPVRAGTASRVAQPAWSSSFLLLDISTCRRRHHSVAVLRITVVVSARAVTARPEAPECFSPSQPSSFNRRLSSAKLSTTGSLQLLNLKVKPSPCLSPLCDLR >A08g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20804851:20805240:1 gene:A08g509810.1_BraROA transcript:A08g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGHPGGNTELNDIHALSDMSPEEFQEADGVECAHFEETSQWVSFRLLEANTLLLTHSCFGSTLTHFSHVLKLIGFCFKGFLPIQGMKCPPLLNRVTAGE >A01p052240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29220800:29222636:1 gene:A01p052240.1_BraROA transcript:A01p052240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSYTPSPPRGYGRRGRSPSPRGRYGGGGGRDRDLPTSLLVRNLRHDCRRRSPPRYSRSPPPRRGGRTRSRSREYNSPPPKRHQSRSVSPQERRYEKERSYSRSPPRNGSRARSGSHEKVKKSYSRSRSPRRSVSPRRNRSYTPEQARSPVPRQSRSPSPRGERNGDRSPSQ >A06p055610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29031869:29040914:1 gene:A06p055610.1_BraROA transcript:A06p055610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G45140) UniProtKB/Swiss-Prot;Acc:F4KD38] MGLRHEDLDLTNDDHFVDKQKLSAPIKSAADKFQLVPEFLKVRGLVKQHLDSFNYFINVGIRKIVRANSRITSTLDPSIYLRFKDVRVGEPCILSISMAEKLNPHMCRLADMTYAAPIFVDIEYVHGSHGQTTISAKDNVIIGRMPIMLRSCRCVLHGKDEEELARLGECPLDPGGYFVIKGTEKVLLIQEQLSKNRIIIDCDKKGNINASVTSSTEMTKSKTVIQMDKEKIYLFLHQFVKKIPIIIVLKAMGMESDQEIVQMVGRDPRFSASLLPSMEASIMATYFCFHECISEGVTTRQEALDYLEAKVKKSSYGPPEKVFILYSAIKLLDGKALYILRALFLAHVPVRDNNYRQKCFYVGVMLRRMIEAMLNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTMTTEAIKKVDGILQKPTRASRFDFSQCLTGEKNHNISFGLERTLSTGNFDIKRFRMHRKGMTQVLTRLSFIGSMGFITKISPQFEKSRKVSGPRSLQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDIEEGPLVAMCYKLGVTDLEVLSAEELHTPDSFLVIFNGLIIGKHRRPQYFANSLRRLRRAGKIGEFVSVFINEKQHCVYVASDGGRVCRPLVIADNGVSRVKQYHMKELQDGVRTFDDFIREGLIEYLDVNEENNALIALYEGSPKKMKDITHIEIEPFTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQANYLLPVVLYNDLLNQLLNRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSNTGYDIEDAIVMNKASLDRGFVRCIVMKKFVATCQKYGNDAVDRILRPQRTGPDAEKMQILDDDGIASPGEIIRPNDVYINKQVPVDTRNNITSQQSDSQYRPAREYFKGPEGETQVVDRVALCSDKNGNLCIKCIIRHTRRPELGDKFSSRHGQKGVCGTILQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSSGRFHYGSAFGEESGHADKVEEISKTLVKHGFSYCGKDLLYSGLSGEPLQTYVFMGPIYYQKLKHMVLDKMHARGSGPRVMMTRQPTEGKSKNGGKLICHYGLRVGEMERDCLIAYGASMLIYERLMLSSDPFEVQVCRACGLLGYYDYKLKKAVCSTCKNGDSIATMKLPYACKLLFQELQSMNVVPRLKLVES >A03p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10292417:10295464:-1 gene:A03p024390.1_BraROA transcript:A03p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMSNYDRLYTLVLIMLPALSISTNTLSSTESLTVGSNKTIVSSREIFELGFFNLPSSSRWYLGIWYKKIPTRTYVWVGNRDNPLSNSNGTLRISDNNLVIFDQSGTHVWSTNLTGGDAGSPLVAELLDNGNFVLRPSNNSDQDVFLWQSFDFLTDTLLSEMKLGWDRKTGLNRHLRSWRNPDDPSSGDFSTKLETTRGFPEFYAWNKDEIIYRSGPWSGNRFGSDVLDMKPIDYLAFNFTADNEHVTYSYRITKPDVYSRVILSSSGLLQRFTWFETEQSWRQLWYLPRDLCDDYKECGDYGYCDLNTSPVCNCIQGFETRNNQTGGCARKTRLGCGGEDGFVRLKKMKLPDTTVTVVDSGVGLKECEERCLKDCNCTAFANMDIRDGGSGCVIWKGDIFDIRNFPNGGQDLYVRLAAADLVDKRGKRGKIIALSIGVTIFLLLCFIIFRFWKNKQKRSIAIQTPIVDQGRIEDSLMNELAITSRRYISRENKTDDDDLELSLMEFEVVALATNNFSNANKLGKGGFGTVYKGRLLDGKEIAVKRLSKMSLQGTDEFKNEVKLIARLQHINLVRLIGCCIDKGEKMLIYEYLENLSLDSHIFDITRRSNLNWQMRFDITNGIARGLVYLHRDSRFMIIHRDLKASNVLLDKNMTPKISDFGMARIFGRDDSEANTRKVVGINLRLHVSRICNGRDIFDEVGCFQLWGFTPRDYKWQEK >A06p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2382326:2383346:-1 gene:A06p006910.1_BraROA transcript:A06p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIEERIMMLPEACVANILSFTTPADTFSSASVSSVFRVAGDSDFVWEKFLPSDYSRLISSSTHQSFSSKKELFRCLCESILIDNGRKIFKIEKLTGKISFVLSARELSITWSDQRHYWSWSHRSDSRFSEGARLIMTDWLEIIGKIQTGALSPSTSYGAYLIMKVTERAYGLDLVPAETWIKVGNGENKTKTSYLSCLDDKKQQMAKKEEIFGYYRREPEMREDGWMEIELGEFETGREGNEEVVMSLTEVKGYQLKGGIVIDGIEVRPKSQKLSC >A02p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18603379:18605271:1 gene:A02p034260.1_BraROA transcript:A02p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSLDLKFFIKLSVYHPSRVLPLVYQPFAVGTIVILAYHESKINTRKRILVGYILFTISTFLLIMLDLTTKGQGGIGPYIGLCAVVSLFGIADATVKGGMIGDLSLMCPELIQSFMAGLAVAGALTSALRLITKAAFEKSNDRLRKGAMIFLVISTFIEFLCVMLYAYVFPKLPIVKCYRQKAASEGSQTVSADLAAAGIQYQSDLTNYDSKSQRLSKKDLLLQNIDHAVNLFLIYVLTLSIFPGFLYENTGQHGLGTWYALVLVAVYNFWDLVGRYMPLVKWLQTKNRKALTIVVLSRYLLVPAFYFTAKYGDKGWMIMLISILGLSTGHLTVCILTVAPKGYMGPEKNALGNLLVTFILGGALTGISLGWLWLVGKKDAF >A03g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30701004:30701374:-1 gene:A03g509490.1_BraROA transcript:A03g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKYAFIVLLVLTVVISVTVQISEAKLMLQEEKSLPLLDLQVSKLVNPQMVGFCKEPCKPLCFQFSCHCVCPEPPKL >A09p017800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9202090:9204280:1 gene:A09p017800.1_BraROA transcript:A09p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPLAT2 [Source:Projected from Arabidopsis thaliana (AT1G63050) UniProtKB/TrEMBL;Acc:A0A178WEX3] MESLDMSSMAASIGVSVAVLRFLLCFVATIPVSFAWRFVPSRLGKHIYSAASGALLSYLSFGFSSNLHFLVPMTIGYASMAIYRPMSGFITFFLGFAYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCSINYNDGMLKEEGLREAQKKNRLVRMPSLIEYFGYCLCCGSHFAGPVFEMKDYLEWTEEKGIWAVTSGKGKRPSPYGATLRAILQAGICMALYLYLVPQFPLTRFTEPVYHEWGFWRRFGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDENTQTKAKWDRAKNVDILGVELAKSAVQIPLCGTYKSALGSVTVSNVYERIVKPGKKAGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIDGSKAIYRWQQAMPPKMAMLRSVMVFINFLYTVLVLNYSSVGFMVLSLHETLVAYKSVYFIGTVVPIAVILLSYLVPVKPVRPKTRKEE >A01p019370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9379674:9381845:-1 gene:A01p019370.1_BraROA transcript:A01p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRDRDDPLSFTSNPSSASSPVTVSDYLDNFGEPTSRSGSFQSESLLGGGGGDTSNDADYGFARPDFRSEQLAGTVQFYERHVFLCYKKPSVWPARIEAAEFDRLPRLLSAAVSARKGSMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGNPEMLKGSYVFVCSHGSRDRRCGVCGPPLVSRFREELEFYGLQGKVSVSPCSHIGGHKYAGNVIIYQSKIHRKVTGHWYGYVQPDDVHVLLEKHIIKGEIVDRLWRGEMGLSEEDQKKTQERRLQVNGAGHTVKNNGKVTQESSSAHSADVSCCQSGAAESNGCCQQNGNSSSTCCQDATLMLSLETSEDNQLENENNTEKLTPGRKTAEKTFFRINSVKGSSTRKVCAIPTWLESWEREDTYAALAVVCAAASVVVAYTCYKQL >A02p059560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35551860:35553659:1 gene:A02p059560.1_BraROA transcript:A02p059560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSTHFVPPRRVGIYEPLHQFGMWGETFKHNIGNGGDMNTPSHIIIPNNQKLDNNNLSEDTSNGTGGTPHMFDQEASTSRHPDKIQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIHLEQELDRARQQGFYVGNGIDTNSLSFSEPMNPGIAAFEMEYGHWIQEQNKQICELRTVLQGQVSDVELRLLVENGMKHYFDLFRMKSAAAKADVLLPHFDVMTDQQILDVCNLRQSCQQAEDALSQGMEKLQHTLADCVAGGRLGEGSYIPQVNSAMERLEALVSFVNQADHLRHETLQQMRRILTTRQAARGLLALGEYFQRLRALSSSWATRHRELT >A02g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5876354:5877605:1 gene:A02g501870.1_BraROA transcript:A02g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGRGKGQAGEFDDVDPMISRHLSTCLTCVFSSTEEPAFISQALPAVVQFLPQVLRPSLSVSLDRVLSYIGETEVRENHLIPQKDSIQVLNSLTEPSHRVDELHSALLKNSSSQLLVNDSYDVGVWSAPKVEQAYILDMDSVEKLAQKSVGAIDLVAHQLFDQMCLRGRRDNQQKIVSKMLKTWKFKFKRKELTETRPQLDHNNQGSHANIGECKRVWEQGGVFVKLAKWNRLETCHVYGWLMRVIGVGDVQFVKNENASLLSVVGVMNLAGHEVLEMQEFFYKTWKDKYKKIEHKECSKSWFVLFSESYVI >A09g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8775231:8775730:-1 gene:A09g502620.1_BraROA transcript:A09g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNNVFYCFPRIRGLSEFECFKSSSVEKLTEQGGTVVEQGCVAAVQAGEPVAEQPSKDGSGARRCNDGGTVQSKEEWWWCKEV >A03p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1039871:1041418:-1 gene:A03p002210.1_BraROA transcript:A03p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18e [Source:Projected from Arabidopsis thaliana (AT5G05150) UniProtKB/Swiss-Prot;Acc:Q9FHK8] MSSMIFSTVRGIGSFLKSKTYDQPIDVAAKGDTKVLSVSWNQDWSGFSVGTERGFNVYSCNPIKESISRQTHKSGFKIVEMLFLSNLFALVGNGYSNSEYPPNKVFIWNDHTNSCFCELGFKSQVVAVKLRRKHIVVVLERSVYVYNFTNLKVQSIIETVENPRGLCCVSQAEAKAVMACPGLHPGQVQVRDLKRNIVKVIKAHDSDIACMSLTLDGSLLATASTKGTLIRIFNSLDGTLLQEFRRGMERAEIYSVAISSNMKWVAASSEKGTLHVFHLRPDILSSPKDIDHASSLSLIRGILPMYSYGNERSFAQFSLPVSTKFIVGFGPENTVLLVGIDGSFRRCRFDGEGGQMVEMEHKHFFSLTQTEEAVVVI >A06p049990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26340269:26342068:1 gene:A06p049990.1_BraROA transcript:A06p049990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPESPTKDNNSAPMTVKNDSVTIISNSLYSSSPSAKKIFESPIKSTSVQVASPAVKSRWSFSSSKKSFGVYTSSSSSLSFGLFCLKMVSESKGSKDETFFDTQQWLQSDSDDDFHSVNGDFTPSRGNTPKSSFSDRLPRINNLIFHEKKPSRGSSPAPKPRRKKLGDLFRESIREEREESSGGSSSLSSPYLSGENSGEFNKVAIEDSSIKEEKKKSNWQHHRCLPGFSACGGSFMERRKKMSSETGVVAVK >A04g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9337072:9337583:1 gene:A04g504500.1_BraROA transcript:A04g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSLGCQVLINSCCRHPFRPRNPELCSVQKTWLEAKENHENLPENNFNHFYEVCKKSDSNLKYFFYIKNTPGTQPMSTGVGTPLSLPSVPKGVCSVGLLCPTLSMAGLRSMAGLSPVNFLVTFPANFPADCFAPNFKFSRLCGLCLVSSVFQLLF >A05p045040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26985145:26985650:-1 gene:A05p045040.1_BraROA transcript:A05p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGFDVSISSDFGVIRPVTIPASHLFFGGRSVLFPASILSSPIRWSFRVFSPPSAELLLASSLLPVDESGHLLTRVHASPGERTRGGRRVTLFLNGLVIWA >A07p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9237436:9240499:1 gene:A07p014850.1_BraROA transcript:A07p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILSAFIPRPQILSLRRFQTNSISTIHASMDPTEQSLRQSLSEKSSSVESQGNAVRALKASRAAKPEIDAAIDLLNKLKLEKSAVEKQLQSTITSSGNGSLNREAFRQAVVNTLERRLFYIPSFKIYRGVAGLFDYGPPGCAVKSNVLSFWRQHFILEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDYCTEKLEKDLTISAEKAAEYKDVLAVMEDYSPEELGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLIPGYMRPETAQGIFVNFKDLYYYNGRKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPENKSHPKFSDVSKLEFLMFPREEQMSGQSAKKLCLGEAVAKGTVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSGVALVAEEKYAEPKEVEKLVINPVKKELGLAFKGNQKNVVESLEAMNEKEAMEMKATLESKGEVEFYVCTLGKNVSIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHCFSTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQFEEATKVISKELTSVGISHKIDITGTSIGKRYARTDELGVPFAITVDSDTSVTIRERDSKDQVRVSLKEAASVVSSVAEGKMTWQDVWASFPHHSSAAADEQE >A05p055310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32657878:32666677:1 gene:A05p055310.1_BraROA transcript:A05p055310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTDSSKHPLGASTTAALDEDHHPSFLGIDLNEIPTGAGCTSVHHDDGDYEPVEVVRSIHDNPDPAPGAPADVPGPDRDAACGACGRPESIELVAVCDACERGFHLCCVNDGVEAAPSVDWMCRDCVTGGERSKLWPLGVKSKLILDMNASPPSDAEGYGGEDPSDSRRHMLASSSCMDNSLEYSMTHSSSLNPGRGHATLEASGMMSRNIKMTVDALDSRSLGFGFPLSLSNSSFPIRFPSVDPSELLLHNLRRFISERHGVLEDGWHVEFKQPLNDYHLCAVYCAPNGNTFGSIQDVACYLGLAVNDNYSCMDAEIRNGSSLLQEKLHMSKRRKTSRWPNNGFPEQKGSSRNAQRRRFPFCGQTRTTFDVSPGTLFQAGESLSSENNGCGCEEANKGLPMQFGDFFVLSLGRIDTRQSYHNVNMIYPIGYKSCWHDKITGSLFTSEVSDGSSGPVFKVTRSPCSKSFIPIGSLVLSCPKIDEMVEQNIGNRSNRRDSPQEHDEDTVEILLSDLSPPLEDDILSCLREKNLSKTLKCLRSEVGSSQVDFHKTSSYNQESEVDIGDIVVEEDSLSLAWKKVSQKLVDACSNVLKHKGTMSFRCKHVDRETREINWDMINEQDSVVLYLSRFFCSLAPRIAICGEKDNSKIATLVSALSTWLDQSRFGLDADFVQELIERMPGAESCSDYSFLKSRISSVTVAEGALVVEPKGGENIKGEVFGEITRKAKRPKLNGGHGFRNPHPPPGRPMCLRLPPGRVGDFLQLSEVLWRFREILGLGESFLPEKLEKELVNPVLDGLLLDKSGKEANRSEMNLSDKDCRVTEIFSVFDDSQPFSSENTSASVLKETKVGDSRWPCLGALLTRTHISVLQVLICELQSKVATFVDPNFDSGESRSRRGRKKDDSTLSDKRNKLHMLPVNELTWPELARRYILSLLSMDGNHESAEIAARESGKVFRCLQGDGGLLCGSLTGVAGMEADSMLLAEASKKIFGSLTRENDVIYVEDDDSDDLGATETNACNGDIPEWALVLEPVRKLPTNVGTRIRKCVYEALERNPPEWAKKILEHSISKEVYKGNASGPTKKAVLSLLADVRGGDLVQSSVKGTRKRTSIGVSDVIMKKCRAVLRDVAAADEDKVFCTLLGRKLLNSSDNDDDGLLGSPAMVSRSLDFRTIDLRLATGAYDGSTEAFLEDVLELWSCIRAMYADQPDCLELVETLSEKFKSLYEAEVLPLVQKLMDYRKLECTTEMTKEIKDIVVSISKLPKAPWDEGVCKICGVDKDDDSVLLCDTCDAGYHTYCLNPPLIRIPDGNWYCPSCVIAKRMAQDALESYKLVRQRKGRKYQGELTRAYMEQTAHLADVMKEKDYWEFSAEERIQLLKFLCDELLSSSLVHQHLEQCAEAIIEMQQKLRSLSSEWKNTKMRQEFLRAKLAKVEPSIIKAMGEPQNSSSFADHNGDRVTHDDDSSRAAFLNNNQGKALLETDAQTGVSNVISCASNISSPEKATSPGRHELPIEVTDNMSCEEEDTTETLQTSVGRNDETQCLKPDAVELQTANDASSVAFQELQACQRDLNAASNEIENVQQSIRSIEAQLLRQSIRREFLGSDASGRLYWGCSFPEEHPRILVDGCMSLQKPVQVDPTGSKVSSPFLRDIDHGRLMVSPWTCYETEAEISELVLWLHDDDLKERDLRESILCWKRLRFGNLHTEIKQAQNSSSPKLAGNLVTKAAMSMEKRYGPCIKLETETIKKRGTKTKVAELEKLCKCECLESILPSMIHCLICHKTFASDDEFEEHAENKCIPYSLATEEGREIYDSSKAKESLKSDHLSLKSNAGKEVAETSNVSELDSGLIRYHGEEFISPYHFEEICSKFVTKDSNRDLVKEIGLIGSNGIPTFLPVPSTHFNDSVLISATSSKLDGGNSGGRVIFTGSEANGEDLNSESSMCVDRFVTNDIRGPLNKPSGMDFGFSEQKNEKTSGSRLKGCCVVPQASLKRITGNALPVFRFLKTILLDMDVALPEEALRPSKSHPDRRRAWRAFVKSAQSIFELVQAAIVVEDMIKTEYLKNEWWYWSSLSAAAQISTLSALSVRLFSLDAAIMYDKSVTQSDPMDETNPLPDQKSQAVSDTQERSSRANRRSGKKRKEPEGS >A04g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1044524:1046221:-1 gene:A04g500260.1_BraROA transcript:A04g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAYKIAGEWLQDARDFFFNYRTVDNTARISKLRTIDSPSFLPGTNIEAAIANPFSGAGGAGARRRRDKLVSCCLLFAYLLFSDFVLLLFPFFNFLKLGFSRSSLHISLSPRRRQKKRNHMNQTLSMSLSLWWVVLSASNMEYSPVNAAYRISGSSPEDLLTGAALSNSAVVEVVSSRRSSLSPINLFAPGKAEEDDPFTPTWRVLSTGEENFRSGLDLVTKAAHTSDLSELSQSRDWPDEPLIFLWWFSPVTPRAPATTRHLHKSGMGFSTLKLFDKLTKALSPFLGLKPIDFYCYSPCIRKHPAKIRNTLVKIKITYMMSDKQGKFKWSSPTPQLCFGCTICDNVSCISVATKSMEEATKSVWNLTEILLKLINFSPLDFSFVEKSSQTSSCQGQERSFFHSSSFVVRALPPISLSQGGDTSLVSNQRPVSAILCLFSCVATCTGSEETTEFVSTKTKDMSQLSKARHIRHMLPSLLLFVTCSKLLLQRIRIFS >A08p016290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10577743:10578708:1 gene:A08p016290.1_BraROA transcript:A08p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPEELQFLTIPQLLQESISIKKRSPRTFYLITLSLIFPLSFAILAHSLFTQPILAKLDSSDPPNSDRSRHDWTVLLTFQFSYLIFLFSFSLLSTAAVVFTVASLYTGKPVSFSSTITAIPKVFKRLMITFLWVALLMFAYNSVFLVFLAILILALYLNSVGLAIIAGVIISVLYFGVHVYFTALWHLGSVVSVLEPVYGLAAMRKACELLKGKTRMAMVLVLVYLLLCAVIGGAFGGIVVHGGGKFGTLTRTLVGGLLVGLLVMVNLVGLLVQSVFYYVCKSYHHQTIDKTVLYDHLGGYLGEYVPLKSNIQLENLEF >A05p025610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12488175:12489140:1 gene:A05p025610.1_BraROA transcript:A05p025610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEKKAMQRIEKEFKDMTSKDSLYSIGRDSNNLFKWNAMIQGPEGTPYAGGMFSIDIKFPKNYPFSAPKFTFKTQIYHPNINSEGSICLDILKDKWSPPLTVEKVLLSITSLLADPNPDDPLVGEIGELFKSNKFLFNQRAREWTERHA >A01p016970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8412940:8415644:1 gene:A01p016970.1_BraROA transcript:A01p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRVYQAWKGSNKFVFGGRLIFGPDARSVPLTVLLILVPVVLFCVFVARHLLHEFSPHNAGYAILVVPILFTVYVFILLSFTSARDPGIVPRNSHPPEEDLRYETTVSADGRQTPSVQIPRTKEVIVNGTTVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCVYVFSMSAFYINIIMEHQRGTVWMAMRESPWAVALMIYCFIALWFVGGLTGFHSYLIGTNQTTYENFRYRPNSRTVAYNRGCANNFMEVFCTKVKPSRNNFRAFVEEEPPRVVTLPTIVTNINKRSAEAEDEIGSRRQKVEDDLDIGDDLMNLSQRCNPAEASNDQPHQTLDIDQLALGVADRAATIRTETRHGSWGRRSGSWDIAADVANSNVREISKSFSWPSLRLQTDKILLMLHDLLDWRVHFHSREGIKGAYLIADSVIKEDRFQSYTA >A06p008460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2921253:2935386:-1 gene:A06p008460.1_BraROA transcript:A06p008460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQSYDGGDASSSPEPSPPRMLKAKSSAPEVDDTALALTVSNASQSKSNPINPTQHAVAFNPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDASIGSFVFDEQYSTFQKYGYAADPSGMNYVGDAEALKQNDGVSVYNIKQSDQKRRKLEESKGEEEVEISAEAENPATEAWLLKNRKSPWSRKKEVVQGELTEEQKKYAEDHAKKKEEKSQQGEAKGEAFTDKSTFHGKEDKDYQGRSWIEAPKDAKANNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLLLSAGMDCKVKIWDVYNSGKCMRTYMGHGKAVRDICFSNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDINSGEITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISVHPNGKWLAAQSLDNQILIYGTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGRCWFWDWKSCRVFKTLRCHNGVCIGAEWHPLEQSKGLRQASCHKTEGITEKEVVMTVYLPWDIQNALCIFRIQNCDLTVLEELTSNAKQIQDDVLTKILKANANTEYLQRFLQGSADKELFKKNVPVVSYEDVKPYIDRVANGEPSDVISGEPITAFLVRVVIKWLFSSGTSSGNQKIFPKTQFFFLFLEPVHIVFSIYQMSKHSNRFAFKSAKSVYKRGCDLTVLEELTSNAKQIQDDVLNKILNANANTEYLKRFLQGSSDKELFKKNVPVVSYEDVKPYIDRVANGEPSDIISGEPITAFFRSSGTTSGNQKIFPANNITFENILFAFSLSSVVMSKHVEVYKQGKVMSFTFTQTISTTPCGLPLAPGLTSFIKSEYYRRLAKNSTSPYQIILCPDTKQSIDEVVSVGSVFASVLVQVIHFLENYWKELASNIRSGHVSEWITDLSCRESVSTILVEPNPELADLIENECGQTSWQGIVTRLWPKAKCMEAIITGSMAQHISALEFYSNKLPLVSPIYGSSEAFFGLNLEPLCKPQHVSYTFLPNMSYFEFIDVDVEGGTTSGEVLDLVDVKLGRYYELLVTNFSGLHRCRVGDVLEATGYYNKTPQFRFVRRKTTVLSVHLEPTTEEDLLKALARATVILESLELMLTGFTCYGDVSTVPGHYVFYLELKAKVNNNSIDDEVLDNKVLVECCRVMEESLNGTYRRFRRKNGSIGALEIRVVQQGTFDSLMDFFVSRGSSISQYKTPMCINSAEALKVLEDKLTSNARQIQEDVLNKILKANANTEYLQRFLQGSSDKDLLKKKPLVVLRSLNPEVGESDLQTEQNMSLGCDLTLLEELTSNAKQIQDDVLNKILKANANTEYLQRFLQGSSDKELFKKNVPVVSYEDVKHYIYRVSNGEPSDVISGEPITAFLRSSGTSSGNPKIFPANNIFFKNVQIIYTLCSVVMSKHVDGFKEGKVIWFAFIQPASTTPCGLPIAPVLTSFTKSEYYRSVAKKSTSPDQIIMCPDTKQSMYCQLLCGLVQRDDVVSVGAVFASVLVGVIHFLENYWKELASNIRSGHLSEWITDLGCRDYVSILLGDPNPELADLIENVCGQKSWQGIVSRLWPKAKCIDAIITGSMAQYIPALEFYSNNELPLVSLRYASSEAYFGLNLEPLSKPQHVSYTFLPNMSYFDWVTTMSPWSLIFQVTGFYNMAPQFRFVRRKSTVLSRAGPKRFWPRSKQNFFGPLSSKIRKSCLKRDPALVLSVYAEPTTEDLLKALARATVILESSDLMITGFTCYADISTVPGHYVFYMELKAKVNNTSIDDLVLDTKVLVECCCVMEESLNGSYRSFRRKNGWIGALEIRVVQQGTFASLMDFFVCRGSSISQYKTPMCLESAEALKVLEDKVLARFFSDKSPPI >A05p015940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7071593:7075431:-1 gene:A05p015940.1_BraROA transcript:A05p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKYEYVKSFELEDEVMLPNLILVRIDGRDFSRFSQVHEFEKPNDEAALNLLNSCSAAVLEEFPDIIFAYGYSDEYSFVFKKTSRFYQRRASKILSLVASFFAAVYVTKWKEFFPQRKLEYTPSFTSKVVSCASPEVLQAYLAWRQQDCHANNQYETCFWMLVKSGMTISEAQELLKDTQKQQKNELLFHKFGINYKTLPELFRQGSCLFKKKVEETVKHDENGNPVKRLRRKAVLVHSENIAARSFWNEHPSLYNDLGHFSKDIGRVEPDFVRSFQFENKLLPLTWVVVRIDGSHFHRFSDVHEFEKPNDEQALKLMNSCAVAVLQEFEDIHFGYICFVLKRESELYQRQSSKIISAIASLFTSTYVIKWGDFFPRKELKYPPSFDGRAVCYPTYKILLDYLAWRQVDCHINNQYNTCFWMLVKSGKTKTQSQDYLKGTPTREKNELLSSQFGIEYNTLPLIFRMGSSVFRSKEAVAVENGVVVSGKKLKREGEVVVDHCNIIERGFWEEHPHILSYS >A02p050460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31137146:31139924:1 gene:A02p050460.1_BraROA transcript:A02p050460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSNNPFVYTLIFLLSIGNTIAFSSSSSPHTQDPNLVVDEVNRSVFNASRRSLAYLSCRTGNPIDDCWRCDPNWETNRQRLADCAIGFGKHAIGGRGGRIYMVTDPANDDPVNPRPGTLRHAVTQEEPLWIIFKRDMVIRLKKELIITSFKTIDGRGSSVHITDGPCIKIHYATNIIIHGINIHDCKPGSAGMIRDGPRHTGWWIKSDGDAVAIFGGKHVWIDHCSFSNCDDGLIDAIHGSTAITISNNHMTHHDKVMLLGHHDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIFSQGNRFLAPNTRFNKEVTKHEDAPESKWRHWNWRSEGDILLNGAYFRQSGARSSSTYARASSLSARPSSLVGSITTTAGTLSCRRGRRC >A05p007460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2992994:2994823:-1 gene:A05p007460.1_BraROA transcript:A05p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTHDKPILLTLFTLILQLSNAINASPSPPITALYAFGDSTVDSGNNNYIPTLFRSNHSPYGRSFPAKLSTGRLSDGKLATDFLASSLGLKSTIPAYLDPSVKPLDLLTGVSFASAGGGLDDRTAMLSLTLTMDKQWSYFEEAVRKMKSVVGESETNRVIKNAWFVISAGTNDMIFNVYDHVLGSFISVSDYQDYLLRNVEAFVQRLHDAGARRITVAGLPPIGCLPVQVTLGTVTLPRIFHKRICTENQNTDSQLYNQKLLKLNFLFSQRLRGSKILYLDIYTPIMDMIKHPYKYGLEETLKGCCGTGFLEAGPLCKSLSGTCDDVSKYLFFDSVHPTQKAYSVIATYALQKLLPLL >A08p031130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19050809:19052017:-1 gene:A08p031130.1_BraROA transcript:A08p031130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITSFADLIGIIKDKASQGKAALVSSNPKGKSLSFHLSVLRATTHDPSTPPGNRHLSALLSAGIGSRATAASAVEAVMNRLHTTGDACVALKSLIIVHHIVKHGRFILQDQLSVFPASGGRNYLKLSGFRDEKSPLMWELSSWVRWYGLYIEHLLSTSRVMGFFVASASSTIHKDEYEDMVSSLTNTDLLREIDALVGLLQEACKIPDIPFSGGKPLADKITRLVGEDYVSSVNELYSRLNEFKERSNTLSFGDRVELVCALKRLESSKERLSEIWCGNWKRSWLDGFWSLVSEVKGVIGGLEDGYEQIEKTFVGIGRREKGYESARFSDRLVIGYGDDTVRFSSGRFSNVRRFNYPVDHCVVQTTLNVL >A05p035860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20305561:20322044:-1 gene:A05p035860.1_BraROA transcript:A05p035860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) UniProtKB/TrEMBL;Acc:A0A178VER0] MAGSALPLPPPPPLSFHSPPPNQTRRSSTFAPPTNPTPQTPSIRSRLSRICQEGNPQLARQLFDAIPKPTTVLWNTIIIGLICNNLPHEALLFYSRMKKTAPFTKCDPYTYSSTLKACAETKNLKAGKAVHCHLIRCLQNSSRVVHNSLLNMYVSCLNHPPPGSEYDVVRKVFDSMRRKNVVAWNTLVSWYVKTERHAEACRQFGIMMRMEIKPSPVSFVNVFPAVASSRSVKRAKVFFGLMLKLGDEYVKDLFVVSSAISMYAELGDIEASRRVFDSCVERNIEVWNTMIGVYVQNEYLVESIDLFLEAIGSKEIVSDEVTFLLAASAVSALQQVELGRQFHGFVSKNFQELPVVIFNSLMVMYSRCGSVHESFAVFVSMRERDVVTWNTMISAFVQNGLDDEGLMLVYEMQKQGFKIDYITVTALLSAASNLRNKEIGKQTHGFLLRQGIQFEGMNSYLIDMYAKSGLIRISEKLFERSGYAERDQATWNSMMSGYTQNGHTEETFAVFRKMLEQNIKPNAITVASILPACSQIGSFDLGKQLHGFSIRQYLDQNVFVASALVDMYSKSGAIQYAENMFYQTKERNSVTYTTMILGYGQHGMGERAISLFRSMEESGVKPDAVTFVAVLSACSYSGLVDEGLKIFEEMSEVYNIQPSNEHYCCVTDMLGRVGRVDEAYEFVKGLGEEGNIAELWGSVLGACRVHGEIELAETVSEKLAKVDKGKNFSGYQVLLSNMYAEEQKWTSVDRLRRGMREKGLRKEVGRSGIEVAGNVNCFVSRDQEHPQSDEIYDVIEGLAKDMRGDSYLTTFPMVTPSLELEEEYEFKPNAITVASILPACSQIGSFDLGKQLHGFSIRQYLDQNVFVASALVDMYSKSGAIQYAENMFYQTKERNSVTYTTMILGYGQHGMGERAISLFRSMEESGVKPDAVTFVAVLSACSYSGLVDEGLKIFEEMSEVYNIQPSNEHYCCVTDMLGRVGRVDEAYEFVKGLGEEGNIAELWGSVLGACRVHGEIELAETVSEKLAKVDKGKNFSGYQVLLSNMYAEEQKWTSVDRLRRGMREKGLRKEVGRSGIEVAGNVNCFVSRDQEHPQSDEIYDVIEGLAKDMRGDSYLTTFPMVTPSLELEEEYEFKPNAITVASILPACSQIGSFDLGKQLHGFSIRQYLDQNVFVASALVDMYSKSGAIQYAENMFYQTKERNSVTYTTMILGYGQHGMGERAISLFRSMEESGVKPDAVTFVAVLSACSYSGLVDEGLKIFEEMSEVYNIQPSNEHYCCVTDMLGRVGRVDEAYEFVKGLGEEGNIAELWGSVLGACRVHGEIELAETVSEKLAKVDKGKNFSGYQVLLSNMYAEEQKWTSVDRLRRGMREKGLRKEVGRSGIEVAGNVNCFVSRDQEHPQSDEIYDVIEGLAKDMRGDSYLTTFPMVTPSLELEE >A03p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16121614:16128543:1 gene:A03p038680.1_BraROA transcript:A03p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 50 [Source:Projected from Arabidopsis thaliana (AT3G10480) UniProtKB/TrEMBL;Acc:F4J3S6] MGRESVSAVSSPPTTTATAVATALAPGFRFHPTDDELVSYYLKRKVLGKPVRFDAIGDVDIYKHEPWELAVFSWLKTRDQEWYFYCALDKKYGNGARMNRATNKGYWKATGKDREIRSDVQILGMKKTLVFHSGRAPDGLRTNWVMHEYRLVDYETENNGNPVQDAYVLCRIFHKNNIGPPCGNRYAPFMEEEWDGDGAALIPGVNVSVRVEPVPVANGNIQMDQSESKGLININEAPREATPMDIEVNHQETALKPHENNNDEDEKALRRENADKDEGPPAVCVLNKEAPLPLIQYKRRRQNESSNNNSSRTAEDYCSSTTTTVDNTPTLVSSSTAAAATNSAISALLEFSLMGISKKKENLLRPHKEATLEEKLNDLQKESKQMSDERKSFKLEMMGAEAMIILAVYNDCAEYCRGGDGAGSRRKVLGRPVRFDAIGDADIYKYEPWDLAVFSRLKTRDQEWYFYCALDKKYGNGARMNRSTNIGYWKATGKDREIRRADQILGMKKTLVFHSGRAPDGLRTNWVMHEYRLVDYETESNGNLVQDAYVLVKVFHKKNIGPPSANRYAPFIEEEWADDGVVRVRAEPLPVANGNNQMGQSESKELININETPREATPMDMEVNHQENALKPQENNNNNNNNCDEDEKALKREHADEVERPPPPPLPLLQYKRRRQNESNNKNSSRTTPESSTTTTLISSSAAATSTAISALLEFSLMDISEKKPLHKEGSPPTPLPSLEEKMLNDLYKEIHEMSVERETFKLEMMSAEAMISILQSRIDALRQENDELKKNSDKGQGTL >A04p037890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21599842:21602000:1 gene:A04p037890.1_BraROA transcript:A04p037890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKARSVNNVQSKRSTKSGRKDQKLQKTNSQKKSSEQEKHKEALESNILTTVASDSTTQSDPSEAYETVDVRYLDDVTVRKEKDEADDDEQEEVKGANADVWEDASNGALSAGSENEAPDATENSIEDGSLKEKIEFLETRIERLEEELREVAALEVSLYSVVPDHSNSAHKLHTPARRISRIYIHACKHFARGKRATIARNSVSGLVLVARSCGNDVSRLTFWLSNIITLREIISQAFGKSRVTESSEGNGSENGGDSGKKTNLRWKNGLQELLEDWQETETFTAALEKVELWVFSRIVESVWWQVFTPHMQSPENNNSSASISNEKQGAFSITLWKNAFGDALQRLCPMRGGGHECGCLPVLARMVMEKCVGRFDVAMFNAILRESEHQIPTDPVSDPILDIKVLPVPAGDLSFGSGAQLKNAIGNWSRSLTEMFGMNSDDDQVESEGSDSSKAFVLLNELSDLLMLPKDMLMESSIREEICPSITLSLIKRILCNFTPDEFCPDDVPGAVLEELNAAEVQSEEASFPYAASSVTYKPPSTMDVAEKVAEATGKLSRNVSMIQRKGYTSDEELEELDSPLTSIVDKTSEFNDSATSNARYNLLRQVWA >A04g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18624496:18625982:-1 gene:A04g507470.1_BraROA transcript:A04g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNCMRVSYNFFLDAMEASQRYRPYMGTYKSQDDYDYLFKMSDRRKAPSWMNELRVMSGTPVLSMHRPTECISIVLTGDSGVGKSNLLSRFTKNDFSHDSRSTIGVEFATRSIQVGDKIVKAQLWDTAGQESRYRAITSAYYRGAVGALLVYDVTRHGPHGRKHCDHARSLVGNKADLRHLRAISTETSALEALNVENTFTEVLTQIYRVVSKKALEAGDDPTTSLPKRQMIHVGSRDNVSAVKKTGCCST >A08p032640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19751069:19753694:-1 gene:A08p032640.1_BraROA transcript:A08p032640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKVKQKSILSPTKLRMKLMGSHNNKKREGSNNNSSRTSPVRLEVSDGTEFSKNSLLASNSDSYDDDNGFVSRLPYLCLSQNCHLLCFWLKSLFFALFLAKVSVFALFLAKDFLFFLLAASATDIGVAKLPVLDLSDTQASRHGSEGLTRETNQPKPQQLKKTDLSLALRPQEDENLDYDSNASSSSFEFHGGVRGERSNQNHVSRAYPSRQMPSKWNDAEKWIMSRQNMVMRKNGQGNRMPARVMTDNTGCEYNKSRMQSDGFEKFPRYVPTVPHPILTQGYGGSLLIEQSTQSNGLVDTTKDSSRDETPAGPVIRSVCMRDMGTDMTPIPSQEPSRSVTPVGATTPLRSPTSSLPSTPRGGQQEESQDPSANTKRELSEEEMKAKTRREIVTLGVRLGKMNIAAWASNEEEESNKNNVDAEETQRIEFDKRASAWEEAEKSKHNARYKREEIRIQAWESQEKAKLEAEMQRIEAKVEQMKAEAEARIVKKIAMAKQRSEEKRASAEARKARDAEKAVVEAEYIRETGRIPVSGYKICCGWFS >A08p017360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12115439:12117413:1 gene:A08p017360.1_BraROA transcript:A08p017360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGEDRISGERPIIMVTNDDGIDAPGLRSLVRVLVSTNLYDVRVCAPDSEKSAVSHSIIWSRPLTAHRVEIEGAEAYAVSGTPADCTGLGLSEALFPSLPDLVLSGINVGSNCGYNIVYSGTVAGAREAFIYDVPSASISYDWKRGDINFNDFVLSAQACLPIINGMLSAIKNKTHPTNCFLNIDLPTDIANHKGYKLTTQGKSLGKMGWRQVEEEAQGAKMLSTMSMETDSGVVSDNNDTSAHHSNDSRLFKRELRTVVVEEGTDLHFLREGYITVTPIGALSQVDVDCQNYYKEWLPKISSSL >A03p015140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5997075:5998324:-1 gene:A03p015140.1_BraROA transcript:A03p015140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIRKGAASVEGVEAKPWQVPETLPEEVLSKMSAPPKSDSPIITPNELTEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQSLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMVFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRQPTELELQQAFHQGKYIATITKKLKGSTTA >A05p041000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24981070:24984585:-1 gene:A05p041000.1_BraROA transcript:A05p041000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLPDFEAARGGGGGVASSSTTVLTSSVPSSAASTFTEDAEEISPILIFLFFHKAVCSELESLHRLALEFATGHHVDLRLLRERYRFLRSIYKHHCNAEDECFEDLESVGAYVCYEEKLLTVVYIYLWMQVIFSALDIRVKNVAQTYSLEHQGEGTLFDHLFELLNSATEIDESYRRELASSTGALKTSVSQHLAKEQKQVFPLLIEKFKHEEQAYIVWRFLCSIPVNMLAVFLPWLASAISIDESKEMQMCLSKIVPGEKLLQQVIFTWLGGKSDTAASRGVEDSPFQCCLDSSSSMLPCKTSRAQCPCEGSKAGKRKYPELTEYEAPDAPMHPIDEIKIWHKSINKEMKEIADEARKIQLSGDFSDLSAFDERLQYIAEVCIFHSLAEDKIIFPAVDGEFSFSEEHDEEENQFNEFRCLIEKIKSAGASSTSAAEFYTKLCSHADQIMETIQRHFHNEEIQVLPLARKNFSFKRQQEILYQSLCIMPLRLIERVLPWLTASLTEDEAKNFLKNLQAGAPKSDAALVTLFSGWACKGRKAGECLSPKANGSCPAKTLSNIEEVYLQSCNACVSLPCPSRSIKACCQHQDKRPAKRTVVSSCGQNATPHSSEVANGNGRSCCVPDLGVNSDCLGLGSLPAAKSMRSSSLNSAAPALNSSLFGWEMDSNSFDTGHAERPVATIFKFHKAISKDLEFLDVESGKLIDCDETFIRQFIGRFHLLWGFYKAHSNAEDDILFPALESKETLHNVSHSYTLDHKHEEKLFGDIYSVLTELSVLHEKLQTDSMVEDTTQTDIVQADIDSGDCKKKYNELATKLQGMCKSIKITLDQHIFLEELELWPLFDKHFSIQEQDKIVGRIIGTTGAEVLQSMLPWVTSALSEDEQNRMMDTWKQATKNTMFDEWLNECWKGSPDSSSMERTKPSLHRVSLC >A01p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15086622:15098175:1 gene:A01p037900.1_BraROA transcript:A01p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCLETVWFSAGGGLCSRFFAWILSRVLGASVLFRFGGWKCLRDVVVTFNKGAIESVSAGEIKLSLRQSLVKLGVGFLSRDPKVQVLICDLEVVMRSSTSAKNVPKAKSQKPRNSGRGKWMLVANIARFLSVSVADMVVKTRNAVMEVKELKLDISKDGGTKPNLYVELHVLPILVHLCESRMTSDQSSTSSFERSTASQTTSATTDRSSAALFCDELSLSTEFGHDRAVGIFLRNVEVISGDVTLTFDEDSFPKSKQSSSTLHSDEVVTSTSADSSAKKSPKEHQLVAALAKYSSSFPEMISFKLPKLDVRCVNREHDLAAENNITGIQLRSVKSKSFEDTGESTRLDVQMELSEIHLFREAESSVVEIMKVDVVSFIYIPIQPVLPIRAEVDIKLGGTRCNLFISRLQPWLRLHFLKKKKLVLQGPTHTLGKSKAAGTKAIMWTGTVSAPEMTVMLYGIDGSPMYHFCSQSSHVFANNISSTGTAVHVELGELNLHLADEYQECFKENLFGIEPISGSLMHIAKLSLDWGRSDRTSSDEVGCRSKLVLSVDVTGMGIYFSFKRVESLITNAMSFKALFKTLSVSGKKMNQTGGVQPSKGSGKGTRLVNLNLERCCVNFCDDTGLDNTLIDDPKTVNYGSQGGRVTFSSLADGRLRTASIQSTASKECKRLKYSVSLEISHFRLCLNKDKHSTQMELGRAMSIYQEYLEEHKPCSKVKLFDMHNAKLVRRSGGLNEIGVCSLFSATDISLGWEPDVHLSFYELFLRLKSLVFAQRLKEHERECISSVKDGGTGEEINLSNSVDKQKKKESMFAIDVETLTISAEVGDGVEVKLEAQSIFSENACIGVLLEGLMLAFNGSRVFKTTRMQISRVPSASSSLSDAVPVITGGPWDWVVQGLDVHICMPHKLQLRAIDDSIEDMLRALRLITVAKGKNIFPGKRETSKPKSKKSSPKFGRIRFCIRRLTADIEEEPIQGWLDEHYHLVKKEACELAVRLKFLEDFIHKTTQSSKGAETSDPSDERKMLFDGVEIDVKDPLAINKVKDEIHKRSFQSYYQACQGLAPSEGSGACREGFQAGFKPSAARTSLLSVCATDFDLSLTAVHGGDVGLMEVLKKLDPICQENDVPFSRLYGSNVDLKTGSLVVQLRNYTLPLLSGTSGKCEGRIVLAQQATCFQPQISQDVHVGRWRKVRMFRSATGTTPPMKTYSDLRIHFQQGQVSFGVGYEPAFADISYAFTVALRRANLSYRSPSVPPPVKKERSLPWWDDMRNYVHGNITLSFSESKWDILATTNPYESLDKLQIVTGPIELRQSDGRVFVNAKDFKIKLSSLESLISRHSLKVPVGTSRAAFIEAPLFNLEVTMDWECESGDSLNHYLYAFPTEGKPREKVFDPFRSTSLSLRWNFSLRPEKLHQSSSGTEQSTDTGTVYSSQDKPETPTMNLGAHDLAWILKFWGLMYYPPHKLRSFSRWPRFGVARVARSGNLSLDKVMTEFMLRVDATPSLISYMPWDSDDPARGLTFNMAKLKYELCYSRGKQNYTFECKRDVLDLVYQGLDLHVPKAFINKDVHPSIALRKSTQSALIDRIPCGKNRKRDEKHRDEGFLLSCDYFTIRRQAPKADPERLLAWQEAGRRNLEMTYVRSEFENGSESDEHIRSDPSDDDGYNVVIADNCQRVFVYGLKLLWTIANRDAVWSFVGAISKAFEPAKPSPSRQYTQRKITEESQKESCQETHQGETMKSSASPGRNIPSQPMEMAGPLSSPSHSVKIEKSYDRADLGKVENSESEEEGTRHFMVNVIEPQFNLHSEEANGRFLLAAVSGRVLARSFNSIMRVGVEVIEQALGTGSVQIPECNPEMTWTRMEISVMLKHVQAHVAPTDVDPGAGLQWLPKIRKNSPKVKRTGALLERVFMPCDMYLRYTRHKGGNPDLKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLQCPTEDEDVEEEADEVVPYGVEEVELAKINLEEKERARKLLLDDIRKLSHCSDSMADTHMEREGELWMISTRKSTLVKGLKKELLHAQKSRKVASASLRMALQKAAQLRLMEKEKNKSPSYAMCISLQFNKVVWSMIVDGKSFAEAEINDMIYDFYRDYKDIGVARFTTKSFVVRNCLPLAKSDMLLSAWNPPSEWGKNFMLHVDAKQGAPKDGHYPLELFHVAIYPLRIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKISTTAGSKRVKKGLVSHESSTTSHSNVEASRGSSAGLSQSNADSVQKSNTLSLRSSTGGFGQELRRTSSFDRTWEENVAQSVANELVLQAHSCTVSSSVEQQEDSSKQKPKETKPVKPGRSSHEEKKAGKSRPRKMMEFHNIKISQVELQVTYEGSRFVVNDLKLLMDTFDHVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKSQINRGITENDLNLSDNDHTGKPVTLFKRQSDGAGEGFVTSVKGLFNTQRRKAKAFVLRTMRGEAENDFHGEWSDSDVEFSPFARQLTITKTKKLIRRHTKKLRPRSQRGSTSQQRESLPSSSREVTAFESDCSSGSSPYEDFED >A01p027760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18685225:18687127:1 gene:A01p027760.1_BraROA transcript:A01p027760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT INITIATION DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G49180) UniProtKB/Swiss-Prot;Acc:Q9M3B4] MEISVIASSSIDGGIGSWDIKTGTEQLRFKQCASPAHGLTAVGEKFLAASQLRNASGSSGSIFFWSWNKPQVEVKSFPVESVKALAANSEGTYIVGGGASGDIFLWEVASGKLLKKWHAHYRSVTCLLFSGDDSLLVSGSEDGSVRVWSLLRLFDDLQRQQQGSTLYEHDFNEHTTSVTDIVIDYGGCNAFIVSASGDGTCKVWSLSKGKLLRNIIFPAAINALALDPGGSIFYAASVDSKIYVGAMNSSSDYATQSLGSVSEQGKAVTCLAYCADGNLLISGSEDGVICVWDPKLRRLVRTFSHGKGPVNNIQVVRRTVVGNSNKAQSSWKRNGSSLPPPPLEKYERSGDNTMDGIVIVDPPPLSDVPVYSSYHSADLINEQVRELQQQGSAATEIEMERLKLEYKKSLQMNDQWQKNYENLLQVVMEEELNGSSS >A09g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22325923:22327570:1 gene:A09g507800.1_BraROA transcript:A09g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFRMKSAHQADLKGKGILYEDDDEPVKLIDRDDSFVIKEFGLTLIGKILNPKKQNVEKLLQTMPSQWGLAERITANDLGNGKFLFNFTNVEDLNYVMAKGPFHFNFCMFVLVRWEPIVHDDNPWIIPFWVHLIGFPLHLWTDANLRNIGGRIGHIDTMELTEGRMLIDVDSRRPLKFSRKVEYEGDEVTIEIKYDLLFKDCTTCGMLSHEKGYCPSIGARQPTLERADVFTRMQLPVRHNGRDNQSNVRRHHQPSLEIREPYSRTYAEYMPRCDLGTNLREGNDRQSRSWDDNRRLGSHADRRMGTHADRIIRRRDDYKRSDRYGGGRARAGPYDRSKEVSWRPKQRLPEVNGKEQRGDASNNEIVPYEHISGAGSLDSSTHFKDADAGISRKLASAIVTPSRLDRVMEDNVTVRSRSMGNGDGKALTFSPQKDKEISDDQIIGALSDMDIVEHNDKCLLDAEDHDDDLLGADLMELEGNARLSGETTKDKGVNVENKPVLQASVVLGLDHLCQKGLRS >A07p050520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26934171:26939421:-1 gene:A07p050520.1_BraROA transcript:A07p050520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENERGKLIYLATLAYLAKRYDDMTKSMRKVCEYEIELTDVERELLAIGYKNVMTTKRASLRALSSIEEKEDSKGNKQNVKLLNKKLEIVKHEFFSVCNDILSLIDSHLIPSTTNVESTVYYYAMKANYFRYMAEFGSDAERQGAADNSLEAYKIAMEMAEGGLSPTNLVRLGLALNFSIFNYVILKSTESACKLAKKAYDEAISELDGADKQSYEDTTFIVDILRDNLSVWTGGCFSFSEVIERVYKYGFKRSGLRPVTIDLKDGTVVHFWVSKTRDESKPNLLLIHGLGASAIWQWYDVARRLSPHFNLFIPDLVFFGGSSTTRPERSDVFQAQTLMRALEAQSVKRFSLVGLSYGGFVGYRMASMYGDAVEKVVICCAAVCVEEKDMRDGVFSVSDLDEASKILVPESVKKLRELIGYIFYKPALARLVPPCLLHDFIEHALTRDNLKEKREMIKDIPKDRIVSEIPKLAQPTLIIWGEHDHVFPLEMGYRLKKHLGDNGKLVVIKRTGHIFNFEKPKTYVKHLKSFLMQTKQQVPVSNGSVKS >A08p026830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17035077:17035509:-1 gene:A08p026830.1_BraROA transcript:A08p026830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSRVFLFIFFIMLASDANKVMAKPCNNNLGDCQQCDERCKAKHGPTCQGSCDNTFKQCICNNESASPASKICYSGAVHHKMLGRMLQQNLC >A02p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5951752:5955004:1 gene:A02p013620.1_BraROA transcript:A02p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFLFPSSSPIPKPHWRGNSIPRPNRPITLSLLHGNSYSWRLSCKLSLDFEESVEEDEIPQFLDFSAEEEEEPSLEKETVSAPTTTLMQRKKKKGDEESLEDRFKLRNGKEVFEEKAYLVGVERKGDGECLFDIEESLEELEQLADTAGLMVVGSTYQKLASPNPRTYIGSGKVSEIKSAINALDVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTRMWTHLERQSGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRSRRVAIPVPVVSLVGYTNAGKSTLLNQLTGANVLAENRLFATLDPTTRRVQMHNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLANQQIEAVEKVMSELDVSSIPKLVVWNKVDRVDDPHKIKLEAEEHGDVICISALTGEGLDKFCNAVHEKLKDSMVWVEALLPFDKGDLLSTIHKVGMVKETEYTENGTLVRAHVPLRFAQLLKPMRHLVKEASYAKKGEIES >A09p083090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59928037:59929474:-1 gene:A09p083090.1_BraROA transcript:A09p083090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKACRGTRISSYLENLHRTSLHSTRSVPLVPWSRYGNQYNLQSKRGIWGSSSSFFPLNSHSAAAKSMLLSGAHRQYSTQSITETKSKKMLYYLTAVVFGMVGLTYAAVPLYRTFCQATGYGGTVQRKETVEEKIARHSESGTVTEREIVVQFNADVADGMQWKFTPTQREVRVKPGESALAFYTAENKSSAPITGVSTYNVTPMKAGVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNLILSYTFFKVSEENTSDSVDNKSSVPVQETK >A02g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17685451:17685896:-1 gene:A02g506240.1_BraROA transcript:A02g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFAKLASIMAAIDYIVCNESDVFMASHGGNMGCPIQGHRAYEGHKKLITPNKRQMLPYFLNKTMTETESEKMMKKFHRQSLGQREIRVSKAVRDVTKYPVPECMCINNQTTHTI >A09g510330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31093785:31101740:1 gene:A09g510330.1_BraROA transcript:A09g510330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTSVLLLTRSTRGRTIDRCTSSAVDRHHEMPRQMKINIDRCTQVPSIDVEKLNMRHFGSSGLEAQFYFERERERVLERRSLGISYSRIGLARTRRSMTIDRHSFVVNILTVETRDLQICIYKYYNLQHLNSGPASNIISNQLLVDSIPKCMPSGTRSNKEKDLLFSDDPAHLKRTIRRGQRSTSLDATTLLSIDTHNQQSTDTRPSSSIDPNHHDENRWMDYSSYRSTSSAKSTECNAVRILTHEEFTAKNPHPPSPFYDKIDRSVDSTIDRQSEFDVDQYESEYETEYSESIDTHTFPSIDSNESTVTDDRNKTSLDVDQPVDHFTLPNHCYPHFAFQPPSKRGRDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSIDICAGAKIQEQENIPSPTKFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSTSTGKSMKSNHLKNTSSAEIIMPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPNKHVGVAATEINPYLSCQPKGQASIDGTTQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEQATSFTLTRLAPEPYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGDNEIFRSMVEMRTEIESLRQQLEKEARTSASIDAPHAPSIDVSLPTAQIPAEPQC >A02g507630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21185253:21186521:1 gene:A02g507630.1_BraROA transcript:A02g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYCRIGSSYRSKCSHMADIKGKGILYEDDDVPIKLTDQDDSHVTKEYRLSLIGKVLNPKKQNVEKLLQTVPTQWGVQERVTSNDLENGKFLINFTSEENLKSVLGKGPFHFHHCMFVLVRWEPIVHDDYPWIIPFWVELVGIPLHSWTVKNMKSIGGRLGHVNEDTIELSAGRMLIEVDSRHPLKFTRKIESPEGDEVTIEIKYDRLFKHCTICRLMTHEKGYCPKVESTMRPQTERAGVFARVKLPQDQPSHQPLLRNFRAHDQRNMDRDRQPLHHSSRTASHGNNRSDVARYPDVSNHNDCFKARYHEQEKEISNSRMMNGNKRHQPHSDRILRSYDNKPRGLRYGSSHYSSGRYDRKGELTWREKSKTMSGVTTRSPVHVRNTSDVVRDVVSYEQASRISDNLDWYEHHRSRYEEKS >A02p014350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6291162:6293600:-1 gene:A02p014350.1_BraROA transcript:A02p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLSSGGSAAAYTFELEKIKSPPSSSTTTTTRAASPSSTITESSNSPFAISTRKPRTQRKRPNQSYNEAAALLSTAYPNIFSPSSINHLYTNKKTHQNPHFYGFDEDDAELLLPSESIEEPDFLFIPAIQAKPDLYSDQKEVNSGVSINESEVSQFEFSDEFDAESILGEEVGEGIDSIMGKLEPGINRGRRINRLSQIMTMNKFAETIPLGLGLRSALRDNNDANRWRIHTVDFEQISPRIQTVDDVKTEEVKKSKKKKKKVAAAAATVPLTEPSKEEAEERSGHHPMLKLDYDGVLEAWSDKATPFPDEILGSEATGGADVNARLAQIDLFGDNGVREASVLRYKEKRQTRLFSKKIRYQVRKLNADQRPRVKVSSPTLMRGTIREKAQCKLSKLSKITRTSFFPSLNKDYEEDFNSEKVRDQNE >A09g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23196615:23198599:-1 gene:A09g508170.1_BraROA transcript:A09g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGELEIVPHIQEDEFEHEKISEEGCPPSVCGEEKGRLHVTRFPSAGEYRRKRKKKFPPLIGENEESGSDDSDSDSSGSDSSGNGDEGGDNEGSGNEKNDE >A08p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15747731:15750662:1 gene:A08p024150.1_BraROA transcript:A08p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQNVKPMTMDQGFELIDAAVTKLKRILEGKPEPAFGSHEYIENYTIVYNMCTQKPPDDLSQQLYDKYGGIFEDYDKHTMLPSIMEKHDEYMLRELSRMWDINKIMVRWLSHFFFYLDRYFITRRSLPSLTEVGMTCFRDHVYNNVHFNVKQVIIALIHKEREGQQIDSALLKNILDLFVQNGKGSMERYEDDFEKFFLQETASYYSRRSSSWILEDSCPEYMIKSEESLKKEKERVTHYLHSTTEPKLVEVVQTRLLVLVAKQLLEKESSGCSALLRDDKTDDLSRMYRLYHEIPKGLEPVAKAFRLHVTSEGNGLIKQAEDAATSGSVEEQVLVRKIIDLHDKYMAYVTDCFQNHTLFHKALKEAFEIFCNKKVAGSSSAELLATFCDNLFKKAGNDKSNDDSTIESTIDNVVKLLDYISDKDLFAEFYRKKQARRLLFGRFNDDHERSILTKLKGQFGGQFTSKMEGMVTDMQLAKDQQTGFEEHIKNGNTGKPKGVDFTVNVLTTGFWPSYKTSDLNLPLEMVNCIESFKAYYETKTKHRRLMWIYSLGICHLNGRFDPKPIELIVSTYQAAVLCAFNNTERLTYQELIEQLNLSHEDLVRVLHSLSCAKYKFLKKEPASKTISKTDSFEFNSKFTDKMRRIKVCIRLLCLFLQWMIAVEDVDKDRRYAIDASLVRIMKSRKVLPHQQLVSECVEQLSRMFKPDIKMIKKRIEDLISRDYLERDTENPNTFKYVA >A10g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7946900:7949044:1 gene:A10g502990.1_BraROA transcript:A10g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFGFMDTRFRASQFKERDDGLVWIVIWIFFNLRSQEYSGIILDRITKGILLFYARIAINPYGFDLIRVKCGLKILRIIWIRIDNHAFTIDRFGTNDSIVLVEEIGMWRQYKTRGGGGRENRDGNSGWFEGGKHEERARSYKGVVINGNTGNQQKERDGREYYGKGKGKMVEENDHKWRRVAEKGNKISLNNRGNYRGDGEGSRQRMPRMDEARVVAQEERGRGIPGQVTGQVGDQQVMQGSHTVEIAQQNVPSLEFQEELAKTQATGAAVISDPMDTESGLQVVKSLIGNVTEVDDGADTDRIMEMDEIRGVFLEHGVDMDAADLKECSEGEMEEALRELEQASGEENREVEEVTKVEDDKDMADGDVGKKNGSRKRLFEPTISTTASTKMRLAKALVSPRKRAVGKTGTRHGEPGKQMENKGPSNPNMGPPKP >A08p009980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7687159:7688025:-1 gene:A08p009980.1_BraROA transcript:A08p009980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPWPREREGEPLETFEHYAVPHATVKDIKCTHREVKETWDDYESLFYNNNEWLKVTISPTQPADDLWPNLGAVFYEHLVKLEMKPIGGRGRKKETVGSLLTPIFMHIVIPLDHV >A08p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8122485:8123416:-1 gene:A08p013550.1_BraROA transcript:A08p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKNSVRKWKVLDHGDSDDVLFAFAPKRQHSCSGLLMLATVNVHRLTTYVTRRNQNFILPESPLLIRFNDSTTLDEITDLLTELYSHEVSLFMNVHFANATQVKWSVQVCFLLQYSYGPRPQPSSKIVELAERIAALSPEERKQIGHALSKHLRLQEQQMISF >SC205g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000064.1:64291:64931:-1 gene:SC205g500010.1_BraROA transcript:SC205g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AELQIDRSLQLRRTRRRATYQIEALDETNPIFDAPTLAETIHGADLVLGTLIRANKIFYPNSNLTTSASCSEQSQLTFTSQAARVPNQPARKGSNPRPDRRQRPSSSRLRFISPSWRSGFYNLQDKGNPNSENMSRTWLSCKD >A01p016280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8046139:8053134:1 gene:A01p016280.1_BraROA transcript:A01p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQRKYSAALHTCYPCRRTIPYRIYAVIHTCGIISLMYHHAHSLATAGTTLTTSLLLIADVVLAFMWATTTCLRFNPIHRTEYPKRYLAKPKEDFPKLDVFICTADPYKEPPMMVVNTALSVMAYDYPSDKLSVYVSDDGGSSLTLFALMEAAMFSEHWLPFCKKNSVEDRSPEVYFSSKSQYWTDEAGNIKTMYEDMKKRVEHVVHSSKVDTRHDHPTIVKVLQSDETDIMPNLIYVSREKNGASPHHFKAGALNTLLRVSSVMTNSPVILTLDCDMYSNNPRSPLHALCYFSDPKLNPSLGFVQFPQKFRGVNKNDIYASELRRPFVINMAGFDGLMGSTYVGTGCFFNRRAFYGPPTNLILPEVDELGPNWIADKPIKAQDILAWAHDVAGCNYERNSNWGSKIGFRYGSLVEDYNTGYRLHCEGWRSIFCNPPKIAFYGDSPKCLIDVVSQQKRWTIGLLEVAFSRFSPITYGVRSTSLLTGMAYSQYAFWAFSSIPLVIYGFLPQVALLYGVSVFPKPSDPWFWLYIFLFLGAYGQDLLDFVLEGGTCHCWWNDQRMWLIRGFSSFIFGSIEFTLKNLNLSTHGFNLTSKVNDDDKQSKRYEQEMFDFGASSIMFFPITTVAIVNLLAFVCGLYGVFVWGEGLVLELMLVSFAVVNSLPIYMAMLLREDDGKLPKNVCILAGILTCFVVVSGFFVLNEQSATHHTCHPCRRVIPYRIYAVVHTCGIIALMYHHAYSLLTEHTALTSFLLLLSDVVLAFMWASTTSLRLNPVHRTEYPEKYFSKPEEHFPKLDVFICTADPYKEPPMMVANTVLSVMAFGYPSYKVSVYVSDDGGSSLTLFALMEAAKFSEHWLPFCKKNNVQDRSPEVYFSLKSSSWTEEAENLKKMYEDVKERVEHVVNIGKVDQDQFREVFDLLDHKFTPQDHPSIIKVLQNNETGITPNLIYVSREKSRATPHHFKAGALNTLLRVSSVMTNSPIILTLDCDMYSNNPTTPLHALCYLSDPKINSGLGFVQFPQRFQGINRNDIYASELIHPFDINMVGYDGLMGPIHVGTGCFFNRRVFYGPPANLILPELDELAPNRIADKPIKAHTVLALAHNVAGCNYEHNTNWGSKIGFRYGSLVEDYYTGFMLHCEGWRSMFCRPQRAAFYGSAPKRLTDVVWQQMRWSIGLLEMGFSRYSPFTYGLKSLGLFTGLGYCYYVVWPFCSIPLTVYGVLPQLALIYGIRVFPKPSDQWFWLYIFLSLGAYAQDLADFLLEGGTCQKWWNDQRMWLIRGLSSFFFGMIEYTLKTLNLSTPGFNVTSKANGDDEQKKRYEQEIFDFAPSSSIFLPMTAVAVLNLFAFVSGLYGLFAWGEGLSLELMLASFVVVNSLPIYEAMVLRKDDGKLQKGICFLAGILTLVLILGGYFLLK >A09g504720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:14346354:14346614:1 gene:A09g504720.1_BraROA transcript:A09g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIHPNSGHHTQHQTVIQLEHSRVKDVMRSQKPDTHHQQKGRAPEAEAGRPSEGHMRRRNQKQEHHRREQEDSSHREIFRSPWL >A09p045700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40055257:40056496:-1 gene:A09p045700.1_BraROA transcript:A09p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKYNSVIVIVFMVVMMIMAMEKVDGETSAECRDRCSQSCAMTGALPAKCLQSCYSRCQGLPSQTIVCMFPSSTTTTLSSSVKEYCCNFTKAISIVLPEDRLSTKS >A08p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1015266:1017179:1 gene:A08p001690.1_BraROA transcript:A08p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGVKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDLSLEEAETIAVSILKQVMEEKVTPNNVDIAKVAPAYHIYTPQEVEAVISRL >A01p018570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8997651:9000570:-1 gene:A01p018570.1_BraROA transcript:A01p018570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHSFRKAVGALKDSTTVSIAKVNSEFKDLDVAIVKSTNHVESAPKERHIRKIFSATSAIRPRADVAYCIHALAKRLSRTHNWVVAIKVLIVIHRTLREGDPTFRDELLNYSHRGHILRISNFKDDTSPLAWDCSAWIRTYALFLEERLECYRVLKYDIEAERLPKGSGASSKNVDLNASQTYRTRMLSNEELLEQLPALQQLLFRLTGCKPEGAGYSNYLIQYALALVLKESFKIYCAINDGIINLVDLFFEMSRHDAVKALNIYKRAGQQAENLADFYEHCKSLELARNFQFPTLRQPPPSFLTTMEEYIKEAPQSGSVQKKLEYHEKGEEEEQDDEEEEHSPQPEEPAETENQNENTEGDQPLIEEEEEETEQIEEDTKPSFLIDTDDLLGLSEINPKATEIEDRNALALAIYPPGSQNNHKVSVPSGHEAPGPSNSLSLIETGGSGWELALVTPQNNNNNNTHRPAPDTKYAGGFDKLLLDSLYEDDSARRQIQLTNAGYGHGGTETAGAAPPQNPFEMQQDPFAMSNTIAPPTNVQMAMQQQQQQQMMMMNQSPYNYSHPHDHHHHQFSAAGPSPSNPFGDHFLALPPPPGSSGQMQQQNSHNHMLL >A04g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4633648:4635076:1 gene:A04g501930.1_BraROA transcript:A04g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPLRFALRAQLPTGEIVPVKLVYSNLHRYCRHCRHVSHEVESCPQLSEAERTEKSSRLEGDRDHPNFNRIDAQRKGETSKRPLPQPFKDRRSGEDTHKDTRDSVWKRIDSRYDPRVDHRPSSKYDHRHDSKPVDRKRDPPTRDSYNKRRYDESFLSSKQREASRRERDKGKDREISPSKTDTRELAKEIAREPLPVAALPQQSEPIPTAVPTRQATVSPELTRDRPFRLNLQKKAFTDLKQKEKILDEDEVSDEGSSARKSLFFEAPPHPPSVNLPITLAKDTSIKETPKSWYDMTLEEDGSMTEEAMNTKGPSQSPNNSTLPLTERILEEEDWLDDGNDFGDVDGNDFGEEDIDLMEEDDLLGEELRIENEKHLQIDDSGIERADGGATLEITDGSGLGKVVESTVDAKNKTPTKGASSSSDRVSGSSASMKKKRGPSQQAQGQMDQK >A02g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26976970:26978147:-1 gene:A02g510090.1_BraROA transcript:A02g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITYSQYGLLAQYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELCCVIDWRSNSKTEGETKCYRRETVKHDAEVQWWKAKSRGRESDSDSEDLEHAEKLRKVKAVIE >A05g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18801135:18811203:1 gene:A05g506640.1_BraROA transcript:A05g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRPRPRKREKFKLGAKRSAGKFAGKVPRKFTGDNPAIDLNPALDSVGPSSPTLHTRLGDFGCPWVILAHVGCLFSTHGRPCVSVSTHRTSVAVRQDTQDVRLSISTHISTLALPVDCSGDFGPRGLSVQYTQDIRGCPSAHTRRPWTALQYTYQHAGPSCGLFGTQRTSVAVRQHTQDVRVLSVCVRVSVSTHRTSVAVRQHKQDVHGLSISIHISTLALPADCSGYFGPCGLSVQYTHDVRGCPSAHTGRLCTVRVCPCVSVCPSAHTGRLWPSVSTHRTSMHCPSVHISARWPFMWTVRDVCGCPSAHTGRPSAHRGRPWLAISTRPWLAHQYTYQHAGTVRGLPISTYISMLTTHISMLALPVTPPIEEASTQAACDCHVPFTEEAKQAGRVITLPVDCPCTDFGQLMHHVSTHISMLVLPMDCPCTEFGQLMHQVSTYISMLALPVDCPCTVFGQLMHHVSTHISMLALPVDCPCTDFGQLMHHVSTHISMLALPVNCSCTDLDMSSSFDGLDCPSPLIDASSSFNELMVQVLTFFLGMIEAKRIHGQAY >A02g502420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7925785:7926015:-1 gene:A02g502420.1_BraROA transcript:A02g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISGKFIFVLWPLLVTRKYDVKQSVRKLEGWVGSLEKERLTVEICWKGPKATIGSLRRSVNRDDIKKAVAESEVV >A10p033010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19364302:19365631:-1 gene:A10p033010.1_BraROA transcript:A10p033010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIRSSTRSLQRNSVYISNTLSRFTSSSPSLSENESRRLGGFDSAAKYLISSLTKAVSLSSPVPRQNFTFASRCFSTVGDSIQSTPQGFSVSAPDLPPRIKFKRLDKTAKHIMQAVEAVRNGREIPEIRPGYIVQLKVEVPENKRRVSIVKGIVIARRNAGLNSTFRIRRLVAGVGVESMFPLYSPNLREIKVLDKKRVRRAKLYYLRDKMNALKKH >A09p012300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6263626:6269656:1 gene:A09p012300.1_BraROA transcript:A09p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAWQPLNDGLTEICTLLEQQISPSSAVDKSQIWKQLQHFSQIPDFNNYLVFILARAEGKSIEIRQAAGLLLKNNLKGAYPSMSRENQKYIKSELLPCLGAVDRNIRTTVGTIISVIVNIEEGSGWPELLPALVTCLDSNDLNHMDGAMDALSKICEDIPHVLDSEVPGLAERPINVFLPRLYQFFQSPHASLRKLALGCVNQYVIIMPSALYNSLDKYLQGLFLLANDPVAEVRKLVCAAFVHLTEVLPSSIEPHLRNVMEYMLQVNKDPDEEVALEACEFWSAYCDAQLPSENLKELLPRLIPVLLSNMAYADDDESLLDAEEDESQPDRDQDLKPRFHTSRLHGSDDFDDDDDDSFNVWNLRKCSAAAIDVLSNVFGDEILPALMPLIQAKLSTSGDEAWKEREAAVLTLGAIAEGCFNVRVDQYASTLFLQIVAFLLPLLDDKFPLIRSISCWTLSRFGKYLIQEGGNPKGYEQFEKVLMGLLRRLLDSNKRVQEAACSAFATVEEDAAEELVPHLGVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVREELNKPAYLEILMPPLVAKWQQLSNSDKDLFPLLECFTSISQALGVGFAPFAQPVFQRCMDIIQLQHMAKVDPASAGAQYDREFIVCSLDLLSGLAEGLGSGIESLVSQTNLRDLLLNCCMDEAPDVRQSAFALMGDLARVFPAYLQPRLIEFLQVASQQLSTNLIGENLSVANNACWAIGELAVKVRQEVSPIVTNVVSALALILQHAEAVNKSLVENSAITLGRLAWIRPDLVAPHMEHFMKPWCLALSMVRDDLEKEDAFRGLCAVVKVNPSGGVSSLVVICKAIASWHEIRSEDVNSEVSQVLNGYKQVSYAWKLMGGMLVCFGSSCKGKACEISSVVIDRTPVSLESKKICSYLIHCKEQIEFEQKKAPETPFFFCKI >A05p002940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1046704:1047783:1 gene:A05p002940.1_BraROA transcript:A05p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNGDQSKSKVDESSSKSNYVLGREEGSSSSSHTRVNNNLREQQRLMMLRSPGMPRPHPAFNQHVNHMYNLEEHRRRMLHYHRMPHTDHPSSSSIDTVKARVYPPGSRFLPTNLGCLRVHLRNKVDKKKSGSITTLDLYEDYPWLLDHVQNDLFPRGEWYYFTPRNKRGATSCTRTVRGRGGGTWKTTSGKEPIKDKNNKVEGYVQSLVYNKTDVNGDIKPTGWNMKEYCLYEENQDDLVLCRLKGNLEKKGFAEEVKNNILSKQVQEEQEAGANRVDEMRTLEEDHGEATQQKQEQDAPRVLVPSPPPPPLLPLLLLPSLLLLMKPRIMVRPLSSSNIKMHHRFQFLKVKIQEWRR >A01p057410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32479270:32486024:1 gene:A01p057410.1_BraROA transcript:A01p057410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQFSSKNLRLFSKSITCKCLVLVGIALFFRALSLSYSTRNSSFLFRARYMSDSSSTAHKFLEVPQIVWGLNNQKIAFARACLTARTMNRTLLMPSLSASLFYKEVDKLRPIPFDKVFQFQRFNSLCKGFVRLARFSDVRNRTHSFDLEKGSGRRWTVERDLQQLKQSATNEFEVVRVVGKNPFLWHDHWPVKDYAKVFECMVVVDEISREADKVVTRIRQGGGDNRIRTGLVPFVAVHMRIEIDWMIHCKKLEQRLKVSEICSSKREIMERVGNISGLKTPTVLYLAVADSLLDEKDEESSVLNGWRDGLVPYEKKKLGVKEEVYGKYSYLIQSAIDYEVCLRADVFVGNSFSTFSSLIVLERTQKMRRLGFVSSCEDGENEWRSYAYNLAGESKGVPRRWMTNMTHSSLEAISYGSSSVSCSNTFSGPGNGTSSSTDEPQSGGWLHKFTVGAYKPFFDVDTSDVLDRLKESLFPFRGTFTEKTADKPDLYGPFWICTTLIFVAASIGTFVTYVAHKWKKQEWNYDINLVTWSAGVFYGYVTLVPLALYVVLKYFSAPSGLVQLFCLYGYSLFIFIPALCLSVVPVEIFRWVIAGVAGFMSATFVALNLKAHINSAGERSILIIASIFLLQLGLAVVLKLYLFTVTV >A09p080610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58942256:58944896:-1 gene:A09p080610.1_BraROA transcript:A09p080610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKIATTSTLHQCVAHHSSSLSQTLSSSTVSSLSKRRSIGNNGRSLSCELWESSRHTKTHLLRRAFSTSVDPFSEEEFSKKMQDLSLKFQVSNEEDNDIFGSHDFSIMDTMKPPWHETVQMSTIERKANSVELPLSLRIIKRKLKMEEEVIKQVGESSAVKRAFSSMVFMIRELQSFTLHMRELLLFEDLQGVLLRVRKEMHASFVWLFQQVFSTTPTLMVSVMILLANFTVYSIGTNSALAAVSLSFDTTETNAGLDKGTYSATTQNLLFFNKSHEKFDSSVIKTFSVSSPTDGGSGGNNIRPVQSGTDGDGSDKFRMLSQFSTSDSDVSASGQEEIKQWNLILEESEKMETLDHETMKQMVSPVEARVEAEEAEKYFKRAAKAEPTDAEGLNKYATFLWKARNDIGRAEETFLEAISADPTNSFYSANYAHFLWNTGGDETCFPLDAPPQQNTT >A03p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:931180:931970:-1 gene:A03p001890.1_BraROA transcript:A03p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQWTRSEDKMFEQALVLFPEGSPNRWERIADQLNKSPGEVREHYEALVHDVLEIDSGRVDVPDYMDDSAAGWDSAGQISFGSKHGEGERKRGTPWSENEHKLFLIGLKRYGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITTVDTNLAMPGSNMDWTGQQESSVQAQQQQGMSEFGQELTPGGHYEEFGYRM >A03p003640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1592422:1595936:-1 gene:A03p003640.1_BraROA transcript:A03p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQSRPFKAQGREPTHDFLSLCSHSTVQTDPKPTPSSSQGSHLKTHDFLQPLESVGGSKEETSKIDTTSEPHATPPPLKHVLPGGIGTYTISSIPYFHNHQRVPKPELSPPMMFTASGCGGGVERNVVDAAAVASGFTLWDESGSGNKEQTRKENNAGERANIRADVATTMGQWPAQSLTNNNHLSGFSSRSSSSQGSGLKSQSFMDMIRSAKGTSQDDDLDDEEDFVMKKESSSTSQNHRVDLRVKAEARGAGNNDPKLNTPRSKHSATEQRRRSKINDRFQKLRQLVPNSDQKRDKASFLLEVIEYIQFLQEKTSKYETPYQGWNQESAKLLNWQRNNQQLVPEGTVTFAPKLEEEKNNIPILATAETLNAVTPFPLSVQSNSLFSPLIAGNPLTQLHARVAASETVEPSQSSRSHTQSLKEEEGDEHEVHEGNISISSVYSQGLVKRLREALEKSGVDLTKATISVEIELAKRSSEVSEPVSRTGNDDVKQTRKPKRLKTSGHQAKHKYLIPNKIPTAITAIDIPKANSSDMISTKKTRLSNAADLLM >A03p000540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:347717:348784:-1 gene:A03p000540.1_BraROA transcript:A03p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKTLAFLFVFSFETMATASDANSSFSFDGFVKSPSFHKNIALFGDSKLVSGGSSIQLTGSVSRSQGRVIYMKPIKLFQATTKGRSFPGSLSTSFSFTMPSKEIGSVLAFVMVPSGLDLRLFGRKDNASSGLGFLMHKEIVAVEFGISKRGNRVGVLVGRPEAAKIRNLSSFDGHFNGEKRLNCWIDYEASSKRVEVRLSGSTALKPVDPFVSYSVDLAKIWEDKKFMVGLTSSNGNSSKPHYLHSWSFKLRHPSVRIHSQPLDPNAVSKTVEEVEVKTVEVKGKKSKCIWRMLGALVLGAVCGSLGAMLTLYIWTICGNRRSMAVVPEERADDKSDIVVIKAAAVVDEQGKK >A01p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10370274:10370711:-1 gene:A01p021330.1_BraROA transcript:A01p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGILAASISAVASATAISAASTNTSLSFSLPETNLSRQDSKEKQRKKKGSEDEGDEKFAPRFDGLRFIETLVTAHR >A02p000180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:134072:135089:-1 gene:A02p000180.1_BraROA transcript:A02p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein CP29.1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01530) UniProtKB/Swiss-Prot;Acc:Q07473] MAATPTAAAASSIMGTRVVPDIYSGSGRFTARFGFGKKKAAPKKTKTVVTTDRPLWYPGAKSPEWLDGSLVGDYGFDPFGLGKPAEYLQFDIDSLDQNLAKNLAGDVIGTRTEEAAPKSTPFQPYSEVFGIQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDSEKRLYPGGKFFDPLGLASDPEKMAQLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIIDTFSS >A01g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19322233:19327449:-1 gene:A01g506660.1_BraROA transcript:A01g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNYFRSWIDRPHLDPNTRLLTEEYQRGVTEFMGLVHRQPEAKTGMLRCPCSNCKNKKVIKEWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPAREFGGWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFFDLTVFREEAAVHVEDEPVIGEFHQDPDSDSSGDDDSETEFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISSLETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03g500800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2399566:2399862:-1 gene:A03g500800.1_BraROA transcript:A03g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFHLPSVPLVWTDILLWLPNAHSDSMVKLAILQVWQAAIYELWNERNRRLHDGLTLPPVRIMGYISAALRDKCSALLSLSHPLGPRLAQFWFDPP >A06g502530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8308595:8308792:1 gene:A06g502530.1_BraROA transcript:A06g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPENWTVLTCPPRPWARTTITKVTSPMGEDNQDNGHLAHRRGRPAPRSSRPRVRTIRARFTSP >A05p030690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15911570:15913341:-1 gene:A05p030690.1_BraROA transcript:A05p030690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVSSHVPSPSEDAEQLKSAFDDRACSFTDLIVSVLDLEDLIFFDYLGFHSQIRDSHCHGSKSVNFLDLTFDFCEGWGTNEDLIISILAHRSAEQRKLIRQTYHEACGEDLLKTLDKELTSDFERAILLWTLEPGERDALLANEATKRWTSSNQVLMEVACTRTSTQLLHARQAYHARYKKSIEEDVAHHTTGDFRKLLVSLVSSYRYEGDEVNMTLAKQEAKLIHEKIKDKHYSDEDVIRILSTRSKAQINATFNRYQDEHGEEILKSLEEGDEDDKFLGLLRSTIQCLTRPELYFVDVLRSAINKTGTDEGALTRIVTTRAEIDLKVIGEEYQRRNSIPLEKAITKDTRGDYEKMLVALLGEDDA >A02g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4198363:4199029:1 gene:A02g501290.1_BraROA transcript:A02g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRMMIQGFFFWLILVFDLVLRTSGNAEGDALSALKNSLSDPNKVLQSWDATLITPCTWFHVTCNPDNSVTRVDLGNANLSGQLVMQLGQLPNLQYLELYSNNITGTIPETLGNLTELVSLDLYLNNLSGPSLHLSAD >A02p008590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3532962:3534360:-1 gene:A02p008590.1_BraROA transcript:A02p008590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVEEGVVLNHGGEELVDLPPGFRFHPTDEEIITFYLKEKVLDSRFTAVAMGEADLNKCEPWDLPKRAKMGEKEFYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIFKGKGCLVGMKKTLVFYRGRAPRGEKTNWVMHEYRLDGKYSHHNLPKSARDEWVVCRVFHKNNPSTTTPQMTRMPIENLTRMDSLENIDHLLDFSSLPSLMDPSFMGQHDQHNFKPINPPTYNISSPIQPHHFNSNYQSIFNHQGYGSASGSGSTYNNNKEMIKMEPSLVSVSQETCLSSDVNATTTIEVSSGPVMKQEMSMTGMVNGSKSYEDLCDLRGVLWDY >A05g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23948700:23949035:-1 gene:A05g508200.1_BraROA transcript:A05g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLANVKGRSVNLFVSFAILISYFFSAGVKRSLFSGHLLAGFLLRSSPRWLSLLLWCKAV >A02p010770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4664405:4670755:-1 gene:A02p010770.1_BraROA transcript:A02p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication factor C subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G22010) UniProtKB/Swiss-Prot;Acc:Q9C587] MSDIRKWFMKAHEKGNGNAPKPTSSKAGAVVADAKETASVKSEQASEDLETAARKKTSKYFAKDKAKAKEEKGVEELPAKRKLKTDSDDLGKPRPRKAIKVDDDDDDDFEVPSAKKTRDSTPSKKLKTGSGRGVATKAVDNDEADDGEDAQEKDTPVKSGGRGRGGRAASGASTGGRGGGGGRGRGGFMNFGERKDPPHKGEKEVPEGSPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTTYLLCDEDIGGKKSEKAKELGTEFLTEDGLFDMIRSSKPVKKSLPEKTNKSPVKVSAQPKISPQKEETRGKPLAKSSPNKVPPPSSPAKGKKKIIQSSLPWTEKYRPKVTNEIVGNQSSVTQLHNWLSHWHEQFGGSGSKGKGKKLTDAGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQAVEVNASDSRGKANSNIAKGIGGSNANTVKELVNNEAIASNIDKSKHPKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPLNYRKPTKQQMAKRLAHVAKAEGLEVNDIALEELAERVNGDIRLALNQLQYMSLSMSVIKYDDIRQRLLSSAKDEDISPFTAVDKLFGYNGGKLRMDERIDLSMSDFDLVPLIVQENYVNYRPSSAGKDEAKRMDLLARAAESIADGDIINVQIRRHRQWQLSLSSCVASSILPASLMHGSRDVLEQGERNFNRFGGWLGKNSTAGKNTRLLEDLHVHVLASRESSSGRETLRVDYLPLLLNRLTSPLQTLPKDEAVSEVVEFMNSYSISQEDYDTIMELAKFKGRANPLEGVAPAVKTALTKKYKETSKTRMVRAADMVQLPGLKKAPKKRIAAMLEPNVESLGGEDGVPLAENEEENESDAEDSEEATNGEKLESNLKSLNARGIQVEVDLKGAGSSGGTRKAAGRGRGRGKAADASGAEKKATGRGAGAKRKR >A05p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2513228:2514651:1 gene:A05p006320.1_BraROA transcript:A05p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSNVSFPCSNISLKPSLSSSRHHCLIRCSLEGKEVVSPLVWSLGEEVSKRSLLALVSASLFFVDPALAFKGGGPYGQGVTRGQDLSGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSETDLRGADFSLANVTKVNLTNANLEGATATGNTSFKGSNITGADFTDVPLRDDQREYLCKIADGVNATTGNATRDTLLCN >A09p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14168713:14170360:1 gene:A09p025380.1_BraROA transcript:A09p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVTNGGEATERQARMAHSAMTLVQLINGAYHVITKVALNVGINQLVFCVFRDLIALSILAPLAFFRERGIRPPMSRRVLFSLFFLGLAGIFGNQLLFLMGLSYTNPTYAAAIQPSIPVFTFILAVMMGTEKVNLCKIEGLTKVIGTLVCVSGAMVMALFRGPALFGDKDSDLTVNRLVIDRSQPELQGWLVSSFLGLGLDLWHIGVICLIGNCMCMAAFIAVQAPVLKKYPAYLSVTAYSYFFGASIMITTAFVFVREPKEWHLTQSEILAVIFAGVFASALNYGLLTWSNKILGAALVSLYNPLQPATSAFLSTIFLGSPIYLGSVVGGVLIISGLYMVTWASYREQHTTGSGNVIASSSDVRVSEPLIYRDGTGEKIA >A02p047610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29711864:29712966:-1 gene:A02p047610.1_BraROA transcript:A02p047610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRGSASWSAQAIVALMLVSVLLLQADYVQAATYTVGESNGWAFNAVGWPSGKHFKAGDVLVFNYNPRIHNVVAVDSGGYNNCKTPARARTYTSGKDRITLSKGQNFFICNFPGHCEAAMKIAVTAV >A02g510580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28110159:28113092:1 gene:A02g510580.1_BraROA transcript:A02g510580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRVFRGILPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSISPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVPKSMNDTVWKELCAHWDKEETKKTSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A09p050320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44219408:44221170:-1 gene:A09p050320.1_BraROA transcript:A09p050320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKSRINSTPQHPSGFQQKNHDKKYSLIGDNYRSTDEVTAALLQAGLESSNLIVGVDVTKSNEWTGARSFDGKSLHDIGPAPNPYEQAISIIGKTLSSFDEDNLIPCYGFGDATTHDQDVFSFFPDDAFCNGFEQVLARYREIVPQLCLAGPTSFAPIIERAMTIVEESGGQYHVLLIIADGQVTTQHGGLSSQERKTIDAIVRASRYPLSIVLVGVGDGPWDTMRQFDDNIPARAFDNFQFVNFTEIMGKNIDAGRKEAEFAVSALMEIPSQYKATLALGLLGRRTGNCPNKIARQPPISGCNRSVSNSSKSSCSSSVTSAPPTSTGSNESQVCPICLVKTKNMAFNCGHQTCDECGEAIQTCPICRVSIAVRIKLY >A07p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:59802:62754:-1 gene:A07p001580.1_BraROA transcript:A07p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNLTAILNLLALLCSIPITASGIWLASKPDNECVNLLRWPVVVLGVLILVVSACGFIGAYQYKETLLAVYLCCMAILIGLLLVVLIFAFVVTRPDGSYQVPGRGYKEYRLEGFSNWLRENVVGSKNWGKIRACLADSNSGCCKPPTACGYNFVNPTLWQNPTNMAADADCYLWNNNDQSQLCYNCNSCKAGLLGNLRKDWRKANLILIITVVVLIWVYVIACSAFRNAQTEDLFRKYKQGWV >A03p063910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27794673:27795753:-1 gene:A03p063910.1_BraROA transcript:A03p063910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G29670) UniProtKB/Swiss-Prot;Acc:Q8LCT3] MAGVVRLSTTSVQTLGVSSSPFTSFAATLSSPCLPPNLNSDKRLRHLSSSPSCSSPHYSASGLRSHSLLRRPNSKVVRVKVDESVTEAEPPKWWERNAPNMVDIHSTEEFLKALSEAGERLVIVEFYGTWCASCRALFPKLCKTAVENPNIVFLKVNFDENKPMCKSLNVKVLPYFHFYRGADGQLESFSCSLAKFQKIKDGIRLHNTDRCSIGPAKGPEGFTLESLSVQTNAAKPAGSS >A07p040560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21489426:21492360:1 gene:A07p040560.1_BraROA transcript:A07p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G66340) UniProtKB/Swiss-Prot;Acc:P49333] MMEVCNCIEPQWPADELLMKYQYISDFFIAVAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTTNSRTVALVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRQQHPVEYTVPIQLPVINQVFGTSRAVKISPNSPVARLRPVSGKYLLGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDIARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETVLKSSSLLATLMNDVLDLSRLEDGSLQLELGTFNLHTLFREVLNLIKPIAVVKKLPITLNLAPDLPEFVVGDEKRLMQIILNIVGNAVKFSKQGSISVTALVTKSDNRAPPDFFVVPTGSHFYLRVKVKDLGAGINPQDIPKLFTKFAQTQSLATRSSGGSGLGLAISKRFVNLMEGNIWIESEGVGKGCTAIFDVKLGISNESKQSGIPKVPANPQHVNFAGLKVLVMDENGVSRMVTKGLLVHLGCEVATVSSSEECLRVVSHEHRVVFMDVCTPGVENYQIALRIHEKFTKRHQRPLLVALTGNTDKSTKERCMSFGLDGVLLKPVSLDNMRNVLSDLLEHRVLYEAM >A08p038580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22316584:22317895:1 gene:A08p038580.1_BraROA transcript:A08p038580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAAKRLLGAGLTTSRLLRSPKLTSSTTIPSSHTSNLCTSSMGHTESPISNQSGPTSAGEGPRRKPRPEFQEEQARVLSASLRHVARLGWTEEAMMAGSRDVGVSPSIVGSFSRKEAALVEYFMDECLQLLVDRVDSGLDLQNLIPSERISKLVRVRLEMQVPYMSKWPQALSIQAHPVNVPTSFKQRAMLVDEMWHAVGDGASDLDWYVKRTVLGGVYSTTEIYMLTDDSPEYRETWEFLDDRVKDAFDLKKSIQEAKYFAQDIGAGVGKLFQGLMNGVMQSMSTRGGRSSSAF >A10g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7854976:7862083:1 gene:A10g502900.1_BraROA transcript:A10g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPYWRSGPWAGTRFTGIPEMDESYINPLGMVQDVVNGTGVFAFCVLRNFNLSSIKLTSEGSLRIQRYEGTKWIKHFEGPVSSCDLYGTCGPFGLCVRSETPTCQCLKGFEPKSDEEWRSGNWSRGCVRRTDLSCRENSSEETQGKERDLFYHVANVKPPDSYELASFSDEEQCHQGCLRNCSCTAFSFIKGIGCLLWDLELLDTVKFAAGGETLSLRLAHSELTGSKRIKVITIATLSLSICLILVLAAYGCWRYRMKQNDSSLVSKENVEGSWKSDLESQHVSGLNFFEIQTLQTATNNFSASNKLGQGGFGTVYKGKLHDGKEIAVKRLSTSSVQGKEEFMNEIKLISKLQHRNLVRLLGCCIDGEEKLLVFEYMVNKSLDTFLFGGMNLLDEDLADYDDSVNPVEVGRCVHIGLLCVQHQAIDRPNIKQVMSMLTSIMDLPKPTQPMFVLDTSDEDSSLSQRSNGLFSVDENKSSKELNSST >A09p001240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:962998:963378:-1 gene:A09p001240.1_BraROA transcript:A09p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSPSLVLSLILLNLLLVSSTTEMIKEGEIRLPSEKINGQFCNATAKPVSCPVKCFRADPVCGEDSVTYWCGCADALCHGVRVSKPGACDVGNGVGLSVPGQALLLIHIVWLVALAFSILLGLF >SC146g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:99100:99288:-1 gene:SC146g500030.1_BraROA transcript:SC146g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSVRKIDMDPAHAGRMSLARFGQGMEWIDGRHKEQWIGSLLCHTAALNGFAQLKDLGFLC >A09p021740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:11760498:11761991:1 gene:A09p021740.1_BraROA transcript:A09p021740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIGLFGVLIAFIFFLVFQCFFVCKKSHNVALLENWPFLGMLPGILFNLPRIFDWLSEVHEANDMTFAFKGPWFSGTDMLFTVDPRNINHMLSSNFPNYPKGPEFKKIFEILGDGIVAADMELWEDLRKSGHALFHHQNFLKLTVSSTTSKLKNDLVPLLDQAAEENIVIDLQDVFQRFMFDTASILMTGYDQMSLSIEMPEVEFSEAADFAEEALFYRHLKPVILWRLQNLIGVGVESKMRTASEFFNSMFAKIISTRRKEEKSGGKKEQTINAVTYYLNADTAKYKLLKPSNDKVIRDVVLSLLVAGRDTSSSALTWFFWLLSKHPQVMTKIRQEINTKFDPTDLDKLVYLHAALSESMRLYPPIPFNHKSPSKSEVLPSGHKVEAESKIVICIYALGRMRSVWGEDASEFKPERWISENGGLKHEPSSKFLVFNAGPRTCLGKHIALLQMKMVALEIIQNYDFKVVEGQKIKPAPTVLLRIKHGLKVTVSKNI >A08g503840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6516378:6517016:-1 gene:A08g503840.1_BraROA transcript:A08g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIPQASSNSFNFQYGYGNELWEAMEINSSDVEIIEQPPPEIIEISSDSTVAVNIADFSSRETSPWILMPAWSPAFSLGGSIDFSLESIGQFFDPYYEYHYSPMPMESSPTNPEVGTEARELGKEVQQETKREVQMMEGTQNGMTRTSGALGGQVEKGGTSGGPASNVKDARDIPTRRESNVCGAGDHHTRACTRIRSQPDLSAYLICTSC >A09g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42092:45053:1 gene:A09g500010.1_BraROA transcript:A09g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVPYCHIDDSPAATVGSTKAAYIPMLNYEVAELTWENGQLGLNSLGPTQVPAPSKNLRGAGGTLESIVDQATRFPNPKPTDELVPWFHHRSSRAGLDALVPEQQSQPDTHVDSCSNGHPMAGGKRARVASEWSTGGSQNLTFETYGFTSTSLDDNSSSGGKPCTKTTTIYDHDSVCHSRRQAIPYFITIHLLIKYYGGRRREASGRKSPVPTKRSRAAAIHNQTERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVSMMCRMNLPSMMLPMAMQQQQQQLQLSLMSSSMGLGLGMGMPALGLLDLNSMNRAAATAPNIHANMMPNPFVPMTSPPWDASSSTDTLFQSPLTHDTMPAFLSCSSQQTTMEAYSRMAALYQQMQQQIPPPSSPK >A07p034110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18560115:18562520:1 gene:A07p034110.1_BraROA transcript:A07p034110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYDIVKDIGSGNFGVAKLVRDKVSKELFAIKFIERGHKRITMEEIKNHSWFLKNLPVDMSEGSSRSNNPSQSEEEIVWIIEEARKAITGASGLSGAGGSGDSGSGAMGSSMDLDDLDVDYDDDIDTALFFSQLVAASENKSSSGPAFLSVPEAPSFFLILFSFALFTLAQSFVEMLGVLWLTKRYLFSFRWRRSRLSMEQRRDSRRDDSEEGRRLGLKGFVRSGFSLSDLWKFFLGDRSNHVAELNNPLPTTMRWIRLVVRARHHWKRG >A02p022290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10562515:10563474:-1 gene:A02p022290.1_BraROA transcript:A02p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF118 [Source:Projected from Arabidopsis thaliana (AT1G68550) UniProtKB/Swiss-Prot;Acc:Q9CA27] MTTMVAIRKQRVTKKPKSDNSLSSDPQETQVLRKVRIIVADPYATDDSSSDEEEMIPRPRPVKRIVREINFPLHSDSASPDSTVTMSKRAGAARFAASRAKLLNKPVGVRQRKWGKWAAEIRHPILKTRRWLGTFLTLEDAHQAYKKKRREYDELLGIVDDPLPVSEDAKPEVSSSENSQCSGSSTTTSLEQDASSSSAKEEEMMKITTNDDDGVDLSEEVLFDFNFADLQIPEFIGDGGSMGGGGGGNDLGLDFDCFFTDDQLDDFGLLDDISGFEESGPSALPDFDFADVELELAGSSFLDQTSPLNMTCSMKSFAA >A09p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8210997:8213188:1 gene:A09p015810.1_BraROA transcript:A09p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPVKYVGILKESAAFRLMKSMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVDKPNPWAFDTTQFDNILKKLKVQAAPTKKDDGSEKEAESEDEGGKSDEPVKSNVAKVTRPQGRYKRREKGKLVNSYSSKDLEGILVKRTEEPSPAVCDIADAMEIEIISEDQHPDVKEQKIEEPSSDWWGFKSGFVSGGLLGAKPGKKKSSKSNERKMFCEDDQENLYNMVQDKATAGKQGLGIKDRPKKIAGVRYQGKKTSFDNSDDDDTDEEEEEEEVSEDEDDKDSVIENALPAKRKREESEVEDDNKDSVIAKRKRGDITEPKIKLKNLCKQILKKAGSMKLKQLKSLIDEHAPSVLSEYSSRKDAIAYVKLKLERSGKFIVEGKKISLVSKNK >A10g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11203435:11205124:1 gene:A10g504580.1_BraROA transcript:A10g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSLRSDRATLFGLFSDVLCFFRKALLLTSSQPFLTPTVSPPSSLESWIERRDSSARFGDLGKDRPFNISSSQGGKRSSDVEMGEVTSPVPIPTSSVEAPACVADHLSFREKLALRAGTEFPSSSALVIAPDHGTEVKVLQDAGTLAGTSVPDTLVLPAGSSTTPILIEDKERAAESMHPPPVRKVIPKGRKRKFPKGGDGESSQQGGSSLTSGLRGKVFVSLIDWMTSECGSEASRLSGQLTELQGRWSETEAMLTSIKDSHSTKVSKLEVEIGELERDLGKTVSSLLKEVHRSASHGIREAEDALRYEFQARLAKISAFLGSLEREGHSSGVPERDSPVLRAEETRLSDCKGDLAALDGDFDLVLADLKSACFLPTCSEDPEGKDPVVGEYGGDAAPILDEAMGEEGA >A01p013090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6402555:6409712:-1 gene:A01p013090.1_BraROA transcript:A01p013090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small RNA 2'-O-methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G20910) UniProtKB/Swiss-Prot;Acc:Q9C5Q8] MAGREEKTLTPKEIIHQKFGVKASYMIEEVRVSSQSTCLYRCHLQLPDFSVVSNVFKSKQDSEQSAAELALEKLGIHPQDDHHITVEEGWDDIVERIKYIFSDEFLSSDHPLGSHLRATLQRDGEHRGSVPVSVIATFDSKINSLCKVINPSMDSDPTVAMSYIMKAALKLSDYIVVSPHAASLRRKSPYPPAIIEGLATHVESIKVEAVHIKCTKCDEEVVEPVVLDISSDRYYLDTIAEKLGLKDASQVMISRAISKTFSGYECRVYSAIPKLTSSNDLKKSRNAKASFVCCGQDIHGDAILASVGYTGRSHDLEHEDVTLKSFYRICCGMSPNGIYKISRKALIAAQLPLLFTTESNWRGPFPREILCMFCQQQQLAEPVFTTSTAPAKSMSDLLSSFNKIKDDSDNQYSSRGNWEMPGSGKGYRCEVKIFSKSQELVLDCSLKKLYEEENDAIQNAALKALSWFSMFFGDMDVESLEPCYTDDDLNIQFNQRNRFKETLPSSRVYQLPEIIRNGESRWYMGMMPWEKKRVQNIANGSLVSICYSVYLKKDAEYSKKGKSLKELIESNEEIEFEVGHGSMNPHLESVVTQMSVGQHVRFSTGLPAEALVLAAANDTTKALSLLSGLEYSVILLGVKGPTEEQMEKANIVEKKLHLRFFVMAGGGKHTLTPKAIIHQKFGANAIYTVEEVHDSSHSACPGLAIPQKGPSLFRCHLQLPEFSVVSNVCKKKKDSEQSAAELALDKLGIRPQNDDLTVDEAWDDLVGRIKYIFSDEFLSAEHPLGAHLRAALRRKGDCCGSVPVSVIATFDAKINSRCKIINPSVESDPFLVISCVMEAAAKLPDFIVASPHGASLRRKNPYPSEIVQALTSQVSDSLRSRKANAAYIPCKGEEIVELDSVDVSSGRYYLDSIAERLCLKNGNQVMISRTLGKASCGSECRLYSPIPKCKSSEVAGSSSEESSRRNARASYICGQDVHGDAVLASVGYRWKSEDLDYDDVTVKSFYRICCGMSPNGIYKISRQAVLAAQLPASFTTKSNWRGPFPREILSMFCHQHRLADPVFAISTAPVKSLADVYRSHKKLKVSGGSDDADDEHLSKEKEDAPGLGNGFRCEVKVLTKSQDLVLECSPRKFYEKENDAVQNASLKALLWFSKFFDDMDVGSDTDDEEDIKSPSTNVFTIPPNLGRDCSGTTNVPSVVEKRVPSITNGSVVSICYSLSLEVDPDFPTDGEVSEGNEEDMESEEDAEYYEPSLDLIESHEEIEFEIGTKAMNPLIETAVTQMTVGEFSSFNTTLFAAAEALLLAVASDTTRIRDLLSKRPQLVYSIILLGVKGPSEERMEAAFFKPPLSKQRVEYAVKHIKDSSASTLADFGCGSGSLLDSLLDYPTTLQTIIGVDISPKGLARAAKMLHVKLNKEACNVNTATLYDGSILEFDSRLYDIDIGTCLEVIEHMEEDQACEFGEKVLSLFRPKLLIVSTPNFEYNTILQRSTTPENQEENKSESQLPKFRNHDHKFEWTREQFNQWATKLAERHNYSLEFSGVGGSGEVEPGFASQIAVFKREALVVENVAEGSMQPYKVIWEWKKGNEEKKE >A03p065760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29088429:29088974:-1 gene:A03p065760.1_BraROA transcript:A03p065760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTLIFIITIVITTPLPSLSSQTPSNKTPTLTSSQYKSLTRNTIQQQFLRPHNALRAKLHLPPLKWSNSLARYATRWARTRRGDCDLIHSGGPYGENLFWGSGKGWTPKDAVVAWASERKFYDRRTYRCKANGDCLHYTQLVWKKSVRIGCATVLCKSGDTFIICNYDPPGNIVGQPPF >A08p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3740443:3741854:-1 gene:A08p006500.1_BraROA transcript:A08p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLNGRGFSLFFSRVNQMGEDYSYSQPSSSSNSIDMSSLLEAEAKMYGDEAESPYCNAEPDQFPPQPEADDGIPTACYCGAQPVVECSYTPKDPYRRYFSCPNDVALTEELSEVQRHVSQLKDQAFECDQKLLKLQKTVCELKKKSENTNVFALAVCVMVSAIEELPRSKPDQLVKLKSICIIKSDTDRSFEMENNTPYMNLLFSQTQTPVDLDSPEPLWFGSQGPR >A02p012370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5406672:5408850:1 gene:A02p012370.1_BraROA transcript:A02p012370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLFRSSPPPEKQPAHQSLAESAVQECTSSINTVISKWTSSSSNEFLFSTNSRHEAEEFVEAVKHLQSTMHRLVSVNASSDKLVYAHNLMQSAMKLLESEFRRVLNANRDYLDPESVSVRSSYRSSRFSTSTTTSVSDSEDETSYDENPNDHRFSGQDSDAMDDLKTIADCMISTGYAKECVTVYKTLAVRSLFFGERLLADHVFSSSVNIVESSFTDITQEGALTLFAFPENAAKIKKLSPEKMFRFLDMYETLASLYVEIETIFYFDSAAAVRSQVISSLARLGDAARTMMTAFETAIQKDTSRTPVIGGGVHQLTRYVMNYLSFLVDYSESIAVIFENWQPTLPSSSSCGGDDAYPEELYSSPVSVRIAWVILLTLCKIDGKAQPYKDVALSYLFLANNLQYVVVKVRTSNVKLLLGEDWVVRQEGKVKQYADKFEKLAWGKVLTSLPEYPAEEITPEEAKELLVRFDDEFEASYRKQISWVIPDPKLRDWIKVSLSHKLMLVCTELYEINRFGSGGDGFNVRYTSEDIGNYLSDLYFGSRGSGSGSVSTKGSGSGTGTGSSSTGKSRGGRSH >A06p028500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:56181:57439:1 gene:A06p028500.1_BraROA transcript:A06p028500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECAKIGRGCTAMYGSVRTVDDPRRADSTIWGSKLSPCRLKQEEAVCSIRLFNTSRGRRVHPGVQKLSAAVPHHPMSPLKKEDPRKQRQWSGLATLSKKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWSAH >A06p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2591977:2593651:-1 gene:A06p007480.1_BraROA transcript:A06p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTADLIWNSPHFFNAVKERELELRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPLLNRLGTLIINNNRITRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVIHKIKSLRVLDFIKVKAKERAEAAALFSSKEAEEEVKKVSREEVQKVPDSMEESETPKVVAPTQEQILAIKAAIINSQTIEEIARLEQALKFGQVPAGLIVPDPVHSNVVDGKPSSGGSGPMEVEFL >A09g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14425294:14425974:1 gene:A09g504780.1_BraROA transcript:A09g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLTVIFRSRSTETRLNRWTVLTGVTIFMLEFATLMVGFTTVLLGVNIWDIVDTSNTSPDSCVINVKPMLRRTNTRAVQAKLFCIYFKINDGSTKSIMFAMKYHEAYDAKKKKLGSQDTEEGLNRSNKLFGDERDELLVESQELLQRGEIELNRSQEFMK >A09p075100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56712579:56715540:-1 gene:A09p075100.1_BraROA transcript:A09p075100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAFLPELWTEILIPVCAVVGIVFSLFQWFIVSRVKVSGDQGASSSSGGAKNGYGDYLIEEEEGVNDQSVVAKCAEIQTAISEGATSFLFTEYQYVGVFMVFFAAIIFVFLGSVEGFSTESKPCTYDSTKTCKPALATAAFSTIAFILGAVTSVLSGFLGMKIATYANARTTLEARKSVGKAFIVAFRSGAVMGFLLAASGLLVLYITINVFKIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHDFTAMCYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKNQLIISTAIMTVGIAVVSWVGLPSSFTIFNFGTQKVVQNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVHTVDVLTPKVIIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKYL >A06p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21340772:21343993:1 gene:A06p039580.1_BraROA transcript:A06p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 17 [Source:Projected from Arabidopsis thaliana (AT5G65450) TAIR;Acc:AT5G65450] MSPFLLIGFLMLAFLLIRRQWRSAAVKREEVLRLISLATEESYLAAEKKEEEEARATVDYYGSSSVPPDVYSCAVCHYPTTTRCAQCKSVRYCSSKCQILHWRRGHKEECRPPPLHDYDREVDQSDDGEETNIELPSRGSGFESSADGLHGPETNDRVNNDGVSVDLACDISTSRPSVQKLQPKSEAVDFTTSLNEKDNFYETKPISRKKSHNRTEKVESSRKHSKGKSVLFTDAKPQSSRRSAEDTVQVSASNHLLSVKHEGEKIALGHGKTTSEPSSSAPSAALSFSTIPLPSKAISKPKVSQASSSSSVLKTSMQKVVQHFRPPKSSKLSQPSTSVNEMSFSYEMFVKLYRDRIELQPFGLVNLGNSCYANVVLQCLAFTRPLISYLIRGIHSKACRKKSWCFVCEFEFLILKSRGGESPLSPIKILSRLQKIGKHLGPGKQEDAHEFLRCAVDTMQSVFLKEADAAGPFAEETTLVGLTFGGYLHSKIKCMKCLHKSERTELMMDLTVEIGGDIGSLEEALAQFTAYEVLDGENRYLCDRCKSYQKAKKKLMILEGPNILTVVLKRFESDNFGKLSKPIHFPELLDISPYMSNPNHGDHHPVYSLYAVVVHLDATSYSGHYVCYIKNLHGDWFKIDDSNVFPVPLQTVLLEGAYMLLYARDSPRPVSKNGGGRKSKERRNLSAIPSRHDNNSKNKQEKDSSSSLLPRVDLSSGSLSSMFSSSETTSSCSTKDSSGFENLSDYLFGGVEQVWNQDPSSQRV >A03p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:255382:261047:1 gene:A03p000300.1_BraROA transcript:A03p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWRLFPDSSGDCFRWEGAGRILQSDSQDYAIESTAPLPSMNDLLLRGWSKLIQGDEAILRTGLGNSVALKAKSILEDGHHHPDLHNIGGSSLQVDSAATLPMFRTASGRSVPLKDSSIAKAISILGPHSDNVPLRESGFGDPNSFFQTASNKKVFVSSAGLSRANALLGLEADDFNGFNHVKRSNSSHQKRGCSELKTHATGVQHHSETPGQYECHVFEKISDNLYPSAVVPPTMFQTAGGKTLSVSVQALKRARNLLGDPESGTLFDDVAAGDQFATPQKVQRLVDIAYEGKTSNKHTATSFVSPLRSSSKKFRSVKLEDLASGGNLIKKFDTAVDETDCALNITKVATHGVSNNRPLASYMAVNSAKANGFIPIAKQFGQPLVDITNRSANNKQDSTQKKRLGKTIFVSPFKRPRNSSFKTPLKKNAQHALSGLSIVSSDTLNSKMVLCTRYPQRSPRVYIKDYFRMHPIVTTKMNYVPDHVRRIKSSNADKYVFRDESSSNMVGAETFFQMLAESGASLQQASRKWVINHYRWIVWKLACYETCYPVICRGNFLTITNVLEELKYRYEREVNHGHCSAIKRILSGDAPASSMMVLYISAINPKTDNDSQEALGSGSGNNVKVELSDGWYSMNAALDVKLTKQLNAGKLFVGQKLRHAYVRISYLKILGAGLSGWATPTSPLEAVISNTICLLLNINGTYRAHWADRLGFCKEVGVPLAFNCIKGDGGPVPKTLAGITRIYPILYKERRSALVEGLMCEYERGVNGFHSQNDTDSEEGAKVFKLLETASEPELLMAEMSLEQLTSFTTYKSKFEAAKHIQMEKSVAKALEEAGLSERDVTPFMRIRLVGLTSLSYEGEHNPKEGIVTIWNPTERQRIELTEGKIYIVKGLVPTISDSETLYLHARGSSSRWEPLSPKASSSFQPFFNPRKPISLSNFGGIPLSSEFDLAAYVVYVGNVYTGVEQKKQWVFVTDGSTQRSRSGEISNSLLAISFSTPSMDDLPTPHISHSLVGSVVGFCNLIKKAKDAKNDMWVGEATENSVYSINAEAAYSSHLKTSSSHIQTWAKLSSSNSVTYKLRQRVLFIIGASTCS >A01p057240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31893903:31895012:-1 gene:A01p057240.1_BraROA transcript:A01p057240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPELESFPAVHPSLQYPLTIVIRVAGKKVYLEVPSVVFDAKPLAISDSEFLKYFKDSKVHFINAQGQIDVSEADKTVMFKAINLASYVGKQVLYEQTPIGFAIGLAKWYVEGQATAFIDGLAWYVKTMLVEESEEDICSINVIGQVGMETYESACIAYEAAQRILLRKQPGNTFFTNSKVYVYMPTSDGASAGCTICTSLLSLAMNKSVRKNLAMSGEITPTGEILQIGHVKDKTMGARRSQLKTIIYPEANRREFDELPENLKDGLDVHFVDEYEQIFELAFN >A02p029360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15001879:15003842:1 gene:A02p029360.1_BraROA transcript:A02p029360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNSSKVGRKVVKKTLVALGRMLALRGGDGEVDSSRKPLNALAAGGGSNMQLVCVDADCATGLEYDLDYMKYLTIFKESHQYLLEGEASPLRIMYTADKEVDDEKRRGRGRGRGRERSKPPLVSNVSKKLKTGGARREDHNKSTVFRKEVVLRGRKIGMTKTEASLRGRKKIALSKNTIKLEPKCKHDTKQEKDASLHKNAMKVERTRDVKDTHQEKEVSIRGRKPKNWNKLDNEESRRRRKAIFRASKRLKAEKIITVVNSKDAPQGKKSCVNKSDFKACKKENEESNSEKRKSKPHEIFRASKRLKTDQNLVNDKLKKEEVVNKDKAKPKATSHVKDSLLHSFRPHKKVENEESSRRTLMDKSYEYFIAFLRNSVTVIEVKPEKASVPLSDPDIIAVSNCPFSDGGPSPFEANKDGKVIDLEDRIEPEDMFNSTFSKKLLEILRQPYDKNEFKQRLFEASQKKQLTRSRQLRDGREIEYNVDHQLGPSYFDRYPDFKRVFRRSRSAKDDHRALNLLRGFFFYFENIVLEGAFKPWLHEARVMRECKDIVCIK >A05p042780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25838680:25840715:1 gene:A05p042780.1_BraROA transcript:A05p042780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCPRSRETCPKLLDLIPQERKWYHDEEKNNSDQEKKLELRLAPPGGDEEDLSAMKKKKNLETRNNIKKEAEDKPIFNLSGNHFSPSNKTTYAPPHISHKRTAPGPVVGWPPVRSFRKNLASSSSSKLGNDQINKSGEGEKQVEPKREGMFVKINMDSVPIGRKVDLNAYSTYEQLSLAVDKLFRGLLAAQRDTSGGEGEEQPIIGLLNGKGEFTLTYEDNEGDKMLVGDVPWQMFVSSVKRLRVIKSSEISSALKFGCSKQRENEELKWLSGTSVCVEGSHSF >A02p053050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32323649:32327136:-1 gene:A02p053050.1_BraROA transcript:A02p053050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHNTNTTRSNLVKDNELFHVIHKVPCGDTPYVKAKHAQLIEKNPEMAIVWFWKAINTGDRVDSALKDMAVVMKQVDRPEEAIEAIKSFRPRCSKNSQDSLDNVLIDLYKKCGRMEEQVELLKRKLRQIYQGEAFNGKPTKTARSHGKKFQVTVQQEIARLLGNLGWAYMQLAKYLSAEAVYRKAQMVEPDANKSCNLAMCLIKQGRFEEARALLDDVFTSRVLGADDCRTRQRADELLGELEASLPRRLDAEMEDVLGNILDDDFVIAIGLEEMTASNYRSKRLPIFEQISSLRNQNLYNYDGGRKNTNGGGGGGDGKKTNGSGGGGGKKTNGSGGGGGKVVSCVEESGLAHETCVCVGRAGMSSLEVLLPDVAIVDQETLEGVEEIKNGVRLIDNMEEINVAV >A01p041560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22324486:22331352:1 gene:A01p041560.1_BraROA transcript:A01p041560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH7 [Source:Projected from Arabidopsis thaliana (AT3G24495) UniProtKB/Swiss-Prot;Acc:Q9SMV7] MSAVKKKDLKVIEDGFDPATVKFVQALQCRHPRCLNHFAENWPLKPLVLHPKVIWMQPGDEKSLMPKVLLGTELPPLRSVLLRQKSILSFFQKPSQATQPSVPGDAATSGVGGLRSTAKEGCINSDASRNVPKPVDDDVRGVDTPPEKVPRRVLPSNFKAAESAGGASSLFSSIMHKFVKVDGPPECSGERNVAPFRDSSVSKMPESVFPTNNAQPQDRDHTFCVDKPRLVEDDDVLGPDTPGTRPSVPRLKRVLEDGVAFTENKASLFDSNKRMKLFEDRICGEKKDVNEGTKFEWLEPSRIRDANRRRPDDPLYDRKTLYIPADVFKKMSASQKQYWSVKSEYMDVVLFFKVGKFYELYELDAELGHKELDWKMTMSGVGKCRQVGISESGIDDAVQKLLARGYKVGRIEQLETSDQAKARGANTIIPRKLVQVLTPSTASEGNLGPDPVHLLAIKEVKMELEKCSTVYGFAFVDCASLRFWVGSISDDASCAALGALLMQVSPKEVIYESKGLSRESQQALTKYTLTGSTAVQLNPRPQEMGDADACGVRNMIESSGYFRGSSESWNSAVNGLTESGIALSALGELINHLSRLKLEDVLKNGDIHPYKVYSGCLRIDGQTMVNLEIFNNSFDGGPSGKYFFFFIGTLYKFLDNCVSPTGKRLLRNWICHPLKDIGSINKRLDVVEEFTANSEIMQITGQYLQKLPDLERLLGRIKSTVQSSAYLLPALLGKKVLKQRVKSFGQLVKGFRSGIDLLLAVQKESNMIRLLCKLCKLPILVGKSGLEIFLSQFEAAIDSDFPDYQNHDVTEENAETLTILIDLFIEKAAEWSEVIHTISCLDVLRSFAISASLSAGSMARPVIFPESKSTIQNQETNGPTLKIQGLWHPFAVPADGQLPVPNDLLLGEAGSSSIHPRSLLLTGPNMGGKSTLLRATCLAVIFAQLGCYVPCETCELSLVDTIFTRLGASDRIMTGESTFLVECTEAASVLQNATQDSLVILDELGRGTSTFDGYAIAYSVFRHMVERVKCRMLFATHYHPLTKEFSSHPRVTLKHMACAFKSRSDQEQGGCDQELVFLYRLAEGACPESYGLQVALMAGIPKQVVETASVAAQAMKRSIGENFKSSELRSEFSSLHEEWLKTLVGISQVVDDDKAMFVEEDVSDMLICLWHEIRSSCSVAQVKAMR >A06p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27712036:27714695:-1 gene:A06p052400.1_BraROA transcript:A06p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEEESPLIEEGLISQEPKLYAQDGSVDLHGNPPLKEKTGNWKACPFILGNECCERLAYYGIAGNLITYLTTKLHQGNVSAARNVTTWQGTCYLTPLIGAVLADAYWGRYWTIACFSGIYFIGMSALTLSASVPALKPAECIGTFCPSATPAQYAMFFGGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVKKASFFNWFYFSINIGALVSSSLLVWIQENRGWGLGFGIPTVFMGLAIASFFFGTPLYRFQKPGGSPITRISQVVVASFRKSTLTVPEDAMLLYLDKAAVISEEESRAGDFSNSWRLCTVTQVEELKILIRMFPIWASGIIFSAVYAQMSTMFVQQGRAMDCKIGSFQLPPAALGTFDTASVIIWVPLYDRFIVPLARRFTGVDKGFTEIQRMGVGLFVSVLCMAAAAIVEIIRLHLANELGLVESGAPVPISVLWQIPQYFILGAAEVFYFIGQLEFFYDQSPDAMRSLCSALALLTNALGNYLSSLILTLVTYFTTRNGEQGWISDNLNSGHLDYYFWLLAGLSLVNMAVYFFSAARYKQKKASKL >A05g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19619703:19624093:-1 gene:A05g506950.1_BraROA transcript:A05g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEDDLLDDMAELDEQNRDEVMEDGRIEAISQLSPERPSSTTNGTGAMTSKAAPQEVEKGEQRENNNRKKDQKNTQTLQQITTLGKRRGARSPDLKGVSASRKLAKMEPDMDIQEQQRTTNRVVQTPPSTRWRCQTDASWINNTDRVGLGFVLLDRGTPVLFGAQGIGHADSPLHAEAEGLLWAMQELLKLGNRAIRFESDCEQLVKLLEDKED >A03p011450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4591191:4596072:1 gene:A03p011450.1_BraROA transcript:A03p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNNNKRDGGGEKKTASITVVLKVDMHCDGCASKLVKSVRAFQGVETVKAESETGKVTVTGDVDPAKLREQLEVKIKKKVELVSPQPNKEKEKDNNNKDSKDKNKENKSEEKKPKEAPVTTAVLKVDFHRERCIEKIQKTVSKTKGVSGFSIDHEKQLVTVKGTMDVKKLVRSLTEKLKRNVEIVATTKNGNAKEKQYVAAQPAHGSAYFPGEDGDTIEYLAAPQIFSDDNPNACVVSLNKGPYKKTHHIRIFVNRPDGGRRFQKMVSDASKKKALQKKAAAAAKRGGKAAAAKAAASSSNGADSLSSGVDALQISDRTCTGILCSHPQSRDIRIESLSVTFHGYDLIVDSMLELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPDHMDIYHLSHEIEATDMTSLEAVMSCDEERLKLEKEIETLVEQDDGGGERLDTIYERLEAMDASTAEKRAAEILFGLGFDKEMQAKKTKDFSGGWRMRIALARALFIMPTILLLDEPTNHLDLEACVWLEESLKNFDRILVVVSHSQDFLNGVCTNIIHMQSKQLKYYTGNFDQYCQTRSELEENQMKQYRWEQEQISHMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDSVLVFRFADVGKLPPPVLQFVEVSFGYTPDYLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELHPTEGMVRRHNHLKIAQYHQHLAEKLDLEVPALIYMMNEFPGNEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYKQPNMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCEKQCITKWNGDIMDFKKHLKAKAGLED >A02g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19961455:19967789:-1 gene:A02g506980.1_BraROA transcript:A02g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFPKPAKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEALHNIIGSTMPHWIRRIPIKPKDQSAPKHLDLSRLLSIESCGVLNPPSFHSNSFITCIPSYRPSDHLFGRPIQASIIHLAHPESQPATVGPWSEHAGPSHGLFGTLTLPVDCSGDFGPLGLSFQYTQDVCGCPSAHTGRPCVSVSTHRTPGAVRVCLCVFVSTNRTSGAVRVCLCVSVSTHRTFMAVQQYTYQTAVGLRQHTPDIRGCPCVSVCVRLCPSANTGRPWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVQYTHDVRGSPPANTARLCLSVAVRQHTQDVCGCPCVSVAVRQHAQDVRSCLWLSVSTQKTSVCVRQHPQDVCVFPCVPFRTHMTSVAVHQYTYQHAGPWTQHAGPWTQHAVPSCGLFGTSVCVRQHTQDIWGCPCVSVCVRVCPSAHTGRTWLSISTHINTLVLGLSTLTLPADCSGDFGPRGLCVQYTQDVRGCLPAHTVLSWLSVCVRVCPSVPIRTHRRLWLSISTHISTLVNGLCTLALPVDCLGDFGPRGLSVQYTHDVSGCPSAHTGHPCVFVSTHRTSRAVHVCLCVSVSTHMTTYMTSVGVCQHTQYVRGFLSASVCVLLYPSAHKGCPWLSISTHISTLVLGVSTLALPMDCLGDFGPRGLSVQYTQDVRWCPPAQHRTSVGVRSNTYQHVGPWTRMLALPVDCLGDFGPRGLSVQYTHDVRGSPPANTARLCLSVAVRQHTQDVCGCPCVSVAVRQHAQDYTYQHAGPWTQHAGPWTQHAVPSCGLFGTSVCVRQHTQDIWGCLVCVRVCPSAHTGRTWLSISIHINTLVLGLSTLTLPADCSGDFGPRGLCVQYTQDVRGCLPAHTVLSWLSVCVRVCPSVPIRTHRRLWLSISTHISTLVNGLCTLALPVDCLGDFGPRGLSVQYTHDVSGCPSAHTGHPCVFVSTHRTSRAVHVCLCVSVSTHMTTYMTSVGVCQHTQYVRGFLSASVCVLLYPSAHKGCPWLSISTHISTLVLGVSTLAFPMDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPWVSVSTHISTTHRTSVGVRQHTQDVRVCSSAHTGRPWLSISTHISTLVLGLSTLTLPVNCSGDFGPRGLSGQYTQDVRGCPPAHIGHPCLSVCVRLCPSAHIGHPWLSINTHISTLVLGLSTLALPVDCLGDLGPRGLTFIAVYGCPSAHTGRACVSVSTHRTFVCVHVCPAAHAGRLWLSISTHISTLVLGLRTLALPVDCFSDFGPRGLSVQYTHDVCGCPSEHTGRPTHFSTLVLGLSTLALPVDCLGDFGPRGLSGQYTQDGCGCPPAHTGHPWLSVCVRLCPSENTERPWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLCVQYIQDVRGSPPAHTARLCLSVAVRQHTQDVRGCPCVSLGVRQHAQDVRSCLWLSVSTHRTSVCVRQHTQDVCVCPYVPFRTHMTSVAVHQYTYQHAGPWTQHAVLSCGLFG >A05p012940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5637318:5641459:-1 gene:A05p012940.1_BraROA transcript:A05p012940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g34400 [Source:Projected from Arabidopsis thaliana (AT2G34400) UniProtKB/Swiss-Prot;Acc:O64705] MLKPKKLAFSISRQFPSLKPKQPEESRRDLVGDFLLRLKKCVSVNQLREIQAQMLLNSVDKPNFLIPKAVELGDFTHASLLFSATEQPNHYSFNFMIRGLTNTWHDHVGALWLYRRMRFSGLKPDNFTYNFVFVACGNLPEIRVGRSVHSSLFKVGFERDDHVNHSLITMYAKCCHVGDARKVFDEITEKDMVSWNSMIAGYSLAGKGEDAVGLFRRMEEEGVEPDERTLVSVLGACAHLGDLKTGRLLEDIAIRKKIGLSAFLGPKLISMYGKCGGLDSARRVFNQMVNKDRVAWNAMITVYSQNGRSMEAIKLFREMEDSRVSPDAVTLSTVLSACGSVGALELGKRIESYALETGLQHNIYVATGLVDMYGKCGGIEDALRVFESMPVKNEATWNAMISTYAHHGHAKEALLLFDQMSVPPSDVTFVGVLSACVHAGLVDQGRRYFHEMSSSFGLVPKIEHYTNVIDLLARAGMLNEAWEFMEKFPGKADEIMLGAILGACQKRKDVVVGGKAMKMLMEMEEVKNAGNYVISSKVYADMKMWDECAEMRALMRDRGVVKTPGCSWIEMDGEMIEFNAGSYVLQCGREDAGSLLGLLVEEMKKGSKPGEKRTKPSRNQQTTVQVSFLLGVIPVFIAWIYSEFLEYKRSSLHSKVHSDNNLVELGEVKNKDEEVAVLLEGGGGLPRSVSTKFYNSSIKTNLVMFLTLEDSFLIDNRATLRAMAEFGAILFYFYICDRTSLLGESKKIYNRDLFIFLYCLLIIVSAMTSLKKHNDKSRITGKSILYLNRHQTEEWKGGMQVTASLFSQSNVPNGQPKWLLSIIPEYPMLNFMLTTPIYVLVSHRLFELTNTLKPVFIPTKDDKRLLHNVLAGAAISFCLYLTALILLQIPH >A03p009320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3734405:3736483:1 gene:A03p009320.1_BraROA transcript:A03p009320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNCLRENCVIDDGTGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEAGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQSQEMESYISGLIPNLAQLRDMPDAFTNMYCRIASQKFFFFCDPHRRGKACIKKILLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDCSGSLSKQELKEYADGTLTEIFIERVFDEHVRRGKSGSGNSREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPSDPLKITLDDLLGCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPPEEESQ >A04g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2846531:2848626:-1 gene:A04g501060.1_BraROA transcript:A04g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTAEEVKKIVNTLNEAQVPSQDVVEVVVSPPYVFLPMVKSILRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLDIPWVILGHSERRALLNETNEFVGDKVAYALSKGLKVIACVGETLEQRESGSTMDVVAAQTKAIADRVSNWSDVVIAYEPVWAIGTGKVASPAQAQEVHDELRKWLAKNVSADVAATTRIIYGGSVNGGNCKELGGQADVDGFLVGGASLKPEFIDIIKAAEVKKSA >A03p068170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29866390:29868390:1 gene:A03p068170.1_BraROA transcript:A03p068170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAVSGPMDLPIMHDSDRYELVKDIGSGNFGVARLMRDKQSNELVAVKYIERGEKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKLADVWSCGVTLYVMLVGAYPFEDPDEPKNFKKTIHRILNVQYAIPDYVHISPECQHLISRIFVADSAKRISIPEIRNHEWFLKNLPADIMNDNVMNSQFDESDQPGQSIEEIMQIVAEATVPPAGTQSLNQYLTGSLDLEDDMDEDLESDLDDLDIDSSGEIVYAM >A03p051020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20703187:20706010:1 gene:A03p051020.1_BraROA transcript:A03p051020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and protein-tyrosine-phosphatase PTEN2B [Source:Projected from Arabidopsis thaliana (AT3G50110) UniProtKB/Swiss-Prot;Acc:Q8H106] METDHADSLPPKISEKDAGVEEDPLSSAEASTAKVELRSKAEDEIVPCPPGSSPPSMFSSSGLSSWAKSFNAADSAFTRFTSELGLHLPTKGSDEVGESPNTQVGGALESLTKAVVDSSLGAVKAMQVKARHIVSQNKRRYQEGEFDLDMTYITENIIAMGFPAGDISSGLFGFFEGLYRNHMEEVIMFFETHHKDKYKVYNLCSERLYDASRFEGKVASFPFDDHNCPPIQLIPSFCQSAYTWLKDDIQNVVVVHCKAGMARTGLMICCLLLYLKFFPTAEEAIDYYNQKRCLDRRALTLPSQIRYVKYYERVQNQFGGKVPPERRCMLRGFRLINCPYWIRPAITISNHNDILFSTKKHEKTKDLVPEDFWIKAPKKGVVVFAIPGEAGLTELAGDFKIHFQDSDGDFYCWLNTTLTDTRTMLKGIDFDGFEKRKLPAPGFHVEIVMAESDNSTQQQPQSSSGADSGKIKSKQSRDDDVFSDSDGEEEGNSKAVDSSSTKDKTAGSMHQTSRDHQITEPPKTDDNSANRSITSSSSSGLYNNNPVQDDSLAVSNIKAIAADASVFSFGDEEEDYESD >A07p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20772590:20774017:1 gene:A07p039090.1_BraROA transcript:A07p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLANAVGGKTARACDSCVKKRARWYCAADDAFLCHSCDSSVHSANPLACRHERVRLKTASAGKHRHASSSPPHPATWHQGFTRKARTPRGGKKSHTMVFHDLVPEMSSEDQRYEVEEQLIFEVPVLNPMGNEQCLKETKIVFPMMPVCFKSNEEEDDNTESCLNGLFPTEMELAQFSADVETLLGGGTDREFHAMEVIGFGEMLKIEKEEVKEEEEVATREVCDLDDANETSPFEISFDYESSHKTAFEEDDEKEDVMKNLVDVGVNEVTGRIKEEKKEKVLMLRLDYETVISTWGSQGTPWTALKPSEIDLDMLCCQTNSMCESGGEAHHHNHFPGLGLHMREAGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRSSIVAAH >A02p000810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:404512:405941:1 gene:A02p000810.1_BraROA transcript:A02p000810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPQQLKAVTLTHVRYRRGDQLGHFLAWISLVPVFISLGGFVSHFLFRRELQGIFFGLGLVISQLINEFIKTTVEQARPETCTLLEACDSHGWPSSHSQFVFFFATYFSLMGCKGIGFWFGLRSRWVLNLLHWCLAVVTMYSRVYLGYHTVAQVFAGAALGAVVGGSWFWVVNSVLYRYFAVIEESKLGRMLCVKDTSHIPDVLKFEYDNARAARNNSKSEKHCYKAQMNMKLTRASSHLLFFYIPFSY >A01g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9444241:9445671:-1 gene:A01g502860.1_BraROA transcript:A01g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTFSFGAAFVAFGETLAAFVAFGPRLRCPGVMGSPPPPGGQEDPVLEAAKLNITEPTASESSTKETNIEQTGGMEVEHECAEHDTPSLLVAPKQVVEHEDNETWLTIPQNKSPLARKTNGKATQRPEVEPPTGSPSRYHLLSNELEEGEVDVEEDSSDEESSVESQTALEKKKQMERQKSGKKKKSQK >A08p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23342946:23343644:-1 gene:A08p041350.1_BraROA transcript:A08p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVEELKKQLKKTKNPEEAEELKNSLLNRFLTCDAAEIRKQALMEEYNSLKESGKLSSFLTNGGRRMQQRITDTCLIGETMPQINKRERVRESIAFRFMPRIEMKMS >A10p016860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2727051:2728457:1 gene:A10p016860.1_BraROA transcript:A10p016860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G54060) UniProtKB/TrEMBL;Acc:W8Q6A1] MGDFGSDGSSSMSIVMYPWLAFGHMTAFLHLSNKLAEKGHEIVFLLPKKALDQVKPLNLYPSLITFHTISIPHVKGLPPGAETNSDVPFFLTHLLAVAMNQTRPEVETILRTNKPDLVFYDSADWIPEIARPVGAKTVCYNTVSAASIALTLVPAAEREIIDGKEMSAEELAKPPLGYPSSKVVLLAREAKTLSFVWRRHEGIGSFFDGKVTAMRNCDAIAIRTCRETEGKFCDYIESQYNRPVYLTGPVLPVDEPNKTSLEPRWADWLAKFKPGSVVFCAFGSQPVVDKIDQFQELCLGLEATGLPFLVAIKPPSGVSTVEEALPEGFQERVRGRGVVYGGWVQQPMILDHPSVGCFVSHCGFGSMWESLMSDCQMVLVPQHGEQILNARLMAEEMEVAVEVEREENGWFSRRSLEDAVKSVMGEGSEVGEKVRKNHDKWRCVLSDSGFADGYISKFEQNLTDLVKS >A03p024190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10229038:10231973:-1 gene:A03p024190.1_BraROA transcript:A03p024190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAHRDEHYSSGFWSKDADGVSYNQLHKFWSELSPEARHELLRIDRHAFFEEARKNMCCSKCIGLLLEGFSLIVSHGKSLQQKEDLPCNRSGALEDGSHKCIGELHDPAVHQWGGLNATRDGLLTVVDCYLYAKSFKGLQNVFESARARERERELLYPHACGSRQGMVGYGKGHGTTRETCALHTTSLSCDTLVAFWSALEEESRQQLLRMKEEDFIERLSNRFKCKKFCKDCRRNVIREFKELKELKRMQREPQCTDWFCVADTAIHYEVDNDSVRADWSQYFKENDGYQYIEWAIGTEEWETDILKYKYVGNDGSAQVNGLDLRGLHQCYITVRLLKRMAAAPRGECLRMLLEHAEDVEEQEDEVLVDKDGKEVGGDCLRPKKHAKTPELAREFLLDAAAVIFKEQVEKAYRESTARQNAHSIFVSLSSKLLEQRVHIACKEIVTLDKQKRLVEEEEKEEERKERKRRKEREKKLRRKERLKDIPKSSDKATLPVLPREEDGFLNLDEEMNTSVSCEEESATETGDVDEDLSPPDDDQDGWKRVICNKGRQQVITHYCVREVIINDEAGKGWFTTKEGKKMEEVGVRQKKDPMMSRTSSSDNYSSCLSEGDSNGSHSMSDSEGRENLVFTENYMPVDQAADERRDMESPGPQTESDQDLFSLFHFGGPVALSTRGSKSGDYVFGDLTGNCKKKKKESIVGEEYNLFAKSNSLRFSIF >A07p034930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18934188:18935887:1 gene:A07p034930.1_BraROA transcript:A07p034930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQHRFPQHEQRKRWEGCFEVFSCFKSQKGGKRIVPASRIPEGGNASASQPNGSHQADQATLGGVNLSLLAPPSSPASFTNSALPSTAQSPNNCYLSLAANSPGGPSSSMYATGPYAHETQLVSPPPVFSTFTTEPSTAPFTPPPELAHLTTPSSPDVPYARFLTSKNSGKGHYNDLHSAYSLYPGSPASSLRSPISRASGDGLLSPQTGVSTPLQESNFFCPETFAKFYLDHDPQNGGRLSVSKDSDTSQNRQTRSPKRDMEELEAYRASFGFSADEVITTSHYVEITDVMDDSLLSPRDGQKLLRREANLLSQTSPKSEAGIDPPKSTSNGYKDHKPRNGIHADEEALLSRVGSVKGSRSYPTGFSSSDAEIEYRRGRSLREGRENRRRR >A04g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8947213:8948105:-1 gene:A04g504350.1_BraROA transcript:A04g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRSAVYRSDPLSPFRFAIYSLSLSSRSTVSVLNRRLRPDSSSSSRSTKQSRSRLKTSNVESSARITTCKEEFSEIENSHLAKVL >A02p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10125222:10125703:-1 gene:A02p021370.1_BraROA transcript:A02p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLTYFGMTLAAFAFWQSMDKVHVWIALHQDEKQERMEKEAEVKRVRAELLRKAREEDPLA >A05p047380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27913871:27917165:-1 gene:A05p047380.1_BraROA transcript:A05p047380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2738 [Source:Projected from Arabidopsis thaliana (AT3G12080) UniProtKB/TrEMBL;Acc:A0A384LJ95] MASLLDSLTTRNFFSKPIISRISSPSSSFASSSSSNISPFSPPSVLSYSHKRSHSRFPYPVAATLDGSSVEDELEFEESEEDSYPDESDEEDDLSIDISILEKEARDIVRDYATTLSRELKLEDDVVEGKESRRKGKRQAKNNQTQIPEHLLQRVAIVGRPNVGKSALFNRLVGENKAIVVDEPGVTRDRLYGRSYWGDQEFVVVDTGGVMTVSKSPAGVMEELNVSTTIGMEGIPLSSREAAVARMPSMIEKQATAAVEESDVIVFVVDGQTGPTGADVEIADWLRKYYSHKNIILAVNKCESPRKGLMQASEFWSLGFSPIPISALSGTGTGELLDLVCSGLNKLEIMETMEEEEEENYIPAIAIIGRPNVGKSSILNALVREDRTIVSPVSGTTRDAIDAEFTGPDGEKFRLIDTAGIRKKAAVASSGSTTEAMSVNRAFRAIRRSDVVALVIEAMACITEQDMKIAERIEREGKGCLVVVNKWDTIPNKNQQTAAHYEDDVREKLRSLKWAPIVYSTAITGHSVDNIVVAAATVQKERSRRLSTATLNQVIREAVAFKSPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDAKLFSDTYRRYMEKQLRTDAGFAGTPIRLLWRSRKRSDKNGGGGGTMRMSSLSRERNLATKRS >A06p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7156814:7157753:1 gene:A06p016070.1_BraROA transcript:A06p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMDLFSKAGNIFRQPRALQAANAMLQGNLSLTPSKLFVGGLSPTTDVDILKDVFGRFGKIVDVVVISDRETGVSKGFGFVTYDSIDAANKAMQQMNDQELDGRIIGVNPADSGGGLARRGGRGGGRGGFGRGGFGRGGYNFVR >A03g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4917373:4920900:-1 gene:A03g501630.1_BraROA transcript:A03g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIATDVSNELINSTVSSDFDSLVGMKTHIENVEPLLHLASDEVKMIGIWGPSGIGKSTIARGSKSVVGIDFKYSEIRDELYRSDRAFERMSSLQFLRLHGKLVTIFNPYRAYISQSMNYLPRKVRLLHWEHFPMKCMPSSFSPEFLVELNMPDSELETLWEGTHTIKNLKWMDLSFSINLKELPDLSTATRLKKLKIPGCSNLLELPSSIGNATNLEGLDLSGCLDLVKLPSSIGNAINLKDLDLMNCSTLMNLPSSIGCVTALEKLNLSGCKHLVELTSSIGNATNLIKLDLENCSSLVELPFSIRNAVNLKHFILSGCSSLVELPAFCGNATDIKEFNLRGCSSLVKLPSSIGNITSLKNLDLSECFSLVELPSSIGNITDLKNLYLSECTSLVELPSSIGYITNLENLYLDDCSSLKKLPSSIGNIINLESLDLNECSSLVELPLSIGNITSLKKLYLSKCSSLVGLPSSIGNLHKLLTLRLQGCSKLEALPININMKSLNELDLTDCSLLKLFPEISTNIKYLRLSGTAIEQVPSSIKSWYRLDDLHMTYCQSLGESPHVFDCITELHLSDTRIQEIALWIKEMSRLHKLVIKGCTKLVSLPQLPDSIAFLDAENCESLERLDCSFHNTKFIDLNFVKCFKLNQEARDLIVRTPTCRFALLPGQKVPPKFTYRATGSSLSVKLDGIDTHFPTSLRFKACVLLVNKDNVEAGQWNVMEVFVRIKEEQNGVGVMCRPKNYHLLPALLRYMFTPRLNEHLYIFEFDNKVSSSELLFEFEVHNDKWEIGECGLLAPTVWVPRFDGS >A09g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4414361:4414840:1 gene:A09g501330.1_BraROA transcript:A09g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVRPPPPPAGLRLRRNASSTTALRSPAMVAAVSSRHFLGFFTYNRCFEFKSLSQMRYPAFLSRRQRVTTVVASAGNLTAPSSWESWKPDKTAVATPLLLSDVIWPAAEELQLAIRV >A03p067060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28701432:28709143:-1 gene:A03p067060.1_BraROA transcript:A03p067060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSDVKSLMTCLIVDLYSGIKQPKLEMILPERAYAFFSHTHKGQKENMPLLDKILCERVTLYDYELIAGDHGKRLLAFGKYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIGSQGLPSGICPLVFVFTGTGNVSHGAQEIFKLLPHTFVEPSQLPELFLKDKWISQNGKSTKRVHQVYGCIITSQDMVEHQDPSKSFDKADYYAHPEHYNPVFHENIAPYTSVLVNCMYWEKKFPRLLSTKQIQDLAAKGCPLVGICDITCDIGGSIEFVNRATSIDSPFFRFDPANNSYCHDMDGDGVICMAVDILPTEFAKEASEHFGDILSEFVGSLASMTEAADLPGHLQRACISYRGELTPLYEYIPRMRKSDPELLNKMANEVVISVTRDHRLQSYVAQGGPAWLRSYSGSKARNCYRRLVLYHSQEPLELKYPHRLYEVEKPPIQNRSMNHSCYLANIQTVKEAVGEDVWSELRESAIGVIVKLKELK >A07g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4568992:4572292:-1 gene:A07g502070.1_BraROA transcript:A07g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFNKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQATVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNQKHCKDHGLIISAHHENVLKPRISKRNHIITWLKNVLFKPFHELCSLSCALKEIWFRKRHEPKFLRPKNQFDFIHDKNFSDLALTLCFPDRFSAWPNFKIDKPIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKIQKRACLAQMEKPIKYWLREKMDFDQASKGHVLAHIRSIFFTFQSPGRGYMKR >A08p032230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19538362:19540395:1 gene:A08p032230.1_BraROA transcript:A08p032230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--inositol 3-phosphatidyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38570) UniProtKB/TrEMBL;Acc:A0A178UUZ3] MAAKKPVMSLDVGVVIMASNKQRPAKLSVYLYIPNIVGYMRVVLNCIAFAVCFSNKTLFSLLYFFSFCCDAVDGWCARKFNQVSTFGAVLDMVTDRVSTACLLVILSQIYRPSLVFLSLLALDIASHWLQMYSTFLSGKTSHKDVKDSTSWLFRLYYGNRLFMGYCCVSCEVLYIILFLIAKNHTENLMNVVVESLTQFSPLSLLLALSIFGWSIKQIINVIQMKTAADVCVLYDIEKQHKP >A01p049420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27759254:27761011:-1 gene:A01p049420.1_BraROA transcript:A01p049420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKRSSGSSINAFDFDLGLGSNQGKPLNGQKSQAPSYSQQPRPAFQPGKPSWTHQPAPKQTTIRSEIGGPTSMVGDIHGKTWNSSSSSSGSGSGIGIVNKDPSLFGDLVGSVIGKPSGNVPLKKAPPKSSYSMGNLADALPKTGNSMNSGGGGWGYSGNPGGVSGVNASNSIKTPNLGGPSMKSMSGGNLSGSGVPSNSDPFGNLVGFGSKSSGSINSEKAKNDAKSDAAFGTFQSGGFAGTATHNDDFGGFQDAAKSSTFSSGGFDASNVGFGVQSSVKDDPLGMFSTSTNTSAAAAPQSEDWGFESFDGGADSGGGSTTELDGLPPPPPGVSAASAKSKGIDNQRQGQYADAIKWLSWAVILLERSGDDAGSAEVLSARASCYKEVGEYKKAVSDCTKVLDHDEKNVTILVQRALLYESMEKYKLGAEDLRMVLKIDPGNRIARSTVHRLTKMAG >A08p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2632732:2634363:1 gene:A08p004490.1_BraROA transcript:A08p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNRGTKPARVVKPAQKIEPTCSARPDYPAQLGKYHFDSARPWLHYSGSDLRSEAHQTLHKPRQSPTPKTGARWWLFSDGHASSSLEDEILVYASSWTSCLFPCLCPHEVLIGSATPPPSSSRRVFPFIVLRFDLLFCVLSGRCSVDVLLYQSFWCFQSF >A01p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000178.1:2572:4597:-1 gene:A01p013760.1_BraROA transcript:A01p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKVRQFEVCTQDGSVDRHGNPAIRAKTGKWLSAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQGSFVVGLVMLSFSTAALLLEPSGCGVEESPCKPHSTFKTVIFYLSVYLIALGYGGYQPNIATFGADQFDADDSVEGHSKIAFFSYFYLALNLGSLLSNTVLSYFEDQGDWPLGFWASTGSAFAGLVLFLTGTPKYRHFTPRESPWSRFCQVLVAATRKAKIDVNYEDMNLYDSETQRTGDKKILHTSGFRFLDRAAIVTPDDDAEKVESGSTYNPWRLCSVTQVEEVKCVFRLIPIWLCTILYSVVFTQMASLFVEQGAAMKTNIKDFKIPASSMSSFDILSVAFFIFAYRRFLDPLFARLNKTEPNKGLTELQRMGIGLVIAVMAMISAGIVEIYRLKHKGTASNSSSLSIFWQVPQYMMIGASEVFMYVGQLEFFNSQAPTGLKSFASALCMASISLGNYVSSLLVSIVMSISTRDDLPGWIPGNLNKGHLDRFYFLLAGLTAADFVVYLVCAKWYKYIKSEASFSESTSEEEV >A08p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3511186:3513915:1 gene:A08p006160.1_BraROA transcript:A08p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWDYGGLYFVGEFPNWDGWAEWNGMYRDDVRKFMKGMKGSFATRVAGSSDLYQVNQQNPPYHGVNFIIAHDGFTLRDHTILRGNWQMKNFHLALMISQSGLPASTDNWDNPESKFLAFTLHHCVSGQDVYAAFNAHDYFVTVRKTMADTNLESPDDFVKECVAETYNVAPFSSISLKSM >A03p001520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:749790:750224:1 gene:A03p001520.1_BraROA transcript:A03p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVKVFESIDKNKDGKISWDEFSQWIRAFSPLMTSEEIDEMFTELDADGDRQIDIVEFAKCYVVGEKEEEEEAVLKEAFDLYDLNRDGKISPGELHVVLKRLGRNKSMEQCVKMVGAVDADQDGHVSFEEFKTMMNSDSKTLL >A06g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15053613:15054852:1 gene:A06g505120.1_BraROA transcript:A06g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHETDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVGDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A01p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9710334:9726866:1 gene:A01p019890.1_BraROA transcript:A01p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTNVDADGRPLFFCNTCDKKHNDVISRFKLIAHVKDDSGEANFLLFDANAQQIVRHSAAELYDENEDEDFLPEAVSDLFGKRVLFEISVDADNIKGKSSQYVVRLATDDREMVEEFADLPPKPVLMLESAYDISSGSGGFTATPLSKEKANKMTKVALRINTLLTRNSLKRNSRATTLAFFFLLTNASIYRTVVEVDEDDATNPAGPFRIPKCRKEFQQAQHLKACQQWLHKQAMQSGSGPSWTLDGEFDFEDDMENPQGPQQRPPLLQQCCNELHQEEPLCVCPTLKGASKAVKQQVRQQGQMQGQQMQHVISRIYQTATHLPKVCNIPQVSLFLVSATLAFFFLLTNASVYRTVVEVDEDATNPAGPFRIPKCRKEFQQAQHLRACQQWLHKQAMQSGSGPSWTLDGEFDFEDDMENPQGPQQRPPLLQQCCNELHQEEPLCVCPTLKGASKAVKQQVRQQGQMQGQQMQHIISRIYQTATHLPKVCNIPQLFLVSATLAFFFLLTNASVYRTVVEVDEDATNPAGPFRIPKCRKEFQQAQHLRACQQWLHKQAMQSGSGPSWTLDGEFDFDDDMENPQGPQQRPPLLQQCCNELHQEEPLCVCPTLKGASKAVKQQVRQQGGQQGQQGQQLQQVISRIYQTATHLPKVCNIPQVSICPFQKTMPGPSY >A06p016590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7426264:7429728:1 gene:A06p016590.1_BraROA transcript:A06p016590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARAVEDGLKLAKRIYFGNDRAVAAPRLASPMERAASAAHAHLPTAPMVYAVIHEPGIVDNPDLPSYQPHVHGRCDPPALIPLQMNAIELDVDCYLDTALVTVTGSWRVHCVMGSKRCDCRIAIPMGEQGSILGVEVEIPRKSYTTQLITAEDGHELEKTAQPQSGGFLKPNIFTITIPQVDGGTNLSIKMSWSQKLTYNEGEFFLDIPFHFPEYVTPAVKKISKREKIYLSVNAGTGTEVLCKGCSHPLKVLEKMRKAGKLRFSYEADVLKWSNTDFSFSYTASSSNIVGGLFLQSAPVQDVDQRQIFSFYLFPGKQQRTKAFKREVVFVVDISKSMTGKPLEDVKNAISTALSKLSPGDSFNIMSFSDDTSLFSTSMEPATPDTVERGIEWMNKNFVVADGTNVLPPLEKAVEMLSNTRGSLPMIFFVTDGSVEDERHICDAMKKRLASAGSVCPRIHTFGLGIFCNHYFLQMLANLSRGQHESVYNTDHIEERLDKLFTRALSTVLLNITIEPLQNLDEIEVYPSNIPDLTSASPLMIYGRYRGKFPEKVKANGLLGDMSSFSADLSVQSAKDIPLDKVFAKNVIDLLTAKAWFSEDKQLIEKITKLSIQTGVPSEYTRMIQLENTEEALKPSDTGGKKQTGSNGEKQKLISRTIPLQNFGIGFGDTTATRENVPPGFGEQRAPDAAEKFVKAASSCCASLCNKCCCMCCVQCCTKLNDQCVLVFTQLFTALACIACFECCSNVCCACGGDE >A10p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:794626:798321:-1 gene:A10p001540.1_BraROA transcript:A10p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) UniProtKB/Swiss-Prot;Acc:Q9SRW9] MVKPPAGSSSPVTITVTPCKGSGDRSLGLTSPAPRASVANNQNSPLSSRGPRRSSLSGGNRRSSGAGRYCSMSVEDLTAETTNNSDCVVSYTVHIPPTPDHQTVFASQESNAAEEEETNSRNRSFLSGTIFTGGFKSVTRGHVIDCSMEKADPEKKSGQICWLKGCDEKVVHGRCECGFRICRDCYFDCITSGGGKCPGCKEPYKDINDDDQDTEEEEDEDEAKPLPQMADSKLDKRLSVVKSFKNQTGDFDHTRWLFETKGTYGYGNAVWPKDGYGIGSGGYEQPPEFGERSKRPLTRKVSVSAAIISPYRLLIVLRLVALGLFLTWRIRHPNREAMWLWGSSTVCELWFAFSWLLDQLPKLCPVNRLTDLDVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGALLTFEALAQTASFASTWVPFCRKHNIEPRNPEAYFGQKRNFLKNKVRLDFVRERRRVKREYDEFKVRINSLPEAIRRRSDAYNVHEELRAKKKQMEMMMGGNPEETVKVAKATWMSDGSHWPGTWYSGETDNSRGDHAGIIQAMLAPPNAEPVYGSEADSENLIDTTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSMALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKVKLSLRKPKATVKKDDEISLAMNGEYNNGEENDDGDIESLLLPKRFGNSNSFVASIPVAEYQGRLLQDLQGKGKNSRPAGSLAVPCEPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAVFATRRMKFLQRVAYFNVGMYPFTSLFLIVYCILPAVSLFSGQFIVQSLDITFLIFLLSITLTLCMLSLLEIKWSGVTLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIVGVDISFTLTSKSSTPEDGDDEFADLYLVKWSFLMVPPLTIMMVNMIAIAVGVARTLYSPFPQWSKLVGGVFFSFWVLCHLYPFAKGLMGRRGRVPTIVFVWSGLLSIIVSMLWVYINPPAGRQDFSQFQFP >A05g510130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31293992:31295281:1 gene:A05g510130.1_BraROA transcript:A05g510130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLDRYQKCSYGSVEVNNKPAKELENSYREYLKLKGRYENLQLQQRNLLGEDLGPLNSKELEQLERQLDGSLKQVRCIKTQYMLDQLTDLQGKEHMLLEANRALSIKLEDMIGMRSHHVGGAWEGGDQQNVAYGHHQTQPQGLFQSLECDPTLQIGYNHPVCSEQMAVTTQGQTQPGNGYIPGWML >A06g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10806756:10807807:1 gene:A06g503560.1_BraROA transcript:A06g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVNSSFAKHEFKANSLFTKHKFEINSPKPYLKNNEVDKSFACFENMLEKGLVIDSRLLDVMVGMFLSQNRIEEACKFLKEKVKNANVKPWRTTYKGVIDKLHEINKGEEALDLVQMMKMQNYNAHAKKPFDGTAVNVVAKMEVRRSEDYSPSMYDLIHAFWGLPGLKHLVLTIVKQTRKDVSLMFEVDTQTSPE >A02p016790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7554966:7557782:-1 gene:A02p016790.1_BraROA transcript:A02p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIILLSLVLFLGSSLSQPTLTCHPSDLSALREFAGALKNRSVTESWLNDSSCCEWGGVVCDDVSSRVTKLVLSEKGLEGEVSSSLSELSELQLLDLSRNHLKGELPSEISMLQQLQVLDLSHNFLTGNLSNLGVFTSLVMLNVSNNYLSGELSEKLSNLTALKSFLLSGNRFSGEIPDVFGNLTHLEHLDLSSNKFSGHFPSSLSQCSKLKVLDLRNNSLTGSIDLNFTEFQDLCVLDLASNHFSGPLPDSLGRCSKIKILSLAKNEFTGKIPNTFKNLKSLLFLSLSNNSFMNLSEALRVLQHCGNLSTLILSKNFIREEVPRDVTGFNNLTILALGNCGLRGHIPRWLLSCKKLQVLDLSWNHFYGAIPQWIGQMESLFYIDFSNNTLTGTIPVALKNLKSLIHLNCTDSQMIDSSGIPLYVKRNKSSHGLPYNQVSRFPPSLYLNNNRLNGTILPEIGRLKELHMLDLSRNNFTGEIPNSISRLDNLELLDFSYNHLNGSIPLSFQSLTFLSRFSVAYNRLTGAIPSGGQFYSFPHSSFEGNLGLCRAIDSPCDVLMSNVLKPKGASSRGNGKFGRSSIVVLTISLAVGITLLLAAILLRLSRKEAVDNDRVNDVDEEAPKAPTSSKIVLFHSCGCKDLTVADLLKSTNSFSQANIIGCGGFGLVYKANLPDGSKAAVKRLSGDCGQMEREFQAEVEALSRAEHENLVSLQGYCKHGSDRLLIYSFMENGSLDYWLHERVDANASLKWDVRLKIARGAARGLAYLHKDCEPNVIHRDVKSSNILLDESFEAHLADFGLARLLRPYDTHVTTDLVGTLGYIPPEYSQSLIATCRGDVYSFGVVLLELVTGRRPVEVCKGKSCRDLVSRVFQMKAEKREAELIDATMRDDVEENEVLEMLEIACRCIDRDPRRRPLIEEVVAWLEDVTVESVKQQC >A01p022300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10987810:10991341:-1 gene:A01p022300.1_BraROA transcript:A01p022300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:terpene synthase 02 [Source:Projected from Arabidopsis thaliana (AT4G16730) TAIR;Acc:AT4G16730] MATHDISFGSAFVCNALHQKSEHFLCNTVSKVTSAQAVTVRRRSANYCPSLWDHQHLLSLENIYAKEVESMEKAKLLKEEVRETLVKTQGSLEQLEMIDSLQRLGISYHYKHEIHDILKRIYEQHHEIGRESPDLHATALGFFLLRQHSFDVSQDDFDIFKSENGIFRKTLPIKGVLSLYEASYFSMDSEFKLKEARCFANERLTEFIAENSTTILGTNETYILDMVKRALVNPYHWSTRRIEARWYIDVYRKKHDMDPLLLKFAALDFNILQANHQEELKLISSWWNSRGLMKQLDFVRDRITESYFWTIGIFYEPEFKYYRKILTKLFMLIAIMDDIYDIYGTLEELEIFTNVVERWDVNLVERLPEYMQICFLFLYNEINQIGYDVLRDKGRNIIPYLKQVWTDLFKAFLTEAKWYKTGHKPSFNEYMQNGLISSSVPTILLHFFSILCDQISDQNLTDVSKNHNSIVRSSATILRLANDLATSTEEMARGDSPKSVQCYMYETGAKEDAAREQMQSMIIDSWDVINSAAHTSSLPSGFVAAAANLNRVVQCIYQHGDGHGCPEKAKTVDHIQSVLFNPVPFQM >A09g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18774983:18776059:1 gene:A09g506280.1_BraROA transcript:A09g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVIASSASSEGRVYNFSNRRLGVRHSTFDSLRLGRSSQSIVSRFLRFWDSLNFKKDRKFVGITVLFLDEKVDSVIHGFTLVGRSNHYMPSLKADSIVKIDRFEVAMCSSMHKITDHPFPIRFISLTIIDEVITCAPEINLHSRLDCLKSPSDCEHKPRTLMYIIILHLDVVEKIHYVQGFDLTKETT >A06p044160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23752178:23752994:1 gene:A06p044160.1_BraROA transcript:A06p044160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSRARLFKEYKEVQREKVADPDIQLVCDDTNIFKWTALIKGPSETPYEGGVFQLAFAVPEPYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDVRGFNSMARMYTRLAAMPKKG >A04p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1297969:1299154:1 gene:A04p002640.1_BraROA transcript:A04p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMSRKKILVLAFISIYFLSTVAANGSFPALFAFGDSILDTGNNNFLLTLMKGNIWPYGRSYTMKLPTGRFGNGRVFSDVVAEGLGIKKTLPASRKVFIAPSDLKTGVCFASGGAGVDPVTSRLMRVLTPGDQISDYKKYIMKLKVVAPTQASSIIANAVYLVSQGNNDIGISFSMTRSALMRGIVTRGMYTTKLTGWNKKFMKQIYDQGARKFAVMGVIPLGCLPMTRIVGKCNLFANILAEDYNGKLRNGVKTWPKEAGFRGSKFVYVDMFNTLMDVIKNYRKY >SC109g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:36235:36572:1 gene:SC109g500020.1_BraROA transcript:SC109g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHCLTPIFITMRLLCMKSLGECAQLNYGAPRRRIRSNGLQMKELRNLQNSPDGIKPQAQLFYNLGTSEKPRKVNSAQKYQRKTDFRPDSK >A06p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14059175:14061185:1 gene:A06p026270.1_BraROA transcript:A06p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLATSSFMGTKVIPATLFCRRARAASLTVTASSTKIYTRLDSCLVIPPPPIFKKPRAIIKFLGGAFVGAVPELTYSYLKELLAKEGYLIVSVPYNVTFDHEQAAKQVYQRFNSCLDAIVLSGVPNANLNPQDLANLPVFSVGHSNGALLQVLTGSYFSDKIPKVNAIISFNNKSATEAVPYFEELGPLIQQMMPVVEASPLYEIARNASGDVLKALLDTAGKTILNNDQEALKSFTNLVDQFPSVFGEVGQGVSEFRPSPLENRTCFKCSYSVPHTLLVQFNSDAIDETDLLEETLRPRIESFGGTLEKVRLNGNHLTPCIQDPKWQIGSVYTPADAVAQALKTIPLRDTRVLSKTIVDWFTRFEN >A04g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17172360:17173100:1 gene:A04g507120.1_BraROA transcript:A04g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGNSHVFTGKSPVAFNFKVIPEVAVSSPICCDSPALAESITIAFPSTLFLTSLFLMSWGLIMETFIDPTFNHQDWDSKLQQTMVEMFPLRSADAAYGKIKAMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGLFINSEPETGHL >A03p070380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30940000:30941508:1 gene:A03p070380.1_BraROA transcript:A03p070380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRDLEREFHMTGGVGKTSYARNSSLQKKASDDAKHITLEILQQLYKETKPKSLVIADLGCSSGPNTLSTIRDIIKAVISAHRREIPSQPLPELNFFLNDLPGNDFNSIFKTLPDFHLELKRDTNDDESPSVFIAAYPGSFYGRLFPEKTVHFIYASYSLHWLSKVPPALYDDQGKSINKGCVNICSSSPEAVSKAYYSQFKEDFSSFLWFRSKEVVAGGRMVLIVLGRKGPDHVDRGNSFFWELLARSLADLVAQGETEEEKLDSYEMHFYAPSAAEIEDEVNKEGSFELVKLEMLEVDKEKGNEDGISYGKAAAKTV >A04g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3417771:3420299:-1 gene:A04g501350.1_BraROA transcript:A04g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDFPTFILRQYFATRYIFELDFLCHRFEVNQHHVAEVMPVLLKSGQSASQEEAVEEMKDCRSMKQNWVDRHVDRYPPSCSDRHREPEINRWYNLIVDRQYNLNIDRWHDLNVDQQYNLNVDRRHDLNVDRRYDLNVDRQHDLNVDQQHDLSVDQRYCSYGYCLSRHFLSRENDITCEKAEKLEVLILKVDENGMLRDEEGHTRNSACQLINAQGVVILDVIVVVEMNDFYLSREWYDWVSQDPFQGLLREDPKNHIEEREDLASRSEHNEIYVDHILCMIFPYSLFGDAFRWFKQLQPGSLTCWEDITNLEIEMRTMLEYMVEDDEQHGSGEPSTTEEADISHSTSASIDITTSPSINTSTSTSIDTS >A09p011860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6009047:6010706:-1 gene:A09p011860.1_BraROA transcript:A09p011860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILITLLFSCVLVLSIMLLSSSNNIKNQLLDAIATVSKESETPRDKLLGGLLTSDFDEGSCFSRYHKSLLYRQPSPYKPSEYLVSKLRSYEVLHKRCGPDTKAYKEATENLVRDENYAQGNSVGECRYIVWIAGYGLGNRMLTIASAFLYALLTERIILVDNRKDVSDILCEPFPATSWLLPLDFPLMNGTYRFDKGYSRCYGTMLNNHAINSTSIPQHLYLHNLHDSRDEDKMFVCEKDQGLINKVPWLIIQANVYFVPSLWFNPTFRLELMKLFPQKDTVFHLLSRYLFHPTNQVWGMVTRYYHAHLSRADETLGIQIRVFRKNAGYFQHVMDQIVGCTQREKLLPELATQEAPQVNASSVTKVKAVLVTSLYPEYSDNLKSMFWERPSSTGEVVEVNQPSGERFQQTDKKLHDQKALAEIYLLSLTDKIVTSARSTFGYVAYSIGGLKPWLLYQPRDLTTPDPPCVRSTSMEPCYLTPPSHGCEADWGKNSGEIFPFVKHCDDLVDDGLSLYDEL >A06g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10278873:10284511:1 gene:A06g503350.1_BraROA transcript:A06g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRTCVGQSVRHVGCPSRTSCVPAWVKSSESLRILALKKWTREKSIMGSNTVAGSWARSSGHAVRTKRAKSEKLRSSSEGLNHEANYSGFYTQEGDQANWNWAKILTDQEVMSFTSQRFLSPSICEYLTLEADSSPMKERPEPKPIIGFKRDLSSFQQAQYQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDIIHGQEEFYKSIPWTSQHRIKGILIYFNLPYLESQALKLQQLFFLQSMHDISTFQTIKKIPRKLTYPLKPSRYKEDTIYIHLAKILIIKPPTASFQGAINSFASKALWRPSSHLHPLIDLESDTHPARPVPSSFISHPLILVESDTHPATKIPSPSIYPFSISWIQPLSIRGLSPLFLQHFGIRAEAPESGHSILASFIFEAMVGKTHGQSQMAKQNQQLTALQEINDRIAQLRKRNKARVQRPQQGERRFGDAPEAVYVEPKPPDPSRINQHPTSQTHTHHVANSRFDHKSFADKIELFTFSGGRSYLFWERNLDEWFHYNNILKEERLSYAIDQLRDNAFKWWIQEEDDRWFYKEPAIKTWRDLKKIQKIYPRRYLTHGSKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDIKTGPEVEKDTISTSLLESKVVHDLSLRDKEILNPKKEEPSSQCNVTGLKEQEFKRKESPGVTLVIDQKMAQDTKLSMLHKEAKPVIKVSHQGRTNESYKLIVVPKKEPDPKLSHEPTSKWKPKSEQSIVQVPKPMNVENFSGCKEESFKEILPDYLMLLGGSTPKMIRNVATKNLKDHQLQRIRNDHVQSRGEGLNHEANIYGFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSRSICEYPTLEGDLSSSKERPEPKLIIGVKRSLSSFQEAQDQDKCPRNYEVMIQSPKPVKPVLHLPQLEASRFNQLQTRHWRSGDHFNQSGDIIHGQEEFYKSIPWTSQHRIKGILIYFNLPYLESQALKLQQLFFLQSMHDISTFQTIKKIPRKLTYPLKPSRYKEDTIYIHLAKILIIKPPTASFQGAINSFASKALWRPSSHLHPLIDLESDTHPAKTVPSSFISHPLILVESDTHPATKISSPSIYPFSI >A08p034600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20555699:20557693:-1 gene:A08p034600.1_BraROA transcript:A08p034600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFFKSLVHQIFSLAVMVKYSQEPDNTTKCENTLLLASLCYWGFSSDIDLNDHDYVACKARGADLRVHFKNTRETAHAIRKLPLNKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRITYRAHGRINPYMSNPCHIELILSEKEEPVKKEPETQLAAKSKKGTSQFIYNNF >A10p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15085732:15100285:1 gene:A10p023000.1_BraROA transcript:A10p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDDSFSMSIKQENPTVPPPPPPTTTTPPPRETDLADEKNQSLLNSLRSTSLTPQETETCLRSLTNVMTSSEDSDDALFQEVISKLSGSELQRMAALLTSKSDDRYFLEMARNKNGSIRLQKLLGKSDDADIFFVAAILRNFFHVMTDEHAPYVAIQGMRVFSREKKRAMRNKILTYAVPLGCDQYGSIALNAIIRDVSFLYCSVALFDVVASNARFLSYSRYGNIVVQHVLKHCSLHSTRNIGVSLRGHYVELSFTEGGRYIVEKILGRDETGVLVMTELLECGSDELVRLATSEYGHFVVETALKVTRGVLFRGLVNKLKPFLPLLRTSPQSTTIAQILDIQMASDDDSFSTYVDMLRAFHTRRFAAARESIEQENRAVPPTPPLETPTASPPPRETDLADERNQSLLNSLRSAALTHEETETCLRSLTNVMTSSEEEDDALFQEVISNLDGSKLQRMASLLTSNNDHHFLEIARNKNGSIRLHKLLGQSDDADTFFVASLLRHFLHVMTDRHAAYLATQGMRVFSHEKKMAMRDQILQHAIHLARDQYGCNALNAIISGVVFDYCRNDLHDVVAFNAPLLSSDAYGNHVVRHVLKQNNLRRTYDIAVRLRGHYIELSFTRYGSRVVEKLLEREETRPLVVAELLECGRDKLGRLATSVYGNFVVETSLKVTPEDLFRALVNKLKPFLPQLRRSTYGTNIAKILESIHETASLHLSSSNPPVLLVFSPIGWRRRHLSPWKAHMAKKKKTQPSSSGGNPTGSTDSSASSHSVATKSAGLAPSSPPPSKTNELPVDSISPANTHTVDLQIQSGSDVSSTPMDLVNPNSTIAATTTEVPAQEALNQIADESSLPLPLPIGIPSGDNANLEQEKVTEAAKFWKEKEAPSTSKQGQQRQVFTALQSNKFNASSSGSAPRSLPVPQLGSSQGRSSASLAPLKEGSLCVDLSNVFLGSPRGSPRGASHLSDYGFSSGSELPSEEDDNPNDEGDKFINVVSRRIQKQSFNNYLELTNTMANNDSFSMSIKQENTTVPPPAPPRETPTGTPPPREANLADDKNQSLLNLLRSTSLTPQETETCLGSLANVMTSSEDSGDALFQEVISKLSGIELQRMAALLTSKADDRYFLEMARNKTFFVAAILRNFFHVMTDQHASHVATQGMRVFGIKKKFAMRDQIISHAALLACDQYGSIALNAIIRDVAFLYCSTGLFDAVASNALLLSNDAYGNIVVQQVLKHCSLHSTYNIGVSLRGHYVELSFTEGGRYIVEKILGRDETGVLVMTELLECGSDELVRLATSEYGHFVVETALKVTRGFLFRGLVNKLKPFLPLLCTSPQSTTIAQILESFVTN >A06p027220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:12928740:12929504:-1 gene:A06p027220.1_BraROA transcript:A06p027220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEYFSLSLDKLNEIYKIANEPKGVAVAKKFAPSNTFWDFIATGNFTPGKAYQSQIRNPALRVIAKIISNLLFAKDQTSKVTNRELQTLYAGIEDEIRASGSGIPITKVKTNPGFHFITMLCERRLCLMHGTNKKDRSGSLLTPLFKHFGINLSKYKVNTEIQYLDIRYLMACHIMRDEDTYSFFDKEGTQPFVKLPHPEITRLSEFDNIRFIPPSELLCTDPRAAPDADMEDVEDITPDADPSYDLGELDG >A05p004070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1573399:1573740:1 gene:A05p004070.1_BraROA transcript:A05p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLTVAAAKTLRNRIFSRSGSTSAGPSRWATPGHEEQPKGYFMNRTPPPPGQSHKWEDWELPCYITSFLTIVILGVGLNAKPDLSIETWAHQKALERLEMERLASSAGDSSD >A01p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8847871:8849316:-1 gene:A01p018170.1_BraROA transcript:A01p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVNNTVETVNAAASAIVSAESRVQPSSVQKKKWGSWRSLYWCFGSQKNNKRIGHAVLVPEPVGSGSAPVAPIQNSSSNSTSIFLPFIAPPSSPASFLQSGPSSVSHTPHGVVNAYSRNEPPSAFAIGPYANETQPVTPPVDSAVTTAPYTPPPESGRASCATPSSPEVPFAQLLTSSLERARRSGGGVSQKFSAGHYEFKSHQVYPGSPGGNLISPGSVVSNSGTSSPYPGKCSIVQFRVGEPPRFLGFEHFTARKWGSRFGSGTITPAGQGSRLGSGALTPDGGSGGLGSKLGSGAVTPNGVEMVGLGSLLDSQISEVASLANSDDDGSTSRHGDEGGAVAHRVSFELTGEDVARCFASKLYGVGLDEKANKWSDSVSGDELSEKLRTFSLGSSKEFKFDNTKEEKTAEWWANEKVAGKGDNSPGNSWSFFPVLRSGGFS >A06p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1917632:1918799:1 gene:A06p005870.1_BraROA transcript:A06p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMMSGGHLTLSIYTTYLPKSTSPSKHLIMLDMESKCDASAAIISASPTSSPPPPQLSPRLVLSPCAACKILRRRCGDKCVLAPYFPPTEPAKFTIAHRVFGASNIIKLLQELPESQRTDAVNSMVYEAGARIRDPIYGCAGAIYNLQRQVSELQAQLAKAQVEIVSMQLQRSNLLELIHNMDQPNQEQHNMSFDSSFGNCDEFISSPDEESNDFGFIEDNKYSNTIPSTLWCDPLWI >A10p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1761442:1764966:1 gene:A10p003480.1_BraROA transcript:A10p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGRSNHHHLYNNDGCGNNTRDDDDVCSQTGEEFSNEFLRDVAAQRRPQLVPNRNVEANIHNNRHLVYEDFNRILGLQRVDSNISEGVTSHPSNVVADSPRRTATATTSDAFMPEILKLLCSFGGRILQRPGDGKLRYIGGETRIISIRKHVGLNELMHKTYALCNHPHTIKYQLPGEDLDALISVCSDEDLLHMIEEYQEAETKGGSQRIRVFLVSSTESSESPKIFNERNRNTHQQNDMDHYQYVSALNGVVDVSPHKSSSGQSGTSQTTQFGNASEFSPTFHIRDSPTSAHKDSNSPTTFMNPYMNHFVPRMQIPSNSFLQQSPPPSPFSVHKRANTDIPYFVDQNGFFDNNSRPYLVPPNFPQQQHRFLFETNAQVHNLLDRSPSDDVYPHGGQGYMGATLKKNALSDPQLHDESQINNGLEAFTRQPWKILRKKLHVVATSKWEEDSDDIYFKKPEGGKRSKELDFNIEPPDSLMDRDHTFDQSSSKKHGTSYFSPNYQPSAQLTSSDSGSSVFSLSANTNENHVGGSRDKSNGGFQHDISLDILIRNNHTSDTDQKSSGQADYSSPNKHFPDERLRQKEPMVPRCVLESNNDDSETQSSLPKDESFHYCGMPLRRVGSRDAAFIHTQDSDDFFRHKLLVPQFMVEDVTNEENADSLLSATIVPHVQSESDDDHKSYTRDEENTNAGHKSGNEEKYKKSRNTDESFSEAAMVEMEAGIYGLQIIKNTDLEDLHELGSGTFGTVYYGKWRGTDVAIKRIKNSCFSGGSSEQARQTKDFWREARILANLHHPNVVAFYGVVPDGPGGTMATVTEYMVNGSLRHVLQRKDRSLDRRKRLMLTLDAAFGMEYLHMKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSNRVSEKVDVFSFGIAMWEILTGEEPYANLHCGAIIGMFTAATLPKSGIVNNTLRPQVPERCESEWRKLMEQCWSFDPGVRPSFTEIVDRLRSMTVALQPKRRT >A09p027480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15508576:15512951:-1 gene:A09p027480.1_BraROA transcript:A09p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPENSSNLDLTISTPGFSSSPRSDEGSSGEREQLKLDMNRLPSSHEDEELSHGGSAPPCKKLRLTKEQSRLLEDSFRQNHTLNPKQKETLAEHLMLRPRQIEVWFQNRRARSKMKQTEMECAYLKRWFGSLTEQNNRLHIEVEELRAMKVGSSTMTSTSSLTMCPRCERVTTVTTAVIPSMAVVDVPAKKLMIPPQQRER >A01g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11523848:11524383:1 gene:A01g503740.1_BraROA transcript:A01g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YEDIFTNTLFINDQKTILSLTLSLSRDPLFFLSLSRSFFFLVPPIHRRSTISLSRSFFFLSASDSPEIHHLSLIPPVLCVPEKLCSILYESHNPASTHLL >A03p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:945389:948279:1 gene:A03p001910.1_BraROA transcript:A03p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04780, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G04780) UniProtKB/Swiss-Prot;Acc:Q9LZ19] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDVIKVDKETLEMLASLGMSDTSGISEVEPQAAPTFSRPPRRKNILGFTMNLLRQCRILPRRIHACSLSRNLSVLVTYEQDDVTLERYNDEFANRNVVQASDFIEILQLCARNRDVLEAKACHGKIIRLEMHEDVITLSNVLINSYSKCCFVELARKVFDGMRERSLVSWNTMIGLYTRNKMESEALNMFSEMRKEGVEFSEFTISSVLSACGGVNCGALECKQLHCLSLKACLDTHVYVGTALLDLYAKCGMMKDSVQVFEFMQEKSSVTWSSMVAGYVQNKRYEEALLLYRRGQRMSLEKNQFTLSSVICACSNLAALIEGKQMHAVIHKTGYASNVFVASSVVDMYAKCGGLRESYIIFSEVGEKNIELWNTIISGFAKHARPKEVMILFEKMQQDGMRPNEVTFSSLLSVCAHTGLVEEGRRFFRFMRSKYGVSPNVVHYSCMVDVLGRAGLLSEAYELIKSIPFDPTASIWGSLLASCRVYKNLELAEVAAEKLFSLEPENAGNHVLLSNIYAANNHWEDIVKSRKLLRDSEVKKVRGKSWIEIKDKVHVFSVGESGHPRIREICLKLDSLVIELRKFGYKPRVEHELHDVEERKKEELLMQHSEKLALVFGIMCLPEGSSVRIMKNLRICVDCHEFMKAASMATGRLIIVRDVNRFHHFSDGQCSCGEFW >A10p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1695247:1696974:1 gene:A10p003370.1_BraROA transcript:A10p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNNNKKSLNISSMFQTFIPDSNIFSRRCIWVNGPVIVGAGPSGLAVAAGLKREGVPFIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNFPFPEDYPEYPTKFQFIQYLEEYATHFDINPKYNETVQSAKYDETFGLWRVKTISKSGQLGSCEFEYICRWLVVATGENAEKVVPDFEGLEDFGGDVLHAGDYKSGGRYQGKKVLVVGCGNSGMEVSLDLYNHGANPSMVVRSSVHVLPREILGKSTFELGVTMMKWMPVWLADKTLLLLARIVLGNTDKYGLKRPTIGPLELKNKEGKTPVLDIGALPKIRAGKIKIVPGIIKFGRGMVELVDGRVLDIDSVILATGYRSNVPSWLKDNDFFSDDGIPKNPFPNGWKGEAGLYAVGFTRKGLFGASLDAMSVAHDIANRWKEESKQQKKTAAARHRRCISHF >A02p040480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25592447:25594903:1 gene:A02p040480.1_BraROA transcript:A02p040480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRNAFVDGKYKPDLLTVDLASRCRCYKTTPSSSLTPPPPPKSLLVATPVEEGEYPVVMLLHGYLLYNSFYSQLMLHVSSYGFIVIAPQLYNIAGPDTMDEIKSTAEIIDWLSVGLNHFLPPQVTPNLSKFALTGHSRGGKTAFAVALKKFGYSSELKISALIGVDPVDGTGKGKQTPPPVLTYEPNSFNLEKMPVLVIGSGLGELARNPLFPPCAPTGVNHREFFQECQGPAWHFVAKDYGHLDMLDDDTKGLRGKSSYCLCKNGEERKPMRRFIGGIVVSFLMAYLEDDDCELMKIKDGCHEGVPVEIQEFEVKK >A05p037400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21240296:21242385:1 gene:A05p037400.1_BraROA transcript:A05p037400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPACIFNNGVVDGYDYSFNYSTSLSHIYNSNGSFYYPRNTTDINPNSTLPESPPLREALPLLSLSPIHKQKEDIVPQHEYYFMETTENSSNSNFLNHNLDQCQESTHHYDVTVDLHLGLPNPVGDAIGYGGGGSSSSDVILDSTDQEHHQDHHQYHGVEVTLASDHHHDGHGGVQRGSHNHYWIPTPSQILMGPTQFSCPLCYKTFNRYNNMQMHMWGHGSEYRKGPESLRGTQPTGMLKLPCYCCAPGCKNNIEHPRARPLKDFRTLQTHYKRKHGARPFACRRCGKAFAVKGDWRTHEKNCGRLWYCSCGSDFKHKRSLKDHVKAFGNGHVPCFGIDCFGDHEDEEAASDVEQQE >A09g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22436461:22438108:1 gene:A09g507840.1_BraROA transcript:A09g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFRMKSAHQADLKGKGILYEDDDEPVKLIDRDDSFVIKEFGLTLIGKILNPKKQNVEKLLQTMPSQWGLAERITANDLGNGKFLFNFTNVEDLNYVMAKGPFHFNFCMFVLVRWEPIVHDDNPWIIPFWVHLIGFPLHLWTDANLRNIGGRIGHIDTMELTEGRMLIDVDSRRPLKFSRKVEYEGDEVTIEIKYDLLFKDCTTCGMLSHEKGYCPSIGARQPTLERADVFTRMQLPVRHNGRDNQSNVRRHHQPSLEIREPYSRTYAEYLPRCDLGTNLREGNDRQSRSWDGNRRLGSHADRRMGTHADRIIRRRDDYKRSDRYGGGRARAGPYDRSKEVSWRPKQRLPEVNGKEQRGDASNNEIVPYEHISGAGSLDSSTHFKDADAGISRKLASAIVTPSRLDRVMEDNVTVRSRSMGNGDGKALTFSPQKDKEISDDQIIGALSDMDIVEHNDKCLLDAEDHDDDLLGADLMELEGNARLSGETTKDKGVNVENKPVLQASVVLGLDHLCQKGLRS >A07p000130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:863243:864126:-1 gene:A07p000130.1_BraROA transcript:A07p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQGFSVLASEIPWEDKNVWSTFALYMFCLHIPLSFGGLSILARTLHTPLLHPQTQVLSLVLLQLLELSLTLFLLRSTAKPQFKSFNFLKGTNNSVERNWVVGSALGFGTLVAFIFLTSLVAHQLFPSQDVHNSELDKIIESGEVSRIGCFVLYCVVAPILEEIVYRRFLLSSLASTMELRKALVISSGVFAASHFSGEDFVQLFGIGCLLGGCYSWSGNLASSVVVHSLYNALTLLLALPS >A09g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20786118:20786872:-1 gene:A09g507060.1_BraROA transcript:A09g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLHVSRRADDLTFSRLRKQISNSIAKITSALTRRLPGRSSTARRLPMQKTSLAHIRLLQAHRISNESDPPIIVSFYDFMNHKKCKIKILGFFSLMWREKWKKKKGNSILGALRASNWLFMVVRVLMTMAIL >SC178g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:96356:107305:1 gene:SC178g500040.1_BraROA transcript:SC178g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAKKKLHGPAGCSTLQEEGMLIHGVQKLSLADLHSLMKPMKKKEPWKQRVLIHCPRRKVTAFSWYWCGEFNMKKGVVIDMESARERSMGEGEVGVDTNSSLSCHDLWSFKELTLVPWLIDPNTLVSYPCWSLSGVSGSQEVFFAHHLSKTRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGRRKKLEAWSVLLISQPCLSLPDGECLFGSRGGWRGVMNLKPKLLVQELVTSCYKKDEVKRSRLDSMEQGGVFGCEKQEGGHGLKEKELGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMCHWCEVSLKLTCKLGPILNPSLRRGV >A03p014170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5634594:5637341:1 gene:A03p014170.1_BraROA transcript:A03p014170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSREVNSNSGRIKCSSYPSLSTDEGSPNNPSSSSHFLHLTKPSDELGQSRLSTFSIRGYAFSNRTKNIQKSWPFSSTSLQLCLKHGLSDPLPPIQPLGPMISHPPEASSSNKPTLTHVEAISSKRKLGRLASSDHASAEITKQGFENGSKSKTQVTTVNKTPRKKCGLVVKPGACVDGSSKEDQALRTCPICKTFSSASNTTLNAHIDQCLSVDLGEQPVSKPNKPRNHKPRLKVKTMVDIYASAKGCTLEDLDRRNGTKWAVISSYSNRVIVCDNNKSDVSNKEKKLDEDGDAGIGPVYIDAKGQKLRIISEVKEKAAEPSREHEKKSFSEGKRSYKSCKKRLEGNASEIHESRRGCSEECRGMERLETPGTSQRRRMLRKHSLSRNESKKGRSICNQPSENEHSLSADPLVLKGPSPVSTDLSEAVNSQSSWRSCGDSQVSGKSTNFAAPKPVDKGVMKLKKAWRFDVSENEDEDSGRWESEMTQELELADYDEWDEAEETDKGLPSTSGEDNDYESLEETGNNKGDCDMLDTIDAEFESMVLEKNGCETEGGSSFMEVDPIPIPGPPGSFLESPWDMGTDATATENHGNFFQVQTSFDQLDLTDRNLSESPVSAVSNFAAPETQTFSLHNIITTDNDQSCCCQRKENALEGTTFRQPPPHMIHQDLLSKSVPPVPSNSGPVLRLMGKDLMVMNQREETSHGDPSTKPTSQFQDLSKTQQASPHAHLHPPYGGNGLYLDATTSFYNIP >A04p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15961249:15963838:1 gene:A04p026540.1_BraROA transcript:A04p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVHDLIDAPSNSWNINLVRQVIAEDDVELVVNSKFAINRSDSVIWGLAKNERYDSKSGYKLLNGHLKEIGEGLHVDPGESHLWSKPPVSWVKCNIGSSWDSSSLFGGAGWIIRDAHGKALLHSRRSFNHVLSAVQMDLMALAWATSAVVDLKLKNVIFEFSSAEAAMIIQNPLLSPFNYKNCYEILRSVQAIVRSKLQLVSVTSNNAASAIAVSVTRDLRHHSFPPPPARSPSTSAKMKVVAAFLLAVLSGKACPTSADIKVILNSVGCETEDSQIELLLKEVNGKDVAELIAVGREKLASVPSGGGGVAMASAPSAGGGGGAAPAEAKKEEKKEEKEESDDDMGFSLFE >A02g511930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32252145:32256488:-1 gene:A02g511930.1_BraROA transcript:A02g511930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTQLRSSSKKNQIKRSSYVIVMPFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKASKGHVLAHIRSIFFTFQSPGRGYMKRFTMFGLQRKSNKEKHPRLSVSQTSFKCALNTFDEFVDVQEKPIWWSKEHVNTSKDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKRTSTRAPVAEPSLFISKKAQGESENHFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFSHQCPCLDTRICLDDDLGPIFDEEDEPGLVFNEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFFVSKYVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDLFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLKTENDFSDLEFCGSVLQPDLLSFETDKTWYFLRSFRDNGVVLSSDDILVYNTFFEKYLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLDRLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFILSIQERQVQPLRIESIGCAQQPQIWRSFVVQTDYLGASNRGSVQEGYLNSPKVFCLESNFTRKATHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPEPKPILNEPKMFPQSTSWPNQKHCKDHGLIISAHHENVLKPRISKRNHIFTWLKNVLFKPFHELCSLSCALKEIWFRKRHEPKFLRPKNEFDFIHDKNFSDLALTLCFPDRFSAWPNFKIDKPIFGDQLTCLSLTHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYVIFSSREFRPPEKLEMANLLSDEPTVNSIMPK >A02g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16711031:16711471:1 gene:A02g505720.1_BraROA transcript:A02g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKSASLTLFVWATSTPRHGTPRLLLSSLLPLRLISGGPTWREGVHLFHRCDLLSSRPTAISAPKIIALTSNCRGPQAVIFPSSGDEPYPVKSLRSADISVEDPRSDKQLNLT >A04g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:887874:890692:-1 gene:A04g500190.1_BraROA transcript:A04g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGFGYVFDFFRQNVNGPVNPFSLLFAFFVSLFPRSERRLAFSFLNQKRKPVPRLHGRLLQHDALRPLQRAPAGVLGDELVVGVVSNDEIIANKGPPVTPLHERMIMVKAVKWVDEVIPDAPYAITEEFMKRLFDEYRIDYIIHGDDPCVLPDGTDAYALAKKAERYKKIKRIEGVSSTDIVGRMLLCVRERSNSQNHSSLQRQFSHGHNSPRFEDGASSAGGTRVSHFLPAGSFSFPMARRLRHILFLALMSIYGSGPGARIIYIDGAFDLFHAGHVEILRRARELGDIIKRALICYFFYKNITFGLTLFYFEAFTGFSGQAIYNDSDLLLFNVVLTSLPVISLGVFEQDVFSEFCLQFPALYQQGPKNLFFDWYRILGWMGSNGVYASIVIFALNLGIFHVQSLCSNGQTADMNAMGTAIFTCIVWAVNAQIALTMSHFTWIQHALIWESIFTWYIFLALFGMLPPKISGNILHMLLEALAPAPIFWLTTLLVIATTTLPYLAHISFQRSLNPLDHHIIQEIKHFKIDVQDERMWTRERSKARQKTKIGFIACVDAKIRQLRGRLQKKHLILSVVRGMSGMSASASSETTTTTTHHS >A06p041780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22483877:22484885:-1 gene:A06p041780.1_BraROA transcript:A06p041780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCSARLLPSLSPDVLKKMSSSSSMHHDSRSLGCSSPRVHGFSSVRRPSLSNRRGNNSQLQVVAMAPEEEKLTRRNPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPPPMPYDPPAEDEEEEEEEKKEEEEENPDQEEEEQPEKQQ >A03p038870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16199208:16201543:1 gene:A03p038870.1_BraROA transcript:A03p038870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSASLDTWRDYFHRGDSDIFGIIEHAIMVAATDSPVELKSRRDAIIELLMRYDQPDLSKAGDKETNNSRKTVEADDGGHEEDEAKLNVNQIVDEVMRIKDILLNKHVELSVLFKSLTKLASMSISLDLIKGSEIGKAVNRLRKHGSDNISKLAKTLIKKWTEMVDQLINTPKEVAAADDGMPESATLSIVDEAENFPSLPHDLDLYAEPTVLELSQFLDSLDCDGNLPDFVEPKHERKVQSRMIRRPVGTCEANVVGRDTNNQQMRRKEADVRPMRHSAPVLDETRRQPKQTREQMVRTNQRKPNDVAEQKRKLAGSQQDKLKVLDQEAKFENAKRKLQESYQQHEKAKRQRKIQVLETIPNQSKAQRPLLKRPVRR >A09g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10030510:10031574:1 gene:A09g503050.1_BraROA transcript:A09g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCEKKLSKVIVPDKWKDGARNVTEGGGRKINENKLLSKKNRWTPYGTATTKCTICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKMYKQSNV >A08p009020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4527151:4528828:1 gene:A08p009020.1_BraROA transcript:A08p009020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKILIRLNTFDSEDFLKTYGTLMEDLCKTHARLMEDFDLGEKPKLFHSLGVNSKFYLNLDGCVGRLLFKFYTLEKLMEDFDLGGKPKLFQNLGGNHKFYLNLGGKCLKTFFKSFVSLPEPKIN >A06p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3676951:3677437:-1 gene:A06p010280.1_BraROA transcript:A06p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKIIAMLVVIMGSLLVETEAMGFQECCKGCISACGADGLSTWTCPVTCLTICIQPSEPDLQEIDQTDYFCRLGCATNRCVPSSSIEDNGHAEKVSVCVDSCSDMCSNKN >A09p079790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58623429:58631007:-1 gene:A09p079790.1_BraROA transcript:A09p079790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase EDR1 [Source:Projected from Arabidopsis thaliana (AT1G08720) UniProtKB/Swiss-Prot;Acc:Q9FPR3] MPRVTFPLSLHRHWIIKQTRSTQWHNRNSIPSLFHRRPSPPSSLFTTSPHQTEESHGETMKHIFKKLHRGGNQDQNRTNDAAAGAPPSDQNRIQTAANPQSGSTESIPAASVTASSTTTSPAPVSAATTNRSDYISSEEEYQVQLALAISASNSQSGEDPEKHQIRAATLLSLGSHHRMDPRRDSSEVIAQRLSRQYWEYGVLDYEEKIVDGFYDVYSLTTDSAKQGDMPSLEHLESNRGTRGFEAVVVNRPVDTSLDELVQIAQCIAQDCRSASVDVLVERLAELVTGHMGGSAEDSSIVLARWTEKSSEYKAALNTCVFPLGFVNIGLSRHRALLFKVLADSVQLPCRLVKGSHYTGNEDDAVNTIRLEDNREYLVDLMTDPGTLIPADIAIEPNNSHGNKLPTAQLSDDFRHSAPKLSEGEGSSQSCIADNNSSLDRNRESGIRNSDLRASPSSVTSSSQLENISLNTVAKGSRGAINDSSRTNVNIVPYNQNTEEDPKNLFADLNPFQNKGTDKLFMPTKSGLNNVDDFHQPKNNPLVGKSPAPMMWKNYSCNEAPKRKENILPKLHRDPRYGNNNSSYATSSSSVAVSSNMHGRNNSTFVSPVVAAPPPFSSNGNQFTPSMVDDMNRNTSSELDLQPNAVVGYQKDESHVDDHRKYTSDDISTGSDPRLKDHESTSSSLDSTSYRNDPQVLDDADVGECEIPWKDLVIGERIGLGSYGEVYNADWNGTEVAVKKFLDQDFSGAALAEFRREVRIMRRLRHPNVVFFLGAVTRPPNLSIVTEFLPRGSLYRILHRPKSQIDERRRIKMALDVAMGMNCLHTSTPTIVHRDLKTPNLLVDNNWNVKVGDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWRGMNPMQVVGAVGFQNRRLEIPKELDPVVGRIILECWQTDPNLRPSFAQLTEVLKPLNRLVLPSPQKSRDAVLILLAYRSEAKIIHILVVVVLLCCASTWGETSIHTNNWAVLVCTSRFWFNYRHMANTLSLHRTVKRLGIPDERIILMLADDMACNSRNQYPAQVFNNENHQINLYGDNVELKISCTGRHSHILLYMTSHGGDEFLKFQDAEELQSHDLADAVKQMKEKPRFKELMIMVDTCQAATLFNQLQSPGVLAIGSSLKGDHSYSHHLDSDIGVSVVDRFTYYTLAFFERLNIYDNASLNSYHNLFSSYNPSQLMSTAYYRTDLYQPKLTEVPVTNFFGSVMETIHTDSAYEAFSSRDSNSKIKSDMPLNEPSVHARF >A07p005710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1991087:1994009:-1 gene:A07p005710.1_BraROA transcript:A07p005710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIDVQFLEKNTVLFRIENPQMRARVIQRRYWHIADIPLVVNEWSPESALQPPDLSAMPIWIDLKGANLSNFTLVRKNVCGLTWLEFLQKPLVERISFADKDGVQVVIDVCYPWLPPRCNICNAWGHKGEACNSRKIKVLQKDKEIVVVAPEVEINGDGQVRYAITPNRNVVSDLLHELEVLPPALGSNVVEVKSNDNFEVGGTSSSDVLKLDWILAGRNSSPPNRERVTGAKEVDQREGDMVISPSRFSVLAVEDNEERDGDADDDNDDIEEGEVVSEVYTKERRCRFEEEVMTAAVTEELSTAAVTEELSTAAMT >A07p052060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27533427:27535361:-1 gene:A07p052060.1_BraROA transcript:A07p052060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLARRKQRLHSNPSLIHLFSTSSSSASSPQDGNESGEQPSQSSSDFKISSYFSGIKSSLKQQPQHQAQDGRRQLAGFDAKAPSFSGSGGDFQDIRRNLNEFRRRAAAPPARDLQDLYKQNVLSKSGDLGAGKVEGSPFENLKKNLRQMRPQETRWSNLSSLQSIMKTKDSGNVRSNVFGGGEGLPISVFGEEIEERKKMGDDSEEMKSEFIKSYDSKELGEILRQYRPEGKREDGWFSLQELNQRLVKLREVEEAAAQGTRKGIAFDDLRSEIQQARKSQAFQNLDFFSVLNGTPKYLLEPPKDELVQTYFHPDNMSSAEKMKIELAKVREEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSKKGLIAMVHRRKKLLKYMRRTDWDSYCLSLSKLGLRDNPDYKF >A09g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18510974:18517118:1 gene:A09g506130.1_BraROA transcript:A09g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANNLTNGDTELTSVTLFHTDHGVRDMACLKARQQVCQSPPLARPPPRLHLRLHRRLPVQGDSTGCLLVLYDHTHRAFAGRSDIRLRRDPTRWVLSSSGSSRRGYREYKLQGFSNWLRENVVDSKNWGKMRACLAVQTFVLSSVKQFITADQISREKTESGTKGFGEKNVIGVGVNGKVYEGLFQGGAVGVQSLKLNQLCTEIHLDMENTCAICLDTCVEDAFLSACYHTFCFNCIKKWQTCSTSTPHTCVCPLCKRESRAVIYHYDGLTETYDKYFFDPTMKSFLLSDEHRMRLQHYKKKSISSYLVDSIARFWQRGFFLQRNKWLETWLRRDLQALLRVENCDVIVHQIAGTIDTFCKRHTKTEATTDELSKEFKEKIMEVVSTYMEAGERERFADETECFLALRLNVEAFDTAYQRYQSQQDHGDDDDDSEIRQWTLREMKSLKLNNRVVMKKCIESARSVKSSAQLVDILVSQLGFASIKETNVFAKRLFNKVSKCHEDQTDPRECKAKVNNSQNTELLRSLGAIDSEGNLTSLGQKMSALEVEPKMGKMLMSSERLGCSEEIITISAMILAKVDAHKEEIARSDHISMLVAYESWQENNFSKKWCIENGVKFSRMENAKSIRQKLERDFENLRIKIYSRRNDVASIKKCITEGYFDCCYKFQSDGSYKSIKAPFTSKTIHPSSTVYDHIPMYVVHSDTCTRWMREVTEVPPSLLSRMAPLYDLQINMPRSIGRSPI >A01p019210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9302491:9305331:-1 gene:A01p019210.1_BraROA transcript:A01p019210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSLGGGGGGGGGGGVGPVGGGGGGGRYMPYPPPLSVPPSAPQSPNFSGVDLCLDLASVYTSNLLDPELLKILRVTTLLENAISQSRFDHPSPLSSGGIFQNSRADMNGWPSQFPSERSVSSSPAPNWLNSPGSSSGLIVKRTIRVDIPVDQYPNYNFVGRLLGPRGNSLKRVEASTDCRVLIRGRGSIKDPVKEEMMRGKPGYEHLNEQLHILIEAELPIELVDARLMQAREILDDLLTPVEETHDFYKKQQLRELALLNGSLREEGSPMSGSVSPYNSLGMKRAKTRD >A09g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22925212:22927729:-1 gene:A09g508050.1_BraROA transcript:A09g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIRYGLRRRPSPPRLCRNLVSMDPAEERRETKRQKEFINMQGYVADSEYKIPTRCPCGGRIIDEVRGKDDYDTLPGKRFFNCKNYEISASSQLNSFHLYFFSNEILICVFVVNKADGFHYRQPWVIGVQEHIERLTKRVEEVELVIKWVPEVNNQIERLEAEVKALNREVDNLTGQVPAEEDVRPPGVKASKAAKCKKQLLIR >A07p052040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27522904:27525194:-1 gene:A07p052040.1_BraROA transcript:A07p052040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSSVPIWVLGFSFFSLASSITSQEIPISQAYSPPVGALSPGPPIVKVVLRQDLNKKILIALIASSTLLCVTVIFLLYLLLWRYRYMKNNFPGINPNHHPDSVRSSVATKPIVNKIDSVTKGTIPVYEYQLLESATNKFSESNVLSRGGRGCLYRACLDEKSSVTVKKLDGGGDTDTEKQFENEVDWLAKIKHQNIISMLGFCIYRQTRSIVYEMMQNGSLESQLHGPSQGSALTWQLRMKIAVDIARGLEYLHEHCHPPVVHRDLKSSNILLDSHFNAKISDFGYAAVLMTQRKNLKLNGTLGNRASEYIVDGKVADKNDVYSFGVILLELLLGKRWVEKPSTESVVTWVPKLSDRANLPNILDPAIKGSMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLLPLDLGGSLRIL >A08p015120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9973693:9976510:1 gene:A08p015120.1_BraROA transcript:A08p015120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heparanase-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G34940) UniProtKB/Swiss-Prot;Acc:Q9FZP1] MGCRQISVIVLFLWVFQFADKTVVSSAVEEKGTVFVYGRAAVGTVDEDFICATLDWWPPQKCDYGTCAWDHASILNLDLNNTIFQNAIREFAPLKIRIGGTLQDLVIYETPDQKQPCLPFTQNTSLLFGYTQGCLPMRRWNQLNDFFSKTGAKVIFGLNALSGRSIQSNGEAVGAWDYTNAESFIQYIVQNNHTVDGWELGNELCGSGVGTRVAASQYATDTIALRDIVNRVYKDVSPMPLVIGPGGFFDAAWFTEYLNKTENSLNATTRHIYDLGPGVDQHLIEKILNPSYLDQEATTFRSLKNIINNSSTKAVAWVGEAGGAYNSGRNLVSNAFVYSFWYLDQLGMASVYDTKTYCRQSLIGGNYGLLNTTNFTPNPDYYSALIWRRLMGRKALFTTFSGTKKIRSYTHCARQSKGITVLLMNLDNTTTVVANVELNNTYKLRHRTTSQKIARTSQMPWVSNGETQREEYHLTAKDGNLHSQTMLLNGHALQVNSIGDIPPLEPIHVNSTDPITIAPYSIVFVHMPNVVVPACA >A06p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:493736:495844:1 gene:A06p000090.1_BraROA transcript:A06p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFQGVKRVVDLCAAPGSWSQVLSRQLYLPAKYSAESKEEDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKEGGKFIAKIFRGKDTSLLYCQLKLFFPTVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPRDLHRLLEKVGSPSGGSDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKEADGSSYRSLDPIQPPIAPPYKRAIELKKASAQSFNS >A02p002420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1013674:1015549:-1 gene:A02p002420.1_BraROA transcript:A02p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLARMALFCLRPMRRYGRMNRDDDDEEDDHEDSSGGDSLLWSRELERHSFGDFSMAVVQANEVVEDHSQVETGKGAVFVGVYDGHGGPEASRYISDHLFGHLMRLSKEHGGITEETLRAAYSATEEGFLTLVRRTCSLKPLIAAVGSCCLVGVIWKGTLLIANVGDSRAVLGSMSSSNRSNKIAAEQLTSDHNAALEDVRQELKSLHPDDPHIVVLKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPKFHLTERLQRPVLSAEPCVYTRVLQTSDKFVIFASDGLWEQMSNQQAVEIVNKHPRPGIARRLVRRAMSIAAKKREMRYDDLKKVERGVRRFFHDDITVVVVFVDSELLMVEKATVPELSVKGFSHTVGPSKFSIFFS >A06p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2719617:2721653:1 gene:A06p007840.1_BraROA transcript:A06p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGYWLMVVGSLRLASVWFGFFNIWALRLAVFSQTTSSVLSPSLPPSLLISISIPMSFDPSLSYLVTSGYGVSEVHGRTFGVWTLLTCTLCFLCAFNPENKPLYLATFLSFIYALGHFLTEYLFYHTMTVANLSTVAFFAGTSIVWMLWEWNSLEQPHSKLS >A02g500230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:870431:871168:1 gene:A02g500230.1_BraROA transcript:A02g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRQKLLEDRQTILGRRRLYADENSLPISTDLIYEIFLGLSPKCIGKCRCVSKLWSSIVDRQEFTDLFLEQSSTRPQFLFACEIGCKVYFFSSAQPQNPEEYTPPITASYHMSLHLNHAYQIHSPIRGLVCTGDFSGGLNGRKRPAMVRVICNPRTRQSFTLPRMNTRNGCEVRSFFGYDPVEKQFKVLSMTLLHGKDDAMHQVLTLETGKLSWRRIECDVPHCPFCITKLSEKLKSKEWKSF >A03p066890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28585611:28586812:1 gene:A03p066890.1_BraROA transcript:A03p066890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGLLAAVSGCPRRRIGIKSSTFSSSLQFQRQESRIIARSFSSYTNSSLPDISRLAETARISLTPAEIEECEPKIRQVIDWFGQLQQVDVNSVEPAIRAEMEGGSLREDAPQTFENMDSIRASIPSFDETYLKVPKLLRLV >A09p026770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15133369:15134048:1 gene:A09p026770.1_BraROA transcript:A09p026770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSARKPCFIEEDDDLASSLSEMEAGFSGGNTQSGVVSRPLSYSSLRNTNFCHNNTYTHGYYYHQYSVSSPRSVVSRRFHDFRLDNQQPHYLDSCFLCKKPLHNRDIYMYRGDTPFCSEECRQEQIERDEEEEKKKNLSYSVKSAMRRKEQRSSSSSPTRSGGYAIIHNGPVAAA >A01p055350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31240338:31242916:1 gene:A01p055350.1_BraROA transcript:A01p055350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIAGSLDTCKPDSGDVVRPNGPVQSTPRTVFTDSSNATLGRHLAHRLVEIGVNDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLSDFRQELTCFEAVTCYQAVVNNLEDAHEQIDKAISMALSERKPVYISISCNLAATPHPTFRSDPVPFSLSTKSSNKMSLEAAVEATVEFLNNAVKPVLEVSTMIRNEQKSIIFLVNNGGYTIEVEIHDGPYNVIKNWNYTGFVDAIHNGEGKCWTSKVKCEEELVEAITIASESKKDCLCFIEVILHKDDTSKELLEWGSRVSAANSRPPNQ >A01p047020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26518313:26520780:1 gene:A01p047020.1_BraROA transcript:A01p047020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNGSDSSLSTPGATPPIQQPTPPPPQQWQQPQQHWMTTAAAMQYPGAAAMNMMMMQQQQMMMYPHQYVPYNQGPYHHPHFQYAPYHQQQQHQHKPPHERGSGEDVKTLWVGDLLHWMDEAYLHTCFSHTGEVSSVKVIRNKLTCQSEGYGFVEFLTRAAAEEVLQNFSGSIMPNSEQLFRLNWASFSTGEKRAVENGPELSVFVGDLSPDVTDAMLQELFAERYPSVKSAKVVIDSNTGRSKGYGFVRFGDEGERSRALTEMNGALCSNREMRVGIATPKRAVANHQQHSSQAVIVAGGHGANGSMANGSQADGESNNSTIFVGGLDPDVTDEDLRQPFTEFGEVVSVKIPVGKGCGFVQFANRKSADDAIQSLNGTVIGKNTVRLSWGRTPNKWRGDSGQQWNGGYSRGQGYNNGGYANHQDSNTYPAET >A08p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13658830:13659673:-1 gene:A08p020100.1_BraROA transcript:A08p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYRADDDYDYLFKLVLIGDSGVGKTNLLSRFTRNEFSIESKSTIGVEFATKSVHVDEKIIKAQLWDTAGQERYRAITSAYYRGAVGALLVYDITRHITFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVPTEEARSFSERENMFFMKTSALDATNVEQAFTHVLTQIYRVMSRKALDGTGDPTYLPKGQSIDIGSKDDVTAVKSSGCCSG >A09g515380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45645853:45646594:-1 gene:A09g515380.1_BraROA transcript:A09g515380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIPKVAVQAVGDYDDEILTYLRVLFAEVNYVAIALARERAGKKGKGRKKDPPLTKEQLIRKKKMDEIKRIDRKIENARV >A04p036730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21078690:21081381:1 gene:A04p036730.1_BraROA transcript:A04p036730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGEPRTTRDSIYRVARSIKRRDNSLYNALRSIYQDSLFVDKISNLWPKLPLLANLRCGLWYSPRFDATCYFKSTDGHTNNLSFNTSRLNLHLPLLAGEKGGCIIIDSTRKGKRFPDSMSKTIPMWCCILNRSIYNHLKRLCDQLNDAGFTSVDHDDSSIRQLLDKWDCSLHMPLWVSKTEKASIEAKLDEWTKQLEESGADIASLASCLRKPLRPLWVSQKTVIWLNEVPDPDSWDFTPLILVSASDSGEVQQHRTNSEFSWSYIPGAGDDEESWSRGLSPSVFWTHVDDIIDSGPEVCNQKVAEIVESDRVYRAQRGQEAPQIVVKSSKGCGGVKSDETLSLSVPKPRVDEESIVSWLASTNLALGSSQVASKVLSNDCCILNCDKNPVSVPPSHLEEHLHLPMMGSKFDRFSILKNLPTAVNFAKMKMSVGKKLLVCCQDGEDISVCVCLAILISLFNEEGTFDGGRSFEEKSITKLEMRRMLIFICKYAVNARPSRGNLRQVFGFLTSQRENSDE >A08p036860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21570475:21572339:1 gene:A08p036860.1_BraROA transcript:A08p036860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLESVVYPRDPLGYPSTCKDFMFQDLYYQEEVVVAQDTKNNMNKLGQEQSFVENDKEEDRQWRDYHQYPLLPSLEEELGLPAIDMESHPPLQQRRKRRRTRSNKNVEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPSSYAQRGDQASIVGGAINYVKKLEHILQSMEPNRTTTITHEANTSTSSLVDPFSDFFSFPQYSTKSSSITEGSSSPAEIEVTVAEGHANIKIMAKKKPKQLLKLVASLQSLRLTLLHLNVTTLDNSILYSISVKGTSLENKHEHVSIYMHEYTSLCSVDVWSQNCRCNVYGQPSEATTCFRRLSYLVEEGSHLTTVDDIATALNQIIRRIQEES >A03p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11618344:11619352:-1 gene:A03p027750.1_BraROA transcript:A03p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML48 [Source:Projected from Arabidopsis thaliana (AT2G27480) UniProtKB/Swiss-Prot;Acc:Q9ZQH1] MAYAPSAPELPESFGQQVDEEARYTYAYPYGQPTNQLGSSGMFSPETHPDIVRSFESAGGNRSGFLDESELRHALSFSGYEGISNRTIRFLLFIYKSPAESLLRLGPKEYAELWNCLAQWRAMFDRYDRDRSGRMNALELRDAFYHLGYMLPSSVLQLIILSQFDDGTGNTVDLCFDRFLECGMTVKSLTEKFKEKDPGYTGYATLSYDAFMSMVIPFIASYD >A08p044590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24624834:24626378:-1 gene:A08p044590.1_BraROA transcript:A08p044590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPNPNADPVPSSFHRRTRSDDMSMFMFTDPLSAAAPRSSDDLPSDDDLFSSFIDVDSLSSNPNPPISFPDPSSAAVPPPNSSSRPRHRHSNSVDAGCAMYAGDEIMDAKKAMPPEKLSELWSVDPKRAKRILANRQSAARSKERKARYIQELERRVQSLQTEATTLSAQLTLFQRDTNGLANENTELKMRLQAMEQQAHLRNALNEALRKEVERMKMETGEISSNSDSFHMGMQQVQYSPSTFMAIPPYHHGSINNGQDMQQMRGFNQMSNSQSVSEFLQNGRLQGLEISSNNSSSLVKSEGPSLSGSESSSAY >A06p043840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23599884:23600884:1 gene:A06p043840.1_BraROA transcript:A06p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHRRTFSYDKLPTEPIRLSVLKLDGSSFDVHVTSSASVKDLKNAIETAFSHVPKKGPTKISWPHVWGHFCLCFGDQKLVTDTECIGSYGMKDGDEVRFKNHVSGNAVLNKGYSRKSKQKNSERVGPKDEDEEANRIEEIDQGSWDDLEKGSLVRYKDDGLETSPREHRTCMNTLRGCCFAFGLRELFGFGNDRSYYSLRDTWRDD >A03p040520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16903056:16905799:1 gene:A03p040520.1_BraROA transcript:A03p040520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMNLPVAVLILYCRSVLLNQNEFRRKVMPAPRQSHLYFPSRKQLSLNDARLSTTPPPPMWKYKINSPVVEAAINDFVDKILDNFVKNLWYSITPDKEFPELIRGLIMNVVGEISVRVKEINIFGLIRDIVDLIGDHLESFRRYQAAIGKDVMKTLSSEDRDKKLRGHLMASEELYPALISKESEYKVLQKIVAGILSVFLRPGESQCPFVQTIARELLTCLVFRRLVNFASPDSISYNEDIELLEESTQGEDEIVSEANGWHSDNELDSKYVPPRVVRRLGEPENPPSEMENDLKELSDSQHADPSTSLVHNLTDMPPEKMLLFMEDPVNDWLQRKIRWLRNEDTVAHGIRLAQDQLWPNGVDQTDSSSLEQLLKAGVSKIKEFLFNKAPKALVRVCARDIHHFTQSNVSVKQLIFAILELLLRKVFPELEDLLRDIRENPPHGRSE >A05p048470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28377288:28379974:1 gene:A05p048470.1_BraROA transcript:A05p048470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSCSTRPSSLLISSEPSLRFPPSNLSLLIPRDTKLVKQQQRMVVRSCSSGSAQNGDVDGFALKPNKLFVQEAIGAEYGEGFETFRQDGPLKVDVARADFWNEKLQDGFLQRIRYAMKPDEAYGLIFSWDNVVADTRSLKLDAWKQLAAEEGKEIAEENDIQRLMLYAGADHVLSKVLFWEKTQSKIDTLKLRLSEIYYDNLLRLTEPKEGLRDWLDAVTNARIPCAVVSNLDRKNMMNALERMGLQNYFQINGLLISADTCLHPPFQAVVSEEDGMESIAHRFLSAAVKLDRKPAKCVVFEDDPRGITAAHNCTMMAIGLIGAHRAYDLVQADLAVGNFYELSVINLRRLFANKGSTFMEHEKQIIEKSPPKRKLTIDTIF >A10g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22256968:22258086:1 gene:A10g507260.1_BraROA transcript:A10g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSRGHALERGERIISLIFARKLGFALCGDFICDAELSPIFSSFLDRFGAGLSPPGPEMDPADERTDCKRKLEHINLLSYVSDSEHGMPKRCACGGRMIHEVRVKDEFDTQPGKRFFSCVNYEADGLHYRQPWVCGVQEEIEMLRKRVEEADEVIKSVPMLVESVEAQVKRLSLLLDKLTGDVYNLTVQVAALERVCFE >A05g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23969704:23971245:-1 gene:A05g508210.1_BraROA transcript:A05g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVKSSDQVADTENHSDPNQEEDPSLDNSSQMLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVLSRPTHDTSDGEDEDDDFVEPVPICVS >A05g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29850843:29851506:1 gene:A05g509950.1_BraROA transcript:A05g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRESDSDPEDLEHAEKLRQVKAVLEEGENFSGIYRKVQLKPLKWDGESEEERPVEALMIFKYGGVLTLVENRIVYLLMLASSACMQKSMHAEELGRYNFL >A04p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20556620:20559238:1 gene:A04p036310.1_BraROA transcript:A04p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRRSTVRSILKMRSLMAFVVLLSFGSCFSLKEEGLDKDRLEKDLRSDEDSAILKAVGFHRKALVPREPLTYDNLPSRRNSREAMPAAATITPPSSPQPSHKNVSTHSSTASDPEPTQDASTSPPPPPPPPMSVPHENSPTPRTSSSSSSVVVPVVLACVGGVVLVLLVATGVFYFKNKVGKSVNPWRTGLSGQLQKVFVTGIPTLKRSEIEAACEDFSNVIGSCPIGKLFKGTLSSGVEIAVASIDTTCANDWKENTEIQFRKKIEMLSKINHKNFVNLLGYCEEKEPFTRILIFEYAPNGSLFEHLHSKESEHLDWGMRLRITMGLAYCLDHMHQLNPPIAHTNLVSSSLHLTEDYAVKLADFTFGPSETETCSNANKDTDLNPEDNVYGFGLLLFEMITGKLVDSVNKPDSVDTGLVDFLRGVSLANMVDPALESYDDKIDNIGEVIKSCIRTDPKERPTMKEVTGWLREITDISPSDATPTLSPLWWAELEVLSMA >A03g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31244234:31247481:-1 gene:A03g509680.1_BraROA transcript:A03g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPRSRSRFRRNRAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPTRSSLFLTTHSPFPFIQSKVKMVKKTKGRLEAERQEAENQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPPRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRYSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNISQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGVFEANYNLIRNE >SC223g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:185756:188175:-1 gene:SC223g500030.1_BraROA transcript:SC223g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIVCTMERCYKKGEAHMVVKLTGVLLLNIASTRRRSQPGLGSGVPIQEGAQTKTEHSWLCEEEGYSIKAVSIVHKECDTCNSPTTKNVKTKVLCHCISSLGHSLVYRKCSMGHYAMRVTSLCKGFYKDHQPDQVSGMSRQEAVQSSLGKYHCLSLTKDVPGQAVCEVLISLTGVLKMIWSCPSFSKMAVKSVERGRLQTGSMK >A09p059710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49816789:49820886:1 gene:A09p059710.1_BraROA transcript:A09p059710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRDKREKEQQRLCNIQRDDDAVTPQTPQEPTTPNSGDALRKTPSIRSWSACVITRGRKRLIVLNKDFKITSVYLTMKLLMHLLLLLRIIYEQAVTQSVKAEHQDAFQILLPFDIGKSVEGVKISPETVVSGEDISGGRVVIKISYFGFHVPTFMTSVMIQAAMSSKKEEEEGHARTTYLLVILVAFACVFSSGSEAWSWSWSSGSGSGSGSGWESHGSGGSASGSGTNPDGSHWSWKWDTRSGWRWRSDPNHTKPGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPEGSSNQNVTKPGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPGSSNHNHNVTKPGSSHHNHNETKPGSSKHNDSRSGSDDNDSRNPVFATPREVVVGGSRGWNYGVDLEEWASKTIFHVGDVLVFEYNNMTNRRHDVYLQTNLWSYRTCNFESRNKIASSEENGSKESFKFTLAMSQPYSFACGEDNGYYCRTYNMKFSVLPGA >A03g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1281416:1281710:-1 gene:A03g500390.1_BraROA transcript:A03g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYMLNNKCR >A07p035600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19244842:19247692:1 gene:A07p035600.1_BraROA transcript:A07p035600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDETEEARNELRRPFMHTGSWYRMGSRQSSMMGSSQVIKDNSISVLACVLIIALGPIQFGFTCGYSSPTQAAIIKDLGLTVSESLMIAAIPNIIGWLCISFAEDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGTLPCIVLIPGLFFIPESPRWLAKMGMTDDFETSLQVLRGFETDITVEVNEIKRSVGTSTKRSSTVRFVDLKRRRYYFPLMVGIGLLVLQQLGGINGVLFYSSTIFESAGVTSSNAATFGVGAIQVVATAISTWLVDKAGRRLLLTISSVGMTISLVIVAAAFYLKEFVSHDSDMYSMLSILSVVGVVAMVVSFSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFISWLITMTANLLLAWSSGGTFTLYGLVCAFTVVFVTLWVPETKGKTLEELQALFR >A02p055880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:27695:27907:1 gene:A02p055880.1_BraROA transcript:A02p055880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVAIAAELLEEYTLALARITATLLPQPPTSRHGSARAPTSGGRADSPLPRSDISSSCAPNYAAFLLNF >A07g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:62573:62982:1 gene:A07g500030.1_BraROA transcript:A07g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQAETTRMRTPRTTTGQRRRLTHSLSGFEASHIPDAVMGMEQSSAKDKVRSGAVNPVRC >A05g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1254465:1256532:-1 gene:A05g500330.1_BraROA transcript:A05g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGTDSPQPGTGIDPTRHGSVPASPTRGSQAPAGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCSAAVDLALDTLAASRYFGVEQLALLTQKQLVSMVEKASIEDVMKVLIASRKQDMHHLWTTCSHLVAKSGLPPEILAKHLTIDVVAKIEELRLKSSIARRSLMPHHHHHDLTVAQDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALHYAVESCSREVVKALLELGAADVNYPAGPAGKHRCTSRLKWSLRTWWLTVGGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVISREEGNNSNNNDHNNAIYPQMNDERNSGSSGGSNNNLDSRFVYLNLGAGQMGPGRDHGDDHNSQREGMSRHHHDPSTMYHHHHQHQF >A09p073800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56148782:56149638:1 gene:A09p073800.1_BraROA transcript:A09p073800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic transcription factor 3 [Source:Projected from Arabidopsis thaliana (AT1G17880) UniProtKB/Swiss-Prot;Acc:Q9SMW7] MVYTQMNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRIGVNSIPAIEEVNIFKDDVVIQFTNPKVQASVAANTWVVSGSPQTKKLEDILPQILSQLGPDNMDNLRKLAEQFKNQAPVDGNASATVQEDDDDDVPDLVAGETFEAAAEEKVAAAASS >A01p026650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19422284:19425777:1 gene:A01p026650.1_BraROA transcript:A01p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVKGFRDSGERKQWREKMIVSRLHLLIDRCVRRRHAEGEVNLPAEAMEKDMLLSLSQVLREIQSCFDSDSEEGEETAAASPESKDHLCLERLVAHLVGLLGAKNVHVQHLAGNILVQVSESLVESGSQWDDFIRFLCDSLHLALVYSFPLPSPTGFEGSNIGSDVLKCEMKKADWCTVSGIFRVLRNILKRLSQEENEDVIDVYLDSVNSTLAKIPWCRVNTHFSNRHAHNGTLGSIGNSEEGTVFPGNFVQFLSTVVQQVRFPEDSDAFGTTHLILQKITELVPDLLRLCQPKLESQSGSSMSRYLVHKLLVLMIRLTYQSNIKCSILLSWLQYLQHHFQRFLQHTLNRNKPVQDNCLEGSPFFVSLSDRDVNETHSNHLQRLSVFLFLRCSLTLLYTSRHADKDCEFDCRKKGMEGVFKWIEQQVPGDTFSNHGTYSKKSVDFSTCFIQLFMHEDDLLFKVLLQLLSVPLAGEELLKGEERLLQDKEICVRLSTLFNPVILFWIFLSELHYDHQVLLDYLISKDIGASCAEYLLRCLRTVCDSWTLFVEFPFEENINDSTSKRRRLLVETPEVEQNQILHPQAFENAKECLLSLQNSIVRLHQKKLFPYNPEALLRRLVCHGYNKLITLFCQGFKSSVYSTSNIISTQSLSLDSIRQKDQTQRRVLSRSSFKLTGSHVELYKDDPTFLGCRWDINHRTLFSYFHNLN >A02p055520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33637274:33646811:1 gene:A02p055520.1_BraROA transcript:A02p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRESSARTNNTKPDVTGSTSAVPEWTTETINGGSFRQVDLHTGTNGWASPPGNVFSLRSANYFTNKQKSPGGDYLLSPAGVDWLKSTSKLDNILSRPDNRVGHAVRNARSQNSFIFAVNFEVSGKEHYHMVLYFGTEEPLPSDSPLQRFIDGDDSFRNQRFKLVSQVVKGPWVVKAAAGQFGAFLVGKTVKCNYHKGSNYFEVDVDTGSSAIMSAVVRLLLGYTKSFIVDVGLVIEAQTEDELPERLIGGVRLCHMDLSSAFVVGEQGLGPCRMLGSMNQTESSVGTNNTKPALTGSTSAVPEWTTETINGGSFRQVDLHTGTNGWASPPGNVFSLRSTNYFTKKQKSPGGDYLLSPAGVDWLKSTIKLDNIMSRPDNRVAHALRKAQSRGESQNSFIFAVNCELSGKEHYHLVLYFATEEPLPSDSPLQRFIDGDDTYRNQRFKIVSQVVKGPWVVKAAAGQFGAFLVGKTVKCSYYKGSNYFEIDVDTGSSAIMSAVVRLLLGYTKNFMADIGFVIEAKGEDELPERLIGGARVCHMDTSSAFVVGEKPLGPCRMLGSPMRNVVVVIALRHHRRVSPLKRIITSRGDKRIGFNHGGCLWRRRDDANISWISPFYLQHRTYSREFTSVHGGRPTAEYAKLRRESLESEFGEALGTYSTKSFSAAYRFGPFLALYRAAIISFHVVKLAFWQLFVRDMRKRAVKFRETLISLGPFYIKLGQALSTRPDILPSIYCQELSKLQDQIPPFPTSVAMRCIEEQLGAPVSKLFADISPEPVAAASLGQVYKGHLHSGQLVAVKVQRPGMSILLTRDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFEEIDYIREAKNAERFASLYSFDSGNDQIGDNAGARNMSRNHRAENIKVPKIHWNFTRTAVLTMEWVDGIKLTDEITLKRASLDRRDLIDQGLSCSLKQLLEVGFFHADPHPGNLVATKEGSLVYFDFGMMGNIPRHYRVGLIQILVHFVNRDSLSLANDFLSLGFLPEGVDIQAVSNALRSSFGSSTRISQDFQGVMEQLYDVMYEFNFSLPPDYALVIRSLGSLEGTAKILDPEFKVIESAYPFVIGRLLADPSPDMRKILRELVICNDGSIRWNRLERLVAAISEQASATSGESPEDKTLKKSSELKSFDMNSVVSATEDLLLFILSEKGQRVRVFLLQDIIRVVDIFLEEEALYSNLKKKQTINLREEGTMKRVRNGFKGLSEAVKLAPGMWTAMLLRMSRKPEIII >A03g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4936424:4936832:-1 gene:A03g501640.1_BraROA transcript:A03g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLSSLISPDQYRCDCFAQFKDMKAMDPMELLGVLPTCHFESLFGDLEQREMVLAGNHRRSQFYGEFLGLAKAVWLLHLLRPFSLDPSPSHYEANCGAEFHSQYMESVVRFLDGLVPAG >A07p025310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14597663:14600255:-1 gene:A07p025310.1_BraROA transcript:A07p025310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLGLVLCILTILADFKTITEARIPGVYNGGGWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGYSCGACFELKCASDPKWCHSGSPSIFVTATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKIGGIRFTINGFRYFNLVLVTNVAGVGNIVRLSVKGTRTSWMTMSRNWGQNWQSNSVLVGQALSFRVTGSDRRSSTSWNIAPAHWQFGQTFMGKNFRV >A02p033600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17948251:17949318:-1 gene:A02p033600.1_BraROA transcript:A02p033600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKHIESSRNSIESCTLQLLSWRPFHRSKTLDPSEQPPSHGGSISTKRPCFSDRSTTSFSIEAMSRLSLADEDKLSASNCNTKGSLRLVARKRRRRNSRSVSGRSSDRSGTLRCCSIGAHGTCSDFPFAVGTDSSGELFGEANWASDVSEARRSRQERRDSGGEKEASGFGFPVGVDPMGNESGYGSEPGYRGDVEFGYGDECDDEEEDVKPLFWADSTVEMSGDAKFSDSKPQFRCRRRRQHDYKTVDSMR >A09p014310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7354319:7356941:1 gene:A09p014310.1_BraROA transcript:A09p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRESGSRHVLKPMDSEQLREYGHRMVDFIADYYKTIESFPVLSQVQPGYLHNLLPDSAPDHPETVEQVLDDVKTKILPGVTHWQSPNFFAYYPSNSSVAGFLGEMLSAGVGIVGFSWVTSPAATELEMIVLDWLAKLLNLPEQFLSKGNGGGVIQGSASEAILVVMIAARDKVLRSAGKNALGKLVVYSSDQTHSALQKACQIAGIHPENCRVLKADSSTNYALRPELLQEAVSRDLEAGLIPFFLCGNVGTTSSAAVDPLAVLGKIAKSNEIWFHVDAAYAGSACICPEYRQYIDGVETADSFNMNAHKWFLTNFDCSLLWVKDQHALTEALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGAKTLKNYIRNHIKLAKVFEQLVSQDPNFEVITPRIFSLVCFRIVPIDNDEKKCNSRNLELLEAVNSSGKLFISHTALSGKIVLRCAIGAPLTEEKHVKETWKVIQEKVSYLLRK >A05p044840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26894117:26894809:-1 gene:A05p044840.1_BraROA transcript:A05p044840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKVLVRHGSLLKKTVQPWPYLDNALTKFQVLYSDIRSKVLLFFPCICDLQDPVEADKLLKIQRELDETNIILHKTIYSVLARGEKLNSLVEKTSMMVFISMAPPSQFLLLRMEKPQKRLIMDSSLEVTIF >A04g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8660600:8661909:-1 gene:A04g504170.1_BraROA transcript:A04g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPVGSEGSSSINANATFSLLEGDLHTRVSFKYSISRRISSTPTFYVNGFELLDAGSPIDFEGWKNTIDPLVNPREILEEVYAQKKEVEDEENAENIPVSTFFIARVGR >A05g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17919507:17920858:-1 gene:A05g506250.1_BraROA transcript:A05g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAEAQQVPPVQVQGHQQPPIQPVPPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSESPNMAGDQSGTPSCERCHCYHFGDCVMCFACGRLGHVAKYCRFTKVDGTGTGQVTAPTTLAAASKKCYGCGQPGHIFRDCPRGGRVENPSPAKRQAIAPRVARGNERVEPADEEVVLKISSG >A01p024630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12248852:12249569:-1 gene:A01p024630.1_BraROA transcript:A01p024630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKCTCIGTPADCTGLGLSEALFPSLPDLVLSGINVGSNCGYNIVYSGTVAGAREAFIYGIPSASISYDWKYGDINVNDFILAAQACLPIIDGIINAIKNKTNPKKCFLNIDLPTDIANHKVSLVAAFKYILLNPYV >A02g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10699234:10699848:1 gene:A02g503240.1_BraROA transcript:A02g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAEKTANQESLEALRIKCPRNEPFAAEVWISPPADLHSVAAWINQPRVNADAHATPLIKLYFQSAIYLLWKERNARVVIAVSSPSSVILASLDRMMCDRLLSYLASSSFSSSLLLFIFLWTKKAP >A02p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8302176:8307312:1 gene:A02p018140.1_BraROA transcript:A02p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTFFVVSMLLLAVYLQTTLGNEVKCEKLDKDTCAFAVSSTGKRCVLEQSIKRSGIEGYTCRSSEIEADKVTNIIESDECIKACGLDRKSLGISSDALLESRFTQKLCSVKCLTQCPNVVDLFFNLAAGEGVYLPKLCESQEGQSRRAMSELRSSGIVRDTLGPVGPVRLGEMAPEPATSMDYMPYAPAPEPATSMDHMSYACNLEGEGIVFKTAPKSEESSFEKKLGYNCGDTNHLLSHCPLPLENGETKFASCFVCKEHGDISKNYPQNKNGVYPMANAASGMAVEDECKLKFLELKAKRNYRFIIFRIDGQQVVVEKLGSPEENYDDFSNSLPANECRYAVYDFDFTTAENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >A06p050310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26481580:26483403:1 gene:A06p050310.1_BraROA transcript:A06p050310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGYAHDVETKVSALMASVDHLPMFGSESGHDNGYLSASVPLLGVNWKKRRMPRQRRSSSSFNLLYFPTLPPSSHVPTHLPARKIDTGKLRFLFQKELKNSDVSSLRRMILPKKAAEAHLPALEYKEGIPLEMEDLDGLHVWTFKYRFWPNNNSRMYVLENTGDFVSTHCVQPGDFIMFYQYLDSCNYVIQAKKAYEEEEEEDITNVEEDDVYTNLTRIENTVLNDLPLPDYNQHYNNNNNEKCSYVYPAFDDVTATTTNAPFVYDTTALSSNDTPLDYLGGPATTTSTNSYYSELGPFEGFGSVENISLDDF >A03p043090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17996637:17998205:1 gene:A03p043090.1_BraROA transcript:A03p043090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMFQHAPSFISLIVFFTILLPVTSNSDPVTVQPFRIKPSPPDTIPAFPEQSDFSGCPLDLPEDLFHGIKSACTGKKLHRAKCCPVLGAWLYSAYSTTALSRSLPSSSATASRNATTPGEEDMPLLPDDSETCVDGLEKSLRRRGIELQRQNETCDVAYCYCGIRLHHLSCSEAFSVNEEGRLVGDESVDRLENDCLSGRHANGDRLSHLSSCNKCLTSLYKLNPKKTSGTRNQSKEDRNRTTKMHNKDCVLMGLTWLLAKNRTAYFHSVTSVLRAVMLSQNGEPRSCALGGDGMPLAVDSSEFSNDSSSALLKYPYHLVHFILYSVITLLLLGLW >A05p051330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29896904:29897601:1 gene:A05p051330.1_BraROA transcript:A05p051330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIQIPRKNSARSSDPATKRIIIKDPELKNRKRQSATVGSTKDPSDFDPIYFAISDHEAESFLQGSSVDLLPTPEICDESPVSTVSNEAFQVIDAAIAADLPASVQSLRAEISDLKKTICSVESSEESKRVDGAVTLKFRVVVFAFVLWALFAAVVVGVSSGEEEVAYSGPVPT >A09p075790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:56971456:56972295:-1 gene:A09p075790.1_BraROA transcript:A09p075790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGFRNWGYYEPAAATSFKGNLGLQLMPTIDRNTKPFLPGRDPNLMIGQTGSYHHQHHHPEPHMSYNWINQHKDKFFNMLPVTTTPNYGNVLPQTSSSPSMHMNLHHHHHQTDEHPVKCEPDIIETKKRKPNSKAGGAPTKAKKPRKPKEENGDSNNANISRVKPAKKSFDLVINGVNMDISGLPVPVCTCTGAPQQCYRWGCGGWQSACCTTNISMHPLPMSTKRRGARISGRKMSQGAFKKVLEKLASDGFNFGSPIDLKSHWARHGTNKFVTIR >A05p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10363837:10365932:-1 gene:A05p021810.1_BraROA transcript:A05p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSHPMLRNGETGDWIGTFEGHKGAVWSSCLDNNALRAASASADFSAKLWDALTGDVLHSFEHKHIVRACAFSEDTKLLITGGFEKILRVFDLNRLDAAPTEVDKSPGSIRTLTWLHSDQTILSSCTDIGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKSGEKFVAGGEDMWVRVFDFYSGEEIGCNKGHHGPVHCVRFSPTGESYASGSEDGTIRIWQTTPNHSVDEVAKKIEGFHINKEGKTAEKLSDA >A06p058130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30198205:30201719:1 gene:A06p058130.1_BraROA transcript:A06p058130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPQTSKKVRNNSGSGQTVKFARRTSSGRYVSLSRDNIELSGELSRDYSNYTVHIPPTPDNQPMATKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSDVTHPQMAGAKGSSCAMPACDGNVMKDERGKDVMPCECRFKICRDCFMDAQKETGLCPGCKEQYRIGDLDDDTPDFSSGALPLPAPGKGQRGNNNMSMMKRNQNGEFDHNRWLFETQGTYGYGNAYWPQDEMYGDDMDEEMRGGMVETADKPWRPLSRRIPIPAAIISPYRLLIVGRFVVLCFFLTWRIRNPNEDAVWLWLMSIICELWFGFSWILDQIPKLCPINRSTDLEVLRDKFDMPSPSNPTGRSDLPGIDLFVSTADPEKEPPLVTANTILSILAVDYPVEKVSCYLSDDGGALLSFEAMAEAASFADLWVPFCRKHNIEPRNPDSYFSLKIDPTKNKSRIDFVKDRRKIKREYDEFKVRTNGLPDSIRRRSDAFNAREEMKALKQMRESGGDPMEPVKVLKATWMADGTHWPGTWAAATREHAKGDHAGILQVMLKPPSSDPLIGSSNDKIIDFSDTDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGVQGPVYVGTGTMFRRFALYGFDPPNPDKILEKKDSETEALTTSDFDPDLDVTQLPKRFGNSTLLAESIPIAEFQGRPLADHPAVKYGRPPGALRVPRDPLDATTVAESVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDSFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAILASKRLKFLQRLAYLNVGIYPFTSLFLILYCFLPAFSLFSGQFIVRTLSISFLVYLLMITICLIGLAVLEVKWSGIELEEWWRNEQWWLISGTSSHLYAVVQGVLKVIAGIEISFTLTSKSGGDDIDDIYADLYIVKWSSLMIPPIVIAMVNIIAIVVAFVRTIYQAVPQWSKLIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWAGLIAITISLLWTAINPNTGPAAAAEGVGGGGFQFP >A08p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:104697:105455:1 gene:A08p011210.1_BraROA transcript:A08p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHRIITSLALLVLVLSLRGSLNAYDPWSVTSLCKGFYKDHQPDQVSGMSRQEAVQSSLGKYHCLSLTKDVPGQFLASLRWLRSLLRGGDPNQFYEEGKPFSKMAVKSVERGRLQTGSMKR >A02g502180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7090282:7090827:-1 gene:A02g502180.1_BraROA transcript:A02g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERSEYEESIKNLDIVKCLMILSRTSIAKQIGVIHNQFTERNTSNRFECKTCNRRFSSFQALGGHRASHKKPKLAVDEKDVKHLTKNYKGTHMHKCSRCDQSFGTGQALGGHMRRHRSSMTLEPSQRISPRIPTMPVMKRCSSSKRVLSLDLNLTPLENDLEIIFGKKFFPNIDMKFVV >A06p005090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1484454:1495055:1 gene:A06p005090.1_BraROA transcript:A06p005090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLKGTMCAHTDMDDKSYGVAQRRLTGHSHFVEDVALSSDGQFALSGSWDDQLRLWDLATGVSTRRFVGHTKDVLSVAFSTDNRQIVSASRDGTIKLWNTLGECKYTIGSDHGDGHKQWVSCVRFSPNTLVPTIVSGSWDQTVKVWNLQNCKIRNTLAGHSGYLNTVAVSPDGSLCASGGKDGAILLWDLAEGKKLYSLEPGAIIHSLCFSPNRYWLCAATENSIRIWDLESKSVVEDLKVDLKAEAEKSDASVGTGNKTKVICCTSLNWSADGSTLFGGYTDGVIRMICCAIAALNEAEGSSKQAISRYIERTYTGIPPAHGALMTHHLKSLKNSGVLVMVKKSYKLAPEPPRSDFTSNNSANQTQPLPDLAPTASQKRGRGRPPKPKPDAAQTNGVPQEQILPLPPPQTVVKRPPGRPRKDGALPTVKTPVEAAKRRGRPPSGRAAGRERKPAVVSAPASVIPYVPNGGVRRRGRPKRVDAGASAPKADGGGSVVVAKRGRGRPPKVGGVVRKPMKPKRGGYVRTGRPLGRPRKNAAAKGASRQQDIGYGELQKKFELFQEKAKEIVNVLKAEVGGSGNHAVVQAIQALEELTVTTTEEPTHMEVVQPDEQHPGNEQELEGHGQGQAQTEAEAMQEALSLMATPGSDAADNNPPPPAPADASPAANDSQKRGRGRPPKSKSDGAVSAQPARKPSGRPRRNAVVVLAAAVVKSGRGRPKRSSTVAATESQVTPGSRKRGRPKKDDVAAAPAKKRGRKPKTEQVAKQRTSNRTRKATTEATTGHGAADPREFKKKAALLQKKVKQAADKLKIAVSAIEEVQKIADAM >A06p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1869388:1872732:-1 gene:A06p005790.1_BraROA transcript:A06p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRVSSHCIKGSKVEFFDPSAYVSGQSLSVLSSLKGSCLVLSSVNGSASSLSDGSGRAFASSFSGLSGAASPVFHHAGSVQGLHSIHGSFNGSSAGVQQQNGRFASSNLPVGLSQISYGSSNGHSGLTNRGGGLGVSPILGNAGSRIPSSMGNMVGGGSMGRTLSSGGGLSVPSLGSRLNLAANNGSGSIGQNPMMSGILPQGSPQAFSMLGSSYPAAGGPSQNHVQAMNSLSSMSFLNEMNSSNDVSPFDINNDFPQLISRSTSAQGQLGARLQQGLGLSPIVQQNQEFSIQNEDFPALPGYKGSNADYPMDLHHKEQLHENSILMMQSQQLSMGRSGGFNLGGAYTSHRPQQQQQHAQAVSSSGVSLNVSDICSSSHPSYHSQTGGLPGIGLRSMNSANSITGMGYDQPLQQYQHHQNASRYRLHQMSAIGQPFRDVGLKPMQVTQSNPDRFSLLGLLSVIKMSDPDLTSLALGIDLTSLGLNLNSTENLHKTFASPWSNEPSKDDPEFSVPQCYYAKNSPSLHQRLFAKLLLETLFYVFYSMPKDEAQLYATNELYHRGWFYHKEHKLWFIRIGEPLVKTNAYERGSYHCFDPISFEIVQKENSVLYYEMLEKRPSLSQH >A08p029070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18044881:18046607:-1 gene:A08p029070.1_BraROA transcript:A08p029070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTQRVFQAWKGSNKFILGGRLIFGPDARSVPVTVLLIIVPVILFCVFVARHLRHEFSPYNAGYAILVVAILFTIYVLILLSFTSARDPGIVPRNLHPPEEDLRYETTLSADGRQTPSVQIPRTKEVIVNGVSVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSATLLCIYVFSISALYIKILMEHQRGTVWMAMKESPWSVALMIYCFIGFWFVGGLTGFHLYLISTNQTTYENFRYRANSRTVAYNRGCANNFLEVFCTKIKPSRNNFRAFVEEEPPRVVTTITRESEDEAGTRRQKVEDDLDIGDDLMNISQRCNPAEQPHHGLDIDQSMIGVAERAATIRTETRHGSWGSRRSGSWDIEADVSSSNVRESRS >A05p021660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10309088:10309733:-1 gene:A05p021660.1_BraROA transcript:A05p021660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDKCKHPQAPEAFGVSRNTLLRSGCSFLIEIPLWIVSKDEVILLFHILELCSVEVKLLSTPHDWDLIFRWLPTASPSPVSSRALIQLWHGTIYGLRMERNRRFNIGLSRDEATILSIIVRMIKNKSTALRNLECRFGDDTVSLWSGI >SC322g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000167.1:1810:2583:1 gene:SC322g500010.1_BraROA transcript:SC322g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWCGHVKRKPLVEMATEEGQTRNLKSEDEADQETTLESGIEEAYEERSKLVKVSGDKRVIRGLRQGKDELYQLVGRLREGWMELDVLRPSTAYLRVIKKRRILLIRVKYMRRGAKGVKALEEMKYGRRDEFGLSDPYKAVQAVPSVHRTSTKLTKVKISYQGNLVKRRISFGVDKTAEEEGMRRGLTVWHGLESKQHLWEDARCLTHLEKLIGRIQI >A09g516010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47408014:47409931:1 gene:A09g516010.1_BraROA transcript:A09g516010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTKSQLTTGISPVAVYFNDISPRPDESQLWFQNKVGQVVRSGNHSKDPQYHSLDHFFDSKPLNVDPKNIYDSLQRLHLDPTWVIGSMRLNSYIYMKFMVGFSANAKAANVYKKKAESPTKTWIRHVIVTGISFTDSVFVLFISYVARQTLFAELILKPSVEKGKAAKQAGGKASETSIIYVTELPNAHGFISGLQQAYDTMVGERGLQLSGRK >A09g516390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48434024:48436182:-1 gene:A09g516390.1_BraROA transcript:A09g516390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQATMMQATIYANRLSRFRSKLAAGTMYTISGYDVARCAQNFRLTDSPLMIRINDSTAFDELAEPVSPLPEEGFRFRDQSELIGLANTSTQLPDIVGEIIAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSLFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKPGEDYFYKLVARDNRVPSAAPLLKGYAKVETLTISELISFVASAQPQDIDFVCTGRVVRLDVDKGWCYVACAKCSKKLQRTVSALECVRCSNPNAVGVLRYRLELAIADSTAEGTFVCFDGVMTKLHNLRASEAGQMLAVQGGNPEDMIVPPFINDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDGNNLGGGGHVPVRNDSGEGSSGPDKKADGPPADTAVEKSSRSSTSAAKKARVV >A03g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23020709:23025656:-1 gene:A03g506440.1_BraROA transcript:A03g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKIDQNFPQTRRTLIALSSFGDREKGRFEGDLAVAGVVLAGNTPPFLRDLVSLRDSFSIKSPKTAEARTSPITELVPHRSALTLSAIVSSKPIDSPQGDVFPSISTSGNFKDVITDAEFVRACEMKDERVDLIIDMQRNKYDWSKHVWAYKETVKPFQYSSEEDGSDEEAAVETSETEIEEEIESTRVSPTKKRKNRFRDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQSMFNSSFTALGLEVREIIEDRFTKLEEKILSSQTQGGAPANTQTRGTDPFWTPSAAAAGAAAAATAPASVSGRPPAPTRASTEAPASVSTRGLAPSRSAASAPYRSRASATAHNGGPANAAKTRSQTKDADLSDVFGSLFSTLDVNIGTQEYLQKTMGNLTQESNVDGFDPSQDKQSEGPSDFTTPMTSFRPQIFKTPFLIDSDDIEVRCKAKDYELVFLPEEKWAKLTEWTLNPTVLQIGPSTFDAELASRIIGPNIWLKNFDMDAMMYLFREKTTLRRWSPDRVAFLNCMFSNQIITAYGNRPTRKLLKPDPEPGSTHPQRQKVNLEIARVAQDMKHKLKITTVAMVVVGAIVGIWTSLTV >A03g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8502691:8509539:1 gene:A03g502720.1_BraROA transcript:A03g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRDEDLVRTKSRRGSLGSTSYRSLPGASRSFRDVFIPPVEDNVCEGSERREEDDVELKWAALERLPTYDRLRKGMLPQQTSVNGKAGLEEVDLTKLAPKEKKHLMEIILKFVEEDNEKFLRRLRERTDRVGIEVPKIEVRYENISVQGDVRSASRALPTLFNVTLNTLESILGMFHLLPSKKSKIQILKNISGIVKPSRMTLLLGPPSSGKTTFLQALAGKLDDTLQMSGRITYCGHEFSEFVPQKTCAYICQHDLHFGEMTVRETLDFSGRCLGVGTRYQMLTELSRKEREAGIKPDPEIDAFMKSIAISGQETSLVTDYVLKILGLDICADIPVGDEMRRGVSGGQMKRLTTGEMLVGPATALFMDEISTGLDSSTTFQICKFMRQLVHISDVTMIISLLQPAPETFELFDDIILLSEGHIVYQGPRDKVLEFFEYMGFQCPERKGVADFLQEVTSKKDQEQYWNRREQPYSYVSESDFSSAFNSFHTGQKLASDMRVPYEKAKTHPAALVTQKYGISNRDLFKACFDREWLLMKRNSFVYVFKTVQITIMSLIAMTVYLRTEMHVGTVADGQKFYGALFFSLINVLFNGMVELGFTVMRLPVFYKQRDFLFYPPWAFALPAWLLKIPLSLIESGIWIAFTYYTIGFAPAASRFLGAVGRTEVISNSVGTFTMLIIYTLGGFIIAKDDIPPWMTWAYYISPMMYGQTAIVMNEFLDDRWGAPNNDTRINAKTVGEVLLKSRGFVTEPYWFWICIVALLGFSLLFNLFYIIALMYLNPLGNSKATVAEEDKDKQKGTEGSLVELSSRSSNGPKRGMVLPFQPLSLAFNNVNYYVDMPAEMKAQGVEGDRLQLLRDVGGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGNVEGSISISGYPKNQSTFARVSGYCEQNDIHSPHVTEVMELVELKPLRNSIVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELLLMKRGGQVIYAGSLGHQSQKLIEYFEAVEGVPKIKDGYNPATWMLDVTTPSMESQMSLDFAQIFTNSSLYRRNQELIKELSTPPPGSNDLYFPTKYSQPFWTQTKACLWKQYWSNWRFPQYNSIRFLMTIAFGVLFGLIFWQTGTKIEKEQDLNNFFGAMYAAVLFLGATNAAAVQPVIAIERTVFYREKAAGMYSAIPYAISKVAVEIMYNTIQTGVYTLILYSMIGYDWTVTKFFWFYYYMLTSFIYFTFYGMMLMALTPNPQIAGICMSFFVVLWNLFSGFLIPRPQIPIWWRWYYWATPVAWTLYGIITSQVGDKDSIVQITGVGDMSLKTLLKNGFGFEHDFLPVVAAVHIAWILLFAFVFAYGIKFLNFQRR >A10p003090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1585423:1586714:-1 gene:A10p003090.1_BraROA transcript:A10p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQAFLKRSKMESLGFMRKILRLKKLTSHATSPRHLSTTITSNSTVPLLVNWRDSFACYMAPNPPNPEEIAMACRDAMIGYSKHVMSLGGLIFELLSEALGLRADKLKSLDCMKGLLMLCHYYPPCPQPDLTLGTSKHSDNTFITMLLQDQIGGLQVLHQDYWVDVSPIPGALVINIGDFLQLMTNDKFKSVEHRVLANEAGPRISVACFFSSSVIPNSTVYGPIKELLSEENPPKYREFTVPEYSKGYIEKGLDGTSHLLNYKI >A09p080070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58751503:58764928:1 gene:A09p080070.1_BraROA transcript:A09p080070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFNVTFWNEVTSRGYCFSDDGTKFCEKLPIVVSSSGVWEEYLLPSGTGLLIWDYDLPRLEAVIVLVLCLWNFIYFLLKKIRLPVPRITSMMLAGAALSQTSLFPNDWLVQRIFFPDDLRPKVPDTVGAFAFVFYWFLEGVKMDVRMIKRTGSKAVFTGIVTVLFPIFTANIVFGSLRETGGKNLTGVEYRTIIFMQSISAFTGISRLIRDLEIDHSEFGRIVLSTAMVADATGVGINVVALFAWSDWRVSAVQGVGVVGFVIVLVWIFRPLMLLVVRRTPEERPVKDYVIYIIIILSFFSFEYLKMLHFFPAIGPFLLGLCVPHGPPLGSALVQKFESFNTGILLPLFLFFPMLQIDGPWLVEEVQRLRNHDGQMYEALSIIVVVSASKMFFTTIPPLLAKMPLTDSFVMSLILSNKGFVEMCYFMYAVEKQSLQVKSFTTLALMILFSSTVLPVVIHYLYDGSSRFICFQKRNLMSLKLGSEKKFLTCIHKSDHISGVINFLEQAFPLEDSLLTCNVLNLIELVGLDNPLFISHQMQKAEPGGRSYSTNVLIAFDEFKHFWKSITVELFTSISNPKYMHQEIYSLALDKQVSFIMLPFHKIWSLDHTTVVSDDVMRRNVNINVLSQAPCSVGVLVHRQKLVSAQKREPIFKVCAIFVGGKDDREALAMGKHMMRNQKVRLTVLKLVPGTVVGMTTGWDQMLDTAELKETLRNSITPSEGEHNFVEYLEETVDDGSDTSRILLSIASAFDLFVVGRSSGMGTDVTRALSEWTEFDELGVIGDLLVSSDFPQRGSVLVVQQQQNVAYFDPKANLRQFSVYLPKMDQRVHMDYLDVAWRGYKEDKNTSLFCETHPFTLNSHGVWERLVDKSRGLSFWEYPLPNLEIIILSTFVLWRLFEFSCNKMGLRVPRFTHMMIAGVILGKTCHLSSTSWLHNIYFPDDSRPKIAETLGAFGFLLYWFLKGVTMDAGTGSKMGKKASVIGFTTMFVPLVCGNIMFRLRKRRGHITLLTTEYRLLMFLQSISAFTSIDTLLRDLKIKHSEFGRIALSGAMVTDMMAFIATFLNAMHWEGYEGLVQTIFSCFFFACMVYVVRPAMYWVIKQTPEGRPVKDIYIYLILALAFFSFKYFEMVGLFGPAGSFVLGLTVPHGYPLGSTFVQKFESFNLGVIFPLFGSLTMMQLDISWLLKEFVNIARMEGQLYEAVSLILSVNVTKFIASTIAAYAFKMPLRDSFALALVYGNKGVFELSYFTYAVEIKKVTPEVFTIIATFIFLNSIFIPMALELVHDPTKRFKCYQKRNMVILKDGGELQSLVCIYKPDHITSMISLSGAFNPSEYSPMACNVLHLIELMGQASPMFISHQLQQPEPGSISCSDSVISSFRSFHKQFFEYISLDIFTSVSMSKHMHEDICWLALSRSLYLILLPFHRTWSVDRSTVISNDDKLRMININVLRRAPCSVGVFIYRKPIVEHHMAEYDSKICLIFNDGKDDREALAVTNRMRLTEKRISLTIIRFIPKISEIENQYLGENFQMVSLKETVTNIIGFDVKENDDYVTYIDTTVSDGSETSKILRSMANDYDLFVVGRSSGVGTEVTNGISEWAEFDELGPIGDLLASHEFPSRASVLVVQKQEYIHSAKSKRGIFIDKKLFQMDAQEETWHKGMLEAHMKREEMGKNMICDVSPHIMLNSRGAWEKLASGSEGLPFWEYPLPKLEIIILSTFISWRFFDILFKKLGVPIPRFTSMMLVGAVLSESFQPMQISWFRHIFIPDDYMPNVAETIGTFAFTLNWFLRGVTTNVSMLKKSKTKSTAIGVTSMIIPWYIGKIVYSSREKSSILTMTRMEYSISIFTMSMAPFTCINMLLTDLKVVHTEFGQIAQSSAMVIDVLAFAMSVWANVSYSYRIGMRMGVALMIFFVFLYLVRQAMLWVVRHTPEGTPVKSIYLYIGLLLAYLSHIYWTRFLFFGPLGAFVLGLAIPDGPPLGSVFIKKFDSFNEGIFLPLFGSFTMMKLDWSFLIKEIGSGKFLHGHTYECFSFLLVLYVAKFVTSFLSAIAARMPLRDSVILSIIMGTKSSFELAYVLQAFEKEIISLEIFSLMGIYILANSLLTPMAIHFLYDRAKRFACYGRRSLKHKSELQLLVCINKPDNITSMINLLRATAPSKDSPVSCCVLHLLELVGKATPTFISHQLQKPKPGSRSYSENVISSFQLFQEINQDYTSIHIFTSLTSAKEMHEHICWFALDKISYLILLSFHRTWGANGYGVTSDDQTLRHLNRNVLKRAPCSVGILVYRKPLWQPKSIESPCRVCLVYVGGNDDKEALALADHMRGNQNVSITVLTLIPISKTEEGSESSQSQMVDTCQVEEKPGDSSITYIVRMVEDGNKTSEILHSVAYDYDMFLVGRSSGMGTAVTKGLGDWMEFDELGVIGDLIASEDFPSRASVLVIQQQE >A03g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3084428:3085201:-1 gene:A03g500980.1_BraROA transcript:A03g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFASFPSPITECLVGALDWSHHPKVVSSFGGTTRSRGGHGVRRSACMFEWWFREMEATTDPSTPAFLREVEAPSASPTPVQVPGKMSWVLVETVLWILSSRCMLGKRMSSRSKMTLSTIGYDDKRRRVEVSSLAFNGREMASVVGDELSVSPMKPPVTSSTVLNRSAEPPERDKKKLSRHVSLDLGSVGFGLLGLRPVFFTLVFV >A06p005660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1828586:1828897:1 gene:A06p005660.1_BraROA transcript:A06p005660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >A06g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21436567:21437435:1 gene:A06g507700.1_BraROA transcript:A06g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLNKVTRRGENKVTRTLGSREFMRYYKQKPPPSSQKHIVNSLATRFVSCDKGDSLIWQIGKQWRNLQNDGECLTVKLSGLTSNGKCSIAQ >A02p031720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16661586:16663227:-1 gene:A02p031720.1_BraROA transcript:A02p031720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTASKPTKKRSKPYTVTSSDTDPVPSASSKTKKSSKENDEKYKLFNKYANTSSGVIDPEGIEKLCSKLKVSHTDIKILMLAWKMKAERQGYFTKAEWRRALKALKVDTIKKLKKALPELEKEVRKPLHFADFYAYAFRYCLTDERQNCIDIETICQLLDLVLGSTFRDQVDHFVDYLKIQNDYKVITMDQWMGFYRFCNEISFPDMTNYSLELAWWPLILDNFFEWMREKQA >A01p024550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12201084:12203646:-1 gene:A01p024550.1_BraROA transcript:A01p024550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELEPVALTPQKQDNAWKHCEIYKYGDRLQMRCLYCRKMFKGGGITRVKEHLAGIKGQGVICDQVPEDVRLFLRQCIEGTVRRQRKRRHSTSAEPESLPALPPCEAEPMMMVVQSDANNGFTSPESTEVVVQEGRTKQRPYRRKKAAFLENGGSSNNNGLIGTDMDNNLVPVAISSVKNIVHPASKDRENAVHMAVGRFLFGIGADFDAVNSANLQPMIDAIASGGYGVSAPTHGDLRGWILKSCVEETTREVEECKAMWKRTGCSVLVEELSSDHNGLKVLNFLVYCPEKTVFLKSVDASEILSCPDKLFERLREVVEEVGSTHVVQVITKSEDHYAAAGKKLMVEYGSLYWVPCAAHCLDNMLEEFGKLGWISETIERARAITRFIYNRSDVLNIMRKFTCGNDIVDPLFSASATCFATLGRIAELKANLQAMVTSPEWNESVHLNEASGLAMTATIDDEAFWKAIAMVNDLVGPLLRVLKIACSEKRPGMGYVYAALYRAKEAIKRDLVNKEDYMVYWRTIDQWWEQQQHVQLYGAGFFLNPKFFYTASEEMRGEMVQFLVDCIERLVPDKDIQDKFGKELNSYKNALGVFGRNLAIRARDTMLPAEWWSTYGESCLNLSRFAIRILSQTCSSSVGCRRNLIPVDQIYQSKNSIEQKRLSDLVFVQYNMRLKQLESESGDETLDPLSHSRMDVLKEWVSRDEACVEENGNSDWKSLESVKRSLVPTMDETDNLGSGFDDPEIFKVEKEVRDEGYFTNTSEKAFT >A06g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16150669:16151563:-1 gene:A06g505610.1_BraROA transcript:A06g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSIRLARSVSWTGRVGQCVRSNSSLRRRKDYELSSRNLTLDARILVKRQILGSFIRVFDTMPRDVRDQCDGFRARPRSTHGFRGALTTSTCVSRIVST >A02p002920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1242003:1243488:-1 gene:A02p002920.1_BraROA transcript:A02p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLQFPPPASPSPAASSRSLASPSPSPASLSSSSSSSMRLWRPAAQRNLRNQWSKLSTFRQQWVAACSGGKSHATSLVNAYLSQTFVPAMKFGALSDMVGIKEKTLKKLSKQQSSYRIKLLSSYKEMVAVVVEMVNVSSSLRCYMKPSSGSIIQFSGSKEDSDDAGDCGGIPVFNFLNVSAFENMAEELVEMFKREVMLKRLLVMELVSLSCEVPQPVKLSWSAELYHGEFDDLSKCSLYSNEPILPRLREDNLGISSVSHTNQPTSEILQIYLTTWLAEINIDSHRVDEILAMAGEEIRLTF >A07p027510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15689746:15693206:1 gene:A07p027510.1_BraROA transcript:A07p027510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein 45 [Source:Projected from Arabidopsis thaliana (AT3G53240) UniProtKB/TrEMBL;Acc:A0A1I9LLM1] DIHPDIARGWRTTSRRSCCSWRRVKCDLNNKRVTGLFLGDLYPSGYSNTLPILNLTFLYPFEELQSLNLSMSSLGGWFDQTQGYKSHERFRHLEILDLSYNFFNRSVFLLLNEVVSLKTLFLGGNYIEGGFPVKELINLKNLELLDLKLNNISGHLPGKELTKLKKLKALDLSENLLSGSLQMTGKLEQLQELQVSQNRFIGEIPHCFSRFSKLRVLDLSSNHLSGKVPSFISNFKSMEYLSLHDNNFEGLFSLDLISELTELKVLKLSSKSSLLQVVETSVSSTGLKSQLRSLTLSNCNLSNIPGFLRYQKELRILDLSSNTLSGPVPTWLLKNNTKLQVLLLQNNSFNTLTLPRLHKLQFLDLSANNFNHQLPKDFGLMLPRLRHLNLSNNEFHGNMPSSVDTMEYFEFMDLSYNNFSGKLPRDLFTGCYSLKWLKLSHNSFTGPVVPRSSDVTSLMTLIMDNNMFTGKITNKLRNLRLLTVIDLSNNFLTGTIPRWLGGFFLDILRISNNRLHGVIPPSLFNIPYLWLLDLSGNLLSGTLPLRSDSDYGYILDLHNNNLTGSVPDTLWKGLVLLDLRNNRLSGNIPRFMSTPSIDVVLLRGNNLTGKIPKELCGLRTLRMLDLSHNMLSESIPSCLSNLSGDGGNDPDWYPANMFSNFMDVYTEVYYESLLVSERFGLDYSVDFRVQVEFAVKQRYDSYMRGTLNQMFGLDLSSNELSGEIPEELGDLKRVRSLNLSRNSLSGSIPGRFSNLKSIESLDVSFNKLHGAIPSQLTMLQSLVVFNVSYNNLSGVIPQGKQFNTFGENSYLGNVLLCGSPTNKSCGTTMSSGEKGEEEEDDKTGLIDVVVLWMSLGSTYVTVLIGFMVFMCFNSPWRRAWFCLVDALIDRIKYLLGVI >A05g501130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4149620:4149841:-1 gene:A05g501130.1_BraROA transcript:A05g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALDSELDEIQAVSKEFFGLSIAYIPRSLKFRTNNLAKGV >A03p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5104187:5108789:-1 gene:A03p012910.1_BraROA transcript:A03p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRAPPSPSTDLEPLSERRSLEVFNPSSGSSSSSKPYDGNSKISSSSKWMEFQDSAKITERTAEWGLSAVKPEPGENGISFKLSTEVERSKMSRRSSEESTSSESGAFPRVSQELKTALSTLQQTFVVSDATQPHCPIVYASSGFFTMTGYTSKEIVGRNWILNYKKDGTPFWNLLTITPIKDDQGNTIKFIGMQVEVSKYTEGVNDKALRPNGLSKDSVSNDVMVKPGSATTTPGRQAIQSDEASKSAKTPERVSTPARSRSKSLSSNKRHEDVPSVEPEELMLSTEVIESRDSWDRSEREKDIRQGIDLATTLERIEKNFVISDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQSTVQKIRDAIRDQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPIRNRLSEGTEMQSSKLVRGSSPTAVLKKALTLVTIQVKATATNVNEAVRELPDANMTPEDLWAAHSKPVYPLPHKKESASWKAIQKACIKNHITFDFIQAGGETVGLHHFKPVKPLGSGDTGSVHLVELKGTGELYAMKAMEKTMMLNRNKAHRARIEREIISLLDHPFLPTLYASFQTSTHVCLITDFCPGGELFALLDRQPMKFLSEDSARFYAAEVVIGLEYLHCLGIVYRDLKPENILLKKDGHIVLADFDLSFMTSCTPQLIVPPAPNKRRRSSSSKSRPLPTFVAEPITKSNSFVGTEEYIAPEIITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLVGRQLINMLLNRDPRKRLGTKGGANEIKQHAFFRGINWPLIRDMDPPPLDAPLRIIEKDPKAKDIKWEDDGVLVNSMDIDIDLF >A06p052900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27944013:27945489:1 gene:A06p052900.1_BraROA transcript:A06p052900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLPGGCKNMEEFSAYIHRRINECMRDYKSKEETIQHLMDQFQIPHVMTSIVWDLLEAKYPENFRSHYESCETYQKILEASRIQSYQLIPRFFRDRREIDQQRRSNIQRNDDDATSQAPQCSNGGDALVPRGFKSVQEFSYYVHGRIMKCMRNYKSKEETVKHLLDQYRIAPESTNAVWDRLEQGYQDNFRSYYEACEKNRKLREQEAPKETANAQITAETRSCKSSKRERGKQKKAASTSVAIKETGPASRVSRLGPDVNGAMHMNLEDLLLMLNRSRDGA >A10p003560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1785970:1786472:1 gene:A10p003560.1_BraROA transcript:A10p003560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSHINWSQQQQQQSLLTSAMIIPGLAQYALLLPQALKISPSISSLYNMNFEWDRHASKYNLDRTNRNNLPFGRSQELDLLLSQDMLRCQEILSQKGRRGGGGFRPQFRSNSQSNAS >A04p031390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18512482:18512855:-1 gene:A04p031390.1_BraROA transcript:A04p031390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWRGSMGKVRSFVGNSMGGLRGGQNLASWLVAGTVAYYLWVKPAQDLKKEQEARALLAVADRNDYVEKRKPIADPQVTGLVYGNKNITDDKPQD >A10p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17418476:17419743:-1 gene:A10p027790.1_BraROA transcript:A10p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTDHGGDSVETRSPELRKDPVTNRWVIFSPARAKRPTDFKSKSPENPNPKPSSCPFCIGREHECAPEIFRVPPDNDPNWKIRVIENLYPALSRNLETQSKHTGTNRTIVGFGFHDVVIEAPLHSIQLSDIDPVGIGDVMIAYRKRIEQIAKHDSINYIQVFKNHGASAGASMSHSHSQIMALPVVPPTVSSRLDGTKSYFEETGKCCLCEAKSKHFVIDESSHFVSVAPYAATYPFEVWIVPKDHSSHFHHLDDVKDVDLGGLLKLMLQKIAKQLNDPPYNYMIHTSPLKVAESQLPYTHWFLQIVPQLSGVGGFEMGSGCYINPVFPEDVAKVLREVSLA >A10g501840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4957649:4957822:-1 gene:A10g501840.1_BraROA transcript:A10g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFDARTHVAILDDEGTRVTSPPHLILAGDPAFGSLDQNGAPQLHQTSPQTSLSL >A10g504930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12225414:12225812:1 gene:A10g504930.1_BraROA transcript:A10g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAVDEQDDQSEFTPREAELQGQIDGLQSQVIDLHKAWETTENPELSSEVQSLKEKLGDHSKQLELSAEKLNQLQTENAALRDQNKAPNTASNKKRRFNTRVRPMGSLSTPNTGEGTTNATLASGAAGATR >A08p025400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16387589:16388836:-1 gene:A08p025400.1_BraROA transcript:A08p025400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRTSNSSKKKHENNVAQDVIVQDTIVLATTLEFDAFEVGAKKNAVAWVQDAVVAGFSQFNLFKEPGKQEDTTAHQDHHCKNRLKESLSLAEKLSYSEFQANGSFKYLENSLKKGLKAVNCWLDDLIKNRCEASEKVKELRKKLHQFLLKHIESATGETM >A01p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:454100:455631:-1 gene:A01p001210.1_BraROA transcript:A01p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVKPSQLSSSSSSSSPLCSLKGSLLTLAVLTFLSLFYLSLDSLRTSPPSPIVVGANHLPHTFAKEEDENYSDVYHSPESFRSNYAEMEKRFKVYIYPDGDPNTFYQTPRKVTGKYASEGYFFKNIRESRFRTLDPEEADLFFVPVSPHKMRGKGTSYENMTVIVQTYVDGLIAKYPYWNRTLGADHFFVTCHDVGVRAFEGSPVMIKNTIRVVCSPSYNVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRINRATGHLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLRERDVYDLKEILKKITQSEFVSLHNNLVKVQKHFQWNSPPVKFDAFHMIMYELWLRHHVIKY >A09g513500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41104194:41104570:1 gene:A09g513500.1_BraROA transcript:A09g513500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A07g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6269956:6281897:-1 gene:A07g503140.1_BraROA transcript:A07g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCGVDQMAKESIRISRILVMLPLPSTDIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNPTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPSGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGDFKKKELKLHFAYMSPYQVLEYHMEFLETFGGIWSSKMSRPNRSDAVKSLWLPLRSDLTRATGRSRSPFWFSETRNGSRSDLSQRPSEVAPEAWSDLSERQGEVARLFGSRRHEIALGATSRSDPARSLSKPGATCRSDGPRSLRVSILLELMISQGPFATKRRIIFVLRKNHQKPLESHLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEI >A06p041250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22215603:22216494:-1 gene:A06p041250.1_BraROA transcript:A06p041250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQSGFYPLSTTPSLGNVVERMKEACHLHVSALLGTIISANLIFFFALVGTLLGAFTGALIGQETESGFIRGAEIGAFYGAVVSIEVFQSSIQLWRLDESCLVYLHDVVVSLLSGTLVRERIAPAIRQRISLLETGGSTLTITGNNNADDSGNKESCSICLQDYQRGEIARILPSCRHMFHLPCIDSWFLEHRSCPLCRRDF >SC133g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:44882:46838:1 gene:SC133g500030.1_BraROA transcript:SC133g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSDGCNGLLGSLGSSLNSPPQAWIDYKSKLASELQGNFSPVAHYHSFLNSPLLHTMAKSSSFNSDGCKDKTQVHWIQLIIFSNHTLSSGFSINTHHTNQAITQHKLIIKKVLRIAYTRNQVGSLSLQRQSGHDMVGFKSLGRHPTPSPSVHGLLLVSLTQRPLLSSYFKPASLSFIFLVSGCDKLLDKELWLEVHDASPSSSASKETLSIRFMVVAPFSLQQETACPRPELANHTQGSSHWLFASTKHLLDSL >A06p005700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1840995:1841294:-1 gene:A06p005700.1_BraROA transcript:A06p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTGAICIAFVLIFVSSLARTNAVVEEGTNGVCVPGELKPCIPAVQTGSKPTTECCGVLKKQQSCLCGYIKDPRFGQYVKSKYAHMVLSTCGVPYPKC >A10p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19654790:19657156:1 gene:A10p033650.1_BraROA transcript:A10p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIVITAIVLLQASVLLHKSTCDVVFLNTQEESLAPQPSSPAFTPALSPGYQQGEHKQNSDNMRLVVSLGASFSLVAIILVCSLWVFHRRRNLKNSGCECGFSRFLNRSSHSKTLDKRSTKQGVVPLIDYSTIEKGTNGFSESNILGRGGFGCVYLATLDNNVQAAVKKLDCDNDEAAREFKCEVEILSKIQHPNIISLLGYSTNDTARFIVYELMPNISLESHLHGASRGLAITITWPMRMKIALDIARGLEYLHERCHPAIIHRDMKSSNILLDCNFNAKISDFGLAVVNGPKKKNLKLSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGKKPVEKIGPGECESIITWAMPYLTDRTKLPNVIDPAIRDTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVTMELGGTLKTIRRSASMDHC >A02p001300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:596334:598943:1 gene:A02p001300.1_BraROA transcript:A02p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSTCLIISPRLTPSLFSPRNNPLTRLRSPLDHHSFPRIFTSRGIAVVKAASIDKVIKPGGLVESDKLATDVRKRAMEAVDECGGRVTVGDVASRAGLKVTEAQKALQALAADTDGFLEVSDEGDVLYVFPRDYRSKLATKSLRIQIEPFLDKAKGAVDYLTRVTFGTALIASIVIVYTSIILLLSSRSEDDNRQRRRGRGYDSGFNFYFSPVDLFWYWDPNYYRRRRAREDEGKGMNFVESVFSFVFGDGDPNQGIEEERWQMIGRYITARGGVVAADELAPHLDLPSSKSSRSDESYILPVLLRFDGQPELDEEGNILYRFPSLQRTASGSTRRKEYVGKWFDFVADMDKFFKEKKWQFSKTSSTERAMVIGLGAVNLFGVIVLNTLLKEMAFRPSGFITFVKNIYPLLQVYAGSFFVIPLVRWLSVKRKNDQIESRNKARLQFARALESPDIALRRKLLSARDMAQKTVIGKERIVYSTERDMTEQNYEADEWDRRFREVDKSD >A01p043480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24875429:24876146:1 gene:A01p043480.1_BraROA transcript:A01p043480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVLELSQSKLRGLNHEFKARSLTKRFDTESSKLISLRGSIDAIVHCKKALTISLVYITESQPQLGVDFNLLLHDGCWTKVRELYMGDNHDPSLVTLWEQLELGKSCVQILWMRHLINCYGKITNSGRNSYN >A04p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19425766:19427504:-1 gene:A04p033400.1_BraROA transcript:A04p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ homolog 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G36250) UniProtKB/Swiss-Prot;Acc:O82533] MATYVSPCFTPSDTRLLTVLRKNVSPLGRAHSLKMTETKKNGFFVAAAQRPESSPRHSHSQDPFLNLHPEISLLRGEGGGGGGGGGANTVSNPRKEASSMVPLTEDFDEPSAPSGYNEARIKVIGVGGGGSNAVNRMIESEMMGVEFWIVNTDIQAMRMSPVLSENRLQIGKELTRGLGAGGNPEIGMNAAKESKEAIEEALYGSDMVFVTAGMGGGTGTGAAPVIAGIAKAMGILTVGIATTPFSFEGRRRAVQAQEGLASLRDNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVVDPSLTGQVSITLIATGFKRQEEGEGRAAQMAQADTASTGATRRPSSSFREGGSVEIPEFLKKKGSSRYPRV >A07g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17295663:17297127:1 gene:A07g506820.1_BraROA transcript:A07g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCWVGVVATMGESAEGFRERYVNTLKVLAQKYPSENLLLITHRGGVSTILYKYLQDATKRLVDYCGCVDLRRQDGFGESVDFEVVTSHGVSFREHNVPIHDPVISQSPT >A09p060070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49956149:49959250:-1 gene:A09p060070.1_BraROA transcript:A09p060070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQLLFLFISLLILNSESLNCNGNSPQGHPSDLRVFHIDSPCSPYKQPNTVSWESTLIKDNARLQYLSSLAVGKKPWVPIASGRSIVQSPTYIVRANIGTPAQPMLVALDTSNDVTWVPCSGCVGCASSVLFDPSKSSSSRTFQCEAPQCKQAPNPTCTVSKSCGFNMTYGGSTITASLTQDTLTLTNDAIPGYAFGCINKATGTSLPAQGLMGLGRGPLSLISQTQNLYKSTFSYCLPNSKSSSFSGTLRLGPKFQPVRIKTTPLLKNPRRSSLYYVNMVGIRVGNKIVDIPPNALAFNPATGAGTIFDSGTVYTRLVEPAYVAVRNEFRRRVKNANATSLGGFDTCYSGSVVFPSVTFMFAGMNVTLPPDNLLIHSSSGSTSCLAMAAAPNNVNSVLNVIASMQQQNHRVLIDLPNSRLGISRETCT >A09g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7385919:7387746:1 gene:A09g502060.1_BraROA transcript:A09g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIHLPVSLPPSHICVCCLSIDRATEDDDASSFQEISPTAPRRRNFHLLIVFSQISLWSPRLPLGLVDLLFQVAKEHGGIMRFIQVSCLGASVSSPSRMQRAKAAAEEAVFSALPEATVMRPATMIGTEDRILNPWAMFVKKYGFLPLIGGGTNKFQPVYVVDVAAAIVAALKDDGSSMGKTYELGGPDVFTPHDLAEIMFDMIREWPRYVKLPFPIAKAMAGPRDFMVNKVPFPLPSPQIFNLDQINALTTDTLVSDKALTFQDLDLVPHKLKGYPVEFLIQYRKGGPNFGSTVSEKIPTDFYN >A04p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6984342:6985797:-1 gene:A04p010880.1_BraROA transcript:A04p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEEVDLASKGPILEYTEALRVVSMVVGAVNFLCDAAWCLVSALVVFSFKGAHAQSSRERFFSGCYSPFAHPPHCPDQVYAPAISRVISNLPRTRFSATICLSKDRWSYSAEKTGWSSLIQNKTLSQTKTLVCNRVRVSTVVSSRRLRWGLEILVSQCLFGLFGRFTKIHGSPALWRVGGEFLFKRHNVVGRFGLRYEMQVSYAQLDARSEDGR >A04p007940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8598398:8599887:-1 gene:A04p007940.1_BraROA transcript:A04p007940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) protein, Abiotic stress toleranc [Source: Projected from Oryza sativa (Os03g0322900)] MASGQREAEKEAKAERAEAAARLAADDLRDVNEGGVTYKVTEKTTTEHPSAVVEETERPGIIGSVMKAVQGTKDAVIGKSHDAVESTKEGAEVASGKAGEVKDATGEKAAEVTDRTANKTKETADYTAEKAKEAKDKTAEQVGEYKDYTAEKAKEAKDRTAEKTKESADYTADKAKEAKDKTAEKVGEYKDYTADKAREAAEKGGEYKDYTAEKAKEAKDKTAEKTKETAGYTADKAKEAKDKTAEKLGEYKDYTAEKATEGKDAGVSKIGELKDSAVDTAKRAMGFLSGKTEETKQKTVETKETAKEKMNEAGEEARRKMEEMRLEGKELEEEASKKTQEKTESAADKARETKDSVSQRGEEGRGTIMGALGNMTGAIKSKLTGTTPSGDDVGSGKTTVTVDVVEDTRPGQVATKLKAADQMTGQTFNDVGEMDEEDRKVNVTVGDKGKL >A06p023470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11710176:11714355:-1 gene:A06p023470.1_BraROA transcript:A06p023470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSVNGQLVPAAATSSTTPNSIYPRRKFLAPSSSRLPRISSPSPRVPSIKCSSRDTEPSPKDSLLKSLAKPVAVASVSSAASFFLFRISNLPSILSSGGGGGGGGDGNFGGFGGGGGGGDGNDGGFWGKLFSPAPAVADEEQSPDWDSHGLPGNIVVQLNKLSGFKKYKVSDIVFFDRRRQTSIGTEDSFFEMVSIRPGGVYTKAQLQKELETLATCGMFEKVDLEGKTKPDGTLGVTISFAESTWQSADRFRCINVGLMVQSKPIEMDTDMTDKEKLEYYRSLEKDYKRRIDRARPCLLPAPVYGEVMQMLRDQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQYQDKLGNVVEGNTQVPVVRRELPKQLRQGYVFNIEAGKQALRNINSLGLFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGAPTLASFQPGGSVTFEHRNIQGLNRSLMGSVTTSNFLNPQDDLSFKMEYVHPYLDGVYNPRNRTFKTSCFNSRKLSPVFTGGPGVEEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHIAANGQRLLPSGGISADGPPTTLSGTGIDRMAFLQANITRDNTKFVNGAVVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFIQLQQVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFVLGGPYSVRGYNMGELGAARNILELGAEIRVPVKNTHVYAFAEHGNDLGSSKDVKGNPTAVYRRMGQGSSYGVGVKLGLVRAEYAVDHNNGTAREKEDEVSKEWTKLVLDIAYDVEDVLDCYNLKVVQRSQRRGLMRLIKIFGDSYRITDDMGSLKRRISDLTRKRETYGIGNFNEPPQGGGNISSLKMSELRLLDPLIRKSLLLLLDDDDDVVEKRYIFSILGMRGLGKTAIARKLYNSGDVKRRFEYRTWTYVSQEYKTRDMLVRIIRSLGIAIEIELKMFSEEELEDYLNDILDGQIYFVVVDDIWEMRGRA >A01p050260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28333163:28336281:-1 gene:A01p050260.1_BraROA transcript:A01p050260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLHGTLHATIYEVDALHTGGLSSGFLGKIRANVEEAIGFGKGETQLYATIDLQKARVGRTRKITDEPKNPKWYESFHIYCAHMASDIIFTVKDDNPIGATLIGRAYVPVDEVINGEEVEKWVEILDNDRNPIHGESKIHVKLQYFGVETDRNWNMGVKSAKFPGVPYTFFSQRQGCRVSLYQGAHVPDNFVPKIPLAGGKNYEPHRCWEDIFDAITNAKHLIYITGWSVYTEITLVRDSRRPKPGGDMTLGELLKKKASEGVRVLLLVWDDRTSVDVLKKDGLMATHDEDTENYFNGSEVHCVLCPRNPDDGGSIVQNLQVSAMFTHHQKIVVVDSEVPSQGGGGSEMRRIMSFVGGIDLCDGRYDTPFHSLFRTLDTVHHDDFHQPNFTGASITKGGPREPWQDIHSRLEGPIAWDVLYNFEQRWSKQGGKDILVKLRELSDIIITPSPVMFQEDHDVWNVQLFRSIDGGAAAGFPESPEVAAEAGLVSGKDNVIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFAWAADGITPEDINALHLIPKELSLKIVDKIEKGEKFRVYVVVPMWPEGIPESASVQAILDWQRRTLEMMYKDVTQALRAQGLEEDPRNYLTLFCLGNREVKKEGEYEPAERPDPDTDYMRAQEARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGGYQPHHLSHRQPARGQVHGFRMSLWYEHLGMLDETFLDPSSLECIEKVNRIADKYWDFYSSESLEHDLPGHLLRYPISVDNEGNISELPGFEFFPDTKARILGNKVDYLPPILTT >A10p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14556601:14558334:1 gene:A10p021860.1_BraROA transcript:A10p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPMRFNLRTAFSIIFLTFLPLNLKSQEVFDPSQDHSLIQSEASWNRRSLVETPPLPGKGPAVGASPPSPDQAFEGSTKPPPAPETQTPPGGDGTPSPPPSSVRTAQTPNPPSEPPPQLLSPPPRTKKTHNISMIVGIVVGVFTVSVALIIFFLIHTRKIPIKPWTNSGQLQNPLITGNHTLFNVPRMQLSELQAACEDFSNIIGSFSDGTIYKGTLSTGAEIAVVSIAAGSRANWSTDMETQLLQKIRKLSKVDHKNFLNVIGYCHENEPFHRMLVFEYAPNGTLSEHLHSQHTEHLDWPTRLRIFMGIAYCLEHMHNLNPPILHTNLDSSCIYLTEDNAAKVSDFSVLNFISPSKESSSSKNLLEHSTLDPQTNVLNFGALVFEIITGRLPDPDSLFLEPKPARDLVDPTLKTFQEDVAERLLGVVRQCMNPYSAQRPTMRKVVVKLREIIGIEADAALPRLSPRWWSEMEIITTDGN >A07p034170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18598367:18599108:-1 gene:A07p034170.1_BraROA transcript:A07p034170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQEGTLYTRKRDFTIYGEEFHNSFKKSKQEDPSQGKLQSTMFNERPKSESMRSITFDFELHLHTPLPSNCQKSIEAKGYSRASEDHSSYPKDPVIVGRPKMSLDLELNLSPSTITTKIDESTNHNKTVSSSEGKNLTSQSKKTTIGTGLNRSLSWLAFEGGDGDQKEQEMVTKVCMKCHMLVMLCTSSPVCPNCKFMHPHDHSSTKLFKPSNLLRLMC >A06p022940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11919096:11919436:1 gene:A06p022940.1_BraROA transcript:A06p022940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PSY2 [Source:Projected from Arabidopsis thaliana (AT3G47295) UniProtKB/Swiss-Prot;Acc:Q8LE92] MSFGVRLLLFLILTLPLVTSSGRNTPSVSGIGKTGVAKRLLMVSIEDYGDPSANTRHDPSVPTNAKADTTP >A05p036530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20712234:20715009:1 gene:A05p036530.1_BraROA transcript:A05p036530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chitin elicitor receptor kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G21630) UniProtKB/Swiss-Prot;Acc:A8R7E6] MELRIQIATLLPLLLLLFWPSSLFFTVESKCSGSCNLALASYFLENGTTLSNINQNLNSPEAPYDQINFEPILRYNPSITNKDLIQMGTRLLVPFPCECQPGDFLAHVFRYSVQPEDTYDIVATEHYANLTTEESLRRTNTFPATNIPPSATLNVSVNCFCGNESVSKEYGLFVTYPLRPEDSLDAIASSSGVPAETLQRYNPGVDFRSGSGIVFVPGKDPNGTFPPFKSSDKGGGLGAGVIAGICIGVLVALLLISFVIYYVYRKNKKQESHSSSIPLSAKVDQASLQSGDLVGTGVAPGLAAISVDKSVEFTLEELAKATDNFNLSFKIGQGGFGAVYYAELRGEKAAIKKMDMEASKQFLAELKVLTRVHHVNLVRLIGYCVEGSLFLIYEYVENGNLGQHLHGSGREPLPWTKRVHIALDSARGLEYIHEHTVPVYVHRDIKSANILIDQNFRAKVADFGLTKLTEVGSSATRGAMGTFGYMAPEIVYGEVSAKVDVYAFGVVLYELISAKAAVVKMNQASGEFRGLVGVFEEVFKEADKEEALRKIIDPRLGDNYPFDSVYKMAELGKACTQENAQLRPSMRYIVVALSTLFSSTGNWDVGNFQNDDIVSLMSGR >A09p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10765685:10768849:-1 gene:A09p020360.1_BraROA transcript:A09p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like-specific protease 1D [Source:Projected from Arabidopsis thaliana (AT1G60220) UniProtKB/Swiss-Prot;Acc:Q2PS26] MTKGKHEVEKESDSSDKKAFTIDWNSVLEDGGGKEHQVPELVIVNNQNPLPGDQMDCHRNLTDHALDELLERNKSHLVKLGPGLPDNGEKIRLNIASLEAEKQRRVLHLSNMDADRSSKLMHASTSGSDVFTRGNAASTEASRQTNTDSKEVSRSTFAAVFSKPKPDDTRSTKAFCKELEDLGCASVKPKAEKKIVTRQKNEWRILSKAVEEKQTGNHKSKGSYGRKKYKESCTYSLLDDDDDDSNGHETPKEWSWEEYPSQSSKRRKKADDSVINIDEEEPQPSTVADQTVELPEGLQEDICYPSSDDPHFVQVCLKDLECLAPQEFLNSPVMNFYIRFLQEQQVSNYCHFFNTYFYKKLSDAVTNKGNDKAASFLKLRRWWKGIDLFRKAYIFIPIHEDVHWSLIIVCIPDKEDESGLTILHLDSLGVHPKRSIVENVKRFLKDEWNFLNQDDDYSSNLPISEKLWRNLPRRINEADIKVPQQKNDFDCGPFVLFFIKRFIEEAPQRLKRKDLGMFDKKWFKPDEASALRTEIRNTLINLFCVSDQTDRHNDPSDDNGASKSRDSSPL >A06p008910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3117936:3118328:1 gene:A06p008910.1_BraROA transcript:A06p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFLDRGSVGEDDQSGREETDRHQESDEVQLYEDAKSKDKTLKIYEGMMHPMLFGEPDDNTEIVRNDIVSWLNDRCGGDKTQD >A09g516120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47658747:47660265:1 gene:A09g516120.1_BraROA transcript:A09g516120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRWPWEEMTTMAVGRDEDDGRGKRWSSDDGRWMSDRRQCFWSFSSFSFHHRRWPFDVRQATMLLDDVFSASSSSASVSAPSPFGAAPASGSAPLFGSSSSLFSAPSSAAGSSSPLFATSSSSATTTQTSLVVASTTGTSTTVAAPVAGAPKLPSEITGKTVEEEWNTELEERTGSFRKQANAIAEWDKRILQNRDVFLRLEEEVDTIIPNIPRDGPRRLVKNAVRDWSATFRTASRAGQVRRVPPKDKPAAVRDGTGRESPIDISNCNCFIL >A10p001000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:521691:523175:-1 gene:A10p001000.1_BraROA transcript:A10p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAGGAPCRRRRLLRRSASASLLFFCSIFVSSFSISFCNSLIPVLSMDTYGSSRQPASPPPRFNPRRSLDGLSHSRPPISSTEYMSGSPSVVSLLLSPPLPSAPRRQVSPVCRFESIRSELHSVVDLLRSSSDTLTSTPPQSLTLHLAVLKAPTHFLIWSHRSHRNGFNTSSLSPTHLWFPLWYRFAIHRCISPSLNRYAASPTIGTIVTLRLTCTTDTTKIYVASFPSYSDKILQSFDHLLGFGLYAEASIVKFSSKATTAQKIHSSSTDGITPLSLVAGSIVQECGFARFSRYYVTAASPLHYAVSSIDGSSHSQLYGPVQECGLASSSCCYVIAAPPSHYAVSSIDGSSQSQPYGAPIPILVAETIVQECGHARFARFYVIVASPSHYAVSSIDSSSQSHLCDFPTGAVIFYGVSRNSCFQNPLVGLFNVDFDLCAFLRTRALGLQVKCLYGSLLSLATSIFRHVLVIFVYQFIVENLSSCNRLSPLGL >A08p032990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19914518:19916201:-1 gene:A08p032990.1_BraROA transcript:A08p032990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFTMSLNLLLLVAMVATNILSLYHLSSTTNFFQSAVKSSPSSVPTVPDHLLRQLHTIRAAINHLTNHNPDKSTSTASSTVSSSAPPKDLLLYSKLSPIASACHNYPDLLHEYMNYAPFSLCPSDADLAEKLILRGCHPLPRRRCFSRTPRATDSKPDSHVIWSRYSSCKTFDCLAAKLPGAGFDLSAEKSKSQFSAYKSELDLPISQLLQIAKSANSVLRLGIDVGGGTGSFAAAMKARNVTVVTTTMNFNAPYSEAVALRGLVPLHVPLQQRLPVFDGVVDLVRCGRAVNRWIPVTVMEFFLFDLDRVLRGGGYLWLDRFFSKKVDLENVYGPMIGKLGYKKVKWAVANKVDSKHGEVFLTALLQKPGTFLECQNVYVCSKQLTVVEDLGDKILGTIIDMKLCPAVSTEATMCSKQVVILMPSLFYLLLLEGLISLYIILWLLSVIANICIHIIRKTMFFVQGSLLQI >A03p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13682306:13682873:1 gene:A03p032350.1_BraROA transcript:A03p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICGHGTLASAHTLFSNGLVGSSETVEFSTNSGILTAKRVDDCETKGSFLIEVNVPVITTCEYSSNDFSMFSKAWDGATIVDVRGTTKDKLVFQPLKGATKSTSTDQIMVVLSSWESVAELQPRTDEIMKCPGKVMIVTAAAPEGSTYDFCSRLFAPKLGLNE >A10p022140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14663766:14664857:1 gene:A10p022140.1_BraROA transcript:A10p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRVPAPSSFNLQRFNSGALERRWKLGRDCFLSFTPNRIGLGVRSTKSEMAPFTAAEEETLPEGLQPELMPKHVAVIMDGNGRWAKNQGLQPWDGHRAGVEALREIVELCGKWGIQVLTVFAFSTDNWIRPKIEIDFLLSLFERTLKSELETLAKNNVRISIIGDSSKLPNSLLKVINEVEEVTKNNTRLQLIVAVGYSGKYDVLQACRGIAQRVKDGEIEVEEIDEILIEQELETNVTEFPYPDLLIRTSGELRVSNFLLWQLAYTELFFAQEMWPDFGRNGFVEALMSFQQRQRRFGGRKS >A03p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13885375:13888116:1 gene:A03p032820.1_BraROA transcript:A03p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPGAKTEARRSRYKVAVDAEEGRRRREDHMVEIRKNKREENLQKRRREGISAAAQTEQDLTYEKRLIENLQGIVAGIWSEDCNVQLETTTLLRKLLSREHYPPINDVIQSGVVPRVVSFLSRAEFPKLQFEAAWTLTNIASGTSENTNVIIESGAIPIFVHLLSSPNEDVREQAVWALGNVAGDSPKCRDFVLSFGAMLPLLSQFNKHAKLSMLRNATWTLSNFCRGKPQPSFEQTSQALPVLKSLVQSTDEEVLTDACWTLAHLSDNTNETIQAVIDAGVVPRIIQLLAHTSPAVLIPALRTIGNLVTGDEVQTQTVVDHQVLPSLLVLVTNTYAKSIKKEACWTISNITAGSSNQIQAAIEVGVIQVLVWVLQNAEFEVKREAAWGISNATSGGTHDQIKFMVSVGCIRPICDLLTCPDPRIIMVCLEALENILKVGEAVKSSGLTGDENLFGILVEDAGGLEKIENLQSHDNDDIYQKAMKILEETYRNGVNVK >A09p060760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50296348:50297470:1 gene:A09p060760.1_BraROA transcript:A09p060760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDSVTVDQNSCDGADSSTLPPPPQLSSKVLTLPTVLTLGRVAAVPILVATFYVDCWWGRTATTSLFIAAAITDWLDGYLARKMRLGSAFGAFLDPVADKLMVAATLILLCTKPMDAVVVLGPVPWLVTVPSVAIIGREITMSAVREWAASQNGKLSKAVAVNSLGKWKTATQMIALTILLASRDSSFERLLPSGIGLLYVSAGLSIWSLVVYMRQIMRVLLKK >A06g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25635842:25636099:-1 gene:A06g509010.1_BraROA transcript:A06g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVILLRRPCEDSCVDELVSGMAVLREISLLDAEALLGRAAAELGGGSLRVGSLVDFGGRGARQL >A08g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5466129:5469668:-1 gene:A08g502550.1_BraROA transcript:A08g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase A2 [Source:Projected from Arabidopsis thaliana (AT1G62290) UniProtKB/Swiss-Prot;Acc:Q8VYL3] MVTVRERLRVNVEDVNMWRNGSGVGLKKLKLDPTNRLATRFGSKQEKAFRSSLKEFRSNDKNAGDADIVALKNYLDAQYYGEIAIGTPPQKFTVIFDTGSSNLWVPSGKCYFSENVQQSITDLDPSLVSSVMMLSRLEFIEATSEPGLTFLVAKFDGLLGLGFQEISVGNATPVWYNMLKQGLIKKPVFSFWLNRDTKSEEGGELIFGGVDPKHFKGEHTYVPVSRRGYWQFDMGEVLIAGKSTGYCGNGCTAIADSGTSLLAGPTAVIAMINKAIGASGVVSQQCKTVVDQYGQTILDLLLAKAQPKHICSKIGLCTFDGTHGVSMGIESVVDKENTKSSSGLRDAGCAPCEMAVVWIQSQLMQNMTQKRIVNNINDICERMPSPNGESAVDCSQLSKMPTVSFTIGGKVFDLSPEEYVLKIGEGPVAQCISGFTALDLPPPRGPLWILGDVFMGRYHTVFDFGNEQVGFAEAA >A03p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9616257:9617779:-1 gene:A03p022810.1_BraROA transcript:A03p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEIRSSVFAKTVRFNGVSQPLRHVKARAFDSGFKVVPTKELCRVYLHKTELLASKGIIDARAKPPHSQKPKQRRFGGRFDQQNLNLKPKKLRQHTNAEKKESSPRRFSPLGFGSDSQLRDSRRKGQYIVTPEKKKPNEMSKLCNVTTTTTLVQKKDGAVREDGSKLIVSTSRRDSVLSLERNRFAQDVKFRHVSPPGSISKDESEDSSDCSTASSPGCQTDEAHQPSPVSVLEPMFNDDVLDDDSEELPYLDLHGIEKQLDDLKTESDSYSDGSGMEVSSDDEEPVIASETEDSEQVRLLDTQERRESSYIDDILSEVSLMDKNCVPDTLTFKVFEKMEKKYYTETSWKRSERRILFDRVSSGLAEILESFTATPTWKKPLSRRLGVELSTCGLKQELCKVLARQEKLAKKESFNKVAVIDLDDWFKLEDDDDERVVCELESMIVDELLLEVFM >A07p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9473392:9475111:-1 gene:A07p015230.1_BraROA transcript:A07p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLKKWCLVSMFVLYLGLRFKVKAEPQVPCYFIFGDSLVDNGNNNRLASIARADYYPYGIDLGRPTGRFSNGKTTVDEIAELLGFDNYIPAYSDVSGEQILQGVNYASAAAGIREETGQQLGQRITFSGQVQNYLNTVSQVVQILGDENSAADYLRQCIYSVGLGSNDYLNNYFMPQFYSTSRQFTPEQYADDLINRYRDQLNLFKRDPSSSVLTPDPQYFFVFMKALYNYGARKFALVGVGAIGCSPNALATGSPDGTTCVESINSANRIFNSRLKSMVQQLNNDHSDARFTYINAYGVFQDIIANPSAYGFRVTNAACCGVGRNGGQLTCLPGQGPCPNRDEYVFWDAFHPTDHANTIIAQRSYNAQSSDDVYPIDISALARL >A05p002910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1032207:1033202:-1 gene:A05p002910.1_BraROA transcript:A05p002910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERLEEIGKKIKREADAWPNQMAGIRRHISGPPGALNTITPCAACKLLRRRCAQECPFSPYFSPYEPLKFASVHKVFGASNVSKMLMEVPEGQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQALQAELTAVRSEILKYKQREAVATLIVPSNSQYHNSGGVSVIALPPQTPSTPPQPTAAHPPPPPSSCVYSQPTTRALEYGEIESENNSYFG >A08p026470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16870436:16872003:-1 gene:A08p026470.1_BraROA transcript:A08p026470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNDEGEKLKMGSLLSPGWADLTRECLIDIFSRLTVDQRWIGPMLVCKTWMNVCHDPLFNTIFDLETRFQSFPESINWWNPEFEDKVDSFLRSVVDRSEGGLTEIRVRHCTDRSLSYAAERCPKLEVLWIKSCPNVTDASMTKIASNCPNLKELDMSYSYGISHESLVMLGRNCNNLKILKRNLYPRLDPNMPTIIAPLDYLATYPKHANVEAEVIGRHMPQLKQLEFRYTTLTAKGLALVCEGCSDLEYMDLYGCISLRSEEITRWTSSLMSLREINKPNFMFPMAILRMSRPDHPRYG >A01p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10951584:10957307:1 gene:A01p022220.1_BraROA transcript:A01p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAPSQSDQSRATTSSHSQPERPARATSSSHSRFDAARHEKMRRERPSGATMLGHSACFAWTIFMLFQGPFGHFHWGQDNLFSRGELEDPPAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQSPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVAPSQSDQSRATTSSHSQPEQPDQVTRVLTRRDTKNASGAISWSDYARSLRVFCLDDFY >A07g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2198851:2200204:1 gene:A07g501090.1_BraROA transcript:A07g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWRQLKESWTSDPGAGRVPVQAQSASSSQLGGVFRSGPVWPEPSGYQDVPAARVGQWGPCAPGSNQGLGKSLGDGFNGGNCRGSIWAVMGRFLGRFWAKMCKGTSGTISANPLQPIAQAIVRERETKRERDRRPKEKPSGGLICSGEGLAVRDKACEVDRRQKEKEKEKEAAQGDRKRKVQTWTVVKERIREDSSHGKMCGDWVIVDRCEESNWIGRLCKPRSGWIEESRIRSYIHHPNYKLHDNHLPCIVDVTSDLDCFFLADECYDLIFETEKLDIVRVNFVRDYHEVCFDMSYLCASNDLKTDFVITE >A02g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16692665:16703786:1 gene:A02g505700.1_BraROA transcript:A02g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREYASEERVCLAMLILVESILLQKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRDKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKILYIFLNAFLIFDKQRSSGTPLSPMSHTQPSSETPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQPSTPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHTQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKQKNPQTEHLQTSAIDFSETNEVEVSMLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQKLQDTITADKIRPATPTSDKKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYISMETILFKHSDLRIHREWNDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKWTLRKRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDGNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVPYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTTYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLTEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETHGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYSVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSTEQIYFNHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEMEVKQGKSVKPSQDDHAKKGKPDVGKKKKSNAQPVDLLPFLQREEKRPIRPRNPPISVTPEVILPIDPFVTPEFPRFSRLAHWMDLRDIYRVPFYINGKEIEKEFFQKMDDAENNLNKEHINVAFKMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVGYAYESVRKPHKKKQTLLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSYHYIGVEIQLMDNTITLFHCGFPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKIKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A01p030030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21184039:21185660:-1 gene:A01p030030.1_BraROA transcript:A01p030030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >SC212g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000070.1:69324:71030:-1 gene:SC212g500030.1_BraROA transcript:SC212g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTVPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGETSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMIDENALSDTDDYSDEETSCSDPSSVFHVESFTQAYDTALKSRTRRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGEVIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRRQVSAVILHSGKNLAAGTKNNSDIGKPDDADETGKSNSHPIFLDELEPNPSQENRKTTTEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTSTVDQQPEKPIDRRSTQPEPIIER >A08p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3185412:3186459:1 gene:A08p005560.1_BraROA transcript:A08p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSPLAHGTGLEPPRSDTLGDASDSKLPSDLTGVSSAATDSQKRGRGRPPKPKSSDSQLNGAVPARKPSGRPRRNRAPAAAVPTSVVKRGRGRPKRSNTLSGTETVVDGSRKRGRPKKDDVAGPAKKRGRKPKSEQVAKRSVGRPKKATERSAGQGAADPKEIKKKSALLQKKVKQAAEKLKIAVSAIEEVQELAAGM >A05p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6255631:6261588:-1 gene:A05p014340.1_BraROA transcript:A05p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIMSGLNLLFPFLSLFVIFTPSFTLVAGLSGCGPHHIQALVQFKNEFDSSGCNQTDYFNGVMCDNITGEVTKLQLPSGCFTGVLKTNSSLFGFNHLRYLNLSYNKFTSSSLSSGFGSLNKLEVLSLSSNGFIGQVPSSLSNLSRLTELYLDHNELTGGFPLVQNITSLSILNLSLNHFSGAIPSSLLTMPFLSYLNLDENHLTDPVEVRSSSTSSRLETLHLRKNPFEGKILDLISNFTTLKYLGLSFQNISYPINLKFFSSLKSLLRLDLSGNSVLETSISSDSDVPRNIEKLLLSSCDISKFPKFVRSLDRLEHIDISNNKIKGKVPEWLWNLPHLIRANLVNNAFTHLEGSNDVLTNSSLRILDLALNHFEGPVPTPPLSINLFSAWNNSFTGNIPLSVCNRSSLVILDLSYNNLSGPIPRCLSNLKDSLVVVNLRKNNLEGSIPDMLYNGSLLRTLDVGYNQLTGKLPRSLLNCSSLRFVSVDNNKIKDTFPFWLKALPGLQVLTLRSNKFYGPISLPGEVPLAFPKLRILEISDNNFTGSLPPNYFVNWKASSLETNDDGRIYMGDYNNAYYIYEDTMDLQYKGLFMEQGKVLTSYATIDFSGNRFEGQIPESIGLLKALIALNLSNNGFTGHIPLSLENVTELESLDLSGNKLSGTIPKGLARLSFLAYISVAHNQLIGEIPQGPQFSGQAETSFEGNAGLCGLPLQGTCFAPPPTQQFEEEEEGVLNWKAVVIGYGPGLLFGLVIAHVIASYMPKWFVKIVGPDKHKEVDPASLFDSLDSSWDSSSNKNNVESHIFTLVVGLSGCHPDQIQALKQFKNEFDSRNCNQTDYLNGVQCDNATGAVTELQLPSGCLTGVLKPNSSLFHLRHLRHLNLSHNNFTSSSLPSEFSNLSRLEVLSLSSNSFIGQVPSLFSKLTWLNQLDLSHNQLTGSFQLVQNLSKLSILDLSYNHFSGAIPSSLLALPFLTRLDLSENYLTGSIEVLNSSSSLRHLSLSHNHFEGQILEPISNLITLKFLDISFLNISYPIDIKIFSSLKSLLKLVLSGNSISSTSLGSDSDVPLSLEKLGLSGCNIREFPNFLQSLHNLEYIDISNNKILGKVPEWLWSLPRLSTVTLLNNSFTGFEGSTEVLVNSSVRILDLALNHFKGPFPNPPNSLTVLSAWNNSFTGSIPLEICNQSKLALLDLSYNNFSGSIPRCLSNLQNSLIVVNLRKNNLEGSLPDNCYDGALLRTLDVGFNKLTGKLPRSLLNCSSLKFLSVDNNNIKDTFPFWLKGLPNLQAFTLRSNRFYGPISPPGQGPLEFPELRILEIADNKFTGSLPQDYFVNWKVMTEDGSLYMGDYNEIPGYIYEDTIDLQYKGLFMEQGKVLTSYATIDFSGNRLEGQIPESIGLLKTLIALNLSNNAFTGHIPLSLENVTELESLDLSRNQLSGTIPRGLGSLSFLAYISVAHNQLKGVIPQATQITGQPKSSFEGNVGLCGLPLEQSCFAPPTRQPKEEDEEEDEGLLNWKALVIGYGPGLLFGLLLAHVIASYRPKWFVKIVGPDKHMETDPVTLFMSMDSRWDSFNNKKSVESTM >A02p012900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5643741:5644625:-1 gene:A02p012900.1_BraROA transcript:A02p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNYEDGGVYYDPDETMFNNDEDGGIYFDPEDHELIKYHLLPKLETYLQPKSKDEECEDFIVMKNVYDKEPWLLDHTNHPLFKKNEWFYFVTRTQVSVKNIGRGRNSKRRIAGDNDGGSWKPNAKKYIEDEERKNTIIGKKQTLKFTKSDNNKRQKRGDGTSAAVPGSTSSWIMYEYSLPDENTFQELVLCKIRKISNSKDEEVEAVDVTHDAEEDGAGELVDRFARMGLDDQQTTEKDDQQEPPMYAQTQSSDPPARLGDKSLPIEVDDDEDEEQVMNQELQKHLFESTESF >A09p076110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57074737:57076385:1 gene:A09p076110.1_BraROA transcript:A09p076110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGSGLRRVLLLSFCVAGIWAAYIYQGVLQETLSTKRFGEDEKRFEHLAFLNLAQNVVCLVWSFIMIKLWSNGGSGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTLPEYLCTFLVAGGVSMFALLKTSSKTISKLANPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWDIMLGMNLWGTIYNMVYMFGLPHGSGFEAVQFCKQHPEAAWDILMYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVSMVFGGLSYQIYLKWRKLQRTQKKKKT >A07p043350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23729727:23734285:1 gene:A07p043350.1_BraROA transcript:A07p043350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDTKDGTSLPRSSPSFSRATKPSPEMHAVNSYNPKSTSDSFSDGEKVEKKPGGWRAVTFILGNETLERLGTIGLLSNFMVYLTRVFHLEQVDASNVINIWSGFTNLTPLVGAFISDAYVGRFKTIAFASFATLLGLVTLTLTASLPQLHPATCNSKDPVSCGGPNKLQFGILLLGLGFLSIGSGGIRPCSIPFGVDQFDQRTEEGIKGVASFFNWYYMTFTIVLLITQTVVVYIQDQISWVIGFSVPTGLMACAVVMFFAGMKLYVYVKPEGSIFSGIAQVVVAARKKRKMKLPEEDDGTVTYYDPPVKESVLSKLHHSNQFRFLDKAAVIIEGDLTPEGVPANKWRLCSIQEVEEVKCLIRIVPVWSAGIISLAAMSQQGTFTVSQALKMDRNMGPNFEIPAGSLSVISLLTIGVFLPLYDRVLVPFLRRITGHKSGITLLQRIGTGIVFAILSMIVAGLVERKRRIRSINAGDPTGMTPMSVFWLSPQLILMGLCEAFNIIGQIEFFNSQFPEHMRSIANSLFSLSFAGSNYLSSLIVTTVHRFSGGHDRPDWLNKNLNAGKLDYFYYLIAVLGVFNLVYFWYCARGYRYKVGLQMGDFEDNKSFSDVEMSSKKQLK >A03p033180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14037350:14038127:-1 gene:A03p033180.1_BraROA transcript:A03p033180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVKESGYYEGERLTHLLALVQRGIETSKPSNVNSLPEKLWLKKQIAIGINEVTRVLERMKPNPTDTRQPPVQLQVVIVVADCKPRMLTKHIPNLAASRNVRVLYIRDHKRASLRLGELVKLKTALAIGVKARGTDLNLILQQILPRDDTS >A06g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27243405:27244978:-1 gene:A06g509400.1_BraROA transcript:A06g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLEGGDPNFQRDKELTSGYTQSCKLKNTPKYFLYFFKFVSPNGMAKMVEKYRKHSYATMDPNQSAKDLQERYQDYLNLKSRVEVLQHSQRHLLGEEIAWMGVDELEQLERQVDTSLRQIRSTKARSMLDQLSDLKSKEEMLLETNRDLKRKLEESDATLNQTLWGASSSAEHSQQQQQEGMTSYHANPLSQEVGFFRPLQGNVALQMSHYNPGVPNASNSATTSQNVINGFFPGWMV >A09p045690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40053564:40055117:1 gene:A09p045690.1_BraROA transcript:A09p045690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSB4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G31010) UniProtKB/Swiss-Prot;Acc:Q9FYJ2] MQFLARSLSKSTRPLLNSRARQSWVLSQQCHSTFSAKKSSSRNNGGSITEKSVSPATWPKPTEIPYQPKIANSTDLIGYVNQHVEFHAKPDGSFWAGTVITHGPPSGSGSDPNSDSAHKFWIPVIFEGDLAHTANCHLKKNDRVHITGQIFVDASEIAGAKPDQAYVHIMVRDLHYIQGSKTLPKVLPPLEQKESVLKHSASLLKKTDSQTDVWFDLVGKPDEWCDYRESKQNGSVNPRHPDFKKKDGTEALWLNKAPNEMLSDLKALKFDVPVRSKYAKQAKAGDESWKDLVENMNKWWDNRLNKVGKNRNEKAPDFKHKDTGLGLWLNDCPSWAIERLPPPKSKTSVSY >A01p051520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28891505:28893274:-1 gene:A01p051520.1_BraROA transcript:A01p051520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKCSRLLRICWVGKSHTTVVVMETELGSLIDPKERIAWNNSVEPSPPTVNFDEYQNYGSCRLEYPKSTTAATATFYNKFVNTAGPEKFQAVAFHSKSNHSTLFRCAFYGYQDTLYAHVGEQLYKEYDIIGTVDFIFGNAAAVFQMCTTVIAAESAEDQKDKFGFSILRSKLLAYTGRRVYYGCVFRSVLEEPPHMVVMETELGKLIDPKRWIAWNSSADSPPPTVNFNEYQNYELQLRLLHD >A02g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18239666:18242184:-1 gene:A02g506450.1_BraROA transcript:A02g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAFFSFLFVVIGDDTERRDFEQHLLMCGCRDSKHHSSPAVPVSFDHAASVLHVTVRDGSGPCSNDASSCSEIITPNPKRRLALFRDSLPLPQLILHNASDESDGTSMCSHKSSIHDPHRPSTYPLRLDDIYIPIYSLTSDRKIHTRTSDLKIQTLIMVKTIKIEIVSFQQLTTKQLTKLIPKNAGGFKSLIKILPAQAVKLLYFSDSPSSSSQAVADGSDIGECLCEDFGWFFIGWVLLDTHSMHNLGIVLLLFLLTQ >A06p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9054058:9055495:1 gene:A06p018680.1_BraROA transcript:A06p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MULTIPLE CHLOROPLAST DIVISION SITE 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) UniProtKB/Swiss-Prot;Acc:Q8GWA7] MASIDSLHFHSLCNLQSSIGRSKLQIPSSLVVFRRRHKNLNWVQLQTNKKFVCKSIGDESSTPDEETQNTQNDDNEEDDVSTAQSNTATDSETSISRFRSMVTTIAHDSGDSISRLRSMVTTLPPVVFVMNKCSGNSVWIGFSIVATVMLASLRAYAIRKSRDNRPAGSVSDLVRRGQLRSGDRRGISKAMNYEDPFNNPFVKVGKGSSTVEMCGKVYKLAPVTLTEKEQSIHQKRRSRAYQWKRPTVFLKEGDSVPPDVDPDTVRWIPANHPFATTVSDIDQDLAQNNVYQKQGVPFRIRAEHEAMQKKLEALQNEEKLNNLGIDSQNARDFQRPYKFSSKLEEDDDVQEKNTGDSPSEETHKS >A03p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2520005:2524641:1 gene:A03p006060.1_BraROA transcript:A03p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTATLQSPSPTVQWREPPTASAPLDDVDLVDQSTAAAAVEAAEKTMSAAAATTAWDEVYGLEEQQRRHLQRLHAMGVLWKHPGKGQSSSPPVVFRLSHGGEVSSDGNCLFTASQKAMGACGIDARDLRRRTVRRFLEDFGSAGEVEKEAIAEAIRHMYSPDLKSGWGIHIVQEEKLLAKKDERESLDSAIDELMQIGMQRETAAESIYRERCLPVNDGPSWAKYMSISGSSEDEHDIITLQYTEDGLLSVDENRQGHAAAFGDDIAIECLATEFKREIYVVQAHGADGMVEEENCVFFLPHKPRSEVIESPLFLFMKGTGWCGGGADHYEPLIANASPMISHEKVALAIGLRVIKLLLTTFVVVRGTPFTLPYPLTVVGGVNLWEISKVVVVLLMMASLSSSVTSRSYRSGYSASLSPVNGIRHRSLSFLSASPQGLKVHELCVRFQRKSGRSSVFMQDGAIVTNSDSTESSKSSLKGLKDEVLSVLSEEAAKVGSVSDGQNQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIYGYSRSKMTDVELRNMVSKTLTCRIDKRANCGEKMEEFLKRCFYHSGQYDSQEHFTELDKKLKEHEAGRISNRLFYLSIPPNIFVDAVKCASSSASSVNGWTRVIVEKPFGRDSETSAALTKSLKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQMEDVVIGQYKSHTKGGVTHPGYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRHVPGNLYNRNTGCDLDKATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKEIEENKRIPEYYPYGSRGPVGAHYLAAKHKVQWGDMSLDQ >A07p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19471171:19477293:1 gene:A07p036160.1_BraROA transcript:A07p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTTEEVTWYKGKRMAYIYKAKTKKNGSHYRCIWGKVTRPHGNSGVVRAKFTSNLPPKSMGMRVRVFMYPMFWFMDLAFNPKLESLKSFFPHHIRERKRPLKPYLPLFIILAMNTSQATRAALFLNGSNRQTTLLQRSSTTQLWGSVRFQTPQGLRSLNRAKATTGLRCSTPGAPPVSVVEQPSLVEKPAAEVIHFYRVPLIQESANAELLKAVQTKISNQVVSLTTEQCFNIGLETELAQEKLSVLKWILQETFEPENLGADSFLERKKKQEGLQATIIEVGPRLSFTTAWSTNAVSICRACGLNEVTRLERSRRYLLFSNEPLLETQIHEFSAMVHDRMTECVYPQRLTSFETNVVPEEVKYVPVMEKGREALEDINQKMGLAFDEQDLLYYTKLFKEDIKRNPTNVELFDIAQSNSEHSRHWFFAGNIVIDGKPMDRSLMQIVKSTWEANRNNSVIGFKDNSSAIRGFMVNQLRPVLPGSTCLLDLSARDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTSGYCVGNLNMEGSYAPWEDSSFQYPSNLASPLQILVDASNGASDYGNKFGEPMIQGYTRTFGMRLPSGDRREWLKPIMFSAGIGQIDHTHITKGEPEVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMSQKLYRVVRACIEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRAVVVGDHTMSVLEIWGAEYQEQDAILVKAESREVLELICKRERLSMAVLGTINGEGRCTLIDSTAAAKCKKEGLPPPSPAVDLELEKVLGDMPKKTFEFNRVSYAREPLDIAPGITLMDSLKRVLRLASVSSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTFTDLTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTALSDVKASGNWMYAAKLEGEGSAMYDAAIALSEAMIELGIAIDGGKDSLSMAAHADGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDDDDGVLLHVDLAKGKRRLGGSALAQVFGQIGNDCPDVDDVPYLKNVFEGVQALISEDLVSAGHDISDGGLVVAAMEMAFAGNKGISLNLDSNGISLFETLFSEELGLVMEISNKNLDAVLEKLRGFNVTAEVIGKVTESPLIEVKVDGITHLSEETSFLRDMWEDTSFQLEKLQRLASCVEMEKEGLKLRHEPNWKLSFTPSWTNDSYMSKDAKPKVAVIREEGSNGDREMSAAFYAAGFEPWDVTVSDLLAGAITLDQFRGIVFVGGFSYADVLDSAKGWAASIRFNAPLLSQFQEFYKRPDTFSLGICNGCQLMALLGWVPGPQVGGSLDTAQPRFVHNESGRFECRFTSVTIKDSPSIMLKGMEGSTLGVWAAHGEGRAYFPDEGVLDRMLHSDLAPLRYCDDDGSVTEAYPFNLNGSPLGIAAICSPDGRHLAMMPHPERCFLMWQYPWYPKSWEVEKAGPSPWLKMFQNARDWCSQL >A06p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2478810:2480552:-1 gene:A06p007180.1_BraROA transcript:A06p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKIWQATVISIALFIIAILSVLSFCLIWKKKPKISKTLSLPIIQTPPISKEIKEIRIEHVTNFDSHSNEPDNFLLNLDMEKKRKNGVSSSPSGSGEEGSLCVANMSSSSLYEMATPSPLSGLPESHLGWGHWFTLRDLEIATNSFSQENVIGEGGYGVVYRGELTNGTHVAVKKILNHLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTHRILVYEYMNNGNLEEWLHGAMRQHGYLTWEARMKVNLVEWLKMMVGTKRLEEVIDPNIAVKPATRALKRVLLTALRCIDPDSEKRPKMSQVVRMLESEEYPIPREDRRGRRAQEENSDTDRSTPASRTQSKRLQ >A05p047100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27833685:27835316:-1 gene:A05p047100.1_BraROA transcript:A05p047100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSEPQISSMNSQPRKQDLPHALSTPRACLIAALLPPRKLKQYTEHISLLSLFDLSIMDCYSGMKFEELAVPNYQESSSSETYRSDGMWGGWSMNSPEAAETCFNYDGFNGEGSLYSQMGMRTSEEEEETKRSKAFYGASSLHDFEGIEQMDDIFLSSILEDVPGNDGDVHRASSSYNSVGSSSLYGGNEVHMFHCHDMPMKEEAPFTISDLTEENMLDSQYGDELSSEELVLQDLQRASGKLTDETRKCFRDTFYRLARNSQEKFDSVSTNTEEFYMPASRYAYGDSTRMSREEEIESGTNSIDRAVANLTYNKMDSNISNFPLSERVQ >A07p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7815218:7820823:1 gene:A07p012910.1_BraROA transcript:A07p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSTLFHRRKHSWPPEEFITKTTLQLLDFDSAAPPPHAWRRRLNCHANILKEFTITFREAIKMVRLGIRLWSYVREEASHGRKAPIDPFTKENCKPSASQGVPLGGMGSGSISRGFRGEFKQWQITPGTCDPSPMMSNQFSIFISREGGHKKYASVLAPGQHGSLGKTRDKGISSWGWNLNGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPNNYRDSSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGTSHMLGGHVNEPFVGEDGVSGVLLHHKTGKGNPPVTFAISACETQNVNVTVLPCFGLSEDSSFTAKDMWDKMEKDGKFDQENFNSGPSVPSTAGDTICAAVSATAWVEAHGKCTVSFALSWSSPKVKFSKGSTYDRRYTKFYGTSPRAAVDLVHDALTHYKRWEEDIEAWQNPILRDERLPEWYKFTLFNELYFLVAGGTVWIDSASVRANENSQLQQSDLGNSDGGVDSKDQQNNRDNCDSNGIESNGEASVTDKSNGLFVDTSHVDDDVGRFLYLEGVEYVMWNTYDVHFYASYALLMLFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNLGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDYQFGIDVWPAVRAAMEYMEQFDRDNDDLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQIGDKFFAELCKNKFLNAKAALEAKLWNGTYFNYDSGASSNSKSIQTDQLAGQWYTASSGLPPIFEDSKIKSTLQKIFDFNVMKTKGGRMGAVNGMHPDGKVDETCMQSREIWTGVTYAAAATMILSGMEEQGFTTAEGIFTAGWSEEGFGYWFQTPEGWTMDGHYRSLIYMRPLAIWGMQWALSLPKAILDAPKINMMDRVHMSPRSRRFSHNSRVVTHKAKCFGDSSLSCSC >A01p017040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8439795:8442768:1 gene:A01p017040.1_BraROA transcript:A01p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTALVVKVSYGGVLRRFRVPFKANGQLDLDMASLRGKIAALFNLSLDAEFSLTYSDEDGDVVALVDDNDLFDVTNQRLKFLKITVQSNGGVPTNSVAPESSGSSSASVMSDSQDPVSKIQKGFNDVMMAVPNPMRDTISKVYIDLTSKAASSSPVVGELFDCINKLGKLSSPQESSPCSPVAKPGSSGTYLSREVPSSGEKKDVSKKTQAGKKPANLKEPVADSTSSGLGASFNECPFSGSTVIDSTPNPNPTNLNRHARRVCHSKKTNNGDYWNSLGVFHKGIRCDGCGVLPITGPRFKSKVKEDYDLCTICFSVMGNEGDYTRMDKPVSAQQLHPFRGLPTPFSNPWLGHVPRPHHGGLHFRCTRPKLDSRFVLDVNVLDGTVVAPSAPFTKIWKMRNNGSLVWPHGTQIVWIGGDRFSSSLSVDLQQIPVEGVPINSELDVKVEFVAPESPGRYISYWRMASSNGAKFGQRVWVLIHVDASLKGSVVNEFHGLNLNASPEENFAREFSGINVNHVPAQPGSPSVNPGIVKGADVEPEASSGSNIPMKDDLVVGEVEPVVPNTVVPNTLTPSSSSSSFNIIEFPNMPTTVEALGGGSSSAKNIPVPLQEDIEKNDVEITMLKELEEMGFKEIDLNKEILRDNEYNLEQSVDALCGVNEWDPILEELQEMGFCDDLTNKRLLKKNNGSIKGVVMDLLTGEKEKEA >A07p022410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13089344:13097395:-1 gene:A07p022410.1_BraROA transcript:A07p022410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDNSTEAGRKRSRGAEAATRKEALERLKAIRQGGLRNLSSSGGGYDIRIEQPIFDTVDDDEYDAIVSRRREVARGFVVDDGEDGDLGYGDEGEEEDFTKPSGPDSTDESDDGGGFSGRLRKKKAEKKKGKEQPQVKKVNPALKAAATITGEGRLSSMFTSSSFKKGKETDTVKCEGILDEVLAEVTPDDIDRERHRRRKQPSTVPVMFSRNKNVVSVTSSMAMKDSEPASDRVFMEKELMKEMKEEVVTESEVTPVHDSEKMELPGSGSVIEDGSNDVRQSEAKAESGVKEVFTLNATVDIKEKDSALSATAGWKEAMVKGGTENGAPLSSNCEGQTEFDLDADGSLRFYNLDAYEEAFGASMGTIYLFGKVKMGDAFKSCCVVVKNIQRCVYAIPNESVFPSHELITLEQEVKDSKLSPESFRGKLHEMASNLKNELSQQLLQLNVSSYSMALVKRNYAFERPDVPVGEQYVLKINYPFKDPPLPEDLKGESFSAVLGSNTRFKLLTAEFLYLSLFLIVDHALELFILKRKIMGPSWLKISNFSTNSPSQRVSWCKFEVTVESPKVITVLVSEEKVVHPPAVVTAINLKTIVNEKQNISEIVSASVLCFHNAKIDVPMPGPERKRSGILSHFTVVRNPEGTGYPIGWKKEVADRNSKNGCSVLSFENSERALLNRLFLELNKLDSDVLVGHNISGFDLDVLLQRAQACKVLSSMWSKIGRLKRSSMPKLKGNTSYGSGATLGIMSCIAGRLLCDTDLCSRDLLKQVSYSLTDLSKTQLNRDRKEISPNDIPKMFQSSKTLVELIECGETDAWLSMELMFHLSVLPLTLQLTNISGNLWGKTLQGARAQRIEYYLLHTFHSKKYILPDKLSQRMKEIKSSKRRMNHGPEDHNVDELEADLALENDPSKGNKTKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTIPRSEDGVPRLPSSQTPGILPKLMEHLVSIRKSVKLKMAKETGLKYWELDIRQQAIKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQRTVDLVQNHLNLEVIYGDTDSIMIHSGLDDIEEVKAIKTKVIQEVNKKYRCLKIDCDGIYKRMLLLRKKKYAAVKLQFKDGKTCEDIERKGVDMVRRDWSLLSKEIGDLCLSKILCGGSCEDVVEAIHSELMKIKEEMRNGKVALEKYVITKALTKSPEAYPDSKSQPHVQVALRMRQRGYKEGFNAKDTVPYIICYEQGNTSSASSAGIAERARHPDEVKSEDSKWLVDIDYYLAQQIHPVVSRLCAEIQGTSPERLAECLGLDPSKYRSRSNDATSSDPSASLLFATSDEERYKSCEALALACPSCSAAFNCPSITSSVYASISKKSETEESDSTFWLKLQCPKCEPEGSTGRISPAMIANQVKRQIDGFVSMYYKGIMMCDDESCKHTTRSPNFRLLGDRERGTVCPNYPNCNGTLLRKYTEADLYKQLSYFCHILDTQCNLEKMDVGVRIQVEKALTKIRPAVQSAASMARSIRDRCAYGWLQLTDIAI >A05g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20191660:20204932:-1 gene:A05g507120.1_BraROA transcript:A05g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSWSDSSRATAQSRSPTFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDSARSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQIGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQHSNPSTSTPQRGLTATPQGRSSWERGFESDTPWSLAFSSSDQEKSPQSEVLERGRRVAPAGSDIMGAIPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERTEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITRNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKHAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPSPHPRLMLLASPSLLRSFSVSGGTHPLNLPLPATNPHPSLLLTVSTRLTRLRASHGMEAPVQHPVVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSPTFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRIAYLLEFMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEISELSDYLHSRCFDISQNWFDNHLYYSICIRSLENS >A09p046960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40787946:40788839:-1 gene:A09p046960.1_BraROA transcript:A09p046960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIDKSEFGAKFFEIQVSSVHQNGRKNNIRVARGSKRCQKGQNGGTDWEARGSSMYVICMGEWICVQGSTGCCNTCNWYTQQPCGARGVALHTTTACGPPCVHCVSSRMRAGSCGLNMVDRVS >A05p050230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29038821:29040185:1 gene:A05p050230.1_BraROA transcript:A05p050230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLYSSMKPTPMLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSRTINIPKGFDYELYNRNDINRILGPKSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAEDPSGKGINALEQHIKNLLSPSTPHFFNTLYDPYGDGADFVRGYPFSMREGAITAVSHGLWLNIPDYDAPTQLVKPLERNSRKVYLSTQLPILYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHMGWGVKTGLPYIWHSKASNPFVNLRKEYNGIFWQEEAIPFFQSVTLPKECDSVQQCYMELAKLVKEKLGKVDPYFIKLADGMVTWIEAWDELNAPKGTETNAPNAKDEKVTE >A07p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9999051:9999323:1 gene:A07p016220.1_BraROA transcript:A07p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKRSDGFSRDDTEEFNEVWIHGRVITGMKHNSPMYFVFDLCYVYTTQPCRLHNSVIPQTYPKIRKCLDNSTVSFR >A01p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20695428:20697993:-1 gene:A01p029050.1_BraROA transcript:A01p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPVKPLSFHRFQVFRRHLITPAVSTDPAMVSAGFKSHHFVGLPSYDLCNHESVRHLRNLRSWSNRRRVSKTVGVSMPVASAEDLPAASWDSWKPDKTTVAPSLSDVIWPAAGAFAAMAIMGRIDQMLNPKGISMSVAPLGAVSAILFTTPSVPAARKYNIFMAQIGCAAIGVLTFSIFGPGWLSRSIALAASIAFMVIARANHPPAASLPLLFIDGAKLQKLNFWYVLFPSAAACILLCFLQEIVCYLKKNLKF >A07p032220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17724717:17728654:-1 gene:A07p032220.1_BraROA transcript:A07p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAIKLAFLFLTVTSTLTATPAKRPDYLSTQHAKTIAGICTVIQTDTSLCTKTLKEVPSDDPITLIRALPTAAESSVKKSVTFLIGIKPKHTSNAVATAAINSCEKNLNNALEDFAEFWKATGKDVTTLAHNYFTCKKTLTSIMGYHSTCLDDIKDKNLLKEVESGIGVGKNLSSNSFDVFNVGKVVISAASVLLVVGVAIGVVTYVNRGDDGGGGGKDASLNSHQKAVQAICQTTTDQGSCSKTLDPVKSDDPSKLIKAFLLATKDAITKSSNFTATTEGGMGKNMNATTKAVLDYCKRVLTYALEDLETIVEEMGADLQQSGSKLDQLKQWLTGVFNYQTDCLDDIEEVEFRKIMGEGISNSKVLTSNAIDIFHSVVTAMTQMGVKVDDMKNVMGGLGAPARRLLGDIDQNGLPKWLSGKDRKLMAKAGRGGGGAPRDDGIGEGGGGGGKIKATHVVAKDGSGKFKTISEAVNACPDKNKGRCIIHIKAGTYNEVVRIPKKKNNIFMFGDGATKTIITFNKSVKLTPGTTTSLSATVQVESEGFMAKWIGFKNTAGPLGHQAVALRVNGDRAVIFNCRFDAYQDTLYVNNGRQFYRNIVVSGTVDFIFGKSATVIQNSLLLLRKGSPGQSNYVTADGNEKGAAVKIGIVLQNCRIMPDKDLIGDKGPKKVKSYLGRPWKKFSTAVIIGSEISASIEPEGWTEWKGEQNHKTAKYIEFNNRGPGAKTNVRPRWTKAAKSAAEVEGFTVANWVGPANWIQEANVPVQLGL >A01p041130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21978757:21980598:1 gene:A01p041130.1_BraROA transcript:A01p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWAAWILLLLIGASNASIHDYKKEKFIPQANSRFFHGGSEGLYASNSQDLNTSSSPDISLKGKSFIRFADVTFVRTMESASKQNPMQSTAGLVEAILLEVKDRDRIGGSFLKSNAICCTPDLADAGSCTLGEVIIKRESNDDKWPRQIKTFFKGNKTEAAMLPETVVINKTGMYYLYFVICDPGLDGTVIRGRTVWKNPDGYLPGKVAPLMKFFGFMSLAYLLLGLVWFLRFVKFWKDIIQLHYHITLVIALGMCEMAVRYFEYANFDSTGMRPMDVTLWAVTFASIKKTLSRLLLLVVSMGFGVVKPTLGGMSSRVLLLGVVYFVATEALELVEHLGNINDFSGKTLIYLVIPVAILDACFILWIFSSLARTLEKLQIKRNMAKLELYRNFTNSLAVSVLLSIAWIGFELYFNASDPLSELWRMAWIIPAFWNLLSYGLLAVICILWAPSNNPTRYSYLAETGDEFEEEGISLTSGGIKITGDVERNELLYGLADEVEEDKRE >A08g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9180113:9182370:1 gene:A08g505440.1_BraROA transcript:A08g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILFLILIFLSFAFSGRCSDVYSRNDFPKGFVFGSAVSAFQWEGAVDEDGRMPSIWDTYVHSRSSETRVSAEEFGFLKETCDIYGEHGPVLHSRCIDCFKTLPLAYVLSDSVYTTHGGLFQSSSRVHEDITLLLGSLEKLDKVDMRQVGEKDDGNITLNHVLWSCLWMADGLSENEYFLNRFSFLLFQLSSSDYYKCVAYNACSPHNNSLNRSVFLFALPHCIFFFKFLPHYFQLEVEKLLFLEIKTSNVLIGMVNTGVVRCTVYDESYQMEKILDFFGKKMFSLIQNLTESNIVWITLALLTSATSNCDYKTILTCKDLNITFDDYFVAKDLNLKPLV >A02p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2377825:2388824:-1 gene:A02p005450.1_BraROA transcript:A02p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLLSLQQRSSTATSFVLSRDHTISKEGGEDSTRSAAPSADLSCFSSYHRSLLRGFSSQVITQGNEIGFASEVPATVEAVKTPNSKIVYDDHNHERYPPGDPSKRAFAYFVLSGGRFVYASVLRLLVLKLIVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDLGSLRDPQEDSVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEWRGKPVFIRRRTEDDIKLANSVDLGSLRDPQEDSVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >A09p007640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3999247:4000091:-1 gene:A09p007640.1_BraROA transcript:A09p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5G23090 protein [Source:Projected from Arabidopsis thaliana (AT5G23090) UniProtKB/TrEMBL;Acc:B9DHA9] MDPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCNKEDRRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKYETMQDTQRSVKCNSGAQMTEEEAAAEQQRMFAEARARMNGGAPVPQPQHPETEHPESDHPETDQRSLQS >A09g514850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44275005:44276468:-1 gene:A09g514850.1_BraROA transcript:A09g514850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEIDFVLQMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEAQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAQTLAVLGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQVLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMFSERKDSMVRTEDKRDRCGSVLTPLFKRFNIDLDSYTVVPELEYMDTAYLITCHILRDESTYKFADKDGITLYCKLPLPGLTDFTTLENIVFLPNAEHLCDDPRAPIPDENAAKDDVEDMAPPADGAYNLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRALTGGCIRSQVEQTTQGTRRPGKEPAGTSTREVRLPRNRRTAGHSSSGDSD >A09p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8439343:8440402:-1 gene:A09p016450.1_BraROA transcript:A09p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLCLLNSPVCPHSLPNVSSQPLLSFSRSLRPFVSKSKPLASQKKKRDNSGLLVVKSQALDFSGTFFEGGFGSDDDPTSPSVSTALEDKPEPQCPPGLRQYETMAVLRPDMSEDERLGLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRKKNKAGETNTYLDGIYLLFTYFTKPESITPLESVLIADDDVIRSSSFKIKKRKYN >A07g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22807502:22808856:-1 gene:A07g508290.1_BraROA transcript:A07g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSSDYDDKVVFFKDLSLGHHESQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEKGTLIQGFVSPERIKKYLPDMKRGSVYKLTNFYGSRNKTVFRVADHTVTVSFSWNSELAVLRDCHIPFDEDSFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIVEAPVLDEVEIAKVRRVLIHIQSHELQETSARSLSRKSAHPLCYWSRPLTRRPSEALLP >A09p079550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58520550:58522891:1 gene:A09p079550.1_BraROA transcript:A09p079550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRQSTQRKTAPESSVTPIRLPPFLKPGAAVEISSDEAGFRGSWYLGEVVAVPSSDSTTKCEVEYTTLFFDKEGRKRLKEVVDAAQLRPAVPAMSEREKERDVAVGEDVDAFYSDGWWEGTVTEVLGDGRFSVYFRASKEQIRFRRDELRFHREWVNGAWKPPIEEREEEEEEEEEEEDLLSRVDPETAREIAKQMFSIGTIVEVSSDEEGFKGSWFSAKVIEHVDEEDKYLVEYRDLREENGIEPLKEEADFLHIRPPPPADEDIDFAVRDKIDAYTGEKMRFGRQGLRLHRDWINGTWQLPLKRGEMKRATKKVPCDRNVRPKKAMDKEHFSGGDPVEVSSVEEGFEDSWFLAKVIEYRGTDKWLVEYEKLKAEDGKEPLREEVNVFQIRPRPQETVMVNPLEKLDEVDALYNDGWWFGVVKKVLAKSNYLVHFPKTDEVLKFHVSRLRPHQEWIDGKWIASSKFFSIDLNGVIELENMSGFWGSQVLLMWRRLALMFPFYCMQLRFMMIDQKIK >A03p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:832781:834573:1 gene:A03p001660.1_BraROA transcript:A03p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNQPDPDALRWGLLDLEVCTLTNAGSCGSVTRYETDDAAVAQGYVREGYNQPLTGYADNDAVIAQFYQDELSRVERAEEESHLRLSDNPSRISVVSQEWPQENQGEAIDISRESDMEDRIQGEQSAPARDDDDESDCSVEIEEESWSLDSEVGKRMNQMIPIAHVPKINGELPSEDEQVSDHERLFQRLQLYGLVENKIEGDGNCQFRSLSDQLYRSSEHHNFVREQVVNQLAYNREMYEGYVPMAYTDYLKTMKRNGEWGDHVTLQAAADWYGVRMFVITSFKDTCYIEILPHLQKSNRLICLSFWAEVHYNSIYPEGGM >A06g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11928583:11928972:-1 gene:A06g503870.1_BraROA transcript:A06g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGHPGGNTELNDIHALSDMSPEEFQEADGVECAHFEETSQWVSFRLLEANTLLLTHSCFGSTLTHFSHVLKLIGFCFKGFLPIQGMKCPSLLNRVTAGE >A01p052860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29622175:29627960:-1 gene:A01p052860.1_BraROA transcript:A01p052860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CWEYESVAYKIIRPVTKGEGSFWSTLSLSLSYSPMENPLDFLLIQPIYLPLLSFFLNLVLLLILFVSWVYNKKVACENSDDFMAKRSTNMSSTFSKLVVMCCVSLSVFYSVLLLLSCVRWHSNVWTLFDLLLAALTWGAISMYLRGLYTDSHEQKLPYLLRVWWVLYVLLSCYRLVVDFVLYKKQELVSVHIVVSDLVGVCAGLLLCCSCLWKQGEGERNNLLEEPLLIENEVCDDEVTTPFSKAGFLSLVSFSWMSPLVTLGNEKIIDSKDVPQVDSSDRAENLFRVFRSKLEWDDGERRITTFKLVKALFLSVWRDILLSFLFAFVSTMSCYVAPYLMDSFVQYLNGERQYKHQGYVLVTVFFVAKLVECQTRRHWYFRGGKAGLGMKAVLVSMMYEKGLTLPCHSKQGQGQTSGEIINLMAVDADRLDAFTWFMHDPWILVLQVSLALWILYNSLGLGSVAAFPAFVLVMLANYPFAKLEDKFQSNLMKSKDNRMKKTSEVLLNMRILKLQGWEMEFLSKILDLRHVEAGWLKKFVYNSAAMSSVLLTAPSFISATAFGACVLLKIPLESGKILAALAIFQLLQSPIYKLPETISMFVQVKVSLARIASFLCLDDLRNDVVERLTYSEMALEVRNGSFSWDDSSSIPTLRDVSFEVSQGMNVAVCGTVGSGKSSLLSSILGEVPKISGTVKVCGRKAYVAQSPWIQSGKVEDNILFGKPMEREWYQRVLEACCLNKDLELLPFHDQTVIGERGINLSGGQKQRIQIARALYQNADIYLFDDPFSAVDAHTGSHLFKEVILGILKDKTVIYVTHQVEFLPEADLILVMKEGKITQAGRYNEILDSGTDFMELVGAHTDALATVVTYEQGCDSSESTTNKEKEAPRDEEKLEKDSGKPRGGQLVQQEEREKGKVGFTVYKKYMALAYGGAVIPIILLVQILFQVLDIGSNYWMTWVTPVSKDVEPWVSGFTLILVYVLLAIASSLCILVRTLLVSMTGFKMATELFTQMHLRVFRASMSFFDVTPMGRILNRASTDQSVVDLSLPGQFAYVAVVAINILGIMGVMIQVAWQVLIIFIPVVAASSWYRQYYISAARELARLAGISRSPLVHHFSETLSGVTTIRSFDQEPRFLSDIMKLSDCLSRLAFHSTGATEWLCFRLELLATIAFALSLVIVVSAPDGTVNPSFAGLAITYALNLNNLQSNLVWTLCELENKMISVERMLQYIDIPSEPSLVIESTRPEKSWPSCGEITISNLQVRYGPHLPMVLHGLTCTFPGGLKTGIVGRTGCGKSTLIQTLFRIVEPTAGEIRIDGIDILTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYSDDQIWEALDKCQLGVEVRKKELKLDSSVSENGQNWSVGQRQLVCLGRVLLKSSKVLVLDEATASVDTATDNLIQETLRQHFRDCTVITIAHRISSVIDSDMVLLLDQGLIKEHDSPARLLEDKSSSFSKLVAEYTTSSESKFSSSC >A06g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7329290:7330089:-1 gene:A06g502050.1_BraROA transcript:A06g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVIVGVILLVILSPGLLFSLPRTNRGVDFGNLKINGKAIAVHTLILLRDLLHFDHRRQSTHLHRLILFWLVFGSSIQFSDVSHDQASKEKSNVSILVEEVEDEI >A02g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:40153:40858:-1 gene:A02g500020.1_BraROA transcript:A02g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKLEKSVVMNPSLKFQHTDLIRTILTRISSSPCISSTAHKPSLSSGSGSQLFSFGHFRSYSMSALAAPNTGPVTGPIKTQSYKPSFDHADWDNYSGQNITKWSKVWDRRALIFLRCPIGAGQILCSSWA >A02p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28415637:28426809:1 gene:A02p045270.1_BraROA transcript:A02p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLKEFGSKLDPPPSSKDSLLKLFKEAAVCLSELEQSPPASVLQSIQPFLDAVIKPDILKHQDKDVKLLVASCFSEITRITAPEAPYHDDIMRDIFQLIVSAFSGLDDVGGPSFGRRVVILETVAKYRSCIVMLDLECDELVKQVFTTFLDVARDDHPEVVVSSMQNIMIVLLEESEDVQEQLLLILLSKLGRNRSDVSDAARRLAMKVIEQCAPKVESDIKQFLISSLSGDSRFSTSQIDCHEVIYDLYRCAPQTLSGVAPYLTGELLADELETRLKVVGLVGELFSLPGRAISEEFSSVFVEFLKRLTDRVVEVRMLILDHIKKCLLSDPSRAEASQIISALSERLLDYDENIRKQVVAVICDVAVSALTSIPVDNIKLVAERLRDKAVRFMYPVLMSQIHANNQRHWNLHFFVFLNQILVKTYTMERLTELCRVYCLRCTEGKVGTGDFEWIPGKFLRCLYDKDFRSDTIEYTLSSSLFPSDLSVRDKVKHWIDIFSGFDNVETKAFEKILEQRQRIQQEMQKYLSFKQMQQSADAPEMQKKFQFGFRVMSRAFSDPPKAEQNFLVLDQLKDANIWKILNNLLDPNTGIVQAAKIRDDMLKILSEKHSLYEFLGTLSIKCSYLLFSKEYVKEMLSEVSARKASKDNLGIQSCMDFLGLLASYCPSLFDGAEEKLISFLKYDDETIKEGTLKILAKAGGTIRENLIVLSSSVDLLLERICVEGNRKQAKYAVQALASITKDDGLKALSVLYKGLVDMLDDKRHQPAVLQSLGCIAQIAMPVFETRETEIVEFIRSKILKSESEAVDDEKLSWDDKSEICQLKIYGIKTLVKSYLPLKDAHLRTGVDDLLGLLKNILSFGEVSEEIDSSVVDKAHMKLAAAKAVLRLSRHWDDKIPIDIFHLTLKTPEISFPMAKKIFLGKVHQYIKDRVLETKYVCSFLFDITGSNVLVSEEEKQNLADIIQHSYQTKVRKVSAQTDANSVSPYPHSILPYLVHALAHHSCPDVEKCKDVKEYEMIYRQLYLIISLLLHKEEEGKAEDTDKEQECVPTIISIFNSIKQSEDVTDATKSKNSHAICELGLSVINQLTQKEPDLKGEFTPVSLPPTLYKPSEKTEGDNPRVGEEKLWLADETVLVHFSSLKLDGHADSSVIPQTSEHEGMNDEESDDNEIPLGKIVERLRAQRTKSREGEKNKSVPAEDESGKTDVDVLKMVREINLDHLRTVDKFESSNGHTHSPGKRSNTGETDQKATKRSAGDGTSVVSVPKRRRSSSGHSPFKFSNSGSKKELHEERDMDSNDENSNREKRLSSRTKKKIFSENHSEDGNCSDRRKQSRSAESGDRLKSSSGSMQKRKRKGVTGLAKCSTEEKKMVTDELIGCKIDVWWPVDKRFYEGTVKSYDSTKQKHVILYEDGDVEVLRLDKERWELVDSGKPTKKTKTSKRSSNKKGSSGSKHKNSDALRRDEDPIPTTPKGKRTPKKYIRHKHPEGTPGSPSLEHEKLESRTKKNRSAAIPVGVVAEETNEKNVESTTELMTEDQEYGKEAAGEEKSESEGKLLKEGEDDDEEEIDLKEAKAESSGNPEGKETDVDNSDSEKKQENDDMETEAEAEDDAEDADADADADASDNETLVAYLSLKTNRERGEAKWLSQAPRKQHRVVSLFSVILDHSLITFLNPKVLSLLNSNYLATFFFYLSTIVGPKIIFMSTLIYHFRLEFLFTKFEPLLILCVFLIKFWLRSRSEMVEPLGQLQVTVIRGKKLAIRDFKSSDPYVIVKLGNESAKTKVINNCLNPVWDEELSFTLKDPAAVLSLEVFDKDRFKADDKMGHATLSLQPLISVARLRHIVHVSSGETTLRKVLPDSDNCLSRESTISCIDGEVVQSVWLKLCAVESGEIELKIKLIDPPGGTKEH >A06p023610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11648293:11648547:1 gene:A06p023610.1_BraROA transcript:A06p023610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIIKDNFWQVVKEEKLQKGDFEVESLMSFGGSYWCRSTPDFEHRSTLPSPNRSTGSPEHRPMGLAWLRRLLSSSDEYCFCLL >A06p044480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23888859:23892148:1 gene:A06p044480.1_BraROA transcript:A06p044480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID MOVEMENT IMPAIRED 1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT5G26160) UniProtKB/Swiss-Prot;Acc:Q7Y219] MSSNDREDSYNGQLLRDIKEVSKALYLNNAPQRPLLSLPPPVRSRSVSIGTTESGVLLLSKKKKSSVSWDWKKPLKAIAHLGQRRFDVCFHLHVHSIEGLPSNLDGTKLVVRWKRKEEVMSTQPYNVLQGTATFEETLMHRCSVYGSKHGPHRSAKYDQKLFLVCVSPVDAPWLVLGKHWVDLARILPLSLEEMEGARSARKWNTSFKLAGVADSAVLNLSFDYSVVTSSVCDSASGGNVMLKRVGSVPSMERRSSPVDDGKVSHQLSPNLSLDLSRSVDLLYEKLDEQNQETSTGAKVEQGVETDKQEDDSKNTGKEVEERTDSKEIEIIDVYELLKDEDEDEDAEETCFVDQLSVAELKGADSFEIKSALAVDDSTEEENFLEVKSANVLTKSRSLDDITESVANDFLNMLELEESSYVYTSDGEPTSPREYLLREFEKEALASGNCLLEYVSDIDEEPNDFSFSSSSVGEGKSQLLMSRRNVKLLEDLETETLMREWDLDDNGFNDSLCVCSDGFGSPIELPVDERPLGYNIGPLFWTKGGGCVRSMSNCKDASSLIMQVSVPVVLVPELGSDVLEILQSLAASGIKGLCSEINALMPLEDIMGKTINEVIEDTAFERNAHDCSSKENFGGFGSGYVPLDALASLAMDGIEILSVEGLKIQYSMSDQDPPSVTAAKPMDHSEALELISFSLTLDEWLRLDHRKSDTEDTSGNKLTLALRVLLRDPSRYNEPVGASMLALIKVERSLASSNPPVCNLAQGKSFGNGTHLWRITDIGLAGLKTEPGVDHPWCTKTQQESGSHWLLASGTGKTINCQASKSKAIIVSNPQATRKNLDTLWSITIDSRHQSRRFKAGKKQSCSSVVWELVW >A03p021380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9085822:9088955:-1 gene:A03p021380.1_BraROA transcript:A03p021380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGVSEETHICLIRSVRVGSNQILLYHSVIGATMAAARRLRTLQSQAENKVCVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNKFLALYGISKETDIVAKYNSNAATVYRDRIQALAEGRQWRDPPVVKESVSVSKKKPPLGNGNNNGGWDDWEADDSFRSSSDMRRNQSASDFRASGGRGAPAKSKSSEDIYSRSQLEASAANKESFFAKRMAENENKPEGLPPSQGGKYVGFGSSPGPAPRSNYQGGGGGGDVFSVMSEVKFDAFDSDQIRLDVELSDVFSVMSEGFGRLSLVAASAANVVQTGTMEFTSKVKEGGLDHTVSETVNVVASKTTEIGQRTWGIMKGVMAIASQKVEEFTKEEASTWNQQNTNEGNGYYQNKAATNSSLGGSQSSSSYQNNKRSSWDDWGEENNTKKETASQSGYHNSHVRHCLDRWRFSLAVFQDKW >A05p024310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11805400:11806503:1 gene:A05p024310.1_BraROA transcript:A05p024310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFKPREVRVFVIVSSVGLPRFVSLILILLLIVAPSDDTKLLSFVQISIFIKRVEWFVFNALTEFWHMAKVLRLKAEDMIELSNGNGGLVEGCIQSLDKTGVDFFAQEDQEVILPPQGIQWQVFAAFGTYTLKSGRADWLIEQCTELGASSATPVLTENLP >A01p014620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7080417:7083218:-1 gene:A01p014620.1_BraROA transcript:A01p014620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEREVKNGGVEEERDAKRKRVIERSNDHDVEEAENEQKKDNGVAELDDNEDDDADASKGGKRSRRVEVRRDCPYLDTVNRQVLDFDFERFCSVSLSNLNVYACLVCGKYFQGRSQKSHAYTHSLEAGHHVYINLLTEKVYCLPDSYEINDPSLDDIRHVLNPRFSRAQVEELDRNKQWSRALDGSDYLPGMVGLNNIQKTEFVNVTIQSLMRVTPLRNFFLIPENYQHCKSTLVHRFGELTRKIWHARNFKGQAVMKASKKRFRIGQQSDPVEFMSWLLNTLHMDLRTSKDASSIIHQCFQGELEVVREYQGNENKEISRMPFLMLGLDLPPPPLFKDVMEKNIIPQVALFDLLKKFDGETVTEVVRPRLARMRYRVTKSPPYLMFHMVRFKKNNFFKEKNPTLVNFPVKDMELRDYIPSLPTAAEGEKTCTKYNLIANIVHDGKPEDGYEMQDLHVAETLPQMVELSEAYMQIYELQEE >A04g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20787735:20788539:1 gene:A04g508130.1_BraROA transcript:A04g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEDVVYYCPSPYLRKFGLETSHDLNSSRTTFSSKVEPYHAMLFLCFLTRTTRFSFSEATLFFQGYQLLHRMDPFINQMLAEEHWCSECANYTMVSLNERM >A06g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:743980:744801:1 gene:A06g500140.1_BraROA transcript:A06g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGLVVDEAMKVVEEMCNKVHEIKPFRIKAAMMEKFHHNNDLLAKCYEDILKIDPSCVTTLKKLIVMSKEVCFNGSGEEESQQTYSVRYNSTPRMFTETSSWTLRAKWWLNRHFSPEILEAEMRNGTGYLEMMRLMSYKAACACRIYGPEFGYVTKVYGLLENNRNNICMLVENGSSEI >A09g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17694233:17696359:1 gene:A09g505630.1_BraROA transcript:A09g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGERRFGDALDAGYVEPKPPDPSWITKHQTSYTHEYSNNSYHDYNSADDVNIYSFSGSRLSSEYLTWERTMDDWFTYYGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWEDLKDFMIRKYVSSRPSPEVRERYPRRFSSHGYKEAKRRVPKEGYRSLFHQDQIRPDKRSTVFYDQYQPYEVPKSMEKNLFSPDTLARHKAKSDKPILQGKAKVSPILDKFVYKSSPTGMNHLSLSKNVKTGPERFSSPSICEYPTLEVDSSPRKERPEPKTIIGFKRNLSAFQKAQYQEKWPRNYEVMIQSPKPAKQVVHLPQLEASRFNQLQTRQWRPGYHFNQSGDTLGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYL >A10p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18165265:18168007:-1 gene:A10p029850.1_BraROA transcript:A10p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCNDINKCAILEICGIVTDIKSVVLSFEFFSFSWISRVRNKDADLVAKQVLSAELGLNVAPNFESHFACSLLFIPNLIQTEPIKESTKLNRFEHLATADVVAKNGRDGSDEKDRIPLVFTDEQQKHVDELGRKATTLSRSIQDLRLRLPPPDISQRLPHLHAHSLASNAALTLQLDSHSATREQAQVREQTLLEENSAYENAISICEAKIEEKKDEVDSLLRKLKELEDVEVSLKAEKEASLDEKHSKGSGESVIQSDGNGIDGAGTEAMKSVMLQKLESKKNDLSSMEEKVQELERSWAVIQERALKLPSPAQREKTLDKQLHTLIEQLAAKQAQAEGIVGEIHSNEMELERLNTLWRRYESFNVEGNAARNRFKRTNSDRGFGSDHEVDAHSYLPYSTATRTETQTRLMYLSKISFQESIGPGRHQWIQSFLKLTSAVWRWPYLPGCPSGRSSIACVVSISLSLKPFFFISSSAALNSSS >A09p062540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51128408:51130178:1 gene:A09p062540.1_BraROA transcript:A09p062540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELNSPPHEGGAAFKKPSSDGSGRKYRRRALADDGSSSSDGSPERDQIPKLSRADVGKVSHARREDRGESDDRSRRYGRGGIDRHSRDDDHHYRSKRDEYNRHHERDGARSSRDSRSDHRRADNEHSRSRNDSDRYSRRKHADSRAEDKEKDYVKRGSRQSRDEKEHEDLDINKEKDAHAKSPRDRPDGASTENRDAHSKKLKGFVSGKFTHGNTNEEKHTSRFKPALGSGNQDLSLQSHSKGAEASGDVDAAKVAAMQAAELVNKNLVGTGYLTTDQKKKLLWGKKKSTATEEPAHRWDSALVGDRERQEKFNKLMGVKGGSVVNQEQNPSEVQVEKQNELQKDLEKQYTAGLRRRDGRTVGLGL >A06g500340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1421925:1422131:-1 gene:A06g500340.1_BraROA transcript:A06g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPLIQQILVLLHCFEEWSICYVSDQKDSVAQAIAESVVTWARSQSYVGSGSPLWLDQLIQQEAGD >A06p034310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18550769:18551826:1 gene:A06p034310.1_BraROA transcript:A06p034310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFVVLPRKIEPVKRDEQTVNRCSGGRKKVVLFGFMYRSSDIFALSWEKTEVVRQELQEGSPRKYKPLAGASHAKGIILELIGMEAKQPNYGICKCVRIQLVKNGKKIAAFVPNDGCLNLIEPNDEVFISGFGRKGHAVGDIP >A08p027300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17268414:17268814:-1 gene:A08p027300.1_BraROA transcript:A08p027300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHISLYLYQNFLLISSPFHHHHRRPSCSSPPCSFDFVAFFTTVTLITNTTDLRRNSDETEWRSIGVTERHLRLSVVRQRCKAGSLLLVRGRWLKLCEGGDDG >A02p002710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1133104:1135519:1 gene:A02p002710.1_BraROA transcript:A02p002710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRHASRILGLDSKIEKSLMIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPRDLSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGLTFVVQGFGNVGTWAAKLIHEKGGKVVAVSDITGAVRNPEGLDIDALLSHKETTGSLVDFSGGDAMDSNELLIHECDVLIPCALGGVLNKENAGDVKAKFIIEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNLELQKYMTRAFHNIKSMCHTHSCNLRMGAFTLGVNRVARATQLRGWEA >A02p055250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33465914:33473903:-1 gene:A02p055250.1_BraROA transcript:A02p055250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLLLHGTLEVKIYRIDRLHKRGRFNLCGTGTGNKEPAGKKIQSPIKNLTSSCADLLGGQLYATVDLDRARVARTTMRRHPKWFQSFHLYAAHSISKIIFTVKEDEPVSANLIGRAYLPVTEVITGQPVDRWLDLLDEHKSPIQGGSKIHVRVTFTSVTHDVNWNKGIVIPSFKGVPNAFFNQREGCKVTLYQDAHVLEDYQDITLSGGQVIYSHHRCWEDIFDAIWDAKHLIYITGWSVYTDIILIRDPKRPRQGGNLKLGELLKKKAEENVTVLMLVWDDRTSNEAFKRDGLMMTHDQETYNYFKNTKVRCVLCPRNPDNGSSIVQGFEVAAMFTHHQKTVVVDAEVEGTKTKRRIVSFLGGIDLCDGRYDTQEHPLFGTLNNFHSNDFHQPNFDGASIKKGGPREPWHDIHCKLDGPAAWDVLYNFEQRWMKQGSGRRYLVSMERLSEITVPPLPFVKSDDVEGWTVQIFRSIDDGAVLGFPEDPREASSVGLITGKNNVIERSIQDAYINAIRRAKHFIYIENQYFLGSSFGWSSRDVNINEINALHLIPKEISLKIVSKIEAGERFSVYVVIPLWPEGKPGSASVQAILDWQRRTMEMMYTDIVIALRKKGLDSNPRDYLTFFCLGNREVNKAGEYSPPEKPAANSDYARAQESRRFMIYVHSKMIIVDDEYIIIGSANINQRSMDGGRDSEIAMGAYQPNHLLSTNQMKPTGQVFSFRISLWLEHLRVITNPFMFPESEECIRMINAKADELWGLYSAQVYPRDHDLPGHLLSYPISIGTNGEVTNLAGAELFPDTNAKVRVATALSLCMYRGCFGCCNKPPLIVAVDEPSKGLRIQGRLVKKPSVSDDFWSTSTCEMDNNSTMQSQRSVSSISFTNITATCASSSNPNEFANNGLNLWLQTRQQWLANGSSQTKAKVREPTISWNATYESLLGMNKRFSRPIPLPEMVDFLVDVWEQEGLINHFGYLLELFQSNNVGLVKVNLSGCVNVLDNTVAMAKNCNSVNDLDISNTLVSDHGVKALAFSPKPPESSGSFCWRLHSAVTDKSKACLQSLCLFPLLFNLLEASLNIPSFVI >A02g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14548498:14551077:1 gene:A02g504420.1_BraROA transcript:A02g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRFEYRYATEDELEEMKQREFARWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLGMVGLRCTVFYCDWHNNTPDRGVRTDAFGVTSVNSRRKLQYYDPFILASQADQTRPRQRRSRGGTGSQSRGSSSHIQDSVSPHSSYHTSPSPLSAHAAPDAAAAPASVPPDPPGVMSVTELVRQPGRDYLTSYPHGQGSTDPGTGSAHGSTVRCTRPSTRDIRLSLTSFTEKQHLWFRQFAQEFKWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEINNVPKSMNKTVSKELCAPWDKEETKETSSTNSNNRRSDLKGKGIYKHNLGAQSIATLGGSHVNEGEPVDDLALMKRADVVSLVQTQVLDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPHYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p020630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14009616:14010598:1 gene:A10p020630.1_BraROA transcript:A10p020630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTSFLDRMLLHLRSTCKYYSGYPKDLGPSRVIHFTSEREFVQLLHQGYPVVVAFTIRSNYTQHLDRMLEEAAAEFYPNIKFMRVECPKYPGFCITRQKSEYPFIEIFHSPQQAGNEGKVQDPNITRYSVKVVPYNYDMSPYGFREFFKRQGVRTSDPK >A01p054770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30894755:30898794:-1 gene:A01p054770.1_BraROA transcript:A01p054770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:Projected from Arabidopsis thaliana (AT3G10160) UniProtKB/Swiss-Prot;Acc:F4J2K2] MLIYVNGLLKCGAPLVPLFSHKRESFFTKTTPGCYSLTSGPRDRVYFNSSLRYSSKSIQVVESSVTDMGAKEEKADSAALSSYDDAMDALSTLISRRRGDRSPTLGSRDKLEQVVSYLKILGLEDKIKELKVIHVAGTKGKGSTCVFCEAILRNCGFRTGLFTSPHLMDVRERFRIDGLDISEEKFLQYFWECWKLLKEKAVDGVTMPPLFQFLTVLAFKIFVCEKVDVAVIEVGLGGKLDSTNVIQKPVVCGIASLGMDHMDILGHTLADIAYHKAGIFKPQIPAFTVPQLSEAMEVLEETANNLKVPLEVIAPLDLKKLDGITLGLSGDHQLVNAGLAVSLSRCWLQRTGNWDKIFPNGSNETDMPVAFCRGLATARLHGRAQVVHDLVSDQQDSLKTPCGDLIFYLDGAHSPESMEACGRWFSSAVRGNKSLSTTPVNGYKRNGEFGKDFNRVSKQVLLFNCMEVRDPQVLLPKLVTTCASSGTHFSRALFVPSMSTYNKVISGASAIPLDTRRKDLTWQFRLQKLWERSIQGTDAALDHTLNPDGITSLPPHDFLCGDAPHCGGPAGTHSSAVMPSLPLTINWLRDCVRRNPSLKLEVLVTGSLHLVGDVLRLLKR >A09p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12131653:12133729:1 gene:A09p022060.1_BraROA transcript:A09p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-3 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT1G56590) UniProtKB/Swiss-Prot;Acc:F4I562] MEEATGRRSNLPIRSEREREQYRSSMLQCIFLLSDSGEVMLEKQLTGHRVDRSICAWFWDQADSFKSLPVIASPTHYLFQIVRDGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDLVKDNFIIVYELLDEMIDNGFPLTTEPNILREMIAPPNLVSKMLSVVTGNASNIYGEVQMSSQLTGFPDLTLSFANPSVLEDMRFHPCVRFRPWESHQVLSFVPPDGQFKLMSYRVKKLKNTPLYVKPQITSDSGTCRVSVLVGIRSDPGKTIESITFSFQLPHCVSSADLSSNHGTVTILSNKTCTWTIGRIPSDKTPCLSGTLALETGLERLHVYPTFKVGFKIMGIALSGLRIEKLDLKTIPPRLYKGFRAQTRSGEFDVRL >A04p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17200801:17204170:-1 gene:A04p028660.1_BraROA transcript:A04p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKSLIAVFAAVVLATVISKLRGKKLNLPPGPIPIPIFGNWLQVGDDLNHRNLVDYAKKFGDLFLLRMGQRNLVVVSSPNLTKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQRNREGWEFEAASVVEDVKKNLDSATKGIVLRKRLQLMMYNNMFRIMFDRRFESEDDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICQDVKDRRLSLFKKYFVEERKQIASSKATGSEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGVAELVNHPEIQTKLRNEIDTVLGPGVQVTEPELHKLPYLQAVIKETLRLRMAIPLLVPHMNLNDAKLAGYDIPAESKILVNAWWLANNPNSWKKPEEFRPERFFEEEAHVEANGNDFRYVPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKVDTSEKGGQFSLHILNHSTIVMKPRTI >A01p004880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2113419:2114738:-1 gene:A01p004880.1_BraROA transcript:A01p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQSSSRSQRGSRGIKGKHVVQICVLLGVCIWLIYQVKYSHDKKKELYGGDVKKSTVLLENEEGMVKLGRKDLLPGYHKQNEKEKRVEEEEEDEEEEEKESKSRVENSSTHEEEEKEDEEDEEEEDKNKLGEEVVEEDEEENKHEEEDDIDEQDQSKDDELLQEEKEKEAETNHADEIDVTVHEAREEHYKADDTSSAVSHESRILNTEKLNESYGNSTGPSQENSSNTTTNEVGVQKEPVLKLGEAESKDSVEKTVNAVTELRGETVNGNSTEAVLEASGFVQNETRTMQERSQEHDKTEDGAPPSGSSDLQNVVELEQTRNETDANITVSANITNTSSIQDEFRNSTSESSLVENISGSNTTEVVKESSTSEGDEETEEKESSNHFATEQTEEADDTPESTMLQEEREALTDPQTLPDISIEGKEEEDEEEAMAAE >A04g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8524766:8526282:-1 gene:A04g504060.1_BraROA transcript:A04g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTSKYAGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGERCKKYYEAGARFAKWRAVLKIGENEPSEHSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIHKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSAKVAPEVIAEHTVRALQRTVPPAVPAIVFLSGGQSEEEATKNLNAMNQLKTKKPWSLSFSFGRALQQSTLKTWAGKEENVKAAQEALYVRCKANSEATLGTYKGDAKLGDGAAESLHVKDYKY >A02g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15135359:15135770:1 gene:A02g504730.1_BraROA transcript:A02g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCADGAIHYIILEHAYVTHVVDYYTEVFQATTVYNYHDQGSVQLKIYDSYVLVTPATLSNSLVIKLLKDLNSLRMIRQDARFTDMVLENPVEKQRSTVKMRDPYGIS >SC199g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000060.1:50483:61197:1 gene:SC199g500010.1_BraROA transcript:SC199g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRRDVTCFIIFSLSCLSLRSFLRKTFFLSLHHFPLFSQEKCKNVEQEKDCEKRVFIRECLRRAHCSEDGVRASPVFLAITRSFYHIPDAVVFRIPYPEECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRNFMSVVKKNGPFFWTSFTPKRIWKALRFVHPGPASVADAGSDSEPDDQDPVVAPAAMPESSSWKGKDIDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFAGMPPGFDAPPPAKESARPKVIAEGSRIINGGLNLLGSAIEASHREAMVYRFKAEKAERDHACVQGEMLEREAQLTHDHARAVRKAERKGKREIVEVMKTRASQFQDEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFQEEMSLMKSGINERAHAEALIPSIDERIQGFWDSIPVSPDTEEVPTEFPDGGEEVDRPADAFGASLSGDFDFGL >A01p051950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29121891:29124776:1 gene:A01p051950.1_BraROA transcript:A01p051950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCSSLFLFSFLLFAIIIVIIFNKINGLRSSSASKKKIIDHVNTNSHGLVFPQGSLGWPVIGETIEFISSAYSDHPESFMDKRRLMYGKVFKSHIFGTATIVSTDAEVNKIVLQSDPTAFVPFYPKTVRELMGKSSILLINGSLHRRFHGLVGSFLKSPLLKAQVVKDMHKYLSESMDQWSEDQPVLLQDISKTVAFKVLAKALISVEKGEELDELKKEFEQFIKGLMSLPVNFPGTQLHRSLQAKKKMVKQVEKIIDGKVRRAKNKEEDDVIAKDVVDVLLKDSSESLTHNLIANNMVDMMIPGHDSVPVLITLAVKFLSDSPSALHFLTDENMELKRLKELTGEPLYWNDYLSLPFTQKVITETLRMGNVIIGVMRKAMKDVEVKGYVIPKGWCFLAYLRSVHLDKLYYESPYKFNPWRWQERDMSTSSFSPFGGGQRLCPGLDLARLEASIFLHHLVTRFRWTTEEDTIINFPTVHMKNKLPIWIKRR >A07p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25735776:25737600:-1 gene:A07p048140.1_BraROA transcript:A07p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNTDNRRAIWGVPEKLQLHIAMLTLQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGITANQGFYLLGLDYTSPTFASSMQNSVPAITFLMAALLRIEKVRINRRDGISKVLGTALCVAGASVITLYKGPTIYTPTSNLHAHLLTTNSAASAVLAPLGDAAPKNWTLGCIYLIGHCLSWSGWLVFQAPVLKSYPARLSVTSYTLLFGIIQFVIIAAFCERDSQAWVFHSGWELFTILYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYFVLYGKSEERKFAALEKAAIQSSAEHGIERAPISRGSITTPLLHQSTSNV >A08p037860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22034445:22036388:1 gene:A08p037860.1_BraROA transcript:A08p037860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDENSVGLIGSTNLQGGGGKIMPAAKTGPTRRALSAINKNINEPPSYPYAVNNKRSLSERNAICNKPPPHRPVTRKFAAQLAGDKPQIKEEETKKPDSVPSEEAEDFNEPMFVQHTQAMMEEIEMEDADEDKEEEEGVINIDACDEMNPLAVVEYINDIYDFFKKSEELSCVPPNYMDNQQDLNERMRGILIDWLIEVHYKFELMEETLYLTVSVIDRFLAVHQIVRKKLQLVGVTALLLACKYEEVSVPVVDDLILISDKAYTRREVLDMEKLMANTLQFNFSLPTAYVFMRRFLKAAQSDKKLEVLSFFIIELCLVEYEMLEYTPSKLAASAIYTARCTLNGFEEWSKTCEFHTGYKEEELLECARKMVGFHQKAGTGKLTGVHRKYNTSKFSYAARTEPAGFLL >A03g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3770830:3771161:-1 gene:A03g501290.1_BraROA transcript:A03g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLAGRKGVKENFGSRRLRLTALNFSLNSNLLDGLIALVTGAGFWNSLSSVTSSSVQVQKA >A10p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13981014:13983789:-1 gene:A10p020590.1_BraROA transcript:A10p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISEEIQRATCAYSPSGDHRFEKLRGVRWRINLGILPSSPSSTIDELRRVTADSRRRYAALRRRLLIDPHLPKKGINSPDLTIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHGRMHELLAPLLYVLQVDVQYLTEVRSNYEDQFTDLFDELAFQERDSAAYDFDIKKVLDDSMEEEEEEEGDENGHATKKKKPKRFDELDTETQTAVLLSDAYGAEGELGIVLSEKFLEHDAYSMFDALMYGGSSLGSVSVANFFVYSAPSDSVTGLPPVIEASSALYYLLSLVDASLHSHLVELGVEPQYFALRWLRVLFGREFPLSNLLTVWDEIFSADNSEVERVSSVEGDDDDVVSGFEFRILSSPRGALVAGMAVSMILYLRSSLLATENATSSLKRLLNFPEDIDLSKVIEKAKSLQSLALEINARRDLLPKGSRKPMRGHSLSVDSISLGGSSSSPVGRVPESYWEEKWRVMNSAEEEEKARRRKASQRQNTVKKSWSERVKLRLSRTESDPSDRSGNKPPIRRSLLDDLAKQLGDTDSDMERSSTVSDSPSGECEENGLDKGKSEDHVELPLPVPENESEGKSVVNIFRDRNILSGKFQRLWRLGRGLSGEETSGTKEAKPVEPEAKPIEHEVEPVEPEAKPTDHEEEEEEKTNSESTVGDGDALKNTGRSMLEHIKVIESVLEQSSPENMADNHRLTVEEALRELRRLGTGLLSEQM >A03g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31500124:31501255:1 gene:A03g509810.1_BraROA transcript:A03g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSKTKCEPPIQTNKASSPSSNLPHQTTASLSKPLSAATSNFTLNSEKNPFATVNPNPVSASADPPPATDRKSTTTLPTVNPPTKKAPPTTAEPTQDNPPNQPTASSSPLLEKIRKQEDKSLKRLAPVTLSEKGVPRVLIPDSVFQIGAEIHKDFIICYFNGKTPPYTQIQSVLSHMWGKGKRVEIHMNPLSRSMLVRIPSDYLRQKILEKSAWYVGDSMFQAVQWSSSASTSPPNLESIQIWAHLTGVPLDLRHQQGLSLVAGLVGEPKETDDFTKNLVSLTLSHVKVAVNLTKPLPSVVEFVRQSGEVVEVQVTYPWVPPTCTYCKELGAELWSATNRFGSGPGVTTRWLKR >A03g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26359809:26360964:1 gene:A03g507460.1_BraROA transcript:A03g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHRRRQRAAQGFGLLFPAVAASVAAAGISVLFSIYGSQRLRRLEDGLLQRCGHPNSDGRRGACGVDKLLVGHVRPPAVSGGELLCVSSWRSGFAGSGKSRFLSGLFVVSPCVLLAGSAWRSPVVVVRFVPPGLCGSALVSYLWSASATSLAPGAPHPSNQNGIDGCGPLRLLLFSNNPSAWVRHLRAVNGSGLACGVSVRLRVLSVASDLFPSEGCPCSDLSSIYEFTVRWVYTVPVDCNGGGNLQRKILNDGIVGLRLLLVPPMVSIFWLALGSGSDSSLG >A08p021820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14562075:14563355:1 gene:A08p021820.1_BraROA transcript:A08p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKERGFEREEDVRDSYQTKFRCRVDDEARSIFRTAERLSHIHTPMAWNELGTRFLDEEAGEVPIAGEADTYEFDPVQGSFTANKTFAKNLNSLVSSLSSLTPKAYGFYSLSSGNSSA >A02g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4244610:4244999:1 gene:A02g501360.1_BraROA transcript:A02g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVASTSRSGLRERPQWVALRGRSGLCFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A07p028890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16279985:16281904:-1 gene:A07p028890.1_BraROA transcript:A07p028890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVRAEEFEKKAEKKLNGWGIFGSKYEDAADLLEKAANSYKLAKSWDQAGKAYLKLADCHLKSDSKHDAANAYAEAAKCYKKVDTNEAASCLERAVNIFCEIGRLNMAARYYKEIAEYYEADQKIDQAIVYYEKAAEFFQNEEVTTSANQCNLKVAQYASQLEQYEKAIKIYEDIARHSLGNNLLKYGVKGHLLNAGMCHLCKADVVSITNALEKYQDLDPTFTGTRECKFLSDLAGAIDEEDIAKFTDVVKEFDSMTPLDSWKTTMLLRVKEKLKAKELEEDDLT >A04p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21413501:21414541:1 gene:A04p037520.1_BraROA transcript:A04p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREMKKKKKEKTRVRMLCDFCGSYHPARDMNTQNKKKLCELKRLRLLTRRMTGKDLDGLTFAELLLLESLLKQVLLIVKKLKKKTELEEEQRLQKKEADDEGEGSTRRELVVLVEYERRSSESIQSEFERLWLLKERMSGRELAGMTYSELRLLEDEINRGLKGLHEQQFGPRMEQIATQQSEKLISQLRDAEERLGTR >A02p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7336478:7338735:1 gene:A02p016360.1_BraROA transcript:A02p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGALAVTMVMVVVTLQWFGCNFAEGYPEEDLVARLPGQPKVLFRQYAGYVDVDFETGRSLFYYFVEADTHPETKPLTLWLNGGPGCSSVGGGAFTELGPFYPTGDGRGLRINSMSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDETTANDMLVFLIRWFSKFPELKARDFFLTGESYAGHYIPQLADAIHSYNRQSSGFKINVKGIAIGNPLLKLDTDTSAAYEFLWSHGLISDEHKHAIIRQCDFTSLPNISKACIEALTEVSFVTKYVNVYDVLLDLCFPSIIEQELRLKKMATQISMGVDVCMMHERQFYFNLPEVQHALHANRTHLPYQWSMCSTLVNYSGIDGNINMLPILKRIIQNKTSVWIFSGDQDSVVPLLGSRTLVRELAHDLNFSTTLPYGPWFHKDQVGGWVTEYGKLLTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNNTHERGEISLLDIFS >A09g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4675289:4676101:-1 gene:A09g501400.1_BraROA transcript:A09g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERKMCLIKDLKPFRDEWGLTLKLLHSCKQTSSYGGDTLECVLVDQTVIDTVKITGVGVGQYRPTTQQYKMTIIGDTSITPFDYRNDNHFHNLANYEKIGNGKLKPDFLIVAMVQAKGNDKKRVHFRLRDTSGHEVACCLWGKYAEQFE >A01p044180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24505714:24507323:1 gene:A01p044180.1_BraROA transcript:A01p044180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSRYGTKVMVTAGPRLSSSRAVSPNCLLKSRVLARDFSKMTFEKKKKTEDNQSEKGSSGGKGDQGNKGEQLVVSYWGVKPMKITKDDGTEWKWSCFRPWETYKADLTIDLKKHHVPSTLPDKLAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLVHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPNWYERALVIAVQGVFFNAYFLGYIISPKFAHRMVGYLEEEAIHSYTEFLKELDNGNIENVPAPAIAIDYWRLPADATLRDVVMVVRADEAHHRDVNHYASDIHYQGRELKEAPAPIGYH >A05p022790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10968863:10976599:-1 gene:A05p022790.1_BraROA transcript:A05p022790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAVPFWRAAGMTYITYSNICANLVRNCLKEPFKAEALNREKVHFSLSKWAGGKPEKPTLRSDTPGMKPIQPPPGVTGPVKNRPRRRPDLSLPLPHRDVSLAVPLPLPPTSGGSTTSEPKSYSDLVRGNRIGSGAGGTVYKVVHRPTSRVYALKILNGNHDDTVRGHICREIKILRDVNHPNVVKCHEMFDHNGEIQVLLEFMDQGSLEGAHVSNEQHLSDLSRQILNGLAYLHGRHIVHRDIKPSNLLINSNNNVKIADFGVSRVLAQTLSPCKSSVGTIAYMSPERINTDLNQGMYDGCAGDIWSFGVSVLEFFLGRFPFNVNRLGDWASLMCAICMSKPPEAPATASPEFRHFVSCCLQREPARRQTAVQLLQHPFVRRGASQSQNRSPQNLHQLLPPPH >SC140g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:1370:1769:-1 gene:SC140g500010.1_BraROA transcript:SC140g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLAERGRDQD >A03p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15103837:15104926:-1 gene:A03p036080.1_BraROA transcript:A03p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSENKTTTNGQKVSVSVPSVPDPGHATVKLTASSDRDHKYLTQAVEEAYIGVERGDGRPFGAVIVHKDKVLVSCHNMVLRYKDPTAHAETVAIREACKKLNGIKLSECEMYASCEPCPMCFGAIHLSRLKRLVYGAQAEAAVAIGFNSCVANGVKGTELFHKSSLEIVKLDASIAEQVFQNTKGKFTL >A07p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25845154:25846440:-1 gene:A07p048370.1_BraROA transcript:A07p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKKPIHAVTTWVRRQPPKVKAFLGVVSAMAALVLLRMIVHDHDNFFVAAEAVHALGISVLIYKLTKEKTCAGLSLKTQELTALFLAVRLYCSFVMEFDIHTLLDSATLVTTLWVIYMIRFKLKATYMEDKDNFPIYYVVIPCAVLSVLFHPSTHHHIINKVSWAFCVYLESVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDTRGRLLTALGYGLWPIMVLLSEVIQTFILADFCYYYVKSLMGGQLVLRLPSGVV >A09p066060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52561100:52561788:-1 gene:A09p066060.1_BraROA transcript:A09p066060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLERKVCCMCGDVGFFDKLFHCSKCLNRFQHSYCSSYYKEQGDPIKICDWCQFEAKSRTGAKHGVSVGSSKRSYRSEYSSANQIKNQEINQITASSSIPPVADKGKTSVPSPRTATRRYKLLKDVMC >A06p049100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25959469:25962525:-1 gene:A06p049100.1_BraROA transcript:A06p049100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSLTLFFPSSKLSFMAPNSVAVTMEKPDNFSLLEINGSDPSSFPDNKRKSISPKQFSWFILLKAHRLVSSLSWLFASVKKRLAFSSKAINEEEDPKSRGKQMYRFIKACLVISIVALSIEIVAYYKNWNLDLVNRPSWEVRGLVEWSYVAWLSFRSDYIAPIVITLSKFCTVLFLIQSLDRLVLCLGCFWIKFKKIEPKLKDDELDLEDASNFPMVLIQIPMCNEREVYEQSIGAASQLDWPKDRILIQVLDDSDDPNLQLLIKEEVAAWAEKGVNIIYRHRLIRTGYKAGNLKSAMTCDYVKDYEFVTIFDADFTPSPDFLKKTIPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVTCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIIKSKISVGKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWIICYVPIFISLLNILPSPKSFPFLIPYLLFENTMSITKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLAFAEKEEKLHRRNSESGLELLSKLKEQEMNLAVQETPKKSIGGLVRPSNKIKKRNMVFKKELGLAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIN >A08g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21823955:21824405:-1 gene:A08g510100.1_BraROA transcript:A08g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEVSCGVHGLEFIGPTMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A02g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9258309:9259792:1 gene:A02g502820.1_BraROA transcript:A02g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVIWKSIKDKLITPFVELDIKYFDLGLPHRDATDDKVTIESAEATKKYNVAIKCATITPDEGRVTEFGLKQMWRSPNGTIRNILNGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLTMTFGKTYYSHLGLALGKRWEN >A06p044770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24028128:24029426:-1 gene:A06p044770.1_BraROA transcript:A06p044770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYITRHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEESLIIELHAALGNRWSQIATRLPGRTDNEIKNFWNSCLKKKLRRKGIDPTTHKPIISELQHNVIDQKLTSLNTSEVVKSTASINNPHDQSMVVLSQPGPWWYPATATTTTANQNAEFCFSSSTTQTVSDQIVSLISSMSTSSSPTPMTSNFNPIPNNWELSYCNNTVPSHSNSIYSAFFGNHYKEATQIMNTNNNNILLDQHPHQDMKSWAPEILHYTEHNQSSETGFEAEVKPDIAKYYWRSVSSSPSPNESAATLLHDADVEFYGKNLQKPNIMAFDQRL >A04p039360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22230164:22232065:1 gene:A04p039360.1_BraROA transcript:A04p039360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protoheme IX farnesyltransferase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G44520) UniProtKB/Swiss-Prot;Acc:O64886] MWRRSAVSRFSSRISLSSSSSSSNPRLIPWSRELCAVNTFPQPPLSSEPTPKLAISGAGSDPNRVLSSSAVAATSRIAAAAGLGHHYGRCYWELSKARLSMLVVATSGTGYILGTGNGAVDLAGLCYTCAGTMMIAASANSLNQIFEISNDAKMKRTMRRPLPSGRMSVPHAVAWATIAGTSGACLLATKTNMMAAGLASANLVLYAFVYTPLKQLHPVNTWVGAVVGAIPPLLGWAAASGEISYNSMILPAALYFWQIPHFMALAHLCRDDYAAGGYKMLSLFDPSGKRIAAVALRNCFYMVPLGFIAYDWGLTSSWFCLESTLLTMAIAATAFSFYRHGTMEKARKMFHASLLFLPVFMSGLLIHRVYDEDKQPLLEAAGLSNSASSEVKTQRRKKRAAQAPVAYASAAPFPFLPAPSFYSP >A10p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18815154:18817024:1 gene:A10p031520.1_BraROA transcript:A10p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPTTATATMCPSPPLPTVSPLLRPSHQCQPSPSSSSIKLGTTLFFNEATVDRAAESSVVIKPDKWGSQLEKRRKRRRRRRAGFERLEPEEDDDVDQVVEPVAEPVSIPVGASRSGFLSRSEEVQLCLYLKEGAILENLGTSGEENGMVSVLLSSTGKGKKKRSANEILCRRREAREKITRCYRRLVVSIATGYQGKGLNLQDLIQEGSIGLLRGAERFDPERGYKLSTYVYWWIKQAILRAIAHKSRLVKLPGSMWELTAKVAEASNVLTRKLRRAPSSEEIAEHLNINVSAVRLAVDRSRSPVSLDRVASHYGRMTLQEIVRGPDETRPEEMVKREHMKEGIKQLLGTLTARESRVLGLYFGLNGETPMSFEEIGKSLKLSRERVRQINGIALAKLRNVHNVNDLRIYYSSSE >A04p025600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15508792:15509751:1 gene:A04p025600.1_BraROA transcript:A04p025600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALASRLTKGRSLLGGLTNAFSGLMSSSSGSILSQQQQRTFIQMGTTLKVVDNSGGKEVTCIQSLRGKKGARLGDIVIGSVKEAAHKVDVKKGKDAIQKGKVKKGNVVYGVVVRAAMQKGRVDGSQVRFDDNAIVILGIKEKKKDENGKEKKKRYAGGFHQPLGTRVFGPVPHEMRLKKQLKILSLAQHLV >A10p017450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2442844:2444343:-1 gene:A10p017450.1_BraROA transcript:A10p017450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVMATAVKVAGIGVAKGGFRGGFGVPAAANDQFIVRNASASKPVSASISSAVHPSVEEDAVVMRKPVWEDEWEFAEVETKTIPRVIFDKPPSLQEAKEATDDLKDALNMAYMEGSNEVGSVSRMFSSFQPSENRAVESAVPQVALKAFAFLSENTAAQSVVASIASDPKVWDAVMENKDLMKFLETNTASTTVEADNDDKSELSSETESEEESEAKPINLMEILRDMKLKAVQMMENVSSYFGGLFRTETFTEGGQERKRMLLNDPTTLFGLAVCVIFMVVLKRA >A09p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:632398:637569:1 gene:A09p002660.1_BraROA transcript:A09p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 20-IV, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G03320) UniProtKB/Swiss-Prot;Acc:Q9ZQZ9] MHGLAATATTGSLTMQGLAATATTGSLTMQGLAATATTGSLIFLADRFRFLSPPPLRHHNQLMVSFPQVRFITKLKLSANSRSPREIAPLSASSKHLSGHGWPPFDDGFGRRRRPRQRPSKPAFKDDFFKIKLPNIAERPEWWWRTLACSPYLISLQVSDVGIYVRPFLEKYDATGNIIKFIPGAITRWPRWFFMLYCYLGCTFLVKNKELPHFFRFHVIMGILLETALQIIWCTSDFFPLIHSRGRLAMYYETAMGFAYICVLLECIRCALAGVYPQIPFVADAASIHTRDTTRKSPIRLQTHSSSRKFLKTDLENTSEPPPEVSAGKETMQGLAATTTTTNLGSLTFLAPRKQQHSPILNKYVNQRVSFPKLDSFPKLRLSADSVSQRCPRVIAPLSATAPASSNHLFAHGLPPLTTGFTRRQRPLEPARAFKDDFFKIKLPKIAERPEWWWRTLACIPYLISLQISDVGFYVQPFLEKYDAIGDMIYFIPGAINRWPSWFFMLYCYLGYMFVVKNKDLPHYFRFHMMMGMLLETALQIIWCTSNFFPLIHFKGRLGMYYWMVIGFTYICLLLECIRCALAGVYAQIPFVTDAASIHTLFNLGGFSRPLR >A10p036200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20651386:20655554:1 gene:A10p036200.1_BraROA transcript:A10p036200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MEI2-like 4 [Source:Projected from Arabidopsis thaliana (AT5G07290) UniProtKB/Swiss-Prot;Acc:Q9LYN7] MPSDISEPRAGGRDLRTTPPEKLYGFMKTNPVPEGGGDRSSNLPQQSSLSGALPSAIPNGRTAAPSDSHWESSLFSSSFSEIFSRKLRLPRSDNLSFMPAYPEEEPSQSLQEMEAQTIGNLLPDEDDFFAGVVGHKSRANGGDDLDDCDLFSSVGGMELDGDVFSSVGQRAGKRGGNSVFGVGEPPRGEVSSRMLFVTNMDSIIEDYELRALFEQYGDVRALHTGGKNRGFILVSYYDIRAAQSAMRALHGRLLRGRKLDVHYHIPKENSGKENASEGALLVNNLDSSVSSEEFYRIVSSYGEIREVRRMMPENSQIYIEFFDVRTAEAALRGLNGLEIAGRQLKLAPYFPEGTSFTPQFAADDVEGGLPKMAFNNLSSAQMGRHYPGILASTSTNGGSMRMMHHSVGSPVNSFSERHQSRDIPIGMPTSTRIISASKPVGLQESGNPFDSSNTGIQSMPNLHPHSLHEYLDNFASGSPYKSSTAFSEMVSDGQKANEGFMMNNVRGVGVDGFNGSVIGSPANGGSHRANPNLWSSSNPSNGMMWPNSPARVNGITSQRIPPVTGFSRASPLMVNMASSPVHHHIGSAPVLNSPFWDRRQTYVAEPPESSGFHFGSHGSMGYPGSSSSHAMEMASHKVFSHAGGNRMDANTSNAVPRSSRQMPHFLSGRNPMLSVPGSFDLPNERYRNLSQRRSEFSSSNAEKKLFELDVDRILRGEDSRTTLMIKNIPNKYTSKMLLAAIDEYCKGTYDFLYLPIDFKNKCNVGYAFINLTEPENIVPFYKAFNGKKWEKFNSEKVAYLAYGRIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGKHRTSSIENYNNNFSSSSENREEPPNGTDSF >A03p015020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5950641:5953702:1 gene:A03p015020.1_BraROA transcript:A03p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGGGMCNQNWSRLVLWLGLLSGFFLVTTLEESSEKDETFISQFMAPSTEHVNEQMVETLWTHRCWQDPDCVKEAVTVFNLCFPGSKDNNMDLFGFTPSHLKQTLLGCIQKQGELNGHNLNYLKLLPSILDNAPRRNLASTPSPSPPPKRSSRRPPPPPPPAASKKSVSEKLTSKPASSAKGKEDHQKTIIIAVVTTAVSTFLLAACLFLCCTKVCGNGSGGRINDERPLLSLSSNEYSLGSSNNYGGSGKGHQSFNGNNSDNFVTLEERMSMDGMFNNINNSHGIPPLKAPPGRKSSKISWKPPSGKVEPLPPEPPKFLKVSSSKKSSSAPSPSPPPPPMPSSAGPPRPPPPAPPPGSGGPKPPPPPGPKGPRPPPPMSLGKKAPPASPGPASSGDDDAPKTKLKPFFWDKVQANPEQSMVWNDIRSGSFQFNEEMIESLFGYAAADKNKNDKKGGSGQAALPQFIQILEPKKGQNLSILLRALNATTEEVCDALLEGNELPVEFIQTLLKMAPTPEEELKLRLYSGEIAQLGTAERFLKAVVDIPFAFKRLEALLFMCTLHEEMAFVKESFQTLEVACQELRGSRLFLKLLEAVLKTGNRMNDGTFRGAAQAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRTEGRRAARTIRESQSFSSVKTEDLMAEETSEEMEENYRGLGLQKVSGLSSELEHVKKSANVDADSLTGTVLKMGHALAKAREFVNSEMKSSDEESGFREALEDFIQNAEGSVVEILEEEKRIMALVKATGDYFHGKAGKDEGLRLFVIVRDFLIILDKSCKEVREAKGKQVKMARKQGSTASSVASEIPRAPSLDPREKLFPAITERRVDQSSSDSD >A10p035890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20523087:20524394:1 gene:A10p035890.1_BraROA transcript:A10p035890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSRRNGEDLVVPSYQETSDSYQSSDMWGGWNMNSQKAAEKCFDFDVINNGFSGGLYSQMDMEMEMGTSGEVKQEEEDEESKRFKSAGCFYNSTSSLHDFDGIQQMDDMFLSSILEDVPGNGNLHCFKESDNNSPGSSSVAYLDTLDGREVPMYQYNWEACNDMPLMEGEEPMKISDLCEEKNLEEPSTEEVVLQDLQRASEKLTDVTRKCFRDTFYRLAKNSQLKCESGNINTEEFLQDTTFSGKINQKTESETNSIDRAVANLTFNNMESNMRNIPPPKRVSCNQG >A02p021340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10106688:10108836:1 gene:A02p021340.1_BraROA transcript:A02p021340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLRKSEDFSILPHSSSAKASMMRRLSPSQMSGAGLGIAAISYVAVDYMRYVSPWGHSRLQPVLWSVLVLAVVTRVPFYKHWSKELRAAIPFLASIFFLLGALLFEALCVRSVTAVLGLDWHRDTSPLPDTGQWFLLALNESLPETIVEILRAHIIGLHHFLMLFVMLGFSVVFDSVKAPGLGLGARYIFTMGLGRLLRAITFVSTILPSARPWCASSRFNNVPSHPHRWAQKYYVPYAKDPSAIRQLLHWDAAYADPGNYIGDYRADWGPMSFLSDFLRPSYSEGSSWFALLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWLLVAHSAQREIRERHHYSVDCVVAIYVGILLWKMTGFIWSNKRKSKQTVRLEKIQNGLIHAAKDSDMESVRRLVEEMEVSLGEEKQSGVVSKRAMTVFACATVITTLGIVVLALTLTSDG >A01g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21693179:21695643:-1 gene:A01g507620.1_BraROA transcript:A01g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRKAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVKTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10267005:10267448:-1 gene:A02g503110.1_BraROA transcript:A02g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRTREPVGNRRKSHFVLASLFGDDEYETKRSFIALPKDKKTQFWSRPKWVPNRDMDQDMPKPTTRIELEEGVTEVVMIAELARKSRSRLAIKKGEKTKKRTR >A04p037660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21492830:21495719:1 gene:A04p037660.1_BraROA transcript:A04p037660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMDRESDSTDGTMCCGSDQLNQISSPEDTTTNTEMNHLRVETEDTFASLLELAANNDVEGVRLSIDRDPSCVDEPGLWYGRQKGSKAMVNDHRTPLMVAATYGSIDVIKLILSLTDVNRACGSDQTTALHCAASGGAVNAVQVVKLLLAAGADLNLMDADGQRAGDVIVVPPKLEGVKLMLQELLSAATAERNLRVVTNVRTSRSNSPNEEEYGDGDGESPFKMKSSTEFKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEFAHGVFECWLHPAQYRTRLCKDGTGCARRVCFFAHIPEELRPLYESTGSAVLSPRSNADFAAALSLLPPGSPSGVSVMSPLSPSSGGNGMSSMAWPQPNVPALQLPGSNLRSSRLRSSFNARNEMNMLAEYEQQQLLNEFNSSLSRSGRMKSLPPSNLEDLFSVESSSSPRFNDSALASAVFSPTHKSAVFNQFQQQQQQQQQSMLSPINTSYSLPKSVDHSLFSGGGRMSPRNVVEPISPMSSRVSMLAQCVKQQQQQQQQQQQQQQQNQFRSLRSREQLRTSSSPVVGSPVNNNNNNNAWSSQWGSSNGKPDWGMSSDAAALGKLSFDGGVEPDVSWVQSLVKENSTEAKENAAATSSNTGQNTMQQPTTSEMVMDHAGLEAWIEQMQLDQFVAQQN >A01p055660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31752493:31753164:-1 gene:A01p055660.1_BraROA transcript:A01p055660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENSCLSRIAAVGGALGGVVGDVFGTYEATIFKVPGGWAYEAKVLRPTNYVQLGGIRSIPIPSCWPLYTLSTISVKFVPCNSW >A02p034440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18697789:18699005:-1 gene:A02p034440.1_BraROA transcript:A02p034440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHSYICLPEHARSFTQTKLVPEIYTKDEINEIFMESVEPKKRMKRKSKHSVRPKQLHQHRSKESSQYRSTTNPPHSNPMKSQPDSYTRAEIDQLVEEIYKTLESTEERLDRRCDDIYFPLDLTMSSSTSQMEAIQREHNNISTDESTPATRGRLVPKIKSDMSDTNNHGEKISNDAYATLIRNLFQLESLRERLQKIENASATMKDKWRRGVDAMRDFTGTWLYERLDRFVSSDLTVKEQQYKTGKHRLKFSLLDYPPRF >A09p033320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20194085:20196858:1 gene:A09p033320.1_BraROA transcript:A09p033320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVKARKQRENTMTSLFPSTKNHISYRIIWMIFVIPSCVYSASVELHRLCSAPFSCGNQKGLFYPFWISGREACGHPDFKLNCSGGFAEFTISSAKFIILEANSTSRVVRLARSDYTGDLCPPYPLNTPSFNEYFLPFATGTEMMTIYYGCPEVSQTDSTYLGDLDCEDDDDDDEKSYYVSRNRSSLALGEICKRHVSIPADGTSLNTLQSVPTLGSLKKVIAEGFKLGANGECLTCENSKGACGYNQSSNGFVCYCIDGHQNVTCGSTSWSKVSSLFILKEPPIIRSLNLISADLLIGSSTGNTFNKGLSTVAKAGLSTGKKAGIGTALGLVGIILIAGGLFCTFKRRKKTQAAQYISKDLVITSSSKEASNNPTSTTVSYTDLPLLPSVSSTANRSVFFGVQVFSYEELEEATQNFSRELGDGGFGTVYYGELKDGRAVAVKRLYERSLKHVEQFKNEVEILKSLKHPNLVILYGCTSRHSKELLLVYEYISNGTLADHLHGDRPESRPLCWSVRLNVAIETASGLAFLHASGIIHRDVKTTNILLDENYTVRVADFGLSRLFPMDQTHVSTAPQGTPGYVDPEYFQCYRLNEKSDVYSFGVVLIELISSKEAVDITRKSHDVNLAKMAVAKIQSNALHELVDPSLGFEKDPEVRRKMMSVAELAFRCLQHEREMRPTMDEILKILRGIKEEQIGQDVVDIGGDDVGLLRLSVPPPISRETDTWTSKSSSEDMEAIPF >A07g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21969755:21971439:1 gene:A07g508000.1_BraROA transcript:A07g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSAEEPELTPPQPPPLLSSEFMSSVMARLAHQEEVQKTTNDKLAAIVAALSAPTGNSQPFRRHLFNTNPPTPTDGRTMNPADPHVEPRQHATSDKNNRKNGLLYVVDENGKKWNTFHRETDPPSESPRATATAAVAQVDSAVGSSRTPPALTKSCKLHGDDDGDASADEDQPAVRQRIEVIRAQPEPSSDEESDLEEALDPSDLRTLLKRKITPTSSETPGPSDLRV >A09p009960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5111301:5112950:-1 gene:A09p009960.1_BraROA transcript:A09p009960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPYPKQCQLGWTLTHSLLSLPSHAPQALPVKVTLFKLIYTNGVVYSGVPLTREWRESLLQLTTTRLSDKALFFFFSISLPKIFLRFQTSLSLIAAATMMSRDHYVYMAKLAEQAERYEELVQFMESLVTSATPSGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVSLVKDYRSKVESELSTICSGILKLLDTHLIPSASASESKVFYLKMKGDYHRYMAEFKSGDERKTAAEDTMVAYKAAQDVAVADLAPTHPIRLGLALNFSVFYYEILNSSEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >A09p053960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46639823:46644364:-1 gene:A09p053960.1_BraROA transcript:A09p053960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GIGANTEA [Source:Projected from Arabidopsis thaliana (AT1G22770) UniProtKB/Swiss-Prot;Acc:Q9SQI2] MTSPTSSERWTDGLQFSSLLWSPPRDPQQHKDQVVAYVEYFGQFTSEQFPDDIAELVRNQYPSTEKRLLDDVLAMFVLHHPEHGHAVILPIISCLIDGTLVYSKEAHPFASFISLVSPNSENDYSEQWALACGEILRILTHYNRPIYKTEQQNGETESKASTSGSPTLSEAKAVSPGQHERKPLRPLSPWISDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTIATRGSGKHPQYMPSTPRWAVANGAGVILSVCDDEVARYETATLTAVAVPALLLPPPTTSLDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPALLFPPLSQAEGVEIKHAPIGGYGSNYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLNSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVETILSRTFPPETSTRKARASLATRSSATKNLAMAELRAMVHALFLESCAGVEIASRLLFVVLTVCVSHEAQSSGSKRRRSEEVATAEENQDNQTSNRKSRNVKGQGPVAAFDSYVLAAVCALACEVQLYPMISGGGNFSNSAVAATITKSVKINGSSNEYGAGIDSAIKHTRRILAILEALFSLKPSSVGTPWSYSSSEIVAAAMVAAHISELFRRSKALTHALSGLMRCKWDKEIHKRASSLYNLIDVHSKVVASIVDKAEPLEAYLKNAPVQKDSLACVNWKQQNNTSSAAGFGTAAVTSTSRNEMAPRGGNHKYARHSDEGSGSRSSSEKGIKDLLLDASDLANFLTADRLAGFYRGTQVLLRSILAEKPELSFSVVSLLWHKLIASPEIQPTAESTSAQQGWRQVVDALCNVVSATPAKAAAAVVLQAERELQPWIAKDDEEGQKMWKINQRIVKVMVELMRNHDRPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLAWGPSGLAVVDGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIMNQSGITTTKATPKPPPTITTEKNGTDSPSYRFFNAAAIDWKADIQKCLNWEAHSLLSTTMPTQFLDTAARELGCTISMSSQ >A08p008250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4937847:4938158:-1 gene:A08p008250.1_BraROA transcript:A08p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVKKLLCCGAKSFSHRARLPEEGRVRVYVGNDRDTQCKLEMDADFLTHPLFQELLRFSEEEFGYSYDGALRIACEINTFIDMINFLKSTTHFTYNNNTGF >A04p018070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10901203:10902151:-1 gene:A04p018070.1_BraROA transcript:A04p018070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNNLRENNNKESLPLHLYCISTFSFFTTETPLTCAFLMKPCLTRKLKMLSPHLQNSEIVEPSSVFSVKLFFRKFDNEILYAECNADFIDALLSFLIFPLELICSLSNNLGSVGNLSRSPCRKASASDFNQVHDYYGCSNNTMFGYLPSPSPVYECFVPRNSSDSWSCQLARQIQWSMDLFILGGDIVKMSPNNPKVISGSSSGGDTGFMKKNTKFIVSNDLIISPMNSFSTVGLLKKMQVNISDLEEHQISISKADLISILRASLVSSSALTNGLSNLLVKKPKEET >A03p063550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27562274:27567774:1 gene:A03p063550.1_BraROA transcript:A03p063550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPDSGATRVTVPTACGFEISSFSVFSYHSTLVYREEPDPKTPLVFGEPIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKEESDCSMKGNSSDAQKMDELTAKVPYPIPPRHLMDPISEEQLIGFNKMFFKYCRETQEEIKDLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGESRLFSDEDPSTYHTKFRGNSRVKQKVQKKRVKGDPTMTLIPLKCDENSIKYEVKCKGTSKPFSKVRAILTHELKEKGDAAVKGLLSRGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGPAGTIEAENSAIW >A01g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1343414:1345033:1 gene:A01g500320.1_BraROA transcript:A01g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSWDGLGEIASVAQLTGLDAVKLIGLIVKAANTAWMHKKNCRQFAQHLKLIGNLLEQLKISEMKKYPETREPLEGLEDALRRSYILVNSCRDRSYLYLLAMGWNIVYQFRKAQDEIDRFLKIIPLITLVDNARVRGNKVGNQLISEERLEYIDRDQFEYTLDEEDRHVQDVILKQESTREAASVLKKTLSCSYPNLRFCDALKTENEKLQLELQRSQEHYDVAQCEVIQRLIGVTQTVAEVDGGSEKELSKKASKKADRSVSHKTEYSYEEDPPVKSTSRAASRSTSSVTSGHEMLSRRASLHHEEWHTDLLACCSEPSLCEFFPSSV >A09p038270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000217.1:45:995:1 gene:A09p038270.1_BraROA transcript:A09p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQHADMSSVHGSVHGSVHESVHGKGQHADITRISTRISTRTVHGKGQHADMCGQHADMSSVHGSVHESVHGKGHHADMCGQHADMSSVHGSVHGQSTGRASMLICVVSMLISVQYTDQYTDQSTLSKISTRTVHGKGQHADMCGQHADMSSVNGSVHGQSVCANGQARTSCVQPTWAKSPEQSTGRASMLICVLTDDHGRPVCTDGRPRTSSTDVLRVLTDVLCVLKDGHGRPLCTAGLPVCADGRPRTSSVY >A06p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4940668:4943587:1 gene:A06p002860.1_BraROA transcript:A06p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPHRLFSRLLLRSPVKGYSRGTSVGGGGGGGGSLHLLLQLDERNDGCVEANRSFSSLVRSNPQLRGFLLSSRGLGVRCSVSLDRDTTSLTGSFSSHRNFFTRAKQVKRIEINDQHSQRAVTTALWCNFLVFSLKFGVWWTSSSHVIMAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGVQNLWTSHPPPNMEYAALVIGGSFLIEGASLLVAIQSVKKGAAQEGMTIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVKVTGNPIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDQDMRKVLHFLKNDSAVDALYDCKSEVIGPGSFRFKAEIDFNGQVVVQNYLKRTGREEWAKQFREAAKRGDDSAMLNVMSNYGEEVVTALGSEVDRLEKEIQELVPGIQHVDIEAHNPIDQSL >A03g507070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24796835:24797143:1 gene:A03g507070.1_BraROA transcript:A03g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLFVDPLRYGPPLLCLSMVLRVGDGGLPLEVCLSVHIASSSLLAPGRGLTSTVFCFSVLDKQQMSMRIKLLVFIGRLLFGAWCQHLADSHIPVSKVVT >A07p032750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17916102:17916777:1 gene:A07p032750.1_BraROA transcript:A07p032750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL7 [Source:Projected from Arabidopsis thaliana (AT1G79800) UniProtKB/TrEMBL;Acc:A0A178W8V4] MMKMTSPLSLCSLMIILLCVRAVMVVVASEGPRIFKVGDEFEWRVPLQNDTSVYSRWASTNRFHIGDSLSFVYDKDSVMEVDKWGFYHCNGSDPITAFDNGNSTFYLDRPGLFYFISGSNAHCTSGQRLIVEVMHIHHHNHHHDASLPPSMSPLSASSPSESAFDSHDPASSAAASSLLASFFPPFAALLVALFSCQP >A03p048320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21972961:21975283:1 gene:A03p048320.1_BraROA transcript:A03p048320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At2g14520 [Source:Projected from Arabidopsis thaliana (AT2G14520) UniProtKB/Swiss-Prot;Acc:Q9ZQR4] MAVEYECCESNFFIHILVIVLLVLFAGLMSGLTLGLMSMSLVDLEVLAKSGTPRDRKHAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDALVTAWGAILISVTLILLFGEIIPQSVCSRHGLAIGATVAPFVRVLVWICSPVAWPISKLLDFLLGHGRVALFRRAELKTLAGKGGELTHDETTIIAGALELSEKMVKDAMTPISETFVIDINAKLDSDLMNLILEKGHSRVPVYYEQRTNIIGLVLVKNLLTINPDDETQVKNVTIRRIPRVPETLPLYDILNEFQKGHSHMAVVVRQCDKVNPLPSNDAANNENVVEVRVDVDEERSPQEKKLKRRRSLQKWKSFPHRANSYKGRSRSKRWSKDSDADILQLNGDSLPKLAEEEEAVGVITMEDVIEELLQEEIFDETDHHFEDS >A05p008700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3603489:3603995:1 gene:A05p008700.1_BraROA transcript:A05p008700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFSGHPNVIQIVGVYEDAVAVHYRDGDLCRLSEEEITGLEEMFKMIDTDNRGQITLEELKKGLDRVGAILKA >A01g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21347690:21358461:1 gene:A01g507390.1_BraROA transcript:A01g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRLWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKNVISEAKQGRKEFNNHVRKLQHLWVELQGLRSHVDGDAAPEQEMLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRTMRRKQVFDRVKLLR >A05p009830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4106933:4111459:1 gene:A05p009830.1_BraROA transcript:A05p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGFLTDWPWTPLGSFKYLVLAPLVIDSIYSYATLRDHDKLLVVALMVWRIVHSQAWISFSRYRTAKGTTRIVNKSIEFEQVDRERTWDDQIIFNTIIVYLVKAYVIRNNPVPFWRLDGVVLTVLLHAGPVEFIYYWFHRALHHHYLYSRYHSHHHSSIVTEPITSVVHPFAEHIGYTLILGIPLITCLLCGTVSVASLFLYLTYIDFMNNLGHCNFELIPKPFFSLFPPLKFICYTPSFHSLHHTQFRTNYSLFMPMYDYIYGTNDKCSDSLYETSLEKEEEKPDAIHLTHLTSLDSIYQLRLGFASLSSHPLSSRCYLLLMRPFTQILSSILISFSSRTFVFERNRFGDLTIHSHLLPKFSSHYISQQCKESINKMIETAIIEANKKGVKGEDLNGYGEMYVRKHPKLKIRIVDGSSLAAEVVVHSIPVGTREVLFRGQVTKVARAIVISLCQNGIKVMVLREEEHCTLAGYLGGHCKENLVLTTNYSPMIWLVGDGLSKEEQKMATKGTRFLPFSQFPPTQLRKDCFYHTTPAMIIPDSAQNIDSCENWLGRRVMSAWRVGGIVHALEGWEEHECGLDGPVVNPPRVWEAALRNGFKPLVLPSVETKGLSNGY >A05p053390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30831886:30846921:-1 gene:A05p053390.1_BraROA transcript:A05p053390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYLISPIARTLALIFISLPSLINTSQLDYDTLVFSQCDSSDTNILQKVTTKDPSYSNPNLLLRAQALYSFLSKLESESSRAKFFNTLVGNEQHAVSGWFQCREDYPSEICHRCVRELRDISSRLCGNATSARVHLRGCHMVYEIEHVDTPTSRKYYIVYLITLFDVKTEGLNDLFKCEFARKWTFTIFFQHYLLVAFVFGEANHHNYKLLETPERGLIHKICDGATAETLVGFEEMRTVALTAAETGVVDGHGFYEESYKLLHVVAQCDGHVEACDCGECVSAAAAAAAEECPWSTASQIYLEGCYVGYTYNPHEYPGDSYHEEGGKTSTGKSLAIVVGGVAALVFVAIFFLFLKSLRRKGDEGLHRRDVGKILLMDTDTRGHDQNIKEKPCTCTRSRKYKGNKDKGFLGNTTKTRSDLDMQPLLLTDERVRKACETTRELKIPDEKTLSVLKKLLQENGENWTLIKLDNYTALIDAIYSLDDEQEEEEEDKKKNETLSNANRGKHVFDSAPSGALKKQGKNVVVGTDSPATLKRKYETRSAASGSSTEEAQKHPSNGVVRNKKYKTIIRDITKGSESVEISLVDEVGTEHVPKFTYIPHNIVYQSAYVHVSLARISDDDCCVSCKGDCLLADFPCACARETGGEYAYSKDGLLKEEFLDTCLKMKKAPDSFSKFYCQDCPLERDDGKCEGHLIRKFIKECWRKCGCDMMCGNRVVQRGIRCQLQVYFTQEGKGWGIRTLQDLPKGTFVCEYIGEILTNTELYDRNIRSTSERHTYPVTLDADWGSEKDLKDEEALCLDATVCGNVARCEDANLIDIPVQIETPDRHYYHIAFFTIRDVKAMDELTWDYLIDFHDESHPVKAFRCCCGSELCRDKKPKGSGGKSGERRKAVPAKKQAGLIGMLFGPIIDCNLYCPIGLMGKLLWAHNHYPGQHEIVVVSPSITTYIPPPHCRRALPQQELQPCRSEFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIFNHSRIKSPFGIGFCVAWSGQEPMNNPVPCQVFPLASGGTSSGYCNGTYVSAQERSSSQTRQSVETQSLPVTNQPQEQRDMSWPEDQLQGFFDFPPQGESSSRAKSEWPDWANQMISVDDGLEPNWSELLGDPNVLNQDSKIPTPSCDIARQEIVVSTQHQVDSSSAKSPQASSMTSKQRMRWTPELHEAFVDAINQLGGSERATPKAVLKLINSPGLTIYHVKSHLQKYRTARYKPEISIDTEKPPLKTLKTIEDIKSLDLKTSIEITEALRLQMEVQKKLHEQLEVQRTLQLQIEEQGRYLQMMIEKQQQKMQEKKIGSSSGTSSMPEADTSSAPSPNLSQASVTERLQSGGSSTLDQSGYPSGATKKRVRED >A03p053490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22938917:22946691:1 gene:A03p053490.1_BraROA transcript:A03p053490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like A01 [Source:Projected from Arabidopsis thaliana (AT4G16590) TAIR;Acc:AT4G16590] MSLYLKPFLFLYDSTLSLLLLLFNGWSLEDPAAAHERLEADNNAAESEWMQIQYLWRKTRSLVLLPVFKGLVIMCLVLSIIVFFESFYMNIVIFFGKLLRRKPEKLYKWEAMQEDVEAGSENYPKVLVQIPMYNEKEVFQISIAAVCSLLWPSSRLVIQVVDDSTDPVVREGVDIEIAKWQSQGINIRCERRDNRNGYKAGAMKEALTHNYVKQCDFVAVFDADFQPDPDYLARTIPFLVHNPDVALVQARWIFVNANKCLMTRMQEMSLNYHFKVEQESGFTRHAFFGFNGTAGVWRISAMDAAGGWKSRTTVEDMDLAVRVGLHGWKFVYLNDLTVRNELPSKFKAYRFQQHRWSCGPANLFRKMTMEIIYNKRVSIWKKFYVIYSFFFVRKVAVHFLTFFFYCIIVPTSVFFPEIHIPLWSTIYVPSMISIFHTMATPRSFYLVIIWILFENVMAMHRTKGTWIGLLEGGRVNEWVVTEKLGDALKNKLLSKVVQKKSYYERVNSKEVMVGIYILGCALYGVINGHTWLHFYLFLQATAFFVSGFGYIGT >A08g507730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13600118:13601149:1 gene:A08g507730.1_BraROA transcript:A08g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRDLKFSPIDQNLVGYYLRNRVDTGKDGFITDIKLYEDEPWLLPHVKNDQFKENMWFYFVLRTRNLGSRPKRTVPGRGSSNGGTWTTSGVKKAITERNNPKVVIGYKTELAYHKKVKGKLKGDTTGWCMTEYWLASENDAQFQEVVLCHLRDNNKMVVDESKNGDNDIITEQPQQGNSDDNNNRLLDFTHQQRPLIPPFEGQGLGLQTIMGYSDKATQEQQHPPISPPPQPQDSGSINNALVIMEDECVSQDEIFNLADQEAGITHPQQQHRQMMVDPYDDISFSRLAMPNNLIYHHEDSWHQDTSPWNNTNPRGLIFNSHGCEIQDQTVTKGHNQDSYY >A07p045280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24583524:24584980:-1 gene:A07p045280.1_BraROA transcript:A07p045280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDHEDEVLVVLSLLAPIIMAESSKSSTSEANVHIIYTEKPINEEPKDYHLRTLSSVLGCDQAAKDALVYSYKEAASGFSAKLTPEQVAKISKKPGVIQVVSSQTYQLPKPVGERVAETSEAKVHIIYTEKPTDEEPKVYHLRRLSSVLGSEKAAEDALIYSYKEAASGFSAKLTPEQVAEISKQPGVVQVVSSQT >A01p008030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3873807:3877528:1 gene:A01p008030.1_BraROA transcript:A01p008030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide and calmodulin-regulated ion channel-like protein [Source:Projected from Arabidopsis thaliana (AT4G30360) UniProtKB/TrEMBL;Acc:Q0WUI2] MELRKDKLLMLYSDKKEPKEAIWAVNDPMSKSYKLSLPSALKPPDNNLLAGNRINFRIKSDNFIIVDKKEFRYFVFPVLGAAWYILSFDRYTSCWKTRCNKEHGGVNCFLYYLDCDSPLYDAKQQQWANVTNVFKLCDARKGEFKYGMFENAITKKVVSSNFNERYFYCLWWGLQQLSSYGQNLSTTTFIGETTFAVLIAIFGLVLFAHLIGNMQTYLQSLTVRLEEWRLKKRDTEEWMRHRQLPEDLRDRVRRYEQYKWLAARGVDEEVLLQSLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLCTEGTYLVREGDLITEMLFIIRGRLESSTTNGGRTGFFNSIILRPGDFCGEELLSWALLPKSTLNLPSSTRTVRALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRYKRRAMENHLTAVESKQSDEEEEEEEVVVGKVVEEEEEGVGSSPKTKMNIGVMVLASRFAANTRRGVAAQRVKDVEMPRFKKPEEPDFSAEPDD >A04g507430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18181832:18184414:-1 gene:A04g507430.1_BraROA transcript:A04g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRLRLHFLLLSLLCCVSPSTSFYTFKYDDSSYVACHPNQTQALTEFMNEFDSSQCNLSDPYNGVWCDNSTGAVTMLRLQACLSGTLKPNSSLFRLHHLRYLALIQNNFISATIPSEFGNLSRLEALSLRNNSFVGQVPSSFNSLSLLSVLELSLNELTGSFPLVRNLTKLSALSLAANHFYGTLNPKSTSLFELRHLRYLDLSQNNFTSSLPSEFGNLNRLEILDLSSNDFFGQVPPTISNLTMLSFLYFKDNHFSGAIPSSLFTMPFLSNLILIGNDLTGSIEFDNSTTPSKLEYLYLGHNYFEGNIIEPISKLINLKELDLSFLNTSYPVDLHFSSLKYLSVLDFSGNRISPASLDTNSDIPTNLEILYLQGCGINEFPNILKNLDKLYFIAFGGNRIKGKIPEWLWNLPRLTSVMASNNSITGFEGPVDVLVNSSVKNLDLYRNRIEGEIPILPLSMNIFEASHNRFTGSIPLSICNCTSLTHLLMKNNNLTGPIPQCLSHNLTYINLRKNNLEGSIPDAFYTSSSLKVVDVGHNRLTGKLPRSLRNCSYLEFLVVDHNRIKDKFPFWLKALPNLQVLILSSNKFYGSISPPDQGPLGFPELHIFEISDNKFTGSLPPTYFVNWKASPPTMNEYGGLYMEYDKLTSGSVSYGVLETIDLQYKDLSMEQKRVLTSYATIDFSGNRIEGQIPESIGLLIALISLNFSNNAFTGHIPLSFSNLSNLESLDLSSNQLSGTIPNGLGSLSFLEYINVSHNQLKGEIPQGTQITGQPKSSFEGNAGLCGLPLQETCFGTNAPPTQPPKQDEEEVEVLNWKGVVIGYGVGLLLGLAIAHVIGSYKPEWLVQIVSPNKPRSR >A08g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8189645:8190650:1 gene:A08g505000.1_BraROA transcript:A08g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGISVDPDTSMIYASEAWWKEREVEVMVRCFALHDFQSQSQHSARQRREELINTRLVDEEIDDGSATDSGDRPQTQPQEMEEEEVYRVIVDFGTHHFNEDTNETVRRGHQRGRQNLQSSARRRTTSHRLGETSGVPPKTGSIDEDKLQLLEAMTGVSRNNEDVPKQLGVDQSCRSSYSQQWGTPPTAQQWGTPSFSRQWGTPPNA >A07p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10989504:10992955:-1 gene:A07p018310.1_BraROA transcript:A07p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GALT1 [Source:Projected from Arabidopsis thaliana (AT1G26810) UniProtKB/TrEMBL;Acc:A0A178WHF1] MKRFYGGLLVASMCMFFTVYRYIDLKPPVEKPYITATVLTPNTTLPLEWLRITVPDFINEARNTQEALSGDEIVTVSSLFVERNVSKEEREPLLTWNRLQSLVDNAQSLANGVDAIKEAGIVWESLLSAVEAEKLADANVNQTRRGREELCPQFLSKMNATEDDGSSLKLKIPCGLTQGSSVTVIGIPDGLVGSFRIDLTGQPLPGEPDPPIIVHYNVRLLGDKWTEDPVIVQNSWTLARDWGVEERCPNYDPDLNKKVDDLDQCDKVVGREVNRTSSTSLQSNTSRGVAASKHERYFPFKQGFLSVATLRVGTEGMQMTVDGKHITSFAFRDTLEPWLVSEVRITGDLKLLSILASGLPTSEESEHVVDLEALKAPPLSPLRPLDLVIGVFSTANNFKRRMAVRRTWMQYDDVRSGRVAVRFFVGLHKSPIVNLELWNEARTYGDVQLMPFVDYYSLISWKTLAICIFGTEVDSAKFVMKTDDDAFVRVDEVLLSLSLINNTRGLIYGLINSDSQPIRNPASKWYISYEEWPEEKYPPWAHGPGYIVSRDIAESVSKLFKEGNLKMFKLEDVAMGIWIADLRKHGLEPHYENDGRIISEGCKDGYVVAHYQSPAEMTCLWRKYQETKRSLCCRDQEAETVRETSSVSGRFLRNRDLYLFLPFYLGFSDQESPDRDGDVASTRDRVILVNPFTQGMIVLEESSGFNPRLRGLIESREEGHPPASKASIDAMPVVDTNDCQGECVICLEEWKAGETVKEMPCKHRFHGGCIEKWLGLHGSCPVCRFEMPVDGDEAGKKSNDGREIWVRFSFNGGGRSVRDSSGHDDGNSDGDGNTVTSGVTRPVLESEN >A05p036450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20649746:20654474:-1 gene:A05p036450.1_BraROA transcript:A05p036450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQYDGVYQPLLYPPADGTVPLSPSTESSNGELERVLSDVETPLFHRLRKATMIESKLLFKLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQIFAYGLMLGMGSAVETLCGQAFGGRKYEMLGIYLQRSTVLLTLTGLLLTLIYIFSKPILLFLGESPEIASAASIFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAFISTATLFVHLFLSWLAVYKLGMGLLGASLVLSLSWWIIVVAQFVYIVTSERCCQTWRGFSVQAFSGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSAAFSVIIVNIYSLITSVILAIVILACRDFLSYAFTEGKKVSVAVSDLCPLLALTLVLNGIQPVLSGVAVGCGWQAFVAKVNVGCYYIVGIPLGGIWTGMICGTLIQTVILAWVTFRTDWTKEVEEASKRLDKWSNKKLEVVPE >A02p027300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13252372:13253807:1 gene:A02p027300.1_BraROA transcript:A02p027300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSKVGRIFRQTSAHVTASNSMLQSIRCMSSSKIFVGGISYSTDEFGLREAFSKYGEVVDAKIIVDRETGRSRGFAFVTFTSTEEASNAMELDGQDLHGRRIRVNYATERGSGFGGRGFGGPGGGYGAPAGGYGGGGGGGYGTPSGGYGGGSSYGGNAGGGGYGGNSAYGGNAGGDGYGSNFGVAGGVGGSDNFAQGSSSSAGFDDKFSSDVPLGNDTDHQLESGEQFGGSDNQFGDSENRQTEVGPDGFDQTDDGDVAKRA >A07p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24074092:24075814:1 gene:A07p044040.1_BraROA transcript:A07p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTWPPGPSEGSRFTSLSFSKLHDKEKRSRFSIRAATTTKSISKSSSSSSPPPSNDAGDFSMLPFDILTKIAAPLSLPNLQAASSVCRSWRDALQPLRESMLLLRWGKRFKHGRGGVKANLDKALDSFLKGAARGSTLAMVDAGLVYWEKGEKEKAVGLYRRAAELGDAVGQCNLGISYLQGQPSDPKEAMKWLKQSAENGYVRAQYQIALCLHQGRVVQTNLLEATKWYLKAAEGGYVRAMYNISLCYSVGEGLPQNRKLARRWMKRAADHGHSKAQFEHGLALFSEGALLKAVMYLELAERGGETGAGHVKEVVHQQLSATSRDHAINQANNWRALPATR >A09p056980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48242294:48244578:1 gene:A09p056980.1_BraROA transcript:A09p056980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSLKKSLSGKTNPPADDSIVGDADENLDLFSINRSGLTSLDAALIKPGKRSIEQAKVSKPELDDEKEHWNDQLNYTVPEVTLEALSKLVLQARNVTSQLQSISTTRAGTKDESDPSSKPTRSTSTVRPSNIPTLRPSSIPTLRPSNTVRSSSAPKKTTTTTTTTTTTASASVASPKRSVSRSLTPVSRKTPSRSSTPSRISTTIPTFKKAGDPQRSRSLTPRSKPQIATNSAAPSSRASVRSTSASSRPSLSSTPQTPPRGREKTVTLAFGRPVATTRNVTSPKRNTSPDVTRTRSKEKSASSSLIPSFSGLSRTPARAKPIKSSAAVSDPTRSGKKVSNASVQIANNHMDARKGKANPFLGTMLYPQSIKSYSRKWCGSSEVSSSSNQEEEEGKSLTKEENTEKSDSARYESLLDVKDVKDTNWLLNLDDESNHSLIFDSVFDSPPEPFSPL >A05p030800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15958801:15965680:-1 gene:A05p030800.1_BraROA transcript:A05p030800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEKKAMQRIEKEFKDMTSKDSLYSIGRDSNNLFKWNAMIQGPEGTPYAGGMFSIDIKFPKNYPFTAPKFTFKTRIYHPNINSEGSICLDILKDKWSPPLTVEKVLLSITSLLADPNPDDPLVGEIGELFKSRDSNDLFKWNAMIQGPYGSPYAGGIIQLSILKDQYALTFLKTTGTRLSQLKRCMTYLPRSRRRFRSPALRRSVGLMILPLLLWFSVSFSPYRLVYVNLDFATATVTLDVSVSPALSLIVTISPVPTLCIMIALLSLVISGGHYPLLKRNPKSESPS >A05p017450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7881131:7882473:1 gene:A05p017450.1_BraROA transcript:A05p017450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDRYLPSSSSLRVSESQLTESDMNRTRSALLEEKIAAQHREIQSILTDNQKLALAHLGVKDQLNLAKRELARLLEAAAAVKSDTEAKVREVYQNSLRMEAEARVVNGIGAELDQVRSDVQRLAEDRQKLTAELAMLNGEIAKAKPNSDRAVEVKAEIESLREEVSKGRAALDLEKKTRASNLHHERGMEKTIDHLNREIVKLEEELADLETKAKAAAEAAQTPSPGLVASYGNSDDIYGSQGHQYPEANGSHQVHGSLDCLPQQPANNTQHSSVP >A10g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10362530:10388734:1 gene:A10g504170.1_BraROA transcript:A10g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTYGYSFGASMIAKTIETSHCKMELLQSGTTRREEKKERGNELGWFSQMKTTLKRCGVWRNHEKEESLKGKVAEKDQTARETSGNCFSLEESTLLEKIEDVYENKINLRRVYEVKKVISGVKQGREEFNNHVRKLQHLWVELQGLRPHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEDICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELEQGRREPSNQAGEAGRTTPLDHERGNGSESGEQEQNQEDSGHHNQEDGAQSSRDGQGQSTGSDESVAQSTGSDESVAQSTGSEESGAQSSDGAVTLEIYHSNFIVTVVTELKDLGSYLASIWRVKHARRSPDKLRGVWI >A02p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7463252:7467081:1 gene:A02p016620.1_BraROA transcript:A02p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSITFCNCALLVRRNSVGNRNRVNLSFISSSSSSSSAPTLICRSKSKSQTDSLRVLEWDKLCDVVASFARTSLGRQATKKKLWSLDQSFDESLKLLEETEAAIKMLEHGSFCLDLSSIQISLVELGIRNAKRKMSLRADQALEVASLLRFFDNLQLDLKAAIKQDGDWYKRFMPLSEMIMLPVINRSFIKLVEQIIDIDGKIKDSASSDLRLSRERVQTVERKLQQLLEAIVRSQKANESVMVVAEIDGRWCIQTSSSQLTSVDGLLLSSGSGGGTASEPLAAVSMNDELQSARASVAKAEAEILSMLTAKMQMDLDQIDDVMRYSIQLDVINARATYSRAYGASHPDIYLPPEDGVDSESLSAGEPSPENNLSGEESLPRKEWLLYLPRCYHPLLLHQHKKRIRKTQEAVKYHKTAGTVSGVPPVPADFQIAKGTRVLVITGPNTGGKTICLKSVGLAAMMAKSGLYVLASESARLPWFDNIYADIGDEQSLLQSLSTFSGHLKQISEIISHSTSRSLVLLDEVGAGTNPLEGAALGMAILESFAESGSLLTMATTHHGELKMLKYSNSAFENACMEFDDLNLKPTFKILWGVPGRSNAINIAERLGLPCDIINSARELYGSASAEINEVILDMERYKQDYQRLLNESRRYIRISRALHENLLTAEKNINNHATRERLKMRQELTQAGSMARSTLRRTLQQFRASAAQSSRSKVATQLKTTKDGDNATRSPSMVARRPVSEDAAQKGNTKLPQVGDSVFVSSLGKKVTVLKVEQSKKEILVQVGIMKMKVKLTDVMA >A03p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4711557:4713598:1 gene:A03p011790.1_BraROA transcript:A03p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTSTSTPVAAKPTVVKKTMSKQFTGKREDSPLHSAVRRGDFSAVKKILSDHIESEEELRELLQKQNQCGETALYVAAEYGDAEVVAELIKYYDLDDAETKARNGFDPFHIAAKQGELEVLRVLMEEHPELAMTVDLSNTTALHTAAAQGHVEVVEYLLEAAGSSLAAIAKSNGKTALHSAARNGHAEVVKAIVAVEPDTATRTDKKGQTALHMAVKGQSLDVVVELMKGHRSSLNMVDSKGNTALHVATRKGRTKIVELLLENNETSTKAINRAGETPLDTAEKTGHPQLAAVLKTRGVPSAKSINNTTRPNPARELKQTVSDIKHEVHDQLEHARETRKRVQGIAKRINKMHVEGLDNAINSTTVVAVLIATVAFAAIFTVPGQYADERSTLTPGQSLGEANIADNPAFAIFFIFDSIALFISLGVVVVQTSVVAIEHKAKKNMMAIINKLMWLACVLISVAFLALAFVVVGEDERWLAVGVTVFGATIMLTTLGTMCYWVIRHRIEASNVRSARRESMARTRQSGLMDFSGILTKRMYAI >A08g510200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22242006:22243338:1 gene:A08g510200.1_BraROA transcript:A08g510200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDRTQQLRGSRIAIAILVGIIIGCVCALIFPNGFFNSKSNSSLTVNERVQVGSSSCESSKTLKSDFASLSEKNNELKKQLRELTEKLRLAEQGSDNARKQVLSLGPQIKAGPFGTVKSLRTNPTILSDESVNPRLAKILKSIAVDKEVIVALANANVKAMLEVQIASVKRLAIKNYLVVALDDYIESFCKQNDVAYYKRDPDKELDAVGKTGGNHAVSGLKFRVLREFLQLGYGVLLSDVDIVFLKNPFSHLYRDSDVESMSDGHSNMTAYGFNDVFDEPAMGWARYAHTMRIWVFNSGFFYLRPTVASIELLDRVAERLSKAKLWDQAVFNEELFYPSRPEYVGLHASKRVMDMYEFMNSKVLFKTVRKDEEMKKKVRPVIVHVNYHPDKLNRMRAVVEFYVNGKQDALDSFPDGSE >A06p056010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29206855:29208418:1 gene:A06p056010.1_BraROA transcript:A06p056010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAASTPFSLSFAVFTRSRSFRVSATLSREPSPLLRAAHHTVDSYVKSGMVVGLGSGEASDLAIRYLGHQLRSGSVQDVVGVPMSARSASEAAKYGVPLKHFRDDFQIDFAFHDADAVEEGTLVSVIGRRRTTEEDDYILRQKSIVKAADEAVFMVKEELYKSGLEGSIPVLVQSLNWLAIAEEIDDLYLGEAEVWRRASVGDAGPLGGDFPIVTSDGHNILDVIFTTPIPSLANVAKSLDNIDGVVDHGLVIKTRCTVVIAGEREVRTVTLQTSAVEDGV >A09p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17319624:17333993:-1 gene:A09p028980.1_BraROA transcript:A09p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSVPVYLKDENLTQETRDLLSSLPSEKGWLVSQMYQFEGIWQTQALVQGIVNCQKHFEANDSDVILATLAKSGTTWLKALLFALIHRHKFPVSGKHPLLVTNPHSLVPYLEGDYCSSPEVNFAELPSPRLMQTHLTHHSLPVSIKSSSCKIIYCCRNPKDMFVSIWHFGRKLAPEKTAEYPIETAVAAFCKGKFIGGPFWDHVLEYWYESLKNPNKVLFVTYEELKKQTEVEVKRIAEFIGCGFTAEEEVSEIVKLCSFESLSSLEVNRQGKLPNGIESNAFFRKGETGGWRDTLSESLADVIDRTTEQKFGGSEKENCQVGWRLKLFFLEKERLEDGEMLCLTMSSSSSVPDYLRDENLTQKTKDLISSLPSEKGWLVCQMYQFQGRWHTQALLQGILTCQKHFEAKDSDIILVTNPKSGTTWLKALVFALINRHKFPVYSGDHPLLVTNPHSLVPFLEGVYYESPDFDFSQLSSPRLMNTHISHLSLPESVKSSSCKIVYCCRNPKDMFVSLWHFGKKLAPEETADYPIEKAVEAFCQGKFIGGPFWDHVLEYWYASLENPNKVLFVSYEELKKKTGETIKRIAEFLGCGFVGEEEVRAIVKLCSFESLSSLEVNREGKLPSGMETRAFFRKGEVGGWRDTLTESLAETMASSSVPVYLGDENLTQETRDLLSSLPSEKGWLVSQMYQYEGSWHTKAMLQGIVNCQKHFEAKDSDIILATIPKSGTTWLKALLFALIHQNKFPVSGNHPLLATNPHPLVPYLEGDYCVSPDVSFSKLPSPRLMQTHLTHHSLPVSIKSSSCKIVYCCRNPKDVFVSLWHFGKKLAPEETADYPIEKAVEAFCQGKFIGGPFWDHVLEYWYESRKNPNKVLFVTYEELKKQTGDMVKRMAEFLGCGFTAEEEVSEIVKLCSFESLSSLEVNRQGKLPNGIETNAFFRKGETGGWRDTLSESLADVIDRTTEEKFGGSGLNFFC >A01p050870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28597888:28599180:-1 gene:A01p050870.1_BraROA transcript:A01p050870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHDEAHHFLHPQPPPPSSMRHRAASDEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGFDRPSKAVDWLIRKAQTSIDELAQLPPWSPADAVRNAAANAKPRRTAAKTKISPSPPPPQREQLQFGGSRSGGFNGVAELPSFLPPSIDSESLFPVVGSSTEAPPSQDLRLSLHSFPDGAPSLLHQHHHHHSAPEPVLFYGQSNPFGYDTSTGGWEQQSIQRLVACNGGGATDTGNGGGGFLFAPSPTTSYQPVLGQSQLCSQRGPLQSSYTPMIRAWFDPHHHQSISTEDLSHHIPPPVVASGEFSSGFRVAARFQGQEEEQQDGLVKLPFTLKTWLRLFGAAEALKEKGKEGMKD >A03p018420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7514236:7516170:1 gene:A03p018420.1_BraROA transcript:A03p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMAVPTGLFLRPLILAVLSLLLLLSPSVSSSSEWLDIDASDLKALQVIETELGVNSQRSLSSGVNPCGHGGVFCERRLSSSATGEHVLRVTRLVYRSRTLSGTISPVIGKLSELKELTLSNNKLVNGLPVDVLNCKKIEVLDVRNNKFSGQVPGNFSRLIRLRILDLSSNKFSGNLNFLKNLRNLESLSVSNNLFSGKIPQGVDSFHNLRFFDFSENRFLEGPVPVMSKIKTKIQTSSLQQTRHILAETQNSTKKANNTTTNTSKATSEHDKKKIKKKKNKKKKVLAWILGFLVGGIGGTLSGFVFSVIFRLVLRAIRGPEKPSGPTLFSPMIKKAEDLAFLENEEALASLEIIGRGGCGEVFKAELPNSNGKIIAVKKVTQQSKEAGELVDEESRMLNRYMRQIRSEINTVGHIRHRNLLPLLAHVAQPECHYLVYEYMKNGSLQDILTDVAAGNKELMWPARHKIAVGIAAGLEYLHMDHKPAIIHRDLKPANILLDDDMEARISDFGLAKVMPDAVTHITASKLAGTVGYIASEYHQTLKFTDKCDIYSFGVILGVLVIGKLPSDEFFQTTDELSLIKWMRNMVTSENPSLAIDPKLTEQGFDEQMLLVLKIACYCTLDDPKQRPNSKDVRTMLSQIKH >A01g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26848959:26850520:1 gene:A01g509840.1_BraROA transcript:A01g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEPSCLTPPTRSEIARSESTVAANPQTENFSVLPPKHSSPLHTNKASSPPSNHSPEVQTAGDAPPLILLPTEATAEPSLVEKIRRFEDKTLKRLAPVTISASGRPSVLIPDAVFQKGADLHKDFIVCCFNGRPPPYSQIQSVMNHMWGKGRKLEIHNNPAQRTVLVRIQSDYLKQKILEKGYWYVGDSMFYVVQWTSLHTAQSPTPKSIQLWAHLTGVPLDLRHQQGLSLVSGLVGEPKETDDFTKNLVSLTFPTTKTFVPKHPKIPTPNSSPSTSSLLTNSTPSISPQTFSVPSSSTFTPLPVATSVSLPPPVPEKAITLSTKFPHLPSPTTRPFNSSTPDFNSENSPPDPRLRQSLKRSRSDPTLSPPNSSFLPPFQFAKATNVNRPPIAPLLLTLPPLSDPNPYSLLATDSSLFQGKLPSLS >A09p072920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55761229:55763399:-1 gene:A09p072920.1_BraROA transcript:A09p072920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSINPKKAKRKNKNKGEASSSSIPSMPTRVWQPGVDKLEEGEELQCDPSVYNSLHGFHVGWPCLSFDILGDKLGLNRTEFPHTLYMVAGTQAEKAPSNSIGVFKISNVSGKRRDVVPKTLVNGDDAMEDEEDEDEDSDSDEESEDGGASTVPIIQVRRVAHHGCVNRIRAMPQSPHICVSWADSGHVQVWDMSSHLNALAESETEGKDGTSPVLNQAPLVNFSGHKDEGYAIDWSPATPGRLLSGDCMSMIHLWEPASGSWTVDPIPLAGHTASVEDLQWSPAEANVFASCSVDKTVAVWDVRAGKSPALSFKAHDADVNVISWNRLASCMLASGSDDGAFSIHDLRVIKDGDAKVAHFEYHKHPITSIEWSAHESSTLAVSSVDNQLTIWDLSLEKDEEEEAEFKAQTKEQVNTPQDLPPQLLFVHQGQKDLKELHWHNQIPGMIISTAADGFNILMPYNIQNTLPHLAP >A04p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15536462:15539760:1 gene:A04p025660.1_BraROA transcript:A04p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKNRGQNAITEKTVRKKKSASVSRCASLRLLAIASFLLRLRAPSEITIQITVCEIMSSATETVEEQQKLQIYPTSKAGVSPFWRDKYERDAKKYWDIFYKHHGDRFFKDRHYLDKEWNSYFSASGGKVILEVGCGAGNTIFPLIATYPHIFVYACDFSPRAVDLVKAHEEYTETRVCAFASDLTGDDLDKHISPSSVDIVTMIFVLSAVSPEKMPFVLQNIKRVLKPNGCILFRDYAVGDLAQERFSGKDQKISDNFYVRGDGTRAFYFSNEFLETLFGKEGFEVEEIGVCCKQVENRSRELVMNRRWVQATFRLSNGTKNPSEEQERKEVVDSTDIDISDGLAMEMFGASPSTHEMSEFKLRDSAFKIKLLSKEYQHTCKSTGLMLWESARFMASVLDRNPNIVSGKRVLELGCGCTGICSMVAARSANLVVATDADTKALALLTENITTNLESSLLGKLKTGVLEWGNKEHIEGIKGLASCGGFEVIIGTDVTYVAEAIIPLFETAKELMLRKVGEVEEEKPALILCHVFRRVDEPSLLSAASKFGFKLVDRWDMNSKESPVGNIVDRWFYENDLVAEIPSSALHILYFQME >A05p054980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32491233:32494553:-1 gene:A05p054980.1_BraROA transcript:A05p054980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCFLFFTLYSILRKQPGNVTVYGPRLIQAGKSQQTNAFNLERLLPTAGWVKRALEPTNEEILSNLGLDALVFTRVFVFSIRVFSFASVVGIFILLPVNYMGTEFEEFFDLPNKSLDSFSISNVNDGSNKLWIHFCAIYIFSAVVCYLLYCEHKYISSKRIAHFYSSKPRPQEFTVLVSGVPLVSGNSISETVESFFREYHSSTYLSHVVVHRTDKLKILMNDAEKLYKKLTRVKSGTISRQKSKRDGFLGMFGKRVDVVDHYEKKLEKLEDDMRLKQSLLAGEEIPAAFVSFRTRHGAAIASNIQQGMDPTQWLTETAPEPQDVHWPFFTASFVRRWISNVVVFVAFVALIILYVIPVVLVQGLANLHQLETWFPFLKSILNMKIVSQVITGYLPSLIFQAFLMIVPPVMLLLSSMQGFISHSQIEKSACIKLLVFTVWNSFFANVLSGSALYRVNVFLEPKNIPRVLAAAVPAQASFFISYVVTTGWTGLSSEIFRLVPLLWSFMNKLFYKEDDKEFEVPPTPFCQEIPRILFFGILGTTYFFLSPLILPFLLVYFCLGYVIYRNQLLNVYEAKYETGGKFWPIVHSSTIFSLVLMHIIAIGLFGLKKLPLASSLTIPLPVLTLLFSIYCQRRFLPNFKSYPTECLVNKDKADEREENMSEFYSKLVVAYRDPALSASQYSRDMSPEDPPLLRSYQS >A08g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2545801:2546410:1 gene:A08g500990.1_BraROA transcript:A08g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITNMEPIKFWEFMSLLLEGLGYEMPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPQLTPFRVGLLSCSRTFDSSKAKDLLGYSPVEVFQRRKV >A02p016020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7152183:7154760:1 gene:A02p016020.1_BraROA transcript:A02p016020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAAAEPADSYYQIRPECTDVPNTRFKIKPGKTLSVRKWQAAFTQEGFLDIGKTLGRIQRGGIHPSIRGEVWEFLLGCYDPKSTFDEREQIRQRRRLQYASWKQECKQMFPVIGSGGFITAPVITEKGQPILDPLVLQETNLGEDSDFFKELESRGPLDKKAIQWMLTLHQIGLDVNRTDRTLVFYEKKENLSKLWDILALYAWIDNDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRSTGRSVGVEAQLTHLSTITQIIDPKLHHHLENLGGGDYLFAIRMIMVQFRREFSFCDSLYLWEMMWGLEYDPEMYSLYEEPEFEGERTEGSSKGKPKSIKQCGKYERENMKNGGKSSAEGPLPIAVFLVASVLKDKSSKLMTEARGLDDVVKILNDITGNLDAKKACAGAMKLHKKYLKKQAKK >A09p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14271502:14276826:1 gene:A09p025580.1_BraROA transcript:A09p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ENHANCED DISEASE RESISTANCE 2-like [Source:Projected from Arabidopsis thaliana (AT5G45560) UniProtKB/Swiss-Prot;Acc:Q8VZF6] MSKIVYEGWMVRYGRRKIGRSYIHMRYFVLEPRLLAYYKKKPQDNQLPIKTMVIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKSHRITMAAFNIQEALMWKEKIEYVIDQHQDSLVPSGQQYVSFEYKPGMDVERTASSSDHESPFSALEDEDDSRPDLLRRTTIGNGPPESIFDWTKELGSELSNHNSSNQAFSRKHWRLLQCQNGLRIFEELLEVDYLPRSCSRAMKAVGVVEATCEEIFKLVMSMDGTRYEWDCSFHNGRLVEEVDGHTAILYHRLLLDWFPMVVWPRDLCYVRYWRRNDDGSYVVLFRSREHANCGPQPGFVRAHLESGGFNIAPLKPRNGRPRTQVQHLIQIDLKGWGAGYLPAFQQHCLLQMLNSVSGLREWFLQTDERSHPIRIPVMVNMAPSSLALGKGGKPQHKSSHSIDQTNGAGRNSVLMDEDSDDDDEFQIAESEQEPDTSKPETVAKKTEEEPALDIDLSCFSGNLRHDDNENGRNCWRISDGNNFKIRGQSFCEDKRKTPAGKHLMDLVAVDWFKDTTRIDHVARRKGCAAQVAAEKGLFSLVVNVQVPGSTHYSMVFYFVTKELVPGSLFQRFVDGDDEFRNSRLKLIPLVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPTYLEIDVDIGSSTVANGVLGLVIGVITSLVVEMAFLVQANTPEELPERLIGAVRVSHIELSSATVPKLDSD >A09p020370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10769435:10770954:-1 gene:A09p020370.1_BraROA transcript:A09p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLILSLFFLRTLLAIPFDDCGFESYPIKVTGVELFKEQHKASFNITVSTSIGLIHKVITGGIVHLQVQYGYKTIMDHTYNLSELITCPVSPGAVVLSFRKQFPYAKELSLHSCWTGVISNNYDGGRLLEFPNLISMDNKTDRLHQNELAPVSALWAVHTLMTPMIYLNLIEEPHEDEIMCLVFCYDTSSSGVVFA >A02p035430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19345996:19356712:-1 gene:A02p035430.1_BraROA transcript:A02p035430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKKSHFLQSRIVSSPLGNLQTLTSTVVSDSGIIVSGSGIIFSDSGIIVSGSGIIFSGSLIIFSGSLVIFSGSLIIFSGSLIIFSGYLIISETLPENWIFFFLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVDSILKPDEMIRVRGSFLGPIMKLSERGLKLSAKIVYAILTRSIVSVKKNEAWFHFGLKCCGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGESFPLEYAKNAQDMTYPSGKEAYIVLMKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISTEDKYSDELETVKDVTKKGYKFTADDWENRYVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQPSSETPLSPMSQQPNLTHEETMNESDDETPALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQVNAQKILEISKETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALSETETATQYFSPSEGEHTQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTQPLTPQTQHVQTSEGEQSDETPSEQNQAEENLKDTTEPTTELVSTDVSKMPPITQQTEHLQTSAIDFSETNEVEVSRRLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSRQISMVKPDKKRKAAASSQNSGLDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDIVRGKVYPEKTWGEDVDVVYGITLEKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISELLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSSKIVHAVPTKNIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREETEKFKWDFLKGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGITFSLDYVKIAQDMDVLMTYPWGRTVYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKRIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANTDQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEKISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGENVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYSAPSLDEKKRKRSVQTRVSIDRIRPQPPPERSGAKKSNELMEDVEAFDNGAWCAGKVKVILFDGTCFVSLNNSTEQIYFNHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSERDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEMEVKQGKRVKPSQDDHAKKGKPDVGKKKKANAQTVDLLPFLQREKKRPIRPRNPPMPVTPEVILPIDPFVTPEFPRFSRLAHWMDLRGIYRVPFYINGREIEK >A07p006450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1684779:1685121:-1 gene:A07p006450.1_BraROA transcript:A07p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTNGEETMWCGHCGVNTPTRHEGKAGSVKSCNWCGKVLADETGTAEEYTKLFLQHHKALQDSVTVSGKRSRRIKKH >A09p034680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20983545:20985666:-1 gene:A09p034680.1_BraROA transcript:A09p034680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immune-associated nucleotide-binding protein 13 [Source:Projected from Arabidopsis thaliana (AT4G09950) UniProtKB/Swiss-Prot;Acc:Q9T0F4] MSWESNGVNVEVDWKPERTLVLLGRTGNGKSATGNTILGETKFLSKTRGRFITKECKLHTTMQPNGQRINVIDTPGLFSASSTPDFTIREIVRCLRLAKDGIDAVILVFSVRNRLTEEEQLTLRTLKILFGSQIVDYMTVVFTNGDAFDDGDTLDDYLEDCPEFQEILKECDDRKVLFDNRRNIPKSKKDKQVQDLLNFVEQISKKNNGKPFMADLSLELRENEATLEEKQKQIQAMKGQSKQEISQVKKEMEKTYNEMLEGIKEKIANQLKESLNDVKEQLAKAQVAREEAEKKMSEMQKLSSDEIRRLRDQLNNAERETARLRRQQRTQKCSVL >A06p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10567851:10568968:1 gene:A06p021360.1_BraROA transcript:A06p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-fold domain containing protein [Source: Projected from Oryza sativa (Os03g0251350)] TWEILGFSLVIFCLFLCIKSIKEKNVLQLKEKKKSKKQPHRSPPYPLLPQSIWQKSFVIHSLIQSHTHQIQTDHSQDPMDNSNQQSSPSAAGIPPPVSAVGGASYHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREEIKEEPGIGGMMPPAVSGVPYYYPPMGGPGGMMIGRPAMDPSGVYVQPPSQAWQSVWQTSATGDDVSYGSGGSGGQGNLDGQVQQILVDSALDVGYVA >A09p036420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24346294:24347315:-1 gene:A09p036420.1_BraROA transcript:A09p036420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLQNAPNECFTLGVSIIGCKDFRQVSGTAGSVTKIGQASMNQNLMRALKIAASKSCFELFYWSLYESSLNGFSHQVMFRLILSVTFQTCLKNPISCIPSPKTSGYVRFSVGNQLWLLHTVKASV >A07g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2842226:2844760:-1 gene:A07g501390.1_BraROA transcript:A07g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFQMTSRRLPDD >A09g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4835765:4838366:1 gene:A09g501420.1_BraROA transcript:A09g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGVRNALALREWLESRLFLVGGVKKKREENHLFDPRKKKTRDVTEAIEGRIVIVVVEGTEMEEELRDMKAHKAYISMVDFVAEAQQGIPKLCPCGSITKEPVDEEDTYDYLPGKRYFICKDFENDGLHFRQPWVTGVTEEVERLKLRVHEHEKLLRECEALKAQVAMLVKRVKYKTCAT >A10p006970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9971493:9978752:1 gene:A10p006970.1_BraROA transcript:A10p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEIETFFGVLSSGVVSFPRDVSSMEAALVSSEPWQILIGLDLVSFDLIILKARGKGILLEDEDEPIQLVDDEDPHTIREYRMSLIGKVLNPKKQNVEKLISHMPTQWGVQDRVTANDLGNGKFLFNFPSEGDIKLVLQQGPFHYNFCMFVLVRWEPIVHDDYPWTIPFWVEITGIPLHLWTVKNLRSIGGKLGHIDTMELSAGRLLVDVDTRKPMIFTKKASSPEGDEVSLHFTYDKLFKHCKYCGFLSHEEALCPKKQEDFRQQAKQASVFSRVQLPTDSLSHQPLLRDSHERERYHDRMDRNLDLRKDRRSTNYDVPVNASNRTGFEASNRGYAPERHSRRQEGNRWSRPVSRHSHRYAPYEHKNQLSWRERNKHVEKKGDDSFVNKEDHATKTQGLQPSSSYIGQTEQPHDKRSSGKKLASTIITPSRDEVSNDDNVTFRYKEITRAFDFSNTENVVPHDEDEGQIIGALQDMDMGEVGETAIIVAPQQEAWMECDDISDDLLGEELNEMDRVVSSRQVDQVPMGAVKKIKGKRSTSSKGSSRHRVPLGLSSRKAELFRRGSPRMRNAVLPPSGETKENGQPRPTLSEWRRQHNVNSAKLVVELKEKESKEWNIGLLEDYVHPDDISLVRSMAISSTHRRDTFCWNYTRNGQYTVKSGYWVAQNLLKPEEGKELLEPNQVLSLGSICMLDGSWTASDRFSGCGWPRLLGPFAESYFLLVVLFRSGYPDHLKHQPYHHQFEKTIRPPMTEQENNTCTIRFRNYNYRNNLCSLTCSHNFHFYFINEW >A05g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7285443:7286527:-1 gene:A05g502320.1_BraROA transcript:A05g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCSQESMIHRIHQDSNCSYSSIHPIASRTELVPIGDLNTYISNSNEQLVNVKLRIREAIRFWILYRAKQDGHVVMGWRHPWDGHGDHGHGDHH >A01p001920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:872059:874000:1 gene:A01p001920.1_BraROA transcript:A01p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQTLVEEESEELCYSSPGKRVGSFSNSSSSAVVIEDGSDDDEPNRVRPNNPLVTHQFFPQMETSVGDDGGGGPGSGFPRSHWFGVKFCQSDLATGSSAGKPATVAPVVEPAQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFTIEDYDDDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDPSIYDDELNAESSGNPIQQDHNLDLSLGNSVNSKQKGQDMRLKMNQQDSLHPNEILGLGQTGMVNHIPNSNLQFPGSSNIGGGG >A09g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21248674:21250002:-1 gene:A09g507230.1_BraROA transcript:A09g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKRHLVTAGSMFSVGGFDVIPFLLLFGEENLRIQIKGVKALETPGASKDLHRLERHLQWLFHALLICLCRSFIPQCHISDILPTLKTPNTGSVEKRVVPLPHLPSMVVLSKLNPSHYLNLIATISIHPSMFGSHKRASDAVPVIEGSPASTEQEPTQTDSVITSTETPIDDEKTPVQRRFANTDNSNCGDLGLTKYNRIPFFCFKALFYPSIML >A01p045260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25597898:25599627:-1 gene:A01p045260.1_BraROA transcript:A01p045260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQAGKQSYGRLVLVSCLALLAVGLIADFLWASSHRFSPAGTYLPSSLIQKLPPESNEKDTKTKERKLSATFQDLEAPQLQWEKMAAAPVPRLDGAAIQIRNLLYVFAGYGNINLVHSHVDIYNFVDNKWGGRFDMPKEMAHSHLGMVTDGRYIYIVTGQYGPQCRGPTAKTFVLDTDTNTWSDFIPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKALEKEWRSEIPIPRGGPHRACVVVDDRLFVIGGQEGDFMAKPGSPIFKCSRRLEVVFSDVFMLDEEMKWKVMPPLPKPDSHIEFAWKVVNNSIVIVGGTTEKHPETKKMVLVGEIFQFNLNTMKWYVIGKLPYRVKTTLVGYWDGQLYFTSGQRDKGPDDPAPRKVVAEMWRTKLILYP >A01p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4565578:4571286:-1 gene:A01p009460.1_BraROA transcript:A01p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLPLQTSNNVNVAKAAAASRGGKTIEEMYQKKSQLEHILLRPDTYIGSIEKHTQTLWVYENEEMVNRPVTYVPGLYKIFDEILVNAADNKQRDPKMDSVKVVIDVARNLISVCNSGDGVPVEIHQEEGVYVPEMIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFMIETADGKRQKQYKQVFENNMGKKSEPVITKCSKSDNWTKVSFKPDLGKFGMTHLEDDVVALMSKRVFDIAGCLGKTVKVELNGKRIPIKSFTDYVDLYLTAANKSRTEPLPRMVEKVNDRWEVCVSISEGQFQQVSFVNSIATIKGGTHVDYVTSQITNYIVGIVNKKNKTANVKAHNVKNHLWVFVNSLIDNPAFDSQTKETLTLRQSSFGSKCELSEDILKKVAKSGVVENLLSWATFKQSKDLKKSDGTKTDRVQVEKLEDANKAGGKESQRCTLILTEGDSAKALAMAGMAVVGRDYYGVFPLRGKLLNVREATTTQITNNKEIENIKKILGLKQNMVYENVNSLRYGHMMIMTDQDHDGSHIKGLLINFIHSFWPTLLKVPSFLVEFITPIVKATRKNEVLSFYSMPEYESWKESLSGNATGWTIKYYKGLGTSTSKEGKEYFGNLDIHKKDFVWEDEQDGEAIELAFSKKKIEARKNWLSHFEPGTHLDQKQQKISFSDFVNKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFTKEAKVAQFSGYVSEHSAYHHGEQSLAGTIIGMAQDYVGSNNINLLQPNGQFGTRNMGGKDAASPRYIFTKLSSATRVLFPKDDDVLLNYLNEDGQKIEPTWYMPIIPTVLVNGSEGIGTGWSTFIPNYNPRDIVANIRRLLNGESMVAMDPWYRNFKGTIEKTASKEGGSTYTITGVYEEIDETIIRVTELPIRRWSEDYKQFLEALKTNNNTPYFQSVKAYNDDRSVDFELHLSEENMMMARQEGILKMLKLTTTIATTNMHLFDENNLIKKYASPEQIVEEFFQLRLHYYEKRKRVILENLELELLKLENKVKFILGVVSGEIIVNNRKKSDLVQELGQKGFTPFPKKGKPVEAAVAGATDSAEEESEETSGVPGSRSTFISGSEYDYLLSLAIATLTLEKVQELCGERDKMEKAVEDMKKATPRSLWFRDLESLDAELDKLDQEDAEAEKEIEKAQKKLRAKAGAPKGGKAAAPRKQAPKKTTKAASSSAMEIGDNVVEVAKPKGRQGAKKKAPAAAPADVKADDDEMMDLAQRLAQYNFGSTSENPSKAAISLDDDEDDVVVEVAAPAKGGRKPAAASKTVKPPAAPRKRGPAASKKQPAAEVVTVSPEKKVRKMRSSPFNKKSSSVLGRLANKEGEEESTETVAVETSSRPKRANRKQMKYVLSDSESESGNDDIEDDEYDE >A01p036580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16340355:16342222:-1 gene:A01p036580.1_BraROA transcript:A01p036580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLGGGIGFSNTSSATTTRKKRSTTLRRPWNERQLQDPSSLPSTPILVYNEKRIEAVESDEGSTNGSFQGSNQGHSTASTEGFLVPTIKKVKLKVGGSSKVISASDGASDIGLCSHASLDTTSKADSGKTNTYPVRKSNRISKRRVLDDDDDEEIQFVRKVKMGKVVSAEEDVDEDEERNRKHKKLSKVMKQNVEYPRGVGTSDKKDKSGKASFDDDDDYVKDEEEGLSDAEVEVESKSGRARRRESLSEVKTEMTVTTRRRSGHSGNLIEFPGGLPPAPPRKRKEDGLEVDQQLKKAEAAQRRKLQVEKAARESEAEAIRKILGQDSSRKKKEDKIKKQQQDKAKEKAAESIARRSDTVKWVMGPSGTIVTFPEELGLPSIFNSRPQSYPPPRERCAGPECTNPYKYRDSQSNLPLCSLQCYKAMKG >A07p033790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18457668:18460012:1 gene:A07p033790.1_BraROA transcript:A07p033790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLVKQGWKWMQSQKHMCSDACSAMRSFVEKIGEIVARHWPLVCSGCWKLSRLIRLSIVYWKDCILRGFRCSAKLGSSALLLIMWSCFLSLTSLSSLVYVLLSMGAAAAVVLNLGCTPGLFIVGLFGILVLWMYANFWITGYLFSLNHARLVVLMAALYAMYCVKVRLGWLGVLLSMNLAFLSNDVLNCLLQWCDNLSEKTQPEEPKKVEETIIEEDYSGEFEYPSVPFKEETEKKVQEDKSSAESTAPTTTVVNSVKEIASIKIVKVETSSSVDEMKRILKSLNHYEALGFPRHKRIDDAVLKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDIVKKRDYDEELRKEESRTRSVCQTSHASSHQSGPGYRSEESRRIHCTKCGNSHIWVCTSRSKAKARWCQDCGQYHQAKDGDGWVELKGTLPLERAHKIEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTTQSSKSSRFPWDLDVEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLSQMKSKKQWRRTST >A08p014090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9417029:9418362:-1 gene:A08p014090.1_BraROA transcript:A08p014090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MEI2 C-terminal RRM only like 1 [Source:Projected from Arabidopsis thaliana (AT1G37140) UniProtKB/TrEMBL;Acc:Q4PT05] MAPPLNPKAPEFYPKNRAQELSQKPKFLSFTTFSVKPGKLSRPKCLPPRLLKQKAWVQKNRNVLPRKPLPPPKEAELKSLFGDQTSVMIRNIPNMFGRKDLLRILNNHCRRENKVQQQIPSSYDFLYLPMDFVKHANLGYAFVNFTSSVAAERFRREYDNFLWVGFGYKKICEISEAKYQGKEEYTQHFKDSRFPCHTDDYLPVILSPPSDGFTCYSLATLGYRVSTRGGGTGRRIHVA >A03p044760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18700536:18702269:-1 gene:A03p044760.1_BraROA transcript:A03p044760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSAGTEKKRVKRSSDAPRKKKGVTKDLFQLFAEKVRDNKGLESRWAVMEQARVEYFRGKDFVSFVKNHPECKEILEEDSDLDAEDIANVLLGKNLLVRCDRVTKTLRPGKKKLSTWPAHLEIFRDDQAFSETDAFFAWTFEKRHPLWQTLLSFFWPVLTLAICLFPVYPHRCKLIVLYSCAGILLMILSLLFVRAVAFGAMWILFGKRVWFFPNILAEEATLKELFRFWPKKDEEEPPKWTSRLFYTVVAVVVVMLLRRHAPDEAARARYQRRMSNIIDDVLEWSPKLALSGLMENQPPVNITEAANNSSDAAGPDHIEEVDLDETQGEEEAEELTNSDIKT >A01g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8613162:8615263:-1 gene:A01g502480.1_BraROA transcript:A01g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPLFFHRQPNFPKNYCFRFVFSSEPLFDPASTSVISSTSVPDGNSDLNHEVLPGMETVSSISLKAHQSSSVEPSSKLFPFFSLPRSVSGICKVGNFMIWAIYRYIPLFSTRYTETNLEEQWRLPRYHFPNRSPCVAVEPLSHASPFWEDMKVKKDGELKDMICRFWMITKLLHHLNTFRQLLRERAMYGISGFDVIRSKNHFKLSVSVVAIRLYEFKVLAVANPIPFKFSKLEQVIALTNTNVQLQVHLSILQLLLSVVEYSDISCQPPLLFQTSLVDNLNELFYDRLETGVCQPRVMIATSNNPKFVGGSSMGGILIRKVEVMLIQGECTFKKALKINKIYRLETTTAHVQPALGRNTFKETLVLAEECTVHSRRHSRKSNL >A06p040240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21776399:21778795:1 gene:A06p040240.1_BraROA transcript:A06p040240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGGYRLLRSSGVVIGIILLHLFAFSSLVSSDELLFVVGETRAVQVNPSLEVKGSPGLKPERTSLCERIHINGLRRFKHMGKYAHSLKLILNASTAGKASNFDVCFHRNLSRAIGMCPPSRWEKVSKGSWVQTMSPFDHKVIDVRSISSAKVTLELSAVEEFSMYRIVFLILGAVLLASASTLSQSLAFYYSSAMAVGIMLVVLLVLFQGMKLLPTGRSSFALFIYSTLLGLGGFLLRYIPGLFQSLLTEMGIDEDMYTPVAIFAGVFLSLAGAFFGFWTVRKLVLTEDGSIDMSTSLFVSWSIRIIAAVLILQSSVDPLLAGGALISVILISSSLKKIIRLKFILGLYEIPLNLLLGIWEAIRYAEVPTVPGYLHDFMQKSPDASEFGNRVTFASPSGGISGMRQSPPSESDTFPSSFHKTPERSKLSKEEWKKLTKDSTTKAVKELVSSPGFTVWAAANADRINVTPRKESGSRESGSTNRPRRWLRWS >A06p041990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22582325:22585832:-1 gene:A06p041990.1_BraROA transcript:A06p041990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGDAKVGMLESFMRNQQNSLKSLFHRKKSSAGRDGDGSPSPIASPKPIPQLSLLANSVVSRCSIILKIPTQDLQHRFDVELPESVKQLLTYARNFLEFCSFQALHQVMKQPNYLSDQEFRQLMFDMMLAWETPSVTSENESKDAVSPSTQDSEDEDGWSLFYSSPTSMAMQVDEKKSVGQEAFARIAPVCPAIADAITVHNLFDALTSSSGHRLHFLVYDKYIRTLDKIFKAAKSSLGPSAASLQLAKGEIVLDIDGGNPVLPVFKHVGISAWPGKLTLTNCALYFDSMGGGDKPMRYDLTEDTKQVIKPELTGPLGARIFDKAIMYKSIIVPEPVYFEFTEFKGNARRDYWLGICLEILRVQWFIRKYNFKGIQRSEILARAILGIFRYRAIKEAFQVFASQYKTLLIFNLAESLPGGDMVLEALYRRVSRITTDVLSDVSSVQYMKWPSNLSPVSLILLEHFGLNLETSTNMGEEMTIVGDFCVGETSPLEIALKQSILDTDRAEAAQATVEQVKVEGIDTNVAVMKELLLPFIKLALRIKLLASWQEPYKSTVFVILVSYLIISGWIGFILPLVLVLVAMVMLWRKQFNKGKEPKAVRVKAPPSKNAVEQLLLLQDAVSQFESLIQTVNVGLLKIRAITLAILPQATDTSAISLVIVAVILAVVPVKYLITMAFVEWFTREVGWRKASSDRLERRVREWWFRVPAAPVQLIRADESKKKK >A08p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13760979:13763651:1 gene:A08p020320.1_BraROA transcript:A08p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPNQFYCLFDIVTLYFFFLGFLLLHTFASPVLQHCHHDQMDALLEFKHEFLVNEFNSNSSLSSWKTNSDCSLWEGVTCDTKSGKVISLDLQYIPLNNFLKLNSGLFKIQHLRYLSLRDCHLHGEIPSSLGNLSHLEHLDLLGNYLVGEIPASLGNLTQLKYLNLNNNKFTGNIHVPFPNFTKLTHLDISNNQFTGEFPLVLLNLATSLSILAISNNLFKSIFPSDMSRFDKLEHIDVGGNSFFGPFPTHLFMIPSLTWVNLRENQLEGPIEFRNISSSSKLQSLFLSQNKFEGPIPKSISKFPNLETLFLSDNNFTGTIPISISNLVNLVTFDISQNNFTGPIPRSNLVKLQYLDLSYNKLEGEIPGWLGGVLELMLSHNSFSRFGKLLEVSDVTHIQTLDLSSNSFQGPLPHWICKLRPSMFLDLSNNLFNGSIPQCLRNTIVPLRALNLQNNNLTGILLQDLFVNATNLELVDVSGNKLEGKLPESLINCISLKFLNVRSNKIKDKFPSWLSSLPSLNVLILRSNEFYGPLYHPHVSIGFQSLKVVDISHNHFNGTLPPFYFSKWHGMTTLREEHQSYTVYMGYPAYGGFYRSSMEMVNKGVDTKFQRIRKDFKAIDFSENEFGGKIPSSIGFLKELRLLNLSGNTFTGNIPQSLANLTNLEELDLSRNQLSGQIPSELGSLSFLSIMNFSHNNLEGPIPRSTQFQRQNCSAFMYNSNLYGLEDICGKTHVPNPTPQESEDFSKPKEQVISWISAAIAYGPGDEVRTWQQQKNKKSDLAPAEEKKDF >A04p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12851318:12852886:-1 gene:A04p021050.1_BraROA transcript:A04p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNGKGLILAVASSVFIGSSFIVKKKGLKRAAANGTRAGYGGYTYLLEPLWWAGMVTSEPGRSWAKSNETLASSLQNLSADPDFRSHRLNKIPQKNVLVIVGEAANFVAYIYAPAVLVTPLGALSIIISAVLAHFLLKEKLKKMGVLGCVSCIVGSVVIVIHAPKEQTPDSVQEIWNLATQPAFLVYVAITMSIVLALILHFEPLCGQTNILVYIGICSLMGALTVMSIKAIGIAIKLTMEGVNQIGNLCRYTINLSQQDLIFGSSGTVRWYESRKSSNEEHLITLYSPEY >A03p036730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15377276:15379590:-1 gene:A03p036730.1_BraROA transcript:A03p036730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRAGEEGSRSDPSIEWSSHGGETRVEASMWRLGLTGGGEAYPERSNEPDCIYYLRTGVCGYGSRCRFNHPRDRGAVVGGVRGGGGGGGGGDGALPERMGQPVCQHFMRTGTCKYGGSCKYHHPRQGGGSVAPVSLSYLGYPLRSGEKECSYYMRTGQCKFGLTCRFNHPVPQPQQQQPQTQTIYPTLQSQPMPSAQQYGLVLTRPSLLPGSYLPSPYGPPMVLPPGMVTYPNWNPYPASLTAMPSPGTGTQQSIGTSSVYGMAPLSPSGTAYTGTYQSGGPSLTTSKEEPFPQRPDQPECQYFMRTGDCKFGASCRYHHPLDAVQTNTGVLLSPIGLPLRPGVAQCTHFAQHGICKFGPACKFDHSMASSLSYSPSASSLTDMPVAPYPIGSSTLSGASAPVSSSNEPTVEAVTAVVSSPMVSGLSSEEPAETGGDSASVSGSIEAKTSSS >A02p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1579324:1580914:1 gene:A02p003870.1_BraROA transcript:A02p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein UPSTREAM OF FLC [Source:Projected from Arabidopsis thaliana (AT5G10150) UniProtKB/Swiss-Prot;Acc:Q9LX14] MEAARRRGRESNKSPERLIRSLNLQQDDEEEEAKTKRPIFRRVQVVYYLTRNGHLEHPHFIEVITPVNQHLRLRDVMNRLTVLRGKNMPSLYAWSCKRSYRNGFVWNDLAENDVIYPSNCGEYVLKGSEISENVQETHVKRTLSGPNQEAPKGRLLRLKPKLQNRTTSFDDSELYVGEDEEEEDGEYGLSEEKTSYTSSTTPQSRCSRGIYTETIEFTEKKSNLVKTEESLPVRSDSSELTRSAERVEDGDPVCPGSVRGSIWLQMISCGHIAKHYAPSLMNSRPKEESLRKGVMCKNVVKKAVVEDEREMIRFMSENPRFGNPQAEEKEYFSGSIVESVSHERVTAQPTLRRSNSFNEERSKVVDMAKEVKDQEEKEERSNVKVRCIPRRKSSSCLMSSSKQTKN >A03g500280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:951624:951833:-1 gene:A03g500280.1_BraROA transcript:A03g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVCYCRCCKEVRLEALVGMVAPIFLAVVQEGAATDKAVTTNFFMLWQRANVICDYRPELQGYWIIVS >A07p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8563357:8567361:-1 gene:A07p011680.1_BraROA transcript:A07p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEDDTNTFWLSYIYGNPNKPRMMIGDFNDVKYTTEKQGRIKRSVSSLKLFTKLLAVLGLQDLKTLGGKEAGCYPTSSYAWKSILQTQPLISQGTRWIVGDREHVRFWKDKWLPESNLLIPKTRGTFANPHLLVKDLFIPGTKVWDEQKLRNLIEERDVTTVLSIRPSTTGGKDKLHWTYTTSGTYSNQLSAGLWKLSIPPKIKIFWWKVLHNGIPVADNLGKRGIKTARDCQICGEEVQTLSHMLFCCRAAKEIWSLSEISTAIDVNQADIIPQTILGFFSSQDRNPQNTLPWFLGWRIWKMRNKVFSLGLQNLDGAMIKANVHTEQPQRSKINSIQEVLRPETKDYCIVDASWISPTDKAGIGWSLHSREGTLRIRGSSAIHQTISPLVAEASAMLLAVHQMVRLSYKKVMFVSDCQLLIIHQVQAWESHKEAEMDINEATSIIQDIWKYSKNNSFSFSFVPS >A05g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29463870:29469280:1 gene:A05g509860.1_BraROA transcript:A05g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDSRVQRKFKGYVLTPNIGYGVTQENSSLVFQMGSSYHLLRDPSFEGDSSSTRVQFSINSNNLLSRNNRSRSSIFPAKECQQVMEKQGSSGEDDNQSLALSYIGSSIQRRGPHEQRNRLFSVLGSLTDLEEEESKDSSEGQVASVDIKLPRRRSYHLLQDPSFEGDSSSTRVQFSINSNNLLSRNNRSRSSIFPAKECQQVMEKQGSSGEDDNQSLALSYIGSSTQRRGPHEQRNRLFSVLGSLTDLEEEESKDSSEGQVASVDIKLPRRRSYHLLQDPSFEGDSSSTRVQFSINSNNLLSRNNRSRSSIFPAKECQQVMEKQGSSGEDDNQSLALSYIGSSTQRRGPHEQRNRLFSVLGSLTDLEEEESKDSSEGQVASVDIKLPRRRSLQVEFSCNSCGERTKRLINRLAYERGLVFVQYDFRKETSKDSSTQRRGPHEQRNRLFSVLGSLTDLEEEESKDSSEGQVASVDIKLPRRRSLQVEFSCNSCGERTKRLINRLAYERGLVFVQCGGCLNS >A08p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22568564:22569912:-1 gene:A08p039150.1_BraROA transcript:A08p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RACK1A_AT [Source:Projected from Arabidopsis thaliana (AT1G18080) UniProtKB/TrEMBL;Acc:A0A178WHX3] MAEELVLKGTMRAHTDQVTAIATPIDNSDIIVSASRDKSIILWKLTKDDKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFVGHTKDVLSVAFSLDNRQIVSASRDRTIKLWNTLGECKYSIAEGGEGHRDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLSNCKLRSTLAGHSGYVSTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEQGIKIWDLESKSVVEDLKVDLKAEAEKSDGSGTAGNKKKVIYCTSLSWNADGSTLFSGYTDGVIRVWGIGRY >A04g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5427459:5433454:-1 gene:A04g502240.1_BraROA transcript:A04g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSISSRRNILEIRRNSKSERLSNGLKRVNPVNFDPSRLTLDQIGIDLNQPVELNRNRFQLDHPPTLNQFKPIKPPVDRVTELTHRVDSAELASRRRITGTSPGGNGGGWRRLTGKSAAATAARRRRGGSFSADGRTAADHGGSGERRSDTAASGRNARWLRRTATARAFHARAEAKLREALAASSGLRLRCGWCLRLRLDERNTMVACGFRQLPRVLIGGDELNVITAHGCSGDELRRPTHCKMITLLLLTCRTSHHKPGKHEVAVVVAVDPEHHEQTAELDPAAVEPEEGEPAERDPDDHADSAEPEPVELAEPESVDPAAPEPEDPVERQPSDEQPPEQLLGQPLERPAWLSPGQSAEVPPELPV >A08p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1103185:1105092:1 gene:A08p001800.1_BraROA transcript:A08p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATRFSRLQHLLLLQPRFQQSRVLRRPLIRTPTLIRSVMGSSSSSSSKLLFRQLFEKESSTYTYLLADISHPDKPALLIDPVDKTVDRDLKLINELGLKLVYAMNTHVHADHVTGTGLLKTKVPGVKSVISKASGSKADKFVEHGERVSIGDLYLEVRATPGHTAGCVTYVTGEGADQPQPRMAFTGDAVLIRGCGRTDFQGGCSDQLYESIFTLPKDTLIYPAHDYKGFEVSTVGEEMQHNPRLTKDKETFKTIMSNLNLPYPKMIDVALPANMVCGLQDLPSQAN >A01p002830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1317137:1317654:-1 gene:A01p002830.1_BraROA transcript:A01p002830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKKLKTSSDESSTASSSWGHNISFKREKMVEVKEEPVSSRKIQKAEREKIRRDKLKEQFLELGDALDPNRPKSDKTSIIIDTIQILKDLMTQVDRLKAEYVALSEESREVDD >A03p014570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5785692:5789198:-1 gene:A03p014570.1_BraROA transcript:A03p014570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSYMQDNPSMFVSRASKFLLSVLVLIQLLPTQLLAQRSKSPWQTLTGEAPLVIARGGFSGLFPDSSFNAYSFVASTSAPDAVLWCDVQLTKDGVGICFPYVTMYNDSNVQEAYPKKKNSYLLNGVPTQDWFTVDFTSRDLNTVFLTRGVLSRSNAFDNTQNVISTVQEVASEFKPAGFWLNVQHDAFYTQHNLSMSSFLLTVSKTVIIDYLSSPEVSFFRNIGGRFGKTGPKFVFRFLDKDDVEVSTNQTYGSLMKNLTFIKTFASGVLVPKSYIWPVKDQYLLPHTSFVRDAHTAGLQVYGSGFANDFDIAYNYSYDPLTEYLSFMDNGDFSVDGFLSDFPLTASSAIDCFSHLGSNASTQVDFLVISKNGANGDYPGSTDLAYTKAIKDGADIIDCAVQMSSDGIPFCLNSTNLGESMNIVQTPFRNRSTTVPEFNSLAGLYSFNLAWSEIQTLTPAISNPYSRNFHMFRNPRERSSGKLVSLSEFLNLANNSSSLVGVLINVEHAAYLREKQGLDVVKAVLDTLKESGYSNATKRVMIQSSNSSVLVDIKKQSRYETVYQVEETIRDIQDSAIQDIKKFADAVVVGRFSLYPISASFITGQTNLVERLQKFKLPVYVETFRNEFVSQAYDFLSDATVEINTHVTGAGVSGTITEFPLTAARYKRNRCVARKDTPVYMIPVRPAGLLEIVSPTFLPPAEAPNPVITDADVTEPPLPPVTAKAPTTSPGPLPTDKKAPNGQTRVTLSVFLSAFDVVLASLLLL >A10p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19551233:19552734:-1 gene:A10p033370.1_BraROA transcript:A10p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISPTSVYRLNPLGETEDDESISPFLSRSSRLFFVRVERRKTAMGTGREASVSLDGVRDKNMMQLKKLNTVLFPVRYNDKYYADAIASGEFTKLAYYSDICVGAIACRLEKKEGGAMKVYIMTLGVLAPYRGIGIGSKLLHHVLDMCTKQNMSEIYLHVQTNNEDAIKFYKKFGFEITDTIQDYYINIEPRDCYVVTKSFAQPEASK >A03p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2344059:2353778:-1 gene:A03p005610.1_BraROA transcript:A03p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVQISNRLGGSLTLTLHCKSKNNDLAKVTKWEVYVVVISLFVHLAASRVESIFGEGRTVKITNKLGGGLKLTLHCKSKDDDLGVQTLAPDSSWSFKFKPAFFGTTLFFCNFDWGGESHWFDIYDDDRDRVSDNQCYLCSWNINRSYPCRFDESTNRFDLCYDWNKGRKVEITNKLGGGLTLTLHCKSKDDDLDVQTLAPDSSWSFKFSPAVLKTTLFFCNFTWGGESHWFDIYDDNRDGDGRDFPCYLCRWNINRSHPCRADTNRFVKQCYDWNKTRVHVVVIFLLIQIVLFQVETIASNVHPNIFTRKSMVRITNRLGDGSTLNLHCKSPDDDLGLKILATNNSWSFTFRPNIWGNTFFTCHFTWPRGHSTVNTLRYI >A10p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14369277:14373089:-1 gene:A10p021360.1_BraROA transcript:A10p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTDQDGSRAAVSSSSASAVFRKIEFHLARKPFNGFSSRGSDFKMETLNPSSSSNTNNRAFSSPSVKKVDGPDSLDRELTFTKSIRKIGAGLENLGNTCYLNSVLQCLTYTEPLAAYLQDVAHEQRCRVAGFCALCAMQRHVRTALQSTGRSLAPKYLVSNLRCVSQNFRKCRQEDAHEYMINLLECMHKCCLASGVPSESSDAYRSSLVHKIFGGSLRSQVKCAQCSHCSDKFDPFLDLSLDISRADSLQRALLRFTAVELLDNGSKVYQCERCKQKVKAVKQLTVFKAPSVLTVHLKRFEAYRSEKIDKKVEFPPAIDMKPFVSGPYEGNLKYTLYGVLVHCGGSIHSGHYYCFVRTSSGMWYSLDDNEVIQASEKTVFNQKAYMLFYVRDRQYTAPKNPVTVAKKETSKESVAVNRTSLIVSSNRNDQVNGSTVIKACSLNATVANGTTPLRACDKGSPACLTPKDVNAKDPPSSVEGKEILEGQNGTAPVKSNNQGAPAVLIQKDKENPKDPLSSVEAKEILKMENSTAPPSESRDQGAPAVLTQNELSVVAANVTSPLRSCEQGAPAVLTPKDLNAKETQTNPPSSVERKENLERPCDVGAPVVLTQKDLINNNKTLQKEESLPQANGEGSLVKEDSKAACTMMPGKASPLLDDSTNTQILVNLPTSLGKAENSVDEKKSANNLNESDTSLKVKNDNSPMEEAVLDNQTLVHQSDESATESIKQTSLEETFTTPRKTRKRNKKTVQVGLSSFKLALGVRKKRGRSRTLAVKGTSESKRATDLERSTPLITSKAASGSACLHGKGKSVSVDNETIMTSNGNMLLASPILELKERTNQNGAVLASDQHQPLRSSDLSEASQNAKRKRDNSKEEQILSQKEQVTILTRGLPETVVAKWDEEVSAFKKMGSSESTRIGYVADEWDEEYDRGKKKKIRIKEERYVGPNPFQAFASKKQQTDTKKKWTQGRNTAKTGFRI >A03p016610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6624667:6626434:-1 gene:A03p016610.1_BraROA transcript:A03p016610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASDPKLKAEIGPDGLAREAPVIAYTEKIIEEEQLQLRKYIEENYSKIRDVEREFGNLTLEMKLTAGPKKAALEHLRKKIEACTEKIHAAKLKEDEARKAYEAASKVVKDEEAIKQSLCDDLNSLVQESSNTQYARLEELKRRLEALNPNRSSTSIQQVHVPETKSVAESSPAAASCNQTHRENPVNDNGKEEGSKEQGQRPDGESKARKKPQIQGRGRGIGIMNKGRGGWTGAGFDVDGRN >A08p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7549933:7551194:1 gene:A08p009610.1_BraROA transcript:A08p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAVGCAKGIANQLFRNPRWRSGLFVHHLQFPNYTVYTKQRPFVEVPHGSASSNQNVFEYCCRRHMSNSTVELRTEDNVVRFSFSNNTKSVPMRTEKKWKRAKSSRKAKVNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDVPKTPAEAYDPETLTEEEQHYLKRTGEKRKNFVLVGRRGVFGGVVLNMHLHWKKHETVKVICKPCNKPGQIHEYAEELARLSKGIVIDVKPNNAIVLYRGKNYVRPQVMSPVDTLSKDKALEKYRYEQSLEHTSDFIEKLEKELEEYHKYVARYKKKDEVDSKSKAV >A08p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19509683:19512250:-1 gene:A08p032170.1_BraROA transcript:A08p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSSIIGFIFCAIYSLLAAFILVSSSNDGPFYDSTAYTECRAEPEKPLYNGGMLKGEEASGSGRDTLRSVGASYTPAYILHNLTQNTIYCFSIWVKIEAGSASTARVRAKLRSDNATLNCVGSVSAKQGCWSFLKGGFLLDSPSQLSILFFETTNDDGKTQLEVASASLQPFTQDQWKNNQDYFINTARKRAVTIHVAGESGESVEGAAVNVEQISKDFPIGSAISKTILGNIPYQEWFVKRFDATVFENELKWYATEPHQGKLNYTFADQMMSFVRANRIIARGHNIFWEDPKYTPDWVRNLTGEDLRSAVNQRITSLMTRYRGEFVHWDVSNEMLHFDFYESRLGKNASYELFAAARELDSLATLFLNDFNVVETCSDERSTVDEYIARVRELERYDGGGMRMDGVGLEGHFTRPNVALMRANLDKLATLELPVWLTEIDISSTLDHRTQAIYLEQVLREGFSHPSVNGIILWTALHPNGCYQMCLTDDKFINLPAGDVVDKKLLEWTTGEVKAKTDDHGTFSFLGFLGEYRVSIIYEGKTVNSSFSLSRDPETKHVRLRI >A04p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21227159:21236823:1 gene:A04p037150.1_BraROA transcript:A04p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQSPGATGASSSVSRLLLSAKLTSSKSLFSSVDFLGSSSYSITSTGRRIKRRNELSAFRGFTPLLKSSLRSPLSAKAGASSASFSDLKPEVAYLEDIVSEKGECGVGFIAHLENEATHKIVNDALIALGCMEHRGGCGADNASGDGSGLMTSIPWDLFNEWAEKRGMACFDKLHTGVGMLFLPRDENIRKEAKKVVTSIFEKEGLEVLGWRDVPVEPSIVGHYAKKTMPKTEQVFVRIVKEDKVDDVERELYICRKLIERAVASETWASELYFSSLSNQTIVYKGMLRSEVLGLFYTDLQNDLYKSAFAIYHRRFSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMTSREASLRSPVWHGRENDIRPISNPKASDSSNLDSAAELLIRSGRTPEESLMILVPEAYKNHPTLMIKYPEAVDFYDYYKGQMEPWDGPALVLFSDGKTVGACLDRNGLRPARYWRTSDNVVYVASEVGVLPMDESKVTMKGRLGPGMMISVDLESGQVYENTEVKKRVASYNPYGKWVSENLRTLKPSTFLSSAVMETEDTLRRQQAFGYSSEDVQMVIESMAAQGKEPTFCMGDDTPVAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPQNVSQVVLSGPVLNERELEGLLSDPHLKSQVLPTFFDIHRGIDGSLKKALLKLCEAADEAVRNGSQVLVLSDRSDNPEPTRPAIPMLLAVGAVHQHLIENGLRMSASIIADTAQCFSTHHFACLIGYGASAICPHLALETCRQWRLSNKTVNMMRNGKMPTVTMEQAQKNYRKAVNTGLLKVLSKMGISLFSSYCGAQIFEIYGLGKEVVDFSFRGSASRIGGLTLDELARETLTFWVRAFSEDTAKRLENFGFIQFRPGGEYHGNNPEMSKLLHKAVREKSETAYAVYQQHLANRPITVFRDLLEFKSDRKPIPVGKVEPASSIVERFCTGGMSLGAISRETHETIAIAMNRLGGKSNSGEGGEDPIRWKPLTDVVDGYSSTLPHLKGLRNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKVAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVSEVGIGTVASGVAKANADIIQISGYDGGTGASPISSIKHAGGPWELGLAETQQTLIGNGLRERVIIRVDGGFKSGVDVLIAAAMGADEYGFGTLAMIATGCIMARICHTNNCPVGVASQREELRARFPGLPGDLVNFFLYIAEEVRGILAQLGYEKLDDIIGRTDLLKPRDISLVKTHLDLSYLLSSVGLPKRSSTSIRKQEVHSNGPVLDDTLLQDPEIMDAIENEQTIHKTMSIYNVDRSVCGRISGVIAKKYGDSGFAGQLNLTFNGSAGQSFACFLSPGMNIRLVGEANDYVGKGMAGGEVVILPVESTGFLPEDATIVGNTCLYGATGGLLFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDNTLLPKVNKEIVKIQRVTSQVGQIQLKSLIQAHVEKTGSSKGATIVEEWEKYLSMFWQLVPPSEEDTPEANSDHLVKTTTGEEEQVSNTFAV >A08p019860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13469213:13470192:1 gene:A08p019860.1_BraROA transcript:A08p019860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANFLRGFTGIKRFWEAVNVKSGDELMRVDMLLSDAKALFYNALAQPLSRVEVVENIVKLGTVTLETHTNMFSNPASMTQNLTMQPEFLSR >A10g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22113381:22115380:-1 gene:A10g507210.1_BraROA transcript:A10g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRAQGASLSGGFKLCLVLWRKGRKISPDYASLKGFEGLLHPLGCGGVVVDRWCQAVTVVGSFWFFPASAEMVSDFVPACAVAASSWDRQSSIASRWLLFLIAGIVEAILSGVIDRLGSTICLRSLPGVAMAHFCFAIVWLDFTCRWFEATRQGSSSASWTVTVISIEEFIGNQLLRKRHGSSRHLRQRGEPHGPVVIVNWLLESMNTYSAQIPESQYFLRISLKYFVFVRFSRQPESRSFLKHDRDPISPGSGKLGMSEKVESS >A02g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10072709:10073249:1 gene:A02g503050.1_BraROA transcript:A02g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSPSGVLDMVWTSPEVMNGPGICQFCFACPSLSGQLLRYAIFGVRMVKAVQAVQIKHIMQIKQIKSRSSRSCGRNADQVDQRLDWSNQILNLNFILN >A08g501870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4477669:4478958:1 gene:A08g501870.1_BraROA transcript:A08g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINRDVLMLREDQAPNVEASLSQSDPRKISMVGIKPHIPDLNVKPCSDSNEEEKGEIAKEFQNLAGLKIHDACYVDHKLLYELEVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQEKGLAKPYVILHSGAVSNWEMFDKDFKTFRRLPKVPSSDYCFFYSDKETVSVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSASHGKTAFFAGGIKMDENGNPVVVQTVEKYNADTKMWTMINGMHKARKFSSGCFLRGKFYVLGGRDDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLETSLNELRVYDINTNNWKKLGVVPVSANAAFGWGIAFKSIGDRLLVIGTSHSWHRKTVVHSCRPSPDVEEQHWEEIKHWCVGAELPQFIHNCCVMFA >A08p031200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19076702:19079833:-1 gene:A08p031200.1_BraROA transcript:A08p031200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRFRDVVRTIAVVFLLVIAEQATAGSIDYADCYGLCNPHCEQTCKGLAPTFPPSLFPPEFSPELLPASSNATVNTNLVASSVFNVSSQASLTADVPALSDNIVWHESSICRCDRQQLLQQKGCVIWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKAEDRTENIRRIGEVAKLFADVGVICIASLISPYRRDRDACRSLLPEGDFVEVYMDVPLSVCESRDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEVVLKHTDVSCSPRQMAENIISYLQDKGYLEG >A01p014120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6881758:6884201:1 gene:A01p014120.1_BraROA transcript:A01p014120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 15 [Source:Projected from Arabidopsis thaliana (AT4G21940) UniProtKB/TrEMBL;Acc:F4JKC7] MGCFSSKHRRTQSDVVNGNVHRSIPTNQSQTHVPRDVTAHSSIPTNQSQTHVSRDVTEPQIPTRTQNHHHHNPQEQQQESKPVNQQIIEEYQVFKPLKPIALLETETILGKPFEQIKRLYTLGHEIGRGQFGITYTCKENSTGNTYACKSILKRKLTRKQDRDDVKKEIQIMQHLSGQQNIVEIRGAYEDRQSIHLVMELCGGGELFDRIIAQGHYSERAAAGVIRSVLNVVQICHFMGVMHRDLKPENFLLSSKDEDAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGIILYILLCGVPPFWAETEKGIFEEIIKGEIDFESQPWPSISESAKDLVRKLLTMDPRKRISAAQALEHPWIRGGEAPDNPIDSAVLSRMKQFRAMNKLKKLALKVIAESLSEEEIKGLKTMFANMDTDQSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFISATMHRYRLDRDEHLFKAFQYFDKDNSGFITMDELESAMKEYGMGDETSIKEVIAEVDTDNDGRINYEEFCAMMRSGTTQPQQGKTSSIPLTD >A01p054070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29723787:29727273:1 gene:A01p054070.1_BraROA transcript:A01p054070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTETYTLAPDSLNSYVNWCVRNLEKKDLCGVIFGCKFSTIKECYAKKLFGLPAPHMAYIKNIDPGLTLFLFNYSDRTLHGIFEAASEGQLNIDPKAWSPNGTDPSPYPAQVKIRVRVKCEPLAEEKFSPVIAGNYQDEKMFWFELDRDQTNKLLRMFSPSPYVRAPSTSKYAVASLFRKAIPASSLVEIGEVGATRVDKWSSLFKSSDDSGENKGEDSSGARGAGSRVVVNLGKAEKAVVQSGVSYSSVLRNVTESSTSTNEVPSEASKGGENPWSSSRQVSTKVASGAALNMIGHGKEVYAEDTHSEIDWDAASNFQAHLEGLERILEEPTGFASSSSMVPNHWEDGIPLSDYEEGNIDKSPCGSSYVSAMTGDGAEDNGVDKEKLRMDLLKMEMGSPPTYLDILSEIWAEVKELKQTQMKQAEYMITLQMELCLDLQLKMAVYEQTVTDTSSSKKRKSRSRADGTTVADRLKKWTEYNDIVNKGENKPRRKVPAKGSKKGCMKGKGGPENTHCSFRGVRQRVWGKWVAEIREPNRVSRLWLGTFPTAEEAASAYDEAAKVMYGPLARLNFPQQCVVASEFLASTSSQSEVCSVEDKPVLVGDVHSESRPCISDGNTRMSSDLLDEFDEEYWGRVSKEIEKPKEGEEEVLTVADYGWSNDMLNEQDFWDPNEVFDVDELLGDIDECIMLTGTGPDEDQNGINPGGYDSHVPLQLEPHDGHEFFDLSSQDL >A03p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15840158:15841337:-1 gene:A03p037900.1_BraROA transcript:A03p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRGDNGLMEEDEVDALIEENGVDYESDPELSSNLRDLAAAAQSGDVVALRTAIDRLNGRVDEPLEDNDSALHLACLYGHLPCVQLLLERGANIEVKDEDEAIPLHDACAGGYLEIVELLFSRASGPECVKRMIETIDVEGDTPLHHAARGEHVNVIRFLLSSGALPTIKNSYGKTPGELADVNTDARRILEAAAIGNSLSS >A06p004760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1315088:1316165:-1 gene:A06p004760.1_BraROA transcript:A06p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVTWSREEEKSFENAIAIHCVGEEITEEQWSKMASTVPTKTLEQVKKHYQILLEDVKAIENGQVPLPRYHKTGDEGAEASPASRDCHSSGGSGSPEKKPNHGVSGTNGGGRSSSRHEQERRKGIPWTEEEHRLFLLGLEKFGKGDWRSISRNFVITRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITYVNNQAPAVTGQQQQQVAKTRPAQPQPHHPTMAGLGMYGGAPVGQPIIAPPDHMGSAVGTPVMLPPPMGAHHHHHPHHPHHLGVAPYAVPAYPVPPIPQQHPTPSTMH >A01g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10164704:10169013:1 gene:A01g503160.1_BraROA transcript:A01g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPKKRSNPSDCSECMFQRQRFLRKLQPISGFALISLFSLPAAFSPDPATMEVFYYVVFGVLGIVVATLELSKNNKDRINTSSAFTSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGYSKGDIGQLFIAGFGSSMLFGTIVGSLADKQGFEQQWLSITFSKAVFFGNGLVAIIAGLFGNLLVHSFSLGPVAPFDAAACFLAIGMAVILSSWSENYGDPSDNKDLITQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLLSRSSPKVESYMQIVFLVSAASLLLPIIMASLVAPSKVKGGGISFSGCFQLLGFCVFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNEFPMTVMFGMCSVFLFIASLLQRRLMTIADKPKANDWTPLNERNAEEAPLNI >A06p011710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4371422:4372687:-1 gene:A06p011710.1_BraROA transcript:A06p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDRTYLLARVFSSSRLSESKWLYMHPSEEQDSSESNLRNGKRAFDDDDDKLRQSKSLKLTRLSIHGNASDQQQHGDGDSLINDIGRDNSISCLLRCSRSDYSSIASLNRSFRSLVKSGDIYRLRRQNMIVEHWVYFSCQLLEWVAFDPVERRWMNLPTMPSGVTFMCADKESLAVGTDLLVLGKDDDSSHVVYRYSLLTNSWSPGERMNSPRCLFGSASLGEIAIFAGGCDSLGKISDVAEMYNSELQTWTTLPKMNKPRKMCSGVFMDGKFYVIGGVGGGEEYDLATKKWTEIPEMSPPRSRETPASAEAPLLVAVVNNQLYAADHAGMEVRKYDKESKRWFTLGRLPERAGSVNGWGLAFRACGERLIVIGGPRSSGGGFIELNSWVPSNDRSPPVWTLLDRKHSSNFVYNCAVMGC >A06p057470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29878558:29879833:1 gene:A06p057470.1_BraROA transcript:A06p057470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGVSALSSPAKFHRRREKAQTFTPTHFPNPNGFPSPWRKSRPFAPKLSQSLNFASPSPHLPLIRSSTSPSSKDFLQILARKAAIFLVGSFVFLGFCSSKPALALPTATASSQTELEDEAMFEKVLESEPENMEAMKAVLYKKMRRGKPEDAVKYVEKLMKLEPREVEWKLLEALCYETMGQLSKAKRLFKDILRDNPLLIRALHGLAMVMHKSHDSSVFDMLNEAMEVARQGNRVTEERNIQVLIGQMHIVKGQFEEALKIFQEMVKDNPRDFRPYLCQGIVYSLMDKKEEADQQFEIYWSLAPEEFPQKGFLDDVALAAQAKSRERLQNTFKAKFTHGK >A03p008050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3252306:3252626:1 gene:A03p008050.1_BraROA transcript:A03p008050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKELQAKADAALTNRGGGKAGLADRTGKEKGGHAKYECPHCKITAPDLKTMQIHHESKHPKLPYEEPKNLHEALGAAAPESSSKPKPGIRGSLKK >A02g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21488403:21489374:-1 gene:A02g507750.1_BraROA transcript:A02g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEKAWELYVCMKPKWDVVLDLVFTILVMVSDWLKESGKRSLDGSDCPCLKWMDKMESCVFHGQKVLYLLTSYFPASNRSRPAPLLSIPNSHKLR >A09g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2940142:2944128:1 gene:A09g500750.1_BraROA transcript:A09g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEQLEKPITYDVKHEVEKIPATEKSSEAAEDKEKGVVADASGGKNLNFVGGGKTAEVRATGRTNIMPGGVAASAQSAATLNARANSEDDKTTLAVVLTGARSKLPSDKPATRKDAEGVTGAEMRNDPHLTTYPTGVAASVAAAARINQAK >A01g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21329676:21330445:-1 gene:A01g507380.1_BraROA transcript:A01g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMKSRRLQESLPDDFQEVQTTSKKSSRRLPVSHHGSLLTKSPFHNRSERFCKFLCLIFLHLVFNQMVLIFHSFKGFSDLEDFWDDLLVSRLKYNALDDFQEVFQTTSTSVSSGLPGSLLTKSTSISSGVQACLCRGMIYNS >A09p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2515563:2517515:1 gene:A09p004360.1_BraROA transcript:A09p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLVQAASVSYLRSQSTLPKPSSSVLLLQKSIFPDSKLTTFRRILRSSKKSSPRASLLETPVLWAGRICVFYALVRAGLAGSKSNPIVSGLESGGVDVEDDDAGTADLGFSKWIQNIKGKPDKDAADKRKLVSKWHPTTKGTLRRNYRVPSKGEGNRLLKAIASLLSDDDHFRDATSHKGCQIRRESAHGQSVCCNNVRALFDELPTPHLVVEITPFPAGPLTEIDYLKAEKLEKVLRSGPNI >A06g501430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5394975:5395334:-1 gene:A06g501430.1_BraROA transcript:A06g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTHEGKCVGFIVLLLCLTSTLARTLILKEQLPMMKLHQEGMLELEPDHNAKPYVHELDLGHEVIPKIDPVVVKLDNGQNFGSGPVTTNLGGGDYPDDHKSNKGTVNMMRQADASTLP >A03g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24556657:24559111:1 gene:A03g506950.1_BraROA transcript:A03g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFITLTHYDIPQELELRYGSWLDPQVREDFVHYAEICFRHFGNRVKFWTTFNEPNVQVILGYRKGTYPPSRCSMTFANCTRAGSDIEPLVAAHNIIRSHLAAVNLYQKKFQEDQRGKIGIVMNTIWLLDPVVFGRYPREIKDILGEDLPKFTKDDLKSSKNGLDFIGINQYTSRYAKDCLHTTCELGQGGSRAEGFVYSNALKDGLPLGEPTGVNWFNVYPQGMEEMLMYATEQYRNIPLYVTENGFGENNTGVLLNDYRRVKFMSNYLDALKRAMRKGADVRGYFTWSLLDNFEWISGYTIRFGMYHVDFDTLERTPRLSASWYKNFIFNHIAQSKDNEDA >A08p042580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23852913:23854785:1 gene:A08p042580.1_BraROA transcript:A08p042580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 33 [Source:Projected from Arabidopsis thaliana (AT1G10550) UniProtKB/Swiss-Prot;Acc:Q8LC45] MASLKNYNMKILLETAVVFCLCSFSLVSSHSRKFTTPNVTRVTDQFSRIAIESGFSKRFGDHNIIVNGSLAKLTLDKSSGAGLVTKNTYHYGFFSARLKLPAGFASGVVVAFYLSNAESYPKTHDEIDIELLGRSRRDDWSIQTNVYANGSLKTGREEKFYYWFDPTQAFHDYTLIWNSHHIVFLVDNIPVREFPNRGAFMSAYPSKPMSLYVTVWDGSEWATHGGKYPVNYKYGPFVASMADVKLSGCSVNNGSVNNGSSSGPGPCTKSGGSVSSLDPVDGQDFATLSKNQIAAMDWARRKLMFYSYCNDKSRYKVMPAEC >A07p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14983537:14987184:1 gene:A07p026180.1_BraROA transcript:A07p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLCSVAVLLSCLVLLFLGKESLSHPLSAPLKLKQPLSFCQFNGSVCCNSHEDLKLQKQFKAVNVSGSCSSLLKSLLCSKCDPFAAELFRAESESRQVPVLCNSTVSSHKSTQSLAGIDFCTRFWSECQNLSVTNTPFASQSGDGGNSTLYEIWKSRNDFCKIFGGSSDESSVCFNGQAVSFDISKATSPSPSGICLEKLANGSFLNMEPHPDGSNRVFLSDQAGMVYLATVPSQGSREVLTIDETNLFLDLTEEVHFDAELGLLGIAFHPDFSRNGRFFVSFNCDRVKWPECSGKCACNSDVDCDPSKLDSDDGATPCQYHSVISEFFTNGTYVKPVEVRRIFTMGLPFTSHHGGQILFGPKDGYLYFMMGDGGSKGDPHNFAQNKGSLLGKIMRLDVNNVPDAKAMSQFQLWGNYTIPKDNPFTQDKNMLPEIWAMGVRNPWRCSFDSERPSYFLCADVGEDKYEEVDMITKGGNYGWHYYEGPLPFTSSKTSKNSTKITNPILPVMWYKHSDVNQKEGSASITGGYFYRSSTDPCLYGTYLFADLYAGVIWGGAETPLGSGNFTSSHILLQCTSDSPISCSSDTDSSSSSSSSSSSSSSPALGFIFSFGQDNNKDIYLLASSGLYRIVRPSRCNFHCSLENGTSLVPSRQPDRSPPSPSSSQRLHNSITQSDRINGKMAMEVCLWRWVNWLLDNPVCSGLLKMELMVNLKQMEMDDVNLKTVQLSSSGSLNG >A06p047640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25279835:25283971:1 gene:A06p047640.1_BraROA transcript:A06p047640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHMDSDDDRFNLDPKSSFNPKPSQINREMGEMVMDATNYDGAINYSTNWTLAGGSLTNSISFESSFSTTTANHESDDGINPAAVDHTAKSPLLLLPPVPNGDPCEITITFAQEHELRQVYIRSTARVYEVYYTKKKRDDREYLCTVRCGVAMTEDEEVLKIIPLIESPASENGVVPVTDGNGNARTNEDDWVEVKSGEKDLLSVPQLGQQDLFEATAEIDDAEPCVSVTLRLLSLQDKRCALVDEVYVFADPVDPSEAEKEEASGTGNSSSSALMAMFMPTLLQMSRGKDVRKEHDRRVSEKSNSTNPASLGDSKESEKIVNEIQQETDVISANQKRASLPVVANTLAEHADATRVPEAEMKRDVPCSNVETILHQLVNKVSRLETILTSFEDRMLKPISSIDARLQLVEEKLEELGKKSLGSELFVETKVPNPDPQSSDTDKTPETDQLDGLNKNTDDPQLASCAETVVPDSASTDKEEDYAVVQPKTSNEEVCHSAESEISNEEVGHSLGNGSFEENPKRSVSINDALASALAGLLSSTSVTDRKYSQALVVRAPEFSDEDDMETEEKSLAGSLPDKSQVAAEVLGNTSSASESPTSPRKEPGITLCIENGTQEMINGAPETLGDKLGGYADAETVVSVSNHGLEGDTVTSSTKDDHYPERENHSYELRNPDSLVHELESSNVTTKESKEEPEMDDVLKSVLGFQPNTSSVDFLAPVLDVKFNSERKVSDSKCLLEALFTEDFKTVVVDCDNEGFGDDNLVSVEDGEELKGPPTDTLSSLEMDCYETNEMHLQLNDCNNGGYGDDNLVSVEDEELKGPPTDTLSSLEMDHEVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKK >A09p014250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7318726:7320921:-1 gene:A09p014250.1_BraROA transcript:A09p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQPKADLAKKQKQVEDKTFGLKNKNKSKNVQKYVQSLKQSVQPKPDASKVAAKVWIQSPSCRKGEKIDIYSDKRDEDGNMEEWDQETLEKVVESKKNEYNQNKPTDIVCKYFLDAVEKKQYGWFWACPNGGKECHYRHALPPGYILKSQMKALLEEESQKMPVEEVIENERAKLKTATQMTPALFMEWKRKKIAERDAGLAASQAERAKNDRMSGRELFLSNASLFVDDAEAFEEYQREKEEEEIEQKAKDKEAEAPGTSKSSGDAEQSSKKVDEEDEDDDDDDLDMDELDELEASLSKTSIQIREPND >A03p070880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31182104:31186291:-1 gene:A03p070880.1_BraROA transcript:A03p070880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTDTDRTMGLNLNLYSLAKPLSQFLNDVTKIKDNHSKLFEIDEYVGKLEEERKKIDVFKRELPLCMLLMNEAIERLKGEASSVILEIDNKKNWMNSAQLWISNTNSQLPSTNGEEDRCVTQTCSNNNNSNQGGAILSFNVPPRPPPLSLRTPILTDYSSRNEQSHPIQKKELRRRWSEDLHRRFLDALQMIGGSQVATPKQIRDIMKVDGLTNDEIKSHLQKYRMHIHKHPAKILTASDQHGLSTSDSPQGPLVDRSLFSNNGHSSEEEEKSDGRSWKSESRKKRQGERRMREIVTIQVGELANFVGSHFWNFQDELLGLASDPESDPIFRNNNLNMDVLYRSGETQQGVSTYTPRLLSINFKGSLGSMSSHGTLYNQGSSSRSDSSKTWFGDVDTQRSEPRKRNLFQQTLYEEEAQAEIEDKDIVGSLEETVECWTDFSKSHYHPQTLYELNGLWMDSHDFNNYGAGKDLFSEASRDFLETMADDYTNVPVLLYSLRSPVAQKKTVINKLHDAVSFSRLSSFCKLFTPIGLPSLRGMKYLNLGDEKPYRSSAVYAAALHSSTLPFRMQHTSSDSTQESNAMDVNTLVQLLTNRGRQNIVAILDSAMPAPTLAAKQLEKTLLTNLQALTPEVAEDVEDNQSVESMCILGALRSEDQEATVSEVRNAVDASYDEQSRPLFCNLSVSRVPLPVPLPFPSIFGNLVGRRGEILSSPASDLMCRGSLDVHSVPVATRWRSSSAVLPFLESRMVNLEKLGIQWGAVGSDVVRTWGFGREELQEMRENLAKMVSELSPHQFLESSDSD >A06p043390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23371706:23372460:-1 gene:A06p043390.1_BraROA transcript:A06p043390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSGGPSFSIEVSEYGNDPPATEKASSSSFSSGDTVNEDEAGLSRLGSGIWSGRTADYSSESSSSIGSPGDSEEEDEENDEELGLRGLSSMSSLEDSLISKRGLSNHYKGKSKSFGNLGEIGSVKEVPKQENPLNKRRRLQICNKLARRSFYSWQNPKSMPLFPVNEDQDEDEDNDDDLDEERGRSSFARKPSFKNRALKSRSCFALSDLQEEDDDDDEDQ >A09p050200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44156997:44157786:1 gene:A09p050200.1_BraROA transcript:A09p050200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NUCLEAR FUSION DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G24450) UniProtKB/Swiss-Prot;Acc:Q9FYL8] MVTTHLRFTLLLAVIGIFSSSSQVRAANVRIKPFSSPFTTNLATLQSQIGYNFTNINLLRRAMTHASFSRENNKALSIFGFHLIETSLSLHLLSKDIDTSSKALTRLIAEVSNVESSCALDGNRLGLERVIRVSPKTDASNSGIVCGGFRAIFGAVATDAGTVDEAIKVFWKVHGAGAGRLVSVL >A03p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3871676:3874000:1 gene:A03p009660.1_BraROA transcript:A03p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMSSSSAAASAAAAAASTPKSSSSPAAASSTTSWFSGIVRGRGDKPNTAKLSKSASATGIGSGDYGGPIKGKNQFRGVLFKYGPKSIQVAFKTGEYKQQVIFIGGLTDGLLATDYLEPLAIALDKEKWSLVQLLMSSSYSGFGTSSLKQDAQEIDQLISYLINKENSEGVVLLGHSTGCQDIVYYMGTNAACSRAVRAAILQAPVSDREYKATLPETPAMIDLAAKMIKEGRAEELMPREADPCAPISAYRYHSLCAYMGDDDMFSSDLSDDQLKTRLGHMANTPCQVIFSMGDEYVPDYVDKKALVNRLSKAMGGAEKVEVEHGNHSLSNRVHEAVQAIMSFVKREGPSGWDDPWS >A03p033930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14369538:14372449:1 gene:A03p033930.1_BraROA transcript:A03p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAIGGLISNRNLGSFIGSVNYYSLLLFKSGNGFQNQIGLSNNRAMLSQELYHRKPNALCPSHQQRHISSLQAATLQHELGHSRRGFGCFLLPTRLFRFKDNTSRASYKSSEESQGAGAVDPLPYAEAILVQGNASWWQLQFPRRWVIVLLCFASFLLCNMDRVNMSIAILPMSQQYNWNSATVGLIQSSFFWGYLLTQILGGIWADKYGGKVVLGFGVVWWSIATVMTPIAAKLGLPFLLVVRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLGFSPMLIQKFGWPSVFYSFGSLGSIWFLLWLKYAYSSPKDDPELSEEEKKIILGGSKPREPVTVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQACTPSSLWFNPHITNKLKKSIVCILITMFQVLKFNLTESGLLCVLPWFTMAVLANVGGWIADTLSHKLSSMVRQIMQSIGFLGPAFFLTQLSRVKTPAMAVLCMACSQGADAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVGLYLIGTLVWNLFATGEKVLD >A01p028390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18330123:18330683:1 gene:A01p028390.1_BraROA transcript:A01p028390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQEDHHKELQLLPSPPSTESRVRKLRPTVITTDHHHSPSMIHQDLDLKLSISLSTIPTATVEHLSDGGGGVGGVEALKWQAAEQIRLAAIEKAYAERVRELTRREMEMAQAEFARARVMWQKAREEVERAERLKERSMTKIDTTCLEITCHSCRQRFRP >A02g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13799904:13802605:-1 gene:A02g504170.1_BraROA transcript:A02g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPFTQTGVHHSSFNCSWPPPLLLGFPQHYGNHALFLDEKSSVIASPHTGHRILLLAEHCYHQSFHNQLERCGNRAFSMCTDNGRDSKERTCDNWSHPLQVNRSDPVSWLPVQSSDRQCAAEKWMVCLSCTICSSWTNHRLCPGSTNLAGEDGEDSATFVVFDTKMT >A07p051190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27209889:27210573:1 gene:A07p051190.1_BraROA transcript:A07p051190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMLSSVTLKPAGFTVEKMSVRGLPSLTRAPSSFKIVASGVKKIKTDKPFGVNGSMDLRDGLDASGRKGKGYGVYKFVDKYGANVDGYSPIYNEDEWSASGDVYKGGVTGLAIWAVTLAGILAGGALLVYNTSALAQ >A02p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23428848:23431621:1 gene:A02p039670.1_BraROA transcript:A02p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRQQQKRSIPSTLFSLSLNHDASSLSCDEVPPPFCSVYHSTEILLYIQPHQSLINHPIVFFFLCKISKNGVRKGNHPSPSDDDRRFIRCRHVDHLIRREAPHLRRQWLEQRRGFKNLRGVDGETRKEDDDDAEQRSCHGSEIRDLQRQSLFHRRTQNKNLSYKLGLTRFADLTNDEYRSIYLGAKPKKRVLKTSDRYEPRVGDALPASVDWRKEGVVAAVKDQGSCGSCWAFSTIGGVEGINKIVTRDLISLSEQELIECDTSYNQDCNGVLIDYAFEFIIKNGGIDTEEDYPYKTVDGRCDQNMKNAKVVTIDGYEDVPKNNEAALKKALANQPISFAIKAGGHALQLYSLGVFDGTCGTELDHGVVAVGYGTEDGKDYWIVRN >A02g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9129627:9132113:1 gene:A02g502760.1_BraROA transcript:A02g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSWKSRRLCQKTSKKSRRLPDDFQTTNRENEWKIR >A04p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7174220:7175981:-1 gene:A04p010500.1_BraROA transcript:A04p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Werner Syndrome-like exonuclease [Source:Projected from Arabidopsis thaliana (AT4G13870) UniProtKB/Swiss-Prot;Acc:Q84LH3] MSSSWGDEEEFTEEDLLAIEAIEASHLSQSSSSSSSTVRPAKSNPNQIRRQLPRSITSPTPSKRFPLSRCRAKNFPAMRFGGRILYSKTASEVDRRAMQLLKVLDTKRDHSGRAFIGFDIEWRPSFRKGVLPGKAAVVQICVDNSYCDVMQIIHSGIPQSLQHLIEDSSLVKVGIGIDGDSVKLFHDHGVSIKDVEDLSDLANKKIGGGLKKWSLASLTETLVCKELLKPNRIRLGNWEVRPLSKEQLQYAATDAYASWHLYHVLKDLPDAVDGS >A01p031170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13376848:13377957:1 gene:A01p031170.1_BraROA transcript:A01p031170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPL9 [Source:Projected from Arabidopsis thaliana (AT3G44890) UniProtKB/TrEMBL;Acc:A0A178VBQ7] MASSSLSLSWSSSLCSSHTFNVVGNETLKVSQRRSTLEVVAQKKAKKLRKVILKEDVLDLGKQGQLLDVKAGFFRNFLLPTGKAQLMTPLLLKEMKMENERIEAEKQRVKEEAVQLATVFETVGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQLQRDIDKRLVSLPEIRETGEYIAELKLHPDVTARVRLNVFAN >A04p024760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15024577:15030030:1 gene:A04p024760.1_BraROA transcript:A04p024760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTSCNNDRTRTYWTPTMERFFIDLMLDHLHRGNRTGHTFNKQAWNEMLSVFNSKFESQYDKDVLKIRYTTLWKQYNDVKSLLDHGGFSWDQSHEMVVGDESLWSCYLKSHPEARAYKTKPVLNFDDLCLVYGYTVADGRYSRSSHDVEFEDEINGVNIGESSSGSLVMSSKETSKTEWTPVMDQYFISLMLDQIGRGNKTGNAFSKQAWTDMLALFNARFSGQYGKRVLRHRYNKLSKYHKDMGAILKQDGFSWDETLQMIAADDVFWNSYIKEHPLARTYRMRSLPSYNDLELIFANPVQGKDDAVPDENKASQAQSSDRTRTFILEQNGFSWDARRDMVVADDSVWCSYIKGHPEARPYRVKTIPIYPNLCFIFGKEVSDGRYTRLAQDFDPTPAETVRINESESEDGFKETFQMVVHAAGEEKDDYLCSSSGPSPVEWTAVMDRCLIDLMLEQVNRGNKVGETFTEQAWGEMAESFNAKFGLQADMFTLENRYILMMKERDDVNNILNLDGFVWDGEKQTIVAEDEHWDAYIKEHPDATIYKGKTLDSYGDLCKLYEHLSHEGFNCENLMIELDNYGHEIDIVDDFSSSTQKQHCKRANPTPHLGINARKAQKTGGEMMRKPQSETEGEGGDYVEAMPQEDNFTRIQNAVDALQALPDMDDELLLDACDLLEDEKKAKTFLALDVSLRRKWLVRKLRPPSDQTMAIKHLTATAYGAVYGAISGGARLAYSFVRQGLKVRPANALFNVAAFTFVSGPTFQEHPDATIYKGRTLESYGDLCKLYEHLSHEGFNCENLMIELDNYGHEIDMVDDFSSSTHKQHCKRTNPISNPGIDARKAQKTGVELMRKPLSEAEALPDMDDELLLDACDLLEDEKRAKTFLALDVSLRRKWLVRKLRPPSSNA >A07p024490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14092821:14095119:1 gene:A07p024490.1_BraROA transcript:A07p024490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NCRK [Source:Projected from Arabidopsis thaliana (AT2G28250) UniProtKB/TrEMBL;Acc:A0A178VW29] MKMRVETALVILSVLISVQLCYGGASNWTCTCFSSGNQSDILESNCSTSCNCRPAERDQWVCLCPANGFPIIAVTGSNSSCFTACNCSAGPLKKQSLSRKLLLVTLIFCVAVTSVAFLASMFCYIYRRSSNVSGQSPSVSSDRESSWHSSSNLIARKSSISQPKISITSSLSGCFFQNASLFCVSKPETIHGMIFQFSYGELEQATNKFASSNVIGHGGSSCVYRGQLKDGKTAAIKRLNAPKGNDNDTLFSTEVELLSRLHHYHVVPLIGYCSEFHGKHAERLLAMPRLQDSKRVIEELPDPRLNGKFAEEEMQIMAYLAKECLLLEPEARPTMREVVQILSTIMPDTSRRRNFPINHLFPSNEKKKENKVGWSRGGSRSAQEEESVDLTEPRFESFCLPNVKPVLLEPSAHI >A05p029730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14924939:14925369:-1 gene:A05p029730.1_BraROA transcript:A05p029730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKTNISKGTIYVKGKHQKGIHVRGFWDPIPVSPDTVETTTEFAGDGEEVDRPADVFGTSLSGNLYFDP >A04p004460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2237539:2238024:1 gene:A04p004460.1_BraROA transcript:A04p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSWTFLTTTSLDQRNPSRVSKKTPKQMKKTASRNKPIKVRYISNPMRVKTCASKFRELVQELTGQDAVDLKLEPEFSPSAVSDDSSSPRPPENLAPRDLHQEPFDDRVTGYYEPLDGEEMFVSQLSGGFSGYFSNEFYNGDVDGFGKIDLCEDILSI >A08p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2854630:2856016:-1 gene:A08p004880.1_BraROA transcript:A08p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRVGEWKVITNFTLSPAYGLYRHTNHVYKMDFGSQTTITDSDNLCDNMFLELHDFANITNGSHDTSLLIDVIGEVLDFGDLDVVQGERKEVTKLVFNLRDIKFHTDDNSLEMYQELNGKIVIPEKRLKWSQIPFQTVQEMKHTEKDGKCRVISTVYAIDTENGWYYFACVVCNNKVQVGVACAGSDWGV >A08p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:121538:126158:-1 gene:A08p011520.1_BraROA transcript:A08p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNEWGWFSKMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVYEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRNESSWKPNGSRSESFQKLTMSKSEGSWRLTGSRSEGFRKRRRCRMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVTRERINKEEADPRMARSARGIVTAPNGQLPLSSLRHTRDSSTHFSSTDTSLWYEEETLGSVEALERKMEASCTDCTDRVKGTDDQAVPSCAAELDPNVERIRKSASDSLARAGKSFWVRFKFKTGCGVSSEAVWVEDRGCEGFYKSFNSR >A09p019650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10410162:10411268:-1 gene:A09p019650.1_BraROA transcript:A09p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQIFDGTQEESSNPHSPSLHELEALTDKTTLSHQDEAKIASTEFENIQKRYQDLTATLPHAKGWFEKAPFVGYGGHWIIEPLLAGCLHAQDFFQARPVDFFICSYPKSGTTWLKALAFSIVNRSRFDDSSNPLLKRNPHELVPFIEIEFAFFPQVDVLKDKGNTLFSTHMPHGLLPESISNSGCKMVYIWRDPKDTFISMWTFFQKQKFGSGPLNSLEECFDMFCLGFSGYGPYLDHVMSYWKAYQENPNKILFLKYETMREDPFPYVKRFAEFMGYGFTAEEEEKGVVEKVVNLCSFETLKNLEANKGEKYREDIPLNAYQNSAYFRKGKVGDWQTYLTPEMAARIDGLMEEKFKGTGLLEHF >A05g510200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31768690:31772429:1 gene:A05g510200.1_BraROA transcript:A05g510200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DJ-1 homolog D [Source:Projected from Arabidopsis thaliana (AT3G02720) UniProtKB/Swiss-Prot;Acc:Q9M8R4] MANSRTVLILCGDYMEDYEVMVPFQALQAFGVSVHTVCPGKKSGDSCPTAVHDFCGHQTYSESRGHNFTLNATFDEVDLTKYDGLVIPGGRAPEYLSMNASVVDLVKQFSSSGKPIASICHGQLILAAADTVNGRSCTAYATVGPALIAAGAKWVEPVTPDVCVVDGSLITAATYEGHPEFIQLFVKAMGGKITGANKRILFLCGDYMEDYEVKVPFQSLQALGCEVDAVCPEKKAGDRCPTAIHDFEGDQTYSEKPGHTFGLTATFDGVDSSSYDALVIPGGRAPEYLALNQHVLNVVKEFMNSGKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGGSWLEPDPIHRCFTDGNLVTGAAWPGHPEFVSQLMLLLGVQGRKCTVYAAVKLNVVLGGGTWLEPDPIHRCFTDGNLVTGAA >SC321g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000166.1:9835:10359:-1 gene:SC321g500010.1_BraROA transcript:SC321g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLHDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10590204:10591252:1 gene:A07g504940.1_BraROA transcript:A07g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPDTGPDTKDINQFNSLLPQALRLQVSKYRCMSLFPLLNPYFITRKVMSKRFYLIASHSIQQGASLNYVSMPLYVSSTWTAPD >A10g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4637219:4638339:-1 gene:A10g501670.1_BraROA transcript:A10g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNADDDLKSKSLQAEFGEKRSFGRGFCITDITEENKSHPCPTEWLFRVDIVNKWKESNGGLEVFWFNGKLAQQSSEKVFKDAMKPSQNSSAYVYESWRRTESNRFFTRLLNDQVTFYTPGRDKSDNRKTKACYMSL >A01p053740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29870017:29876718:-1 gene:A01p053740.1_BraROA transcript:A01p053740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTITHLSVTTKPLHILSTLSHSQAKKMSGNHYSRDIHHNTPSVHHHQNYAVVDREYLFEKSLTPSDVGKLNRLVIPKQHAEKHFPLNNAGDDVAAAETTEKGMLLTFEDESGKCWKFRYSYWNSSQSYVLTKGWSRYVKDKHLHAGDVVFFQRHRFDLHRVFIGWRKRGEVSSPTAVSVVSQEARVNTTAYWSGLTTPYRQVHASTSSYPNIHQEYSHYGAVAEIPTVVTGSSRTVRLFGVNLECHGDVVETPPCPDGYNGQHFYYYSTPDPMNISFAGEAMEQVGDGRR >A01p057620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32642966:32646064:-1 gene:A01p057620.1_BraROA transcript:A01p057620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSFVSSSHLTLRTPSLTLRRTSPSSSSVSFSVKAQSVALSQDDLKKLAAEKAVEAIKPGMVLGLGTGSTAAFAVDQIGKLLASGELHDIVGIPTSKRTEEQARSLGIPLVALDTHPRIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAVAERFIVVADDTKLVKGLGGSGLAMPVEVVQFCWKFNLVRLRDLFKEFGCDAKLRVGEGGEAYVTDNSNYIIDLYFKEPLKDGFAAGREIGKLEGVVEHGLFLGMATSVIIAGKNGVEVMNNPKYFLRVKTQNPKRRNIRFISSLFLLSSSSPLTILNHGRRNKRISKGRKGGKKKIVDPFSKKDWYDIKAPSSFTHRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLNKDEDQAYRKIRLRAEDVQGRNVLTQFWGMDFTTDKLRSLVKKWQTLIESHVDVKTTDNYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRSKMREIMIKEASSCDLKELVAKFIPESIGKDIEKATQGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVKVDRPADEVVEEPTEIIGA >A02p013050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5721043:5722337:-1 gene:A02p013050.1_BraROA transcript:A02p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRVPSTSFHFQRFHAGALERRWRLGRDCLRSFSYPRGTRSLGVKSAKQEMAPFTAAEEEALPEGLHPELMPKHVAVIMDGNGRWAKNKGLQPWDGHRAGVEALREIVELCGKWGIQVLTVFAFSTDNWIRPKIEIDFLLNLFERTLKSELETLAKNNVRISIIGDSSKLPKSLLKVINEVEEVTKNNTRLQLIVAVGYSGKYDVLQACRGIAQRVKDGEIEVEEIDERLIEQELETNCTEFPYPDLLIRTSGELRVSNFLLWQLAYTELFFAQELWPDFGRSGFIEALMSFQQRQRRFGGRKS >A07p034700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18827703:18830302:-1 gene:A07p034700.1_BraROA transcript:A07p034700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYWPYFDPEYENLSSRINPPSVSIDNTSCKECTLVKVDSMNKPGILLEVVQVLTDLDLTITKAYISSDGGWFMDVFHVTDQQGNKVTDSKTIDYIEKVLGPKGHAASSQNTWPGKRVGVHSLGDHTSIEIIARDRPGLLSEISAVLADLHFNVVAAEAWTHNRRIACVLYVNDNETSRAVDDPERLSAMEEQLNLVIRGSEEEDEKVARTSLSIGSTHVDRRLHQMLFADRDYDAVSKVDGSGLEPKITVEHCEEKGYSVISVSCEDRAKLMFDIVCTLTDMQYIVFHATITSSGPHASQEYFIRHKDGCTLDSEGEKERVIKCLEAAIHRRVSEGWSLELCAKDRVGLLSEVTRILREHGLSVTRAGVTTVGEQAVNVFYVRDASGNPVDVKTIEALRGEIGHSMMINVKNKVPSRRWKQEGQAGTGGGWAKTSFFFGNLLEKFLP >A06p054600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28608251:28610885:1 gene:A06p054600.1_BraROA transcript:A06p054600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 14 [Source:Projected from Arabidopsis thaliana (AT5G46610) UniProtKB/Swiss-Prot;Acc:Q9LS22] MSNKVHERGVEMEEEGSKKATRKIQELPKKSLKDIWKVAKDDPRRVKHALKVGVSLTLVSLLYLLEPLFKGIGSNAMWAVLTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVAKDSGKIFRAVFIGASVFIIGAAITYLRFIPYIKKNYDYGMLIFLLTFNLITVSSYRVDAVIKIAHERFYTIAIGVGVCLCMTLLFFPIWSGEDLHKSTVAKLQGLSFSIEACVKEYFEEEEKDKETSDSSEDMIYDGYKTVLDSKSTDEALAMYASWEPRHTRHCHRFPWQHYVKVGGVLRQFGYTVVALHGCLKTEIQTPRSIRVLFKDPCVRLAGEICKVLTELAASTQNRRRCSPEILSESLQVALQDLNSAIKSQPKLFFVSNDNVSQNDNSGRHNQNVSVTQQINKDANDNISHQNETGSPLGQYTGTPRGGNKSRFGPNSGFSHQNETGSPLGHKSDVPVQNTGTPRGERVSRFRPDGASSFSRLRADTLERRSTAATGERRILMKQLSRIVVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGTSACFKEYNGNVVDRTDVEVRVEKPADLVVGVE >A02p007400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3118311:3121294:-1 gene:A02p007400.1_BraROA transcript:A02p007400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTSLNINLIWCPKHRLPQLYIPIPIRKLKDLRLNKSLDVHVALISYINKKKHDSSFRLPQQKPLLSLTLKHLRNSIYSRSLSPSSSQSPTGEIRSKMVSDASKKKAPQKKAAAAAKRGGKAAAAASKSAATSSNGVDALSSGVDAIHISDRTCTGILCSHPQSRDIRIESLSVTFHGYDLIVDSMLELNYGRRYGLLGLNGCGKSTLLTSIGLRELPIPDHMDIYHLSHEIEATDMTSLEAVMSCDEERLKLEKEIETLVEQDDGGGERLDTIYERLEAMDASTAEKRAAEILFGLGFDKEMQAKKTKDFSGGWRMRIALARALFIMPTILLLDEPTNHLDLEACVWLEESLKNFDRILVVVSHSQDFLNGVCTNIIHMQSKQLKYYTGNFDQYCQTRSELEENQMKQYRWEQEQISHMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDSVLVFRFADVGKLPPPVLQFVEVSFGYTPDYLIYKNIDFGVDLDSRVALVGPNGAGKSTLLKLMTGELHPTEGMVRRHNHLKIAQYHQHLAEKLDLEVPALIYMMNEFPGNEEEKMRAAIGRFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYKQPNMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAHEIWVCEKQCITKWNGDIMDFKKHLKAKAGIED >A06p037950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20509747:20511514:1 gene:A06p037950.1_BraROA transcript:A06p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRQTSMNGFIYSISEHIFLKLCKMSYEKELAAAKKAVSLAARLSQGVQKTLLQSEVWTKSDRTPVTAADFGSQALVSIVLERELQPETFSLVAEEETGELRKKGSEVFLEGITKLVKDTLASEESYADSPPLSTEDVLNAIDCGKSEGGCSGYHWVLDPIDGTRGFVRGEQYAVGLALLVEGKVVLGVMACPNLPLASVVCETDKSSKENVGCLFFATSGSGAYVQPLEGNSLPQKVGVSSNENLEEAKFLESYHKPIPLHSSIAKKLGITASPVRMDSQAKYAAVSRGDAEIYLRFTLSGHRETIWDHAPGFIITTEAGGVVCDAAGKSLDFSKGKYLAHKTGIIVTTKKLKPCVLKAVREAMEEEKLISETHNRNCTLSVYV >A06p006770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2303668:2316854:1 gene:A06p006770.1_BraROA transcript:A06p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGYSSMAQTGIINDLGLSVAQYSMFGSSMTFGGMFGAIFSGKVADLIGRKGTMWFGQVFCIAGWLAIAFAQNTIWLDAGRFSTGFAVGLFSYVIPVYIAEITPKHVRGAFVFANQLMQSCGCSLFYVIGNFIHWRNLALIGLIPCILQVVTLFFIPESPRLLGKWGREKECRASLQLLRGDDADVSEEANTIKETMALFDQGPKSRIMDLFQRRYAPSLVIGVGLMLLQQLSGSSGLMFYVGSVFDKGGFPKSIGSMILAVIMIPKSILGVILVEKMGRRPLLLASTAGMCLFSLFLAFSFSFRSYGMLDELTPIFTCIGVVGFLSSFAIGMGGLPWIIMSEIFPMNVKVSAGTLVTLANWSFSWIVAFAYNFMIEWNASGTFLIFFSICAAGIAFIYAMVPETKGRTLEDIQASLTDFRSLSCHGSIILLGLFDIHLVSILGRIRRKNLLSATAYCAEGRERLIVPGTRPVERLKRTTKQGLTNGFTFWFTRRKPEEAEKRPTMSEVVDMLMNNLWRKWVILKVSRSSTYTIENLVLVLDMEVAKLTNIQAFEAAQIVGISVDAHIETELPRSLAEIVWYIYTFQLNTKTFTISRVFPWRELAFAPAFAEGAKVSEAVQPEVVANRSYAKVNKTCCVTEAPSIFDGSLAGRTSSCCFGRRNHQKSMQKGLLNKSLSIRERERKFPNEDVFLETGLSRKSPRDVIKKAQDDDGECRVTASVFLSTFVAVSGSFCSGCGAGFSSGAQSGITKDLSLSVAEYSMFGSILTLGGLIGAIFSGKVADVLGRKRTMLFCEAFCVTGWLAVALAKDALWLDSGRLLLGIGVGLFSYVLMQNCGIALFFIIGNFVPWRLLAIVGFVPCVLHVFCLFFIPESPRWLAKKGRDKDCRTALQCLRGPDVDISREANTIRDTIEMSEVDGETRMSELFQRRYAYPLFIGVGLMFLQQLSGSSGVTYYASSLFQKGGFPSAVGTSVIATMMVPKAMLGTIIVDKLGRRTLLMASCAAMGLSALLLSVSYGFQSFGILSDLTPIVTCIGVLGHIVTFALGMGGLPWIIMAEIFPMNVKVSAGTLVTVTNWLFGWIVTYTFNFMLEWNASGMFFIFSMVSAFSIVFVYFLVPETKGRSLEEIQALFSDSVQ >A07p034350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18687978:18691519:1 gene:A07p034350.1_BraROA transcript:A07p034350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDNRHYPRLNPAINGVVRSFKPPPIPSPRHQNKTVSVPTEKIVVRETKNDVVEDSYDSSDDEDESHNRNVSYYKEMIHKSNSDVEPSILDSRDESTADNWIHRNSSMVRLTGKHPFNAEPPLPRLMHHGFITPVPLHYVRNHGTVPKADWSDWTVEITGLVKRPAKFTMEQLISEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGSAGVSTSLWKGVPLSEILRRCGIYSRRGGALNVCFEGAEDLPGGGGSKYGTSIKKEMAMDPARDIILAYMQNGELLTPDHGFPVRIIVPGFIGGRMVKWLKRIIVTPQESDSYYHYKDNRVLPSLVDAELANAEAWWYKPEYIINELNINSVITTPGHQEILPINAFTTQKPYTLKGYAYSGGGKKVTRVEVTLDGGDTWSVCDLDHQEKPNKYGKFWCWCFWSLDVEVLDLLSAKDVAVRAWDESFNTQPDKLIWNLMGMMNNCWFRIKTNVCKPHKGEIGIVFEHPTRPGNQSGGWMAKERQLEISSESNPILKKSVSSPFMNTSAKMYSMSEVRKHNSVESAWIIVHGHIYDCTRFLKDHPGGSDSILINAGTDCTEEFEAIHSDKAKKLLEDYRIGELITTGYDSSPNVSVHGGSNAVSLLAPIKELAPSKNIALVNPREKVPVTLIEKTSISHDVRRFRFALPSEDQQLGLPVGKHIFLCANINEKLCLRAYTPTSTVDAVGHIDLVVKVYFKDVHPRFPNGGLMSQHLDSLPIGSVLNIKGPLGHIEYQGKGNFMVNGKPKFAKKLAMLAGGTGITPIYQVIQSILSDPEDETEMFVVYANRTEDDILVREELEGWANKHKDRLKVWYVVEIAKEGWNYSTGFITEAVLREHVPEGLEGESLALACGPPPMIQFALQPNLEKMGYNVKEDLLIF >A03g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28429233:28429641:-1 gene:A03g508130.1_BraROA transcript:A03g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIEKTLIEHAEKLRHIKAVLEEKRTFWNGAWRKFLRDIQEGSTEAAEVGW >A04p015260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9522376:9522711:-1 gene:A04p015260.1_BraROA transcript:A04p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERAKGGRLAFKGGDLATIKKKKPKKKKNKDKVDDGADVEKMDGPATSSAADGKDDIYSIDAAKKRKYDELFPVEAKKFGYVPKANFDSVAEALDDRVKKKADRYCK >A09g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13827312:13827731:1 gene:A09g504490.1_BraROA transcript:A09g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSCDGDPKTFLILPPSETFYVKASRLITLIRSPTTSSSMLRFDMICGDLRINITFNMVNTRVFSPIWVDMLMVDVNSAMMQATMIYL >A09g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11078202:11079216:1 gene:A09g503490.1_BraROA transcript:A09g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPAMNRPTLQRWASIRGLHFVKKLRFAFLSSEIEPQELGARKFDFIVLLTIIYCSWISFCSGSWPSFLFECSRYSKLHVVPAYFNPFGHVTCVVPISAFFASSHWIGYTSYLHQLASHTTFFFLKAYISILISI >A05g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10801616:10803594:1 gene:A05g503800.1_BraROA transcript:A05g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKASPLVKVNCHHSGVFKKKGDNLEFVDGELVVLEVDSGCVFTSLMSKLIERRIVIGKMRFKLPYESLEDCKPLWEKVEFNKKKGLKPVNGPKFWEETGGGRILAPPYKRPPGRPKGKARIKGVHESPSKKKVGRQGREGHCGLCGGKGHNSRKCPHEVLAVTFMFSQSQEDRAKRRRLNEEAQLEGEVQAQLQAQEEANDEAQEAAEMEADFMAQLGEDEAHEEAEVQDVSSTAPQPTQVLRRSSRLASLLF >A03p043710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18285927:18286763:-1 gene:A03p043710.1_BraROA transcript:A03p043710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERDPNCKRDARSLEAPSASDSLLKKKKLDDDFVFLAVPSPSVASSDDSSRGGCSVTSAGEDDEKSSIICFSSESNEIVRKSPTVSVDLETHQISDDLSVSGRVSHRNEANLASEEALGETTEMESSSADDRKSSPEVSKSPTPGEIDEFLSELENKDQKRFMDKYNFDVVNDKPLQGRYKWDRVKPVKE >A07p042760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23155240:23155760:-1 gene:A07p042760.1_BraROA transcript:A07p042760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKFPSLLLLSMMVFALIIFPIDSAFPGLYYKCTVDGCTLTPACSVKCKSMGFLRGGECRIYSYGGACCCECTDKSCINIAVSSPCPY >A06p037310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20177038:20181540:1 gene:A06p037310.1_BraROA transcript:A06p037310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease J [Source:Projected from Arabidopsis thaliana (AT5G63420) UniProtKB/Swiss-Prot;Acc:Q84W56] MKPAASLHGYPSSPIYFDARRPVPTPPSKMAAFSALSLSPYSFTFRQSSPVRSTVSCSVTSPPASSGTSSSSSSSNKTPRRRSGRLEGAGKSMEDSVKRKMEQFYEGTDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGIMFPDYDDPGVQKIMPDTGFIRRWKHKIEAVVITHGHEDHIGALPWVIPALDSNTPIFASSFTMELIKKRLKEHGIFVQSRLKTFNTRRRFMAGPFEIEPITVTHSIPDCSGLVLRCADGNILHTGDWKIDEAPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTTSEKVVADALVRNVMAAKGRVITTQFASNIHRLGSIKAAADLTGRKLVFVGMSLRTYLEAAWKDGKAPIDPSSLVKVEDIEAYSPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLTKEDIILYSAKVIPGNESRVMKMMNRLADIGPKIVMGKNEMLHTSGHAYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVSLSPKICGIMVIKNGEMLGVSHLRNRRVLSNGFSSLGRENLQLMYSDGDKAFGTASELCIDERLRISSDGIIVLSMEIMRPGASENTLKGKIRITTRCMWLDKGRLLDALHKAAHAALSSCPVNCPLSHMERTVSEVLRKIVRKYSGKRPEVIAIAMENPMAVRVDEVSARMSGDPNLGSGVAALRKVVEGNNKRNRTKKAPSQEEAGEIIDSSAGLLGEVETASSSYTEGAEDVPVRSSSEESDDFWKSFINPSSPPSPDETKNVDKSTDAETKTEDSESSREEEDDDNTSDSQTKSSTKRVRRNKWKPEEVKKVIRMRGELHSRFQVVKGRMALWEEISSNLSAEGINRSPGQCKSLWASLIQKYEECKADERSKTSWSHYEDMNSILSELDTPAPK >A01p058200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33208282:33211691:-1 gene:A01p058200.1_BraROA transcript:A01p058200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSRIKWIALLVLILSVGSLLVHLSITKSSSVQLASYARGTLWQDFDSLLGSQDFRNKHLWRPVRSLETLQPYANPRTSYPAPSSTNNGYIYAKIFGGFDKIRSSICDLVTISRLLNATLIIPELQESLRSKGISNKFKSFSYLYDEEQFISFLKNDVIVAKTLPESLKAARKRNEFPLFKPKNSASTKFYLEDVLPKLKKAGVIGLVVSDGGCLQSTLPASMPELQRLRCRVAFHALQLRSEIQVLGKKMVDRLRRSGQPFLSYHPGLVRDKLAYHGCAELFQDLHSELIQYRRAQMIKQKFISEELIVDSHLRRDNGLCPLMPEEVGILLKALGYSQKAIIYLAGSEMFGGHRVLIPLRAMFPNLVDRTSLCSTEELSELVGPETPLPENTYEMPPRKSDKQLKEEWNKAGPRPRPLPPPTDRPIYQHEKEGWYGWLTENDTEPIPSPMDLRNQAHRLLWDALDFVVSVEADVFLPGFNNDGSGLPDFSSLVMGQRLYERPSSRTYRLDRKVIQELFNITREDMYHPNRNWTVRVREHLNSSLGESGLIRQSMLSKPRLFLSHPLPECSCRTSPLEESRQIRSDDGRFLYGGEDECPKWIKSAGVEKSKNDDGDQPDYEHDLLTEQSETEEEFAKSKVASAFDQDEEWDPND >A04p012580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3897503:3900345:-1 gene:A04p012580.1_BraROA transcript:A04p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRRLVIVVLSPALVVDKPGDPALRVVPYGYGLVYVVSALVAVRKEKLLGLEDGGRSQTWGQGPGTQRQGPGPEGRDLEDGTWNNLFMEYFSPTVCPLFSGFICTCAQCERICSLVGDDLVNTLRVDITVVREQVGPDETLPLRWGFAGVGRKFDAEARNACIKGDATAHTQLGPDFSFLFLRISGSTNRVEECMGQDPGILRARILARLRIRRMSRVTKTRRPKLRILMLDSTGLACSSRARKGSVASCVVPGLNLEAGWTLVKQPVACMDLGPGTLRLFGAVSSFASSRYSLGSLKDGTRCVRLLNLEFRDASHSTFRRQHPGIERNRGTASESKDVVGTRRSFLELGGCPRPKDCLLEPGG >A09p031580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19086663:19089579:-1 gene:A09p031580.1_BraROA transcript:A09p031580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL5 [Source:Projected from Arabidopsis thaliana (AT4G12570) UniProtKB/Swiss-Prot;Acc:Q9SU29] MTLRRSTAADSPISHRSPLAVAGTDNHKRKLDDYAADYGLDLLQKMKRHEVDADRISPASQQKPLTSGENYRSLYTPGECSSSSSPSSSSSLPESWTRSESTRLQLFVRMMSGGKTIVIHADRNDTVEHLHHRIQLKTQIPVTEQRVIYKGKQLQFEHTLSHYSIEHDSSLHLVGRMQSTEYPVACQTVDEIMSTISRMHRGENLYGGRTNINDKLVKFFARIPAESNRSSAKYLKIFSNSSVPAALVMLFVSPLEINKACGKSSIKLFLNSCVALPVHQQNSCLPVVLEFCRLLRGACPDNKLYASCRNTLGSMLDLVCKSDEFQFRLFTIGEEIYPCVTELADIIVRELVENTGPGLSEVQKFSSFWQPLKRAITAQLPCLFPVAMPLRNTVMEAEIGKLFQIFRRLMTVMDICMTRIESSLGNRGVANTEAMSATWSQYLAVLKIVDSMCELYQGAKEQLASLLNARKVSFSALVLKFAKRGDDDHQWIFDYKEATTFESRRHLAMLLFPDVKEDYDEMHEMLIDRSNLFAESFEYISGATPGSLHSGLFMEFKNEEATGPGVLREWFYLVCQEIFNPRNALFLRSADDFRRFSPNPASKVDPLHLSYFEFTGRVIALALMHKVQVGVLFDHVFFSQLAHPEISLEDIKDTDRVMYNSCKQILEMDPAFFDSNAGLGLTFELETEELGKRETVELLPDGRSIAVNSENREQYVKLLIKQRFAASISQHVERFSKGFSDILSDSVPAFFKRIYLEDFDGMLRGGENPISIDDWKAHTEYNGFKETDRQIDWFWKIMKKMTEEERRSVLFFWTSTKFIPVEGFRGLSSKLYIYRLHEANDRLPTSHTCFYRLCLPKYPTMGLMEQRLRFIAQDHVSSSFGKW >A09p052160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45757885:45775666:1 gene:A09p052160.1_BraROA transcript:A09p052160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEILKSMIRSFFGENPKESGCLSRIVTKKHFQRLAQEVGIEGAIKTLKRFSAVIMATPADSRAVKSLNYSQGTNKFVFKKVGEKMEDTKTEVVNVFKSLEKVKAEPSQGSTFLKDRLVELRELNTAKHFTKFYEEMFPFVQTLPLVISQKEVIFSKLVSGLHMEARLSLGAFLELIDDLSRDLLDSFIPFLPRLVNSLVKLLKKGGQKEPDIVKQIFVSWSNIVMNLQKYLICDIEGVLRDTVELRYYPQESINEMMSRSMALLLRTARDEQREIGIKMILSEVGDPLKKCGGAGLLYHVMIRDASEKSLHSKAGKALSFLLKDSTLSSCDDFPQGSGSTVEAVNAALQRICEATNAEELTVLWDCLFKETKESIKNKNSAHLTRLLTLLTSAVRVGKGLKACDYRYLVGLVSQIVPTFMDSSDVLNRFLGLMLCTIDIPSDVNELESIASQWTPIFSLKSLSCINTMIKESSEEVIPLLLSLCKKQQTSHNKVDIVYGSFESIQVFLEDKIKKIQINIENTGLAQVDEAELAAVWGAVNCFPYFKVDSSLLIRFKNTLKQQLAASAVSGSSAQELMWQSLLGSALRPLLAADGNSKPCPELQANNAEDAFDIFSENLRHPNKDVRLMTLSILCHFEPLSPDPCVEEHPPMKKLKTEVIQKSSSTNVLQILKTVGESRPTLRSKKNMDAVRHLADGRIHDAYVPLVFNGMIGLFHTNNESTEIWEPASKCLADLMMKHTRALWNGFAHYLGQCQLKIEALHIHSGNGNYSVSQKHTGLMESFNAFISPPFNGTPTADVVSLLLKTLQKVPSVAQSHTSDILPLLLKFMGYNSENPLRKGVEGMLEQSLALLKLMKNPRSSRVSQFVNDVVQYRFLDDNDADIQMSVLECLVLSNDYLLPHRHRLENLIKSKKLREELTNWNFSKDIDEAHRSHFVSLVIRIIMPKVRSLKNSASRERTSIRHREAVLGFISQLDVNELSLFFALLMKPLNIISDEATDLFWSSGKSSLDYFQRSKFSKYINVDALSTLSWKMKSGFLHVIQHILEVFDVFHVRPFLDFLMGCVVRLLVNNAPNIDEESNNIDKKIASTNHDQAGTSLKQFKELRSLCLKIIARVLKKYEDCELGSEFWDLFFSAVNPLIKSFKQEGSSSEKPSSLFTCFLSMSKSPNLVTFLCREESLVPDIFSIPTVTTASEAIKSSALSFIKNLLSLEKDMEADDHVNKGFLNPYIDALINNLHSLFRGDILRRKSFKYHGEREIKILKLLSKHIRDESHVMKYLNILLSFLDKRVKYSDIHREALLAIQGITSLLGSESTNKIIDTVSPLLVDAKPDVRLCICNLLESLAKIDFSLDRVAKCVSDMNATSPMEVDDLDYETIMDAYAKIDVDFLNESSEQDMMIILSQSLYNMASKEVMLKDCACNLLCTFIEFSASILSQEASAHSNNGKEVSKSVARWTGDRVLWIMNKFILKHIGDAVNNGISSGKGEIILIRKMVMSLPDSGNLAAFRPLCSENDDLDFFKNVFNIQAHRRAKAINSFAKEIKDSSLPEGVVRKLLVSIFFNMLLEGQERKGQDSKGRVKKGQNSKDENVLDACEEALASLSAHMSWTSYYALLKRCFREMKQHTDKSKRLLDLVCLILDKFHFAEGGEAHEEIRGCLDKTLYPKITKLFDSESDDVNFNAYVAAVKVLKLLPKEIMDSKIDSLVNKLSNFSRDPMESTRENARKALAACLKELGLENYLQLVVKKLGSVLTKGSEVHVLGYTVNHILSKCLPSPTGWKLDHCLEDLLDVVEADILGDVDEQKENKKDSMNKRKKKVETRKRKSLDTLRLIAENVTFRSHALTLLSPVTKQLQGPMTSKLKSTLKDMLKHIAIGLEGNPSVDQGDLLCFIYHRVDDNKSGLRGQISSQPSKKKRKSRRIQDTSGAKSCPHLITVFALDLLHNRLKRIQPNNTNEELVLKLDPFVKLLVGCLSSVYEDVVSSSIKCFTALLRLQLPSFRSEAREVKTKVLAIAQSAVSSSSPLVQSCLKLLTALVSNDNFKLSSDELKMLIQFSMFSDLESDSSVASLSLLKAIVRKKLKVPKIYDIADQVSRLMITHQDGSIRNKCGDILVEFLVNYTPSEKHLEGYFNFLQKNLSYEHSTGREAVLDVLQKLIHKFPDPSPGKQSKPCLGHQSVVDQQSQNLFIELALHLERENDQKLLAKICVVIKLLIGCMTNYKSSLKLSLGWYKQENSRVRGAKVLRLFIEAEKKFPEKKCSILLKEGKTILESAVKLQNTVEEGSIPLWKEAYYSLIMIEKMLTRFPHLCFREDSKDVWTMVFKLLLHRHEWLQTTTCRLLHYYFKKLAESTEAESLLGKPSSLFMVAASLCFQLKLKVDRNRVVNKNNDTTEEDYLRENLVFAVTGLHSMIGQTDDEYWSSLDSDEQAKFMEAFLVFDSGKVRSTFLSLTSSGDLKGLDDVRNVLVGSLLKRMGKLAFDVDSHQMRIVFNVYKEFASNLNQEECRLYAFRILLPLYKVCQGFTGKVITDELEQLAEEVRDGIRDRSLGVQMFVKVYSEIKKSLEVKRQKRRREEKEMAVVNPERNAKRKLKVASKNKANKKRRMMRSKMDRWSRS >A09p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43339894:43341637:1 gene:A09p048800.1_BraROA transcript:A09p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMHLAALRSQAQNLTTRHTNNIFSLLIFRFFLFFDNCIKLLDGSDHVEAVLEETTQKVKEREKLIQDAETQILDLHSASYSFESGLPLVQERISELEEEVKLLWAALRTANFDLHVLEDKARDAERQVKATAFEVKQMTEVVTEQWIQVQHLEQMKEFNNRRNHVPSRCTLLKLMSDIRWEVKNALSQLRSLWAAVTKYHHQLQGFIKHEMERNQITSALANSEVVFFMASALITFPVFGAWLLFSA >A09g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19966047:19967293:1 gene:A09g506750.1_BraROA transcript:A09g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVVHGSVSALRQLRFRKGMTKSSVYTLSGLDVMRSSPKFRLSEAPVSIRFNDGTAFDKLTTTVRTIPTENFGFRPYDQILPDVMGELCAIRSTVTDHFPGAQRVMLTLHLERDTTVCVSMFDSLALAFHSKLDGYRREPRIVIVTGVNPKMVSGKLYLNGTSAKHIYFDSETVIARWWDRPVSIFIKGGSRTITEFLCTAKVIEIQLGEGWCYISCLNCSKKLIREDTSFTYVSCNETNAVAELR >A02p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8236935:8239586:1 gene:A02p018040.1_BraROA transcript:A02p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGGDVLSWPLLKDQEKKSSVKEEVKKQLWLSGPLMGVSLLQYCLQVISVMFVGHLGSLPLSTASLATSFASVTGFSFLMGTASALDTLCGQSYGAKKYGMLGIQMQRAMFVLTLLSVPLSIIWYNTEHFLVFFGQDKSIASLAGSYATFMIPSIFAYGLLQCLNRFLQAQNNVFPVVLCSGITTCLHVLLCWVLVLNSSLGFKGAAVANSISYWLNALLLFSYVKLSPSCSLTWTGFSKEALQDIIPFTRLAIPSALMVCLEMWSYELVVLLSGLLPNPVIETSVLSICVNTSGIVWMIPFGLSGAASTRVSNELGAGNPRVAKLAVHVVICLAIVESIVIGLILIVVRNLWGMAYSSEPEVVNYIASMMPILALGNFIDSIQCVLSGVARGCGLQKIGALVNLGSYYLFGLPSGLLLGFHFHFRGRGLWLGIICAMVVQVICLSLVTIFTNWDEEAEKASKRIQSSSSDVKDALTDNDSTVVF >A04p031100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18411500:18413803:1 gene:A04p031100.1_BraROA transcript:A04p031100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLHILLVHFLCCVFASSFIMTNPLVFGLAACRPDQIQALLQFKNEFESGGCNLSSYFHGVMCDNTTGAVTKLHLPNGCFTGMIKANSILFELRQLRHLNLSHNNFTSSSSLPSRFSNLNKLEVLSLSSNGFQGQVPSSFSNLTKLTQFDLSHNDLTGGFQLVQNLTKLSMLDLSYNHFSGTIPSSLLLTLPLLSHLDLRGNYLTGSIEVPSSPSSRLEHLFLGHNHFDGQILEPISKLTTLKELVLSFINVSYPIDLRDFSSLKSLLNLELSGNILSATTSLISGSDVPPNLYRLMMKGCNINEFPKFLKTLQNLERLDLSDNQIKGKVPEWLWSLPRLSIVSLNNNSFNDFDGSLHKSSVTMLDLSFNNFTGSLPDPPLSIKLFLASRNSFTGNIPLSTCDRSYLDALDLSYNNFSGAIPQCLSKFKILNLRKNNLHGIIPNAFSSSSPLQTLDVGYNRIRGQLPRSLVNCSSLRFLSVDHNNIKDLFPFWLKVLPDLQVLTLSSNTLHGPISPPQGPLGFPELRILDLSDNKFTGSLSPDYFVNWSGSSSNKMYGDGEMYMGDYTNDLYSYFYGLDLQYKGLESLDLSQNKLSGEIPQQLGALSFLAYINVSYNQLKGEIPKGTQISGQPESSFEGNAGLCGIPLQESCFGTSEPPTQWEKQVDDEEEGEVLNWRGVAIGYWPGLLFGLAIGHVIALNKPSG >A05p054220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32186147:32188269:-1 gene:A05p054220.1_BraROA transcript:A05p054220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLFSSLGLLLLLGLLLKFAFADGDLTLISKKHVKREAIEGKVVWITGASRGIGEVLAKQFASLGAKLILSARNEAELVRVKSELKGKYAPEDVKVLPLDLASGEEGLKGVVEQAVSLFPGAGVDYLVHNAAYERPKSNAVDASEENLKTTFEVNVFGTISLTKLVTPHMLKQGGGHFVVISSAAGKVPSPGQAIYAASKHALQGYFHTLRSEFFQKGIKVTVVCPGPIETSNGTGTSTSEDKKSPEKRVSSERCAELTIIAASHNLKEAWISYQPVLLVMYLVQYMPFLGFWLMDKVGGKRVEVAEKKGNTYSWNLLFQKKTKTN >A06g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12476949:12482901:-1 gene:A06g504050.1_BraROA transcript:A06g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVQKQVELKMNCLNELLTKEMDKSKLLENQLADNLKKVRMLTTGTTTLDHLLTIGQCPSSNWGLGFQGATSKSAEETVFVKGSSNEKEIQTTTKYKWEAISVSLLWTLKDILEYVFWQGAFVAVPFCVLHRLQGHDYQSHKFSLSQTEVLSIFCLKNMAVSIARFQHFGSNVFGPLLLCLTGLVSMIRVIFEECMMQLSSSVTSLSLSLSLSLSPAQSISLTSNMQPTRRSSRLMKLKNVEATPMNTFDLSSGSSSRKRSRRRVSAGDTASLPKNVELEVESLSDGESSDDHSDEAPMAADTPPNRSKEQRFEESRNLYQTKAQFYPELMRPTRMPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVIRIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGFLVDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKLVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIR >A06p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7678179:7680281:-1 gene:A06p017140.1_BraROA transcript:A06p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPISSCCSTSSSGEDAAANMTELEAAEALADLAQLAVMREQVFESAASWGSKGKRVRKRVKTESPPADSETLPTPDLAEERAVKEEGVEEVEPISREVTEVPVKTETNGETPKPNLASTLRCNRPSGCGRSRQNLSEAEREERRIRRILANRESARQTIRRRQVQGLLAMLSSFGPVIVKAMCEELSKKAADLAYENENLRREKDWALKEFQSLETINKHLKEQVAKSVKPETKEPEESPKASQVEMSTSSTTPFYFYNQNPYQLFCWPHVTQPSNPMVSPLESQNGFTAPFTATGGASAKAMTSQENGNPGGDDNGQKTHFYVVPCPWFLPAPSDQSNGVPFAFEEPQRVVSSNGHHTDDSSTKPVEVTKTPTGIKEENSGSTETRPLYDLNESAAEVLSEEGDGFPVTTQQQAFSFKREDVSDSPNGVTPPGHRVLMSLPGKKQGSLAAAEARKRRKELTKLKNLHGRQCRMQV >A01p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26121820:26123384:1 gene:A01p046420.1_BraROA transcript:A01p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIVSSHHHCQPSFTHEQRCGIGTLSKVSYSSPCFSGRLAFSHSLCMKSTCKGQTGRRRFSRICSVVDDGMNPDSSDDEEKESPDDKSKREANDMNRANLERMVGSDDSAFNGLDLATLIRQKYGRSYDVQLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYILRLDDVANMLKCWGAVSHIRNSLAKSKERPRIGKAVSIFIDMDSTGGRANEWIYK >A06g509670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28069777:28069977:-1 gene:A06g509670.1_BraROA transcript:A06g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEDVQAQCNEEPSKLESQRSSAVIKTKELQSKKTASSTIKQTAKEKFELKLSNPFSALDGLVSS >A09p029040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:17374454:17375425:-1 gene:A09p029040.1_BraROA transcript:A09p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVPIYLGDEDLTQETRDLLSSLPSEKGWLVSQIYQFEGSWHTQGLVQGILNCQKNFKAKDSDIILATTPKSGTTWLKALLFALIHRDKFPVSSKHPLLATNPHPLVPYLEADYRVSPDVDFSELPSPRLMQTHLQHHSLPVSIKSSSCKIVYCCRDPKDVFVSLWHFGRKLSPEETAEYPIEKVVEAFCQGKFIGGPFWDHVLEYWYESRKNPNKVLFVTYEELKKQTEVEVKRIADFIGCGLTAEEEVSEIVKLCSFESLSKLEVNRQGKLPNGIETNAFFRKGETGGWRDTLSESLADVIDRTTEEKFGGSGLNFFC >A08p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16826878:16830854:1 gene:A08p026420.1_BraROA transcript:A08p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDPGAGRVFIFLITLFLFLSIAVGGGCLIAYTILPYPPVWLSYLGIFFVCLPWSFWILTFAYRIVSRTFGFRMVIGSGGNNNNATGESNARDIDPPEQSLEAQDDDAEAIAHPQGQVEGNQSKKRMSTSSNSTVDSHENSIMMEMLSSFLKTWEILLLEAVVEEVDSLPPRSTLSSFSDLTDVRDAPLLPLRRLDFEGHEILRFTREQLLQLKDAVEVSEAILKLNQEISSDLFGEDQSWSRSESQPAVQVQNRYSETDDNRDWHSRAPIPSPIWSSSCFALTKAEVPWSARRGTLSEKDQVLKTVKGILNKMIPEKYDLLKGQLIDSGITSADILKLPSFPSEEAAGKEVTLLLNNCQEQFEGADKLKEEVRLMTDPTRKRSAWTRREWPSFEH >A09g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6615705:6619452:1 gene:A09g501830.1_BraROA transcript:A09g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATSNLTPSQRYAASALLAIALNQAQISQTKPLGISNTDHGGDNGGSEHGVTSGGDSVPQDEDLWVHEVSGLLRPVFRCLQIDSSAWHGIEEIAASSPAKPHIGALIKLLSDDDGSGDMVEKETALAKAAEAMVQGIQQGSVSVEAKKEKHLEYENECREKYSLPEAQTGAADKETESHREDVAKDSAHNPDNVEDGKPVEEAALLSHERKISVLYELLSACLADKHEDKEECTRRRKGYDARHHVALRLLATWLDIQWIQMEGVETMAACSAMALQKSGDKEEESLSPESEWDKWKRGGIVGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAAASAAGTVTGSVAVAASFGAAGAGLAGAKMARRTGEIEEFEFKAIGENHNQGRLAVEILVAGFVFKEEDFVKPWEGLTSNLERYTVIWESKNIIAVSTAIQDWLTSRVAMELMRQGAMYTVLSSLLAAMAWPATILVAADFIDSKWSIAIDRSDKAGRLLAEALRKGLQGNRPVTLVGFSLGARVVFKCLQALTETEKNAEIVERVVLLGAPISINNENWRDVRKMVAGRFINVYATNDWTLGVAFRASLLAQGLAGIQPVCIPGIEDVDVTDMVEGHSSYLWKTQQILERLELDNSYPVFRNTL >A06p041160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22190919:22191704:1 gene:A06p041160.1_BraROA transcript:A06p041160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHFIRSVLFIFIVYLHLPCTTLSNSEPEDITIDVNQAHKLLHQGHTFLDVRTVEEFEKGHVNSEKVFNVPYWFYTPQGQENNPNFLKHVSSLFNQTDHLVVGCKSGVRSLYATRVLVSSGFKSVRNMDGGYIAWMEKRFPVKVGLKYDEL >A01p035950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16700027:16709286:-1 gene:A01p035950.1_BraROA transcript:A01p035950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPESSLIEKENLDSSATTITLFLTTFVAVAGSFVFGSAIGYSSPVQSDLTNDLNLSVAEYSLFGSILTVGAMIGAAMSGRISDLIGRRATMGFSEMFCSLGWLVIYLSKVTIWLDAGRFLVGYGMGILSFVVPVYIAEITPKDLRGCFTTVHQAKVGRWEEFEIVLQRLRGESADVSNESSEIKEYTRRLSQISEGGIFDLFQEQYSKSLLVGVGLMALQQFGGVNGISFYASSIFVSAGLSSKIGMIAMVIVQIPMTTIGVILMDKSGRRPLILISAAGTFIGCLLVALSFSLQGVRTFSGGASYIALTGVLVYTGSFSLGMGGIPWVIMSEIFPIDIKGSAGSLVTVVSWVGSWIVSFAFNFLMNWSPAGTFYVFATICGVTVIFVAKLVPETKSRTLEEIQFSINYVTL >A02p019160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8860805:8864348:-1 gene:A02p019160.1_BraROA transcript:A02p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLPLIAPQLIATPRSAIGRHALVPSPLCDPKVSTARRHVSLLSSNHRVALFSLWSRTWLFLQTCRRNSFLFINVPASFTKNQLKMNFRGGCCIARYGGSGGGGDMSKVDRIMLRYRPIAPRPDSGGSSSPPLDSVSPKSRRGKRKYSKENSSSSGGSVNSNGNSKRRRNEEAKNGSETVTLPLLPETPERRKEPPRVLVPAAASSWLSFGDDGRYQAAKKSLDLTEGLLTARTETVVSSLLTVECVTEGEYELGCTDEEKKMNLERDTCPGFISDGLGRVVWTNGSYRDLVIGKDKCCSKMSVWLVMKEKPLLTKRTFTCRMRLQYTCRDSLVSSITSPCDGWKMNDGGFAWRLDVNAALFLGRHELQSRDGGRALLKTIIKLLDMNFDDDEVLSLVEKYGTEETKDAIKKISRSKFMNIFSPMQNDNFLKDIFNLNKDTQEYLDSRGLSIALRSLGFVFSEHGSENLAHRLTGSGSAFGFNYRVRLSLRSFCEIACLACIHMNVVREVPVGTVRVGSWDDIVLCLHTVIGAMMVAMVGFLPKPSPSPTVSGSSNNTASPIPPLLPFIYNKNKNLGSIVLMSLLCLYPFYGQNKNLHMAYV >A04g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8404927:8411953:-1 gene:A04g503990.1_BraROA transcript:A04g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRSDRTAAAEKACQKSRAAAAEIEGCQKSGAAAAEGEGCQKSGAGAAETAEVSQKSSDTVVRRILGDDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKKKEKEAELPKKKKEEEAELQRSEECVVTNDEKMSSSSYVSGNTYFHRRHVERGTLKQCWCGEPAELCTSASRANPGRLYYCCRKGYIKRHLFKWADECLVEEVEDMKSVMSDMTKGISDLRLDVGRLEKELSKAEKMKGLMFPVVMDLQRGIARTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKIIECLRMKS >A03p066100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29234479:29236284:-1 gene:A03p066100.1_BraROA transcript:A03p066100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTDKLSEDGENDKLRYGLSSMQGWRATMEDAHAAILDLDDNTSFLGVYDGHGGKVVSKFCAKYLHQQVLSDEAYAAGDVGTSLQKAFFRMDEMMQGQRGWRELAILGDKINKFSGMIEGFIWSPRSGDSANRPDAWAFEEGPHSDFTGPNSGSTACVAVIRHKQLFVANAGDSRCVISRKGQAYNLSRDHKPDLEAERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPTEKQIVTASPDVNTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQMNTETKLSVVCEKVLDRCLAPNTAGGEGCDNMTMILVQFKKPLQSTEPSQAEASHNEPSSSN >A01g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11954212:11961063:1 gene:A01g503930.1_BraROA transcript:A01g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGAATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSELPSSSAQVATPCHGIVIAAPLPQVLPAGSSTTPILVEDKEKAADSIPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQQGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLKRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDLDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGAGEEGDELSSYILPQLLNVLFETCFESSSARCELCGGAEGIECKHRGVVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRNAIILVSDVRESSSCVHVISIGLGGISFARKKPALRHLLRCLAMLKRQTVGTEIHTVDFRLNKETKKTLVSQRTWISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYAKFALKKFPSLCSSPRTPYMLAPRSVYAFTLLPLSRHSIKWRFSIFPVLHNYLQNFRIYPRKLDIYPSSWAKRKPCCGLRAFG >SC295g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000141.1:22155:23396:1 gene:SC295g500040.1_BraROA transcript:SC295g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRKDHKCRLMDRYATQAPDQFNKHKTMLVKKLRRIVGLCNQKSNWKTDETRPRPCKRKNLKLGAKRSTRKFAGKVPGKFTGDNPAIDLNPALDSKNFFKNINFCIFGFSGDFGCLWVILAHVGCLFSTHGRPCVSVSTHRTSVAVRQHTQDVRGRPSAHTGRPAVHQYTYQHAQWTVRVILAHVDCLFSTHRTSVSTSQDVPWLSCVVRVYVVAHRTVRSTHRTF >A03p046280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19497622:19498667:-1 gene:A03p046280.1_BraROA transcript:A03p046280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSPRFAFRVSPRHRNSFKMECRHLENCLKWDYLFQTFKMAFCTKLGILQNRSVPVTSMLGSLRYMSTKLFIGGLSPGTDDDSLKDAFSTFNGVTEARVMTNKVTGRSRGYGFVNFISEDSAKYAISAMDGQELNGFNIRVDVAKEWPSLPLEESTEDEKKGNKMVSRSVWKDPFVDAFLMKKKNAALNRKIWSRRSTILPEYVDSSVRIYNGKTHVRCKITEGKVGHKFGEFAFTRKVTKHPRAK >A05p039850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24366095:24368085:-1 gene:A05p039850.1_BraROA transcript:A05p039850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSQSREDRISDSDTESDSDYYEEEDDDQKQGSSSASGSKPSSDSTSTHEIEQKLNALKLKYPSSSSPTPKIKNAVKLYRHIGGNTPKAKWITAEKLTSYKFVKTSSLEGEDVNDYDDGEESNEKGESFWFLGVGGSKVKARVSSDMQLKMFADQRRVDFVSNGVWALKFLTDEDYRRFVTRFQDYLFENVFMVKASEESKMKVYGKDFIGWANPEAADDSMWEDAEGPPEEEEVEKDRDLTEEFEEVANGGVQSLALGALENSFLVNDSGVQVYRNMDRGIHGKGVCVRFDGGRAGSSSQTTTPNKALLMRAETNMMLMSPAKQGKLNTSGVKQLDIESGKIVTEWKFEKDGTEITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDKRGIVQNIDSPVLEWTQGHQFSRGTNFQCFASTGDGSIVVGSRDGKIRLYSKTSMRMAKTAFPGLGSPVTHVDVSYDGKWILGTTDTYLVLICTLFTDKDGRTKTGFSGRMGNKIPAPRLLKLTPLDSHLAGKDNKFHGAHFSWVTESGKQERHIVATVGKFSVIWDLERVKNSAHECYRNQQGLKSCYCYKILLKDESIVESRFMHDRFSFSGNKSPEAPLVVATPQKVSSISLSGRRL >A02p048190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29977575:29978506:-1 gene:A02p048190.1_BraROA transcript:A02p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSISGAMLSGVGSSLLINGSKRSGGVGGGSMSVGRKNATITPQRNKSWVLAAVKGDGKSKNDPKWLDDASQKAGEFVKDMGSEVGHVTAQKGQEVKDHIESARNYIVEKAGEAMDTVAENAKKASEFVTDKGKETKEETVLITEKAKDFIVEKAGEAKDSATDMRKKTAKYVGDKAAEAKEAIFPPKTEE >A07p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1659270:1660673:1 gene:A07p006490.1_BraROA transcript:A07p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYAEISHFSHPKHNLKYDYTEKPFKCDGCNEVGIGSRYRCSSDHQSCDFDLHAHCALPSATITHPFYKKCKFQFLAKPPGNERRYCNACQKDVSGFVYHCRACGFDLHPCCAMLPMVLDDGETKLFLYRKVSSSCHRCGKKGRSWSYRSSCKKYNLHVACVREMLVENWRELYKGQSGKRIEGKSLALKNTLEHHHRSSSKGKVQKCCEIAGMAVQFVISAVLGDPTALIAGVVGSLISRG >A03p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19191488:19193851:1 gene:A03p045660.1_BraROA transcript:A03p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHYILLLKIKIFSSSTISTNFNISRSRINKTHKTKLNLQKKIRRRRGMNGEESFVEDCSEYVEIDPSGRYGRYDEVLGKGASKTVYKAFDEYEGIEVAWNQVKLRNFTRNPEELEKFFREIHLLKTLNHQNIMKFYTSWVDTNNLAINFVTELFTSGTLRQYRLRHRRVNIKAVKQWCKQILKGLLYLHSCSPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAVRCVGTPEFMAPEVYDEEYNELVDVYAFGMCVLEMVTFDYPYSECTHPAQIYKKVTSGKKPEAFYLVKDPEVREFVEKCLATVTSRLTALELLQDPFLQDDVDEFDMRPIDYYNGYDEFLRQPLIDPPLYHDESQICEIDLFAQDDEEDSDHVDISIKGKRNGSDGIFLRLRISDAEGRIRNIYFPFETETDTAWSVAAEMVSELDITNQDVAKIAEMIDAEIAALVPDWKVNNNVSNSNKEEEKSHHYHHQFECSDDRSCSSVHGRFEEISYQAEGQEQGSGDVVVVVSGEGNNKRIHYADIWGLRDSRSDGGEEEEESSLKPRRKVEGEWWPENEIRRELRWLKARHKRVRDHQTICEKPSSASPPLLYRAISLPVDAVDI >A05g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6806471:6808166:-1 gene:A05g502110.1_BraROA transcript:A05g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLEPSKPIKIVSPFLFASDVSNDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHSSSQSLAQFLKFDLSQKVSASDTSFFFSLFASDVSNDSKSVRLPNFTGVTPTTTTMALQYSIITHQVKTLCTSPHSSSQSLAQFLKFDLSQKSAHCITYSFLFFSLFASDVSNDSKSVRLPNFTGVTPTTTTMALQCSIITHQVKTLCTSPHPSSQSLAQFLKFDLSQKVSASDSF >A02g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22885663:22886101:1 gene:A02g508490.1_BraROA transcript:A02g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YILNVKVTRGLAMTQGEFSHSFFFISTTLFFHKLILRSSSYALLIVLVSVGDWPWSDRRTFNDMVPKPEFNNFQILNIDSSELAKETAFTLLL >A01p003520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1566632:1569387:1 gene:A01p003520.1_BraROA transcript:A01p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSLCSDEHQPLGKDGAQPPQAAQNHRGGATTADNGGIGGGGAGGVAGGGIPSFSEFSFSDLKAATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPDPKQFAEEAWGVGKFRHNRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVGYYIAEALDYCSTEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVTYSFGTVLLDLLSGKHIPPSHALDMIRGKNIILLMDSHLEGKFSTEEATVVVELASQCLQYEPRERPNTKDLVATLAPLQTKSDVPSYVMLGIKKHEEAPSTPQRPLSPLGEACSRMDLTAIHQILVMTHYRDDEGTNELSFQEWTQQMKDMLDARKRGDQAFREKDFKTAIDCYSQFIDVGTMVSPTVFGRRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLNMNTDAADMLNEASQLEEKRQRGGGK >A01p041380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22218493:22219004:-1 gene:A01p041380.1_BraROA transcript:A01p041380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RARLFGPAIFQSSKLKVLFVGVDEKKYPPTLPRTYTLTHSDFTAKLTLAISHSINNSQLQGWANKIYRDEVVAEWKKVKGKISLHVHCRIAVATSS >A07p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1969160:1970140:-1 gene:A07p005770.1_BraROA transcript:A07p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLEQLPVLSVELIVVLFAGRRYTLNRKEEESVVNDHFEQPKDELGMERDVIHEQQEQAYTQVEGGKSKRDCQQQYVARGSLQNQRGHRGARRGYSNARGGRAGDGGYSNGRYESYDNSGGNSYQRSYYNSRGRGCGGGNGYSYNNHRDSNVTVASSLLCLWLFCANEKAKATEILAKELEVLVHLMKYFTKRSLNRQREI >A03p066850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28575918:28576403:1 gene:A03p066850.1_BraROA transcript:A03p066850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQETAASKWEGKQAVQVNGVKVEQAWSVVSDFCNVHEWFPTVDTCHRVEGTDGQTGLVRYCTSNKIKDEETKWAKERLVEIDPVGRCLSYEVLENNVGFGSYVATVKVLPVDGGDESDGKICRIEWSFVSDPVDGWTKENLESYVGFCLQHMADKMEMNL >A08g510500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23954745:23955290:1 gene:A08g510500.1_BraROA transcript:A08g510500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRGKMVPGSDDQFVIVWNKQTLHFESEQGKKLFSTESFLKRLFRSSNQSPETMCKLTVSCMVKGHIFTVTVFDSSTCSLCIVPILFEF >A01p048190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27138644:27141970:-1 gene:A01p048190.1_BraROA transcript:A01p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLRSASSATHRPPVSEPPPPTPPPQPPPEATLERAEEPFPQYPSLEDHLDGSGESNANTDGSGIDRFSDVSEEEGWISIPYKEIPDNWSESVDIQSLKSLDRSFVFPGEQIQILACLSDSKVATEIITPFKVAEVMSRTVNRKASHKQNGDISDGESYESIPLGDPDGHFAAQNGHKDGESILRMEDHKRRTEDLLSRFQESHFFVRIAESGEPLWSKKSSMASEGDEKRTCVSAFVDRGEFDPGVAGGVARSRAKCCALPNGDIVVSLQVYIVDCPREPIIEILQFEKHQERDPLSDSDHEHKDPYGNLLKWLIPLDNNISQQPRSLPPPISSSSGLSSDAHKPAISSASSSQLFSFGHFRSYSMSSLPPNTAPVTGPVKTQSSKPSFDIEDWDSYSAQTLRNGQKRGAEELLSFRGVPLERDRFSVRCGLEGICVPGRRWRRKLEIIQPIEINSFATDCNTDDLLCVQIKNVAPTHTPDIVIYIDAITIVFEEAGKSASPCTVPIACIEAGNEHSLPNLTLRKGEEHSFIVRPAFSVGSNVKPSAARKQLKSSSLSLPSVNFERKGSGLSGDQYAVMVSCRCNYTESRLFFKQRTKWRPRVSRDLMISVASEMSGEPCGPHGRASQLPVQILTLQASNLTPEDLSLTVLAPASFTSPPSVVSLNSTPTSPVSPFLGFSEFTERVQSEKRNTTTRRKVQSLPTMPLETTRTETATNDEMNSASSNNPSDVVPKSGLGCTHLWLQSRVPLGCVPSKSTATIKLELLPLTDGIITLDTLQVHVKEKGRRYIPDQSLKINATSSISSGMF >A04g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12164172:12165487:1 gene:A04g505850.1_BraROA transcript:A04g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVVFPYISSTGNGNIIVDAGFERDDEMNDERVDLIIDMYRKKYDWSKHVWGYQETEQPYAESSEDDGSKEEEAGERSDCEMEEEIETTHVSPAKKRKNQYRDIGAESRKKRLLCQRSTDKYRDLEESMKSYIQGMFKSSFTALGLEVRDLIEDRFTKLEQTILSSQTPVGVPAYTQPHGPAPTYIQTHGHAPAYTHTPAAATTSTHTHAAATTSTQAPTPTPASTHASGPATTSRARASRDKASVPSHTGGPATAAKTRSQTKDPELSDVFGSLFDTLDVNLGTQEDLEKTMGNLTQESHVKGFDPSQDFFNRPFLNDIDDPEVRCKDSDYELVFVPEDKFSKLTEWILKP >A10p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11343620:11344023:-1 gene:A10p007570.1_BraROA transcript:A10p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVCGDYNFGTLSTNAITDIVVVCSCSPIVSATQVVFFYSPLRNRPNQSFKPPTSTVQAVSSVIVLFSHRRIVILKSLESCVLWILS >A09p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10990191:10996036:1 gene:A09p020750.1_BraROA transcript:A09p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDLDRQIEQLMECKPLAEGDVKILCDQAKAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNPPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHNNGLSLISRAHQLVMEGFNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGEKMEQNFLQFDPAPRQVEPDTTRKTPDYFFEEPPSILEMLFDFANFEHAAQHIIVNTAGFKSEATHRSSHFTDQKRFPGVGGVMAETLLSFGVEKLWNLLVRESERFQEVEEQFDGLKSDVEMLRCFLEDADAKKHTSAMVRNTIKEIVLDAEDIVEGFLLKKELGNISVIRKNVRRYSCAILERRRLASDLEDISKRISKSDSQYAESWRTTGLAWVCVSQRFTRKYVWQAILRQLRPEYKMLEMTEDELQEKLVRVLETQNALIVIDDIWRERDWDRIKHVFLPRKGWKVILTSRNEGVALHADPKCVTFKLDYLTCEDSWNLFKRIAFPMKDTTEYKVDEEMEEMGKKMIEHCGGLPLALKVLGGLLAAQYTLREWKRFSDRNISSVFHVLCLSFDELPIYLKHCFLYLAHFPEDYAINVEKLSYYWAVEGISRPRYYDGANIRDVADGYIEELVKRNMVISERDVMTSRFETCQLHDTMREVCLYKAKEENFLQVVQGTSTANSYSPCKSRRLAVHWPDKTFNVEEVANASLRTLLFIMSEEWKATSLFLGRHKLIRVLDLSSVKFERGKLPSSIGNLIHLRYLSLYEAHVTHLPYSMRNLKQLLYLNLYVHTTGETYMPNFLKEMRELTYLYLPREIHKKVKIELDNLVNLETLKNFSTEHGSVSDLQGMTRLRALSIYIRGKGCTIETLSSSLNKIPHLENLIIDNKFYAPTNDAEEGFVLDCVHLRQLKLEIYMPRLPDEKHFPSHLTTISLIACRLTEDPMLILEKLVHLKEVYLGARSFSGRRMVCSRGGFPQLHKLKLWRLDELEEWIVEEDSMPLLHILSIRACGKLKEFHDGLRFITSLEDLSIEYMGEEWKKGLYFPSHLTTICLVHCHLEEDPMPILEKLLQLKEVKLYQSFCGRRMVCSAGGFPQLQELELLGLEKLEEWIVEEGSMPLLHCLIIRKCHKLKELPDGLRFITSLKDLTCYDKGEQWRKRLSEGGEDYYKVQHIPSVTF >A02p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3951956:3954339:-1 gene:A02p009350.1_BraROA transcript:A02p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCASPGSDTKSKASRPKTKSNPFYSEAYTTTNSSGTGFKLSVLKDPTGHDISLMYDLGREVGRGEFGITYLCTDIKTGEKYACKSISKKKLRTAVDIEDVRREVEIMKHMPKHPNIVTLKDAFEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAAVMKTILEVVQICHKNGVMHRDLKPENFLFANKKENSPLKAIDFGLSVFFKPGEGFNEIVGSPYYMAPEVLRRHYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDTAKDLVRRMLEPDPKKRLSAAEVLEHPWIQNAKKAPNVSLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFEMMDSKKTGKINLEELKHGLHKLGQQQIPDTDLQILMEAADVDGDGTLNYGEFVAVSVHLKKMANDEHLHKAFSFFDKNQSNYIEIEELREALNDEVDTSSEEVIAAIMQDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLQLEGET >A10p013420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4793788:4794003:1 gene:A10p013420.1_BraROA transcript:A10p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVIHVMVRSKVMTVLLKSGLSHSREEAVEEMKECRSLTDPWCGSMVMKEAGPSIFQDRLRPISHHKLLE >A09p069000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53880155:53886192:1 gene:A09p069000.1_BraROA transcript:A09p069000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR22 [Source:Projected from Arabidopsis thaliana (AT1G11290) UniProtKB/TrEMBL;Acc:A0A178WFR8] MMSSQLAHVSTITRTQNPPFSSSHHHQFLSQRTYIPAKVYEHPAALLLERCSSLEDLRRVLPLVFKNGLSQEHLFQTKLVSLFCRYGSVVEAARVFDAVDVKLDVLYHTMLKGYAKVPDLDKAVSFFVRMRCDDVEPVVYNFTYLLKACGDEAELGVGKEVHGLLVKSGFSLDLFAMTGLENMYAKCRQVHEARKVFDRMPERDLVSWNTMVSGYSQNGLARMALEMVALMCEENLKPSFITVVSVLPAVSALGLIRIGKEIHGYAMRAGFDSLVNVSTALVDMYAKCGSLNTARRIFDGMLEKNVVSWNSMIDAYVQNENPKEAMVVFQKMLDEGVKPTDVSIMGALHACADLGDLERGRFIHKLSVELDLDRNVSVVNSLISMYCKCKDVDTAASLFGKLRTRTLVSWNAMILGFAQNGRPIEALNYFSQMRAWTVKPDTFTYVSVITALAELSVTHQAKWIHGVVMRNCLDKNVFVATALVDMYAKCGAITTARKVFDMMSERHVTTWNAMIDGYGTHGIGKAALELFEEMRKGNVKPNGVTFLSVISACSHSGLVEAGVKCFHMMKEGYSIEPSMDHYGAMVDLLGRAGLLNEAWDFIAQMPVKPAVNVYGAMLGACQIHKNVSFAEKAAERLFELNPDDGGYHVLLANIYRAASMWEKVGQVRVSMLRQGLRKTPGCSMVEIKNEVHSFFSGSTDHPSSKEIYTFLEKLMCKIKEAGYVPDTKLILGVEDDIKEQLLSSHSEKLAISFGLLNTTAGTTIHVRKNLRVCADCHNATKYISLVTGREIVVRDMQRFHHFKNGVYILALDLSKVFWKRPAISRDTPLSFGQTLSSPGGTYELGFFSPNNSQNQYIGIWFKKITPRVVVWVANREKPITNPVANLTTSGNGSLILLDSRNNVVWSTKEASTSNKCHAKLLDTGNLVVVDDVSGSFLWQSFENLGDTMLPLSSLMYNIATKEKRVLTSWKTDTDPSPGEFVVQLTSQVPAQIVTMKGDRVYKRSGPWSKTVFTGIPKMDGSYASPFSLFQDIESGTGSFSYLQRNSGLTRVIITSEGYLKTFHYNGTGWVLDFVTPENSCDLYGTCGPYGLCVKKSTPTTCECMKGFVPKFKEEWKRGNMSSGCVRHTELSFSQLEAGQPRLLPLCNQTEGGMTYFVYYCGSSKIHKDSWKNGLEQQEISGLTFFDMNTIRAATNNFNVSNKLGQGGFGPVYKGITADKKEIAVKRLSSSSGQGTEEFMNEIKLISKLQHRNLVRLLGCCIDGEEKLLIYEFMVNKSLDSFLFDMTLKLEIDWPKRFNIIQGVARGLLYLHRDSCLKVIHRDMKVSNILLDENMDPKISDFGLARMVQGTQHQDSTRRVVGTIGYMSPEYAWTGMFSEKSDIYAFGVLQLEIISGMKISSFNCGGQGKTLLEYAWETWLETGGVDLLDQAIASSCSPDEVARCVQIGLLCIQQQAVDRPNIAQVVSMITTTTELPTPKQPVFAVQTQDQESTVSVLESVNHMTQTAIHGR >A05p042540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25714848:25720150:-1 gene:A05p042540.1_BraROA transcript:A05p042540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFYFNIKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILAKDLKVFATFNEELYKEITQLLTLENFRENEQLSKYGDTKSARNIMYTELKKLIEANPLFREKLAFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFLDHSCNAANGGARALTPVNLPVAAVARPSNFVPLGVHGGPFQPTPAPAPNPNALAGWMANPNPSSSVPSGVVASASPFPMQQPNQVNALKHPRPPSNSLGLMDYQNAADHDQLMRRLRSAQNEVTYPAHSHPPSASLDDLPRNVVSTMRQGSVVMSMDFHPAHHTLLAVGGSSGEVSLWEVGSREKIVTEPFKIWNMSACSGVLQGTIVKEPSISVTRVAWSPDGNFIGVSFTKHLIHVYAYQGSDLRQHLEIEAHVGRVNDLAFAHPNKQICIVTCGDDKLIKVWDINGKKLYTFEGHEASVYSICPHQKENIQFIFSTALDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGALKRTYVGFRKKSNGVVQFDTTRNRFLAVGEDNQVKFWDMDNTNLLTTIEAEGGLPSLPRLRFNKEGNLLAVSTADNGFKILANADGLRTLRAYEASKASIEMKVSTSAMVSALSPVVGKIEHMDTSSPARPTPIPNGIEAMSRSIEKPRNLESVVDKSKPLELTEIVDPTQCRQVTMPDSKDSVSKVARLLYTNSGVGVLALGANGVQRLWKWSRNEQNPTGKATANLTPQHWQPNSGLLMANDVSENPESAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSSIHIYNVRVDEVKTKLKGHQKHITGLAFSTALNTLVSSGADAQLFFWTADTWEKKKSSAISLPPGKAPVGDARVQFHNDQVHLLVSHETQLAIYDATKMECIHKWVPQEALSSPITSASYSCNSQLVYASFADGNIAVFDADSLRLRCRIAPSAYMPQPTPNSAPIIPQVITTHPQEPNQLAVGLSDGSVKVIEPSEASRRWGVGVAVTADKSGNENGRPSSSSAANNNSSSDHQMQR >A08g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9241941:9242690:1 gene:A08g505500.1_BraROA transcript:A08g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYTNFEHGQRVRNTTTPVAAPLYCVEPPEQTDSPWSHTPSRAGDYTNRNPLTRDTDKAVTGQRRMSSKREAILEEEEASGAGTRAHAPSGRRSVIRSAFWFERESIFLEMHCIFLQNRQIKKIGSTSSVHKLSPKDQRSKDQSENSVQVLYPLNSVQVFGLSTRSIKLCSVHSTDLPQKGKMLVYKKGYERPRKVLCSVHSTDLLQKGKKLVYRKGISETKKCM >A09p041920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23684231:23687146:-1 gene:A09p041920.1_BraROA transcript:A09p041920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVIEDIHDGKTVIVERPSRSHRGRRVSDNGKGEGLIISNVPRSAEAELIAAGWPYWLTSVAGEAIKGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGQIVAMKKVRFANMDPESVRFMAREINILRKLDHPNVMKLQCIVTSKLSGSLHLVFEYMEHDLSGLALRPHVKFTEPQIKCFMKQLLCGLEHCHSRGILHRDIKGSNLLVNNDGVLKIGDFGLASFYNPDSDQPLTSRVVTLWYRAPELLLGSTEYGPAIDLWSVGCILAELFVGKPIMPGRTEVEQMHKIFKLCGSATEEFWETTKFPQATSYRPQHPYKRVLQETFKNLPSSSLALLDKLLSVEPETRCSASSTLMSEFFTTEPLPCHISSLPKYPPSKELDAKKRNEEARRKRAEAVKWRGHESARRGLRDSKITPEFLASGHSNVLINTPLGFKKPSGKRFADTKSMIHPSAAWNKNGGSKSNVGEVRASRSNNVLSTMGDYLSSSSQKENVASRKPTTTYMRKKNIMHCSGPLMPPGGNIEDMMKDHERGIQEAVRKSRLDKSAVKKKKDIPVRACA >A02p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2625069:2628846:-1 gene:A02p006230.1_BraROA transcript:A02p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNDDSAEWNTDDDLYFEIDNFQSSPKSSSPVVTSSDHMFATLVDMGFSDDVIDRAIQENGPNAETSAIIDTISKYSMNCEPSSSKSKTIDHFLAMGFDEEKIIKAIHKHGEENMEEIANALLSSEADTLPIMKEEDNMDWSDSDDEMKYADLWSSDNEKDQDSFDSCNPLSSLVKMGFSVLEASLAIERCGDSVSIADLADFICAAHIAREFDEFYIDPEEQKVDTVAYHLSVLTPLFPDGINVLSLFTGIGGGEVALHRLKIPMKTVVSVEISEVNRNILRDFWEQTNQRGVLIEFEDVQDLTRDKIIELMKRFGGFDLVIGGSPCNNLAGGNRVSRSGLEGEQSSLFFEFCRILEVVRETTMEMRRS >A09p043050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35039937:35043258:1 gene:A09p043050.1_BraROA transcript:A09p043050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYLGNLSAIKLSHYGAFKDLRKEINYLDSAILLYFYISLYLGNLSAIKLSHYGAFIDLRKEINYLDSAILLYFYISLSYDSKIRFLQDDHICFL >A07p036580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19630189:19633013:-1 gene:A07p036580.1_BraROA transcript:A07p036580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSKGTILIYRGKHSTFRLPVTGSSLSYKNRFNNKLPITVTAFQRSSSSLPSSSMAVETMSETSTLLLTSGASGRVRALFSMRELKRLVTIIHALILFILLPFRVVVWRRRTGAVVIRDEKQERKVWSPPQIVVRKRGAGGSESGCSVAPPSVPAAVVDLEVAVRRELAMRRVLEDDGGDGSSVREFSLFPTKRGDTLFTQSWSPVSPNHRGLIVLLHGLNEHSGRYSDFAKQLNANGFKGSHLTGHGGSDGLHAYVPSLDYAVEDLKSFLEKVFTENPGLPCFCIGHSTGGAIILKAMLDPMIESRVSGIVLTSPAVGVQPSHPIFTVLAPIVAFLLPRYQFSAANKKGMPVSRDPQALVTKYSDPLVFTGSIRVKTGYEILRIAAHLQQNLNKVKVPFLVMHGTADTVTDPNASKRLYEEASSSDKSIKLFDGLLHDLLFEPEREIIAGVILDWLNQRV >A09g500350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1440224:1440781:-1 gene:A09g500350.1_BraROA transcript:A09g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKLLTIVFFFFSLLSQLLKSSSQSVNNFTYNGFHLPLTLISIQGKAAVTPNGLLKLTHSTMYMTGHAFYNQPIRFKDSPNSTVSSFSTTFVFAIIPQVKTFSGDGMAFFVAPTSSPPSGNPGEYLGLFTPLNNGNQTNDILAVELDTILNDGCNDTNDNHVGIDINSLKSVKSSPAGRRDGSV >A02p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:369947:372662:-1 gene:A02p000690.1_BraROA transcript:A02p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDENGEGEGHSGGEDKANGVPMDVRNAGLQVPLSQQTQATVCWERFLHVRTIRVLLVENDDCTRYIVTALLRNCSYEVVEVANGVQAWKVLEDLNNHIDIVLTEVVMPYLSGVGLLCKILNHKSRRNIPVIMMSSHDSMGLIFKCLSKGAVDFLVKPIRKNELKILWQHVWRRCQSSSGSGSESGTHQTQKSVKSKNIIKSDNDSGHSGENENGSIGLNASDGSSDGSGAQSSWTKKAVEVDDSPRAVSLWDRADSTCAQVVHSNPEFPNNHLVAAPAEKETQEQDEKIEDVTMGRDLEISIRRNDDPKDEPLTKTTGIVRQENSFEKSSSKWKLKVGKGPLDLNSESPSSKQMHEDGGSGFKALSSHLQDNREPEAPNPHCKTLDTSEAAVKNSEELMDVEHSSKRHRGTKDDGAIVREDRNVLRRSEGSAFSRYNPAANNNKLHDNNCQDITKKTEAACDCHLNMNEGLRNNHHSRVGSNNLEMSSTTGAPKVSSAGSSSVKHSSIQPLLPCDHRNHHHHSSYNPAHIPEQKLPPQRGSSNVYNEVIEGNNNNKVNYSVNGSGSGSGHGSNDPYGSSNGMNAGGGMNTGSANGDGGGSGDGSGSGSGSGSGNVADENKMSQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRKTADATNDNDTKNVEDS >A01p055320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31250833:31252609:1 gene:A01p055320.1_BraROA transcript:A01p055320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFNCFGLLDMCKGNDHLGQKEAEEICTDNVRVFSYNSLRSATDNFHPTNRIGGGGFGVVFRGVLRDGTQVAVKSLSAESKQGTREFLTEINLISNIHHPNLVNLIGCCVEGNNRILVYEYLENNSLSSVLLGSRSKYVPLDWSKRAAICVGTASGLAFLHEEVEPPVVHRDIKASNVLLDRNFSPKIGDFGLAKLFPDNVTHVWKLREEGRLLECVDPDLTKFPQDEVIRFIKVALFCTQAAAQKRPNMKQVVEMLSRKVINLNEAALTEPGVYRGVNKGRNHRGLGLRGGTSQESSSTQGYKGKSSAAPQGSSSASVISYQSITEMAPR >A06p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16441573:16442733:1 gene:A06p028280.1_BraROA transcript:A06p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDQEVSVEVNSMETEEAFPIDENVDVVGEKEHQISKKKNGKFMAAAMGGNAKKRLVQSFVSPRKKAMAKQGSKVGDKGPMPTKKALIKPKPDQDRYLALLSLVMSEIWNKRVGSSSYYIGLVGMIEVYMEGSGNICSETVMIYDKRSRKLYWWGGFLVLSEYCGWDWEAGKYIALWLSRFDLIVHVDVKDVSVPGEIALSLWEVFFSYIHKIISYDCLVETISFIFLMVWGAGGVGTWIVLKR >A03p023020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9708798:9710402:1 gene:A03p023020.1_BraROA transcript:A03p023020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT2G39840) UniProtKB/TrEMBL;Acc:A0A178VUA1] MATTQGQQTAIDAAVLDDIIRRLTEVRLARPGKQVQLSEAEIKQLCTTARDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPGNFFLLRGNHECASINRIYGFYDECKRRFNVRVWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLDHLDEIRSLPRPTMIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSYTFGPDKVSEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKSKFMMSTKI >A10g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9501324:9504848:1 gene:A10g503720.1_BraROA transcript:A10g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPSFLTSHDHLLPCFFALSLMEMNPSSRYSYDPVLRWDPEVEDYFIKAYGPDHFARISKALTRPSSYSCIRVNTVQTTSDAVIEKLTKILNDDSEDGLKLVLQPDGSSSPISKCQIPGLEYVVFVNGSGPHRIEYGSGLDNPPKEVLVSRKCAEAVLRGAQVYVPGVLACTAHVEKGDAVAVCVAVEQPGDEGDWSVNMTRGTTLQGLPSGKNHSSSYPFYCERSGLYIGMGTAMLSRAGMFRVSHGVAVDLNNRVFRLPSFHNVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMNDEGEIVAADRSHNKVLDVQRLSAEMGLTCITTCKLDALKSVCLPSTVSDSTASVNGDNSGSVTSHSELSSNEGISSDASGRSEAEKSCEENASTEQPKGGDKVSQSEIRKSKGMLKNGRGRTQSQGGRAGKSQGFPPNSFDRVLLDAPCSALGLRPRLFAGLETVISLRNHGRYQRKMFDQAVQLVRVGGVLVYSTCTINPSENEAVVRYALDKYKFLSLAPQHPRIGGPGLVGRCEFPDGYVEEWLKPGEEEMVQKFDPSSELDTIGFFIAKFSVGPKD >A03g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2424309:2425064:-1 gene:A03g500820.1_BraROA transcript:A03g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEGFVLMMMIINQNLDFQGLRSVHPSSTRGLTQQHSVRNMLFEYIHGVGTGQSYGKFTMTSSISVVEKVHCDDILLIHRYRWCLGRRIWDPGKLQAFMKQLHHKIKMRRSILGETNGESFKIYISIQYIWYRNMDKSALSLKPYEAFLLYGDVLIVVEIESIYWIQNWKISIVLNWDKINIIQQKEVVFMMRCKFKARRRTDRVDTLSKKKTVEEKHIYKLILLI >A05g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8435839:8436323:1 gene:A05g502690.1_BraROA transcript:A05g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTKQNHEQSTIETIKTASPGAENRCRVEKKQREGNRQSCNGEAIDDPTEQQRLLSPRAYAWRTDTRRYTEAELASEEENTLELSRERYEESKIIENNTFVISFQLDSDKL >A03p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17754665:17755484:-1 gene:A03p042380.1_BraROA transcript:A03p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TENA-E protein [Source:Projected from Arabidopsis thaliana (AT3G16990) UniProtKB/Swiss-Prot;Acc:Q9ASY9] MEKTEKRGMIDTWLDKHRSLYTAATRHDFVVSIRDGSVDLSSFKTWLGQDYLFVREFVPFVANVLIRAGKESGETSDMEVVLGGLASLNDEIEWFKSEGSKWGVDFSTVVAQKANQEYSRFLEALMNSEVEYSVVLTAFWGIEAVYQESFAHCLGDGNKTPAELTGACNRWGNDGFRQYCLSVKNIAERCLENASRDALVEAEDVLVRVLEHEVAFWEMSRG >A02p059700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35594018:35595092:1 gene:A02p059700.1_BraROA transcript:A02p059700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFTTLSGILKDEASQMKLNVVHLCSSENAKTIDLALLKATTHTSHKPPSDKYVNLLQSTVDTRYGPETIAAVVERLRLTTDVCVAAKCLILLHMMSKSENGDKGEGSVRVTNRSLIYNEGGRHLKLNVLNVDSSRFTRELYPWVQWYKQYLDCHFHIAEALGVIPSIKESSEDKRLEIQRVSSYTTDCIFKQIRFLAALFENISARPETTASKSNKIVIKMIELMVQDCFSVMRMIKIRFEELNVREARLDVMVPVLVRLEKCEEALSDFSWQHKYLVEDFWCLVSKLKQG >A03p014740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5823480:5831983:-1 gene:A03p014740.1_BraROA transcript:A03p014740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLMKLLVTIALTFAITTAIITTRTTRTNPKTETFAVKDPFKDLRSPGTVKIKPGRFLAQKDEGGQGPKARNPSATNRCNKDSEICRSTEANPRMACCNNKCMDLLTDKKNCGACNKNCKYTETCCGGQCANLNYNKRHCGECYHRCLPGGYCIYDSGMIGVNYGRIADNLPAPEKVVELLKSQGINRVKLFDTDKTVLTALANSGIKVVVSLPNENLTAAAADQSYTDKWVQENVMKYTPATDIEAIAVGNEVFVDPKNTTKYLVAAMTNVQSSLVKFNLDKSVKISSPIALSALANSYPPSAGSFKPDLIEPVIKPMLDLLRKTSSHLMVNAYPFFAYAANADKIPLDYALFRENAGNVDSGNGLKYNSLFDAQIDAVFAAMAAVGFNDVKLVVTETGWPSAGDENEIGAGSANAAAYNGGLVKRVLTGNGTPLKPNEPLNVYLFALFNENQKTGPTSERNYGLFYPNENKVYDVPFSATVTPVSDSKVKVPVKTPSHVGQTWCVANGKTTKEKLQEALDYACGEGGADCRPIQKGATCYDPESLEAHASYAFNSYYQKNARGVGTCNFGGAAYVVSQPPKYGKCEFPTGH >A03p038230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15957654:15962774:1 gene:A03p038230.1_BraROA transcript:A03p038230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKATKAEKKIAYDAKLCQLIDEFTQILVVAADNVGSTQLQNIRKGLRGDSVVLMGKNTMMKRSVKIHAENTGNTGILNLMPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVQPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKQGDKVGSSEAALLAKLGIRPFSYGLVVQSVYDNGSVFSPEVLDLTEDDLVQKFAAGISMVTSLALAISFPTLAAAPHMFINAYKNALAICIATEYTFPQAEKVKEYLKDPSKFAVAVAAVSADAGGGASAGAAKVEEKKEVVEESDEEDYGGFDMFGSSSIGPCFKAANPPVRISKNTNLTLTRGSQRFPFRVSAVSYKEFAESALEETRKRVALEPSPLQERYSSMTGLDGKTQLQMLAFKSPKIRLLRSMSIENETMQVFDFAGFMEPEYDTPIFCANFFTSANTNIVVLDLNPLHQLTDPTDYQDKYYKNIMSIYHKYDEMFPWGGKLTAESIKFFSPLVMWTRFSSSQEKHNALFSAFLEYYQAWLEMTIQVKEEKEPSQVRANREAQHKYLTWRAQKDPGHGLLRKLFGEEKAKELLRDFLFNGVNEMGTKTFIDYFPEYQTEDGTLQNLREHCLLPQIKCSGQDFLGKNSFLPSSIARFEWCCFGFHIINVGNYLPDIEYQMNSEHSCFEAILTLTIPEM >A06p054250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28468017:28468615:1 gene:A06p054250.1_BraROA transcript:A06p054250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRGISRDSAKKQRETLVTFAFRIGCTYLIDGEYAPILDMSTFWDIQQGCQFNDSQSNNMAKFLSRIGGDSNVARKIQELQNHTHTKDAELDILRFGETTRTFLKSLVFVSYYISVWILIPLQV >A05g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15266959:15283168:1 gene:A05g505500.1_BraROA transcript:A05g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSVTKIGQASMNQNLMSSLRKRALKIAASKSRFELFYLRLYESSLNGVTFKTCLKNPIPCIQSPTTSVVINFNLKIHIRSNDTTSLNTKENQTTIINFSETVLPLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSLQEKESAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFGDFSQGLLDTIGDTVKTTVEERLRVFGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSVFGNADATNADLVSDSPGNEPPFGRGCRGLEKINNLAADLERNEAELKKKQKQEEPELKRKKKQEEAELKKKQKKEEADRLGRYSSAKPQTIPKMGKYLISQPIRLDKAAIKVDKNSGNNASLMAIASTLDKLSRKFDLMDARFKKPLVDQKSIDDMVKVAVEKRLKVMGIGKNPQNKDNLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETPGKDMGPRNNLSNELDKERGMKKTLAKEFGTHAEDEGANVLDFLYVSLAKATKAEDLRRRSTRNRTIKDEDAEDKKKAVQAEAVLKRKEKAAAKRKAAASMKQKQAELTNQEQAELMNEELAELKNQEADNEKRKNITTPRANVKRCKVEDSVEDSEFAVMTDEVLENEILPEAQCHKSKPSLSDQVAMEGSKRSMKRPMEDVYGADAVEGYNKGKVETTEHYRALLRLAKEQRQSESERNDASSKVNSIAARMELLDAIIKAEGKFDLVAELETLTAQHCEAEAELGAVKVIDPDWCKLHEKWMLDD >A10p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9616834:9621599:-1 gene:A10p006230.1_BraROA transcript:A10p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTAINAPISPSPRSPLLCHFLTPLPLRLSNSQSQTRRRYRASFPRCAATPSEQPLVVSNKKELTGLQPIVEKMTPPVRLATSAVVLAASLATGYGLGLRLMGSKNIALGGAAVAGAAGGALVYALNSAVPEVAAIGLHNYVAEFEDPASVTKEDIEKIASRYGVNKGDEAFQAEICDIYCRYVTSVLPAEGQSLKGDEVEKIVKFKSALGIDDPDAASMHMEIGRRIFRQRLETGEREGDAEQRRAFMRLVYVSALVFGDAASFLLPWKRVLKVTDAQVEIAIRENAKQLYAERLKLVGRDINVENLVDLRKAQLSFKLSDELAEELFREHTRTVAIENITSALGVLKNRTRAMKSMSLVVEELEKVLEFNNLLVSLKSHSEAANFARGVGPISLIGGESDFERRMDDLKLLYRAYVTDALSSGRIEENKLVAMSQLRNILGLGTREAEAISVDVTSKAYRKRLANAFSSGDLEAQDSKAKYLQKLCEELHFDAQKASAIHEDIYRQKLQQCVTDGELSDDNVAALLRLRVMLCIPQQTIEAAHAEICGSIFEKVVREAISSGVDGYDAETRKSVRKAAHGLRLSRETAMSIASKAVRRVFTNYIRRARSAENRTESAKELKKMIAFNKLVVTEMVADIKGESSDKEPEEPVQEKKEDGEDEEWGSLESLRKTRPDKELAEKMGKPGQTEITLKEDLPDRDRIDLYKTYLLYCLTGEVTRIPFGAQITTKRDDSEYLLLNQLGGILGLTSKEIVNIHVGLAEQAFRQQAEVILADGQLTKARVEQLDELQKEVGLPQPQAEKVIKNITTTKMANAIETAVNQGRLNIKQIRELKEANVSLDSMIAVSLREKLFKKTVNDIFSSGTGEFDETEVYETIPSDLSIDVEKAKGVVHDLAQSRLSNSLIQAVALLRQRNAKGVVSSLNDLLACDKAVPAEPLAWEVSEELSDLYSIYSKSDPKPAPEKLSRLQYLLGIDDSTATALSEMEDGAFSSAAEEGNFVF >A08p032260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19555561:19557198:1 gene:A08p032260.1_BraROA transcript:A08p032260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMNFLNACLWPHSDSASDSSGGGGRQEGLLWYRDSGHHVFGDFSMAVVQANNLLEDQSQLESGPLSTHGSAGPYGTFLGVYDGHGGPETSRFINDHMFNHLKRFAAEQECMSPEVIKKAFQATEEGFLSIVTNQFQTRPQIATVGSCCLVTVICDGTLYVANAGDSRAVLGQVMKATGDVHATQLSDEHNASIESVRRELQAMHPDHPDIVVLKHNVWRVKGIIQVSRSIGDVYLKRSEFNREPLYAKFRLRAPFSRPLLSAEPAVTVHRLKEQDKFIICASDGLWEHMSNQEAVEMVQNHPRNGIAKRLVKVALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDAKPGELRGPAVSVRGAGVSIPKKT >A01p054890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30834922:30836200:-1 gene:A01p054890.1_BraROA transcript:A01p054890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSSGGGGDGRRRRQQRRSNTLPSPSPPPLPPPSLPPPPPPNRIVFAAATPYPNPNYQYPGYYPPPPPPPGPYDQHHHIHYPPPPPQQHPYHHPYGRYPYNGPMMPPQLPCVEHQKAVTIRNDVNLKKESLKLEPDPDNPTRVLVSFTFDATVSGRITVIFFAKETEQCVLTATKEDTLPPITMDFEKGLGQKFKQPPGSGIDLSLFEDSELFKAAALETDVYPLAVKAEAVSSGEESLSKNAQITQAVYEKEKGEVKIRVVKQILWVNGTRYELQEIYGIGNTVGGDDDGDEDGDDQGKECVICLSEPSDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIQVHGNSGSGNDGEQGGGTAEQE >A03p016730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6673096:6674057:-1 gene:A03p016730.1_BraROA transcript:A03p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LOW PSII ACCUMULATION 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51545) UniProtKB/Swiss-Prot;Acc:F4KDA6] MALQIQSSCSFPARPYHLHHLYASINPRFSIKCQNSQIETETTEDPSQPRKPSSSGVGFGPPAPSSSPAKKGKGKKEVIRRSPVEKPVFISEEGAAKAEELRRNENAFLLAWLGLGGVILVQGLLLAASGFLPEELDKLFVKYVYPVFTPSVGLFVAGTTAYGVLKYLQNEKLKDQN >A06g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26074112:26074622:-1 gene:A06g509120.1_BraROA transcript:A06g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGGWAFNTSAGTCHRPPRFTGAHSLKSLQRNHIHSTPLCLHAGEFHRSNKISSDYKSPQTLDRRAENHYKHEPSLSHLDLITTTQRTSGFKMAVQSFANLHSPAATVDLRKPQPPAKRPATMDLG >A07p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2064115:2065595:-1 gene:A07p005570.1_BraROA transcript:A07p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNRRTHPDCKYSKNPFHECASDCVEKIAQGNGKKNSKKQASKVLSLPGSFGKKKTESHPPSPLNTRQHQNGTANSPRVHQSRPSPIAVKKTTVPESNKSFPSSPADEISVDLNGQHDSFNHRPEKPLKTVPLSPNNMADRYKTVSPRPRGGYEHNGKNETASEISEFNAVSPPRSYVNDDDDDEEGVELDLQSVMSDTCVSVGKYRVSSGVSTILQSIIDKHGDIAENCRLESASMRSRYLECLCSLMQELGSTPVAQLTELKVKEMLAVIKDLESVNIDVGWLRSVLEEFARFQENADAEKERQEESLRSKKQELEIQEADLSKIEEEAREARLRVEEMKAELAELETERSRMEEMGFKVEKFKGRSFLDELL >A04g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:616910:617390:-1 gene:A04g500120.1_BraROA transcript:A04g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNESEKIRQAPLNSSCLPKGEPSYCNYNSGSWWFLSFNDLSLTDFLDKFMEKKPKQNTWHSGSKIQPSKKDGYLNSVLAFTHTIGDCKLKTADSSSPLISDKRFNIVY >A05p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23605496:23608979:1 gene:A05p038250.1_BraROA transcript:A05p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSAMRMVEGDHVKSWQASSRDHPTGIFGSHDMAVEDLRFLMERNRLDSSGSDHTGKIPSRSGSAPPSMEGSFAALRNLLKHQEGSFSRSIENYGSEEEIRSDPGYVAYYLSNINLNPRLPPPLISRENQHLLRHLGGEGNNLSPTASWDDMGVRSSLLASRTALSTHREEPEDEASSGEQLTFASLPGRRKSFADIIQRPHSAGNHPTAEDIHAISSGIASGSTRRVPESDISVVNLLRETDSLSMEAIASEDPFTSELSSQSSTNTQNERSNARVGSREDNNLSAFGASGPSSAASRMRGNQEEPRRMPVQYTPSSYQVQATSPQQMTYPRMGGSGTHDMMQNLPRIATGEVHSSFQSPHGLTSPPMYTSTAAYMTSLSPFYNHNFQSSGMYLPQYGYSGYPPASGVVPQYMSGYPSHEATVPMPYDISSPSSGYNNSFSRGSFSPTGQNTPLVDPFQLQYYQQAQAEAYAPSFDSFGKQDQQAAGFMANQEPHSNPLSPSFGLQSPRHMGNYFAVPPGVRVMQQYPGSPLASPVMPSSPVGGMMSQFGRRSDTRYHHQQGLSRNTGIYPGGWQGNRGGASSSSVDDFKRHSFLDELKSPNARKMELSDITGRVIEFSVDQHGSRFIQQKLEHCSDEEKASVFSEVLPQASKLMTDVFGNYVIQKFIEYGTPAQREELVKQLAGQMVSLSLQMYGCRVIQKALEVIDVDQKTELTRELDGNVLKCVRDQNGNHVIQKCIESMPASRIGFIISAFRGQVATLSTHPYGCRVIQRILEHCSDDEETRCIIDEILESAFALAHDQYGNYVTQHVLERGKPDERRQIIEKLTGNVVQMSQHKYASNVVEKCLEHADSTERELLIEEIMGKSEEDNHLLRMKIHLESLRKYTYGKHIVARFEQLFGEESEASEGGAEG >A04g508080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:20651500:20651760:1 gene:A04g508080.1_BraROA transcript:A04g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPLLPVTNQQAVQSQPPINTPAFHTFFSRLSTSIRDGLSQRRPWAELVDRSSMARPESLTDALSRIRKNLAYFTVNYVAVIQL >A08g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6002333:6003628:-1 gene:A08g503210.1_BraROA transcript:A08g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESSLSPTEDDDDDDEDRKPELGPMIALKEQLEKDKDDESLRRWKEQLIGVVDLEDVGETPDPVVKILHLTVRSPDRDEMVLTIPDDGVPNPKGPWFTIKEGSKYTLVFNFRVTNNIVSGLRYNNTVWKTGVKVDSTKAMLGTFSPQAEPYQHVMPEETTPSGIFARGSYSARTKFIDDDNKCYLEINYTFDIRKNWQ >A04p039290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:22200700:22200924:1 gene:A04p039290.1_BraROA transcript:A04p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTSVQVETTELDLNQDPSSNELETTHSLIEERIRQLEAIVSRIRQRETTTPAPPRASVPRDSTAGINYTREF >A05p005490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2119676:2121220:1 gene:A05p005490.1_BraROA transcript:A05p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSETSEEELFLSNVREMNREAKKLSQPQPPEKKLKREKLPANPLRGLSTRSSGSSSCSNGSGSGSTSHRFLLSHSISSSSSSMGSSIRPVKSIVAKTPKSAPVLSKPLIRKKPKSLEDTKLRPKGAEKPQRCRTNPTFGKRVATGSVLKKQVKLKDKVRVDAIVTPVSKLGTGCDLVSRSNAGRVSKSNSSNQEKTPPVQASVSPEMHCGTSMSLLSASAQSQACYAAGHLLSGVSDKRKCKPKGILTVCENGFEVGKGKILNDSDEFDEGSFGVGGSYDDNNNISMMPASVQWLLSPCDEEKEDEKEKYEEDGFSELQDIVECVGHETPSPLSDLCNISGGRSLSPMRRTSSSLSPNELSRFRRFMQLSSPSEHLGGDKRSPLSTDTLGSENVIQTPESNSSLDSYFRLSSSQAEVGSALESLATTLQSVRLSPQEPSCSSFNFESLATSSHSIDLSQFQRGLVEGDDAPAGKGRELLPCSAAESISTDGGGGGLICSEDSNWMACYNKS >A10p024120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15624331:15626859:1 gene:A10p024120.1_BraROA transcript:A10p024120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGKLVTSRSELNIEDYLPSGSSIEEPRGKLRLLDLIDISPTLTEAAGAIIDDSFTRCFKSNPPEPWNWNIYLFPLWCFGVVVRYCILFPLRCLTLAFGWFIFLSTFIPVHSLLKGQDKLRKNIERVLVEMICSFFVGSWTGVVKYHGPRPSIRPKQVYVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLRDHVQGADNNPLLIFPEGTCVNNNYTVMFKKGAFELGCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCEVWYLEPQTIRPGETAIEFAERVRDMISLRAGLKKVPWDGYLKYSRPSSKHSERKQQSFAESLLARLEEK >A04p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11763100:11764552:-1 gene:A04p019620.1_BraROA transcript:A04p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFSKHRSRSVHGDDVLSSTQPIVAVTSIVETGGACTTLTVWRKSLLVSCEGFTVIDSNGDLIYRVDNYARTRPEELILMDKDGNSVLLMHRTKKITLAGSWGIYEAKDTNGEAKVPKSPIWYMRKSLKMNILSTNSNILAYVYSAPFDKKNSYVIKGSYRCKSCKIMHVPSNRTVVEIKRKEARTKGVRFGSDVFDLVVSPDFDTGLAMALVLLLDQMFSK >A10p031850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18949245:18950520:1 gene:A10p031850.1_BraROA transcript:A10p031850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRRKRYCNTVYLLLFILLVFSSITTSSASCRRRAVKHLSTAPPSSTPLESKITSKVIAISIVSGVLTGLVSALALAFLVRSTVKYLKQTPILKGPVVFSPKITPKSLHAALANGIQLLGSDPNGKYYKMVLDNGLVVAVKRLGSLEGNGGSPEATKSVKRRLQKELELLAGLRDRNLMSLRAYVRESDEFSLVYDYMPNGSLEDVMTKVRAQELELGWEIRLRVAVGIVKGLQYLHFSCEQQILHYNLKPTNVMLDSEFEPRLADCGLAKIIPASQTAVSCYSAPESSQTNRYTDKSDVFSFGMILGVLLTGRDPTLPFSIEGASGGSLGQWLKHLQQTGEVREALDKSILGEEVEEDEMLMALRITIICLSDFPADRPSSDELVHMLTQLHSF >A03g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7914932:7916554:-1 gene:A03g502460.1_BraROA transcript:A03g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIYEVRKIRYAISNSKCVHFKGFRINKLLGSKTVTTELPPENPQRSSILWDSNQTIVPLGHYVATKLGPKLGRYRPSNRPARSLRSDRAFVPLGRYVATELSQARSLRSDRARAKARSLRSDRAIVPLGRYVAIELEPKLGRYVATERSSRSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKTYFALFSISYFYRCHSRVLIA >A09p067850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53361182:53362937:1 gene:A09p067850.1_BraROA transcript:A09p067850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAARVRASLKPLLGGRHSSSLNRNREIVSISRRVVLDRFNDGDETLTGLRVNGSGVRTKLFNWGFHSSSIQCYSSESDVGVKAHPNMDDLFSELGSVKKDRNREAVVELLTKKPELSQKTKGKKKKKKKKEKHEQASDSVSKPKLLTEKPEASESVSKPKLLTEKPEASWKTNGKSKMEAHASSSARNVTDSFSKPTESTSPIPDHESKAQEEKETSSNGSSTSVTPSKHPSSVLVIRIGNLNSETTDSEIHSRCLSIGSFEGLARVSEDSVEVSFRARNMNEANSILKKLNKATVDHTKWTAEIVAEAKEASKEQMGRRISSSFEDMKTQLMMRQILVRDLEMLVHSVVHLENHPMAREGN >A09p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19608989:19613612:1 gene:A09p032280.1_BraROA transcript:A09p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRKPKVVCRKEPYAMGSDKHSARLLNTLKMERVRTILTHTYPYPHEHSRHAIIAVFLGCLFFISSDNMHTLIEKFSVKWWLMYACLLGFFYFFSSPFIGKTIRPNYSNFSRWYIAWILVAALYHLPNFQSMGLDLRMNLSLFLTIYISSIVFLVVFHIIFLALWYVGLVSRVAGRRPEILTILQNCTVLSMACCIFYSHCGNRAILRDKSPGRQYSSLFSFWKREHRNNTWIAQFIRMNELKDQVCSSWFAPVGSASDYPLLSKWFIYGEIACNGSCPDSSSEISPIYSLWATFIGLYIANYVVERSTGWALTHPLSVEKCEKLKKEQMKPNFLDMVPWYSGYVNAKRAFPFSAYHCCYLNGESKTIDQVLTSLIIYFRTSADLFKTVFDLLVSVTVFVGRFDMRMLQAAMTKSCDETKREELLYDHLANNEDFWFDFMADTGDGGNSSYAVAKLLAQPNLEVVVDDEYRPLPRGNVLLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPHWYKNDSIAVDKPELPEGVKELKDYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALQLPEGWWVFGLDLALHGDIDVDQFKFFSELARDKVKEDDAVIIITHEPSWLLDWYWSSDTGKNVRHLICDVLKHRCKLRMAGDLHHYMRHTCAQSDGPAHVQHLLVNGCGGAFLHPTHVFSKFSKFYGSSYVSKAAYPSFHDSSKIALGNILKFRKKNWQFDIIGGIIYFILVFSLFPQCKLAHILRGDSFSGHLESFLGTVWSAFVYVMEQSYVSFTGVLVLLISAIIFVPSKLSRKRRVMIGVLHVAAHLMAALILMLMLELGIEICVQHNLLANSGYHTLYQWYKSVESEHFPDPTGLRVRIEQWTFGLYPACIKYLMSAFDVPEVMAVTRNNICKEGMESLSRSGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHIKKNKDIEVFTLAVDKVPKDWTLDKDWDAEPKQSGVMSHKRKFPSKWCASSAQQDPVAAVKVVDHFVIYRSQNQNGEC >A03g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13770994:13772957:1 gene:A03g503920.1_BraROA transcript:A03g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNPHENLSDQTPSDDFFDQILGLPNFSASSSDGGLGGGGGGGGAPPMMLQLGSGEEGSHMAGLVGGSGPSVFHGQPMQQPAPAAPHQPTSIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDMPLSSSVEDETSEGGRTPQLAWEKWSNDGTERQVAKLMEENVGAAMQLLQSKALCMMPISLAMAIYHSQPPDTSSVVKPETNPPPQ >A02p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13955722:13957982:1 gene:A02p026590.1_BraROA transcript:A02p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSGAVVPPFVAPPLRRPLSRHHLSLTKVPRAGLRRRKQLGVALAAVTASESSPSEGTYDPELRLVFELATDSELYELENILFGPSYFSPLLKSIPNKGGGGGERLMIGEDIEVRDGFIQSLESRFLFLAADARSTLRGWRPSYRNVLLAVRNKLSIPCSSQLPTEDLEAEIFLYLVDNFSSEASGIFPGLWDSSQASEVQGSLEVGLSKWKVELLAALEVGASEVQSMILKGGGMITFAKIYQLLAKKLSGKVILEAANYQIRREMLKKGGQLAAINLESRAALIAAKHGFVGAASRYLGLRTVMQLVGPMMWGTLLADLVIQMLETDYARILRAIYAFAQIRITRTYRLPCK >A06g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14109817:14112529:-1 gene:A06g504530.1_BraROA transcript:A06g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRIAFMDSFRLPSSEFLYNDLLSSSLLHTRTIQAMKSSSKSSVSGDLISKKRNGKGSVSSAEPINRTDQPRDSSAKAVSVDPMSKKPNDKAVAFSDVPIRHSGGTGVSSAKTHEVTFFKDVKFGPQEGELRFRLIHFWEARNAITKVLIGLEMLLIDEQGTVIQGFIPPGRIDTYLPHMIAGSIYRLTNFHGSRSKTVYRVAEPIVTITFSWTSVLSVFEDSSVRFPEDRFRFHGYEEFEAACDLGGDLYDYVGHIKLVGEQALTDGLVLDEVEIASSLRIFIHVQTHDDVMKLYLWDKAATDFCEKFKAHGNTPSVILVTTVNPKRFGGTLTLSSLSSSRVFLDLDVQPTRDYLTWLGSDSDVANRVNPDIVTKAETVTIGELFSYIKHEGSKVAWFECTATIDDVVHDSAWYYISCGACKTKAAKGPTTIMCKKCGKAEVAGVAEYLTKLSVYDNNEHGFFVLLGDAGRVKDDHIVPVPQALIDTIGKTRKFIVLALEAPAPDGNLEENVIVPAVEETLQMGKRVDGPSSEHEESADEAVKRSFDGDESGEAKRAKCG >A05p036850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20898924:20901321:-1 gene:A05p036850.1_BraROA transcript:A05p036850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDERNSKAIDASVGGLVWVRRRNGSWWPGRIMAHHEVPDDTIVSPKSGTPIKLLGRDDAGVDWYNLEKSKRVKAFRCGEYDACIETAKATATGASKKPVKYARREDAIVHALEIESALLVGKDEKPSTSSDSTDVAKTEGALQSSVSCGKTSNGESSKVQPLSGKRRKRTPNDSEVDGSDEGNKRMRGLEDLGVGTIGSNGEANKQENGLVSDEEDISDSMPNGVLSNGTSRGSSPAMKGKRSPAVTAMASVAVSENKDSAVVNNDNLDSNGVSCDNDDVSLNASENVAEVMIHNNAKETEISSISVSAKDEVFDVPLLGEDKYSAGTLAAAFTSSHTKALALVSEPTRQCDDVVKTEGCNGFVCVSPSALLINGIEDITSKWQIKGKRNPRQMSKKQEERRLAYAEEANNNSLPHCSLSDQNPHGHFSGMGRNSQLYDVKIEEKGSYKPRNVPMISLMSKLDGEAIVGHPLTVEVLQDGSCDRILCSHIKSLVVPMVAGDVKPKPSWKNKSKKKKPHIPPHKSSKSKKASSLSVKTRCLSALSGQKLTVSSKKKVMIEKMKEGIVSCIPLKVVFSRINEAVKGSARQVHRALPSSGNNTT >A09p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21095592:21096143:1 gene:A09p034880.1_BraROA transcript:A09p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIASISSPVSSLLLQNKSAELEVTTKNVLVKVLIADAVWSVYGESELPSYGVSTESMVFYLCVGYSTSDLMLRVSTIRGFRIWLSHLTR >A05p025020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12225126:12228156:1 gene:A05p025020.1_BraROA transcript:A05p025020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGGSLFGLSLLLTVLLGAATAAAEYYNYGNALDKTFLFFEAQRSGKLPAAQRVKWRSHSGLADGLAQGVSLEGGYYDAGDHVKFGLPMAFAVTMLSWAAVDNQKELSGSNQMQQTLWSIRWGTDYFIKAHPQPNVLWGQVGDGKSDHYCWERAEDMTTSRTAYKLDQYHPGSDLAGETAAALAAASLAFKPYNSSYSAILLTHAKELFSFADKYRGLYTDSIPNAKAFYMSSGYSDELLWAAAWLHRATRDEYYLKYAVNNAGYMGGTGWGMKEFSWDNKYAGVQVLLSKILLEGKGGAYTSTLKQYQMKADYFACACLKKNGGYNIQTTPGGLMYVREWNNLQYASAAAFLLAIYSDYLSAANAKLNCPDGSVPPQALLDFSRSQADYILGKNRQGMSYLVGYGPKYPIRVHHRGASIPSIFVQRSSVNCVQGFDSWYRRAQADPNVIYGALVGGPDQNDYYSDDRTNYEQSEPTLSGTAPLIGLFAKLSGKLGSYGGGYSKPYQTPKPPVSDSHFLSHSSTASAYKATPTTYTPKQSDAPIEFLHSITANWMAWNTRYYRHKVIIKNNSQKPISGLKLKIEDLTGPIWGLNPTGQKNTYQLPQWQKTLKAGQAYDFVYVQGGPQAKVSVLSYY >A04g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21450620:21452761:-1 gene:A04g508230.1_BraROA transcript:A04g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIKEVELEYEKLEKHCFSCQSLSHDQDHCTARTSSAQASRSINQERTLDRLAERRRGVDRSVRSVARPERPDKHAAIPGPRRPYHENDDRRIRYSKPSSDRDFRNDHRAVSADFRRRPPVSPPRRTETANREVWVPRKDLPSVSVAASDPRGSGRLSVRTARQHSASHSSHTPPPRPQREPIQTPPGTGSARNTSKDRRPALERISPVVSVNVSSERRPAMERISLPPNGEPLPLYEDGATNPAPLHEVEGHYFDEIQGDRPFYDKIGASGSKLPHSDLSPIRSLSEDRLHVSRRLGPVPAEPEVTISLPMGPPLKRSGRIAAKVLGKRKPPAQTAKKRVDSSPVQGTSIKRRRITKVLGSPKRKLGLEGKLSNGRKNRILKAVRRSKKRNKF >A05p025810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13992668:13993687:1 gene:A05p025810.1_BraROA transcript:A05p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKVFFSDLKTGRCSSVVEARLLRFWEARNVKRGGELMWMDLLMVDVNSTVMQVTISAGRLPQFREMLCAGTMFSVSGFDVSRCAQNFRLTDSSLMIRFNESTSFQELTEPGSPLPDEAFRFRTHSELIGLANTNTQLPDIIGEILSVKSTVCDPPEEKNRVMVTLKLDR >A01p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14220512:14221707:1 gene:A01p039580.1_BraROA transcript:A01p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRFYKLEHGDKDSGSDSSCFSSDSDPESEEEEESELSQSQSESEDAVAEGSESSDDEGEDDSPANGDDADVDDDESDGDAYRGRYEKTSMEYGLEEPPEEEEENYILGCMIKCKSVYKCRYCPNTICLNERTMQEHVSSKKHARSEKLMKEEKLRTDDDDDPETPSQVKGNRRSQRKGKRSQKQGKLRTEVDNPETPSQEKQVKGNRKSRRQGMISQKQEKGSSITDGEKAHATPKSRKKMRQTKD >A07g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11120945:11122354:1 gene:A07g505150.1_BraROA transcript:A07g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLSFCLLGFVSAQSGDTDKAKKIREATIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFSSNALRALIYIESLELLQPLQPPLPPFPTPPSTLSAFEGPLSPLLVGSSDSRIPGTLTRMESLWASPFSSLMNWPGIPQGADLLRRRATRQDASSFTILETLMNHKANIRALFQSNGWILSQTTAKPKDGREVECCVLKDGYLEFKR >A02p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:360456:361446:-1 gene:A02p000660.1_BraROA transcript:A02p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVTVENVSGEIPKKIVDLNIAEPDEILDGEVKESTDVSGEKKDESDSKSAGDASPVDDVQKKIRRAERFGVSVKLTEEEKRNSRAERFGTVAAVKDSQGTKKAEDLKRKARADRFGAPAKVDNTEEEAKKKARLARFGKDTKVDSAEDDKRKARALRFSKPASEASSDLPGKLDISKEAAVSGNAA >A03g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12099473:12100048:-1 gene:A03g503590.1_BraROA transcript:A03g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIGAVMLLIFLFFQIFSVSALTNGFDGAALQALKAEWTKPPSSWDGDDPCGTSWVGITCISDRVVSMSRLVLSLGNLNVEGKLSGDIAALSELQILYVFLGFIIQHWIDWTTSTKYW >A06p051340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27012739:27015341:1 gene:A06p051340.1_BraROA transcript:A06p051340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPNEQESSFPKTPGANQGVTSPVQETRFSSPSHVIPDHDGPSHQSRQSSVLRQSLRPIILKFEELTYSITSQTGKRSYWFGSQEPKPNRFVLNGVSGIVKPGELLAMLGPSGSGKTTLVTALAGRLHGKLSGTVSYNEEPFTSAVKRKTGFVTQEDVLYPHLTVMETLTYTALLRLPKELTRKEKIEQTETVVSDLGLNRCSNSVIGGGLVRGISGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAARIVATLRTLARGGRTVVTTIHQPSSRLYRMFDKVLVLSEGSPIYSGDSGRVMEYFGSIGFQPGSSFVNPADFVLDLANGITSDTKQHDQVDINGRLDRLEEQNSVKQSLISSYKNNLYPPLKEEVSRTYPQDQTANGSRAKSLTNRWPTSWWMQFSVLLKRGLKERSHESFSGLRIFMVMSVSILSGLLWWHSRVAHIQDQVGLLFFFSIFWGFFPLFNAIFTFPQERPMLIKERSSGIYRLSSYYIARIVGDLPMELILPTIFVTITYWMGGLKPSLTTFLLTLMIVLYNVLVAQGVGLALGAILMDAKKAATFSSVLMLVFLLAGGYYIQHIPGFIAWLKYISFSHYFYKLVGVQYTWDEVYECGPGLHCSVMDYEGIKNLRIGYMMWDVLTLALMLFLYRVLAYLALRNL >A06g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8282398:8284346:1 gene:A06g502490.1_BraROA transcript:A06g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSSSFTYDGIPLLRRASFRRHQIYRLQVSTSVVIRFVVAVIRFVVVVPELWMLINKRGESVENKIKKLDVELCKYREQIQKTRSGLVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQLLSTPVDMARSYMRARLPWGSLSVNNSEFWSPSSAGTQLLKEGTPFSYNAGNLSSSKFSNRKFAYQHVFLMALSELWLSQILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLPNLINLLFDSNNLNGQIPQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGDSSKPKTGIIAGVGSWMLQLYHLKLWCCSEHQHQNQNEFKKFYKVLIYICCQDLLTDHHHSEREKGSTIVFKQ >A10g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10966964:10971117:1 gene:A10g504470.1_BraROA transcript:A10g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSASDREAIPKKILREGKTRTRYEVMTTESEVDEPASTDQEEAASTEQDEAASTEPEFIVTTPTFPERLFARNCYPAKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGRALFHLPVARCSNSAKLVHSLLSRQLVTMRLYELWFLFADKPLRFSLREFGDITGLKCEPEREKVGNGSESIDATPGRMWKELFETEDEDVTVPDVLRMLEQPSLPEWKRLPLALIALVDGLLVCGHKLLRVTPAYVEMLEYTGSFLQYPWGREAFVSTLSRLTPPQPSDPSKMDKSLSVMRLRLKQQSTACYGFPLTLQLFAFKAIPSLLEKIPEPNKTTSFLQEPEGCDSTNALLNFEDILLVETQREVIVTYLIPDEGGDPKWKKEIIDPRIDNLVRRMWEGHEFNATNFRGGDSSLPPLKAAEKAEGVGVKKKCQKPSRRFGKACDEPGSLTQAPERPIRPRRGICRQAEPGNLSDKEQELKDWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAAEIFESDSKKHKKNNGDGFSDEETMRMHDNHCDGRTPNARFWKKVDSMAGEGPSFSKSAKIPEVDVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFVLASEVYRNTDLFGQGEGGDGSPISGLNLLAEEVEKGTRSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPINSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSLKKAELEGKCIPISSTKKDDIPTKRIPRRSTKIGGVYTPDRRLKKLFQSCKKSKYTPLADLEKAQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPTNWVSTEHISVLIGMLVRRHGRNYLSGRCRFVDYFSIAGIISKFAEFEKALDKLGFNWGGLVSYSFTGKTPRRNDKKGLLVDVDRVYAPMMWGKDHWVGLVINLTCRQVEILDCNILHNESDNEVNKHMAYLLRALPHVLPAFSPPSDSSHPEEDQAFSWVRPDNIYFNERSGDCGPCAVKFLEMHAAGYSYEDMGQIDDKMVDIFRQKYTMDTYEEFIGNVKVQNDG >A01g503380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10739033:10739284:-1 gene:A01g503380.1_BraROA transcript:A01g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVIIGLELHWMGDGPTGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSDCSSGRDF >A09p083280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59972549:59984485:-1 gene:A09p083280.1_BraROA transcript:A09p083280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGGERSSIVDKWAPAMAVVVANTVTGSVNALVKKALDGGVNHMVIGAYRMAISAFILVPFAYILDRKTRPKLTFRLFIDHFFSGLLGASLMQFFFLLGLSYTSATVSCALVSMLPAVTFALALVFRIEKVKNLKTKGGKLKVLGTLICISGALFLTFYKGPQISNPHSHREALHHNNNDQDKTNNWLLGCLYLTIGTTLLSLWMLFQGTLSIKYPCKYSSTCLMSVFAAFQCALLSLYKGRNVKDWVIDDRFVIIVVVYAGVVGQAMSTVATIWGIKKLGAVFASTFTPIGLISATLFDFLILHTPLYLGSVIGSVVAVMGLYVFLWGKNNETETSTTLPPQMDNEEQNTNISNNSSNV >A09g515510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45846670:45849185:1 gene:A09g515510.1_BraROA transcript:A09g515510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTLRCVRWGYEVLSYGRNWKVILEAPLHDKDCVLGNVLAAHYLSSSDHSKANTYVEAARSNLEQSTAYEKAVFEAVSYLISEDGDDDLALEMHTQLLTRFPKDLASLKRAQVLCFYMGQPAPSLSLVQQVLPVNQEESYIHGMLAFPLLELGRMEEAAAASRKGFEINKADAWAHHCVRGSPMSKIEDIYDNHIWKELEKGDAVPPEVYLNAIGLLLRLDVRDALQGSFEDRLKLLAARLTDQENWYMSWHLDILIVWALAKVGETSKARELLEGLKLRLSKMNKKKQEVMKRGIQLGEAVYEYAKGDYKQALERLGSDFNAFDYKIIGASDEQIDVFNEMWCQLLLKTGQSSTVYNYERSDVMQAKGVIKERIKVRDGVPFTWRLLEKSCDMEGNAEAESAGERAKKLGSSYF >A01p039010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14864591:14869985:-1 gene:A01p039010.1_BraROA transcript:A01p039010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHILNQRPVSRRPISRLCRNGFFLLPLVLLVLLGVVLPWLGSPLLYNTSSSPSFPPSLSYWREYSLTQATKFVAKNGSTVIVCTVSYPFLPFLNNWLISVSRQNHQENVLVIAEDYATLYKVNEKWPGHAVLIPPALGSQTAQHFGSKGFFKFTSRRPQHLLDILELGYNVMYNDVDMVWLQDPFQYLEGSHDAYFMDDLTKIKPLNHSHDLPPPNRKGATYICSCMIFLRPTSGAKLLMSKWIEELGSLFWAKPKEGNDQPAFNWALNKTAHEVDVYLLPQTAFPSGGLYFTNETWVKETKGKHAIIHDNYIIGYDEKMKRFRDFGLWLQQQRPISNRPISFLNRNGLFLLLLALLFLLGVYLPLSEAPLFLFPNRTSPSSTPSPSFVVSDWRDYTLAQAAKFVAKNGTVIVCAVSYPFLPFLNNWLISISRQKHHEKVLVIAEDYALLYKVNEKWPGHAVLIPPALDPKAAHHFGSQ >A06p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25846496:25851892:-1 gene:A06p048820.1_BraROA transcript:A06p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLLTQTSSPRRLLSSKTPFFSKPSLSPFSSLPSSPDPPSSASSHVEESGSAAAAGTTISVDRSALFNPPDHSHEPTPDSELVKHLKSVIKFRGGPISVAEYMEEVLTNPRSGYYMNRDVFGAQGDFITSPEVSQMFGEMIGVWTVCLWEQMGKPERVNLIELGPGRGTLMVDLLRGTSKFRNFTESLHIHLVECSPALQKIQHQNLKCTDESSSEKKAISSLAGTPVHWHATLEEVPSGVPTIIIAHEFYDALPVHQFQKTPRGWCEKMVDVGEDSQFRFVLSPQPTPAALYLVKRCTWATPEEKERLEHVEISPKSMDLTQEIAKRVGSDGGGALIIDYGKDVIISDSLQAIREHKFVNILDDPGSADLSAYVDFPSIKHSAEEASENVTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRSGYWRLVGDGEAPFWEGPDEQTPIGMGERYLAMAIVNRNQGTPAPFQSLPCQSLRSEYLLKGRMYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGSYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATSEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF >A01p059320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33735788:33737499:-1 gene:A01p059320.1_BraROA transcript:A01p059320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta(7)-sterol-C5(6)-desaturase 1 [Source:Projected from Arabidopsis thaliana (AT3G02580) UniProtKB/Swiss-Prot;Acc:Q39208] MAVDAAYLMQFVDETSFYNRIVLSHLLPSTLWDPLPHFLQTWLRNYLAGTLLYFISGFLWCFYIYYLKLNVYLPKDAIPTRKAMLLQIYVAMKAMPWYTLLPTVSEYMIESGWTKCYSRVGEVSWILYFVSIATYLVLVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVVALFIVPIHFTTHLGLLFMEAIWTANIHDCIHGNIWPVMGAGYHTIHHTTYKHNYGHYTIWMDWMFGSLRDPLLEEVDNNKDSSNKAEDSFSLKHS >A03p021830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8941219:8941842:-1 gene:A03p021830.1_BraROA transcript:A03p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVHRPSSAVTDGDSVQVPMMIASFQKRFPSLSRDTAAASFHTHEVGPNQCCSAVIQEISAPISAVWSVVRRFDNPQAYKHFLKSCNVIGGDGGNVGSLRQVHVVSGLPAASSTERLDILDDERHVISFSVVGGDHRLSNYRSVTTLHSSPICGTVVVESYVVDVPPGNTKEETCDFVDVIVRCNLQSLAKIAESSAVEGKKKTSM >A05g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2228727:2229165:-1 gene:A05g500550.1_BraROA transcript:A05g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRRILDKRYRQSGTGEILKLGNRTIRFESDCEQLVKLLEDKEDWPAMAPEIDEIQALSAEFTEISTAYIPRSTNVRADSLAKGGRSRVFGSSFVNCFAPSWLAPDAD >A06g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17763921:17764898:-1 gene:A06g506250.1_BraROA transcript:A06g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVRPPDEDVAFLMEVQQIEECYKRNSQSGGMVATSKDQDKSGETLDDGSGANSENCEQEKGNVCGDDLAVDNRENKEGKAEEGDAVKTASDNLPQQIWAAKSACGKKKRSRGGGYISKNNDSSVEITDLARDLGSPSRLDTEVTETEVDNDDDAVVDTPIPQPDAFQGEEVLLKAGDNVSGTPTDVGCAPNQATEAVESGDICLETLPKQYNTHN >A07p037760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20179401:20179760:1 gene:A07p037760.1_BraROA transcript:A07p037760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSIFAFFMIIFVLGVVIQETQGQMCHALGMKSNCNDGACANLCKLKWKGSGSCFSNQHVYSCICNFPCKI >A03p047330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12347737:12348462:-1 gene:A03p047330.1_BraROA transcript:A03p047330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSIVFADLGSKKHETLPPTTHSDLIIVINFYVIPGRKLRCLTLQRRMKIPYRMVLALKRRNPRKFCLACDSTAWRPSSVTTTGMPEMSEVLDSWWNDEERSVSAGRCKNKTLASHNNCNVSIIPARAMLCRRRLQKNR >A03p047070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22578630:22579829:-1 gene:A03p047070.1_BraROA transcript:A03p047070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFELSERSSPKQHRISPRAEKEEVDYMQRAQWLRAALLGANDGLVTVASLMMGVGSIKEDVKAMLLVGFAGLVAGACSMAIGEFVSVCTQRDIETAQMKRAIETETSLSAIDEQDEEEKKERLPNPGQAALASALAFSVGAAMPLLAAVFIENHKVRMAVVAIVATLALLVFGVTGAVLGKTSVFKSSVRVVIGGWMAMVLTFGLTKFIGSEAMQI >A09p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3479232:3483804:1 gene:A09p006570.1_BraROA transcript:A09p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSDGLPFKWGKKRGPCVEDKDVQYFESFTYGGCEYCLYDCVSVGDSPRVFFVGKIIKMWEYTDQRQDPRRVELLWFFKPSELSVYLEGVGDVLANELFLASGSGVGLTNENLLGIDITLLHQEAISGKCRVLCISKDVRNPQPSEEELKSADFLFHRTYDVGTSEILDKIDDEIAGVDVKFIFNGRKARALQKVATDIQGTADSLKPNHPSISGSVMQNARNASDLPGHKQLARKQPTLAEERSNKDSGRLDGELDRLSASGSRRNDCHGRKDQDDEVRKQLAKQKPRLADERCSKDSHCVDDMLQKKRRLDGSPPKIRRKDGRKDTEPIRRDATVGKSRLAEERRSNEFYGLDVMPLKKPRLDGSVAVSDGRRRESQNDGKKDIQGMRKDVMVEKSRLAGERCSKDYYMPQKKLEVNGSAVVSDGRLKMSQKLSHDGRKDPRDNVTRGEVSSKKPSFTDKNQYLRIPRCSEGKETRHVRFAEGTETRHVRFSEGQETRPATEKGLIKKPSPDCKISKHSEEKSLTNADYRRHYRVSEVTQKPNVVSLILLMKPILYVNHAIQLAACEFSLREGIKWFRKLSWEEDLRDAEGKGTLVVLRNLDPSYTSNEVENIVYSALNEQCTARMIGRTSATIPHIGEALVIFETVDAARRVIRRLHEGCLLLPNGRVLVATSAKVNPPAMPSLPFPGHINVQRRGKRSAAVTSHCSQGNNIEFEMGMEWWLHLRIYKQIWKSIHEVTIYHHCFLCDELFLALLRDKLRRRSCSWMSSRNTFSFSIILLCSSVTPEKENTEKNNHEILLVLVGVVSFLEHGAAVFIQPQLTFNDKEVVLANSTTSLSLSASLSLIVSSLFCISLSTMGCAQSRVDNEEAVARCKDRRKVIKEVVTASKEFAAGHFAYAIALKNADAALSDYGHGESDQTLDAALEKGEEEEEDEVVMRMCEIIKQCLEEDPKNRPSMQQVLDNLNAIART >A03p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4239411:4240198:-1 gene:A03p010550.1_BraROA transcript:A03p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIFLQHKASSFLILSIYQIFTLPLDKIQRLSVPLYVHTTHLTAMATLDSPLEALAFQYASVGVLAVVNNVWTWIAVVTAAVSFWRMKVITIGDNGGHAGCSLLEDVTASKAEQESDHQEPQKMAGPVEAAEAPPVNETEVNWEPLMCDDGVTKGTKLTMYYEVDVDHEERCVDGEGELPTVNYGGGFGNSGEWWERWERVVKMRNGDDVWYRYVDLTVINGSVVRLWDDDKRNP >A04p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12956914:12958633:-1 gene:A04p021390.1_BraROA transcript:A04p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATMFKASPVKSDWLKGQSLLLRQPSSVSPFRSHVAPSALTVHAASAYADELVKTAGLVPLVGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEIMLDGEHCIDRTYEVAEKVWSEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVASYTLKLLRNRVPPAFLSGGQSELEATLNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGREENVKAAQDTLLTRAKANSLAQLGKYTGEGESEDAKEGMFVKGYTY >A09p009040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4633521:4634658:-1 gene:A09p009040.1_BraROA transcript:A09p009040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFHLVLFGSSLSTKDIETWLATALSRNLCELFLINEYSNEKPNILPSRLYTCKSLVVLRLRGEIRLDVPRLSRLPSLKTLELILDKYDKGLITSLHSETEGVLPRGLVIDSPSLKYLKLRDRHQWSRPGFSIETMPDLEEAYVNVQYCKTSSSLVMRYTGGFVFNQLEHLKLCVCGGDSSNLLARLLEDSPNDHDDRDYEELISTVRTVRESVLSNLQTFNWSRYFERRPQEMDLAVYILGEKWSLKDCNNLV >A02g511570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30962703:30965773:-1 gene:A02g511570.1_BraROA transcript:A02g511570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKHKVLASGAKQAPFNEDMKAFMTQLFEHNFSGMEQRIQKQMAETFEQMRTELKQSRKEASVEVELGEPSPTKPSTSQAPLRRSTRGDGSETTFDVNYSEADDLGRGIGTQGVEGLSQTSYVPGFDPSQDKKEEDWWTPMTSVRGSVDNPVKKEKTEMNTAPPLSQWEKWCKRKGHGLQLSDSPLPEDASPQASLYYISEESWKGFTEWALKPIHLTIGPTCFNLSVATRVVSAGKWLGNEEMDAVMFIWRVDTTLNRWAPRRVAFMSAMFCLQVDAAYKKFLPNKKAYQLPDFLLGYGRGELPSHGRTDLVWGVNVDRLYFPLFVNGNHSRDCSGENLEIDSLLSSVNSSSTTRDRLAKQRGIPTRCNCGEAVNRFTSKTIQNPGRLFHCCPLGSQKDKTHLFKWTDKSVVEEIENFQDLFDVLLVDNSEFQKSVRAGEAMMTRHESRIQEMEDAMCHCEEKTSECIRELRGIKALFVCCLVMVFLYHIYA >A09p006110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3256746:3259305:-1 gene:A09p006110.1_BraROA transcript:A09p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIFLLGFVVTVLILGTEANGYLEFNITELDHIEELEYGVSKSISSLNPLMVGLTLITGASAKGAVCLDGTLPGYHLHRGHGSGANSWLIQLEGGGWCDNIRNCVYRKKSRRGSSNYMEKQIQFTGILSDKAQENPDFFNWNRVKLRYCDGGSFSGDSQNKAAGLQFRGERIWRAAMDDLKAKGMQNAKQALLSGCSAGGLAVILRCDEFSNLFSRTTKVKCLSDAGLFLDTPDVSGGHTIRNLYNGVVQLQGVRNNLPHMCTDHLNPTSCFFPQNLISEMKTPLFIVNAAYDIWQVQSSLAPPSADPSGYWHECRLNHGRCTPAQILFLQGRNHHLKRFRDQMLRAVSRFLNARKNGLFINSCFAHCQTERQDTWFADDSPVINKKAVATAVGDWYFDRAEVKLIDCPYPCDRSCHNLVFR >A05p032050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18054524:18055769:-1 gene:A05p032050.1_BraROA transcript:A05p032050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMVFQIWIWICRVFKSGRLLGWRTSRRLPGSLLKESSPMSPFHNRYERFGFNQMLLIFHLDMFFRSGFDIHVFQIWIKLWKTYGKSIAKLTSALTRRLPCKSSTARRLTQKSSGRTYLEKKTNFIVSTSEITCLAHKSILQTPRTSNKSDPPRIVSFNGSINHKNFRIKILELRKKECKSIFRCIKRFKLVVHGGWCIDDNDNIVNT >A04p003090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1506326:1512191:-1 gene:A04p003090.1_BraROA transcript:A04p003090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVASPPHHPEELVPSSRVSPKTVDTAVTALLKWRSEKSKTEKPQLLEQDEFIYLIITLKKIPQTNRTKPHRIPLPHPLINTSEDSSSSSSPELCLIIDDRPKSGLTKEDAKKKIESDNVPITKILKLSKLRSDYKAFESKRKLCDSYEMFFADRRVIPLLPRLIGKKFFSSKKLPAAVDLKHRNWKEQVERACGSAMFFVRTGTCSVVKVGKLCMEGGEVVENVMETLSGVVEVLPGKWKYVRSLHLKLAESVALPVYQSVPDLKMKIDGGNGKSVVVVKEEGEKSGVVKGEKKKKGRIHEVRYMDSNVSEVLDDDEIGDVEVSNEVVESGGGKKKKRKKVSEVAEAEKPKKKVTKAKGKVKENELKPKEKTKVTKQESLTTPKRKKSVNLSLSLSTKKEETLERRFEEEGLEDMAGVGPMTQDWEPVVIRKKTPNAAAKRDEKTVNAARRSGAEIESVRKHNAGTNKAASSGTSLNTKRLDDDTENLAHERVPTELKKAIMQARGEKKLTQSQLAQLINEKPQVIQEYESGKAIPNQQILIKLERALGAKLRGKK >A06p057140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29714644:29716883:-1 gene:A06p057140.1_BraROA transcript:A06p057140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WEAK CHLOROPLAST MOVEMENT UNDER BLUE LIGHT-like 3 [Source:Projected from Arabidopsis thaliana (AT5G42880) UniProtKB/Swiss-Prot;Acc:Q9FMN1] MSADDDKDSDDLNIFLNAIGEDEEAPMRKSTNEEDIFFNLDDGEGDLHNPFQDLSDSNQPVFESPEVYVAPRVMISHQDSFSEEEGSRIEDARILPFSPRLRVPASPRAFVYQRSVESPRFGLIDTASPFESVKDAVSKFGGITDWKAHKIQTIERRKMVDEELEKMQEALPEYKRESELAEEAKHDALRELETTKGLIEELKLELEKAQLEEQQAKQDSELAQMRVEEMEKGVANEASVAVKAQLEVAKARQESATCELRSVREEIEMVCNEYEDMLREKDLAAERAEAAAKEAKEIEREMDGLSIELIATKESLESAHTAHLEAEEKRFGAAMGRDQDMYNWEKELKMVEDDIERLNQETRAADDMKTRLDAASALEYDLRAELAAFTESSTRMGNDDNIHAAVDSAKRELEEVKSNIEKAASEVKALKIIAGSLQSELARERQDLAETKERQSAAGGLVRTSDEEAREELMDTSNKFEEAMKEAEDAKLLAAAARDELRMAEELSEQAKTGMSTIENRLTEAKKEMEAARASEKLALAAIKALQETESSQRFEEMSNSPRSIIISVEEYYELSKQAHESEEEANTKLSQIVSQIEVAKEEESRILEKLEEVNREMSVRKGELKAAMGKAEKARDGKLSMEQELRKWRSENGKRRTEEGMEPEKSPTRSSTFAFGEQGTSSNIDNNNVTPETKKKKKKKLSLLPKVLMFLSRKKSHK >A08p041150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23287809:23289791:1 gene:A08p041150.1_BraROA transcript:A08p041150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPWGGIGASWADEAERADEEQAATAGDEQSFPSLKEAATSTKSKKKKKMTLSEFTKGAGSGSVGMTREQMIQLPTGPRQRSEDEMQRGGIGGGFSSYGGGRSGGMSRGGDDSNGSWGGGGGGRRGYGGFDDDQRGSARVSEFPQVSRADEVDDWGKGKKSVAAFDQGRQGRYGGLGGGGGGGTFGGGGGSYAGGGGSGGSYGGGGGGSYGSGGGLSKADEVDNWGAGKSHSSLTKSSTFGSGHRESGPEPDRWARGVSSGGVQEERRRLVLEPRKVVVDDSGVSETQTDVKTSKPSPFGAARPREQVLAEKGLDWKKLDSDIEAKKESSQTSAQSSRPSSSQSNRSESLASNNNVEKPRPKVNPFGDAKPREVLLEEQGKDWRKMDSELEHRSVDRPETEEERLLKEEIEELRKKLEKGAAIAPESKETQQESSDSDNHNLLREKEKDLELLIRELDDKVRFRPRAAERPGSGAGRTGSNYGERPHSRGGSVDESRSVESSMERPRSRGAGGGDDRRNFQGSKERGFFSNRNFDRSSTSRNGW >A06p039070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20976221:20977765:-1 gene:A06p039070.1_BraROA transcript:A06p039070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLDRWIGDAIRSESSDLNRQNQILGGLFLDESLPSSSVSFVSSKSCSVSSCRFVRKSSALKLRRRNGNRGGGGPLFSSVSLSINESNGQEDEEGGEGYNGQHVYKSPPLKENGSGALNTTKHLWAGAFAAMVSRTCIAPLERMKLEYIVRGEQRNLLGLIHRIATTEGVRGFWKGNLVNILRTAPFKSINFYAYDTYRGQLLRLSGNEETTNFERFVAGAAAGVTASLLCLPLDTIRTVMVAPGGEALGGVVGAFRHMIQTEGFFSLYKGLVPSLVSMAPAGAVFYGVYDILKSAYLHTPEGKKRLDHMKQEGEELNAFEQLELGPMRTLLYGAIAGACSEAATYPFEVVRRHLQMQSHARKLSTVATCVKIIEQGGVPALYAGLIPSLLQVLPSAAISYFVYEFMKVVMKVESAQ >A03p047170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22539954:22540946:1 gene:A03p047170.1_BraROA transcript:A03p047170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese-like domain-containing protein 4A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25480) UniProtKB/Swiss-Prot;Acc:Q56XR7] MSSLPTILASSPPRTLRKPSTPQTPNPDQTRITPFNNISPSLHLLSKTNLSITLSQTILCSPVLASTSPFTSISDKSTGKIDLESILITIDNFFNKYPFFVAGCTFIYLVVYPAAIFYLRKYKPITAINAFRKLKSQPDSQLLDIRDEKTLASLASPSLKFLGKSSVQVPYSEEDESGFVKRVKGGFSDPENTVVCVLDNFDGNSMKVAELLVENGFKEAYYIKGGARGKNGWLAIQEELLPPPVHMYTAKNAKSSSNNEASIVGTEN >A07p047280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25405576:25407380:-1 gene:A07p047280.1_BraROA transcript:A07p047280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN3 [Source:Projected from Arabidopsis thaliana (AT1G74390) UniProtKB/Swiss-Prot;Acc:Q9CA74] MASTLGGGNEGRSEIAFFDLETAVPTEPGKPFAILEFGAILVCPRKLVELHSYSTLVRPTDLSLISTLSKRRSGITREGVLSAPTFVEIADQVYNILHGRIWAGHNIKRFDCVRIRDAFAEIGHSPPEPKAVIDSLSLLSQKFGKRAGDMKMASIAKYFELGDQAHRSLEDVRMNLEVVKHCATVLFLESSVPDILTEMSWFSPRRSPRTKSNEKSLPNGVKESSASSSSSSKTDQSLSSVDATDKETHPIVSLLTECSEDDTCSGIDPSDITTLISKLHIGTPLQTDAAETVTREQDESTPSPNPDAKEESFLRVDEVSVSSIRGSLVPFKRGGSLRMKLFHDDEPLQLYRDSLKVRFGISRKYLDHTGLPRLNIVVDLPPDLCKILEEANDVARNLSVESGTSSGWRLTVMRKKGFANYPTARLQISSESNGDDPTEVYQREESSETVQKLDFSSNEFEELESALLPGTLIDAYFSLEPYDYQKMAGIRLAARKLVIHMNK >A06p013590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6143970:6146653:1 gene:A06p013590.1_BraROA transcript:A06p013590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor At1g16060 [Source:Projected from Arabidopsis thaliana (AT1G16060) UniProtKB/Swiss-Prot;Acc:Q94AN4] MHISVQDSPVMKEISRRSKKTSVEDKTTDESSASSVVVKTKRKRQSQPRDAPPQRSSMYRGVTRHRWTGRYEAHLWDKNSWNETQSKKGRQGAYDEEDAAARAYDLAALKYWGRDTVLNFPLCNYEEDLKEMDSQSKEEYIGSLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDVAAIEYRGLNAVTNFDINRYLKLPAPESPIDAANIPHSDPSPFINPDHESAPSQSQSSSDENDDRKTKFLKSSPCSNAEEVVGPSTPPEIAPSRRSFPDDIQTYFGCQDSGKVEDDDVIFGGLDSFLTPDFYSELNDC >A09p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5534454:5536146:-1 gene:A09p010940.1_BraROA transcript:A09p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQSATTFLQSAKISTAPSRGSAHLRSTQTVGKSFGLETSSARLTCSFQSDFKDFAGKCSDAVKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYAGKKFCFEPTSFTVKAESVSKNAPPDFQNTKLMTRLTYTLDEIEGPFEVSSDGSVNFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLDASGKPDSFTGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNTAASVGEITLKVTKSKPETGEVIGVFESLQPSDTDLGAKVPKDVKIQGVWYGQLE >A07p040280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21399852:21400568:-1 gene:A07p040280.1_BraROA transcript:A07p040280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAVGDVVPEGTISFFDENDQLQSASVHTLAAGKKVILFGVPGAFTPTCSMQHVPGFIEKAEELKSKGVDEIICFSVNDPFVMKAWGKTYPENKHVKFVADGSGEYTQLLGLELDLKDKGLGVRSRRFALLLDNLKVTVANVESGGEFTVSSADDILKAL >A03p063410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27515619:27516926:1 gene:A03p063410.1_BraROA transcript:A03p063410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPRYGAGIDNELALEGGGDYTREIGYLEFAKYTNGSDNLLNRIWYQPEEIFPVTGTPEVRVDEYFLDIASKLENTKLPQCVNTTCLPRPPKVTIVDKGVSASVFVDNAAYRTFLRSKFNATAVEMESAAVALISNEQNIPFIVRALSDLAGGGSEISNEADIFGSLAAVNSVDVLVKFVGLLPQYRGSKVQSE >A07p016120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9935045:9936709:-1 gene:A07p016120.1_BraROA transcript:A07p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRFYIICYSLYAEPFPWKLSFQRQMPQKQEDQYEFVSNSGKRSIKRQVSFGSGSNRKVKRFVAGMRNGAVIELNQQVPGRGKMTVRKRSERNDEDSYCLVRRTSDIVRPRSKEVEEEQTYEGLSSSMCLSWIHKLLFSFSTIIYWDLLVDTRDHKIVDSVSVLSCKVTKGDLASFSSLDSRDGFGNKDAISVASDLKVFLNWPIAVGKLYIEEVRSVPSDDEEREMDEWASETPNRVMTPIQVDDESDNSAVGVESEDDGDGQFVDYGQRNK >A07p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3717877:3718622:-1 gene:A07p002630.1_BraROA transcript:A07p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGVTPLFYSSPQSLSASPVLPHSRRTPSWARRHISDDGYFSDSVLGRTSSAFKKKGQRIFVFIVGGATISEVLACHKLTEKLDREVILGSSSFLNPHTFLTKMKQMNEEEEISLDDTYI >A06p053950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28347056:28348138:-1 gene:A06p053950.1_BraROA transcript:A06p053950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSDKKKRVSAVRDFPYGCGTRSIVNGRKVVQAASETRHEPSGVAYHHRPACPVNEAESIDEIMKKAGFNVPARSVVDRGRSVSSHSKSCGSKVKLLSSEEAFRLSASQSRRRQLSHSHSSTSSERKLQRTSPENGNATALRRPVTHKPSQRLTPREQVQKVLRMFKLVFKALNKDKPGRHYEARAILMNHEMHVNDQKMIGRVPGIEIGDKFQYKSELNLIGLHFDIRGGIDYITRKGGLKLATSIISSEGNGYTDRFNSDVMIYSGQGGNLTSKDQTVVKDQKLETGNLALANSVEAKNRVRVIRGVKDSRGKCYVYDGLYLVQEYWREKGRGGSVVFRFKLCRVPGQDSTNLRYH >A03p048860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20880403:20881314:-1 gene:A03p048860.1_BraROA transcript:A03p048860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPATLGNVTTTIMLLMVMVDVLVGASDNNVVYSPCSDTEISKGDGFTVGVAISSKEAFFLDQIQLSPCDSRLGLAAKMAQLALFRPKVDEISLLSIDTSKFNPSEAGGHMVGFAGSKYAARSYPAKVADGSHTITSFTLVMEFQRGVLQNLFWNNFGCDSCKGGSSSVCLNGTDCAVPTAKCKANGGEANCNIGIQVAFSGTDKNLESLNSWYEVRNLRQYSLTDLYANAVNSLTGGLL >A03p057300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24853781:24858247:1 gene:A03p057300.1_BraROA transcript:A03p057300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATCES1 [Source:Projected from Arabidopsis thaliana (AT4G22330) UniProtKB/TrEMBL;Acc:A0A178UXI2] MADGISSFWGPVTSTIECCEKNYAYSSYIAEFYNTISNVPGILLALIGLVNALRQRFEKRFSVLHISNMILAIGSMLYHATLQHVQQQSDETPMVWEILLYMYILYSPDWHYRSTMPTFLFLYGAAFAVAHAFLRFGIGFKVHYVILCLLCIPRMYKYYIHTEDTAAKRIAKWYVATILVGSVCWFCDRVFCKRISQWPVNPQGHALWHVFMSFNSYCANTFLMFCRAQQRGWNPKRETER >A03g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24926835:24931361:-1 gene:A03g507100.1_BraROA transcript:A03g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTKQEGLNHQANFYGFYTQEGVQTNWNWAKIFTEQEVMNFKTQRVLSPFICEYPTLEGDLSSTKERPEANPVIQFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSSQLEANRFNQLQTRNWRPGDHFNQSRGIPEVLSCTRTQEISRFNGESLKSNRNYLWKDWTIFRFDQFQAIPIQPGEPDDIQNKPRHPGDIIHEPEEFYNFIPCTSSHRNKKIPTITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKDQILYLELKSHKRLQRLVFECFQEAMVGKTHGQSQMAKQNQQLTALQEINDRIVQLRKRNKARVQRPRQGERRFGDAPEADIKTGPEVEKDTITTSLLESKVVHDLSLRDKEILNPKKEEPSSQCNVTGLKEQEFKRKESPGVTLVIDQKMAQDTKLSMLLKEAKPVIKVSHQGKFLTPPLDTSTDVCVLGTGRTNESYKLIVVPKKEPDPKLSHEPTSKNVATKNLKDHQLQRIRNDHVQSRGEGLNHQANFYGFYTQEGVQTNWNWAKIFTEQEVMNFKTQRFLNPFICEYPTLEGDLSSTKERPEANPVIQFKSILSAFQKAKDQEKWTRKSEDMFNFPEPVKPVLHSSQLEANRFNQLQTRNWRPGDHFNQSRGIPEVLSCTRTQEISRFNGESLKSNRNYLWKDWTIFRFDPFQAIPIQPGEPDDIQNKPRHPGDIIHEPEEFYNFIPCTSSHRNKKIPTITKLPYLESLAFKLQQLFFLPRQGRDKYLSSIQEGPKKALLSP >A05p001140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:94023:96020:-1 gene:A05p001140.1_BraROA transcript:A05p001140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGRTPPTAMNGSHAPPQWTPGDADTGLLLQAETSMWRLGLGSETYPQRAGAPDCAYYMRTGVCGYGSRCRFNHPPDRASVEATVRATGQYPERIGALPCQFYLKTGTCKFGASCKFNHPRNAAAGGSMTHVPLNIYGYPVRQGENECSYFLKTGQCKFGITCKFHHPHPASASAAATLPPPASAPQFYSSVQHHSLMPGPPSSSLRPLLPGSYMQGAYGPMLLTTPGVLPIQAWNPYSAPVSPALSPGAASLYGVTQLSSTTPGVYPSGVVTLKEESFPERPGEPECQYYLKTGDCKFGTSCKFHHPRDRVPPRPNCDLSSIGLPLRPGVQRCSFYVQNGFCKFGSTCKFDHPVGTIRYNPSASSLADAPVAPYPVTSLLASSSSSSAELIPGDPYLGASSRSTSNNISAGLIFSQSGVSLPPFTDLQLSSQTSLSLTGSRITRQGREIRRSF >A04p035940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20708280:20709245:-1 gene:A04p035940.1_BraROA transcript:A04p035940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPLMENTPASELTPTRLGFPTEFPYEFDSPAFTSPGDSTDESSDDEEDFLAGLSRRLAPSTQRLPPPSSEVKRNVAATSPQSTLSGLGSYSTSGSRSPVLPPPSHTTSFRRDDVLDVISAAAGEVARLKLGSHEPHHLPRQNAAFQTDRYIQQQRLLLHQMWLSSQSRIKNSENLRHMKHNALVANAALMRQHAGTPLKRPSTGTGVFLPRRYPATTTPSEPIKKPVPVVNTAAMLQSKVNFDEFINVKSRQSQFDYECMLARSRLLARQGNYRAAGCLNQERRLPQDWMY >A08g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8466245:8466524:1 gene:A08g505130.1_BraROA transcript:A08g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKDKTSSSRAQHLSAGLTALISHISSGVKRRNLSGSGILDKPNSLVDRTPFSSHQLPLELFRKRIPA >A08p035990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21210315:21212134:1 gene:A08p035990.1_BraROA transcript:A08p035990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTTIKQSCISHTHRAQRSYNMGTLDLPHASSFKGGSETFLRDVLESILKTYLRKNPMAKTVWELVQSVDNEKISYDHFFFRTFKIDGYGIESLSSFFMDYGYKIGGRLEFPKNKVQLVWLSPPDIHVSGDGHGLGNGPLPRLVIAELLVDELSLESQEIIRKYLKPEGGKQAILSSTLGSLIWEKPTSAEFNQLVKESEYAAWALIHGYTLNHLAVAVHRLKHRFSDINYVQEYFQENGFKLNKVGGDILNESEDGLLLQVTLASEKVAIEFADGVTEPITASYIEFVQRLVLPQFKDVPIDEIKEFHRREGFEQANANRIMQSTLSQHKNETN >A10p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16258234:16262340:-1 gene:A10p025320.1_BraROA transcript:A10p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQKFKRWVGKYEVGKTIVQGTFAKVRYAKDIETGESFALKILDKDKLLKTNMSEQIKIEICTMMLINHPNVVQLYEVLASKTKIYIVLEFISGGRLFDKIQCIKFKLVKKNDGRMEEEEARRYFQQLINAVHYCHSRGLYHRDLKPENLLLDAHGNLKVSGFGFSALSQQVMGDALLHTACGPPNYAAPEVLNGHGYDQATADLWSCGVILFVLLAGYSPFEDSDLKTLNKMISSAEFSCPSWLSSGAKNLIVRILDPNPMTRITIPEILDDAWFKKDYKPAVFEEKKEANLSDVEAVFKDSEAVTVQLRSYPCVICSSGTTCGDVRSRTKLMNGLIERGRPQEAHSIFNTLIEEGHKPSIITYTTLVTALTRQKHFHSLLSLISKVEKNGLKPDTILFNAIINASSESGNLDQAMKIFEKMKESGCKPTASTFNTLIKGYGKIGKLEESSRLLEMMLKDEMLQPNDRTCNILVQAWCNQRRIEEAWSIVYKMQSYGVKPDVVTFNTLARAYARIGSTCTAEDMIIPRMLHSKVKPNVRTCGTIMNGYCEEGKMEEALRFFYRMKELGVHPNLFVFNSLVKGFLASNDMDGVGEVVNLMEEFGVRPDVVTFSTLMNAWSSVGDMKRCEEIYGDMLEGGIDPDIHAFSILAKGYTRAREPEKAEQVLNQMKKFGVRPNVVIYTTIISGWCSAGEMKKAMQVYNKMCGTVGLSPNLTTYETLMWGFGEAKQPWKAEELLKDMEKKNVVPTRKTMQLIADGWKAIGVSNNSDGANTLGSSYSASSKLSIPNHIVSTRSPVFLKGMPEKPKLCIKSQFGLRRNVLVVLCRDQIREAGRFANPCRVVWL >A02p017790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8065880:8067109:-1 gene:A02p017790.1_BraROA transcript:A02p017790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 27 [Source:Projected from Arabidopsis thaliana (AT5G52830) UniProtKB/Swiss-Prot;Acc:Q9FLX8] MSEDWDLFAVVRSCSSSVSTATINTNSRGGDEGRANCKQHDLPPLFQHIHGDISRESSSCNELQDSCKPFLPVTTTWSSPLPPPPVVSSAPNILMKQEQGLIESQDQKPPLGTRVFPPPTTISSSSSVFVFRGQRDHLLQQQPQAPLRSRKRKNQQKRTICHVTQENLSSDMWAWRKYGQKPIKGSPYPRNYYRCSSSKGCLARKQVERSNLDPNIFIVTYTGEHTHPRPTHRNSLAGSTRNKSQPVNPPPKPDHPSGQTVSGVKEEIHLSPTTPLKENDDVQGTNGEEVVNMEEDEELDEEEDDDDDVDDLLIPNFSVRDRDDLFFAGNFPSWSAGSAGDGGG >A09p024020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13283875:13288905:1 gene:A09p024020.1_BraROA transcript:A09p024020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRRRKTAAADQGLWKYNVSETVHLFHGVLQYASLSCLLQYGSEQPRNVFCDVMSLILILGIWRTPLHLKTLESSSAVDVLEVEPEETVKEVKKKQCMLWAMLEMRNLTLKHYKRFTEDKRVEREFQRSELPISPRDSEAAMIKKIATDISNMLNSFTPLSDFDGLVGMGAHLEKMEPLLGLGSDEVRMIGIWGPPGIGKTTIARVAYNQLSEGFQLSIFMDDIKGSKNVIGMKLDYYKIEKELDISDKAFEGMSNLQFLKVYGYSDTLQLTRGLNYLPRKLRLLEWSHFPMRYFPSTLNLEFLVELTIRHSKLEKLWEGIKPLRSLKWMNLSYSVNLKELPDLSTATSLKKLNLNACSSLTKLPPPIGYTNNLEVLNLRKCSSLIKLPSLAGDATSLEKLYIGGCSSLVEFPSVIGNALNLRKLDLSSFPNLLELPSYVGNATNLEKLYLSNCLDLVELPLSLGNLQKLQKLILKGCNKLEFLPSNINLESLEKLDLSGCSSLDLGGFSIIGNVINLQTLDISRLPQLLELPSFIRIPDLENNLYKHSTLKNENQMLRQYSEEHNMKQERRR >A04p037000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21172701:21173795:-1 gene:A04p037000.1_BraROA transcript:A04p037000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKLPAILAASASSIFIILLLIFTIFLCRRRHAIQNHPRRDQSHHQRSTPNSSTALNPAVSSESTSFDPSIRERSMSELSLATNNFSSDLIVGDGSFGLVYRAKLSDGLVVAVKKLDRDALQGLREFTAEMETLGSLRHPNVVRILGYCISGPDRVLIYEFLEKGSLDDWLHEKTVNASYALPWATRLSIAYDVAKGLAYLHGLPKPIIHRDIKSSNVLLDSDFTAQIADFGLARSIDASRSHVSTQVAGTMGYMPPEYWEGNTAATVKTDVYSFGVLMLEMATQKRPNWTVVVDGKEVGLAQWAVMLEGKNRYNEMVESSFVGEKGVDEYFGIASLCIKESSKERPTMSQVVKLLEEVLVSE >A10p001070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:552971:554767:1 gene:A10p001070.1_BraROA transcript:A10p001070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVSGQWSSKIGPVTPCSRSVTWFEFRYLGEWSDSQIIVIVLNLKCTGSGYKSCEVLLHTHSPESFRITVNCSCDREQDHEDTMMGSHPGDRVTACSVRCSILEYLMEMMVIFISPLGLSLSGRTNYRVLLFRVEKLRVISDRSSKVIGSILRTSDRPSRNIDRVISGHLRSGVSLFRGYDLEDNLKHDTERESVVRPIGRNGTERKTANTHKMAKARTSLVTGAIAIFRLSWINDQKAWIFSNPREWLISKS >A04p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21603459:21605895:1 gene:A04p037920.1_BraROA transcript:A04p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNRDHFDRSFWQNTSSYDGSSKILLLTIISFSTIILIVFVYHLYARFILHRRRSTFQGLSLSVVSQPPIRGLDKLVIASLPTFVVGVNGGDVSATECAVCLSLLEEKDTARMLPNCKHVFHVTCIDTWLTTYSTCPVCRTEVEPSQRLVPEPREGPVVDGASPLDLTAASSSNNKTRVDQDHHTWPNGQDHHSFWPNPSRYDPTSKIMLAAVISSSAVILIIFILHLYARFILHRRREASSFRGLSVVLRHPLQTPKRDLNPTVIASLPTFIVGARSDVAAATECAVCLSVFEEQDTARELPNCRHVFHVDCIDTWLTTCSTCPVCRNEVQPRLRLEPEPREGPVGDGASGSPPTAPPSLEEVRLNSSSVSRLDSFRRILTRERSSNRINHSCVGQEHVVELDRH >A03p005690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2380393:2382895:1 gene:A03p005690.1_BraROA transcript:A03p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREGIEADFESYLNNEHDQQRPSSDGCKQVPWLNWEEWDSVRESLFSSSPDRFAFALQRVRTWRSRGSIPGPVDVTCTLLEIQLKDGFIEREEQPADALYSEHLLQMLYTMGILRLVNCLIEKTRKRDEVSIAVAARAMGIPRKLIDLRHEGSHRELPSLLVLRDASYEALEWLKSFYWVPQKAQIPLKRDGTASIRREVKSKLRKLSFCFQLKQNPQYDSPLVKEKCSNKRTRKIVSSLVELYPSFSAEISSVLLEFLLKALDSSKSAELENQSGQDIRVFLDVWKPVIMVLSNREPELLLTLLKSVLDMIQNNEQRRYETDVNLTDKSAEEVSQAAQLPCLFAWLVGLLTVSKHFQRNSSLEATPPSAFLMELIRKCLLLGALGHELVLKSGCVLGDIVGGRVLKEMLKSLPLVDKSSTSVPSKPSSPTTLLEQQEKNLRSAGKRLESVKLQLSKKKGNETEKANKRWRKARTWSTCPIGMLPRIIGSSGRLPLLDNLDAHMISKQAQGNNNVKRVAECSTQQLENSAFKRARKSTEDLSSNEATLEAHEEEAEMDKEQSDEETESESEGNLMLEDVEEGIGYLRIGDVWKRVKDGEQLVMASQVKMCV >A09g505810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18257295:18257516:1 gene:A09g505810.1_BraROA transcript:A09g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQPASSFYEAQTGEVILEAEELLKLRETITRVYVQRTGKPIWVVSEDMERDVFMSATEAQAHGIVDLVAVQ >A01p046370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26105889:26107996:1 gene:A01p046370.1_BraROA transcript:A01p046370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVSEGGSGGRDYEGGVTVFVVITCMVAAMGGLLFGYDLGISGGVTSMDEFLSKFFPQLEKQRVKAKNETAYCKFDDQKLQLFTSSLYLAALAASFVAAVVTRKYGRKVSMFTGGLAFLTGALINAFAINVTMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNICFQVAITSGILVANLINYGTSNMAKNGWRVSLGLAAVPAILMVIGSFFLPDTPNSMLERGKYEEAKQMLKKVRGIENVDHEFQDIRDACEAAKKVEHPWKNIRQSKYRPALVFCSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNVLATFVSLYSVDRFGRRFLFLEGGIQMFICQILVGSFIGLKFGTTGTGTLTPATADWILVFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFAGMVAIMTIFIYFLFPETRGVPIEEMGRVWKQHWFWKNYIPDDAVIGGHDEN >A06p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9626910:9628695:-1 gene:A06p019680.1_BraROA transcript:A06p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAASPSLSLLSLTSKPPPSPSSAAAAATHRLFPSFRTNAGFAPLRLNPRRGRSIIVKVDDGDADGGGQDEYDMDDEEVEEVDNKKDYDVEYDPLAAAMAAASGGGGDGDIAFVQSKSFISTQGWDSEMVVDYRINEDEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDIYSIPRVLAPMPQKYIRCAMSDYGCYDVTEPPIDAPRDPLYKSVREISKVFLTKHYRNRRLNDPEFVLDLEEIYVIDSKTKSITRARVLVTVPGGRKRDRKDDLLVIRDNGNSFKIIHAGERDDPTTVIEREEWTKTREDMEKHLRKLRDFSVSNWF >A02g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2668773:2675219:1 gene:A02g500860.1_BraROA transcript:A02g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14740) UniProtKB/Swiss-Prot;Acc:P42737] MGSQSYEDALEALKKLVIEKDDLKTVAAAKVEQITAELKKISSSDGKPFDPVERIKEGFVTFKKEKYDTNPALYGELAKGQSPKYMVFACSDSRVCPSHVLNFHPGDAFVVRNIANMVPPYDQVIFYTKDLTKKKILLLVKYAGVGAAIEYAVLHLKVENIVIIGHSACGGIKGLMSFALDGNNSTDFIEDWVKICLPAKSKVLAEAESSAFEDQCGRCEREAVNVSLANLLTYPFVREGVVKGTLALKGGYYDFVKGAFELWELQFGLSPVHPI >A01g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19188369:19190038:1 gene:A01g506640.1_BraROA transcript:A01g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPKEKGTGMVSHGQPSQKRKRRTVNDENDNSQARSQKENNGIGVVFADVTNIPHVKTIDLRKLKSMLRQNGRNQISITNHPHAATFSSNELNTLSAQVPILTTNTEVLTPLKRPLDKLRKAPDMQDNDPTPNSIFKKPPSNQSIPLKSIFGRIFKDISNIPQSCDRPQSGGFGSQAVQMPRISSPRFGKLSQTPYVAATIMGYCKKRSKFDPAVIEPKRLFGTDDEDVVEARYIQEYDLSSEESDVYSDISTRDAYIFKDVEKFDFQAIIARKKKQDAKKAESKLKNISKSGLKMIG >A07g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21784579:21786508:1 gene:A07g507860.1_BraROA transcript:A07g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGVEPTPTPEAAPPITADFMSSIMARLARQDEVQKTTNDQLASLLAALTAPDGQMSRPQQIRCRLFNTNPTATGGDHVSDDSEPNETLLADEEARKGNGEEDSSADEEQPANRRRIEVILSQQSLSSDDDNDDTLVLGDLRDVLKRKLESENDNSPKHNDLRTALDAQKSWRISTSNSNPNKRRNGDLRDKLNAGACDLRICLNRSKSTDLRRQLEQAKTSSNNTQSLKNDNS >A05p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5287017:5290175:1 gene:A05p012190.1_BraROA transcript:A05p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKVKTFTSPEPLLNGYSHPCDLLSSMNNNSRRRRVYINIYNSTVPKLTTSLWSCKNPLSLSLTLVSEIVPVNLIYFEVPYIPLSVWLVAGNALNCLFTDTSSFQRYLRFGVTHFNGCGVVKSCRKEGFVIDERGKLKRFNRKKLSRRRCGSLRGRGWKYGSGFVDGIFPVLSPIAQKILSFIQRETDPEKVADVLGTLPSTHASWDDLINVAVQLRLNKKWDPIVLVCEWILTRSSFQPDVICFNLLIDAYGQKLRYKEAELLYVQLLESRCVPTEDTYALLVKAYCLAGLIEKAEAVLIEMQKHHVTPSATVYNAYIEGLTKRKGNTEEAIDVFQRMKRERCKPTTETYNLMINLYGKASKSYMSWKLFCEMRSHQCKPNICTYTALVNAFAREGLCQKAEEVFEQLQEDGLEPDVYVYNALMEAYSRAGYPYGAAEIFSLMQHMGCEPDRASYNIMVDAYGRAGLHSDAEAVFEQMKKLGISPTMKSHMLLLSAYSRARDVAKCEAIVKEMSENGVEPDTFVLNSMLNLYGRLGQFTKMEKILAEMEKGPCAADISTYNILINVYGKAGYLERVEELFEEVKERKLRPDVVTWTSRMGGYSRKKLYVKCLEIFEEMIDSGCVPDGGTAKVLLKACASEEQVEQVTEVIRTMHKNVSVSSLVPKQLVAVST >A01p059290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33729677:33730165:1 gene:A01p059290.1_BraROA transcript:A01p059290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNMRSILAQVHKKSLSMQSCRNFSSPASKEPKKRSDVASVVARTLGCATGLIVGTLSSNSGGTPMNTSTKRKSYLGGL >A03p057330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24863543:24865456:1 gene:A03p057330.1_BraROA transcript:A03p057330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDPSFIVFLTPQTEVRHDLTLNASLPSLAEHKPHGGGRSLLLLLSVKRALSEVYDEDSLAGEPLGRAFVVFGLLAGVEEVQFFVNSVHVFSFKNMKTSNSRSSYNSLDTMEVHHAIFKASKLLYGDDELLITYMPKEEVERYHISLEDLFFPSFFAYLMLMKILTLQGLAVETVELKGGLLERFTHEMEPFLRKQEKLETETIPDKACNGTSILDSLEESKMGLDVRMCNGNGLQGVSVGLAGSMIYAPTLKKDYVGRICTVSGILK >A07p030370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16919142:16921891:1 gene:A07p030370.1_BraROA transcript:A07p030370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSIAPSLSCPSPSSSSKSLRSSKATTFAFPNIGSLSSTSKSLRSLTATVAGNGTGSSLSARMVASSAVRAPMSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFKHLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSRSFEEARAVGFTEESGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRAADVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGTISRTISTQGMLAVYNSLTEEGKKDFETAYSASFYPCMEILYECYEDVAAGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGERVRKSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQALVAVDNGAPINKDLISNFFADPVHGAIQVCAELRPTVDISVPQDADFVRPELRQSN >A05g510570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32851973:32853208:-1 gene:A05g510570.1_BraROA transcript:A05g510570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPTRVNVLLWITSSFIYTSSINRIVTLSLSLDSIISLLCQPKQHWRRPLLQQGGKKIQPAVTFQTKKLHESWQRACEGDPLPATNPHIETLCFRVVVSSKRHQHTRIRLHMTSIVHNKMLTNHQVVIVKDDYFDRMMPSFFTCFLLIRSSLLLLTSF >A05p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21345899:21348168:1 gene:A05p037570.1_BraROA transcript:A05p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSMGIPFGGPSTSAAGAPANKDRNLASAEQLVLDLSNPELRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYSVLAPPNLTPAQSNRVCNSLALLQCVASHSDTRMLFLKAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGMDYICTTAERFFAVGRVLGNMVQSLVEQPSPRLLKHIIRCYLRLSDNPRACAALGSCLPDSLRDGTFSNCLREDQIARRWLQQLVHNVGVGRVPSHQGGGFEHML >A10p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21343360:21344567:1 gene:A10p038030.1_BraROA transcript:A10p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPRTRKRVEAVRRAADGSAFEKCEECGAMIAIALFDMHECGGEKRREVKRFKCVSSGKKIDDDISKPSFEDEPRSPFVFFLEDFRKSYDGNMVEASRICFTVWKNMSGEDQRPFIARAVKVDLAHNRKLKEEVQSRMYKIDDEADSKAVGKFDKDHEEEEEEYGSSDHFEQEFWEDDTLLKY >A01g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15056015:15056713:-1 gene:A01g505050.1_BraROA transcript:A01g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLEAVSVFPLWWCYFSRNVKGGDELMGVDKLLLDSKQPSYRHRLTAYRHHFKADTVLPIPKERFKFHNHSEVLWLANRNTHLPDLICELTAVKSIVSDPTQGKHRVMTGGSSCSQL >A08p015320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10105862:10106678:1 gene:A08p015320.1_BraROA transcript:A08p015320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NILKSTGICTSEAGYPFNSSFASGYENYVTPADIPLIKSLVINSIHCRDTFYWSYIKNDEYTVKSRYWTAHNLLKSEEKKKVLETSITKLQTFAWKVKAPKKICHLIWQLITNRDPLELVRYTESECQASFSANERVPPIVQDHSSEEPQVLSLNNICMIDGSCTSTAQFSGCGWV >A03p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18627339:18632554:-1 gene:A03p044610.1_BraROA transcript:A03p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSNLSRVQIPEPTHRIYKHECCLSFDTPRSEGGLFVDMNSFLAFGKDYVSWNYEKTRNPVYLHIKESPKSVPEDRPLKKPTLLAIGVDGGFDNKEPEYEESYSIVILPDFVSLPFPSVELPEKVRIAVDTVMSAVGAEKREQVAAWTAEEKKVSEHALTLQQIKSGVVIPPSGWKCSKCDKTENLWLNLTDGMILCGRKNWDGTGGNNHAVEHYKETNYPLAVKLGTITADLGAADVYSYPEDDSVLDPHLAQHLAHFGIDFSTMQKTEMTTAERELDQNTNFDWNRIQESGKELVPVFGPGYTGLVNLGNSCYLAATMQILFSTHSFISRYFSHQSLKLAFEMAPADPTLDINMQLTKLGHGLLSGKYSIPVAEKDVATGDVRQEGIPPRMFKSVVAAGHAEFSSMRQQDALDFFLHLLDKVERGNNMRPDLDPSRSFKFGVEEKILCSSGKVSYNKRDDCILSLNIPLQEATNKDELEAFNNQKADKGLEEDNMSTDEIVRPRVPLEACLATFSSPEQIRDYYSTALKGKTTAIKTTSLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDVIDISHMRSKGLQPGEELLPDDVPEEAMESAQPVANEEIVAQLVSMGFSQLHCQKAAINTSNTGVEEAMTWLLSHMDDPDIDAPISNQTSDVDQSSVDTLISFGFAEEVARKALKASGGDIEKATDWIFNNPNASISDMDVSSSNSAQTPAQSELPDGGGRYKLFGIVSHIGTSTHCGHYVAHILKEGRWVIFNDSKVGVSTNPPKDMGYLYFFQRLDN >A04p015370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9498805:9501118:1 gene:A04p015370.1_BraROA transcript:A04p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKQISDDRGSSHFRHTPFQIIHVTGNFFRIWSVYSMYRYLNQTGAPVVLFLFCCLLPSSFIFLILQKPWKGRALSNQQIVPSLINGVITALYFILWGKGLKSCGPLRAILSEYSGAVLGVLSGVLYGRRGHVWKKLNKKLPSKDSSDTKEEELVTEQALGLMGMMIPVVAGILSALRRVIARRVSLKNQQKKRLHAITITSATCFLFPLAMWDLVTGSSSGKAVELPFSAWAFLATIVFGIILIFYVDNIAEERLHMVFSSPRHLMVAGACIIVMEIAYEMDFSLPGFIVCCLVLGFGIYEATSLERSKKDSSIKSEDGPNGILGNDFDTSPVLPI >A03p054450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23385106:23386228:-1 gene:A03p054450.1_BraROA transcript:A03p054450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGSSCLPPGFRFHPTDEELIGYYLSRKVEGLEIELEVIPVIDLYKFDPWELPDKSFLPNRDMEWFFFCPRDKKYPNGSRTNRATKAGYWKATGKDRKISCKSSGAIIGYRKTLVFYEGRAPLGDRTIWFMHEYRLCDDDLSQKSPNFKGAFVLCRVVKKNGLKTKTLKNKNEQAIGSGCSSLATSPCRDQTTQFQSFKPSLTTNESSSIWISPDFILDSSKDYPQIQEGVSEYLPNYHFPVNGANHQMEIAETSYLNIDQSIQTGYWPNFEYDQTGSFDYSNLF >A08p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10303133:10303690:-1 gene:A08p015760.1_BraROA transcript:A08p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIETGPKTTQKPSPPSTLKQPSFKRWGRRHPFVRYGLPMISLTVFGALGLSQLLQGSKDIAKVKDDQEWEIIETRKALSRTGPVDAYKPKNTSIEDELKAMQGKVDINSYEYKKIPKLNEGKST >A03p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10860619:10863201:-1 gene:A03p025790.1_BraROA transcript:A03p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKNWRKVYDEYNDEEVELTKEESKLIRRMLKGEAPHADFDPYAPYVDWFKWDDAIHPLSSAPEPKRRFIPSKWEAKKVVKLVRAIRKGLIKFDKPEEEPNVYLLWGDDSASDQKSKHLTYIPPPKLKLPGHEESYNPSLEYIPSEEEKASYELMYEEDRPKFIPKRFTSLRSIPAYENALKESFDRCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPNSCYLEYKGHTGPVTSISTECSGQWIASGSTDGSVRIWEVETGRCLKVWQFDEAVKCVAWNPLPDFPILAVAMGVDLFFLNTELGTDEEQQRIEELLRLDNLPELDEAAAAIAKWLPDEKYRGIKIRHFKNLSYMDWHPRGDYLSAVMPGGETRGVVIHQLSAHSTKRLPIKMRGLPVCTLFHPNHRGLFIIATKKYVRVYNLHKNGEPIKKLETGLREISSMAIHPGGDNLVVGSKEGKMCWFDMDLSSKPYKILKNHPKDITNVGFHRSYPLFASCSEDSTAYVFHGKVYSDLNENPLIVPLEILRGHSSKGGVLDCKFHPRQPWLFTAGGDSVIKLYCH >A06p047050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25043548:25047502:1 gene:A06p047050.1_BraROA transcript:A06p047050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFWIDLVLLSGFWMFAFSNPDGFLSLSCGGSSYTAAYNISWVSDNDYIETGNTTAVTYIEGSSTSTVPIRFFPDSQSRQCYKLPVRKDLSSVLIRATFVYRNYDSQNQPPAFRVSLGRSVTSTVDLRIKDPWIEELVWPVNKDSLSLCLLAVKGRGIPVISSLEVRPLPLGTYRNSLESSPNAILRRSYRINSGYTNGTIRYPSDPFDRIWDPDQSFTPFHTSWNFNRLTRLSSFNITENPPDSVLQTARILARNDRLSYTLSLDTLGDYYIILYFAGILSLSPSFSVTINNEVKQSEYTVASSEASALYFKQKRISELNITFEKIKFNPQVNALEVYQILQIPPEASSTTVSALKVIEQFTGQDIGWQDDPCTPLPWNHIECEGSRVTSLFLSQINLRSISPTFGDLLDLKTLDLQNTSLTGAIQNVGSLQHLQQLNLSFNQIKSFGSELENLINLEVLDLQNNSLQGSVPESLGKLKKLRLLNLENNNLVGPLPQSLNRTGLEVRTTGNQCLSFTSSSCNNVSSTIDTPQVTIPTNKKHKKQNRIAILLGVSGGALFATLLIFVFMSIFTRRQRNKEREITREQLKMQNWNTSRIFSHKEIKTATRNFKEVIGRGSFGSVFHGKLADGKQVAVKVRFDKTQLGADSFINEVKRSNLSYHAFEPTAKNAFIFSDPSAAIRHQNLVSFEGFCYEPKRQILVYEYLPGGSLADHLYGPSRKRLSLNWVSRLKVAVDAAKGLDYLHNGSEPRIIHRDVKCSNILLDKDMNAKVSDFGLSKQFTKADASHITTVVKGTAGYLDPEYYSTLQLTEKSDVYSFGVVLLELICGREPLSHLGSPDSFNLVLWARPNLQAGAFEIVDDVLKGTFDPESMKKAASVAIKCVGRDASSRPSIAEVLTQLKEAYSLQLSYLAASGHTDI >A01p022490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11089716:11093574:-1 gene:A01p022490.1_BraROA transcript:A01p022490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase 1.6 [Source:Projected from Arabidopsis thaliana (AT4G16570) UniProtKB/Swiss-Prot;Acc:Q944R7] MSPQLSLLPKTFIPFLSFRCPPLTRLRSVVAARTMSSQPSQRVFQLRQDPLTGTSEWIIIDENDEAGAPVTSTDGLLATTSYLDMLNDTRRNRAYRLAIEKTITEPCHVLDIGAGTGLLSMMAARAMGDKEESCVTACESYLPMVKLMRKVMHKNGMTKNINLINKRSDELEVGSDIASRADVLVSEILDSELLGEGLIPSLQHAHDMLLVDNPKTVPYRATTYCQLVESTFLCNMHDLQSNEARMSDGVRLVPPVLESLFGIKSQQYSMHVDAIEKEIKLLSEPIKVFEFDFWKRPESNGELDVHIEAITEGRVHAIISWWVLQLDSEGTFFYSTAPRWVESNSEIGESHLRARDWCDHWKQCVWFTPGAGVFISKGEKVHLHAAHTCTNILYNLKKAHEMTHSSFSTGDLQLTLPPERVAIYGDSIYRQSIFEATRNALQGLSGAQCLVIDDSLLLPLMALHISNGSRVTSLSPGLQENAARYLEAIADSNGFSKDRFKYFREGKAKLMEAYPSKIDLLIGEPYYYGLESGLPWQNLRFWKDRTLLDSVLSKDAVVMPYKGVLRGCAMYLPDLWKSRCCLGSVEGFDHALVNKTLGGCGDLPSGKDSPCLPFFVWQCGETKKLSKEFTVMEFDFSKPIAGPCSGEVQIEFTKPGVCHGIALWMDWVMDKENSIVISTGPDERYWKQGVKLLGKPVTVGVEGLSSIGIKASLDPSSNGELIITHTLC >A10p003950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1930761:1932674:-1 gene:A10p003950.1_BraROA transcript:A10p003950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIGAVKDHTSISLAKVATGANGGGDLTTLEVAILKTTSHDEDVPIYDRLVSDILGIISSKKSHAAACAAAIGRRIGRTKNWIVALKSLVLVLRIFQDGDPYFPREVLHAMKRGAKILNLSTFRDDSNSCPWDYTAFVRTFALYLDERLDCFLTGKLQRRYTNRDQTGRITTSKSRFSPKLNEPAVRDMKPVMLLDKITHWQRLLDRAIATRPTGDAKANKLVKMSLYAVTQESFDLYRDISDGLALLLDSFFHLQYQSCMHAFQACLRASKQFDELNGFYDLCKSIGVGRTSEYPSVQKISLELLETLREFLKDQSSFPPPPNSLPPQPQATVSSSPEASERYWDCGGSFRSTSLEDLMSRTDAGTSSPPMSCQSEPYGGGRDDLNGNNFDTVSTKSLPNNPSVSAKEKKKEEDAKVEEAFDPWEALMLRDDPRKNVESIATSEDQRDSGNWLFALEETATQVQDTNPMAIVPSGNWLLALEETATQVQDTNSMAIVPFGLNDPVPALQATTDQYNPFLEDTATAPLAAESDFQPLAGELMTTFNALAVTEFQPQPTFQVNFPEDFEPSSTPTFKAIGSLPEKCDPFATFESFGFGETVSENGGVNQQSVLQEQQLWLQNQNKIMAKHLI >A04p000510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:304839:305150:-1 gene:A04p000510.1_BraROA transcript:A04p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C11 [Source:Projected from Arabidopsis thaliana (AT3G62950) UniProtKB/Swiss-Prot;Acc:Q9LYC6] MERIRDLSSKKAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDKDPEGREMERALRALGSSNPAVPAVFVGGRYIGSSKDIISFHVDGSLKQMLKDAKAIWL >A06p020430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10004028:10004594:-1 gene:A06p020430.1_BraROA transcript:A06p020430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVHLLVSLLICITFTSSISVVPDPSTQDLINRICKQTIDFKFCNKTITSQLIRRRTSIKTIAKLTAAKAWINAIETLDNIEGSLLPKAKDRRSKEEFAACRKAYRLVDAHLDNALKYLYLKDYRFMRAYQALALVNISMCRTSFFHPTPMVYANWNMKILTDIAIYAGKILAPPPPLRTKTKKKTP >A03p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1019479:1020568:-1 gene:A03p002160.1_BraROA transcript:A03p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor 7 [Source:Projected from Arabidopsis thaliana (AT5G05110) UniProtKB/Swiss-Prot;Acc:Q8LC76] MDTRLSPVLICVSLVLLSGLGQLVICSQEKGSYNDVVRMKLGVYRDSTNNRNGGGVIDDIALFAVQEHNKRENGVVELARVLKATEQVVAGKLYSLTLEVIEAGEKKIYEAKVWVKPWMNFRQLQEFKNVVVPSFTVSDLGLKSDGNGFEWRLVSTNDPEVQEAAKHAVKSIQQRSNSLFPYKLIDIIVARAKVVEDRVKFELLLKLEKGNKPEKLMVEVMKYQNGKFH >A06p014760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6593670:6594074:1 gene:A06p014760.1_BraROA transcript:A06p014760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein SAUR77 [Source:Projected from Arabidopsis thaliana (AT1G17345) UniProtKB/Swiss-Prot;Acc:Q9LQI6] MAKFGKLTKLKSVIKKWPSFIKNHHSSTVSTTTSATATEVSKCNDLRLVYVGKSRRPYMLSSHVIDHPLFQELLDRSSRFMEERHDQKTILVACEVVLFEHLLWMLNNSCSDHNDDDDDCEGGSVEELAEFYTY >A09p015440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8034211:8041862:-1 gene:A09p015440.1_BraROA transcript:A09p015440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVMGKGRAKAVEKRVLDQKLRGSLNVPSGPVYYPTEDEFKDPLDYIHKIKPEAEAYGICKIVPPSTWKPPFGLDLESVKFPTKTQEIHRLQFRPASCNSKTFQLEYSRFVEEHLGKKLKKRVVFEGDDLDLCKLFNAVKRFGGYDKVVKGKKWGEVYQFMSSGEKISKCAKHVLCQLYKEHLHDFEKYHSLMNADPAKSCKRNRRCTEFSSSKRRKIKADVKNPKVENEGEVDQACEQCKSDTHGEVMLLCDSCNKGWHIYCLSPPLNHIPPGNWYCLDCLNTDEDTFGFVPGKCLLLEDFKRIADRAKRKWFGAGPVSRTQIEKKFWEIVEGSGGEVEVMYGNDLDTSVYGSGFPRIGDQRPESVEASVWDEYCRSPWNLNNMPKLKGSMLQAIRHNINGVTVPWLYLGMLFSSFCWHFEDHCFYSVNYHHWGEAKCWYGVPGSAASAFEKVMRKTLPDLFDAQPDLLFQLVTMLSPTILQENKVPVYTVLQEPGNFVITFPKSFHAGFNFGLNCAEAVNFATADWLPYGGSGAELYRLYRKPAVISHEELLCVVAKANCCDGKGSIHLKKELLRIYSKEKTWREQLWKSGILRSSPMFLPECPDSVGIEEDTTCIICQQFLHLSAIVCNCRPSVFACLEHWKHLCECEPTKLRLVYRYTLAELHGMIQEVEKSGDCKTQETKNSQRPSSGTKRSAASNKKEGMQVCQARPAEDWLGRASKVLLDVFSSVEYATLLKEAEQFLWGGSEMDRVRDVAKSLIKAKIWAEAVGDCLSKVEGKANNDPDKVHLEFIDELLRVDPVPCFQSGYLKLKDYAEEARKLSEKIDCSLSSSPTITQLQLLHSEVSSSPISLQKHKILSKKISSAKILAKRARCYLATSKPPGIELDELFKLKSEILELQVTLTETEGILDLLKKSELARDKCSKVLSGSISLKNVEELLHEFDGFSSINIPELNILRQYHVEALSWISRFNDTMVDVREGKDQQKLISDLSSLLHDGASLGIQVEGLPLVEVELKKASCREKALTVYAARTSLDFIEQLLSEAVALQIEEEKLFVEISGTLSTARCWEERASSILASETQMYDLKELVRMSVNIDAVLPSLKGIENTISLAETWLQNSEPFLSAASSMSSSPCSLLELPSLKDLVAQSKSLSVQLQEPRVLETLLLNCERWQCDNHPLVQETEDLLATAKTDDGKHSTILPKIVDLMTRVDSARTSGLSLGLNLEELPKLQTASLKLGWCYKTILLGSSSPSPELPEDLGKPSLQKIQQHLEAGQALKILPEEYHIGKRLVELNDTGQEWAKRARKVVTDSGALALEEVFELISEGENLPVIAEEELQSLRARSMLHCVCLKPYNSRSMVSCSQCGEWYHTYCVKLHWRPEAYVCSACCPVAESSPKIDLPRSMEPKTPSLNHRRARRAVATDAAVGDLQWKSRKRIQRVAKRSPQVHILPWFFSQEPK >A06p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20483794:20486344:1 gene:A06p037900.1_BraROA transcript:A06p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELSSDTDSDDDMVVPVLRTSVDIGEGANMPVRRRLIFGIPKPIEDPNNSSTSSQEGEQMPPDDGINCGRFDQALHDMLNDPENPALLGRDAPPVFNARLVSENYDLSTALAVRDISELEFQVHDPRGECFTVNLAVGSCSCREYDEIGIPYLHALAAASKVGFPSDAMVAPAYRVPTWRQGFAGKNYPVPSVSGSQVGSSTTAELLPPAVRRPSECPRKVRIKSCGEFKKSGQLSSNRRCARCGQTGHNRTSCRNPI >A08g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4662762:4664980:-1 gene:A08g502070.1_BraROA transcript:A08g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAPRNPERCRTLRLKIFERFKKQFEKQERDHDQGENQKLSLYQAFSLKTLLADYLLLIAYKGTQISQHHLMYTQKKISALFGIDYLSHPLLIVKCMINKKAIFCIPRIGISGCVRMRIVALIVSAFELSSLKCRYCASVSTYIFSVAKAEEDNDEKHKPSTQALSYHNVSQEFRVVIPRPKLLPGLHVQSTYGYSKKWFFLSVWSHAQLTLASHCVIYVVTMQPVSAMCDWQVWAYLQICSLHELLFFFLKLQPDGFFSH >A09p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6578116:6579828:-1 gene:A09p012900.1_BraROA transcript:A09p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPQHHHHHHQQTDQESGNNNKSGSGGYPCRQTSTRWTPTTEQIRILKDLYYNNGVRSPTADQIQKISARLRQYGKIEGKNVFYWFQNHKARERQKKRFNGTTMTTPTTSSPNSVMMANDHYHHNHHPLLQHHHHGVTMHRPASVNIKLDQENHLLHQNISYPNFQNGNLNHASSGAEYGALNASSNGYMSSHLYGSMEQDYSVSYNNVSGGWTNMDHNHHYSAPAYNFFDRPKPLSGLEDHEEEEYGGDAYLEHSRTLSLFPMHGEDNINGGGGAFLKYGQSDGRDYYGRGSCASLKLCLNSYAGVSPD >A08p027940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17572193:17572381:-1 gene:A08p027940.1_BraROA transcript:A08p027940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIIAELLVEYTTALAKLTADILPRRQGDGNFIRIGNFSMYCPPRSSPVPDFSSHLVDF >A06p052620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27832075:27834961:1 gene:A06p052620.1_BraROA transcript:A06p052620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEDNITRISIEPEKQSLLEHHAETHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYAREVRREQEEIKTIPETEAAEVAEMLAHYGVEPHEYLPVVNVLRKNPQAWVDFMMRFELGLEKPEPKRALQSAFTIAIAYVLGGFIPLFPYIFIPQAVDAVVASVVITLLALFIFGYGKGHFTGSRPFKSAFETAFIGAVASAAAFCLAKVVQL >A08p008450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4840213:4840545:-1 gene:A08p008450.1_BraROA transcript:A08p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 31 [Source:Projected from Arabidopsis thaliana (AT4G13950) UniProtKB/Swiss-Prot;Acc:Q2HIM9] MLHSVTLVLLSILFLQTHFPISDAITEIDAMLARNSLIGEDEELMPSEISRRVLMAQKRYIGYETLRRDMVPCQKPGASYYDCRSGQANSYNRGCETITRCARDTSDITT >A10p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21193199:21196199:-1 gene:A10p037630.1_BraROA transcript:A10p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSMDAEQVLMVGIWGPSGIADGFMDLAVEVSKLAGNLPLGLNLLGSSLRGRNTEDWIDMLPELRTCLNGDIERTLRFGYDRLKETHKRLFLHIACLFNGEKVDSLKWLLADSDVDVNTGLRGTKAVLGISWNISEIAELFTLDEDAFKGMSNLRFLKIYKNPLGRNEETKLYLPQGIQSLSRRLRLLHWDAYPMSRMPSDFSPAYLVELGMIDSELEKMWEGPQPLKYLKNMSLWRSKKLKEVPDLSKAPNLEELYLADCQSLEMLPSSIRYLKNLKTLNMEECRKLEVLPTNINLESLSNLTLYGCSLIRSFPDISRNISVLSLENTAIEEVPWWIEKMTGLTGLFMSGCSKLTRISPNISKLKHLEDVDFSLCYALTEDSWHDDPQVVPAPNPIGDLDMSDNTFTRLPHSLVSIKPQELNIGNCRKLVSLPELQTSSLKILRAQDCESLESISHLFRNPETILHFINCFKLEQESLIRSSVFKYMILPGGQVPPEYFTHRASGSYLTIPLLESFLHGSFLRFKACLLIDTDSTKPTWVKSIIRECCLLKGNQGNHFHSSDLHILIFVTRLFDRHLAIFDCSFPLDNPLAKSNYDAVEIKFGWDACEIKECGIQFFSPSSDSQPGDANKLSEENSVDC >A04p036810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21116129:21116961:1 gene:A04p036810.1_BraROA transcript:A04p036810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIYKLNNKTLFSNVEKERDRPTKYGPVHTWRKYGQKEILGSKFPRAYYRCTHQKLYNCPAKKQVQRLNDDPFTFRVTYRGSHTCQIYSTAPTASAAAPTTPVTTSFSPDYGPPLFDMADAMMFGSSGIGVNMDIYPFNDPSHHDDHCYRRSEDGDDEDK >A03g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7104539:7105990:-1 gene:A03g502100.1_BraROA transcript:A03g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGRRRDPNRKSLDPVGEDDESRVVTGKDGKGFFACYILTSLSPRHKGHTYIGFTVNPKRRIRQHNGEIRSGAYRTKKKRPWEMVLCIFGFPTNVSALQFEWAWQHPRESRAVREAAAAFKSFSGLARKIKLAYTMLTLPAWNSLDLTVNYFSSKYAHHGGGSPSLPPHMKVQVCAMGDLPCFTKGDNGPEDEESTDSNEEEDDDSSNQIQPRNPTTSSLDGFYPGEKVKEPEAVLDDRLANFTGFGLLDESDEDEVSGSTEAVEKEHIVATFNGFSFEKIDDDEVSYINMGKDCWRRSNHITSTPEVEVIDLMTPSPSCRVGSSLKRPRVSEFIDLTRSPSFIEL >SC223g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:218300:220226:-1 gene:SC223g500050.1_BraROA transcript:SC223g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKEGSSSASPYEELVVPKTEFVPHSVHPSENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEALTAAPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPLGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEMIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A05g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24008790:24011285:1 gene:A05g508240.1_BraROA transcript:A05g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAQSLPTSVSDEKYANVKWEELGFGFCRTDNMYVAKCKHGESFQEGKIVPYADIQISPCSAVLNYGQGLYEGLKAYRTEDGRIVLFRPDQNALRLQSGANRLCMPYPTVDQFVSAVKQVVLANKKWIPPPGRGTLYIRPILFGSGPILGSLPVPEYTFTVFACPVGRYHKDNAGLNLKIEDKFRRAFPSGTGGVKSITNYSPVWITLAEAKAKGFSDVLFLDAATGKNVEELFASNVFIVKVEERVVPVEDLLDAEEVFCTGTAAIVTTIASVTFKEKKTEFKTGDKTLAAKLFATLTDIQMGSVEDKKGWIVELTDATKPGLKL >A09g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3510543:3510865:1 gene:A09g501020.1_BraROA transcript:A09g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKTTGEEVEPAIAGWDLESVFLSDEEEENLNNKVKNLKQSSFYRQPRRLRLRLHQTRRLRLPLHRQRQSNLV >A03g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9830348:9830792:1 gene:A03g503150.1_BraROA transcript:A03g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLMFFTIKTLKRALAVVPRKRNGGDPDGNPSSPSIKQKTIKMRMTIRMKQSLRFIVSNNV >A09g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15443635:15444853:1 gene:A09g505120.1_BraROA transcript:A09g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRKLKSLNMQITYSQYGLLAQVLRRCCLCAKKNVFRRKGSSSFIDSATHFTLEFNKHVQPNKGLTRQGNGNSEELRCVIVVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSTVQLQDLLDATRMLVPHQVVRVIVIQKTLNMLKLRQVKAVIEEVL >A07p051810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27452114:27453496:1 gene:A07p051810.1_BraROA transcript:A07p051810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2-10 [Source:Projected from Arabidopsis thaliana (AT1G80900) UniProtKB/Swiss-Prot;Acc:Q9SAH0] MSDLKDRLLPPPLRGDSFSRPSSSGRQPLLGLDVSGLKKRGQGLKSWIRVDAFANTQVIEVDKFSMMRRCDLPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCIITADEVLLLNSLDDYVLGYVVELQQRLKASSVGDTSPDYLPFEFRALEVALEAACTFLDAQASELEIEAYPLLDELTSKISTSNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKKRVEGSLYGDQSLANDGVSFSAPVSPVSSPPSSRRLEKSLSFARSRHDSAKSSESENENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIDLFEQPGAFKWVLTITGVCGVLVFLGFLWFYKSRRLMPL >A01p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4572771:4575173:-1 gene:A01p009470.1_BraROA transcript:A01p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLIGLLVIILSIGNIIEEANGEISPKILSNIKMMNKRGPYLGIVAPNNFELNPLLASRAYVPYPSLPFIDFAGRRFRFGNISNQRVVIVMTGLGMVNTGVATQLLVSLFRLKGVLHYGIAGNADVNLDIGDVTIPKFWAHSGLWNWQRYGDGIDDELALEAGGDYTRDIGYLQFSKYNNQSDNLLNRVWYQPEEIFPVTGTPEVREHVFWVPVDKSYLDLARQLQDMRLPQCVNTTCLPRPPKVTIVDRGVSASVFVDNAAYRTFLHSKFNATAIDMESAAVALICHQQALPFVVIRSLSDLAGGGSDVSNEADLFGSLAAQNSVDVLVKFVGLLPTHKSKTHS >A01p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21810110:21812336:-1 gene:A01p040860.1_BraROA transcript:A01p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSSPTTSTKSSPLWKPFASNCCSVGDQTVLGNLSRCRPSKSDSKNHLGPLPSFRRLSFADLSRSSSARINEDLAEALGADLVDFQMCELKMITQCFSRNYLLGEGGFGKVYKGYIDENFRQSLKAQPVAVKLLDIEGLQGHREWLSEVILLGQLKHPNLVKLIGYCCEEEERVLIYEFMSRGSLENHLFKRISISLPWATRLKIAVAAAKGLAFLHDLESPIIYRDFKTSNILLDSDFTAKLSDFGLATMGPEGSKSHVTTRVMGTYGYAAPEYVSTGHLTTKSDVYSYGVVLLELLTGRRATEKSRPKNQQNIIDWAKPYLTSTRRLRCVMDQRLAGQYSVKAAKDTALLALQCVSPNPKDRPKMLAVVEVLESLMHHKDMAVSSGHWPPSPRSPKVRGNSGSGRKSAPGSLRS >A09p051310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45311859:45313066:-1 gene:A09p051310.1_BraROA transcript:A09p051310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCLTQQHVTNKTTKEHLDALTAALMPPPADDHPKKAVVQMEKKTASGRKRGFIFLHEKSPPATIFAFVIRLCCPFMLLPVPCVTSHTAFVFFKVPRKLAQRVKKFVKRRCGKTLQPRFKNGGGCRQRGAHWCGKGVVFEENVARDEHRVRFWKLLAS >A05g508860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:26351538:26351855:1 gene:A05g508860.1_BraROA transcript:A05g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSVCLIKVFPGGGGFYRFIAAGLVSGEWRLLQIRVRRLQFPGGEGGLCLASPAFGFSRFLGFGFILVFCCFVNVWFEELSSEDDRSYWVLKVDGSAKLYYQLR >A02g512560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34203105:34208605:-1 gene:A02g512560.1_BraROA transcript:A02g512560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVWQVPGRVSGLNPLSVAAGDHPDPTPAPPDPPDPSSPISPQEFPPLNSSPPTTSKRTSPSLTFTAGAAKASASGPHPPVRQPPGTGRMKPTTFPYTVPKSGSTITVPKVGSETTVPIFGSVNTVLQSHPQVPSSSGPESVPSQSQLTNNLLPDSNPIILPPKNSPLLKSNLASSSHTTTVPPPNSQTTTPPQTLPISPPLLIPNTQPPPIPQTTLVERLCASEDKTLRRLAPVTVAPSGRPRVLIPDSVFQKGAEIHKDFIICYFNGRPPPFIQIQSVFNHLQKILEKNIWYVGDSMFHTAQWSSEHSKSTPPLQAIRIWAHLTGVPLDLRHNEGLSLVAGLVGNPKETDDFTKNLVSLTLSHVKVEVDLTQPLPSVVEFERQSGEVVEVSVHYPWVPPTCSHCHELGHVIRNCLLYSPPDQTKKTAQKSAKESSQNQKKYQPVDKSKQPPALQKTPPSASVPVPSAAPFDPVTIITPSATGPLTRNYPKDFPIAPLTSSSNPFVTPKSTRRPPLKRSRSSPTLSPPDTSNQNPDSSNPNPFSIPLHSTGGLTPYFSMSVKLFFWNVRGLNDPDKHRTFTTWLHQNKHLFGAILESHIKEPFLSPILANLCPGWCFSSNHLSDHDGRIILIWRDTIKVQVLQQSSQCITCKLQFPNKPPIFYTTIYASNLSDDRVDLWAELIQMQTDLDLDSHSWILGGDLNQIAHPSEHSSPHVIVPDGLMYQLQDCLMHLGVFDLRYIGPCHTWTNSQPETPIAKKLDRLLVNSKTILSFPNALATFLPPSFSDHAPCIIDLAFNLPQAGTKPFKFQNYLTKHPMFAQLIQDAWTQAGNTCQTLAQLCWKLKSIKRDLKTLNRENFTQIQERVSEAYRLLQSAQSVLAPVAYQPPAIFTPPLLNLKDTIFPLVKLRIQNGESTLFWFDNWTPFGSLSDHLSNSPSRLGILPHATVSSLFRNGSWHLPPARTETQVQLQAYLTTITLTENQDYYEWEINGQVSERFKTGELYAYLCAERADVLWSKAVWFSGGIPRHNFHTWLLVLDRIPTRDRLISWGINVDANCLLCNSMPESRNHMFFSCSFSFQLWSKVASRLEIQPQRGWEDTLNQMTALHLQKSHRLLVLTAWQATAYWLWNERNARLHSNTFRSVDSIFKLLDRQLINKVQSFRELNPRRSSQMMQRWIHFA >A08p038650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22344375:22345740:-1 gene:A08p038650.1_BraROA transcript:A08p038650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPERLTALKKAYADTILNTAKEAAARVMVSERRARGYQQELVAVRDEALRTCLRLKQMYDSKVKEAEMMSLSKQQKIEELEAQLGEAEDIVGELRMELRESRYQLERLTSTRQSNEEENKLSEVVSLACEDSSNHERTVVASGIIKPHSSDRDMSINRCSYKENKDPCHHTLPSILTRRREADALEKGDCPNAEEKELLTTSVSPSEKEYVQFTVKRKRKKDERSGSISPEGGSSSSQEEESRNRRQKTGEKDNVYLDSFNTESSSRDTRRVAQVARQLLPSTEKILSQENQSDDVS >A05g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18824396:18830164:-1 gene:A05g506680.1_BraROA transcript:A05g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKTKDREKSRLADGICCWTYVSLNKMPRSVRKIDMDPALAGCMSLSRFGQGMEWIDGRHKEQWIGSWICHTAALNGFAQLKDLGHGSEGRTNGRTDGRSAVQPCLGWMVLAGSSLACDRT >A09p017940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9340780:9343011:1 gene:A09p017940.1_BraROA transcript:A09p017940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAQSQSPQPSSEEIASKALQKRYEGLMTVRTKATKGKGAWYWTHLEPILLHNTDTNLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISTISPPPPPPPPPPSSRKRNSSGGSSVEAPSPLNHLPPGSYHVTPLTVVDPGRYCGRELHFPAAQPHLMLSGGKDDLGPLAMLENSVKKLKSPTQTHKLSRVQIDSALASLSDWVFESCGSVSLSGLEHPKLRAFLTQVGLPIVSRREFVAGRLDMKYEGSRAEAESRLGDAMFFQLASDGWKFESSGENLVSLMVNLPNGTSLYRRALFVNGAVPSNYAEEVMWETVRGICGNSPQRCVGIVSDRFMSKALRNLESQHQWMVNLSCQFQGFNSLIKDLIKELPLFKSVSQSCSKLVGFVNSTAQMRDLLCKYQLQEQGESRLLFFPLECSLYSLLEDVLNSARAIQLLTQDDACKVAIMEDHSARDVAEMVGDVGFWNEVEAVYSLLKLVKEMARRIEEERPLIGQCLPLWEELRSKIKDWYVKFNVAEENQVEKLVERRFKKCYHPAWAAAFILDPLYLIRDSSGKYLPPFKCLSPEQEKDVDKLITRLVSRDEAHIAMMELMKWRTEGLDPDYARAVQMKERDPVSGKMRIANPQSSRLVWETYLSEFRSLGRVAVRLIFLHATSCGFKCNSSLLRWVNSHGRSSRAAMDRAQKLVFISANSKFERRDFSNDEEKDAELLTMANGEDVDILIDTSSL >A10g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1076337:1084273:1 gene:A10g500350.1_BraROA transcript:A10g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPLLSIQNSPTTFLKQPFSFFYTKLQQQEKQNKNKTKSRRATTFSCLSISRKRRSNGVSESEETVRYEQMGGGGISVLCGLGYWVQGSRCFPWLALNFHMVNTLGLQPSPLQLVQYSCLLPMVAKPLYGVLSDVVYIGSGRRVPYISIGVSLQVLAWGTMALFQGAREVLPSLIACALLSNLGASITEVAKDALVAEYGLRYRINGLQSYALMASAAGGVLGNLLGGYLLLRTPPKISFLVFAAVLSLQLLVSLSSKEESFGLPRRKETSSVVMSVKKQLSNLMEAIQEEDISQPMIWAVASISMVPLLSGLVFCYQTQVLNLDPSVIGMSKVIGQVMLLCLIVVYDRYLKTLPMRPLIHIVQLLYALSILDYVLVKQINLGVGISNEVFVLCFSSLAEILAQFKILPFAVQDPLLPLLRLLAMQDSSLNRILYL >A10p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22158015:22160134:-1 gene:A10p040140.1_BraROA transcript:A10p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRGVPTWQEELASLVDAGLRYDGAPIDLTAATESTAKRSSGYLDGSGSEARETLKDQVTGFMKSWGEMLLHLAKGCKDIVEQTVVTEDSYVVRKLAKPAAKVSKKLSFMNEYLPEDRDPVHAWPVIFFVFLLALAGIPGVKKSLLVEYGVRLVSYDLPGSGESDPHRGRNLSSSASDLINLAAAIGIDEKFWLLGYSTGSMHTWAAMKYFPDKIAGAAMVAPVINPYEPSMAKEEMVKTWEHWLTKRKFMYFLARRFPALLPFFYRRSFLSGKLDHLDQWMSLSLGEKDKLLLKDPTFQEFYQRNVEESVRQGITKPFVEESVLQVSRWGFTLSEFRTQKKCTTNGVLSWLMSMYSEAECELVGFRKPIHIWQGMEDRVAPPSMSDYIGRMIPEATVHKIPNEGHFSFFYFCDECHRQIFFALFGEPKGQLEKVKETKETLVETEAAHKDTSAATTTTKE >SC179g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:390072:390394:1 gene:SC179g500190.1_BraROA transcript:SC179g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLVSKLHVKHQEERGVAKFKSWRQHPKRSVQIMAQAMSLFGGSKT >A03p037490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15695403:15696315:1 gene:A03p037490.1_BraROA transcript:A03p037490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGIPFSINLPPDNGSKIGVRSRACYYSYSEVLTAEKKGFEGFTDSFSSHPTMKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSINTHLKTVKMSLKGKNPVTMDHLSLRGNNIRYFILPDSLNLETLLVEDTPRLKPKKPVAGKPVGRGRGRGGARGGRGR >A03p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5070485:5074925:1 gene:A03p012800.1_BraROA transcript:A03p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLGLKSKFSNPLCLGALSLSLSLHSSVTVLTFTVCVCAIMAMSDLSTPKTTSPFLPSSSQLRLSSKLHLSNQFRHLHLLPPPLHTTSISKISCSVSQNNQAPVAVQENGSVKTKKECYGVFCLTYDLKAEEETKSWKKMINIAVSGAAGMISNHLLFKLASGSVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVDIGTDPYEVFQDVDWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASPNVKVLVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGRPVKEVITDHKWLEEGFTESVQKRGGLLIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGVYTNGNPYGIAEDLVFSMPCRSKGDGDYELVKDVEIDDYLRNRIAKSEAELLAEKKCVAHLTGDGIAFCDLGPVDTMLPGEIIIHGCKVDGPYAKLETHKRQ >A01g500130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:345826:347808:-1 gene:A01g500130.1_BraROA transcript:A01g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPWLSSAHASALPPALLTTGDLPPPVPDPPDPPPPPSSFPLSHYPPLSSSTSKLVSEKSPSVISSTSEKLASVVKFPASSTRNNGSKSSAAPLRAGMEKIQNPKSKTTAHQQYVVIQPKNSSPIQTNKASNFSTSTYRPPPPPISSNTSPASKNNLDHTVPSLNSALNPPTDVVPLSSPKTSTQDPPILSSQVLPSSQPHLPPVNPAEPPPSLHPPSSANRVPAKNLVERIRIAEDKSLHKLATPTISETGRPRVLIPDEVFQKGAEIHKDFIVCYFNGRPPPFKQIQNILDHMWGKGKRVEIHNNPLTRSLLVRIPSDYLRQKILEKCIWYVGDSMFHTAQWTSAHSISSPPLDSIPIWAHLHGIPLDLRHQEGLSLVAGLVGYPKETDDFTKNLVSLVTSHAKVVVDLTKPLPPVVEFVRQSGEVVEVTVTYPWLPPTCSHCKELGHISKNCLLLPLPSLNKQPVKEPLATPSKSKPKGPTTKRSTTNEVPSNTAHPNTDLSSSDPQDEVSLPSEPMQEDVNPVLPPSPFSPPILPPTSPLPLPADPSFKTPIPHPNIASPVPTAPHAFGHTADCPKPSLKRSRSHPNINLSPSPERNHPFSTIDSASNQTSFLPPINPISLPSNPSPIPVTSTSNSFAILDTAVSLPVGETSSSS >A10p000770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:386972:387312:1 gene:A10p000770.1_BraROA transcript:A10p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGGGSTPGTVSNKDWWKATDEKFQAWPRTAGPPVVMNPISRQNFIVKSRPE >A06p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20044524:20044899:1 gene:A06p036990.1_BraROA transcript:A06p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLVLRAATEATLVCSVSYHSRFIFLRVTAGQACVDCGFQKVGPIPSRQSAQSLTGRPADWMPKECIGTLLDAAGCSRTLQVDPLLT >A01p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7746721:7748058:1 gene:A01p015810.1_BraROA transcript:A01p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDLKAVVRGYSSSSDVFSGKSSDEFSPSFCLPIETASLYEQEAEKIGLDELGVLYKPFYPSSTQTLTNSVSVPEDSRCFRDDKKQRTHGCLLASGSRVNRIRIPESWKSKKNQQKRVVEQVNEENLLSDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERNPQKPEKFTITYTNEHDHEIPTRRNSLAGTTRAKSSKPKPCITKKSGKQVVSSPTSNPVITSGDESSVVVQATGVAEMSTYLTTGEIEGTSKSLPTDLSSDTGHIPSGFDEILNSPEFINGYLWDY >A02p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10147946:10149319:1 gene:A02p021480.1_BraROA transcript:A02p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLSTPFSVPKLPSLKRKRPPQIEIPNILQEIQSDDLRFRDSAHQNDAVCSGGNGFGVVSRKGKKKFMEDSHRVAPCSVGSSDTSFFGVYDGHGGCKAADFVAENLHKNVLEMLKGCNEKEEAFKAAYLRTDLDFLEEGVVSGACCVTALIQNQEMIVSNLGDCRAVLCRGGVAEALTTDHRAGRDDERKRIENQGGYVEFHRGAWRVHGILAISRSIGDAHLKKWVVAEPETRIVELEQDMEFLVLASDGLWDVVSNQEAVDTVLSVQAQRKTPRETEDEGLIKGLVNVSPSSKLRRVSLVKQQKELFPVQSPYHSENESPYYHEMGSPPSKARKITVLKRIKMKSESSWAKEASKELVNLAVSRGSMDDITVVIVDLNHYKC >A08p024720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16039477:16040089:-1 gene:A08p024720.1_BraROA transcript:A08p024720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKKDSTSTRSSTSPCADLRTAYHNCFNKWYSEKFVKGQWDKEECVAEWNKYRECLSENLDGKILTRMLEVDSELNPTKQADSKESSR >SC124g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:240846:256510:1 gene:SC124g500120.1_BraROA transcript:SC124g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNTQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKGLDFATPLDRAGVARERPSGQNPGEKSPIEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRAHNYAINSDQGRTTGNTWTRNQGYDENTFCDFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDQPPKTDRNLPAEKSPQRNQPGDKRAKSTPGKDGLKSSTDANASDVEARHKSKTHATTQPEHPENSKPRKAARDSKPPTASPVKVPGQRSTKRIRRTIHFLATIGKPGRNLLGIRGNRDGIPEPLNPLVDRRYKRLSVGMIIHPTLHQAHFVLEHVVIGLRPPKTSNRTAALTKVTHRGKGILEVPILNLELRGTSLHHLDDFPFALPFRFADSPRMIASKLRLSLQHLALHASKIPLRFLRFEAVNPGFSMTRLNGRAQQAQALQNRLTYAEWQGESTDLSQLRNDPTLGREFRHIPRGGEPGTPQHPGYGRTIASSRETRRILRCMILTGWGANCWGQKRLRRNYHPKILGDRISERDSKRKDVIFVKITNTKFLRRSILWDSNRTNQARSPRIHAVRSLCSNQTRAKLGRYVATELEPKLGRYVATELEPKLGRYVATELKPKLGRYVATERSSRSRPSSAKLGYRYVVSDKACQLASLRITEGSPAGRYERSSRSDRYVATELEGKAPVATYATERSSWLGDPLRSDRALAQSSSLRSEPGLEPKVRSLRSDRAIVPLDRYVATELEPKLGRYVTTERSSRSRPRDRPARSLRSDRPARSLRRDRARGKARSLRSDRAIVLLGRYVATELEPKLGRYEATGLEPKFGPWFTLGPQGRINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLREEDCDSIRFSRLRVTRTRNLADSSRAQAYTLLDSKRKDVIFVKITNTKFLRRSTLWDSNRTNQSQSPRVHAVRSLCSNQTRAKLDRYVATELEPKLRSDRAIVPLGRYGRSSQSSRPSDRPTRSLRSDRARAKARSLRSDRAIVPLGRYVAIERSSRSVATKRPGSSQTWFTLGPQGRINVKFPRINTEVLKIIVKIGKNEISPFLCYDGLRAEDCDSIRFSRLRVTRTRNLADSSRAQPYTLFVNFGSHSLALEGGGGGTDQSNPQKPHNSQSDMSTNDADNTQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKWSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELLEVTSVKDLILDSDQPPKTDRNLPAEKSPQRNQPGDKRAKSAPGEDEVKSSIDANASDVEARHKYEAHATTQPEHPENSENSKRNIHHINKPRKAARDSKPPTASPVKVPGQRSTERIRRTIHFLATIGKPGRNLPSIRGNRDGIPEPLNPLVDRRYKRLSVGMIIHPTLHRAHFVLEHVVIGLRPPKTSNRTAALTKVTHRGKGILEVPILNLELRGTSLHHLDDFPFALPFRFADSPRMIASKLRLSLQHLALHASKIPLRFLRFEAVNPGFSMTRLNGRAQQAQALQNRLTYAEWQGESTDLSQLRNDPTLGREFRVWGTIGDNALRTLHSKHEDLLFKLGLSDINSIHHT >A01p000110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28701:32241:1 gene:A01p000110.1_BraROA transcript:A01p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVMESCGSRVLPMMSQAGMERRERMKVEVFEEVILRLRQSDNIDTDLPGFVDDLWAHFNRLPARYALDVNVERAEDVVMHQRLLLSALDPHNRRPAIQVRLVQVQVQQPPADSDSLTNETTSSPASIHPPPAFGSSPNLEALALALAAHDHDEGDNSVRNNSLYSRPLHEITFSTLDKPKLLLQLTALLAELGLNIQEAHVFSTTDGFSLDVFVVDGWYEEVDRLRMALETEAAKIELQDQSWPMQQSFSPEKQNGTAKDHVVIPTDGTDVWEINLKQLKFGHKIASGSYGNLYKGTYLSQEVAIKILKPERLDSELEKEFSQEVFIMRKVRHKNVVQFIGACTKSPHLCIVTEFMPGGSVYDYLHKQKGVFKLPALFKVAIDICKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSYGIVLWELLTGKLPYEYMTPLQAAVGVVQKGLRPKIPKKTHPKMRELMERLWEKDPSLRPDFAEIKEKLEEIAKEVGEEGEERKKASRGGGGIFAALRRSATTHH >A02g511780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31827589:31828172:-1 gene:A02g511780.1_BraROA transcript:A02g511780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFSRVFGGCRMLMAKATTNAAATGIVAGKEGKGILKTVPVSKTLASFAGESELSRATAVKKVWEHIKGNNLQNPENRKEIICDDKLKTIFEGKDKVGFTEIAKLLSPHFPKSV >A01p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5233448:5239469:1 gene:A01p010730.1_BraROA transcript:A01p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLKFVGMNRTIVRRMSSVNSKFFHLALVECRDFKGTRLNITGASDSPNSDATHIVSIGQGNSQITITSIKCGPGHARTNRLDLIGIECFTYDLLLKWLREHASKVKLSEIYFKNIRGTLSSRVAVQLRCSKGMPYKKGVEKSRPETEELKLSLLLVDTYCSLLVAKMEVESETQELHIHVNGEPERKSSTEQRSHNYSWRLRVSLYVILLLAGETIATLLGRLYYDKGGNSIWLETLVQLVGFPLTLPCYYYIKHESSSKTNNLTNKTTTSFLTLPLVYIGLGLLAAGHSVLYSFGLLYLPVSTFSLISASQLAFNAVFSYFLNSQKFTPCILNSLVLLTISSTLLVIQPESESSTSNPSSKYNYVIGYICAIGSSAGYSLVLSLTDYAFEKILKKYTFKAILDMVTYQSLVATCAVVVGLFVSGGWKMLRTEMEEFRLGKNSYILINIGAVISWQACWIGSVGLILEVSSLFSNVISTLCLPVVPVLAVVFFRDEMSGIKLIAMFLAIWGFVSYAYQHYVDDPKQEEEQEIPQGEEEEKETQEEKNDQNLEANLIDHEVNDSSSVPQTKNYKKWLRISIYVFLVLTCQALSTILGRLYYENGGKSTWMVTVLQRIGFPLLFLYKFFSLNKQQEKTDPSFINTTLGLAYICLGLLASAISYMSSVGLLYLPVSTFSLIFASQLAFTALFSYFLNSQKFTPYIVNSLFLLTVSSALLVFNNESQNIRNVSRVEYVVGFICTVSASAGIGLILSLVQLILTKVLKNPSFSAVMDMAIYQTLVSCCVVLIGIFASGEWKLIASEMRNYRLGKVSYVMTLSSAAVSSQVYTIGAVGLIFESSSVFSNTVTAVGLPIVPVVAVIVFHDKMDAFKIFSITLAIWGFLSFVYQHYLDEKKLKTCHHVEEDTQNLLLVHLQGVQQGKEPNPTVQEERNQTGVTHSKTYKRWLRVAVYTFFVISGQSVATILGRIYYDNGGNSKWLATVVQLVGFPVLLPYYLLSIKTHTTTNVDGKAASLRNRVLVYVVLGVLVGADCYLYSIGLLHLPVSTYSLICASQLAFNAFFSYFLNSQKLTPIILNSLLLLTISSTLLAFNNEDSNSQKVTKAQYVTSFLCTIGASAGFGLVLSLQQLAFRRVLKRQTFTEVMDMIIYMSLVASCVSLVGLFASSEWKTLSSEMDNYKLGKVSYVMNLVWTAITWQVFNIGGTGLIFELSSLFSNAISVLGLPVVPIMAVIIFHDKMNGLKVISMILAIWGFMSYVYQHYLDDKRLKRSVGILKAESSVPPEAEGPTNGQKIETSAS >A09g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23522743:23522996:1 gene:A09g508360.1_BraROA transcript:A09g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSVSIDVRTEVSIDIGWKMSVDGMCLRLIVVSEYRSTGLVSGTTVVVLSIDEERASLRIERSKLAGSGENNS >A09p018520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9695217:9695417:1 gene:A09p018520.1_BraROA transcript:A09p018520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVWCLDIDRWYLCTSIDINLHLSRHFLISIVSTEAHRSIVLPLVDLYVVSSGEMSFKLQNALQS >A02p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2547964:2548606:-1 gene:A02p005980.1_BraROA transcript:A02p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVAMPTHNKRIEYSLQYIHGIGRTRARQILVDLQMENKITKDMAEEELIVLRDEVSKYMIEGDLRRFNALAIKRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKVAIAGKKKVSK >A02p012350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5400522:5402577:1 gene:A02p012350.1_BraROA transcript:A02p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPETVNGVKENKLWKGVFAVSGIMSTLVIYGVLQEKIMRVPYGLNKEFFKYSLFLVFCNRLTTSAVSAGALLASKKVLDPVAPVYKYCLISVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYKGFDYLVAFLVTLGCSVFILFPAGDDASPYNKGRENTVWGVSLMAGYLGFDGVTSTFQDKLFKGYNMEIHNQIFYTTLCSCVLSFTGLILQGHLLLAVDFVSRHRDCLLDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLASIMLSCIWFSHPLSWEQCIGSVIVFGSLYAKNLLNNNKRTEKQPPPPELPQYEKPEGS >A10g502340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:6415977:6416219:-1 gene:A10g502340.1_BraROA transcript:A10g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEVNDTTQLPPPLVDAHGEEREREAAWGERGEKEAWRGKREERCGKERERGERQHGERERKSMARASGLREFSAGLRF >A08p027370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17287866:17290458:-1 gene:A08p027370.1_BraROA transcript:A08p027370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGGGGGGGDFPPKKDGESDDSCFPAKKEATQLDFTGGSDEHYSESHTVVTPSVTPPVTTTPSRLHPVARPALPVVTSQSQSQILNAPISLPKPPESPESRARPIVEGRDGTPQKKKHCNCKLSRCLKLYCECFAAGTYCDGCNCLNCFNNVDNEPARRDAVEATLDRNPNAFRPKIASSPHGVRDKREEIVLLGKHNKGCHCKKSGCLKKYCECFQANVLCSENCRCLGCKNFEGSEERQALFHGEHANNMAYLQQAANAAITGAVGSSGFAPFPAPKRRKGQDISFKKATNDSSMHRLGQFQQASNGRTSGPTSTTSPANVSRAGGNSSAPSKFVYRSLLAEIIQPQDVKALCSVLVAVAGETTKTLTDRRNETEKRVEDQTETSLASYNSQGNKTDGNQADGSKGNPLSPATLALMCDEQESLFMVAAAEPNGSMDPGDRRTNSQGQSEIYAEKERVVLTKFRDCLTRLISYADFKESKCSYLARSHIQSPSTAPTETVKTENGIQQRPPVVNGASLTTTQPALNKPQPLQPTNTTDTSSSHHPHKPPALPENKDL >A03p057490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24950946:24951522:1 gene:A03p057490.1_BraROA transcript:A03p057490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVGAVSASTVLHIALSGAREWQMVHDTIPVKPHTSTITGNQPIFPPNLTLVNSDASWFQESSAAGFGWVLEESQRGILIEGSTHSDFVSSPFVAEALALREAMVAVQHSPNVRMRSDNLKFIKTINSKSFPMELYGVLKDIKYLSLSFDFIFFSHVQRSCNVLAEKRSPLCHPTLY >A02g512240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33180658:33182073:-1 gene:A02g512240.1_BraROA transcript:A02g512240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVQPKNREHNAPLCRGTNVCYSVFYNLANKLDEKLVLTGYEHKVIVATNINPKLVGASTKYGGVQKLESVTVAKLNAYVLNSEPQMQPQLFSSVTFTSNLYRLMIPMSSITGSRYLCTLEVVKAGLHSTLLQNIRLLQRHGPLTTVNVCHKPQPNFEGKIYVHTDKPWRVYYRKKKEAVRREEENKEVASADVD >A06g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17184898:17187480:1 gene:A06g506040.1_BraROA transcript:A06g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRKTMTDRGMRIKYALLALLSAVILPTSHYPRILHAADEKIKDVVQFLSYPWGRESFDMLMNSIKERNELSLSHNTIAFKGFVMSIQLVLIEAVPSLMGVVRDGASSGSEAESEEDEESGVVEREGKKSINTGHIRSIDSACKVNVVSIISDGADLPNFVSDVGSDDEEDVLVDNLGGATKADVSRMHDEAVKENNNRKTARANRKLPATEGIDAEYVASIVKNSLSADLSNMGDQIKDIKEILDSMTKYCTCTHARLPVDARPNNTGKSNVPGGDTTSFVVSDIIQEAMRFANKESTHTRQDIFSESLRSEDQEAMDPNLNHGVGTRRKVSEAHINYNTDPLEAYMFNEEADDIGEVVAGQDTVTNRNADGEDTVEHVIAPPLNSTETSMEDPTNVVNANQCRRTYCGRSRGYEGVGEVEDMKVLVSDLKEEVSEMRSDMVGLAKQLDYSQHMLQMSAKGSSTGCCIVKEILSDEFAYHGDGPISFSTTNYMHTPKTSNT >A01p054740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30917635:30917957:-1 gene:A01p054740.1_BraROA transcript:A01p054740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISAVFVILFLVSSCMVKATVTLPLIICQTDEDCLKVGLPKCEDTGKMPICLHNYCGCPDKRHPASPTSSSVTSNS >A09g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11934754:11940604:1 gene:A09g503770.1_BraROA transcript:A09g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEAEIPRRQEKRRKLPSLETALHKGRGVARVTRQAARRSGSLAGLGQGVGKLPECELRLSDRFVKGRKGEKPPMGGYGTIMGRFWEEGIENTEKIQERKRDTDLGLADLIQEIILECSWCVWACDQEDDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >SC253g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000103.1:103989:105809:-1 gene:SC253g500020.1_BraROA transcript:SC253g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQPEPEPDLTEPDIQDMISNITKSETIQEVPVPTVFKGAITRQRAKVKLAGEPSLKLDELKGAEPVKEKQASISVQIPWLIRSVHPDHPCASLVYLARYNSTAYMGEASEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPFHENPETSTRNQQTDEDTGQQHGPIPNQRAGLQPNDYGEEEEEEGFAPQPRAPRRQNRHQ >A09p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25653044:25657671:1 gene:A09p039150.1_BraROA transcript:A09p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHARRHLHVHLPFSMSGVHASRHTGLCMSVRMRRSQVLRHLVLLCVELHGTVSCTSTPPSCVDTKQVKWLTPRPDPLDQATSSFSVDLRYFGSSAHTGRPWLSISTHISTLILGLRTLTLPVDILGDSGPGGLFVQYTQDVCGCPPEHTGHPWLSVSTHRTSVAVRVCRCVSVSTHKTTHRTSVGVRQHTQDVRGCPCLFDSTHSMSLAVRVCPSSHKRRPWMSISTHISTLVLGLSTLALPVDCSGDFGPCGLSVQYTQDVRGCPPAHTGRPCVSVSTHRTSVAVRECPCVSVSTHMTTVAVHQCISARWSMDSACWPFPWTVRVCPSEHTGRPCAVCVCPSAHTRRPWLCISTHISTLVLGLRTLALPVDFLGDFGPRGLSVKYTQDVRGCLWLSVSTHRTSVAVRGCLSAHTGRLWLFVAVRQHTQDVCGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPCRGLFGRPCVSVSTHKTSVAVRVCPFMSVSTHRTTVAVHQYISSRCSLDLARWPFPWTVQDVRGCPCVSVCVRQHTEDVCGCPSVHISARWTSVGVRQNTQDVRGCLCVSVSTHKTSVAVHQYTYQHAGPWTQHVGPSHGLSGPHKTSVGVLQYTYQHAGPLTQHAGPYCGLFGTHMMYVAVHQYTYQHVGPWTQHADPSRGLFGMSVAVRECPCVSVCVCQHTGHPWLSISTHISTLVLGISTLALPVDYLGEFGPRGLSVGVRQHTGRMWLSVAVRQHTQTFVAVCGFPSAHTGRLWLFVCVRVYPCVSVCVRGCRQHTQDVRGCPSAHTGRLCVSVSTHRTSVCVCVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDGRGCPPAHTGHLWLSVSTHWTSVAVRVCPCVSVFVHQHTQDFRGCPSVHISARWSLDSARWPLLWTVRVILAHVGCLFSTNRTFVGVRQHTKTYVAVCVCPTLTLPVDCSGDFGQRELSVQYIHDVRGCPLAQTGCQWLSVSVRVCPSVSVSTQDIRGYPSVHISARWSLDSARWPFPWTIWVNLAHVGCLFSTHRTSVGVRQHTGHLFLSVAVRQHTQTFVAVCGFPSARTGRLWLFVCVRVCPWVSPAHTGRPWLSVSTHKTSLCVRKHTQDFRVCLCVSVSTHRTSVAVHQYTYQHVGSWCNTPIRPTRPWSKSYVARSTS >A05g510270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31792174:31793908:1 gene:A05g510270.1_BraROA transcript:A05g510270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLRRQTPADLEPSERDIGELSQSPSTEIRSVTPPPSHPLGHQCVRDVETSPEQEFQPEIRRDAPTRAGGSSARESHAPPSPPDIRRSNRSRPLSHRPFAEGKPPPHRRRVSAAAGDFPVSHHRRWPPPATGLRRLAGRLAGNSVTRPTGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWTLLERAGVQRHTVLATLRIIYPYEEMRGVWTSFMLSSHCLWEFYLLQSVVCVFSIPIPHGVRLTSRSDCYRIGALGVLFLLFSDFRFYDFIDISGFISFIAFMVIYWIYDFGVDF >A09p074720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56590430:56593086:1 gene:A09p074720.1_BraROA transcript:A09p074720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) UniProtKB/Swiss-Prot;Acc:Q9SA27] MEEPTSSSEECGITIIAKPRKKTLNPQTQLPKLDPTPQFEKFTSTDSTTSAANFESLGLSEWASETCKELGMRKPTPVQTHCIPKILSGRDVLGLAQTGSGKTAAFALPILNRLAEDPYGVFALVVTPTRELAFQLAEQFKALGSCLNLRCCVIVGGMDMLTQTRSLISRPHVVITTPGRIKVLLENNPDVPPVFSRTKFLVLDEADRVLDVGFQDELRTIFNCLPKTRQTLLFSATMTSNLQTLLEHSSNKAYFYEAYEGLKTVDTLKQQYIFIDKDAKELYLVHILSQMEDKEIRSAMIFVSTCRTCQRLSLMLEELEVENAALHSLNSQSLRLSALSKFKSGKVPILLATDVASRGLDIPTVDLVINYDIPRYPRDYVHRVGRTARAGRGGLAVSIITETDVNLIHEIEAEVGKQMELYNYREITDSLEVTKVSKAKRVAMMKMLDDGFEDKVKDRRKLKRKTLADKGMLKKRSKTRKSAEEK >A09g515110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45143508:45144043:-1 gene:A09g515110.1_BraROA transcript:A09g515110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGLAVPGRVVTAIGERVQSVPLIKSMARNDTEGMQWLRSNHPFSYIRKASTCLCRHARASFYTLKHKESREKYRELVGFPIQDPWWCEGHKETVLGQIKGEVENDPLNALSGAWEHTQMVRKGGSWPESIPKGQCSLKGRLVWKAVSWGTKREVMHDPWMVIDHG >A09p047650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41378212:41394611:1 gene:A09p047650.1_BraROA transcript:A09p047650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADKKNKKGVNIFAFSVFAIFCFKFYSVNAVKLPKSEVDALQQIAKTLGSKFWKFDAENCKVETVGLTETPPPTAKQEIECECSPTNETDCHIIKVAFKDHNLPGTLPPEIQKLPYLQEIDFAYNYLNGTIPLEWTMTNLTTISLLVNRLSGPIPKEVGDMTSLTSLDLESNAFTGTIPEELGNLANLEKLLLSSNKLTGGLPDSLAKLEKLEDFRINDLQLTGRIPSYIQNWKGLKRLEMIASGLTGPIPSVISTFDKMKNLRITDIGGPVQPFPSLKNSTEFSKLILKNCNIAGQIPTYLSSLKNLETLDLSFNKLAGTIPAFAQAEDLRMLTGNKLEGEAPDGLLRDGITVDLSYNNLKWQSPENYSCLNINCGGNDVTVEANNSKIIYEGDGEVEGGAAKYYLNPESYWGFSSTGDYMDDNNFQNTRFTMFVPTSNLSERYKTARIAPTSLTYFHACLENGKYTVNLDFAEMRFTNDDTYSHLGRRIFDIYIQEKLVLKDFNIMAEAKEAQKPITKSFTVDVTNHFLAIRLGWAGKGTTRIPERGVYGPIISAISILSDSKPCALAGSGMSTGASVGLGIGVACLIIFLLGLLWFCGCLPCFGRRKDPNEEELPSGTFTLRQIKAATNDFSPANKIGEGGFGPVFKGVLSDGRVVAVKQLSSKSRQGNREFLNEIGAISCLQHPNLVKLHGFCVERAQMLLVYECMENNSLAQALFSPKDKQIPMDWTTRFNICCGVAKGLAFLHEESPLKFVHRDIKATNILLDKDLTPKISDFGLARLDEEENTHVSTKVAGTIGYMAPEYALWGYLSFKADVYSYGVLVLEIVAGINNSSFMAAGDEVCLLEWATQCEESGHLMQVVDERLRPEVNKKEAEAVIKVALVCTNASPTDRPIMSEVVAMLEGFYPVPDSTPGTSKKSGDIRFKAFKDVRKGMDNDSKTQCSVNSYPSSSFSSTNNVDTNALTLQQKGDILEIVDPMLEGEFNSKEAARMINVALVCTNSSPSMRPTMSEAVQMLEGVIEIKEVMPDSGLYGHDWSMSKLMHIDTRGRSSTSGVTDQTETSMKSSVSGFDLYQYPSSSLECP >A09p041390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23417895:23419812:1 gene:A09p041390.1_BraROA transcript:A09p041390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGSKSSYFLISHGNRSSISSIWVLRGTAFTVFWDLRSGRINLCRYGFMVEYFFKEDMENSDQYNRMLGASLCCIILILSFFDIKTRKLRRRETREGTGGWSDGKQHDDRARSYKGVVINGNMGPQNKERDSREYYVKGKGKMHEEPDSKWVKVAERGSKRPPNHYGNYRRDSESSRYKNVRREDGMRAYEAHTKLSSGQAQEDQSQRSLPQETREEGEIKSNETEDVRLASAGFQLELAKTQAEATEGIMEATDEEKGSLEVQGMVEKQDELTDDVVKDIDMEMDAITATLLKSGMDMEAEDEFKTLSEEEAEQASGAQIVHTQAQEEEELGNGFGDKAKHSTAGSNKTRMASALLSPCKRAAAKGGSRQGDSSKQLENKGPSHPKPANLKF >A10p002260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1174847:1176871:-1 gene:A10p002260.1_BraROA transcript:A10p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALLYDECRSRTGKPPEEKPPMRRLSRKPFSVTPLNRPRSSSKCFYLHKGGDFLSEGSKSVRWLFSGGSSLPPPEWIEPFNDVSDLVKTSRNLNPSPWVSQILNLLDGSESMEANLDAFCRKFLIKLSPNFVTFVLKSDEVREGQHVIAWRFFNWAGKQKKYTHNLECYVSLVDVLAIAKDVDRIRILCGELRRKEFALSVFASNELIKSFGKLGMVEELLWVWRQMKENNIEPTLYTYNFLMNGLVSSTYIDSAERVFEAMESGRIKPDVVTYNTMIKGYCKTGQTQKALEKVRDMETRGLEGDKITYMTLIQACYADSDFTSCVALYQEMNEKGIQVPPHAYSLVIGGLCKEGKLNEGCAVFENMIRKGTKPNVAVYTVLIDGYVKFGSVEEALRLLGRMISEGFEPDVVTYSVVVNGLCKNGRVEEALEYYKTCRFKGLAVNSMFYSSLIDGLGKAGRVDEAERLFEEMGCSRDSYCYNALIDAFTKSGKVEEALALFKRMEEEEGCDQTVYTYTILISGMFKERRNEEALKLWGMMIDKGITPTAACFRALSTGLCLSGKVGRACKILDELAPMGVILDAACEDMINTLCKAGRIKEACRLADGITERGREVPGRIRTVMINALRKVGKADLAMKLMHSKIGIGYERMGSVKRRVKFRTLLESFDHDF >A07p041730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22496675:22499220:-1 gene:A07p041730.1_BraROA transcript:A07p041730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SIEVE ELEMENT OCCLUSION C [Source:Projected from Arabidopsis thaliana (AT1G67790) UniProtKB/Swiss-Prot;Acc:Q9FXE2] MDSTSSISALTEDIIVEQILRTHDPDGRWIDSKTLLQEVEAILSFVLQSDVSKPLLTENCMTDIEVFESKETLPYAISRISVQMLCPCMGENEIHTRTMVLFDLLKEYRWDAKAVLVLGALAATYGGLLLPIHLGSNDPVAASIATLNQLPMERTTFRPWLNPLSLLIKVLVDVTKCITEFERLPFKQAKVDSNLVGETMSKIRLATYWVVKSALACLQQIPYFKQPQQVHFLPFFCFKQPQQVNSLKYSGNRIKESCRGTFQFGISVAQHAYPSQQASRRMQYTDRLRNINRETHQDNQEVLQLLFSLQDDLLLQQYSRQIAITDLKEKVILLLLSKPELSPTEPFHFLLQQLYHHPSNTNTEILWTPIPASQKWTEEEKQTVNFSSNSLPCISVKRPWLMSSTVLNFLRTEWPYRDGETMVAVMDTNGKVVNMNAMDMVLIWGGKAYPFTASREDELWEEDHTLSLQLMLDGIHPEFNTWVKEGREICLLGSDDSNWVDEINSLARKLQNLGFEFELIDLSKRTVDSMEESSIQELFWLRLESIKRSKLKRIESSNPDRVFEEVTELLEFDSGEQKGWAVVGNGSTAEKEKIVGEKLTERMRRIVRWGECAAGMGFAEAIRIAEEEEPCEESHTVVVPFEEGLRVVTCEKCKRPMKKFVAYQ >A05p052350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32389038:32390075:-1 gene:A05p052350.1_BraROA transcript:A05p052350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALQAAALLNVTHLRMFSDNQTLIRAINVKLFEEKKIYGIVKDIEATFLLFIDLSFFFLPKDATAAAAPPHLRRRLQWKTKRTAPKSEDVYLKLTVKLYRFLVRRTQSKFNAVILK >A01p018810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9148119:9152398:-1 gene:A01p018810.1_BraROA transcript:A01p018810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFRNKAWVFLGIICCSLLICSVKAMVTYDHKAVIINGQRRILLSGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVKFVKLVQQAGLYVHIRIGPYVCAEWNFGGFPVWLKYVPGMAFRTDNEPFKAAMQKFTEKIVGMMKEEKLFETQGGPIILSQIENEYGPIEWEIGAPGKAYTKWAAQMAEGLSTGVPWIMCKQDDAPDSIINTCNGYYCENFKPNSDNKPKMWTENWTGWFTEFGGAVPYRPAEDIAFSVARFIQNGGSFINYYMYHGGTNFDRTAGEFMATSYDYDAPLDEYGLPREPKYSHLTKLHKVIKLCEPALVSVDPTVTSLGDKQEAHVFKSKSSCAAFLSNYNSSSAARVSFGGSTYDLPPWSVSILPNCKTEYYNTAKVRAPSIHMKMVPTDTQLSWGSYSEEIPSPNYNGTFAKDGLVEQISITRDKTDYFWYLTDITISADEKFLKTGEDPLLTIGSAGHALSVFVNGQLAGTAYGSLEKPKLTFSQKIKLAAGVNKLALLSIAVGLPNVGLHYETRNTGVLGPVTLEGVNSGTWDMSKWKWSYKIGTNGEALSIHTVTGSSTVEWKEGALVAKKQPLTWYKSTFDTPAGNEPLALDMNTMGKGQVWINGQNIGRHWPAYKARGKCERCSYAGTFNENKCLSNCGEASQRWYHVPRSWLKPSANLIVVLEEWGGDPNGISLVRRTSKKIASESIFSG >A10g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3026686:3027589:1 gene:A10g501070.1_BraROA transcript:A10g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTQRLGGYFVIANAIVSVYSFLVLFLPKESLLWKFVVVLDLFFLVNGKLTVKMVTMLLTSSLLAAVAVAQVGKRGNTNAGWLPICGQVPRCDQITGALIADLVALILYVLFCSSSPL >A06p027430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8275498:8277385:1 gene:A06p027430.1_BraROA transcript:A06p027430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNVLASLRTSRQAFYGQNRSSSQLDGLFGFRADGPDPGQWRSVGVRPCSHGPLGFGTRPWAKSIMLGNLRSSMGDREQEKNMENTDTVQKARVAKGHELPRVLSYQRSRVTKGCEQPKGVSNQRVQVAKGYEHQEVRGPRGTRTERYEDQESQGLIGCLAYRIGLEAYSAVGSRPKAGSVKGWLVEDLGYGRQELRMVLVKPRSREGSVSERPCNVWLDDARDELVIVYETIKKLCVGSHVSK >A03g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6866641:6868208:1 gene:A03g502030.1_BraROA transcript:A03g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDSTGDKVSSFASHLRRLSRRRNPVPIPASSLPFLMTKKKKPKRGLSGKGGSASPSSSASSQCSGASKQVSSKAPASSSPIKLDLAASIVDGSVDLPVPDLQLESRATVQTSIDAIEPAAKEVSSTPSAPTKAQDSGPQDTSVPSAPATSVANPEPETQADLPTLPSAPATIVANPELETQVDLPIINPLAEKATTAAAVAPATLPANKQRHCPSC >A03p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1007344:1008846:1 gene:A03p002110.1_BraROA transcript:A03p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKVMFLIMRKPLKGFRNVVHLLPSAETARAKKKALGMTGSRRVEQDCKAAAKEVLTIVRGIQSGTFSL >A03p007510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3100183:3101644:-1 gene:A03p007510.1_BraROA transcript:A03p007510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase 7 [Source:Projected from Arabidopsis thaliana (AT5G15720) UniProtKB/Swiss-Prot;Acc:Q8LFJ9] MKTLLLFSKTKMKSLLFCVVFLELVWFGYCHDQSRNHHPLALAFFIFGDSLVDSGNNNYIPTLAKANYLPYGIDFGFPTGSFCNGRTVVDYGAMYLGLPLVPPYLSPVSIGGNVLKGLNYASAAAGILDETGQHYGARTTLNGQISQFEMTIQLQLQPFFQNPADLRKYLAKSIIAINIGSNDYINNYLTPDRYSSSQIYGGKEYAILLIKTLSAQISRLYNLGARKMVLAGSGPLGCIPSQLSMVKGKNSSGCVTKINNLISMFNSRLKDLPNTLNKTLPGSLFIYQNFYDLFHDMVVNPSRYGLVIANKACCGGGRYGGALTCLPLQQPCLDRHHYVFWDAFHPTEIANKFIAKNTFSKSTKYSYPISFYELAKM >A04p012860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4040789:4041074:1 gene:A04p012860.1_BraROA transcript:A04p012860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGQNQWTECSSEELLSVQENGKDFYVHLEAREATSSVSEQFSWVLLICSRTPNLAHR >A10p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20257422:20264379:-1 gene:A10p035140.1_BraROA transcript:A10p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFPLGVRFITHSLPCTHLASSMNSAALIYSFRAVPVISKALPSRLTCLGLCSRVSFSTRPEFGRRGEIRASKSLIEDEAELSDWVSDLRTSSVRGKFTSDEDEAVQERVRRNVERDDGRGPPRRGREGQADRFGGSRRGKEGEVDRFGGSRRGKEGEIDRFGGSNRRRTEPVRNNRFGDREGARNGRIQGRSGESSFRGRNERNVDAGFRRGGGMETNKGLGKQTRGLRQEEEDSSEEVVLGGIDDLLSEVSSEDDSEDDEAFVGKVVKGVEADMPRTDAAKTSDSYLSKTRFDQFPLSPLSLRAIKDAGFETMTVVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEAVIKSPPASRDSRQSPIVVLVVCPTRELASQAAAEANTLLKYHSSIGVQVVIGGTKLPTEQRRMQANPCQILVATPGRLKDHIENTSGFATRLNGVKVLVLDEADHLLDMGFRRDIERIIAAVPKQRQTFLFSATVPEEVRQICHIALKRDHEFINCVQEGSGETHQKVKQIYMIASLDKHFSLLYVLLKEHMADNPDYKVIIFCTTAMVTRLVADLLGQLSLNVREIHSRKPQGYRTKVSDEFRKSKSIILVTSDVSARGVDYPDVSLVVQMGLPSDREQYIHRLGRTGRKGKEGEGVLMLAPWEEYFLSSVKDLPINKSPLPPIDPEAVKKVQRGLNQVEMKNKEAAYQAWLGYYKSQKMIARDTTRLVELANEFSRSMGLDMPPAIPKNVLGKMGLKNVPAKRRSCFHHSPPPAPLVSMTSDGPKSGKKRREIRAKLAKELASGEDESGNKRGPKRGREDKPADVDEPLIKKAASTVSVEAADNKPKTSDSYLSKTRFDQFPLSPLSLKGIQDAGFKTMTVVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEAVIKSPPVSRDNRHPPIIVLVVCPTRELACQAAAEANILLKYHSSIGVEVVIGGTKLPAEQRRMQKHPCQILVATPGRLIDHIDNTSGFATRLKGVKVLVLDEADHLLDMGFRRDIERIIAAVPKQRQTFLFSATVPEEVRQICHIALKQDHEFVNCVQEGSGETHQKVSQMYMIATLDRHFSLIYALLKKHIADNVGYKVIIFCTTAMVTRLVADLLGQLSLNVREIHSRKPQSYRTRVSDEFRKSKSIILVTSDVSARGVDYPDVSLVVQMGLPSDREQYIHRLGRTGRKGKEGEGVLLLAPWEEYFLSSVKDLPIAKSPLPPIDHEAVKKVQKALSQVEMTHKEAAYQAWLGYYKSQKKIARDTTRLVELANEFSRSMGLDTPPAIPINVIGKMGLKNVPGLRVAPGFDKRQGKKNYRSRHSYGPLVNLPETSNKEKQMTETIGDSNETSI >A10p026900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16979876:16980831:1 gene:A10p026900.1_BraROA transcript:A10p026900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEITLSPVTEKQTKQSINGFELPCDWSWFVKGSVSPGRTDFFVTTYIDLVNRYPLAVLTPNVDESMVQKVLNCEVDEQNAWPLCQTVNIIRLIRFILSGSGSRYITCIWFHLVWQCFLSWAQQLKLGPVTPTHLGMHMAFTKHKILNLTSDVIECLGESLEDTCPAS >A01p044250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24475565:24481343:1 gene:A01p044250.1_BraROA transcript:A01p044250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETQKPKIIFIPYPAQGHVTPMLHLASAFLSRGFSPVVMTPESIHRRISTTNDDLGITFLAISDGQERPDAPPSDFFSIERSMENIMPSQLERILLQEDVGVACVVVDLLASWAIRVADRCGVPVAGFWPVMFAAYRMIEAIPELVRTGIVSRKGFPRQPGKPLLLPEQPLLSAGDLLWLIGTPTAQKGRFKFWQRTLERAKSLRWILVNSFKNEYESEIFNQDRNPQILYVGPLHDQAATSVKTLTKSPTFWEEDRSCLGWLQEQRPNSVIYISFGSWVSPIGESKIRTLALALEASGRPFLWALNRVWQEGLPPGFVHRVTIAKNQGRIVPWAPQTEVLKNDSVGCFVTHCGWNSTMEAVASYRRLVCYPVAGDQFVNCKYIVDVWKIGVRMSGFGEREVGDGLRKVMEDEEIGERLKKLRDKAMGNEARLYSDKMFTLFKDEIKIQSTMDYEHNTNPFARASGSGSASASSSSSFSSSVADTDDDQTIARMLAEEESLRREGMLGKRLSHLDSIPHTPRINTKIPDINDATLDHDLLSVRLATYGLAELQMEGDGNCQFRALADQLFGNPDYHKHVRKHIVKQLKQQRKLYEGYVPMKYRHYTRKMKKPGEWGDHVTLQAAADRPRSAYSHHFGNNPTSRYFLITRTLFVRLGLASGAKCITILYTLVEMFPQENLGGSTGSSRTGSRFV >A04g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:989350:992252:1 gene:A04g500220.1_BraROA transcript:A04g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGAMEDQCMVMCGEWVCDNGGKWDFVLDKRQMARLIPLYEGMSLFELQRNILREFCVEEGLFVAALSYWPPSNLELATGIKTPPVLLTSDGGIRYFLQHLRVKGAMNLFVKFERTSSDDFVDDSGMGFVTPLTCKPKGTSKLSSCASKKNTPFVWSATSKWSSCSTQKEAPFVTAEDCNPKVSGGSSSHCFVTREGPTDAPEEARRKIPTSRVVNGEDVEFVREVERVEEVINCGSVFRHEEVLSGKNVLEDAVDEVDERDVRPRGYDKDFWSPLLNDDYGGSNVVNVIYNEDELVEDLMKNSGGRSSAKSDSGYEEDEAGSKQVW >A03p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15432088:15434918:-1 gene:A03p036840.1_BraROA transcript:A03p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEEVTVPIFSSLESVYGEGSQLQEAKTRFHVLTAKFNQLFGATPQLFARSPGRVNLIGEHVDYEGYSVLPMAIRQDTIVAIRKREGQSQLRIANVNGKYSMCTYPADPHQEIDLKNHKWGHYFICAYKGFHEYAKSKGVNIGSPVGLDVIVDGVVPTGSGLSSSAAFVCSSTIAIMAVFGQNFEKRELAQFTSECEQHIGTQSGGMDQAISIMAKPGFAKLIDFNPVRATDVKLPDCGSFVVAHSLAESQKAVTAAKNFNKRVVECRLASLRLFLMWRVLCVSFAGDHGSSDPLLAVKEYLKEEPYTPEEIEKIVEEKLPSILNNDPTSLAVLNAATHFKLHQRAAHVYSEARRVHGFKDTVYSNLSDEEKLKKLGDLMNGSHYSCSVLYECSCPELEELVQVSRENGALGARLTGAGWGGCAVALVKESGVSQFISVVKEKYYKKRIEKGVVKEEDMELYLFASKPSRLQVFSSYIEVSELFLLEFYSNNKTKFSYMEGLDKLITSYVNEQLMRHEESFKEIPPRDGKRCGAEIED >A05g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29147132:29149385:1 gene:A05g509520.1_BraROA transcript:A05g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATSIK [Source:Projected from Arabidopsis thaliana (AT3G08760) UniProtKB/TrEMBL;Acc:A0A384KX76] MVLGCFPLKSKKKRGGSVSMKRLDLEESKPIALPEPPKPPSRNLQSAPPSFRTRVKPVHSSSNGEMTSRARVMSAPSSIHGTAERDLLAGVYHEEQDEQQPKDPRSSTKEPTPQPQPLPLPSPRTGSSLKNWGSFKSFNGSSGRLSASAVSGPLPLPPSGSVRSFSYDEVVSACSAFATDRCVSEGLSSVMYMASFGDEAASTTNLKKVEATVVRLHVVTQSIREFTNEVNTLASLQHQNLCKLVGYHARDGSDTRMLVYERLALGSLDRLLHGRSDGPPLDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQVDKDFSAKLSGYGCVGHAPETETSNSSALANLSVETLERGVLTPKSNVWSYGIVLLEMLTGRKNMDGSYPKEERNLVKWSRAFLADDCRLSLIMDPQLKGRFPAKAARSIADIAQRCLQAEPSERPTMRNIVDQLKIIQDMKYSCRFPLREPAPAAVRKHMGRSSSLNTIVWTPGVAGAAPRSSFSPSPPARRPSVSPTRGRGLAFPPVFPARVCSSLEEMSREEVRRLSSVSGRRTSLEGF >A03p025920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10933482:10935723:1 gene:A03p025920.1_BraROA transcript:A03p025920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASRICQNPCVISNLSKSNHRKSPFSVSLKTHQQQRRAYQISSWGLKKSNNGSVIRPVKVMASVSTAEKASEIVLQPIRQISGLIKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDINYMLDALNKLGLNVERDSENNRAVVEGCGGIFPASLDSKGDIELYLGNAGTAMRPLTAAVTAAGGNASYVLDGVPRMRERPIGDLVVGLKQLGADVECTLGTNCPPVRVNATGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVSAEHSDSWDRFFVKGGQKYKSPGNAYVEGDASSASYFLAGAAITGETVTVEGCGTTSLQGDVKFAEVLEKMGCKVSWTENSVTVTGPSRDAFGMRHLRAVDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGSDYCVITPPAKLKPAEIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFQVLESITKH >A05g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21134825:21138941:1 gene:A05g507470.1_BraROA transcript:A05g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQKYCSSTDVVIRSATEPEVNPKPYSTSQGANQDIRARKMPYLTNQEGLNHEANFYGFYTQEGVQTNWNWAKIFTEQDVMNFTTQRFLSLSICEYPTLEGDLSSSKERPEANPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANCFNQIQTRNWRPGDHFNQSGGILEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVSDFVETLVPPFYQFVGYPPCAYNILVSELKLLTKGAMVGEAHGQTLEATLSQQLIAIQELNDKIAQLGKRNKPQGRRPQHRERRFGDAPETGYVEPKPPDPSWITSHHTSSTYKYLTHSYLYFKSVNEVKIYSFSGSSWPDDYLSWERTMDDWFSYQGVPKKERLAHAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWKDLKDVMIRKYVTTLPTQETRRKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDQIRPSQMPTVLYDKYQPYEVPKSMEKNLFSPDTLARHKEKSDKPILQGKAKVSPILDKFVYNSSPTSMSHLSLSKNVKTGPEVQKDTNSTSLLESKAGNVTGTKEQEFKEEEPPGVTLEMDQKIVQETMQSILLKEAKPKQCQGKALESQKRMKADLLYLGADYTVSRSKPCQEGGDDVVIRSATEPEVNPKPYSTSQGANQDIRARKMPYLTNQEGLNHEANFYGFYTQEGVQANWNWAKIFTEQDVMNFTTQRFLSLSICEYPTLEGDLSSSKERTEANPVIKFKSILSAFQKDKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPSF >A04g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11879632:11881532:1 gene:A04g505630.1_BraROA transcript:A04g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHCSAVFLSVVIRYTVFRSAHPSSSDSLWLSSVLSLLSPSYGCWCKINKRGESVEDKINKLDVELCKYREQIQKTRSGPVQQALKACMKDNTTCFIIRHSISIKSLSLLNVSKMLNKLSYMRARLPWGSPSVNNSEFWSPSSAGTQLLKEGTPFLIILETYPLPRYIRKKKTMATHKSTKSNRVIVDASKSSSHDQVPPQMKKRTNKSMTRTSIPVSDQTRASDQKGQTT >A01p020800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10161543:10161793:-1 gene:A01p020800.1_BraROA transcript:A01p020800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDFPINDIDKNKNGESCLYDLYALSNHYMVATTLLTPRSLIDDYKWYHFDDGHAHP >A02g512610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34397934:34401584:1 gene:A02g512610.1_BraROA transcript:A02g512610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKLFFWNVRGLNDPDKHKPLSNWLSTYQPLFGAILETHIKDHNLNYVMSKVCRGWNFTSNHSKDADGRIILIWKDTVAVRVLQQSRQSVTCEVKLPGSPQFVFTAIYAENERADRNDLWVELLSLYQTYSLDTVPWILGGDFNQIIHPAEHSLPEVSSLTSDMVELRDCFTQMGIYDLRFQGSLFTWSNHRPEDPITKKLDRLLINNPVLTLFPNCSAFFHPTLTSDHCPCTLDLATKIPAAGNRPFKFYNYLTKHPSFNQVVIDAWTQAGDTVWNLTALYWKQKQIKSDLKHLNRENFSQIQVRAQSRNSLTGTLLRLCWQACIYWTWTERNGRLHRQTFRTPESVSRTLVRQITDRISSLRDSNPAVASSLMQQWLA >A10p003960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1937351:1944817:-1 gene:A10p003960.1_BraROA transcript:A10p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLRLRVDSISRFFCCVFSLSFLVTTLVSQPFRRPDQVETLLAFKNEFSICNNSTTSSWSEDAVSFDGVVFDDDTGAVTDLHLGAACLSGNLKANSSLYRFQHLRYLDLSSNDFSSSFPAEFGRLTSLEVLDLHHNRFTGEVPSSISNLSRLTSLDLSVNKLTGGFPLVHNLTKLSSISLSYNNFSGTVPSYLFTMPLLSSLDLRQNNFGGPLDIPNSTIMFESLVLGNNIFSGGILEPISNLVNLIYLDLSFLNITFPVNFTFLKLQSLENLDISGNSVSRLNISSENAFPTMLIELHLSSCNIHEFPKVLKTLQNLQHLDISNNSLKGKVPACSPLLELDLSSNAFHGAFPVIPRSMEFISASNNHFSGGIPRTLCDSIFLNVLDLSSNSFSGAVPGCLSESLQVLNLSNNNLVGELPDIFYGSGSLTTVDVGHNQISGELPRSLRQCTALEILDVESNLIADTFPLWLNVLPVLKVIVLRSNRFYGPISSPDQDHRSFPQLRIIDISHNKFTGSLPPNYFVNWSAPLMSMPEGDHFPKYMVHLGYKLPNYFMRPEGARRNTYLLDIGWPSYFSMHLRNKGLNTEVLTFLGYINMSHNKLTGQIPQSPQIEGQARSSFEGNIDLCGRPLKESCSVENEAPSPKLPKQEHMLNWKAVAIGYGPGVLFGIAMGHTTKPNHTYQFSIFSCVSNLFFPMMKVHLPVFSMTSLFWCVFVSIFLVNTLVSVPFPLPNQIEILLAFKKEFLSPTCSPTVLSSWTKNTRSFDGVVFDNEAGVVTELHLSEACLKGTIKNNSNLFKFHHLRYLDLSYNHFEDSFPSEFGNHFEEYSFPSEFGGLANLEFLNFRYSGLVGEVPLSIHNLSRLTFLDLSQNDLTGGFPLIYNLSKLSYLNLSYNNFIGTIPSSLLTMPSLLNLDLRQNGLRDPPENLNSSSSSKLERLYLGGNLFSGRILEPISKLVKLTSLDLSFLNMIYPINIVFLPLKSLEYLDLSGNTLSRLNTSSTDHALPKLVELKLSYCNIFEFPKFLMTLQSLEYLDLSWNNLLRLNTSSDHALTKLIELNLSNCSISEFPNLSKTLNLQHLDISNNRLKGKVPAWLWTLPVTRLSLYHNSLNGFEGSREVLLNSSLEILEPLSIQS >A10p029390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18018096:18025413:1 gene:A10p029390.1_BraROA transcript:A10p029390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVNSSLGKMLLEEVSPVVMVLCTPLVEETFLKNGLSFVETLKPFCNFSNIDVPVRTSGDQLYRLKKFTLRLFNASDIKQPNVEVAKQRLEHVITQAGEKVFHDLESDPPQITDILSNPESEIAPTWFQYYNKELIRTLSFSDHEAFDHPVACLLVASSKDEEPLNKFVDLFNTNRLPSLLNDGVMDPKILKHFLLVHDNQDATTERTSKVLSEMRSTFGNNECNLLCTNSSKEGNVEHQANPWASFKSSVPAEKLGFALTGDDIGEIKDLMQEFASRHIIPYMEQKVRDLNQQISATRKGLRNQIKNLWWRKGKDDVPDSTKGSIYTFSSTESQIRILGDYAFMLHDYELALSSYRLISTDYKLDKAWKHYAGVQEMMGLAYFISDQSIKEAEYCMENAFSTYMKLGKSGFQNATRCGLWWAEMLKARDQYKEAASVYFRICGEEPLHAAVMLEQASYCFVLTKPAMLHKYGFHLVLSGDHYKICDQVNHAIRTYRSAISVYESTTWSHIKDHVYFHIGQWYAIVGMNDVAVRNMLRVLDCGNQSKSTQEIFLRDFFDIVKKTGMKHEVVGLRLPVINMSSLQVIYEDHRTYASQASALVEESIWQSLEDDIIPSLNSGKSNWLELQSKLLPKKYKESNVCVVGESVKLDLEFRNPLLISTSVTSVSLICELTANSDDLKLVDKEPSSLSLETEHNQVTTSGLSSFTLSEVDFTLGGGEKKLVRLTVTPSEEGILKIVGVRWELSGSIVGVHYFQSVPTKAKTNKAKRKNKLTPTDALKFLVIKSLPRLEGSIDHLPDKLYAGDLRYLVLELKNNSESPIKNLKMKISHPRFVNPESHEEELTPGFPDCLKKGPEQNTVQRETSRTSVFAFPKDVSLQGDRSLRWPLWLRAAIPGTMSLYFTIYYEMENVSSIMKYRTLRMHYSLQVLPSLETSFEITPSPSRLQEFLVRMDIVNRANSDAFEIHQLSTVGCRWGISLLQPVDTILPSKSILPGQGLSCFFMIKDCRKPGIEEEKTTSILPSQTDTKLITQDDDEKFFDIVNSPLASFHESERSCHGTSDQLSPNTVDFILISRLAKSSNPSAEQDLLKILSHHSCHNRIRSSIPLSWSLDGPKTMYHDFSTSFCEIKLKMVIRNTSDGFLSVSINTIDGLPDAAAPTPSSGNLSGWRYVPAITEEMKLTSDVMGSRLGKPPPSMESSPPFIWSGSSSTKVQIQPLSTTEIPLQVSVFSPGTYSLSTYELVWELFEHENASSATSGTCQGYPYYITVLQSE >A09g512540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36798946:36803336:-1 gene:A09g512540.1_BraROA transcript:A09g512540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNHEGLNHEANFYGFYTQEGVQAIWNQSTIFTEQEVMNFTTQRFLSPCICEYPTLEGDLSSSKERPEAKPIIRVKRSLPAFQKAQDQEKWPRNYKDRIQSPKPAKPVLHLPQLEANRFNQLQTRHWRPGDHFNLSEGIPEVLSYTKTKEISRFNGESLKSNRSYLWKDWTIFRFDLFQAIPIQPGEPDDVQTKARHPGDTIHEPEEFYNFIPCTSPHRNMKIPIITKLPYLESHAFKLQQLFFYQGKDEISIYQAFKKVETLVPPFLSIRGLPPCAYNILVSELKLLTQLLAAMKGVQDQISQLEKTNKAQGQRPQQGERKFGDALDAGYVEPKPPDPSWITKHQTSYTHEYSNYSYHDYNSADDVNIYSFSGSSWSSEYLTWERTMDDWFIYYGVPKKERLAHAIKQLSGKAFSWWKRVDKTHSKIPEEVVTKWEDLKDVMIRKYVTTLPTQETRRKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDKIRPSQMPTVLYDQYQPYEVSKAMEKKNLVSQDTLARYKEKSDKPIFQDKAKVSPILDKFVYKSSPTGMSHLSLSKDVKTGPEVQKDTITQSLLRSKVVHDLSPRDKEILNPNKEKPCSQGVKEHEFKEEEPPGVTLVMDQKIVQETMQSILFKEAKPKQYQGKALESQKRMKADLLYLGADYTVSRSKPCQEGEDDVVIRSATEPERFLSPSICEYPTLEGDLSSSKERPEAKPIIGVKRSLPAFQKAQDQEKWPRNYKDRIQSPKPAKPVLHLPQLEANRFNQFQTRHWRPEDHFNLSEGIPEVLSYTKTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIQIQPGEPDDVQTKPRHPGDTIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESVAFKLQQLFFYQGKDEISIYQAFKK >A03p056870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24668757:24670340:-1 gene:A03p056870.1_BraROA transcript:A03p056870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAINVSSSSSSISTSSFPSSDLKAPQIGSLRLSDRINVSSASLSLSGKRSSVKALNVQSITKESMVPPQAASMVASEIRKKVDVIDVEDFEELAKKLETASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRLFDTVEKHYGIRIEYMFPDAVEVQALVRNKGLFSFYEDGHQECCRIRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGAGSLVKWNPVANVEGNDVWSFLRTMDVPVNTLHAAGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKESSNGNNAAVNGNGTTSTVDDIFKSENVVSLSRQGIENLMKLENRKEAWIVVLYAPWCPFCQAMEASFDELADKLKGGDGVKVAKFRADGEQKEFAKSELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFLNLVR >A08p023230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15297856:15299939:1 gene:A08p023230.1_BraROA transcript:A08p023230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPASSYNSPFFTTNSGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVLDFFSHHPESLNMFTFLFDDIGIPQDYRHMEGSGVNTYMLINKSGKAHYVKFHWKPTCGVKSLLEEDAVRVGGTNHSHATQDLYDSIAAGNYPEWKLFIQVIDPADEDKFDFDPLDVTKTWPENLLPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKYPTPPAVCSGKRERCVIEKENNFKEPGERYRSFTPERQERFIRRWIEALSDPRITHEIRSIWISYWSQADKTLGQKLASSLNVRPSI >A01g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7460664:7461712:-1 gene:A01g502090.1_BraROA transcript:A01g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFTFLADQKVGRCSNNEEAIQHVSWTITEFSFSVMEFQQRKCCVILELSSNLPRVLEVCTSAIPQAFLDGTDTNPSRLAEEGTNVPEVALPEVVVPGRDDKDGLMLPVPDNLTYHFGFLFVFDDLNVPNGIDYLTAHVEPGTKLEFFMSFASTLSHETDNSLM >A07p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23112802:23114056:-1 gene:A07p042690.1_BraROA transcript:A07p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CRC [Source:Projected from Arabidopsis thaliana (AT1G69180) UniProtKB/TrEMBL;Acc:Q1PFF1] MNLEEKPTMASRVSPQAEHLYYVRCSICNTILAVGIPMKRMLDTVTVKCGHCGNLSFLTTTPPLQGHVSLTLQKQRLPSAYNRFMRDEIQRIKSANPEIPHREAFSAAAKNWAKYIPNSPTSITSGASNIHGFGFGEKK >A08p045900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25088052:25089776:-1 gene:A08p045900.1_BraROA transcript:A08p045900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEEEKEEEETFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDSNSGDLFAACFVDPGRRENSVEPSLDSSRYFVLRIDDGRGKYAFIGLGFAERNEAFDFNVALSDHEKYVRREKEKEKESGETSESDDHIDIHPAVNHRLKEGETIRINVKPKPTTNGTGMLSAALSGNGKPLALAPPPTAATKTRSPLPPPPNDPVISRIASDSSTGNTRRRNDPLSDLSQLKKNLPPTQGSGPTKSTGAASGWAAF >A07g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15436670:15437744:1 gene:A07g506420.1_BraROA transcript:A07g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTRWLSFHGSLYAKPHILSPSLPLAYSPLQNPNNKLSSEAKAKRLICRAEFSQDAPLASAIGACILSSFVFPVAKRVEDEEEEKTAIVSTDMRIAAMGIISFIPYFNWLSWVFAWLDTGKTRYAVYALVYLLPYLSSNLSISPEESWLPITSIVLGIIHVQLEASIANGDVQTLAFFKDASQNFSSRKKLHFDSKEKDGDD >A01p010050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4902693:4904141:-1 gene:A01p010050.1_BraROA transcript:A01p010050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESSSAKRWLPLEANPEVMNQFLWGLGLAPDAAECNDVFGFDDELLEMVPKPVLAVLFLYPITKKSEEERIEQDKEIMEKVHSDKVYFMKQTVGNACGTIGLLHAIGNITSEIKLSEGSFLDKFFKSTANMTPMERARFLENDSQIEDAHSVAVTAGETPATDDADTHFICLACVDGELYELDGRKAGPISHGASSPATLLKDATKVMKKFIEKNPDTLNFNVIAISKRT >A02p048550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30187702:30188511:1 gene:A02p048550.1_BraROA transcript:A02p048550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLTENPPPSRFFQEDLNNFTPSPEPPLPSPFIVFSNPKPELPLKPSLLIIALSSPSLHIFHSCLPSKTLIGTLIIPELPFSGNTVEPSLQDKSCNVYSLSDNNENENSVLLVSVQLPVSPERSNLVSRLLIGQDIVPERVIILDSIQSRNFRGRLSPDEALAAKLETSSEKKAAATSRLNLDYFPSGSVIDGLSASLLSRCQLKNIRGTLVVSWPEFDPSVVRFVGGLLKSIVPGLDNKSVGKDLEMYSSRSGLKKDAWLDSDLYT >A09p082690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59798281:59800647:-1 gene:A09p082690.1_BraROA transcript:A09p082690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLKRAIGAVKDQTSVGLAKVNGRSASLSELDVAIVKATRHEEYPAEEKYIREILSLTSYSRNYINACVNTLSRRLNKTKCWTVALKTLILIQRLLGEGDRAYEQEIFFATRRGTRLLNMSDFRDVSRSNSWDYSAFVRTYALYLDDRLDLRMQARHGKRGVYCVGGDTVDDKQDKPEADLSKAIVVRSQPTAEMKTEEIFTRVQHLQQLLDRFLACRPAGSARNNRVVIVALYPIVKESFQIYYDVTEIMGVLIERFMELDIPDSVKIYDIFCRVSKQFEELDQFYSWCKNMGIARSSEYPEIEKITQKKLELMDEFIRDKTALEETNNSKSVNEEDDDEAREEEVNEEQEEDMNAIKALPAPPPKEDEEEKTEEEAEEPVIIEEEKQEEVGNLLDLVDISSGEAGAAGDNLALALFDGPYASGSGSESGPGWEAFKDDGADWETALVQSATNLSGQKTELGGGFDMLLLNGMYQHGTVNAAVQNSTAYGASGSASSMAFGSAGRPAATMLALPAPATSNGSNNGPVVPMDPFAASLVVAPPAYVQMNEMEKKQRMLMEEQIMWEQFSREGRQGHMNISQNQNQPNYSYTPQY >A03p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19478792:19480060:-1 gene:A03p046230.1_BraROA transcript:A03p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB113 [Source:Projected from Arabidopsis thaliana (AT1G66370) UniProtKB/Swiss-Prot;Acc:Q9FNV9] MEDSSKGLTKGAWTAEEDSLLRRCIDKYGEGKWHQIPLRAGLNRCRKSCRLRWLNYLKPTIKRGKLSSDEVDLLLRLHKLLGNRWSLIAGRLPGRTANDIKNYWNTHLSKKHEPCCKTKMKKRNVTFSSTTPAQKIDVFKPRPRLFTVNDGCSHLHGLPEVDVVPPCVGLNNINNVCENSMTCNKAGEKYELFSNLMDGENMWWESLLEQSKQPDGLVPKGTATKKGATFAFDVEQLWNMLDGETVELD >A06p012670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5787902:5793256:-1 gene:A06p012670.1_BraROA transcript:A06p012670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDPAHAMSRGGSMRQSISRSVSRASRNLEDIFSPSARRTKSVNEDEEALKWAAIEKLPTYSRLRTSLMPALGEDDIYGNQILNKEVDVTKLDGEERARFIDVVFKVAEQDNERILTKLRNRIDRVGITLPTVEVRYDHLTVKADCYTGDRSLPSLTNTVRNMGESLLGLVGIHLAKKAQLTILKDVSGIVKPSRMTLLLGPPSSGKTTLLLALAGKLDKSLDISGEVTYNGHRLNEFVPIKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSLITDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATVTISLLQPAPETFDLFDDIILLSEGQIVYQGPRDHIVEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVDQTKPYRYITVPEFASKFKTFHVGTKLSNDLSVPFDKSKGHKAALVFDKYSVKKSELLKTCWDKEWMLMKRNSFFYVFKTVQIIIIAAILSTVFLRTELNTRNVADGNMYMGALLFGLIVNMFNGLAEMAMTIQRLPVFYKQRDLLFHPPWAYTLPTFLLGIPISIFETTAWMGVTYYSVGLAPEAERFFKQFLIIFLIQQMAAGIFRFIASICRTMTIANTGGMLALLVVFLTGGFLLPRREIPVWWRWAFWASPLSYGFNAISVNELFAPRWMNKLSSDNTTRLGTTLLNMWDVFDDENWYWIGIGGLFGFAVLFNGLFTLALSYLDPLGKPQAILPKEEDESKNEIPMENVSTKKGMVLPFTPLALSFDDVKYFVDMPAEMRDQGVQETRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRVSGFPKKQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLAKEVSKEEKMMFVDQVMELVELVDLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIPGVPKIPEKYNPATWMLEASSLAAERNKQLVQELSVPPQGASDLYFATQFSQDTWGQYKSCLWKQWWTYWRSPDYNVVRFIFTLATALMIGSVFWQIGGKRSNVQDLTMVLGAIYSAVIFVGVNNCSTVQPMVAVERTVFYREKAAGMYSAIPYAISQVTCELPYVFIQTTYYSLIVYAMVGFEWKASKFFWFLFINYTSFLYWTYYGMMTVSLTPNHQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTIYGLITSQYGDVDTPIAFPGGPPNLTVKQYLKDQYGFESDFMGPVAAVLVIFPVFFAFVFAFCIRTLNFQTR >A06g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11906955:11907606:-1 gene:A06g503850.1_BraROA transcript:A06g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHRLASSVRGRRPASSLPRVKHRDALSSGLGLLRVSDWKMALIMRLGLEMKEGLLLWWLSRGMTENLFRWNSVLTDKDLFFFSIGWGKEGSVIKQKTKDEFCREIELLARLHHRHLVALKGFCAKKNK >A07p043420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23756130:23756554:1 gene:A07p043420.1_BraROA transcript:A07p043420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRMILFMVMMLTIGNLMVESKVTNRSFQLCFRMCFNICIIGPPRDKFGCFGKCTRECTGKKIEIDCVFSQDLKKEIDVKNAKDYVDLFLDMCDKRV >A02p053750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32677356:32679560:1 gene:A02p053750.1_BraROA transcript:A02p053750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNQRHHHHQEHHLTSPYYHPFHHHTPTTVSAAPSDNGNFPPPPNDGSSSSYHHSAPSSAPIEPVKRKRGRPRKYDTPAQALAAKKLASSASSSSAREKREQTAAAAAGVSPPSKPGSKKSLSGSSGKSGQSFTPHIVNITPGEVSASAVASAVASMRTVVVCFADADAAAYYVATAGFIGVSRRMRRSDAASDATSCDVAQKIIHFAEQSKHELCILSASGTISEASLSHLATGTSVSYQGQYEILSLSGSYIRGEHGGKTGGLSVCLSSSDGQIVGGGVGGPLKAAGPVQVILGTFQLERKKDGRNGVKGDDASGSGDLLPSSPSGAESLHGYRPVMEPSGRNSNDEHCTMTSGGAHFMMQPPQGMHMTHARPSEWDGAGYDLSVLRGKGSSENGGYE >A05g509870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29469506:29470140:-1 gene:A05g509870.1_BraROA transcript:A05g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-rich arabinogalactan protein 19 [Source:Projected from Arabidopsis thaliana (AT1G68725) UniProtKB/Swiss-Prot;Acc:Q9S740] MHPTTVVPPVSATPPPASPTTPPPAVTPTVSPPPAPKVAPVISPAASPPQPPQSPHVPAPTVSPPLASASPPPASPPPATSPPPASPPLALTPITLPPEPAPSKHKKKHKHKRHHHAPAPAPTPPCPPSPPLLTDSQDTSPALSPFQNANGGNALNQLEGRVAMWLSTVLGTLLMLAIRA >A10g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4126874:4127411:-1 gene:A10g501480.1_BraROA transcript:A10g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRAIRTSGCPILFWRFGSEDKTQPVKISPCSLLRYNAEEPVARKEHPFVGSQARSIGLIMFPAKFEAVNSNGKQGRQTKRLWASSSSARRNSSLESHDIHPFIECCLKHQGRAAQWVFFSGWFDGSEERTAPITIHDDDDAIVKVYK >A10g500460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1386608:1387090:-1 gene:A10g500460.1_BraROA transcript:A10g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNDKRDVLGPTANSAEGAHREGLTTTGFHYSVPQPQNEHEVRLKVRVRKWTRTGLTDSEVWSSNRRDETEVTFRTLGCRPPPHTAARLTSPVDHSIVVALDACCHREPVDKPLYTNRRSSPLFCSPLRGTRELRWRRRLAKPTRQDRDGFAVDLKLEN >A02g502990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:9952453:9952611:1 gene:A02g502990.1_BraROA transcript:A02g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGDVLCGGDVPRVFCSNGGGCDLATAIDLSDKDNTRVTHGCGQEGVEVMD >A01p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4297361:4299014:1 gene:A01p008930.1_BraROA transcript:A01p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSLVIGVWKASVMAIPASYYDELPLVLPKTALLQGSDGGCFWKVAMVKRRDEVYFGQGWSKFVEDNGLRDGDVLTFVYDGSRKDSKIIEPEVAQRVPRTRSKGKKRVVVQDSDDSFISEDSDSLSDSSYSPPNDDTLLDVTPKVANPRKKGELRSVNSNVGSTSNSSGSVSRKRQSTIKNPEVYLDDPNNVCFEIIIKNRIYELNVPKQIVKDYCLKFQAYVCYIDNHGKLEARAATWQDQRVTIKKWERICKRNGLKKGDRLLCEIFRKEGLVYAVKIHVVTTTS >A02p019860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9234588:9236610:-1 gene:A02p019860.1_BraROA transcript:A02p019860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Butyrate--CoA ligase AAE11, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G66120) UniProtKB/Swiss-Prot;Acc:Q9C8D4] MDILTICEANNVPLTPITFLKRASECYPNRTSIIYRQTRFTWPQTYDRCCRLAAALLSLNITRNNIVSILAPNVPAMYEMHFAIPMTGAVLNPINTRLDAKTIAIILRHAQPKILFVDHEFAPLTQEVLHLLPFDDSIPKPLIIFINDMDSTTKHSPGELEYEGLIRTGDPSQFLSTSTFCVRNEHDPISLNYTSGTTADPKGVVVSHRGAYLSALSTIMDWEMGISPVYLWTLPMFHANGWSHTWSVAARGGTNVCLRHVTAPEIYKNISSHGVTHMSCVPTVLRFLIEGEQSDRSHRSRPVHILTGGSSPPTALLKKVEQLGFRIMHGYGLTETSGPVLFCEWQDEWNRLPEHRQMQLKARQGIRNITLADVDVKNTTTQESVPRDGKTTGEIVIKGNSVMKGYLKNPKATSEAFKDGWFNTGDIGVIHPDGHLEIKDRSKDIIISGGENISSIEVEKVLYENQKVVEAAVVAMPHPLWGETPCAFIVLKVVETRQGPREEEFVTSERDLIAYCRDSMPHFMCPRKVVFLQELPKNSNGKILKSKLRDIAKAFVVDEDGVGSKKVQRRRVDHVSSRL >A02g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14071573:14082709:-1 gene:A02g504240.1_BraROA transcript:A02g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEIENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNINVFPTNVTQKRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDGKPDDEIVREKLTSESPASQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQGEGKPDDEIVIESPAAQTQVLQKETLEMNETPFSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHYRPSSPLSSLIALVIEENKNALSDTETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMGDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNQSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEIEVKQGKSVKPSQDDHAKKGKPHVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLTHWMDLRGIYRVPFYINGKEIEKEFFQKMDDAENNLNKELLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSCHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A09g505820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18264372:18265334:-1 gene:A09g505820.1_BraROA transcript:A09g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNTFSWIREEITRSISVSLMIYIITWASISSAYPIFAQQNYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVVKIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEMKEKIGNLSFQNYRPNKKNILVIGPVPGQKYSEITFPILAPDPATNKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAGGIISKILRKEKGGYEITIVDASNERQVIDIIPRGLELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLGSVVLAQIFLVLKKKQFEKVQLSEMNF >A10p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20016627:20018352:1 gene:A10p034410.1_BraROA transcript:A10p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGKHRRSRGGNSNPGQTSRIENLGREDESLPHDPGSEDEAVVPNVQLAMWDFGQCDAKRCTGRKLARFNLLKELRVNTGFGGVVLSPVGRQCVSKEDYALIKSRGLAVVDCSWARLTDVPFAKLRCTAPRLLPWLVAANPVNYGRPCELSCVEALSAALILCGEEETANLLLGKFKWGHAFLSLNKDILKEYSKCENSAEIISVQNSWLTQQTQISKQPAPLKEHVRKEDDESEDDDDDDGLPPLERNMNHVISEDSEEEDEEEEDEDDGLPPLERNMNHVKLEDSEEDDDNSE >A01p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18227457:18227936:-1 gene:A01p028540.1_BraROA transcript:A01p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWTKSTTEPRPWPKPQATTGENNLSRGYYIWSLMDTFEWERGYKMSEFLDSKDSLHKCYFEGHREKGYAPKLFDTLNIWILIIGV >A03p036450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15264554:15265451:1 gene:A03p036450.1_BraROA transcript:A03p036450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEMQDETRQEESVPPMDPMEVEKPKKESLKPTEPIVPNKGNGLDFEKYSWTQNLQEVTVTIPVPSGTKSRSVTCEIKKNRLRVSLKGQDPIIDGEFFNAVKPDDCFWNIEDQKVVSVLLTKQDQMQWWKCCVKGEPEIDTQKVEPESSKLSDLDPETRSSVEKMMFDQRQKQMGLPTSDEIEKEDMMKKFMSQHPEMNFSNAKFN >A07g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10338516:10339573:1 gene:A07g504870.1_BraROA transcript:A07g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDVRCENSKVDPFLRWVVLDRDHNVFFFFSGVIMTTSKRLADRKIEKFDKNITKRGFVPETTTKKGKDYPVGPILLGFFVFVLSSRSSGLQPAEAWLKPNHHSS >SC163g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:145317:147131:-1 gene:SC163g500120.1_BraROA transcript:SC163g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLREFGCEWYGRPYKAVHGRTVRTKGSRPKLTQLDQVKRLKVGVYEIQDSSASSSRGNDDYIKRSDLDALFKMLKENGNTYEN >A03p034770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14649281:14651921:1 gene:A03p034770.1_BraROA transcript:A03p034770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGKELEFNLQEWSRKGRFTREIPSSRRFSASSREDRKSSRATCTISIFIADQEIDPSNYSFTSALKALQAKTMYKKNQDWLKPEGVELNSKWNEAEKYICNPLSGEVPMECLSSKTLNSRSFRDVSNKSTPLMNFPYNHNLNNSRTSNPNVRIIQEDHVSTDPVLIQGHLLSSLLNNNIIKAVLCCGFKINLGCFGAEKKVVGLKRDVGVQSAPVSVSLVKTPPTKADDSQHEFALELKAQQEDVKVDEDKKHMMTKENQEEKKTTGRRLFSWMRKRQRQPTKSKCFFLICLIKAF >A02p051430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31567680:31571634:-1 gene:A02p051430.1_BraROA transcript:A02p051430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGREYEVQMIQEWREAYMDYRSLKSIVKQVLRFHLQKQQHPYHPPPPPPTGETAPLHAASTGGTGASGLSRRVSLYRAFSGLTNRAKGGSPKKSHKHNNPLSSKRHYHLFDDDEDQVILINEDETGSYNTTFLCSAEEGGEMEVQFFRRLDGEFNKVLRFYRQKVESVMEEADELSRQLNVLIALRVKVENPNVDFPDINSLSSAPTSPHTTNRTPAISPLEVIKEMEQTEDKKVFKPAPVEMLDHIKLKIEPETPLSMLKCMIMGLSTEQTFSKPELKRAEELMDRAFVEFYQKLSFLNQLAFSKILKKYDKTTSRNASKPYLHTVDHSYLGSCDEVSSLMSRVEATFIKHFANGNHREGMKCLRTKAKREKHRITYFLGFLSGCAVALAIAISVLVHIRGITKSEGRHQYMENIFPLYSMFGFVAVHLFMYAGDIYFWRRYRVNYPFIFGFEQGTDLGYREVLLLASALAVFTFGGAISNLDMEMDPRTKSFSVITELVPLGLLIFFMITLFCPLNIIYRSSRYFFIGCAFRCLLSPLYKVILPDFFLADQLTTQVQTFRSLLFYVCYYGWGGDFKKRTHTCYESDIYKELYLVVAIIPYWFRFAQCIRRLVEEKDKMNGLNALKYLSTILAVAARTIFETKRGTYWLTVAVTTSTIATLFNTYWDIFRDWGLMNRNSKNPWLRDKLLIPYKSIYFIAMVVNVVLRLAWMQTVLGIREAPFLHRRALVAVVTILEIVRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFQEVGGSKSM >A06g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18986532:18987919:1 gene:A06g506820.1_BraROA transcript:A06g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSDLRCLGALHIHPGRASQSDLSERPTEVAPSQSDQSRATTSSHSQPERPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPFGHFFDSNHNASSELATQLLILRHFSPESSILDHPRSNSYAHEFSFPLVKKCFDIPQNWFDNLLYYNICLRSLENS >A08g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13319150:13320793:-1 gene:A08g507540.1_BraROA transcript:A08g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESESPLVPPLPPDLLFLLPSEATVPLASPPLKTASKAADRSRPSTSNKQYAQKVHPQDQTIPVQSCINSVLADLEPSSGTTLVGGTSKTSCSVSQSPEVFPIPPPQDSMVEAPSRVASNDTLPVPDSIISHSELPFVPAVKAVSVRSVVGSSQEKLAVVSFNPFAVLEQEEDPPNIHQEVSCQVEEIPRTCLEESKLALV >A03p026450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11069970:11071779:-1 gene:A03p026450.1_BraROA transcript:A03p026450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 54 [Source:Projected from Arabidopsis thaliana (AT1G01680) UniProtKB/Swiss-Prot;Acc:Q9LQ92] MGETLYSNVTYVAVNQDFRESKLNLLWTLKTLRVKKLCLLQVHIPFSLNPSSCGLDESEINAIQDSELKTSYDSLYKYRDICTNEGVNEKDVGISLVTGYGVGEEIVKLINQNNIKKLVMGAAADPHYSRGMSITSRKAEYVSQHAPTRCKMWFICKGKLIKTREGSFDLGNPSDSFTELHTSTQNPNKGNDPDKDHSVSNGSEADCAPEDYLCPISKDLMRDPHVAADGFTYEAKNIRYWLNIGNNTSPNTGARLAHPDLTPNYTLRSLIKDWLQHHPNYKH >A05g510530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32695240:32695534:1 gene:A05g510530.1_BraROA transcript:A05g510530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHSKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVCLCVSSLRQYTKKIWIDYMLNNKCR >A04p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22787142:22787719:1 gene:A04p040380.1_BraROA transcript:A04p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEQKEVEEKGSLISGLLDKAKGFFAEKLANIPTPEATVDDVDFKGVTRQGVDYHAKVSVKNPYPQAIPICQISYILKSDTRMIASGTIPDPGSLIANGSTVLDVPVKVPYSIAVSLMKDMCLDWDIDYQLDIGLTIDIPIVGDITIPVSTQGEMKLPSLRDFF >A05p004810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1860312:1862940:1 gene:A05p004810.1_BraROA transcript:A05p004810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAMRIVFGLLTFVTVGMIIGALLQLAFINRLEDSYGTGFPSIRGLRGQKARYLRDVSRWANDKDAELLRLGYVKPEVVSWSPRIIVLHNFLSSEECEYLKAIARPRLQVSTVVDIKTGKGVKSDVRTSSGMFLNHVERSYPIIQAIEKRIAVFSQVPAENGELIQVLRYEPNQFYRPHHDYFGDTFNLKRGGQRVATMLMYLTDDVEGGETYFPLAGDGECTCGGKIMKGISVKPTKGDAVLFWSMGLDGQSDPNSIHGGCEVLSGEKWSATKWMRQKATS >A06p054730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28676040:28678896:1 gene:A06p054730.1_BraROA transcript:A06p054730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWA1 [Source:Projected from Arabidopsis thaliana (AT5G46340) UniProtKB/TrEMBL;Acc:A0A178UNJ7] MVDPGPITPGQVSFLLGVIPIFIGWIYSELLEYRKSFFPLKPHSDNNLVELGGVVDDDKADLLEGGLARSASVRFHNSSIRTNIIRFLSMEDSFLLEHRATLRAMSEFGAILIYFYICDRTELLGDSTKNYNRDLFIFLYALLIIVSAMTSLRKHNDKSPISGKSILYLNRHQTEEWKGWMQASISTGDISSFVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSVARFAQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFSKYNEVGSVMALKIFSCFLVVFLMWEIPGAFEIFWSPLTFLLGYNDPAKPDLHRLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEECETKKRLSIKTSIVTIALFVGYVWYECIYKLDKTSYNMYHPYTSWIPITVYICLRNFTHQLRSVSLTLFAWLGKITLETYISQFHIWLRSNMPDGQPKWLLSIIPGYPMLNFMLTTAIYVLVSHRLFELTNTLKTVFVPTKDNKRLFCNFIAGIAIALPLYCFSFGLKTCCSFCFFVRISSKQSKIR >A02p052950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32281372:32282842:-1 gene:A02p052950.1_BraROA transcript:A02p052950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFWTSTHYKELKDPEEINVVHPLDAQRGISLEDFKLIKLHMSNYISKLAQHIKIRQRVVATAVTYMRRVYTRKSLTEYEPRLVAPTCLYLACKAEESVVHAKILVFYIKKLYADEKFRYEIKDILEMEMKVLEALNFYLVVFHPYRSLPEYLQDSGLNDTSMTHLTWGLVKNIAMEILDFYENHRMFTEERVHAAFNKLATSP >A09p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4643148:4643810:1 gene:A09p009080.1_BraROA transcript:A09p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQELAMEGKKHLEETIESAFQIISAMNDELCNPSLWSTPATASSNGTAIVTGDAAAIDGAPHHSESGCGGCGNSALDEASVRYKNSVTSLRAVLVAIPNSKKAKASVMENGLETPESVEEIEKLEEQALSLRQEIAKKNVHVKELIDKFRELIADISTWQSPCSV >A07p011460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8688114:8690064:-1 gene:A07p011460.1_BraROA transcript:A07p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQVGGGGQRVRSARPTTIHDCALSGDLIALQKLLKDNPSLLNERNPVMYHTPLHVSAGNGNVDIVKYLLDWPGSDKVELEAMNTYGETPLHMAAKNGCNEAAKLLLERGAFIEAKASNGMTPLHLAVWYSITSKDISTVKTLLDNNADCSAKDNEGMTPLDHLPQGQGSEKLRELLRWFLQEQRKRSALESCGKTKAKMELLEEELSNIVGLSELKTQLRKWAKGMLLDERRRALGMNIGTRRPPHMAFLGNPGTGKTMVARVLGKLLHTVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKADDKDYGLEALEEIMSVMDTGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFNFSDFSAKELAQILHIKMNSQGEDTLFYGFKLHESCTLQEIASVIERETTEKKRKEMNGGLVDTLLVNARENLDLRLSFDCVDTEEICTIRLEDLEAGLRVFSQ >A02p011610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5046891:5051265:1 gene:A02p011610.1_BraROA transcript:A02p011610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIRPPAVRSGGDYIESIFGEYSTGKPKPGRKLNFVTALTFLQFAFAVYATVLLYYMSPSIDLRTKPDFTWATKWAHNMRSYIVTPHVVSHFQDSNIPATLSPAEVCEYEKIDFSQKKSNDEKMIKMKTELYDDVLSFQKKNLGSESLDELMKMKSKWALNGPNKPKVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLAFGSPNEASLRRIAGSYNDSRISFISSNYDFKYYGRFQIALQTEADLVYILDDDMIPGKKMLQMLAHVAGTEKYENSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITLDRILQVDFLSSSWFLSAELVKALFIEKPFTFATGEDLHFSYQLQKYRNAASFVLPVDPNDKETWGDSEHRLAYVSETTVIFKNIVEVRDNQWWKALSTGYITQWAAMYPQKIDALFYAHSIDEVKALGPLLEKFRTTVGKKAYIVVSGGKFCPCEDAASALNWPKVVCSERRFKIFDLEVGAILGVSNSEVPVLQAVYSSMKGLIKIHNPSVVITVADADPNVKKALKMATETNVNGTALVLLPRASISKVLWMADLRSTALPNWNKMRVSVNIITQNRAQSLLRLLRSLSNAYYLGDEIPLSFNMDSKVDEETIKVVTTFDWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDFGLLLEDDIEVSPYYYLWIKYSLLAYHYDPQVSFPELSSISLYTPKIVEVVKERPKWNPTEFFKQIHPHTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTENAKENPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQQSFSTNHMEPGAHIAAKDNVVKHDKTDFEVPLLMDDFRNFLPNLKLPPASKLPSLNLFNVPVSLKGLKAAGAKLGQDVLRCNNVSEIVAVNHQTGLPARCMKF >A09p060910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50359706:50361787:1 gene:A09p060910.1_BraROA transcript:A09p060910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYGEDYVLNSRGMKLFTCSWRPEEQQEPKAMIFLCHGYGMESSITMNSTAIRLVNAGFVVYGIDYEGHGKSGGLNGYIKNFDHLVDDVSSHFSSICDKEENKGKMRFLMGESMGGAVVLLLARKKPEFWDGAVLVAPMCKLAEEIKPHPMVIKFLTKLTRVIPTWKIVPSNDIIDVAFKESHIRKQVRENEYCYKGRPRLKTAHQLLTTSLDLEKNLHQVAMPFIVLHGEDDKVTDKDVSKLLYEVSSSSDKTFKLYPNMWHGLLYGESPQNLEIVFGDIIGWLNERASVTNQRIETELKHV >A01g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6315709:6316486:-1 gene:A01g501720.1_BraROA transcript:A01g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRARSQGRNTRPLTGGMDYLEPKRKTNVMGRVILVVSLTALCIIMLKHAPSFTSPTAFSRSEEGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQGLFPEPGRLQFIYADLGDAKAVISHFPKILLSIFGSCFLN >A09p032090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19441667:19443477:1 gene:A09p032090.1_BraROA transcript:A09p032090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYREEDDAVPELHLRVEEDGVEKLGHYIKLIEESEREAEQGEEQDSSPSSSSSCGGKRSLWFWIKLALLLAFLSGLALAAYKWLVPLIMDKELIPIIKWEMRTFTHPVIGLLVFTTVALLPVILFLSLPSMWVAGITFGFGYGLLLTYPAIAIGGWLERYPDQAAMLRAAGGGTWFHQFRAVTLIRISPFPYVIYNYCAVATGVQYGPYMAGSLLGMVPENFVAIYTGTLIRKLADASTEEEKGMSVLQIVLNILGVVATVLTTVLITKYAKRQLEAMRKEEEALLLQ >A01g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22118607:22119518:1 gene:A01g507800.1_BraROA transcript:A01g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGTVTMLSPVISGKNLQARKQYTSKMTEWKKKWMMKKDKPLGLNQDVWDGFKIYWQFDATASIAATNYVNRKNKFGGKGEAVHNGGAKKREEHEIKMVSVIFLGGVPPDWLELMRDMHTNKKTGEVKDPVARELLATLTKLKEYKEELLQQSQLCANDGSTASNMMSRKEINQMVFAHVPIKKGRRYGIGCTSEGILTSSSQPSFSSLSFVQDMERMKMELDEERTKRKVIAEQLCNVTDFISTLYPEQFSAI >A04g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13121641:13123575:-1 gene:A04g506220.1_BraROA transcript:A04g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPDEFASPYSATSIISIANIDDNQTRQLPRPKMCYADCLLMEQEETTDIYKKLAFDFNTSHIEVRIVCLWRTYNKESGNTIEMVGTRIHASVGEQLNSSKNSMTSYAREMRLSSSCSKCTIQLVNTVQRHIA >A06p055380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28926436:28927675:-1 gene:A06p055380.1_BraROA transcript:A06p055380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14b [Source:Projected from Arabidopsis thaliana (AT5G45600) UniProtKB/Swiss-Prot;Acc:Q9FH40] MTKQAQDPPETSEPTLKSLRTKMTKSDEKKKLKDIEISVPLVYGNIAYWLGKKASEYQSHKWTVYVRGATNEDISVVIKKVVFQLHSSFNNPTRVIEEPPFEVSESGWGEFEIAITLHFHSDVCDKPLSLYHHLKLYPEDESGPLTMKKPVVVEHYDEIVFPDPCESFLARVQNHPALTFPRLPSGYNLPAPMQVDDNGKKKRGDTKDHSLGQWFTSFSEADELLQLAAARQQVQAHIAKLRRQISLLEGQNQTIKTGSDP >A09p066490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52757156:52758654:1 gene:A09p066490.1_BraROA transcript:A09p066490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMISQLSDDLLIRILLLVPTKHVMATCCLSKRWLLLWSLVPKLDYDDSSYSDENYATFTQFVYRSLMSNKAPVLESLSLTLGPKCQAVDVGRWIETAVCHRVHALILNYTLPYEEETMLSLPSSIYTCETLETLKLSDCFSLDDIPFSVCLPSLKTLEIINVEVSSLTRLLSGCPNLDSLVVDQEDIDVDIVVPSLRKLNMVNYTGGQKGSGFVIDARSLVGLYIKDDVFNDYHRIEYMPKLEKAYVDITCGVRDHKFLKAFTCARKLSLCLSFLEVLSPRSMIFHNLVYLTLNTCVLGWWDLVTHMLQDSPKLRFLKLHDEHDLLLTSIEPPDCWKPPSSVPKCLLHTFEAFEWYGYKGRRGDVVMATYLIEHATWLKEATFFSEESDDKRDRMLEDFTSVAAPSPCFTFYWSWSSDQGMTYSGYLLRKNKLLGWCYWV >A08p000570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:345448:348939:1 gene:A08p000570.1_BraROA transcript:A08p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BRI1-like 1 [Source:Projected from Arabidopsis thaliana (AT1G55610) UniProtKB/Swiss-Prot;Acc:Q9ZWC8] MKLLSLILCFSATLIVMTTHGKRLISDQDNSYETALLTAFKQTSVKSDPNNILGNWKHVSGRGSCSWRGVSCSVYGRVIGLDLRNGGLTGTLNLVNLTALTSLENLYLQGNDFSSGSVSSSSGCYLQNLDLSSNSLSDYSMVDYVFSTCTNLVSVNFSNNKLTGKLGSPPSSKALTTVDLSYNILSEDIPESFIPASLKYLDLTHNNFSGDFSDLSFGFCGNLTFLSLSQNNISGDHFPLSLTNCKLLETLNISRNNLAGKIPGGGEYWGSFQNLKHLSLAHNRFSGEIPPELSRLCRTLETLDLSGNALSGELPPPFAACVSLQSLNLGNNFLSGEFLTTVVSKIQGIAYLYVAYNNISGSVPSSLTNCTNLRVLDLSSNGFTGNLPSGFCSYSPLLEKLLIANNYLSGTVPMELGKCKSLKTIDLSFNALTGPIPNEVWMLPNLSDLVMWANNLTGRIPEGVCVKGGNLETLILNNNLLTGSIPDSISKCTNMIWISLSSNRLTGTIPTGIGYLTKLAILQLGNNSLSGSVPPQLGDCKSLIWLDLNSNNLTGPLPGELASQAGLVMPGSVSGKQFAFVRNEGGTDCRGAGGLVEFEDIRAERLERFPMVHSCPATRIYSGMTMYTFYANGSMIYFDVSYNSVSGFIPPSYGNMGYLQVLNLGHNRLTGTIPDSLGGLKAIGVLDLSHNDLQGYIPGSLGSLSFLSDLDVSNNNLTGPIPFGGQLTTFPVTRYANNSGLCGVPLRPCGSAPRRPVTAQVHPKKQTVATAVIAGIAFSFMCLVMLVMALYRAWKVQKKEQKREKFIESLPTSGSCSWKLSSVPEPLSINVATFEKPLRKLTFAHLLEATNGFSAETMIGSGGFGEVYKAQLKDGSTVAIKKLIRITGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLETVLHEVSRKGGVFLNWAARKKIAVGAARGLAFLHHSCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLVSALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKKPIDPGEFGEDNNLVGWAKQLYREKRGVEILDQELVTEKSGDVELFHYLKIASQCLDDRPFKRPTMIQVMAMFKELKADSAEEDDSLDEFSLKETPLVEESREIRSLKI >A01p056770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32260501:32261789:-1 gene:A01p056770.1_BraROA transcript:A01p056770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVIEFIKEMQQLVQVLESKKRRKTLNRPSFLHDHQTLEPSILAAATTRVPFSQIENVMTTSTFKEVGSCCNSPHANVEAKISGSNVVLRVVSRRIEGQLVRIISVLEKLSFPVLHLNISSMEETVLYFFVVKIGLECHISLEGLTFEVQKSFVPEVIVSTN >A02p016850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1655424:1656650:-1 gene:A02p016850.1_BraROA transcript:A02p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKVFFSDLKSVRCSSVVEARLLRFWESKNVKHGGELMWMDLLMPETGCYLVRFHPCLNQFSSAHTFRNILKEGGLFDLSLFDVLATHNLYGRHRSRERNEIVFPDCFFFGNTLRVSSFVRWTRSAAMSQDSATAGSASGARPFCSLE >A03p030560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12924982:12932693:1 gene:A03p030560.1_BraROA transcript:A03p030560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVDVSNQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQDYEKKEKQADVRKKMLKEPSVLLRCREEDLGLVESILDDAKEEYAGKANVHAPEVAVDTTIFLPPPPTSSDPHALHCSGGVVLASRDGKIVCENTLDARLDVAFRMKLPVIRRSLFGQIMSSKVRAKKEQPPSRGACTRKLLPDYLSQDFKDYADLCFKEFGGKVKHWITINQLYTVPTRGYAIGTDAPGRCSPMVDTKHRCYGGNSSTEPYIVAHNQLLAHATVVDLYRTKYKFQKGKIGPVMITRWFLPYDESDPASIEAAERMNQFFHGWYMEPLTKGRYPDIMRQIVGSRLPNFTEEEAELVAGSYDFLGLNYYVTQYAQPKPNPYPSETHTAMMDAGVKLTYDNSRGEFLGPLFVEDKVNGNSYYYPKGIYYVMDYFKTKYGDPLIYDLVPPVQKTVSKLLPITSELIICAVIYVFSAREKGVNVRGYFAWALGDNYEFCKGFTVRFGLSYVNWDDLDDRNLKESGKWYQRFINGTAKNPAKQDFLRSSLSSQSQKKRLADA >A09p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3061668:3065095:1 gene:A09p005590.1_BraROA transcript:A09p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPNSPVRIVVIGDKGTGKSSLIVAAATDSFPPNVPPVLPDTKLPFQFFPDGIPVTIVDTSSRPEDKGMVAEELKLADAVVLTYDCDRPETLERLSTYWLPELRLLEVKVPIIVAGCKLDLRDDNSPVVLEEVMAPIMHQFREIETCIECSALKQLQAQEVFYYAQKTVIHPTAPLFDQETQTLKPRCVRALKRIFILCDHDKDGALSEAELNAFQVKCFHAPLQPSEVDGVKRVVQEKLPEGVNEVGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLADELLPPSLFKRAPDQSVELTDVAIEFLKGKYMLFDADGDNNLKPQEIEDLFSTAPESPWKEAPYEGAAEKTALGGLSSDSFLSLWSLMTLLEPARSVEYLIYIGFQGDPSSAIRFTRKRLLDRKKKQCERKVVQCFVFGPNSAGKSALLNCFLGRSYADNTESTTDERYAVNVVDETKSAKKTLVMREIPADGAHGILSSKESLAACDIAVFVYDSSDESSWKRASELLVEVANHGEATGYEVPCLMVSAKDDIVSSQIPIQDSTRVTQDMGIEPPVSISSKLGDFNNLFGKIVTAAQHPHLNIPETEAGKSRRHYNRLINRSLLSVSIGAAAVVVGLAAYRVYAARKSASA >A05p046390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27571739:27572456:1 gene:A05p046390.1_BraROA transcript:A05p046390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPPPMDFSVDMSCDIKVNNSCELCHRKVDEVMQSLTAFYSVTYLGENNTIKLKARANPNVIMWISHKYGDHGKISNFHMNGQPVTPQPGGGGYYGPSGYNLPSNTSGYYPYPPPPQFLAGNYGYPMPNPPPPQKESTPQGIYKQHTAPPSYVMQPPPPMPLSSYSYIEPPYWPMSGR >A07g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:310333:311403:-1 gene:A07g500180.1_BraROA transcript:A07g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECFTLNVHYVYQVHIISPNLTTISQSMHSPRRCIYIYKEDQADQILGRRNSATRSSDEHYESLLIVFVLSTIGSTQTTWRSKIFAAAIQCEADFVGSVWQRFSVRRWS >A04g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21815959:21817430:-1 gene:A04g508380.1_BraROA transcript:A04g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLSSLTFKGSVSEAIAEAKEKKKLLLVYISGEDGESDKLNKLTLSDASVIESISKHCVFLHARVDPTTFSPKFPYSNVPCLTAIGSSGVKVWNNDGFIEAVDLTSSLNMAWLELNILETTASILGENSDDEAVENGVVEAEKEDGEEEPEESKVGDELNLVFTTRFTQFFKWMEKPLPASSSFFERASNAFDASRRLIINKAAGQVVISQNVKNKKGAAARFAAIASLACLCTIPALFGYYKWIVASLKKEEKEELREVLKALDEKVSANTKDICVLQLEASKMREWKSEMEAFSSATTSDIRVLQLAVSKMSEWRSAMEASSSLLRRNTENSRIARQELDMAMHTLKFNKMMRGL >A04p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16572292:16574326:1 gene:A04p027520.1_BraROA transcript:A04p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSMSCGARPEHEIFASVQCGDIVTVRRAITADPSVLRQTTAYDRHSLLHVAAASGHIEILALLLERSSNPDTLNRHKQVLMFDSVNRRTCLHYAAYYGHADCVQAILSAARSTPVALHWGYARFVNLRDDKGATPLHLAARQRSPDCVNVLLDSGSLVCASTSLYGSPGSTPLHLAARSGSIDCVRKLLAWGADRLQRDASGRIPYVVAMKYKHGACGALLNPSSAEPLVWPSPLKFINELNEEAKLLLEQALMDANMEREKTILNGTDYSLPSPSFSDTASDDDNMSEMSDSELCCICFEQVCTIEVKDCGHQMCAQCTLALCCHNKPNPTTSTVNPPVCPFCRSVIARLVVAQNNNKDDRSKSQDEVGDVSSSKLRKHRRSINLGEESSSFMGLSSIGSFGKVTGRGSGRIVADNELMDKPIS >A09p038340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000052.1:160701:160988:-1 gene:A09p038340.1_BraROA transcript:A09p038340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDASDVLSLDFGQPRAVTHGISASVPYPREDGGTTICDTQADVPSARTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVRSNQN >A04p014310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5449928:5450296:1 gene:A04p014310.1_BraROA transcript:A04p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGLFMDFPLSIQWLQMGLKGYLWTNPINGYTKHKTTGVGFAFRSRAMKLQIMLRHSTCLSWTGCKDLIIMIKEPHAWLNFSTELKEKRFFKDDFNHSRYPTSLEGKMQFQILYLELQNIS >A03p037290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15608074:15609400:1 gene:A03p037290.1_BraROA transcript:A03p037290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRPHVPKFGDWSNQDQPFTVVFDNARTNKRADLYESLENSDIKTPPQPAPRIPRPEPPKPAREGTPRAPPPTERNKVRAPPADQLYGGGRDGGGLYGGYVGGGGSGNRQQQAPPRPAQTQPRPNHRGGSNGRGGTTIPPFPGSVGSGENMSYTHIFDQVKEERREGARPYGGTAGNTPSRPINSQHESPSPNSSKVCAQVCCFPWGRKGSKY >A06p043910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23623720:23627889:1 gene:A06p043910.1_BraROA transcript:A06p043910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSPAVALLSQKPIWNSQGSWESCWVKATATSNTQLKIPTASIRFRRLRVLFLVEDDVSVIPRILQSPTNSLIHGRFNPQSTAHFFGVFNSPGGSQFPVLRDTSSFHLDYLVFGTNSFCAFALNLAVFLLVGKTSAGVAGVAGVAKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHAKLRALKAKEAQKSAQQSDEESGRLLEEKEGGRKNEPDN >A03g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13468285:13471153:-1 gene:A03g503830.1_BraROA transcript:A03g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYMLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVSTDLVYSSGIQACLCRGMIYNSFVCVDDLQLSRHRLVLQLKKITSRFNYIQTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKVSRLQPDDFPTYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p010020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4190354:4192663:1 gene:A05p010020.1_BraROA transcript:A05p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRLRTLQSQPENKVCVDCSQKNPQWASISYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNERLNGFLAQYGIAKETEIVAKYNSNAASVYRDRIQAVAEGRQWRDPAVVKEVVVNKKPPLQGSNGGWDDWDNDDSFRSPRRNQSASDFRGYGGGGGGGAPAKSKSSEDIYSRTQLEASAANKESFFAKRMAENESKPEGLPPSRGGKYVGFGSSPGPAPRSNQQSGGDVFSVVSEGFGRLSLVAASAANVVQTGTMDFTSKVKEGGLDNTVSETVNVVASKTTEIGQRTWGIMRGVMAIASQKVEEFTKEEASTWNQQNKSEGNGYTNSSLGGSQSSSSYQNNYCSNSNSWDNWGEENSTTKKEAAPKVSQSSSGGHHNIHRCFVRNGNEVVQLRKKQRLVVVVICQKERERESIEW >A10g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8494399:8494812:-1 gene:A10g503240.1_BraROA transcript:A10g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTNKANKIHKRYTQYQEITSLYLIWSKENLEQYQILDLFSHCQARSMFDQLFDLKTKLGESDAALNRSLWGDSFSAEHQQQQ >A09p082170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59570041:59570995:1 gene:A09p082170.1_BraROA transcript:A09p082170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAAGVPKKRTFKKFAFKGVDLDALLDMSTDDLVKLFPSRIRRRFSRGLTRKPMALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK >A10p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3046121:3051599:-1 gene:A10p016440.1_BraROA transcript:A10p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YSL3 [Source:Projected from Arabidopsis thaliana (AT5G53550) UniProtKB/TrEMBL;Acc:A0A178U7D6] MRSSLMMEREARNETEREERDDLEETQNEADEFRSIPPWKSQITFRGIVASIIIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRSWTKLLTKAGIVTKPFTKQENTVVQTCAVACYSIAVGGGFGSYLLGLSTKTYEQSGTHTEGNSPGSTKEPGIDYKLTYPSGTATAVLINGFHTSKGNKMAKKQVFGFVKYFSFSFIWAFFQWFFTGISGTECGFIQFPTFGLKAWKNSFYFDFSMTYIGAGMICSHIVNLSLLFGAVLSWGIMWPLIKGLSGDWYPSTLPQSSMKSLNGYKVFVSISLILGDGLYHFIKILMFTARNIYSKLKNHHSGKSNSEKDKQSIADLKRDEIFVRDSIPLWVAAVGYAAFSVVSIIAIPMMFPELKWYFIVVAYMLAPSLGFSNAYGAGLTDMNMAYNYGKVALFILAAMAGKQDGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLVSQAIGTGIGCVVAPLTFFLFYKAFDVGNPEGEYKAPYALIYRNMAILGVEGFSALPQHCLQLCYGFFAFAVAANLVRDMSPEKIGKWVPLPMAMAVPFLVGGYFAIDMCVGSLIVFVWNKRDRVKAGLMVPAVASGLICGDGLWILPSSVLALAGVKPPICMSFMPNLRREMASEKKVLGFEEVSQHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDTARDMMEKYYIGEIDSSTVPATRTYVAPVQPAYNQDKTPEFIIKILQFLVPILILGLALVVRQYTKKE >A07p010820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6766281:6767089:-1 gene:A07p010820.1_BraROA transcript:A07p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRVRHMIVKAMEDRYPKWGEDKPPDDLDNMIVDILNDQLNDKFWDVVPLTKWQKRKTQVSAPSVPERVDTSPSTKRRKEKETAPEMKESHTDMPINNNIIQKLVEAVDNLSGRVETMDVSVAERVIKTLEASVQAQVEARMALFETEMKNKMAILEEDMNVLKGKDEEKVTSNAGNSKAHEDDDACSNTMSWMVQTKKGSVDGLPIQRVVKKEKKINKTMP >A04p010950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6964717:6966696:1 gene:A04p010950.1_BraROA transcript:A04p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase pTAC5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13670) UniProtKB/Swiss-Prot;Acc:A1A6M1] MASSSLPLSLPFPLRSRSSTRALTFRRSRLFLSLPTSIVCLSTQNPSGEESRWLREEQRWLREEQRWLREEQRWIRERETLLQEIADLQLRIQTLESRNPDTISNLAALLQVLKEKNRISESGSSAKPMVLENTREVEEEEEEEEEVVVVEKQVVAEEKVKVSEPVKRKRVTLKVGSEGEEVQAMQEALLKLGFYSGEEDMEFSSFSSGTARAVKTWQSSLGVREDGVMTEELLQMLFMDQVINEDVKTEKVETSTMKQETKEAGNGAVKTQQSIIKDQGNRGNEVSQHRVFLLGENRWEDPSRLNKASKSTDTRRKCITCRGEGRLMCLECDGTGEPNIEPQFMELVDEDAKCVYCEGLGYTVCDVCEGKTAV >A02p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3961686:3964238:1 gene:A02p009380.1_BraROA transcript:A02p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSNTCLPLRTDSVPQKPLVLNGVVRFHLQRSSHVSSYRFGFNSNPFFRTHTPRFSKCSPQRVSDKRRETQVTTEQEEEEEVVEFQRLFSNLNRSTLKRESGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWAFMVVTGLLVAEVNVNTMSELGSGGVSLVSMAKRTLGSVGVQVASWSYILIHYTLLVAYIARSSGILTNFLGIPIWESATLFSLVLGSICFFGSQRFIGATNGVLVFGLIASFAALVTVASGDLHWEALLKANFEAVPMSIPIIALSFVYQNVVPVLCTDLEGDLPKVRTAIVLGTAIPLGLFLVWNAVILGSFPDTGVAAEKMIDPLQQLRSTSVTVGPFVEAFSLIAIATSYIGFVLGLTDFFSDLLKLQTRQNKPFLYLLTLVPPLVLSLLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYVVSSSTATQLVPGGKVTLSLVMGAAGYVIVSEIIENFSKYLSVS >A08p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21599315:21600504:-1 gene:A08p036940.1_BraROA transcript:A08p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCCFTSPSEVVGGQSSSGKGRSDEGSIKYGFSLVKGKANHPMEDYHVANFINIQDHELGLFAIYDGHMGDTVPAYLQKHLFSNILKEGEFWVDPRRSIAKAYEKTDQAILSNSSDLGRGGSTAVTAILINGRKLWVANVGDSRAVLSRGGRIVQMSTDHEPRAERSSIEDRGGFVSNLPGDVPRVNGQLAVSRAFGDKGLKTHLSSEPDIRDVVVDSQTDVLLLASDGIWKVMTNEEAMEIAKRVKDPQKAAKELTAEALRRESKDDISCVVVRFR >A02p006650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2830794:2834285:1 gene:A02p006650.1_BraROA transcript:A02p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLSSRYSTRLKASLLSRRHSFASSSSTTSPASSSPRKYVSSSLSGGILDRYSLSLGGSAKASSSPLKGLLLDLSDAVPDITRRFRRFHGLKPEQVLELLLGFESELQRCGKVQPLWNIFRWASKQHKGFKHHPKSYEIMASLLIREGMVKEAELLLLEMEKDGETLDNEVVFCDLIQKYLDGFDSRKAVMLFDWMRGKGLVPLSSCYESLIDHLVGVCKTESAYRVCLDWVEAKDESFDRFDKVIELLCLDQRVQEARVLASKLSNQTSSIYSKICLGYNEKQDFEDLLSFIREVKYKPDVFVGNRIVHSLCKRFGSERAYVYTEELQSLGFKPDEATFGILIGWCCHEGDLKRAFLYLSEIASKGLKPDVYSYNAVLSGLFRKGLWEHTGCIVEEMKENGVLLGSSTVKVMVAGYCKARRFEEAKKIVKEASKVEEAFSLVGFDPLAVRLKRDNGNGLSKAEFFDELGNGLYLDTDLDAYEEKVNMVLDRSVLPEFNLLIVGACEDGDLHRALSLLDEMPCWGQKLSRRGFTVLMKSLCVSRSYVRVSVSLMRKWPKLANQLDGETLNFLVREYCKKGLSRQSKLIFHRMSHQTHLPIDNETYTSLISCFCKKESLKDLLNVLDAAKKANWLPDLETCGTLWECLLQKGLVKEAVKLFDRVFTSQSEACRIFMEKLTVLGYSRVAYSVVERLEGEGYVVEEEVYNLLIKGLCKDRNDSAAFAVLDKMLEKKHVPSLLDSYALIEGLCLAGKMSDAENQLRTRLSNGVSLDNDIYSLMFGGYCKGNNLRRVEEVLGIIVRKNVIVSVKSYREYIQRMCSERKFLFAMSLLLLGESNPHGVIIYNLLIFYLFRDKNHKEVEKVLLGMQGRGLLPDEATFNFLVYGYYSCGDYLNSLRYLSAMISEGMKPNKRSLRVVISSLCESGDVKKAMDLWEVMESKGWSFVSSVVQTKIAESLISRGDVAKAEDFLTCATRNGCMMAPSYDNVIKKLSGLGSLGVAVQLLNIMLKNRKIPDSSSYDSVINGLLRCSSNKLDEARDFHTEMLELGLSPSVSTWSGLVHKYCEACQVLESERLIKSMFALGETPSQEMFKVVIDRFRVENNTVKASEMVEMMQKCGYEVDFETHWSLISNMSSCKEKKTEAGQGFLSRLLSGNGFSWKR >A02p003710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1637099:1640352:1 gene:A02p003710.1_BraROA transcript:A02p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEEGDASADRKKEGSGNEKSERTYRKREGERRDEEERGSSRSKKSRGEEEENGGGRRDREKERHRSSRDKDRERSSKERDRSDREKSRDRERGGSRDRERDRSDREKSRDREREKDRERRERERRSSSRSRREEREREVVERGSRRHRDKKDEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIAMSGQLFLGQPVMVKPSEAEKNLAQSTTTAGGGTGPVDRKLYVGNLHFNMTELQLRQIFEPFGPVELVQLPCDLETGQCKGFGFIQFAQVEHSKAAQIALNGKLEIAGRTIKVSSVADHIGTQDGNPKSADFDDDDGGGLALNAQSRVLLMQKLDRTGLAASIGVPALNGTALNQPGMNPGFPTSVLPTTALPSVVTEPIGQPSECLLLKNMFDPATETELNFDEDIKEDVGDECSKYGEVKDIYVDKNSAGFVYLRFESVQAAMAAQRAMHTRWFAQKMISATFLPPHEYEARAKA >A09p051130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42970880:42973961:-1 gene:A09p051130.1_BraROA transcript:A09p051130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHETKPGATSQSDPLRSLPKTGATCRSDMPRSLRPPGRRSFDLLRNTQKLSGEVHLLDFDCYVLVFLLISYLFLYMINLKSNMGLKGIMEISDSILDHPRSNPYAHEFSFPLVKKDELIRRGFNPIRVRTVWDCFGHSGTGIVEFNRDWNGLNDALLSKKAYQEDGHGKKDWLCGGGAADSSLYAWLANTDDYYRAANYIGEYLGKMGDLKSISRFAEEEARKDHTLVVRLNVISENIQCRLRMLVEKFSKTSIKLKCETEEKDKILHGYNQEAKPCRESPETGSPSRRLLLSLPRRLSPLSLSSPRLSFLSLLAATPSLLFSLSAVSLSPRLSSREWWWWPRGVTDLRSRFLLPPILRSRSRLRKIPGKGWDKLPGEGWKERKCGGRSVQKRQRCGAIASDKNGRVRIEAPVRLSHAESWREGSAGDFTGSSKKGRNGPLELCRTISGNVDGKKGNALKLMGPETGHTEMSGK >A07g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23696199:23696854:1 gene:A07g508630.1_BraROA transcript:A07g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPIYDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A09p075130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56729950:56732612:-1 gene:A09p075130.1_BraROA transcript:A09p075130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETHNGNGQHTIDIPNDEDFPSSLSTDEETSDSRESNMGGATAHHELHPPLTSDEGSSSCTIGWNSMEFVFTSVQIVAALVVWTLSKDEHPRAQLLAWLIVHTCGCITGTLLLSWRMCNQVEEYPKTRVDRVMEGVKTGLECFFVLWLIWGISWISFDKSSPSDAPNLYRLCITFVALSCIRFSVALLRLCTDSEGEGQEGGFEFQGQINDDSCCICLEKFGEKKRAIRKLECSHMFHLEFNQRAIYQGALAALNFQGENGVYTKHTYTDSDLIALKALEKENPVPSEQSVEPLCSADIIADTDNILTELLVCLLTTWRNMHLKRMQEWNLLLEIIPYQQGMITFFTFKL >A04p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22667292:22669903:1 gene:A04p040150.1_BraROA transcript:A04p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 6 [Source:Projected from Arabidopsis thaliana (AT2G45810) UniProtKB/Swiss-Prot;Acc:Q94BV4] MDNNNNRGRFPPGIGAPDPNFQSRNPNPNPNPPPQQFLHSRTPFPQQYVQSRTPLPPQQQPDAQQYVQRGYPQQNPPQQIQQQQQQWSTRAQLPGNPSYVDEVEKTVQSEANNDSNNQDWKATLKLPPRDNRYQTEDVTATKGNEFEDYFLKRDLLRGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTGAFCIPTLEKIDPENNVIQAVILVPTRELALQTSQVCKELSKYLKIEVMVTTGGTSLRDDIMRLYQPVHLLVGTPGRILDLTKKGVCVLKDCAMLVMDEADKLLSAEFQPSIEELIQFLPQNRQILMFSATFPVTVKYFKDRYLRKPYIINLMDQLTLMGVTQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMAQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSESYLHRVGRSGRYGHLGLAVNLVTYEDRFKMYQTEQELGTEIKPIPSLIDKAIYCQLFRGGKSFSFGSYKLVPEMKATLRSLY >A01p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10000079:10001428:-1 gene:A01p020360.1_BraROA transcript:A01p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPNPLSLSVPDPAFESWLRDSGYLDLLDHRTSAAAAAAAVPASSSSSSAAAAPSSASDDVVSSITGGFFASLLSRLLTLSSLLTINPFSKLSADDFSGDTPPWTTGFFGACDSYSFPASSQQARMRVHENIKRFARNYATLFIVFFACALYQMPLALVGLLASLALWELFKYCSDRWEFDRHPSARKLVIGIGQCGEFLRNGLCPAFVALQLESQNAENVLICVHRETFYRTKLISFLCFLPLAATAVLLTFLNVQMALFSALALCYYTPGSGSSLPPRNLLEEDKDCIKTVILDLAMRFISSFGSSDKQQPQQQQS >A03p069470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30528339:30530616:1 gene:A03p069470.1_BraROA transcript:A03p069470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRKIKDEDGDHDVASDLESLKGKPHTVASSNIALVGAGSNERANWKRKGVVTCALTILTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLLALTRIWKNEGVTDDNRLSTTYDEVKVFPIPAALYLFKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAGFILLCCGCTTAQLNSNSDRVLQTSLPGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMAFNAVAIVIQDFDAVANKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFNFHLSLAFFLGSTVVSVSVYLHSAGKLR >A02p020600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9679134:9680083:1 gene:A02p020600.1_BraROA transcript:A02p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSHHDIQVILLLHFFVYIVVDGQDLKAGNHPRPFCSPISCDAKDKNHSCAICHRGKMKFALQSHHDIQVILLLHFFVYIVVDGQDLKAGNHPRPFCSPISCDAKDKNHSCAICHRGKMKFFFKSREECASACK >A08p014810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9820333:9821178:1 gene:A08p014810.1_BraROA transcript:A08p014810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSGYGSEPGYRGDVELGYGDEYDDEEEDVKLLFWGASNFGIISSDAPAFDVVLNFLLPLVVPLLMYLCCNVVSKPFSGVAIRSCSGFERVKSRTEVRTEVKFWYWKNQVKFVCLYKILRTLN >A09p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2879318:2880589:-1 gene:A09p005310.1_BraROA transcript:A09p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTESERSLLFVSICLHFRFLSKKKNILPLLWRFASSSLSLLTLRLLSKSLFLGDNGSNQRDSYEDSSDSDAFLIHLLPTKCSSKFRREMKDFPSCFGENGVQVADSSSSNSGKNAQNLVTCIYQFRIRGRTCLITVTWAKTLMGQSVTVGVDDSCNQSLCKVEIKPWLFTKRKGSKSLEVYSCSIDVVWDLASAKFGSGPEALGGFYVGVVVDKEMVLLLGDMKKEAFKKTNASPSSRGAVFIAKKEHVFGKREFATKAQLCSDGVKVHDLVIECDTSVTDPCLVVRVDGKTLLQVKRLKWKFRGNDTIVVNRMAVEVLWDVHSWLFGMPSSAGNAVFMFRTCQSSSDKSLSFSQDVMTTTTTNSKSQSSGFSLILYAWKNE >A09p015840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8225158:8226376:-1 gene:A09p015840.1_BraROA transcript:A09p015840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESMKKQNELAMFLARHVFSSEAKKHSNIVFSPASIYSALTLVASAPSEPSVADEILCFLKSSSTDELNAVFTEIVSVVYTGGNANGGPEISSVNGVWIEKTLSLDHKFKYLLENFFKAAFKLVDFISNAEEVRMDVNSWVQESTNDLIKDLLSPGSVTNETERVYANALYFKGTWQTPFDDYDTRKRKFNLLNGSTVPVPFMTSDEDQYIAAYDGFKVARLPFQGGRGDTNRTFAMYFYLPRKKDGLDNLVERIATTPGFLDAHIPGREVKVGTFRIPKFEISFGFLVSEVFSQLGLDSNKLYHKACIRIDESGVEAAAATADEACGCYLGMEPPKRIDFVANKPFLFLIREDTTGTVLFVGQIFDPSQSS >A05p014130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6153948:6160530:-1 gene:A05p014130.1_BraROA transcript:A05p014130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKDNKLYVYTYDHYINFLDFSGDCPKETLEGNHYLNHPFPFVVTIYKMRIAIANSGEVMIFLSLNELNRKFCICELNLQVGRVGSLGDQLLIFGHGVTIRGPVKDRGIKSDSVCLLMMIICLVIICGEERSKFSYSLSKRFVFPNLAIQFLIIHSVSSLNITNEYLNHKCFLNQGIYNSGSEYKDSLNILFRKVRTDDYARTGFMHLTKGPASDSVTVMFQCRGDSYGSKCRTCADTAVAGFRKRCPRNKGGIIWYDQCFLWVSAIGESMSIKTNYKNIFSMYNPNNVRGDAKLFAKRVVDFFSELTLKVKKNTEAGSIIILYAAGEKKLGKNTLYAMVQCVSLTIDCKSCLAWSITKLFKNGDIREGGRVLGMNCDVRYEIYPFLRKLINRAFAGDDVLDEFDKDKEKVLKQEVPKPEKPVFYFLLGEIGSIYKERERERERERERERERERERKFSNQGKTLVLNKLSYKRHLIKRLRNFIQRLYNSLIHQRNFFEHSMHMHIGPEFVLLAEIDNRRFPSLRQNLQGGVISYQTQAVDQ >A03p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16636541:16639029:1 gene:A03p039970.1_BraROA transcript:A03p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYLLYESSSGYGLFEAHGLDEIGQNTEAVRSSVSDLSRFGRVVQLTAFHPSKSSLDALNQINAVSEGYMSDELRSFLELNLPKVKEGKKPKFSLGVSEPKIGSCIFEATKIPCQSNEFVHELLRGVRQHFDRFIKDLKPGDLEKAQLGLAHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFAMRVREWYSWHFPELVKIVNDNYLYARVSKMIEDKSKLSEEHIPMLTEVLGDEDKAREVVEAGKASMGQDLSPLDLINVQTFAQRVMGLADYRKNLHDYLVAKMSDIAPNLAALIGDMVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRAAAKNKGRIARYLANKCSIASRIDCFADSSTTAFGEKLREQVEERLEFYDKGVAPRKNVDVMKEVMENLEKKDEGEAKAVEGSEKKKKKEKRKAEEKDEEVEEEEEKSKKKKKKSKVVEEEVTTDNGNSKKKKKKKTKLQDDE >A06g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1135721:1139004:-1 gene:A06g500240.1_BraROA transcript:A06g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRKRMLVKKFLCPSLTARVIAGGSGVESFSSSPFSLSTAISVSPCSLSQNLSQPFRLSTSFSAVPSLGRSISPSPSPDVSSLSRSVSRPLSPPFPLSADLSLRLRLPTFPLSPDQSLDLFLRRSLSRPIYLSGSVSRRFLSLPIRPSTSISADLSVSADLSLRLCLPTFPLSAESSLCRLISPPVKSNMGHDYSYSQPSESEDLFCNSVSSGFSETDDLIRRDQAEISLQAHSSVQYPPQPEVEFGFPQICYCGAQPLLATSTGRNNPGRRYYTCVNADDGECHIWKWWDVAVMEEMRARDRHVIQLADKVDNLTLSIDYETQQKMVRLEKLVTDITTKKSFFTGRFEYFVGATVLVLVLIGTLHGLVI >A10p027380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17232244:17234095:-1 gene:A10p027380.1_BraROA transcript:A10p027380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRQFLVSEPNRFVLLCDHYNRRCQIRAPCCNEVFSCRHCHNESTSTLRNIYDRHELVRQDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCDICKFYDDNTAKEQFHCDDCGICRVGGRENFFHCKKCGSCYAIGLRNNHRCVEDSMRHHCPICYEYLFDSLKDTTVMKCGHTMHFECYHEMLKRDKFCCPICSRSVIDMSKTWQRMDEEIEATSMPSDYRDKKVWILCNDCNDTTEVNFHIIGQKCGHCRSYNTRAIGPPVLPQ >A06g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7886924:7889784:-1 gene:A06g502220.1_BraROA transcript:A06g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLLLGSLLTKSSGLPGSRLDFQEVVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIISEKSPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09g505830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18268479:18269318:-1 gene:A09g505830.1_BraROA transcript:A09g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKAFDITKNYNQLWIQCDNCYGLMYKKVEMNVCEECGHYLKMTSSERIELSIDPGTWNPMDEDMVSADPIKFHSREEPYKKRIASAQKKTGLTDAIQTGTGQLNGIPVALGVMDFQFMGGSMGSVVGEKITRLIEYATNQCLPLILVCSSGGARMQEGSLSLMQMAKISSVLCDYQSSKKLFYISILTSPTTGGVTASFGMLGDIIIAEPYAYIAFAGKRVIEQTLKKAVPEGSQAAESLLRKGLLDAIVPRNPLKGVVSELFQLHAFFPLNKNEIK >A09g510670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32922202:32924528:1 gene:A09g510670.1_BraROA transcript:A09g510670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDHVTYVFVVGSVQLGELGLRVVRDPGMCCVWRHPLSNLRGLGVCGVRRTRPGVDFRCCLQGARCSRQVGSRSRMRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGTGAIPQASDSFNYQYGYGNEYWEAMDISSSDLEITKPPAPEVIEISSDSTVAVNIIDISSRESSPWISMPAWSPAFSLGGSLDYSLESIGQSFDPYNEYHYSPMPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMENTQNGRTRPNGALGGQVEKGSTSRRPASNVQDSRNIPTEEECNVCGADDHHTRACTRIRSQPDLSAYMICSSCETRGHFIADCPMTNVTRAVPISVVPPTSLLDQPHLQQEDQTLETLTLLGVLIGVLVAAKFCVVERCVCNLVVLWTVCPCSKCG >A09p008210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4234727:4235038:1 gene:A09p008210.1_BraROA transcript:A09p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRTASSDITAWCSAVGLLSLILLLSVRENNASNDSVRGFQFSEKPCEEIYIVGEGETLHTIGDKCGDPFIVERNPHIHDPDDVFPGLVLRIAPFYFSRKV >A07p024020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13860724:13862884:-1 gene:A07p024020.1_BraROA transcript:A07p024020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVHSVIATNLATTGFYRNVSRRRIGSSSVNCSVETKEADRWAKLKNGNDSLEICRVLNGMWQTSGGWGKIDRNDAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPEYLEKIKGLTKWVPPPVKMTSSYVRQNIDISRKRMDVASLDMLQFHWWDYANDGYLDALKHLTDLKEEGKIKTVALTNFDTKRLEIILENGIPVVSNQVQHSIVDMRPQQRMAQLCELTGVKLITYGTVMGGLLSEKFLDANLTIPFAGPSLNTPSLQKYKRMVDAWGGWSLFQGLLRTMKSIATKHGVSIPTVAVRYVLDQQGVGGSMIGVRLGLAEHIQDANAIFSLVLDDEDVNSIQEATKKGKNLLQVIGDCGDEYRRV >A09p072110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55427469:55429303:1 gene:A09p072110.1_BraROA transcript:A09p072110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIM [Source:Projected from Arabidopsis thaliana (AT2G21170) UniProtKB/TrEMBL;Acc:A0A178VN28] MASSLTAQISCGTQPSAPSFSGLRRTCPKLDAAVSFSHHSFCNRVNSSIRLLSSSNRSPRGVVAMAGSGKFFVGGNWKCNGTKDSIAKLVSDLNSASLEADVDVVVSPPFVYIDQVKSSLTDRIEISGQNSWVGKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEKDEFIGKKAAYALSEGLGVIACIGEKLEEREAGKTFDVCFDQLKAFADAVPSWEKVVVAYEPVWAIGTGKVASPQQAQEVHVAVRDWLKKNVSEEVASKTRIIYGGSVNGGNCAELAKEEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >A10g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15586510:15587115:1 gene:A10g505930.1_BraROA transcript:A10g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGEIFKGLIWGLHWSEGPTGFEDVCFDCLRILMEQVKRWRLFWSNGRNLSIDTGTLVPQLRITCNDT >A05p011900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5200668:5201425:-1 gene:A05p011900.1_BraROA transcript:A05p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:Projected from Arabidopsis thaliana (AT2G35370) UniProtKB/TrEMBL;Acc:A0A178VV72] MALRMWASSTANALKLSSSVSKSQLSPAFSISRCFSSVLEGLKYANSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEENGSVSKEKSFGAVESVKATSEILSPISGEVIEVNKKLSEAPGLINSSPYEDGWMIKVKPSNPSELESLMGSKEYTKFCEEEDAAH >A03p038020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15871005:15873786:-1 gene:A03p038020.1_BraROA transcript:A03p038020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIQEEKELEPDMEKGWATDPVSPAGSTVADLSSPTPTPRKTLVLSNSGKALMVSNSSKSLGLSNSGKRFDPTGKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILSDIDSQITGTISGSDFDDEVAQIMTSVVNEVNELGETPLFTAAEKGNIDVVKELLSIVQLLLEHEPLLSKTVAQSNATPLVSAATRGHSEVVNELLAKDSSLLEISRSNGKNALHLAARQGHVDIVRTLLDKDPQLARRTDKKGQTSLHMAVKGISSEVVRLLLRADPAIVMLPDKFGNTVLHIATRKKRAEIVNELLQLPDTNVNALTRDHKTAYDIAEGLTHSEETQEIKEILSRCGALKANELNQPRDELRKTVTEIKKDVHTQLEQTRRTNKNVDGIAKELRKLHRAGINNATNSVTVVAVLFATVAFAAIFTVPGGDDDNGVAVMVHATSFKIFFIFNAIALFTSLAVVVVQITLVRGETKTERRVVEVINKLMWLASVCTSVAFISSSYIVVGRRNRYAAVVVTVIGTVTMTGILSIMTYYVVKSKRTRKVRKKEKKKKFGRTGTGSWHNANPSETESEVNPIYAI >A01g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3253446:3255486:1 gene:A01g500840.1_BraROA transcript:A01g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSPGSKKDLETRLLAFDDNLRTTTMAPILRSTPLAALLVFQISLFFFASTLPISSGTEDSYTITGRVRIPASTAIGHAAKFSNINVVLNGGQNITFLRPDGYFSFHKVPAGTHLIEVYALGFFFSPVRVDVSARHHGKVQATLTETRRSLTELVLEPLRADQYYELREPFNVMAILKSPMGLMVGFMVVVVFLMPKLMENIDPEELKRTQEELRNQGVPSLSSLLPASR >A07g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22476042:22477315:1 gene:A07g508110.1_BraROA transcript:A07g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSKPTKPTTDRNPKHSSPPPILHHRSSVFDIFVERYKGKDISVVAESVASILMVVVIEMDTSVEGRGFIFGPPIAMAIGAKFVPMRKPKKLPARTDCSMARTQKNKATAHHLDLLKCGMSPLLQNQLAELTNQKQQQQVATGGDKKRRKLVVVSQNCIEPLQALCDGSKEVMSMKGQSADKRRDIATLRKKRKEEKIVFVPIEMTFLVNRGLARCAREVHV >A03p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2064560:2066480:1 gene:A03p004960.1_BraROA transcript:A03p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSTNNDTFRGSPRRNSSILSASNLIQAPISTLLEYSGLFRPRPTSPTHEASETATLVSTGSDDSPNGGGGEVAIRIIGNAEQDDVESDITGAAQTTEGLGSSSAGTGEGASTGESVGGDAAASRDSPYQRYDIQQAARWIEQILPFSLLLLVVFIRQHLQGFFVAIWIAAVMFKSNDIMKKQTALKGERQISALIGISVAFATHVVGVYWWFRKDDLLYPLIMFPPKSIPPFWHAIFIIVVNDTLVRQASMILKSFLLMYYKNSRGRNYRKQGQLLTLVEYLMLLYRSLLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFTALKSLSRKEVHYGSYATTEQVNAAGDLCAICQEKMHTPILLRCKHMFCEDCVSEWFERERTCPLCRALVKPADLKSFGDGSTSLFFQIF >A05g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21537455:21537726:1 gene:A05g507540.1_BraROA transcript:A05g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIGTLQKAGPLLGWSSSNTTNRGLRCMGSSPLLGLHDARLILKQKQLTAF >A08p039120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22554122:22556191:1 gene:A08p039120.1_BraROA transcript:A08p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDLHQVIKANDDLTPEHYQFFLGSASPWSEVCSRRFEFLLMCADCKLKICDFRLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKIRNEKARRYLSSMRKKQPVPFSHKFPKADPLALRLLERLLAFDPKDRASAEDVSEDGLSNSEREPSTQPISKLEFDFERKKLNKDDVRELIYREILEHHPQMLEEYKRGGDQLSFMYPSGVDRFKRQFAYLEENQGKPGAGRSTALHRHHASLPRERVPAPKGETAQGSSDVESRAAAAVASTFE >A08p022270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14761238:14773475:-1 gene:A08p022270.1_BraROA transcript:A08p022270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSEATERADLQRPLVEQKPPSDVGLESVLTESSIPYRKRLYLGACIEMKLLFRLALPAILVYIVNSGMSISARIFAGHLGGQELAAASIGNSCFSLVYGLMLGMGSAVETLCGQAHGAHRYDMLGIYLQRATIVLALVGLPMTLLYTFSYPILVLLGEPKTVSYMGSLYIAGLIPQIFAYAVNFTAQKFLQAQSIVTPSAYISAAALLLQISLTYITVYVMGLGLMGIAYVLTICWWVIVGAQTLYITKSQRFRHTWTGLSWRSFQGLWSFFKLSAGSAVMICLEMWYSQILVLLAGLLKDPALSLDSLSICMSISALSFMVSVGFNAAASVRTSNELGAANPKSALFSTWTATVVSFIISVAEALAVMAARDYISYIFTSDPEVAKAVSDLCPFLAVTIILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPVGCILGFTFNFQAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKARKRLDIDTVEKSDLQRPLVDPTNSDPKPPKDVGLESVLTDSSLSCRRRVYLGACMELKVLFRLALPAILVYLVNSGMSISARIFSGHLGGQELAAASLGNSCFFLVYGLMLGMGSAVETLCGQAYGAQRYDMLGIYLQRATIVLALVGLPMTVLYTFSYPILLMLGEPKSVSYKASLYIAGLLPQIFAYAVNFTAQKFLQAQSVVIPSAYISGAALLLQILLTWFAVNVMGMGLIGIACVLTVSWWVMVVAQTLYITCNERFKHTWTGLSSRSFQGLWSFFKLSVGSAVMICLEMWYSQILVLLAGLLEEPALSLDCLSICMAVSALSFMVSVGFNAAASIRTSNELGAGNPKSALFSTWTATFVSFVISVGEALVLMASRNYISYIFTSDADVAKAVSDLCPYLAVTVILNGIQPVLSGVAVGCGWQTFVAYVNVGCYYVIGIPIGCVLGFTFNLQAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKAKKRLDMWDDKKDAVQN >A08p012070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11316767:11317958:-1 gene:A08p012070.1_BraROA transcript:A08p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFVLFIVLLLYSYVAAEFLSPLESPLVSESPLVSPSDAPVVSESTLVSPSDAPVLAESPMLSPLGTPVLSPSSEPSNNDCATVIFSMFDCLSFLTVGSTDRSPTKSCCDGVKTVLEYNPNCLCIALESSRDMGFELINRKALAMPSICNIFINPRCDVASSPTASISTPGTTTISPSEPPTNLSPPVVMTPSPPTVTTSSPPAVNTPSPPTFMTPSPFVNPTPPAVITSPARTASPPTITHSSQSSQAMTALSPAIIAPSPSKSGATNLSISKLFLRIVTISTFAYVVSFNLI >A06g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21044183:21045037:1 gene:A06g507500.1_BraROA transcript:A06g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGLESDFPVVVAADLAGVNVWRRLSGGFASSADAVERASGFTSVRWHLSLMRVALLHGAVRTEVLSLGSSARALSGDDWWWCFNGGGFQRSAFGVYSQRFLRSLSSGVAPSISCVRRRFGFGDFVASVGDDSRGYYSLRMWSEAASLTARRSSRAAGSLGGGARGNDDEDSRSLDLWQQHKCPSF >A10p036510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20772047:20773594:1 gene:A10p036510.1_BraROA transcript:A10p036510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTTEEDKKLISYIHEHGEGGWRDIPQKAGLKRCGKSCRLRWANYLKPDIKRGEFSYEEEQIIIMLHASRGNKWSVIARHLPKRTDNEVKNYWNTHLKKRLIDQGIDPLTHKPLASSPNPATTRTSEGQDDSNPSNLDEQSQSGSMSPKYLPISSSSCNLQKMSNSDETTRNNGSLSSKKCRFKKSSSTSKLLNKVATKASSIGNMVSASVEGSLIRSTILSPCLNDVFSETSQFQMDEFDPFSHSSEQHITDHMKEDINMDFDLKNSEFDFSQFIEQFSNIEAEKANAIGGYNQDLLLSDVSSTSVDEDNIMQNITGWSNYLVDDSDFPYDTNQDFDDKNFI >A09p065130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:52195744:52195935:1 gene:A09p065130.1_BraROA transcript:A09p065130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSRRVATPTKLHLLKGIVAKHLHLPATRLQLEPMNLIVPALKTKSVAANAPVNESFMAVKP >A09p081670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59371450:59372876:-1 gene:A09p081670.1_BraROA transcript:A09p081670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNLLVLAVLLSVIGVSVANPEGLDLNYYKHRCPDAEAIVRRTTVQYVSRQTSLAAALLRMHFHDCFVRGCDGSILLKSPTKDAERDAIPNLSVRGYEVVDAAKAALEKKCPGVVSCADVLALVARDAVLVINGPWWPVPLGRRDGRISRKSEVNLPSPFAGIAALKKNFFDKGLNTKDLVVLSGAHTIGISNCGLINSRIYNFTGKGDFDPSMNPSYVRALKKRCKPTDFRTSLEMDPGSVKKFDSHYFNIVAQKKGLFTSDSTLLDDAETKTYIDTQVSTAGSSFNKDFSESMVKLGFVEILTGNKGEIRRKCAFVN >A06p014460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6494944:6497903:-1 gene:A06p014460.1_BraROA transcript:A06p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIIAVRKVFLIGVSILILNWVWRAVNWVWLRPKRLEKYLKKQGFSGNSYRILMGDMRESNQMDQVAHSLPLPLTADFLPRMMPFLHHTVLNHGKKCFTWYGPYPNVIVMDPETLREIMSRHELFPKPKIGSHNHVFLSGLLNHEGPNWSKHRSILNPAFRIDNLKSILPAFSSSCKEMLEEWEKLASAKGTVELDSWTYCHDLTRNMLARASFGDSYKDGIKIFEVQQEQIDLGLQAIRSVYIPGSKFLPTKFNKRLRETERDMRAMFKSMIETKAKEIKKGRQADKDSDLLFSMLASNTKQIKEQGPDSGLSLDDLIDDCKAFYLAGQNVTSSLFVWTLVALSQHQDWQNKAREEISQAFGNNEPDFEGLSHLKVVSMILHEVLRLYSPAYFTCRVTKQEVKLEKFSLPEGVVVTIPMLLVHHDPDLWGEDVKQFKPERFVNGVASATKGRLSFLPFSSGPRTCIGQNFSMLQAKLFVAKVLQRFSVELSPSYTHAPFPAATTFPQHGAHLIIRKI >A05g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5209794:5212891:-1 gene:A05g501480.1_BraROA transcript:A05g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFDTQVRKIPSHASGLIPSLQHCLEEKRVFCEVVEKFERFGSGGREVDSQVNNRGGVIRDFRG >A03p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15828414:15829551:-1 gene:A03p037870.1_BraROA transcript:A03p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAETSQPTVQSRQLGTQLSGSMSFSSQMSKEDEEMSRNALSAFRAKEEEIEKKKMEIRERVQAQLGRVEEETKRLASIREELEGLGDPMRKEVALVRKKVDSVNKELKPLSLTVQKKEREYKEALEAFNEKNREKVQLITKLMELVGESEKMRMKKLEELSKNIDTLTLSPRQNKGTS >A09g512630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37407586:37409426:-1 gene:A09g512630.1_BraROA transcript:A09g512630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRYGRSVKGLSLGFRIENRQGQEQLEAVKDRLGAVIVERLQGRERHLFGYNSHPFGPMAGNLCQNRERQTPKREERTDDPRREPARGLAVPGETGSWEARPEEMDTRQRDREKDKEKEMAPGERTPKGTLNQGPGRFSIQVLGLWPDCPWSDLDVLDRTWTLVRQTHREDSGHGKMCGEWGTKRTKSRKGKEAAGGSGPVIGYGANPTQVLQTQTGLVNEEIGEPLATFLPTEVQVDNPDEQQEEGREEEGDSSHAGDKTGPGDGAEELAEPSMREVMDVVKAMGTQMLAFTQEFTSFVNSSVGQMTPPQAT >A08p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3527796:3528244:-1 gene:A08p006220.1_BraROA transcript:A08p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DPMS3 [Source:Projected from Arabidopsis thaliana (AT1G48140) UniProtKB/TrEMBL;Acc:A0A178WDH1] MKHIVKILSLLVAISAFWIGLLQAAIVPRSYTWLLPIYFVVSLGCYGLLMVGIGLMQFPTCPQEAVLLQQDIAEAKDFFKHKGVDVGSD >A05p016810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7499791:7501882:-1 gene:A05p016810.1_BraROA transcript:A05p016810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMARTIRNSASRCTMMSRPIFGLRSMSSWWKNVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLDCVREAERRIAGTSFMEYLPMGGSVKMVEETLKLAYGDNSEFIKDKRIAAVQSLSGTGACRLFADFQTRFNPGSQIYIPVPTWSNHHNIWRDAQVPQKTYHYYHPETKGLDFKGLMDDVKNAPEGSFFLLHACAHNPTGVDPTEEQWREISQLFKAKNHFAFFDMAYQGFASGDPARDAKSIRIFLEDGHHIGISQSYAKNMGLYGQRVGCLSVLCENEKQAVTVKSQLQQLARPMYSNPPLHGAQIVSTILGDPELKSLWLKEVKVTFPCFMCAHTFDGESYLIKHVESHVVFDNSTKELESDKQIMADRIIGMRTTLRESLEKLGSPLSWEHVTKQIGMFCYSGLTPEQVDRLTSEYHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS >A04p015530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9641779:9644184:1 gene:A04p015530.1_BraROA transcript:A04p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK8 [Source:Projected from Arabidopsis thaliana (AT5G38560) UniProtKB/Swiss-Prot;Acc:Q9FFW5] MSLVPPLPILTPPPSSNSSTTSSPPPSSSPPTTPLVPPPVTPPPSPPVPSSSPPPPVISSPPPSSSPPPPVVSSPPPAAASSPPPPPVVVASPPPSTPPPAPPQDSSPPPPPESSPSPPAPTTTSSPPPPPPSNTPSPPKPSPSPPSDTRSPPPPPSSDKPSPPPPPPASHPTDPAALAPPPTPLPVLPREKPTPPASPNANGNNTSSSSPSSPSGVGAGGIAAIGVIVGLLLLSLFVLALWLTRKRKRKDPGAFVGYTMPPSGYSSPQGSDAVLFNTNSSAPNNKMRSHSGNDYMYASSDSGMVSNQRSWFSYDELAQVTNGFSQKNLLGEGGFGCVYKGVLSDGREIAVKQLKIGGSQGEREFKAEVEIISRVHHRHLVTLVGYCISEQHRLLVYDYVPNNTLHFHLHAPGRPVMTWETRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNSFEALVADFGLAKIAQELDLNTHVSTRVMGTFGYMAPEYATSGKLSEKADVYSYGVILLELITGRKPVDTSQPLGDESLVEWAKPLLSQAIENEEFGELVDPRLGVNFIAAEMFRMVEAAAACVRHSAARRPKMSQVVRALDTLEEASDITNGMRPGQSQVYDSRQQSAQIRMFQRMAFGSQDYSSDFFDRSQSHSSWGSRDTRDQSKFVP >A10g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21705372:21706228:-1 gene:A10g507090.1_BraROA transcript:A10g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVEPKVFVATNIKLKLIFVYIQLHCHNSFHANLTQHCRPPFPQCNLWNTFLLLPRKCNQPELSARLSFVDPTLVAPPLLPSMAVYRKLSGTVEFHCTGQVNDIEKTDGPMLHHQSFAYKEVVTTLEMIFWMLNTAPIFEGSMAESAVLPAQRDAFLAPERIPQSLRSPTIEIHDNINIGEEPIPH >A08p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22908817:22914310:1 gene:A08p040060.1_BraROA transcript:A08p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNWRPSLPSGDPAMETGDWRAQLPPDSRQKIVNKIMETLKKHLPYSGPEGINELRRIAARFEEKIFSGAVNQTDYLRKISMKMLTMETKSQNQAGSSSTIPTANNGTSMDSLPTNQGNLLPGTLPNNQSQAPQPLLPQTMQNNTASGMMGSSALPSSMPPVSSMTHNNVASVVNQNSNMQNVAGMLQDSSGQHGLSSNMFPGSQRQMLGRPHTMSSQQQQQQQQPQSAQYLYQQQLQQQLLKQNFQSGNVPNPSSLLPSHIQQQQQQQNVMQPNQMHSSQQSGIPTSATQASSVSSAPLQGLHTNQQSSPQLPGQQTTTQAMLRQHQSSLLRQHPQSQQASGIHQQQTSLPQQSISPLQQQQSQMIRQQAANSSGIQQKQMMGQHLVGDMQQQQHQQRLPNQQNNMMNMQQQQQPLQHKQQPPAQQLMSQQNSLQATQQQPLGTQSNVTGLQQSNVTGLQQPQQQLLNSQVGNSNLQTNQQSVHMLSQPTGMQRTHQAGHGLFPSQGQQSQNQPSQQQMMPLQSHHQLGLQQQPNVLQQDVQQRLQSSGQVTGSLLPPQNVVDHQRQLYQSQRALPEMPSSSLDSTAQTENANGVDWQEEAFQKIKTMKEAYLPDLNEIYQRVTAKLQQDSLPQQQRSEQFEKLKQFKTMLERMIQFLSVSKTNIVPALKDKVTFYEKQIITFLNMHRPRKPVQQGQLPQSQMQPMQQQQSQNVQDQSHDSQANPQMQSMSMPGSSGQRAQQSSLTNMQNSLLSSRPGVSAPQQNIPSSMPASSLESGQGNALNNGQQIAMGSMQQNTSQQQQQHSLQGQRASYPLQQLKPGSQLPVTSPQLMQGQSPQMIQQHLSPQIDQKIAMSSVNKTGTPLQPANSPFIVPSPSTPLAPSPMQVDSEKPSGASSLSMGNTARQQATGMQGVVQSLAIGTPGISASPLLQEFIPDGNNLNPLISTSGKPSGAELPMERLIRVVKSISPQALSSAVSDIGSVVSMVDRIAGSAPGNGSRASVGEDLVAMTKCRLQARNFMTQEGMMATKKMKRHTTAMPLSVSSLEGSVGDNYKQFACSGTSDLESTATSDGKKARTETDHALLEEIKEINQRLIDTVVEISDDEDAADPSEGVTARKGCEGTTVKFSFIAVSLSPALKAHLSSTQMSPIQPLRLLVPCSYPNGSPSLLDKLPVETSKDNEDLSSKAMARFNILLRSLSQPMSLKDIAKTWDACARTVICEYAQQFGGGTFSSKYGTWEKYVAAS >A08g507660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13575884:13576537:1 gene:A08g507660.1_BraROA transcript:A08g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTRRGLPPCGITGDLFSWVCWNIWTTRNRLLFEDRPASALQTITRALADAREWTLAQGSASTPPKTTQIHRRPLTLPPDTVVCNTDVAWKGETSAAGLAWIFDSSSPLSISKGCQFHDRLSSVLVAEGLAVREALSHALHLGITNIWVRSDSLSLIRAIGSIIKPRDLYGILSDIESLSSAFSFCFFSFVPRGENGHADCSAKSCLHSKTSSWA >A02p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:294736:296224:1 gene:A02p000490.1_BraROA transcript:A02p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVMTVMAVMVVAALTTTTVKARIPGVYTGGPWVNAHATFYGEADASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCVNDPGWCLPGNPSILITATNFCPPNFAQASDNGGWCNPPREHFDLAMPMFLTIAKYRAGIVPVSYRRVPCRKKGGMRFTINGSKYFNLVLVTNVAGAGNVQMVSVKGSNTGWLGLSRNWGQNWQSNAILVGQSLSFRVKTSDGRSSTSNNIVPSNWQFGQTFSGKNFRV >A03p002920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1296321:1299077:-1 gene:A03p002920.1_BraROA transcript:A03p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSEPSSGLIDFINASMERSESSSRVSAPIRIGVEGYDTSLEPIDLYRALESLFKTCGEVHNMEIRRDRVTKALQKSCIVILRGEGAGDKALQLNGSDIGGRKIVVTSLPPGLDLSTGLSTDVLAARNVAHNKRNSEGISVTGYDTSLTKDDVKNALTNHFSTCGEITDVFVLNSRALIYFYEQGSNNRALQLSGTDLGGCTLVVKALPYPKPKGRSAWTRLRYRFRSATLSTITGWAHQITHTYRKLPCKAQRPES >A06p001720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:780599:781420:-1 gene:A06p001720.1_BraROA transcript:A06p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRRTTPKSGERSPDTSPEMSSSDFEFGSLTPSSPSHSEHSPADHLFLNGRLLPHTFPMTRSASRTTSENTFRSDSTSSRSSVSSCSPTSFTPRTSTCSVFKEETNVTCFGSRSEKTRSVRSGTCGMRNMPSSILDLKKPPSNNGKRVNTTATNRHSYIPTQCKRKKATEIVTAQLYGSYTRRWQHITPVFKREGSVKSNGGGIKVGGRKKKTVRGKKKKKAEERRGSKLMKWWKRVLKAVMLACRECHAMEPQRVVNYDADVKEKITKCS >A08p037010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21619255:21624157:1 gene:A08p037010.1_BraROA transcript:A08p037010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASSSSSESSSSMGSSSSDQTSHFVTSSMLSRTSSSSSSAFGDYIGTESCFDILEENDVVSAPTKPSNRYRYGGRRREEREARAAAAREFPPPIPLLAQTENLLPHMPWVLKRVVTSDGRLILREEKVRHHEYFRAHRANGRLTLDLVAAAEETVVESGGMVGGGGSPRGKCMKSCFVGMTVREIRPMEIGLEPKDAVDWSYRGEGAVNLVLAYTGSSPSFLGKMMRIQKMPNDGKEDNGNTSGNGLTSHEKVIWGECKELVSCQNKEIVEFLFVKHVMRPLLGHKHVNPGMRLLVAKEFLESVENIVTSQRPSWRADAASVDTHRNSVLLMDDLTLFAHGRVEDKPCLSVEIKPKCGFLPSSSFIAEENVIKKSITRFEMHQVLKLHDNEISEISEYDPLDLFSGSKDRIHKAIRALYATPQNNFRVFLNGSLVFGGLGGGTCKTTSKVEQDFEHLLKDIIKTKDGSRANHFIELVAETVYTSGVLDHLLDVQKLDKYNIEGAVHVYYDLINQPCRVCKELEKSKTSSASQFSSMHSIPMAEKVNVLKEFLISATAKDCSVMISFRSTDAVISRSSSHSNLHLESAKQEFDYKVHFIDLDMRPLKKMEVYYELDKKIMNTYLEMVKKKEARGERRAQRQCF >A06p000630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:212141:213596:-1 gene:A06p000630.1_BraROA transcript:A06p000630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSQRQSSNGSRGFRLQAPLVDSVSCYSRVDSSLKTVVEARKFVPGSKLCIQPNINPNAHRPSKNSNRDRTRIQPPLLPGLPDDLAVACLIRVPRADHRKLRLVCKSWHRLASGNFFYSERKLLGRSEEWVHVFKKDRDGKISWNAFDPVTHLPQPLPPLPREYSEAVGFGCAVLSGCRLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGCCVINNCLYVAGGECEGIQRRLRSAEVYDPNKNRWSFVADMGASMLPLLGVVYDNKWFLKGLGSHQQVMCEAYDPEANSWSSVSDGMVTGWRDPCACLDGRLYGLDCRDGCKLRVFDESTDSWNKFMDSKVHLGNSWAFEAAALVTLNNKLCIVRNNMSMSLVDVTNPDKNSPRLWENIAVKGQSKSIISNIWSSIAGRAVKIHIVHCQVLQA >A07p021640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12662329:12665501:1 gene:A07p021640.1_BraROA transcript:A07p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNSTLEKKDESSEEKGGELLFCGATSWDIVGRRKGVEGNLLSPTRLRPLLGVNIRFVASGCASCHCVALDVEGRCYTWGRNEKGQLGHGDMIQRDRPTVVSGLSKYKIVKAGAGRNHTVVVSEEGRSFAFGWNKHGQLGLGTAKNGFVSVEVESSPVPCVVSDEVTSIACGADFTVWLSSTEGASILTAGLPQYGQLGHGTDNEFNMKDGSVKLAYEAQPRPKAIASLAGETIVKVACGANHTVAVDKNGFVYTWGFGGYGRLGHREQKDEWSPRRIDVFQRNNVLPPNAIVSAGSANSACTAGGGQLYMWGKIKNNGDDWMYPKPMMDLSGWNLRWMDSGSMHHFVGADSSCISWGHAQYGELGYGPHGQKSSAAPKKVDILEGMHVMGVACGFCHSMVIVDRTEDIADRLEQLEIYDGKGSLEETVEQPKEETVATTTKQQVPAKRGRKRKAAKASSDSEQDSGEENSDKEKEAKGSDSDYSEDGEEEANGKKQTTRGRGRGRGGRGRGGRTGNGKASPVKTGRGRGRPRKS >A07p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19490618:19499351:1 gene:A07p036220.1_BraROA transcript:A07p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLFLGQYLIMVILLLGQLHGYISCIEKERMALLDLKKYTIASNESHQFLTTWTNVTKSDCCQWEKVKCDRASGRVIRLSIGWARYRESSLNLSLLHPFEEIQILDLSSLGFSGLFDDVEGYKSLRRLRNLESLDLSRNAFNNSIFPFINAATSLRTLLLPSNNMDGLFPAKELKDLTNLELLDLSENRFNNSIPLGGICELKILEELNLSQNKLVGQFPLCLLSFNGLRVLDLSSNQLNEKLPSAIRNLESLEYMSLSNNSFKGSFSLGLLANLSKLRVFRLDSKGNSLQVESGSSWKPKFQLNVIALPSCNLKKNNFFTSFQLPESHHNLHWLDLSVNKLSGFLPENIGWILPHLSYMNISKNEFEGNLPSSLGNMRSIEHLDLSHNSFHGKLPRALLEGCYSLVILKLSYNKLSGEIFQESVNFTGVNVLFLDNNHFTGRIGHGLRRLINLLLLDISNNNLTGVIPSWISELPTLFSLLLSNNSLEGEIPISLVSISSIRLLDLSANSLFGAIPPHVNSGTSVVLLLQNNNLSGDIPNTLLLNVSLLDLSNNRFSGNIPEFISSQRTSFLLLRGNNLTGQIPSQLCALTSVGLLDLANNRLSGSIPSCLSNISSDLRKVYPPIYDFGIDSLGLSQLSYLDRDSISTRDVGTYFKSLLILDQFTTDEYDVSTQTKLEFATKGRFEAYMGGNLGYMIGMDLSENELSGKIPVELGGLKEFHALNLSHNNLSGGIPESFSGLKNMESLDLSYNRLQGRIPPQLAELSSLEVFNVSYNNLVGAIPLGRQFNTFDTQSYLGNPLLCGKPTNISCNNFQEADDGLEEDESTIDMESFYWSLVAAYVTILIGIFASFSFDSPWSRFWFYSVDAFIYKARRKLFLKQYLIWVMILLLGQLHGYKSCILKERNALLDLKIFLISTTEEGQSEPVLPSWTNDTTSDCCQWERVKCNSTSGRVLKLSTRGLNLKESSLLNLSLLHPFEEVQILDLSESKFGGFFDGVEGYKSLSRLRNLEILDLSSNKFNSSVFHFLNAATSLTTLLLQGNNMNSPFPAKELSAMVKLKFLDLSGICKLKNLQELDISQNNLVGEFPLCLTGLTGLRVLDLSSNQMSGKLPSSLANLESLEYFSLFDNNFEGFFSLDSLANLSMLKVFGVNSRSNSFQVVSEGSWKPKFQLNAIALRSCNLVKVPHFLLHQKDLRQVDLSDNNISGQFPSWLLANNTKLDVLLLRNNYLTSFQLPRSAHNLLFLDLSVNKFNHLLPENIGWILPHLLSLNISDNGFQGSMPSSLGNMKSINNLDISHNSFGGKLPRSFVEGCYSLSILKLSHNKLSGEVFPESTNFTRVSQLSMDNNHFNGTIGQGLRRLSSLYMLDVSYNNLTGGIPSWIGENQFLGALQLSNNVLEGEIPPSLFNIFSLRLLDLSGNRLSGGLPQEVSFRTPVVLYLQDNNLSGHIPDTLLANVVVLDLRNNRLSGNIPEFINTQTTNILLLRGNNLTGRIPRQLCGLSNIHLLDLANNRLNGSIPSCLSNTSLGLGKEDAPYGYSFGVAVVAPGDSTSTDSFSYLSLKMSVYISDPFRMPYLSDTQYDIEFATKHRYDAYRGGILNYLYGLDLSENELTGEIPSELGDLLRLRALNLSHNYLSGVVPATFSGIRNLESLDLSFNRLHGRIPPQLTELNSLAVFNVSYNNLSGAIPQGKQFNTFETLSYLGNLLLCGQPTNTICNNVTSPESDNELVKDDDGQVDMVSFYWSSAATYVTILIGLFASLSFDSLWSRFWFYIVEVFINKVRNLLW >A07p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8420839:8423905:-1 gene:A07p011870.1_BraROA transcript:A07p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATILSPRATLFPPHNVRSSNSLDSRRRRRSTVSCAAQPVTADPSPPLTDSSNKLNKYSSRITEPKSQGGSQAILHGVGLSDDDLLKPQIGISSVWYEGNTCNMHLLQLSEAVKEGVERAGMVGFRFNTIGVSDAISMGTRGMCFSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPGIMVYGGTIKPGHFQEKTYDIVSAFQSYGEFVGGSISDEQRKTVLHNACPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLIELLKMDLKPRDIITPKSLRNAMVTVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDAVPFLADLKPSGKYVMEDIHKIGGTPAVLRYLLEAGFMDGDCITVTGQTLAQNLENVPRLPENQVIIRPVSNPIKETGHIQILRGDLAPEGSVAKITGKEGLYFSGPALVFEGEESMLAAISADPMSFKGTVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVENGDIITIDIGKKRIDTQVSAEEMSERRKKWTAPAYKVNRGVLYKYIKNVQSASAGCVTDE >A10p022320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14736998:14740118:1 gene:A10p022320.1_BraROA transcript:A10p022320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G58940) UniProtKB/Swiss-Prot;Acc:Q9FIL7] MTSLCPNIHSSFSYSAPFRFNLAFEVTSEKIIFTFFLLPCLLRHTPMRSRTPTPLLSPNGKPQKSVSEYNWSDAGAVAKVKNVSTIGAIKRAAKKVVGVFAFIFTGQRKLKPRECRSDPGDCSNLDRESTLSGWSGYSTPSSYGGSKVSGQYRFSGSRFKSPGRDSSSSKSWHHGPNNYGRSWLREFKNEIYTLSKIEHMNLVKLYGFLEHEDEKVIVVEYVGNGNLREHLDGLRGNRLEMAERLEIAIDVAHALTYLHTYTDTPVIHRDIKASNILITEKLRAKVADFGFARLVSEDPGATHVSTQVKGSAGYVDPDYLRTFQLSDKSDVYSFGVLLIELVTGRRPIELKRPRKDRLTVKWALSRLKDGETVLVMDPLLKRNRAAIEVAEKMLRLARECVGPTRGTRPAMKECVEKLWGIRRDMKETILVSSPSVSSSSSATHSFVGRDSDRYALPKIIDDENSTELLSP >A09g519100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58616453:58623400:1 gene:A09g519100.1_BraROA transcript:A09g519100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFACICLYQVFEYHMEFLETFGTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSVFITRRRENEPGATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGHFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFKPRIDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFPPGGRSSFVLRKPPKTFGKLSEYLHSRCFDIPQNWFDNLLYYNICLRSLENS >A09p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1336684:1339231:1 gene:A09p001790.1_BraROA transcript:A09p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 41 [Source:Projected from Arabidopsis thaliana (AT4G02330) UniProtKB/Swiss-Prot;Acc:Q8RXK7] MTFPKLLLITLFLSLQTLFIASQILTPSSNSSSSICKTTPDPKFCKSVFPQTSQGDVRDYGRFSLRKSLTQSRKFTRTIDKYLKRNKGLLSHSAVGALQDCRDLASLTTDYLTTSFETVNVTASSKTLSFSKADDIQTLLSAALTNEQTCLDGVNTAASSSWTIRNGVALPLINDTKLFSVSLALFTKGWVPKKKKQTGYSWAHPKNTHSHNKPLRHFRNGALPLKMTEQTRAVYESLSRRKLADDDNDDNTVLVSDIVTVNQNGTGNFTTITDAVAAAPNKTDGTAGYFVIYVTSGVYDENVLIAKNKRYLMMIGDGINRTIITGNRSVVDGWTTFNSATFAVTSPNFVAVNMTFRNTAGPEKHQAVALRSSADLSIFYSCSFEAYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNLYPRQALPNQFNAITAQGRTDPNQNTGTSIHNCTIRPADDLASSNYTVKTYLGRPWKEYSRTVFMQSYLDGFVEPVGWREWNGDFALSTLYYAEYNNTGPGSSTTNRVVWPGYHVINSTDANNFTVSNFLFGDEWMVQSGVPYMAGLLS >A09g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17090267:17090736:1 gene:A09g505390.1_BraROA transcript:A09g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENEKLFKYGDTKSARNIMYTELQKLIERNPLFDVKLPFPSFKASQLRTLINQRLYKVLNILEFNSTRQNMPIIVHDKDGKL >A03p024720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10415952:10417991:1 gene:A03p024720.1_BraROA transcript:A03p024720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLQSLNPTFSQTLASPNTSPPSFRSPFLRFNSSSTPKPLSTRSSSSLIARSAAEPQERKTFHGLCYVVGDNIDTDQIIPAEFLTLVPSNPDEYEKLGSYALIGLPASYKDRFVQPGEMKTKYSIIIGGENFGCGSSREHAPVCLGAAGAKAVVAQSYARIFFRNSVATGEVYPLDSEVRVCDECKTGDVATVELREGDSVLINHTTGKEYKLKPIGDAGPVIDAGSDPLSCLPNSQNPRFVEPGEIISKYSI >A03p018890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7706136:7708700:1 gene:A03p018890.1_BraROA transcript:A03p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.2 [Source:Projected from Arabidopsis thaliana (AT2G32800) UniProtKB/Swiss-Prot;Acc:O48837] MAPMSVDRLCFVLPAESGELKPSVESPAMVEETKEEEKKSRDCGRQVVSLVGDLFRRLHSSKLVKSLNLCISERDKDSNFLEINDKPFTDMEGVQLSGKIGAENPRIFGYSELYIGSNGFSDELILGSGGFGRVYKAVLPSDGTTVAVKCLAEKKGEQFEKTFAAELVAVAQLRHRNLVKLRGWCLNDGELLLVYDYMPNRSLDRVLFRRPEMNSKFKPLDWDRRGKIVKGLAAALFYLHEQLETQIIHRDVKTSNVMLDSEFNARLGDFGLARWLEHAVEEAEFDTSYDSVSSFRNHQFRVADSTRIGGTIGYLPPESFRKKTVATAKTDVFSFGVVLLEVVSGRRAVDLSFSEDKIVLLDWVRRLSDDRKLLAAGDSRLPKGSYVVSDMKRMIHLALLCSLNNPHLRPSMKWVIGALSGEFSGNLPALPSFKSHPLYIPLSSLKSSSTSGTTTTTATTTIPTTSTTSLNASSESTPSSNYVTALEDSIYQTAETGENRYFTNNSRRVMSSKSFVLDTPREISYNDIVLATDNFSDARRVAEVDFGTAYYGLLNRDQQIVVKRLGMTKCPALVTRFSTELLNLGRLRHRHLVMLRGWCTEHGEMLVVYDYSANRKLSHLLFHSRIPGTTVLRWKTRYNVIKSLACAVRYLHEEWDEQVVHRNITSSTIFLDRDMNPRLCGFALAEFLSRNDQAHQAAKKKGSAQGIFGYMAPEYMESGEATTAADVYSFGMVILEMVTGQPAVDYTRKKEDALLVLRIRDLVGNKKKPLEEIADIHLDDEYERGEMARVLRLGLVCTRTDPKLRPSISQVVCTLDGSERFFKEEGGKEGDVSRKQMYDSSILMVRQMQALGIH >A08p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10749261:10749885:1 gene:A08p016580.1_BraROA transcript:A08p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRCKRVKRLRAIRREIVEKESFTLTREDAKSAAIEAALAAPKLPVRLPPPSPFMEVATPTSESASVSGTVANAMDVEMDDEKHNKSLKPIGRKLKKKFKLGMKNRRSKGFLRGKRV >A03p016960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6768263:6769589:1 gene:A03p016960.1_BraROA transcript:A03p016960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pterin-4-alpha-carbinolamine dehydratase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51110) UniProtKB/Swiss-Prot;Acc:Q9LU63] MATTTSSPPCHISVSFLRQLPPCTTVQFFGFSPTQRKLGGLTVARNNLAQDFLGDWGARDPYPEEIASQFGDKVLGPQSTEHKILIPNASVLSLSQLECSPVSPSQAPLSPDEAKALLHKVLGWSIVEDEAGGMKIRCMWKVRDFGCGVELINRIHKVAEASGHYPSLHLESPTQVRAELSTSSIGGLSMNDFIMAAKIDDIKTSDLSPRKRAWA >A08g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4495185:4496557:-1 gene:A08g501910.1_BraROA transcript:A08g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLYGLKASPRSMKALAERRGVYHFLDVLSVPWELNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDRIGKLVRSVKWGWGLWHGDYFRDHTVLFICFLMSSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKIEENIEKLMATEFDHAHNNGLDLHWSG >A01g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23039664:23040775:-1 gene:A01g508110.1_BraROA transcript:A01g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRIPVEKQFDEVYFTHRLWMFLRETKETEEDIRRMFHHVREMMKLRMTMKKKSDPGKFAIPCMVKVRVVRQHVNVVEIGNELGYIAACHCGAEYETEYSESIDTHTVTSIDSYESPTFDESYPTSLNGRIPVDYSTSPDQYYPDFAFEQPNKNRRDDYLIGSWADRGFHESFAVETVILSSNEDPIEEYDEDYWKERPTEITLQDESYSTHSVNNMPTPSIDEIYSASIDSHPIICIDRSATGYIDRY >A02g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17515056:17515342:1 gene:A02g506110.1_BraROA transcript:A02g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIVEQAGGKRSYGHQIYSINIQLAKIHPKVTLYIGSKEEMEKLDDVFERFMSFSFVDSKIA >A03p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9517018:9520775:-1 gene:A03p022620.1_BraROA transcript:A03p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCCLLRSSFHLYHDRIFLALYWSQLMLLGFISLLLVVLQTPVSQICIPERIAATWHPCSSHQESTKYGKDYIDDGRKILEDYDSNDFYSPRRSLATKGYDKCAEKAFVNYYLQGKVALVSAYGIHQLHIFIFVLAVFHILYCIITYALGKTKMKKWKSWERETKTIEYQYANDPERFRFARDTSFGRRHLNVWSKSSSTLWITCFFRQFFGSVTKVDYLTLRHGFIMVILIVGAKLQVIISKLGLRIQDKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTEDIAIRITMGVLIQVLCSYITLPLYALVTQMGTSMRPTIFNDKVANALKKWHHTAKKQTKHGHSGSNTPHSSRPTTPTHGMSPVHLLHNYRNRSLDQQTSFTASPSPPRYSDFGGNYQNHGLDQTSSTASPSPPRFSDFGGHGHGHQQFFDPESQNISSHRGITDSDNSNSHHPHADVASPIIEEREITEHVKVDLSEFTFKK >A04p029240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17493418:17494268:-1 gene:A04p029240.1_BraROA transcript:A04p029240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSNLNIFLDVFAHILEEQWQVDVLLKAGDSDPDAAISAHKLVLAARSKVFKKMLEEDECKTSSEKEIITLSEMKHEELKALVEFIYSDGSTPCAGHARSLYLAADKYEIPHLRDLTRNELISSLNASNALDVYELAQIPFDDALNNAALSCIRMNIATIAYSDELKLFAESNPNLTVEIMKACVEQSRIRNYTFCIPE >A05p043740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26363046:26364468:-1 gene:A05p043740.1_BraROA transcript:A05p043740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSGGPPPPPQPNLPPGFRFHPTDEELVVHYLKRKAASAPLPVAIIAEVDLYKFDPWELPGTYNHHHHFFFFLEYQEHLAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLASDGNQKVGVKKALVFYSGKPPKGIKSDWIMHEYRLIDNKPNNRPPGCDFGNKKNSLRLDDWVLCRIYKKNNAGRHVDNDKDHDMIDYIFRKIPPSLSMTAATTGLHHNVSRSMNFFPGGYGIFPDCDPGLYNGSGMINNNIAVCTNGDVVGNGLNHASSSGPMMMANLKRALPVPFWPVAEEEQDVSPNKRFHGGEGGGDCSNMSSSMMEETPPLMQQQGGVLGDGLTTSYQLTGLNWYSS >A09p025130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13988883:13992909:-1 gene:A09p025130.1_BraROA transcript:A09p025130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPDLKMLETNSGVRGSGRSFRGKSQASSSSTKEGTLSSFDIAESLQADESSFGLNSQMAEGVQGLKDKDSGAAVDGVNPASGNMLQQEKQKSCTLGTVVENESKKRAHVKQLKMPFVGLIRIICINKTVRYKRRKRGLTLKQCRKKTKEITLQKKMKMKMTKKIKMTRTMFIMKMNMKMKMMMMLKMKMKVTVEMKIKMTLELKMKMKMTRIMIIMAGMSLLEMIVRETKMMLLMDVHQKEGVVDGLVVTVMSVLWSPKLEVKGLEEANGQGGSSSGKQCCNMRHYEDNTDNLRDFVYTSRIIDFSYIEDSDICGSVDVVNVTPPKDRNQQNHDDDSVDRRFTKSSSETVRCALNFAIRNTDVGNVVLVTPPQRNEKRSYFDPTLTDATQVHGGGATKIGTFSCAHNDETPSGESDHIVLVTPHKQYNKHNRIIEADDEFVSPPFTQTTDFEGGEACIPRKHFSSTSVTVSCTQSDETNTGATEGPGKKKKPNKCSRCFKEGHKKTTCKEPVP >A03p016370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6506853:6507146:-1 gene:A03p016370.1_BraROA transcript:A03p016370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSKSSLAMIMKVAALVALVLVATEVDGQSCNRHLSGLNVCGEFVVPGADKTNPSAECCSALEAVPSECLCNTMRIASRLPTRCSIPTLSCS >A10g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7960086:7963619:-1 gene:A10g503000.1_BraROA transcript:A10g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNVSLTPVKDLKPFKTKWRSQVKVLLHSWLQNTGFGLQILLTDEQGEKISATCKRNHILSVQRKLPLGKWRVLTTFSVSQASGQYRPTSHPYKITISDETVITNSDLIQDSIFLSLARYEDIIDGTVKTNFLIGSPKSVFTKVQIKNTFDASIVYLDPTMEEALQFKEKFKLHLIVRDDTETCKLMSLNTVAKTIVGHEAVDLWDGSYNEIEDPELLPEPIRALEGKSFCFGISVNSDNVRDGAGTYKVLEVWSGDHILKVESQSEPTSMIGTSSSTLSSGDVLMLEGNSQNDPEECKTPFAKWKEEDADLPDITSTSKKLCTSIKVEKEKEDLPLNLKTLTPRASISFAAELQQPPPLPDLSTTITNSSTIDASNQAVSYAICFPPPSSTLQPCRQPRPPSPSSPPDQVLICYIHPSSTLQPCPPSSSSIFYLCQLSAASAFIQTNQN >A01p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20573961:20578957:1 gene:A01p024880.1_BraROA transcript:A01p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 1B [Source:Projected from Arabidopsis thaliana (AT4G14760) UniProtKB/Swiss-Prot;Acc:F4JIF4] MASHLQSDSTRLYSWWWDSHIPKNSKWIQDNLADMDSKVKTMIKLIETDADSFARRAEMYYKKRPELMKLVEELYRAYRALAERYDHTTVQLRHAHKAMAEAFPDQVPFDMIDDSASSTSGPPKEDGATSKSSSSHLNELYQTCDAHQGDSEVESLKRALLELQTEKEALSLRYQLSLNKLSRFEKELSNAQNDVRGFDERACKAEIEIKVLKESLAKLEVERDTGLSRLQSEKEAGLVQYNKCLELISVLEKRIRDAEESVEMFKDKSEQAENETKALKQEVVKLNEVNEDLKVRYQKCLETISNLEREVSYAQDNAKRLSSEVLAGAAKVKTVEEQCALLESFNQNLKLEADNLAQKMSDKDQELVQKQKELEKLQDLMQEQQYRFSELEASLRSLESLHSQSQEEQKVLTMELQSKTQILRELEMSNHNDSSTITLQIQRNEISCLKKMKEKLEEEVAKQMNQSSALQVEIHRLKGYIDNLNRRYQQLIEQVRLTGFDPSSLVFSVKKLQEENSKLLQLCTKQRDDKDTLTRKLSEMDNIIKKNADLEKLLLISNTKLDGSKEKAKDLHERCESLRRERSELADERTNLFSQLQIMTVNMQKLLEKNSSLERSLSNANIELESLRDKSKCFEDLFTLLKNDKSELIKEKESLVSQLHKVEEKLGVLEKKFTELEVKYTDLQKEKKLKSIQVEELQVSLSTEKQEHADYKRSTESRLGDLQRNVSLLREECRSRKREYEEELDRVVNKQVEIFILQKLIEELEQKNFSLMIECQKHEEASELSEKLISELESENLEQQMEAEIFLDEIDSLRSAIYQVVKALQVEADCKITKDQTSLVVGEINGLKCSLSSAEYEMHRLVVENSVLLSLLGQFHSDGNMLEKDKQELLKANRLLKSELIIREQQEQELRDEIQTEHSKFERLHESYMVLKQDHSSTLKANKTLLSEFSQLKNGMCVVEEENDAALQEVVALSNMCVVYKSFGSEMAEEVKAFAETVRGLRESNIDLKHKVETLEEKLKGKEEENQGLDKKLEKLQEGLEVDNFLNGILERQVFNVDEILEHREMEITEAEHMLKATHIENEELHKEVEELRKDIEESRNMRGDLQRQIFEMSDLAGRQEEEIRKLNTLNENLKSEIELLHKEVQRRQLREELLSLELQEKSNEVGLWDADATSFYFDLQISSIREFFLENKIKELNGVCENLKEEDVAKTKKMKQMRERVFFLESQVTELKTQLSAYDPVIASLAKDVKSLEKSSQALTKFPASDYQQREEEAFCHELEESESITTQGNGIVIQKEVKPRLLRQRTRRGAYRSRSRRKTEEIQVTGESSRPRLKPEMREVKNDKMFEFSEESAESETTLLKNSKRTYRLSRNPSIESEKMVGVVDKQELSRNIEDKAKIMERLLSDSRRLLSLRISITDMKSKLEMNGKQGKVSKADIVIVKRQLKEMEEAVLQMTNTNEILSKETEETSGDSRDIYRKVIMEKSRNGSEKIEQLQNKMHNIEQAVLKLEDGAKSKRSRTLILFRDMIHKGGKRSARQKKNRFCGFIRSSSKEEQDGL >A09g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24928326:24930862:-1 gene:A09g508870.1_BraROA transcript:A09g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYAWRARKDKYRKKWNISILCYDGLRAEDFLPISILSSVKLFRKEESILKISPPARYSCDSSGRIDDFDKFKCIGRNSHFKLRGKKLSRRYSEPFHNFPFNMSSSQGDKKNSDVEMGEPTSPAPVLTSPVEAPACVAGHLSFREKLVRRQAEKEIAQAGSEFPSSSVQVVAPCHGTKVMAPLPQALPVGSSTNPILVEDKEKAADSMPPPPARKEIVLALRAPSAVMDTQPKSRKRKLARSGNGETSQRGGSSLASGLRRKFISLIDGMISECGSETSRLSGELVELQGRLSETKAMLMAVEDSHSAKVSKLEIAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDLALATIEGGMAVVRSFQSETPPTLEAEEARQSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSGAAPGLDEAAGEEGAGEEGDELSSHILLRL >A01p013460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6571445:6573062:1 gene:A01p013460.1_BraROA transcript:A01p013460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH103 [Source:Projected from Arabidopsis thaliana (AT4G21340) UniProtKB/Swiss-Prot;Acc:Q8VZ22] MTEEFETVGVRTGPWWSFPSGMFSDLSQPRSTEISIDIGGFEWQNIDNIDAETYDKSHTSTLSSNRNLDSTSQVNAWNQYNHREEERFDPISSFLEGLFDNSELSVPSVKPELLESFHFFDDVFLNESRTVSVFDHEIGDKHDEEIQAWKSLITCERASEKTEDLEDIESLPPLKRPRLETPTQFPSFKVRKEKLGDRITALQQLVSPFGKTDTASVLHDAIEYIKFLQEQITVSSNPQLNSKGSGEQKQWSYNGTHAEDSSLGQDLRSRGLCLIPISSTFSTSPLHFGLI >A03p034810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14668865:14669665:1 gene:A03p034810.1_BraROA transcript:A03p034810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAQNKIHKDKGVASTDFEQEVAQAFFDLENTNQELKSDLKDLYINQAVQMDISGGRKAIVIYVPFRLRKAFRKIHPRLVRELEKKFSGKDVVFVATRRIMRPPKKGSAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRVDGTKIMKVFLEPKERNNTEYKLETMVGVYRKLTGRDVVFEYPTIEG >A10p025120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16147758:16154455:1 gene:A10p025120.1_BraROA transcript:A10p025120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGPSSKSDNTKFYEILGVPKTASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGGSPFGGGSSRGRRQRRGEDVVHPLKPDTVTGDIVFVIQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFVLTHLDKRQLLIKSSPGEVVKPDSYRAITDEGMPMHQRPFMKGKLYIHFTVDFPDSLSPDQTKAIEAVLPKPKADLSDMEIDECEETTLHEVNIEDEMRRKAQAQREAYDDDDDDEEGPGGAQRVQCAQQVFLNNVDKMFRRGPPSKSDNTKFYEILGVPKTASPEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGGGGSPFGGGSSRGRRQRRGEDVVHPLKVSLEDLYLGTTKKLSLSRNALCSKCNGLPDTVTGDIVFVIQQKDHPKFKRKGDDLFVEHTLSLTEALCGFQFVLTHLDKRQLLIKSSPGEVVKPDSYRAITDEGMPMHQRPFMKGKLYIHFTVDFPDSLSPDQTKAIEAVLPKPKADLSDMEIDECEETTLHEVNIEDEMRRKAQAQREAYDDDDDDEEGPGGAQRVQCAQQ >A03g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28778435:28778914:-1 gene:A03g508350.1_BraROA transcript:A03g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKSVSSFTLIFILVLVIFEVPEIKAQDSECLKEYGGNVGFNFCAPRIYPSFCYTRCREDKGAKGGICRWGDSPDSVKCLCEYCSDEISHQILSGGI >A06p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21648795:21649643:-1 gene:A06p040060.1_BraROA transcript:A06p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLYNKKLPNEEKSDIKIYQNAQIYYERETSSEDFHEVQTTSRNSRRLPASPDDFQEVQTTEMEVQTTEMEVICKTSWKSSVRLPGSLKEIRERLKSFKMMNITILLEPFERRRENM >A08g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14893402:14894312:-1 gene:A08g508180.1_BraROA transcript:A08g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKYEGDMVFHVTPFVPSCCEIQYTHPHIVKEEADADDAPTFSYDYCFLAEVTATNQKDDKMFLHVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLINHFSRIDGSIAFTSRN >A08g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12423861:12425825:-1 gene:A08g507140.1_BraROA transcript:A08g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSVKNYNSDDTSDASNFGENSDAGHASYFRTINLRYFVAKPLEMLNEHIKFKELCLENGNPEAHYIEGLLQYFIHKERSTGLYHLRQSAIAKNSNGMYLYGLLMLAKGHYITGKRYLDKLQWNENLSLSDHCWKGIKNSLSAVPVRMRRQHYINMVNLEPRIDCHPDTMTEVCNNCYYYKRLNQFYRICTNSGRCTIIPSTTKITPRPPTMTHPPVPPSSPESPNTGESYDLSYLLDDPLDAVENYPELMEMCLRVNNPHANYIKGVHEYFGRNNVAQGLDHLKRSADGKCDVATYLYGLLMLSRGNMHEGRRYLSTLGWNTNMKRAEQCWTNVKKSLKRFHITMEDWYVENMFLLKPARRCHVNSFAKRCTRCFLYKQVMQFVDYI >A05p038220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21759642:21761534:-1 gene:A05p038220.1_BraROA transcript:A05p038220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKVITSLALFVLSISPSLAQAKDGGHVSLLVSETGLDLAKDFLIHKVISTTLPLQLPEIEKKVKIPLIGKVQMGLTNIKIYAVDVRSSRVETGGDGIVLSVSGATADVSMDWSYAYKASFFHIADHGVASVKVKGMDLRTTVSLVGENGSLKIASRHSDCKVKSIGIHINGGASWLYQGVVDAFEKKIITTVEETVSNKILEKMKKLDAKLQSLPKEGKIDDNVAVNLTFTGSPVLDDSSVEVGINGLFMANGDGGKKVSGSISPFLTPRVKRMVGISIEEEVFNSATLVYFIAKRMHVDIQETKNGSALSTSDWKLILPELYKQYPNVRMMLNMTVTSPPAVNITKNGIDAIIDLEISIDVQNSGAVLSVARISVVLDVGGTAEIAANNLAGSLRLKGFNATMTWSKLGDLQANYIQDVVSTILESLFLPYVNTRLMRGFPLPFTHGFKIKNTEIVYVENGVMVCSDVAFG >A02p049810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30868453:30873194:-1 gene:A02p049810.1_BraROA transcript:A02p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASMGMLRRLTSHKVDAKEKGELVATAQIDELDRAGKDMQDMRECYDRLLAAAAATANSAYEFSESLGEMGSCLEQIAPHNDEESSRILFMCGKVQFEIQKLLDTYRSHIFKTITSPSEALLKDLRTVEDMKQQCDEKRNVFEMSLVKDKGRSKGSKGERHIPHDSRPAYNEFHDEATMCIFRLKSLKEGQARSLLTQAVRHHTAQMRLFYTGMKSLEAVERHVRVASEKQHIDCDLSVHENEVEAGEDDDDDDDGDGQEGELGFANRANEQRVEAASLSTRDHRMTSHSAPLFPEKKPDLSERLRQTNPSSNAYVLPTPSDSRYSKQALNPKPANQSTGNIWHSSPLEPIKSSKDAENNSLYARLPRPSTTDAHQHHQQAVRHAFSGPIKPSSSKPATTTDVSSGVFRPLPTPPPLPQPHLHSSGSPTASPPPASPRPNELHELPRPPGHFAPPPRRAKSPSLVGHSAPLTGWNQERPSTVTFAPPSTSNMVPSPLPVPPLVVPRSYSIPSRNHITVAQRAVEGNEDRVASPPLTPLSLSRPLESRGVAQTSRQIRGKGDDPPCSSERFPEDDDDDDDDDDDDDDDDDDDELFFEALNTVSLTASDINHCQNKNVECLVSFLYASNMLVTDS >A08p032930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19892338:19895096:-1 gene:A08p032930.1_BraROA transcript:A08p032930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPNNNKQTAPEKEGHALYLLLLLLQLLPTVLDQALSFTQKGFLFIDLLYSLRKKQKFIGEGIKMPWTSFYMFFNRTCTRLVVFFLVILRCFRNFGVSLYCRCCACFSLRMCFVGNKKGNRALKEIGTFMMTTCFIANYQSVQVSQAEYFRQLLKPCNRAARSSLRFRTFFFSLLYTLLRGFSLMQNNQYPHFSDEMGDGNMNFPYSSSFDDLFPPCAKLPFHGVELQPSPVCPKNFVIFDQTYDHSQVMYHPDLTPRLVNSGLASTFQNEYAGGSYGYNYGQEVYGNYGGGQEVVSSSYQEDPNEIDALLSTDEDDEGEEDGGDSEEVSTARNASREYGNTSAESCCSSYGYNSSRRKQSSSGSAASSSNNDGKGGRKKKMKKMMGMLRKIVPGGEEMNTASVLDEAVQYLKSLKLEAQKLGVGHFSNQS >A05p054450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32062417:32067726:-1 gene:A05p054450.1_BraROA transcript:A05p054450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDAEKQSIVSVEGQVGDSSSGEISSEKQPLIKENHHSPENYSVLAAIPPFLFPALGALLFGYEIGATSCATISIKSPKLSGISWYNLSSVDVGIITSGSLYGALIGSIVAFSVADIIGRRKELILAAFLYLVGAIVTAVAPVFYVLIIGRLMYGIGVGLTMHAAPMYIAETAPSQIRGRMISLKEFFTVLGMVGGYGIGSLWVTVTSGWRYMYATIIPLPVVMGIGMCWLPESPRWLLLRSLQGKGNVESLQQAAIKSLRRLRGSVVVDSAAEQVNEILAELSSVGEDREATLGELFQGKCLKALTIAGGLVLLQQITGQPSVLYYAPSILQTAGFSAATDATRISILLGLLKLVMTGVAVIVIDRLGRRPLLLGGVSGMMISLFLLGSYYIFYNTVPAVAVVALLLYVGCYQLSFGPIGWLMISEIFPLKLRGRGISIAVLVNFGTNALVTFAFSPLKELLGAGVLFCGFGVICVVSLFFIYFIVPETKGLTLEEIEAKLTRGGVAIYRGISLVGQKEPRGKNLVNLDCGYDHTLHKIPYKKQTRVLNVPTNINKKNHNTYQKLNQKITMASNKQVILRDYVAGFPKESDLVFNDATVDLSVPAGSNKVLVKNLFLSCDPYMRIRMGKPDPSAAALAQPFKPGQPIYGYGVSKVIESGHPDYTKGDLLWGIVGWEEYSVVTLTPYSHFKILHTDVPLSYYTGLLGMAGMTAYSGFYEICSPKKGETVFVSAASGAVGQLVGQLAKIMGCYVVGSAGSNEKVELLKNKFGFDEAFNYKEEQDLNAALKRCFPEGIDIYFENVGGKMLDAVLLNMKLNGRIAVCGMISQYNLEEQEGVRNLSTVIYKRVRLQGFVVSDYYDKYSKFLEFVLPYIREGKITYVEDVAEGLENGPSALIGLFHGKNVGKQLIVVARE >A06p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1654399:1655059:-1 gene:A06p005490.1_BraROA transcript:A06p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGFLYICMTILAKTKYKTKKKMGSKSPNIAALVLPLLLILFSLSSQARLVESSGRKLAWAFGGAPIIGTPSSNSCGASPAIWYPKPTNPRPCRRTPGIGIPTSHQSP >A03p031010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13053150:13054890:1 gene:A03p031010.1_BraROA transcript:A03p031010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNKFHIILFVKKIQRNIAFVFAMAGTSFLDTIFRRRKKNSSDFTTSGFLSTFEFDFETIRAATDNFSHVIGRGGFGSVFKGRLQSGEEIAVKRLLGSSIRSERDFCNEVEILSKLRHKNLIHLLGFCSKQDQRYLVYEFMPNSSLQSYISDPHRDSHQLSWEMCRNIVQGIARGLRYIHEESQLWVVHRDINPTNILLDLEFKPKIAGFALARMMQQGESEGESTVIAGTIGFLDPEYMRTGRVSIKSDVYAFGVTILMIISRRRAYSSGGEESLIEHVMRCWNRGETIYVVHEVMREQEREDSISEILRYIHIALLCIDENAETRPTIDKVLHWFSCCSTPLPEPTISDRFLVEAETNLSFSPSLSPGYSSDMSPTSSR >A05p016660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7301904:7302869:-1 gene:A05p016660.1_BraROA transcript:A05p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPELYFDKFLGKGSFGSVSLYKYKRRHDGKTLYAAAKTSDHKHAESLYIEFQILSELKGCPRIVQCYGTEVLERRNEEGCLEYKIHMEYAPGGSLKSFSNQFQDKKLPDALVRDFTRMLLEGLATIHGRGYVHCDLKPANILVFPSYINKNGAWRSSHELKISDFGLTRRDGDNTWWQPHHPFAGTAIYMSPESISHGETRKGLDLWSLGCIVLEMYTGQRPWWHTDYKLNDLKNCHGPLIPRDLPFDAKLFLMTCFSPEADDRKDASTLLNHIFLRGDVSKITESSPMSAKTGSNPRNITLELEKLRQRLSDMRSIFV >A08p020260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13724596:13727567:1 gene:A08p020260.1_BraROA transcript:A08p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVRVTMGFHKSPSTKPKDMATPLPLPLPPPPPHLLKPSSGSAGKPSPVSNQKPGFARYFPRASAQVHNASSRSDQTAVISELRRQVEELREREAKLKTEVLELKLLRESVSVIPSLESRIAEKDGEIERSRKETARLTAENERLRREVERSEELRRESERREKEMEAELRKLVSSSDDHALSVSQRFQGLTDASARSSLTRSLKRVESMRNLPDPVPNQVSNKTGSPGDIYRKEEVESHSITNSDELTESTVRSRVPRVPKPPPKRPFSSNGSHDATEDIPDPPPQRTSPPPPPPPPPPLFRPPPPPPSVSKAPPPPPPPPKNLNIASAKVRRVPEVVEFYHSLMRRDSINSRRDSTGGGSNAAAEAVLASSNARDMIGEIENRSVYLLAIKTDVETQGEFIRFLIKEVENAAFSDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFCYFDLKKLISEASRFREDPRQPSGSALKKMQALFEKLEHGVYTLSRMKESAATKFKTFQIPVDWMLETGITSQIKLASVKLAMKYMKRVSAELEAIGGGGPEEEELIVQGVRFAFRVHQFAGGFDAETMRAFQELRDKARSCHIQCQSQTHQHKLIFRSTHC >A04g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5181991:5182225:1 gene:A04g502110.1_BraROA transcript:A04g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPLELDQSCLIKDRELATSKNEIFSDLEPLDRAGGLGGAGDGGSNGGNVVMWVFPCQRLCEE >A03p003000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1341196:1341818:-1 gene:A03p003000.1_BraROA transcript:A03p003000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKEAVEPRAKRGIIHLGVDGLARENLEPEDGGNTPQRLEYNVLLDGSSTIGSTYGSFGASDYPPVSF >A09p082590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59745424:59748548:-1 gene:A09p082590.1_BraROA transcript:A09p082590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSMVVLVKAAYSLNSFVFEAEDIRFGSPWWFVVVGVACLLVLFAGIMSGLTLGLMSLGLVELEILQQSGSSSEKKQASAILPVVKKQHQLLVTLLLCNAAAMEALPICLDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLAVGANFLWLVRILMILCYPIAYPIGKVLDAVIGHNDTLFRRSQLKALVSMHSQEAGKGGELTHEETMIISGALDLSEKTAEEAMTPIESTFSLDVTTKLNWETIGKILSRGHSRIPVYLGNPKNIIGLLLVKSLLTVRAETETSVSSVSIRKIPSYILVPSDMPLYDILNEFQKGNSHMAAVVKVKDKDKQKNMQLMSNGEPPKEEYMNSYSSPLLTAPLLKHVDERHHDVVVVDIDKAPTHVETRGRNFQQNGLVTREELPRLLEDNEDAEVIGIITLEDVFEELLQAEIVDETDVYIDVHKRVRVAAAAAAAVSSITRASPVEYQSKVGVTVKKLVGKEARSTKKSKTTEPLLAESDR >A08p037970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22069878:22071148:1 gene:A08p037970.1_BraROA transcript:A08p037970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSATVAIPSFTGLKSTISKPSAVVRLPTTASRNLTVKASLKDFGVAAVAAAASIALAGNAMAIDVLLGSGDGALAFVPNEFTIAKGEKIVFKNNAGFPHNVVFDEDEIPSGVDASKISMDEQDLLNAAGETYEVALTEPGTYSFYCAPHQGAGMVGSLPDHLEDADSSKSSLVSSKVNPTSLLPFYQGDSALGPTLRLQSN >A05p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19265516:19267146:-1 gene:A05p034260.1_BraROA transcript:A05p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLTFSEKSILSMAEISGITVRTQTTILNIEDGRSSGDSRLPDSPCSLICISFVQKLIGEFVGTFSLVFAGCSATVVNDTYGELVTLPGIALAWGLTVMVMTYSIGHVSGAHFNPAVSIALASSRKFPFKQVPGYIAAQLLGSTLAAEALRLMFHLNNNVCSLKGDVYVGTHPSGSNTATFIVEFIATFNLLFVISAVATDKRANRSFAGVAIGATVVLNILFSGPISGASMNPARSLAPAYIWGCYKNLWLYIVSPVIGALIGAWTYNMLRSTNKSYGEIIRPNCNKVSSNDHQEASQDDSCVLRVVDPNNRKIFILSSPTDINETCNVTCKLA >A02g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18328714:18329557:-1 gene:A02g506510.1_BraROA transcript:A02g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCAYYDLIFPTLPPLSCLPSGLLSHTGFSLACLSLLPDLSHGYLYLSMTLSMSTKLDGGGCKRSLTEEAPRRDFSDDKHGLTSSDLCCLLPEVAPPKFTIQSDDKHVCTVDVRSSKVCGIGLLNNKAQHVFHPFERDNSDVAMIILGSWFFLNCLVCFLKKLNMLRLMVYTY >A03g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:513367:514587:-1 gene:A03g500160.1_BraROA transcript:A03g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKTEDTAHRIVQRERRGVRMSRAAASKGKKKGGVSFTIDCSKPVDDKIMEIAKSSQLYIISKAGFGLYISLLFHSSATYTVICKGNLRGRDIPTGPFKELFQFLVEPVDDNIMEIVSLEKFLQERIKVGGGKAGTLGDSVSITPD >A07p020710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12191730:12193159:-1 gene:A07p020710.1_BraROA transcript:A07p020710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYQKHLSSSSLMLVFFFCFLSLFSRPSLSATFLVDGVSVWKTPVVHVGDSVIFRHKYGYDLYIFRTKDAFNICNFTQATLLTKHNSTSFTWHPSRTGSYYFSFKNNTSHLKTCQLNQKLSVQVILANASPPSQPPTPAVAPVPVSEGGVVSSSPSYPWPLGPREGSAISPGPSPSEITSVSVPGDGVPFINSNPAVPLPTGDVDSTSINPLPATSKNSARQVMMLTVKLKLVLSCVAMFYLL >A08p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21963956:21965198:-1 gene:A08p037710.1_BraROA transcript:A08p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPTRILCFALALSAASISLCLAASNDFSIVGYSPEDLESHDKLIELFENWISNFEKAYETVEEKLRRFEVFKDNLKHIDETNKKVKSYWLGLNEFADLSHEEFKNKYLGLKTDIARRQEERSYQEFAYKDVDVEALPKSVDWRKKGAVSYVKNQGSCGSCWAFSTVAAVEGINKIVTGNLTTLSEQELIDCDTTYNNGCNGGLMDYAFEYIVKNGGLRKEEDYPYSMEEGTCETQKDESEMVTISGHQDVPRNDEKSILKALAHQPLSVAIDASGREFQFYSGGVFDGRCGVDLDHGVAAVGYGSSKGSDYIIVKNSWGPTWGEKGYIRMKRNTGKPEGLCGINKMASFPTKTK >A08p027570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17409419:17414306:1 gene:A08p027570.1_BraROA transcript:A08p027570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPDPNSSVGGGGGAKRDQASTKVKAPKKKEEDLSEEDLELQQNLELYVKRVQDPNPELQKAALESMRLAGSGGDIGSWGHEYVRNLAGEVAVEYTIRQVQESSIMDLMDLVRQIVAFHMKVMSFSCLLFLHNAETEAVDLLMEVEDLDPLLDHVGRTNFRRTCNYLTSAAKYRPWPDDMVALDIAYMIYMKFKAYPNALQIALLLDNMQYVKKVFTSCTNLLRKKQFCYMISRHGITFNLDPEMVEDKDDRSMLQEIVNNTKLNEGYLTLARDIDVMEAKRPEDIYKAHLLNVRSGPVVESAKQNLAATFVNAFVNAGFGQDKLMAGTSDSTSGSAGNWIFRNKDDGKTSATASLGMIYLWDVEGGLAQLDKYYDISDKPVRAGALLGVGIVNCGIKSDCDPALALIGEYTDNEDSSVRIGAIMGIGIAYAGSQNDQIKSSLSPILNDEKAPLDVVAFAALSLGMVYVGSCNDEVAQSIMFALMDRNSAEPGEALVRFLPLGLGLLYLGKQESVEATAEISKTLCEKIRNYCKMTLLSCAYAGTGNVLKVQELLAQCGEHPEKDTIHQGPAVLGVAMIAMSEELGRDMAIRSLEHVLQYGEQNIRRAVPLALGLLCISNPKMNVMDTLSRLSHDTDSEVAMTATISLGLIGAGTNNARIAGMLRNLSSYNEQHARLLFCVRIAQGLLHMGKGLLTLNPFHSDRVLLSPTALAGIVTLLHACLDMKSIILGKYHYVLYFLALAMQPRMMLTVDENLKPISVPVRVGQAVDVVGQAGRPRTITGFQTYETPVLLAAGERAELATDKYIPLSPILEGFVILRENPNYREE >A10p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1467416:1471190:-1 gene:A10p002840.1_BraROA transcript:A10p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIFATVTFHRNNRHHNPSSPSPSTAVVAEMDAKPLRVNAGPPPTRKKDLWRVEAFPHPLLELSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLWKSSDKVVWTSRKSSGWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVTSGSRLDFSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15789577:15791300:-1 gene:A03p037770.1_BraROA transcript:A03p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRILCKFFVHGSCLKGENCEYSHDSKHHANNVCTFYQKGICSYGTRCRYEHVRTSQKRDGDHHKALNLCYTHPREHPICSFAAAGDCPRGSQCPHMHGDVCSTCGKKCLHPFRPEEREEHTKECEKKQKHIEALKKSQEIECSVCLDRVLSKATPGERKFGLLTECHHPFCIQCIRNWRSSAPVSGMDVNSTLRACPICRKLSYFVVPSVVWYSSPEEKKEIIDVYKAKLRKFISDRPDSLSKRRLGRSIDCKHFNFGNGSCPFGGSCFYKHAYTDGNLEEVVVRHLGSQEGETVIADSVAGLSEFLGRVHL >A05p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9495292:9499506:1 gene:A05p020230.1_BraROA transcript:A05p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRFTLGFLTDKQGWLSYWSTCGCALLLALADVLEGFVHTLHKVITKLLDIKLKQSPSWIRFTFGYPRGMRTDGSRGDTKGCRIAQRYYQTSIVAGCDAPLDHMSSKVKLDGKDKPQYGQIGHLAMVPAKAPFRTYAGRSSTLHGQSVRSSRYEPARQTLLHSHSKLHGVDEDEVFKDISFPLFASLVSQSKTDGRKDQRQQTHTQLSEHKANNRNNKVNGSTATEAEAALVVAKRPDSGEDIALQRWNLSNPSKEITRIIKQKLVETRQSSYSGAVPAFDARKNIYSPVEFQEDRFDLFAKLPIPSCNILIKCGDLREKLPVEED >A04g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5629534:5629824:-1 gene:A04g502350.1_BraROA transcript:A04g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELVSKLKSVTVEYKFTFSAYKTTKTLYVTKCRIQGCGWKLRASVKHVPKTLWVIKYSKKMKNQKD >A07p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14909789:14911312:1 gene:A07p026050.1_BraROA transcript:A07p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAMKLFGKTIPLPELVCVDSSSSYTEHQHQNPVRLSDSCTGDDEEMGDSGLAGDDVWFHGGDSESEKEEKTETTKATKTDEESSSQNNETSSREGKLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVLVGAGRRKNKSLASHYNRYVGITSAEDMHKAAARTDPNLSTFGSDSVLCESMASGLNLAEKSMLNTQTGLQEEPNEGLKITVPLKNKETVAISPLPKVPCFAGPPPPPAAWSYPWNGVSWTVLPFYPPPAYWSCPGVSPGTWNSFTWMSQPISPSGGSGPNSPTLGKHSRDESIAEPETKRISGRCLWVPKTLRIDDPEEAAKSSIWETLGIKKDEKTDTFRAFRSSHKVKSCLSDGKLPGRRQELLANPAALSRSANFHESS >A01p016810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8313919:8316391:1 gene:A01p016810.1_BraROA transcript:A01p016810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G24460) UniProtKB/Swiss-Prot;Acc:A1L4X0] MDTLLMAATTRLRCLHASTSSSAVPTVFRSPAIHQLSFSRAHLLSFRSSTVNTCVRRSRFPVTRRTTSPTRRGLFTVRASTEKSIAPSNRKLIVINSVVIIALAVANRVLYKLALVPMKQYPFFLAQLMTFGYVLIYFTILYSRRRLGIVTDEMLSVPKWRFAIIGFLEAVGVATGMAAAAMLPGPVIPVLNQSFLVWQLLFAIFILGRRFLLKQIFGCLFVAVGVVVAVSSGSGADQTLSGIGLLWPAVMIASSAFQAGASIIKEFVFNDAAKRLEGKSLDIFVVNSFGSGFQALFVFLLLPLLSNLKGIPFGSLLSYIKDGAGCFFNTGAKISGCDGAPLLPLLYIATNLAFNISVLHLVKISSAIVSSLAVVLSVPLSVYIMSKPLPYLPGGTSLSSNFMVGSIVLVLGLLLYNIPTTPTKQDTKTS >A03g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27473963:27475536:1 gene:A03g507780.1_BraROA transcript:A03g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFFGRPKMGGSSSSSPTSSSPAKRGKNKNGSDKPKQPQRGLGDDVRSQGEYPSIPYSSSSVHYGIHPNMMMNASNDQYERTTTRYIDAQPYIAPSWNPNYGILESQHFVEPNTTRHFLHEDPRNNSFGSDIENFQTSDATEPDLELRLSL >A03p018560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7572517:7574731:1 gene:A03p018560.1_BraROA transcript:A03p018560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVTGDPTGDLPSTAVALPGSTAVQSSPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGRTVMAAEERYESLRHCKWVDEVIPDAPWVINQEFLDNNRIDYVAHDSLPYADTSGAGKDVYEFVKKVGRFKETMRTEGISTSDIIMRIVKDYNQYVMRNLDRGYSREDLGEKRLRVNMRLKKLQERVKEQQEKVGEKIQTVKMLRNEWVENADRWVAGFLEIFEEGCHKMGTAIRDSIQERLIKQIPRKKLENGQDDDTDDQFYEEYFDHDMGSDEDEDEKYYDEEEELEEEKKENAVKTDAKDK >A04p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22809742:22812381:1 gene:A04p039530.1_BraROA transcript:A04p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAELKRLPSKGPFSRRQHSNFESRDASMHFEYNNTGGRPINPTTTTLGRELGLVLNVHREEFGGNHNEFQEFEPVVRSTNYPLLEIEEIVASADADDMSEEPGSSSFRGVSHPPEPDDMDLITTATSPSPFSMPRASQNTDHSDKECVWDSSPHNSSARAMSIANSSSSTQRSDVVFSMDKSYMFESAKTSASDSSGLSEESSWSSFTGSLNKPHKGNDPRWNAITAVRARDGVLGMSHFKLLRRLGCGDIGSVYLAELSGTRCVFAVKVMDKASLEDRKKLSRAQTEREILQLLDHPFLPTLYAHFETDRFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLIKTFDSDPSKRGAFCVQPSCMMEPTTSCFSPRSIFSNKSKKNNKTRSKTQTDVFRPHSGSLPELVAEPNTRSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFVHELLYGKTPFKGSGNRATLFNVVGEQLKFPESPATSYAGRDLVQALLVKDPKNRLGTKRGATEIKQHPFFEGVNWALIRCGTPPEVPRQMEVEPPPKYGPIDPVGFGSNSKRMGAPPPVSTASVGAGGDTKAGGKFLDFEFF >A02p020040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9322201:9324482:-1 gene:A02p020040.1_BraROA transcript:A02p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:Projected from Arabidopsis thaliana (AT1G66200) UniProtKB/TrEMBL;Acc:F4ID91] MSLLTDLVNLDLSDNTEKIIAEYIWVGGSGMDMRSKARTLPGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDTYTPAGEPIPTNKRHAAAQIFSNPDVVAEVPWYGIEQEYTLLQKDVNWPVGWPIGGFPGPQGPYYCSVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAADEVWIARFILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMREEGGYEIIKKAIDKLGLRHKEHISAYGEGNERRLTGHHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYTVTSMIAETTLLWNP >A10p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2332139:2333639:-1 gene:A10p017640.1_BraROA transcript:A10p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENANWISELIDAEYGSFTIQGPGFSWPLHQQPIGVSSLGVDGSAGNSEATKEPGSKKRARCESSSATSSKACREKQRRGRLNDKFMELGAILEPGNPPKTDKAAILVDAVRMVTQLRGEAQKLKDSNSSLQDKIKELKTEKNELRDEKQRLKTEKEKLEQQLKAMNAPPQPSFFPAPPMMPTAFASAQGQAPGNKMVPVISYPGVAMWQFMPPASVDTSQDHVLRPPVA >A04g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:539764:541088:1 gene:A04g500100.1_BraROA transcript:A04g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRAIGFAPYGVYWRTLRRIASYHLFSPKQIKRSETQRRVIANQMVTCLAKQSSSNGGLCYARDLIKTASLNNMMCSVFGKEYELEHEHDEVNELRGLVEEGYDLLGTLNWTDHLPWLSEFDPQGIRSRCFSLVPKVNRFVNRIISDHRDQTRDSPSDFVDVLLSLDGPNKLSDPDMVAVLWEMIFRGTDTVAVLIEWILARMVLHQDIQTTVHNELDQVVGRSRAVEESDVASLTYLTAVIKEVLRLHPPGPLLSWARLAITDTIIDGRRVPAGTTAMVNMWAIAHDPHVWENPLEFEPERFVAKEGDVEFSVLGSDLRLAPFGSGRRVCPGKNLGLTTVTFWIATLLHEFEWLAPSSDDKTVDLSEKLRLSCEMANPLAVKLCCRRSISV >A04p037270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21290012:21291999:-1 gene:A04p037270.1_BraROA transcript:A04p037270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEESLRSMSLDFLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGTDQPQSCIDPTRHGSVPASPTRSSQAPAGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCSAAVDLALDTLAASRYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMQQLWSTCSHLVAKSGLPPEILAKHLTIDVVAKIEEIRLKTSISRRSLMPHNHHHDLTVAQDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALHYAVESCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVGGITPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVISREEGNNSNNNNNDYNNPSYPQMNEEHNSGSSGGSNNNLDSRLVYLNLGAGTGQMGQGRDHGDDQNSQREGMSRHNHHHHHDPSTMYHQHHF >A05p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2825123:2827841:1 gene:A05p006990.1_BraROA transcript:A05p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSTRISSRDKKSNLYYITLVAVLCIGSYLLGVWQNTTVNPRAAFDTSTDAPPCEKFSKTTSTTDLDFNAHHNPHDPPPSTVTAVSFPSCDAALSEHTPCEDAKRSLKFSRERLEYRQRHCPDREEALKCRIPAPYGYKTPFRWPESRDVAWFANVPHTELTVEKKNQNWVRYENDRFWFPGGGTMFPRGADAYIDDIGRLIDLSDGSIRTAIDTGCGVASFGAYLLSRNITTMSFAPRDTHEAQVQFALERGVPAMIGIMATIRLPYPSRAFDLAHCSRCLIPWGKNDGVYLMEVDRVLRPGGYWILSGPPINWQKRWKGWERTMDDLNEEQTQIEQVARSLCWKKVVQRDDLAIWQKPFNHIHCKKMRQVLKNPEFCRYDQDPDMAWYTKMDSCLTPLPEVDESEDLKTVAGGKVEKWPARLNAVPPRVNNGDLKEITPEAFLEDTELWKQRVSYYKKLDYQLGETGRYRNLLDMNAYLGGFAAALADEPVWVMNVVPVEAKHNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFTLYQDKCEPEDILLEMDRVLRPGGGVIIRDDVDVLIKVKELSKGFQWQGRIADHEKGPHERVKIYYAVKQYWTVPAPEEDKNNTKALS >A01p011290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5496662:5497162:1 gene:A01p011290.1_BraROA transcript:A01p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCAR7 [Source:Projected from Arabidopsis thaliana (AT4G18620) UniProtKB/TrEMBL;Acc:A0A178V4P0] MESSERKRCRSTVVETIEAPLSLVWSILRRFDRPQAYKRFVKSCTIRFNGDGDGGEGSVRDVALVSGVPGNFSTERLDELDDESYVMVVSIIGGNHRLVNFTSKTMVVASPDDEADKTVVVESYIVDVPEGNSEEDTILLVDTIIRYNLVSLAKLTKKKMGQSTSV >A06g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24499662:24500428:1 gene:A06g508670.1_BraROA transcript:A06g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFTPAAQMHDVIWVIGVSGILCYRNMRTIAALSRPDQEEVWVCFLIDKLLYSLPRKEMGAAKMAQSKLQDNLKKLQGISLKMMEMEDTAKSFSSTAKEHAVEFKNRSSKF >A01p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:437805:438930:-1 gene:A01p001160.1_BraROA transcript:A01p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLSSSDSMCGLVSNSPDLCFKLTNSLVTCLATDEQSPRGYGSNFQSMLDGYEEDGTIVEEYSGNHHHMGLSEKKRRLRVDQVKALEKNFELENKLEPERKTKLAQELGLQPRQVAVWFQNRRARWKTKQLEKDYGLLKSQYDSLRHNFDSLRRDNDSLLQEISKMKGKINGEEEDNYNVKASTESDVSAVKEEEDPMPSSPPQFLEHSTGFNYRRSFTDLRDLLPNPVVEAGSSDSCDSSAVLNEETSSENGRLTPPPPATVAGGSFLQFVKTEDHDEFFSGEEACGGFFSDEQPPSLNWYSASDHWT >A10p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19721422:19726427:1 gene:A10p033800.1_BraROA transcript:A10p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease AED1 [Source:Projected from Arabidopsis thaliana (AT5G10760) UniProtKB/Swiss-Prot;Acc:Q9LEW3] MKSSLNIVTIILCVWLSWGRTDGAQKRESGEVSFHRIQASSLFPSPSSSCVLSPRASNTKSSLHVVHRHGPCSSLSSKKADHDEILRLDQARVKSIHSKLSKKLTARDRVSQSQSTDLPARDGITFGYGNYVVTVGIGTPKHDLSLFFDTGSDITWTQCQPCAGKCYSQKEPIFNPSSSSSYSNVSCSSPVCDSLTSQGLVKQCSASNCIYLAGYGDKSFTQGFMAKEKFTLNSDVFDSLNFGCGQNNQGLFTGIAGLLGLGRGSFSFPSQTAKTYNNIFSYCLPSSPQYTGHLTFGSAGLSNSLLKYTPVSTVKDSSSLYGLDIVGISVDDKELKIPLTVFSTPGAIIDSGTVITRLPPKAYAALRTAFKEKMSNYTAAAGLPPLDTCYDFTGVESIDVPKVSFSFKGGTVVELEPIGVLFVADRSQVCLAFIANDKDDDVAILGNVQQKTLQVVYDGPGGRLGFAPNGWIAFPMTLALNADPVVSFFDPALDILDSAERKRSQRRNFGCTDGAQREKSGEASFHRIHASSFLPSSSSSCVLSPRASSTKSSLQVVHRHGPCSSLSSEKAKTSPNHDDILRLDQTRVKSIHSKLSKKLTPQYRVSQSQSTDLEARDGSTLGSGNYIVTVGIGTPKHDLSLVFDTGSDLTWTQCEPCGKNGTSCYPKEEPIFTPSSSTSYSNVSCSSPVCDSLTSQGYYRNCSASNCIYGVGYGDSSFTVGFLAKENFTLNSNVFDNINFGCGENNQGLFNGIAGLLGLGRGTFSFPSQTSMTYNNIFSYCLPSSADYTGHLTFGSSGGLSNSVKYTPISLARDSASFYGLDIVGITVAGKELEIPLTVFSTPGAIIDSGTVITRLPPKAYAALRTAFKENMSNYTSTMGRSIFDTCYNFTGLETVEIPKVSFSFRGGTDVEVDSKGILYVLNVSQVCLAFAGNGNDDDVAIFGNVQQKTIQVVYDGAGGRVGFAPDGCM >A02p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1698011:1708654:-1 gene:A02p003480.1_BraROA transcript:A02p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLRSIRRRDVASTPFSAFKCLSRSAKTSSNSSYHAQNWRSFSRAFSSKPAGNDVIAFNPKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQVGAFVLTKMKETAEAYLGKSVKKAVVTVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMTNKEGLIAVFDLGGGTFDVSVLEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKTSEGIDLAKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHFNITLTRSKFETLVNNLIERTRDPCKNCLKDAGISAKEVDEVLLVGGMTRVPKVQSVVAEIFGKTPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGVFTRLIGRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFDLVGIPPSPRGIPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDDIQKMVRDAELHAQKDKERKDLIDTKNTADTTIYSIEKSLGEYREKIPSEVAKEIEDAVADLRSASAGDDVNEIKAKIDAANKAVSKIGEHMSGGGSAPGGGATQGGSEQTPEADYEEVKKYVSVGESGDVELFYYFVKSERNPDKDPLMIWLTGGPGCSSICALLFGNGPLAFKGDWFVDHPEFISNPFYVGGDSYSGKIVPGVVQQILLGNEKGLTPLINIQGYVLGNPTVSANFESNHRVSFAHRMGLISDELHESLERNCGGKFFNVDPSNAKCSNGLQAYDQCISEIYIEQILLPNCKVDYVLPDISLPNIRTSRRRELKEFSGNDSSSLPPPRCFTYSYFLSAFWANDENVRSALGVKKGFGKWSRCKCNTLNIPYTYDIHDAIPYHVNNSRKGFRSLIYRQDYCFGDHDMMVPFSSTEAWIKSLNYSIVDDWRPWMMTSNQVAGYTRSYANKMTFATIKARMFLLGGGHTADYNQDQCSLMFKRWIDDLVGIPPSPRGIPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDDIQKMSSFFALGLKLRGTN >A03p065620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29000928:29002875:1 gene:A03p065620.1_BraROA transcript:A03p065620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLDAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVSANLIRMLAYNNKNMLQTGLIVGGWDKYEGGKIYGIPLGGTVVEQPFAIGGSGSSYLYSFFDQAWKENMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDILNAAGPEPMAI >A03g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32372079:32374872:-1 gene:A03g510090.1_BraROA transcript:A03g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLSHCLGNVVKDGKERVSCLERQDVLQDYGINGTESRRGFGRIKRPPASLIEDRGTAIPIEDRDRAIPEHLRLCGVTSRKDRSTRGTVGAGVDWTSFAKDSFSRYMKYEFSEDNIVGNDNLFGHDQSRPQEEHFPPNRSVRERPETDDSESSVQGPRPIRRSNPIEQEVHDQPQQGIGMEHTLKMLHDVIARSLQQPQVQPQPLVPPQPTVATPMVKIRKGNQEGQVDDLCSQENALIVAR >A07p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5738734:5741519:1 gene:A07p009330.1_BraROA transcript:A07p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILRSREIPSVSGKPRPKRQSSDIIQPSTPARKQEPSAPSLDRSSSPTNTFRRRRSPRLESLNGFTEKEVSILVCTKRKSNVGERFLSLRSGKKVANGIETSGSEIDSRKMEDIGRSVDLVEETNIVSKELDNSGDDALVRSEEKGKTVMAEVNDVEMKCDEKPRKYTREEKAKGIQAENLSPPITTVGVEEMDIIDNSIPEEASLTESSVNAQNQNGNASRNQQFRDFAERNASRFARFDVGMEEEEESSDKEEVGQQVEDWPGPFSTAMKIIKDREENTIGVPFSSDIERSSSPIVWAPRRSDSFTSPPPPRAPSLQELSMRVLVKNADAITSLDYVPDSLRVKLCQLLCDSRRMDVHFLDLLVRGSPTGICVPDCSWLTEEQFTECFKNCDTSNLMVLQLDQCGRCMPDYVLPSTLARSPKSLPMLSSLSLSGACRLSDVGLRALVSVAPAITSINLSQCSLLTSSTIDMLSDSLGSVLRELYLNECQSIDLKLILTALKKFEKLEVLSLVDLPSVRGRLLREFITARGQALKQLTLSNSVKLTDSSIKDISENCPNLRVLDLANVCKLTDCALGYLANGCQFLEKLIFCRNSFRQLTRCFSLCSDEAVAAFVETSGGSLVELSLNNVKKVGHNTALALAKFSEKLQILDVSWCRDMSDNALGYIVDSCSSLKVLKVFGCTQVTNAFVLGHSNPNVEILGLKMDPFLNHLTKNHADS >A04p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:753940:757805:-1 gene:A04p001590.1_BraROA transcript:A04p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRGLSGVNRIRGGGGGSRSAIVVSVFLCVFAPLVFFFFVGRGVLYIDSSNDYANASVKQSLDWRERLAMQSLRSLFSKEVLDVITASTADLGPYSLDSFKKNSLSPSWREVEVDTSFRNPQENQTASSILNAKRDTTSKGGSHQKVETPEKLYRRQLREKRRERRANELVQQHNDDTILKLENAAIERSKSVDSAVLGKYSIWRRENENDNSDSNIRLMRDQVIMARVYSGLAKLKNKTELLQELQGRIKESQRVLGESTTDSDLPRSAHDKLRDMGQVLAKAKMQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLAQLAAKTIPNAIHCLSMRLTIDYYLLSPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSAIRNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEFYFKADHPTSGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLNKILFLDDDIIVQKDLTPLWEVNLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFNPNACGWAYGMNMFDLKEWKKRDITGIYHKWQNMNENRTLWKLGTLPPGLITFYGLTHPLNKAWHVLGLGYNPSIAKKDIENAAVVHYNGNMKPWLELAMSKYRPYWTKYIQFDHPYLRRCNLHE >A02p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8780364:8782032:1 gene:A02p018990.1_BraROA transcript:A02p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINDFLNLTAPFFTFFGLCFFLPPFYFFKFVQSIFSTIFSENVYGKVVLITGASSGIGEQLAYEYASKGACLALTARRKNRLEEVAEIAREVGSPSVVTVHADVSKPDDCRRIVDETISHFGRLDHLVNNAGIMQISMFENIEEITRTRAVMDTNFWGAVYTTRAALPYLRQSNGKIVAMSSSAAWLTAPRMSFYNASKAALLNFFETLRIELGSDVHITIVTPGYIESELTQGKYVSGEGELVVNQDIRDVQIGAFPVTSVSGCAKGIVKGVCRKERYVTEPSWFKVTYLWKVFCPELIEWGCRLMFLSGHGTPEENALNKKILDIPGVRSALYPESIRTPEIKSE >A05p030460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15736060:15736952:1 gene:A05p030460.1_BraROA transcript:A05p030460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLLLLGIRNKMHYEYEINVGQDPWISSTPVRSARSIVPVVNLKMTEWDARLIEQYVDQEDIPLIQSLSISRNHRRDTFCWSYTKNEPSITKLQAFAWKVKAPQNIFLIWQLISGQVAVTRNLVRQNMRCDNYCPRSGEAEETVSHATFECPPALQARNDKLFRGIDRDPLELVMYATSECQAWYNAKDTIHAPPQAQTVEETQALSLDNICM >A07g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21347614:21348969:1 gene:A07g507710.1_BraROA transcript:A07g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEALAMATNRFSDANMLGQGGFGIVYKGMLPDGKEIAVKRLSKMSLQGTDEFKNEVRLIARLQHINLVRLLGCCVDKGEKMLIYEYLENLSLDSHLFDKIRRSNLSWPKRFDITNGIARGLLYLHQDSRFRIIHRNLKASNVLLDKNMTPKISDFGMARIFGRDETEANTRKVVGTYGYMAPEYAMDGIFSMKSDVFSFGVLLLEIITGKRSKGFYNSNRDNNLLGFVWRYWKEGKGIEIVDPIIMDSSSSPLRTHEILRCIQIGLLCVQERAEDRPVMSTVMVMLGSETTAISQPKRPGFCVGRSLLETESSSSTQHDDDLTVNQITLSVIDAR >A02p051790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31667244:31671570:1 gene:A02p051790.1_BraROA transcript:A02p051790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKCDEARVPPSDSATANDASRTRQRRKRKWDQPAEQLVAAGVVLPRLGNAAINVPPPANTVAPFFQTPLPKLIQDELTIAREIVINDAEASLRHKLTKRSTQEEVSIQRSTGAVVITRGKYRPPNAPPDGEKPLYLHISAAAHLKETAERILAVDRAAAMIEEMMKQKTNSQVGLVGSPTVKMLNTCVYLGFEADPLSNVAARIRGPNDQYINHIMNETGATVVLRGRGSGNLENQHGEEAQQPLHLLLSSSNPKSIDDAKRLAENLMDTISVEFGASRVSSSKVYGAVPPPQQLLAGAPIPETAQKPNLSSSYGLMTSPNAVNPFPVPPATTTLYPQFPVFQPPGISNGGHLRPSPVSYLQPAAGGTSYSGYAGIYPQATPLQQVAQVLKQSVTPVVSTVPPTLLTSAALSKPSGIPSKETERRPPQKRKFQELPADCKVPAKSKEKSESAMAGEVAPKNIREPTANGVGSLPSQRSMMPPPPPKTIQPPPSRDVSPPSSRTMLPPPPRFTPSKQPPAPRLQDDQITIKKPNPVPDTLIKLMEYGDDEDDDED >A04g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21049215:21052865:1 gene:A04g508170.1_BraROA transcript:A04g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKIFFWNIRGLNDPVKHKPFCDWLLSHQPLFGTILETHIKDYNLNSLMDKLCRGWNYTSNHSEDADGRIVIIWKDSVTVRVLNKSSQSVTCEVKIPGAAQFIYTAVYASNESATRTDLWVELLNVSQSFSLDSAPWIVGGDFNQIVHPAEHSSAEVNSITSDMVEFKDCLTQLGLYDLRYQGPLFTWSNHRPEGPITKKLDRLLINSQLLNLFPNASAFFHPPLISDHTPCILDLAFKIPSAGNRPFKFYNYLSKHQDFLLVVQNAWAQAGSMVWNLTGLCWKQKQIKGELKTLNRENFSEIQKRVTEANRLLTDLQSILGPVPAPAPVSFTSSGNNNWMIPPARSEAQVNVHALLTTIQLNENEDYYEWVIDGRVTQRYSTGIVYGKLCEAGITVPWYNSVWNKYGIPRHNFLAWLFVLNRCPTRDRVLGWGLQTDPACVLCNSAAECRNHLFFDCRFAWHLWQVCSLRCGYTPERLWERVMYQLLTISLKSPKSILLRICWQSCIYWTWTERNARIHRQIFRSPDSIFRLLDRQIKNKILSLRDQNSTLSSTLMQTWLT >A09p051280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45305123:45307638:-1 gene:A09p051280.1_BraROA transcript:A09p051280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTLPISSSSSSTEMMFKPFIYDDPPTTTLLLDEDHSVKPLLSRASSFNGAVTPNGESTGLYQNRRRRSNSENCLSAASPDGTNGNGHQTIGQEVSHAAAETFLLTRLFLKLLSYLGVGYRWITRFLALGCYAFLLMPGFIQVGYYYFFSPHVRRSIVYGDQPRNRLDLYLPKNSNGGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGSISDMVKDASSGISFICNHIAEYGGDPDRIYLMGQSAGAHIAACALVDQVVKESGEGDSVSWSSSQINAYFGLSGGYNLLSLVDHFHSRGLYRSIFLSIMEGEESLKQFSPELVVQDPNLKHIVARLPPIILFHGTADYSIPSDASKCFAETLQRLGGKAEVILYEGKTHTDLFLQDPMRGGKDEMFEDIVSVVMGDNQEVIGKSVDRRRLVPEFMLKLAHWVSPF >A07p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17528113:17529892:-1 gene:A07p031810.1_BraROA transcript:A07p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVSRCSRRAVRTITASRFMSNVPENTVYGGPKPQNPNQRVTLTQLRQKHRKGEPITVVTGYDYPSAVHIDTAGIDVCLVGDSASMVVHGYDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYESSTNQAVDSAVRVLKEGGMDAIKLEGGSPSRITAAKSIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNIASAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALHIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYAQVGEVINKALLEYKEEVTKHTFPGPSHSPYKISSNDLDGFLSELQKMGLDKAASDAAASAEKMES >A03p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18974011:18992369:1 gene:A03p045390.1_BraROA transcript:A03p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGSVPIVAAVAIQLLLIPSVVLSLNITNLYLHHACNNTQGKYKPGSLFEKNLNTVIKNISTFDLRKGFALDSNMKSPYFDIPPNTVFVTLQCRGDSYGPKCHSCLAEALSGLRKKCPGHKGATIWYDQCLLDISTENSIRTALPNRVHYDNYLCISNPKSVSGDKKIFEKKKDDFTDKLLSVVNKTTDSNLRGPLYAKGEMMIGKKKFYGMLQCTNELFASACYVCLEWLVLGHPFCFYEGQGARLMCRSCGSTFPRLTPASDVTIGKKLLYSLWCKAKGNNNEQISYINSSTSQRSQTMPSIFGSVHILAVVAIQLLLIPSVVSSLNITNEYIHHACNNTQGKYKPGSVFEKNLNIALKNISAFNLHKGFALDSNIDRPYKNIPPDTAFVMLQCRGDSYGSKCHSCLATALSGLRKKCPRNKAGTIWYDQCTLDISTYSAGDTRILYDNYFCKENPKDLSGDTNMFIKKKNDFTEKLLSEVKKLGKNGKGPLYATGETMIGTKKLYGMMQCTDELYENGCYVCLDWIIYEHPLCGREKQGLRKKCPRNKAGTIWYDQCTLDISTYSAGDTRILYDNYFCKENPKDLSGDTNMFIKKKNDFTEKLLSEVKKLGKNGKGPLYATGETMIGTKKLYGMMQCTDELYENGCYVCLDWIIYEHPLCGREKQGVRYLCRSCNARFELYPFLRT >A09p014380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7395909:7397705:1 gene:A09p014380.1_BraROA transcript:A09p014380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVAMRRRAAEVPPTEPTEKGNGKSHTNRICLLVSLSLLFWALLLYFHFVVIGSSTNIENQIHLQPQPQPSSSTSLPVHKFDPPKEKDPLDKPILATTSTFKPPGTAKKQEFPFVRALKTVDNKSDPCGGKYIYVHDLPSRFNEDMLRDCKKLSLWTNMCKFTTNAGLGPPLENVEGVFSDEGWYATNQFAVDVIFSNRMKQYKCLTNDSSLAAAIFVPFYAGFDVARYLWGYNISTRDAASLDLVDWLTQRPEWEIMRGKDHFLVAGRITWDFRRLSEEENDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSEVFDWQERMRNLDRKWLFSFAGAPRPDNPKSIRGQIIDQCRNSNVGKLLECDFGESKCHSPSSIMQMFQGSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYSTYSVFIPEDDIRKRNMSIEERLLRIPPEQVKTMRENVISLIPGLIYADPRSELETLKDAFDVSVQAVIDKVTRLRKNMIEGRTEYDNFVEENSWKYALLEDGQREAGGHVWDPFFSKPKPGEDSGNDGNGGTTISADAAKNSWKSEQRDKTQ >A07p020450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12064274:12065848:-1 gene:A07p020450.1_BraROA transcript:A07p020450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDNNAPRVSKHMPTQQRRKIWRESFGGIAVGFRIQKAVVSGIRRPISDGKAKASREICVNGVIDRSVVSGETITPEWILCRLSQKNKYKDEDTRLRLCLFLLVEGILYPTNGSTQINMHINCIYVSFRGGSINFEHFKFTSCI >A01p049850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27984438:27985596:1 gene:A01p049850.1_BraROA transcript:A01p049850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFNSFPLAKGRSKHGKEVEWTSKDLLKALEEFVPIYETRPIKNNMHGMGFDHSFGLWFMARWLKPELMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWKNVLRKHGVTDLNRVVVFFDDHQNELKRLKQALKAGFQHLIFEDNYDTGTGDHYSLRHICDQSYIKGGGHSCFKDSDEARIRSKRKKFWEKAVDTEELCGPGEAWWGVRGEMRDDFNHTNTQISYNQHFQNSRYVESILDVYWELPPVAGPSLTHQSRYDPARSTPPIVADGKHRLFQRLGLGRLDKSVFNGYTQMVYLQISKPGS >A06p016940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7607414:7607800:1 gene:A06p016940.1_BraROA transcript:A06p016940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEDKHQEQDQNHHPYPQPDSTRVIWKALQGLKLGADRSKWSVHDDAQKEFEKDHRLCLVAKGLNMEHQNSPGIKEQHRPTRHMASWVGSVLLTIWRPRLHYNNIMLLSHPCIFLIRAMTVPALVTS >A01g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26009334:26017525:-1 gene:A01g509570.1_BraROA transcript:A01g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTAFTVALASLSRFQYRSFLTAPNSTESFDFFYYMANKANSINKALNDAVPLCTNPFVHKIREAMRYSLLSNGKPVRPVLCLAACEVVGGHESTAMPAACAVEMIHASSLIQDDLPCMDDESLRRGKPTNHKIHGEDIAILTADAFIALAVTHTVVATSENVSPSRVSRAVMEMMKAVGTDGLVAGQAADMAGERMVLEENETGLGRLEFIHIHKTAALLEAAAVMGGILGGGSDEEIERLRSYARCVGLMFQVVDDVLDETKSSEELGKTAGKDLIAGKLTYPRVIGVEKSKEYAEKSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFADPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A06p055330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28915707:28916705:-1 gene:A06p055330.1_BraROA transcript:A06p055330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINYSPATIKLFSVLLLLLTISPPPPIFAKAFSFPFTSFSQYKTLISTSRSLLLRVANLRASRGDASGSSRVRAMAEEIDRGLGIGFLSRAWSVGWDYVWNYAWRKGGIDYGEVYSAIGDLNELMSIVTEFNRAESNAQKASSVARSYGKALRVSKQLLRRLLRIFGKSGALREFWEMVQAEVVDGELLQDCLEVGSSDVKSLLQIAKDMALQYFSSTSRSSDEL >A08p022980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15125386:15137030:-1 gene:A08p022980.1_BraROA transcript:A08p022980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSYETVLCFFFFFIIIISFSSISVSAQVCENTTGIFIPNSTYDNNRRFILSTLPSNVTAHDGYFQSSIGLGLDRVYAMGMCAPGAEPNVCSQCIETASDGLLQNCLNQVDAFSWSGDKTLCLVRYTNRSFSGLLGMEPRGAIASTADTNLNQTYFDSVWTKLMFGMISNISSYSSAGNISSKFYADEDDVVQLPDFRNISALMQCTPDVSSEDCDVCLRTNVIDYQTCCRRKQGGVISRPSCFFRWELYPFIGASDLIFLQPSTPPPMTPSPVSKDPSLSVPSHVSKKGKISGGVIAVIVVVALVAIILITLGVATLKRRKKKQEIELPTESIQFDLSTIEAATNKFSERNKLGEGGFGEVYKGMLMNGEVEFKNEVIVVAKLQHRNLVRLLGFSLQGEEKLLVYEFVPNKSLNYFLFDSKKRTQLDWTGMLMNGTEVAVKRLSKTSRQGEVEFKNEVVVVAKLQHRNLVRLLGFSLQGEEKLLVYEFVPNKSLDYFLGYMPPEYVTHGQFSIKSDVYSFGVLILEIISGKKNSSFYQMEGLVNNLVTYVSYKLNKSLHELIDPVIREESKRDEVIRYIHIGLLCVQENSAERPTMSKIHQMLTTSSITLDVPLPPGFLFRNGPGSNPLAQGLEPGEPTSMSFACSLDEATITDEKVKEKKNTEEMITSWIMPVYTSLNSLFLLAFFITYLRASAQLQDPTYVSQLCTNRISRNTTYIFNLQTLLTSLSSSSAFFSMGSQSLTKGQNDDMVFGLYLCKGDLSPEACRDCVLFSTKDAPTRCPGGKEFLIQYDECMLGYSDRNIFSDAVTTTRIITWNPQKITEDQDLSDRFNHAVVALINKSAKEAANSTTKKFAANKTNFTSSRTIYTSVQCNPELSGEDCVTCLQRSIKDFYFNSVGGRVLVPSCNSRYELYPFYNETFLTSLSPPVNSPPLVSGPPLPPGKGRDWTVIILAICVPFSVFVLFLVAVISYRVTKRVKKTYDTTAADDEGDDITTAGSLQFDFKVIEAATDKFLISNKLGQGGAYNDIILLLTQTYIYHFSGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGRKNSSLYQMDGSVCNLVTYTWRLWSKGSPLELVDMSFGNNYQRNEISRCIHIALLCVQEDTGDRPTMSGIVQMLTTSSISLAVPRPPGLFFKSSQEQTGPSMDKSVLCSIDDAPITSVTPR >A10g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2999623:3001758:1 gene:A10g501040.1_BraROA transcript:A10g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAGLFQTVCCRELVREEEEEAWANESLLREQNQEVATLRRERDHFEAERGGGGSKTNFNGDSQIIKKSGIQIEKRDVDLLRRHGYLQAGQMNPLHSFVMHQQEITRGPSPQVAPLSPQASSEMPKQNHVSAYGRSPAQSDLDVQSSNGAQECPFYIQLVESSNGSENNFQDISSQFRDVLRLDSYALNQRPEVCSYYLESLFDLIPLRQEANGQVSPGANSIMHETLVSSGKNERNLESALLDERSLLACIVRTIPAGERVRISSTLPNGLGKMLAPLQWHDYRNKYGEVEDFVASHLELFMIEGDYIQFREGAQKMVAASVSAATYKVAAAAALSSPNSMYVAMTPMAQSQGLKKNDKTVQRGRQSCDFMAQQQRKI >A03g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24725967:24726222:1 gene:A03g507050.1_BraROA transcript:A03g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKIENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A05p049310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28731129:28732615:-1 gene:A05p049310.1_BraROA transcript:A05p049310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKPRTVICVGDIHGYNTKLTNLWLNLQSSLDPSQFASALVIFLGDYCDRGPETRKVIDFLISLPEKHPEQTHVFLAGNHDFAFAAFLGLLPRPWDGSELKETWREYEESEEREGWYKGEGFEEMHLQGRRWAGKIKAQFNSVKGMAYKGSIYDAGTTFESYGVPHGSSDLMKAVPESHKKFLTNMVWVHEEDDVCVETEEGIKHCKLIAVHAGLEKGDSVEEQLKLLRAKDTSISKVPYLSGRKNVWDIPQELDDKETVLVSGHHGKLHMDGLRLIIDEGGGYPEKPVAAIVLPSKKIIRDTDNVSS >A06p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19808353:19811140:1 gene:A06p036520.1_BraROA transcript:A06p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNWVLSVICAATVFVSCSFALTLDGYALLELKSGFNDTRNSLENWRASDESPCSWTGVSCNPQDQRVVSINLPYMQLGGIISPSIGKLSRLQRLALHQNSLHGTIPDEITNCTELRAMYLRGNFLQGEIPPSIGNLTFLTILDLSSNTLKGAIPSSMSRLTRLRSLNMSANFLSGEIPDIGVLSRFGPESFTGNLDLCGPQIHKPYPPKRSSRLIKGILIGAMSTMAVAFIVIFVFLWVWMLSKKERTVKKYTEVNKQKEPSETSKKLITFHGDLPYSSTELIEKLESLDEEDIVGSGGFGTVYRMVMNDLGTFAVKKIDRSRQGSDRVFEREVEILGSVKHINLVNLRGYCRLPTSRLLIYDYLTLGSLDHLLHERDQEDGLLNWNARLKIALGSARGLAYLHHDCSPKIVHRDIKSSNILLNDKLEPRVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQNGRATEKSDVYSFGVLLLELVTGKRPTDTTFVKRGLNVVGWMNTLLKEDRLDDVMDKRCVDVDEDSAEALIEIAARCTAANPEDRPAMNQVVQLLEQEVMSPSSAIDYYDDSHSDYC >A10g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7739648:7742779:1 gene:A10g502730.1_BraROA transcript:A10g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIGAEENGGRGDVEEDDGVSGAGPADGIGAFFREVDCDSGFAAGSGGGSWGGLRLQPAFLSQSSSSRSLGHEIKLLRRVFAISSWSSCSAPSLRRRPNHGRAHLATVVPPLTRPSSRNPHLPSDNAAVTSARVVAASSEAPESLLLTVAAIFRLKSLGIPQCVAVSSFSPYQKQNVSISVYGIKLNTNNDALFTILFHLRHRPAVSDLTANVKPTIALSQASNPFIKAGKPLKVRPDEDCLIHLSQACIGNRKKGETALMYVALMGRSLRRGGSGGPFNCTANVSAGAAASSVVKADSKPEEVNRESDEDDEGSDKKVVSICIS >A08g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6409160:6409475:1 gene:A08g503650.1_BraROA transcript:A08g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVKLRKESMTEILTDTALRQLTQMFLAIIFFYASEYILARAIHGPSMSSVDTKKEKSRQESLSKAKAKSL >A05g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30279092:30280940:-1 gene:A05g510020.1_BraROA transcript:A05g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNLLQGLLTRSERSSLREEYRERAPYTRKRGGGSVVTPVAPLSQQAGYFCRVCDCVVKDSANYLDHINGKKHQRALGMSMRVERSSLEQVQERFEVLKKRKTPGTFSEQDLDERIRKQQEEEEEHKRQRREKKKEKKKGKVIEEEPEMDPEVAELMGFGGFGSSKKS >A04p037090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21201789:21203114:1 gene:A04p037090.1_BraROA transcript:A04p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated protein 2B [Source:Projected from Arabidopsis thaliana (AT2G41060) UniProtKB/Swiss-Prot;Acc:O80678] MADKKRKLELQSNDASEPPQKQQQLENGDEDIIITTEIHENENENQRPDEDDDGEPIEDLLEPFSKEQLSILLKEAAEKHPDVADRIRIAADEDPVHRKIFVHGFGWDTKADALIKAFKQYGEIEDCKCVVDKGTGQSKGYGFILFKSRSGARNALKQPQKKIGTRMAACQLASMGPVQGGNSAAAAAGATSQHFNPEHVQRKIYVSNVSADIDPERLLEFFSRFGEVEEGPLGLDKATGRPKGFTLFVYKTAESAKKALEEPHKSFEGHVLHCHKANDGPKPVKQQQHQHQHRHSSYDQGSRYRRDDNSGYGASGGHGHGHFLGGNGNNQGLNPAIGQAITALLASQGAGVGMNQAFGQALLGTLGAPSPGTGGGMPSGYGAQANLTPGVYPGYGYEASFQGGYQTQLPGQGGYQTQQPGQGSAGRGQHGLGYGGQYMGQ >A02p012450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5442179:5451646:-1 gene:A02p012450.1_BraROA transcript:A02p012450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSQSSHEVSMSFDTGMFPRARYVQAQDQQGFISLDCGLPENEQSPYNDTTTGLNFSSDATFIQSGKTGKIQASSVGRLMKPYTTVRYFPDGTRNCYSLNVQSWRRYLIRATFTYGNYDGLNVQPVFDLYLGPNLWATIDFETDVNGTQKEILHTTTSNSLNICLVKTGETTPLISTLELRPMENSCYITKSGSLYLHHRSYLRKSGSNLRYSSDTYDRIWRPYFQMEWTNISTDLDVFSSNKYAPPQDALKNAATPTNASAPLKIEWSSANPDAQYYLYTHFAELQDLQANETREFNILWNGENYYGPLTPGKYSLTILSRSPRTCEGVRCSVQLIRTNISTLPPLLNAYEVYTVIQFPQSETDESDVSATRSIAASYALSRINWQGDPCFPQQLRWDGLNCTNADVSVPPRITSLNLSSSGLTGTIAAAIQSLTQLEKLDLSNNNLTGGVPEFLGNMKSLMFINLSGNNLNGSIPQALQRKGLELTVKGNPRLRVSDSSRKPLKKKVFVSIVASVASAAIAIAVLLLFLVHIKKRSKAVEDLPRPQSTPTVNDTFANKNSRRFTYSEVLKMTNNFQRVLGKGGFGMVYHGSINGSQQVAVKLLSQSSTQGYKEFKAEVDLLLRVHHTNLVTLVGYCYEGDHLALIYEFLPNGDLKQHLSGKGGRPIINWRIRLQIALEAALGLEYLHIGCTPPMVHRDVKTANILLDENFKTKLADFGLSRSFQGGCESQDSTVIAGTCGYLDPEYCRTSRLAEKSDVYSYGVVLLEMITNQPVISEKCHIAEWVGSTLKRGDITEIMDPNLGGAYDSNSAWRAVELAMLCADPFSSKRPTMSQVISELKECIVCENSRMNNNGGIESQQVSIVLDTSVREYDKISWAIAVTNRHHSYYSSSRPTSLDCGLPANETSPYEESYTKLMFTSDETFICGGRNGRIRENPEGFAKPYETLRYFPDGIRNCYGLKVEKGRTHMIVARFVYGNYDGFDVKPKFDLYLGPNLWATIDFQRLGTNSTNEEILHMPTSDSLQICLVKTGETTPFISALEIRALGNDSYITKSGSLMRLSRSYFSKSGSNIRYMKDIYDREWVAYNGAGFQGEWTNISTTLDVNNSNKYVPPKDALIHAATPTDANAPLTIELPSGGSGEEYYFYAYFAEIQDLQAKDTREFNISLNGEVLSDPIIPKKLDITTVSSVGTCQGMECILQLTRTNRSTLPPLINALEIFTSIRFPQSETDENDVAAIKNIEAKYGLSRIDWQGDPCVPRQFLWGGLNCSNTDMSISPRITSLNLSSSGLTGNIAAAVQNLPQLEKLDLSNNNLTGGVPEFLGNMKSLMFINLSRNNLNGSIPQALQKQGLELLVDGNPMLCLSNACRKRPKKKVLVPIVASIASAAVVIAVLVIFLVRRKKKATAVGGTSMVNDTFANKKSRRFTYSEVVKMTNNFQKVVGKGGSGMVYQGTVNGSEVAVKLLSQTSTQGYEQFKAEVDLLLRVHHTNLVSLVGYCYEGDHLALIYEFLPNGDLKQHLSGKGGRSIINWSIRLRIALETALGLEYLHIGCTPPMVHRDVKTANILLDENFKAKLADFGISRSFGGHESQEFTEVAGTHGYIDPEYYRTNRLAEKSDVYSYGVVLLEMITNKPVISEEYHVAEWVSTKLNQCDITEIMDPNLCGGYDHNSAWRALDLAVSCTDTSSSKRPIMSQVINELKECIACENSRISNNGGLESQEINISLDTSVVPKAR >A05p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26038948:26040525:-1 gene:A05p043110.1_BraROA transcript:A05p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLSSSSLSKKKNFQTLPLLQFSSKTMAGVAFGSFDDSFSLASLRAYLAEFISTLLFVFAGVGSAIAYAKLTSDAALDTPGLVAIAVCHGFALFVAVAVGANISGGHVNPAVTFGLAVGGQITLITGVFYWVAQLLGSTAACFLLKYVTGGLAVPTHSVAAGVGAIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPLAIGLIVGANILAAGPFSGGSMNPARSFGPAVAAGDFSGHWVYWVGPLIGGGLAGITYGNVFMTSEHVPLASDF >A04p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2205222:2206658:1 gene:A04p004430.1_BraROA transcript:A04p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative transcription factor bHLH107 [Source:Projected from Arabidopsis thaliana (AT3G56770) UniProtKB/Swiss-Prot;Acc:Q9LET0] MQPEISDQILYSFLIGGSCASSTTTTTTRSSFYPFAMEDTSSQDKALATTLRKHREGERMRRERINSSLNKLRNLLSCNPKTDKATLLAKVVQRVNELKQQTLEISNENVPSETDEISVLNFENCSNDDGRIIFKLSFCCEDRPDLMQDLVETLKSLQMETLYAYMTTIGGRTRNDLVVVGNKEQHSVESVKLLQNAFESLLERSNQSVMMGHGGGRERSKRRRPLDHIKRV >A08p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21305567:21306017:1 gene:A08p036240.1_BraROA transcript:A08p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSGDLEVKSPADKFFRSVTDDINGPFDNIEDKMETMNLEDRTLTMRMSGCLISESYKTVKATITVSPKEDGEGSRVAWRVEFEKIRHDIEDPLLIIDTLIDVLVNYLKETDGNLLQ >A02p050780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31299216:31303889:1 gene:A02p050780.1_BraROA transcript:A02p050780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFIILRCFGDKEPNLRSLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNCQQPRKTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETASDAEERAEQSVSSGVTAPSEPAETPPSRLYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIQKLKVVPEKEHGDKGVENQNGREIRTTSGTQNDHINVMEKGKKEKKHGATGKVEQEVGTKY >A03p066040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29202830:29204661:-1 gene:A03p066040.1_BraROA transcript:A03p066040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lariat debranching enzyme [Source:Projected from Arabidopsis thaliana (AT4G31770) UniProtKB/Swiss-Prot;Acc:Q94K01] MKIAIEGCMHGDLDNVYKTIQHHEQIHNTKVDLLLCCGDFQAVRNEKDMDSLSVPMKYREMKSFWKYYSGQEVAPVPTIFIGGNHEASNYLWELYYGGWAATNIYFLGYAGVVKFGNLRIGGLSGIYKGRDYRSGHYERPPYNQSTIKSVYHVREYDVHKLMQLEEPLDIFLSHDWPVGITDYGDSRTLIQQKPFFQEEIEAKTLGSKPAAQLLEKLKPRYWFSAHLHCKFAAAVQHGNDGSVTKFLALDKCGPGKKFLQIIDIESEPGPFEVLYDEEWLAITREFDSVFPLTQRPASFSSAAMDIQESREWVRKKLEERQFKPFEFVKTVPAYNPSQRIFDPIPEIPQNPQTLSLLELLGLPYLLDSSPVTGERTAIAASPARTDFQTYSEEIPIDDIDEFEDIPEAKGDNIPH >A05g509750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29268495:29269768:-1 gene:A05g509750.1_BraROA transcript:A05g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVSGSRDMGFVDNNNKKDGDDGNTSKTASLRKWKEQLLGSVDVTNIGETLDPEVKIISLVILSPGRPDIVLMVPENGNPKGMWFTLKEGSRYCLKFTFQVKNNIVSGLRYTNTVWKTGVKVDRGKEMLGTFSPQSEPYNHVMPEETTPSGMFARGSYSARTKFLDDDNKCYLEINYSFDIRKEWPAV >A09p061700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50774412:50775792:-1 gene:A09p061700.1_BraROA transcript:A09p061700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-2 [Source:Projected from Arabidopsis thaliana (AT3G55920) UniProtKB/Swiss-Prot;Acc:Q8L8W5] MAITATRLVSLTLLWIVVLFVTLALIQIKLTDVADPMVNEKVADQVGEDLEGVTHKVYFDIQINGSPAGRILIGLFGKIVPKTAENFRALCTGEKGVGNMGKPLYFKGSTFHRIIPSFMIQGGDFTRGDGRGGESIYGDKFADENFKLKHTGPGNALKLPSKASKKTQYIMKREKLTGLSLQMDLRGLINGKLWTRLQRFTVLYHNRHHGLDGHHVVFGKVLSGMKVVRKIEAQGQSSGEPKGKVIILDSGEVSL >A05p030370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14046454:14048261:-1 gene:A05p030370.1_BraROA transcript:A05p030370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKGLAQTGSEFPSSSEQVVAPCHGTDVAAPLPRVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQVTQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLDSLECIRSRDFVLATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTYSEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A03p032250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13642166:13647384:-1 gene:A03p032250.1_BraROA transcript:A03p032250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFVDTKFGSTFTFDLSPINKLSDIKKRIENSHGIPVSTQKLFFDGVELVVDRYQLPTYRIVSNSRLLLEVRDDHVNNQMLHQSPYATQGFVPSMAMRNYDQLLPSHASSSFFNQDPHTIAKSNQVPQSHASASFHNQDPRTMARSNQVPPSHASEAFYNQDRLESNDGQVLDQPDVLESFSMDEFLERAPLPWTAQETRKMEGSWPGTTGDNVNIQESCGRDNENQDLAPSPQKLTVIMTQYDKPGSDFLVDVNANDNVEELRKELEKMQQKYQLDLPAEGYFFMHKERVLVDDKSFSMNRVANVMKVFVVTMFGSTLSFDLDPRNIVFDIKMSIENSQGIPVSRQTLYFNGIKLVVDHYQLSVYRIVNNSRLLLLVLADNNQMLHQSPYATQGFVPSMGRSNYDQVPPSHASASFLNQDPRKMARSNQVLPPSHASAAFFNQDPRTMARSNQVPPLSHASEAFYNQDRLVSNDGLFPEMPQDISMKQGFWPEMTTFGDNNKIQESLRRNQMNQDFLQKEPYLPSNTYGAIANEDHMFQTDMSSTFGDFSFGDYRHILTDSNWPASTFDEILNMNQVFETEHSSVKSNSTAESSSQVFQTEKSLQPPKLTLIMTQYDKPGGMFRVDVNESDNVEELKKELEYLLDLPANGYFLLHKERVLDDDKSFSMNQVANGDTIEIFPGPVIEDYHT >A02p055220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33434294:33435206:-1 gene:A02p055220.1_BraROA transcript:A02p055220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G25450) UniProtKB/TrEMBL;Acc:A0A178UDJ6] MASSFLQRLVDPKKNFLARLHMKSVSNRLRKYGLRYDDLYDPMYDLDIKEALNRLPREVVDARNQRLKRAMDLSMKHEYLPDDLQAVQTPFRSYLQEMLALVKRERAEREALGALPLYQRTIP >A07p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3707637:3708190:-1 gene:A07p002640.1_BraROA transcript:A07p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKTTFIYLFMFSLYALHQFTQLDAGEIESSSKIILPPKCVPYICYGVNCWCCKRDPVGDGQCFKTLEECKSNRKCPWL >A04p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22281263:22282752:1 gene:A04p039510.1_BraROA transcript:A04p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMKSLLDDSFESAMTLTNSGAPQVPGRYVLPPSQRPALGSSLGTREITLPIIDLSLLHEPLLRSSVIQEINMACKEFGFFQVINHGIPPSVVRDALDAATQFFDLPVEEKMLLVSADVHKPVRYGTSLNHSTDRVHYWRDFIKHYSYPLSKWIDMWPSNPPCYKDKVGKYAEATHVLHKQLIEAISESLGLEKDYLQEQTEEGSQVMAVNCYPACPEPEITLGMPPHSDFGSLTILLQSSQGLQIMDSNKNWISVPYIEGALIVQLGDQVEVMSNGIYKSVIHRVTVNKDYKRLSFASLHSLPLHKRISPAPELVGNNAPAYGEFSFNDFLDYISSNDCVQQRFIDTLKKSNS >A07g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19527959:19530832:1 gene:A07g507350.1_BraROA transcript:A07g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEKVVWTSRKSSGLPGSRLWKSSGFFWRFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSHDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p005750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2476901:2478159:-1 gene:A02p005750.1_BraROA transcript:A02p005750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRRATVDDLLAMQACNLMCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMTAAQAAMEQVYGAEYVSLHVRRSNRAAFNLYTETLGYQINDVEAKYYADGEDAYDMRKNLKGKQIHHHASHGHHHHHGGGCCSGDAKVVETTQAEDAKATSSK >A10p020250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13749895:13752140:-1 gene:A10p020250.1_BraROA transcript:A10p020250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT5G56970) UniProtKB/Swiss-Prot;Acc:Q9LTS3] MVSYNFPSQIHLLMITILVIITTLLTPITTNTSSLPWNILSNDNFAGKLTTASSSVESAATDFGHITKIFPSAVLNPSSVQDITDLIKLSFDSQSSFPLAARGHGHSHRGQAAAKDGVVVNMRSMVNRDRGIKVSRTGLYADVDSAWLWIEVLNKTLELGLTPVSWTDYLYLTVGGTLSNGGISGQTFRYGPQISNVLEMDVITGKGESATCSKDMNSDLFYAALGGLGQFGIITRARIKLELAPKKAKWLRFLYTDFSEFTRDQERLISETNGPHFLEGSVMLDHGPPDNWRSTYYPPSEHMRIVSMVKQHRVIYCLEVVKYYDETSQHSVNETMQEMEELSDSLNYERGFVYEKD >A06p036400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19753964:19756941:-1 gene:A06p036400.1_BraROA transcript:A06p036400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPDKIHRRYNAAEILHGAAISISSIIPLFPPKTTPSRVCLPLRFSDVSSTVKNASSTSTSSSGLNSTVRISSLSSDGKRGGPAFVGQVFSMCDLTGTGLMAVSTHFDIPFISKRTPEWLKKMFSTITKSERKGPVFRFFMDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNERQVKAANKLLKSMPPNEKKQRVDGVPVFAAQNLDIAVATSDGIKWYTPYFFDKAVLDNILEESVDQHFHTLIQTRHVQRRRDVVDDSLTSEIMEEMGDSMLEPPEVQEAMEEIGTSGIPLSVVAKAAEIQLLYAVDRVLLGSRWFRKATGIQPKLPYLVDSFERRSALSIQRASGSTTKCLGDSDSSASLLKVEDGSPSEEEKRQQSLWFPFGDWLNAQKGSSGQREMESREREMQRSPFLPKITMVGISTGEAAHMSKANLKKTMEDLTQDLEQSDEGSVHGSNRYDPLKMEERDPLFVANVGDYYSGLARAGSARWSRRGDDRNETPPRSS >A08p014570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9908104:9910956:1 gene:A08p014570.1_BraROA transcript:A08p014570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQSSLRRYLGAIKDTTTVSLAKVNSGYKELDIAIVKATNHVERPSKERYIRAIFMAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDQTFHEEVINYSRSRSHMLNMSHFKDDSSPNAWVYSTWVRFYALFLEERLECFRVLKYDVEIDPPRTKELDTPDLLEQLPALQELLFRVLDCQPEGAAVQNHIIQLALSMVVTESTKIYQALTDGIDNLVDKFFEMQRNDALKALDMYRRAVKQAERLSEFYEVCKSVYVGRGDSFVKIEQPPTSFLQAMEEYVKEAPLAAGAKKEQAMEKLAAPKEILAIEYEKPPEVVEEKPKSPEPPVKAEAEAEKPVEKQPDLLSMDDPAPVVSELDEKNALALAIVPVTVEQPVSTIDFTNGNSSGWELALVTAPSSNEVAAANSKLAGGLDKLTLDSLYEDAIRVNQQQNRSHNPWEQHHPLHNGPMMHHQPFFSSNGVSAPPPVQMANQYHQPYGFQHQNSGMMMGPVQPYQQQQQQQQNMNPFGNPFVSNGNPHQPYGSVQGGYNPYSSLM >A04g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14923355:14930524:-1 gene:A04g506580.1_BraROA transcript:A04g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKLVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKNKEKEAELPKKKKEEEAELQRSEECVVTNDEKMSSSSFVSGNTYFHRRHVERGTPKQCWCGEPAELCTSASRANPGRLYYCCRKGYIKMDLQRGIPRTCDCGTIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEHDLAAIKAELDDMKKDITEIIKIIE >A03p056310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24336647:24345219:1 gene:A03p056310.1_BraROA transcript:A03p056310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKQEKAEGRSVIPATVIKLHSLFFSLSRFSFFFSSSLKANIDRSDGGRSLKPQSPLTTHTPRLLLLPSVRYPLPILIMARGLSRFLVSLLLIAICNAASVSQPISDSHRSAAVDVFVPLDGSYKSLEEAYEALRSLEILGIDKKSDLSSGTCENVVKVLGSPSSALKDVFYALSVNGILKCKTGEDVSKDIVSKLQAGAKDAKLLLDFYYSIRGLVLVKEQFAGTDPSLGDAEAIFRSVKALSQSDGRWRYSSNNAESSTFAAGLAFETLAGVISLASSEIDQSLIQTVKTGILKLFDSLQKYDDGTFYFDGSEGPISTTASVIRGLTSFAASESTGLNLPGDKIVGLAKFFLGVGIPGDAKDFFNQIDALACLEDNRQGYFHAIYLLRLVMLICHALALTLFSVPLILSLPSTVISLSKKEPLKVKVSTVLGSKAPALSVMLAQALNSKGSSVINNQELKFDADSATYFLDSFPKNFDVGKYTFVFEILLDESANEKAYITEAQTKVPIAATGAISIENAEIAVLDSDVGSVESQKKLDLTKDGGVSLSANHLQKLRLSFQLTTPLGLVFKPHQAFLKLKHESQVEHIFLVKTSGKKSEVVLVKFLKLRLDWLLLKNYLIYLLATDFLGLVEKLYYLSGKYEIQLTIGDASMENSLLSNIGHIELDLPERPEKAPLPPLQPTDPYSRYGPKAEISHIFRIPEKLPAKQLSLIFLGLIVLPFIAFLIGLTRLGVNIKSFPSSVGAATSALLFHGGIGAVLLLYVLFWLKASSQKLLDLFTTLKALSLLGVFLLFVGHRTLSNLAAASNKRAMSYSAAGHGKPGSGKRRIRELLTQSDNRFCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDEEVDSMIEIGGNDSANSIYEAFIPHGFSKPGPDASHDQRLRFIRSKYEHQEFLKPSLRITSVKAPSTKTSSSYLSSSLSKKFMDSFRTNSSSQHPQLEGMVEFIGLLKVTLKKGTNLAVRDMMTSDPYVKLTLGQQTVQSTVKKSNLNPVWNEELMLSVPHDYGSVKLQVFDYDTFSADDIMGEAGIDIQPLITSAMAFGDPEMFGDMQIGKWLKSHDNALIEDSIINIADGRVKQEVRIKLQNVESGELELEVEWLSLES >A02p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22370534:22370823:1 gene:A02p039200.1_BraROA transcript:A02p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVVSPITQNFNTIATNSLMTKNHDLQYLYEPCTIWNQNLQCINTFKMVVLGGWCIDDNGNIVNT >A09p076570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57229234:57236652:1 gene:A09p076570.1_BraROA transcript:A09p076570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFLALFLLLALTTSSNATYCLCKDGTEDNALQASIDYVCGKLDCNPIRDKGACYQPDTIKSHCDWAVNSYFQSQAQAPGSCVFTGTATTSQNPPSNLVTGCVYPSSPSSPGSLPSTTPSPGTNKTNGASSLVISPAFAICLSTLALLIPVGLPELGRLRRTFVKLMGQSSLSGPPLPTDANSGKRRFVDYLNREFGDATYCLCKDGTEDNALQASIDYVCGKLDCNPILDKGACYQPNTIKSHCDWAVNSYFQNVAQAPGSCDFSGTATTSQNPSPYLVTGCVYPSSASSPGSLPSTTPPPGTKQTNGTVTPTNGAIYCLCKDGIGDNGLQTSIDYACGTLADCNPIHDKGACYQPNTIKNHCDWAVNSYFQKAAQVPGSCNFSGTATTSQTPPSNLVTGCIYPSSASSAGSPPSTTPPTGTTPTTNGTGGFTPFPGTPPAFGPTGTGGFTPSKAASSLVISSVFTLCLSSLAFLM >A09p058780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49426393:49427367:-1 gene:A09p058780.1_BraROA transcript:A09p058780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKKEENLRRGPWLEEEDERLVKFVTLLGERRWDSLARVSGLKRSGKSCRLRWMNYLNPSLKRGPMSLEEETIIFQLHAFWGNKWSKIARRLPGRTDNEVKNYYRTHFRKKLEGQNYDKIVDWSRNTGEALLRKYKESEITWTRTTTQEHGFDKTVKESKQMNMESDKETCGGIFERESFGVMKSPYENRISDWISEISTDQSDANVLEERTSSSSENNININVGSWWFQETRDIEEFSCSLWS >A02p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9764133:9769801:-1 gene:A02p020780.1_BraROA transcript:A02p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SREWRRKPTSDAGQEVNSPNLIPQHHQSPINLAAVQRETREVERMQTREQILEDINQATQQYLNCPDPKEAAARRLRVIASEKRGQVDETVETMLAHIIPPDPQEQLVLQHSQTQQIRTRDQVLEDLQDVTLQYLSCADPCEAQARQRRVLQGDAHGHMEETATRILSSAPTLTVTESSPLVDTVEQRMMIPSPFKENLTKDCPQEERNEDETIQNEESDSNVHPRRRRREHTRTRSVNVSPNVLLGASLRKRNISSMAMSPARRNSTAKNKTQAQQKIETLQQELDKAMSNPRIERFLPGFADQIQCLQPSLRGVEDRYVWQPLPSAVCLPPTGVSKPIFPWVIWSIWKDRNLLIFGNKSLTPAEIASKSLNLAREWSNAQELLPTGQNSKMGQQETSVRNLPPSDPEIVVCKSDAAWDAERNRAGLAWVLRGRQESVVDQGSTIQYFVNSPLIAEALAVREGIFKAASQGISSLWVCSDNRTLIRAINNKNQRKELYKNRLRSDQTEEFSQTGSRAIGLFQKV >A06p057170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29724836:29726215:-1 gene:A06p057170.1_BraROA transcript:A06p057170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRPPQFKVILGSSSIARRSILTDMGYQFTLMSADIDEKSIRKEKPEELVLALAEAKAEAIVQRIPDDVEDKPTLLVTCDQVVVYEDAVREKPSSVVEAREYIRSYSKGHTATVSSVVVTNLNTGFRKGGVDRVEIYFNEISEEIIDKLIEEGMVLRVAGALLIEHPLILPCIKQVVGTTDSVMGLPKELTEKLLKEVLSTT >A09p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1581426:1583126:1 gene:A09p002250.1_BraROA transcript:A09p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLSNAFSLLALADDEDGLPSSSSSAGKHGERVVEEDVGNYKQPLVWIDLEMTGLNVEDDRILEIACIITDGQLTKSVEGPNLVVHQTKDCMDKMGDWCQTHHGDSGLTKKVLSSTISERQAEQEVIKFVKKHVGNENPQLAGNSIYVDFLFLKKYMPDLAALFPHVLVDVSSVKSLCTRWFPRDKNRAPAKKNNHRAMDDIRESIKELKYYKENIFNANKGKR >A03p057430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24908371:24917313:1 gene:A03p057430.1_BraROA transcript:A03p057430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLFRRNRPFHLLDGFYTEWSEHLTTRCIPLFRDSPPSAVTNGAVYNDLISYYDTIDHYANRDTIFYFLFPSWHVNSLETSILFLGDVHPHLFISLIQSLRDPNRIHLRLAPWRDLAFPVNHFVDDVKNDVNKSVSLLLSKVRQAQEGYIQGFSDNWVSWFHSQRGRQSVTVMETAASVTLGEEFVRIFREANQLRKITIFNIVNLSDVNQAALFLEGVCEFLAGFRHQLAHVPAQFFPNQVAPQYPLAYQQMMQQQPEVLHDICVWNIDRNVTRDMLREMFRRYPSVREVQIVNDTGRGQKHGFVSFADESEKWRAIQEMNGAMLLKKPMHLRKMADDLFRRNRPFNQLDGFYTDWSRHVTERCLPTLRDFPTDAKNKAVLGDIHSYYDTLNHYANKNTILYFLLPSWRSNSLETPILLLGDINPLLFTSLVQSFIDDVGLSQDRIRTFSTLAAWEALSLQLEDTINVTASRLLREMREAQDGFIRRFSDKWVSSFRGFQSGTVVMEPATSVTTDEEGGGAAIMEDLVRMFREANQLRKSVITDIAGVLNMNQKVLFLESVCKLLAGFKHQDNAFQNSLFGYNLINQQLPPDDDNLFKPPHPSEEVNAHHNYQPQPMVQQYPPLLPPDAHQLFRPFDPSGLVHQNNNPPPMMQPHYPPLLPPYGHQLFRPFDPSGFFIVQYYHPLMMQQRPPPPTYQYGRPFPRHNQLFPPIAPSGEVIGAHHNFHPHAPQPPPPPMAQHQQHAPEQDHVIYVGNLAPWATRELLLQRFSRYHSAREAKICVDQGSGATYGFISFADVREKVHAMNEMNLKIFLDREMHIEMAGDLFRRNRPFNQLDGFYTDWSKHLTERCLPPLLIFPSDAKNDAVLGDIHSYYDTLDHYANKNTILYFLLPSWRSNSLETAILLLGDINPLLFTSLVQSFVDGLDQDPVGTYSNLAASWKDLSSELENTINETVSTLLRETREAHEGFIRRFSNKWVSSFRGSQSGTVVMETATSVTKEEDGGGAMIMEELVRIFHVANQLRKSTITDIAGVLNMDQRAVFLESVCKLLAGFKHQDQAFQNSLFDNLLIRQPMMQQHDAPQRPPPPMNQHQQEYVIYVGNLAPEATNDLLLERFKCNYHSPTVAKICFDGRSGATYGFVSFADEREKWDAMKVMNRKFFLDRQMHIGPAAKNSI >A01g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14926791:14928567:1 gene:A01g505020.1_BraROA transcript:A01g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSFSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAAPAAAPPGPPGVMSVVELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFARRKKLLPPTPTTAGATVKGRASTSITWVSQSIATLADRMAEENEGDLVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQFQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSQSDAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNKQMMEMMKMMYPNEVFPNIPDQ >A05p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24241137:24243552:-1 gene:A05p039630.1_BraROA transcript:A05p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cysteine protease RD21C [Source:Projected from Arabidopsis thaliana (AT3G19390) UniProtKB/Swiss-Prot;Acc:Q9LT78] MSTPIKFTTLALVTLSVLLASSSLGVVTAKEDHRNSEEVKMFERWLVENHKNYNGLGEKDKRFEIFMDNVKFVQEHNSVPNQSYELGLTRFADLTNEEFRAIYLRSKMERTRDSVKSERYLHNVGDKLPDEVDWRAKGAVVPVKDQGSCGSCWAFSAIGAVEGINQIKTGELVSLSEQELVDCDTSYNNGCGGGLMDYAFQFIISNGGIDTEEDYPYTATDDNICNTDKKNTRVVTIDGYEDVPENENSLKKALANQPISVAIEAGGRAFQLYKSGVFTGTCGTALDHGVVAVGYGTSEGQDYWIIRNSWGSNWGESGYIKLERNIKDSSGKCGVAMMASYPTKSSGSNPPKPPPPAPVVCDKSYNCPAKSTCCCLYEYKGKCYSWGCCPLESATCCEDGSSCCPQAYPVCDLKAGTCRMKANSPLSVKALTRGPATATTKATNVLTLQDWIMHITGSFVNSWGSNWGESGYVKLQRNINESFGKYGVAMMASYPTKSVSSFELLAE >A09p015600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8106611:8108784:-1 gene:A09p015600.1_BraROA transcript:A09p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVFRFESSSSVPAARLFCTRSILHTLAKKRSGKAVGFGGETLKLQSGIHEIKGLDDAIDLFDYMVRSRPLPCVIDFCKLLGVVVRMERPDVVISLHRKMEMRRIPCNIYSFTILIKCFCSCSKLPFALSTFGKLTKLGFHPTLVTFTTLLHGLCVEERLSEALRFFHRICKPNVVTFTTLMNGLCREGRVVEAVALLDRMVEDGLQPNQITYGTIVDGMCKMGDTVSALNLLRKMEEVSRIKPNVVIYSAVIDGLWKDGRRSDAQNLFSEMQEKGVFPNLFTYNCMIVGFCSSGRWSEAQRLLREMFERKINPDVVTFSGLINALVKEGKFFEAEELYYEMLQRGIIPNTITYNSMIDGFSKQNRLDAAEHMFYLMATKGCSPDVITFSILIDGYCRAKRVDDGMEILHEMSRRGLVANTITYTTLIHGFCQVGNLNTALDLLQEMISSGVCPNVVTCNTLLDGLCNNGKLKDALEMFKAMQKSKMDLDASHPFNDVEPDVQTYNILICGLINEGKFSEAEELYEEMPHRGLVPDTITYNSVIDGLCKQSRLDEATQMFDSMGSKGFSPDVVTFTTLINGYCKVGRVDDGLEVFCEMGRRGIVANAITYRTLIHGFCQVGNINGALDIFQEMISSGVYPDTITIRNMLTGLCSKEELERAVAMLEDLLMSVGYQLEDE >A09p021350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11499064:11500739:1 gene:A09p021350.1_BraROA transcript:A09p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKYLSLLVVLIVLACNEAIAKNSSSTPKLKRNDFPKDFIFGSATSAYQVEGAAHEDGRGPSIWDTFSEKYPEKVKDGSNGSVADDSYHLYKEDVALLHQIGFNAYRFSISWSRILPRGNLKGGINQAGIDYYNNLINELLSRGIKPFATIFHWDTPQALEDAYGGFRGAEIVNDLRDYADICFKHFGDRVKHWMTLNEPLTVVQQGYVAGVMAPGRCSKFTNPNCTAGDGATEPYIVGHNLILAHGAAVRVYRKKYKALQKGQVGIALNAGWNLPYTESAKDKLAAARATAFTFDYFMEPLVTGKYPVDMVNNVKGGRLPTFTAKQSKMLKGSYDFIGINYYSSSYAKDVPCSTENVTMFSDPCASVTGERNGVPIGPKAASDWLLIYPKGIRDLVLYAKYKFKDPVMYITENGRDEASTGKVFLKDGDRIDYYARHLEMVKDAISVGANVKGFFAWSLLDNFEWAMGYTVRFGLVYVDFKDGCKRYPKKSAQWFRKLLNGKKSM >A07p049700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26404267:26405571:-1 gene:A07p049700.1_BraROA transcript:A07p049700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77090) UniProtKB/Swiss-Prot;Acc:O49292] METTLLRFCVSFSGHHPHHKKISAHHRVNCEIPGGGYEDEWSPNVLSRRSLLATVSGLSLVSSTSLAFPGEGLAVVKQGLLAGRVPGLSEPDDEGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPQDWNEVPVSIADLGGTEIDLRFASPKEGRLSVIVAPVLRFADNLGDNVKIENIGTPAKVINAFGPEVIGENVEGKVLSSNVAEHDGRLYYQFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRYYKDLKKIATSFRVV >A07p038160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20347822:20348641:1 gene:A07p038160.1_BraROA transcript:A07p038160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMNGSKNDEYVRLLGAWPSPFVLRTRIALNLKRVAYEYLEEEDTLNSESVLNYNPVHKQIPILIHGNKPIRESLNIVMYVDETWLSGPPILPSDPFDRAVARFWDVYIDEHCFTSINGVAVAKDEDERKAAIAKLEQCMALLEETFQECSKGRGFFGGENIGFIDIGFGSMLGPLKVLEKFTGFKFIHPENTPGLFHWADRFYAHEAVKPVMPDIEKLVEFARLKFNTSIFK >A04g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12066181:12067602:-1 gene:A04g505790.1_BraROA transcript:A04g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLSPDLGPQLLLVGAEKVSIDSNNGVLIDTPFSLLIDTTNELSIDEPSRERYARLPLVSSNPSTSQTNQAENQASACALISLGMVLVSYSKTHALRTGLQPLDHLSSDMLHYANDPPGHAGFQLRPELELLSQKCFTAQ >A08g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3773873:3775426:1 gene:A08g501430.1_BraROA transcript:A08g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVNIFSGYLELFPNADGVRLQSLGSSKYSEEDEPRENESSAETDIVEMTTYNSVVHETTEIRVKCKSSGEVKLLKLSIDDLTFSRLRLQMSKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p027390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13286133:13287512:1 gene:A02p027390.1_BraROA transcript:A02p027390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTWFDVDGIKRGEWTAEEDRMLIAYINKYGVRDWGGLPKRAGLHRCGKSCRLRWLNYLKPGIKKGKFTPQEEDDIIKFHSLLGNRWAAIAKQMPNRTDNDIKNHWNSCLKKRLRRSGIDPMTHEPIKATSSSTRLSPARRHSSSTTSFSLSSTGSVRLLNKLAAGISSRKLALDRIRTVMISSEPREVDEEEKTMISSRKEEEKVIGCFMEIDKNLISTMSLDELACDSSYYYYDDWLRGCFQ >A03g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2800940:2801977:1 gene:A03g500920.1_BraROA transcript:A03g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQHLALTNRCEENLCVCGVSVESSSAGGCCSTVEVWLLRFWKARSLGTSDALAEIFFPHLFMASSPHMLRNSQESERRLCFDGEVYDPTTNTCSGIENVWPYLDSGGRGRIAGDIRGSSSSVYARSLGGGAVVMIGGTNESEGQGVVMKTSEKWSRVHGLRFGFSTPPFSHASI >A05g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10656561:10659658:1 gene:A05g503740.1_BraROA transcript:A05g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKEEIMAGKHGRKKSTQKKSENSTRARENVAPVVEEHVDEQSDRNNSDDLSPDLCEPSEEIKGRKRKNPSSISGGVSTRTRARKAVSDENEPVGEDVVHEESTRVREKTAVSLSLDSESEDMSAVSSKVINSVLVPTLGEETLLARIIDEEPEYNPEGSASDTWNHWLVVKEKKIWWKELYEQDTGARVFTKQKDKEKVTFIGGSSSNSGLESSLNGLEERILAFMDKGFSGLLSSVETKLEVMDWRMSELERNQRLLRKRAKKIEVRLTSIESKENEAEDNNYGEDMDFGQWDNMDCGRPEGLDKAAAEENYDGAKGKDKDTGEEENEDNTIDKKEEAEQETEQETEQETQETEQEAEQETQQETQQDAEEEQKAEEEQGKEVQEKQQPREDGEKETEEEQEKRVQDGEKEGEKEPKETPTPPRGRTKAAAARKLVHSPPEKFFQFARPSEEEELARLKERCAVQAEKLWKEIEEEEILESLDEEEVEKPQENTEKNDENPVESPAEEEVEKIQENAEELVEEPEKTVEDVEKTTEEEAMHVIYTEEEKQSWYMIVYKGSEEESPTKEAATPAKKKAKAEKKKVDGAPKKRGRPKKTAATLKPCTPLPEKRKGEPSRWVQSPFT >A05p017900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8159643:8161631:-1 gene:A05p017900.1_BraROA transcript:A05p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKLGSLLRQHFFSLFFLFVGCFFFPKGGDLRQKRRKKKKLRTVTSGSGLSSSWTYLKRVFLSTTRISKSRNQTHPNGPLTTLTSARSSQNSLVTLVQPDPETRTENGFSDISSSDSPPFLPLRSEIFPCTTCGEIFPKTTLLEHHIAIKHAVSELVDGESSTNIVKIIFKSGWPEQGDNKKIPEIHRILKIHNSPKILARFEEYREFVKAKAARGSGNGRWDDERCVVDGNELLRFYCSTFMCSLGQNGSSGLCGHQYCSICGIIGSGFSPKLDGIATFATGWRGHVAVPEEVEEEFGFMNVKRAMLVCRVVAGRVGCDLIADDDVDKSGGGYDSLVGQGSGSKSGALLRIDDEELLVFNPRAVLPCFVIVYTVCKLQRLRKGGASKPSSSGPVTAFTQVCVQKLSYQSPSLLKPSLLTWSPSTGPKDSWYLGERLLGDLCIFFGKSETFCLKASMYDVSWSPP >A05p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3307879:3309196:1 gene:A05p008130.1_BraROA transcript:A05p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAILFTTFLLLSLADAKIPGVYSGGAWETAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGMSCGACFELKCANDPQWCHSGSPSILITATNFCPPNFAQASDNGGWCNPPRAHFDLAMPVFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGHRYFNLVLITNVAGAGDIVRTSVKGTRTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSNWQFGQTFVGKNFRV >A01p003300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1473985:1475662:-1 gene:A01p003300.1_BraROA transcript:A01p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPDKNPPPPSDEKTETKSPKPESGGASGSSPSAANPAMNFNAFDFSNMASILNDPSIRELAEQIAKDPAFNKLAEQLQSSIPNAAEGGGAAGFPNIDPQQYVSTMQQVMHNPEFQTMAERLGNALVKDPQMSPFLDAFSNPETAEHFTERMARMKEDPELKPILDEIDAGGPSAMMKYWNDKDVLKKLGEAMGMPVGGLPDQAASVEPEVAEEEGEEEEESIVHQTASLGDVEGLKTALEAGGNKDEEDSEGRTALHFACGYGELKCAQVLIDAGASVNAVDKNKNTPLHYAAGYGRKECVSLLLENGAAVTLQNLDEKTPIDVAKLNNQLEVVKLLEKDAFL >A04p000320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:161565:163178:-1 gene:A04p000320.1_BraROA transcript:A04p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAN GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63130) UniProtKB/Swiss-Prot;Acc:Q9LE82] MDNNSVTTPRVLSVKMWPPSKSTRLMLVDRITNNLTTPSIFSRKYGLLTPHQAEHDAKRIEDLAFAAANKHFQNEPDGDGTSAVQIYAKESSKLILEAIKQGPKEEVRSTKTAGGGREDSLFDLSGGRRAFIDESEARDLLRPLADPTNNSFTKIRFTNRSFGSEAAKVAVSVLSSIKDQLTEVDLSDFVAGRPEAEALEVMSMFSSALEGSKLRFLDLSDNALGEKGIRAFASLIKSQRDLEELYLMNDGISEDAARAVLELLPCTDKIKVLQFHNNMTGDEGAVAIAEIVKQCPCLEDFRCSSTRIGSEGGVALAEALESCRHLKKLDLRDNMFGVEGGIALAKTLSVLTELTEVYMSYLNLEDEGAEALSEALIKSAPSLRVLELAGNDITVKSTGKLADCIAAKQCLWKLNLSENELKDEGAILIAKALEEGHDQLAEVDMSTNMIRRAGARALAGSVLKKQAFKLLNINGNFISEEGVDEVNDMFKDSPEKLGLLDDNDPEGEDFEDEDEEGEEDSELEAKLGGLKIKQEEE >A08p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23847418:23849414:1 gene:A08p042570.1_BraROA transcript:A08p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMLHVLMRVFEEEGAQVLSANLQILDDRTAYTIIAQKKTERMDRERGREVGEGSSMSSREQRNLREQERRMRMKHLFNILSSHVSPTHRLPVPQLIEQATSYMIQLKEKVNYLKEKKMTLLGEMGKHSEGLSSSLLPKLSIYSRDSTIQMTLLIDLNMKRVMLHQLLSVFEEEGAQVMNANTQKLNDRMIIYTIIAQAIISRIGIDPSRIEERVRDIIF >A03p061980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26927385:26928433:1 gene:A03p061980.1_BraROA transcript:A03p061980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVSAIVFVFNLVAFGLAVAAEQRRSTATVMQDTEVQYNYCVYDSDRATGYGIGAFFLSVASQLLIMLVSRCFCCGKPLKPGGSRALALVLFVVSWMFFLIAETCLLAGSVENAYHTKYRTMFMDNPPDCQTLRKGVFAAGASFIFFNAIVSQFYYFFYSSAAEASPLPY >A05p002320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:770178:770558:-1 gene:A05p002320.1_BraROA transcript:A05p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein KIC [Source:Projected from Arabidopsis thaliana (AT2G46600) UniProtKB/Swiss-Prot;Acc:Q9ZPX9] MDPPSTKHFVLETNTTKMETKYEDMLPIMAEKMDVEEFVSELCKGFSLLADPKRDLITTESLQRNSGILGIEGMSKEDAEAMVREGDLNGDGVLNQTEFCVLMVRLSPEMMEDAETWLEKAISQEL >A02p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14417981:14419624:1 gene:A02p028570.1_BraROA transcript:A02p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 8 [Source:Projected from Arabidopsis thaliana (AT1G75960) UniProtKB/Swiss-Prot;Acc:Q9LQS1] MEELKPSAANSPPLGFLERAATVYGDCTSIIYGNSTVYTWRETNLRCLRVASSLSSIGIKRSDVVSVLSANTPAMYELQFAVPMSGAILNNINTRLDATTVSVLLRHCESKLLFVDVFYSDLAVEAIKKLYKPPILVLIEEDEEGGDGADVADRSKFCYSYSVLVERRDPGFNWIRPESEWDPIVVNYTSGTTSSPKGVVHCHRGIFVMSLDSLIDWAVPMNPVYLWTLPIFHANGWCYPWAIAAVGGTNVCLRKFQAPLIHHLIRDHGVTHMCGAPVVLNMLTATHEEPLKSPVEAVLYTHPAVNEAAVVARPDEHWGETPCAFVSLKPGLTRKPTEKELIEYCREKMPRYMVPKTVVFLDELPKTSTGKIHKFVLKEMANKMGSTRLSRL >A01p011250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5468334:5468852:1 gene:A01p011250.1_BraROA transcript:A01p011250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAIFFFFVSAVCLSSLAGKAVADADDFDRFQIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTVTLTKEAVTDKSGSYKMEVTGDHEEEVCELVLLQSPDSGCSDVSKEAYLRNAAKVSLTANDGIVSHETRIVNPLGFMVKTPSADCPAAFKELGIVPDVIF >A03p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15006419:15009486:1 gene:A03p035790.1_BraROA transcript:A03p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek2 [Source:Projected from Arabidopsis thaliana (AT3G04810) UniProtKB/Swiss-Prot;Acc:Q9CAU7] MEHYEVLEQIGKGSFGSAHLVRHKHEKKLYVLKKIRLARQTGRTRRSAHQEMELIRKINNPFIVEYKDSWVEKGCYVCIIIGYCKGGDMAEAIKKTNGVHFSEEKLCKWLVQLLMALEYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCMYEMTAMKPAFKAFDMQGLINRINRSIVPPLPAQYSAAFRCLVKSMLRKNPELRPSAAELLRQPLLQPYIQKIHLKVNDPGNNVLPAQWPEFESARRSSFPEQRRRPARKSKSFGPSRFRGNQEDSVSSIKKPVPAAYLTRERQVDLSTDESGDETVVRKTSEASKSSKYVPVRALASPVRGRGQLPVSSQHTKPKSAALIRRASMPISRKPVKETKDSLYSSKTSILHQIKSPDVSMNAPRIDKIEFPLASYEEEPFVPVVRGKKKKKASSRGSYSPPPEPPLDCSVTKDKFTLEPGQNFEGAIMKAVYQYEEAYPENRSESSDQNATAGASSRGSSGVRRQRFDPSSYQQRAEALEGLLEFSARLLQDERYDELNVLLRPFGPGKVSPRETAIWLSKSFKETTKLED >A06p054030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28378627:28379296:-1 gene:A06p054030.1_BraROA transcript:A06p054030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSARKPYFIDLGSSLSEMEAGFSGNSGNPRHGVVSRPFSYTRMNSISRHTNYCYNNYPQSCYYNQYSVSSPRSVVSGRFHDFRFDHQQPHFLDSCFLCKKPLGDNRDIYMYRGDTPFCSEECRQEQIERDEAKEKKKNLSYSVKSAMRRKEQRSSSSSPTRSRDYAFRNGTVAAA >A01p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1112369:1119561:-1 gene:A01p002460.1_BraROA transcript:A01p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSMSSNTSWTRKENKLFERALAIYEQDTPDRWHNVARAVGGKSAEEVRRHYELLVRDVNDIESGRYPHPTYQLSHHRGVYRRRRTQKPTVKVLTFSSVSQSQKKGSSQISSLAFVDKPMARWFPFFSSPPPSPANQSSPESKPERDEPKGSGFDPEALERAAKALREINSSPHSKQVFDLMRKQEKTRLAESAADKSRNDAIQAHSDIERQQRLAEAQRSLLQTEALTKAQTLRYEDELARKRQQTDHEAQRHHNVELVRMQEESSMRKERSRISTEEHIQAQQRQTEKERAELERETIRVKAMAEAEGRAHEAKLTEDQNRRLLMERINGEREKWLAAINTMFGHIEGGFRTLLTDRNKLIVAVGGATALAAGVYTTREGARVTWGYINRMLGQPSLIRESSMGRFPLAGTVSHLKNRISGAAAASAAKGSKPLENVILHPSLKKRIEHLARATSNTKSHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGSQAVTKIHQIFDWAKTSNKGLLLFIDEADAFLCERNSVYMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFKLLNLYLNNYLKKNEENKDTKWSHLFKKLSQKIRVEDDLTDKVISEAARKTEGFSGREIAKLVAGVQAGVYGRKDCVLDSQLFKEIVEYKVEEHHQRIRLASESCQPLLFS >A05p002240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:749677:751450:1 gene:A05p002240.1_BraROA transcript:A05p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELESSLIFALLSKCSGLSQTSLAFSLLAIVIVWLAFSLFFWTYPGGPAWGKHLSRRLTNKTGTVIPGPRGFPFVGSMSIMSSTLAHHRIAEAAERYGAKRLMSFSLGETRVIVTCNPDVAKEILNSPVFADRPVKESAYSLMFNRAIGFAPHGVYWRTLRRIASNHLFSPKQIKRAETQRRVIASQMVGLLEKQSSTNGLCFVRELLKTASLSNMMCSVFGQEYELDQDHVELRELVEEGYDLLGELNWTDHLPWLSEFDPQRIRSRCSALVPKVNRFVSRIISEHRRQTGDSPRDFVDVLLSLHGSDQLSDPDIIAVLWEMIFRGTDTVAVLVEWILARMVLHPDIQLTVQNELDSVVGKSRAVDESDLVSLPYLTAMVKEVLRMHPPGPLLSWARLAITDTIVDGCLVPAGTTAMVNMWAIAHDPHVWVDPLEFKPERFVAKEGEVEFSVLGSDLRLAPFGSGRRICPGKNLGLTTVTFWTATLLHEFEWGSSVGNGVDLSEKLRLSCEMATPLAAQVRRRRS >A04p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10584795:10587847:1 gene:A04p017270.1_BraROA transcript:A04p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRSEIFIIFIAIVAIEGVLPGSFTHRSNRQITIKVEWVMNLKLANPLWVPVKALLCRTTTTRRRNLFTATAINSLDGKKEEVLVVVGGGAAGVYGAIRAKTLSPELRVLVIEKGRFLSKVKISGGGRCNVTNGHCTDTIRLAENYPRGNKELKGSFFYTHGPADTMSWFSERGVPLKTEDDGRVFPVSDNSASVVDCLLHEATIRGVRLERGKSVLSASTKPDGKFLVKVGKRTADVSESIQASYLLIATGSSPQGHSLATQFGHSIVDPVPSLFTFKINDPLLAELAGISFSKVQAKLKLEHPRSDMSKLVQVGPMLVTHWGLSGPVILRLSAWGARHLFTSEYKGLLSVDFIPDINIETAKSLLKQHKLQFSKNKVSNTFPPQFGLVNRFWRYILDREGSSKDTLWASLSNNSLSSISDLLKHCTFQVTGKGQYKDEFVTAGGVPLSEISLKTMESRLVPNLFFAGEVLNVDGVTGGFNFQNAWSGGYIAGTHIGKLASNMSSTERKVVGL >A09p022610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12445924:12447655:1 gene:A09p022610.1_BraROA transcript:A09p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNLPSKSSSYVGLLHSQQGSVFHENFPYEIKMGHYSYTQPSEEEDLFGNNEDSDYSETDDLIRRDQAELSLERTQQVDYPPQPEVEFSFPQVCYCGATPLLATSNNRQDQGRRFFTCANKDDGECHVYKWWDEALMEEMRARDIHVLQLGEKVESLTLLSDYDTEQKIRNLEKIVGDMAKEKSSFSHGFECFVIGIVVLVVVICLVVMFG >A01p057330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32448043:32452010:1 gene:A01p057330.1_BraROA transcript:A01p057330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISLVTFSVKWKEKEVEPGETGGKGELRRGSRGKSRVKRERKEVERGERGGKGELRRGNRGKVGVKWKRKEVERGEREEKGELRRGNRGKGKEVERGEWGGKGELRKGSRGKGGVKQEEKKWSAVKGVERERCGGKSGKGGEMEREGSGSGERGGKGECGGKSGERIAEGKSGRGWVKWEGGMERGERGGKGEVRRGSRGKGGVKREGKEVERGEIDGKGELRRGSRGKGGVKWDVKEVEMAGGKRGEGRELVQQVGCSLGLWAEPWSNECGLGCFSRTHQTRQIVGWAMKGGKGELRRGSWGKGGVKWEGKEVERGERGGKGELRRGNRENGGMKWEGKEVELSERGGTEELRRGRSGKGWGEMRREGSERGERGEKEKLRRRSRGKGGVKWEGKEVERGKKVECGERGGKGELRKGSQGKGGVKWERKEVERDERGGKGELQRGSPKKGGVKREGKLSAVDDFERGGNEECGGKGLGKRWGVKWEGKEVERRRRDPRKGLGLKGEGKESGMERGERGERESCGGEVEVKGGVKWNGGKTFQRLKAGSKGRVAGGGQSGKWCGEMKGGRLRAGEMNPEPLSPWKGELRRGSRGQGWGVKMGRGGKEELRRGSRGTGRVKWEGKERGERGGKGELRRGNRGKGGVKWEGKEVERGERGGRGELRRGSRGKMGSEGSGDGGWKAWGARSRAGVAKKHCSDSKHFEKWGQVRELGQQVGCSLGLWAEPWSNDSGLLLKDASNSLDCGLGHGALIVGWAASHRRIKLARLWTGPSNDCPLDFLADRGSS >A06p049490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26140028:26148445:-1 gene:A06p049490.1_BraROA transcript:A06p049490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RST1 [Source:Projected from Arabidopsis thaliana (AT3G27670) UniProtKB/Swiss-Prot;Acc:Q7XZF5] MASYATLLEKTRVPQPSIQRLAVISVFSKFRSAPESDSETGREAISFCLTSESITVVDQSVRELCRLVSDSVLDLSRGLLELQSALEGCGSKLVPLFVKGLGFLIRIGYEQKLGNWKFNSTENHPFVRILSCRVETQTELLYQVSLFVMRNRRLGMVGVCEFLEPFLNFVILRVPFADSSPSLFVRELISSMGSLCCSCRHEALPVFRLVLRCLKYISGKNSEDNRNFSCIVKTLVDAYTVVARDLVGSRLEVIEVHVLGVQLVDTVLLLCASPHVQNTEQEAVIESLRHLLAVQKDLGLPYSRDLSLVVLSLLFMLAKSSVEHEQLSILKLLLFLLKWKSENENFSVRDAACSSVEPLLLFPIIALMSSPSKSVKGAASKVLSVVENVLVTMSNAPNIEVHTSEGDSPLSRVGSVVFRIMQKLWHQNEYAPSTSSFLRMAYINGSESQETYPGPVTWNSLLREHAKRLCDRKKLSASFCLSQEIPILLGALAGVLVMHPSLGPDAIDSLTAVGGIDPKMSVPLLLVVLYYSNLLSRTNVPCQSLLSKLLGLLPSLAAQQVMIPLVVQTITPMLHKDAKGLLYATAIRLLCQTWIVNDRAFSSLQEVLRPKGFKDFISERHICISMAASIEDVCKRHPDRGVDLILSVQACIESQDFSVRALGFQSLSHLCEADVIDFYTAWGVIEKHAQNIKLDPLLACSACLLLTWGAMDAEAYPEDAEKVLNILWEIGSSMQIPKDSQWTKARISALMALGQYEVSFLEKQISDFNKKCAYLLFSETDAKILNAIEDLLVKIMIHEHSVRRRYVREKKVSGSKIEKLLDVIPQVIFPAGKRIKTGELPGAALLCLSFSPKDVKLGSSRSFHDIHGQYEEAFKVVAKSLQLSRNISLALISLQSLKAFMSRWMRANILSVGAMATELSSDKTFKAANNIMKSLVYMAEETLPRSAENIALALGALCAVLPPAAHNIKATASKFLLGWLLEHEHEHRQWTAGISLGLISSSLHVTDHKQKFQNISGLLEVLCSSKSTLVKGACGVGLGFSCQDLLTRTEASATSDVDSESYKNQEEGLLGKIVRLLSLILQHFLRTPCDVLESLSALFPLGKEGNVTPLTHLLDENSDDFDDDIWGIAGLIIGLGMSVGAIYRAGKKDAVVKIKNLIVSWIPYADSLQQTSGSNSNISLRVFSAGSCLALPLVITFCQKMELFDAHEVDNLINCYKDLISELLIVKTSGAFHKSLLMASCIGAGDFLGSVLNEGIHPVEIEPLKGLLELFKTCYSGLYPPVVHFGGMLGVVNVLGAGAGDLVFSHPVPRAPSSSEENKISYVTGPLLSNPYLTQQLTPFVQEIFLIAQNTTDRQQQHYAAWAISFLRNYMRSKDASSVGNEIQSSDSHRNSTAHNIPEHTMVMKLAQGLTNPSLPLADSSLNIGALASALRCLSHAPRLPNLDWGATIRRLMRQETQLDVSQSGDVPKDTSLREECLKFSLAHASEFDELLTFLDELSELSRFKALEQSLQSSLLCHLGDLMRMFSGSRMDKLFEDISCFVTSLSSDQVYSCDQKSSLRVSCWKGLSQCLEGTSFESSEYITKIENCIELLFSVLPVASQSPNVDQMGSVKEWSEAVRCLQQSHKDWLYKFLQVSSLEPGRGKTDFEGNLKKIQAKAKLARLGSVPFSELGKLKAIILNCEQSDIWDVHVEIVAAVHQADGGIKRQWLIDAIEISCVSSYPSNAILFVGLLSSICCEYMPFLTLDRSTVLRDMSVTVISLLSDPNWEAVAEPFISFLWTSLERVYSFATDSDANAKLTSQQIEQSERDHAPMLVKVMHHICVVFRDHLPLDKQLRLAAMMVVP >A05p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2362526:2364523:1 gene:A05p005930.1_BraROA transcript:A05p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 20 [Source:Projected from Arabidopsis thaliana (AT2G44500) UniProtKB/Swiss-Prot;Acc:O64884] MALPKNSNSSSTKKKVSYISVPSQIINSLSSSSLQSLLVSPKKSSRCTNRFTYRNPRIWFLTLFLVSLFGMLKLGLNVDPISLPFSRHPCSTGSFDEHRAVSHLAFASENDTQSSSSSSYYRKNETLPTEGDFWKQPDGLGFKPCLGFSRQYRKDSNSILKNRWKYLLVVVAGGLNQQRNQIVDAVIIARILGASLVVPVLQVNVIWGDESEFADIFDLEHFKNVLADDVHIVSSLPSTHVMTRPVEEKRTPLHASPQWIRAHYLKRINRERVLLLRGLDSRLSNDLPSDLQKLRCKVAFQALRFSPRILELGNKLASRMLSEGQYLSLHLRMEKDVWVRTGCLPGLTPEYDEIVNSERQRHPELLTGRSNMTYNERKLAGLCPLTALEVTRLLKALEAPKDARIYWAGGEPLGGKEALEPLTKEFPHLYNKHDLALPGELEPFAKKASVMAAIDYIVCERSDVFIPSHGGNMGHALQGQRAYAGHKKYITPNKRHMLLYFMNASLPESEFNRIVKDLHRESLGQPVLRTGRGGKDVTKHPVSECMCSDRQQQQQEQ >A05p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14013882:14014645:-1 gene:A05p025780.1_BraROA transcript:A05p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEWILLPIDADQFYLRIVHSKSGGSKKSNDFSLLILVLLGMHLKEKVSIDDSIGMSIDTTTELSITNPSSELYRAGMNYVH >A06p057540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29931867:29937043:-1 gene:A06p057540.1_BraROA transcript:A06p057540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDQKKTILQLFFFFLLLLLESTLEQQTSSSSPVEKSALLVLRSSLGLRGRDWPVKGDPCLNWNGVRCDQNGRVTHINISGFRRTRVGNQNPQFSVDSLVSLTRLASFNASRFSLPGPIPVLLGSSLLTLQVLDLSSCSITGTIPANLSGLSRLTVLDLSNNSIQGNIPLSLTSLLNLSFLDLSSNSVDGLIPASIGALSKLQRLNLSHNALSSSIPPSLGDIAALADLDLSFNDLSGSVPTELKELRNLQTVVVAGNRLSGSLPPDLFSFMSKLQIVDFRGCGFTDVLPSSLWSLPDLKILDLSGNQFSNELPNTTVSFGSTISLLNISENMFYGNLALILRRFRVVDLTRNYFQGKVPDFVPINASLSNNCLQGAMSQRGLSDCSSFYSSKGLSFDNFGQQPAQEKKPESPWLSHRIKVIIAAVGGSILVMLILILLPITVNFCVRRRSRSSTSTRPRGRHNGVGPLPPDETLPSERGGGVSVNYASLGTTFTYQQLVNATKEFGDANLIKKGRSGDLFKGVLETGVQVVVKRINLELMKSNEAYLTELDFFSRFAHPRVVPFVGKCLESPTHKLLVYKYMMNRDLPSSLFYKSSSLVDDGLKSLDWITRLKIALGAAEGLSYLHHDCSPSIVHRDIQASSILLDDKFEVRLGSFSKACHQENNGRPKKIARLLSRLSQSSQESVPDSPATATCAYDVYCFGKILLELITGKIGISSCEEPQFKKILTEIMPHISSQDKEPIINILDQSLLVDEDLLEEVWAMAIVARSCLNPKPTRRPLMRHVVQALENPLRVVREDSSESERFRTIGSSRGSSSSGRVFGSWRQSISDPVAAGTSSLLSQAEGLARSQGMTGSSTRGSSRGASSRRNSFVPEMASLCRSALMSGSRNLVSRSRIVTQKYLNLKPTTTTSAPFGSVSQSIPRASRVLSALGSVETMIPLHSAVASARLRSSIAADSSCWSMLSEGLRLLDSNRMKWICHAFVTPDSDMGNCGLKPKVLSETGAPAPEELKTSPEDQKIDAAKSLINLFLQDKADKTAKDDEKTAPEKLPLTKDLKTALAEAKSPADDQKIRKEDAVRGQKVIDDPTVMEIETDAKPEEVRREAAA >A02p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1613846:1615778:1 gene:A02p003800.1_BraROA transcript:A02p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSTHFVPPRRFEIYETTLNQVSMWEESFKNNGGIFTPNSIIIPTDAKPDSLSEDTSHGTPHKFDQEASTSRHPDKTQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIHLEQELDRARQQGFYEGNRVDTNALGFSDKMSSGIVAFEMEYGHWVEEQNRQISELRTVLQGQVSDVELRLLVDNAMKHYFQLFRMKSAAAKIDVFYIMSGMWKTSAERFFMWIGGFRPSELLKVLLPHFDPLMDQQVLDVCNLRQSCQQAEDAVSQGMEKLQHTLADSVAAGNLGEGSYIPQITCAMERLEALVSFVNQADHLRHETLQQMHRILTTRQAARGLLALGEYFQRLRALSSSWATRQREPT >A08p032890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19861693:19862340:-1 gene:A08p032890.1_BraROA transcript:A08p032890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQHVALDNHLSVIPTYKPVPVPVVDLTDPEAKTLIVKACEEFGFFKVVNHGVRPDLMTRLEQEAVGFFALPQSLKNQAGPPEPYGYGSKRIGPNGDVGWIEYILLNANPQLTSPKTSAIFRQTPQIFREAVEEYMKEVKKVTCKV >A08p008500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4802762:4803285:-1 gene:A08p008500.1_BraROA transcript:A08p008500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTLKRLCFWVSKLPPESASVLSIPQPCFYCTFNQSRGNLPPRDLSYKSPPRRAQLASTPCLAFLLAILSLPPRYHQLIVSETPPRRVQAVSILNHEIYLHLVRHLNQVAVVQAWVAMDKSSPCPIVFLLVIAIANIPRFIYASTSTTKPSP >A03p059050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25663182:25665990:-1 gene:A03p059050.1_BraROA transcript:A03p059050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSISVVFLPCIAAFLLTALSSAYGYGDSEATCSGIVPLRYRNDKISITDFGGVGDGRTVNTKAFRAAIYRIQHLRRRGGTVLYIPPGVFLTESFSLTSHMTLFLARGAVIKAVQDTRNWPLIDPLPSYGRGRELPGARYMSFIHGDGLRDVFITGQNGTIDGQGEVWWNMWRSRTLKFTRPNLIEFKNSKEIIISNVIFQNSPFWNIHPVYCSDVVIHHVTILAPQDSPNTDGIDPDSSNNVCIEDSYISTGDDLVAIKSGWDEYGIAFGRPSSNITIRRITGSSPYAGIAIGSETSGGIKNIVAEHISLSNMGVGVNIKTNIGRGGYIKNIKISNVYVENAKYGIKIAGDTGDHPDAFYNPNALPIVKGIHINNVWGVNVQNAGSIQGLKGSPFTGICLSEINLHGSLNSYRTWKCSDVIGTSLKVSPWPCSELRTTSGSYSCSSTF >A06p055640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29046667:29048650:-1 gene:A06p055640.1_BraROA transcript:A06p055640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTEPSSSLSFTSSHFSNVSVGSNHFSPSSASNLEVVSLSKLSSNLEQLLSSNPDCDYTDAEIIVDGVPVGVHRCILSARSKFFQELFKKDKKSCKIEKPKYHLKEVLPYGAVGHEAFVYFLSYIYTGRLKPFPLEVSTCVDSVCAHDSCRPAIDFVVELMYAASILQVPELVSSFQRRLCNFVEKSLVENVLPILLVAFNCKLTQLLDQCIERVARSDLYRFCIEKEVPLEVAEKIKQIRLKSPQEEEENSPKVSDKILKALDSDDVELVKLLLTESDITLDEANGLHYSVVYSDPKVVAEILTLDMGDVNHRNSRGYTVLHLAAMRKEPSIIISLLKKGANASGFTCDGRSAVNICRRLTTPKDYHTKTAKGREASKARLCIDLLEREVRRNPMVVDSPMCSLSMPEDLQIRLLYLEKRVGLAQLFFPTEAKVAMDIANVEGTSEFTGLPPPSNGLTGNLSQVDLNETPHMQTKRLLTRMEALMKTVETGRRYFPYGSGVLDKYMEEYIDDDILDDLQIEKGSPQERRLKRMRYRELKDDVQKAYSKDKESKIARSCLSASSSPSSSSSLRDGLKNST >A02g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14654854:14655325:-1 gene:A02g504460.1_BraROA transcript:A02g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLASSHQWTPDVYEGVRIRNNESLYDKRNYFASLLLRVVPTKDRTISMILGNLIAITQTSMTRMLAYSSIGQIEYVIIGIIVGDSNGGYASMITYMMFYISMNL >A08p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2433519:2435161:-1 gene:A08p004220.1_BraROA transcript:A08p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked sulfhydryl oxidase ERV1 [Source:Projected from Arabidopsis thaliana (AT1G49880) UniProtKB/Swiss-Prot;Acc:Q8GXX0] MAENPWQPLLHTFEKLSNCVQTHLSNFIGIKSTPRSSPTIQNPISSDSSPTITINSSNLQKLPLKDKPIGPVTKEDLGRATWTFLHTLAAQYPEKPTRQQKKDVKELMAILSRMYPCRECADHFKEILRSNPPRAGSQEEFSQWLCHVHNTVNRSLGKLVFPCERVDARWGKLECEQKSCDLHGTSMDF >A03p053880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23134251:23135889:1 gene:A03p053880.1_BraROA transcript:A03p053880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFYPDWKDTSSSLFGSENLEEDRVLRAEELFSSIPQPQTPKEPMEFLSRSWSLSTSEIAKALALKHRQQQQEQFCVAQNTPPVLFPDAAASDPLVAGKIMNSLGTRKGGTLSKWFHHHKEHSSSSSSNTINYLKKKDKARVENAHVHSAVSIAALAAGVASVTSASNSKGSGSKMALALASATELLASHCVEMAERAGADRARVASTVQSSVDIHSPGDLMTLTAAAATALRGEAALKARQPKEARKNASIAPFERSFSDSHWPSNIQFRLEEPNLPLEGELMQCSRHGAQRSKRVCVYINKKSQVMIKLKSKHVGGAFSKKIKSVVYGVCDEKSAWPYKKERENNSEEVYFGLKTGQGLLEFKCKNKIHKQRWVDGVQCLLRQVNCFEAAKCSLPSLSLTSKT >A03p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13304316:13306793:1 gene:A03p031570.1_BraROA transcript:A03p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRFQSFMLLFLLSCLIFISATSSEQKGDGTLRIGLKKRKLNRANRLASQLFLKNRGSWSSKGFFHLNDGNSDAVPLKNYLDAQYYGDITIGTPPQKFTAIFDTGSSNLWMPSSKCYLSVACYFHSKYTASESSTYKKNGKPASIRYGTGAISGYFSNDDVKIGDLVIKDQEFIEATNEPGITFLVAKFDGILGLGFKEIAVGNSTPVWYNMVEKGLVKEQIFSFWLNRNPQDQEGGEIVFGGVDPKHFKGEHTYVPVTRKGYWQFDMGDLHIAGKPTGYCSNGCSAIADSGTSLLTGPTSVITMINHAIGAVGVASKECKTVIGQYGKTMLNSLLSQADPRKVCSQIGLCGFNERMGIKSVLDDGTSDLINEAMCSVCEMATVWMQSELNQNETQERILSYAAELCDHIPSPNQQSAVNCEKVSSMPIVTFTIGGKPFDLSPQDYIFKIGDGVQSQCTSGFTAMDIPPPRGPLWILGDIFMGPYHTVFDYGKARVGFAKSA >A07p045780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24815131:24819220:-1 gene:A07p045780.1_BraROA transcript:A07p045780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEFAVRVEQGLKLSRRIYYGKGIAPPVVPDPQSSPENFLPTAITAYASITDPVAVDNPDVPSYQPYVHARCDPSALVPLQMLGIEMRVDCWLDTAFVTVTGRWRVHCVMPSKRFDCCIAVPTGEKGTLLSAEIDVLSNEKSYKTKLVTEEEACDIDNVHKDKDSRFLKSQIYTFKIPHVSGGSIFSVNVNWSQKLVYKDGKFHLNVPFRFPAYVTPVGKEITKREKIVLNMNSCVNGGEVACSYSSHPLKVIHRESGKLSCEYEAEVPSWSRVDFDVSFNVSSGDLSGHVLVKSPSPWDSDDRGMFCLYLFPGTTKHKQIFRRRVVFVIDISGSMKWKPLEDVKKALLESLAKLEPEDVFNIIAFNDNILEFSTKMEFATDETISAVTEWLDSNLIASGGTNMLLPLIQAMKLLEGSKVGVPLVYLVTDGSVENEREICNAMKECCSGHEKSISPRISTFGIGSFCNHYFLQMLARIGNGYYDGTNNTDSFEHQMSRLFDSASSTIVANTTFDALKLLRSVELFPSQIPDITLGQPLILSGRYKGQFPDEVELRGTLADMSCFTIELTVEKAKDIPLDKVLARRQIDELTAQAWFEDKKELQDKVMRLSIQTGFPSEYTQMVLSLKDNDEEKTIERPVSIKEILRNPSYQIQKQMSRNIERTSLLGKQGFGFGNLAATLKNIPPWMEEPKEPEGAEILIRAASGVVDRVCCMCCLQCLSRVSDQCTIVFSQICAALACFQCLGCCFEVCGCLDL >A07p020790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12246468:12254150:1 gene:A07p020790.1_BraROA transcript:A07p020790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTSENRPRDTHEPTGEPETLWGKIDPKSFGDRVAKGKPQELEDKLKKSKKKERDVAADDSVSARQSKRRRLREESVLTDTDDVVYQPKTKETRAAYEAMLSLIQQQLGGLPLNIVSGAADEILAVLKNDAVKNSEKKAEIQKLLNPIPDQIHQVFDQLVSIGKLITDFQEGGDSGGGKENVDEGLDDDVGVAVEFEDNEEDGEESDPDMVQEEDDEEDEEPQKTGGMQVDAGINEEDAGDANEGTSLNVQDIDAYWLQRKISQAYEQQIDPQQCQVLAEELLKILAEGDDRDVENKLLMHLQFEKFSLVKFLLRNRFKIVWCTRLARAEDQEERNRIEEEMRGLGLELAAIVDQLHATRATAKEREENLQKSINEEARRLRDETVGDGGRGRRDVADRDLESGWLKGQRQMLDLESLAFDQGGLLMANKKCDLPAGSYRTHGKGYDEVHVPWVSKKVDISEKLVKITEMPDWAQPAFKGMQQLNRVQSKVYDTALFKADNILLCAPTGAGKTNVAMLTILQQIESSRNEDGTLNHGKYKIVYVAPMKALVAEVVSNLSNRLKDYGVTVRELSGDQSLSGKEIEETQIIVTTPEKWDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKENIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLGQQYIGISVRKPLQRFQLMNDLCYEKVVAGAGKQQVLIFVHSRKETAKTAQAIVDTAMAKDTLSRFLKEDSASREVLLSQIELIKNGDLRKLLPYGFAIHHAGLTRGDREIVEALFGEGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWMELSPLDVMQMLGRAGRPQYDEYGEGIIITGYSELQYYLSLMNEQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWLGYTYLYIRMVRNPTLYGLAPDALVKDVVLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTIATYNEHLKPTMGDIDLYRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKETLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLVRALYEIVLKRGWAQLAEKALNLSKMVGKRMWSVQTPLRQFHGIPNEILMKLEKKDLVWERYYDLSSQELGELIRSPKMGRPLHKFIHQFPKLVLAAQVQPITRTVLRVELTITPDFQWDEKIHKYVEPFWIIVEDNDCEKILHHEYFLLKKQYISEDHTLNFTVPIFEPLPPQYFVRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPNYERLYQDFKHFNPVQTQVFNVLYNTNDNVLVAAPTGSGKTICAEFAILKNHQEVLLRQEDDTKRQSGPDATMRVVYIAPKEAIAKEQFRIWERKFGKVLGLRVVELTGETALDLKLLEKSQIIISTPEKWDALSRRWKQRKYVQQVSLFIVDELHLIGEGQGGTVLEVIVSRMRYISSQGDNKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDISSFEARMQAMTKPTYTAIVQHAKNKKPAIVFVPTRTHVRLTAVDLMAYSHIDNPQSPDFLLGKLEELEDFVDELREETLKETLRHGIGYLHEGLCSQDQEIVTQLFEAGRIQVCVMSSSLCWGTPLTAHLVVVMGTQYYDGRENSHSDYAVSDLLQMMGRASRPLLDNAGKCVIFCHAPRKEYYKKFLYEAFPVESHLQHFLHNNFNAEVVAGVIENKQDAVDYLTWTLMYRRLPQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKCIEIEDEMDLSALNLGMISSYYYISYTTIERFSSLLSSKTKMKGLLEILTSASEYDMIPIRPGEEDRVRRLINHQRFSFENPNCTDPHVKANALLQAHFSRQSITTNLEMDQREVLLSATRLLQAMVDVISSNGWLNLALLAMEASQMVTQGMWERDSMLLQLPHFTKDLAKRCQENNIETVFDLVEMEDEERQELLKMKDTELLDIARFCNRFPNIDLTYEVVGSEDVTAGKEVTLQVMLERDMEGRTEVGAVDAPRYPKTKEEGWWLVVGDTKTNQLVAIKRVSLQKKAKVKLDFQVPSEAGEKSYTLYFMCDSYLGCDQEYAFSVDVKESGAENQMEE >A09p059940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49890421:49896578:1 gene:A09p059940.1_BraROA transcript:A09p059940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDPGRFGRQQDWDNTSAPEGYGPEHDPNSRFGVSYDRGYRDDVYNHPPGHDMGPLPQSRRRNSEETYPRELRRQEKPSTDTNYDADYYHDTEVGSRNGYYRDQRHERSSRYDGRDDYSSSRSRNYHHNRDDSRGKDYDYARRSYDSDYERGSVRDGNRKSGDSQDRERNSRDREWGSRDREGDNRSFSRERDVSPQRRYEKSRSGSAGRDGFSRSRSRSPRGRSHGRSYREDSYEGDHWHGSERRREYEDRHDQDHFSATPSATVVVKGLSTKSTEEDLYQLLAEWGPLHHVRVIREQNSGISRGFAFIDFPTVDAARTMMNRIEHDGIIVDGRKLIFRYSTPRAGVPRRQENASRRGYGGNIVPSDWICTICGCINFARRTSCFQCCGGPTHVLVVRGLDEDADEEMIRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFFSVEDATKALEATNGTILDKNGKILRVAYAKSVHGSGTGMPASSHASNLAAAAIEAAAFSQQYDGAGWAPKEYNHDEKQTGGHAQGIGEMASAPQSGYVWDEASGYYYDAASGYYYDGNSGLYYDSSSGIWYSYDPQTQQYVPCPDQNNESKSTGTQPESAKTEKSSQQKVIISAAATPTVEKTISLPDAVQAAAAAAIASEKREKERVKEIKLASKSSILASKKKMSNVLTMWKQRTSETQTQRPSLGGENPPPTVLAEARSSFSTVQPKGKLTTDTVIAKERSTSSHRVVALTATPTTESSSSSKAGAPLMGVMRGSFGEASSSANVQVPPVLPSAPSPSVPVSAYGSGRRRFSEKPTAAPTHREQPQTSYRDRAAERRNLYGSSAPIENDLMDSSEDIMRKGASDPTPFPPGVGVRGTTTTEVSDYDVITEEKAIDESNVGNRMLRNMGWHEGSGLGRDGSGMKEPVQAQGVDRRAGLGSQQKKLDPEFEAQPGDTYRTVLHKKALARFREMSDNN >A03g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:918737:921303:-1 gene:A03g500270.1_BraROA transcript:A03g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSIVSSDFDDTDMFFKDVSLGHHKSQLRFRLLHFWEAWNPIKKTLIGLEMLLIDEQGTVIQGFISPGRIQTYLKEMKRGYVYKLNNFYGSRNKTVFRVSDHTATVSFAWNSELSVLQDGHAPFDEDSFRFYSYEEFQASCDLKGDLYVFLLTLLMNYVIYVVGHMKLGNGQSIKEAPVLDEVEIAKARHVLIHIQSHDLCFLIGFLDTLGTLSLTSMSSSRVFMDYDVQPTIDYFGWLGSNPAIAEQVSAEVVTKRETMTIGEIFSYIKQESAKDAFFECTATINDVVHDSGWYYIACSGCNTKVTNGATSMICTNIKCEKINVAGVAQYRSKISVYDNSEQAFFVLLGDAGRELTGKPASELVRNYFEANGNEEEHNLSGKTRSLTVTKILPLDTPPATMSSEEDHTTATSEEAFKRHLDSAEGSKRTCDSDEIEESKRLKCGN >A07p030610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17033943:17036415:-1 gene:A07p030610.1_BraROA transcript:A07p030610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT3G59050) UniProtKB/Swiss-Prot;Acc:Q9LYT1] MASEGKTDPPQLNKDTSVSTLERMKKKRTPSVIVIGAGMAGIAAARTLQDASFQVVLLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAAVIGRLGLPLYRTSGDNSVLYDHDLESYALFDKAGNQVPQELVTKVGETFEHILEEICKVRDEQFEDMSISQAFSIVFKRSPELRLEGIAHNVLQWYLCRMEGWFAADAETISAKCWDQEELLPGGHGLMVRGYRPVINTLSKGLDIRLNHRVNKIVRRYNGVKVTTEKGDTFAADAAVIALPLGVLKSGTIEFEPKLPDWKQEAINDLGVGIENKIILNFDNVFWPNVEFLGVVAETSYGCSYFLNLHKATNHPVLVYMPAGQLARDIEKMSDESAAKFAFSQLQKILPDASSPIHYLVSRWGSDINSLGSYSYDIVNKPHDLYERLRVPLDNLFFAGEATSTSYPGSVHGAYSTGLLAAEDCRMRVLERYGELEHEIEEEAPASVPLLISRM >A03p050110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21522590:21524270:-1 gene:A03p050110.1_BraROA transcript:A03p050110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVLSFLLLAMAGHSSASWCVCKTGLSDTVLQSTLDYACGNGADCNPTHPKAPCFDPDNVRSHCNYAVNSFFQKKHQAPGTCDFSGTATPTNSDPSYSGCTFPTSASGSGSSTTVTPGTTNPKGSSTTLPGGNGNSPFSGTSSTNGVFGNNSTGINPDYTTESSAFALRNSSTFLTYLLLIALSGFCSFMML >A01p057100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31941390:31942570:1 gene:A01p057100.1_BraROA transcript:A01p057100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMEAVMEISMVDDIIRRLLEGKGGKQVQLSEIEIPHYLFLGDYVDRGKQSLETICLLLAYKIRYPSKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVSALIDDKILCMHGGLSPDLENLEQIREIQRPTEIPDNGLLCDLLWSDPDQKSEGWSDSDRGISCTFGADVVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDQSLVCSFEILKPAPSSSGIPLKKVPKMGKS >A09g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22167550:22169938:-1 gene:A09g507650.1_BraROA transcript:A09g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A04p033080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19258004:19260708:-1 gene:A04p033080.1_BraROA transcript:A04p033080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTSDELRRIMDYKHNIRNMSVIAHVDHGGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSSKNTGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRETVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDDGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCVFDHWEMMSSDPLETGSQASTLVADIRKRKGMKEQMTPLSDFEDKL >A04p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17582805:17583530:-1 gene:A04p029450.1_BraROA transcript:A04p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQPPKWVLEALRRSNGMEARLVIADKVLSASDVKQSQARLMLPANKVLSKEEFLRDEEIRVLEGDAKATRYANVNALPDLGSFEDTDVVTSFYDDA >A10p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1604509:1607621:1 gene:A10p003140.1_BraROA transcript:A10p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRSDGGQKKRLIASLCAVALLLCFVYMYYGSSNQGASAEYGRSLRKLGSSYLGGDDDDNKQDGSVTSEEDSLAVAKSFPVCDDRHSEIIPCLDRNFIYQSRLKLDLSLMEHYERHCPPPERKFNCLIPPPSGYKVPIKWPKSRDEVWQANIPHTHLAKEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASIANMLNFSNDVLNDEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWRIAAKRNQTVVWQKPCEAFSTYPRTYDLLHAWTVFSDIRSKGCSAEDLLIEMDRILRPTGFVIIRDKESVVESIKKYMKALHWEVVASEKVTTGSELDQESEDGESNVVFIAQKKLWLTSESLRDTE >A04p028910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17318634:17319506:-1 gene:A04p028910.1_BraROA transcript:A04p028910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHDDDKLSEGEKICFDLFATLLLAFGDDELRARQQGQDRNLLMIHTAATTLLSGKRKIDDDDQENTLVESDKPISAQPIREIKTPVREINRPVKRKAPVRREPGDTPGWVIKLMKTFKGREGDAKMIFEKAMTKTDLAPNQGRLLMPFYQMADMHFLTEAEWKIIEEHHKGDYVKKGVDVVLLNRSGKKRWNLNMRIWKMSTCFNYALCAGWNQVVLDNDFQRNQTITLWSFHSLDGTLYFVKLGPRQKTNGPASTPCSLWAEFATGPLQPITPDHIRSIYNRCEYDD >A03p050780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21810864:21812073:1 gene:A03p050780.1_BraROA transcript:A03p050780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARAQVKLVDFTHVLDGNGVIDHTFLGGLCSFINFIQDILESSDNSHETDTSHKAELHIFGKQNRIRTRRSNNVNINSDNMQDTCPTAQGEESIFFINGYPCKNPTEINAQDFKSTKLTIAGDTDNYLQSNVTMLTASEFPGLNTLGLSVSRTDLERDGSVPLHSHPRSSELLFVVSGVVFAGFVDTNDKIFQTVLRKGDVFVFPRGLLHFCLSGGFERATALSFYNSQSPGVVNIGGVFGIDQERIVNITRSLVTDRDEL >A09p053920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46620244:46622468:-1 gene:A09p053920.1_BraROA transcript:A09p053920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g22830 [Source:Projected from Arabidopsis thaliana (AT1G22830) UniProtKB/Swiss-Prot;Acc:Q4V389] MSTLYTRSSNQNRFFFAAIICRLLYMPSPPYRGLTVTELRKFIPKSWKRHIPQTRDETSVPVALFKSLGHFISQGRLSEAFRTFSLLLPRHQSGSHELVLQSAASLLSTCVELNEFVPGQHIHARCISSGLEFDPVLVPKLVTFYSAFNLLHEAQTITESSDFNHTLPWNVLIGSYVRNKRFVEAVSAYKRMMSKGIRPDDFTYPSVLKACGALLDFASGRVVHGSVEVSPYRGSLYVCNALISMYTRFGNVDIARRLFDMMSERDAVSWNTLIKCYASQGKWEEALEFFDRMSLSGVEATVVTWNTLAGVLLQTGEYVKALSFVAKMRNHNVSLDSVAMINGLKACSQIGALRWGREFHCLAIRSCKARIDNVGNSLITMYSRCGDLNHAFIVFQQMEGNDLSTWNSIISGFAHNERSEETCFLLKEMMLAGFHPNHVTLVSILALCARVANLPHGRELHCYILRRQSCFKDGLILWNSLVDMYAKSGNIIAAKRMFESMSKRDKVTYTSLIDGYGMVGEGEVALAWFKEMIRSGMEPDHVTMVAVLSACSHSGLVHEGERQFKKMQYVFGILPRLEHYSCMVDLYCRAGLLVNARDIIRRMPYEPSRAMCATLIIACLIHGNKDIGEWAADLLWEMEPVKLGHYLLIADMYTVTGSWSKLEEVKRLMSVRVAHEFATLGSSSINQEQSSDEERLVEVG >A06p047840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25349983:25355481:1 gene:A06p047840.1_BraROA transcript:A06p047840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 38 [Source:Projected from Arabidopsis thaliana (AT3G30842) UniProtKB/Swiss-Prot;Acc:Q7PC85] MAHYRAGSEIENVLNDINTSRREKEEDEDEAMKWAALERLPTHDRVSKAMLRGITGGFKEIDMKDLSLEERRELFDRVVAMNDDDWHGFYLRRLKRRTDRVSLKLPTIEVRFENVNVTTEASKGSRAVPTVINSYVSVAKGVATKIGVRRSKKATISILKDVSGIIKPGRLTLLLGPPGSGKSTLLKALSGKIESGLKCTGKVTYNGHEMHEFVPERTAAYIGQYDVHMPKLTVRETLKFSAKCQGVGTGYGMNHYPLYSTSISPFLLSNQEHLSKLLIDMDGWLRTDMLEELLRREKKFNIKPDPYLDALMKASVIKEHKENVVTDYVLKLLGLETCADTIVGDHMERGISGGQKKRVTTGEMLVGPVGAYFMDNISDGLDSSTTFQIVKCIKQMIQVFDKTALVSLLQPPPETFELFDDVIILGEGRIIYQGPREDVLGFFESMGFKCPERKGVADYLQEILSRKDQEQYWANPDLPYHYVSAKKFEEGFRTYHLWSTISSQLATPFDRKKNHRAALTKTRYGARKMDLLKACLAREHILMKRNFKIFGLKSFQLIFNAITISIVFSTQREYHNTIEDGIIYMGAIYLEIQMIVFSGFFELPMTIDKLPVFYKQRRFSFYPSWAFSLPASVINFPISFAEVLIVVFITYYSIGYDPTITSFLKHYLILALCGQMSYGFFRCIAAVTRNHVVANTMGCLAVMWLMTFSGYVLSRDQVHKWLAWAYWTSPFMYIQTAVSVNEFRSKSWKDGLGVAILKSRGFFVETYWYWIGLLALIIATTLSNIVTSLCLAFLKQYGVSKSAVLRDECEESDSNAYSSVATKRTSNDKKLRIPFKPLYITFENIMYSVDTPNEMKKRGIKDEKLVLLNGLSGAFRPGHLTALMGMSGAGKTTLMDVLAGRKNTGYITGDINVSGFPKKQDSFARISGYCEQFDIHSPLLTVYESLLYSAWLRLPPNIDASTRELFIEEVMELIELEPLRGMLVGYVGISGLSTEQRKRMTIAVELVANPSILFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLLARGGEEIYVGPIGHNSSQLIEYFEGIKGVGKIKEGYNPATWALEVTTREQEDVLGVKFAQEYKNSNLYNSRNKDLIKELNKVQPHAQDIHFSTKYSQSYLTQFQACLWKLHKSYWRNVPYNAVRLCFAAAVGILYGIIFWSLGKRMGTREDIYNSVGAMSIIIGFLGSQSAATVRPVAIAERTVFYRETVAGMYSALPYAFSQVCIIHTLPPTYFISM >A07p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19873000:19876026:-1 gene:A07p037150.1_BraROA transcript:A07p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPDNRRVILATVAVTILLSIFPPITESTVEKQALFRFKDRLNDPHNVLQSWKPSDSPCTFHGVKCDPLSGEVTGISLENSNLSGSISPAISSLTKLTTLSLPGNLISGPIPPEILNCTNLKVLNLTSNHLSGTIPDFSPLKNLETLDVSANFLTGEFHSWVGNLTLLVSLGLGNNNYVEGVIPKSIGGLKKLTWLYLAKSNLTGHIPDSIFDLNALDTFDIAKNRISGDFPASITRLENLSKIELYDNKLTGEIPPEIGKLTHLRELDVSSNQLSGALPRELGNLKELRVFHCHQNNFTSKFPSGFGELHFLTSLSIYRNNFSGEFPPNIGRFSPLDTVDISENRFTGPFPRFLCRNKKLQFLLAVQNQFSGEISASYAGCKSLLRLRINQNLLTGHVPEGFWALPLAKMIDLSDNRLTGEISSQIGLSAELSQLILQNNRFSGKIPPELGKLINIERIYLSNNSFSGEIPTELGSLKQLSSLHLENNSLTGYIPNGLTKCVRLVAKNSLTGEIPKSLYQIASLNSLDLSGNLLTGEIPATLVKLKLSFIDLSENQLSGRIPPDLLAVGGSTAFSRNEKLCVDNQNVKTSEESSLSLCSGDQHVHKKRSVDGTLLFLALAIAMVVLVAGLFALRYRVVKIREFDRENGDINKAGDAKWRIASFHQMELDAEEICRLDEGHVIGAGSAGKVYRVDLKKGGGGTVAVKWLRRGGEEDGNGTDVSVAEMEILGKIRHRNVLKLYACLVGRGSRYLVFEFMENGNLYQALHQTIKGELDWHKRYKIAVGAAKGIAYLHHDCCPPIIHRDIKSSNILLDGDYESKIADFGVAKVADKGYEWSCVAGTHGYMAPELAYSLKATEKSDVYSFGVVLLELATGLRPVEEEFGEGKDIVDYVLFKIQQDRKNLRNVLDKHVLSSYVEENMIKVLKMGLLCTTKLPSLRPNMREVVRKLEDADPCVSNI >A02p022930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11709927:11710515:1 gene:A02p022930.1_BraROA transcript:A02p022930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEGCVKFSCGCGLESCLVLGVQSRFVGVISGGCLIFDGQSLRNHEVPKSFYGKELVRMRRVESPLKVQWRVEVGIEECVQLRLRILTSLDSVYVLRVLIVIEFPVVLCVVLERVSLMEALLDTTLKLS >A09p036510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24463945:24466489:-1 gene:A09p036510.1_BraROA transcript:A09p036510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVTSPKHNVPMKSAEIIAEEESPKHNVETACAKTLEESNVEEIKTVETRDEDVYPKPIVETEDIIDEMESIDIHNEDKTVSLECETQQDKMLSPSNDDNDEHDSESSHDSKDDVDEDETMDGKEKPKPDSPDSNPSTSAWTEKAAAIKNFVRLKSEVAVHTVMRRLSGRMNDENGVYDARDDATKSVESPKTEGKSSIWNPLSYLKMMQNDAVDKVEVKNVEEAELETVVMKGRIILYTRLGCEACRECRLYLHGKRLRYVEINIDIYPSRKQDLEKIGGSSSSDVPKVFFNEELVGGLKEIKELDESGELDEKIKHLIDEASPREAPLPPFSGEDDASSKGHVDELALIVRKMKRCIVKDRFYKLRKFKNCFSGSDAVDFLSSDQCLERDEAIEVARKLASQLFFQHVLEENLFEDGNHLYRFLDDDHVVSSQCHNIPRGIIEIKPRPIAEIASRLRLLYRAILEAYTSPDGKHVDYRSIHGSEEFARYLRIIQELHRVQLVDMSREEKLAFFINLYNMMAIHAILVWGHPAGPLERTKMFGEFKYVIGGYTYSLSAIQHGIIRGNQRQPYHLAKPFNEKDKRSMVALPYAEPLSHFAMVCGTRSGPPLRCFSPGEIDKELMEATRDFLRGGGLLVDLSSKVAYISKIFNWYAVDFGNGEKSVLKHASTYLEPQISEALLDVLVDTQFRVVYQTYDWGLNH >A05p035120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19926219:19928750:1 gene:A05p035120.1_BraROA transcript:A05p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGTVLHLSPMVFATSTSRPFLPNQTHRNKPTTNSLSSPITSLTKCKTIDELKLFHHSLAKQGLDNDVSAITKLVARSCELGTRESLTFARQLFDVSYGSRYMYNSLIRGYASSGLCEEALLLFLRMMIDGVSPDKYTFPFGLSACAKSRTIRDGVQIHGLIVRMDYAKDLFVQNSLVHFYSECGELACARKVFDGMPQRNVVSWTSMICGYVRRGFAKEAVDLFFEMMKSEDVRPNSVTMVCVISACAKLEDLETCEKVHAFISSSGVEVNDVMVSALVDMYMKCNDNNTAKQLFEQYGARNLDLCNAMASNYVRQGLTKEALDVLSLMMDSGVRPDRISTLSAISSCSQLKNVLLGKSCHGYVLRNGFESWDNVSNALIDMYMKCRKQDTAVKMFDRMLNKTVVTWNSIIAGYIENGDVDAAWETFNTMPEKNIVSWNTIIGGLVQESMFEEAIDVFRSMQSEEGVDADGVTMMSIASACGHLGALDLAKWIYYYVEKNKIQLDVRLGTTLVDMFSRCGDPETAMSIFDGLANRDVSAWTGAIRAMAMSGNAARAIELFSEMIEQGLKPDGVVFVGVLTACSHGGLVQQGKEIFESMEKDHGVSPEEVHYGCMVDLLGRAGLLEEALQLIKSMPLEPNDVIWNSLLAACRVQGNVEMAAYAAGKIQVLAPERTGSYVLLSNVYASAGRWNDVAKVRLSMKEKGLRKPPGTSLIEIRGKTHEFTSGDESHPEMPKIEAMLDEVSRELGHVPDLSSVLMDVDEQEKRFMLSRHSEKLAMAFGLISSNKGTRIRIVKNLRVCSDCHSFAKSASKVYNREIVLRDNNRFHFISQGKCSCNDFW >A09p029650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1800163:1800645:1 gene:A09p029650.1_BraROA transcript:A09p029650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKQATEEDVFPDGTFLDKGSRAYFSIYAMGRMKSIWGEDCEVLKPERWIQGGQYVSDDQFKYVVFNGGPRICLRKTLAYLQMKMMAASILLNYSIKVDQDHVVVPRVTTTLYMKHGLKVRIMKRCLEEKKQDSKVKKKKKSAKLVPWNLLLKYMFIYG >A03p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2996281:3000503:-1 gene:A03p007180.1_BraROA transcript:A03p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase 1 [Source:Projected from Arabidopsis thaliana (AT5G15170) UniProtKB/Swiss-Prot;Acc:Q8H1D9] MRGEADTWPEMEAVARKMAEEVETESSGSSEAETESPRSVGRWGAAPITGKTGKERVHSQVLKIREEDLCFLVEDKAANGRFVQHPRRLSFVLISRPNLPCSPLSGKVRSVNAVQEDRESFYRVPQNAAFYFFFFLYTLHCKNQTQTLSKLSLMASSQVAYLIPLKPDLKEDNSSPRITLSEGPNTIGRLNVSTTDKRLSRKHITITPSASGSASLSVEGTNPVVVRSSGERKKVNPRGEVSLVNDDLIELIPGHHFFKLVLPRESERGSYERAAKKARKEGDDVEAIRSFCPDSEKLPSTFRLLSVDGLPDWANTSCVSINDVVEGDVVAAVLSNYMVDLDWLLSACPKLVNIPQVMVIHGEGDGRQEYIQRKKPANWILHKPRLPISFGTHHSKAIFLVYPRGVRVVVHTANLIHVDWNNKSQGLWMQDFPWKDDDDKDTSKVCGFEDDLFDYLAVLKWPEFTACLPGRGNVKINAAFFRKFDYSNATVRLIASVPGYHTGSNMRKWGHMKLRTILQECIFDREFRRSPLVYQFSSLGSLDEKWLAEFGASLSSGITEDRTPLGHGDPLIIWPTVEDVRCSLEGYAAGNAIPSPLKNVEKPFLKKYWAKWKADHSARSRAMPHIKTFTRYSDQKIAWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSPVKTQACNFSCTDNNSSTKKVKQETKGEVEKRSKLVTMTWQGDRDSPEIISLPVPYQLPPKPYSSEDVPWSWDRGYSKKDVYGQVWPR >A07p003150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3411916:3412224:-1 gene:A07p003150.1_BraROA transcript:A07p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKIVGVGYKARAEEAGRFLYLKLGYSHEVELAVPPAVRVFCFKNNVVCCTGIDKDRVHQFAATVRSCKPPEVYKGKGIMYIDEVVKKKVGKKSK >A10p030630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18500797:18503816:1 gene:A10p030630.1_BraROA transcript:A10p030630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDKRRGLSKSCALLIVIAGIERYAFKGVASNLVTYLTDVVKMSNSRAATTVNTWSGFTFMLPLFSAPFADSYGDRFFTILASSSLYFVGLVGLTLTAFAGSRSTTKTISIFFLYTSLSLVALGLGVLNPSLQAFGADQLDHDLDHDHEQDHEQDQEPSSENKEVKSDRKSQFFQWWYFGVCAGSLLGVTVMSYIQDTFGWVLGFAIPTGSMLLLIFLFLCGCGVYVYADQGSDLKSRPFQRIFDTIKGVVTRRSKITLENNHDLNAMELELQGKPLCNCSNTEATSTTTTKSLAGDESSKTGFSSLKTVKLFLRLLPIWTMLLMFAVIFQQPATFFTKQGMAMKRNIGPNFKIPPATLQSTITLSIILLMPLYDKVLIPMAKKISKNEKGITVMTRMGIGMFLSIIAIVIAALVERKRLKISKEMKTSPNSLEPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMGFALYTSVFGVGSFVSAALISVIETYTKSRGGEHNWFADDMSEARLDNYYWLLALTSAISFLMYIVICKYFKSSSHEDDDRCDETS >A05p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23955247:23956354:1 gene:A05p039200.1_BraROA transcript:A05p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNLPVDLLEEILSRVLLKSIGAVRSTCKNWNVLSKDERFANKHIEKTAASQRDKEVLVITVNTKDDLISVKFYDFHKKNFDPSINRNDIYDLSYDSWKTPNEAFHSNVIKYIIPGLSLKGNTYWIAKDDSEDVYLLCFDFTRERFGPRLPLPFSFAYGSYVSLSSVKEERLAMLLKQPGRIFEIIDVWVTDKIEPGAVSWSKLFKVETLKLNCRSLFGIFLIDEEKKNFVVFDNCEGSFKTYIIDGESGHFREVESIEAPYSRLDNLVDCYVPSSVQI >A04p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13456389:13457532:1 gene:A04p022330.1_BraROA transcript:A04p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFNPHLILHLLLLTVVTGEILRPRFYSETCPEAESIVRREMKKAMFKEARSVASVMRLQFHDCFVNGCDASVLLDDTPNMLGEKLSLSNINSLRSFEVVDYIKEALEKACPATVSCADIVIMASRDAVALTGGPDWEVKLGRKDSLTASQKDSDDIMPSPRANATFLIDLFKRFDLSVKDMVALSGSHSIGQGRCFSIMFRLYNQSGSGKPDPVLEPVYKKRLNKLCPLGGDENVTGDLDGTPHVFDNQYFKDLVSGRGFLNSDQTLYTSRETREYVKRFSEDQGEFFRAFAEGMVKLGDLQSGRPGEIRSNCRVVNRRHNDVLLVS >A02p027560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13385339:13385859:1 gene:A02p027560.1_BraROA transcript:A02p027560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLITYLLFITILFSFIFLTMSKETEYNPESNSMELEVSNHTNVEGNALGGAATPSTISHACFSAKSVVLNVFVSLQALTATNKCVLVTTTGRLNKVDQNVLEENERTPTYLVPL >A09p032150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19487885:19494173:-1 gene:A09p032150.1_BraROA transcript:A09p032150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL14 [Source:Projected from Arabidopsis thaliana (AT4G11880) UniProtKB/Swiss-Prot;Acc:Q38838] MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALVIFSPRGKLYEFSSSSSITKTVERYQKRIHDLGSSHKREDNTQQAKGETYGLARKIEQLEISKRKFLGEGLDASSIEELQQLENQLERSLTKIRAKKYQLLREELDKLKEKERNLTAENKMLMEKNVWIKKYEMGRGGIIPKTSTTTTSEDLDTEESEMEVVTDLLIGPPPIRHSKKFHPPN >A01p008460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4109674:4110950:-1 gene:A01p008460.1_BraROA transcript:A01p008460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDHEYKNYWETTMFFQNQELEFDSWPLEEAFSGSGESSSPDGAATSPVTSKNVASERKRREKLNQRLFALRSVVPNISKLDKASIIRDSINYLQDLIDQEKKLEAEIRELESRSMLLDNPTRDYDCINNFPGNQQQDLSGINAMRSKKSRQMDYNTFGSSITRVHNHSLIEVLEMTVTCMGEKTVVVCITCSKKRETMLQLCKLLESLNLNILTTNFSSFSSRLSTTLFLQVSPLSLFFLQLLSP >A01g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24110234:24111585:1 gene:A01g508890.1_BraROA transcript:A01g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQWLDPKEPVYIYINSTGTTRDYGETQPRVPSSGLMAASDVLIRAKELSKSVKSNEQPAAPVSSSSSTTATTTNYESSFSTPMISEKLKMYSHLKQFTFLDLKLVTRNFRPESLLGEGGFGCVFKGWVGENRTAPVKPSTGVTVAVKTLNLDGLQVIKSGLYDPL >A05p041840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25354393:25356171:-1 gene:A05p041840.1_BraROA transcript:A05p041840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 57 [Source:Projected from Arabidopsis thaliana (AT3G17730) UniProtKB/TrEMBL;Acc:Q9LSH5] MAPVGLPPGFRFHPTDEELVNYYLKRKISGQEIELDIIPEVDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRGGYWKSTGKDRRVTSQSRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKDCDDPSSLQDSYALCRVFKKNGICSELETEPQLQTGQCSFTTVSMEMNSNNINYNSDIYEAMSPEVGASSACVEDIVDDKDDSWMQFITDDAWDSSSNAAAMGHGQGVY >A08p001370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:820950:821858:-1 gene:A08p001370.1_BraROA transcript:A08p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWDKTNSLDHHHHRRQDHRHPSFSSTLLDQIYNSIDSSSAVNRRAVAGDSVRSRNLKTAEPVFFKHWSSSSSSDSSGFSSSSSDSFYMRSRSSRSPPEIRHPKPIRTTVERLERPNDNNNNNKVKSKALKMYSDLKKVKQPISPGGRLATFINSIFTGNTKKPNKTATSSSTTCSSASSFSQSCLSKTPSSSEKSKRSVRFCESTRQRQNFDTLESRVMEENRRVIEAAKELIRTYQKNKDVVNIIGKEEEEEEEDDGASCASSDLFELDHLSVIGIDSYREELPVYETTRFHTNRIISR >A09p073070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55836482:55839197:1 gene:A09p073070.1_BraROA transcript:A09p073070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable flavin-containing monooxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G19250) UniProtKB/Swiss-Prot;Acc:Q9LMA1] MASNHTKLTSSRVAIIGAGVSGLSAAKHLAHHNPIVFEASDSVGGVWKSCTYETTKLQSARVDYEFSDFPWPNRDDTTFPSYIEILEYLESYAKHFDLLKFMKFGSKVIEVKYTGEGDALQIVDLGGSGSLLPGKPVWEVAVQNGDARDIQWHAFEFVVVCTGKFGDVPRIPTFPAKKGPEIFKGIVMHSMDYCKLEKEEASYLLRGKKVAVIGFKKSAIDLALESAIANQGEGGQACTMVVRTTHWVFPHYWVWGLPFFLFYSTRASQFLHDRPDQSFLRTLFCFIFSLLRAVVSKFIESYVTWKLPLEKYGLKPDHSFEEDYASCQMAIIPENFFEEADKGMIRFKKTSKWCFYDEGIEFEDGTTLEADVVILATGYDGKKKLKAIVPEPFRTWLEFPCGVMPLYSNSNLHTSELRSMWLSLLVDGKFELPSQEQMLDQFSKEMEVMRRSSRFYKRHCISTFSIQHADDLCNDMVTWDSILGVNPTCSSKPLVLMVLRIIDLLKKKLIFEYNSTEEIYNELLHLMDYVYCVQL >SC184g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:247213:248210:-1 gene:SC184g500110.1_BraROA transcript:SC184g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFALSFKYPQITGLPHGKGGDLLLLVCLEPGAQQPCGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLESSGLIPNLTSNATDRHKALSSTFKSFFTQHFRHESNASIHQTKLLKYPGTDSKHFNLSIFNSPQVFNHITQYNQSTTQAHYQEGFTIAYTMELVLCGSYSSGSDEPGSDTI >A09g515370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45626883:45632824:-1 gene:A09g515370.1_BraROA transcript:A09g515370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIDTPVKLFGWTITSSLSLTNNQSATDNIEQASTSLQRSSDLTEESKETSENSEITTTTTTSEEKTTDDLKKPDKILPCPRCNSSDTKFCYYNNYNVSQPRHFCRSCQRYWTSGGTMRIVPVGSGRRKNKGWVSTHHYMHTENTRSSEKILSFDSSGEESLVTENGNNQSSDSVSRDFNNFQRFLPPQVTSSVSPPWPYPAFYHIPVYWGCTVPFWSTLQTSTCLGKGQETKLHIMMNLGNGVTKDVFIRINTMGYKTDQSALVRDALIAPVLWALSPKGRLTGLKYSRVEPTALAAKPTKLDRPCRARACYLMGLVEGCNPSPTISPPPSSLESGLSVSVNLWVRKDMASGNRLSREEKGKDIATSPSPARDADGGPLEDFDIIHRDALRDTENMCLSQRLLVADAHRQFREEIEGNIEDEDREASGSEAPSSEAPSLAVRPRRRAHRRGRIDQSDRLPAPRSVPFDEVDCRPVIYHPGGIFEELPSLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPVDGDVNSEPPAQSSPKKKANKAKKRSVPSEEAPSSADVSEVAAKKKKKKESKKRSREEASVEVLETSTAAGNDDAERNDPTNSTRGSPEERPKKKLKKKTAEDDGTSAPVVPSRSGEPATEAGDGSRDESPLSKGAPSSSARETGAGSGGSLPRKAGGGIRFPDHVEFLYDEATPLVLNPLQCAELTRQIRGGTKELPPIDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASEKLAQARLGVIERLRAENKKACDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCVEHIVVPEGTVIEERPDGNDPEEAGDAIQADTGDVATEDPVLVSSSEEREEDEVGEEENRSPPALIEETVPIPSVSDPPAQVEDLGAQAVEEETVEPLDQSRDDQDVVTSDEPVIGFTRLSSCFDNKELSIRVEGSSVRFLSDN >A09p043900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35699148:35699490:-1 gene:A09p043900.1_BraROA transcript:A09p043900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKSFEIFSEYSIETKMKAHLSKHSGLNQVWLKLLSEAKMTAPYTEEQLLRFFRIFDTDGDGFITAADLVHSMCNSPKFLKRVH >A08g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17038821:17040916:1 gene:A08g508970.1_BraROA transcript:A08g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKRWSLGNLRDMASSLPGPRHRAPSRRRARVYIIMALSLIAFFAVIAYMYPHHSKRACYMISSRGCKALADWLPPSLREYSDDEIAARVVISEILSNPPVIRKDSKIAFMFLTPGALPFERLWDRFFQGHEGKFSVYIHASKERPVHYSRYFVNREIRSDEVVWGRISMVDAERRLLANALRDPTNQQFVLLSDSCVPLRSFEYIYNYLMYSNVSYVDWYFYLSFFFPISVYSLHVYDSLLLFSFDDPGQHGSGRHMNHMLPEIQKKDFRKGAQWFTMKRQHAVATMADSLYYSKFRDYCGPGIENNKNCIADEHYLPTFFHMLDPTGISNWTVTQVDWSERKWHPKTYMPEDVTHELLNNLTSTDTVVHVTSVGVGEEIWMPCMWNGIKRPCYLFGRKFHPDTLDKLLDLFSNYTKSVSWQL >A02p028480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14394486:14395799:1 gene:A02p028480.1_BraROA transcript:A02p028480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELKKLIGSPIYIECSSKTQQVTSQAEEKEEIHHQMQQRCIVVVI >A08p034400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20483752:20484300:-1 gene:A08p034400.1_BraROA transcript:A08p034400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSILTRSECILELQILDSIVSHRCFLRECSVQHSIVGILHKLQSISVGENTKIRSKYNKSCTFIVLLVVVQTVEEEGDMPEEGFCIRSVIAVVVKNATIRDGLIV >A04g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11579174:11580033:1 gene:A04g505420.1_BraROA transcript:A04g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVSISTSDTIYQRFEEGKIYHIRYFNLLLNNQQYMLTVQPYIININETKITTLIQENIPPIPSYIFRPQHYHQLISLANATNFLPNVVGRICFIQESDLYNHYTDSKIIIGLRLDRLKLVRLTLWDKEASNFRELNHIYTRKKQIDNYHSQPHMDRASTLTTILVSYNASKRGINCYPKPHSKRHQPTFKKFTLPLPTSIK >A06p047660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25293399:25297884:-1 gene:A06p047660.1_BraROA transcript:A06p047660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNSGTDHELGILRGAHSDTNSDNESNASDRSAFSGPLGRPKRTSKKNARFAADLPTRSTSLSDGGVGRGGEDDEYVEITLDIRDDSVAVHSVQQASPGGGTHEDPELTLLTKKTLESNLNNSASLSFFRSTSSRIKNASRELRRVFSRRSAPAARRFDRTSSAAVHALKGLKFIATKTAAWPAVDQRFDKLSLDSNGLLLSSKFWECLGMNKQSKDFADQLFRALARRNNISGDAITKEQLRLFWEQISDESFDAKLQVFFDMVDKDEDGRVTEEEVAEIISLSASANQLSNIQKQAKEYAALIMEELDPDNAGYIMIENLEMLLLQAPTQSVRMGDSRILSQMISQKLKPAKETNPLVRWSEKIKYFVLDNWQRLWIMMLWLGICFGLFAYKFIQYRNKAAYDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIASGIVVGGLLHVVAHLTCDFPRLLAADEDTYEPMIPYFGEQPESYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPNFLKKLTGFNAFWYSHHLFIIVYALLIVHGIKLYLTKTWYQKTTWMYLAVPMLLYASERLLRALRSSIKPVKILKVAVYPGNVLSLHVTKPQGFKYKSGQYMFVNCRAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRKLRTVFSEVCKPPTAGKSGLLRADGGDSVAFPTVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISILKDIINNMKTDAHDRDIENNNSNGNNKGFRTRKAYFYWVTREQGSFEWFKGIMDEVAELDEEGIIELHNYCTSVYEDGDARVALIAMLQSLQHAKNGVDVVSGTRVKSHFAKPNWRQVYKRIAVQHPDKRIGVFYCGAPAPTKELKNLALDFSRKTTTKFDFHKENF >A01p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3613618:3614936:1 gene:A01p007250.1_BraROA transcript:A01p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPRFDVLAAGHHNNDHNYYPFTQDFYQKLGEEEGTNMSTDSMQTSNAGGSVSMSVDNSSVGSSDALIGHPGLKPMRHPYSLSVGQSVFRPGRVTHALNDDALAQALMDSSYPTQGLANYEEWTIDLRKLHMGPAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQALEQQFQQEVSMLSYLKHPNIVRFIGACIKPMVWCIVTEYAKGGSVRQFLTKRQNRAVPLKLAVKQALDVARGMAYVHERNFIHRDLKSDNLLISADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNRGVRPTVPADCLPVLGEIMTRCWDADPEVRPCFEEVVNLLEGAETEVMTTVRKARFRCCMTQPMTID >A05p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28197767:28199479:-1 gene:A05p048070.1_BraROA transcript:A05p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTAESDDDGMHSPAGDSIGYGCKSRGKGSVLKKGPWTSTEDGILINYVRKHGEGNWNAVQKYTSLARCGKSCRLRWANHLRPNLKKGAFSQEEERLIVQMHAKMGNKWAQMAEHLPGRTDNEIKNYWNTRIKRRHRAGLPLYPPELHVEDLQWSEEYITSNVTRVNRRRHHQDILQLGNSKADVLFDDLNFATSLLPGASDVLDMFTCNMLGTGASSSPYESYMPPILPSPKQLRESGSLFPMCSSNIKQEFHSPEPFQNPRSCSISPCDVGHHSPYGNQHPSDVMISDSHAFTDGMLPTSKPLFGAVKLELPSFQYSETSGFDQWKTTPSPPHSDPLDSVDAYIQSPPPLEIEKDESNCFSSCDTGLLDMLLPNAKIKTSAKRSLALPEIPIPTQLSAGENSPDSAGNVVKTEELDQVWEPKRVDITRPDVLLASSWLDQQGCFGIVRDSSNMSDALALLLGGEDIENSYVTVGSSSSGQAQQGVESCTWTNMPPVWSL >A05p021110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9997243:10002288:-1 gene:A05p021110.1_BraROA transcript:A05p021110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLASCNRKCHSLTFLLKYLNKPSLLEKSSYYCYALYLIYLTSLMCLLLRLKMSVNRPYLLKNASNLRLVILVLWLVCYVGYGQFEDTHDFNNPAALPLFTQMVYRKLCNSTASLSHELATRAKFCVKDPDADWNRAFNFSTNLDFLSSCIKKTQGDIGRRICTAAEMKFYLNAFFFKSSNPGYLQQNGNCNLTSWVSGCEPGWACSIDPTEQVVLQNSTDFPERTRNCMSCCEGFFCPRGLTCMIPCPLGAHCPLATLNKRTSLCEPYTYQLPPGRPNHTCGGANVWADIRSSGEVFCSAGSYCASTTRKVACDSGNYCRMGSTSEKPCFKLTSCNPNTANQNMHAFGVMIKILELVNTLVQFGVITILLIIYNCSDQILTTREKRQAKSREAAVKKAKAHQRWKVARTEIRAQITRTFSGVKETHKILDRGYSSDVGISKYSSPESSSAVQSSNEVKDDKIKKSSSQILKDAYSQIEKEKAMELENKDLTFSGLVNMATYSEVRKRRTLMELSFKDLTLTLKSNGKHLLRCVTGTMKPSRITAVMGPSGAGKTSLLSALAGKAVGCSLSGLILINGKQESIHSYTKIIGFVPQDDIVHGNLTVEENIWFHAKCRLPAGQSKGDKVLVVERVIDSLGLQAVRSCLVGTVEKRGISGGQRKRVNVGLEMVMEPSILFLDEPTSGLDSASSQLLLKALRHEALEGVNVCMVVHQPSYTLFRMFQDLVLLAKGGLTVYHGPVKEVEEYFSGLGIIVPERINPPDYYIDVLEGIVTDLNSDVGYKELPQRWMIHKGYSVPLDMQNNNSAKDLVMNPDVVNNTNCNAEQTFVRELWGDVNSNFRMHSDKIRHNFLQSRDLSCRRNPSIWLQYKYFLGRIAKQRMREAKLQATDYLILLLAGACLGSLIKASDESFGAPALLCKIATLRSFSLDKLHYWRESASGMSSLACFLAKDTIDCFNTLVKPLVYLSMFYFFTNPRSTFFDNYIVLVCLVYCVTGIAYALAIFLQPGSAQLVSVLLPVVLTLVATQPKNSEAMKIIADLCYPKWALEAFVIGNAEKYYGVWMITRCGSLMKSGYDINKWNRCIMILLLIGVVTRNIAFVGMLILQKSSQGSEDWKKEKSLMVLRL >A09p075060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56699005:56699370:1 gene:A09p075060.1_BraROA transcript:A09p075060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKNLVAFVLTILLVVSYVHCRITSDNISGFVIKKEDMCFKTSECLQPGMGPGESLDKVCIAFCQRMKFKSGWCEFYGSPCCCSSK >A06p019450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9528138:9529358:-1 gene:A06p019450.1_BraROA transcript:A06p019450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAHTIFGVFGNATALFLFLAPSITFKRIIKNKSTEQFSGIPYPMTLLNCLLSAWYGLPFVSKDNTLVSTINGTGALIETVYVLIFLFYAPKKEKAKIFGVFTAVLAVFATVALVSLFALHGNARKLFCGIAATVFSIIMYASPLSIMRLVIKTKSVEYMPFFLSLFVFLCGTSWFIYGLIGRDPFVAIPNGFGCALGTLQLILYVTYCGNKGEKSAEKDDKSLEMKGEEKKQNVVNGKQQEQQV >A07p003300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3334457:3335753:-1 gene:A07p003300.1_BraROA transcript:A07p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMARNGLAFDKTHYSSVSVLSRARAEAAVWFKLNSAQTDEVQFSENSQGSTMAWSKHPSGYLKCNIGVSWLDCQANCGVAWILHDDKGKPILHSRRAFSNVETKREAELMAMHWAVSDMINTRQQRILFESTCTLARETFLNPSGFIQHQPPYEKNTVAQDVAKSVTNDQQYHSYIAAGGPSWLGSVY >A07g508690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:23973229:23973438:1 gene:A07g508690.1_BraROA transcript:A07g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLLSGGSSQRDGVCVGGSCRRWKTVSCLSVALVGVQNSEISLSWWLSETMENDYCCFAGESEGKEA >A07p011430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8731463:8732665:-1 gene:A07p011430.1_BraROA transcript:A07p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLPDDLCATILSRLPIKVFTSFKLVCKQWNSVVESPIFRDLFISQHQNTQSSSWSLIKGASNRTEFLAHYRCKTWGLQRSLESYISSFISYKFENQRGKYRRGSVVAYSDAGFVLIDVSSYRYSGSTFEKRSLCVANPVSQECIEIDVPKAFENSGIFWPFGIATRTDNGVVISYKIVAYSSKSDVGYFVYSSETGLWSSLPYNFESCECNYTISLNGNLHWLSHNNDELVSMDFNATTMESGPFRYTAFPDLEKRVKFKRACTTYQGSLMYMNIVSQDNDDGSVDHKLCVWRLESWEWQLISEISTDLTETYFDYFPLRVDSLDATTAYFLSQKHQRLIAFNLRNGACLLRACLLHSGGPILRFLHHPNGSYFYSFVLPQWLYRLPRQHGEKSVDII >A01g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22516092:22518408:-1 gene:A01g507970.1_BraROA transcript:A01g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLLNDLRAGRCSNTAEVRLLRLWEARNINKGGELMSLDMLLIDENSTVVHGTVSALLQLRFRQRMMEGSVYTLSGLDVTRSSPKYWLSDAPVAIRFNDGTEFEKLAMTSRTIPTEHFRFRPYDQILGLANTGRQLPDIMGELSAIRSTITDRIPGAQRIMLNLRLGSDTTVCVSIFDSLALAFHSKLDVYGREPRIVVVTAVNPKLVSGKLYLNGTSATTFTCYYPRRLPSGGTDQSGSSSKVVHAQKIEPMTVSELNQFIFTADPQIIEFLCTAKVTEIQLDEGWCYIGCSTCSKKLIREETSFTCVPCNETNAVAKLKYRVIFSVSDDTGAAAFLGFDEEVASLTHVLASDAAHIVGIGTNAQVDIYLPRSLANLVGSTYTFQLRLKDFNFGPNHRSFTISRIFPARDLAPKQTFSVSGLEDGGEDTDQSIPQSVATGLDVRAGIVNNGADQLTEADGARMAHEAVASGEDAGEATARKKARVE >A01p010340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5043254:5044138:-1 gene:A01p010340.1_BraROA transcript:A01p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 23 [Source:Projected from Arabidopsis thaliana (AT4G17800) UniProtKB/Swiss-Prot;Acc:O23620] MAGLDLGTAFGYVNHQLHRPDLHLHHSSASDDVTPGAGLGHFTVDDDDNNHQGLDLASGGGSGSSGGGGGDGGGGGNVVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVTSGCDVFDCVATYARRRQRGICVLSGSGTVTNVTIRQPSAAGAVVTLQGTFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIASSFTNVAYERLPLEEDEQQHLGGGGGSNVGGNLFPEVSAGGGGGGGLPFFNLPMNMQPNVQLPVEGWPGNSGGRGPF >A01p021690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10585753:10587143:1 gene:A01p021690.1_BraROA transcript:A01p021690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMMKFLNQTLCLSLVLCISMTTMSFAQTCSKYKFSSNNVFASCNDLPFLDSFLHYTYDSSTATLHIAYRHTKLTSGKWVAWAVNPTSTGMVGAQAIVAYPQSDGTVRVYTSPIRSYQTSLQEGDLSFNVSGLSATYENNEMVILASLSLAQDLGNGGTINTVWQDGSMSGNSPLPHPTSGNNVRSVSTLNVVSGVSAAAGGAGGSSKLRKRNIHGILNGVSWGIMMPVGAIIARYLRVAKSANPAWFYIHVFCQASAYIIGVAGWATGLKLGGDSPGIQYSTHRSIGIALFSLATVQVFAMFLRPKPEHKHRLYWNIYHHSIGYTLIILGVVNVFKGLDILSPKKQWKNAYTGIIVALAIVAVLLEAFTWYVVIKRRKLEESAKSAPHGASNGSRSQYA >A02p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8347901:8349160:-1 gene:A02p018220.1_BraROA transcript:A02p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNLPFKDGQTVEVRSFEHGYRGAWFRCKIVRIYIMEEKLYYSLKYLDYEKEEIHEQQVFQRFEDEEKEWIMVRPSYPSVHKIEADQKPLDVARGSWKVGDLVDWHKDDCYWSGTVVALKKNEPLQVDKKKIDVVKLFVLVELYPPLRGEGATYNALRKDLRPSLEWSLEDGWTLPSAVGRQGNVLGS >A06p049200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26014832:26016670:1 gene:A06p049200.1_BraROA transcript:A06p049200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYISIPTSLRVSINISKTHIFNISNCISLSLSLVFFVSCFLFLLVNKMVSATVARNIAGIFGNVISLFLFLSPIPTFITIYKMKKVEEYKADPYLATVLNCALWVFYGLPIVHPDSLLVITINATGLAIELFYLSIFFYFSPTPRKVKVGLWLVGEMVFVGIVATCTLLLFHTHNKRSSFVGIICVIFVSLMYIAPLTIMSKVIKTKSVRYMPFSLSLANFLNGIIWVIYALIKFDLFILIGNGLGTVSGAVQLILYACYYKTTPKDDEEEDNLSKANSQLQLSGNQGQAKGVPA >A01p047750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26930501:26930896:-1 gene:A01p047750.1_BraROA transcript:A01p047750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGLPEFEETAPDGFDPANPYKDPVAMMEMREHIVREKWIQIEKAKIMREKVKWCYRVEGVNHYQKCRHLVQQYLDSTRGVGWGKDHRPMSLHGPKPEAVEAE >A02g505200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16075936:16076595:-1 gene:A02g505200.1_BraROA transcript:A02g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMIIQNRKPKSSKRDTPFLTRLSRARKYTIADVRRCIRSNQKEVTDKECRNVFRHARLRGLANHPLRTLRSYADEMKVCLSYGNREAHYIEGVKHLFALHDRTKGMRHLKLSAKRNYKKGKYLYALLKLLAGDHDEGMNLLDVHKWRSNTYVVDKLWKQVKRSLHEVPIIKNNFYGMNMILIMPPRACELDKLDNRCSKCFYYKEMAKFMELVHRG >A02g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26743244:26748397:1 gene:A02g509990.1_BraROA transcript:A02g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIFQKELSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVYPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEKDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAINQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVKESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKTLRFVQPGPALDTGSDSESDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPILDFDDFFAGLPSGFDAPPSTEESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVGVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFVILLSVFGRVWPFEFGFRLGLDGRICIYRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKMIIFTIFGPEGAADKSLNVFRCRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDCDVVAKIADIRCLVSRFPSLSAFTASELGDFFFFRHWFFERVAFPSGSASGPS >A08p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:841958:842933:1 gene:A08p001390.1_BraROA transcript:A08p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIKKTMQVFQRKDSMPTNPNIQGSQSFSLTKHMMMMSTQSGLQLQDQDSSSTQSSGESGGGGEAASFVEHNRYGCSSIVNTNLSDSVFPPPTSDLASWPLPCPETPHFNGFLAPEYASQPTALSSHLEMMGLASSRVPLPHNIQENEPIFVNAKQYHAILRRRKHRAKLEAQNKLIKSRKPYLHESRHLHALKRVRGSGGRFLNTKKLQDSSNTPFRFSGYPSTHHVSALMSGT >A03p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4115450:4116790:-1 gene:A03p010300.1_BraROA transcript:A03p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSIHKRRATGGKQKQWRKKRKYEMGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTKTLVKSAIVQVDAAPFKQWYLQHYGVEVGRKKKNASTAAAAKKDGEEGEEAAPAAAAPEETKKSNHVLRKIESRQEGRSLDSHIEDQFASGRLLACISSRPGQCGRADGYILEGKELEFYQKKIQKKKGKGAA >A03p052240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20180316:20181488:-1 gene:A03p052240.1_BraROA transcript:A03p052240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYCSSAVAVSTAATVSSATTFNPLFSFHSNFKLFYRITPKPFKLVAKCPTPPLFLHLNTRRHRLFCAAEDEIPPSSEEDEDEEEDEDEDADETQTTQASVEEGRLYVGNLPYTITSSELSQLFGEAGNVVDVQIVYDKVTDRSRGFGFVTMGTIEEAKEAIQMFNSSQIGGRTVKVNFPEVPRGGEREVMRTKIRDSNKSYVDSPHKIYAGNLGWNLTSQGLKDAFGDQPGVLGAKVIYERNSGRSRGFGFVSFESEEELQSALSAMNGVEVEGRALRLNLASERATTESRSSVAGGETEEGSLESSEVVSNIST >A04p008780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8028035:8029367:-1 gene:A04p008780.1_BraROA transcript:A04p008780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLSRSFNRSVSDDSSPPHSHNIEMSKAKYSSGGSCRGGNNLDKFFLDVEEVNSDLKELDRLCHSLQLSHEKSKTLHNAKAVKELKDKMDSDVSTALKTAKRVKVNLKELDRANEVNRSLPESGPGSSSDRQRTSVVNGLRKKLKDAMNNFMRVRETISTEYRDTINRLYFTVTGENPDEDTVDRLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVKDIEKSLNELHQVFLDMAVLVEHQGEQLEDIESHVKRANSLVRSGADKLVKARFYQKNTRKWTCYAILLLIIIVVLVVLFTVKPWEDNGGGGGGSTRQAAPVQAQPPPPPALNRRLLR >A08g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4337091:4337346:1 gene:A08g501780.1_BraROA transcript:A08g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMENTLLMPVTNNRQVRNLIELSKTHFVRFCVSSLRQIH >A02g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23529154:23530266:1 gene:A02g508740.1_BraROA transcript:A02g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNKSRIEELLPNLSTQIQCLRPGHPEAEDIFIWQPLSSALALRSGLIAAVELEIPRIRMFSDNSTLIRAINFDMQDKEIFGIIRDIHRISSAFIEISFHHLSRDLIKDVDQIAKRTLRGSVV >A03p002150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1017173:1018501:-1 gene:A03p002150.1_BraROA transcript:A03p002150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEKEAMVDPFLVEALQNPRHRLTILRMELDIQKFFQSPEQQQYEFPPYPTSYLRLAAHRVAHHYGLFTTALDGAALDGSGNRILVTKTGESRYPFVCLSEIPVKQPEVNGRRPQGFKLAIKARPNGGSGGAGGGSGVERNLLRSVEERKEEYEKARARIFNSPNSSDSEDSSTRAPPPPPVQTKHNNSRLPARNEAENLVDVERNSVGRTSRVAIIRDREKDRYDPDYDRNRYVRVTPPVVQNFNPMPPIHLPFHDGVFPQMPRTQPNISYGHPLNPSMTSSNAPAYTEWPSVTMSYAQTLHASDPNHFRHQNP >A10g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9632585:9635083:-1 gene:A10g503800.1_BraROA transcript:A10g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLSGSRLDFQEVVWTSRKSSGRFSDLEDFWDDLLVSRLEVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPK >A05p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18172135:18173648:-1 gene:A05p031860.1_BraROA transcript:A05p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S18 [Source:Projected from Arabidopsis thaliana (AT1G34030) UniProtKB/Swiss-Prot;Acc:P34788] IKIVYTVHRVWAYTRINNLGPSYNGYVEKSIQFIKGGTSSLRDRTMSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPKQYKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >A04g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17416143:17418533:1 gene:A04g507190.1_BraROA transcript:A04g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIWSHVKLVGLTAKDKQQLYFELRISSEFPAISSSWWMFTGSQSKSLAMLGVLITPCLHFAQTIMKFISINHHKTIGRCYMSLKRWVSKLIRKRHESSVTNVAWHTNNILLATTSTDGKCRVFSTFIKGVDTKDSKAGSPAESKFGEVLFISEKMVISVGYDSNPMVFAADDTGIWCVPLRNFSEAFTKFYGQSKATTANEASESSKSRGSVHDNCINSIVSLSKAGSPQVMRFSTSGLDGKIAIWDLGNMQEELGHQF >A10p032230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19120557:19122816:1 gene:A10p032230.1_BraROA transcript:A10p032230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETKMNKGREKEEAIANGVKMRALQAGLMQKSSPSSTYNSIRIPSSSSPASRPLPNLSAHDYPVFTPSYEDEPVSAFHHKNLTLSETWDESGVGLVEGDEEQDLKHTYHSDSYKTSTSRKTLTPQQDSQVYTMSDALRSPPPLHFYTTGRSNCGGSVDFRSVSSCNEYKQRGFDTKSLKSSNLVVPLTDSHSVIVASQTRNRGGRVMSWLFPKLKRKQKSSNSIFNSPSRTERSEEVSHEVLKDSGSSGVEKLKRELIEANRSRDAALTQVEEMKSSLGEFSEKLQYLESYCDSLKKALRQATEVVSQEKSTKAVKKKDSEMPVSEEVMVEGFLQIVSESRLSIKQFLKTLVTEIDEEDTTLMSNINALLQPYNLSFTSKYSKIIQYHLESIISQTIYQDFENCVFQKNGKPKLLDPEQERQAKFSSFASLRNLSWNEVLKKGTKYYSEEFSSFCDEKMSLIIATLKWTRPWSEQMLQAFFVAAKCVWLLHLLAFSFRPALGILRVEENRVFESSYMEDMGGGDRERMQRSSASRGQGRVKVMVMPGFYIQDRVLRCKVLCRYKSLG >A06g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7116454:7119744:1 gene:A06g501970.1_BraROA transcript:A06g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHFCGVYEEHLSINYRKKILVVMVSARLVDCSEDPEEPDMQKIPEMMFAAGEEPVGVRILTYQSSTTPQRILNALDEEELVMVAAVPALTEVVQDSCSSSDSDSEDIDGSGRDIFTKKRTLNPAHARNSDKRTDVIVHSILVQDPERPIDEAILVRHDEVHDSRVDNLVEAIRRNYQFNNSCFLGGIRKIDVVHMREKVKSSAKCKRPKKGITTSSEAENSVIVDLVLDKIKPQIDVLESNIKIGSSRVDAIEGGVRKQVETLLGKFKGEMLSSLKDIVSEVCKDHLAAHNGPGNDQPSSPTNLIVPGCHTSHVADANAKTIENVLRDISQYSTPPRSNRICESVNTTPTKKQQVESGNVCGTPVIQSGAQSANSENRSRQQSFQQKLTPQNNKDNIADEPSFSRGLTQDEQIQEDIPIFGQTCPDHVQLSHMNVDDNIEGISSSRRSKRQKTVPSGLVEDYLCGPHLLSRAKESQRSIFAILDISELNFPRFLKSKNKESYIFPKSLSGIFPTKEAPKVNPRKYYFPLNVGNKHWVGICFDAVCGTVTILDSCLALHKQKALEKIITPVIQMLPYLARYASLDIETDPVIQCYDVARPKSVAQIKNEADSGLMSLLFMARHALYGPEACKNIGDDVLVEESKSAAILAYEFKEKL >A04p027850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16813730:16816439:-1 gene:A04p027850.1_BraROA transcript:A04p027850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESHSQILVEEKPSVRILTLNRPKQLNALSLNMVTRLLQLFLAYEVDPTVKLVILKGQGRAFCAGGDVSAVVRDIRLGKWRRSADFMSLEYTLNYVMATYCKAQISILNGIVMGGGAGVCVHGRFRIATENTVFAMPETALGLFPDVGASYFLSRLSGFFGEYVGLTGARLNGAEMLACGLATHFVPSTRLTALQADLCNVGSSDPVTFASTILDAYTQHTHPERQSAFCRQVLSSFFSFTLSTNIQGKFVSPVSYLAIYFSLCRLDVINRCFSRRTIEEIMSTLEIEATHKPDDWISATIGALKKASPASLKITLRSIREGRVQGVGQCLIRENRMVSHVMKGEISKDFMEGSRAILIDKDRNPKWEPRRLEDMKDIMVDKYFKRVEEEDGWEDLKLPPRKHLPASAIAKL >A02g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22195584:22197907:1 gene:A02g508000.1_BraROA transcript:A02g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDPSATTLGLADSFGRLFGNLRNSGMQLSSTDAASKRTKKKNFFHKLKFEMNFLTTDINFRGTNLCLSDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGIVSTFPAAGNLELHNVRDVVERPHRREKLVSSPACVLFLDSTMDQDREWFLFGRDQRAIMSNPPCLVLGRMHRVRHELHLRNDELFIVFPKELVKEGISILWDSNRTNKTQAKLGRYVATELSQARSLRSDRQRSVPARSLRSDRSSQSFGRYVATDAIVPLGRYVVTELEPPLWSYVADPSDRPAPKLGRYVCYRARAKARSLRSDRSIVPLGRYVATELSQARSLRSAERSSRSVVRSDRARSQSSVVPKRDDAIVPLGRYVATSSSQSSGDVALGVELPSAIVPLGRTLATELKPKLGRYVATERSSRSVVRSDRARAKLWSRCSD >A03p044050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18419257:18421699:-1 gene:A03p044050.1_BraROA transcript:A03p044050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCDLQINLNQDFTFFVNKGLISEYSGFLKKMIKQNNKNNRIILHIEDFPGGSNGFDLVSRFCYTNGRGISINVSNVSILYCSSVFLEMTENLSLQTEKFLEGMFYWSWNDIVSCLKSCEQVFLHADSYGLVDKLVFGVLAKIAQGSDMSQVFASISTSSSASTSSMSPETAKTRSTSRSFSCKTSNEWWFEDLSSLGPKIIEKLVKNLGSYDQNNDSLVLTRFLLHYLKTKVHYKSNNKLEYAGLADTAVQGVILIAKTAFCCRKLLWVLRVLSGFSISKESRNGLERVIGEMLDQATLDDLLIPTREKGEKGVYDVDLVIRLLKVFVSSCNTEGDEDLKFRRMGKLTDKYLREISPDQNLEVSKFLEVAESLPGSARDWFDGLFRAIDIYLESHPNLSSGDRTKLCRCLNYKKLTLEICKQLAKNPKIPPSIAVQALKSQQLSNETLPDSREDKIKLNKTRNSRKYLEEKPILVRLKGFGISERLVDGFEDDLRVDLERRHWHKVMDHSEKVCKEKKSEIVSRLATHGHFHSSSNFPRLC >A07g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1496505:1500646:-1 gene:A07g500830.1_BraROA transcript:A07g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINTFVPAAVQEVAYLHLQPRALHQQLRMVRPVWRPQKGLTEKHISKGTSQNQACEADMSHMTIGKQVGWSLALIKAW >A10p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2049472:2050793:-1 gene:A10p004180.1_BraROA transcript:A10p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNLLVLVILLGVLGVSVAHPKGLYLNYYKHRCPDAESIVRRVTVQYVSHEPSLAAALLRMHFHDCFVRGCDGSILLKYPYNETERYAAPNLSVRGYEVVDAVKSALERKCPGVVSCADVLSLVARDAVIVINGPWWPVPLGRRDGRVSRKSEVNLPSPLSGIAALKKKFFDKGLNTRDLVVLSGAHTIGISHCSVIHHGIYNFTGKSDSDSSMNPRYVRALKRRCNPADNRTIVMDPRSVKKFDSHYFNMVAQRKGLFKSDRTLLDDPETKSYIYTQVATAGSSFNKDFAHSMVKLGFVEILTGNKGEIRKRCAFVN >A03p020620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8470031:8471783:1 gene:A03p020620.1_BraROA transcript:A03p020620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX prenyl protease 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) UniProtKB/Swiss-Prot;Acc:Q8GW19] MSSDGDNNISTPVAVASCVVMALFYVLILYAPTVILRLPSPSSFYNFMIRRFVCAAISTVASLAFTVFILPIKSWEASVILGVFGIRKDHLWQGVVYPLLLTSLVYAGSLVLNLLLLLESWKENGEACSFFTKVRSFVQAIPACIMTCASDVSFWRNLVVAPLTEELVFRACMVPLLLCAGFRIYYAIFLCPILFSLAHLNHFREMYIKHNRSYIKASLIVGLQLGYTVVFGAYASFLFIRTGHLAAPLFAHIFCNYMGLPVLLHPRGKGLVSAAALAGVVGFVTLLFPLTKPLMYNDRTNNCPCWLGYCLWN >A01g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6675222:6675924:1 gene:A01g501870.1_BraROA transcript:A01g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDPNPGYRSHRNHWETGNPKGYEQFEKVLMGLLRRLLDSNKRVQEAACSAFATVEEDAAEELVPHLGVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVREELNKVL >A09p049000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43440775:43441181:1 gene:A09p049000.1_BraROA transcript:A09p049000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy-associated protein 1 [Source:Projected from Arabidopsis thaliana (AT1G28330) UniProtKB/Swiss-Prot;Acc:B9DGG8] MVLLDKLWDDVVAGPQPDRGLARLRKITTQPINIRGEGSNKVMHRSLTMPTVVSPGTPTTPTTPTTPHKDNVWRSVFNPGSNLATRAIGSNIFDKPAHPNSPSVYDW >A01p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8117901:8118953:1 gene:A01p016400.1_BraROA transcript:A01p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase like [Source:Projected from Arabidopsis thaliana (AT4G24080) UniProtKB/TrEMBL;Acc:F4JQ41] MSSATSSIQTRKSLKSRLREGENLYGLFLLSLSPEIAEIAEIAAFSGYDFIIIDLEHGPGGIREAINCIRAIEAAGCSAVVRVPDVTQAWAKKALDLGTAGIMFPMVENGGSASDAVSFCRYRPDGVRGCAYTVVRDSKFGFDEAYLANYIDDLLVMCQIESEEGVKNVNEIVAVDGMDCVMMGPRDLSASLGLLHDPGNPKVKSTMRTAETAVLASDPVKGGAYLAGMATAQDMAADLWSRGYHIVLGSADVSLFKKAAVDDVKANKKSVVAVSGV >A08p020470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13845062:13846270:-1 gene:A08p020470.1_BraROA transcript:A08p020470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMMCDLPHDLLGEKILAKVPITSLKAIRSTCKLWNVLSKDFIVGKTTSRQHEFLGFMTIRSKVCSLRFGLRGIHNQDALVDPSTKQVRLLDQVEITKIFHCDGLVLCVTKENSKLLVWNPYLGQTKWIETRKQLHKSDMYALGCNNNSKVPNYKILRLHCCYDYYNHGRLSGSEIYNSMSESWSVLDLIPDCEIDYYQRGVTLKGDTYFFARGTAPHEVGNDAGIIGLGVFMLCFDYTKERFGPHLTLPFTITDNRHEAVVLSCVREEQLAVLYEKSQSNILDFWVTNKIESNVVSWSKFLKVDLTIKQPRHPLVHRNHGSFFIDEEKKVAVVFDIGLNDSSRHYATTAFIVGEEGYFTSVNIGKEPTRSGSSHPPLVSSSYLPSLLQINQPHQRKETAV >A06p009170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3218337:3219404:1 gene:A06p009170.1_BraROA transcript:A06p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNRIGEQKKQMAELSKNLKEGERILEPTRRPDGTLRKPIRIRAGYVPQDEVVVYQSKGSLMKKELASLGPPGYEPDPTPKPKTKAAKKNERKKEKRLQAALQKGTSSEDGSASSNVDKEEAVPVVTPSNGSQSVNVLVSGLEALDVSSNKDVASLTSELGEAPNPGTAREDTEKRIRTLKKKIRLTEAQQQKTAPKDLKPEQLEKFSKLEEWRQELKALEDKEA >A10p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12751948:12752402:1 gene:A10p018190.1_BraROA transcript:A10p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYVNPKLISRPNITSACLYSLYLKRGSASGGREEGRAPSTAEEFTRQGVASQTVEKTYDRAEATTNVSSNSEAEMEKVRKEYQEKKESRDYLKKGSDDDDDDLPINTSKGT >A03p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14137294:14139227:1 gene:A03p033400.1_BraROA transcript:A03p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 17 [Source:Projected from Arabidopsis thaliana (AT4G00820) UniProtKB/TrEMBL;Acc:F4JHN2] MGKKSGSSSSSWLTAVKRAFRSPTKKEHNNNNINAHGNEAEEDDDKKREKRRWLFRKTTNHDSSAKTTGVVKDGSAPKPVETAAINTNASSSVSEQRNAAPPPHTTVSVVSELPPETAELPSISSRSYSARESYAAIIIQTCFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQSRVLDQRKRLSHDGTRKSAFSDTQSVLESRFLQDISDRRSMSREGSSIAEDWEERPQTIQEVKAMLQERRDNSLSKAFSQPIRRTGGSQSIGVDEEERPKWLDRWMSSKPWDKRASTDQRPPIYKTVEMDTSQPYLTRAHSRTGASPSRSQRPDTPSRTSHHYNHQQQHSFSSATPSPAKSRPIQIRSASPRIQRDDRSAYSYTSNTPSLRSNYSFTARSGYSVCTTTTLPNYMAITESAKARIRSHSAPRQRPLTPEKDRAGSARKRLSYPVLLQPPVDYGDSNSQSLRSPSLKSIGGSQLGALEQQSNYSSCYTESVGGGGEISPASTSDRRWLS >A10p013700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4578646:4581088:1 gene:A10p013700.1_BraROA transcript:A10p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTFTFQGRNDGDDADMADGGPVTVRVETGEGSNDADKNTDAKPADASAKKRTHSSTKMAKKLDTSSYGFMFQNLSHLTSEQTAGDFSMAGRASLDVAAIRLVDVPEKARKLLNLLEQSKDPRFHALPLASQRVAALEDTVNKLVYDILISKVRQRLGEVSRLPIWSSVEEQTAFALPNFSSYPQAYVTSQLEPLAEGISTNGDSNNEDAQFFATEWMFKVAEGATALYMEQLRGIQYISDRGAQQLCIDIEYLSNVLAALSMPIPPVLATFQTCVATPRDELKDVMKSDAGSELDFPTGISFD >A08p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19917154:19918204:1 gene:A08p033000.1_BraROA transcript:A08p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSSFSSLTLLLLFIFLLVLLCPSLSSSSSESEVRVLDRELLEIKTNPKLNKTSRKPKCCEMRTRSQCSGFPRCRWCRSEALDDSCFGKAEALRLPSQVFRSLLVVKWLTNWSRTKAKVSKDLVKTLFRLKNCLVLVAIAS >A10p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5751170:5751755:-1 gene:A10p010400.1_BraROA transcript:A10p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVLFENQFVSESYPSPVAKRLGVWSGNLHYHVVGRKHHQADSSDGFVDLCYWLINFCFVVVVLLWHGRYGYLCVLPTRFPLPVRLKLTSFGFKVSVDRWCCCVVDQWWIV >A08p041400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23359453:23361792:1 gene:A08p041400.1_BraROA transcript:A08p041400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G12600) UniProtKB/Swiss-Prot;Acc:Q9LDX3] MKNSEEQMRSLFGISLSDKPRWQQFLICSSGFFFGYLVNGVCEEYVYNRLKFSYGWYFTFVQGLVYIVMIYLNGFTTKQMVNPWKTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPVHEYISAMLLVIGLILFTLADAHTSPNFSIIGVMMISGALVMDAFLGNLQEAIFTLNPDTTQMEMLFCSTVVGLPFLVAPMILTGELFRAWTSCAQHPYVYGVLVFEAMATFVGQVSVLSLIALFGAATTAMITTARKAVTLLLSYLIFTKPLTEQHGTGLLLISMGIILKMVPDPNPNRNPKHSSSGQKPRKLELVKSDKEGDEESRPLV >A09p030920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18812917:18813431:1 gene:A09p030920.1_BraROA transcript:A09p030920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENVFLSDGSTHAKLVEITQEDYNLNMNIEVMDLTYSLSDEMMQHMAPNTPPIHVTSRTRIVGDANIDGNQDVSDEGEEDSNFPDAAEVDDEFADYSVYVKVKDEDEEEADNICFEGLTRHMLKT >A05p052940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30472265:30476849:-1 gene:A05p052940.1_BraROA transcript:A05p052940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENSIEKGLLLVNKEETSANTTPLLVFTTFIIVSASFTFGVALGYTAGTMSSIMEDLDLSIAQFSVFGSLLTFGGMIGAIFSAKSADAFGRKMTLWLAEGFCISGWFAIALAKNVIWLDLGRFFVGIGVGLISYVIPVYIAEITPKNVRGTFTFSNQLLQNCGLATVYYLGNFMAWRTIALIGIIPCLIQFFGLFLIPESPRWLAREGGDEECKVVLQKLRGKEANVMKETREIMICVDATANVSMGSLFKKKYTRQLTEYLITQAASIFELAGFPSRIGMTVLSLVVVPKAILGLILVERWGRRPLLMVSALGLCLSCIFLALSFGLKGVLGLSRYPFIIHSSFKGYFGLSCIFLVNRALIPIVGIVKSHVQLFIILLVRYCPLWALEVGPHGFAFSFHPKMPRSSDSISATHLSFLTHRISIHHSFIIQRVFRSFLQISRQPRSDTNCWDCQISCPTLYSLISTILSTLDLIGKPAWILLGVPGFVNFTPTMAFIGVLTFNMMFAAGLGALPWIIMSEIFPMDMKAVAGSLVAITNWFTGWIVSYCFNFMLIWSQSGTFSIFAMISGLTILFAWCLVPETRGLSLEEIQLPHANILS >A04p025720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15568566:15571109:1 gene:A04p025720.1_BraROA transcript:A04p025720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRSVGLIDSKGCSKLFPVSQSPPPSLPMSQPNGPFSSLVICVTEARTQVKEATVRLGGDSCGRKFEHTLKHGARNGLFVVTLGWFVDSVKRNLRMSESLYKVKQLGQNSEELTCVFDLEPVCRPRTIQQAVHSGKSTKPPHQVSSSGTESEDMTLSHHSIFVDSDISDELRLKVLKVAGDQGAKVIDSWFIGCNASLVVCEGGASVQKYLGHVNTIVSPLWVLKTVERQRQRLVHMSPDLARQLGLIMLENLEDVTEKEVLNITEEGNSQDVVPKIRSKSKQVRKETVNLAKTGVRRRRARHMQTCQNPIRRITQNNLLENICWTISEAAATATVFTDSSCTSSSGYITEPPQSSVAEEGKDPVASFSNSTRALTESEKTEVIFKDSFLTILYSADRFSEMGPSSRTYFSDNGFTCLQILDYIHTFYQENLPDNEIELAIHTDSRHADRLRTAYCNKEESDDGYMVFTRLELLGSRKSFEMLKRVNGENNSNVYELMIRA >A06p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23601125:23613019:-1 gene:A06p043860.1_BraROA transcript:A06p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLLLHGTLEVKIYRIDKLHQRARFNLRGKGDKEPTTGKKIQTQIKRLAASCTDLLGGHLYATIDLDRSRVARTMMRRHTKWFQSFHIYAAHSISKIIFTIKEDEPIGANLIGRAYLPATEVISGQPVDRWLDVLDQNKRPIQGGSKIHVRVKFTSVTQDVDWNKGIISSPPFKGVPNAFFNQREGCKVTLYQDAHVLGEYPDITVAGGQAIYIHHRCWEDIFDAIWDAKHLIYITGWSVYPDITLIRDPKRPRPGGNLKLGEVLKKKADENVTVLMLVWDDRTSNEAFKRDGLMMTHDQETYDYFKNTKVRCVLCPRNPDNGESIVQGFGVAAMFTHHQKTIVVDAEVDGLKTKRRIVSFLGGIDLCDGRYDTEEHPLFGTLNNVHSNDFHQPNFDGASIKKGGPREPWHDIHCRLDGPAAWDVLYNFEQRWMKQGSGRRYLVSMERFSEITVPPLPIVQPDDVEGWTVQVFRSIDNGAVEGFPEDPREASSVGLVTGKNNVIERSIQDAYINAIRRAKHFIYIENQYFLGSSFGWNSRDINLNEINALHLIPKEISLKIVSKIEAGERFSVYIVIPLWPEGKPGSASVQAILDWQRRTMEMMYTDIIIALRKKGLDANPRDYLTFFCLGNREVNKAGEYSPPEKPDANSDYARAQESRRFMIYVHSKMMIVDDEYVIIGSANINQRSMDGGRDSEIAMGAYQPNHLLATNQLRPRGQVFSFRISLWLEHLRIVTNTFQFPESEECIRMVNAKADELWGLYSAQVYPRDHDLPGHLLSYPISIGSNGEVTSLAGAEFFPDTNAKYRGCFGSCNKPPPLIVAVDEPSKGLRIQGRLVKKPSVSDDFWSTSTCDMDNNSTMQSQRSVSSISFTNNTATSASSSSNPNEFVNTGLNLWNQTRQQWLASGSSRTKAKVREPTISWNATYESLLGVNKRFSRPIPLPEMVDFLVDVWEQEGLKSYQNQIIAEKKEKRLGPGPSLERNNRGRNQQTHGSMGTRTPVGGDDEFFTGGGGSSMHLSPGSCPGVYLPARKRLRVTAAAAATSFYSSFEEKQASIQVLPDECLFEILRRLPSSGRERSACACVSKHWLSVLSSITRDESVEEVESEGFLSRSLEGNKATDLRLAAISVGTSARGGLGKLQIRGSGFESKVTDAGLGAVARGCASLKVLSLWNLPAVSDVGVSEIARSCPMIEKLDLSRCPGITDKGLVAIAENCKNLSDLTIDSCSGVGNEGLRAVARGCGNLRSISIKSCPRIGDQGVSFLLAQAGSYLTKVKLQMVNVTGLSLAVLGHYGAAVTDLVLHGLQGVNEKGFWVMGNAKGMKKLKSLSVTSCRGMTDVGLEAVGNGCADLKHVSLNKCLLVSGKGLVALAKSASSLESLKLEECHRINQFGFLGFLMNCGVKLKSFSMANCLGISEAPLPSSSTSCSSLRLLSIRHCPGFGDASLSFLGKLCHQLQDIELCGLNGVTDAGVFELVQSNSVGLVKVNLSGCINVSDNAVSAVSVCHGRTLESLNVDGCKNITDASLVAVAKNCYSVNDLDVSNTLVSDQGVKALASSPNHLNLQVLSIGGCSGVTDKSKACIQKLGRTLLGLNIQRCGRISSSTVDNLLEHLWRFEPVSTAVVVLKNMSLSSGDLVRVWSWPFLEYVVVPFIFPRVELLAMAV >A09g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8124162:8125816:-1 gene:A09g502380.1_BraROA transcript:A09g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRNPAENFRRSETLAVASFSLSPRRLSPPSLSLPRLFSLSLLAASPSLSLSAASLSPRREQPRVVVVAAWCHRSQILFLLPPILRSRSRSRLSKLPGKDGDKLPGEGGKKENAAASKNRGAGKIESRRVLAGKGRNTLQRRSVPEELGDGPTRAGDFTGSSKKRGGMVRLSCVADRLHRLSVVTRRFSFRIEPTISGNVKGKEGNAPETHGTRNGTHGDVGKIDMCVLKPAPWNPGQKWGRGGCFNWYQSQTAIVNVEGEFEQLKVSGQDQINQKVLVPQCSGVSEVQEWVTRSCKASIQWD >A09p070100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54434242:54435664:-1 gene:A09p070100.1_BraROA transcript:A09p070100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVMNRIYFSKSLSLTPPHLPFFHLSAAASSPNRAVHCMADDSPLSQPPNRSGDGSVSVPPPSPSASSAIDFLSLCSRLKTTPRAGWVKRDVKNPESIADHMYRMGLMALVSSDIPGVNRDKCMKMAIVHDIAEAIVGDITPSCGVSKEEKNRRESEALEHMCRLLGGGERAEEIAELWREYEANASPEAKVVKDFDKLEMILQALEYEQEQGTDLEEFFQSTAGKFQTDIGKAWALEIASRRRKPQ >A09g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11090695:11090986:-1 gene:A09g503510.1_BraROA transcript:A09g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GSTU15 [Source:Projected from Arabidopsis thaliana (AT1G59670) UniProtKB/TrEMBL;Acc:A0A178WLE0] MGERQEVKLLGTWYSPVVARAKIALRLKSKICSDPRVKSELLLKSNPVHKKVPVLIHNNKPILESLNIVEYIDETWNASGPSILPSHPHD >A10p030020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18224891:18226986:1 gene:A10p030020.1_BraROA transcript:A10p030020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKMEKSLYHRKLWEIKVRLLGDNSKAEKLRNSFASRSPTSLWMIRVVSVLLLWSCFVHLMALGDMWGPRLFNGWPSCFNQRGLSTALEMKSLPPKIALPPKRVYQNNGYLMVSCNGGLNQMRAAICDMVTVARYMNVTLIVPELDKTSFWNDPSEFKDIFDVDHFISSLRDEVRILKELPPRVKKRVELGMYHEMPPISWSNMSYYQNQILPLVKKHKVLHLNKTDSRLANNGLPVEVQKLRCRVNFNGLKFTPQIEELGRRVVNILREKGPFLVLHLRYEMDMLAFSGCSHGCNPEEEEELTRMRYAYPWWKEKVINSEEKRKEGLCPLTPEETALTLTALGIDRNVQIYIAAGEIYGGERRMKALTDAFPNVVRKETILESSDLDFCRNHSSQMAALDYLVAVESDIFVPTNDGNMARVVEGHRRFLGFKKTIQLNRKFLVKLIDEYTEGLLTWDVFSSMVKAFHSTRMGSPKRRLLIPNKPKEEDYFYSNPQECLQLLDEPLRVI >A02p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6957186:6959545:1 gene:A02p015750.1_BraROA transcript:A02p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSLFQSFYYSPSLDILQSHNFFLRHRSEFLFSTPTGESDKRKMSATQEEDKKPGDQGPAHINLKVKGQDGNEVFFRIKRATQLKKLMTAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELDMEEGDEIDAMLHQTGETRFSDHFRVLSLDNLAFSGNDDQRWICGSTRGYLLTVNVSFQFEVSLQNPFTNTVVSLPPLTSFEDVQRLIQFQATSQHSGALTLIKEFVKKVASSKSLLDSDYVVLIIYNTDGGKLAFCRRGDKQWTELESDHIDDIVFCNGVFLALDRIGDIYQCEFDPNNPKAVPLCTTSPFRYDPCKKCFAESDHGKLWVVLQKLDVSDEFDFTTYFEIYEFNSEKKEWTVVRSLRGKALFLSPQGRCLSVLAGETGTGGFIKDNSIYFIDESLSVFEWESKQSKKLYQSRFCNLMFWVTPEDVLQ >A07p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22444408:22446187:1 gene:A07p041620.1_BraROA transcript:A07p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTYFKSQPSWLLLLFALGSISILKFTLSLINSLYIYFLRPAKNLRRYGSWAIITGPTDGIGKAFAFQLARKGLNLVLVARNPDKLRDVSESIRSKHSNVEIKTVVMDFSGDIDDGVRRIKETIEGLEVGILINNAGMSYPYAKYFHEVDEELLGNLLKINVEGTTKVTQAVLGNMLKRKRGAIVNMGSGAAALIPSYPFYSVYAGAKTYVDQFTRCLHVEYKKSGIDVQCQVPLYVATKMTSIRRASFLVASPEGYAKAALRFVGYEARCTPYWPHALMGYVVSALPESVFESFNIKRCLQIRKKGMLKDSKKKE >A02p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18535852:18536641:1 gene:A02p034180.1_BraROA transcript:A02p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFHQRDEHDTDTRKSETKRNKNMKRLGGGGLSLQTFANLKSKNSHYNPAVIKKQKEFYKNAKHVSKFRKSLKQQNSHEKDEMKMQSFHEEDETGETSSKVVNKGKSHKRIGVEEVYKQTREEMEKARREREEAFQAKKQAKEEAESRRKAAKGKMMRKTRHGQPVMKYRIEHLLESIKKSAENDGKNHMTSSC >A02p045730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35913938:35920246:-1 gene:A02p045730.1_BraROA transcript:A02p045730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKYTKSLGELSRAFHRTPFGEIDKKSTRTQEHNNQNPRDELLQRVFVYFSIDSKIKDPLLWCRSGKSLQGRFLVSPGERSRATLVCRSGSGATLVGRSERSLQGHLRLFGVMRTRATSWRRFRKVALRSGTQRLRDVAPGGRSHARSLRNRDFLQQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLVGRSERSLQGHLRLFGVMRTRATSWRRFRKVALRSGTQRLRDVAPGGRSHARFVQWSPFHLF >A07p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21385549:21388499:1 gene:A07p040250.1_BraROA transcript:A07p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVIWKSIKDKLITPFVELDIKYFDLGLPHRDATDDKVTVESAEATKKYNVAIKCATITPDEGRVTEFGLKQMWRSPNGTIRNILNGTVFREPILCKNVPKLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLTMTFEGKDGKTETEVFTFTGEGGVAMAMYNTDESIRAFAEASMNTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEASWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNLKLLEFTEKLEAACVGTVESGKMTKDLALIIHGSKLSRDTYLNTEEFIDAVADELKTRLGVKA >A04p038670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21993083:21994883:1 gene:A04p038670.1_BraROA transcript:A04p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable peroxidase 26 [Source:Projected from Arabidopsis thaliana (AT2G43480) UniProtKB/Swiss-Prot;Acc:O22862] MVMKKIFLAVVAIGTLSLFPGTAEAAVMGPSMQKLTWHFYKVYNTCENAENFVRHQVEILYKNDTTIAPKLLRLLYSDCFVTGCDASVLLEGPNSERNAPQNRGLGAFVIIDKIKKVLEQRCPGIVSCADILNLAARDAVHLAGAPSYPVFTGRRDGLTSDKQTVDLPSPSISWDASMAYFKSRGLNVLDMATLLGSHSMGRTHCSYIVDRLYNFNKTGKPSPTMNKTFLSEMANKCPPRTKKGQTDPLVYLNPDSGSNHSFTSSFYTRVLANKSVLEVDQQLLYNEDTKQISEEFSASFEDFRKSFALSMSKMGSINVLTKNEGEIRRDCRRRN >A05p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19232015:19235140:-1 gene:A05p034200.1_BraROA transcript:A05p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKDKGESWKDMVRKMLPPGAPFPENSSEFDFSIAMEYTGPTPLHSIPRVSPLDVNPHPIPLPVSRIAGGVTTSPGQSPRGSPASSESVVSVLHNNPESSSGSASVSPVSARRPNGDHVRRPVVKFKPVESKEENNVERDTDRERKVQECTKKRKKKKKKKRECYRCGKAKWEKKETCIVCDEKYCGNCVLRAMGSMPEGRKCVSCIGQAIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECAANQLRPEQLIVNGCALKPEEMAELLNCPLPPEKLKPGSYWYDKESGLWGKEGEKPDRVISSNLNFTGKLCPHASNGNTEVYMNGREITKLELRILKIANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFMCALVSLPFPHGQPRGMVQPPSTHVTVPNYIEHKKIQKLLLLGIEGSGTSTIFKQAKFLYGNKFSVEELQEIKLMVQSNMYRYLSILLDGRERFEEEALSHMRGLNAVEGDSGGEEANEDGTVNTPQCVYTLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQATYKRKDELHFLPDVSEYFLSRVQTELVFMAMEVSSNEYEPSERDIVYAEGVTQGNGLAFMEFSLTEQSPLSDTYPENPDVLSPPQPKYQLIRVNAKGMNDSCKWVEMFEDVRAVIFCISLSDYDQISITPEGSGTVTFQNKMIQSKELFESMVKHPSFKDTPFILILNKYDLFEEKLNRSPLTSCEWFSEFCPVRTNNNVQSLGYQAYFYVAMKFKLLYASLTGQKLFVWQGKARDRANVDEGFKYVREVLKWEEEKEESYLNGGEDSYYSTDMSSSPYLRPEE >A02g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22297055:22297622:1 gene:A02g508050.1_BraROA transcript:A02g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYVVLELHLIGLGQSLVVFLVVGLPLIIPARLGFQDLIFVSFSFFVLSSRCIFHRWLRLSLVALHFTMFSTPGLDSAWKIISCLKLELCFFVVGFNSRPPLCCFSSFPAFLGICVWSLSLGVLVSSIQSLVVLHWHGLLVL >A06p043210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23337587:23339761:-1 gene:A06p043210.1_BraROA transcript:A06p043210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMWWGEEAAKGAKIAVWWDMKECPIPEGYDAGRIRPSLEAAFKERGYSGPVSSITAYGDQTQTPVHILQALLSTGVSVAHTSSESTSYILYRDIVEWRGQNPPPATMMIISNQVGGDLSWDLARLQQRSQYNLFLAYSKAPCVLSVLSTSSRWLWEKLLGDNNNNRIETRSVQYKLSAMILCCKSCNFDCQSPEKFRKHLSSYKHARQESVYPTYKEVNRVTETWGRNYAAAPEYATAKILVWWNMFDCPIPEGYDARRVRPSLEEAFKKLGYSGPVSITAYGDLNHTPEHLLRGLSSTGVSLAHTILDVTYKRMYSDLLDGQKSNPTPTNIMVISDTDTHQAFSTPLVRLVQKQKHNLFLAYSSRPYKMFVLLPSAEWLWHSLLEVSEKRKHVLQKCSSESESDRGGESSAMLYCKVCCGGQGPDYKSLDNLRTHLSSEEHAQEEYSITASVQLKMKNRNLSLLAHKAFRTGFLLLDNHLRRLRARKSQSCRRRGSRRRAQKSPTV >A10p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12814915:12818742:-1 gene:A10p018310.1_BraROA transcript:A10p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-acetate O-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G55250) UniProtKB/Swiss-Prot;Acc:Q9FLN8] MGSKGDNVAVCNMKLERLLSMKGGKGQDSYANNSQAQAMHARSMLHLLEETLDNVHLNSSANPSPFTAVDLGCSSGANTIHIIDFIVKHISKRFDTAGIDPPEFTAFFSDLPNNDFNTLFQLLPPLVSNSCMEECLAADGNRSYFVAGVPGSFYRRLFPARTIDIFHSAFSLHWLSQVPESVTDRRSAAYNRGRVFIHGAGEKIATAYKRQFQADLAEFLRARAAEVKRGGAMFLVCLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVSEGLVAVEKRDGFNIPVYAPSLQDFKEVVEADGSFTIEKLVVYKGGSPLVVSEPDDASEVGRAFASSCRSVAGVLVEAHIGEELSNELFSRVERRATSHAKDVLVNLQFFHIVASLSFT >A07p044780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24354448:24361274:-1 gene:A07p044780.1_BraROA transcript:A07p044780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRKALLIRRRRASESASNGRNRLYKVSLSLVFLIWGLLLLSTLWISHGNGDPQDASANETAGHVDAPSLASAFVQSTLDLASAERIKDVHIISKQVDVNNTVAANVTEGKDSDFVKQSEMTNNDSGLVNASKLDHLSRAVPFGLDEFKSRPSNSTDKSLSSQVSGVTHRMEPGGKEYNYAAASKGAKVLSCNKEAKGATSIISRDKDKYLRNPCSTEEKFVVLELSEETLVNTIKIANFEHYSSNLKDFEILGTLVYPTDAWVHLGNFTALNMKNEQNFTLGDPQWVRYLKLSFLSHYGSEFYCTLSLLEVYGVDAVERMLEDLISIQDKNIARPQEEQKEKKESDDDMSKQKEKEEETSPESAVEVSNERKKLPDVVEEIKHHQPGSRMPGDTVLKILMQKIRSLDLSLSVLESYLEEMSSRYGKIFKEMDQEASKREEEVAKMWLEVDRMKESEERIKEEAMEMREWRSRVEIEIEKGEKEKEKVKERLELVLERMEWMEKKGVAVFTICVGFGAIAVVAVLLGKGIGRAEMTGSLAWLMLLISSTFLMFVRERDSTGLVCGFGSLAGAKATLRMFKKAVEAKSHQRLSGADRKKLRRTVQNRFSLLTDELLDAILPPKVEITVSKFQNRVLVYSIEGGCPMFFDIDGRGNEILPTVFALWEAPEMLPSFMLKGGEVSRYVLGGADLMFPGILIPPEGFPSCSAGEIWSVKVPGNAAPIAVGFTTMSSAEGLKAGLRGKALRIAHYYRDFLWESAEGHYVPNSGFWEDVVMEDPAFLASGSGEEISDASAGQQTSTGNEEDESALETASTSATDAKNGTEEEQMVGSMNEMNLGDDISANEANTEKQNPLSTEEVDALLDQCLLQALHTTLKEKDLPIPGSTLWANHVLPCRPPGITLDIKKSSHKKLSKWLQSKASAGMITVKEDKHKKEIVLISVNRRHPDYSSFKPEKKKAEVSESSVASSTAKPQSEKMLEIIEVYKPSIHNSAIFASVGEDKGKLYTASEASDVVFKYIEKENLVKPTNKSMVVLDPILCDALFKGAIKKGSAYPSEIHKKDVGSTFIGRMQPHQVVMRGGCEPVVRKGGIKPVQIMTERRQGNKKVTKVSGIETFLMDPDSFGSELQKKFACSTSVNELPGKKGYEVLIQGGVIEDVARYMVEHYGVPKRYIEVLDKTRK >A08p039360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22645908:22649828:1 gene:A08p039360.1_BraROA transcript:A08p039360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGDGAASSGGGGGPPPPLEWRFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDNKDNGVSRRDAEQIDYTVRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQPASGALFLLSTNDKTIKYWKVQEKKIKKISEMNIDPSKTPGQPSSSSPPPVVANGVHADPAHDYLSKFSFPPGGLPSLRLPVVTSLETSLVARCRRVYAHAHDYHINSISNSSDGETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPIHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYRFLIACLLVNSNTILSYIRDEEMTKLIVLETSNLFRVFGASQGSTEAATLEASKNPMRRQIQTPARPSRTISSMTRMVRRGSESPGAEANGNGYDFTNKLLHMAWHPTENSIACAAANSLYMYYA >A10g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4816085:4819392:1 gene:A10g501750.1_BraROA transcript:A10g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAVELQVLESNSVAVAITREVNQHLISRLVVGSSSHVGLFRNRDVTAKIPAYVSDLCTVYVVSKGVYILTKDKLSSDGEINETILRDIGSERIDTSSYSSSLGHISDATLKSKSLAMSNHKRLEHLPTIVRGVSVHMETSSVDSYGTTSMSSGAAEKASSSLETSRSISWNITQSEDYFTGKQDTLDKITKLRDEHGHAQEMHALTQLETLNASLKLDEFEFEELQLKEHATKALKEKETQKFEPRRREEREVAQKREAKAKEQLKESSLVARKLQYQEFTWEKIKTATSSFSEDLNIGKGAYGDVYKCNLRHTVAAVKVLHSPESNLSKQFDQEIEILSKIRHPHLVLLLGACPEHGALVYEYMENGSLEDRLYQVNKSQPIPWFVRFRIAWEVASALIFLHKSKPTPIIHRDLKPANILLDQNFVSKVGDVGISTMLQVDPLLTQFTMYKQTSPVGTLCYIDPEYQRSGMLSFKSDVYAFGMIILQLLTALPAIALTYKVETAMGKNDEFIQILDKKAGDWPMEETRKLAALALSCTEIRAKERPDLETHILPALESFKNVAVKSRNLISSAPNQPPSHFLCPLLKDVMSEPCVAADGYTYDRRAIEEWMQDHRTSPVTNLPLQNINLLPNHSVYEAIVEWGRNNQ >A09p052770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46035477:46037891:-1 gene:A09p052770.1_BraROA transcript:A09p052770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSASKVIKQMGFCGISPDVFPHKQTSRLIRRMPGVIKKEAEEAGTCYVSRRMRQEKRQELITLEKYEWKRQLVVEQDESTSGGSHSRMWSSVMFQTVFSGLLQSRRVKESQASVSRFKLNMLWSDGVKGVQLVVRDVKRISAVLVWFLHRKHGVKRRRGRVTTGIYMDQEEQFKVELFESITKLNKFREFSKSSYKEINSKCCWYCHKRVQKGLSYWKMEFLVTSGTGRGVCIPRFGKVRRLAKHRFFATAKVSQELSQFPGYGKSKGRSMEKFGVTQVCGVLGKET >A02g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10525687:10530699:-1 gene:A02g503170.1_BraROA transcript:A02g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRDNRRETSVANQGRREEPYSHRRDNTHARDLRRTLSRNPSGHYPERTSQSHSSSRYPPPARNSNAQWVDSGRRLPPPAPLRSPKRPSTSREKGRTPGFSSPPGGTNDDPLPSTRERHNSSQPMQQTISPEDILKAQHELREFMNQYTNCADPTESAARKERFRLSEEQGEFEQAAYNMALSNLTNRDIHISSPAPRTSALERIELSAHIEDSVPTNDQRPSALDRLGPSSLQLVPVDPVMPTTAPLKKRLGRPPGTKSQTAPKLGVTTAIRKPRRQTVPDCSRTARSHSRSSTILKGVSLTQLGAWIIWNIWITRNQKIFQTRSFSPQETILKALTNAKEWQDAQRSEAISKKSHPPPQFIAPVDAIVCRSDAAWKVVTPIAGVAWSFYRANGEIITSHSKSISFVISSLVAEGLALREAMEHTWSLGLTNMIFESDSKQLVSAVEGESNFSDLHGIVSDIISLANSFDSVSFKFRNRINFALEDSLAKQALGLVVPTTFN >A09g500780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3006140:3006352:-1 gene:A09g500780.1_BraROA transcript:A09g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPEEWLAFESYMEDIKLLKRSFNNSNIVHVPRVENLRADSLARSARKQPSFVVHMDMELPIWFTESS >A10p002180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1137379:1138566:1 gene:A10p002180.1_BraROA transcript:A10p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSQLIWCLMFILQLSFFADAMLDPVDFLALQAIRKSLHDLPGSNFFHSWDFTSDPCGFAGVYCDGDKVISLNLGDPRAGSPGLSGRIDPAIGKLSALTELSIVPGRIMGALPATISQLKDLRFLAISRNFISGEIPASLGEVRRLRTLDLSYNQLTGTISPAIGSLPELSNLILCHNHLTGSIPPFLSQSLTRIDLKRNSLTGSISPASLPPSLKYLSLAWNQLTGPVDRVLIRLNQLNYLDLSLNRLTGTIPGRVFAFPITNLQLQRNFFYGSIQPANQVTIPTVDLSYNRFSGGISPLLSSVENLYLNSNRFTGEVPASFVDRLLSASIQTLYLQHNFLTGIQISPAAEIPVSSSLCLQYNCMVPPLQTPCPLKAGPQKTRPTTQCNEWRG >A09g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6179378:6179970:-1 gene:A09g501680.1_BraROA transcript:A09g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSRAAQRGSNDRPKPVTEASQAPKGAQTFDLSRLGNAFTYEQLLKATEEFNDANLIKRGHSGSLFRAGHKRLVPFLGHCLENENQKVLVYKYMRNGDLASALFRKSNNECDGLKSWDWITRLKIALVAAEALRD >A03p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14739715:14741579:-1 gene:A03p035070.1_BraROA transcript:A03p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKSFQLITGLKKHLVDSIFPRATASAPFTSRSYSSAYDKNVEDELQASAVPDDVIKPESEKYWSPHPQTGVFGPSTTEHSPSGEAARQDSAVLEETAWFRPTSLEDSDKSHHV >A09g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10673827:10675370:-1 gene:A09g503370.1_BraROA transcript:A09g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRSHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTVIKQWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPALKDKDDRISVFETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8716750:8718215:-1 gene:A04g504210.1_BraROA transcript:A04g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNIGQLDENLILKILSSVPIKTVVSTSVLSKEWQSRWKSVPNLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSCEHSVKFFTIKVPSLQILRIYDDNDEDEFVGYVIDTPSLKYLEIGYLGCPQFSLNAPGLVAVYIGRVSNVISESLVSVRRLVLNVSTSMIYTHEPGWYDLLTWMLEHSPKLQVLKLAGKYLINPDYHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRCRTRKKLDGVLKASNTCHLVFKFE >A10p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4961547:4967013:1 gene:A10p009390.1_BraROA transcript:A10p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH:adrenodoxin oxidoreductase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32360) UniProtKB/Swiss-Prot;Acc:Q8W3L1] MFSSTNNSVSISVSDEESNENRIRARTRRKRKKPGHRTTFELPRYFLRLFVRYWIVLVFLLAVGLLLFESTRIITKSAKFKKSPDSIPNKKSEGNLNRLDPTTKVIGGVRQRCLKLLPPEELEHLDILERKDSSSPVKKLVYLTGTDSSSPVRVNGTTRFNLFTGNQTFAERENSFQVSETVSVHCGFFNENGGFRIKDEDNKFMQSCRVVVSTCAFGGGDNLYQPIGMSKASTQKVCYVAFWDDVTLATQEAEDQRIGENGYIGKWRIVVVKDLPFVDQRLNGKIPKMLSHRLFPEAKYSIWVDSKSQFRRDPLGVLDALLWRTNSVLAISEHGARSSVYDEAKAVVKKHKATPEEVEVQINQYRHDKLPEDKRFNGKKALCEASVIVREHTPSTNLFMCLWFNEVVRYTSRDQLSFPYVFWRLKGLKKVNMFPVCTRKDLVNSMGHVRKAKPLSMSKFLARRLVTRCFSSRPLHVCIVGSGPAGFYTADKLLKAHEGARIDIIDRLPTPYGLVRSGVAPDHPETKIAINQFSRVAQHERCAFYGNVKLGSDLSLSELRDLYHVVVLAYGAESDKNLGIPGESLGGVFSAREFVWWYNGHPDYSSLKPDLQSSDTAVILGQGNVALDVARILLRPTTELASTDIATHALSALEESSIRKVYLVGRRGPVQAALTAKELREILGIKNLHIRIKETDLSVTPADEEEMKSSRARKRIYELLSKAAAAAKGTSEGDCGQRELHFVFFRQPERFLESDESKGHVSGVNLEKTILESVGSGKQIAVGTGEFEDINCSMVLKAIGYKSVPVNGLPFDHKKGIVPNVRGRVVSGDISQTEPGLYVCGWLKRGPVGIIATNLYCAEETVGSIRQDIEEGGVGKSEKEGSKGLMLLLDKKEVKKVDFSGWEKIDAKEKQTGIERNKPREKIVTWEDLLAAASADS >A06g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8086602:8089506:1 gene:A06g502370.1_BraROA transcript:A06g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGIDMDEGALEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSMRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSQDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATS >A09g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26710364:26711860:-1 gene:A09g509310.1_BraROA transcript:A09g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEATSPAPIPTSPAEAPACVAGHLSFREKLVRRQAEKELAQTGSKLPSSSAQVVAPCHGIVVAAPLPQVLPARSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLAPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISELSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVLRLQRQIKSDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFNCEMFYSRPVSRVLPRDVNSAGVLKVSNVNIEAWFKNLLSFDIMPLRC >A06p033700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18133293:18159657:1 gene:A06p033700.1_BraROA transcript:A06p033700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/Threonine-kinase ATM-like protein [Source:Projected from Arabidopsis thaliana (AT3G48190) UniProtKB/TrEMBL;Acc:A0A1I9LQ79] MITSRDVHEVVWKLSSDKAKTREDGVKLLNTWLEGDMSINFCSFLSHNTAKLKLDQIPNAETWPFLVKNLLQCVSMEVSGSKRRIPKPTFAKALRVVIQRAEETKFPGVLSPLLSMAKTIFTHVHDILSNTPSFHSEYGIILRHLLEIKEYRFQMRKRTYSNLVMLYLERAEAGFWEKNSGQHSQKEEAFRCILTLQSLLENPPGDFSDDIRKEIVNGLIHIFSSARDEEKLSRKLIECVNSFLLKDGPNIGSLSLEIHNAVQQFVFRCWLTTHDKNLKEILAFYGRLQLNLTRGSSESSSLLEQLLNVVTRELDLGSSSSSASWGDSTKDGTLSSYQNSLVELAAHVLYRAVVNTTRSSLSEKRARRQHITLRLVEALTEGKWLWCAAFGCLIRTHCTRINKDLLIYWFEAICTNFQRLVEDASMRRSYDGLLWTLRSLQELSSGLLLPTATIDISKSTVSSSELDRGWQLIWSSLIHGLATFSSMTVIVDAVLVLLGSIISNNHINVGILPQEVWDHQLFRHIPSEPALYFIACYFSRMGCQGNLQDDLHLRRNLLRAVCGPLSLKGPLALNERMVRLLPAAALALCAGFTTTLPLPKEHLPTPPSWDACEVVNDVKMDDAEQERKFGLFECSVEVLTRVYSNSIKISSYRVPDGVQLPLVLRDPLLNDMEIYFLSIIPEDSEKGPLSDIFMGCSLLCHFMHGSYTTRKGKGSTSFFLKACQYLLESLDYAVEAVLKSLYDFQRLGPLGFGSDFNEKSSIIVSLRSLTSSPVFSNRGDQNLLATSYDTVFHSLEDLLRSFAKVYGEYTEHSWNTKSDTVTSKSLALDPPEVGRIVDMDLDLDVDTKEIDLITAGGKAVAGGPVSTGNWKLGMISLISCFSPVLQLPTWDVLYSIMEKECDPKVLENILYHLCQLSCLTSMPKVYDLVIFLDDMLNKQVKNKRNCLNIVTALHVLLQNFLSSGMDSSGLKPNSELSHLKEGESCQIFVQLGAMVNKVSERGLLGWFGRVRLISCICNFVLLNPQIGQTMIERLLLMLNDSDYRVRFVLARQIGLLFQTWDGHEALFQDICSSFGIILVTSSKEKLVTARDVLAAGPQPRPKMETVIITLMHLAYHSENIELQAVFMMCAVSAIDPCQRELIIAALDNLSAQLHYPSRFKYLEELLGPILFFWIACGVSLAALVETSQLFILNAEPKYFIHFCSHWLLPALLLHEDNTNLDWVAKMASKPVSVLVKENFVPIFSIGMGLHCSKTSECEKGALVLQNSILYVGQITETERDKLIKRNMVSIVSFVLSRASSSPEPPVPAFSRDTISRAIQTIVDGFLETADYPKNAAVIDNINVFRPDRVFMFITEIHYKMSAACHHRHTRHHLAALEELTIILGHRALVPSSLNYIFNLVGQFISSPSLQDQCCSIASCLLDSFRSNPAKEIVSVLGDQLQFLVSKLVTCCIDAEANSKVSGSKSSQLVNLLHKLIANSESSLHEDIRDLEPLPDMEIFRVIRESHIRRCEGYSPRNHLLKCARRSCYLPPRFLSWSLQALHHKLIATEASQGESNLETGDSFWHSDDEIVNAVWTLVRVSASDEADSMRLLASDFLSRVGIGDPHTVVFHLPGELGSMNDLQFVSHNKGSKVSSFTENGVSDETLISLLKILKKYLLDDSVKIIDVTSQTLRVHGRGVNLDTVEKILLDSEKQYKAENFSLEKAEVWSTDNKNFDGWICQLVYCTISLCEDVPIRLCQNIAMLKAEISELLFPSVIVSLAGRVGTDINLHELITSQVKEHIFVDSNKLTKSKQVMLNTLNELRMCYVLERSTFSGQTKREKNTKHSNYSSRSSSSAAKIRDVETANGMAASITANWDKVYWLSIDYLVAARSAVVCGAYLTAAMYVEYWCEEKFGSLSLGDPDFSYHDMLPDHVEILVSAITRINEPDSLYGVIHSNKLSAQITTFEHEGNWSRALEYYDLQARSQKMVVPGSLPENQGVEHFQPTTSAQHSVFGEGEVQRQPFKGLIRSLQQTGCMHVLDLYCRGLTSREGSFQYDPEFIELQYEAAWRAGKWDFSLLYPQTHSPPMQHVKNNNYHENLHGCLRALHEGDCNGFHGKLKDAKKELVLSISRASEESTEFIYSAVVKLQILYHLGLVWDLRWTTSSHESMHGYPVKQMACADPVTPTMEQFSWLNKDWISITTQTQFHMNLLEPLVAFRRVLLQILGCEQCTMQHLLQSASLLRKGSKFSHAAASLHEFKFLCAKSDGGQPVPDWLGRIEEAKILHAQGQHEVAISLANYILQNYQLKEEASDIYRVIGKWLAETRSSNSRTILDKYLKPAVSLAKNPSSEISKRLVDRQSQTWFHLAHYADALFKNYEERLSSSEWQAAMRLRKHKGEQSDYSLKIQELQKQLTMDKEEAEKLQVDRDNFLKLALEGYQRCLQIGDKYDVRVVFRQVSMWFNLTSQKDVIDNMLSTISEVQSYKFVPLVYQIASRLGSSRDESGSNSFQSALVSLLRKMAIDHPYHTILQLLALANGDRIKDNQRSRNSFVVDIDKKVAAEHLLQDVSQHHGPMIRQMKQLVDIYIKLAELETRREDTNKRVALPREIRSVKQLELVPVVTATIPVDRSCQYNEGSFPSFRGLSDSVTVMNGINAPKVVECFGSDGRKYKQLAKSGNDDLRQDAVVPFTPSAGVLEWVDGTIPLGDYLIGSSRAGGAHGRYGIGNWNYSKCREHMSSAKDKRKAFMDVCTNFRPVMHYFFLEKFLHPADWKLSEKVEYISEAAILIGVLTFVGYIVGLGDRHAMNILIDQATAEVIHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIVEVFIHDPLYKWALSPLKALQRQKETEDYDGVNLEGLQEEFEGNKDAARALMRVKQKLDGYEGGEMRSIHGQAQQLIQDAIDTDRLSHMFPGWGAWM >A05p054960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32478583:32487073:1 gene:A05p054960.1_BraROA transcript:A05p054960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDDVSSMRTTTLVAPTRPTITVPQRPPAIETAAYYFGGGDGLSLSPGPLSFVSSLFVDSFPDVLTPDNQRTTSFSQLLAGAMSVSPGSGRSTAGMIAGGGPLFTIPSGLSPSSLLTSPMFFPPQQSPTQTVFIQPQSQPKRPDSFPNQMPPSTSTAVHGRRSCKAPQADRYNNPAANNNNTNRSYNVVNVDKPADDGYNWRKYGQKPIKGCEYPRSYYRCTHVNCPVKKKVERSSDGQITQIIYKGQHDHERPQNRRGGGGGFKLHAFCDIFNGLIKSYYKCTTANCTVRKHVERASTDVKAVITTYEGKHNHDVPAARNGTAAISAIAGTSDHHRMRSLSGNNVQQHMSFDNTGQSPVLLRLKEEKLMQLGIVLPALDPFTRPGSILTPPGNFLTISSSIMGCFNSKHSSPPPRPIRRRSDPAANRGHPQNTHKPHVLPTPPSHRRVVNSSPKKHRNNDDDAPRSKTTGVSLRSGLAHGNVEAEQVAAGWPSWLTSAAPEAVHGLVPLRAEDFEKREKIGQGTYSNVFRACEVSTGRVMALKKIRVQNFDTENIRFIAREIMILRRLDHPNIMKLEGIIASRNSNSMYFVFDYMEHDLEGLCSSPDINFTEAQIKCYMQQLLLAVEHCHLRGIMHRDIKAANILVNNKGVLKLADFGLANIVTPRNKNQLTSRVVTLWYRAPELLMGSTSYSVSVDLWSVGCVFAEILTGRPPLKGRTEIEQLHKIYKLCGSPDEELWRNNKLHPQTKMFGQQHQYEGCLRETFEEFPKTAVNLLENLFSIDPEKRGTASSALMSEYFNTKPYACDPSTLPKYPPNKEMDAKYREELQRRRRATIKKRDNLVSKKTGKSRRTIKDPLSKLPTQQETKKEAETELIVQTPSETSQATTRSEFPYTALSQATAPASGFAWAGAKKRKENDAASTLTYNQPAGSASHVSGMSMAFAKNTFGLTINENRPFLRPHVSLDSSGDILLYPNAHHKKEEDNMSQLNSDLRNVGANPKIFQTNGMNEILRRTESDARVAVRRPPRIERG >A03p030060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12613688:12615166:-1 gene:A03p030060.1_BraROA transcript:A03p030060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSLASPLATLGPAKCFRHSAGDPVTCTSVLPLRLNLSYHGVAGGVRASRGPRNKWIVVCSPTQVETSGDEPKTWEECKEALSRFDFSVEEQDKILGKAFGHIHSPYWTEERVKENPKVEALNHVLEFLRSLGLSDEDLHKVLKKFPEVLGCSLEEEMKPNIGILENQWGITGKSLRSLLLRNPKVLGYNVDYTWIFNNQISSKKDAAWDKSRKRAGLAWNFTGNTLARPIEGSFTQENVSSPLIAAEALAVLAALNTAMDLDITAINVFSDNTTLIGEINGKHQRKELIEIICDQRRSWTEAEEAGASGLHSIIKYIDHKIHIFFNSSSG >A03p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6216248:6218042:-1 gene:A03p015720.1_BraROA transcript:A03p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADSGLMISYMHNCPPDFQQIEDLFKSYKLSDDEMNNAFAKSTNITDYDLGEEGDLFKAPDPILEDPIVAVDPLSAALTMISCGEDSSQGLCELPDLGSLQSGQELLDKAFYECEQDLIMKSAMEPPFSDVLDVKNISLITNESQDLQKSVSSENLSSMGWSQNQEALVVQNFPDYSQLDFGYVNGMRRAFSEGDIQKLGTGHFQCPLDMIIVSCTSDDRREKLSRYRNKKSRRNFGRKIKYACRKALADSQPRIRGRFAKTEERK >A05g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13248098:13257617:1 gene:A05g504750.1_BraROA transcript:A05g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVVRNHTQDVRGCPSAHTGHPWLSVCVSVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDSSGDFGPRGLSVQYTQDVCGCPSAHRGRLCVSVSTHRTSVVVRVCLWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDISGCPPAHTGRLTHKTSVAVHQYTYQHVGPWTQHAGPSRGLFGRFWPTWLSVQNNTGRLWVSVSTQRTFVVSVSTHRTSVVVGLSMCVRVCLWLSISTHISKFVHTDVVGVQHTQDVRVCPSGTQDVVVSVCVSSCGQQTQDVVAVLQDTYSARWSLDSAADPPWTVRVILARVGCRFQYNGRPWVSAAAPQDRPWLSVLCVCVRLCPSAQTERLLLLHRYPYQHAGPWTSARWPFPWTVWVILAPLGCLFSTHRTSVGVRQPHKAVAGAASNTQDFRAVWGWPSATHRTFVAVRVCPWVSVSTHRTSMAVPSDTYQHAGPWTQHAGPSRGLFGTSVGVRQHTQDIHGCQCVSVCVRQHTQDVCGCPSVHISARWSLDSARWPFPWTVRHTQDVRGCPCVSVAVHQYTYQQVGPWTQHADPSRGLFGTHKTSVAVHQYTYQHVGPWTQHAGPSRGLFGRFWPTWAICSEHTGRLWVSVSTQRTFVCVRQHTQDVRGCPWLSMCVRVCLWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPCVSVSTHRMSVAVRVCPCVGQHTQDVCGCPSGHISGLHWTSVGVRQHTQDVRGSLCVCVCVRLCPSAHTGHPWLSISTHISTLVPGLSRLALPVDFLGDFGPRGLSVQFTQDVRGCPSAHTGRCLFSTHRTSVGVRQHTDDVRVCPSAHTGRPWLSVCVRVCPCVSISTHRKSVAVHQYTYQHYTHDVRGCPPAHTGRPWLSVCVRLCPSAHTGRPRLSISTPISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGRLWLSVSTHGTSVGVCQHTQDVRGCPCVSVCVRQHTHDVRGCSSVHISAHWSLDSARWPFPWTVRVILAHVGCQFSTHRTSVGVRNHTQDVRGCPSAHTGHPWLSVCVSVCPSAHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPSAHRGCLCVSVSTHRTSVVVRVCLWLSISTHISKLVLGLSTLTLPVDFLGDFGPRGLSVQYIQDVHGCPPAHTGTFLLSRQHTQDVHMLPVWVCVCSVSTHRTSVLSSTHISTLVLGLSTLALSRGLFGTHRTSWFVRGCPCVSRVCPWLSISTHISKFVLGLSTLTLPVTVWVDFGPRGLSVQYHRTSVGVPPAHTGRPCVCPSAHTDVRGCPCVSVCRSAHTGRPWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVQFTQDVRGCPSAHTGRPWLSVCVHVCLSTHTGRCLFSTHRASVGVRQHTHDVRVCPSAHTGRPWLSVCVRVCPSAHTGSLWLSISTHISTLVLGLSTLTLPVDCSGDFWPTWAVCSVHTGRSWLSASTHRTSVAVRVCPSVSVSTHRTSVAVHQYTYQHVGPWTLHAGPSRGTVFWVIFGPRGLSVQYTHDVRGCPPAQQDVRGCPSAHTGPHKGRSWLSISTHITTVVLGLNTLTLPVDCSGDFGPRGLSVQYTHDVCGCPPAHIRRLLLSECVRLCPSAHTSRPWLSISTHISTLVLGLSMLALPLDCLGDFGPRGLSVQYTHDDVRCCPGVSVSTHRTSVGVRQHTKDVRVFPPAHTGLPWLTLAFPVDSLGDFGPRGLSVQYTQDVCGCPPAHTGRLWQSVAVRQHTQDVCSCLCVSVCVRQHKQDVHGCPSVHISARWPFSWTVRVILAHVCCLFSTHRTSVGVRQHTQNICACPSAHTGRSWLSVCFRVCPSAHTGRPWLSISTHITTLVLGLSMLTLPVDCSGDFGPRGLSVQYTQVVRGCPPAHTGRPWLSVCVRVCPYVSVSTHRTFVAVCVCPCVSVSTHRMSVAVHQYTYQHVGLWTHNAGLSRGLFGTHRTFVGVRQHTQDVRVCLSAHTGRPWLSVCVCGCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCSGDFSHVGCLFRTHRTSVGVRQHTEDVCVCPPAHTGRPWLSVCVCVCPSAHKERPWLSITTHITTLVLGLMTSVGIRQHTQDVCGSLWLYVSTHRTSVAVRVCPSAHTGRPWLSISTHISTLVLGLSMLTLPVDCSGDFGPRGLSVQYTHDVRGCPPAHTGRPWLSVCVRVCPYVSVSTHRTFVAVCVCPCVSVSTHRMSVAVHQYTYQHVGPWTHHAGPSRELFGTSVAVRVCPCLSVCVRQHTQDVCGCPSAHTGRRLWLSITTHISTLVLGLSTLALPVDCSGDFGPRGLSVQNTQDVRGCPSAHRGRLLHISAHWSLDSAPWPFQWTVWVIFGRRGLSVQYTHDVRGCPPAQQDVRGCLWLFVSTHRTSVAVRVCPCVSVCGRVCPSAHTGRLWVSFSTHRTSVCVRQHTQDVRGCPCVSVCVRQHTKNVSGCPSVHISPRWSLDSARWPFPWTVRVILAHVDCLFSTHRTSMGVRQHTQDVRGSLWLYISTHRTSVAVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFG >A07p041610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22441731:22442856:1 gene:A07p041610.1_BraROA transcript:A07p041610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLAISSSPSTTLIRLSKPNGPNRSPVRKIICLNQQRQSKTGTGKSWIVPTSLSLFGSGFFLGPLLDGLHSRVDLVVYKNGAFQIGPLHTNIWVPFLLGLFYCTVGLLQLLLDDKTSTKPPRGNLDKTVVSLLALVLFLELSAEMYKAGVSDNIEAYILFALAEFLWFSLDRTWLGFTIACLLGIACPLAEIPIMQFFHLWYYPEANIEIFGQGLITWTTTCYFVYTPFLINLTRWLKTVAETIRDVDDTTK >A10p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19769696:19770610:-1 gene:A10p033940.1_BraROA transcript:A10p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKWYLDAVLVPCALLMMFCYHIYLWYKVRTEPFSTIVGTNARARRSWVAAIMKDNEKRNILAIQTLRNTIMGATLMATTCILLCSGLAAILSSTYSIKKPLNDAVYGAHGDFTMAIKYVTILTIFLSAFFTHSLSIRFIGQVNILINAPQEPSSDDFGGIGSFVTPEYVSDLLEKAFLLNTIGNRLFYMGLPLMLWIFGPVLVFLGSSLMVPVLYNLDFVFMLSNKEKGKVDCHERF >A03p050970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20723502:20724721:1 gene:A03p050970.1_BraROA transcript:A03p050970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKSNQKQSEDQEGEEILCQSSSSSFGDSMCAREDDDDEAESMLSKDYPLPETCSIGTEVLGLKKKKLTDEWRRFSQPLMWRCKWLELKVKEIESQARGYDKEVQSYYQSKHFDLEKSKPYYGDDQTQRKSVVFKRGRRRRVEETTDVSAYISNHNVFSYAEKRKRTTTLKPQCPVPGETGRKATGKEDDDCFVSESHCSDDHIGEILCKIDEAQDKAKRLKKRVDELMMMSKSHTSSMPRTIARSRSDVTAHNHREGTVQIGRQRVSADHTEDVLMIPQAPPPFEVDGQFLFNNSPNPYGGLRFPTIEDMLMDGDEYEGELDKEELDHCFMKLMNEFGGEEEEEDVMSPH >A09p081820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59448217:59448669:1 gene:A09p081820.1_BraROA transcript:A09p081820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSESTCSPHEDACKEAETKLEECALAHLASKVDEIATKGFKGFEAAFNDDYNKYTDYMIGGACKESYMNWVESTNNDSGDLIECVEAHSDYYHKFIDFYKGVQEQGIQVMKEFESIMEEESPIRAQEFFGDCCKEQFSALLKCLMKQI >A07p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12385232:12386812:1 gene:A07p021080.1_BraROA transcript:A07p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G20575) UniProtKB/Swiss-Prot;Acc:Q9LM93] MADENETKGEGKHKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIVVDDGSPDGTQEIVKQLQHLYGEDRILSSVFVFVMMQLLRARAKKLGLGTAYIHGLKHATGDFVVIMDADLSHHPKYLPSFIKKQLETNASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDVISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGTSKLGGSEIVEYLKGLIYLLLTT >A09p056270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47917983:47918996:1 gene:A09p056270.1_BraROA transcript:A09p056270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin Xero 1 [Source:Projected from Arabidopsis thaliana (AT3G50980) UniProtKB/Swiss-Prot;Acc:P25863] MESYQNQSGAQQTHPQLDQYGNPVPIGTGAYGGAPVMAGHHTEGGGGLSGMLHRSGSSSSSSSSSEDDGLGGRRKKKGITAKIKEKLPGHHGSHQTSSATSTIPVYDATGTGAVHHEKKGIMEKIKEKLPGGHH >A05p036500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20702068:20704115:1 gene:A05p036500.1_BraROA transcript:A05p036500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSSHGEKDGSEEAFDYRGNPPDKSKTGGWLGAGLILGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYKMVAISASVTALGVLLLTVATTIPSMRPPLCDDFRRLHHQCVEANGHQLALLYVALYTIALGGGGIKSNVSGFGSDQFDTSDPKEEKQMIFFFNRFYFSISLGSLFAVIVLVYVQDNVGRGWGYGISAATMVVAAVVLLCGTKLYRFKKPKGSPFTVIWRVCFLAWKKRNESYPSHQSLLNGYETTTVPHTERLKCLDKAAVVVEESSPSTKEFKEKDPWMVSTVTQVEEVKLVVKLIPIWATNILFWTIYSQMTTFTVEQATFMERKLGSFMVPAGSYSAFLILTILLFTSLNERVFVPLARMVTKKPQGITSLQRIGVGLVLSMAAMAVAAVIENARREAEVTNGYKISAFWLIPQYFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTISMGFFVSSLLVSLVDKVTHKSWLRSNLNKARLNYFYWLLVVLGAFNFLVFIVFAMKHQYKADMISVGVDDSVEKEKEKSELELKDIP >A03p034670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14619919:14623356:1 gene:A03p034670.1_BraROA transcript:A03p034670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MGEKQRKLLVLYASQTGNALDAAERIGREAERRGCPASIASTDEFDPSCLPHEESVVFVVSTTGQGDSPDSFKEFWRFLLQRNLGNSWLQGVRFAVFGLGDSGYQKYNFVAKKLDKRLLDLGATTIIEKGLGDDQHPSGYEATLDPWMLSLWSTLYQINPKYFPKGPDVMIPQDELTDQPKYRILYHKQETLEPELMAESDIIERARGMSPGKLSKDKTKPDCFLKMTKNEVLTKAGSTKDVRHFEFQFVSSSIKYEVGDVVELLPSQDCSAIDAFIKRCHLDPESFITVCPRETENNGSCGEVITHVPIKLKTFVELTMDVTSASPRRYFFEVMSFYATDEREKERLQYFASAEGRDDLCNYNQKERKSVLEVLVDFPSVQMPFEWLVQLVPSLKPRAFSISSSPLAHPAQVHLTVSVVSWTRYKRTLKGLCSTWLASLTPEQEINIPVWFRKGSLPAPPQSLPLILVGPGTGCAPFRGFIAERAVQAETSPIAPVMFFFGCRNKDTDFLYRDFWESHAREGGMLSEVKGGGFYTAFSRDQPKKVYVQHKIREMRKKVWDLLCDGAALYVAGSSTKMPCDVMSALEEIVMEETGGSKEMASRWLKALEKAGRYNVEAWS >A08p037650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21935368:21938479:1 gene:A08p037650.1_BraROA transcript:A08p037650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTEMQLGDVPPCVTTDDVLKEEPEKNLNTSETPQPILPVDKGDENGHEDVGVSLHSENKTDDAKVQVSSPKAGDGNVKKRKNWLLTDSEAGEVDEAGTLEEQQAFLRELATFHKENYLEYKPLKFYGQPLNTLKLWRAVVKLGGHDLVTTSKLWRQVGESFNPPKTCTTISYTFRNFYEKALLEYEKSLRKNGELNFPNSTHNLSSGLEKEVISHQGSGSGRARRDSAARAMQGWHTQRLVGSGEDKGLSSTPKHKKLKSIGFQKSKTQTCMDLVLSHGAEKQSVADVVDDGPLADWVKISVKETKESCEIYALVPGLLRKEIRIQSDPAGRLIITGEPEQLDNPWGITPFKKIVGLSARIDPLHTSAVMSMHGRLFIRVPFEQ >A04p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2583009:2584930:-1 gene:A04p004990.1_BraROA transcript:A04p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNENCLVAVARQRGIDVVLNDESNRETPAIVCFGEKQRFIGTAGAASTMMNPKNSISQIKRLVGRQFSDPDLQRDIKSLPFSLTEGPDGYPLIHASYLGEKRAFTPTQVMGMMLSNLKGIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPESEPLNVAFIDIGHASMQVCIAGFKKGQLKVLSHGFDRSLGGRDFDEVLFNHFAAKFREEYKIDVSQNAKASLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEEISVPILERVKRPLEKALSDAGLSIEDVHMVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSISLAWKGAAADAQNGGAENQQSTIVFPKGNSIPSVKALTFYRSGTFSVDVQRGTNIMKETGVKSLMRRSDKTVYSLGKC >A07g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3475904:3476638:-1 gene:A07g501680.1_BraROA transcript:A07g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVSQVFEKYLQYVKRFSRYKNPDAVRQVREYPLFFHIILSRHQLTEFELCVLGNLCPETAEEAVA >A03g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14066437:14067259:-1 gene:A03g503980.1_BraROA transcript:A03g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKKIQWLQPQNLPISIFLIPNKKKKSEEAKEDRKPKSHHPDNVDQKQSLSRNRQPNAITNITSSRFRDTNAVAQSICTTAPVRNAVFSANRISPKTLNRTRSRFRRFPAEFTVGLLGRNSWLKRLPTKTAHMLTLFTSWPPPRRLEFRVVIVFYI >A10p013490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4740689:4743737:-1 gene:A10p013490.1_BraROA transcript:A10p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC4 [Source:Projected from Arabidopsis thaliana (AT5G51340) UniProtKB/Swiss-Prot;Acc:Q9FGN7] MEGAAVAEGLWGLADHHEKVGDIGKTIKCLEAICQTQISFLPLVEVKTRLRVSALLLRYSHNVNHAKSHLERSLLLLKSIPSSFDLKFRTFSLLSHCYHLLASFPPQRNLLLKALDLASSVPQDLSAYLWSCNFNSQLANTFIIQADFPSSLSALHSGFLSASHISFPELQMFFTASMLHVHIMQWTDDYSVEKAVQRCDDIWQTISSDKTERCPGLFFYNEMLHVFYRLRLCDYKNAQHHVDRLDQAMNALSHKMLEAQQLQDELTSLDHTLSRYDLPSRERAALSDRRSQLQDRVNALSPSSSTTAANSLEPAFFGNMDRAWTERLLLSQSPIDGEWLPKSAIYALVHLMVVISGRPKGLFKECSKRIESGMHIIQDELIKLGITDEVREADLRHTAIWMSGIYLMLQMQFLENKVALELTRSEYVEAQEALVEMKNLFTRFPTILQASECVIEMLRGQYSHSVGCYSEAAFHSIEASKLTESKSLQATCQAFAAVSYLTIGDADSSSKALDLIGPLYGMTNSLSGVREEASILFAYGLLLMKQQDLQEARNRLAKGLQIAHTHMSNLQLVSQYLTLLGNLALSLHDTVQAREILRSSLTLAKKLLDIPTQLWVLSSFTALYQQLGEKGSEMENEEHRKKKWDEMQSRLAEARGSIHHIELVDKARLEMHKVEDAQEQAEVTYGMQASLDIPESVGIEGPSPAQYSSRLVGLDTGKRWGKRRV >A05g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29265302:29267290:1 gene:A05g509740.1_BraROA transcript:A05g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQNRRDLTMELQSQIPILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNVLNEVREKVRNQGRVWWALEASKGANWYLQPEILMIGDGIALKKTSLKISTLTNAITLKRLVRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYSDLSKAVDGKVTPATLQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVRDCYTTNLSGCHVEQRVFKDLLAQKCPRIATHLDDMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHAALAIFKMKENELLMTHQVGDVINIIQTTSHQLFDPDELLTVAFEKIGSMTTNTISKQRKKQEPAVLAELDQRLRRLNSLKETGKNT >A10p012890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5516835:5520965:1 gene:A10p012890.1_BraROA transcript:A10p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYVLQAKDLPVQETSVVLHVGKHKSKTRVSRGSSNPIWHEEFVFRVGEGDDDVVVSVLHHDHDHHHSDVSPGLIGKVRIPLCSVAGEENQTLLPTWFVIEKPSDGKFVNIECGKILLSMSLQGKSESTSDEKVLPDKQDVSLEDVKEVQGSAKEGKRRKHHDGKHIMKNLVNQIDKLFHKKEEISKRLNDEPAGDSVTSNYEDATDNSCSSAATTCTGFEEGLDLMQSSDGEIEEMPENLLGGILVDQKYLVSPSDLNKFLFSPNSQFRKELAELQSLTDVQEGPWTMVQEDITPRLTRLVTYMRPATKLVKAGKATESQVYRKASGNQFAVFVSVSTPDVPYGNTFKVELLYKIEPVTEPNAVGESSRLIISWGIQFSQSTIMKGMIEGGARQGLKESFEQFSDLLAKNYKTLDPAVVLDKEQVIATVQSEPKTDLKSAFLYFWSSSVVCAVLLSVYVVVHMLHCEPSKIQGVEFYGLDLPDSFGELFSSGILVLLLERVYMMTVHFIQARLHRGRDQGGKANGKGWILTIALIKGTNLASVEATELFDPYVVFTCNGKTRTSSVKLQAQDPQWNEVIEFDAMEEPPSVLDVEVFDFDGPFDQGVSLGHAEINFLKLTADELADLCVPLVGHHAQASQSKLQLRIFLENKNGVETMKDYLSKVEKEVGKKLNIRSPQKNSAFQKLFGLPHEEFLLKEYTCYLKRKLPVQGKLFLSARIVAFYSNIFGHKTKFYFLWEDMDDIQVLPPTLASLGSPLLLIILKKNRGLDAKHGAKSQDEEGRLWFYFQSFVSFDATSRTIMALWKTRTLSVDQRAHIAEEESDVSDPFFLPGDVAVVSDADPLQMSKVYACDLPGDVELVMKIFGGGEMERKIMEKSGCLNYASTTWESKKPGIYERRVSYKYNHTVSVFGGGVTSSQQKSTAPNDEGWILNDIVALHDVPFGDHFRVHLRYEVKKAGVDCKTSKCEVYLRIRWLKPIKFEQRISKSIMEKFRNRLKVIFDLFQKESVANSSLTLL >A03p020300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8323733:8323963:1 gene:A03p020300.1_BraROA transcript:A03p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVALVLSLMLLMSMNSVLVSAEEAAPTVGQRVDTATNDVTNFFNEHAGPAADTVSSTAKSVYNWFGDKAKYVL >A05p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:1697:6495:1 gene:A05p000680.1_BraROA transcript:A05p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSTQRSSICVRMCPSAHTGRPWLSISTHISTLVRGLSTLTLPVDCSGSFGPCGLSVQYTQDVRGCLSAHTGRPCVSGSTHRTSVAVCVCPCVSVSTHRTSVAVHQYTYQHDVRGCPPAHTGRLWLSVCVCVCLSAHTGRLWLSISTHISMLVLGLSTLALPVDCLGDFWPTWAVCSEHTGRLWVSASTHMTFVAVRGCPPSHTGRLWLSVCVRVCPSAHTGCPWVSVSTQRTSVCVRQHTQDVRGYPSGHISALHTGRPWVSVSTHRTSVCVCQHTKDVRVCPSAHTGRPWLSISTHISTLVRGLSMLTLPVDCSGNFGPRGLSVQYTQDVCVSVSTNRTSVRVRQHTQDVRGCPCVSVSTHRMSVAVHQYTYQQVGPWTQHADPSRGLFGILALPVDCLGDFWPTWAVCSEHTGRPWVSASTHMTSVAVRGCPPAHTGRPRLSVCVRVCLSAHTGCLWVSVSTHRTSVCVRQHTQDVRGYPSGHISALHTGRPWVSVSTHRTSVCVRQHTKDVRVCPCVSVSTHKSSVAIHQYTYQHVGPWTQHADRGLFGTHRTSVAVRECPCVSVSTHRTSVAVHQYTYQQVGPWTQHADPSHGLFGTHKTSVAVRECPCVSVSTHRTSVAVHQYTYQQVGPWTQHADPSRGLFGTSEAVRACPSAHTGRPWLSISTHISTLVLALSTLALPVDCLGDFWPTWVVCSVHTGRPWLSTSHTGRPWLSVGVRQHTQDVCGCPCVSVCVHLCPSAHTGRLWLSISTLISTLVLGLSTLTFPVDYLGDFGPRGLCVQYTQDVCGCPPAYTGSLWLSVTLALPVDCLGDFWPTWAVCSEHTGRPWVSASTHMTTHMMSVGIRQHTQDVCVCPSAHTSRPWLSISTHISTLVRGLSMLTLPVDCSGNFCPCGLSIQYTQDVCGCPSAHTGRPCVSVSTHRTSVAVRECPCVSISTHRTSVAVHQYTYQQVGPWTQHLTLPVDCSGNFGPCGLSVQYTQYVCGCPSAHTGRPCVSVSTHRMSVAVRECPCVSVSTHRTSVAVHQYTYQQVGPWTQHADPSRGLFGTSVAVRACPSAHTGHPWLSIRTHISTLVLGLSTLALPVDCLGDFWPTWVVCSVNTGRLLVSTSHTGRPWLSVGVRQHTQDCPCVSVCVHLCPSAHTGRLWLSISTLISTLVLGLSTLTFPVDYLGDFGPRGLCVQYTQDVRGCPPAYTGRLWLSVCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFWPTWAQFRTHRTSVGVRQHTHDVCGCPWVSACHVPDPR >A05p039960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24430853:24433965:-1 gene:A05p039960.1_BraROA transcript:A05p039960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPSSSVKPKDAFPQNDDSTPAHPGKSPVRTSTAVKSSPFLPFYTPSPARHRRNKSRDGGGGGESKSVTSTPLRQLARAFHPPSPAKHIRDVLRRRKGKKEATLPAEKKQTEEEKEREEVGLDKRFGFSKEFQSRMELGEEIGRGHFGYTCSAKFKKGELKDHEVAVKVIPKSKMTTAISIEDVRREVKILRALSGHNNLVQFYDAFEDNANVYIVMELCGGGELLDRILARGGKYTEDDAKAVLTQILNVVAFCHLQGVVHRDLKPENFLYTSKEDNSQLKVIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYTTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKTDPSFSEPPWPSLSFEAKDFVKRLLYKDPRKRMTASQALMHPWIAGCKKMNIPFDILIFRQMKAYLKSSSLRKAALMALSKTLITDELLYLKAQFALLAPNKNGLITLDNIRSALASNATEAMKESRIPDFLALLNGLEYKGMDFEEFCAASISVHQHESLDCWEQSVRHAYELFEMNGNRVIVIEELASELGVGSSIPVHTILHDWIRHTDGKLSFLGFVKLLHGVSTRQPLAKPR >A07g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21818571:21820651:1 gene:A07g507890.1_BraROA transcript:A07g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSSASATAKLPSIKPEDYGHSPVHYAVVLGDHAALSRLLSSVPKLGDPEQIRTESDSLSQERIADQISAVLDRRDVPSRETPLHLAVRLNDLFAAKAISSAGADISLHNSAGWNPLQEAFCRRNSEIMKVLLRHHHRLAWCKWRRRLPRLIGVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTTLAGFDGLKIQRADQSFLFLGDGDESLDISPGSLLVLNRDDRKILDAFESAGAPISDSDIAGFCSQSSLYRPGMDVTKAELVGRMNWRRQEKMESVGDWKARVYEIQKVTFSFRSRKIVNEGDSSEQVQPLELDEDDDGFLVAENPSFLAPQRSQRRHSSFVNEDRDWISVGRKSVDVYPSAAPPPRRSVTQFQPPRRSVAVPSTVNPPPSPQIKEKEFVKSLHPSVWLTEQFPLKTEELLPLLDILANKVKAVARMRELLTTKFPPGTFPVKLSIPVVPTVKVVITFTKFVDLPPAERFYTPLSSPRFLSCADQWDDDEEKSDARNSTSRPSSWLRRAGLGSQRRTDEEDQQSPDPFAIPIGYKWTSMKNNNKSDSKMKRSKSTKRSK >A05p020260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9547950:9548519:-1 gene:A05p020260.1_BraROA transcript:A05p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGAIPTSSHPSPPVDLEYISHVKHRLKAGLATRRPWRSMFDLESMTVPQGFLDAISRMKTNLVYFRANYAIAVLFMLFVSLLYHPTSLLVLAILVVFWIFLYFLRDEPLVLFGHQVDDRTVMISLSALTIGMLLFTHATGNILGSLLTAVVLVLIHAGIRRSDNLYSDEEAAAAAETSGLASYPSS >A04p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9656394:9658828:-1 gene:A04p015570.1_BraROA transcript:A04p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRNPRAVVDPKVRQVGFFTSSQPDSTHQPDPIASESHPVASSISPSGNSLSPVMIPPPRHNSSDTFPLRAAAAAASAVSPSSFSYSTRRDFPDGSMTASPGRVSRASFSKPSSSLPGVGMDSMAAAKSSSVPASGLTTVSVVNMPPGLSEKESDQKKNSKPLKEKTTKAERRALQEAQRAAKAAAKAEGGKAPLVTSGSVASTNVKAAKPVKPSSQKNDVAVAAPGPSEKKGGQTEKDRKKDAPHPRMQYDDESRVVKAKRRAVVKQTEAKNRVELFRHLPQYEHGTQLPDLETKFFQLDPMHPAVYKVGLQYLSGDISGGNARCIAMLQAFQEVVKDYSTPSEKTLNRDMTAKISSYVSFLIECRPLSVSMGNAIRFVKNRIAKLPITLSESEAKAALQSDIERFINEKIIMADTVIVKHAVTKIRDGDVLLTYGSPTAVEMVLLHAHELKKKFRVLVVDSRPKLEGQLLLRRLIKRGISCTYTHINAVSYIMHEVTKVFLGASSVLSNGTVYSRVGTACVAMVASAFRVPVLVCCEAYKFHERVQLDSICSNELGDPNAISKVHGREDINYLDGLTNNANLQFLNLMYDATPSDYISMIITDYGMVPPTSVPVIVREYQKEHSLV >A09p024970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13886955:13892373:1 gene:A09p024970.1_BraROA transcript:A09p024970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRISALPDCLITEILLWLPTRDSVKTSVLSTRWRNLWLDVPGLDIKFLSSNANTITSFIKRFLEFNRDARLRKFKITYDTSKGHDPFGIREWIATAISRGAQHLDVVYTFVRYMSFKEFMPLDIYKSKTLVSLKLVEVGMSNPDFVVSLPCLKNMHLEKVTYSGKDPSFMEKLISGCPVLEDLTVFRSSDDNVLVLRVRSKSLKRFSVRSNRWSRIHSREFALEIDAPGLKYMKLGDQKSERIVVKNLRSLFMVDIDSIFNVGSNTNLEMKKDTIRDFLNGISCVRHMIVSQLTLEVLYRYLGSNIPMFNNLYRLEVSRIARLEHMLPDFLESFPNLKHLTLCVVYLPSSFWQCIMYLNHLNVEKHEGSIVPRCLLSTLECVEIREFTGEETRTKKKIMKQKKEPLMNVARYILVNSLVLKKLILILSPATNQISDIAKELLTIRKGSSRCNIFMGSCSSNRPQDLFIFFFFFFLFLPFLSLAEEPVVNVNGSVWDFPSHSSSPSPQSTFSKNLNSLVASIPNLHANTYNFYNLSVGDISDQDRVEAIGVCNRVVLSVDCRSCIFQAALNLTTSTSPQHREGYWRSTNCMFRYSDKPIFGVLETNPVFEALNPNKSTGDRDEFARLQIELLNGFRKRAAAGGSKRKYVQGSGPGPNSSTFLGAVMCTPDLSEKDCNDCLIFGFANATKGRTGLRWFCPSCSFQIQTNLRFFLHEYEYESDPPSDQEPDNWSQGVDKTVIILATVGSVVGFAIFVVCLYFILKRKQRKEKQRHEGKDVVENQIIDENVLRLDFDTIRLATDDFSPGNQLGEGGFGVVYKGVLDSGEEIAVKRLSMKSGQGDNEFINEVSLVAKLHHRNLVRLIGFCLEGQERLLIYDLFKNTSLDHFIFDNDRRMVLEWETRYKIIAGVARGLLYLHEDSRFKVIHRDLKASNVLLDDAMYPKISDFGMAKLFDTDQPCQTRFTSRVAGTYGYMAPEYAMNGKFSVKTDVFSFGVLVLEIITGKRNNWSPEDKSSLFLLSYVWKNWREGRVLNIVDPSLIQTRGLSDEIMKCIHVGLLCVQEKAESRPTMASVVLMLNASSFTLLRPSQPGFYPGDGESTSGSPPTMTLNSVTITKVDPR >A02p036150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20621007:20628614:1 gene:A02p036150.1_BraROA transcript:A02p036150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable respiratory burst oxidase homolog protein I [Source:Projected from Arabidopsis thaliana (AT4G11230) UniProtKB/Swiss-Prot;Acc:Q9SUT8] MSMSFSHDDRWGSDLASAGEFTQSFPSLGATYSPSCGEELLEVTIEFPSGVLLNIDSVSTTDPEITSCSASGSGSMSRGASSEGQVVANAKQFSRDLFKLQGISRHDGGGYSCRSAPEPVVRHGGEIPDALMLCRSVTKRLNRNGSCTQRAIHGLRFISSKENEIAAWSEVRDKFANLSKDGYLCRSDFARCIGMENENSKEFAEELFDALCRRRSIMVDKISLQELYEFWYQIADESFDSRLQIFFNMVNKNGDGRITENEVKEIIILSASANNLSRLRERAEEYAALIMEELSPDGLPSQYIELKDLEMLLLHKDTPQSYSQPFSQTSRALSQNLKDMRWGISRSLLYSLQDNLKRIWVLTLWLMIMVVLFMWKCVQYKRKDAFHVMGYCLVVAKGAAETLKFNMALILLPVCRITITYLRSTALSHSVPFDDSLNFHKTVSVAIIIGMLIHASSHLACDFPRIVTATDVDYKRYLVHYFGVTRPTYFDLVKGPVGITGFIMVIFMLIAYTLASRRLRRNLTKLPKPFDKLTGFNAFWYSHHLLLAVYVLLIIHGVSLFLEQTWYHKTIWMYLACPVLLYGGERMLRFFRSRLYSVEICKVVIYPGNVIVLHMSKPTSFEYKSGQYIFLQCPAVSKFEWHPFSITSSPGDDYLSIHIRQLGDWTEGIKKAFSVICQAPDAGKSGLLRADGPNKTSLPELLIDGPYGSPAQDHWKYDVLLLVGLGIGATPFISILRDLLNKIVKQHEKAEGLSGSCSNSNVSSDQSFNCLNSEPGNSISTNRTGMLNTKNAYFYWVTREQSSFDWFRQIMSEIADSDIKLVGSSTNGTSHHVSQHHHSLTNTQANNLLGTHDLNTRLRVGKVRHEFALDSLIVRHEFTKTRL >A02g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9242801:9244035:-1 gene:A02g502810.1_BraROA transcript:A02g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVYVSPVGNYHKVSSGLNLKVDHTYRRAHTGGTGGVKSCTNYSPVVKSLREAKSAGFSDVLFLDAATGRNIEEVSTCNIFVVKENILSTPPISGTILPGITRKSISELARDIGYQVQERDVSVDELLEAEEVFCKGTAVVVRAVESVTFYETKIKYITGENALSTKLHVMLTNIQMGVVEDTKDWMVEINDTIGIDTFLN >A02g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23305727:23306348:-1 gene:A02g508630.1_BraROA transcript:A02g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGPHQKEPWARSLDPTPFQEMENFRQGEPSIFPNMEEFNLMKLTSRRLYKSDNSPQARDRLLQGLETRFYGMIWRKRHLVVVYSPALIVDEPGSLVLGILPSGYGPVYTVGALVAAQEIDRQAGTAYL >A10p018260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12791665:12792155:1 gene:A10p018260.1_BraROA transcript:A10p018260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKALKDSIKIVHSFPDMRLILSSRLLGARDAACMPTKLYMFSDFSLLFLSVLRWYKDGILRMACERQLRQKYKSFGALKIVTARGLHVTLRITSHVLVLLLENLTYCIIKPCWWEILQSLIYLLQPR >A06g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17976248:17979325:-1 gene:A06g506380.1_BraROA transcript:A06g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRKSTSEKRKGVEADSSPGTIKPIETPYLSSSHSIGVPTRSKPHAKRTIIHSTKIGVSSGVRGKAAVSSGVRGKAIVSAELMAFKDVKYGPHDGDLRFWLIHFWEARNIVTKVLIGLEMLLIDQEGFIPAGRIGTYLPHMRAGGIYRLNSFFGSNNKTLYRVAEPSFTITFSSTSVLSDLEDSSVCFSQDRFRFHGHEEFDAACDLRGDLYDYVGHIKLVNGQVLSDSLVLDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAGTNFSALALSSMTPSRVFLDTDVQATRDYLTWMNTNLDVANRFDADIVTKTETVTIGELFSYMKQEDAKVVQYQLVAWFECIATIGDVAHGSSWYYIGCGGCHTKATKGPTSLMCKKCGKTDIVGVAQYLAKITVYDNDDQATFVLLGGAGHELSGKKASELVEKYFEANENVGDDHLVPVPQALIDTIGQTRKFVVKVSDHNLTGKTQALTVTKVLTPEVPEAKANLEGNVAVPDTQETLQKEVADDDPSTCFGSVKRAADNVEAEDPKRARSG >A09p001730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1286419:1286973:1 gene:A09p001730.1_BraROA transcript:A09p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVGRIFLLFLSIVVTVVIAGKAPPPTFTETGKALAEKLCEKSEDKAFCVASLTSRHESETATAPKLGVIALSVASTNASDTSFYIKSKLKQRNLEPALEDTLDDCSKNYLDAVAQLDDSLAALLANAFIDVDIWLNTAISDGEACEDALSERAGNDAELARRNTNFLKLCKDALLINTILTP >A05p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4358498:4359638:-1 gene:A05p010360.1_BraROA transcript:A05p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGAEGFAARDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKISSDTKAGGDECGGVGILGISWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGVGFVKAFQSAYYVRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNESKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAANV >A03g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28772402:28777436:1 gene:A03g508340.1_BraROA transcript:A03g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVENGCPAKGFYTRQAFITAAQSFPAYRGTVAKREIAAMLAQFSHESDSFCYKEEIARGRYCQASSVYPCQPGKNYYGRGPIQITWNENYGAAGKFLGLPLLTDPDMVARSPEVAFKCAMWFWNEKVRPVVDQGFGATTRRINGGECDGGSPTRVQSRVNRYLEFCRQFGISPGTSSCFKEEITGGRYCSLSLRYPCQPGKNYYGRGPIQITWIYNYGRKCAMWFWNKNVRPVLSRGFGATTRRINGGERPDAVESRVNHYLDFCKKLGFAILLTTLFFLILTVSKPVASQNCGCASGLCCSSAGYCGTTDAYCGEGCKEGPCKNSGPGDPTVSLEETVTPEFFNSILNQATGSDCKGRGFYTHETFMAAANAYPSFGATISKLLCHIEEVDGPAKAARGEYCDTTKPEFPCVPGKGYYGRGAIQLSWNYNYGPCGRDLNEGDLLATPEKVAQDQVLAFKASFWYWTTNVRSSFKSGFGATIRAVNSMECTGGGVPSETAANRIRYFQDYCTKLGVQPGENLSC >A07p011010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6903329:6903727:1 gene:A07p011010.1_BraROA transcript:A07p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISFTIILATTSFASALFSAKHVVIVNKLVTRATLIVHCMNKEEDKGVISLGPGDSFDFRFRVNLRKTTVYTCSFAWPGNTATFDIFRADRDDNPQSKVGVCSECIWSIYEPAPCRDRRDGGLPNCFPWAS >A03p044250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18476273:18480376:1 gene:A03p044250.1_BraROA transcript:A03p044250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAIEISSGSSSSSGSDDEVSEPSRTRSNTAWLYGNSSFPQAKAALTTLASGSGGTPSQPRDSGNDNEKLSSQQAQKRTLPPSFYPPPTTSSRSGNSTSPALGNMNTTQASRSDPPSYKPRDSTTFTRNENVGNSNVSGVDDKKLPAQQVMKRALPPSFNAPPNPSRNVGDYTSPAVGNKNSFGDGYYRGAHAEIGIQRGVNGVRILPPSMTHGASASPLQYGGQSDPIHRVVGIVEDRSSENDERLIYQAALRDLNQSKNEMDLNPGTLTVSLMRHQKIALAWMFQKETSSLHCSGGILGDDQGLGKTISTIALILKQKFESQIKSEISSKLDAEILDLDADDESETPKHESDVKPEVKVSSNSAGDNDGNGSSDKGKAKVQGASTSKREFNRKRPPAGTLIVCPASIVRQWARELDEKVSDESKLSVLIYHGGCRTKDPVELARYDVVVTTYAIVTNEVPKESLVEDDEDDENDNKGLAPGFSKKRKAAVSTSKKSKKKGRKGMDDSSFDSDCGALSRVGWLRVVLDEAQTIKNHRTQVARACCTLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYNPYAVYKSFYHTIKVPISRNSLNGYKKLQAVLRAIMLRRTKGTLYSCLLFVAAYAAAGTLSQNYANILLMLLRLRQACDHPQLVKGYNSDPVGKESREAVKRLPREARISLLKRLESSSAICNICNDPPENPVISLCGHVFCYQCVSEHINGDENVCPVRRCREDFGRDVVFSKSALRSSTTNDLGSSSSQHKSFSQKSKFSSSKIKAVLDILQSLSKQGRRNTGRRPSSSLPHEDDDDDDVTIVEPTTLHSSSPSQGPIKTIVFSQWTGMLDLVEHSFIENGIEFRRLDGTMSLLARDRAVKEFSKDPDVEVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAVDRAHRIGQTRPVSVTRVTIKDTIEDKILSLQEEKRKMVASAFGEEHGGSSATKLTVDDLKYLFMP >A03p069830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29872507:29873548:-1 gene:A03p069830.1_BraROA transcript:A03p069830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIQKTLIEHAQKLHQVKSLLEEGGNFSEIYMKVQLKPLKWDGEGEEERPVEALMILKYGGVLTHADRKQVVKSRSGLKILITGKEGKPKTKTLNVVYKQIFQNIP >A06p049960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26326329:26328249:1 gene:A06p049960.1_BraROA transcript:A06p049960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVIQQILRRKLHSQSVATPVLSWFSSKKAHVDAGSSGVRAFALLGAGVTGLLSFSTVASADEAEHGLACPDYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRLPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGVPATEAQMGKDVVSFLSWAAEPEMEERKLVDGFQVDIPTIAGSAPSSVLQATEMVGSQVPEAGS >A09p057120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:113:1003:-1 gene:A09p057120.1_BraROA transcript:A09p057120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLREFHLTTGLRCEENQTITEPQFKIMKKPYIWMLGKIDKFTVRMLYEMFKEKTRSMPTLERLSLGTAIITEAVIMAENPSFKIPRDRLQCYMNYRSPKIAWGKTVYSILMRSVKSLSASSWTGDSYEVSGFALAINLWAMSSVNVLGKSLGKPCETSSSSDPLCLHWDSTRTPTTTEVLELENINNVEVSTVIGLAEEYKNLVGATHSDDAEFHSVVKLV >A06p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19016255:19017288:-1 gene:A06p035190.1_BraROA transcript:A06p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFVLREDLHIRSRLSDSSSAMALALLQILVIKKNIHDRSIILPVVCMILLIGHQTPETRLYFNTMTRNAETVGIIILIRCFLVPMAVWKMVPLLKILVGVLNPNQRNCLCVKTLRIFVFQNPNIRSVKWSLVILSSLPCITGA >A05p018590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8633450:8636090:1 gene:A05p018590.1_BraROA transcript:A05p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVTLRIFAAVSMAVIQLASGNFVFNVTHKFAGKDKQLSELRSHDSFRHARMLANLHLPLAGDSRADSIGLYFTKIKLGSPPKDYHVQVDTGSDILWVNCAPCPKCPVKTDLNIPLSLYDSKASSTSKKVGCEDDFCSFVSQPDACKPMKTCSYHVVYGDGSASDGVFVKDNITLDQVTGNLRTAPLSQEVVFGCGSNQSGQLGKTDSAVDGIMGFGQANTSIISQVAAARNKKRIFSHCLDNVNGGGIFAVGEVESPLMKFTPLVPNQLHYNVILKGIDVGGEPVALPPSLAVFGGNGGTIIDSGTTLAYLPENLYTSLLQKITARQPIKLHTVQETFACFSFTLNTDKAFPVVNLHFEDKLKMSVYPHDYLFSLCKDLYCFGWQSGGLTNQDGSDVILLGDLVLSNKLVVYDLDNEVVGWAEHNCSSSIKVKDGSGAVFSVEANNLIASSSSSSSSLVIN >A03g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19832699:19834828:-1 gene:A03g505600.1_BraROA transcript:A03g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDASTSEENKRNPCDIGTASNTVKGAKHNEKKKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDDDAEKINSEKENREKLAKSQIIFA >A08p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22639514:22643542:-1 gene:A08p039350.1_BraROA transcript:A08p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G17745) TAIR;Acc:AT1G17745] MALSSSCSTLKAVNSRWTSPAPKLAAIRRHSLLPELRYTPNVKLTATNALRTVEQTTLTEDDHLSTYGSDQDDQESLPKPRILVAEKLGEAGVNLLKDFGDVDCSYELSPEDLKKKVAESDALIVRSGTKVTREVFEAAKGRLKVVGRAGVGIDNVDLQAATEYGCLVVNAPTANTVAAAEHGIALLASMARNVAQADASIKAGKWERSKYVGVSLVGKTLAIMGFGKVGTEVARRAKGLGMNVISHDPYAPADRARALGVELVSFDQAISTAEFISLHMPLTPATKKVFNDETFSKMKKGVRLINVARGGVIDEDALVRALDSGVVAQAALDVFCEEPPSKESRLIQHENVTVTPHLGASTKEAQEGVAIEIAEAVAGALRGELSATAVNAPMVAPEVLSELAPYIVLAEKLGRLAVQLASGGKGVQSIKVVYRSARDRDDLDTRLLRAMITKGIIEPISDSYVNLVNADFIAKQKGLRISEERTVVESSPEYPVDSIQVQISNVESSFAGAVSDGGAISIEGKVKYGVPHLTCVGSFGVDVSLEGNLILCRQVDQPGMIGQVGNILGEQNVNVSFMSVGRTVVRKQAIMAIGVDEEPDKKTLERIGGVSAIEEFVFLKL >A08p043320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24151133:24152770:1 gene:A08p043320.1_BraROA transcript:A08p043320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTSSATNQTIPLLTPFSMRNFNLSHRIVMPGMARMRSYGNVPQPHAAMYYSQRATPGGFLITEATGVSETAMAYHDMPGIWKKEQIEAWKPIIDAVHSNGAVFFCQLWHAGRVSHRGFKIVKSCYVCAKQLIFVLDSQTKWRCKKEKQTLLDSQPNGEAPISSTDKPLAETSSNEFTTSDEFTPPRRLRTDEIPNIVNDFRLAAGNAIEAGFDGVEIHGAHGYLIDQFMKDTVNDRTDAYGGSLENRCRFALEVVEAVTNEIGSDRVGVRLSPFANYMQSGDTEPQKLGVYVAKSLNRFETLYCHVVEPRMKTASEAFECTESLTPMRKVFEGAFIVAGGYTREDGNKAVAEGRTDLVAYGRLFLANPDLPRRFQLDAPLNKYDRTSFYTSDPVVGYTDYPFLETEP >A09g515770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46558066:46558401:1 gene:A09g515770.1_BraROA transcript:A09g515770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSLVDGSAWLGKKHSSKLLAGINPVLLKLVYFYHITHLLPASMAESRRKGIKRRHINGVVCKKIHVYLFNSFENILFFGEREKV >A07p049210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26163313:26164930:-1 gene:A07p049210.1_BraROA transcript:A07p049210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQRICVTGSGGYIASWLVKSLLSRGYTVHGTVRDPSDRKNNHLKKLDNASKNLKLFKADLFDYEGLSSAISGCYGVFHIAGPVPFEDVPLTEEQLIKPALTGTKNVLEACTEAKVKKVVVVSSIAAVVYNPKWPRDVDVDESCWSDTQYLYSREGYWSYYFLAKTLMEREAIEWSRTSSADVVTVCPSVVIGPRLQSTLNSSSLGLLNFIKGGVISLLSDQLYLVDVRDVADALLLVYENQEAKGRYICNSHSLHNNDLMEKLMNMYPKRKFPKSFSERKEKQVNENILRISSEKLEKLGWKFRSLEETIDDSVVSFEATGDLPKQ >A02p016890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7612999:7614308:-1 gene:A02p016890.1_BraROA transcript:A02p016890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLSHEVSDLCIGKPPLRCLSAVTATVADAIAALKSSDDPFLSVWSCNHDETNDDDDNKKCDCECLGKICMADVICYLSKFDNKVLSLSSAFNASVSVLLPKCRSIVVHVQSSCKLAKAIDLITGGAQNLIVPIQTKRGQHKVPTRNAVVSLTTTTTTHKNSRQFCWITQEDIITFFLGSISVFSPLTSMSISELGVINSTRAFLAVDYYSSAASAITAISLAIANNISVLVVDGGCDVLEYPRIALIGEILPMTLACCDETAAAAVATLSAGELMTYIEGSGPLESHVRVVRNRLEEKGMVGLISLVDSLSGSSSSDEESPTGRTKSYGRSVSRAARMARKSVAIVCNRESSLMAVMIQAIAHRSIFG >A10p005110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9178311:9182846:1 gene:A10p005110.1_BraROA transcript:A10p005110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDLLGAHDMDSGEDDFYSGGGSDDDYIESDGDEPDYGFVEEDVDDSLMIASHRSQINYVVLKEEDIRKLQMDDIGRVSMVLSISEAEASILLLHYHWSVSKVHDEWFADEEGVRKAVGILERPVVSAPHGGELTCGICFEPFQREEVVSLACGHPFCSTCWTGYISTTINDGPGCLMLKCPEPSCPAAVGQDMFEKFASKEDKEKYYKYFLRSYIEDNRKMKWCPAPGCENAIEFAAGTSNYDVSCLCSRSFCWNCTEEAHRPVDCHTVAQWIRKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCKYEFCWLCLNAWTAHGERTGGFYACNRYESAKQEGLYDEAEKRREMAKNSLERYTHYYERWASNQTSRQKAVEDLQKAQLEKLEKLSDIQCTPESQLKFISEAWLQIIECRRVLKWTYAYGYYLPDHDKKQFFEYLQGEAESGLERLHKCVEKELEVFLNAEGPSDDFNHFRTKLTGLTSITKTYFENLVKALENGLADVDTQGTSSKSTSSKSSKTKGSGKGKGSSRNGGSSKSSDGN >A05g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24196752:24199809:1 gene:A05g508300.1_BraROA transcript:A05g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSTTKSQLATGKSPVAMYFNDISPGLSESQLRFRLIHFWEAKNIAKGGTLIGIELLLIDEQNFYAATSKEIYRVADQSLTVSFSNGSVLSPLDDIPVSVSFPPDRFRFHTHEDFQANRGLRGDLYDVVGHLRLVNGQSLSDRPVLDESEMISMRHILVHLQTKDGPVMKLYLWDQAAKDFYKKFTSSEDTPTVLLVTTVNPKTVTGNLALSSMSSSRVFIDKDIQPTIDYFSWLSSNPQIGKQVNADEVTRVETMTIGQIFAYIKQEYAKEASFNCIATIGDVKHDSPWYYIACGGCHTKATRGPSSLMCAKCGNTNVSGEAKYRAEISVYDSNDQAVFVLLGDAGSELTAKQAAELVANYFEANQELSAGHQMPAPQALIDTIGQTHKFRVKVSKLNFTGKVQSITVTRIVSAEDLPPVPNPTEIPLAAEDEVALPTASVVDGSGFNAEGGTEGTSDMDESQKAKRPKRHAC >A07p052370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27633621:27634903:1 gene:A07p052370.1_BraROA transcript:A07p052370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEVVLLLTLLCVSSSVVISGPISDGLLPNGNFEMGPKPSQLKGSVVKGRTAVPNWEITGFVEYIKAGQKQDDMVLVVPEGSSAVRLGNEASISQKISVRSGRLYSVTFSAARTCAQDERLNISVTHESGVIPIQTMYGSDGWDSYSWAFKAGGPEIEIRIHNPGREEHPACGPLIDAVAIKALFPPRFSGNNLIKNGNFEEGPYVFAKAKWGVLIPPFIEDDNSPLPGWMIESLKAVKYVDKAHFFVPEGHRAIELVGGKESAVSQIVRTTSLNKFYALSFKVGDARDACEGPMAVEAFAGGRKILVEYASKGKGGFKQGRLVFKAVSARARVTFLSTFYHMKNDHSGSLCGPVIDDVRLVAVRTVGG >A08p019080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13042196:13064708:-1 gene:A08p019080.1_BraROA transcript:A08p019080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVRGLSKEALKISVWQGDVELKNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQEPVVVYLDRIFLLAEPATDVEGCSEDSIQEKKRKLILEMETKLVERARRLHTEMNKSWVGSLVDTVMGNLKLSISNIHIRYEDLESNPGHPFSAGFTLEKLLAVTVDENGKETFITGGTLASIQKSVELDRLAFYLDSDMSPWYIDKPWEDLLPSEWDQIFRYGTKDGKPAEDLTRKHFYILQPVSGNAKYIKSQANGSSNTDQPLQKAYVNLDDVTLCLSKGGYRDVMKLADNFSAFNQRLKYAHYRPSVSVKSDARSWWNYAFRVVSEQIKIASGRMSWEHVLKYTSLRKRYITRYASLLKSDVSKTVVDDDEEIKALDRGLDTEVILQWRMLAHKFVERSVQAENYSKAQQAKSSWWPFGGKSQVSEGEGESVQFTDEDWERLNKVIGYKEGDEQSIINNAKPDALHTFLEVHMRRNASKLYDGDKECLAELSCEDLNCSIKLFPETKIADIKLGRYRLSSPNGPLAESAPASHSVLASFCYKPFDAKVDWSLVAKASPCYMTYLKDSIDGIVNFFESSTAVSQTIALETAAAVQSTIDEVRRTAQQGMNRALKDHARFLLDLDIAAPKITIPTEFRPDNHRSTKLLLDLGNLVIRSQDDYKRELSEEMDMYLQFDLVLSDVSALLVDGDYSWKQLSSKKSSGKESSVTFLPVIDKCGVLLKLQQIRRPNPSYPSTRLAVRLPSLGFHFSPARYHRLMQVAQIFQTKDDEGSHILRPWEEADFEGWLSLLSWKGREATWQRRYLCLVGPFIYVLESPNSKSYKQYTSLRGKHIYKVPVELAGGVEHVLSIRNASRINEKVMEDVNALILMFDSEESRKTWHSRLQSAVYRASGSAPIAGLSDTSSDSEESETEHKEDIWDLSKLESLYVTGVLDELKICFSYGQQHDASFMAVLLARESKLFEFRAIGGKVEVSMRGSDMFIGTVLKSLEIEDLVSRSGSNESCYLARSFIQSSVVLPSFKDAEIKNPEGNDLSSSEGEEKFYEAPEILVDSVDYTSLRTPSFSRMDGLLPVDNRNITMPSSERIESLDSFVKAQIVIYHQTSPQYNNIDNQVTVSLATLSFYCRRPTILAILEFVNAINVEDPSCESFEDSSPVAGEQSSPKRNGFEDSRDAAVKGLLGKGKSRIIFNLALNMARAQIFLMNENGTKFATLSQDNLLTDIKVFPNSFSITASLGNLRISDDSLPDNHMYFWICDMRDPGGTSFVELAFTSFSVIDEDHEGFDYCLSGQLSEVRIVYLNRFIQEVAEYFMGLVPSDSKGVVKMKDQITDSEKWFTTSEIEGSPALKLDLSLKKPIIVMPRHTESPDYLKLDVVHITVNNTFQWFAGDKNELNAVHMETMKVMVMDINLNVGSGAEIGESIIQDVNGVSVTINRSLRDLLHQIPSIEVSVEIDELRAALSNREYQILTECAQSNISEIPHTVPPLSGDIVASSRNLPETLTSEDPNAAQTEKSDAWISMKVSVVINLVELCLYAGTARDAPLAAVQISGGWLLYKSNTHDEGFLTATLKGFSVIDNREGTEKEFRLAVGRPADLDFGDSHSLTDGDQRLTHSRVTNGSDVRPLPSMLTLDAQFGQSSTFVSVCIQRPQLLVALDFLLAVVEFFVPTIGSVLSSEEDKNLNMVDAVIMDQSIYKQQTAEAFLSPLGPLIVEDEKFDDFVYDGNGGTLYLKDRHGGILSSPSTEPVIYVGSGKKLHFRNVIFKNGQFLDSCISLGAYSSYSVSREEGVVLEEYHKVPKQDSESKEDPVSQSPSITTEKSTEMIIEFQAIGPELTFYNTSKDVVKTPLLSNKLLHAQLDAYGRVIIKNDEIEMSAHTLGLTMESNGVKILEPFDTSVKYSSVSGTTNIELSVSNIFMNFSFSILRLFIAVEEDILSFLRMTSRKMTVVCTEFDKVGIIRNPCTDQKYAFWRPHPPPGFASLGDYLTPLDKPPTKGVLVVNTNLMRVKRPLSFRLIWSPLASNGLGDTSTDDKDERDNLCSIWFPEAPKGYVALSCVVSSGCTPPPLAAVFCILASSVSPCSLRDCVAISSTDISQSSLAFWRVDNSVGSFLPADPSSLSLSGRPYELRHILFGSTGVLPKESSYVDVRTTDNIQPIQPQSQPLNSVRSGQRFEAVASFQLIWWNRGSGSQKKVSIWRPIITEGMAYFGDIAVSGYEPPNSCVVLRDDGEQDILKAAVDFQLVGRVKKHRGVESISFWMPQAPPGFVSLGCVASKGSAKPYELTKLKCARSDMVAGDRFAEDSLWDTSDVWQRVEPFSIWGIGNELKTFIVRSGLKKPPRRFALKLADQDLPGGIDNMVIRAEIGTFSAALFDDYGGLMVPLVNVSLSNICFGLLGKTNYTKSTINFSLAARSYNDKYEAWEPLIEPADGFLRYQFNPRSFGAVSELRLTSTTDLNVNFSVSNANTIIQAYSSWNNLSNVHEYHKERGAFPLVDNGKSVIEVHQKKTYFIIPQNKLGQDIYIRPTEIKGFKDIVKMPSGDMRPVKVPVLTNMLDSHLRGELCSNPRIMVTVIVVDAQLPRISGLSSHQYTGVIRLSPKQTSPTEPVLRQQCARTCGSVSNMFSSELEVVDWSEIFFFKIDSLDDYLLELIVSDVGNGAPVGAFSAPLKQVARYMKDNQYEHNYANDLVWLDLSTMSMNQGDQRKNCGKIRCAVLLPAKSKMVEQGKSSSEKKSGFIQVSPSIEGPWTTVRLNYASPAACWRLGNDVVASEVSMEDGNRYVNVRSLVSVENNTEYLLDLCLEAKVHSFPNISIGLLKPGDILPVPLSGLTQSASYVLKLKCVMTDGSEYSWSSVVSRPEEPEVACESESEICISSLTESEHLLCCTQISSTSPGHNKIYWFCLKTQATEIAKDIRSDPIQDWTLVVKSPFSIANYLPFGAEYSVLEKQDNGDLICHSQSREFIGSGETVKVHTVDIRKPLYFSLLPQRVWLPMREAVLVSHPNGVPAKTIDLRSSATGRVAQITLEQTYDDQQKVLTKMIRIYAPFWFSIARCPSLTLRLLDLPGNKKTKKFGLPFRNKKNDEVVREITEEDIYEGHTIASTLNFKHMGMSVSISQFGNQQHGPAKDLSALGDMDGSLDVDAYDPDGKCMRLFLSTKPCGYQSVPTKIISVRPFMTFTNRIGEDIYIKLNSDDEPKVLNAYDSRVSFVFQPSGRDELQVRLRETEWSFPVQVAREDTIVLVLRCQNGARRFLKAEIRGFEEGSRFIVVFRLGPNNGPMRVENRTAVKRISVRQSGFGEDSWVLLEPLTTVNFAWEDPYGQKFLDAKVENDHRSGVFRLDMENGVVDSELCRDLEVNFHVKEIGDIKIARFTDDDSTSQSPHEIISLSSVGNNRYSTRQTPSEQKTTTLEFIIEMGLVGISLVDHVPKELSYFYLEKVFVSYSTGYDEGRTSRFKVILGNLQIDNQLPLTLMPVLLAPDNTGDSHQPVLKMTITMCNEETDGIQVYPYVYVRVTDNTWRVNIHEPIIWASADFCNKLQMDRLPKSTSVAQVDPEIHINLIDVSEVRLKVSLETAPAQRPHGILGVWSPILSAVGNAFKIQVHLRRVMHRDRFIRKSSILPAIGNRIWRDLIHNPLHLIFSVDVLGMTSSTLASLSRGFAALSTDGQFLHLRERQVWSRRITGVGDAFVQGTEALAQGVAFGVSGVVTKPVESARQNGILGFAHGVGRAFLGFFVQPMSGALDFFSLTVDGIGASCTRCLEVLSNRTALERIRNPRAVHADGILREYDEKEAIGQMLLHLAEASRHFGCTEIFREPSKFALSDCYEEHFLVPYKRIVIVTNKRVVLLQCSDLDKMDKKPSKIMWDVPWEELMALELAKAGGQRPSHLILHLKTFQKSESFAQVIKCSVSEESDVLEPQAVRICSAVRKMWKAYQSNMKNHVLKVPSSQRHVYFAWNEADGRDSKSYSNKAIIKSRDLSSSSSSVSDDRKFVKHSINFSKIWSSERESKGRCTLCKKQVSEDGGVCTIWRPSCPEGFVSVGDVAHVGSHPPNVAAVYNNTEGVFALPVGYDLVWRNCLDDYVSPVSIWHPRAPEGFVSPGCVAVASFKEPEPNTVYCMPTSLAEQTEFEEQKVWSSPDSYPWACHIYQVRSDALHFMALRQTKEESDWRAIRVRDDYRSMESESARNLRIE >A02p038480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33442279:33442954:1 gene:A02p038480.1_BraROA transcript:A02p038480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDLILSINIDKNTERGLQLSEETLRRKGLKSLTSQSMLMWLIVVDSLRCQDVWDLQIAPPDFRGDSSLTVGAWALIIGVILLIIICRGLTYGCWERQQTSPQIFPDGDVEMN >A02p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13469278:13471578:1 gene:A02p027770.1_BraROA transcript:A02p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKLCPNLDNEDGLETVLEVPVPEEMFTKMGSNATGRWRNMHALMKAHAVVTAVAADMRTPASSSSMSNVNMHLQSKSDNEFVALLKIVGSPLIPFHVPLEFCLSRPINDTSIEASTAKYIVQQYVAACGGPAALNAVKSMYAVGQVRMQGSEMVAGEDEGTTTTPVRLGKGNFEVGGFVLWQKNPNLWFLELVVSGFKISAGSDGKGLDPRCTASLFLDAVCIGEQTVNNEDCFVLKVETPADILKAQCSPNTEVIHHTVWGYFSQRTGLLVKFGDTKLVRVKSARGKSDGVFWETSMESIIDDYKYVDSVNIAHGGRTLTTLYRYGGAVNHRRRIEEKWTIEEVDFNICGLCLESFLPPSDMNNDH >A02g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22502059:22502921:1 gene:A02g508170.1_BraROA transcript:A02g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFVNSSSKNLKVVQLFKVYDAIDVIGQIVNFGSIENKMIKGKDNMRLLIELRDQNSLLLKSGKRVEFNPNFTQPNMDLVDQFKI >A02p053270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32424782:32425935:1 gene:A02p053270.1_BraROA transcript:A02p053270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNKLSRVVRHQSETASSMITSLRSFSSEAATHHRYHQETHSFLEPERYINSWEPPKDPRDAERMLAQLRRDYAKKVSLYRKDYVHEIEMLRVEKQRKDEARLVAERAANEERRRLKAEAAKVRAEERKVFEEEFRRTLMKEREEKLEFWRMTGLKREEKKKDKKKLLHEQSSLWIEPKELEKKITEALVESTTL >A04p025800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15625338:15627394:-1 gene:A04p025800.1_BraROA transcript:A04p025800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRKLRGNGVDIHVAIQGPSDGPVVLLIHGFPTLWYSWRHQIPGLAALGYRAVAPDLRGYGDSDAPPEISSYTCFHMVGDMIAVISALTEDKVFVVGHDWGALIAWYLCLFRPDKVKALVNLSVPFSLGGPIDPTVKPLDVLRKFYGDDFYMCRFQEVGEIEAEISEVGVERVVRRILTYRTPRPLILPKDKSFWGPKDETIPLPSWLTEEDVAYYVSKFEEKGYTGGVNYYRNFDRNNELLAPWVGCKIQVPTKFAIGEQDLVYHFPGAREYIHGPKFKEDVPLLEEPVVIEGAAHFVNQEKPQEILQLIVDFISKF >A06g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30232113:30234916:-1 gene:A06g510050.1_BraROA transcript:A06g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF566) [Source:Projected from Arabidopsis thaliana (AT2G20815) TAIR;Acc:AT2G20815] MKSCEHENVSLKTTSRGKSRVVSSRFLSPTSSSSPIRRNSTSNSSANNVHLGLRKHDRMSDGIPNQSNGPEVDTKENRRRIDDEDNVILPGRFSVDECALHRSSSSRRNSRSSLLYYNDESDSELSDVSFASTTLSTSRSLSRSHKPGIKVSSKYLHDASKGCTTNNTRLQQGSQSFRGGIESRTNSAARYGSSMSQWALSPGRSLEAHQASSIYPSSNLKPPRGKGVGKLFNLGLDFFRRSKNKSSPISSPLKPKTEASHQLKLMNNRLVQWRFVNARASAANNNVASRAKKQLLSACDALTKLQNLVLQERINLQKKQLEMKLAHLLVSQDKHLEAWEDMGRQHFSSISMTTQALHSLLSRVPLREGAKVKIESAVTIFRKGEAVSDGIISTVNSFAPTIEDIVPLASQLAEVVAQEKLMLEQCHDLLRMISELELLSRSLNQKSMASLARRAMSIAQIPSARVPASVCQRRGLAGAADHHGSTKVDFWKQPTNSGNWKEEHFVLISLSGWGLLFYSGYKLFTDDKPAQ >A05p020530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9730203:9730520:-1 gene:A05p020530.1_BraROA transcript:A05p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDLNTVHILQGAYQRALEFINASIGELKKMLSYAERMSLFVSLFEIEKAAREVLDVLGLLNDLSCAEILKEMKQKALTRAGLSEEDFSQKMEERTMARKNKDF >A07p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000172.1:11199:12265:-1 gene:A07p011370.1_BraROA transcript:A07p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRKTVTDPDIRIKLACLAIVSSVLLSTNLKMKMLKEHAELLGDIDEFLTYPWDRLAFDMLMTSIKNRDEISLSQNTIALKGFALALQLVIVDAVPALLLSAVLSPKILNAQSMNPFWFGQTRCLIKKVENLVELISQNFEFAKDMFKGGATKKDVEKMREESKNVGKKKQTRQKETQSVGADEDKLASVVLALLEPEVKRIDANVSAGIASMKELASSSLHYKDSVLASVAGMIKEMKSEIQGSKLEFVPYIFKHIWCPKYFTLHFFILNLYYTRFSFLQ >A04g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9193581:9194216:-1 gene:A04g504420.1_BraROA transcript:A04g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDSSIEKKTRSIYQVKKERENEWIWPDWMKTTLESCGIWSNQIKDEPLKELVIFEDEAVQENTRKSGIEAAYEERSKLVKVSEDKRVICDWKQGKDELYQLVRRLKEVWVELTARPENKKSIQWKGGTNCKKGRLRKLSKVWFMRRKAWRKISESGYVSDEMNLKMIKEAAQQVVR >A03p027020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11265212:11268031:1 gene:A03p027020.1_BraROA transcript:A03p027020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKRGRWTAEEDQILSSYIQSNGEGSWRSLPKNAGLKRCGKSCRLRWINYLRSDLKRGNITLEEEELVVKLHSTLGNRWSLIASHLPGRTDNEIKNYWNSHLRRKLHNFIIRKPSISHNVPDVVINAPPRSPGPPTKRRPGRTSRSTMKPKTHHPNIRKMKKTSEPPEPEASAAVKAGEETLMMELNGAEAELGPCNYYVTCHEGGACRNNNNNNSIDGDKGVSSFDEDIIDLLLDESAPSHVLTSCGGNAELNHLGDSEEAKGSSEILNQESIDCLQSCPSMESFLNYEHQVNNNAFTDEFIDWDCTCVWQEGNDNNIFDEKEGSDSMVSWLLDGEDEATIGKSNCENSGEPLDHDEENALVAWLLS >A09g510420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31641854:31647996:1 gene:A09g510420.1_BraROA transcript:A09g510420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQYGVDDETVQPQAYLGEEDQLRPSSPLVRGRVKLEVSSPVHSALFKWNSSLVQANSLSKLVAHDSFVCADSSPPSTPAPLPTPSFEATPSGSSFETDPSKGSYDQTPVHIPLSPDPYFMDIEVDVVHDSPVHGDHPTAPASPAAHIPPAPAGHIPPAPAAPIPAAQPQPAPTDPAMIALLELMAEMPQLHSPMPRGRGGRAFRGGRSGGPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGERGHYATSCPHTHLAQPVVTSAQPVVPVNPPLPLPPAKRQATAGRAYALQLPGPSGPLQGPISVQPQAYLGEEDQLRPSSPLVRGRVKLEVSSPVHSAFFKWNSSLVQASFKTNL >A02g511850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31937292:31937841:-1 gene:A02g511850.1_BraROA transcript:A02g511850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNTYMVDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGL >A09p058450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49231086:49233636:-1 gene:A09p058450.1_BraROA transcript:A09p058450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G52880) UniProtKB/TrEMBL;Acc:F4J849] MAEKSFKYIILGGGVSAGYAAKEFASQGVKPGELAVISKEAVAPYERPALSKGYLFPEGAARLPGFHCCVGSGGEKLLPESYKQKGIELILSTEIVKADLAAKSLVSAAGDVFKYETLIIATGSTVLRLTDFGVKGADSKNILYLREIDDADKVVEAIQAKKGGKAVVVGGGYIGLELSAALRINNFDVTMVFPEPWCMPRLFTADIAAFYETYYTNKGVKIIKGTVASGFTAHPNGEVNEVQLKDGRSLEADIVIVGVGAKPLTALFKGQVEEDKGGIKTDAFFKTSVPDVYAVGDVATFPLKMYGDMRRVEHVDHSRKSAEQAVKAIKAAEGGGAVEEYDYLPFFYSRSFDLSWQFYGDNVGDSVLFGDSNPSNPKPRFGAYWVQDGKVVGAFMEGGSGDENKALAKVAKARPAAESLEDLTKQGISFAAKI >A09p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9408682:9410293:-1 gene:A09p018040.1_BraROA transcript:A09p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWRLRGCLPPLPRSQAAAAKLANLTSISSEGLMVDRLRLFTSSHHSFQPETSGYSVHVAMFTKSCLAEDDTLITQLFVKTSGRPNVLLEACMHKVTEESEKRGAFWSEKWPEHIWKSAQEDFTADMIVEKLLFQSYFKVDRILRPQETFIVNDGMEKIGEIEKMMESLKWNVRMTHSRYGEGVISVQKSWWRPTEVETITSAISSEREL >A07p034060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18546604:18547461:1 gene:A07p034060.1_BraROA transcript:A07p034060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U20 [Source:Projected from Arabidopsis thaliana (AT1G78370) UniProtKB/Swiss-Prot;Acc:Q8L7C9] MANSTILLDYWPSMFCMRARVALREKGVVFEAREEDLTNKSPLLLQSNPIHKKVPVLIHNGKPICESLNVVQYVDEAWSDKNPFFPSDPYGKAQARFWADFVDKKFSDAQFKIWGKKGEEQAAGVKEFIEAVKILEAELGDKPYFGGDSFGYVDIALITFYSWFGAYEKFGNFSIEAESPKLIAWAKRCMEKESVSKSLPDQEKIVAYAAEFRKNNL >A05p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5575569:5580102:-1 gene:A05p012770.1_BraROA transcript:A05p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKKEQSPEPPSLIPLLPEDIIIDILSRVRWCDYRTLSLVSKHFRSLVASHELYTRRSLLGCTVRRLYVALSNRETTSHNPYILCLEANDNHRLVLIPWPTHVPHVGSFVTVDSKIYAFDMLNRYHHLPIPSIDCRFQTVHLVHCMNATMDVSVAGVIDGKIYVTGYTKIPWDTSAKMVMAVFNTETQIWETATETMIGRPYGCVVMAGKMYTRDGRNSFVYDPKESKWETDKRLNMFKWQGGCVVDDVLYYFDNRGGKVLRAYNPRKSSWVVVKGLERLVAEGRFSESYYTGSCDGKLVLFLSKDRMTKGIRCAQISVERRHHQGRSEIWGKIDWCDDVLFRSDDLFVTKTLDDSLRMSSKTRLKKEQSSEQPSLIPSLPEEIIVDILARVGRCRYPKLSLVSKHFRSLVTSHELYERRSLLGCTEHSLYVVLCNKENDNHYCYVLYRKANGNHSLVLIPSLPKMPRKGGFVAVGSRIYVFGGLNSYRHEKIQTNAISIDCISQTVHLLPSMNVTMSVSVADIIDGKIYVTGYRCNMAVFNTETQMWEPETIPETMNGYMWACDCVVMAGKMYMRDPVNSFVFVYESKEIKWEMDKMLNKFEWKDACVVDDVLYYYDSGWKVLRAYDPKESSWVVVNGLQELLADARFSAWSYTGSYGGKLAMFYSKITGKTKVIRCAEISLERRRQGKKIWGKVEWCDDVLVGVAGVIDGKICVTAYTKISWDTSAKMVMAVFNTETQIWDTATETMIGRPSGCAVMAGN >A08g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19592710:19594650:1 gene:A08g509580.1_BraROA transcript:A08g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNAMWVSNVVLVALYEQNDKPSSALEFIQQKLGGPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDASELNLSEDFTAYTKKECYELLKRIHKENKATGGLKFVTLCYGIIGLKLSRKLLEQMNGSVSFVREDERCFFQVDLQVKTRLGVETRGTEADSSIQ >A09p056720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45326376:45326804:-1 gene:A09p056720.1_BraROA transcript:A09p056720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMDYYLLYTVLLLLLGSVFLSNARPQANRYPSFMRFVTNVTDLASEDYYDYIMPAEERRDVHLPPRSPNPVEFVGDRRVHRRSPSSPEIAEFAGDRRVRRRSRWSSF >A02g510520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27721073:27721572:-1 gene:A02g510520.1_BraROA transcript:A02g510520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFITPFSGSKGTNEARNYRNGSHNSLTPPKDAIHKERDDAVTAKHYRHLENFKRRERALMAELGSLSMALTLQKPNMETRLRNAVSKLFKLLMPTEKYTTGVKNMVLETLSSAKIEGFVDGFRGETKAASTEKTVDK >A02p009750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4103678:4105768:1 gene:A02p009750.1_BraROA transcript:A02p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDDNNSVYVGGLPYDITEEALRRVFSPYGTVHDIKIVNDQSVRGKCYGFVTFSNRRSADDAIQDMDGKIIGGRVVRVNEVTTRFGGRLSSGAQGRFQPQSPDGRSDFSWERDRYRERDRSQERGRDRYEHSRGFERRSDHGMVDRNGYKERGFEGEEGGDWRGDSGRGVNGNSGHEGRSQDTKREDSSMLDGGRGREGKDHISNSNGDHSFQVKGDIEALIKTRDLLHDEVLVMEGRLRAKEDLCAELQQKFETLEELLNDEKKLTSQRRKELAKLHKSYSRVRECSDNLKDCEQELQSLVNSVAREGVVSADEGLENGYA >A03p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6975908:6976551:-1 gene:A03p017310.1_BraROA transcript:A03p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLCDSSLYLLDSFQESVRRYSSEKLTTRHALFPKFQFDFTEQFTVSVAMAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVVCDSYVRPCTLVRICDECNYGSFQGRCTICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >A09g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22849064:22849975:1 gene:A09g508020.1_BraROA transcript:A09g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRTNRSRTEKQPSSNSPKGRVGPNAPSNSPNGRVGSNEQSNYPIRRVGSTSLPSSHPRSSLLHEWIEPALVSSRSGSPLELYNLKTMTSSSFLPWVLPYRGPARSRCLLLVGLTTSSLFVFCSISVQRRRWTSRVSSGVRHLQSWHGLDLSLKVTLLVTCRIEGLIFVSEDKVLRFELVELFGALLQLLGIFI >A09p025750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14350645:14352282:-1 gene:A09p025750.1_BraROA transcript:A09p025750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKGKNIEQAQASCYQQWMSIQSQRVPELKQALAQRRTHEGTADAAADDNKLRELTQNIIGDFKNYAGKRADLSHRCSSSYYAPSWNTPLENALIWMGGCRPSSFFRLVYALCGSQTEIRVTQFLRNIDGYDYSGSGGASLSDLTAEQLAKINVLHVKIIDEEEKMTKKVSSLQEDAADIPISTVAYAEEHVGEPNAMVDQALDKQEEAMATLLAEADNLRVDTLAKIIEILTPVQAGDFLMAGKKLHLSMHHWGALRDRRRRECIIDAGNDGGGEEEK >A03p062880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27299220:27301864:-1 gene:A03p062880.1_BraROA transcript:A03p062880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTISRSVDEFTRERSQDLQRVFHNFDPSLRPMEKAVEYQRALTAAKLEKIFARPFVGAMDGHRDGISCVAKNPNYLKGIFSASMDGDIRLWDIATRRTVCQFPGHLGAVRGLTVSTDGNVLVSCGTDCNVRLWSVPRPSLEDSDLSSEKSTEPSAVYTCKNALLAVDHQFEGDLFATAGAQLDIWNHNRSQPVQSFTSGSHSVISVRFNPGEPDILATSSGDNHISIYDIRMSSAARKILMRTKTNSIAWNPMEPMNFTAANEDGNCYSFDGRKNEEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRSVRIFPYNGGHSREIYHTKRMQRVFCVKYSSDATYVISGSDDTNLRLWKAKASEQLGVILPREQKKHEYNEAVKNRYKHLPEIKRIVRHRHLPKPIYKAKAESRAMNDAKRRKEDRRKAHSAPGTVVTESHRTRRIIKEVE >SC179g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:617133:619983:-1 gene:SC179g500310.1_BraROA transcript:SC179g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHYGEVHSHLLSSTHAPLQVIQGETAIPGLHQVVSEPLECLGILKRNHEEKAECKATRELQRKKKQIQIPPFYGDYDPDTYLEWEKNMDLIIKCQGYTAEKQAQLASTGLCGYALDWWYQTANTRRQYGEQQISSWYEMKAVMKKRFVAKRYGQTDLERKHSQSGSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSVSIRSNNNLVQKTVSYKLDLQGFFTPEKQDLRSNLFEGREDGVILSICSKNRGETGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A06g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22219527:22220798:-1 gene:A06g507970.1_BraROA transcript:A06g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNLAVRAWRFRVKLHRIYPFYFCVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDFKYPIDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFVDFRFNPHLPEVEEFRQSVLNSDPYVQKYGVEGLV >A06p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5764401:5765794:-1 gene:A06p012620.1_BraROA transcript:A06p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILRSPHLTRHLRFSRNNRVASVVSSAAAVRQDATLWTPAPLSLVESAAESLFHISIDISNSPDLAASYTRPGQYLQLRVPDVERPSFLAIASPPSFAAASGAFEFLVKSIAGSTAEILCGLKRGETVELSSVMGNGFDVDQIDPPEEYSTVLIFATGSGISPIRSLIETGFGADRRSDVRLYYGARNLKRMAYQEKFKEWESSGVKVVPVLSQPDDGWRGETGYVQAAFARAAKQVSDPSATGVVLCGQRQMAEEITAMLVADGVSNDKMLKNF >A02g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21416442:21416889:1 gene:A02g507720.1_BraROA transcript:A02g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKPILDFEKFDDGFVQKLVYDALVWSSLHGFVIGDKTYQVKIVLMRGFDLQRSGTVPGVGMMHAPIALLPTPFPESYWNQACEVAPIFNELVDRISLDGKFIQDSLS >A01p052520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29484307:29487373:1 gene:A01p052520.1_BraROA transcript:A01p052520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 26 [Source:Projected from Arabidopsis thaliana (AT3G13220) UniProtKB/Swiss-Prot;Acc:Q9LK50] MEIKRSTEELEDNHVMQISGSNGIVHNMEFMSQAYLRNQYSSEIDIHEEFVSSYPHEDAPLPIFLKFEDVEYKVRNIQASLKTMVSKVVIHTNPDPDGYKHILKGITGSTGPGEILALMGPSGSGKTTLLKIMGGRLTDNVKGKLTYNDIPYSPSVKRRIGFVTQDDVLLPQLTVEETLAFAAVGGGFVKGISGGERKRTSIAYEILVDPSLLLLDEPTSGLDSTSATKLLHILQGLLLISEGHPAYYGKAREAMEYFSSLRILPEIAMNPAEFLLDLATGQVSDISLPEELLATKTTQPDSENVIVKYLQLRYKTDLEPKEKEENHRNRKAPEHLQIAIQVKKDWTLSWWDQFMIIFRRTFRERRRDYFDMLRLVQSLGVAVVLGLLWWKSKTDTEAHLRDQVGLMFYICIFWTSSSLFGAVYVFPFEKIYLVKERKADMYRLSVYYVCSTLCDMVAHVLYPTFFMIIVYFMAGFSRTVPCFVFTVLTILLIAITSQGAGEFLGASVLSIKRAGMIASLVLMMFLLTGGYYVQHIPKFMQWLKYLSFMHYGFRLLLKVQYSADQVFDCASKGGCRTLQSSSSFDTVNLNGGLEELWVLLAMAFGYRLCTYVCLRKKISICHL >A02g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23534810:23536384:1 gene:A02g508760.1_BraROA transcript:A02g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAHRPAPACHCGAEYETEYFASIETHTPTSIDSANQKSIDNHLEESIDNSPNDVIEDFPEGPIDSWDNDYYNPIFAVDTATPSDRANLHTEEHDDDYEEEGATEYRGIRVEDKECDADRQNHPNTQRTRASTDITYYTSFDNKVDHAQEGDYSIGSWVDEHYHESYTVETSICEPGADEFHEGFTTEELFNHQERSDTDSLFTAACGKDTRFYRPFTRAKHPSIDNKVSTSIDNLLKPPSNVSETFKQNIDYLTPDEFGIFRDPEGHARGMDVHALQVSRDDIADILQMANGAENLFMQQCNTPEHQQRVTNELYDTCGGIDDHFKPKYRQHTRPSIDISIPTSIDRRPEFGKKVYDRDGIRRFHWEQKDEYGVFRDEHGRARGVDRHIIHVSKDDIINLLERASLDEHVYICLPEHARSFTQTKLVHEIYTKDEINEMLYGICGAHEKNEDDFHMKLDGVYNPLNDSISWLTTCMEEMSQDIARMQT >A08p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10202865:10203490:1 gene:A08p015570.1_BraROA transcript:A08p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQRDSATNVCFSVSGKHIRFALSRRHGSAEGGGVVLDTSFGDEFGRDHFGHTPTVKFKKGYVKEESFSIDTLKRWEVYE >A02p054500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33292963:33295109:1 gene:A02p054500.1_BraROA transcript:A02p054500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNATGYRFSFAWSRIIPKGKVSRGVNQGGLDYYHKLIDALLEKNITPFVTLFHWDLPQTLQDEYEGFLDRQIIQDFKDYADLCFKEFGGKVKHWITINQLYTVPTRGYAVGTDAPGRCSPMVDTKHRCYGGNSSTEPYIVAHNQLLAHATVVDLYRTKYKFQKGKIGPVMITRWFLPFDESDPASIEAAERMNQFFHGWYMEPLTKGRYPDIMRQIVGSRLPNFTEEEAALVAGSYDFLGLNYYVTQYAQPKPNPYPSETHTAMMDAGVKLTYDNSRGEFLGPLFVEDKVNGNSYYYPKGIYYVMDYFKTKYGDPLIYVTENGFSTPSEENREQAIADYKRIDYLCSHLCFLRKVIKEKGVNVRGYFAWALGDNYEFCKGFTVRFGLSYVNWEDLDDRNLKESGKWYQRFINGTVKNAVKQDFLRSSLSSQSQKKRFADA >A07p019650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11681101:11683837:1 gene:A07p019650.1_BraROA transcript:A07p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAVVNPQDPVGYLSNCKDFMFHDFYYQEEIVSQDTKNNIDKLGHEQRFVENDKEEERQWGDHHHHQCPVVPSLEEELGLPAIDVENPPPVQQRRKKRRTRSNKNKEEIENQRMTHIAVERNRRKQMNEYLAVLRSLMPLSYAQRGDQASIVGGAINYVKKLEHILQSMEPRRTTTTSHEADTSTSSLVCTFSDVFTFPQYSKNSLSEVESSSSPAEIEVTVAEGHANVKIMAKKKPRQLLKLVASIQSLRLSLLHLNVTTLDNLILYSISVKFVQVEEGSQLNTVEDIATALNQIIWRIQEES >A07p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13661931:13664006:-1 gene:A07p023520.1_BraROA transcript:A07p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDE277 [Source:Projected from Arabidopsis thaliana (AT2G26930) UniProtKB/TrEMBL;Acc:A0A178VZZ2] MATASPPLISSPNSTSTSFRTRTSLPTCSFSPKLLRPLASFSVKASRKQVEIVFDPDERLNKIGDDVDKEAPLSRLKLFSPCKINVFLRITGKREDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVSGVPVDARNLIIKALNLYREKTGSNKFFWIHLDKKVPTGAGLGGGSSNAATALWAANQLNGGLVSEKELQDWSSEIGSDIPFFFSHGAAYCTGRGEIVQDLPSPFPFDLPMVLIKPREACSTAEVYKRLRLDQTSNVDPLTLLENVTNNGVSQSICVNDLELPAFQVLPSLKRLKQRVIASGRGEYDAVFMSGSGSTIVGIGSPDPPQFIYDDEEYKKVFLSEANFMTREANEWYKEPASASATTSSSESRLEIPNEM >A02p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5866613:5869458:1 gene:A02p013410.1_BraROA transcript:A02p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLILFSLLIHSCVAPPKTKAAAGALPAKKWLTLSGKEPAVVARGGFSGLFPESSATANDLAISSSSPDLTMLCNLQLTKDGAGFCLSDIRLDNSTTISTFLPKGQKTYKVYGQNLKGWFALDYSADTIFSNVSLVQNIFSRPSIFDGQMSIAAVEDVLDAKPPKFWLSVQYDAFYMEHKLSPAEYIRSLRFSGINVISSPEIGFLKSIGKDARRAKTKLIFEFKDPETNEPTTNKKYSEILLNLAAIKAFATGIIVPKDYIWPIDSAKYLKPPTTVVTDAHKAGLEVYASGFVNDMLTSYNYSFDPSAEYLQFVDNGQFSVDGFISDFPPTASQAISCFAHQKRDTPKIGKTLVITHNGASGDYPGCTDLAYQKAVDDGADVIDCSVQMTKDGMAFCLDSTDLIPTTTAATTLMTRATSVPEIQSKNGIFSFDLTWAEIQSLKPQIQSPFLAADGFPRNPKNKNAGKFTTLADFLKFSKAKAVTGVLINVQNAAYLASKKGLGIVDAVKSALTSSKLDKKVLIQSDDSSVLDSFKAVPQYTRVLSIDKEIGDAPKPTIEEIKKHADAVNVLRSSLVTISQSFATGKTKVVEAMHKGNISVYVSVLRNEYLSITFDYFSDPTVELATFIAGNGVDGVITEFPATATRYLRSPCSDLYRELSFAILPAKAGTLVSVASKEAQPPASAPNPPLDAKDVMDPPLPPVANLATNNASGGAPPASLRSGTIATTANLSLSLMAVLALGLLLCAGA >A09g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3271260:3271646:1 gene:A09g500900.1_BraROA transcript:A09g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGRISVPTAMFYWTSQMIASVMACLVLKVIVVEQRSSLSTPCSRLTTRDVAYEGARNPGVRPLAVGPIFIGFAAGANV >A03g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26017265:26019332:-1 gene:A03g507310.1_BraROA transcript:A03g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFCVIPLSLDGSYCSKISSQTGVSDNGTLCDGNLEIMARKSVHPNSRVNRKILEELPLTDLQILNTSKEDPLTGTIHLGSLQ >A01p015160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7364424:7365175:-1 gene:A01p015160.1_BraROA transcript:A01p015160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSESETLVDQPKPLTLEEMKQRKGDLITCFVACYFVLLICCFLILLSMLVPQIKIVHMSFTTELNNNSTTQQVHYLPLVSARWDLLIRVPGKLVGDYICLQGNLQASFLYKNVTLVTSSVQSYGKLEVGVPQLLNISAVATEEDLGGAAGMGEIMEAIKERTELRFGSRLSLTDCREETEEGALSYDCEDTMLKFEQGSNHIKATTFGKHASCIVNL >A10p032520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19224955:19226269:1 gene:A10p032520.1_BraROA transcript:A10p032520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLIQIVDDLNQAEFLSPGDQDGKKAELVSSELSFGNHGGCCAICLDTIPLQETAMVKGCEHAYCVTCILRWASYKEIHTCPQCKHPFDFLNVHRALDGSVEDFMFEESVCLLLRASWFKPLEAVERVSYHDIDNYDFDIPPEYEEEEDDDDDLDEFLHGSSLRLGNRRWGDNGFVRSGRQEARPAQHKHRGGGGQASSASESSSSSSSSREPKEKKTSSSAATGRRAKRAMKREAANKAAEAVAAAKHEALLVRLGRK >A10g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2912200:2916386:1 gene:A10g500980.1_BraROA transcript:A10g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKADNSLMNLSLCYERKPQFEFKCVLADNSFSPFEHLNVSSSTEKCHPFRKEIGALIDNPPEHSFWTSFTKEDTSKDCMWVDSSLQLRELANKLAEQNVFAVDTEQQNLRSYLGFTALMQISTREEDYLVDTVALHDEMALLRPIFTNPRICKACLVLEKPQKSLEGLLQTICNVDTDKSLRTRDWTERSLPPKMLRYARTDTQYLLWIADVLTAELKELKKYDESIRRSNKVCLTLYAKGQEDFKLANREQYDCRERAWKLCIWRDLMARIHDESREFVLYNEVMLEFARKVPTTYDVFNSTADQQRGGKIDEVSCLGMNGTCGINLLNCSLLGNFIIIPFSPLVKKSGKQTSKVSQIPIRKPDVAVYESDGTLKFWCNHGKGQWYLKMGLAEQTQIHGEYALQLKTETLGGPEKVEKKKDLCNSCGKEGIELNRHRIVPPSYRKYFRETLKMDQSRDMWLCQKCQKIALEAGERYKKTMSSRYEVSQTSHQKMVEAKEYVNIRRAAAALLDRPTIPESHREEYMEIVERHYGRSNLSREDLEGVRKIGLMSSKEEKNETSVEKQIIDNLLKNSGEDGVKSQESFVTLTVSISARAHELSVYR >A08g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15550930:15552298:-1 gene:A08g508470.1_BraROA transcript:A08g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVKHVNRSGNGISAWINRMMYSALDKGHPTFIDFPPEKQHLWFRQFVQEFNWNSDDTLSIYHHFVHKVMDNYGKHMYEWKKKWEVNKVQKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIAALADRMAEENEGDPVDDLALMKRADVVDLVQTQVYDEVSQLQTDDDNSTASTNLSLVRIKEIVESSVPKKKGRLVGLGRRSRSAAPRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYET >A01p059030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33708853:33714432:1 gene:A01p059030.1_BraROA transcript:A01p059030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSNKIARDEVKPGDHIYSWRQAYVYAHHGIYVGEGQVNHFTRGDGQETGTGTFLDNLIVSSSLNHGDTPCPNCGDRTKVGGVISSCLDCFLAGGDLYVFEYSVSPAIFLAKPRGGTCTIGASDPPEEVIHRANFLLRNGFGVYNVFKNNCEDFAIYCKTGLLVANTDVGRSGQAASIVAAASVVLSSPLRFVAGFGSLAVAGYGMYCASRLVSDIGMRWDVSKVPVERLVADMDDLAGMETKPEERLVTDMAGMETKPEDKKTRMTLASRSLIPICSKTFLSPLRVASLLSFPEKSSTTFFRRHQIHHLFSTSTTPLFSPVKCSTLETEVQAVSRPNVVDILQERGLLESVTSENLRSACSDPNVAPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHQAVGLIGGATGRVGDPSGKSLERPELDALTLEKNIAGIKNILVKILGGNASSYVIFNNYDWWKDMTMLDFLKKVGRFARVGVMMAKESVKKRLESEQGMSYTEFSYQLLQGYDFVHLLDKEGVNVQIGGSDQWGNITAGTDLIRKILQTEEAAYGLTFPLLLKNDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDVDVIRFLKTLTFLSLDEIKIVEDEMRRPGYVPNSAQMKLAEEVTRFVHGEEGLKEAVKATEALRPGAETKLDWNLIERIAEDIPTCSLPIDRVSGVSIVDVSVSAGLFESKSAARRTLKQGGVYMNNERVDNENKRVEEEDIVEGKGLVLSSGKKNKV >A02p040690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25700266:25700711:1 gene:A02p040690.1_BraROA transcript:A02p040690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELNFHSRSSIHSSQWFACLASHTSLNDTFVTHPSYFLAAVVIHRSRERRRRVLKFGIRAWFRLGSNLGRRFMGFGLQ >A01g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20728132:20729160:-1 gene:A01g507100.1_BraROA transcript:A01g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GMSESADGESAASTESRQRCSTCGGLKKGNGASWMLGSRGIAQLGLPPNFNLICGVRARAGVWRCFYHDKNGVKVEEDASIVILKYGIDKIIKEESPSQVEKLKVTLKQYRGISARELVTRSDLEEILEIVALYEELSYASVSYISKLSTYRSLTKEWIKASKRVQLAHARAKLLKNMSSLSHITV >A03p012220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4867523:4868020:-1 gene:A03p012220.1_BraROA transcript:A03p012220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRFFTCLVLTVCIVASVDAAVSCGTVTSSLAPCANYLSKGGDVPPPCCAGVTKLNGMAQTTPDRQQACKCLQSAAKTVSGLDPSLAAGLPGKCGVSIPYPISMSTNCDNVK >A05p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19571321:19572564:-1 gene:A05p034730.1_BraROA transcript:A05p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMMKIQPIDIDSPAVRETAARPVLKSRLKRLFDRPFTNVLRNATSEKPLHGGEVQCGGGAAVTEFEPSSVCLAKMVQNFIEETNEKQAKCGRNRCNCFNGNNDDSSSDDDFDLFGCSTESFIGDASDHLKSLVTCVSVAERNLLADAAKIVDKNRSVKRKEDLRKLLNEGLLSLHYDSSLCKSKWEKSPSFPAGEYEYIDVIVGEERLLIDVDFRSEFDIARQTSGYKALLQSLPFIFVGKSDRLTQIVSLISEAAKQSLKKRGMHLPPWRKAEYMRSKWMSSYTRASVVASGNAEEPPATVAAAEPELDDCAELELVFEEKSLSPGVINSSSSPFPSVYGGDDDVAVEREVKVTGLASLFKERP >A07p049050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26092802:26096178:1 gene:A07p049050.1_BraROA transcript:A07p049050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNYHLPMDPAMDSSFMDGLLLDGCWLETTTTDASEFPNFSPSTSIAPFDPSSFMWSPQQDNTAAISSSTTLSHMYGQGFAERSSLDDLSTLNRRFWIGPSGGGHGSSVMERLVQAVKHIKDFTSERGDSLIQLWVPVDRGGKRVLTTKEQPFSHDPMSQRLAHYREISENYQFSAEQEDSSSKDLVGLPGRVFLGQVPEWTPDVRFFKNEEYPRVQHAQDCDVRGTLAIPVFEQGSKTCLGVIEVVMTTQMVKLSPELDSICRALQAVDLRSTEVPVSPSLKGPDFSYQAALPEIRNLLRCACETHKLPLAQTWMSCLKQSKTGCRHNDHNYIHCVSTIDDACYVGDPTVREFHEACSEHHLLKGQGVVGEAFLTNGPCFSSDVSSYKKSEYPLSHHATMFGLHGTVAIRLRCIHTGSADFVLEFFLPKDCRDIEEQRRMLNALSTIMAHVPRSLRTVTEKEVEEEGDQMVSEVETLPKIEETCEVHESISNPQHVGLAVDGEIGFDYGKGVSVVNENSTFSSAGGGGSSRVTEKKKTKAEKNITLDVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVQGVSGHHLPIGSFYANFPNLASSQEQQSKQTTFLPSSSHSQPAKSSSPGSSCSHTSSCSSETQANKEDPMNKAREDTRAIKQTQTTQLSPSTSSQDDDFLRVKVSYEEEKIRFRMRNSRRLKDLLWEIAKRFSIEDVSRYDLKYLDEDNEWVLLRCDDDVEECIDVCRSFPGETIKLLLQLSSQHLQERSSVSGSLS >A07p045670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24759389:24760521:-1 gene:A07p045670.1_BraROA transcript:A07p045670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGEPSSTACLPLKEEKLQQNPKTTKGRQKIEIKQISEESKRQVTFSKRRTGLFKKAAELSVLCGAQIGIITFSRRGRIYTFGNADALVENYLRRTPVMLRSHPGGDMPNEGEEADGLKWWEKTVESVPEEEMEEYITALSGLRDKLWTRICQLGGDRMIQMNAPVFQNQMAEIEWKLTDENLTVRNDQGQLGNDGYLDIAAGTFLSQILDEVHDHYSRDFPSAFVDSNIHDISVSGDAHSSDESPFLEFISYQDSLEIGSLVGTRQTPELLFDSEVF >A01p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3664582:3666843:1 gene:A01p007440.1_BraROA transcript:A01p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LJRHL1-like 2 [Source:Projected from Arabidopsis thaliana (AT4G30980) TAIR;Acc:AT4G30980] MNSSLLTPSSSSSSPHHLQSPATFDHDDFLQNFFSSAPWPSSALDDDAPPPTSDSSLAGFHHHDVDSRNQITMIPLSHHNPNDAVDVNALYSGFSAGSLPFHFPQGSGGGVMKQSHTQTQSQATASTTTGGTAATPQTKPKVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASVSSQISEDAGGSNENTSGENQTAAKMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATTISTATCPSRNPLISAVKEAGVPLSPNAATTPLVNVKGASVVTVKDASSVSKP >A04p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10711761:10712776:-1 gene:A04p017640.1_BraROA transcript:A04p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVASTIIAKKAIVDTYGPTTKTERLQKAHGSRRLDTCKDNVPSVRHASLPPQPDRHHIKKSPELQHRKPGAQTTAEPPSRPTLLFHALSSLRRASSIQPRAHPTAQNR >A07g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6918611:6928820:1 gene:A07g503560.1_BraROA transcript:A07g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFNKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKHCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPVLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKDFCVSKSVFYNMINSLKIFEPDKCLDQSRFQNVNGVTSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKRNSFDLSSSRHVLITDELFASSYAVDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKYILICDLNKYLSCTFDPGLLVFVLSIQESKVQPLNESIGHAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNITKVFCLESNFTRKPTHQGFTEAWNRMKNFTDEEVMNFPNRRFFSPSMREYQISKGDSCPRKNRTEPKPILHEPKLFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLFRPKNSFDFVHDDNFSHLALSLSFHNSFSPWPDFEIDKSIFCNQLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHDQFLRRASTNGRQIFTKKYPLGFKPNKPSSVTTHTRRPVAMQQPNPSQARSLCSNRALVPLGRYVATELSQARSLRSDRARAKARSLRSDRAIVPLGRYVAIELEPKLGRYVATERSSRSKLRKTRSKRLESEDGPKGPKTRLETHPTIFPNQKPVNHSMVHAWPTRKDKCQIGKNGISPFLCHDGLRAEDCDSIRFSRFRVARTRNLADSSRAQAYTLFANFGSHSLALEGGGLTDQSNT >A07p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12270549:12273423:1 gene:A07p020820.1_BraROA transcript:A07p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNETQLRDVPCVADDALKEELGDVADKDQNVSETPQQPLLLGQANGVAGHSEKKTRDKVQFSSPEVGDGSVKKRKTWLLNDSEAQGDDEAGTPEEQQAFLIELATFHKENYLDYKPLKFYGQPLNALKLWRAVIKLGGYDVVTTSKLWRQVGESFNPPKTCTTVSYTFRIFYEKALLEYEKSLRKNGDLNLPDSAFNLSSSHQKEVMSHQGSGSGRARRDSATRAMQGWHTQRLAGSRESAETSVKDKGSNSAPKHKKLKSIGLPKPRPQTSMDLVVSQEAEKQSVADVVDDGPIADWVKITVKETKNSFEVFALVPGLLRHEVRIQSDPAGRLIITGEPDQLDNPWGITPFKKIVSLPARIDPLRTSAVISLHGRVFIRASFEQTAL >A03p055130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23748620:23749902:1 gene:A03p055130.1_BraROA transcript:A03p055130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKWGKKKPISSSSSPGLSRAHHVSWFSKLTGSSDLKLAKEKKKQDDDEAIQKMSSKSSLSSTKRRNDTHESSKRLQRVSAEKENAATRSANMESNEKFEEIMSSVRKKVRDFRRETMDGDKGTVIMTPRLQVNRERQQRCERRDQKLLEQKPKRPEQNTEVKVKKPARRTGTSNSRETLVAHQWQHLKETKLIEVKLKADKQRKSMYLRRELGTKENSKVRVFSPRSSEKCRVKAIEDLKKAKLRAKEQEMENESFAVVKCSSDPQKDFRDSMIEMIMENGINRPEELKELLVCYLRLNSDEYHDMIINVIPSSTRGTSLTSEHDLKSPWNQLQKKQRGYIKS >A01p043960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24616766:24617679:-1 gene:A01p043960.1_BraROA transcript:A01p043960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSRSKQALMADTNQKQSKPTPKTFPRLFTAFTSFKSFTENDAVASPTSILDTKPFSALKNPFVSDNPKTHEPETRLKLEPTRIGLAIVQDENPVPELFSRPRSGTVLFGSQLRIRVPDSPRSSSDFGTKTKNSPVSPPPEETKKAGIGSTRIFTGYFSTSEMELSEDYTCVTCHGPNPKTIHIFDNCIVESQPGVVFFRSSDPVNDSDCIPPDSFLSSCCNCKKNLGPRDDIFMYRGDRAFCSSECRSLEMMSEETDN >A06p047410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25179767:25181497:-1 gene:A06p047410.1_BraROA transcript:A06p047410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLFLSEPKWDDDARNSTNTDVILPLLNKLSSHIQSLVTRGARSEARLWLCSGLSTLSISPRRQLNLFMKLLRSKPRKKQLVSQLLQLMFEKRPKKLGSLLAKRSYLLERFFEGNPKRILEWFSEFAFDGGSDHKRGAKALAQFAFANRDICWEELEWRGKHGQSPAVVATKPHYLLDLDVQRTVENFLDNVPDFWSSSEFTESLKDGQILFLDAKFFLDLFIRFMYDEDVSDVWDAVEEFLAEESFSSLTQHLLITLEERDLCRFLELLGDCFDSRIESWDVVGDSSSASWLGVVVSRYGGDAECIDELLLLNSVINQGRQLVRLVRDENGDDEGEVLKETIADVCRGLEKGSSFCLIMRELWKMKQTEVIRVLGMLSWTVQFRLSEECQSPDSWESLFRENGIEFRRSSDHSLVSHEGFSEESESDSDGRRRVSKKRHKKGKKKKNRAKKKKRAIDDEDEDDVLIDDEVLGLNQISRSWLLSTDGFSATWTSVDLPEHIARYCLSTWMKWFLARQR >A09p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12748834:12749958:-1 gene:A09p023160.1_BraROA transcript:A09p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLIPILRPFFTSITETQAPISARTSDHPRTLSRRDALSLSSASVLLFSLSPTDPAFAFGISGPKEWLKDQKKKSSRFLLAPIDASRESLRSVYLSLSTRESDYTDKDLESLQNLLRSSARDCVPKERSSIVDFQSKTGVEVCTFKLVLKNAASLLDDKDPVILKAENILNDLARSFGSLIVLTNGIDMNLPSDRKKIADAVMEAISYLDKFEKSVKDCLEI >A04p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9805776:9806415:-1 gene:A04p015850.1_BraROA transcript:A04p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKHHYPRGCSDKEKALLRLLSSEGKGLGTCWSSNQRLLILGNTRNDLGSFKKVFSKIRC >A03p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4611460:4612352:-1 gene:A03p011520.1_BraROA transcript:A03p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSTRLAEKLPGAAFSYLALDSIKKEINLYGFLSVCNLQLKVLPFCLFKLSIFSMFLLFSTLFQKYTIIESNVGTGESSILERSLNPGIDTIGVRVPDCELIRKVSRGSGSVLALTSANLSGDRSSVCVNDFQSLWQHCACVYDGGLLPLRRAGSTIVDLTKVGKYKVIRPGSAKQETLAILEKYLLEEE >A09p023410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12820370:12822260:-1 gene:A09p023410.1_BraROA transcript:A09p023410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHG1A [Source:Projected from Arabidopsis thaliana (AT5G42940) UniProtKB/Swiss-Prot;Acc:Q9FMM4] MQEERAILGFLSEALSFELGSSTSIDAVTDHWDHIHSLGDNDLHDYMIANPEPSHEEQHDLHSEASSSGTKNEAASSHSEQRRNEDSEQPPSAPNQHVQNSNPPALSVPPFQWTEVSLADGSSTSTVPPVERNLHLDETRPRSIPESPLFIPAPELRNATSGDLNVASAVSRTGSATGVQPPSYQNLPHQQRRRSELARRSLISSLAGSGDHPTLGASPDGLVLQPGGDRQGDGVAGAHHSFRALAAASRGRSSRLTVSQMQNILDVMRRDPNHNLRLEELLALEERIGDVCTGVNEETISNRLKQRKFKSSTKSPQDAEPCCICQEEYSEGEDMGALECGHDFHSQCIKEWLKQKNLCPICKTTGLNTAKKRKIG >A05p046310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27546924:27549231:-1 gene:A05p046310.1_BraROA transcript:A05p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEKEKEKEEAKRTMIMKINKLKETPQEISQEDAKRQEAYNMSPRVRGGSGSAGMGKSSSVRLNCLCAPTTHPGSFRCRYHRRNSALGIQRILSSSTLAAASLSPSLLPPTPAPNQRVRLIIMAETTQMEIETATKAVPLPAKPVFKPLKAHEMSDGKVQFRKIPVPPNRYTPLKKAWLDIYTPVYDQMKVDIRMNLKSRKVELKTRADTPDVSNLQKSADFVHAFMLGFDIPDAVALLRMDELYVESFEIKDVKTLKGEHLSRAIGRLSGKGGKTKFAIENSTKTRIVIADTRIHILGAFTNIKVARSSLCSLIMGSPAGKVYSKLRNVSARLAD >A03p006830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2850053:2852014:-1 gene:A03p006830.1_BraROA transcript:A03p006830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNSKESWRQESSSSSFRSASASSASPSSSSWASHQSYPQYGPESYNYPPTPSYAPHPPPEYAQPPPAPSYSTQPYSQPPSYSHGNDKKRLERKFSKISDEYSSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHFIGNNPNPYEQAITIIGRTLAAFDEDNLIPCYGFGDASTHDQDVFSFNPEDRFCNGFEEVLGRYKEIVPQLKLAGPTSFAPIIDMAMTIVEQSGGQYHVLVIIADGQVTRSVDTENGQLSPQEQKTVEAIVQASKVPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMSKNKAQSLKETEFALSALMEIPQQYKATLELSLLGRRRNGNIQERFPLPPPMHGGGSSFNNKPKTNRVPSFKPSVPPYPTESYPVRSIPAPPPATTSASDNQLCPICLSSPKDMAFGCGHQTCCECGPDLEMCPICRAPIQTRIKLY >A06p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12323474:12325370:1 gene:A06p022360.1_BraROA transcript:A06p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQLSLHVVTVLVLLLSCFVNYSVSKQIKTYKLTRGKLSVTFTNYGAVMISLLLPDRHGKQDDVVLGFDTVDAYKNDTTYFGAIVGRVANRIGGAKFELNGQLYKTDPNEGHNTLHGGTKGFGDVIWSVENYVPTSHITFIYHSFDGEEGFPGNVTVKVTYMLIGENKLALKMEAKPLNKPTPINLALHTYWNLHGHNSGDILSHKIQLLAKKITPVDEELIPTGEITSIKGTPYDFLEPREIGSRIQELPGGYDINYVIDGIVGKHLRKTAVVSEKVTGRKMELWTNQPGVQFYTSNLLTSVVGKGNAVYKKYAGLCLETQGFPDSVNHKNFPSQIVNPGESYLHVMLFRFTAH >A08p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4072471:4078003:-1 gene:A08p007060.1_BraROA transcript:A08p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEEFVKLLGKGAYGFVNLVRYNNPDDNSSYLSAVKNSYQEDYNALQREFHVLLQLKGCPRIVACFGDSLQQSFSRFGEKLHKLQLEFASEGSLHAFMNNYADRKLPEPLIRDFTRMVLEGLVSIHDHGYVHCDIKPDNILVFPSSSSRQDSYEVKICDFSNSLEIGEVPLCWEINFPWLGTAIYMSPESVRDGIAHVSLDLWSVGCLVLEMYTGVIPWEGLELDEIATRLLSGKSPEIPETLPCDAKDFIQTCFSRNPEERGSAHELLLHPFLPRPLVEEEDEKTTEEKTSNSFLSNLFKLRIRRRGSKKKLATDDVAVSDKKPLKLRFWKTKTVKRTLTKVLGLKKSTDFFQFSVRSLRFSTYFLFMKKGKAILIPSDDKAQVPDRPSKQPVKVLSSSSQQSSQRSTITKSSVHKPAATPWRLAQEFQTPETTKLKTTKGSAVRRVSISEKRSEAKNLPTEGEKHQNNGVKILLNDSSCLPLLKRTHS >A09p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7699508:7704451:-1 gene:A09p014670.1_BraROA transcript:A09p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIPHGRRSIELPLRLNLVNLLQNASAFSSDVSSLQDGRKGKTFTVSYLVHSLGLTRKLSETISTKVNLEDKCNPDSVLSLLRSHGFTDSHLSSIVTNYPRLLTADAEGSLSPKLKFLKSRGASSSELSETLSKVPRILGVKKEKSISIYYDFVRDVIEADKSLEYVKLRPSSLPQGSQQENKLRNVSALRDLELGFDVEDVWAMFKKYPPFLNNSEKKVTQTIETLKMCGLQEDEILSLFEKFPQCISYSEEKMEKAIDTLLCLGFSKGDLTVILKRFPQFIGLSAESLKEKTEFLVKEMNWPLKAVVSTPAVLGYSLEKRTVPRCNVIKALMTKGLLGSELPTISPVLAIADEAFLNKYVRKHNDKKLVAELMATFTGKKKKNKKNLDLKWPSFIVSLNLSRNASSSSASGLKGKNFTVSYLVDSLSFTPKLAESISKKVTFDDKSRPDSVLNLFKSHGFTDSQISTIITAYPLLLTADAEKSIAPKLQLLLSRGASPSELTETISKVPRILSKDRSLTVYYDFVKEVIEADKKSTSTLCLPKRGKQENKIRNISALRELGMPQRMLFPLLISNRPHICGKERFQESLKKVVEMGFDPTTPRFLEALRIVMGMNNETVQEKVSVYKRLGFTAEDILVMFKRFPIFLALSEKKITRSFETMKECGLLEDEELRSVVKMFPQCLGFSEENILNSVETFLGLGFSRDEIAMMVKRLPASIGYAGETVKRKTEFVVKEMSWPLRAVALFPQVLGLSMEKRIVPRCNVIKALISKGLIKSELLPSLSSALVCTDQDFLKRYVRKHDEDEEFVAELMAVFTGEMRTNK >A04g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7011699:7016352:-1 gene:A04g503180.1_BraROA transcript:A04g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKSIDEYALLAMTDKMVDEDDLLDDMAELDEQNRDEVMEDGRIEAISQLSPERPSSTTNGTGAMTNQKNTQTLQQITTLGKRRGARSPDLKGVSASRKLAKMEPDMDIQEQQRTTNRVVQTPPSTRWRCQTDASWINNTDRVGLGFVLLDRGTPVLFGAQGIGHAASPLHAEAEGLLWAMQELLKLGNRAIRFESDCEQLVKLLEDKEDWPAMAPEIDEIQALSAEFTEITTAYIPRSTNVRADSLAKGGRSRAFGTPFVNCFAPSWLTPDAGQEAAN >A08g506250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10741922:10742239:-1 gene:A08g506250.1_BraROA transcript:A08g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDLHFTKMVIGFSCVAAQEALLNPTKFPEVQSLTQVILQSLHQFGVWTLDHVVPERNRVAKLIAASVSKDQRYQSYVAQGGPAWLDHIIRREALLHDQLSQT >A05p048660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28446696:28449747:-1 gene:A05p048660.1_BraROA transcript:A05p048660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTLHLRCLDDYLECSLEPLMLFLHNSLILKFLRIDYVYTTSSLVPQTFKVKLVYYSSLVVFRMWLLAARISHFRGTNQVLYQDACCPILRSLCGKVLEEEEDKRENVWLTSLQTQSVLKTARISPICYYNLEKKEKTVGGHKIYRKQKKMSCEDKISALHDDLLVKILSQVPTKDAVTTMILSKRWGLVWTMVPKLEYKDTWKEGEKSIWRLLEKSLQLHKAPVLESLNIQVECQFCDDADVGKCVSYAFDHKVRELALILPSQPKILQLPSNVYTSKTLVKLTLSCRTLVVDVPSLPCLPSLQRLALLSVVYKDEKSHVRLLSNCPALTHLEVFRNLSDNVTRFIVKVSSLKSFRYTQHKRAPCRSLVLDSPGLRRLTLIDPHGDLDSIQNMPHLDWAYVLHFVPNPKDKKFLRSFSSVKVLRLSLRNVECCSTIKFSRLMKVTLHLYCFDDFMCSLEPLLLFLHNSPILKVLEINYDVALCSNGVPLSWNRPSSVPVCLLSHLEIFVWKEFAGRRQERAFVAYILANSKRLKTAEFSPRYNLYEKEKEKMMELLKSMYRASASSQLDFLI >A02g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:898829:906446:1 gene:A02g500240.1_BraROA transcript:A02g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDSAERAHAENSLRCFSVNTDYISQCQYILDNSSKPYSLMLASSSLLKQVTDHTLPLNLRLDIRAYIVNYLATRGPKMQPFVIASLIQLLCRLTKFGWLDDDRFRDVVKESTNFLEQGSSDHYSIGLRILDQLVQEMNQPNPGLPSTRHRRVACNFRDQSLFQIFRIALTSLSYLRNDATGRLQELALSLSLRCVSFDFVGTSIDESTEEFGTVQIPTSWRSVLEDSSTLQIFFDYYGSTESPLSKEALECLVRLASVRRSLFTNDATRSTFLAHLMTGTREILQTGKGLADHDNYHVFCRLLGRFRLNYQLSELVKMDGYGDWIQLVAEFTLKSLQSWQWASSSVYYLLGMWSRLVASVPYLKGDSPSLLDEFVPKITEGFIISRFNSVQASVPDDDPTDHPLDKVEVLQDELDCFPYLCRFQVILYSGFVCHAYERTGTYIINTMEPLLQSYRERAHQQFADNSELTLIEAKLSWLVHIVAAIVKIKQCSGCSVETQEVLDAELSARVLQLVNVMDSGLHTQRYGEISKQRLDRAILTFFQNFRKSYVGDQAMHSSKQLYERLKELLGLHDHLVLLNLIVGKIATNLKCYAESEEVISHTLSLFLELASGYMTGKLLLKLDTVTFIISNHTREQFPFLEEYRCSRSRTTFYYTIGWLIFMEDSSIKFKTSMEPLLQVFRTLELTPDSMFRTDAVKFAVIGLMRDLRGITMATSSRRSYGLLFDWLYPAHMPLLLRGISHWFDTPEVTTPLLKFMAEFVHNKTQRLTFDSSSPNGILLFREVSKLIVAYGSRILALPNVADIYAYKYKGIWVSLTILSRALSGNYCNFGVFELYGDRALADALDIALKMTLAIPLADILAYRKLTKAYFGFVEVLCGSHITFILKLDTATFMHLVGSLESGLKGLDTSISSQCAVAVDNLATYYFNNITMGEAPTSPAAIRFAQHIADCPSLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIFSDLKAKILSSQPVDQHQRLSACFDSLMTDISRGLDQKNRDKFTQNLTLFRHEFRVK >A05g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10705010:10709429:-1 gene:A05g503770.1_BraROA transcript:A05g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKSQIVNSSTIKAHLESELERQIRRSKITFPKSRVPSYVRVRHLIVKSEAEIYPQWPEDKVDDDLHNLITDILHGELDDTYWNQNTRNAPVANKAKRKLASECEACLKKKMPKERTSDYACGSGLRSDGDDGFKHDLIEAVKTLTSTVASMDKIVAEKVLTAVDTTIEAKVNARVGQAELVLAKQISTLKEDVAILREQMQAIAPKNDAHFVNQEDEVNSNDPSWMVQDKAPTHLDAAAVQCVVRKKAKNSEVKLTSHVLLATDGEKVVGKNQAKKAAGDLKTVKKEKNVVPQLRDSAETWSNSEDRNKYGKLDATLDQLAASFLDGPLQKRKPQLTKTQVYPYVGNSTVKRIIH >A01g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19780148:19781445:-1 gene:A01g506740.1_BraROA transcript:A01g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRPVGKTDNERLRVRRLRGGLVYHIHGHSRDMIRIRILGVDLISILRRMNWIEYENCIIFRNKVLASTDTLFCHPVLCKKFMAYNEILKTFEDQEELKEGYGNGEEACREDKEQRALHCPECMLNHSSLNKKNTQLRIFEWSYITVSSGISGLMLLSIYYRFHQRHIYKAKKEEDEHDNLKPASIAGGGNCSKS >A10p030990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18632444:18635788:1 gene:A10p030990.1_BraROA transcript:A10p030990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGNSKENWRQESSSSSRSASSPSAASSSSWASHQSYPQYGPDSYTYPPTPSYAPPPPEYTHPPPSPSYTTQPYSQPPPPSHDKKRLERKYSKISDEYSSLDQVTVALGRAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHFIGNSPNPYEQAITIIGRTLAAFDEDNLIPCYGFGDASTHDQDVFSFNPDDRFCNGFEEVLGRYKEIVPQLKLAGPTSFAPIIDMAMTIVEQSGGQYHVLVIIADGQVTRSVDTENGQLSPQEQKTVDAIVQASKLPLSIVLVGVGDGPWDMMREFDDNIPSRAFDNFQFVNFTEIMSKNKAQSFKETEFALSALMEIPQQYKATLELNLLGRRNGYIPERFPLPPPMHGGYNKPKATPRVSSFNPSVPPYPTESYSVRSSPAPPATTTSASDNQLCPICLSNPKDMAFGCGHQITNLPYKRLSQEDDVFNETTSRSSSYPYRFKRVLSLRGRKRIRVRVKIRRLRGFVRKKASKVKIGVLKILKRLKESQSHFGDLFAGNYLFMQVNPSSLNTKYVFDRSFQVQNGNLPPSKVSLPRVLM >A02g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7501550:7507537:-1 gene:A02g502330.1_BraROA transcript:A02g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATLGFSLRAAESKIFSHGAVSLANPCGAYLQREKIPAAVWITLENFQIIIEDIRKSSENLPFPKNLSKEILEYIGFGFRSIATRNQNFCFSKSGRLRTLFLLLMDVNLAEMKIYMYCADPEERRIREVRMRKTLNELSNDPIGQRSCLRLEAAPVLAKDVNNVRGRVFDFSRVQSERVPDMLESSSQGMTRQREVTGKERASGVSEKVCSSLLGEEDNEGHLVSKRDHQRLRRDDGYKADQEDGSRPVDTNFGGFVMRSGVNISTERITKSKASQNSRSSWVRRNQNKRKNGEQMNGVLLECKQWEPPIPGSVKCNIHSNWRNAKLHSGGAFIIRDHSGNVLHHARDAFTFSPNRLTAELRCLEWALQSMKDLGYQEIVLGSDLHDLTDAVTCQLNWPRFRAILSRLRILCMSFSSIAFETETVVSNGDAREIAKSVLRDGRFQSYLALGGPAWLHQQILRDASFIHS >A09p076840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57389688:57391645:-1 gene:A09p076840.1_BraROA transcript:A09p076840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSDKPRPIDFYKDDNTTTARDNMIIDVTTNAPGRDLHPHHHHHHLQPQQILLGESSGEDHEVKAPKKRAETWVQDETRSLIMFRRGMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHHDRGNRSAKMSYYKEIEEILRERSKKVTATGQYSKSSNATPTAKVDSFMQFTDKGFDDPSISFGSVEANGRPALNLERRLDHDGHPLAIATVDAVAANGVPPWNWRETHGKYNNLKPQTFIFELHSFFIYVIQEGGDSHGQPFGGRIITVKFGEYTRRIGVDGSTEAIKDTIRSAFGLRTRRAFWLEDEDQVVRCLDRDMPLGNYLLHVDDGLAIRVCHYDESNQLPVHTEEKVFYTEEDYRDFLARRGWTCLQFDGFRNIENMDDLQPGAVYRGVR >A03p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17004230:17004958:-1 gene:A03p040760.1_BraROA transcript:A03p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMMAGITGSFLIQRKILVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGEQYCDIPLGLYVIRGENVVLIGEMDTEREELPPHMIRVSETEIKRAQKVEREAGELRGTMRKRMEFLDFD >A02g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18718466:18720392:1 gene:A02g506690.1_BraROA transcript:A02g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFGQPGLALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDYSMLRGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEVGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSFMKSGMSDRAHAEALISPIDERIQGFWDSIPISPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01p009530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4590926:4592437:-1 gene:A01p009530.1_BraROA transcript:A01p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 26 [Source:Projected from Arabidopsis thaliana (AT4G28850) UniProtKB/Swiss-Prot;Acc:Q9SVV2] MAGLRVQTLIFVLVGALAILDRTFVEANFDKNFIVTWGKHHIGTTNGNLRLVLDKSAGSAIRSKVAHLFGTVEMLIKLVPGNSAGTVAAYYMSSTGTAHDEIDFEFLGNSTGEPYTIHTNIFAKGKGDREQQFKPWFNPTNGFHNYTIHWNPSEVVWFVDGTPIRVFRNYEKEGIAYPNKQGMKVFASLWSADDWATQGGRVKTNWTQAPFVAEGRRYKARTCLWQGPASIKQCADPTIKSNWWTSPSFSQLTRSQIDRMQKIRSGFMIYDYCKDTNRFKGVMPPECSKRQF >A05p009160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3766187:3767045:1 gene:A05p009160.1_BraROA transcript:A05p009160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCNGTCQTLPDNGFHVTTNPPNLHLSNYSYFKVNYLAVATAIVGFSLVTHPFSLAFLLCLLASWLFFYLLRPSDHPVVVFGRTFSDMETLGCLILFSVFVVFLTDVGSVLVSAVMVGVALVCAHGAFRAPEDLFLDEQETAATGFLSFLGNASYSAAPAVVAARA >A01p043830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24675049:24677876:1 gene:A01p043830.1_BraROA transcript:A01p043830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPQKTVTQIETPVSKLKVENSPVFNYINSLSPITTVKSISNAQTFSSLSFTSPPPVFTTPHVASHKGSRFRSHSNSSDPSNKVVEEALLEKEPPQILNNDCLTTTPRVTNDGSCEDGETDLQKMCDDNVKRKSDTPDWETLISDSSDMLIYGSPNDSEAFRCFLQRSSDSKTRLCSGSKPALPPVSQSNEPESSDALSILHRGVRRRCLDFEMPRNNNQTPRCVVPSIGLHLNAIAMSSKDNNVSKEYSFTGNVKVGLQSSTKTPVLPSDDIVRENETREEAAGEEGLEEAPVSLALVEVSQSSPKKKRQVVILRDLPQAGEGESSCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCIDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRNSDSTIEVGEDASKTPASARHKRGCNCKKSNCLKKYCECYQSGVGCSINCRCEGCKNAFGRKDGSSFAGMDTEQDQENETSGKSGTTIPLPPSTPMPLRQPLAQLPISSNNMLPSQQSQQHLHGASLYNSQSFRKQDMGLMSQSRIETIAEERAEDIENFIHSPVTNINALSPNSKRVSLPHMDSTETTPWRRNGGRKLLLSSIPTFPSLTPHH >A08g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12061121:12062201:1 gene:A08g506990.1_BraROA transcript:A08g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTNLRVRVCSCRSRFDSSPPNGNKLFKEQEYHDAVRHHTEAIQRNPKEPTTRPRGMQDQGIKNILTDLRIHQQHNMHTR >A02p054890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33255845:33257053:-1 gene:A02p054890.1_BraROA transcript:A02p054890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSCCYKQKLRKGLWSPEEDEKLLNYITRHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEESLIIELHAALGNRWSQIATRLPGRTDNEIKNFWNSYLKKKLKIKSSDPTTHKPLVSNLQTLNVIDQKLTSSTTSEVSKSTSLIINNHDQSMVISSQPGPCWFPEINTTANQNVAFCFSSSTTRPTVLDQMSSNFNPVPNNWELNYCRNTVPSQRNSIYNAFFGNHYTEASQIMNNNNNNHVMDPHYHQDMKSWPSEILHYTEHNQSSETGLKAEVKPDIAKYYLGSSSSLNESAARLLHNAEVDLYGTNLQKLNNMAFHQSL >A07p046940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25279018:25282760:-1 gene:A07p046940.1_BraROA transcript:A07p046940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINLYKYLKSKEESSIKGNKNTKNQSYKNTDHKPQSSNKTKPTKVMTLSIFLISLLSLSPVVFSDDASFQKLPVPGNRSGTEAFAIDSTGKGFYTGVSGGKILSYTPGKGYIEFAYMTKSSKSQRCDGALGTANAGKCGRPAGLAFNDITRELYVADAVLGLHVVHAVFGSMAKKIADSVDGKPFVFLDGLDVDNTTGVVYFTSFSSKFSAGDVLKAVASKDATGKLFKYDPSKKKVTVLLEGLSGSAGCAVSSDGSFVLVGQFTKSNIKRYWIKGPKAGSSEDFTNAVSNPDNIKRIGSTGNFWVASVVSTATGITNPSAVKVNSDGKVLQTISLKDEFGDTLRVFILCFLLILLTSHSFVSALQTFQKIPLPPRASGPEAFAFDSHGGGPYTGVSGGKILKYQGPKLGFTEFAYITSIANKSLCDKAVGTFLGNICGRPVGLAFNEITGDLYIADAFLGLYVVSRRGGQAKRLADSAGGLPFKFLDGLDVDPVTGSVYFTSFSTRFGPSQLVLAVAVNDATGRFFRYDPKTKSVTVLLSGLSGSAGCAVSSDGEFVLVGEFLRNRILRYWIKGPKANTWDIFVPSIPGPDNIRRTDGGDFWIASNSVKLIVLPTKPSAVKVNAGGEIIRRMSLGEYYGDTLVSEVNEYKSVVYVGTLTTNFVGNM >A08p033390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20087653:20088706:-1 gene:A08p033390.1_BraROA transcript:A08p033390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNNMSLAVDSQKKNRIQVSNTKKPLFFYVNLAKRYMQQYADVELSALGMAIATVVTVAEILKNSGFAVEKKIMTSTVDIKDGARGRPVQKPKIEITLAKSEKFDELMAAANEDKEAAEAQEQN >A08g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11565548:11565980:-1 gene:A08g506700.1_BraROA transcript:A08g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRRENSARKLCFCRVDAEIRQAWTDKNPGRRFYGCPRYKEKNGCNYFKWFDVEDGTEWQKMALIEARDEIQEKSRVIEQLNQTIAELTINLERIQQEEEIVRDFQNLYV >A07g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3886988:3887951:-1 gene:A07g501870.1_BraROA transcript:A07g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEKTVVQVFELTSEISTVQFTKLLLGKQRESKTLLAVKARIFNESWHTTYVLATNFDEKECVKDIGSSSASTNYGGVQKLEYVTDAEFNAYVLRHRSGTQHVWMYPLKQV >A09g515730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46400558:46400970:1 gene:A09g515730.1_BraROA transcript:A09g515730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGHTLSYQAEYVAAYGELMWECPVVLEIRGDKARVIRSNCDLDPRTRPHSLRPVLHDGFSSIESIFEV >A08p044160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24474253:24477193:-1 gene:A08p044160.1_BraROA transcript:A08p044160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 25 [Source:Projected from Arabidopsis thaliana (AT1G07730) UniProtKB/Swiss-Prot;Acc:Q9LQQ0] MHRRLSSSVLTTIASRHHYHTSQSAKPTKKPSRHEPTTAHKPTRKPWEEVPFLTDLKQTEETEEALSLFHHYQQMGFRHDYPSYSSLIYKLAKSRDFDAVDQILNLVRHRNVRCRESLFMGLIQHYGKANSIDKAVDVFHKMTSFDCVRTIQSLNTLINVLVDSNELEKAKTFVDGAKDMGLRPNSVSFNVLIKGFLEKCDWEGARKVFDEMLEREVQPTVVTYNSLIGFLCRSNDVGEAKSLLEDMMVKRIRPNHVTFALLMKGLCCKGEYNEAKKLMFDMEYRGCKPGLVNYGVLMSDLGKRGKVDEARLLLNEMKKRRIKPDVVIYNILVNHLCSEGRAPEAYRTLMEMQMKGCKPNAATYRMMVDGFCRIGDFDSALSILNAMLASRHAPTPATFVCLVDGLVKGGNLDHACFVLEVMGKKNLSFGSGAWRNLVSGLCIKDGGGCNILFLLVLSLAITFVSPARLLNEEEDIGLVPVPTTTSGSGLFPTSTGITTGASPASGGSGPLNTLSGSGPLPTTGSGSLPVASSVPLPATVPGSLPTTGSGPVPVASSGPLPAAGSGPLPTVGSGAGGLLPDHTLVFFMHDILGGSNPTARAVTGVVANAALSGQLPFAKPNGANLPVSNGVPSNSNNNGILNNNNVPLLVGLGGTTSSILQNNGNSLLNGLPVANGGQLPSGSSLQMLMFGTMTVMDNELTEGHELGSGLLGKAQGFYVASAVDGTSQTMAFTAMFESGGYEDSISFFGVHRTAASESHLGVMGGTGKYVNARGYAVVKTYTGGSGNTQQPHQFTDGLETVLECTVYLSY >A07g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15950297:15951433:-1 gene:A07g506560.1_BraROA transcript:A07g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVNDGFVWAYSQHGANLKEEFDSLDFEEEKILTNRIARDIAKSVLRDGRFQSYLALGGPSWLHDRIAGETIRSDV >A08p038690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22361027:22363857:-1 gene:A08p038690.1_BraROA transcript:A08p038690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEMTPAKQISKLSSSARSFILNGSRLSAASGNSRAHTDDEPFVSRRQQLRNQAAHTGKTQSSIVLNPSVVASILPGETSKKKVDDSARSSQSSSHSVSYASAVIKEEEEASSAPFGDQILRAGVKAVNILSDLANCKLPSFDRESEVSGLLPKAFMVDPTRPITSVKSSNVKAKAYPGSSSKESKTRNPCRSFQGSKEAARGQHCNTRHVVENVCSVLKSFRWGPAAEEALEKLRTEKKIVMSPRQANQVLMQMNDYGKALGFFYWLKRQPDFKHDDYTYTTMLGSLGRAKQFGAINKLLNEMVREGCQPTTVTYNRLIHSYGRANYLKEAMNVFNQMQKSGCEPDRVTYCTLIDIHAKAGFLDIAMDIHQRMNAAGIETDTFTYSLIINCLGKAGRLPAAHKLFCEMVDKGCAPNLVTYNIMIDLHAKARNYQSALKLYRDMQSAGFKPDKVTYSIVMVVLGHCGYLEEAEAVFKKMEEENWVPDEPVYGLLVDLWGKAGNVEKAWRWYQAMLGAGVLPNVPTFNSLLSTFLRVNKVTEASELLQNMLALGLRPSLQTYTLLLSCCTDGRSKLEVGFCGQLMARTGHPAHMFLLKMPSAGPDGQNVRNHAKSFLDLMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVFPDALREKSRSYWLINLHVMSEGTAVTALARTLAWFRKQMLVSGCGPARIDIVTGWGRRSRVTGSSMVRQAVEELLSIFGSPFYTESGNSGCFVGCGEALNRWLLQSYVERMHLL >A05p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7492428:7497298:1 gene:A05p016790.1_BraROA transcript:A05p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SK22 [Source:Projected from Arabidopsis thaliana (AT1G06390) UniProtKB/TrEMBL;Acc:A0A178WCN5] MKETTALRDGLLIFVILLSLTLVSMAVFTCSKEKKKKKDSGCIGGCGCGDGGGCGGGGEAKEKVESSSTSRTKVSKNFGELLASDDNAWKPSSESPVAEKTLYVDTVHSVDKKVQEESMEQSLLTEEVQNLIGPGEEAISQPKTTQSVIRGEGFTEECTDQAIVALPESNVVVVVTKEKIDLEAKLQRNTRNLESRRLHHRSTYHIVPPPPLPKTPSDSWLKRTLPTIPPKNRDFEDMSAAVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERAVGTGSFGIVFQAKCLETGESVAIKKVLQDRHYKNRELQLMRLMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRIFRGLAYIHTAPGVCHRDLKPQNLLVRTLILSLISVKLCDFGSAKILVKGEANISYICSRYYRAPDLIFGATEYTSSIDIWSAGCVLAELLLGQPLFPGENSVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHP >A01p000990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:382680:384233:1 gene:A01p000990.1_BraROA transcript:A01p000990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAIRASRPSIFCSLPGLGGDPQRRPPADGFLKFPASSNAAENTKLVVNSGSFHPISAVNVSTQASLTADFPALSETNVKEERINGEKKADNIVWHESSICRCDRQQLLQQKGCVIWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKAEDRTENIRRIGEVAKLFADVGVICIASLISPYRRDRDECRSLLPEGDFVEVFMDVPLSVCESRDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEVVLKHTGDDFSSSPRQMAENIISYLQNKGYLEG >A05p013490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5891558:5892160:1 gene:A05p013490.1_BraROA transcript:A05p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSPRNKDDSQTSGMVTITRITTANRPYITRSETATIFCANSSSYRQIVQMLTGPSMNPNPTHQPAPPLRYSLPPVRTVPTKNHSSSSSSTIYERRNQQLKINPVRSGLTGNVPSLVLTSPDTPLLHDPFGSVTPSPSASEPGEYDPKHDSDVEEREIREKGFYLHPSPSSTPTDPKPQLLILFPLTSPRASDSPASST >A02p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31605679:31606941:-1 gene:A02p051560.1_BraROA transcript:A02p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRYAQLPGDEDDEDYANGGVRRDFDPRFDYTPKAFDRVPWKSIALALFLLFLGCLLLLLTVFIFTGHMEGDSSQGYALLVLGILTFLPGFYETRIAYYSWRGAEGYRFAAIPSY >A01p023820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11792503:11795520:-1 gene:A01p023820.1_BraROA transcript:A01p023820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAFAFPSYIIAKGVLTDSCKQTSLSSSRSLATDLPSPCRRPNNSHSNRKVKVNASLVEKGEYYSNRPPTPLLDTINYPIHMKNLSIKELKQLSDELRSDVIFNVSKTGGHLGSSLGVVELTVALHYIFNTPQDKILWDVGHQSYPHKILTGRRGKMPTMRQTNGLSGFTKRGESEHDCFGTGHSSTTISAGLGMAVGRDLKGKSNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPSPPVGALSSALSRLQSNPALRELREGVTKQIGGPMHQLAAKVDEYARGMISGTGSTLFEELGLYYIGPVDGHNIDDMVAILKEVKSARTTGPVLIHVVTEKGRGYPYAERADDKYHGVVKFDPATGKQFKSSNKTQSYTTYFAEALVAEAEVDKDVVAIHAAMGGGTGLNFFQRRFPTRCFDVGIAEQHAVTFAAGLACEGPSIDPGYHKKEVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMIVMAPSDEAELFNMVATASAIDDRPSCFRYPRGNGIGVALPPGNKGVPLEVGKGRILKEGERVALLGYGSAVQSCLGAAAMLQERGLNITVADARFCKPLDRALIRSLAKSHEVLITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPMVLPDRYIDHGSPADQMAEAGLMPSHIAATALNLIGAPWEALY >A07p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6572620:6573533:-1 gene:A07p010500.1_BraROA transcript:A07p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGEEKEVVSLGTLSKKMDDFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVERGLPDWKEEDKVHLGEELSDVLLYLVRLSDVCGVDLGKAALRKLGLNAIKYPAPKHTDHCVGEHSSDNTKLTEDN >A06p011940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5475004:5479049:1 gene:A06p011940.1_BraROA transcript:A06p011940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHRVNTSEETREAFLIVCTLIITATYQTALQPPGGVHQFGDSNAGSVVMKQTFFILIWLFNALGFGCAILYTFCLIPLGSLFVLWFFWIGTSLCISYALAMAVISPHPLVFLSATFAFFLLIALYFLLEIFIRQFEVVQVLTGWIQQKIKRNSAEIRVTRMPAAESGSISDLYALIDENPCILENIDAMPFVNTPLHIAAACGKIAFSVEMLNLKPSFAKKLNTNGCSPLHLAVEKDQQELVTWLLRIDPSLAGVKGREGITLFHLLVLRGNVDLVVECLVTSPECIRDVSVTGQNALHLAVMNERFEVLQVLTGWIQRMSQRNARSIEYSVLNKMDLNGNTPLHLAAYKNDHQVEN >A02p016500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7420189:7421714:1 gene:A02p016500.1_BraROA transcript:A02p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLFACFRVKGSSTSPTGKGITGHNAAVVAADVPAGDGPVLVQLFSSQGCKTSPEAEMLVSRLGRGDFDGQIRREGGAGSPAIVLVFHVDYWDYLGWKDPYGSSQWTVRQKAYIEALNQDTMFTPQFVVQGRVQFLGNEEDALLKSIVEAPRFPSPAFRATFQRPTSETLQVSLTGALRMKVDSSGIDIMVALYENGIVTDCPRGENSGRVLSNDYVVRKLEKLCTVKDLTAKKTVSGTAHFTVWDGFNSAKCGVAVFLQNTSLQIFGTQSFQMPDEI >A07p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25637008:25639806:-1 gene:A07p047930.1_BraROA transcript:A07p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTEEARNNDLRRPFIHTGSWYRMGSRQSSMMGSSQVIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQAAITKDLGLTVSESLMIAAIPNIIGWLCISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGTLPCIVLIPGLFFIPESPRWLAKMGMTDDFETSLQVLRGFETDITVEVNEIKRSVASSTKRSSTVRFVDLKRRRYYFPLMVGIGLLVLQQLGGINGVLFYSSTIFESAGVTSSNAATFGVGAIQVVATAISTWLVDKAGRRLLLTISSVGMTISLVIVAAAFYLKGFVSHDSDMYSMLSILSVVGVVAMVVSFSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFFSWLITMTANLLLAWSSGGTFTLYAVVCAFTVVFVTLWVPETKGKTLEELQALFR >A06p052370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27697685:27702213:-1 gene:A06p052370.1_BraROA transcript:A06p052370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCGGRNGNDVGFNGHGGGRVRPYVRSPVPRLRWTPELHRCFLNAVDMLGGQHRATPKLILKMMDVRGLTISHVKSHLQMYRGSKLTLGKSEESSLSSIRRRQDTEEDYLHDNLSLPSRNDCLLGFHSFPLSSHSSLSLLWHRGGRRENQTSQSGGGDDDDDFLHIINMEKTKETTAFPSHHCHKKTEKEKNKWENSGKEQEEEDLSLSLSLNHHQWRSNGSSVSETSEAVSTCSAPFVFKDCFASSPKIDLNLTLSMNLSHRLPSRLQTMTKLHVSSLDANRSSVSAVASFVMPPCSRPLRRAVTFILAISISIFVLYRSLDSLDAVPRSSSSSSIFSRIFPSFDSFQSLELEEPKLEDVLRRAATRDNTVILTTLNEAWAAPGSVIDLFFESFRIGEGTGMLLNHLVIIALDAKAYSRCRELHKHCFSLETEGVDFSGKEAYFMTRSYLKMMWRRIDFLRSVLELGYNFVFTDADVMWFRNPFTRFYRYADFQIACDHYLGRSNDLENRPNGGFSFVRSNNRTILFYKYWYASRIRYSGYHDQDVLNFIKREPFVFRIGLRIRFLNTAYFGGLCEPSKDMNLVRTMHANCCFGMDSKLHDLRIMLQDWRDFMALPLHLKHESGFSWKVPQNCSLDSLRRYDESMYDEESEPPGESQE >A02p059170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35557440:35559046:-1 gene:A02p059170.1_BraROA transcript:A02p059170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G65260) UniProtKB/Swiss-Prot;Acc:Q9FJN9] MEEEEHEVYGGEIPDVGEMDGDMDMMTAADDDAAKELDEMKKRLKEIEDEAAALREMQAKVEKDMGPQDPATMAADQAGKEEVDARSVFVGNVDYACTPEEVQQHFQSCGTVHRVTILTDKFGQPKGFAYVEFVEAEAIQEALLLNESELHGRQLKVLPKRTNVPGLKQHRGRRFNPYMGFRRPFMSPYMYSPYGYGKVPRFRRPMRYMPYQ >A10p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:820518:822047:-1 gene:A10p001580.1_BraROA transcript:A10p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARMLAAKANNTNIGSFIIMALVLGSCVANGEYLGGGRELTGNPTVFDITQFGAVGDGRTNTFKAFLKAWIQVCASPVPATLLVPKGNFLAGPIIFAGPCKSKVTVNVQGTISATTSGFATPEWILFERVDNVHLTGPGIIHGRGEAIWGADGCGKKFKCNLPPTSLKFRNIVNLEVSGISSVNAKAFHMFLVKTVNVNIHNIKIIAPAESPNTDGIHLSNADNVRILDSFIGTGDDCVSVGRGSNNVTVERVVCGPGHGLSVGSLGKYPNEEDVSGIHFKNCTMRNTDNGLRIKSWGGSTPSKAVDIHFEDIIMENVKNPIIIDQNYGSRGGESRVAISDVLFKGVKGTTITKDFVQFMCSKSVPCKGVVVDDVNLNFVGHKGGHPSASGGLIGSLCNNAGVVFGGRVNFPLCPNRK >A03p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17036691:17038389:-1 gene:A03p040850.1_BraROA transcript:A03p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEFIPPPKSRRVTSEFIWPDLKNLKKKSRKRSHFFDLDNEFEADFKGFKDDDSSFECEDGFDVNPFAFTAATKPVASLPTNVASTGSVSDKKAVESDEKSGKRKRKNQYRGIRLRPWGKWAAEIRDPKKGSREWLGTFETAEEAARAYDAAARRIRGDKAKVNFPEEVISSPKKPVAKPNQTPALVQQPTHVSQYCNNNSFHNMGHDSLSFMEEKPQVYNNQFFDVGQYFGSDQGSNSFDCSEFGWSDHAPKTPPENSSMVVNNNQATFAEETNAGKKVKLSSEDETSHNDDLMAYLDNALWESPLEMEAMLGVDAAATGVAQEEENPMELWSLDDINFMLDGDF >A08p003340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5712677:5714911:-1 gene:A08p003340.1_BraROA transcript:A08p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLMAERANLVFHNKVIDGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFQQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPFNPVHMMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVSPRNKSRMMSERIFIQTLIGRVLADDIYIGSRCVAFRNQDLGIGLVNRFITFGTQSISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLSVIIESEDIIHSVTIPPKSFLLVQNDQYVESEQVIAEIREGTSTFHFKERVRKYIYSDSEGEMHWSTDVSHAPEFTYSNVHLLPKTSHLWILSGGSCGSSLILFSIHKDQDQMNIPFLSVERKSISSLSVNNDQVSKKFFSSDFSDKKKSGIPNYSELNGIVGTSHYNFIYSAIFHENSDLLAKRRRNRFLIPFQSIQEQAKEFIPHSGISIEIPINGIFRRNSIFAFFDDPRYRRKSSGILKYGTLKADSIVQKEDMIEYRGVQKFKTKYEMKVDRFFFIPEEVHILPESSAIMVQNYSIIGVDTRITLNIRSQVGGLIRVERKKKGLNSKYFRGISIFRTRQIRYPDIVAS >A04p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9030116:9034359:-1 gene:A04p007120.1_BraROA transcript:A04p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigC [Source:Projected from Arabidopsis thaliana (AT3G53920) UniProtKB/Swiss-Prot;Acc:O24621] MASFNSFPIPKQMVRSSSSSASRPRILVRSSMASTNSMLVFVPPHPLIKHWLSLLRCDQTPLPFFVRIASRWSFLSSIKEETRFHQRDHPLQTCGCASATAENVYVELKDPKENIGVGLVGERMSSSSEKANLSRSMLQYNLLGKNLLALEQTFVALESLRMERDIMLQMRKLGAAELFNTCLSRSRASSTASCLPDTGTPELVDTTEEQKTFVHSTRKLRKKARRSSLMAGSDDLGSRTIWEGVDVPRVRKPSKYRKKRERISRNEAEMSQGVKIVADMERIRTKLEEESGKVASMSCWAEAAGMNEKLLMRNLHFGWYCRDELVKSTRSLVLFLARNYRGLGIAHEDLIQAGYVGVLQGAERFDHTRGYKFSTYVQYWIRKSMSTMVSRHARGVHIPASIIRTMRQIQKARKTLKTSQGIKYPADEEISKLTGYSLKKIRAANQCLKVVGSIDKKVGDCFTTKFLEFTPDTTMESTEEAVMRQSARREVHNLIEGLEAREKQVMVLRYGLEDYRPKSLEEIGKLLRVSKEGIRKIERRAMAKLRDQPKADNLRYYLNQHKALAQASCPPHGLEMCKYRIFQAIIMQNEEGVVTELYIPRKCSATNRLITSKDHASVQLNIGHLDADGIYTGQFTTLALCGFVRAQGDADSGVDRLWQKKKVETKQL >A01p027270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19053253:19055547:1 gene:A01p027270.1_BraROA transcript:A01p027270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATLSPSLNQSYLLSPSRASTTRLHSFCFSRNLSPSTSSIKLQRSSSSVSSSGVSLTRCNAVLSNSSSSKDVTELADIDWDNIGFGLKPTDYMYVMKCNLGGEFSNGELQRFGNIEVSPSAGVLNYGQGLFEGMKAYRKQDGKNILLFRPEENATRMRCGAERMCMPSPTIDQFVDAVKATVLANKRWVPPPGKGSLYIRPLLMGTGAVLGLAPAPEYTFLVYVSPVGNYFKEGVSPINLIVESEFHRATPGGTGGVKTIGNYAAVLKAQSVAKAKGYSDVLYLDCIYKRYLEEVSSCNIFIVKDNVVSTPEIRGTILPGITRKSIVDVARSQGLKVEERNVTVDELLEADEVFCTGTAVVVSPVGSITYKGKRVSYGEGSFGPVSEKLYTVLTSLQMGLTEDNMKWTVNLS >A07g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6526076:6526477:1 gene:A07g503300.1_BraROA transcript:A07g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSPFLPVATTTKSNQFNFWSLWYWLGFQVVATKKKNRDRSLLAVRGLDTFTGDIVHSSQYSAYFKGKNVLTRGGNYGLEISFDLNNLDANTIVMIRTSVF >A01p018430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8944439:8945962:1 gene:A01p018430.1_BraROA transcript:A01p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYWTSLASLLGVLAFCQSLMNSVFPPELRYASLKLVNRFFQLFSSFCYFDITEIDGVNTNELYNAVQLYLSSSSVSSSTRLSLTRALNSSSITFGLSTNDSIVDTFNSATVLWEHVVTQRQSQTFAWRPMPEEKRGFTLRIKKRDKSLILDSYLDHIVDKAEEIRRRNQDRLLYTNSRGGGGGGGLDSRGLPWESVPFKHPSTFETLAMDPVKKREIMEDLKDFTECRSFYQRTGRAWKRGYLLHGPPGTGKSSMIAAMANYLGYDIYDLELTEVKSNSELRKLLMKTSSKSIIVIEDIDCSINLTNRGKKFNEPEMALTGSGLGDESGNGNTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHVYMSYCTFPSLKILLRNYLGYEEGDLSDVVLRELEGVVEKAEITPADVSEVLIKNRRDKERAVRELLEDLKRRAERNEKKGKLRGQNGSVTVADDDVEEQEKRALDSPYAEEEEEIEDNICKISED >A03p026220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11027599:11028601:-1 gene:A03p026220.1_BraROA transcript:A03p026220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKQKLILFLYSPRCLNPETTQKIEKMSFTGTLDKCKACDKTVYVMDLLTLEGNTYHKNCFRCSHCNGSLVISNYSSMDGVLYCKRHFEQLFKESVKSLHTGGKTDKTNDQLTRAPSKLSSFFSGTQDKCATCQKTVYPLEKVTMEGECFHKTCFKCAHSGCPLTHSSYASLNGVLYCKVHFNQLFLEKGSYNNVHQAAANHRRSASSGASSPPHDDHKPEDEAVAPEGEGEGKEEEEAPEAAGEEPEPKAE >A08p012080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11318846:11319412:1 gene:A08p012080.1_BraROA transcript:A08p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKTTVQEWHDALDVSTSYATEDEVLPILGEFKFCLQYCALFPPSFTLRRYVLCKPVGLREVPRVKDWSVVRKMSLMYNEIQEISSSHECPHLTTLMLIIRSCGIWEIEIGRMSWDIILTRKD >A04p016140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9934143:9934656:-1 gene:A04p016140.1_BraROA transcript:A04p016140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSYGGDASDSDATAALSIQALGATGIATEPAAVEMTKQGSAATVDGKRQSDGSPRSAPWAKKKMGGGEPEAIVDVVDGVASLQIPEKIFDEAELLWKSYVVGYLIGDSPHVGSIHATVNRIWSAPKAVR >A09p076690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57473287:57474281:1 gene:A09p076690.1_BraROA transcript:A09p076690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAREWNRGTNLSLDMNKYPRDLLRGFMSENVDGRDETSDCEDETAVELNLGLSLGGRFGVDKAPRKLKRSSSVLSTMPFESSIVADKEEVEPENYTVGLARTTSLPAEMEEEWRKRKEMQSLRRMEAKRRRCEKQSSQTASFESERWVNASKSGFLQRHLVSPRQMCVDSHGGGGSSSSLSELDNKNQQQGSSNSCGDQISPKIVTRCSSNNSESHGNENETPKGKGTASSTGLFDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCICHGSFLTPAEFVKHGGGGDVDRPLRHIVVNTSPSTF >A05p026360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13736617:13743602:1 gene:A05p026360.1_BraROA transcript:A05p026360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVIFKALLWVIAAMALIMAEEARTQLVPCYFVFGDSVFDNGNNNDLNTTVKVNYSPYGIDFARGPTGRFSNGRNIPDFIAELMGFSDYIPPFAGASPQQAHTGINYASGAAGILEETSYHLGDKISFEKQIKNHRTIIMTANVPSEKLEKCLYTINIGSNDYLNNYFMQGDKYNTSRTFTYDQYAEFLIGRYRSYLKLLYVQGARKVGLFGVSKLGCTPRMIASHGGGLGCAAEVNKAVESFNKNLKDLVSEFNTNFNDAKITYVDIFTSQTPLAYEAL >A02p007230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3050903:3052588:-1 gene:A02p007230.1_BraROA transcript:A02p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKPCAANSPPLTPLSFLERAATVYGDCTSIVYGANTVYTWRETNLRCLRVASSLSSIGIGKSDVVSVLSPNTPAMYELQFAVPMSGAILNNINTRLDARTVSVLLRHCESKLLFVDDVSSRELAVEAVATMTAPPILVFIADKEEKGSGGADVAGQVNRFSYTYDELIERGDPGFKWIRPGSEWDPVVLNYTSGTTSAPKGVVHCHRGLFVMSLDSLIDWTVPKNPVYLWTLPIFHANGWTFPWGIAAVGGTNVCLRKFDAPLIYRLIRDHGVTHMCGAPVVLSILSASQDVQPLDHPVEAVMYTNPVVSEVAVVARPDEFWGETPCAFVCLKPGLRMKPTEKEMIEYCRKRMPRYMVPKTVSFRNELPKTSTRKVMKFVLREIAKKMGQTSLSTGQVLSINK >A02g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18402394:18402803:-1 gene:A02g506580.1_BraROA transcript:A02g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAFLTIVESNDLTLSRLTIKIQSSLERIFNYANCSPLCFFSAYIYLDCFTLFYNNTYYAKVGGTIVESEINVLYKNTISI >A04p002970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1440919:1441269:1 gene:A04p002970.1_BraROA transcript:A04p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLATSNTKRSSPSPSNAVNARPAGFKRRTRQRLSDETASVRESCVEDDDEEGVEEKIEALQTIVPGGAALGVDALFEETASYILALQCQINAIKVLTSFLESCEKDDDMKFGG >A01p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:706910:709444:1 gene:A01p001600.1_BraROA transcript:A01p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III domain-containing protein RNC1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37510) UniProtKB/Swiss-Prot;Acc:Q9SZV0] MELCSSSSSLLRVSPEKLSFSSSISISQFQLKPSTFSKPRLRIHASLASETQGLPRDSPQRLLKELAQRKQSTTTPSKKKLPPKRFILRPPLDDKKLAERFLNSPQLSLKSFPLLSSCLPPSNLNSSDRTWIDEYLLEAKQALGYSLEPSSTLSDENPAKHVDTLLYLAFQHPSCDRARARHVKNGHSRLWFLGQYVIELAVTEFFLQRYPREPPGPMRERVFALIGKRFLPRWIKAANLQNLVFPYDDIDKLLRKDREPVVKSVFWALFGAIYLCYGMREVYRVLFEVFGMDPDADDCQPRARRQLEDVDYVSVEFEGKKLGWQDIATYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDFDSKPKVMQALGYPLQMNDRIQEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDIAMAERLLMKHLDAPGKWLQEKHRRLLMNKFCGRYLREKRLHNFIIYSEEVHDRYEHNRRLRNPATTAVQQAIHGLAYTVYGKPDVRRLMFEVFDFEQIQPKAV >A02g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20410809:20411362:1 gene:A02g507140.1_BraROA transcript:A02g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENGSNPRLKLLDTLRAAGAIGSSPDEHDDAADENSYGGEYNNGELTILDEHRAQFGGDGLISGGVGQVGDDDGFSISFD >A01p023590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11683687:11684226:1 gene:A01p023590.1_BraROA transcript:A01p023590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKTAGGAITIFRLMISFLLVAITASGFVSGEKEIVLDTIGRPVEFYAPYHAYFERPGASALICRYGSIETSGFSCPQRVVLLSYGRELRMINSQVTFIPTSSDSDVVRVSTELKIRFQFPNHCEESGYWRVADSSLPIREIVLTGSKSSDDTTFTIKNLTYLTSLLSEVLTNQRVSA >A09g511890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35157394:35166612:1 gene:A09g511890.1_BraROA transcript:A09g511890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVQDVMNISTEVHVFHRAILDLGGARLSLGGEETKDGHAFSSGGPSGQSRKRPYLYSVHPSGSDEFRHLNWSSPMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFDFVQKKKKRQNRCDDEKWVHVFHRAILDLGRARLSLGGEETKDGHAFSSGGPSGQSRKRPYLYSVHPSDSDESRHLDWSSPWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLKFMFFTVPYLIWVEPDLAWVVKKPKTDMHSHPADHPDSLASVLIFTPCIHLVRMNLDILTGLLRFLWLALDRGYIKSHSASLDDLFNLSQFQKCSLPSRIISNNQLK >A02p013020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5708304:5709583:1 gene:A02p013020.1_BraROA transcript:A02p013020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQNSSHVIIIIFTKPEHIHNFIYRGSLRLRDKNPSLCPKLQAMAVTKIYIVYYSLHGHVETMAREVLRGANEVPDVEATLWQIPETLPEKILEKVQAAPRPDDVPEIQGEQLGEADGFMFGFPSRFGVMASQVMTFFDNTSDLWTSQALAGKPAGIFWSTGFHGGGQELTALTAVTKLAHHGMIFVPLGYTFGKGMYEMGEVKGGSPYGSGTYAADGSREPTELEIEQANYHGKYFAGIAKKLKKRSPV >A05p055530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32826175:32828058:-1 gene:A05p055530.1_BraROA transcript:A05p055530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVSKECMISLIMIFFTWSLCATSNDLLSCDPKESSSSSSTSYVCHSNLQKCRTFATLRANSPLRGHELQFIPIDCTCNGRFYEAHLFKPCVKGLTTCLSIRDKNPQVSEEKVKLRLGVKCCCPEEGAARFLVTYPVSEGESVSSLADKFNTREDAAIVSANNNSGVVPRTPALIPLDHKPQNTLPSRQKKKRSKMKKLMIAVSSAIAGVMGLITLIVLGYLHWTKETRLQSWISNKDPETRQLSLSIRTTSDKKVSFEGSSQEGSILDSVVVVGTTTPRKPVVEIYALEELEKATENFSSSNHIKGSVYFGSLKGKDLAVKQVSAGVMKRFDFGLLNDQSHYYSHNLVRVLGTCSPPGPDEGASYLVFEYAKNGSLWDWLQNKLAIKNQFIESCYCFLAWKQRIKICHDVAIALKFMHRINYVHGNITSRNIFLNEDLRGKVGNFGMLSSKDDNIGSSMSPATDVFSYGIIVMEVLSGQTLEMLLGPQEENRANPEWKRLREFMMGEKEMLREVVDSTFGESYSVDSAYEMARLATDCTAEEAELRPSAAEIVERVSRLVDEEEDESVTIIERDNTTLISESSYKPLVRKGDEC >A01g502130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7613142:7613357:1 gene:A01g502130.1_BraROA transcript:A01g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIEQIIDAERPRHVAPTSRSGLRERPQWVALRGRSRLRFVSSRHRDASDLGVSLWEVALRGV >A06p046010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24665739:24666400:1 gene:A06p046010.1_BraROA transcript:A06p046010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEITNNTVQVSCKTVDHLATVWCEWAEMEQRHKNFKGALELMRRATAVPTVEVRRRVAAADGNELVQMKLHRSLRLWSFYVDLEESMGTLESTRAVEIYEQAIESGLPHKDVELMCIKFAELERSLGEIDRSRAVYKYASQYADPRSDPEFWNKWHEFEVQYGNEDTYIEMLRVKRSVSASYSQTHFVLPENM >A03p051860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20351164:20352223:1 gene:A03p051860.1_BraROA transcript:A03p051860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQVITEQTFQSLDDTIMEDTNSTLFHKRLEGKVAIITGGAHGIGKATVKLFARHGATVVIADVDATAGSSLAKSISSSQVAFISCDVSVEADVENLVNVTIARYGRLDVLFNNAGVLGDQKKHKSILDFNAEEFDQVMRVNVRGAGLGMKHAARAMIKRGFKGCIISTASVAGVMGGMGPHAYTASKHAIVGLTKNAACELGRYGIRVNCISPFGVATSMLVNAWRKTSGCGDMEDGDDVEEMEEFVRSLANLKGETLRATDIAEAALYLASDESKYVNGHNLVVDGGVTTARNCVGL >A04p038470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21897924:21898295:-1 gene:A04p038470.1_BraROA transcript:A04p038470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKTLITFVFTILFFGSSVLCRTPDSAVTPASVASAVTPDNGYGELNTDIYFTVMSPCNWRYPHGEAMCNDYCKRNKTYSGHCDAHGRCCCVI >A03p065420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28870083:28871396:1 gene:A03p065420.1_BraROA transcript:A03p065420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKPVMKTEENGSEGGANTSTGLLHGRYELGRLLGHGTFAKVYHARNVTTGKSVALKVVAKEKVIKGGMEEQIKREISVMKMVKHPNIVELHEVMASKTKIYFAMELVRGGELFAKVAKGRLREDTARVYFKQLISAVDFCHSRGVYHRDLKPENLLLDEEGNLKITDFGLSAFAEHLRQDGLLHTTCGTPAYVAPEVILKKGYDGAKADLWSCGVILFVLLAGYLPFQDDNLVTMYKKIYRGDFKCPGWFSSDARRLVVKLLDPNPSSRITIDKVMDSPWFKKRSKNEPEVQDLDLSVHKFKEETETLNAFHIIALSEGFDLSPLFEEKKKEENREMRFATSRPASSVISSLEEAARVGDKFDVRKSESRVRMEGKLSGRKGKLAVEAEIFAVAPSFVVVEVKKDHGDTLEYNNFCSTALRPALKDIFWTSTPA >A09p063260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51425258:51432362:1 gene:A09p063260.1_BraROA transcript:A09p063260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRRQFRDSRYANLFDLEPLMNFRIPRHEDESDYYGSSSQDETRGNQGGVVANYGNGVRRGMSSGTKKRKRWIEAEDDVEDGDDHYSQHVSEEHYRAMLGEHMQRFKNRSKESRGNHTPLMGVPVRKSNVGANRGRNDHHRRFYDVDTSSNYAADAIPQRRGSYRESNVTPKIAYEPSYLDIGEGVVYKIPPSYDKLVASLNLPSFSDIHVDDFYLKGALDLRSLAELMARDKRSGARSRNGMGEPRPQYDSLQARVKALSASSSSPNFSLKVSEDAMNSVIPEGAAGTTARTILSEGGVLQVHYVKVLEKGDTYEIVQRSLPKKVKVKNDPAVIEKTERDKIRKAWINIVRRDIPKHHRVFTTFHRKQSIDAKRFADGCQREVKMKVARSFKLPRSAPIRTRKISRDMLLFWKRFDKQMAEERKKQEKEAAEALKREQELREAQRQQQRLNFLIKQTELYSHFMQNKTDAMPSESLPIGDENLVDEALQEPSAAKLSEMEDPEDAKMKEDALNAAREAVSKQRKITDAFDTEYMKLQKTSDMEGPPIDVSVSGSGNIDLHNPSTMPVTSTVQTPEMFKGTLKEYQMKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERTILRKNINPKRMYRRDAGFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKSSTSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPMVFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTTKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHFNDKKILNLMNIVIQLRKASSMVCNHPELFERNEGSSYLYFGVMSNSLLPPPFGELEDVHYSGGHNPITYEIPKLLQNEMLQNSETFCSSIGKGLSRESFLKNFNIFSPEYIHRSTLPSDSSSVDQAVSGSGAFAFSRLMDLSPSEVGYLALCSVMERLLFSILKWERQFLDEIVDSFMESMDDDLDGDNMNTAQTRAVTRMLLMPSKVETSLLKGRVGTGPTCHSIESLVISHQDRLLSSIKLLHSAYTFIPKARAPPISVHCSDRNSAYRITEEMHQPWLKRLLIGFARTSEANGPRKPSSVPHPLIEEIDSELPVVQPALQLTHRIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAGNHRVLLFAQMTKMLNILEDYMNYRKYKYLRLDGSSTIMDRRDMVRDFQQRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILHRASQKNTVQQLVMTGGHVQGDDVFGTADVVSLLMDDAEAAQLEQKFRELPLQVKDRQKKKQPMKRIRIDAEGDATLEELDDATERQDNGQEPSQEPETTKPSNKKRKAAPTPKSRVPQKAKEEENGEGDDTLQPQRTKRVKRQTKSVNESLEPVFSASVTPTNGEFNPSSSNVDAD >A01p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1770669:1771658:-1 gene:A01p004090.1_BraROA transcript:A01p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSSAAYFARRVAQKERVRILYRRALKDTLNWAVHRHIFYRDASDLREKFNANQDVEDVDRIDKLIAHGEAEYDKWRHPDPYIVPWAPGGSKFCRNPTPPAGIEIVYNYGQEDNP >A09p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2743337:2745839:-1 gene:A09p004960.1_BraROA transcript:A09p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKIGLKRGRWTAEEDETLTNYIQINGEGSWRSLPKKAGLLRCGKSCRLRWINYLRMDLKRGNITAEEDNTIVKLHSLLGNRWSLIATHLPGRTDNEIKNYWNSHLSRKIYAFTSPRRYEHGPNINDFVLNKSSSSSSCSSSDAKNTNKTKKKKGRTSRSCMKKHKQMVTASQCFPQPKELDSEVSEEGQNGNSEGKALEPYEWLDGEIERLMSSFVWECTSEEVVNEVNDDDSGQKKVCESGDNSSCYVRLFEEEHGKLGNLEVDHDMNVEKEREGSGLSSNSNENGDKNWWVGLCDSLEVGFGIDDELLGWEFQSSVPCQSDDLWDLSDFGEIPQGDRI >A03p072470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32040118:32041009:1 gene:A03p072470.1_BraROA transcript:A03p072470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKFGVLMFKEIRERLESFRVRNITFLLQSFERKKDNVKYFRPRRLLEDLWKTYTSGNLWKTPGRHMEDFDLGGKPKLFQNLGGNHKFYSNLGGMCLKAFFLSIL >A09p063950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:51713076:51714389:1 gene:A09p063950.1_BraROA transcript:A09p063950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELSDTMNLDLNLGPGPESDLQLVSNETVNLADWTNNNPSERSSEAVTRIRTRHRTRFRQLNLPIPVLSETHAMDIELNQLMGSGAALQTGEGSERGNEDLKMCENNGGEAVGDGVSEKKGDVEKTSGGGDGNFFDCNICLDLSKEPVLTCCGHLYCWPCLFQWLNISDAKECPVCKGEVTAKTVTPIYGRGNHKREVEESLDTKIPMRPHAKRIESLRNTIQRSPFTIPMEEMIRRIQSRFERDSTPVPDFSNREASERVNDRANSILNRLMTSRGVRSEQNQASAVAATASENINLNPNIAAADLEGETTTRFHPLLIRRQLQSHRVARISNFTSALSSAERLVDAYFRTHPLGRNHNHQELNHHSPVVVDDSDSFSSIAAVINSESQVDTAVEIDSMVTLSTSSSRRRNENGSRVSDVDSADSRPPRRRRFT >A10g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13650048:13652028:-1 gene:A10g505430.1_BraROA transcript:A10g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPSENPAVPHNRTSHGSHGSGNRRNGSSPPPGDPDLPKSPAKSYSQAAMSGSRVLEEKFSNVIRYSPVADLQGGVASVDLPEELLSDSKPLWSAYIVGHFMGDAPHIGKVHAIVNRIWSFPDRPAKIDAQFISPRTVLFRIDHPQLKERVLKRTFWHIADIPIVVREWSPKTASAQPDLTAVPLWVDLQGVPDHLFSHNGLTFFGDTIGHTVKLHPNTERCVRLDVARLLVVMNLEEPLPASINVRGSGEVISVSYPWLPPRCLGCQKWGHTDKTCSKNKHVKDKTEVEKENEKVAESTGTEGLQAGLAVITENSKPIDIENPVLEVAPLATAAASAHESSTNDSEGKVKDTEVIVRDSVSGKAAEDIEISEKEEPWLTIPQSSPSGKRNNGKSGRGTEIELTSTSSPSRFHLLSTDLEEGEVEVEEVVEEDSSSSDEESSVESKAVMEKKKQIEKQKLGNNKRNQKSNPSVNVGNKKDQTKGAKNKKANHVSSRRH >A09p045060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39709281:39710410:-1 gene:A09p045060.1_BraROA transcript:A09p045060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICGGYEDRFRGLEKQEGKWVEIFRVDVHRAYPGFQATKSWFTLKATRHTQVHIIDSLNNRLYMDLKNIHEIPHMNHMDQNYPIVVFNTKSHFDDPARDNIESRIKCVATGDHAYAFRDSLENMRGRGQVIVVLKMWRVWRFFKTEGGLSDFRFNMIFPEVEDIIWSLLCSDPYGHRNGAIGDASLSSVPDKTYMRGLIMKSSNDICSLFDSYIPDHEACIHEITWRMCSTQLRSSSKKNQIKRNSDVGVMQFANQVIFSSREFGPYGSSRPRLDPYHHGP >A09p083490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60057932:60058395:1 gene:A09p083490.1_BraROA transcript:A09p083490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like transcription factor ETC1 [Source:Projected from Arabidopsis thaliana (AT1G01380) UniProtKB/Swiss-Prot;Acc:Q9LNI5] MDKQRKSKHPKTNAYATIVSSSSEEVSSLEWEEIAMTQEEEDLICRMYKLVGERWDLIAGRIPGRTAQVIERFWVMKNHRRA >A04p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5687613:5688526:1 gene:A04p014770.1_BraROA transcript:A04p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIHVKRGVNLAIRDISSSDPYVVVHSGKQKLKTRVVKHSLNPDWNDHLTLSVTDPNLPVKLTVYDYDLLSADDKMGEAEFNIAAYLEAIKFRYALEGGLPDGTIIMKIQPSRQNCLSEESHIVWNQGKLVQNMFLRLQHVECGEVEIHLEWIDIPGSRGI >A07p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1810200:1813544:-1 gene:A07p006060.1_BraROA transcript:A07p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRSALCFVSSFFFLSLLLFDSVAVAKQRTRFDELLTLLRLRSTLGLKGTDWPIKGDPCRVWRGIQCDRNGSIVGINISGFKRTRIGKQSPHFAVDPLLNLTRLAYFNASGFELPGSVPDWFGLSLTTLRVLDLSSCSVNGVVPVTLGNLTRLVSLNLSRNGLTGSVPSSLGELSSLSELDLSRNTLTGPLPPSFSSLLNLTSLDVSSNYLTGPIPPGVGLLSKLLYLNFSSNSFSSSIPPEIADLVNLVVLDLSINSLSGSVPLEFRKLTNLRNMVISDNLLSGALPADLFAAKSQLQSLVLRENGFSGNLPDAYWSLPKLRILDIAKNNFTGMLPNSSSGPNADVVDISSNMFYGELTQILTRFSAMYLSGNYFEGNVPDYVLRANASLTRNCLQNEKRQKSSEDCSSFYTAKGLDFDDFGRPNSTQPTSKKASSTGLSRRTVIILASVGGGIGFILIFILLPILVALWMRRRGREGQGGGGDRPKPATEASQPPKGAQTFDLSRLGNAFTYDHLLQATGDFNDANLIKRGHSGSLFRGFLDNGTPIVIKKIDTKESKNEGYIAELELLSKAGHQRLVPCLGHCLENEGQKLLVYKFMRNGDLASALFRKSDNEGDGLKSLDWITRLKIALGAAEGLAYLHHECSPPLVHRDVQASSILLDDKFEVRLGSLSEAYVQGDAYQSRISRLLRLPQSTEASSSGAANATCAYDVYCFGKVLLELVTGKLGISSPDNAEAKAYMEEALQYISTNEKELVTKILDPSLLVDEDLLEEVWAMAIIAKSCLNPKPTRRPLMRHIVNALENPLKVVREDTNSGSGSSRLRTNSSRGSWNAAIFGSWRQSASDVTAVQAVGTTSGGGGGLINSGSQGSSGRNNNNGNSSSRRRQSSEIVPEPAAYGEDVEVLR >A01p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3401474:3403723:-1 gene:A01p006700.1_BraROA transcript:A01p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSTVTQEPAASSSSFGFFTRLTCLASRNRSNPDGYTLSTSNALFFNGSRTTRRTRKALASLSLNAKSSAGSSLSRFINEFNSFMRFHSEKVVPDSFASIGVSSHESGARGNDGGGVLGEEGLQLSGVEADRPKKVLILMSDTGGGHRASAEAIRAAFNQEYGDEYQVFITDLWTDHTPWPFNQLPRSYNFLVKHGALWKMTYYGTAPRVIHQSNFAATSTFIAREIAQGLMKYQPDIIISVHPLMQHVPLRVLRSKGLLDKIVFTTVITDLSTCHPTWFHKLVTRCYCPSTEVAKRAQKAGLQTSQIKVYGLPVRPSFVKPVRPKVELRRELGMDENLPAVLLMGGGEGMGPIEATARALGDALYDENLGEAVGQVLIICGRNKKLQTRLSSIDWKIPVQVKGFVTKMEECMGACNCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVENGCGKFSKSPKEISKIVADWFGPGSKQLEIMSQNALRLARPEAVFKIVQDMHELVRQRNYLPQLSCTA >A03p048480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21884799:21885192:1 gene:A03p048480.1_BraROA transcript:A03p048480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRNARSAARSFAAATARAPSLRTPTAALPRLRPSPSSSPGRRFSFSSPSRNLGALGCTQSFLPLYSVVAASQLTSHLNVNLRAFCELPNGTFRRTCQDR >SC165g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:151671:153479:1 gene:SC165g500100.1_BraROA transcript:SC165g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVADIARMDIDPNVGRRNQHESSPAPVDANQSPHLDREGGRNSETQEDGQDGTGLSEEEEESVSGSHNQGDQSQGEGEAQAEAPEP >A08g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14084791:14089940:-1 gene:A08g507970.1_BraROA transcript:A08g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKTAILAGETSSPLLFRHVSPGPGDSTLQFRLIHFWESRKNVKGGPGILLGIEMLMIDAEGTLAQGFIGLNRRNQYEKDLQRGSIYTLTNFYASNSKVMYHVAEQRLVICISHASALVKVEETIEGIVTERFRLHSFSDFEANCDLRGDLHDVVGHLKLVDGQPLHQRPVLCSKDDSTSRKVMVLLQLKDGPVMNVYLWDEAAESLRLKFDASAATPTVLLVTTVNPKRLGEKLCLSSMSSSRVLSTNPSATSSVNPVEVVKVETLTISEIAAFIKREPAKIAYFDCIATIDDVKIDTEWYYIACKDCQTKLNCGPTTLLCPKCGNDDATAVANYRVDMYVYDNEGQCTFIILGDAGKDLTGRKATELIDTYVECFIDTIGHTKKFRIKVAPYNFTSIRQSFTATKIVSSAVLPPKKPPLNMPPPNSSTTQNNCPGT >A01p018640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9044877:9051031:1 gene:A01p018640.1_BraROA transcript:A01p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRSSDDMEAGGDVPKSRNHSRRRGRGIFVAVDASIRFKSAMGCEKEIELGSHDQPTATDVALSQSEEQPVQVEIELPASYQDEFGIRLEELVSISRDGGLSVLKRFDGVSGLSPLLHTDLDKGICGSHEDIRRRRNTFGSNEYPLKKERNFWMFLGEASRSFTLIVLVLAALASLLLQIKTKTISHEWYDEAGIIVAVLLVIFVTAISNYNQSLQFEKLNDEKRNICIEVPADGVLVSGYSLQIAEYESMGTNRTVHKDTDIDPILISGSKVEDGIGRMLVTSVGMNTQWRMPACIPLYTGEETPLQVGLNRVVTAFGLISISVALVVFLIESCLPIYCSILIFKKPVTPRCFMGRTKNTDGSPMFDAGKTTSDEKIDMVIKFIILGVTIVVVAVPEGLPLAVTLNLYASFILEHGKLTWVPNFLIAVQKLSACEAMGSATMIKLSLLALPDQFSLVYTAFLVSVLIKLVVFLIIDNSFSFEQMEVVDVCAGRVRTHNLDTIAAELSPPLISLITEGIALNTNGSVYHPEGQSEPEVFGSATERAILNFGVKVGLVSDNLGMNFDEIKSKSTIFSVSPFNSRRKRRGVAVQLHDSQVRVHWKGAAKTILASCQWYMDKDNIAAMDQERIFHFEKIIDEMSKEGLRCAALAYRTFTDEAFPDIPESNLVLLAIIGIKDSCRPGISQAIQQCRNAGVKVCMVTGDDLLTAKAIAMQCGILRGTLDEPANTAILASEFASMSEADREEIAENIVVMGRSSPDENLLLLEALRKKGHVVAATGKGIRDAPSLRQANISLAMGIGGTAIVKECSDIIILEDNFTSIVKVIQWGRFLYTNAQRFLQFRLTVNASALIICVVVAVHSHEIPLNVAELLWINLLNDTFGAWALASEPPMDNLMRRPPVRKGGAKRITWFCSYLCEFLKWMERKKSKKFPISRSRTKLFSC >A03g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4992193:4994776:-1 gene:A03g501650.1_BraROA transcript:A03g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAERDWTEERLCLVLAKKKPHFDESKEKKKPLNSPRRFVGSHHRDSTPSDPQGKLTKMGRYSYSQQSSSSASVDITSLLEAEAQGYADEAQSSFDNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDSGRRYFTCNNVVDGATHIWKWWDDAVMEEMRDFQTEIRRLKEAVAEREQKLLLLEKTVYDAGKDTTRVKLMVCLLVVIGLVILVLHGVASKTSMGSVLSPVQWRKNQIPVDLESPEPFWLGSQAPDDSPSEISPECPSQIPPECPSQVPEENVVGEDEHRPVGVKAAKGASKKKKSGRDEELSKLQGVLELTCILFRLLLFLHAFCSLSSLAS >A01p000420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:156454:157029:-1 gene:A01p000420.1_BraROA transcript:A01p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVTVTCFVPSIINDRETGRSRGFGFVTFKDEKSMRDAIDEMNGKELDGRTITVNEAQSRGSGGGGGRGGGGYGGRGGGGYGGGGGGYGYRRGGGGYGSGGGGRGGGGYGGGGGGGYGGGGGRREGGGYGGGDGGYGGGSGGGGW >A02p000130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:88892:90163:-1 gene:A02p000130.1_BraROA transcript:A02p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 15 [Source:Projected from Arabidopsis thaliana (AT5G01810) UniProtKB/Swiss-Prot;Acc:P92937] MEKKGTVLMLRYEVGKLLGQGTFAKVYHARNLISGDGVAVKVIDKDRILKVGGMTDQIKREISVMRLLSHPNIVHLHEVMATKSKIYFVMEHVKGGELFNQVSTGKLREGVARKYFQQLVRAVHYCHTLGVCHRDLKPENLLLDEHGNLKVSDFGLSALSDNRRQDGLLHTTCGTPAYVAPEVINRNGYDGFKADVWSCGVILFVLLAGYLPFRDSNLIDLYKKIGKAEFKFPNWFAPGARRLLKKILDPNPNTRISTEKIMQSSWFRRGLEEDKDHADAETDDTEPMPLNDDCDKAKKQCINLNAFEIISLSTGFDLSGLFEKGEEKEEMRFTSNREASEIMEKLVEVGRELKMNIRKKEEGWRVKMEGRKAGAGVEAEVFEIAPSFHMVVMKKSGGDTAEYKRLMKESIRPALMDIVLAWH >A09p077320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57517733:57520638:1 gene:A09p077320.1_BraROA transcript:A09p077320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETVRIRLVFDNQRILSKHQKKQGLKRSWAVLNPKYHRTVSEFSNHILYTFSLFEACPYGLSLYMDGFVLPPFESSCVLKDKDIVWLVSPCLGLVMHFLVLKFSFLFCSVKRKKEPLLEIVGEDSEENVCAAIEIEERARICPDAVLIGNEETGGYESEYEEEEEIVPEKKTSKKRKSSSKSLTSKRKKCKLATTEETPLEREDAAVVNKSDGVKKKKRKTLDVQRDENDEQNAKSMTMTKSKKSSLQEESNEPDELCNMSAETKKTPSRSARRKKAKRQWLREKTKQEKEELQQNQMQMVVAPSKKPAITIDHQVTEEYHSEALEKQQPDEQGDGVRDEVVVPVEVRPGHIRFKPLDESDEASPETEPPAENFSWNGNMTKKKGQKWGTEKTGFSKRYAWDLNDAYHQTQPAEAETLANGQIEYEQLAAYTGSVKMVTLIPVQGYPIEKKIEEDDDSSMQADTSLYNEDGSLEVEYSSLLDVRSVKTSSSEVVEVATKPDQAATNLKLSTNNNGLQTTVKENGKGNPWEELSEAVSAKKAKLFEANNGWNKKGKSSSGGSWRRGGGIGPLMNYLRSQKEI >A02p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10263038:10269905:1 gene:A02p021810.1_BraROA transcript:A02p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:holocarboxylase synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G37150) TAIR;Acc:AT1G37150] MGMDLSLKLEKEKDEERSTHICERKELEEKEDASEDKDEKMVKEDEIEDSCLSSRTRQEEKEREEIEMEHVKEENTRLRKLVEQTLQDYRQLEMKFPVIDQTNNMYLETFLGAQAKDRKRGVERYDSLNLVEGELGLSLSLQKKQKQDENKELESNNTQRYNSSNKAQGQDMNTQRVIMSSPGNRKTRVSVRARCDTPTMNDGCQWRKYGQKTAKGNPCPRAYYRCTVAPGCPVRKQGHITIHFLSEQQPWLPLPPLLHSCYWILATTSLILPICNKMAATNNHKSTPRFPCQLRDHYDLCDSFFELDSVANLWRFVSLRPSWRLSFSVAATAMDGDLDSCSLVLCGKSSVENDTAKRLKSENVLKLPDDTTKVSLFLDSEINNLVRDDDSFSPSLFMNSLSTARFGRFLIWSPRLSSTHDVVSHNFSELPVGSVCVSDIQFKGRGRTKNVWESPKGCLMYSFTVEMEDGRIVPLIQYVVSLAVTEAVKDVCDNKGLPYIDVKIKWPNDLYLNGLKVGGILCTSTYRSRIFHVSVGVGLNVDNDQPTTCLNAVLKDISPASVLLKREEIIAAFFHKFENFFNLFIDQGFKSLEELYYRTWLHSGQRVIVEDKIEDQVVQNVVTIQGLTSSGYLLAIGDDYQMYELHPDGNSFDFFKGLVRRKI >A02p025620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12139833:12151603:-1 gene:A02p025620.1_BraROA transcript:A02p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFPLVSFLITLMLAAAVCTQGHEPALDTAENPVLTTAQYLIQPYSPRSNGGGLLPVPVKLLPLCPLGISQSSVTALPGLPVSFSYPYPLMDTYVNEGQAVNIEFRSEAWPGCEEFSKYWEVDESSSASEEPAILVGGKKRERNSWFRIERKENFVGGNAYKLTTLAGTIGTIPGPWDQAPQLVLTNDTAKTFLVKFHKVHGDTTATTSTSRLEKLAVCTHGENRVDDTDGNPLRTTAQYLILPLSPRSNGGGLLPVPVKLQPLCPLGISQSSVKALTGLPVSFSYPYAIMDTYVNEMEAINIEFKSDAWPGCEEFSKYWEVDESSSASEEPAILVGGKKHERNSWFRIERKEPFYGGVAYKLTTLTGTIGTVPGHWDQAPQLVLTNDTAKTFLVKFHKVHGDTPATTSRQEKLEAQSYDLRTQSRRPTPQIEGHSDAIICRSDAAWRSGISTAGLAWSFYRANGEIILSHSKSTSFVISSLLAEGLALREAMEHAWALGLMKVIFESDSSQLMAAVEGESNFFDLHGIVSDVISFANSMELARFNFRSRINFAKTHKIIITMKISFLITILLATVACTHGQEPVKDTAGNSLETGQQYFIQPIKTGSKNGGGLVPAAIRLIPLCPLGINQAAFTFLPGLPVSFEFSDFVPEPIVRTSTDVTIEFKSCKEFSFIWAVESSSDSNEPAIILSGTPGSQNSRFKIEKAGERAGENTYKLTSLGGTVGNVTGIFLAPQLVLTNDNAKTTFVKFNKYNESITSASRVEKSGLRMFPF >A09p050230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44185680:44187984:-1 gene:A09p050230.1_BraROA transcript:A09p050230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFRSEVVACGVVYAADRRFQVPLPENPPWWKAFDSDKSGIDQVCSVLAHLYSLPKAQYISVCKDGKTFTFSSRSGNPQAQSATKDVLPAGGDAGDTKGSAVNEFKDEVITTPHEKATNSKKREAESNSLPIVGDSREKKSRERDRARSSHRDRGRDSDKDRDRLNDRSHHRSRDRLKDLGGPSDRSRHHSSRDRDYRESSHSSKDRRRHIKSNLLTSTGVFFIVYGENYSQNYSQAQDLYNEIYGPPIYEDQVEEGGNEDLVLNNIDEVGLHVVEQLEQTKDVPIIKADEVDYTKDQAFRYMDEYEKL >A09p004030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2374539:2375045:1 gene:A09p004030.1_BraROA transcript:A09p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVSTYILPIQTVAKFSTVLQPHHSPSQSGGSETSSESQGATSPKNSSIAFEHLSPSAKSTSASILAGSPSAHTTPAQGMDNVPSAIISNEGATPSGNDPTIMTPHSTKFIQEHGNMESDFHINEQMDEYGSVSRGGRLLKRTQRYQEMEWHTVRGRGSRGRKGRGS >A03g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29067956:29068652:-1 gene:A03g508560.1_BraROA transcript:A03g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLSTTSHQVLCCGILSDNLPLLPENQKGIKGGSRNKGLRTHHLTSGSRDIWQRYCKKIEDQEEVRKKESLLSSFTVLIDFKLFGILKEFWISVLRKFSIDVTLSFSYEGSASVTVIMLRVNKWLLQSNFSVLCFIICSVLCFFFAFVICVCVLERSMQNQKLSFLIFKLIKKISYLFNTKVAMS >A10p039240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21765980:21767191:-1 gene:A10p039240.1_BraROA transcript:A10p039240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTVTVVATKRDALDPYIKIIQSRSLDIDVSFSSYLKPDNNEQKEQEDTEISIFEARRYFSESGSNDRSKSTNLNGPRFSSASSAKVSSFTVGHTASSEASWNSQTGLLRNNNRQGSDHNGRGSSKKGPRWFFRRRTCPCSSSKSVQVRETKPRIAEFKTGSDRTISNRIIESFSTQHIARPSAPPPPADLVRNSVDETATEYGYEPSEASVTWSVTTAEPVSAAAASFSRINLSQPSLTFGGGCDKKRTALLDCRCEKAVMVSGGQRLVQPLRSVSVQDDVMQKVLCNNGSSKLKMLNNKSSFLV >A03p040240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16766444:16770229:-1 gene:A03p040240.1_BraROA transcript:A03p040240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRVCMLCTCLLLVVIAVGVLFGFGVFKDGFHKIQETVHLECDPRFGCGGDVGRRGYGFPAPSEELRKESQVEKSERYQREKTEKTIDYKMRITVMTTGEQIITLDVDSHESVENVKALLEVEANVPIQQQQLLYNGNEMRNSDKLSALGVKDDDLLMMMVSNASSGSSAARSDLGMNPDGSALNPAAFQQHIRGDANLMGQLFQTDPELAQVITGSDLNKLQDVLRSRHQQRSVVQRQKEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEGFARVIMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLMDQRYKGIAQGVGQTEILGRIHVAPIKIGNNFYPCSFVVLDSPNMEFLFGLDMLRKHQCTIDLKDNVLTVGGGEVSVSFLQEKDLPSRFLDEERVPNQASSSGAAVPSGFTERKSDTVASPASQPSRSEGPEFDAKIAKLVELGFSREAVVQALRLFEGNEEQAAGFLFGG >A06g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17961066:17964228:-1 gene:A06g506370.1_BraROA transcript:A06g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPNNNQDLLNPRSTFNNIEEHERDLGRTPHLSLDLDLDLRIEIADTTRPPPPLAAVHGEERETRPREREGGAAKREREREERRGEEREGGERRRGEERKSRRLGLLISGDSPQGFASKFLMGRSAAPRTPFPLRLGRVQVPHRPKRHGRACGHSIHPITLGPTQLKRTNPPLSCCIPMRSPALVHPASGSLGCSPYPLQCITTPSRQDS >A05g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7707499:7711146:1 gene:A05g502470.1_BraROA transcript:A05g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKTFFWNVRSLNDPDKHLPVNRWLGKHQVFFGAFLETHIKEMQLNYVMTKVCKDWSYTSNHASDPDGRIVIIWKAPSNVNVLHQSRQSLTCEINIAGTCRFAYTAIYAANLDADRADLWVDLLNVQQLQQLDTVPWVVGGDFNQIHHHSEHSSPLVNHITPPMTDFRNTLTQLGLFDLRYTGPCFTWSNKFPTYPIAKKLDRLLVNHQWIAAFPHSQSSFLAPEISDHCSNVLDLAVDLPRAGTKPFKFYNYLTKHPDFCQLVGTGWNQCGGAGVDLSHLSWKLKQIKGVLKKLIRDNFSNIQERVRETNSFLQIVQVRALTDPSTENFQEEQTLTAKLNFLRSIEESYFRQRSRINWLNVGDNNTTFFHRLTQVRNSFNSIRSFCLANGNQISDPEAMGQIAISHFQNILAPHPPTTTSSSVTWYQIQQPEVEQILAQCGLTQGTLPIRYLGVPLCTKKLTLANCEPLIQQVKGKINSWTAKTLSFAGRLVLINTVIAGISNFWCATFTIPKKCIKIIHSLCGAYLWKGTVEGNHSAKVSWEVVTLAKVEGGLGIRDLVFWNRASSIKLMWLLFFRSGSIWVAWFVKNILSGRVSNLWTIKEKQTHSSATKKILRVRDYAYRWIKILPGNFKDTRFWSDNWSPFGNLRLFLRLPASSNIGIQQTATLHDLYRNDRWRLPQPRSESQLSLHVYLSTVTLTEESDVYEWSPQGSPLTSYSTGMVYDLIKTHQPMVPWSAVVWSSRGIPRHNFLTWLTVLNRCPTKDRMVGWGIQIDTTCILCNAPMETRDHLYYECPYAWNLWTALSLKAHWTPSRIWSTELSRMQAISSAKHERLLVLLAWQASIYLLWTERNNRIHRRHYRPVFSLATQADHLIRNKISGIRETNPSISSKMLQRWFIN >A05p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24159725:24161305:-1 gene:A05p039510.1_BraROA transcript:A05p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALRGLSPSRSRARSRSVSPARSSSPMKALSWGKKNSSGGGYCLSQPELSIGRSGSLRPVMEGPDPDEEGGVGGGGEGNVGDSKRLGSGLGHWVKGQLSRAPSVAATAVCRRNDLRLLLGVMGAPLAPIHVSSSDPLPHLSIKNTPIETSSAQYILQQYTAASGGQKLQSSVKNAYAMGKLKMITSELETASRTVRNRNPSKAESGGFVLWQMDPDMWYVELSVGGNKVRAGCNGKLVWRHTPWLGSHSAKGPVRPLRRGLQGLDPRTTAAMFAEAKCIGEKNVNGEDCFILKLCTDPETLKARSEGPAEIIRHVLSGYFSQKTGLLVHIEDSHLTRIQSNGGDTVFWETTYNSSLDDYRQVEGIMIAHSGHSVVTLFRFGEEAMSHTRTKMEESWTIEEVAFNVPGLSLDCFIPPADLKTGSGIEYPQEERGKSNNAVVLTAAHRAKVAALENGSFEANR >A05p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27614679:27617142:1 gene:A05p046510.1_BraROA transcript:A05p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITAKLQSLRMYDSQVLKSNSEGIERCQESTSGESFLYRYQLEEDVKRLQLQLQEEIELHTFLESIMEKDPWELSSSSCSVPHHAQELLSNISTLESAVTKLEQEMMSLNFQLSQERNERRLAEYHLTHSASPPNSSSPSLRYLNHSDSELHQSAEDSPCQNQEFSSESSQAESAVKKTLDQSNQFLEKKLMRKTNGRKLPKYLWDHPNLLSEEMVRCMKNIFMSLADQTKASSNESQLLSPVASPRGHLSSSSSWWPSTERSKISSWVQSPQIDIQRSNNDVLATGNVFDPYRVRGKLSWSEIGNYGLASEVSWMSVGKKQLEYASGALRRFRTLVEQLARVNPIHLSCDEKLAFWINVYNALIMHAYLAYGVPKSDLKLFSLMQKAAYTVGGHSYTAAAMEYVILKMKPPTHRPQIALLLAINKVKVSEEQRKASIDTHEPLLSFALSCGMYSSPAVRIYTAKGVKEELLEAQSEFIQASVGLSSKGKLLVPKMLHCYAKSLVEDSNLGVWISKYLPPHQAAFVEQCISQRSRQSLLSSRSCGILPFDSRFRYLFLPDNNNNNSCV >A03p068330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29929182:29930309:1 gene:A03p068330.1_BraROA transcript:A03p068330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTEATKTSPTNGEDKQSQNLRHQEVGHKSLLQSDDLYQYILETSVYPREPESMKELREVTAKHPWNIMTTSADEGQFLNMLIKLVNAKNTMEIGVYTGYSLLATALALPEDGKILAMDVNRENYELGLPIIEKAGVAHKIDFREGPALPVLDELVADEKNHGTYDFIFVDADKDNYINYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVIELNKALAADPRIEICMLPVGDGITICRRIN >A04p014980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5829380:5830567:1 gene:A04p014980.1_BraROA transcript:A04p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLPDDLCATILSRLPIKVFTSFKLVCKQWNSVVDSPIFRELFIAQHQNTHSSSWSLIMGAVDRTEFLAHYRCNTWGLQRSLDSYISSFIAYKFENQRHYYRRGSVVAYSDAGFVLIDVSSYSYAGSTFEKRSLCVANPVSQECIEIDAPKAFENSGIFRPFGIATRTNNGVVTSYKIVAFSSESYVENLGLMIYSSETGLWSRKLHLHSKSESCPHTISLNGNLHWLSRNNDELVSMDFNATNMASGPFRYTDFPDLEKRVKFARACTTYQGSLMYINIVSQDGSVDNKLCVWRLKSWEWQLISEISTALTETKFDYIPLSINSCDATTAYFLSQKHKRLIAINLRNGERMLHRELEVSSGGRILRSLRYSQGSYFYSFVLPQWLYRLPRQQR >A05p045030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26982175:26982854:-1 gene:A05p045030.1_BraROA transcript:A05p045030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSVLRLSVGTPDGPILSGQAARMFKPGAITSGLIISGVSGLGPRAEKDATTGAGRIPSRVPLKTIVAVGCFDERDFAVSEMYLLISSPPEPPTDVAGNTWQSATRPSPSNTPFAIIIPTPPFFLTISPLRRRVTVAAEQATTVDKRVHFIRIQIRSTVNANAGNRFTVPEPHVRRKVAVDGSGADRRHPRRDVRHR >A03p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7223012:7225347:-1 gene:A03p017730.1_BraROA transcript:A03p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILMLSLCLTTLLALLLLKSILKRTASKMNVPPSPWRLPVIGNLHQVGLHPHRSLRSLSFRYGPLMLLHFGRVPVLVVSSGEVAYEVMKTHDLKFANRPRSKAVHRIMNGGRDVVFAPYGEYWRQMKSVCILNLLTNKMVGSFEKVREEEVNAMIEKLEKASRSSSSENLSELFITLPSDVTSRVALGRKHSDDETARDLKNRVRQIMELLGEFPIGEYVPSLAWIDRIRGFNDKVEEVSRGFSDLMDKVVQEHLEAGKKKVNFVDILLAIEGEMSNGFQDMFIGGTSTTSTLLEWTMTELIRHPECMKKLQDEIRSSSIPNSSYIKEEKVEKMKYLKAVIKEVLRLHPSLPLILPRVLSEDVKLMEYNIAAGTEVIINAWAIQRDTAIWGLEAEEFKPERHLDSPTDYRGKDLNYIPFGSGRRICPGIGFALGLAEVAVANLVGRFDWRAGFRPKGDQSDIAEAVGIDVCRKFPLIAFPSSVV >A10p039790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22021735:22022989:1 gene:A10p039790.1_BraROA transcript:A10p039790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQSGYDLSFKILLIGDSGVGKSSLLVSFISTSVEDLAPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLVDVWGKEIELYSTNQDCVRMLVGNKVDRESERGVSREEGIALAKELKCMFLECSARTRQNVEQCFEELALKIMEVPSLLEEGSSAVKRNILKQKTEHQTTPQAGCCS >A04g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:814085:816545:1 gene:A04g500170.1_BraROA transcript:A04g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25310360:25312157:-1 gene:A02p040040.1_BraROA transcript:A02p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRQRSSDYFISACKLHRSNMHVVSYLRKEIREQGATVMTVKASVGSKRYSILASSIDGEATRELMKKLEDCKFHKSFNNYILSPWPPVRHLVLERSKYVVEQLAASRSVLLHSFHDQPAGRLVRYCALGRPRADLLETAVHARQARLDPRDKEPARDMPSLTGDKESAAVQDGTEQTDLFDIFRNKV >A08p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19569926:19583513:1 gene:A08p032320.1_BraROA transcript:A08p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWEMESTGSARLVGGNQVSLPLTTTTHHRFAKVKSQGNFQPRNDVFAPQAYSLHANPHSVSPFVTRRKSQINSDCGVFKLEEEEDDSLPEVNGVHSGNSRPVDVKRELVMLSLPAIAGQAIDPLTLLMETAYIGRLGSVELGSAAVSMSIFNTISKLFNIPLLSVSTSFVAEDIAKVAAQDLAEEDMHGDRPSQGLAERKQLSSVSTALVLAIGIGIFEALALSLLSGPFLRLMGVQSTSEMFNPARQFLVLRALGAPAYVVSLALQGIFRGFKDTKTPVYCLGLYSLSPTILLISIGSLISVTQFKMGVAGAAISSVISQYTVTILMILLLNKRVILLPPKLGSLKFGDYLKSGGFVLGRTLSVLMTMTVATSMAARQGAFAMAAHQICMQVWLAVSMLTDALASSGQALIASSASKRDFEGVKEVTTFVLKIGVVTGIALAIVLGMSFSSIAGLFSKDPEVLQIVRKGVLFVAATQPITALAFIFDGLHYGMSDFPYAAWSMMVVGGISSAFMLYAPAGLGLSGVWVGLSMFMGFRMVAGFGRLMWKKGPWWFMHTTSCLKAACERETCPVFNLLHIIPLIRGIRQVIAAACLVFCREKMEDSSASFVGNRYWVLRHGKSIPNERGLIVSSMENGVLPEYQLAPDGVAQAQLAGQSFLKQLEESKISLDKVRICYSPFSRTTHTAKVVAQVLNIPFDSPQCKMMETLRERYFGPTFELKSHDKYQEIWDLDEKDPFMGPEGGESADDVVSRLATAMLSMEAEFQRCAILVVSHGDPLQMLQNIMHSAKQHSGGDLGGLAERIQKSRVASVLSQHRKFALLTGELRPLSKGVGNLLFFVPKWKTGRIASIQYQNDKTTSRKILLRHAIVVVDRRVMISFSVLVSSAELISSLNTHSALRHSNDYCAMYDICGQRTDGKVLNCPYGSPSVKPDELFSAKIQSLCPTITGNVCCTETQFDTLRSQVQQAVPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTSVAEVGGNLTVDGIDYHITDMFGEGLYESCKEVKFGTMNTRAINFVGGGAQNFRGAPPQSVSRPSCIDVLSLFSSLLLLFSEWFAFIGQKAPPGFPGSPYAINFKSSSPELSAMAPMNLSTYSCGDTSLGCSCGDCPSSPACSSPEPLPPPHEEDSCSFRIGPLKVRCIELSMALLYIVLVSSFFGWAVFSRTRDITQPDGSSESLVRLLEGDGINSELKESTLGVKGKRHAHLSPVQRYMATFYKSYGSWIARNPSLVLFISVAIVLALSSGLLHFKVETRPEKLWVGPSSKAAEEKKFFDSHLSPFYRIEQLILATVPDPKTGKAPSIVTEENILLLFDIQEKVDQIRGNYSGSEVPLTDICLKPLGEDCGTQSILQYFKMDVGNYDEYGGVEHAEYCFQHYTSSELCLSAFQAPVDPSAVLGGFSGSNYSEATAFVITYPVNNIVGDSSNENARAIAWEKSFIQLAKEELLSMVQSNNLTLSFSSESSIEEELKRESTADVITIAASYLVMFVYISVTLGDAPQFCTFFISSKVLLGLSGVVLVLLSVLGSVGFFSALGVKSTLIIMEVIPFLVLAVGVDNMCILVRAVKRQPRDISLEDRISSALVEVGPSITLASLSEVLAFAVGAFVPMPACRIFSMFAALAILLDFFLQITAFVALIVFDCKRAADNRIDCFPCVKVSSSSEESVEGGSEPGFLERYMKEVHAPVLGLWVVKMVVVAVFLAFALASIALSPRLETGLEQKIVLPRDSYLQDYFDSLAEYLRVGPPLYFVVKDYNYSLESRHTNQLCSISQCNSNSLLNEISRASQTPETSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGSYCPPDDQPPCCTADDDICSLDGICKDCTTCFRHSDLVRDRPSTAQFREKLPWFLNALPSADCAKGGHGAYTNSVDLKGYETGVIQASEFRTYHTPLNSQGDYVNSLRAAREFSSRISNLLKIEIFPYSVFYIFFEQYLNIWTVALTNLAIALGAIFVVCLLITSSAWSSAIIVLVLVMILADLMGVMVVLGIQLNAVSVVNLIMSIGIAVEFCVHISHAFLMSNGNREQRARKALETMGASVYYFQMYLALVIIGFLHGLVFLPVILSLAGPPQIYLDTEEEEQGRDGASSSLLN >A09p013720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7106870:7108450:1 gene:A09p013720.1_BraROA transcript:A09p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSFSSSSLFSFNLPTQNSLHRRPPTLPRHAILSSTDGSSNGASSSSSSSPTVKPTRTEDNIRDEARRHRSTSANPFSARYVPFNAPPGSTESYSLDDIVYRSESGGLLDVQHDLDALRAHDGAYWRNLFDSRVGKTKWPYGSGVWSKKEWVLPEIDHDDIVSAFEGNSNLFWAERFGKTFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCASAGIPSIVILPANKISMAQLVQPIANGAFVLSIDTDFDGCMKLIREITSELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFKMCQDLGLVDRIPRLVCAQAANANPLYLHYKSGWKEFKPVKANATFASAIQIGDPVSIDRAVYALRNCDGIVEEATEEELMDAMAQADSTGMFVCPHTGVALTALFKLRSQGVIAPTDRTVVVSTAHGLKFTQAKIDYHSKAIPDMACRFSNPPVEVKADFGAVMDVLKGYLGSKELRS >A09p075560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56863091:56863511:-1 gene:A09p075560.1_BraROA transcript:A09p075560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGKINKKAWFDLLIVAELDLSTTVLGKKNGVAFLDRDRNQFPILIPRSRPHCYHLAGVQLGGFLWEEDAALGFGRLDDSLHQDAVERWDQTLHHFSLSLGGGGGCECEF >A01g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9823942:9824288:-1 gene:A01g503070.1_BraROA transcript:A01g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASTYSFWDPVQASCGASFIPALLLARLWYVLELSPSDGTPGIRRNEENLTFPRVTLMVENGYRYRRISKNNLAERAGFSGWAKLGL >A06p041530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22364048:22364585:-1 gene:A06p041530.1_BraROA transcript:A06p041530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMINHKDVLRALKQALKKTEEAFDLTVDENPELALMGSCVLVTLMKGEDVYVMSVGDSRAVLAQRPDLEESPLESRENGFSLLVPLQLNMEHSTDVEDEVRRINKEHPDDPFAIKNDRVKGYLKVTRAFGAGFLKQ >A02g509750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26029766:26032746:-1 gene:A02g509750.1_BraROA transcript:A02g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKIYLIFHSFSLFLNHLNVFGYAESRLDFQEVVWTSWKSSRLHGSLLTKSPFHNRSECFGFSDLEDFWDDLPGSRLKYNALDDFQEVFQTTSISVKSSGFSGSLLTKSSSISSGVQPCLCRRMIYNSFVCDDLQFSRPSDDLLVSRPGFTSFDQNLRIKSWTTYIFSRLGKHISKSIAKITSAFDQTTSRLLQEHKILNESDPPRIVSFFDSMNHKKCRIKILGFFSLMWRESERDVVFSS >A07p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2292077:2294063:-1 gene:A07p005230.1_BraROA transcript:A07p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKALVRAMDVYEFLAGRIRLIPSSGSLDVDCNGAGAGFVVAKSEYTLEELGDFVYPNPSCAKLVTSELQSLPKDDQPFFAFQFNSFIRVMVFAIFYCCIGTDRTDRPGNHRLLRTLPQESARLLEALNTNWEKGDDNQEVEKYVKMRDLRHSVSMLEALKPIWEKDDDNPGKI >A09p017850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9268526:9274198:-1 gene:A09p017850.1_BraROA transcript:A09p017850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEAALGMIRATVGGGDGDAVLVAEQNRQMKGEIASHTMCEQLLAAHVACLRVATPIDQLPIIEAQLSHSEHLLRSYASTAVGFSHHDRHELDNFLAQYVMVLCSFKEQLQQHVRVHAVEAVMACREIENNLHSLTGATLGEGSGATMSEDEDDLHMDFSSDNSGVDFSGGHDITGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEIMRKRRAGKLPGDTTTVLKNWWQQHCKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSHSLTSLKSKRKH >A06g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14277398:14277946:1 gene:A06g504650.1_BraROA transcript:A06g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEKGKYNSCTQDERKVLIELFMEGIKRGWCDSSGIINKATVETKILQVLNERVGCQKLHKHYQRRIKFLKNLYNSYVYLQRNSSGFGWDFETKRFTATEEVWQGYLKAHPNPQYMLYDSHEQFEELKIIFYGTTANGGNYLLYHWQLYHQR >A03p068450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29982257:29982622:1 gene:A03p068450.1_BraROA transcript:A03p068450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKSAFIFRLVRRNVCYKKKSLCWYWIIKFPSWWLNFVRMVAIPYVLLPLVAVYAIGHQNHKL >A07p027660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15756210:15757686:-1 gene:A07p027660.1_BraROA transcript:A07p027660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSVSLSSFNPKSLPLFVSRSASVSVLPPSLSFKLHSLSFSAVKCSSSPAQYPSRFVTHVAVSSDFEVEEDDMFADDDSPPQERSSFSADLKLFVGNLSFDVDSAQLAQLFESAGTVEMVEVIYDKVTGRSRGFGFVTMSSAAEVEAAAQQFNGYEFEGRPLRVNAGPPPPKREESFSRGPRSGGYGSERSSYGSERSGYGSQRSGRSGYGSERSSYGSGSGSGSGSSDRVYVGNLSWGVDDMALESLFSEQGKVVEARVIYDRDTGRSKGFGFVTLGSPQEVTRAISSLNGADLDGRQIRVSEAEARPPRRQF >A04g507310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17938091:17938645:-1 gene:A04g507310.1_BraROA transcript:A04g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLNETQPTVVVSSPPGRISLRPMTLSDVDDYMVWATDAEVARFCSWEPCTSREEAIKYITDSVLTHPWLRAICLEDDRPIGYILIMPVDKIRKEIGYVLARKYWGKGFATEAVRLVTAEVFKEMPEVERLEALVDVDNVGSQRVLEKVGFTREGVMRKFIVMKGSLRDMVMFSFLPTDPFK >A01p056590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:32117202:32118086:-1 gene:A01p056590.1_BraROA transcript:A01p056590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYSTLTYWLVNHPNIANFTWTEGETLGSTVFFVSGVVTAYLSATFLLRHVMVSLPSLSPRILKPITAVHSLILCLLSLTMAVGCTLSLASSSDIVPSHPTARFFRAICLPMDVKPSGPLFFWAQVFYLSKILEFGDTLLIILGKSFQRLSFLHVYHHAVVVIMCFLWLRTQQSMFPFGLVANSTVHVIMYGYYFLCAVGMRPKWKRLVTDCQVLQFLLSFAFGGWMFQEHVFGSGCSGFWACAFNGVFNASLLALFFNFRSKNYANKTRLDTWAEMVKDVGKKAIRSKKTD >A07p010130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6323893:6324628:-1 gene:A07p010130.1_BraROA transcript:A07p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQSQLFTLLRRRFHSPSSSDAPTETLRKRITDLQKSKKRRNPIKNQFLVEVPESRSYLDTATMPMFLAVVGIALFAKVLMMIDDSKSQEMIERKIKNAPEGQGTVRMIEREEWDEFREVRPRTPFESKLARPNAQIRTGEPVRKDDLKNWTIDVLTNALSRTEESVRRGGSS >A03p030190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12661192:12662512:-1 gene:A03p030190.1_BraROA transcript:A03p030190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-rhamnose/UDP-galactose transporter 5 [Source:Projected from Arabidopsis thaliana (AT4G09810) UniProtKB/Swiss-Prot;Acc:Q9SZ96] MAPGSKANKKSTVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTLVLRCLGYIQPSHLPFTELLKFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVACLLEVVFDKIRYSRDTKLSIGLVLVGVGVCTVTDVSVNTKGFVAAFVAVWSTALQQYYVHYLQRKYSLTSFNLLGHTAPAQAATLLIVGPFLDFWLTEKRVDMYDYNVVSLMFITLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFDRDGLNLHVVIGMIIAVLGMIWYGNASSKPGGKEKKSYSLPTTRQQKNGDDSDEGPRLKA >A01p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2133088:2133495:1 gene:A01p004950.1_BraROA transcript:A01p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKYAYPYPAPGSYPQGPPPPVGAPPQYYPPPPPPPPPRKKAGFLEGFLAAMCCCCFVDECCCDPTIICFD >A04p029270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17503908:17508454:-1 gene:A04p029270.1_BraROA transcript:A04p029270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLLRSLWSNTTRRSFSSSQSPHLKSRFSLSSLRAFSAASASTAAAGAPSSSLDPNRLRNVAVIAHVDHGKTTLMDRILRQCGADIPHERAMDSINLERERGITISSKVTSVFWKDNELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPSVSEERCDEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSTFTKEPPADAKNMSQLLDAVVKYVPPPKADLDEPFLMLVSMMEKDFYLGRILTGRVSSGVVRVGDKISGLRQTETGSSEKIEEAKVVKLMKKKGTTIVSIDAAGAGDIICMAGLTAPSIGHTVASVEVTTALPAVELDPPTISMTFGVNDSPLAGRDGTQLTGGKIGDRLLAEAETNLAINVIPGLSESFEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENKQKLEPIEEVIIEINEEHVGSVMEALSHRRAEVTDMGPVPGNDGRTRLTLTCPSRGLVGYRCVFSSDTRGTGFMHRAFLRYEKYRGPLGNVRKGVLVSMGYGTITSHSLMGLEARGTLFVSPGLDSYDGMIIGEHSRDTDLDVNPVKAKELTNIRSANKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKRIRLRKRCLDVTKRKSQSKRAKD >A07g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20293333:20294467:-1 gene:A07g507490.1_BraROA transcript:A07g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKIPVLCYWNGCIKDGPDGPFYEGSSPRVIRVERKISLPKLLDDLHRVTGFEKGKFKIDVIGRYPSIVQQPVVKYIRLPVVDDSSLETMLEVPTYHFSINNVEFYLEVTPVVFDQGDAPRKRPRQEDDDANDLSDSVPKQWALAHDDSGLRFGIMETNLIFTIYGFINYLPITTCVLLIFDHLAELFISQRGRLSESLKSGDKYAQHVMTKLGEEKGKTYDALPLDNTGERFQVADGNNKIYVVHRIDRVCSCGMWQLYKYPCSHVLAVCRRLNIDFLQYVNDYYNTERSLRVYAAKFNPVPGWFSEWSEASETPRLFPPSPTRLDCAPSSSSVPCIRQVKHEI >A09p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7184555:7187065:-1 gene:A09p013870.1_BraROA transcript:A09p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGLQRLFPKFNSQAKKISAPPEILGEDAMDDQMVPCFLVCAVSQRQQDVGINSPQKASLVLVCNLLRLVVNIVKACFGIQPLNHIAGAHEIETVRGGLVESSKKRVKRSKKRDERNDVNDPFIMIPMELKLEILMKLPPRSIARLRFASKHLSSIILGKDFTELCMTRSSTQPRRHLVSVHRGSDMQLFHSFSQEEHPSSNSNDHDKVSYTLDPDVRYLFTPPVRGLICGRKGIKMVIGNPSTGQFVTLPRIKTRKKEILSVFGYDPVNDVYKVLCLTVITQRGNIARGIISRDYFLEDVMAWEAIVSKEHQVITLGAKNKWRMIECKYPHRHYAGNHGICRDGVLYYLASYKQKRSLMGFDLSTEEFNVTKLPDDYELQEFGNLVNHSGKITIATQVNTGAIDLWVQDDVNKEVWSKTASVVPSVAHICGANQRLMFRGILPTGEIIFAPLPSPNPFFFLCYDPKEKKVRQVVVDGIGNDSAAIQVFFDHVESYMVL >A02p059460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35491056:35493678:1 gene:A02p059460.1_BraROA transcript:A02p059460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 5 [Source:Projected from Arabidopsis thaliana (AT5G65090) UniProtKB/Swiss-Prot;Acc:Q66GQ6] MNEFSKDRDSGNSHRSKKKSILPKVFGSRRSGKEEEDASNNMNNRGKHDDQGNNNEYFNDLKRRMAPRKKPILDNSSSMIWKTASERMEGLNLSGSIAPTTEIRELRVFVATWNVGGRTPNNDLNLEDFLLVEGTADIYICGFQEIVPLSAGNVLVVEDNEPAAKWLALISQALNKPKQDSASRTTTSSSNCRGEESRTPSSLSFFQRPNLKVLSRNYRVDSSLLKTCNCQVIGTSVGWEARLSKKYSDHVVDNNNYVEPENFRVHENLLFDDVPATTKMPGQMSYRLIASKQMVGLFLSVWARKELIPHISHLRLDSVGRGIMGRLGNKGCIAISMSLHQTSFCFVCSHLASGEKEGDEMRRNADVAEILKHTQFPKITKNPSCRAPERIVEHDRVVWLGDLNYRVALTYEETKVLLEENDWHTLLEKDQLNMERAAGRVFSGFQEGQIFFAPTYKYSQNSDAYAGETTKSKRKRRTPAWCDRILWRGERIEQLSYIRGESRFSDHRPVCAIFAVEVDVKSMNKGRLRKGYSSAAARLIEDCIPQRHSFYD >A10p002710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1393005:1395667:1 gene:A10p002710.1_BraROA transcript:A10p002710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase FAO1 [Source:Projected from Arabidopsis thaliana (AT1G03990) UniProtKB/Swiss-Prot;Acc:Q9ZWB9] MNAENREMVGGTRGKKRGHPLLRWSTKQETFSHGFSQSDLQALSAICEAIMPPVPLLSLDLDMKLKVLRNDALLSFFKSSGSHVRPDEVAEVMATKAMPVTVTVVRIVLRLLTFRLGTLLLCGFVCLDKKNWSFLLLKFSDISLEKREKVLQKWNKQWYNPLARIAFMMIKAIFLFYYFTWTNENTENPAWDAIGYKVDIGENEDIKRKQRPLEKGIIETAKEDEATIKQLMINKGLKLKEDKESNTFKIECDAVVIGSGCGGGVAAANLAKSGLKVIVVEKGNYFVPQDYSTLEGPSMFEMFEANGLLMTHDGRFRFMAGSTLGGGSVVNWAASLKTPDAIIEEWSVDRGIATFAREGYTAAMESVCKRICVTERVIREGFQNQILRKGCEKLGLDVTVVPRNSSEEHYCGSCSFGCKTGDKRGTDITWLVDAVDNNAVILTQCRADKLIFAETESGRRKKRCLGVTVSISNTTINKIEINAKVTVVACGSLMTPGLLSSSGLKNPNIGRGLHIHPILMAWGYFPEKNSNFNGAAHEGEIMTSLHYVFEIDSTTPNITLETPALGPGTFAALIPWVSGKDIKERLAKYSRTSHIFVMVRDEGVGEVKGGIVKYKLTKADEENLTTGLRRALRILVAAGAEEVGTYRSDGQRLKCDGVKEEDLEKFLETVDAPAGVVSMSRRWTHSFTAHQMGCCRMGATEEEGAVDGYGESWEAEGLFVCDASVLPTALGVNPMVTIQSTAYCISKRLAELMMKKKQD >A09g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29266291:29266796:1 gene:A09g510110.1_BraROA transcript:A09g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A06p009180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3219865:3224033:-1 gene:A06p009180.1_BraROA transcript:A06p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA (cytosine-5)-methyltransferase CMT1 [Source:Projected from Arabidopsis thaliana (AT1G80740) UniProtKB/Swiss-Prot;Acc:O49139] MKRPEPSTDLSFTGEPISAEEAIAKWPSRYRSSKARVSATVGTPKDGEENLEQAKRHYSQALVDGTLFNLGDDVYVKAEDGNPNYIAKIVEFFEAIDGEPYFRARWFYRPEDTVIKTLASEVQEKRVFLSNVEDDNPLNCIVSRVNIVKVPAKIVSGAEERVIPPCDFYYDMKYELAHLTFSTAADDGDASSTISSESDSNCIQIPQQKEKFLLDLYSGCGAMSTGLCMGASLSGVKLIKKWAVDINSFACDSLRLNHPETEVRNEAAEDFLKLLIEWRKLCQKFGLISTTEVIESDGDSEDEEEDGDENDDADGDSNGSDIPPEEFEVDKFLDICFGNPNGLKAESAFHLKVRWKGYGPDEDTWEPFDGLRKCKDKLKEFVTTGFNTNRFPLPGDVHFVCGGPPCQGISGFNRFRNNKAPLEDEKNRQLLVYMDIIDYLKPNYVLMENVVDLLRFSKGFCARYAVARLVAMNYQTRLGMMTAGSYGVPQVRNRVFLWGAQPTEKLPPYPLPTHETLAKCLTPTEFEASDKSFCYEDEIQVGYRQRNLLQLEKALTLADAISDLPPATNYEKTDERKYDTRPQTNFQKFIRLSRAESIIPLDGGDASKSRILYDHQPLELNDDDLERVCHIVKKKGANFRDLPGVIVDEDNKVKFDPSVERPKLKSGKFLVPDYAVSFVNGKSKKPFGRLWWDEIVHTVVTRAEPHNQIVIHPLQDRVLTVRENARIQGFPDFYKLCGPIKEKYIQVGNAVAVPVGVALGYAFGLASQGLTDNQPVIKLPFQYPQCMQGKTEEHSA >A04g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1247901:1251129:-1 gene:A04g500330.1_BraROA transcript:A04g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKR >A01p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000100.1:26583:32659:1 gene:A01p030050.1_BraROA transcript:A01p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGRPVCADGHTRTATDVMCVLTDTHGRPFWLDRTHSFRISPNPGMKSVKENATKQPAFANLESVFVRKQCCNSGQSASREEAVEKRKPRRSMQHSAHRSMEIPDRGPCIFCDCIYFRYIHISTRAMKRGFLGPLRKEPAGLCTIRKSKREVSIDTLQAASIDSIHHQSIDTIHPTTNKSSNNTVHRDTIHRGTVHPGTVHHNTIHPSTVHHDTIHRDTIYLPSIDTIQIPSIDTIHVPSIDTVHPNTVHRDTIHCDTIHIPSIDTIHVLSIDTVHPVSVDTIHLPSIDTVHILSLDTVHRDTVHPNTVYRDTVHRDTVPPMPNTTYGETEKVEALIGSVIPDVIAVAETNTFNLTSQWYDSGSENPFNGLPHEDPKDLIKRLEELVSANKHNEIPADHIICKIFPYCLSRDPFSWFSKLQPRSLTCWEDIKEAFIGKFFSEAVATRSNRLDYMIDKMIKDREKGIMISMSQILDFVYSEKNGDIGTPTTHVKQPDIQVHHADESKQKEEVNREKLVNHDTIEDDEYHVSGEQSKVEEADTKDPTSASIDNSNSESIDIRTSETIDTDICHRSIPSTIPDATTLYFRTGRPKAIRDYNSPEDAYAKRSALRHPTSHLETFVDLASTIKCNEVFEDYYLCKLFSYSLAGETTYWFRKLPLGSLTTWNDIRYAFLNKFLDDAAANLEIEIRSMLEYMVEDDEQHESGKLSTIEVADISDTSSSSIDTLTITSIVTPTSSSINPSTSEMIDTDFCHRSIPLEIPERSSCPQDIANSTQKSIDETSCDLTSDVDKVTLKDFLELEEWLRHKLDDQPASGKGLENSLKADDIDRINLMRSIDTHPTTSIYSRRYMIEPELVGRKEHTSGASHLAVPEHLRPPICAEEAVGICKRVKRIHDPVKVMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQYHVEAYQRGLRFRDEVDEGPAGAPSSDISKSELIDTNTSSSIDTDQIPSIDTRRESEQNEYELCGNIFYGDTTTHSDKSEGKKWRNWKKK >A04p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3272752:3273932:1 gene:A04p006310.1_BraROA transcript:A04p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNEIWNGSMGPLYTCISLVIIDEHWVFQIFKFFGFNQNEQLIKSNNTRERLKRDKVDLVPVSGRRVGARAVAGTSWFSFRLSSPYPCRSLVILPLIRFARALIDSHPETIARGANRHQRWLGFWSEGAVVWSSAVAGSSLREVIGFFSNVSSVLSPGGEGLHSLASPALVVRSGGFVFLDRVWRVMYALLWVAALRVLKAEEKWLRWRFSGIEFRRNEGVGGGAQAVSRVGDGQVEASVDAWRADDEMSTRVPSP >A10p035710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20432565:20434240:1 gene:A10p035710.1_BraROA transcript:A10p035710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKCCLPLLLLSLLIAECASRPLYTLPSLAKAGTKKPLQTSRPFNVAHRGANGEFPEETAPAYMRAIEEGADFIESDILSTKDGVLICHHDVNLDDTTDVADHKEFADRKRTYEVQGMNMTGFFTVDFTLEELKTLGAKQRYPFRDQQYNGKFPIITLDEYIAIALDAPRVVGIYPEIKNPVFINQQVKWADGKKFEDKIVATLKKYGYKGSYMSKDWLKQPIFIQSFAATSLVYISNMTDSPKVFLIDDVTILTEDTNKTYNEITSDKYLDYIKPYVVGIGPWKDTIVPVSNNRLTTPTDLVARAHSRNLQVHPYTYRNENQFLHSGFHQDAYLEYDYWINKIGVDGLFTDFTGSLHNFQELTSPLPKLQ >A10p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12928487:12932564:1 gene:A10p018480.1_BraROA transcript:A10p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYMRDKPSMLGSRASKFLLSALILIHLLPTQLLAQRSKSPWQTLTGSAPLIIARGGFSGLLPDSSVDAYSIVSQTSVSGAVLWCDVQLTKDGVGICFPDVKMMNASSIQDAYPKRKNSYLVNGVPTQDWFTIDFTLKDLKSVFLIRGILSRSDAFDNNQYAISTVQDIAMELKPKSFWLNVQHDAFYAQHNLSISKFMLSLPKTVTINYLSSPEVTFLRSIGGRFGKAGPKFVFRFLEKDDVEVSTNQTYGTLLGNLTFIKTFASGVLVPKSYIWPLEDQYLSPHTSFVQDAHKAGLEVYASGFANDFDMAYNYSFDPLAEYLSFMDNGDFSVDGFLSDFPLTASSAVDCFSHLGSNASTQVDFLVISKNGASGDYPGCTDLAYSKAIKDGADIIDCSVQMSLDGIPFCLNSVDLGESTNIVQSPFRNRSATVPEIAPLGGLYSFSLTWSEIQTLRPAITNPYNRDFNLFRNPKERSSGKLVSLSDFLNLAKSSTSLAGVLISVENAAYLREKQGLDVVKAVLDTLTKAGYSNATTTTKKVMIQSTNSSVLVDFKKQSRYETVYQVEETIRDILDSAIQDIKKFADAVVVRKNSVFPVSESFTTGQTNLVERLQRFQLPVYVELFRNEFVSQPWDFLSDATVEINSHVTGAGINGTITEFPLTAARYKRNKCLTRKDLPPYMSPVQPAGLLSIMSPTSLPPAEAPNPVFTDADVTEPPLPPVIAKAPTSSPGPLSTDEKAPNGQTRVTLSLLLSAFAMVLASLLLL >A02p002510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1056674:1057432:1 gene:A02p002510.1_BraROA transcript:A02p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTPRMLTPTTLSPLGSPRAKKSTATVMPEITLEQPSSKTNKSPGSKSTKLFRRVRSAFRSLPIMSPMCKLPMGGARLHENHVHGGTRVTGTLFGYRKTRVNLAVQENPRSLPILLLELAIPTGKLLQDLGVGLVRIALECEKKPSEKTKIVDEPIWALYCNGKKSGYGVKREPTEEDLVVMQMLHAVSMGAGVLPVTSGGGGGGEGDLTYMRAHFERVIGSRDSETYYMMNPDGNSGPELSIFFVRV >A09p055230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47294747:47297029:-1 gene:A09p055230.1_BraROA transcript:A09p055230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRKELLSLAMERTSEWISSQEIPSDITVHIGDTSFSLHKILLSKCGFIKKLTSESSNDSNISVIKIPDFPGGAEAFELAIKSCYGINLEMNAENIAMLRCAAEYLDMTEEHSVANLVETTEVYLNEVILKSLPSSVKVLQRSEGLVPMAERVKLVSRCIDAIAYVTCQESQKKEDVVDLWAEDLSVLRIDMFQRVLIAMMARGFKQHTLGPVLMLYAQKALIGLEISGRGAKKIEVEQEPEKRVILETIVSLLPRGRNAVSVSFLSMLLRAALYLETTVACRLDLEKRMGLHLRQAVLDDLLIPSFNGDNTMFDVDTVHPNISEVEKKKICSLMNSQKLSQEACAHAAQNKRLPVHIVAQVLHQEQQSLRQVLCDSDSPVAATAIVPESPPPPTLSSYNNELSKLNRENQDLKLELLKVKMTLKELEREKDFEIKSCSDCSSVSTASVVKSPLRRKSFISSVSRKLGKLNPFGHTQRRTMTRKGRRHSIS >A06p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2542610:2544059:1 gene:A06p007320.1_BraROA transcript:A06p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMGVFVSSLKMAAKKIQHGLLTAFLLLILVPVGEAVWLDVPTTGTKCVSEEIQSNVVVLADYIIISEDDSLLPTISVKVTSPYGKNLHQMENVTVGEFAFTTQESGNYMACFTADTKSHGNKNVSISVDWKTGIAAKDWKNIAKKEKIEGVELEIRKLEAAVEAIHENLVYIRNKEADMRTVSEKTNSRVAWFSTMSMGICIAVSGIQVVYLKQYFQKKKLI >A07p035430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19167582:19168988:1 gene:A07p035430.1_BraROA transcript:A07p035430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGRDVHQLDLENADQVLWMMKSPVAVSKTWEKLAPSSSSSSYSSYPSPGFTSLAKVVQSVDFPLTEPKFNMEMVGAEYGNMPKSYSLNMFKDFVPMNVLSVVGLEDKPAAEGTVEQKFDMKPQGEDIEEYARLCRERTSRSMVKNRQIQVIDNDRGVHMRPMPGMHGFGSSNSKEKRKALPVKQTDVKRTRRDRGELEGIMFNLFEGQPNWTLKQLVQKTDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKAGEDDTTGGQ >A07p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16342756:16345919:-1 gene:A07p029050.1_BraROA transcript:A07p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVCRKLGDPTATETGSPESPVEVSKNHPIPPLTSDTAVRVKVTATSLNFANYLQILGKYQEKPPLPFIPGSDYSGIVDAIGPAVTKFRVGDRVCSFAALGSYAQFIVADQSLLFLVPEGCDMIAAAALPVAFGTSHVALVHRARLTSGQVLMVLGAAGGVGLAAVQIGKICGAVVIAVARGSEKIQLLKSMGVDHVVDLGSENVITSVKEFVKTRKLKGVDVLYDPVGGKLTKESMKVLNWGAQILVIGFASGEVPLIPANIALVKNWTVHGLYWGSYKIHQPNVLDDSIRELLSWLARGLITMHISHTYSLSQANLAFGAIKDRKVIGKVMIALDHKATLNLLFSLQYVTYFGLREDKGSVFPLWSCICCSSNKDEAQARSQHGPKGKVHPVTKIEKWEEKITEANNNGMILVVYFSAPWCVPCKKIEPVFKKLASIYPSMIFVTIDVEELAEFSDEWNVEATPTIVFLKDGRQMDKLVGAETSELQKKTAAAADLLLKKP >A02p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22427712:22434517:-1 gene:A02p039390.1_BraROA transcript:A02p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GECTDLSYPHTDPSWLLIQTEASKPSIKSIHASPLQLAPEHQRPSFSHPSHPIYLAFMSIGSSKLSSFSIGVYTHTHTTREENSTNLIWYQSQVHQNLSCSFRKALALISAQTKAKKTCSSELRFFKKKKCKAVKCSPCLSVSTVRPDGDSPWKQRSVLSSGVKLVSKKMSPRRHETRRGLEYEKQDGGHELKEKEVGDDPDSQIQQKSWPVSQNAKGINLVHKKCSLLTNYPRQEEDLTIVWSNRKLVVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGRLLPTFCGKSTACSKEGREDVVTMEK >A05p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:314205:316891:1 gene:A05p001590.1_BraROA transcript:A05p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNSQRFRGGDNSEDADVDNIDFIDNEEEEEQAVHNGGGDDEDEIDPLDAFMEGIHQEMKSAPPPKPKAKAERYKDDDDDHVVSFLKAKKDLGLTLAADALNAGYNSDEEVYAAAKAVDAGMLEYDSDDNPIVVDKRKIEPIQALDHSSIDYEPINKDFYEEVDSISRMSEQEALDYRHSLGIRVSGFDVPRPVKTFEDCGFSSQIMSAIKKQAYEKPTTIQCQALPVVLSGRDVIGIAKTGSGKTAAFVLPMIVHIMDHPELRREEGPIGVICAPTRELAHQIYLEAKKFSKAYGLRVSAVYGGMGKHEQFRELKAGCEIVVATPGRLIDMLKMKALTMMRASYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPWKVEKLAREILSDPIRVTVGEAGMANEDITQVVNVIPSDADKMPWLLEKLPGMIDEGDVLVFASKKATVDEIEAQLTLNAFKVAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSLKTVVNYDTAKDMDMHVHRIGRTGRAGDKDGVAYTLVTQREARFAGELINSLVAAGQNVPPELMDLAMKDGRFKSKRDGRKGGGKKGRGRGGGGGNRGVRGVDFGLGIGFNSESSGTPSQAAPSRKGVINSVRTGVMAQFKNSFVAATPSNPQSQGGYPNKRPSLMGFVSGGTIGGDMGRTQTQAPPVAASHNASLHNASQKNPQSSEERPRERKRRSGWDN >A01p053230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30234175:30239776:-1 gene:A01p053230.1_BraROA transcript:A01p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLLLLLIIFSFLLSFSSAEECGRQAGGALCPNNLCCSPFGWCGDTEPYCKRPGCQSQCTPDGHPPPPPPGPPPPPDPTGGLTDIITRSQFDDMLKHRNDPACPARGFYTYEAFITAAYYFTSFARGRDTAARKKELAAFFGQTSYESTGHPKNTSIYTWGYCYKEEMNPPSDYCSPSDTWPCVPGKRYYGRGPVLLQGNHEYGAYGQALNADLLNNPDLVSNDPVIAFKVAILFWMKPVPPKPWSHGVLINLWRPSTADIAAGRLPGYGVITNIFNGRIECGHGYDARVADRIGFYRRYCEILGADPGDNLDCYNQRPFDPYKYPLLASVRNHPTQSKMKSLLLLLLNFLFLLSFSSAEQCGRQAGGALCPNNLCCSEYGWCGSTEAYCALPGCQSQCTPSGPPPPPPGPPPPDPTGGLTDIITRSQFDDMLKHRNDAACPARGFYTYDAFISAAKYFPSFCNNGDTAARKKELSAFFGQTSHETTGGWPTAPDGPYAWGYCFKEEVSPSSDYCQPSGQWPCVPGKRYYGRGPMQLSWNYNYGQCGAAIGEDLLNNPDLVSNDPVISFKAAIWFWMTPQSPKPSCHAVINGQWQPSPADIAAGRVPGYGVTTNIINGGLECGHGPDTRVYDRIGFYQRYCGIFGVNTGDNLDCYNQRSFASFKSFLDAAINSKNHRTK >A06g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11616379:11617560:-1 gene:A06g503700.1_BraROA transcript:A06g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDREQEKNMENPNTVQKVRGGLWLHQTERTVLLIAPRLYPRDTSLGLADHNRAASLDTGQLCRWFDLHHGLGGWAKRLVISQKARVAKCHEGTSLQGLRTTRGTRTKRYQGPKGCMFQAVSIETGCDRSLGISPCLVGLRQPTGLGLRLTLPLDPGPRPDQLDGLVFGTIQTVRVFYLSILDSLSNSKSRGGWLKDLGYGRQELRMVMVKPRSREGSVSETLCNVWLDDARDELVIVSETIKKLCIGSHVSK >A10p014570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4119875:4120162:-1 gene:A10p014570.1_BraROA transcript:A10p014570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISSSSKSSTIMKVVVMVAVVLVATVVDGQSCNTHLSGLNVCGEFVVPGADTTNPSAECCNALEAVPSDCICNTFRIASRLPTRCNIPTLSCN >A03g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5740664:5742514:1 gene:A03g501840.1_BraROA transcript:A03g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERKSGPLMKLYLWDQAAKNFYKKVTSSEDTPTVLLVTTMNPKTLNSSMSSSRVFIEKDIQPTIDYFNWLNSNLEIAKRVNVSGCQTKATRGPSSLMCAKCGNTNVSGVVKYLAKISVYNNNDQAVFVLLGDAGKLGAGHQMSAPRALIDTIGQTHKVRVKVSNLNFTGKIQAITVTKIVSPKVLPPVPTPTEISFDAEDKVALPSVSIVDGSGFNADDGNESISNRDESKKAKCPKHGK >A09p082350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59655404:59657782:1 gene:A09p082350.1_BraROA transcript:A09p082350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochelatin synthase 2 [Source:Projected from Arabidopsis thaliana (AT1G03980) TAIR;Acc:AT1G03980] MSTASLYRRFLPSPPAIDFASVEGKRIFNEALQKGTMEGFFRLISYFQTQSEPAYCGLASLSMVLNSLSIDPGRKWKGPWRWFDESMLECCEPLEIVKDKGITFGKVVCLAHSSGAKVQAFRTTQSTIDDFRKYVFKCSTSDNCHMISTYHRGVFKQSTGRHRGFMLISRPHREPGLLYTLSCKDESWTSIAKYLKEDVPLLVSSQHVDTIERILDVVFKSLPSNFNQFIRWMAEIRRTEDINQNLSSEEESRLDLKQELLKQVQETELFKHVEKFLFSVGYEDNQAYVLAKAGSQGSEILSETESDESCCSETCVKCIKGVGEDKVKTYPSGNDVFTALLLALPPQTWSGIKDQSLLQEMKQLISMVSFPTLLQQEVLHLRRQLQLLKRCQENKEDEDLSAPA >A08g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13713040:13714735:1 gene:A08g507790.1_BraROA transcript:A08g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSAGLARGKTFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRHSSTTYDAGVCSASGDDVYYGHKLGSTDPGTGSAHGSTQEFNWNSDDTLSIYHHFFHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDELALMKMAYTNKKTGQIEDGLVRDVVDLVQTQVYDEVSQFQTDDDDSTASTNLSWVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYEKQKRLNEQMMEMMRMMYPNEVFPNIQDP >A10p017150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2602954:2608115:1 gene:A10p017150.1_BraROA transcript:A10p017150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQEFTRASRVSRASSSIGYYSDEDYTTDEEENEEEEMEELEEAEEEEEETHVGGTCGIRRRNGSSSSYNKWMVLGRILDPRSKLVQEWNKVFLLVCATGLFVDPLFLYTISVNDACMCLLVDGWLALTITAVRSMTDLLHLWNIWIQFKIARRWPYPGGDSDGDTNKGDETRLRTSRRVAPPYVKKKGTFFFDLFVILPLPQVVLWVVIPSLLKRGSVTLVVSVLLVTFLFQYLPKIYHSVRHLRQNATLSGYIFGTVWWGIALNMIAYFVAAHAAGACWYLLGVQRSAKCLKEQCESTMGCDLRMLSCKEPVYYGTTEMVLDRARLAWAQNNQARSICLDINTNYTYGAYKWTIQLVSNESRLEKILFPIFWGLMTLSTFGNLESTTEWSEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMHLKMRNIEWWMKKRQLPLGYRQRVRNYERQRWAAMRGVDECEMVQNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETIQKEGDAVQRMLFVVRGHLQSSQLLRDGVRSCCMLGPGNFSGDELLSWCLRRPFVERLPPSTSTLVTLETTEAFGLDAEDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAVQLAWRRYKHRLTLTSLSFIRPRRPLSRCASLGEDKLRLYTAILTSPKPNPDDFDDY >A03p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1685889:1690173:-1 gene:A03p003900.1_BraROA transcript:A03p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVPKRSHEEGASSSAKYPHEDSGSSYPNKSTHPLPVTPPPPQAHHHHQQQLPHLHSIPHPHSHQPPLASAAASAPSPGYDVESRTVKGPRSEPRDGGGERRSPLHGVYRSPSLPVTGSSSDPHLSHPPVTLEARDGAKVESRDNRSDGREREIHGEGKREIHGPKGERDAKFESNPGSYSRSDGRGIYGETKREVQGPKSDRDAKPERLGDDFSGKGHTRENQSYNDQKGEVKMEKEGHAHLPWKEQKDYHRGKRAEGSTANVEPWVVSRGNNPQGSTEVGVKDLSAPVEGAHLEGLGENKVDAKGEDRFKDKDKKRKDLKHREWGDRDKDRSERRGSVPFGSVTSEPREIGRETEKWERERMEQNDRERNKEKEKEKDHVKREARTGAEKEVSQNEKELGEASSKPAEQEHVAPEQKKLNEPEGDERESKDKRREREGDSEAERAEKRSRVGEKEPEDGCLEGEGAAERDKDAFNCGVQQRKRMLRPRGSPQTTNRDRVRSRSQDNEGVQGNHSLQYLRERIGEQKEIGKPEVPVVVYKVGECMQELIKLWKEYESSHPDKSGDFASNGPTLEVRIPAEHVTATNRQMTDMVVVSHIAIVLLSSMFRLNETRLFRASHVRGGQLWGTDIYTDDSDLVAGYCRPTASPPPPTMQELRATIRVLPSQEYYTSKLRNNVRSRAWGAGIGCSYRVERCYILKKGGGTIELEPSLTHSSTVEPTLAPMAVERSMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLFTSARLKKGEVLYLETHSCRYELCFAGEKTMKAFQASQQQHSSQEAMEIDNNNNNKSQNHLPSNGDKTESDNSIIDVFRWSRCKKPLPQKLMRSIGFPLPPDHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLAPN >A09p077920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57764284:57767965:-1 gene:A09p077920.1_BraROA transcript:A09p077920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDALLPISAREEDPLVSDGSRFDPNAETHRRKRPVKGLLAVSFGLLFIAFYVVLIATHHGSSRSNDVKIESDETATTTSRARLAGVSEKSNDQLWKLSGERNTVSFAWNNSVLSWQRTAFHFQPEQNWMNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSKDLIHWVHLPIAMVADQWYDANGVWTGSATFLDDGSLVMLYTGSTDKSVQVQNLAYPEDLNDPLLLKWVKYSGNPVLVPPPGILPKDFRDPTTAWKTSDGKWRITIGSKINKTGISLVYDTIDFKTYEKHDTLLHKVPNTGMWECVDFYPVSKTAINGLDTSVNGPNVKHIVKASMDDTRFDHYAVGTYFDSNGTWIPDDPTIDVGMSASLRYDYGKFYASKTFYDQNKGRRILWSWIGESDSEAADVQKGWSSLQGIPRTVVLDTKTGKNLVQWPVEEIKSLRLSSKQFDMEVGPGSVVPVDVNTATQLDIEAEFEIKKETLDKILGDSSVVAEAEEFSCQKSGGSTVRGALGPFGFSVLADKSLSEQTPVYFYVAKGKDSKLKAFFCTDTSRSTFANDVVKPIYGSSVPVLEGEKLTMRILVDHSIVEGFAQGGRTCITSRVYPTKAIYGAAKLFVFNNAIDATVTASFKVWQMNSAFIHPYSEEAVRALSST >A07p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13086360:13087487:1 gene:A07p022390.1_BraROA transcript:A07p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFSESDTPFSFEQLLYGDDWQRWDTYPSVDVNANSIEPNHSMVEMNHDGMTIDATPISMIYPMEETFEQWLQTLANGSFEIPSYEELNFTYEELLLMSEQIGDVCIGVDVDIIEGNLKRRKYEDRSGQAEKCVICLDELKCNDEASTLACGHDFHYECIKNWLMVKNKCPLCKQQAL >A03p046790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19782792:19787624:-1 gene:A03p046790.1_BraROA transcript:A03p046790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLTILFTLYKLRNVDVGSLAETHLFVLSNSPNQLDLKGKTGFNLRGKKTSERCRTMVAALERGLSASKSFNFKRMFDSPSTKQQQSQTLVLENGDSHLVESNTPESQNSDSLAESPVESIPPMISPLTRPGKRPDRQQADTDMMKDRFAKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMPQDRQARWKKEIDWLLSVTDHIVEFVPSKQTNKDGVCTEIMVTRQRGDLLMNIPALRKLDTMLIDTLDNFKGHNEFYYVSRDSEEGKQASNARSNDKWWLPPVKVPPGGLSDPARRMLYFQKDSVTQVQKAAMAINAQVLSEMAIPESYIDSLPKNGRASLGDSIYKSITEEWFDPAQFLSMMDLSTEHKVLDLKNKIEASVVIWKRKLHVKDSKSSWGSAVSLEKRELFEERAETILVFLKQKFPGLPQSSLDISKIQFNKDIGQAVLESYSRILESLAYTVMSRIEDVLYTDSLALKQALLAEETPDGGRTETDSESPGSSNSGEETEKLDRQHSKTLFDFMGWSDKPTTPPSVTPKKLSYLEKLENFNGFRSPKDRNLS >A01p007220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3607413:3609552:1 gene:A01p007220.1_BraROA transcript:A01p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRQHDYAAPSGLPYAQQQVPNFQQQQQQQQQFGFHPQHQQYPPPPMNASNFMPPHPSMQQQFPYQQQLHHPPHPQMFNQQHPPHHHHLPPPFPGPYDSAPPPPPPADPELQKRIDKLVEYSVKNGPEFEAMMRDRQKDNPDYAFLFGGEGHGYYRYKQFISMHHPPGGAFDPQFPSPSMPMMHHPPNPMMSPGALGVQPIRQPPFPPFHEHQQHHLPQPHPFAPPPPHSRPDFDQSVHAFRGLSGPLPADVAVELNSVLANLNGTKDSIKSAKIWFMQRSPFAPALAEALRDRVFATDDSEKQMHIVYLANDILFDSLQRRTNLHEFDNEALAFRPVLGSMLGKIYHCPHNKEANQSRLENLLQFWASKEVFDQDTISTLDKEMRSGPPPNTFSRSPIIAANSLQHPGMMQQPQSSHVPSTMNLEHLASNPVAGQQFIPNAITPGAFPGSIPSVPPPTQPPAGEKQAPYPLFPPGLIPGMVRKMQVGSGVPYSPLSPLDIPTVIPPSVTPQSQVLERVSKFFKEIGEVNPSEGPMGSESQDDYDDYERDSPVRKGGACIPPPANLQVDPETGTYADGSTDKKSTSGRLGLGATADPNEPTQYDDVYTSYRKHRSTNYHTTMSARSTAR >A07p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2278368:2281519:-1 gene:A07p005260.1_BraROA transcript:A07p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDMEDDGGSDGGEGNGGFSPNSSFGAFPETAMDLDFMDELLFDGCWLETTDSKSLKETEEAASDSTAMNANSPFLYFGENPSQDNFSNEETERMNQEGFDQAEKFLLDEAEVGRSWWIAPRTREDPCSSVKERLLRAISGLEEAVPDKDFLVQIWVPFQQEGKNFLTTLAQPHLFNQKYSSLAKYRHVSETYNFPADEGSTEGGLPGRVFLQKFPEWTPDVRFFRSDEYPRIKEAQKCDVRGSLALPVFERCSGTCLGVVEVVTTTQKMNYRPELENICKALEAVDLRSSSNLKPPSNEVYNDFYYAALPEISDFLASVCRRYDLPLALSWAPCAQQGKGGSRHSDENFSQCVSTIDSACFVLDEESKYFLEACSEHHLLQGEGIVGKAFKATKLCFVPEVTTFSKTNYPLAHHAKISGLHAALAVPLKSKCNGLVEFVLEFFFPKGCLDTEAKQEMLKSLSATLQQDFRSSNLVIDKDLELEVVLPVREDMVLSENPLTGAETAEALREIHLLQESSWISHMIKANEKGKDVSLSWEYQNEDPKDEFKLSPGWDNSQLDPAPNNIPSEAEQFQQGSTPGLRVDAGPSTESASTGGRRPGEKRRRKTEKTIGLDVLRQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKVGHSLKKLQLVMDSVQGAQGSIPLDSFYTSFPELSSPNISSNGSSLKNNEQLHHYNVPIENGGPGEDKPAPRSPSSSCSGSNTNTPNADGVVKEAHSEAELQNGNQEETKCLARTQSHNIFKEPPLPGSSKMSFRDGGGIKVKATFGEAIIRFTLLPSWGYTELQQEITRRFNIVDVSWFDLKYLDDDEEWVLLTCEADLEECIDIYRSSQSQTMKISLHDPFQVKLGGSFGSSGPS >A04p003850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1929177:1930303:-1 gene:A04p003850.1_BraROA transcript:A04p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMEHILSRYGYSAVTAGHRQREEQQQLLLCSSQDNGDVLRTDEPLRSELERLQLAIERLKGKELEGMSFSDLISLEHQLSDSLHSVKDRKTQLLLNQVERSRLQEKRALEENQILRKQIDMLARGSSGPKPLSEIPQFSSPQAEPESSSSDDDDENDNEEHPSDTSLQLGLSSSAYFAKRKKPKIEPPCDNSGSQVASD >A05p009290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3843082:3844585:1 gene:A05p009290.1_BraROA transcript:A05p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSMDGSGKTTDREEEEEEEEEEEEEEDGEGEESKVSSNITVEAEVGKKTKVRPYVRSKVPRLRWTPDLHLRFVRAVERLGGQERATPKLVRQMMNIKGLSIAHVKSHLQMYRSKKMDDQGQAIADNRHFIESSTDRNIYKLSQLPMFRGYNTNHSHDSPFRYGSRFSNASLWNSSSHETNRSLIDRTGLIRGSSVSNNIHVSEYWTNNRSFQNTYSSSVSNHLPKVRHNHQERNNLATFNSIQGHSRTFEKFETGIEERTNHVYCTKTTGKRNASTSLDLDLSLKLRVPEETTLEETETATTDQTLSLSLCSWKKSRVIKTDEEDRTVKIGQASTLDLTL >A02p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30716740:30717715:-1 gene:A02p049570.1_BraROA transcript:A02p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLARTGRDRQRYDNNFRLVSGCIPYRVVKDEDDSSVDFENKLQVLMVSSPNRHDLVFPKGGWEDDETVLEAASREAMEEAGVKGILREEPLGVWEFRSKSSSAEPDCCLGGCKGYMFALEVTEELATWPEHENRERRWLNVKEALELCRYEWMQCALEEFLRVMAEDGRGKEETLAASSLSNREERQIGSSVLLCS >A02p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4488985:4493472:1 gene:A02p010420.1_BraROA transcript:A02p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDMVMHFSSNSSNQSDHSLPDKIAKLEARLTGKTASSAKPQPQHPQQQLFVWSSASAPAKVAASAAAGSSDVSISDSDDENTGDFLIRANTKKRQKVQDFNNNNSTLVDHAEPQESAAYDGRKNDAETKTGVDVSKKKQGRGRASSTGRGRGSKTNNDVTKSQLSAAKCQLDVSDQKDFKADGQLRNGECSVQDEDVLSLRAKITLLEEELCKSRQDSSEYQNLLRKLENEAKELKDQEQHGKQKTTKVISDLLISVSKSERQEARTKVKHDSLRLGSVGVLRTGTIIAETWEDGQMLKDLNAQLKQLLETKEVIERQRKFLKKRQNGDKSDGTDSESGAQEEDVIPDEIYKSRLASIKREEEVVLRERERYELEKGQLMKEMKRIRDEDGSRFNNFPVLNSRYALLNLLGKGGFSEVYKAYDLVNHRYVACKLHGLNAQWSEEKKQSYIRHAMREYDIHKDLVHHHIVRLWDKFRIDMDTFCTVLEYCSGKDLDAVLKATPNLPEKEARIIIVQIVQGLVYLNKRSQKIIHYDLKPGNVLFDEFGVAKVTDFGLSKIVEDNAGTQGMELTSQGAGTYWYLPPECFELSRTPMISSKVDVWSVGVLFYQMLFRKRPFGHDQSQERILREDTIIKAKKVEFPAKPSISNEAKDLIRRCLTYNQGDRPDVLTLAQDPYLSYTKK >A03p054580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23460408:23467357:-1 gene:A03p054580.1_BraROA transcript:A03p054580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MTLTRTNSTLPYMDANLERLGDLYPSLGLFGFIGICLMSITRRSGTCNTRKSYIEKSVLYVIPALGACLSCVDLVVLVRTKPRRDFTLCFVPLSRFAMWVNLNIDSTLQLAVMLSSKFSCACCVFTSRILCFWWIFRFLTEAFHLNVIFTLQTLEICMIMLDIAFGISINVLRIKQTPPKNSSLEDPLIEEADDQRNIEKASSCWDLFTFGYIGLVMKHGSMKQLEFEDLLPLPLDMDPSTCCDNLLRSWQLQESNNFSNPSLFWSISGVYGWPYFRLGLLKVFNDCIGFAGPLLLNRLIKYLEKGSGNSIGYTLAISLGLTSIFKSFLDTQYTFRLSKLKLKLRSSIMSVIYRKCLWVNTASRSGFSEGEIQTFMSVDADRIVNLCNSLHDMWSLPLQIGIALYLLYTQVKFAFLSGLAITILLIPVNKWISVLIASATEKMMKLKDERIRKTGELLTNIRTLKMYGWDNWFADWLKETRATEVTHLATRKYLDAWCVFFWATTPTLFSLCTFGLFALMGHQLDAATVFTCLALFNSLISPLNSFPWVINGLIDAFISTRRVGKFLRCLEHNKDSSTDTGLISEDLAVFVEDASCTWSSNVEEEHNLTIKHVNLRVPKGSFVAVIGEVGSGKTSLLNSLLGEMQCVHGSILLNGSVAYVPQVPWILSGTVRENILFGKTFDSKRYLDTLSACALDVDISLMVGGDMAFIGDKGVNLSGGQRARLALARAVYHGTDMCFLDDVLSAVDSQVGSCILQRALLGPLLNKKTRIMCTHSVQAISCADMVVVMDKGEVKWSGTVTDMPKSIFPSMSSSNEFDMSSSKHLTNKRKESLTIKKDDVDEVSSEAADTVKVEERKEGRVEVAVYRNYAVFSGWFITIVILVSAVLMQASRNGNDLWLSYWVDKTGRGATQYSTSFYLMVLCIFCFVNSILTLVRAFSFAYGGLKAAVRVHSALICRLINAPIQFFDQTPSGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIVLVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSATIRAFNSEEHFVARFIEHLTLYQRTSYSEIIASLWLSLRLQLLGAMIVLFVAVMAVIGSRGSFPISFGTPGLVGLALSYAAPLVSLLGSFLTSFTETEKEMVSVERVLQYMDVPQEEVSGQQSLRGKWPVQGLVEFHNVTMRYISTLPPALNHISFTIQGGMQVGVIGRTGAGKSSILNALLRLNPVCSGEIMVDGVNINHLPVRELRTRLAVVPQSPFLFQGSLRENLDPLGMSEDWRIWEVLEKCKVKVEVETAGGLDSNVKDSFSVGQRQLLCLARALLNSSKILCLDECTANIDVHTASLLHNAISSECKGVTVITIAHRISTVLDLDSILVLDRGSLVEQGNPQLLLQDDDSAFSSFVRASK >A05p022590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10860488:10864305:1 gene:A05p022590.1_BraROA transcript:A05p022590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLHGVLYVFIITFSVIHFVQAQDQKGFITLDCGLLPDGSPYTDPSTGLTFTSDASFVESGKNGRVDKDSERNFEKAFVTLRYFPEGQRNCYNVKVTQGTKYLIRASFFYGNYDGLQTLPNFDLFLGPNKWTTVNLNATVPGGQYREIIHMSKLSSLQICLVKTGTTTPMISTLELRPLRSDIYISDTGSSLQFLSRTYLKGSGSILRYPDDVYDRRWFPLVKKDWNLITTTLNVNTSNGFDPPQGAMASAATYVNDNGTWDIPWNMEDSTTRFHIYLHFAEIQTLLANETREFSVFLNGNEFSKPFSPKMLGIVTMITQPESTLRCESGACLLQLVKTTNSTLPPLLNAMEIFTVVELPQPETNQDEVLNIINYVMPPVVAISKIQSAYGLSRVSWQGDPCVPREFLWAGLNCNNTDTSTPPTITSLNLSSSGLTDIIMPAIQNLTNLQELDLSNNSLNGDVPEFLADMKSLFIINLSGNNLSGQVPQKLLQKKGLKLNVEGNPNLNCTESSCVNKPRESGHPKKSIIVPVVASVASMVIIGSALVTFFVLKRKKSSNNREKGRRTPRPEPPKITKKKRFSYAEVTEMTNNFERILGRGGFGMVYHGYVNGTEQVAVKVVSQGSDQGHKQFKAEVDLLLRVHHKNLVGLVGYCEKGKDLVLVYEYMSNGDLKELLSGKHHSSVLRWGTRLKIAVDAAQGCRPPIVHRDVKTANILLDEHFQAKIADFGLSKSFPNDGESHVSTVVAGTLGYLDPEYYQTNWLTEKSDVYSFGVVLLEIITNLPVIDQRRETPYIAEWVGLMVTKGDIKSIIDPRLKDDYHSDSVWKFVELAMACVNASSASRPTMSQVVIELIECLTLENSRGGTSCDMESRGSREVTMTFGTEVNPTAR >A05p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8155783:8159053:1 gene:A05p017890.1_BraROA transcript:A05p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAPYTSPFLQWASSSQTLASGAISSRRQRLNRPSLFGTPLLYGSKSCELSKPTKTQSFRRVSSASFSDEEFSKKIQELTLRFNHERDANEMVHLSSIEMKANSVHLPLSLRIIKKKPQWDEGVKQAACDSMSKAFSSMVSMIQELQSFTLHMRETLFYQDLQGILVRVREEMHASFVWLFRQVFSATPTLMVYVMILLANFTVYSLGANSALAAAATPTSTVAEVATVSETNDKIDSSVVKSFFIPSPTVDGSNNGGGGNIRPVLSGTDGDGFDVPEGPSRLSSSTFGSTISTETSVSGQDEVRLWNSIVEEAEEMQYNVLDHETRKWFVSPLDARVEAEKDIDFFRTELLYQTGLSQEPDNPLLLVNYAQFLYIVSYDYDRAEEYFKRAVGVEPKDAEALSKYATFLWRARDDLWAAEETFLEAIDADPTNSFYAANYANFLWNTGSDETCFPLDESHEGTI >SC236g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:7407:7762:1 gene:SC236g500020.1_BraROA transcript:SC236g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSVTLSQRPETIFFSDCTFSFVIWSTIASRTNLHVSQNWVDTITKLRQLPRDNHGKKPSPASINFPISICDNRFNRQPDPEPYSEHKRFKTFVLQQL >A09g514250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42846820:42847119:1 gene:A09g514250.1_BraROA transcript:A09g514250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A02p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14691839:14693472:1 gene:A02p029100.1_BraROA transcript:A02p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENAVAKQSIPLLTPYKMGRFNLSHRVVLAPLTRQRSYGNVPQPHAVLYYSQRTSPGGFLITEATGVSDTAQGYQDTPGIWTKEHVEAWKPIVDAVHAKGGVFFCQIWHVGRVSNRGFQPNGQAPISCSDKPLMPQIRSNGIDEALFTPPRRLSTEEIPGIVNDFRLAARNAMEAGFDGVEIHGANGYLIDQFMKDTVNDRTDEYGGSLQNRCKFALDIVEAVANEIGPDRVGIRLSPFADYMESADTNPQALALHMAQSLNKYGILYCHVIEARMKTMGEITECPHLLVPMRKAFQGTFISAGGFTRTDGNEAVEEGRTDLVAYGRWFLANPDLPKRFEVDAPLNKYDRPTFYTSDPVVGYTDYPFLESKA >A10p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:835396:838060:1 gene:A10p001620.1_BraROA transcript:A10p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 11 [Source:Projected from Arabidopsis thaliana (AT1G02850) UniProtKB/Swiss-Prot;Acc:B3H5Q1] MCIFYLSSLLTKVLIFFSVHCSNETTEMKLLNNNSLIILFLLLILAFTELSSLEEGYSRDDFPPGFVFGSGTSAYQVEGAAEEDGRTRSIWDVFAHAGHSGGATGDVACDQYHKYKEDVKLMVEIGLDAYRFSISWSRLLPSGRGLVNPKGLQYYNNLIDELITHGIQPHVTLHHFDLPQVLEDEYGGWLSRESVRDFTAYADTCFKEFGDRVLHWTTINEVNVFALGGYDQGVTPPGRCSLPFGLNCSVGNSPTEPYIAVHNMLLAHASATNLYKKQYQVLLTSFLCLYALVSLSKNSISTSLHSISSTVPVLHPLVFGDYPETMKTRVGSRLLAFTEDESEQVKGAIDFVGVINYMALYVKDNSSSLKQSLHDFNIDMAVELTLVGNTSFNNEYANTPWSLQQVLLYIKENYGNPPIYIAENGQMTPQSSSLEDTTRIEYLSSHIEAGLHSLRYPKLSAHWYSSFLGGTLHHRSHALSSAI >A09p027360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15440184:15442204:1 gene:A09p027360.1_BraROA transcript:A09p027360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPSLSSTVVPAATSSIPPPPPHVPSSYPESLDSSPKSRTTDAWDDLPPPAGGASSKLRLMCSYNGHILPRPHDKSLCYMGGDTRIIALERTSSLSSLITRLSNTLLNGRSFTLKYQLPSEDLDSLISVTTDEDLDNMIEEYDRTISSAKPSRLRLFLFTAKPEATQSMCQILESSAKSDDWFLNALNRGSDVNRLLGLDDALRSNLVSKDDDCKQKQQKQQIQQPPPPQQGGQDVHNLPDSPMLDTSSSFGSTSSSPSLANLPPIRVHVEEAKGMQDQRLGIEEQFARFNVGNKLQDDGFAAISSPPPMPVTITLPAAPVNATTVSSEVFSDDGAPAGYRKPPTPRSQPTHQVKSNSGGHEMPSPDSVSSDSSMNNSVFHQRPSVYQEPVSQMPSCSSTLVTGLINPTDPNTLLSHNQNPNRNQDSGYILHPQQQQFIHAPQYLHHHPSTGLPVQSYIQVYPSQPQQSFHMHPSQLDHQPYPFYYATAPVPPKPYNMPLTQSGSVSDSLGSLPSSHPQTLPNSTMMSPPPNNHLRNTPQATGGAQIVHQVPPISQQQFMGYSQIHHPPQSGSAGVPNYGYEYVENAPKQVYYTQQMGHTQYQTMTGPPPAMVLADGSHVAAKLPAENMTQQIRSSQPL >SC239g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000090.1:58502:60809:1 gene:SC239g500020.1_BraROA transcript:SC239g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGFILPFYCNDKEELQDQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVHDHLLVSPAQRLLLSPSLLGAITLTAFMMNRVKKALGGGALDEVRESSPNTFASNESLHQIGFELRVELVLCGSYSSACSLSIQDAQHIPSLHKPSTVLLIQTCCAHTLHNLIKTDPSDGWTGWDVRTLYGLEVRRTMAVPNALSLHHTSIFSLTPPKPPHDQSKSFLDLTSQDNSFRTLLKLD >A01p014310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6965365:6968144:-1 gene:A01p014310.1_BraROA transcript:A01p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVSAGINILSAFIFFIIFAVLRLQPFNDRVYFSKWYLKGLRSSPSRGGAFVQRFVNLDFRAYLKFLNWMPEALKMPEPELIDHAGLDSVVYLRIYWLGLKIFVPIAVLAWAVLVPVNWTNNTLELARQLRNVTSSDIDKLSVSNIPEYSMRFWTHIVMAYAFTIWTCYVLMKEYETIANMRLQFVASEARRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPDHYLTNQVVCNANKLADLVKKKKKMQNWLDYYQLKYARNNSQRLMVKLGFLGLWGQKVDAIEHYIAEVDKISKEIAKEREEVVKDPKSIMPASFVSFKTRWAAAVCAQTQQTRNPTQWLTEWAPEPRDVYWPNLAIPYVSLTVRRLLMHVAFFFLTFFFIIPIAFVQSLATIEGIVKAAPFLKVIVEDKFMKSVIQGFLPGIALKLFLIFLPSILMIMSKFEGFTSISSLERRSASRYYIFNFVNVFLASVITGAAFEQLSAFLNQSPNQIPKTIGVAIPMKATFFITYIMVDGWAGVAGEILMLKPLIMFHLKNTFLVKTEKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFWPDVHGRVISALIISQLLLMGLLGTKHAALAAPFLIALPVLTIGFNHFCKGRYEPAFVRYPLQEAKMKDTLESAREPNLNLRGYLQSAYVHPVFRGDEDEDDYDDDKLGKFEEEGIIVPTKRQSRRNTPAHSRISGESPPFSGKV >A01p008160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3926268:3927026:-1 gene:A01p008160.1_BraROA transcript:A01p008160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSENTNLQNPKPTTPFHYRLEEALSLRDLPLNTENTNSTATTTTTTEDQRKRSIELFEFLTSTSNDCSPAENIIFGGKIIPLNYQNAILSSPEYIRPRISTRSEPLSTVQGNKLNRPVARDNARPMRISRSLDHRNLSRGSTTARGNASPTKSTTKPETVSSGNRKSVKPRWYVIMFGMVKFPPETELSNIKSRQVRRNVPPVMFPSPADRRPRRTRGSSPSPSWRFLNALSCKEPTSVAATTPLWFPHV >A03p061000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26468580:26469698:1 gene:A03p061000.1_BraROA transcript:A03p061000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSITALFLLFCFLVPSALAQLKFAFYGPSCHRTGSIISSVVAERFSRDPSITAALLRMQFHDCFVTGCDASLLIDPRPGRPSEKSTGPNASVRGYEVIDEIKRQLEVACPGIVSCADIVALATRDAIKLAGGPSFLIKTGRRDGLRSNPADVNLPGPTIPVSASIQAFAAKGMSVNDMVTLIGGGHSVGSIHCSLFQDRLNDPAMDRSLNAQLRNTCRAPNDPSVFLDQRTPFVVDNAIFGEMQRQRAVMRIDSNLAFDGATRGIVSSFAQSNSLFRQRFAQAMEKMGTIGVLTGRAGEIRRNCRVFNNGR >A01p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1379541:1389055:-1 gene:A01p003040.1_BraROA transcript:A01p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKPKHLRPQPRKSSLTTHAFTFLILALFSILILLGLGILSLPSTRMISSRPTDFTTVERTSEGRESTYGDDEGNGERWLEVISWEPRAFLYHNFLTNEECEHLISLAKPNMAKSKVADVKTGRSKDSRFCTRFPLQDASGNFLNFKYQNALMCRVRTSSGAFLKTGHDEIVKEIEDKISDFTFIPVENGESLQVLHYEVGQKYEPHHDYFTDEFNVKRGGQRVATVLMYLSEVEEGGETVFPLAKGNISDVPWWNELSQCGKEGLSVLPKKRDALLFWSMRPDGSLDPSSLHGGCPVIRGNKWSSTKWLHAHEYSFHDLISPSSSCTSSTCITSKITARTSTGSFISRGHDKIVEEIERRISEFTFLPKMEKVFKLSITKLVRNLTLTLMDWEELLLSLCTCRTLIKEAKQTSLTPVEDYLFHQRRETLYSSGTIGLTVLKTLRLVVQTGLVGSLHFRVGLMCNVSAENGESLQVLHYEVGQKYEPHHDYFKDELKRLKGRATIPWWNELSQCGKEGLYVLPLKRGALLFWSMKPDGSDVDEGGETVFPMSSSGLSVSPKKGDAVLFWNNRPDGSQDPSSLHAGSPVIKGNKWAANKLFHFQEFNDNGSN >A05p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26673146:26677294:-1 gene:A05p044430.1_BraROA transcript:A05p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSFASHHSHSSSLSINHSSNLFFPRTRRKVSLPSKKMPIVAEYAKSNRSSCKVCSKAIASKTLRVGMISKGPGGFDMTRWHHLECFPTDSVAIDSVDDVKGLSALEKDDQDALAKLVEQCGEPAKEHVDEKEEEVKDPGSDEISGEKVKEMKGSSASASVIAEYAKSSRSSCKKCSRTIAAKELRLGMVTRDSRGFDMTKWHHLGCFPVESVAVDSVEEIGGFSSLQSDDQEALKELVLQCGKETSKMEEDKDESIADKGLAEETNKRKHSQVEEEVEIKKAGSEEKSGQKVKEAKGSSASSKVIAEYAKSRRSSCKKCSQPIAAKELRLGMVTRDSRGFDMTKWHHLGCFPVESVPVDSVEDIGGFSSLQNGDQDALKELVQQCVNKTLKMDEDNDETGTDHHQTEETNKRKHSQVGEVVVEDEVQTNANQPSTRKPKMNTSESTSQVLAEAEIAFSASDVKEKYRDASLLPKWKAFETVIFLERDDGLNDSEKIAAFDFDGCLANTSVKKVGADAWSLMYPSIPEKLQSLYSQGYKLVIFTNESNIDRWKNKRQAAVDSKIGRLNSFIKRVEVPIQVFIACGVASSGGKDDLYRKPKPGMWQLMKKHFNSGIEIDMDKSFYVGDAAGRKGDHSDADIKFAQANGLKFFTPEEYFIA >A04g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22421354:22422291:1 gene:A04g508490.1_BraROA transcript:A04g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLNAKAQSYQPVSVRVREAVLNEHAPRFEPVNRSGREVMPSEHSAPLQPVNSDEHSLFLTFSNGFPLTEMQIFDFFNWRYGPEVEGVIIPRPRGGRGPPLHGRVVFKNPLIPRMVMRDREKVCFSIDGRPVYAKRFFSKKVHTGASGSTSHRDGGSHPDGGSHPDGDE >A06p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:720658:721790:-1 gene:A06p001620.1_BraROA transcript:A06p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKSYTAQCCVLILKSVPYTIKTGLAHQPSEKYRADSLVRTASLLLLPQKLISCGIQDNVDNFKRKLSEQEFKCHRKEDAKGGSKARTVRSCIVAVAEEQCKCRRKRQGTKQERNQSLTLFPSSQSLSKDVASLFEISLLPRHKSWPKKIWALNKI >A02p053010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32309892:32311433:1 gene:A02p053010.1_BraROA transcript:A02p053010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQNDGKEVVVEHLRQLCVFKVANETGKPWVTSRLTPHKPSTSTVSSLLYHPGQEYFPGDRDGWEKSLRGSLEGLKGKASVTGRRMKNFVVGETSWTSFQTLYGYCKDYELLDMKWVVMCSPTCMVAYTPGRFYDPVDTTNEPSSCLLPRGNEARSVAQGDRKIGVPKALIFAAVLAAVGVLFIIFSVIFLEEEENMKRTYNEERNLEGKLRIEDIILLLYISTNYMRYDFTTLQDATSQFSIDNKLGEGGLGAVYKVYLRRREEGADGRR >A07p046730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25182522:25185992:-1 gene:A07p046730.1_BraROA transcript:A07p046730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRLFQDLESDRENKSEKRFKSLPLFASFSVFGALNTETTLTSLSLALEPLVRKVVRQEVSKKIRSFSRSSSFRVEAPENIAPTLKLMFAKNIQTQQIFTGSKITDVDNAPLQVILVDGSNNDHQIVPVNLDRPIRLDIVALHGDFPSGDKWSSDEFERNIVKERDGKRPLIAGELTVTVRNGVGTVRDIVFTDNSRWVRSRKFRIGVRVAKGSSGLGVAVCEAMTEAFIVKDHRGELYKKHHPPMLEDEVWRLEKIRKDGPLHKKLSSENINNVQDFLKLSVVDLSRLRQISQMSDKIWDVTLKHARECILGNTLYIHRGSDFFLTLNPICEVMEAKINGQFFSGREAQNQLYIKKLVQDANSQWDLLEVIDRKTNEIPLLTQGNTIDQQYGANHYHTNMEINRSYQQNGYAPEISTNTLEMINEGYTTTSPMELGVYFNTTESSSQGHLNPFS >A01p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3329056:3334399:-1 gene:A01p006570.1_BraROA transcript:A01p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPSILCGSSDISPPTKSEKRRDGSDEDADFDLNKDPTPEQVEGLAEREAVTPLTEMEKILDCQMRPMASNDLNSSDNVVKQYLVKWKGLSYLHCSWYLPHDFMLCLNMLSIMFMVVLSCMPLVCLYHLFLSFLGFANHRVPAQEFQKAYKFNPRLVFRVIRFHSAMESMSKNGEDFVSIHPEWTTVDRVIDCRGEDGGKEYLVKFKELSYDECYWESESDISTFQNEIQRFKDINSGYRRDKYVGHERSHEDLKQFDHTPEFITGSLNPYQLSGLNFLRLTWSKRALAVLDDEMGIGKTIQSIAFLASLFEENLDPYLVVAPVSTLRNWEREFATWAPHMNVVMYCGNSQARTVIRDHEFYFPKGHNKMTGINGESKQERMKFDVILTSCEMINVDTEILKPIKWKCMIVDEGHRLKNKNSELFNSLKPYTSEHRVLVRKNLDDEAAVLEEAHAIENKSSTSNYLEDSLKDKYESQQVEELNVLGKRKRNHKQKFGEDALRYQEAEQTDGEAAGQGNQMAYWPYNRRNPEANSAHEEPKPIDGEAARQGNQMAKRHYSRRTRDTSEPIPLIEGEGRYLKVLGFNELQRKKFIRTLERYGVGNYDWKEFVDPLKPRTYDEIKNYGILFLKHIVEDKDVNSPTFSDGVPKEGLICHDLLAKIALMMLIQKKVKLMKNHPTIPVFSDGIIDRFPGLRFKRGIFSNDECDRILLRAVSKNGVGRWSVIVTDIEFGINQLVQIELNRPFTSFITANGNVKETQIIVTDHIKRRFLILKEAIINEFAEEYYYGQKPSSLMRAQQNGLLDEPKNLFSDKFRLLTERALHKFARKNISRSLRGYGKRRRRRRSNRSHYDGLI >A02g511450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30443861:30444341:-1 gene:A02g511450.1_BraROA transcript:A02g511450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIMIREGDEAAAQEDERVGKEPEVERKRDAEPEFGKHAAYKEREIQKQEEVKWAGEAERKRVEE >A09p070380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54567573:54570526:-1 gene:A09p070380.1_BraROA transcript:A09p070380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate phosphoribosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G23420) UniProtKB/Swiss-Prot;Acc:Q84WV8] MEPKENGNQPGRVIEGPTNPMVTPLLNDLYQFTMAYAYWKAGKQNERSVFDLYFRKNPFGGEYTVFAGLEECVKFLANFKLTHQEIDYVRDSLPGSEEAFCDYLKGLDCSDVEVYAIPEGSVVFPKVPLMRVEGPVGVVQLLETPFLNLVNFASLVATNAARHRFVAGKSKSLLEFGARRAQGPDGAISASKYCYLGGFDATSNVAAGKLFGIPLRGTHSHAFVSSFMSTDEIVDKVLQSADGETTCDDFISLVQTWLTKIQYSPSLSGFFSETNQSELAAFISYALAFPKAFLALVDTYDVMKSGIPNFCAVALALNDLGYKALGIRLDSGDLAYLSTEARNFFCAVERELKVPGFGKMIVTASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVTKVSIPCKKRSYRLYGKEGYPLVDIMTGENEPPPKVGERLLCRHPFNESKRAYVVPQRVEELLKCYWRGSADEAREELPPLKEIRDRCIKQLENMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >SC166g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:99853:105088:-1 gene:SC166g500040.1_BraROA transcript:SC166g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCFLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWEGAKRKKGRLRRRSKAQIRRGRCWKRSILFGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEEAVWIRFPQAREAGDRL >A04g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5353594:5354685:-1 gene:A04g502210.1_BraROA transcript:A04g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRQTTALSDMNKQIEELRSSQTQQSEEIRKDLGGEISALKDTIEKYFANSTPFNQREGKQGESSSDLTAADTNRRPVPPDRVSPDQISLKQGSDSIDEYLEKFDSAMTRITLAPEHALSIFLTNMNQHLALHVRQFNVTTVPAAARIAKLHELSLAHTPSKTYRPAFSSSQRSNYTQNKNQHNSSTPAAPNSISNQNNKPLLSTTPQKRLSFDEMQERKRKGLCMYCEEPFTPGHQLKHMRSEFLRS >A01p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1890636:1892362:-1 gene:A01p004410.1_BraROA transcript:A01p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPANGDSDPNSNPVTNSVGPPSALTYLDPHYWDERFSSEEHYEWFKDYSHFQHLIKSNITTSSSVLELGCGNSQLCDELYKDGIVDITCIDLSSVAVEKMQTRLLSKGYKEIKVVQADMLDLPFDTECFDVVIEKGTMDVLFVDAGDPWNPREETVSKVMATLDGVHRVLKPDGIFISITFGQPHFRRPLFMDPKFNWSMEYNTFGDGFHYFFYILRKGNRHIEEKEEPEKHQNPPINMYQDELEGEDYLFRTSIDAEEN >A06p054340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28500089:28501184:-1 gene:A06p054340.1_BraROA transcript:A06p054340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRGRSVIAATFIFFAISCLNSISTTQVGTSMLDSDTFCISDQYIMKSYFTTQSSLNESEFRSFLRLQRCSENIPYEKDVPAVATLQHREVAGEGSHRHLLTTIGLRQSHLHELVIVERLPLGVFADPFELQSLQQRRAFSDVSVFGDTDLEQPSFRSNRSVVEIHVEINGNGEISVKLPLHARYQPIGESGYSRVEFVEPDLFLCSRYVPDQEHEQRRCLVLSVGRSKTQTRSVVWDIPAGIRSHTEYVSVITFVAAVLSAFSILVASVLSSKVESCKNTKEL >A09p052740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46028339:46029913:1 gene:A09p052740.1_BraROA transcript:A09p052740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSSMFLLSFLVFYLVEGKQVLEDGYEVTTVVDGHKSGLNPHTVHALPGSSNMIVLDSSGSTFYTTSFPLSVDSVINRFAGDGNPGYLDGKAGNSRFSKPRGFAVDAKGNVYVADKNNKAIRKISSSGYVTTIAGGTSKEFGHRDGPAQNATFSSDFEITFVPQRCCLLVSDHGNERIRQINLKEEDCLQSSHSNLGTYSLWSIGIALSCFLGVAIGFASRPYIIRHEEVNHLSSTWKLLLIRLGEQVRTFFSYTRNLVAGSTLSSLLSRLVMMIVSHLSLMYSAISRLVWSMVSPLFFMCQPNNVAVLDKTVSLPDPEPPSHSHPQLYLKPSDDLMDLITFDDAEATNNAEERTDQETVTATSFVNVVL >A03p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5748528:5750553:-1 gene:A03p014470.1_BraROA transcript:A03p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55580) UniProtKB/Swiss-Prot;Acc:Q9FM80] MAGFSFSCFCFINPPILFTLPSESPLFLLGSGKDSPATRRRARKLVVSNAHSNPKIINPKKKSRYGQTLSPYDSDEEEELDDESDDDDDDWLLNDDFAEVTEYEKKKPKSQKTTIAKKGVKEAVKSWETDEDDLDNASDKKKKVEKDSWRLDGRGKVSTRKHVAKLYPRLSEEIDIDPKWVPLLDYLSTFGLKESHFVQMYERHMPSLQINVVSAQERLDYLLSVGVKHRDIKRMLLRQPQILQYTVENNLKAHISFLMGLGIPNSKIGQIVAVTPSLFSYSVENSLRPTIRYLIEEVGINENDVGKVVQLSPQILVQRLDITWNTRYMFLSKELGAPRDSVVKMVKRHPQILHYSIDDGFLPRINFLRSIGMCNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELKNEVHILTKYPMYLSLSLDQRIRPRHRFLVELKKVRKGPFPLSSLVPNDESFCQQWAGTSVDKYLAFRQRLLLKDFANKYEKRG >A10p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19539386:19541599:-1 gene:A10p033340.1_BraROA transcript:A10p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-interacting tail-anchored protein 1 [Source:Projected from Arabidopsis thaliana (AT5G11390) UniProtKB/Swiss-Prot;Acc:Q8L7E5] MEIETEHHRTVSVDDNDSLVPQPSSSKESLLEDINHHHHHPELSTASQVLIKVELDFAFVSEKLVNLSLLTMQLGSREHDFESFASHNKEEEDDDDLAEKALEFDLLSSFLYSEVKEVESLVGFLQNEIQNARVTMISPFQDDGDLEGKFHDAEQSLDQLMDQVVEMKKQSNSFHKLSSGSDELGSWSGGGAAESQTDGEFGDLSAKIKMQTADQQRNVLRMLEKSLAKEMELEKKLSESRNSEYQLEIKLYSSEQDVLYMEEVIEDAYSRWLEAENSSEVFKGMLKEMSGKLQILKFNLSGSFKRETNLKSELVDKESALHKLDSSNGRLGDFLMAQTEGLKESLREAEEKLILLSTENSTLSEKVSSLEEQLNETTDLERVNEDLKEKLARAEEAESKCRKLEEELGSFRAKGYTPEKLESLEKHLRDLDHQLELAVAAFEAGKEKQSLLYSTVSDMEDVIEDLKSKVSKAENRADYTEDKLITVSESNADLNEELKFCRGRLKEAERYLQQAEERKLQTAKDIAQHNKIMKKLVLQLASERERLHKQITNLSKENRVLMVKLKKVGKTGFTESENISPKSDQSSLPCHQESKLQATITSLTDQEEEEETESTSDIGSVRRLDAGALGIKHILLAILVIFMSSMAYLMSQQNI >A01p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11825978:11832574:-1 gene:A01p023920.1_BraROA transcript:A01p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEQASSFGVITSLESNISYDSTGKHVLAPALEKVGIWHVRQGICTKTLAPSSSRVGKSLAVTSIASSASTSVAVGYADGSIRIWDSEKGTCETTLNGHKGAVTALRYNKAGSMFASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLDDGKKLVTASKDKFVRVWDLETQHCMQIISGHHTEVWSVDVDPEEKYLVTGSADQELRFYAVKQNMPHVSVLSDSNANGVDASGDHLTENKWEVLIPFGEIQRQTKDRVASVRFSNSGSLLACQMAGKTIEIFQVLDETEAKRKAKRRLRRKEKKSSKEGVENGDASNETEKADTVTTPTVPDVFKLLQVIRAGRKISSFSFCPITPKDSLATLALSLNNNSLEFYTLKSSENEKTATIEHQGHRSDVRSVALSADNTLLMSTSHSEVKIWNPSTGSCLRTIDSGYGICSLIVPKSKYGIVGTKSGILEIIDIGSATKVEDVEAHGGTIWSIAPIPDDTGFVTVSADHEVKFWEYQVKKAGQEAKQLTVSNVRSMKMNDDVLAVAISPDAKHIAVALLDSTVKVFYVDSLKFFLSLYGHKLPVMCIDISSDGALIVTGSQDKNIKIWGLDFGDCHKSIFAHDDSVMGVKFVRNTHYMFSIGKDRLVKYWDADKFELLLTLGGHHAEIWCFAVSNRGDFLVTGSHDRSMRRWDRTEEPFFLEEEKEKRLEELFESEIDNSAENRHGSEEEITEEGVAGLAKKTTVDVLSAADSIIEALELAENEKSRIAEYEEETKRGTVPDLAPNVVMLGLSPSEFVLKTISKEKTNDLEHVLLTLPFSDALKLLSYMESWSLIPEKVELVCRIATIVLQTHHNQLVTTPAARPILSVLRDILHAKVKESKDTIGYNLAAMDHLKQMMASRSDAPFRDAKAKLMEIRSQQAKRMEARLDTKTERKRKKKQKRLEDGHEKKYFISEEKPHNFLSLALQTWRPLSLLVLSFLFSKNPLQNPRRLSPDPSLPNLPIGRRRSMMMGGLLMSGLMLSEANLPTSAFALTPVFREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPIVLDENLSVEFSSPSSSKYKSLEDLGSPEEAGKRVLRQYLTEFMSTRLGVKRESNILTTSSRVADDGKLYYQVEVNIKSYANNNELAVMPQDRVARLEWDRRYLAVLGVENNRLYSLRLQTPEKVFLEEEKDLRRVMDSFRVEKI >A07p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13802451:13804490:-1 gene:A07p023920.1_BraROA transcript:A07p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILFTSSYMATRSLFFFKVLLLFLALSDSFSATSNGQGVGINYGQIANNLPSPARVAVLLRSLNITRVKLYDADPNVLSSFSNSQVDFMIGLGNEFLQNMSTDPTKAQSWIQQRLQPHIAKTRITSIVVGNEIFKTSDRVLISSLLPAMKAVYSALTNLGLEKQVTVTSAHSLDMLQTSYPPSSGSFRQEFIQFLQPLLDFHSQIKSPFLINAYPFFAYMDSPKEIPLEYVLFQPNQGMVDPNTNLHYDNMLFAQVDALYAAIKTLGHTDVEVRISETGWPSKGDVNEIGATPENAALYNGNLLRLVQQRKGTPGKKSVPIDVYVFALFNENLKPGPTSERNYGLFYPDGKPVYNVGLQGYLPDIIYSSSASTIKTLNLWRAVMGLAVSGLIGLDMGVKMRMPLIIGLYLFALQESYCYGYTYSL >A05g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18561307:18561808:1 gene:A05g506500.1_BraROA transcript:A05g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCEHPFPHTLVEMSTEVGRELMDKKDAIAKASKENVLLQIINGKAHVKYSGGKFDDLSLIIDGNYLFMRWMTI >A10p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9548714:9550955:1 gene:A10p006030.1_BraROA transcript:A10p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHALALKFHSFSPQYALSLSNFTLQSPNPLQFRVPNVSSSVCLRSRSSAADVSPVRYTDVSSSSIGSLGETGGVVVTEKPIDVATLGNLCVDIVLSVDELPPPSRGERKALMDELSLSPPDKKYWEAGGNCNMAIAAARLGLQCVAIGHVGDEIYGEFLLDVLHEEGIGTVALDGEATNAKDASSFCETLICWVLVDPLQRHGFCSRADFKEEPAFSWITDLSDEVKMAIRQSKVIFCNGYDFDDFSPSFIMSTIDYATRVGTAIFFDPGPRGKSLSKGTPDERRALSHFFRMSDVLLLTSEEAESLTGIKNPVKAGQEILKNGKGTKWVIVKMGPKGSILVTKSSVSVAPAFEVEVVDTVGCGDSFVAAIALGYIRNMPLVNTLTIANAVGAATAMGCGAGRNVAKRHHVVDLIKASKLNDEESLLKELLAENPETPKVNLLSKGMRKEGSNKQQIEIISMEKVVSELLPELEVGRCCVKASS >A08p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13379677:13381075:1 gene:A08p019710.1_BraROA transcript:A08p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKDICSLFDSYLPNHEASTHEITWIMFSTQLRISSKKNRIKRSLYVMVMSFTNLEIFSTREFIPPEKLEMANLLSDEPTTNSIMKKENLGLDGFQKDSKTDLFGQLAKGKMDFNQSSKGHVLAHIRNIFFTFQSPGRGYIKRQSKFQSKTLFSQVFVFGYLRVNKPLFRWTCASYQATYRNPSFVGLVRHIKKQLKSGSIKRLSALFVSPFSPPVLSSGESLDERRAALRQRHPESTGRDSHDPSVSATYFDNVNP >A04p020180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12312092:12312512:1 gene:A04p020180.1_BraROA transcript:A04p020180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKGLSKIQETSSLSTNMPPGQQTALPFEDKGRLDVESFDLDAQRDKRVISPPISGEKGCTVREGGPDDGCESEHGVVHVSRGVDNLHTNPLILVAPRSLRLPLLTSPISPYCSLFHFNLLEISVSF >A05p043290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26110682:26114825:-1 gene:A05p043290.1_BraROA transcript:A05p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTNRIEDMSSPNNETAANARETVVEIHSVCLPPKKTTFQKLKKRFGDVFFPDDPLERFRNQTWRNKVILGLQSLFPIFTWGSQYDLKLFRSDVISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGSMLSESVSPTQDSILYLKLAFTSTFFAGLFQASLGLLRLGFVIDFLSKAILVGFTAGAAVIVSLQQLKGLLGIVHFTGKMQFVPVMSSVINTRSESMRKPKLFWISAASPLASVVISTLLVYLIRDKTHAISFIGHLPKGLNPPSVNMLYFSAAHLALAIKTGIITGILSLTEGIAVGRTFASLKNYQVNGNKEMMAIGFMNMAGSCTSCYVTTGSFSRSAVNVNAGAKTAVSNIVMASAVLVTLLFLMPLFYYTPNLILAAIILTAVIGLIDYQAAYKLWKVDKFDFFTCMCSFFGVLFVSVPLGLAIAVGVSVIKILLHVTRPNTLEFGNIPGTQIYQSLKRYREASRVPGFLILAVESPLYFANCTYLQERILRWTREEENRIKENNDRNLKCIILDMTAVSSIDTSGIEAVFELRRRLEKQSLQLVLVNPVGSVMEKLHKSKIIESLGLSGLYLTVGEAVSDLSSTWKAHGQP >A08p044710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24660658:24666148:1 gene:A08p044710.1_BraROA transcript:A08p044710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSITGKRRLATVAAHFPNDPVSTASLVPLNCSSSLNSVIRRCDSKLPFARQASSEQGFFMRQASTDEFTPHTGGTTLKNSVIRRCDSRLYFARQASSAQGLFMRQASTDERTIPQDAASTKSFAIPSSTELPLLSRPEYAPPQFSKAAAKDEFFVLSDLPKENLDKPYNPDLPKLANPGTVWSPRSNVAEYEHNYVVAIELPGASINDIRVEVDNLNLIVTGRRTAVCQKVDAGTKGSICGYHKQGIVQGPFKVSWPLPINVNKDNVSAEFMDLFLDDPAMFYISTKGKIHTVFLREAYERGSLIDPSPVYDARRKLLDRVVSSCFFSVCQISTAVQMATSSACLIGNGLSLHATKQRSKQFRLSSTSASVNKTSKLTVVKASLDVNKHEARRGFFKLLLGNAAAAGVSLLRTGKANAADEQEVSSSRMSYSRFLEYLDKGRVNKVDLYENGTIAIVEADPARTRAATGAEPGASPEVRAKNIDFAAHNAQEDQGSPLLNLIGNLAFPVILIGGLFLLSRRSSGGGMGGGPGGGPGFPLQIGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTDILKVHSGNKKFENGVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAVGDLQQITRLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLANDIDSAVKTLSDKAYEIALGHIRNNREAMDKIVEVLLEKETMSGDEFRAILSEFTEIPPENRVASSSTSTPTPTPTPKNRNYTREAKKKV >A05p015330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6830747:6832441:1 gene:A05p015330.1_BraROA transcript:A05p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPVYTVASDSENTGEEKSSSSPSLPEIAVGIDIGTSQCSIAVWNGSQVHILRNTRNQKLIKSFVTFKDEVPAGGVSNQLAHEQEMLTGAAVFNMKRLIGRVDTDPVVHASKSLPFLVQTLDIGVRPFIAALVNNAWRSTTPEEVLAIFLVELRLMAESQLKRAVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQMTSHDNMGSGSERVAVIFNMGAGYCDVAVTATAGGVSQIKALAGSAVGGEDILQNTMRHVAPRPDKEGSGSLRVATQDAIHRLSKQESVQIEVDLGDGDVVSKVLDRLEFEEVNKKVFEECERLVVQCLRDAKVDVDDVDDVIMVGGCSYIPKVRAIVSNVCKKEEIYKEVNPLEAAVRGAALEGAVTSGIHDPFGSLDLLTIQATALAVGVRANGNKFVPVIPRNTMVPARRDLFFTTVHDNQKEALIVVYEGEGEGVGENNHLLGYFKIAGIPAAAKGVPEINVCMDIDASNALRVFAAVLMPGSKSPVVPVVEVRMPTVDDGHGWCAQALSAKFGSALDLVTLQRK >A02p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13607223:13607978:1 gene:A02p025910.1_BraROA transcript:A02p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAFELNILWVLWLELLLRSAESCLKGSAQTRITPNNSDCLQACLDGRLVPATDEEILEVNESDMYTASDASQTIGYLPAQGLPPRSGLLAYPQGRELPSPGWYSLLDLKLRFHKFLMSEEAVQG >A04p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18877847:18878267:1 gene:A04p032320.1_BraROA transcript:A04p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR (CLE)-related protein 42 [Source:Projected from Arabidopsis thaliana (AT2G34925) UniProtKB/Swiss-Prot;Acc:Q6IWB2] MRSPHIIVSLVLFVFFSLILQTHQRTIDQTHRDASHVNDVAVTSPEGRRRESFRVRRPMTTWRKGKMLNDSEHGVPSGPNPISNR >A02p053290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32428087:32430543:1 gene:A02p053290.1_BraROA transcript:A02p053290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALVLLLALSCLIQSHGAGNVCDLGRRPNTRPHSVSILEFGAVGDGKTLNTIAFQNAVFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKDAVILASQDPLHWQVVDPLPSYGRGIDLPGRRYMSLINGYMLHDVVVTGDNGTIDGQGLLWWDRFNSHSLKHSRPHLVEFVSSEDVTVSNLTFLNAPAYTIHSVYCSHVYINKVTATTSPESPYTIGIVPDSSNNVCIQDSSISMGYDAISLKSGWDEYGISYARPTANVQIQNVYLKAASGASISFGSEMSGGISDVVVRDAHIHNSLSGVAFRTTKGRGGYMKEIDVSNINMVNVGTAFLANGSFGTHPDSGFDANAYPLVSHIMLHDIVGENISIAGEFFGTKESPFTSVLLSNISLSMNSDSPDDDDSWQCSYVEGSSESVVPEPCFELKGFESSYGRAVAL >A05g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3503461:3509636:1 gene:A05g501020.1_BraROA transcript:A05g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESFQIVSFLRLYPVVTPTNRRVKNKTPGSLPRLGSAGPPCQRKTLEDDVLEIETVYSRRPPLHKPLHRTFQTTTYLNLNQEQAKASGDKINYSTPRRSSEDLGRSRGRYSTGKAPSSRHRESHRPSPYSRPNEFRSRDRHQLNNKRLEPQYYRETNLRRIDDRSSQWRKSQSYNHYDKERSSHTSAFHGGHEPIHQPFPSETRHHSRDRGLPNSREEKEDSSASRKAQQESARGTPLQIPEDNLPKEAIAEAIGELHDVMKLYTSVADPTESAARKERYRLAQEQGEIEQTAAQMVRARLTNQDNGDMVTSPTRVPALLRLGPSPPPLASHPTGPVETRRKPGRPSGSRKKAPNSPNMLMGSSSKKRKQIADSPLRRRRLVCLPPAGIATGQLAPWILWHLWLARNEHIFNNKEVTPATIIGKAVAAAREWLQAQETTSTETPTRQQEEEPTILTTSTIVQTDAAWREDLQLAGLGWCVGERNEKLSILAHCHYVSSPLVAEGLALREALQFCIEKNIRMMRCETDSLVLIKALNSGPPVAELYGIVADIACLSLAFDSISFSWIKRGKNGDADALAKQTLWNASKFMLLVNNVS >A04p008450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8200738:8201054:1 gene:A04p008450.1_BraROA transcript:A04p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFSPKELIKDKDTADKATIVGTVDWFHNYLDKHHEPTTMLVISGDVDMIYTIRAVIALLVLKCFL >A09g513540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41219508:41219941:1 gene:A09g513540.1_BraROA transcript:A09g513540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLRSRFRFSSPTPAVPLAGVGEPTICEKKLWCYGLWCGGDDLDKGLPVESASWEVGAFRSVAVVASVELAVPRRWRGVCLGSVSLLLSRLLSSRQPPSVFRRSRVPA >A10p028850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17799351:17801341:-1 gene:A10p028850.1_BraROA transcript:A10p028850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYEDELARKRMQAENEAQRTRNQELVKMQEESSIRREVARRATEEEIQAQRRQTEREKAEIERETIRVKAMAEAEGRARESKLSEDVNRRMLVDRANAEREKWVSAINTTFDHIGGGLRMILTDQNKLVVAVGGITALAAGIYTTREGAKVIWSYVDRVLGQPSLIRESSRGKYPWSGSLSRVFSTLRGGGKEAASKNGKGFGDVILHPSLQKRIEQLASATANTKSHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGAQAVTKIHQLFDWSKKSKRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRVDETLEFPLPGEEERFKLLNLYLDKYITKTNLKKPGLLQSLFKKDQQKIEVKGVTEDLLKEAAAKTKGFSGREIAKLMASVQAAVYGSADCLLDANLFREVIDYKVAEHLQRKKLAGTDTGNKK >A03p051950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20308589:20310816:-1 gene:A03p051950.1_BraROA transcript:A03p051950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSNYSGNDHRRKDAAGGKKPAPIRVLGDVPKENIEDRYLLDRELGRGEFGVTYLCIVRSTRDLLACKSISKRKLRTEVDVEDVKREVAIMQHLPESSSIVTLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIMEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKREPWPNISETAKSLVRQMLEPDPKRRLTAKQVLEHPWIQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIAEFLSTQEVEDIKEMFNKMDTDKDGIVTIEELKAGLRDFGTQLAESEVQMLIEAVDTKGKGTLDYGEFVAVSLHLQKVANDEHLRKAFSYFDKDGNGYILPQELCEALKEDGGDDCVDVANDIFQEVDTDKDGRISYEEFAAMMKTGTDWRKVSRHYSRGRFNSLSIKLMKDGSLNLGNE >A09p004140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2403637:2404811:-1 gene:A09p004140.1_BraROA transcript:A09p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYFSETQVKPSPKETKRQLCLSLSLSISVSSLHSLSLYPMDAHVAQLQMQYRNYILSLYQQGFLDDQFTELKSLQDDGTPDFVAEVLSLFFDDCVKLVGNMARALDQTGTVDFSQVGANVHQLKGSSSSVGAKRVKGLCINFKELCEAKNYEGCVRCLQQVDIEYKTLKAKLQDMFNLEKQIVQAGGIVPQVDIN >A04p004790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2472168:2473044:-1 gene:A04p004790.1_BraROA transcript:A04p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFRVEVNRSYPGFQATNSRFNLSATRNTQVHIIDPLNNRLFMDFKNIHAIPHMDHMDRNYPIDTMGVVFNTEAHFDDSASSRMVFYMRDNIDSQIKCMATGVHAYAFRDGLENMKGRGQVILVLLIYGLRPRVDYLTSGSIRVYQRLRSSRSLYYAVTLMFSDMEL >A02p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2705611:2709981:-1 gene:A02p006400.1_BraROA transcript:A02p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEGEKRRGLSKSCALLIVIAGIERYAFKGVASNLVTYLTDVVKMSNSRAATTVNTWTGFTFMLPLFSAPFADSYWDRFFTILASSSLYFVGLVGLTYTAFDGSRSTTKTISLYFLYTSLSLIALGLGVLNPSLQAFGADQLDHDLDHDHETSLEVKSNRKSHFFQWWYFGVCAGSLLGVTVMAYIQDTFGWVFGFAIPTASMLLLILLFLCGCVVYVYADSGLDPKAKPFQRILEYIKGAVWRRSKITLVNNHDLNAMELELQEKPLCNCSNTASTTTSKTLANEKSCNHSFSGLETVKLLLRLLPVWTMLLTFAVIFQQPATFFTKQGMAMKRNIGPNFKIPPATLQSTITLSIILLMPLYDKVLIPIAKKITKNEKGIPVMERMGIGMFLSIIAIVIAALVERKRLMISKKMKTSPNSSDPLSIFWLLPQYILLGVSDIFTVLGMQEFFYSEVPVSMRTMGFALYTSVFGVGSFVSAALISVIESCTKSRGERQNWFADDMSEARLDNYYWLLAFTSAISFLMVDKIMTSQESKDGVIKGGYSHPIPLELLFIIFLRLPAKTLARCVCVSKLWASIIRSQDFIISFQSQSRILFFIRDYYQTRFENRFFLSNQQEGTSSLLSRTTCHVSDSRSRCRQPQEVNGLICCGYGTSPVIYNPTSGKSLTLPRIYLGQNVVPYVENILGYDPINEEYKVLSMTPLPGNHSGDSIIPYKEHKVFTLGSEGSWRMVECNTIHCPGTISVCINGVVYYGAYVGESMKQRSLVRFDVRTEKFGFTKMPESVQTLSYYSSTLMNYHGKITLAYSTGYDTYELWVLEDEWSKICFSTRLWTQPCIKFNVEGITRMDEIILTPRYGGEFYVVYYKLVTKQFRKIDIEGYKSPGGVVVSWEYVEASTMLL >A08p031990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19434277:19435825:-1 gene:A08p031990.1_BraROA transcript:A08p031990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCDACENAAAIVFCAADEAALCRPCDEKVHMCNKLASRHVRVGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIEFPGDKPKQNNTRDNMQNQRASASANGEANGKTDDEMIDLNANPQRLHEPSSNNQGIDVNNTNNHEPAGVGPFKRESEKIGSCEALLLKKEERLVLFVNYLSLKERAHMN >A03p029800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12534019:12535104:-1 gene:A03p029800.1_BraROA transcript:A03p029800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMEQPLSPSLPDDVIIDIVARVPRSYYPTLSLVSKSFRKLIASPTFYKRRSFLGITQHRIYAVLRSRKTRDDFSFYILHRKLKCSNRLVIVGSRTLHHMCSRGSYVSVGSKVYGFNDLHALSIDCTSHTSQPISDIPQLMTNKVANVIDKKIYLIGGSFLPDESGSREAWKNAVAVFDTETQSWECKLVKEDMHVGLGPFWSDSMVMEGKIYLKDYSHSNFFAYEPEERKWELMDKVLNYHKWVGACVVDDVLYYHDCFDMVLKAYDPKQRCWSVVNGLKDFLAVETAHSVRSEAVSYGEKKLALFFHKNNDGKEVICCAEIALERRQGGEIWGHKESCDVVFEDGMFDMVKFVSVTV >A09g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17861138:17863736:-1 gene:A09g505680.1_BraROA transcript:A09g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5083529:5084214:-1 gene:A07g502380.1_BraROA transcript:A07g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMKANAPGESSSFVALRSGLETSVASAPPADLQEQMRNQMKDPTMRQMFTSMIKNINPEMMASMSKQLGTKLSQEDDAKTQEAMASLSPEALEKMLKETKQMMAQTGIEKAKKAKKWLLGKGGLIFAICMFILAMILHRLGYIG >A05p025030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12228499:12229787:-1 gene:A05p025030.1_BraROA transcript:A05p025030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAEQKQPKTPSTPATGGSKTLFVANLSFNVERSDVFAMSKDDGSFRGFGHVEFATAEQAQKALELHGTSMLGRDIRLDVAQERGERPAYTPQSGAGGNFRSGGGGGQSVFVKGFDSSLPEEDIKSALSAHFASCGEITRVSVPCDRETGASKGIAYLDFKDGTDKAFELNGSDMGGWSIVVDQPREKSSGGFGGGGRSGGGRFGSGRGGGRGRDGGRGRGRGGGRGRDGGRGFNKPSFSGKKTTFNDY >A09g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13570422:13571193:1 gene:A09g504400.1_BraROA transcript:A09g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFRRYGEAVVSVSLLPWCLGFGHGFNPFQDVPQRSSTSRRAAVVSSLAVSDAAESPSRNCAPFVLGFSSRFFIVSATPSCHRLLLQPSLLIHPLIFRGDACEMVGPSGGLCRSISLSVTVRLVSSYPSAWWVFDLLGKLESINGLQFKIKSRVSVLNTTSPYLRTGQRLAR >A05p049440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28787191:28790349:-1 gene:A05p049440.1_BraROA transcript:A05p049440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPAESSDSKSKKDFSTAILERKKSPNRLVVDEATNDDNSVVSLHPATMEKLQLFRGDTILIKGKKRKDTICIALADESCEEPKIRMNKVVRSNLRVRLGDVISVHQCPDVKYGQRVHILPVDDTVEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEVLRIHTKNMKLAEDVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFHTALGNSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGGSGGDGGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDSALLRPGRLDQLIYIPLPDEDSRLNIFKACLRKSPIAKDVDINALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRSENPEAMEEDMDEVSEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFETNAGSGATTGVADPFATSAAAAAADDDDLYN >A02p017280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7764453:7765451:1 gene:A02p017280.1_BraROA transcript:A02p017280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARSWTQKYAMG >A02p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12387215:12389151:-1 gene:A02p025170.1_BraROA transcript:A02p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGGGGWRDSYKGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAGATGTRAGVGGYSYLYEPLWWIGVTTMLLGEIANFAAYAFAPAILVTPLGALSIIIRQENITYCSSHIILLEKLHIFGVLGCALCVVGSTTIVLHAPQEQEIGSVLEVWNLATEPAFMFYASLIIGAAVFLIVRYVPQYGQTNVMVYIGICSLVGSLSVMSVKALGIALKLTFSGTNQLFYPQTWVFTLVVLTCVVTQLNYLNKDWDRQNGTQIVTEMCGFVTILSGTFLLHRTKDMVEGSPVILPVRISKHADEDGFESEGIPLRRQESLRLP >A02p043770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27544542:27545782:-1 gene:A02p043770.1_BraROA transcript:A02p043770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENHENPNRRRSMGGGGPEEEENQWPPWLKPLLKENFFAQCNFHGHSPKNECNMYCLDCTNGGSLCPLCLEHHKDHRTIQIRRLSYHDVIRVNEIQMHLDILSVQTYVNNSAKVVFLNERPQLERVRGVRVTNACDVCSRGLADDCFCFCSLGCKVAGASRSFEKGVKHTLTELESSNNSSGVEDSIPSLQSLITSTPQRPTSTSLRKRPRKGIPHRSLLQ >A04p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21358589:21360036:1 gene:A04p037430.1_BraROA transcript:A04p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSLRFHRRNNKTFLRIFMILVLSCIPGRTNLCSNPSVSTPKDLPPSVIRSSLDSLDLEGYITFHDVHNASKDFGNRYHLPPLAILHPRSVSDISTVMRHIVRSTSNLTVAARGHGHSLQGQALAHQGVVINMESLLPSHDIKIYKGKQPYVDVSGGELWINILRETLRYGLSPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNVYQLEIVTGKGEVVTCSEKRNSELFQSVKWIRALYSDFSAFSRDQEHLISKENAFDYVEGFVIINRTDILNNWRSSFTPNDSTEASQFKSDGKTLYCLEVVKYFNSEEANSMNQEMEKLLSELSYIPSTLFSSEVPYI >A10p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:361212:362370:-1 gene:A10p000690.1_BraROA transcript:A10p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAAPPPDMNRNTEWFMYPGVWTTYILILFFGWLVVLSLSGCSPGMAWTVVNLAHFVVTYHSFHWMKGTPFADDQGVYNGLTWWEQMDNGQQLTRNRKFLTVVPVVLYLIASHTTDYRHPWLFLNTLAVMVLVVAKFPNMHKVRILGINGDK >A02p027430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13310066:13311003:-1 gene:A02p027430.1_BraROA transcript:A02p027430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNVLCWSLPMKVAIGAVRGRRTKSFTEISKQQIYFSIKDSTPDYHTLDSLEKVQKMTVGRGGRSHHHVASPLLAEALAIRDALLHAFSLGFTSIWLRSDAQALITAITMKRRPTELYGVLSDIDSISSLFSVCRFSFCPRASNGLANMDTKLVAQYPQKAAL >A10g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4583648:4584747:-1 gene:A10g501630.1_BraROA transcript:A10g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLKSKSLQAEFGEKRSFGRGFCITDITEENKSHPCPTEWLFRVDIVNKWKESNGGLEVFWFNGKLAQQSSEKVFKDAMKPSQNSSAYVYESWRRTESNRFFTRLLNDQVTFYTPGRDKSDNRKTKACYMSL >SC178g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:223566:228938:1 gene:SC178g500130.1_BraROA transcript:SC178g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNACEVFKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRL >A06p019750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9663456:9665573:1 gene:A06p019750.1_BraROA transcript:A06p019750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTPLILFASLLLPLYASAEEHQNSNANGGDTIVFTTLGRSRYEFDVFALSTTRPPSISGEIRITDGESVNFNGYFPSPSPALISLLPDATLIQPGDSSPLHLIYVTERNGTSSIYYDLLHGGDPDSKSKRRSMLEAPSRVQIPLLTNADHRSGNTVNSFKDKPSLSGEFLVYVSTHENSGEPRTSWTAVYSTELRTGLTRRLTPSGIADFSPALSPSGNWTAVASYGERGWTGEVEELSTDIYVFSTRNGTRRVKVVEHGGWPCWVDESTLYFHRRSDDGWVSVFRAILPQSGSLSTESVNIQRVTPPGVHAFTPATSPNNHNFIAVATRRPGSDYRHVELFDLRRSEFTELTRLVAPGSHHLNPFLSPDRSRVGYHSCRGQANGRRSPLLFLENIQTTTSDLSLFRIDGSFPSFSPAGDRIAYVRMPGVYVVKPDGSGRREVYDGMAFSTAWDPVRPGRVYTSSGPTFATERTEVDIISIDVDAVDKSSSVRRLTTNGKNNAFPWPSPDGKLIVFRSGRSGHKNLYLMDAEKGETGGLWKLTEGAWTDTMCSWSPDGEWIAFASDRESPGSGSYELFLIHPNGTGLRKLIQSGTGGRTNHPIFSPDSKSIVFTSDYAGISAEPISNPHHYQPYGDIFTVKLDGSNLRRLTHNSYEDGTPAWAPRFINPSDVVLRKKNDSSCAFEDCHWLNKNPPLKGQKISC >A03p042800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17891794:17894020:1 gene:A03p042800.1_BraROA transcript:A03p042800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELVNCYLKRKINGQEIELAIIPRDEATKERVGAHAPIILSEVNVFDSCALCKVFKKNGICTEQETEQQLQILKAICIVYCQINPHRDIIQEIENRSTFQKKKGNGMKLDL >A02p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8308921:8312152:-1 gene:A02p018150.1_BraROA transcript:A02p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT5G52340) UniProtKB/TrEMBL;Acc:F4KG57] MNLFNIILRLHIAEQIFSFPKNISATQFNSLLRSKMGVAQAMEALTERAGLMKESLQKSQTITDNMVGILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKALKQAELILDQFDLSKKAEAKILRGPHEDLESYLEAIDQLRGTIKFFSNNKMFKSTATGVIGHAHNLLSKALSKLEDEFRQILQNYSKPMEPDRLFECLPSNLRPSTDGEGGGGKSHDPHQKSLENAIFTVPTVIPPRVLPLLHDLAQQMVQAGHQQQLFKTYRDTRAAVLEQSLKKLGVERLSKDDVQRMQWEVLEAKIGNWIHYMRISVKLLFAAEKKICDQILDGVESLRDQCFGEVTANSVAILLSFGEAIAKSKRSPEKLFVLLDMYEIMRELKPEIELVFGSQPCTEMKDSALNLTKRLAQTAQETFADFEEAVEKDATKTAVMDGTVHPLTSYVINYVKFLFDYQSTLRLLFQEFDSKDPDSELGAVTTRIMHALQNNLDGKSKQYKDAALTQLFLMNNVHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKPFHFQILQCLTVQSSGSGPVENSNISRASVKDRFKTFNSQFEELHQRQCQWTVPDSELRESLRLAVAEVLLPAFRSFLKRFGPMIESGKNPQKYIRFTPEDLERMLNEFFEGKTWSEPKR >A06g507160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19864895:19865188:-1 gene:A06g507160.1_BraROA transcript:A06g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSHGRSLRSDRSVTSWPLLGRSLRSDRPTHSFGRYVVIDLSRILSLRSDRTISNINQRVQPKTLHSRQFFNASSRVPQPYHFTLPSIGVITYTLR >A02g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20478514:20479514:1 gene:A02g507200.1_BraROA transcript:A02g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALENQMAAQQAGYKTQKRLNEQMMEMMRRMYPNEVFPNIQDP >A03g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21221472:21222813:-1 gene:A03g505940.1_BraROA transcript:A03g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKLAPLKCRTCVSVLNAFARVDFAAKIWICPFCFQRNPFPPHYHMISETNLPGELCPLYTTVEYALPSTAGQFDPRTSAAPPQTPPPVFVFVLDTCMIEEELGFAKSALKQAIGLLPENAHVHELGFSEMSKVFVFKGNKEVSKDQVLDQLGLSSRRAPTSGFPKGAQNGFQSAAGVNSSSSATLNYDGMKKIDSVTLSEFNFHDLSSPPKTVEFLCTRDVGSIETANGWCCVSCSKFSSCTCAPCHDENAVGVARYRVEMLVTDVSDTAMFVAFDGEMKKLTSGPAQFQRPW >A09p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4604718:4606246:-1 gene:A09p009000.1_BraROA transcript:A09p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTTASPIFSSFTAKPRTSRLIFKAFSVLLEDTPPSARRNASTNLTTLHQGPPTSAYVHLPFCRKRCHYCDFPIIALGSSSASTTLHEEKRQEEEDDDPRITNYVNLLVREINATRTGFDANPNLKTVFFGGGTPSLVPPKLVSLVLETLSSSFGLCPDAEISMEMDPGTFGGEKLKELMELGVNRVSLGVQAFQDELLRACGRAHGVSEVYEAIEIVKSCGVGNWSMDLISSLPHQTLEMWEESLRLAIESQPSHVSVYDLQVEQGTKFGNVYTPGQSPLPSETQSAEFYKTASSMLRGAGYEHYEVSSYSKDGFKCKHNLIYWKNKPFYAFGLGSASYVGGLRFSRPRRLKEYTNYVADLENGAANWCGDGSVDLKDVATDIIMLSFRTSKGLELKEFGEAFGRKVVNSICKVYEPYVESGHIVCLDGMRREVVSDEFKKFLVGNDEVKIEDHVRYLRLRDPDGFLLSNELISLAFGVISP >A03p030270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12696057:12698273:-1 gene:A03p030270.1_BraROA transcript:A03p030270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSCSTASSSRGCGTSFPSKTSSLPALNSPNLIRIGTNSQSDRLSSHRLTLVHENRKARGTTVRMALVDERQSTGQNVAHPPRILAYDLVQGDLVKWRWKEDKSVPDTPTAVLLHGILGSGKNWGTFARRLAHEFPTWQFLLVDLRCHGDSASLKKRGPHSVASTASDVLKLVGQLRLTPRVLVGHSFGGKVVLSMVEQAAKPLPRPVRAWVLDATPGKVRAGGDGEDHPRELISFLRQLPKVVSSKNEVYNALMEEGFSNDVAQWVVTNLRSTGPSSSSFSWAFDLDGIAELYQSYEDTNLWNFVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEELASEEGGGVEMHVLEDAGHWVHTDNPDGLFRILSSSFQVLRP >A07p046110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24950994:24951871:1 gene:A07p046110.1_BraROA transcript:A07p046110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ESCRT-related protein CHMP1A [Source:Projected from Arabidopsis thaliana (AT1G17730) UniProtKB/Swiss-Prot;Acc:Q8LE58] MGNTDKLMNQIFDLKFTSKSLQRQSRKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLASRLDAVVARLDTQAKMATITKSMTNIVKSLESSLATGNLQKMSETMDSFEKQFVNMEVQAEFMENAMAGSTSLSTPEGDVNNLMQQVADDYGLEVSVGLPQAAGHTIPTATEEKVDEDDLSRRLAELKARG >A03p001880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:929995:930268:1 gene:A03p001880.1_BraROA transcript:A03p001880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARYAIARLGLARSLGESQVGASRSVGSVRCFSDDKGRVLSDEERAKETIYIQIKSR >A09g519070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58453977:58456760:1 gene:A09g519070.1_BraROA transcript:A09g519070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFLPLRFYIHLLIDVLFASKKFLAFQPLIAMKLSGVSDTVKTNGEAAIPSSLVNPVAQPGVSSGDVNPIPSGLVKPVAQTDVSSGDAIPMKSKDVTAEAKNSIKPNGKTGASSGLKLGVRGRASVSSVDKGKAIVSKNVGKVITFKDIIFGPHEDEVRFRLIHFWEAWNVQTKVLIGIEMLLIDEEESVIQGFIPYGRIDTYLRHMKTGATYRLNKFFGSKSKTIYRVAESSVTICFSSNSVLSGLEDTGSDLRGDLYDYIGHIKLVNGKVPGDGLLLDESEIAKSRRVELHVQTHDDPVLKLYLWDKVAFEFCEKFKASGGTARVILVTTLNPKWFGGVLSISSMASSRVFLDGDVEETLLYLSWLDSNLDVASRVNAEVVTKPELATLGDLFSYMNQASAKVAWFECTATIYDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVPQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEANDSVGEDHIVPVPQAMIDTIGQTRKFIVKVSTHNLTAKTQTLTVTKVLPLEAPEPEGNVGVNVGKEGDSESEDHADKLVKRGADGIESEGVKRAKCG >A04p024840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15054116:15055261:1 gene:A04p024840.1_BraROA transcript:A04p024840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPNQGQVYEGRRRGWDSSSGLSYEEYRRLKRIKMRNTPRDPNEDERDHDDDDNLPKAKGESDSGKSQSETDRSRKRRGRRSYDSDSESVESDSADEEDRRRQRSRRKIKSKSSRRSSYDSTSIRKKRRYSDSESEISGDEQQHTKSKIKRRKKLLSGDEEDRGRRTSKKKKTSTKKRGHRSASGEERSKRRKKLSGDGSDTDLKAKLEEKVKDTELGEEEESKKFREMVNNESKKKYLAPEDEETEVGPKAEGHRGYGPALRPGEGDAIAQYVQQGKRIPRRGEVGLNADEIQRFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREAKVMSDLSRLVQRHMGEELGPNHDPFGAGKAVGADD >A08p013490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8138196:8139035:-1 gene:A08p013490.1_BraROA transcript:A08p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTTETNNIKPVRNLVSTPVTIFLTGGLLIILTSFFSFFFCGSFLKKLLNIWNNLRNRNRPSNLIQPSIPPEHVGLGSKIIQSFPEFPYSVKDRGMDQCSICLIDFIDDDTMRLISTCNHFFHTICIDLWFESHKTCPVCRRQLDVEQTTQEEQPAIPEIDLVTSESHEERLSRDTLTIIVHEEHPTTTTIGINLDQTDEIENYERRVKEPNLRFWRSHSTGHSIVVKTETEQSAEEKEKEEFNIHIEISGECQFEDHMRNLPNRNLYCVRGTYSVG >A08p021700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14479135:14483627:1 gene:A08p021700.1_BraROA transcript:A08p021700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESTTVPHVNSVVPNGDASNGNVIPSSKKSRESDRRRRRRKQKKNNKASRADAEEADVSGASDSKENADPQPQVEIEYVPEQPELEDGFSDEFKQIFEKFSFKELVASEDDAKKDESEENKDVKKKVNSDSEDEEDQGNEQKEKGISNKQKKLERRMKIAELKQVSARPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWSQKRKYLQGKRGIEKAPFHLPDFIAATGIQKIRQAYIEKEDGKKLKQKQRERMQPKMGKMDIDYQGRYTFVSQVLHDAFFKYQTKPKLSALGDLYFEGKEFEVKLRETKPGTLSHGLKEALGMGEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPQGASFGYHAGGWGKPPVDEFGRPLYGDVFGVQQQDQPNYEDEPIDKSKHWGDLEEEEEEEEEEEEEEQEEEMDEEELEDGMESVDTMSSTPTGIETPDAIELRKEQRKEPDRALYQVLEEKGESVAPGTLLAPTHTYVIKTGTQDKPGAKRVDLLKGQKTDRVDVSLQPEELDALENVLPAKYEEAREEEKLRNKPEDFSDMVAENSKKRKRDKEGKKKKDFKF >A10p038500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21499373:21502154:1 gene:A10p038500.1_BraROA transcript:A10p038500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMKQIMRWPPWPPLFAVKFDVIVAVHRIEGLNPDNGDKRRRPVVEIKWRGPRAVTLKRSSVERNHTEEGGFRGDGVVEWNEEFKRVCEFSVYKEGEFLPWIVSFTVFNGLSQGTKEKVRSFGKASLNIAEFFSLMKEDDVQVKVPLKMRGSSSSSSACLHISLQFSSKESLPERQRSALPVLWSPLSGEAEKAESVVKVGLRKMKTFNHCMSIRQASEKEETSKKDASSGSETRSPERSLDSESSYPFDTDSQDEGDAADESEESNASGVVQPITYKTLRYANWARGSFHAGTNPEDDDLIYYSHRSPSAERGDEVLSDAVSSEQKKRMLSWKKRKLSFRSSKQKGEPLLKKDCLEDGGDDIDFDRRQLSSSDESTSDWYKSDDSVMKPLSEFGDDDFVVGSWETRDITSRDGLMKLKARVFLASIDQRSERAAGESACTALVAVMAHWLGSNRDIIPTRSEFDSLIREGSSEWRNLCEKEEYMERFPDKHFDLETVLQAKIRPICVVPEKSFIGFFHPEKEEEEDASLDFLRDVMSFDSIWEEIMRHEPEESGSEPVIYIVSWNDHFFVLLVDHDAYYIIDTLGERLYEGCNQAYVLKFDKDAEIQRLKPEVKEKNKSEQVERSTTESDEQEEEEVVVCSRGKESCREYIKSFLAAIPIQQAKADMKKGLVSSVHHRLQIELHFTRHLQQQQPNLLLLESSAREANVAWSVASGYSNSGGFGCEVTELMGVEV >A10p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13425449:13428377:-1 gene:A10p019600.1_BraROA transcript:A10p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSVILGFLLTVLTTVIKAEDPYLFFTWKVTYGTISPFGIPQKAIMINDKFPGPNLNTTTNNNIVINVINNLDEPFLFTSGMQHRKNSWQDGMPGTTCPIPPGKNFTYHFQAKDQIGSYFYYPSLGLQRAAGGFGGLRINSRALIPVPYPDPEDDYTVLIGDWYTKTHVALKDNLDSGRSIGRPEGVLINGKAGKPDGTGEPIFTMKPGKTYKYRICNVGLKMALNFRIQNHKIKLVELEGSHTLQNLYDSLDLHVGQCFGVLVTADQEPKDYYMVASTRFLKTVLTSTALLRYEGGKGAASPELPKPPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRSIKLVNTVGKIEGKKLRYALSGVSHVETETPIKLAQYFGVADKVFKYDTIKDEPTKVDTSNILVQPNVLNIEHRSFVEIVFENQERTTQSWHLDGYSFFAVAVEPGKWTPAKRKNYNLLDAVYRHTIQVYPKCWGAILLTFDNCGMWNIRSEILEKRYLGQQLYVNVGPPELSQRDEYSFPANGLRCGIIQGLPDPQPPRDSL >A07p028870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16273057:16275960:1 gene:A07p028870.1_BraROA transcript:A07p028870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56140) UniProtKB/Swiss-Prot;Acc:Q8RWG3] MKNTATNDLFASQPSLHFPCLSSSSSSSLPRRHGLHLPINLTRKNNSLSIVALSDSDPPSSTAFSRRAILLAPPLLSAAASLFLKPSVSLASEETSSATVTSPAEPATPPPPPPPQSTSVNKEETITSRIYDATAIGEPMAMGKDKKKVWEKLMNARVVYLGEAEQVPTKDDKELELEIVRNLRKRCLDSERQISVALEAFPLDLQEQLNQYMDKRIDGETLKSYVTDWPAQLWQEYEPLLTYCRDNAVRLIACGTPLKVLRTVQAEGIRGLSKSERKLYTPPAGSGFISGFSSFSRRSTFDMSLPTQIVPFGPSSYLSAQARVVEDHTMSQVILQAVADGGGTGLLLVVTGASHVEYGSRGTGLPARISRKFPKKNQVVVLLDPERQYLRREGETPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDIQNGLDLGLVSPEVLQNLFDLEQYPLISELAQRFQGFRERLLADPKFLNRLAIEESISITTTLLAQYEKRKENFFEELDYVITDTVRGSVVDFFTVWLPAPTLSFLSYADETTGPDSIEALRGLLGSIPDNAFQKSLAGREWNLNLRVAAVIVGGLKLAGVGVVSSFAAVGASNALNGVRKVIKPELVVAQKPKRSPLLKTAMVYGGFLGTSANLRYQIIAGLIEHRISDELSLQPLLVNTISFVVRTLNSYFGTQQWIDLARSTGLQSQKSTPASKDVPEALEETTVECNATEEENVDKLDNQ >A01p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25368598:25374214:-1 gene:A01p042570.1_BraROA transcript:A01p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYCQLKKQVKKIKISRKPKPASLYPIPHDPDFGRSLFDPVRKLARTFSDKLFSSSEKPEIIQVRRRKSSEDGDDVEEIYQTELVQLFSEEDEVKVFFAKLDEELNKVNQFHKSKETEFVGRGEILKKQLDILAELKQILSDRKKRSLSGSNSQRSFSSSARNSDFSAGSPGELSETQSETSRTDEIIDALERNGVSFINSATRHKTKGGKPKMSLRVDIPDAVAGADGGGARSIATATSVLWEELVNNPRSGGGDFINRKKIQCAEKMIRKAFVELYKGLGLLKTYSSLNMIAFTKILKKFDKVSGQQASSSYLKVVKRSQFTSSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPHQQKDSHMVTFFVGLFTGCFVSLFSIYIILAHLSGIFTSGAQVSYLETVYPVFSVFALLSLHMFMYGCNLFMWKSTRINYTFIFEFAPSTALRYRDAFLMGTTFMTSVVAAMVIHLILRAAGSSASEVDTIPGILLLIFICVLICPFDTFYRPTRFCFIRILRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHIESTACYFMAQSFRTHEYNTCKNGRIYRELAYMISFSPYFWRAMQCIRRWWDESNTDHLVNMGKYVSAMVAAGVRITYARESTTGWLAVVLVSSVVATLYQLYWDFVKDWGLLNPKSKNAWLRDDLVLKNKNIYYLSIALNLVLRVAWIETIVRFRVNPVQSHLLDFLLASLEVIRRGHWNFYRVENEHLNNVGHFRAVKTVPLPFRDMDSDD >A08g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2425491:2426689:1 gene:A08g500960.1_BraROA transcript:A08g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGLFFLGRSANQKKAHLAYLFFLIRLSKCSSSLIFASEFVHVVPVSILHLPTVTSNKLFKEQEYHDAVRHHTEAIQRNPKEPTTRHRGMQDQGIKNILTDLRIHQQHNMHTR >A10g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11895679:11898324:-1 gene:A10g504830.1_BraROA transcript:A10g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKRFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRFSDMEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTPCKVVWKSSELPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWSPSLRLIIQSSTRRLKCKSSRIYEISKSIAKITSALTRRLPDKSSTARRLPKLGFE >A09p082950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59872818:59874831:1 gene:A09p082950.1_BraROA transcript:A09p082950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MRG2 [Source:Projected from Arabidopsis thaliana (AT1G02740) UniProtKB/Swiss-Prot;Acc:Q4V3E2] MGSHSNAEKDESTDATTRRGSLSVTESNTDCDGDVLPPPPPTADVSQFEEGEKVLANHKGCFYEAKVLEIAFKDNEWNYYVHYIGWNKSWDEWIGHDCVLKHTEENIEEQGIKQGVKSAMAWRVSKVKPRCPSVARGRKRKQDSVDTLVSPMEENLVATDNLLTFNIPSALKKQLIDDCQFVTQMQKLVELPRSPNVDDILKKYTDSKMKKDGRVSNSVEEILKGLRCYFDNALPVMLLYNNERKQYEENISGDVSPSTVYGAEHLLRLFVKLPELLIRVKMAEETLKELQDEFVDILRFLKNNQSSFFVSTYKAVEEMEDLVSK >A07p019820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:11805264:11805704:-1 gene:A07p019820.1_BraROA transcript:A07p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRSEFGEEASSLSRSPSSHMQTSWYHYSLFPRDSSSSSSYFSNGLCSSEDGSSHFASHPFDRNLKMKHYNSSVDLLLLLLMSITSWDFVRASIVSTSEIRTKKTRLVTIVLESPLIFLLIKLHWFLIFIAMERVLVILVTTSQK >A01p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1138025:1142994:1 gene:A01p002510.1_BraROA transcript:A01p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLSHHHSRNHSLPKKPHNNGNGVYTSSVKTSNTVTTTTTYDDVFGGPPRFGPPTLSPRLEDYCEIFSGFNGSSRAAVSSIPILDLPLDKGLSFDVRRESFDYREVFGGFDGLDSAPSYDELFVQRDTVADGDSSDGAWTPEEEEEVEEEKSPSFSNGRDSFDSIEFNISYHKANQVNNGTSVSRVAPDLGCTVAVDEMVGGSGRGRGKVDKETGPSSSVAAFEDKNGDQKYHSGATTSCLSEPFVTVSEIGLKTHPTGIPPPSRPPPVLLKSDFRRSGSNSRTTGSEGSSCSPPFFDVEVDASSAAVREAMVKAEAKLKSAKEVFERKQTSVNNKSGSKSLSSQGSGNSDGNEEWEEATQFVELVRTEQPRNADENSGGEDVSFPRSAGFFDQKLIWGGNVGNKLEDHEANKLPKHPRTKKVASRHKRHEDRLAEKAPEETNNEKLRDVELQFEIGNNLTEHGGSRPEENKCFLEKLAKQKKKLHSEEKTKRLHNQQSDRRSDRNAAEKDHDHVFDWEQNARKLREALGLSDNESTLEVPLGTNGKMDESERKLKEALRRIEEETSSKEASLKEEKEAFEKGENEKRLKAALEQEEKLKKLKEENERREAEAREKAEQERRRKEQEEYELRLKEAFEKEEENRRMREAREKAAKEERRMKEQEEYERRLKEAFEKEEKNRRMREAREKAEAENERKMKEAREKEENERRRIKEAHEKAEVERRMKETLEREEKERQIKELQERRAKEALEQAENERKLKEAREKEENEKKLKEAIELEEKEKRLIEAFERAETERRLKEELEQEDMRLQEAKEREERERTQRENQDRQEKERDERQEDTCEMEKTCETTSEALEEDERVDNHEPVNKVIVEEEEEGPSSVSEEACHWKVFEKNLKDASQKEGTNELDTESEKLNGEESGEETSPFVTEGNLNQKSKTVEERSEDEKLVKNASVGGAKLERPLPSQVFMQREKEAERLKRELDTKMENLRKIEEEREREREREKDRMAFDQRALADARERLEKACAEARERSFPSTEARLRAERAAVERATAEARERAAEKAAFEARDRMERSVSDKQLGSFGERTEKQFQNSASFGASRYQNSPGADGESPQRYTSRLERHRRTADRVAKALAEKNMRDLVAQREQAERVRIAETLDAEVKRWSSGKEGNIRALLSTLQYILGSESGWQPLPLTEVITSAAVKRAYRKATLCVHPDKLQQRGANIHQKYICEKVFDLLKEAWNRFNSEERMQMLRSLSTRTRSRRGGYERVSDDSTFSLLGAKLRRSTSVPYYAPSIKLGAGGVPTILEELPRQKSKKVKATSKFSHPIFSFLYGKKKKSPTTRKPEFSRYLEYLKEGGMWDARTNTPVIYYK >A03p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14279846:14282402:1 gene:A03p033740.1_BraROA transcript:A03p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSKRNNQRQWSLLDIVTAAFFGIVLLFFILLFTPLGDSMAASGRQTLLLSTASDPRQRQRLVTLVESGQHLQPIEYCPADAVAHMPCEDPRRNSQLSREMNFYRERHCPLPEETPLCLIPPPSGYKISVPWPESLHKIWHANMPYNKIADRKGHQGWMKREGDYFTFPGGGTMFPGGAGQYIEKLSQYIPLNGGFLRTALDMGCGVASFGGTLLSQGILALSFAPRDSHKSQIQFALERGVPAFVAMLGTRRLPFPASSFDLMHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARALCYELIAVDGNTVIWKKPVGDSCLPSQNEFGLELCDESVPPSDAWYYKLKKCVTRPSSVKGDNALGTIPKWPERLTKVPSRAIAMKNGLDVFEADARRWARRVAYYRDSLNLELKSPAVRNVMDMNAFFGGFAAALASESVWVMNVIPARKPLTLDVVYDRGLIGVYHDWCEPFSTYPRTYDFIHVSGIESLIKRSDSSKSRCSLVDLMVEMDRILRPEGKVLIRDTPEVLDKVARMAHAVRWSSTIHDKEPESHGREKILVATKPLWKMPSNSH >A10p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16835045:16837133:1 gene:A10p026570.1_BraROA transcript:A10p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTRVIWTVSVLMLAAVSDAIFPLPFLPFLPGFNNVHDHDDVEVAKPVVTGGKAGGKRRSGGALEAQTNWPGKWELFLENSGVSAMHAGLMPLINQVQFYDATIWRISKIKLPPGVPCHVVNAKTNKIDCWAHSVLIDVNNGAIKPLSLATDTWCSSGGLTVNGTMVSTGGYGGGANTARYLAACPNCQWHEYPQALAAKRWYSTQATLPDGKFFIIGGRDAMNYEYIPEEGQNNKKIYDSLLLKQTDDPEENNLYPFVWLNTDGNLFIFANNRSILLSPKTNQVIKEFPQLPGGSRNYPGSGSSALLPIHLYMKNPKVIPAEVLVCGGTRHDAYYRASKKVFEPALQDCARIRINSAKPRWKIETMPTPRVMSDTVILPNGDILLVNGGKRGCSGWGYGKDPNFAPTLYKPRAARGKRFRELAATNIPRMYHSVAIAIPDGKVLVAGSNTNDGYKYNVEFPTELRVEKFSPPYLDPALATLRPKIATSPKQIRYGQNFNVKVNLNQKDVTKQSLKLTMLAPSFTTHSISMNMRMLLLGVGGVNPAGGASFEIQTVAPPSGNVAPPGYYLLFAVYKGVPSTGEWIQIV >A01p009120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4376624:4376973:1 gene:A01p009120.1_BraROA transcript:A01p009120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCSYFFLFMFVFSVFAVAQETKGDQLCTMIIDGQSYCEITDCRLACYTGYNGVGKCLTNSKAGGKLSCFCTYNC >A04g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14403721:14407370:-1 gene:A04g506480.1_BraROA transcript:A04g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKLFFWNLRGLNDPAKHRTFSDWLSSHKPIFGALLETHIKELPLPRLMSTLCRDWHYFSNHLSDEDGRIVLIWKDPANVSIISQSKQMITCEVKLPTCPSFIYSAIYAANTHEERTDLWVELLNVKGSLVYDSKPWVIGGDFNQILHCHEHSAFNHLTHASQMFQFRDVLTQLGVFDLRYYGPVHTWTNKRDLTPVAKKLDRCLINSEFLTSFPGATATFLPPAPSDHTPCLTDLVFSLPRVGHHTARVSWETVTLAKDHGGLGIKDLHKWNLACILKLVWMIFFRPNSVWVCWFKEVILKGDVANYWTISTSSRRSWLVNKMIKARDLLYPLVKRRIGNGRSTRFWFDNWTPLGKLYTALNGGSSLLGIPKMATVASLFTAGSWNLPFARTDAQLALQIHLTTVTLSDQEDHYDWMIEGKTRRRYKTGEMYDYLKGAQQMVPWAKVIWISYGIPRHSFLSWLVMLDRCPTRDRLNRWGLNVDPLCLLCNTQPESRNHLFFECGFSADVWRRIAHRCQLQPLIVWEDIILQLQRLSTDRDSRRLTLLAFQATVYWIWTERNTRLHQQLFKTPLTVFSTIDKQIRNRLQSFRHANPRASSAMTQLWFLNS >A03p005710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2386728:2387033:1 gene:A03p005710.1_BraROA transcript:A03p005710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNYSRRKPREHITTVAFIILLFLFLFLYAKASSSSSPAGIHYHSTHGSLKKPRVLDPKPDDLNDNAALRGSRIYTYEGGENVFEDGKRRVFTGPNPLHN >A10p025920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16549844:16554862:1 gene:A10p025920.1_BraROA transcript:A10p025920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYVSALFYGVGGIVVAGVALLVAFQEKLVYVPVLPGLSKSYPITPARLNLIYEDVWLRSSDGVRLHSWFIKMFPDCRGPTILFFQENAGRVSCLIYENVHSMSVGWDNLPCYGLHFRTLDIAHRLEMVRIMIQKLKCNVFMLSYRGYGESEGYPSQHGIIKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPEKVAALILENTFTSILDMAGVLLPFLKWFIGGSGTKSLKLLNFVVRSPWKTIDAIGEVKQPVLFLSGLQDEMVPPFHMKMLYAKAATRNSQCTFVEFPSGMHMDTWLTGGDVYWRTVMQFLVKHAPEERKADTEKKMEGGGGGAQYNPRTVEEVFRDFKGRRAAILRALTTDVQEFFQQCDPEKDNLCLYGFPNEVWEVNLPAEEVPPELPEPALGINFARDGMMEKDWLSLVAVHSDAWLLSVSFFFGSKFGFDKVDRKRLFNMINEVPTIFEVVTGTAKNQTKEKASSANQNGNRSKSNSKVRGLDGKSSKTIQAMEEGGLEEEEEEKEKEEDEEEHGETLCGACGDNYASDEFWICCDMCEKWFHGTCVKITPARAEHIKHYKCPSCSNKRARP >A08p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21332844:21334712:-1 gene:A08p036310.1_BraROA transcript:A08p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILQKLIDGMKTGVKPTGEHRSSLLQVTDIVPIDLDEKNLLPKQGFFIKLSDSSHSIYVTLPSDQDDVVLSNKMQLGQFIYVDRLDPGTPVPIVQGARPIPGRHPLLGTPEVSARGKERQRRGSWGQNGDVASPFVLKSASWGQNGDVASPFVLKSGTLDFDQCTPVAKQRRFGFGAASPMTRGGVRCSYGGKMGDLRGESPGSVMRKSCVVPPSSKFPRSRSVCDRETTAKMISVSSALLSPFKSSAKKSNSPPPPSVRTRRATAAASLMEEEREAPKSISKLASPKNSKVEKLEKSWSLTGRLSTLSKEAMQQRETAQKIALQALREATVTETVVRHLKTLASLSKSAKPDCPAACFEKFLEFHKQISETMREISSIEAAVLSASENNKSEDRSTSLILNEIQHNSIDQEKTATKRRTTTSLKQQQNHKQLRSNDENKNPSAPPPPSSGLGNTARLAKETEKEAANWFMEFIDKALEKGMKKCKGTGDADVKKVPQSLILQVVNWVEAEQSADNNTRRQLHPRASQITRKLRIKMKNP >A01p051680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28972982:28976636:-1 gene:A01p051680.1_BraROA transcript:A01p051680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQPGLPSKASTNGSPYIRGGEREREGVLNKAKSIKSHPANAASNGEAGSLKGDSRDGLVYLTTCKIGHLVEVHLKNGSVYSGIFHAADVEKDFGIILKMASLIKDGGLRGHKARSEFVRKPPSKTFIIPADELVQVIAKDLSISSDGMSNAVQSEKSGELLTDSSISKSFHTDQGRELKPWIPDGDVPQGLDNVFDDPWKRGRGWDQFKVNESLFGVKSTFDEEIYTTKLDRGIRTREMEERAQRIAREIEGENTRDLHVAEERGFQLNEKFDIDEESKYSAVLPADAFDDSGFDEEDDELLDTCNDETFGGSSTSTVQKPVSSSGKGYGELRDASQPSRNNTNVDQMCSISDDHAQHLPSEQRSKDFTAPGSSISESQMGERRNKNNPEFSHSNRSAEESVSGHGDSKEGAKLGGGGTSAWKTVAEKERQVSEVSGKPKSESFTGQSASRRSSESRPGPSTSSRPGLSPSSSIGSLSSSEKSTLNPNAKEFKLNPNAKSFKPTAAARPQSPMADASFYYPAAPAPVQQMPGMPLGYGIQPQYPGQQQMIYYPGHQHPQAFYPPNAQPQFPQQQQQMMMGRQPRPQMVYMPPPPYQPDNPYNQGRE >A09p073650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56078937:56079725:-1 gene:A09p073650.1_BraROA transcript:A09p073650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRFAVAAVFFVLYSVSSSNAAIAPPSGGGGGGGDAQAMPCIQKLMPCQPFLHSVIPPPPPSCCLPMKAIVANDATCLCSVFNNVDMLKSLNLTKDNALDLPKACGANPDISLCKASPAGGTTTNSTSPATPKTPPATSTGSGTTGASASSTSTPTSSAPAINFAGLSFASTIVALAATFF >A08g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13433641:13435317:1 gene:A08g507580.1_BraROA transcript:A08g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGGDVTIPMTPSEFKDRLIFGPSPRDSSQYFHSLSQKHSPSSSAAAAADTFPDSSPLDPLLPPQQHHGHPLHRSKTAPAMAIIDDLRHPMHQQTELEPSSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPDKRRSYIIDVKKGRMRIRLKVALALGVVVLCIALGVGIMHFIEKIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLTVARAFLYLAEARVGKRNRERAKRVLCETMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLVDLLDSSSGD >A03g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29638333:29641533:-1 gene:A03g508930.1_BraROA transcript:A03g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLTKEEEAGLAVLENLTSNVKQIQDEVLKEILTCDANTEYLRSFLHGSSDKDLFKKSVPIGTYEDFKPYIERVANGESSEIISGRPITGFALTSGTSGGKQKLIPLNNKYVENARFLSDIRYLVLSKHIEGHNKGKGLHLLFLKPASKTPSGITFLEKFWKEMCSNIRSGQLSDWITNLSCRDSVSKVLGGPNPQLAVTIEDICNQKSWKCIIPQLWPRTKFIECIFTGQMAQHIPLLEFYGNDLPLVSRNYVSSEAFFGVNLNPLCKPQDVSYTFMPNMSYFEFIPVGEGDDTIVDLVNVKLGRHYELIVTNYAGLHRYRVGDILQVTGFYNSAPQFRFIRRQNVVLSVYLEATTEEDLLNAVTNATQLLKSSDIMLRDFTCYPHISTVPGHYVLYWELKGNKNDDIIELIETNVIVECCSVIEESLDALYRKFRSKDGSIGALEIRVVQQGTFDSLMEYFIAQGASLGQYKTPRCIKSSEALEVLENRVMACFFSDKLPHVDTTLNLCWLQIK >A09p068010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53460552:53462023:-1 gene:A09p068010.1_BraROA transcript:A09p068010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHMYKSQLQSYALKQNMELPVYAAERQGPAHAPRFRCKVTVCGQTFQSQEFCPTLKAAEHAAAKGVVVDVAYKNLLQEIAQKENSLLPVYGTSTSGPSHAPTFTSTVEFAGNLYRGEEAKTKKLAEMNAAKVAFMSIKYGHPNQSPSSPSMTSEKQEAANSNVKSSEQVSPSQPSKMVTPDVPSKWIEVYEDELSDVLNAPAINIAASPVATHPTQDATLTAARAATNSTEMMNVAAADSSAIMPTNDVNEPPPRVEESEKKLVMGIGYQSIPSGQHVVCRPWNPGMTVPEDAEMLFRDDRFIAYRVVKQ >A07p008390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5022287:5022748:1 gene:A07p008390.1_BraROA transcript:A07p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRYWLLKTEPSEWSWSDQDANGGISKWDGVKNKQAQKNLKSMASGDLCFFYHSGTKSRCVVGVVEVVREWYADDDDGEGVVDVKAVGEMRRFVDLKEMKGDKGIKDFVLFRQPRLSVVPVEDDVWKKICELGDGFCGDGKEDRESSDES >A02p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28822575:28825444:1 gene:A02p046000.1_BraROA transcript:A02p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKNHRLPGSGHTTPSPPGSPRRSPRYRHSRSKPSARFPAAVQPSRTLAHRVSWIILSALLRRQGVFLFAPLIYISCMLLYMGTVSFDVGPIIRHRSPPGSVYKSPQLYAKLRHDMDADNSSADAISTIWKHSYKGGEWKPYVNKSTGALPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHFHSIWRDPSKFGDIYDEEFFVNTLANDVRVVDTIPEYLMERYDFNMTNVYNFRVKAWSPIQYYRDSILPKLLEEKVIRISPFANRLSFDAPQPVQRLRCLANYEALRFSEPILTLGETLVKRMKEQSANHGGKYVSVHLRFEEDMVAFSCCVFDGGNQEKQDMVAARERGWKGKFTKPGRVIRPGAIRQNGKCPLTPLEVGLMLRGMGFNKSTYIFLASGQIYNANRTMAPLLEMFPNLQTKEMIASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHSKTIRPDKRKLAILFDNPNIGWRSFKRHMLNMRSHSDSKGFELKRPNDSIYTFPCPDCMSRKNRTTTPDSKPPPPAT >A08p044480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24578877:24579353:-1 gene:A08p044480.1_BraROA transcript:A08p044480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CST complex subunit STN1 [Source:Projected from Arabidopsis thaliana (AT1G07130) UniProtKB/Swiss-Prot;Acc:Q9LMK5] MDRSQQNTHAKLMTRDIHRLRPSPTEANCFSLGCISWVSRVETVGTIVSRDMAEKFLKFSIDDGTGCVTCIMWLNQLTSPYFSRFDSSTILLHSRTARRQARDIRIGAVARVRGRVGLYRGDMQITATNVVIERDPNAEILHWLECVRLSRNCYRIQS >A01p022830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11251364:11252734:-1 gene:A01p022830.1_BraROA transcript:A01p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH3 [Source:Projected from Arabidopsis thaliana (AT4G16430) UniProtKB/Swiss-Prot;Acc:O23487] MNVSGESMGQKFWDNQEDRAMVESTIGSEACDFFISSASSLTKLLPPPPTDPNLQQGLRHVVEGSDWDYAIFWLASNVNSSDGCVLIWGDGHCRVVKGNSGDEQDETKRRVLSKLHLSFVGSDLVKQGPLTDLDMFYLASLYFSFRCDSNKYGPAGTYVSGKPLWAADLPSCLSYYRVRSFLARSAGFKTVLSVPVNCGVVELGSLKLIPEDKSVVEMVKSVFGGSDFVKTKEAPKIFGRQLSLAGSRSMSINFSPKVEDDSGFSLEAYEVGGSNQVYGKDEAALYLTDEQRPRKRGRKPANGREEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLADAITYITDMQKKIRVYETEKQVMKRRESNQITPAEVDYQQRQDDAVVRVSCPLENHPVSKVIQVFKENEVTPHDANVAVTEEGVVHTFTLRPQGGCTAEQLKDKLLTSLAQ >SC219g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000075.1:82828:86171:-1 gene:SC219g500020.1_BraROA transcript:SC219g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRRGWVTIHTLMSNKTMSHKPLEVQGTKPVPWLLSDVSGSQEVFLVHHPSELKEEDFAHCVEQWRVAKEVVMRHWCEVSLKLTCKLGPILNPSLRRGVYLHDPRELGGYSRRGSNLLRSRMKDEEAISKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKSDMIMDQHKDGDEEFKDKSREEDDALVIPPGPITRAKARRLKEAVGSLLMISWKQEDGLDGLPREPVPFNDLENMEPSSMKELRDEIRQEIRQATGQ >A03p032300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13660988:13662318:-1 gene:A03p032300.1_BraROA transcript:A03p032300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMFTVSTSPLLHPSIRNNAFMPRRCIGHIRLHTTPSIRGCTLSIRSSSSPDAGFTCIDGKKEGKSISDEIKIEVSRMDASIHVVPGLAVILVGDREDSASYVRNKKKACESAGIKSVDVCLPEDSSEEEVLKYVLSFNNDPTIHGILVQLPLPSHLNEHNILKAINPKKDVDGFHPQNMGLLAMRGREPDFVPCTPKGCIELLHRYNVEIEGKRAVVIGRSNIVGMPVAHLLQNEDATVTTIHSKTKNPEEITREADILIAAAGQPNMVRGSWIKPGAVVIDVGINHIDDLNAPRGRRVVGDVCYEEACKVASAITPVPGGVGPMTIAMLLSNTLTSAKRIHEFE >A02p045840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28700205:28701317:1 gene:A02p045840.1_BraROA transcript:A02p045840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTTKVMKIDGETFKLKTPVTAEEVLKDFPGHVLLECESVKHYGGRAKPLEMKQMLEAKRLYFVVEPVKECPPRRVRSGIHMSAKERLENLMLARRSSSDLSILKPAGEWSKTEEKEGGAVRVKLRIPKAELERLVKEGATEAEATQKIAALYMAKHSRSEATQNTLQRREDGGDEPTAAIDTATPAATRGVKSRLKRVSFMAERGGNEITVA >A09g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28193361:28194685:1 gene:A09g509640.1_BraROA transcript:A09g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVQEFRITHVLQPLILIDGQGEYSDQPDPCDGSEPRFRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSV >A03p006900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2869246:2872228:-1 gene:A03p006900.1_BraROA transcript:A03p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 85 [Source:Projected from Arabidopsis thaliana (AT5G14490) TAIR;Acc:AT5G14490] MKAQLSCFRQEMTWIVDGPWIVKNVKNVSVSSDLQIEDCGAYIDCPNCGYRNDNRNVLTPWPGLPKGVKFELTDEEVIEHLEAKCGIDGSKPNPFIQDFICSVDDINYTHPENLPGVNKDGTSAFFFNETVLAYPKGERKRRLITPSVLTDASVRWRKTGKTKPVMVNGVHKGCKKFMVLYKSAKKGLKPEKSKWVMHQYHLGREEAETGEFVVSKIMYQQQQGLENTPEASSSLVEKNLNYWDFNMSYGNCMVSDLEDADLGSLPDICSFASEDSLMNCLEWI >A10p017320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2492976:2494055:-1 gene:A10p017320.1_BraROA transcript:A10p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSRLCFSKAARVPFLILSNSFSSSLLQTPPCAIISADSFGPDMGKLIISIANETDDTYLDKKVPLELMKKMGTVASSNGWVATLKDDGILRLQDDLNPVASDTNPKRIPLPPLETLPHCQTKIITNVSLSSSSPEDEDCVVAVKFLGPQISFCRPAQSNSGWTNVRIENPCFYSSRVMFSKKDEMFLMPGSGGHLIGSWDLRKRKPKTKIKRLRLRDFPDLSKTKREILHSCCKSEHLVESRTTDETFLLKQYKKATSKIVKGVEQMKTEALMVFKLDQQGNAVYTNCIWGLCIFLSKAEPFCTHAGSFPGLIFNVVHVLDVDETGSVGLYSPSIHTWNSTSTVPYFIPPQNILD >A03p041980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17569405:17570173:-1 gene:A03p041980.1_BraROA transcript:A03p041980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHAHPLLLPLLVSLFFLPAVLGGNNFRTCNGSYENYRVNVETVKISPYPVTRSGNAYITITGYTARIPDGSVVELTIAKASTGDPKTSSIKTYNLCDKVRCPIRPGRFSFTLSKIFSNEELKGRIYVITVRIKDAKDTSMCVVFLCWVTDDGSTSLIRQSATMMELE >A09p054520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46943332:46944476:-1 gene:A09p054520.1_BraROA transcript:A09p054520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRGELCEEEETLFLSHSPSSPMQNSWSHYHNLFPGDSSSSSSRLSVRSPSDYSLSSYFSNGQCNGSSQFTPTTKYDHGLSLCESLLHRLNIREEEEEQEFNNYSVPSFSPRRSCVGSSSDHHHQGSSGNKIHPRLRDLQGYVYLMAKDQHGCRSLQRVLEDGSSIDAMVIFNEVIPHVVELMINPFGNYLMQKLLDVCNEEQRTMIILIVTQEPGLLVRIALNPHGTRVVQRLVESIKTKKQICIVTSALRPGFHNLAINVNGNHVIQRCLQCLTAQDNKFIFEDATRFCIDIATFREMKFEQKALLGTTMEEDA >A02p050890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31352337:31356328:1 gene:A02p050890.1_BraROA transcript:A02p050890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSVAVTMEKPDNFSLLEINGSDQSSLPDNKRKSISPKQFSWFILLKAHRVVSCLSWLFSSVRKRITFSSKHLNEEEDPKSRGKQMYRFIKACLVISIVALSIEILPLWIICYVPIFISLLNILPSPKSFPFLIPYLLFENTMSITKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLAFSEKEEKLHRRNSESGLELLSKLKEKEMNLAEQETSKKSIGGLMRSNNKMKKRNMVFKKELGLAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIS >A10p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:526107:528581:1 gene:A10p001010.1_BraROA transcript:A10p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYTFNPPEDELINYYLNNKITENDDPEEKQINEVNICHHEPADLPGLAKIESGHTWYFISPVEKFGKLNRRKRASKTGHWKITGNSCTIKDTDGNPIGLKKFLVFQENKNRRSSTLLPTTAQQHKFTWIIHEFHSFLHHPNKDTFVLCKLKKKTRSRSGVAVDASPAVTDLSLTIISDPILNDSLMLTHHKDPVEDYGGDYWNDLEELTPELDSSRCLDHSYQFDSNHWGSFAQTTHVRTKFYLITDNSSITNQRSHELAGLLRGENGHVYGQNTVENSNVSDTNVEKKIAFLFYWYRKMK >A07p051240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27227129:27228275:1 gene:A07p051240.1_BraROA transcript:A07p051240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLLLSTPQLFSNRELMMHNNNDNATMEPTTNGGFCTNGYGVVSPFSATDDSPPPPLLHHMYGSSCTADSFANYYADGANLQTRKRSRDSRSDYYPHHQSTVSPSYVAPYSFLGQDIDLSSHINQQQHDIDRFVSLHMERVKFEIQEKRKRQARTIVEAIEHGLAKRLRVKDEERERIGKINHALEERVKSLSIENQIWRDLAQSNEATANNLRANLEEVLAQVKDITGAGLANCDDDAQSCCGSSSGEETVRRTVVAKGRMCRSCGEEESCVLVLPCRHLCLCGVCGSSVHTCPICRSPKNASVHVNMSP >A08p036870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21575473:21577501:-1 gene:A08p036870.1_BraROA transcript:A08p036870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSSSSIHEGKPIRCKAALCKKAGEALVIEEIQVDPPQAYEVRIKILCTSLCHTDVTFWKLDWGPLARFPRILGHEAVGVVESIGDHVNGFKQGDVVLPVFHPHCEECRDCKSPKSNWCSRYCDDFFSNTRRYGMDSRFKDSSGEDIYHFLFVSSFTEYTVVDIAHLVKISPEIPVEKAALLSCCVSSGVGAAWKVADVEEGSTVAIFGLGGVGLAVAEGARLRGAARIIGVDLNPDKFEIGKRFGITDFVNPALSGEKKISQVIKEMTEGGVDYSFECIGLTSLMEEAFNSTRTGSGKTVILGMEKQMLPISLGSHDLLRGRTICGTLFGGLKPKLDIPILVDSYLKRELNLNGFITHVLSFEEINKAFDLLVKGETIRCVLWMDK >A04p024740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15020474:15021177:-1 gene:A04p024740.1_BraROA transcript:A04p024740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICMCCESRIVPKSIVNPWISPRRTKLGFVAISPSSTTIRRSPATVRASAVDSPESSSNFAKRMEQAWLISQQPRPVGCSSCNSKGHVECKWCAGTGFFILGDNMLCQVPSRNTSCVICSGQGSACCSDCKGTGFRAKWLEKPPVPL >A02p015420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6833395:6835288:1 gene:A02p015420.1_BraROA transcript:A02p015420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMSTVITSSILLFLLLLLAVDTHAHNVTRLLANHPSFSSFNHLLTKTHLADEINRRTTITICAVNNAAMSALTSKGYTISTLKNILSLHVLLDYFGAKKLHQIGGGSALAATLFQATRAAPGTTGFVNITMLRGGKVGFRPDGGDMSSFFVKSIEEVPYNISVIQISRILPSDAASAPTTAPAEMNISGIMSAHGCKVFAETLLSNPGASKTYQESVEGGMTVFCPGDDAMKAFLPKYKNLTAPKKEAFLNFLAVPTYYSMAMLKSNNGPMNTLATDGANKFELTVQNDGEKVTLTTRINSVQIVNTLIDEQPLAIYATDKVLLPKELFKALAVEAPAPAPAPEDGDVADSPKPAKGKAKGKKKKKKKKKKKKKKAAPSPDDSFGESDSPAEGPDGDADDENADEASAVRIVGGAQAGFVVGLFCLFASSWLI >A05g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14727389:14729039:1 gene:A05g505320.1_BraROA transcript:A05g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRISVNYHTSSNQNTRITTIKVRNRKEREQTWARELSARFLVLATLRRLNLIESQLEITKTENCLSCSNFLLCSSPRTPYILAPRSVYAFTLLPLSRHSIKWRFSIFPDLHNYLQNFRIYPRKLDIYPSSWAKRKPCCGLRAFGGKHGLSLLRSSGDSIRRFDENAWTGVVSMFGKVQSLHSDRTLARARSLRSDRVRRALGRYRPSGTIARSLCSDRAGRSLGRYVATELWLELGRYVATDRARSLRSDRAGRSLGRYVATELWLELGRYVATEQDDRSRPSGTIARSLRSDRAWLGFGCYVATRRRVYVATELGLFGLIPKGYFFVKTSYRLFFTKITSLLLLSLSKIRSPRIFGSFKKNFLSFTPPFSSILSREV >A08p001970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1152188:1153522:1 gene:A08p001970.1_BraROA transcript:A08p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQMETVKPRAVAPKGKFRRTFAKVLNIHKLTGVAPDVKKIKLDSKNSNISESFYKLEEEYERRLSLEALLAKLFATVSTIKAGYAQLQHSQSPYDAIGIQKADNLVVSELKTLSELKQCFLKKQMDPNPERTLVLAEIQELRSLLKTYEVMVRKLESQCKLKDSEILFLREKLEETTKQNKLTEKRLNQSGQLCNPLDNLHLSALNPTHFAAYLNHTVKSIRGFVKLMVQQMKLAGWDLSMAANSIHPGVFYYKQDHKCFAFEHFVSNVMFEAFHLPHFSTDSRSFKKQSKTERETFFERFTELRSMKARDYFNARPRSRFARFCRAKFLQVIHPKMEEAFFGHTHLRNQVSAGVFPETSLCSGFLEMAKRVWLLHCLALSFEHEAEIFRVQKGCRFSEVYMKSVAEEALEETEQPRVAFTVVPGFRVGNSLVQCEVYLSGS >A03g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2153024:2153673:-1 gene:A03g500680.1_BraROA transcript:A03g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSETPHPEFSRRMFAFGEEQVGIKVTEDQKSSCISKIINALEEEEEIVVNRASTFEKLLAIAKETSILGLFWAFPYIQAIESFEEARNLVLFAGKPVRFSIREFTLVTGLNFRRFPPHSKKRSTKNISCKAQVISIISAGVEQSNVNPELGWSDDEEDVQVDNIAISQAEPPKLMLSGCVMKPKRKT >A02p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19404682:19407415:-1 gene:A02p035550.1_BraROA transcript:A02p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTTAKENVAVVDWKQDIANSDDPESSCYRSKEDRKPSNAVRGHFLGSKNKSKPGYQTRYFIIKSLNYDNIQLSVERGIWATQVMNEPILEGAFHNSGRVVLIFSVNMSGFFQGYAEMLSPVGWRRDHIWSQGGGKNNPWGRSFKVKWLRLSELPFQKTLHLKNPLNDYKPVKISRDCQELPGDIGEALCELLDAHSCDDGLLNSSSRDDYSTKRSRVEPPSSSGDEEYNNNMWGHYPPAVYSNQDDLSRFHLAQQGGYGVEQEKYLQFNSWGLPLESPLASTLTDDDFLNMSYEEYVEIHSRCMRQLGLPVIPQSHATQEPSKAMVLRKTGHHQEREDGIHPSVQARTSTTNCIKNAQEFVHFVSMVMEKLSSCCIHETAKLAISSRVTSHLLETSQNTFEEKALAPLEFRRRRPWRNYGS >A09p018320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9580208:9580657:1 gene:A09p018320.1_BraROA transcript:A09p018320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKSFLAIFLILNILFCTTISAYGNCGCPSPKPKPHPSHKPKPNPKPRPTPTPTPTPSPVTAKCPRDALKLGVCANVLSGLLNITLGKPPVKPCCTLIKGLADLEAAACLCTALKANILGINLNIPISLSLLLNVCSKKVPPGFQC >A01p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6537358:6538928:1 gene:A01p013360.1_BraROA transcript:A01p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase regulatory protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G21210) UniProtKB/Swiss-Prot;Acc:O49562] MAFLSAVKLQGRPPSISSSLNPNPKPVGSDSVSLDVSIPDSERKPRKFSSKLSRWNRARTLRSGVKVDRTVNNESNSTTGPIVNMNFPDISTVESDVSSINRDHETDFTAAKSIYIVSDGTGWTAEHAVNAALGQFDYCLVDRGCPVNTHLFSGIEDRETLMEIIKQAAIEGAMVMYTLADPSMAEAAMRACKLWSISSLDILGPITDAISSHLGANPSGLSRGVSNSSLNEDYFKRIEAIEFTIKHDDGALPENLEKADIVLVGVSRTGKTPLSTYLAQKGYKVSNVPIVNGVDLPRTLFEVDSRKVFGLMINPVVLQGIREARAKSLGLGSGFEIKYSELRSVREELELAKRIFAENPSWPVIEVTERAIEETAAVVLRLYDERQSNRAMPRISKCY >A07g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14162812:14163492:-1 gene:A07g506040.1_BraROA transcript:A07g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMVEIAPPAFAWCSKTLEIHKGGCDTLSEGVYVKYKSNLGFDDQPAAQAITSQTMGCNLQ >A07p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6644089:6647683:-1 gene:A07p010580.1_BraROA transcript:A07p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKNTKGEIDKVRRTLIVASSFGDREKRRFEVLQKGISPSLVSFWPVILRRCSSSSSLLNRSLRDLVSLRDSFSSKLPKTTEARTSLITELVPHCSALTLSAIVSRKPIDSPQGDVFPCISTSENFKNVITHAEFAQPSEMKDERVDLIIDMQLNNSEDDGSYEEAAVETSDTEIEDGIEITRVSPAKKRKNRFQDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQRIQEHLQKTMYNLTQESNVDGFDPSQDKQSEEPSAFTTPMTSFGLEIFKTPFLTDSYELTVSSRGGNEVLEIFKEKYTIQNS >A01p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11174571:11176134:1 gene:A01p022700.1_BraROA transcript:A01p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVEDPLPHSNSTRFPLTTDFYGSSSPSAAKIHRQVGRSMRTVRSNFYQSGDQSCSFVGSVGDKSEYASEYLSDSVIDMRLGELALKNSNSVHSIASSSKEEAFLDISQAFSDFSACSSDISGELHRLACLPSPGTDRTENVGDEEAQDPEPCLGFLERENFSTEIIECISPEDLQPTVKLCIDGLRSSSVAIKRSAAAKLRLLAKNRADNRVLIGESGAIQALIPLLRCNDPWTQEHAVTALLNLSLHDQNKAVIAAGGAIKSLVWVLKTGTETSKQNAACALLSLALVEENKNSIGACGAIPPLVSLLLNGSCRGKKDALTTLYKLCTLQQNKERAVTAGAVKPLVDLVAEEGTGMAEKAMVVLSSLAAIEEGREAIVEEGGIAALVEAIEDGSVKGKEFAVLTLLQLCADNVRNRGLLVREGAIPPLVGLSQSGAVSVRAKRKAERLLGYLREPRKEGSSSSSP >A04p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1641996:1648357:-1 gene:A04p003360.1_BraROA transcript:A04p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENILVDSHVWVEDPETAWTHGVVLNIKGDEAEVKTNDGKEVIAKLSKLYPKDDEAPSEGVEDMTRLSYLHEPAVLDNLATRYGLNEIYTYTGNILIAVNPFQGLPHLYDAEVMEKYKEASFKELSPHVFAIGGIAYREMINEDRNKCILVSGESGSGKTETTKMLMRYLAYFGGHTAGEGRTVENQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDDVGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPPEDVERFKLGDPKSFRYLNQSSCYELDGVNDAEEYIATRRAMDVVGISETEQDAIFRVVAAILHLGNIEFCKGEDADSSSLKDEQSMFHLQMTSELLMCDPHSLEDALCKRMMVTPEEVIKRSLDPLGAAVSRDGLAKTIYSRLFDWLVNKINISIGQDSHSRRLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQHVFKMEQGEYEKEEIDWSYVEFVDNKDVVDLIEKKPGGIIALLDEACMLPKSTPETFSEKLYQTFKNHKRFIKPKLTRSDFTLVHYAGEVQYQSDQFLDKNKDYVVAEHQDLLNASKCSFVSGLFPPLPKASSKSKFSSIGARFKLQLQQLMETLNLTEPHYIRCVKPNNLLQPTVFDNANVLHQLRSGGVLEAIRVKCAGYPTNRTFIEFLKRFIILAPEILKGEYEAGVACKWILDKKGLTGFQIGKSKVFLRAGQMAELDAHRTKVLGEAAKMIQGQVRTRLTRERYVILRRASVNIQANWRANLARKISRYMRREEAAIKIQKNLRRQIAIKDYGNTKSSAVTLQSGLRTMVARHEFHYKLKSKAATVIQAYWRGYSAVTDYKKLKKASLVYQSKLRGRIARKQLGQSNQAEKKKETEHEREVELSNGAEEAVDKSFVKHSDESDDEEVGHERETTHSIQADDGIEKSFVKHSVDSDDEEIGRERDTTHSIQADDGIEKSVVMQEHSSDEEKGQTEHTIQENDGIENPFVLDSENLYNNFSDVSHITNPFRDTEIESLTAEVEMLKALLQVEKQRADISERKCDEARELGERRRKRLEETERRVYQLQDSLNRLLYSMSDQFSQLKSILRSPSMSSTVAASAPVVRDDLADSSENSEASSTDSDFTFPAPSSSSPDNPNQLQVIVQDLSTTEAKGTEIKKEGGFEDYF >A05p048520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28399909:28401115:1 gene:A05p048520.1_BraROA transcript:A05p048520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVDMDSSSDIEGDSEGRNEGGGSTSDYRLLGRQVTVHQFMGGGKGESFLSADLLLWRRRNHSLGVIVLSTAAWLTFELSGLPILSVSSDVLLIGIIISFVHAQVSSFRNRQTDSLPELVLSEEMVNSTAASFRVKLNHLLVMAHDVTVGNDFRLFFKVVIFLWLLSAIGSYISFCTLVYIGTILSVTIPALYSKYQRKVDKCCGLIHRQLSHQYKLVDENVISRLSWSLSKDKDS >A01p014010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6836957:6838852:-1 gene:A01p014010.1_BraROA transcript:A01p014010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHLKNLFGRFQDQFGSGPGLGPGSGVCLMKVEGISPNMIHSIFRASASLYRSEPWKRLRPGHLFGVRVGKDSDWSGKRQPFQCVRFIGGDGGDIAIYMYRSMSYALKMSDDDSREMGGVPNVEVLRVTYEVESLILPCNKRMVKSLSLEVSGTDRFPVIDVARCMNSGELQFRHPTLEELRLVFAVMKALSLVHPLLVQGEKQVKGLPRMVKFSPFIETVDVQWPPEMFKGHDFVAVTVSHPPGQSYEQEWNKTAVMIRDDDELELASGMTKGTEVGLRKCTMCDKAVHRDESVCCSHCRATIYCGSECEKRHWREMHRSVCNLYEAMMGREEEIKMNIFTFSCYAENPCEWLESLGVHKKGMWRRQCSCYSQRPFGLLQDSSSDAESWGGLQEGEYPQDLPIQNLNNERSQGMMIFLSDWSYYYDVRCLPPSSPVSDILSYPLTLYHILTTLSTHSKNLLLKGKEVTVHYLGPERELDWIKAFAEINHLLNGLGTVQIIMVGPEVPSELSGTIATNNSRVKVSFVKGLYQEEVTYLTPPDIVVALNCDLDRYSSWSGALEAVNNLRIPGFFTDKTEDACGNAKAVLRNAGLNISHPVAPNPFRSPVRTCAESSNLPCYSNGFILGVNT >A09p072350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55514307:55515670:-1 gene:A09p072350.1_BraROA transcript:A09p072350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G20860) UniProtKB/Swiss-Prot;Acc:Q9ZWT1] MQSRSALLSRFLRPASSRFFSSSSPITPVSSATTQSPRTLESLRARLADESPSLTDFIHGDTYSVEVGTKKKPLPKPKWMKESIPGGERYVQIKKKLRDLNLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPNNVAEAIASWGVDYVVITSVDRDDLPDQGSGHFAQTVQRLKALKPEMLIEALVPDFRGDGGCVEKVAKSGLDVFAHNIETVEELQSFVRDHRANFKQSMDVLRMAKQHAPAGTLTKTSVMLGCGETPDQVVKTMEKVRAAGVDVMTFGQYMRPSKRHMAVAEYVTPEAFERYRLLGMEMGFRYVASGPMVRSSYKAGEYYIKSMIEADRVSSSP >A09g511570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34656483:34657955:-1 gene:A09g511570.1_BraROA transcript:A09g511570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELVSYRRFGRVRSLCNDRTERTLGRYVATKQDGRLRPSGTDARSLRSDRAVCMLGRRVSIELGMSVVRLPYSSLSAAELDTCLFPSDNRYLVVRLRFEQDFTARLFVKISLQRLLFRKNVEADFYGLSGIDSVMTDFDPNTIIRRIAADGILYGCRGKTTSCHLILEYWQRDKFWDLISGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRFSAYTTCMVGIEHLSRDRKCWTKISDFFYSAIILVSDVRDNKLNGKKYRFESSRRICFEKMLVCMTVWSSKKMFLSRKEISSKNESSGVCDVSRC >A03p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14766918:14769142:-1 gene:A03p035190.1_BraROA transcript:A03p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 28 [Source:Projected from Arabidopsis thaliana (AT3G03670) UniProtKB/Swiss-Prot;Acc:Q9SS67] MKIAKFSVLLLILFIFPVAFSQLRVGFYSQSCPNAEIIVQNLVRERFGLDPSITAALTRMHFHDCFVQGCDASLLIDPTTTQPSPEKNAGPNGSVRGYELIDEIKTALEAQCPSKVSCSDIVTLATRDSVFFGGGPSYVVPTGRRDGFVSNSEDAEGILPGPGLPVPDMLTFFGNKGMDVFDAVALLGAHTVGIGSCGNFVDRLTNFQGTGQPDPTMDPGLAGRLRNTCSAGGSQFAALDQSTPFAPFSFDNLFYTQIRERKGVLLLDHLLATHPSTSGVVFQYAANNELFKRQFAIAMVKMGSVDVLTGFAGEIRRNCRAFN >A06p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12504059:12505355:1 gene:A06p021960.1_BraROA transcript:A06p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDEEFPLSLYLSLLSFSSQTQQTNERQTNRKKKPHVPSRATNLIRVFENLYSFLCLNLRFWNQLQEMISDSITNASASAAPSARDMGKKKRTNRAAKLKQSKLGLRREQWLSQVAMINKGDKEETVPNRKTVVQRDLPVEKLDGQRGEGEAHHHESFIESLSNSPNSTLSGINSIPNFCSGSSSGSGGSCSGNITEEEDAADDDGCLDDWEAFADALAADDEKHEKEKENPPEEHVSVKQSASLRDSVSGSNVAVRDVKPSRKQKSNQAWRADDKLRPQGLPNLGKQRSFPVMNLHFSSAAVPSSCPICYEDLDLTDSNFLPCPCGFRLCLFCHKTICDGDGRCPGCRKPYEKNTIKVETSVQNGGLTIRLARSSSMFCRS >A04p000770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:380252:383057:-1 gene:A04p000770.1_BraROA transcript:A04p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTGRKEKLSASKGSEIEVSSNESNGNVWYRAILEENLAVSKRKKLSVRHLNPLSTQEEDHSPPLITTAVHRLIRPLPPPDPSSPEEVDFEEGDMVDAAHRGGWWSGWVVKLLPGHRFLVYLKFEPDVIQVGRKELRTHMVWNDDEWFRCEKRHLMKSEYSAGENVEVRTQVEDFGDIWVSAIAIMENEDGTLLLVKHKSLSGGEEEDEWTKRNVPYSEVRPPPPPFDLRPFGLMERVDALLGSGWCPSVVSMVLTGDRYTLLLGTNKESKDFEQSQLRPSMEWKGGAWLTKEKVSDGKESRQHAAEVATRIRVKVKLRSTRSSRCLPPPDVAEAGKESVTLLPQTPALVASKRGNAVVDGGNTLVSKQPEIAAETKEHQSSVVLGVAAVQLTKTQGKTTPRKKQTVMKNQKGSSADEAKTPEESSNRESVNKRKRGRQPRKFVSTEPNQKQKTGVAANGTADMTDDDDQPLASWIHGGNSSSGQSAPRAAPPDPSVVEKHVVETPKAKDSTMVLPFAKKSPCWKVLESMEIFKAVPQRPHFSPLLQCEEESREGDAIGAMVNFTGLLEKLSNIQVDSSVSAINRIKESFLKLEKHGFDITAPLSRIEKLLSIKENQTWALEELQAAEREITENGNKRRKCEEDIELVSKKMVELQKQEALLKEAKVTMDKDIARMHTYAAVLDQKVQNVEEEFQATVSLPW >A01g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21503666:21506162:1 gene:A01g507560.1_BraROA transcript:A01g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QITASANGKRAKIDRSSDKSAPGEDEVKSSINANASDVEARHKSEALATIQPEHPENSIERLTRTKYETTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPAKVPGQRSTERIRGTIHFLATIGKTGRNLLGVRGNRDGIPEPLNPLINRRDKRLSMGMVTHPTLHQAHFLFKHIVIGSRPPKTADRTAALAKVTHRGKGILEVPILNLELRCTSLHHLDDFSFAFCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVETELMPRLGRYVATERSSRSRPSDRSARSLRSDRSARSLRSDRGRTKARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQSRLLRSDRAIVPLGRYVATELEPSLVATKRPSDRPARSLRSDRARSSRSVAT >A05g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22800858:22809211:-1 gene:A05g507860.1_BraROA transcript:A05g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVAERGQPLADGARSLASRACVVLWPSAGHTHGPDSPYRRLGRTVGTSEWVRVAKGHDLPRGTCVQRVLVPKGCEFQTVPLVQGLGRTKWTVRGCIVERTDGFGSDQVRRSVSLWAGLITGQSHLDLNQTVRRDYGQSDYGWMVLAAKAKVMTKSMASTLCRRPQVLSLGVGPNLINECIGWYEQIISVVWVKSQGRSGQMMTHQFQVMQKDFGLCLSRDRPNTYPYPFKDFSKLLSKSDSSQWRTDELISSVDVAKEVWPGSGPSDVRHRPRPRRPGSGLTTLVLGLSTLTLPVDCLGDFGPRGLYVQYTRDVCGCLLAHTGRSWLSVCVRLCPLEHTGCPWLSISTHISTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVCGCPPANTGRPWLTHISTLVLGLSTLALPVDCLGDFGPRGLSAQYTQDVRGCPPAHTERLWLCVAVRQHTHDVCGCPCVSMCVRVCPSAHSGHPWLSISTHISTLVLGLSTLPLPSDCLGDFGPRGLSVQYTQGVRGCPPAHTGRPWLSVDVRGCPLAHTGRLWLSVAVCQHTQDVRGCPSAHTRPLWLSVCDRQHTQDVRGCPSVHISERWSLESARWPFPWTVRVILAHVGCLFSTHRTSLGVRQHTQDVRVCPSAHTERSWLSVCVRVCSSAHTGLLWLSISTHISMLVLGLSTLNLPMDISADFDPRGVSVQYTQDVRGCLPAHTGCLWLSVCLRQHTQEVCGRPCVSVCLRQHTQDVCGSLSVHISARWSLDSARWPFPWTVWVIFAHVGCLFSTHRTSVAVRQHTQDVCGCPCVSMCVRVCPSAHTGHPWLSISTHISTLVLGLSTLPLPVDCSGDFGPRGCLSAHTGRPCVSISTHRTSVVVRVSLCVSVSTHRKSVAVHHYTYQHVGPWTQHADPSRGLFGTFVAVRVCPSVSVSTHRMSVAVHQYTYQTLVLDSARWPFPWTVRHTQESVAVHQYTYQNAGPWSQHAGPSRGLFGTSVSVRQHTKNVRGCLVCVRVCPSAHTGLPWLSISTHISLLALPVDCSGDFGPRGLFVQYTQDVPGCPSATHRTSVSVRQHTKNVRGCPVRVCPSAHTGLPWLSISTHISMLVLGLSTLNLPMDISADFGPRGVSVQYTQDVRGCLPPHTGCLWLSVCLRQHTQDVRGRPCVSVCVRQHTQNVCGCLSVHISARWSLDSARWPFPWTVWVIFAHVGCLFSTHRTSVAVRQHTQDVCGCPCVSMCVRVCPSAHTGHPWLSISTHISTLVLGLSTLPLPVDCSGDFGPRGCLSAHTGPHTGSLWLSITTHISTLVLGLSTLTLPVDCLGDFGPRGLYVQYTQDVCGCPLAHTGRSWLSVCVRLCPLAHTGCPWLSISTHISTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVCGCPPAHTGRPWLTLALPVDCSGDFGPRGLSVQYTQDVRGCPPEHTKRLWLCVAVRQHTHDVCGCSCVSMCVRVCPSAHTGRPWLSIIHTGRPWVPASTHRTSMAVRGCPLAHTGRPWLSVAVCQHTQDVCGCPSAHTRRLWLSVCVRVCPSAHTGRPWLSISTHIRTLVLGLSTLALLMDCSGDFGPRGLFVQYIQDVPGCPSAHTGRPCLSVSTHRTFVAVRVCPSAHTGVSWLSISTHISMLVIGLSTLNLPMDISADFGPCGVSVQYTQDVRGCLPAHTGSHTKRLWLSISTHISTLALPVDCLGDFGPCGLSVQYTQDVCGCPSAHTGRLWLSMCVYVCPCLSVSTHRTSVALHQYTYQHAGPRTQHAAPSRGLFGTHRKSVAVHHYTYQHVGPWTQHADPSRGLFGTFVAVRVCPSVSVSTHRMSVAVHQYTYQHVGPWTQHAGPSRDCSGDFGPRGLSVQYTQDVCGVRQHTQDVCADISPSVHISARWSLDSARWPFPWTVRVILAHVGCLFTTGRPCVSVSTHRTSVCVCQHTQDVCGCPSAHTERPWLSVSTHRTSVAVHQYIYQHAGPSLG >A03p024990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10507991:10509658:1 gene:A03p024990.1_BraROA transcript:A03p024990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 35 kDa protein [Source:Projected from Arabidopsis thaliana (AT2G43370) UniProtKB/Swiss-Prot;Acc:Q8VY74] MSGGGNNVVNKVFYATSYHPIQAGSIDGTDVAPHDNGVRRALLCYNAGLSHRFVFVLGISKDDPFGDSKAAGDPYCTLFVGRISHLTTEDTLREAMSKHGKVKSLRLVRHIVTGASRGYAFVEYETEKEMRRAYEDAHHSFIDGREIIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLRPIPHEDLKKLGIQLPPEGRYMSRTQIPSPPRRKGSVSDREEGYYREEERGPFKREGSYHGHRESERAHRRHNKDKEERSRIESRSDRKERSRGREDRYGDKEDVSGSKRSNHGEERSPHKRHKHRRSHHHRRSSSRDHHSSD >A02g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15652180:15653864:1 gene:A02g504920.1_BraROA transcript:A02g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVVVAHSAFDALRLGRSAQFIVGRLLRFWDSKNIKKQGEFMGITLLLLDEKPLIQNLTLRLQNSVIHGFIPAGRSPYYRPLLKAGSVVRVSRFEVARCTNMYKITDHPFVIRFIPQTTIDEVVANAPVINVEKFMIRKFDPLQALANTNLELPDVVGQIQSVQGSDLKDAGVMSRVTVRLMIEPMVVVYLSLWDDAASKFRGLISSGDRTQSVMVVTTVNPKIFGGNLYLNSTPATKFYFDRALEAIVEFTASLNAPLGEAFPCIDNKDGIRKKEVVSIGELNKFITSSDEQTFKTTEDEYVQVEGGEASASASKNVKGEANEPSPSADGVAEGTRKRLRE >A05p006290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2492830:2493528:1 gene:A05p006290.1_BraROA transcript:A05p006290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDYNDSTNSADSVNNGVNPPVFYRNPSFSNVILNDNWSDLPLSVDDSQDMAIYNTLRDAVSSGWTPTVPPVSSPAEVTVREEKTETVVVSEASGSNAPPRQKGMQYRGVRRRPWGKFAAEIRDPKKNGARVWLGTYETPEDAAVAYDRAAFQLKGSKAKLNFPHLIGTCKYEPVRIRPRRRSPEPSVSDYSSPEQKSVGHVEDGESSLVVPNMDFPVDQSAYTYFDYQQ >A04p015750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9744873:9745175:1 gene:A04p015750.1_BraROA transcript:A04p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDU7 [Source:Projected from Arabidopsis thaliana (AT5G38770) UniProtKB/TrEMBL;Acc:A0A178UB13] MSMISDSMVPVHPSLEKLNSPVLSKVCAWGVMLGLFVVSIIAMAYACYYTRNASNPSTGGQDKPIKKEVLKPLDMEPKIVVIMAGNENPTFFAKPSKINA >A09g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23528620:23529179:-1 gene:A09g508370.1_BraROA transcript:A09g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREVWKQVLPSFSSLGQAVLATVEGGVRLSCGCGSASCLGLGVLPLFIRVNPGVTGCVNKKFPGSFYRLSRGVMVRMRHGESTLKVQWRDLVGIEGCLQRRLRVLTSLDSVYMFGDVRVRLVYLTAPLSLC >A04g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2324827:2331147:-1 gene:A04g500810.1_BraROA transcript:A04g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAYICAKLEILIIITCRLRLVFPHDGPLTKKSLYISLEQLSSGTSHHRGPPPAAKSSIDALPTVKIIQKHLKSSDSHCPVCKEEFELKSEAKQMPCKHVYHSDCIVPWLVQHNTCPVCRKELPSRGSSLSAQSEQNRSTNRRRNLFSSVWPFRSSSSSSTQNRRETNNTANTEEGQYTRYQHHHQHQQQQANMGYSGWPFD >A05p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2518979:2524352:-1 gene:A05p006340.1_BraROA transcript:A05p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEQGIKLTSFTDNEPPKMILDKTNITGSGYVTKWSEGSRGPWDAPYQIATLGEVEESQKQKAIEDPTMENVTGTDNVGKWSEGFRDPSALNLKKPEKAKSKSIIKNPNEGFRVNDALRTFQYRCSKAISANTKPASGSQPEIPGLWSSSFSKEYCCIYRVPNWLRRVNPEAYTPQMLLLGPLQHSKKAEALELSKTDLRYMNYMNMERHKKKYLMEIAHRYGTETIIEFSRIIERDEHIIRASYAESTEWIKSAEFVEMIVRDAVFLLGFFLQTGTQKYQRNEDILFDVPCHITRILEDLILLENQLPYALLENLFEPFLFQFRFEETLRDIILRVFRFEGKLKKDVKFRHFTDLFRRVRVATLGLPEEQAARAEQSKIIKSLYNADKLDSAGVEFANVGEENDLSLVIVFKGGVLTMPCFTVEENTERVMRNMMALEQCHYPLSAYVCHYITFLDFLIYTDADVDLLVKKGSVAEMVNKLCLGLVDFGSYYEPIAEKLKKHYNSSLHRSVATLRRVYFKDIWTGTATVAAVVLLILALVQTVASVLQVTQSDPKSPPPQAPPRGNKFLPKRVPCRLRNVRPDAYTPQMLLIGPLSKKPQIMELSKNDSRHLEYEKMEQHKKKYLENFALMYGNQTVEEFIRIIVRDEQITGRRYIDKTGYFLFDESCHRDTIFEDLLLLENQLPFSLLENLFGPFFIKFGDNLTFRDFILGNFGFINKIKPEVNFIHITDMFRCVRVEKLARGHTERLWRNIMALEQCHYTYAAYVCHYIAFLDFLIDTEQDVELLVNKGVIKNLLGHSESVVEMVNKMCLGLADNGFYYYDIAERLNKYYDNRLNRFLATLRRVYFKDLWTGTATIAAVIILVLTLIGTVTSVLQVTQDDSDPTKSLLPPPPSRDL >A04g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5653092:5653746:-1 gene:A04g502360.1_BraROA transcript:A04g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRHVVKLAHGIRNGAASVDGVDAKLWQVVPETIQEDVIFKMSAPPKSDAPLITSNDLAEADGFVFGFPTTFGMMESKACNGQEYFRLSSIHIFWPINY >A07g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23416502:23416955:-1 gene:A07g508520.1_BraROA transcript:A07g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYNNQSAGANSPPPMSTGPAPPPPMGYPTNDPSHGSAAPVKVETNSKGDGFFKGCLAAMCCCCALDICF >A06p029200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16830719:16846985:1 gene:A06p029200.1_BraROA transcript:A06p029200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVAGGAVLGIDLEMVPWAGLADLGRDLWDGGRAYWGQILVLGYLIICCVLENIVTYDIDHKELLVINLGVGCTGGKRNTIPVQWDMDLMGIVSLCEDLIWLVHERTWAKFLEVRSVYRPSDVRHRPRPSRPGSGVTLALPVDCLGDFGPLGLSVQYTQDVCGCPPAHTGCLWLSVGVRQHTHRTSVAVRVCSCVSISTHRTPVGVRQHKQDVRGCPPAYTGRPWLSVFVRQHTQDVRGCPSVHILARWSLDSTNWPFPWTVRVILAHVGCLFTTHRTSVGIRQHQQDVRGCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLSDFGPGGLFVQYTQDVCGCPSAHTRRDFGPRGLSIQDTHDVRGCPSAHTGRPCVSVSTQRTTSVGVRQPTKDVCSCMWLSVSTNRMSVAVRGCPCVSASTHRTSVAVRGCLSAHTGRPCVSVCVLVCPSVHTGRPWMSISTHISKLVLGLSTLVVGLSTLALPVDCLGDFGPRGLSVQYTQNVRGCPPAHTGHPLLSVAFRQHTHEYTHDVRGCPLAHTGRTCVSISTHMTFVAVRVCPSAHAGRPWLSISRHISTLVLGLSTLTLPVHTQDVRGCPCVSVSVCACPSVAVLHTGRLSEFVSTHRTSVAVRVCPCVYICVRQHTHDFRGCRSVHISARWSLDSARLPFPWTVWVILAHLGCLFSTHRTSVGVRQHTQDVYGCPLVSVSTHRTSVAVRVCSCVSISTHRTPVGVRQHKQDVRGCPPAHTGRPWLCVFVRQHTLDVRGCPSVHILARWSLDSTHWPFPWTVRVILAHVACLFSTHRTSVGIRQHTQDVRGCPSAHTGRPWVSVSTYRMSVAVHQYTYQHAGPWTQHAGPSCGLFGLFWPTWAVCSVHTGCMWVSVSTHKTYVSVRQHTQDIRGCPSVHISARWSLDSARGPFPWTIQDVRVCPCVSLCVRQYTQDVRGCPSVHISASWSLDSAHWSLDSARWPFPCTVWVILVHVGCLFSTHRTSVGVHQHTQDIRGCLWLSVSTHMTSVGVHQYIYQPAGPWTQHAGPSVDCSGDFGPRGLSVQYTHDVCGCPLAHTGRTCVSISTHRTFLAVRVCPSAHTGRPWLSISRHISMLVLGLSTLALPMDCGLFWPTWAVCSVHTGRPWVSASTHMTSVAVCGCSSAHTGCPWLSVCVRKCPCVSVCRCPSVHISARWSLDSTRWPFPCTIRVIWAHVGSLFSTHGTSVGVRQHTQYVCGCPCVSVRTYKTSVAVHQYTYQHVGPWTKHAGPSRGLFWTSVAVRVCPCVYICVHQHTHDVRGCRSVHISARWSLDSARLPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVYGRPLVSVSTHRTSVAVRVCSCVSISTHRTPGGFRQHKQDVRGCPPAHTGRPWLSVFVRRHTRDVHGCLSAHIGRMWLSVRTYKTYVAVHQYTNQHDVRVCPCVSLCVRQYTQDVRGSPSVHISASWSLESAYWSLDSAHWPFPWTVWVILAHVGCDRPRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELCLEPWPDDRLHCTRLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPVISKFRSFFCWTGASHPATIEVWFTMFGLQRKSNKEKHPRLSVSQTSFKSSLNYFDECVSVQEKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFNDFSDSSPIFDETDEEPIGNLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSHQVFEEEPLNFPHQCPCLDTWISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSNLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIHFGLDKMKKFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDEFFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDILSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILVCNIYFNEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLLFELSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLEYNFTRKPTHQGFTEAWNRMKSFTDEDVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRTHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLALSLSFHNSFSPWPDFEIDKSIFGKQLTCLMLTHVLDDYPKGLDPDFEVLRIEKPFDYFFGRFDEVSLVALNKQDKHDQFLRRASTNRRQSTWNSLIKMTSKLQGSFCPFYSFTEFPLNFNSIVSDLSLFDIGTLDLRTNPFEERGNDRPRSTDQYMEPNQHEDQDVLKYSTEVHDFHHTGQTDRTVPNASGWELCLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSLLVRLSPSFDPSFVGPGSSGCLFSTHRTSVGVHQHTQDIRGCLWLSVSTYMTSVGVHQYIYQPAGPWTQHAGPSVDCSGDFGPRGLSVQYTHDVCGCPLAHTGRTCVSISTHRTFVAVRVCPSAQTGRQWLSISRHISTLVLGLSTLALPVDCLGYFGQRGLSVQYTQDFRGVRVYPSVAVHQYTYQHAGPWTQHAGPSRGQFGTYKTSVAVHQYTYQHVGLGLSTLALPGDCSGDFGPRGLSVQFTQDVYRSSSAPTGLLISARWSLDSARLPFPWTVWVILAHLGCLFSTHRTSVGVRQHTQDVYGCPLVSISTHRTSVAVRVCSCVSISTHRTPGGVRQHKQDVRGCPPAHTGRSWLSVFVRQHTLDVRGCPSVHILARWSLGSAHWPFPWTVRVILAHVGCLFSTHRTYVGIRQHTQDVRGCPSAHTGHPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLFFQYTQDVCGCPSAHKRRPCLSVSTHRTSVAVHQFTYQHAGPWTQHAGPSRGLTYVAFRQHTQDVRGCPCVSVYVRLWLSISTDISTLVLGVNTLALPVDNSGDFGPCGLSVQYTWDVRGCPSAHSTSVAVRVCPLEHTRRPWLSICTHISTLVLGLSTLALPGDCSGDFGPRGLSVQFTQDVSRSSSAPTGRLWLTSVGVRQHTGHPWVSVSTHKTSVAVHVCPCVCPAEHTGRPWLSISTHISTLALPLDCLGDFGPRGLFFQYIQDVCGCLPTHTGRPWLSVCVRVCPSAHTGCPWQSISTHISTLVLGLNTLAHPVDCSGDIGPRGLSVQYTQDVRGCPSGHIGRLWVSVSTHKMSVAVRVCPCMSVSTHRTFVAVHHTHRTSVVVRQHTQDVRVWPAAHTGRQWLSVCICQHTQDVRGCPSVHISARWSLDSSRWTFPWTVLVILAHVAVCSVHTGRQLVFGSTHRMSVAVCGFPSAHTGRRCVSVSTHMTSVAVHQYTYQHVGPWTQHAGPSVDCSGDFGPRGLSVQYTQDVCGCPSEHTVHPWVSVSTHKTSVAVLVCPFVSVRTHRTTYRTSVGVRQHTQDVRGCPYVSVCVRQHTQDVCGCPSVHISARWSLDSAHWPIPWTVWVILAQVGCLFSTHRTSVGVRQHTQDVRVWPAAYTGHQWLSVCIRQHTQDVRGCPSVHISARWSLDSSRWTFLWTVLVILADVAVCSVHTGRPLVSGSTHRTSAAVCGCPSAHT >A01p036480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16417794:16420108:1 gene:A01p036480.1_BraROA transcript:A01p036480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNPSLISLILIGLVAIASASVIFEEKFDDGWEKRWVKSDWKKDDNTAGEWSHTAGNWSGDANDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSDDVDQMKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPAKKIKDPSAKKPEDWDDKEYIPDPEDTKPAGYDDIPKEIPDTDAKKPEDWDDEEDGEWTAPTIPNPEYNGEWKPKQIKNPNYKGKWKAPMIDNPEFKDDPELYVFPKLKYVGVELWQVKSGSLFDNVLVCDDPEYAKKLAEETWGKLKDAEKAAFDEAEKKREEEESKDAPTADSDAEEEQEDDDHEGDESDAESKPEETKEETSSEKDDAAAHDEL >SC254g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:79605:80171:-1 gene:SC254g500030.1_BraROA transcript:SC254g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRATYQIEALNETNPSAQTVCRSDLRRAHTRRNNTRRRLSSWNPNPSQQDFLAKFKSCVPDPRRLQIPVQNRASSRSHHRQLEFSINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKT >A03p020970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8653663:8658937:1 gene:A03p020970.1_BraROA transcript:A03p020970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESVQIEEEIKSLEQWRWSEMQGLELLPEPSSNSNSNSRNPETELQEHPPEMENGGGTPPPPPPATVEEPKKAEIRGVAFKELFRFADGLDYVLMTIGSVGAFVHGCSLPLFLRFFADLVNSFGSNANNVDKMMQEVLKYALYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQFFDTEVRTSDVVSAINTDAVMVQDAISEKLGNFIHYMATFVSGFIVGFTAVWQLALVTIAVVPLIAVIGGIHTTTLSKLSNKSQESLSQAGNIVEQTVVQIRVVMAFVGESRASQAYSSALKTAQKLGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHLTNGGLAIATMFAVMIGGLGLGQSVPSMAAFAKAKVAAAKIFRIIDHKPTIERNSESGVELESVTGLVELKNVDFSYPSRPDVKILNDFTLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQVLLDGHDLKTLKLKWLRQQIGLVSQEPALFATSIKENILLGRPDADQVEVEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLIIAHRLSTIRKADLVAVLQQGSVSEIGTHDELFAKGENGIYSKLIKMQEAAHETAMNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTTDFSLSVEASSYPNYRHDKLPFKDQANSFWRLAKMNSPEWKYALVGSVGSVICGSLSAFFAYVLSAVLSIYYNPDHNYMIKQIDKYCYLLIGLSSAALIFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARISARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMTGFSGDLEAAHAKGTQLAGEAIANVRTVAAFNSEAKIVRLYTANLEPPLKRCFWKGQIAGSGYGVAQFCLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGQAMRSVFELLDRKTEIEPDDLDTTPVPDRLRGEVELKHIDFSYPSRPDIQVFRDLSLRARAGKTLALVGPSGCGKSSVISLIQRFYEPSSGRVLIDGKDIRKYNLKAIRKHIAIVPQEPCLFGTTIYENIAYGHECATEAEIIQAATLASAHKFISALPDGYKTYVGERGVQLSGGQKQRIAIARALVRKAEIMLLDEATSALDAESERSVQEALDQACSGRTSIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGIYARMIQLQRFTHTQVIGMTSGSSSRVKEDDA >A09p045010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39689442:39692526:1 gene:A09p045010.1_BraROA transcript:A09p045010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NUCLEAR FUSION DEFECTIVE 4 [Source:Projected from Arabidopsis thaliana (AT1G31470) UniProtKB/Swiss-Prot;Acc:F4I9E1] MRPRIRDAASDKLRPTRSSFHDDGEPKFHRKHPPPLRTMFGRCRKWTVLVAAIWIQASTGTNFDFSAYSSHLKSVLGISQVRLNYLAVASDLGKAFGWSSGIALCYFPLSVVLFAAAAMGFVGYGVQWLVITNVIALPYSLVFLCCLLAGLSICWFNTACFILCIRHFPTNRALALSLTVSFNGISAALYSLAFNALNPSSSNLYLLLNSLVPLGVSLAALYPVLVKPSLDPAPDSESRRHDSHVFAIMNVVAVITSFHLLLSSSSTNVTSSARLHLVGAIFLMVFPLCAPLLVYARDYYFPDINQRFQHDSSGYVMLNIDELKMQKASVTGTAKEGNIVRLGDEHSFQLLISRFEFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSTTLVTVYSSFSFFGRLLSAAPDFIHKRFRLTRTGWFTIALLPTPIAFFLLAISSLQHLALQTATALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLLYGFIAASIYEANAKPEIRSVVSDSVVCIGRDCYHKTFVLWGCLSLLGLASSFLLYMRTKPVYHRLEQDRVSVTTSPYKDLDSIHTKISTQLMADLGYLSDTDDSAVEELISQAKELSALEQIAAINCSGFADDSSTLPDDLESRFRRLKSLPPARPDPVSSKISKKKDLTHSKDPDFSGNPVKKSGFDEDSSVFKRDLRVTSGFERSSRAVLDSDGSGDFSGSSKIFSPAKQTQKLPKEKRRVGSSSSSDSEPEKKSKSKSSSWVKKLSPSKIIGYIWSSPNKSSSAKKKNIKSIKSFTASGRERDVDFDDFLSDLNAYSVEDQRKMLKKALKEQQKMRKEAAHIIKMTRKASARFDFDD >A02g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9996808:9997882:-1 gene:A02g503020.1_BraROA transcript:A02g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVRGKRSFCCRLGHEASLVKFDPSGERFFMVVNSKVGVHQSEDAKLLLELDNGSRKPILCASPGDSGTLFTAGEDRAITAWDTNSGKLAYAIQDAHPARIKGVVTLTRNDSESASEDPYLVASASSDGIIRVWDMRMAAKENAKPLAETNTKSRLTCLAGSALKSMRRPQNGNQKAQQLEEGANSA >A07p036070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19438856:19439357:-1 gene:A07p036070.1_BraROA transcript:A07p036070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQLLKLRTFLVLAVLSLVIVLKPVLVTPKTEKQIGYGTKGQELNETTHLRRIEIDPKRRTRTRRLMDVEEINDYPGSGANNRHTPRSYCPDC >A08g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6669231:6669788:-1 gene:A08g504080.1_BraROA transcript:A08g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREVWKQVLPSVYSLGQAVVATVEGGLRLSCGCGLTSCLGLGVLPLFIRVNPGATGCVNKTFPGSFYRLRRGVMVRMRRGESTLKVQWRDLVGIEGCLQRRLRILTSLDSVYMFGDVRVRQVYLAAPLSLC >A01p054570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30982418:30984228:1 gene:A01p054570.1_BraROA transcript:A01p054570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLTIILILLSVYTEQASGGSFFLYNQVRHGVLMKVHCKSGDSDRGWHVRKYGGFYGFDFKDHILDKTLYWCNVWSGPNFSRNASFVAYESKLYKHRNNWIRWSIRGDGIYESINGATPWKFKYHWYVVILILLSVCTEQAIGGSFFIYNQVRHGSLMKVHCKSKDNDMGWHVRKYGGVYGFDFNDNLFGTTLFWCNIWQGPHLEHFQVIVAFESRQYPHDLNAWIRWSVRGDGIYQSINGATWKFKYHWDNKL >A08g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10356068:10356619:1 gene:A08g506060.1_BraROA transcript:A08g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKEIYRLRRDGRIRGVEVDAGALFVLKSKGAAVMDEEDEKWKGKEIENSPHVSGECRASAKRYMEVRVWRVRMKRKVKTEQRN >A06p057690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29988036:29989666:1 gene:A06p057690.1_BraROA transcript:A06p057690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATFEERMKLMCPNMTPEEIAQQKKVVESCIGFLLVVICFLILLSFVPSASTPNHNLLEIKIVSMDFTAEPNNNNKNSTQQSHYLPLVSARWDLLIRVPGELVGNNICLQGNLQASFLYKNVTLVTSSLHSYNELELGAPQLLTVSAVATGEDLSGAIGKEIMEAIKERNEIQFGSRLSLTDCREETKKGTVGYECDEAKLRFDHLGSDQIKATAVPYPEIKIVSMDFKVELNNNNNTAQQTHRLPTVRSAR >A03p042410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17762623:17763534:-1 gene:A03p042410.1_BraROA transcript:A03p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNGRKIGVAVDFSECSKKALNWAIDNVVRDGDHLILITVAHDMHYEEGEMQLWETVGSPLIPLSEFSEAAVMKKYAVKPDAETLDIANTAARTKSITVVMKIYWGDPREKICEAVEHIPLASLVIGNRGLGGLKRMIMGSVSNHVVNNVACPVTVVKAHH >A04g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3342672:3344472:-1 gene:A04g501250.1_BraROA transcript:A04g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGENLTELKRFRVTENRSSNEQYVWKRISQEATKEIYQEDCTQIDTQLLSRKRSKNKRRKQPRNV >A06g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29481043:29481398:-1 gene:A06g509840.1_BraROA transcript:A06g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNTIQVVQSPPMQSGIFKSSLFFLSFITLSLHSLLNKRENEVVSVVNTILVVYSSGSGLLLLCLLDPRSSDFFSLCSLAESIDPKLCAKLLFFLCL >A03p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4095057:4100354:1 gene:A03p010260.1_BraROA transcript:A03p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILKQLVPEDNLTMSRSTTHSSTDEREYTKPIIPITQNPHANSSVVATWYKTHPWKHHERTSSLLHGRLVCCTDGKGDYYAEPVICNPSTGELLTLPKSLLNNPVMYCGYEPTEKKFKLLCIPSYFNTNRAWVLTLETGKPLWRKIECEYHYVMYPYCTHRHMICINGVLYYLACIDISRSGDEVVIVFFDVKSEKFRFIDIDFESMHRRGSTLINYKGRLGMVRFTDNNERTLYMWLLEDADGINKWSINIYELPVSWKHPCTENFQIVGMTRTCDIILSPCKFSDPFYVFYYNVERKTLVARTEIQGLQELKCDRPIVNIFQDYVEDLKLMETREVMVDFLDPIEIQSAIMSPYSQRAFRGRFENSGKSSEAGESSNLSLSQTRRYVRMVTIEICRKMARVKPSEGVDCDSRLDPIEDLARAPSCLLIHDLSGVVFENSGYMKSSEAGESTNLFMSQTRTERKKMGRGKIEIKRIENANNRVVTFSKRRNGLVKKAKEITVLCDAKVALIVFASNGKMTDYCCPSMDLGAMHLKGEDIQSLNLKNLMAVEHAIEHGLDKVRDHQA >A08g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19993314:19995781:-1 gene:A08g509650.1_BraROA transcript:A08g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFPKRILQEGAEMQMDKINNTCRRTLLKAVKVALKDENWKGSGKVSYQDITSLESHFDKGELFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDLGAESRKKQLLCQRAAEHNSGVSSEMKTFIEGLFTASFNSFKEVVQKDIHERFDNVANEVSQLKEQVSQLKGLSETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGKGKGKASANVDPPPVRRSPRPVREPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLNKDFLAAMVSK >A10p016950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2704086:2705332:-1 gene:A10p016950.1_BraROA transcript:A10p016950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDRQNPNAEEKKKLLCPFFWQRRTTTASSSKPSFARSILPARRRLRLDPSSYLYFPYEAGKQVRSAIKLKNTSKSHTAFKFQTTAPKSCYMRPPGGVLAPGESVFATVFKFVEHPENNEKHKLNKKSKAKFKIMSLKVKPGVEFVPELFDEQKDQVIVERVLRVIFLDADRPSAALEKLTRQLEEAEAAVEVRKKPPPETGPRVVGEGLVIDEWKERREKYLARQQVDSIDSSS >A06p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17635217:17636832:1 gene:A06p032910.1_BraROA transcript:A06p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-12 [Source:Projected from Arabidopsis thaliana (AT3G46520) UniProtKB/Swiss-Prot;Acc:P53497] MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYEQELETSNTSSSVEKSFELPDGQVITIGAERFRCPEVLFQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFGGIGDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A09p010180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5197422:5197688:1 gene:A09p010180.1_BraROA transcript:A09p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEAKQLLTLIGRDTSLRYAIHLITAASLSCPKRKGKVVEVEDTQRVNRLFLDVRRSMQYLEEYQVQYMFSEVPSQSDETTGGDRAC >A08p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2667064:2667524:-1 gene:A08p004550.1_BraROA transcript:A08p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRKSHLKQKLLLPDKYTKNKLKIERREEKASKGLSMWLTPGMRTQVDTGVGLGLISSSMVNGRRNIISSCGFTSNSVAQPLPFLENTRITEENKVLNSCVTSPFCWCIATARGEATLYSMGAYAP >A02p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11622649:11624167:-1 gene:A02p023400.1_BraROA transcript:A02p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFSVSDELLGTVAPIVVYWLYSGIYVALSSLERYRLHTKVEEEEKNLVSKSAVVKGVLLQQLVQAAVAILLFTVTGSDAEADKAQQFSLLVLTRQFIIAMIVLDTWQYFMHRYMHHNKFLYRHIHSQHHRLVVPYAYGALYNHPVEGLLLDTVGGALSFLISGMSPRTSIFFFSFATIKTVDDHCGLCLPGNLFHMVFKNNSAYHDVHHQLYGSKYNFSQPFFSVWDRILGTYMPYSLEKREGGGFEARPTKEFKDD >A02p029480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15056784:15057726:1 gene:A02p029480.1_BraROA transcript:A02p029480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC032 [Source:Projected from Arabidopsis thaliana (AT1G77450) UniProtKB/TrEMBL;Acc:A0A178WMH1] MVKAGADLQFPPGFRFHPTDEELVLMYLCRKCASQPIAAPIITELDLYRYDPWDLPDMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKPVGIKKALVFYSGKPPRGEKTNWIMHEYRLADVDRSVRKGNSLRLDDWVLCRIYNKKGVIEKRRSEVANGHVMAPVMLNFDKPELIGGGSSCTDQRVVSPEFRCEAKTEPSRWSNALEVPFNYVDAIADNEIVSRLLGGNQMWSTLDPLVVRQRTF >A09g515120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45151164:45151605:-1 gene:A09g515120.1_BraROA transcript:A09g515120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKTLLASFARILANRHCFQTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKPCVRSNQNCSLGHG >A05p053830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31093945:31094756:-1 gene:A05p053830.1_BraROA transcript:A05p053830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEQEMFLQWGNKKRMRRLRAAANKDNKHISQRQSNSSSHETFLFHSSRFSRGSEGAILRSGGSLPEKEKEERYYTTRGVVDTNGEDSNGKGEESMWPKLFVTLSSKEKEEDFMAMKGCKPSHRPKKRAKLIQKSLLLVSPGTWLADMCPDRYDVRVKKSSKKRRARGLKAMGNNIDSDSD >A09p070150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54448763:54449332:-1 gene:A09p070150.1_BraROA transcript:A09p070150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSVEEKICFKSKSFYSVAHAWFMFYCVAVSGSWSPRKKVLWSFQSAKSSIALVFDDSSSFFAIFLIYQWFIGLSSFLKSPSVLKDMHMAATFGWSGVLQLGMLIDDSIC >A09p054330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46855371:46856603:-1 gene:A09p054330.1_BraROA transcript:A09p054330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVSAKTPSLSEQYHLEKEVEVKEVAPEITTTTTQEVEAKDDSPAEEAVEDKSESPEVTSEEAPAATEENNGENTTEEVAEETPDEIKLETAPADFRFPTTNQTRHCFTRYIEFHRCVAAKGDDAPECDKFSKFYRSLCPGEWVDRWNEQRENGTFPGPL >A06p017390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7770655:7786683:1 gene:A06p017390.1_BraROA transcript:A06p017390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPAQQTHESDLRQYIEVKIAKNLRQPVPNHGSESTNLSDRSIERDSTTLINSNRKPNHWPTILLSTVFVIIGQSIAKLLENFYYDKINRSEYNEHRQNDGVWTQALLQTVGFPLLLLPFIILTAKKHNQPSSDNQFHYKSLAVIYICIGIVMSVQGRLSIMGKLEIPFGIFTLIYTTQLFITPIFASFVNKTKFNRWVVISLLLAIVTGALTLSSSFGGEPDEAELNYARGSWAALFAALCFSLLLCNIQNVFDSYIVKRTEPTTGKPSFASVFEVIIFSSLVATLISMVGLLIAGEQHDLKREMNGFPKGKGAYVMAMVGQAVSWQIYWVGIVGLVFSVSSVLSNVISVITWPIVSVLVVIFFNYMDDEFDVFKGVALITAVLSAAAYFFRLHKENQHFVQIPVSIEGDTTTLVYPNRKPNHWPTILVSTVFVIIGQSIAKLLENLYYEQINRSEYNKHRQNDSVWTQALLQTVGFPLLLLPFIILTTKKQNNPPITSDQFHYKSLSVIYICIGILMSVQARLSAMGKLEISFILFTPISVTQLFFTPIFARFVNKIKFNRWVVISLVFAIGAGALTFTSPFGGVSYNGEHKYARGIWAALFAAICFSLLLCNIQNVFDSYIFKRTEPTTKPSFASVFEVLIFSSLVATLISTVGLLIAGEQHGLEREMNGFPKGKGAYVMVMVGQAVSWQLYWVGIVGLVFSVSSVLSNVISVVTWPIVSLLVVVFFNFRNFTGEGDDEFDTFKGVAFIPAALSVAAYFFGLHKENRDRALTFSSSFGGEPDEAEANYGRGSLASLISSLYFSLLLCVIQNVLKRTKAPSFASVYQVLVISSIVATISSAAGLLIEGEQDDFKRDMNGFSKGKGAYVMAMVGQVVAWQVYWVGIVGLVFSVSSVLANVISVITWPIVSVLVVIFFGYVNNEFDVFKGVALITASLSAAAYFYRLHKDNLNY >A04g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11115196:11116514:-1 gene:A04g505090.1_BraROA transcript:A04g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSKKSSGLPRSRLDFQEVVWTSKKSSGLPRSRLDFLEVVWTSWKSSGLHGSLLTKSPFHNRSERFCKFLCLIFLHWFFRSGFNMQVFQIWKTSGTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSEHPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWSPSLSL >A09p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14665117:14666826:-1 gene:A09p026320.1_BraROA transcript:A09p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALTSNGLLNFVLSETLSPTSENDIIDLDPPPENGIIVSKNTVPVISHQEPSSRQLPPARRGKKRKRRKPRVCKNEEEAENQRITHIAVERNRRRQMNQHLSVLRSLMPQPFAQKGDQASIVGGAIDFIKELEQQLLSLEAQKLQKAQTNQTGSSSTSQDSNGERENAHQPCSLSLSHFFLHSYDPSQENRVGTTSSVSTAMEDLEVTLIETHANIKILSRRRGFRWTTVATTGPPQLSRLMAALQSLSLSVLHLSVTTLDTFAIYSISTKDTCNGIRARQHIMKA >A09p071910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55332852:55334157:-1 gene:A09p071910.1_BraROA transcript:A09p071910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIMGRRCEDCGNQAKKECVYMRCRTCCKTKSFHCQTHIKSTWVPAYRRSQKQQLQTQTQPHQKGLATINPKRLREQHPSSSPSSLGVRIHTSTRHFPAELSSLADFRCVKVSSIDDGKEQYAYQTTVNIGGHVFRGILHDQGLEKVVVDHQSTHHLHNKHHDQGLLPLSSSPCPLMITSPFTDFMSGIRFSPNQKS >A09p068810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53800223:53802774:-1 gene:A09p068810.1_BraROA transcript:A09p068810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-processing enzyme alpha-isozyme [Source:Projected from Arabidopsis thaliana (AT2G25940) UniProtKB/Swiss-Prot;Acc:P49047] MTAVFTVVVSFLALFLSLVVVSGDVIRLPSQASKFFRPTEDDVGDDSSAGTKWAVLVAGSRGYWNYRHQADVCHAYQLLRKGGVKEENIVVFMYDDIAKNKENPRPGIIINSPNGDDVYNGIPKDYTGDDVNVDNLFAVILGNKTALKGGSGKVVDSGPDDHIFIYYSDHGGPGVLGMPTSPALYANDLNDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTAANAEESSWGTYCPGEDTSVPSEFETCLGDLYSVAWLEDSDKHNLQTESLHQQYELVKKRTAATGSTYGSHVMEFGDIGLLGKEKLVLYLGTNPDNENATFADENSLRPLSGGVTNQRDADLVHFWEKVYKKAPEGSSRKAEAQKQVLEAMSHRLHVDNSVLLIGKLLFGISEGPAVLNKVRPGGKPLVDDWECLKTLVRAFEKHCGSLSQYGLKHMRSIANICNAGIQMEQMEEAAIQACPTIPTGPWSSLHQGFSA >A03p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1305562:1306130:-1 gene:A03p002960.1_BraROA transcript:A03p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA4-5 [Source:Projected from Arabidopsis thaliana (AT5G06760) UniProtKB/TrEMBL;Acc:A0A178UIZ0] MQSMKETASNIAASAKSGMDKTKATLEEKAEKMTTRDPVQKQMATQKKEAKINQAEMQKREVREHNAAMKEAAGGGTGTGLVLGSATHSTTGHVGHGTGTHQMSDLPGHGTGQATGHVVERTTLTEPIGTNTGTGRTAAHNAHVGGGTTGYGTSGGYTG >A06p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6792184:6796902:1 gene:A06p015210.1_BraROA transcript:A06p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTPIEATPFSYLIPSFADDDNHHMENIPSPTSQVVTPLQTVDDETSYTTPPPIPQASPLQPANEENYNTPTPYQPLLLATPLSFISPSDESNTAAVDPNMGPIKRGRGRPKGSKNSKPSKKKMETSHPNNEVVVSGHNDETHNTSFSPHPPLMATDLQAIVPYDDSNHDSLADDDAAPSSDPLKRGRGRPKGSKSAKTPVKKLKPHNPDDKIFCPSFDSMITEEEKENGNEDLVDSVRMRFNAVCRRLGHISCEKAVVTTAFSRFTNLGVRTNKKKRIGPVPGVQPGDIFYFWGEMCLVGLHTQMPAGIDYLLAKDGEAEGLTTSVVTSVGHYNDKTDELHTLVYTGQGGTCKDGKPRNQDLTRGNLALVTSQKRGNEIRVIRGVEDPGDKKGKVYIYDGLYVVTHYWIEKGTTGFDEFKFNLVRKQDQPSGFATWKLAEELMKCGSSNRSRKGFVFEDIALGLEALPVPIVNEIDENDKEWPLDFDYRASSESLSMMIVPNHQSTGCNNTCQGGQSCGDPTCLCILIGGELPYDNRILLYRKPMIYECGESCSCPADCKNRLSQSGLKLRLEVFKTESCGWGLRSWEPIRAGTFICELVGTAKRRDEIEEDDEYVFDTSRVYKRFRWNYEPELVGEDCWDEVSEVYKLRSEILVSARAFGNVSRFINHSCLANVMWQPVEFEKDGQPLVRIAFFAKRHIPPLTELRYDYGMSYDTGEVDEGGSRVFTGGTMNPNLWLKTVGTKPLKFINFGWPTFGSYCVFAKWHIPPLAELRYDYGMSYDNGDVDEDGSMGFRANNSKKLVMSCTSRVYKNFRCNYEPELVGEDCGMKSLKFIHFGRKSWSVRD >A05p030820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15968659:15975721:1 gene:A05p030820.1_BraROA transcript:A05p030820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKPKRIESLVDALDPKKAEILHYLSENDYDGDCDGDEARDLGLDVFQFRGFGISRDIGFNGSQEGFVLHQIEGFGEYPKRRQINESCGFEVNLWARWGARMVRRITSRS >A03p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4247667:4251497:-1 gene:A03p010600.1_BraROA transcript:A03p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGVLTRVHSQRERLNETLVAQRNEVLALLSRVEAKGKGILQQNQIIAEFEALPEETQKKIEGGAFFDLLKTTQEAIVLPPWVALAVRPRPGVWEYIRVNLHALVVEELQPAEFLHFKEELVDGVKNGDFTLELDFEPFNASVPRPTLPKYIGDGVEFLNRHLSAKLFHEKDSLLPLLKFLQLHSHQGKTLMLNEKIQNLNTLQHILRKAEEYLAELSPEAPYEDFEAKFEEIGLERGWGNNAERVLDMLRLLLDLLEAPDPCTLETFLGRIPMVFNVVILSPHGYFAQDNVLGYPDTGGQVVYILDQVRALETEMLQRIKQQGLDITPRILILTRLLPDAVGTTCGERLERVDGSEYCDILRVPFRTEKGIVRKWISRFEVWPYLETYTEDAAVELAKELKGKPDLIIGNYSDGNLVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLDDKYHFSCQFTADLFAMNHTDFIITSTLQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTEEKRRLTKFHPEIEELLYSDVENQEHLCVLKDKKKPILFTMARLDRVKNLSGLVEWYGKNKRLRELVNLVVVGGDRRKESKDNEEKAEMKRMYDLIDEYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVHGKSGFHIDPYHGDQAADTLADFFTKCKEDPSHWDEISKGGLQRIEEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYLEMFYALKYRPLAKAVPLAEEE >A02p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15721107:15723632:-1 gene:A02p030790.1_BraROA transcript:A02p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSFKDFEDDDTPTSTTRNSQFLRLASSVDVDNEVQHPVPSKKLASEIPTPQFVVVDTYERDYLATFGQPASYLRARGARSELGDFVEYDLDNEDDDWLYEFDKDNKELSPEMLESIIFKLEVLDHKTRERAGVITPTLSSPVHVRLQLDAAMEALQSLSINYGVFQAIFNYWKSKRKRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTILEALIKREEKKRDVMDGEVSLQRMQLQYRHETELLEDSYAQHGFQPATTSYKYGSSDEELMDSDDYTSTHVRTRPPIIPNSRFTNGSQPRGIKQEVRRRHSSHHNWLHKLDPNEPVMLFTKPLVPEKLAAAGIVPPAPDSSTGQPPSRFKGRIGRGGRIIFDRWNPLMQSHINCGDSLYIAPNH >A02p030510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15562774:15572016:-1 gene:A02p030510.1_BraROA transcript:A02p030510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDKYNSSSYHIPLSRTERLLRERELREKRRSNRARNPNEAVGSSENSDNNLRLEGDSSRQYVEQYLEGAAASARAHDNVCERQEVRPYNRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFDARWIGWAGVNVPDEVGQKSLTKTLAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANLMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVMLGVDRLDIIKGIPQKILAFEKFLEENASWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAAPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMSSEEREKRHRHNFHHVKTHTSQEWAETFVSELNDTVIEAQLRISKVPPELPQNDAIRRYSKSTNRLLILGFNATLTEPVDNQGRRGDQIKEMDLNLHPELKGPLKALCSDPNTTIVVLSGSSRSVLDKNFGEYDMWLAAENGMFLRLTNGEWMTTMPEHLNMEWVDSVKHVFKYFTERTPRSHFETRDTSLIWNYKYADIEFGRLQARDLLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDIIPAIARPRPSSDSGTKSSPGDRKPPSKSTHSKKHGSKSSSSSNSYNNNKASQRLSPEKISWNVLEHKGENYFSCAVGRTRTSARYLLGSPDDVVCFLEKLADTTVPNSSSSS >A06p019600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9604323:9604748:-1 gene:A06p019600.1_BraROA transcript:A06p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML44 [Source:Projected from Arabidopsis thaliana (AT1G21550) UniProtKB/Swiss-Prot;Acc:Q9LPK5] MFHKLDKNQDGLVTLDELHWILERLGWSEHTPEELELFVGKQSLDLDEFLRFYDDAVSDRKETKNDSVVDNDDAIVGAFNVFDVNGDGYISSEELRTVLERLGFEEETKSWDCGRMIRAHDKNLDGVIDFEEFKNMMLHVQ >A10p039250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21768587:21769988:1 gene:A10p039250.1_BraROA transcript:A10p039250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT5G04180) UniProtKB/Swiss-Prot;Acc:Q9FYE3] MNNIIILFVTFLALSSSSRAADETETAFHYKKGALADPSKWSMTKKEWKICGTGKRQSPIDLSPGIARLVHNSTKLIQTYYKPVEATLKNRGYDIMVSWDDDAGKIVINNTDYQLVQSHWHAPSEHFLNGERLAMELHMVHKSEAGHLAVIGVLFREGEPNAFISRIMGKINTIANIQDGEATIGRLHPKDFGWDLTKFYEYRGSLTTPPCTEDVIWTIINKVGTVSREQIKVLVDARRGGYEENARPAQPLNKRVVYLNEQHVRSTPSSQKQIHI >A04g505440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11635865:11636077:-1 gene:A04g505440.1_BraROA transcript:A04g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTQDVFNDKDVKEAYLPRVWVSMYSEESKEGEEDPKVAVLKRILGSLGVEVELDHIRTEADEEKRKKR >A08p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20159519:20160069:-1 gene:A08p033650.1_BraROA transcript:A08p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKSQIPDNSNNNAASSSSAKKPATEIGSNSSFSGQRMTYPNRPESVNPDQATLREQWKFAIRQYSKWYSHAWGTAILAGGVFFGLGWIIKGSNPLPSLQSNGEMQS >A09g518550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56571226:56573019:-1 gene:A09g518550.1_BraROA transcript:A09g518550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASAHCASALFPSTLAAGEEPSLIPPRPPDPPDPASASPRLSLAHYPPLSPNNPTARALASPSSNTKARSITSPSSANRLPSPTDTEMDCVDPSSVSETRSDLPRSVTTVDSNPTTENFTTLPPKSSSLLHTNKASNLPSNPKTGDSNSSSPSFPEKQTEPLSAPIIFASPPLAQGSKTQPTPPKPSLVERIRRFEDKTLSRLAPVTLSASGRPSVLISDAVFQKGADLHKDFIVCCFNGRPPPYSQIQSQPTPKPGPTSNSTPSASTVSFSPPVTDSPSSSSVPPPISSKSPSPQTFKHLSLPVLPDFSSLQSPPNPRLRQSLKRSRSDPSLSPPNSSLLQSHVPPNPIQTSEPPPNSSLFLTLPSSSSSSFDPNPFSILATNSSFSKGEFPPTS >A09p026250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14735696:14742425:-1 gene:A09p026250.1_BraROA transcript:A09p026250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIPLSELLLNLSSQLRCHFQWSLVPELEIQRKEETFSIFQALLLHRRFIGLFCSSSSLSLLCLIKSSHVFEVFSAVTKSEKRHALVKKSWCIEISKVMMASSKNTPPVNNRTRISITIVTGLCCFFYLLGAWQRNGLGKGGGVITLEAVTKPAQCTNTTDIVTNLDFEPHHKTIKIPQRANPKPIMFKPCDVKYKDYTPCHEQKRAMRFPRENMVYRERHCPPENEKLRCLIPAPKGYTTPFPWPKSRDYVHYANVPFKTLTVAKAGQNWVKFQGDVFKFPGGGTMFPQGADAYIETLAKVIPIKDGSVRTALDTGCGVASWGAYMLKKNVLTMSFAPRDVHEAQVQFALERGVPAIIGVLGSIRLPYPPRAFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWVLSGPPINWKTYYKTWKRSKKELKAEQKRIEEIAESLCWEKKYEKGDIAIWRKKTNDRSCRMSSSSSSVKTCKGKDTDDVWNKTMEVCVTPFPKVSSDVEVMKFPERLFSVPPCVTKGLVEGVNVESYQEDNKLWKKRVSNYKRINRLIGSSRYRNVMDMNAGLGGFAAALESTESWVMNVVPTIAKNTLSVVFERGLIGIYHDWCEGFSTYPRTYDLIHANNVFSLYQNRCNVEDILLEMDRILRPEGTVLFRDEVDVLNDVRKIAKGMRWDTKLMDHEDGPLVPEKILVAVKQYWVAGDGGNVRNSTSTLSGEV >A03p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10606683:10609093:-1 gene:A03p025240.1_BraROA transcript:A03p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFPGLSFLGPKGKNAVVAGGLTAFVFGVYFYTMKAVGGTDELQMAIDKFEDQKQVETDPKFIYFHEGVHVESQKHGYFGGEHTIRVKLGNDEYLTALSGDHCDDHITSLTFHTNKGEHGPFCKRTYGYHKSLTRKIDVGICDRSEFCGLYGSFSEKKYGGYLTSIGMYISHNRSIVDQVPRTSFGATYAHQFVRAESLDHTTPSGHYQYPNVVDGFPVEPIRRPKSKLKDRILSKFNKAIQFLINL >A08p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9041740:9043506:-1 gene:A08p010900.1_BraROA transcript:A08p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIRKKNQRREVSLHDPFSPSYDFYHCVLLWLRAEEIEITSRIMPCPHSILGSYQQVMNYKSCEALLYTHSPESSRITVNCSCDTEQGHEDTMMGSHPRGRITACSIRCSILEYLMEMMVIFISPLGSVSLGGFPDLLTENLEILLFLVEKPGVIFNRSVGVLWLLV >A01p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17493679:17495227:1 gene:A01p037430.1_BraROA transcript:A01p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREFATTLLLSTLFSFLVPELIPVGEGVWLNIPKTGTKCVSEEIQSKVVVLADYLVISDEHSIFPTVSVKVTSPYGSVLHHSENATHGQFAFTTQESGTYLACFEAVGNSHGNKDISINLDWKTGIAAKDWDSIARKEKIEGVELELRKLEAAVEAIHENLLYLRNREADMRIVSENTNSRVAWYSIMSLGICILVTGLQILYLKQYFERKKLI >A08g510710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25018311:25023157:1 gene:A08g510710.1_BraROA transcript:A08g510710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVNEDSGVGRSVEATSNGHHSLSGESLSLSKWRSSAQVENGTPSTSLSYWDTDDDEDHGLKPSQLFGKHKWKIEKFSEIKKRELRSNYFEAGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKFSDTLHRFWKKEHDWGWKKFMESTKLQDGFIDDSDSLTIEAQVQVIRERVDRPFRCLHCGYRRELVRVYLSNVEQNCRRFVEEKRSKLGRLIEDKAKWTSSKIEVAYKEAIALKRQEDLIREEEEEWLAETEQRAKRGAAEREKKSKKKQAKQKRNKNKGKDKKKEEKVTLATHGKDLEENHHDEEENDSVTEKAQPSAEKTDTLEEVSDISDSVDGSADILHPDLEDGDSSSVHWDADALEIHPPPSEGSSISISTPNGIAERKTQSTMDDSSSTCSNDSIRSGVTNGSYKGNMLNFRNQKSPNQGKNQQVKITSDTRSLVTEPDDDQPKSQNSSSESDWVVVSHIQELESSRNRRPVEKQRNVAQVVVNSVHMDRPEKKSAAVLSSPRTAAKNPSSLTQTKLEKRSVSNADAVPNKKVMSATGPPSSSQVSPASSDSQSQAGGLKADMQKISAPKQPATTTIVTRPFSAPIIPAMRPVPVIVSSSVQPTTSLPRSVSSAGRLGPDSSLRNQQSYTPQSYKHAIVGNSPGSSSSFNHHPSSHGVVPTTLPSASYTQTPAYQSSSFPFGQDGSFRSRSFNSVNMGMNNRYTPAVASNTSLNHIDIETARQQAQSLMTDEFPHLDIINDLLEDENCSNTVFNGSIFNSQSQLFNSQYSYHGGGSADLGISGELLSSGRSRSFGDEGFHYMARGPYAEGLIPTQWQMANMDLSLLAMRNSNVEDTASYHHTYNFGLDSTNQSFSSGINGYTEFRPSNGH >A01p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8453564:8454600:-1 gene:A01p017070.1_BraROA transcript:A01p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTFLFASLIIFSSSIESNHGATEVSLFTNLENSLEVTATRVGVVLEAGKDMVRITWMLKSSAKVDDDAAFKTVEVKLCYAPISQVDRPWRKTHNELSRDRSCPHKIVSKPYDNIPQSLNWTIDRETPTGTYFVRVYGIGVNGQEVAYGQSSDAEKTTNLFSVEGISGGHTSLDIASICFSVFSFGSLLVFLVKEKRKAKLQQRK >A10p020190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13713033:13715401:1 gene:A10p020190.1_BraROA transcript:A10p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDYEIITDPWDPKCVSACCMYYPGNEPEDIELLLEKIRGKLDERIHNHTLAEEYRIMNDQIVKSQGFDVDFSKLRYLFDFQPAFLDELYPLGKPDTGRVYFGRLAAEALEIYNKREGTGFKFVDVQKANIYLNSGKVYFITFVAKDPLDKKTKVFQAKVIHVFCREIVHSFCRLKPNQPGTCEDEDSIAEKASVRRTKKRKHGESLEMKKMMSKENDLKKKKTKKSKALTFDNTAERNDHNSESDGDKQNLHRLLCVLVLLGERLCEGEAIEGRVDPGNPYVLVMISSSLRSLELLRGLHSLTQHRSAFKLFAKHLKIKKHVMLYSYEALEKGNQCNAYSDICELLNLLIGFNITAM >A07p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4260247:4262276:-1 gene:A07p001720.1_BraROA transcript:A07p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILRNASLLRRSLFSSEVFTRAVVGTSSQLRGFAAKAKKKSKSDGNASSEEGMSKKEIALQQALDQITSSFGKGSIMWLGRAVSPRDVPVYSTGSFALDVALGVGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGTCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVIVVDSVAALVPKGELEGEMGDAHMAMQARLMSQALRKLSHSLSLSQTLLIFINQVRAKLSTFGGFGGPTEVTCGGNALKFYASMRLNIRRVGLVKKGEDTIGSQVAVKIVKNKLAPPFRTAQFELEFGKGICKVTEIIELSIKHKFIAKNGTFYNLNGKNYHGKEALKKFLRLNEPVQEELMTKLKEKLIVDEAADKESESEEEEDSGRVLVSSESTDDEAPAPVVAAAAAGVES >A08p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23313415:23315623:-1 gene:A08p041230.1_BraROA transcript:A08p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYWVVSLPVKDSSSSLWNRLQEQISKHSFDTPVYRFNIPNLRVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVENIQSQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDIVASEHLVTLLAVVPKYSQKDWLACYETLTEYVVPRSSKKLFEDNEYALYTVTLFTRVADNYRTSAREKGFQIRDFEHSVEAQETRKQELEKLVQDQESLRTSLLQWCYTSYGEVFSSWMHFCAVRIFAESIMRYGLPPAFLACVLSPAVKSEKKVRSILERLCDSTNSLYWKSEEDGGAAMAGLAGDSETHPYVSFTINLA >A06p015050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6706360:6712379:1 gene:A06p015050.1_BraROA transcript:A06p015050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKGVVGEGDEEHSFSVLEGGPSNMEVDGSDDNYDDDDDDDGNSDEGGQVDDDDDDDDEGDESEEGDESEAVSGIPTTFERLEYEALAQKKRKAFTESQRDDSNKRSRHDAINIWNSTSGEKGFMELYDTGRRRKSRKARFPWTASSFSQVNLYYYIVSSLRLLQQELCPFNKKRGRRQGSKKKVSPEIQKRLSEAFLLHADNKDSEALPIILDVIKQAPTFGRAYHYLSLVYEKLGRTDSLSTGVLRIAATVEGPKSPCWKLLYERSKEQGDLSARSYASKAVQADPENFSLKYEYADLCLNAGRYKEAAETYEQIFRRYPERIEVLDWVTKYFLKSGEGERAANILEDHIKSHPSEVGPDVLDLLASVLMEINAYDRALKYIHDVRQIYNLGKELPSSLKIRQAICHVRLQEMEQAEIVLNSLPREAVSEHPNLIINLADELTNIGNFHLALKYYLLAVNDTVNDGDLFVKVARCYTSLAKRDQAIIFYYKALNELSDPIDARITLSSLLLEDGKRDEAISVLSPPENPDLDTSKQKAWWKKRKIRMHLCQIYYSEGMLEEFANTALQLVLKWVWRRTVKGKRKRSVLSELQRNRRRRRPRDAQASELRGGPKKWRKIRATLNETKRIRERAAIKAQNEDICSESEEEAMKDEEYHRLFVDLCKALASLQRFWEALEIVNLARRLDAKMLPVEIKKELQSLGAKISCDTMDPKQWFDCVRSVIQQHPYRLNAWNCYYKVISRLGKRASSEAKFMHHLRSKYRDCVPPILIAGHHFTVTSRHQDAAREYLEAYKLMPDSPLINLCVGAALINLALGFRLKNRHECLAQGFAFLYNNIRICSNSQEALYNVARAYHHVGLVTLAASYYEKVLAVYEKEYPMPKLPNEDPNVAEERKPVNCDLRKEAAHNLHLIYKHSGAFDLARQVLKDHCTF >A09p027830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16817670:16818036:1 gene:A09p027830.1_BraROA transcript:A09p027830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDNGSTMSPLTIRKQKSLKVIHALLQTLYPLGIFPLLCLPYFLIPYVELDDRTVQCNTHKPKYEVVL >A08g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15183102:15187667:1 gene:A08g508310.1_BraROA transcript:A08g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYGLDDLRPIGSISVSGAEAKWASGYNFIFLERREVIETFCYRNSIERESRGTIPLAPQSKVASKRKVTRTPRKRVARSPLLIPSLKKTTETRSSTSTRRRLVVDKDPKLPCDKAGPSRTSPKLKDFLWRVIRKAIPVSSNLERRGVPSFNCKKCGAHEEDLHVFLTCPLAEEVWNLSPIARRPVSSTPSMAELLKQGNTYTPLPPTGLSAPLWPWIIWNLWKSRNKLVFENKTYTAQEIVLKSITDAKEWSEAQASQKDTSQHTSTHTGSLSRSSYPPPTNLTGMLVCNVDAAWNSVSGNCGIGGVFSGYNASKLPTLSEAHSHVSSALMAEALAIHRAVALAVYSNVRSLAVLSDSLSLVKLLKRGGTQPELFGIMFDIYHFMSFFDAISFSFISRNFNSDADLVAKSALSMSVMNSILGV >A07p044640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24307218:24309815:-1 gene:A07p044640.1_BraROA transcript:A07p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRRILSLTASLRSCNHSTRDALSSPSNFSSLTKPPIAAGDILIREVKDWFKLDAPPQSHDLINRICDILKSPSSDGDDRAFYHHLTSLRLRLTEKFVLDVLNHTRYDLHSCLKFFDWAAHQPSFHHTRATFHAIFKILRGANHFRLIVEFLDGSDGFEGYRHSLRLCDALVIGYAVAGRTDVALQHFGHMRFRGLDLDTFGYHVLLNALVEEKCFDTVDVIHEQISARGFVCAVTHSILVKKLCRQGELDEAEAYLRALLPDDPDGCGAGLGILIDSLCSQEKFQKATKLLDDIKVVGTVPMDRAYSIWIKSLIGAGLLDNIEGFLQQISPLEGCEIEVVRYNSLISKLLKENNLGSVYDILTEMMVRGVLPNKKTMNAALCFFCKAGLVDEALELYRSRSEIGFAPTSMSYSYLVRTLCADRRVEQAYDVFKGAMDRGHFLGGKTFCILANALCWNGKADMAKELVIVASERDALPKFLGGCKVIPALCDAGKVDDAILINELFNRSGVATTFGMFVSLIYGTIRLMRGDKAAKLIVRMQEKGYTPTYSLYRNVIRCVCAMESGDRSCFTTLLNYQLSRWEHKVGTYNLFIEGAGFAGNPKLARLVYDLIGRNGFTPTLDSNILMLQCYLKNERIADALHFFYELREKGEVKRRLYHVMIVGLCRGNRLDDALHFLEEMKGEGRHPSIECYEVLVQKLCNRERYEEAVGLVNEFRKSGRRITSFIGNVLLYNAIKSKGVYEAWTRMRDVEEKIPEMKALGELIGVFSGRVEMEGELKRLDEVIEKCYPLDMYTYNMLLKRVVMNQAEDAFELVERIARRGYKPNERTERILDRARRILGNLNSRANLVRSGWDSRQGQLEY >A09p001290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:979347:980361:-1 gene:A09p001290.1_BraROA transcript:A09p001290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDEDMKMSSFLREEEEEDHHSLSRLSVCYNHDGDDADVEPSDSDEKHVGGGEKAMEQQLEFSDSDKGSTGCQSLPATPPRRRRRRGSTLSSPVSGDKAYASENEARKKKQESNNDPRRRRKLRPEYPPWVDSMRRNYVEEQSGYGGGVVVVTRPIGGGRPLCMDLGEVKACKDLGFELEPGRVSYSGSTMDTSSGGNSPVSSSHRISSPGDDPNKVKARLKAWAHAVAFVSTTHHQRPPYSF >A09p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14067790:14074725:1 gene:A09p035640.1_BraROA transcript:A09p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSQIIQQYTRRILAHSKNGCLFRSFETLEDANQPTPSRIQTVTDQKASEWMGEQKVFDIFAEDYAARLYLVDHVLGLEEAEKFFKSIPAEATFEKMRELGFLMKPSPFNSMISLYGQLKNRDMVENLVRETQEKKYSGVEPTSLAAKPTKLAKLAGLKPVIRWALWRELVNMASRSRLSREEKGKDIAAPSSPARDANGSPLDEFELIHQDALRDTENMSLSQRLLVDDVHRQFREEEEELLKRSRRTATFVGQTLVGSGYVVSRLELPEVSTAFPLYYGFGRRSFLLNLFLYLLVAVDWESRLPCVLGPRKSRLSLFTRKQQRLLNKARDMEGVPDLSVLLKGKLQLLSRKSTSVAPSGSTNSEGARVSGDGGASKSGASDSINEGIDAEPSASSPKKKKKSKKAKDKSVDETLPGDSTSLDATSDGSKTKKKKKIDATEAEPAARPKKKTKKKSTEAEPRPSPAGTDPSAVAIEDSATPGTSSGKRKSAPTEAGDSGHEPAGSERSAPDSSARRGSRSEGSLVKRGRIEFPDRVEFSYNEKTPLILNPLRCAELTRQIRGGSRELPQLDDLYFRNEYIDAASARKRSDGSMNFLVEKYDSALKQTMIQLGSAEKLAHARLKAIERVRAEHKKVNDKAAREKEILRVKFEELEGKLKSDRAAKKELASEKTRLEQLMSHPPTEHVEVLEKDALEECPEKENLDQIPEKDVLETGDTLVREEGNENAGTKDPVLVSDSSSEGQDGEEEEGDRAEETSPSPPNEVETVEEVEKENVPTRVEDSIAPSSEAPVDPPASRSEGGQDSAA >A05p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7288929:7294035:1 gene:A05p016390.1_BraROA transcript:A05p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase 1 [Source:Projected from Arabidopsis thaliana (AT2G31320) UniProtKB/Swiss-Prot;Acc:Q9ZP54] MASPDKPWRAEYAKSSRSSCKSCKSPINKETFRLGKLVQATQFDGVMPMWHHASCILKKTKQIKSADDVEGLESLRWEDQQKIRQYVESGAGNSTSTGTSTASSGGNAKLEYGIEVSQTSRAGCRKCSEKILKGEVRIFSKPEGPGNKGLMWHHARCFLGMSPSTELKSLSGWGSIPDSDQEALLPLVKKVQPAAKTAGTKRRNDSDDNEKSKQAKTMSASGALQPCSKDKEMEAQSKELWNLKDDLKKHVTTAELREMLEINEQSTRGSELDLRDKCADGMMFGPLALCPVCSGHVSFSGGIYRCNGYISEWSKCSHATSDPDRIKGKWKISEETENQFLVKWNKSQKSVKPKRILNPISPAGTSQGQGSKAAADSSRSEKLDDLRVSIAGSSKERQAWKKKIEEAGAEFHAKVKKGTSCFVVCGQTDMEDAEMRKARRMKVAVVREDYLVDCFKKQRKLPFDKYKMEDAGEGIVTVKVKGRSAVHEASGLQEHCHILEDGNSIYNTTLSMSDLSTGINSYYILQIIQEDKGSDCYVFRKWGRVGNEKIGGNKLEEMEKSDAVHEFKRLFLEKTANTWESWEQKTNFQKQPGKFLPLDIDYGVNKQVAKKEPVQTISSLAPPLVELMKILFDVETYRTAMMEFEINMSEMPLGKLSKHNIQKGFEALTEIQKLLTESDPQSSIKENLLVDASNRFFTMIPSIHPHIIRDEDDFKSKVKMLEALQDIEIASRLVGFDTDSTESLDDKYKKLHCDISPLSHDSEDYRLIEKYLNTTHAPTHTEWSLELEEVFALEREGEFDKYAPHREKLGNKMLLWHGSRLTNFVGILNQGLRIAPPEAPATGYMFGKGIYFADLVSKSAQYCFTSKENPVGLMLLSEVALGEIHELTKAKYMDKPPRGKHSTKGLGKKVPQDSEFAKWRDDVTVPCGKPVPSKAKASELMYNEYIVYNTAQVKLQFLLKVRFKHKR >A02p005010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2169950:2172550:-1 gene:A02p005010.1_BraROA transcript:A02p005010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLWYTESISEPVSHFFGKQNREGLQASGATRTSADAVATTRRHNLPTSDSGAFTDWTAAATTTTSSRATEDLSLGFNAGPAVVHGGLASASTAAGVPSWPPGSSVRYGLPSAAATEMGMVGLRDVYLVALAYHHHQNVGLVSGSDQINSNAAAASLGVGVIPLLTAAPAQQQHQNVEDTDINFLGNSRRWQHNDSSNNQTEYLHFKSTTVASTSNNSGSGSAGTATCQDCGNQAKKECKQRRCRTCCKSRGFDCSTHVKSTWVSAARRRERQVMPTTAGSSPSTSSGTKKPRIAGAQQQATSHTSTSNTPPQSFDTSSSQKGGKDQYYVDLVTMIHIYVLCMQDGGSREAWPGQVRAAAVFKCVKVTAMEDGGDEYAYQAVVKIGGHIFKGFLYDQGLEPKEGFPNMSDLHLGGGASNHNVVSASQPILDPPNVYGGGGGAGAGFYS >A03g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26830880:26832927:1 gene:A03g507580.1_BraROA transcript:A03g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVYEELDEVKAANEKLRGDFRAKTELLENLKKVQNKQLIEIQEARSVIEKQGYAFRNSLRQGQFIGDSQATTKFQVMGSVLAIKHITHIFPEREYMIGGNYFSIICLRALSSSFTYCREEREKRRSRYVGAAIREKLFLLAAEQTRNNMESNNEVYAMLEGLVRNIYFPFDIESDTAICVAREMVEELEMDDRDVTKIANMIDGEIASLVPDWRSGLGFESSFCNCASNRSAIDFNVRQCCTNMCGEKHGRFEEITSGLHNFGKALYSHGKMTFLLSFVNMCRIFYSNVDKPRNITVII >A03p060400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26168730:26169979:-1 gene:A03p060400.1_BraROA transcript:A03p060400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKDDSSAVRRRVSCTKCFDALWFCYSPFYQMQQYYRVGKLDDCTKKFSDLFDCLSLKTKTASEAEKIMEEKEQAEAEKHIWIMRTREEASCHWNETFGHLDDPNY >SC138g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:142520:143508:1 gene:SC138g500030.1_BraROA transcript:SC138g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNPAVRAWRFRVKLHMIYPFYSCVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDLKYPIDRMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRR >A07p016250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10019221:10023912:1 gene:A07p016250.1_BraROA transcript:A07p016250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLPFSSSNANSVQELSMDLNKNRSHFSMAQPQHLLPPYSYVACPALDQTGTMNHQPLHSSDAFPQIPVVQTGGEFGYLVCKPGVRQERGGFLDPHSTKMARINRKKAMLRSRNNSNPNSSSNELVDSRRQVALTMKNNAEIAARKDFYRFSSFDNKKLRVLLVKHLKNSDVGSLGRIVLPKREAEGNLPELSDKEGMVLEMRDVDSVQSWYWSNNKSRMYVLENTGEFVKKNGVLMGDYLTIYEDESKNLYFSIRKHPHKQNDGREDESMEVIEMNFYEDIMFDYIPNDEDDSIAMLLGNLNEHYPYPNDLMDLTVNLDQHQQATSSSPPADHMSSNDFLW >A09p054780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47056740:47063249:1 gene:A09p054780.1_BraROA transcript:A09p054780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAKWKLEKAKVKVVFRVQFHATHVPQAGWDKLFISFIPADSLKATAKTTKALVRNGTCKWGDPIYETTRLLQDTRTKQFDEKFYKIVVAMGTSRSSILGEAMINLAEYADALKPFAVALPLQGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGPSTTPDHSSPDESSRSRTSPSDDSLSHVDKTNIRGSFREKFRDDSLVEEAVRPNDPDSSMGFDVSSNTSGSLNADKHDISSTNEIDSLKSMVSGDLSGLAQSPQTEKDAREWHNGWGSEYLNKNSDLGNAIEENIKLKGFVEDMESSINEIKTEVNSLQCHADDIGSKAQEFSQILISEISSGDRLVREVSVLKSECSKLKEEMERLRSVKTHVGYNSKDQDNVSHNLQLRWLQGLSVVEDNIREIQKKVCYSYHDRDLRLFLADFESLLGVLLDFKRQISTVPSEKTTTLANKNERGISGSQLDTEIYQPELDPLQYLGMPDLTSREPNSADSANAMRDKILELVRGLDESKAERDSLTKKMDQMECYYESLVQELEETQRQLLVELQNLRTEHSTCIYSITGANAEMEALRQDVNEQALRFSEEKKTLVSLNEELDKRAMAAEAALKRARLNYSIAVNHLQKDLELLSSQVVSMFETNENLIKQAFPDPGDSKPEKQDTRDVKLTQFQNEKKGMKERPLKGDILLENLQRSLQVQESLYQKVEEELYEMHSRNLYLEVFSKILQETFLQASVDIRIMKGKIDELGWQLELSTEAKEMLKQRLDITLDEVFSLNEEKTTCIAKWNAVAFQNQSLEANLQNVTHENLILLQKIDELESVVLESKNWKNSYETCICEKKELAELLEKEALEKVHLQKRFATVQAEFDSLRDKFDDLATANENLQKDVGSLKDKLMNTLGCYNEKLISLPLWEGGVDLDLESPDLAEQLDRFLCKICDKTLVLMKENKDLVEEKSKTEFHLRAAEADITELKQKHENNVRLLVAKNEALGQEISELSSVTVEHGRTKLLVEELAEEKKGLMVSLLDKSQETLGLVRELENLKTTFDQELRIEKSSRQELESKMQDLTSELTAKSSKLLSFNEQSSELVHLKQMVSDLELEKANHTHLLSGYETSLRSLNRDSSYISDLESQLLEMLEFSIAADIQIVFTRSEWETYAEEQHKEHFEVLTALNGSRNVEAQYMDNNIKLLTDLDSVKSELKTERSLRNKLESRIEELASELDEKHLLLDNFDLQKSQVKLLEKMVAELESEKSSQNLEYDRNAQRESSFIEELFQYLIAGDVHHVVTKNQCETYINDLAEQLSCCSKSHLEFQKKHADMESALNHCLVNEKRYIEENNQLLVNLEVLKSELESSMATSRALTDRNEEMSVELEEYTNRHGNAERSYSERSLCANEVEQLKSLLVEHEEEIEKLMVLKSEAEITVEVLKDKLAELCGKGASELEKLQNRCGDLTQKLSEQILKTEEFKSLSIHLKELKDNAEAECTRAREKTDYQAPLTPQQESLRIIFIKEQYETKLQELQHQLTMSKKHSEGILMKLQDSIDENEARKKAESSYLKRTKELEDKILELEADMQSVMNDRREKTTAYDMMKAELDCSLLSLECCKEEKQRLEDFVQEERLKMSKELESMKASSTQGVTELADKDIIASPRPKIQGAIQSRGVNENGDQSPSGEAMTLDKSEESLALINDSFRAETLRSSLDHLNNELERMKNENLLESQDDNDSETRFPGLEQELMQLRQAKEVLQSIFPLSLENYSCGNALERVLALEIELAEALRGKQKHSTIHFQSSFLKQHTDDEAIFQSFRDINDLIEEMLETKGRYASIETELREMHDRYSQLSLKFAEVEGERQKLMMTLKNVRASKKGMVLNRSSSATLGEH >A06p008040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2781239:2783761:1 gene:A06p008040.1_BraROA transcript:A06p008040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHLLRHRLYAATTTTAPIPITLIQQRFYNISFSPQTEDPKTIADTQESFQLNDPSQFQIRNRTFSSAEEADAARRKQRRQSRIQPPLNALQRDPPPKRDPNAPRLPDSTSSLVGQRLNLHNRVQSLIRASDLDAASRLARNSVFSSTRPTVFTCNAIIAAMYRAKRYGDSISLFEYFFKRNHIVPNVVSYNQIINAHCDEGHVEEALEVYRYILANAPFAPSSVTYRHLTRGLVQAGRSGDAASLLREMLSKGLAADSIAYSNLIRGFLDLGELDKANEFFDELKSKCTVYDGIVNATFMEYWFEKREDEEAMVCYRSLLEKKFRMYPHTGNVLLEVFLKYGKKSEAWDLFNEMLDNHTPPNILSVNSETVSIMVNECFKMGKFEEAADTFKKVGSKPTSRPFVMDYVGYCNIVTRFCEHGMLPEAERLFAEGVSKSLPPDAPSHRAMIDAYLKAERIDDALKMLNRMVDVNLRVVADFGTRVFGELIENGKVIESAEVLTKMGEREPKPDSSIYDVVVRGLCDGGALDQAKDIIGQMVGYSVGVAPVLREFIVETFEKAKRREEIEKTLNTVTRPIRNSVRSGNTAPGVPSVLGATSAAPQQPREKAPWTSQGPGSGGDNGQTGGTYNASNGQNPSWSNTSSNQQQHPWSSQMAGKQPPSWSSQAPGYQQQQPGWSSPSGHQQSWTNHTPGQQQQWVNQTDHQQSWSNQTTGQQKPWGNQNTGHHQQQWANQTPGQQQQPWDYRTPGQQQQWNNQTAGQQSAWTGQQQQQQQPWSNQTASHQQSQWSNPTSGQVAANQALWSNSGNGHLSQQQEPVSSSSHEWQDGEEKKVVELRN >A07p007090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1235467:1235712:-1 gene:A07p007090.1_BraROA transcript:A07p007090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIKLERMALYMSCISGSRDEISVWRVSLLGWVVLETVAHEVPSNLARVYLLLSVASNWLETFSQQVRGLGSWIYGLSH >A06p053380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28149801:28151188:1 gene:A06p053380.1_BraROA transcript:A06p053380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDGGNNSSHASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKKTDKKESGDVLSGLDGSPGTQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGALGESSGPVTGESDPATPAPTSEFPLQGKSGKESEPDKSLSVEESHSSYREALTPDSGCNIGSQDESAGEERSSKKPRLMRRGAAGYTSEMVVAHPILESGMNTSYHQADHALAFDHPSTSLLGAEDGLNKVSEDVL >A09g510570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32189187:32189718:-1 gene:A09g510570.1_BraROA transcript:A09g510570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHSDGFYVCCFFIKDWTMFILLIKDRILIFIKKYPMALPPKPVERKQDYSDAPVAKWLVLQLHVQYQLQTQVQEKEDHNFSHKKTSFQLALHHIHHRWDGSATGARVDMLFLWVWLTSLRVFTTSSTKLSQNSWTSN >A07p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7559606:7560847:-1 gene:A07p013240.1_BraROA transcript:A07p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid-associated protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G23400) UniProtKB/Swiss-Prot;Acc:Q9LW57] MAASSALSSPALLSNNRSPPSCGSVRRSISSSPVLIATPRSSRLSFTIPERRSLVVKSTVGEVSFREPETSGDKEGIESLKLKLLSVVSGLNRGLVASVDDLQSAEAAAKLLEAAGGPVDLTNDLDKLQGKWRLLYSSAFSSRSLGGSRPGLPTGRLIPVTLGQVFQRIDVFSKDFDNIAEVEIGAPWPFPPLEATATLAHKFELLGTCKIKITFEKTTVKTSGNLAQIPPFEIPRLPDSFRPPSNPGTGDFEVTYVDENMRITRGDRGELRVFVIA >A05g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10648140:10648452:-1 gene:A05g503720.1_BraROA transcript:A05g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSPDLPAASSHQDSNDVTNAMDSETEVNQSENSSDEDTSGISEMDYIPPQGPPNNRP >A07p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2754379:2759613:-1 gene:A07p004610.1_BraROA transcript:A07p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIQYPHPLSLSPSLKVSLPVWGMMSEMKALIAMVIVQIGYAGQDILFKLVIEDGVNVRVVVAYRLIFATIFMFLLAFIFERNKRPEFTWRLLILAFLVGLFGSAGPNILFAEALARTTATFISTATILVPLVTFVLAAILRTEHVHLGSKYGKAKVIGTLLGVGGLFFFVLYKGKEIHLWSSRIHLGGRTHSDTTPNISILAAFLALGGVISYSFWVLLQVKIGNGLGGPYWNTALMNMMGSLVALLVALIWKPDFEEWRLGWDIKLFARIFSGIMISGMAFAVIVWCVDANGPVFVSMFRHVRLVVVVIVASLILQEPIYSGSIIGTVILVGGLYLVLWAKKKEMKSMSNSVETNRTDRNVV >A05p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21591586:21598714:-1 gene:A05p037990.1_BraROA transcript:A05p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH5 [Source:Projected from Arabidopsis thaliana (AT3G20475) UniProtKB/Swiss-Prot;Acc:F4JEP5] MEETDETETESQVYMACIQHGRRVGVSYYDCSVRQLHVLEFWEEDCSDFTLINMVKYQAKPLVIYTSTKSEDSFVSALQKSDGTDEDTTVKQVKSSTFSYEQAWHRLVYLRVTGMDEGLNIKERVCYLSSMMDVGSEVQVRVSGGLLAILESERIVDTLEQNESGTASIAIDSVMEVPLNKFLKLDAAAHEALQIFQTDKHPSHMGIGRAKEGFSVFGMMNKCATPMGRRLLRSWFMRPILDLEVLDRRLNAVSFFLRLMPSKIGVGNQADDIFAGYTEICEGHIPFAQESVFSLHAMCELKFNSPTSLCTCNDWTAFMKSIGALLHVNKIFEVGVSESLREHMRRFNLDIIEKAGLCISTELDYVYELVLGVIDVTRSKEKGYQTLVKDGFCAELDELRQIYEGLPEFLQEVSSMEFEHLPHLHKENLPPCIVYIQQIGYLMCIFGEKLDETALDRINEFEFAFSDLDGETQRFFYHTPKTRELDNVLGDIYHKILDMERAIIRDLLSHTLLFSAHLLKAVNFVAELDCILSLACVAHQNKYVRPVLTMESLLDIRNGRHVLQEMAVDTFIPNDTEINDNGRIHIITGPNYSGKSIYVKQVALIVFLSHIGSFVPADAATVGLTDRIFCAMGSKFMTAEQSTFMIDLHQVGMMLRQATSRSLCLLDEFGKGTLTEDGIGLLGGTISHFASCNEPPRVLVCTHLTELLNESCLPVSEKIRFYTMSVLRPDTESANMEEIVFLYRLIPGQTLLSYGLHCALLAGVPEEVVKRAAIVLDIFESNNNVDKLNLDNISFQDQAFKDAVDKFMEFDISKGDIRAFFEDMFTS >A05p017660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8070326:8073081:-1 gene:A05p017660.1_BraROA transcript:A05p017660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIALSVSETSLRHQISIKRSRRELPPLLNRLRRRLLESDRKEIRRKKMKKTICLRNHLLLRCCHVPTRDDTEKPLIKARSSRPLPFLIFFNPKWVKGRAQGPTITMPLSSQALSSSNHFHAQALSSSNPLKLRLSLV >A10p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1102843:1105694:1 gene:A10p002120.1_BraROA transcript:A10p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative E3 ubiquitin-protein ligase RF4 [Source:Projected from Arabidopsis thaliana (AT1G03365) UniProtKB/Swiss-Prot;Acc:Q9ZVT8] MTRCGRSMVKKQEEMNVCDKVGVSPHQEKGRNNKRKLADPSQDKEASLTEFPRYEDQLKLEESDDTVEWDDPFACRLEELLSSNLLALFLNAMKQVIDCGYTDDDVLKAISGSRLYCGGSDLVSNIVSNALNVLKNGGEGGGDGSRDYVFEDLQQLVGYTLVEMISLVKEVRPSLSTVEAMWRLLMCDLNVLQAFELESSDSPGFSLSEASESLAAESNNPPNSGDPDNQKPQQTNAQSDQSEPLKFGNFPNYKNTHSSGTASGKGVASGSTVSGAGVKSTSFTLVSDEKVVSSRKGRTKKEIPMLRQKSCVEKIRTYGKGSGSYKAAKFASVGSFLLEKRAKSSSELLARNCSSKMTTEIGVKVSLEETSCFVRKKSSKSESPVVVVDGQGYITALPAIAATKASKKKSGSEPIKLVPSASDKKSGSQPAKLVPSASEKKSGSQPVKLVPSASEKKPGSEPVKLVPSASEKKSVSSVKSVSSVPIASGKKSCSESEEKASVSEKLAPDYYAGIPYDASLGIYIPRDKKDELILKLVPRVDDLQNELQVWTDWANQKVKEATGRLLKDQPELKALRKERELAKQHKKEKQLMEENTMKRLSEMEIAVKNATSQFEKANNTARRLEVEQSSLKKEMEAAKMRAAESAESYREAKERGQKSFKDSQSWEGQKAMLQEELKVQRDKVTVMQKEVNKAKNRQNQIEVTLKQEKTAKGKLTAQACAIKKERKKLEALGKAEEERIKAKAEADMKYYIDNIKRLERDITELKLKSEYSRIMALKKGGGGNEPKPRKRENHGVAKVKRERECVMCLSEEMSVIFLPCAHQVLCFTCNQLHEKEGMKDCPSCRGTIQRRIQARFARTG >A09p054830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47079924:47082329:-1 gene:A09p054830.1_BraROA transcript:A09p054830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAQSDLSLGFTSSHALPRIPIADDSITLQIDSSFRPPSSNPMPPVPLQLLEQRFDATGGSHRLHKDQDFDDDDDDQREEFILLGQPLKLKRSRGSTSTSSMRSPSPCKRFAADPETRRAAVKAWGDQSLSEADPEIHDFMEKEKQRQFRGIELIASENFVCRAVMEALGSHLTNKYSEGIPGARYYTGNQYIDQIEILCQERALQAFGLHHEHWGVNVQPYSCTSANFAVFSGLLLPGERIMGLDSPSGGHMSHGYYTPGGKKVSGASIFFESFPYKVDPRTGYIDYEKLEEKALDYRPKILICGGSSYPRDWDFPRFRYVADKCGAVLMFDMAQISGLVAAKESPNPFDYCDIVTSTTHKSLRGPRGGIIFYRRGLKGKKQSINLNHCESNIQYDFEEKINFSVFPSLQGGPHNNHIAALAIALKQAASPEYKAYIRQVKKNAKALASALISKNCKLVTNGTDNHLMLWDLTPLGLTGKVYEKVCEMCHITVNKVAIFSENGVISPGGVRIGSPAMTSRGCLESDFETMAEFLYRAALIASAAQREQGKSLKSIYHCKDIADLRNQVEAFASQFAMPAFDM >A01g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10189965:10190800:1 gene:A01g503170.1_BraROA transcript:A01g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLELKHSSFISLLNVIKPTTLPHIITAAFVELSSAITPAYMIPGDPKQLEILTVLSSWVESPLILRRRRLFVSEGASRGYAFVEYEPEKEMCRAYEDAHHSFIDSREIIVDYKRQQLMP >A02g501990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6188485:6188718:-1 gene:A02g501990.1_BraROA transcript:A02g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNSEEHLAFAAELTEFKSLWASYQGGKVVYQPRSSNTQADFLAKHTRTRNRVFSYINTSVPYWLDTRSSSLADSY >A09g511220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33816375:33816694:1 gene:A09g511220.1_BraROA transcript:A09g511220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGAGCKDVCMSMHISGVWGSSEVWTSDTYYIIVYPYEENSYAYIVVVLCTESVVCGLASHTSLDDSPVAQPSFFPLQ >A08p018820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12923102:12924239:-1 gene:A08p018820.1_BraROA transcript:A08p018820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGGDEPIIHFVLVHGACHGAWCWYRLTTLLLSAGFKATTVDLTSAGINLTDANTVFDFDHYNRPLFSLLSDIPRQHKIILVGHSIGGASVTEALCKFSDKISMAVYLAADMVQPGTTSPPHSIMSVGEEEDIWEFTYGEGDDKPPTSAQMKEEYRRHYFYSQSPLEDVILASKLLRPSPVRALRGIDKLPPNPEAEKVPRVYIKTAKDNLCDPILQDRMVEKWPPSQLYTLEESDHSAFFSVPTTLFTCLIRAVFSPEL >A08g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6082785:6085589:-1 gene:A08g503290.1_BraROA transcript:A08g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQDDTKAKEDGSSSVVGDEMAMGSFSGDEANPRIIDKSVAPGTDQSPRDANDSEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDEGEKEKEVGDEGEKEKEVGDEIEPRRNDEEADERAIIPSRQHETESHADSSRTGQIEGPTNPIGGPSNNAQSGQAHADSVEATGATPGAEALKAMEGGLMNAVRDAVRDAMKGVKEKVTSLSTQLDDGDGSDNSESEEEDGDVGGNKESEEEDGDVGGDKESDEEDGGDNNEPDEEDGSDNDVEDAILDISKDVQKEYGDVDMDDDDAEMSRKDDGKEAVPVKKVKVDCGDNVRSPIQLRSRAAEEKTAEKRTRGAKKQKAAAEKEAAAEKKAAAAAKKKAAAEKEAAAENEAATEKEAAKKKAAAKKKPKKPKTKKVGKKTE >A09g511590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34678381:34678806:-1 gene:A09g511590.1_BraROA transcript:A09g511590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPAEEYRQMKAWKRDTNMLGCVADAECGISTRCPCGGTIINEVSRNLKYPTDFDTLPGRKYFTCKNYENDGFHFRQPWVFGVQEEVEMLRKRVDAMAAEIAELKYNLTRQNPTTP >A07p014640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9105163:9105984:-1 gene:A07p014640.1_BraROA transcript:A07p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIHKYMIENPMFVPYKPERRYYNSSSLLSILLSIFTYVLIFYVFEISPSSVFKDTKVLFFISNTLIVIITVDYGAFTGKERHDFYGEYTAAAAAAMRLRADSYTPVPVFTYGENSKNNRNDVGIKNTNEEEPMVKDIVCVSLPPEKIVSEEKLREDVAIEEYKTVSEKSNAVNEENCKARNHVNRKSYGRTKSDKPRRVKITEDTKAKRRSYRRSESDSSKWMVVSQKWENVEEESEEFPKMSNEELNRRVEDFIQKFNRQIRLQSRVSST >A07p009260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5658818:5659174:1 gene:A07p009260.1_BraROA transcript:A07p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSBX [Source:Projected from Arabidopsis thaliana (AT2G06520) UniProtKB/TrEMBL;Acc:A0A178W0Y5] MACTSTMSLVTPLNQTRSSTFFNPLPLKPSKAMSAPGGRTQRLEVRASKIKFGKALTGLSAAALTASMVIPEMAEAAGPGISPSLKNFLLSIASGGVVLTVIIGAVVGVSNFDPVKRT >A02g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5939541:5940245:-1 gene:A02g501880.1_BraROA transcript:A02g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPYHLRISFVSSCSEPSNTASSVGRNLHPHPSSPEEDLNMENVYYSEMKDAGFFDPDWE >A06p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5545992:5551605:-1 gene:A06p012100.1_BraROA transcript:A06p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFLRRAKPLFTSPSQASFVSPTLTNLIHRSFHGSRIMSEAEKKILTEEELERKKKKDEKAREKELKKQKAAEKAKLAELKAKQAKDGTSAPKKSTKKSSKRDAIEENPADFVDPETPLGERKRLSSQMAKQYSPAAVEKSWYAWWENSDFFKADAKSSKPPFVIVLPPPNVTGALHIGHALTSAIEDLIIRWKRMSGYNALWVPGMDHAGIATQVVVEKKLMRERGLTRHDVGREDFINEVWKWKNQHGSTILTQLRRLGASLDWSRECFTMDEQRSKAVTEGFVRLYKEGLIYRDVRLVNWDCVLKTAVSDVEVEHIDIKEKTLLKVPGYEKPVEFGLLTSFAYPLEGGLGEVVVATTRVETMLGDTAIAIHPDDARYKHLHGKFVVHPFNGRRLPIICDGILVDPNFGTGCVKITPAHDPNDCEVGKRHNLEFINIFTDDGMINTNGGSDFTGMPRFAAREAVVEALKNKGLYRGAQNNEMRLGLCQRTGDVIEPMIKPQWYVNCSMIAKEALDVAITDENKKLEFIPKQYTAEWRRWLENIRDWCISRQLWWGHRIPAWYATLLEDERKEVGAYSDHWVVARTEEEARKEAAEKFSGKKFELTQDHDVLDTWFSSGLFPLSVLGWPDETEDFKAFYPTSVLETGHDILFFWVARMVMMGMKLGGDVPFSKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGVTLDGLHKRLEEGNLDPKELVVAKEGQVKDFPNGISECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMMRLGDDYSPPLTLSPETMPFSCQWILSVLNKAISKTVDSLNAFEFSDAANTVYAWWQYQFCDVYIEAIKPYFAGDNPAFASERAHAQHALWVSLETGLRLLHPLMPFVTEELWQRLPSPKNSERKASIMICDYPSAIENWTNEKVETEMETVLASVKCLRALRAELLEKQKNERLPAFALCENNVTSEIVKSHELEIRTLANLSSLEVLLKGEHAAPAGSAVETVNENLKVYLKVDRAINTEAEQEKIRNKIDELQKQKEKLQKMMSVSGYEEKVPANIKEDNATKLAKILQEFDFFEKESARLVAETENSGNEQQKK >A04p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16015807:16017260:-1 gene:A04p026600.1_BraROA transcript:A04p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRVYTVCDESRSKKECKPMDAEDCEEFTDVFWLKFHLQRGSWMNLFSWGIAFRSHNAPEFESLRRWSTNSIEETLPLLEFHLTRSILGSSSMNQTVKTVREKLDKIQESGNQKRIIQPISQNLQIEPDSKKTRVDNNRRRI >A01p005290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2274661:2277627:1 gene:A01p005290.1_BraROA transcript:A01p005290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLKSIPFSFQRSPKLYYLLPPLRLTSASPFCSSSSSSSQWFSFLRDAISTSDLKLGKCTHARILTFEENPERFLVNNLISMYSKCGSLTYARRVFEEMPERDLVSWNSILAAYAQSSEGVIENVEEGFHLFRILRQDVVFTSRMTLAPVLKLCLHSGYVWASEEVHGYACKIGLDSDEFVSGALVNIYLKFGKVKEGRDLFEEMSYRDVVLWNLMLKAYLDMGLKEESVDLSSAFRRSGLHPNEITLRLLDRVTGDDSERGEMKSSANGHDASKIRSKNQILTKYLKGSQYSALLQCFVDMVESNLECDDVTFILVLASAVKLDSLALGQQVHCMALKLGFDLKLTVANSLINMYCKLRKVDFARTVFNSMNERDLISWNSVISGFAQSGLEVEAVRLFMQLLRCGFTPDHYTMTSVLKATSSLSESLSLNKQVHVHAIKTNNVSDSFVSTALIDAYCRNRCMKEAEVLFERNSFDLVACNAMMSGYTQSNDGHKTLKLFALMHHQGERSDDFTLATVLKTCGSLFEVNQGKQVHAYAVKSGYDLDLWVSSGVLDMYVKCGDMRAAQLAFNCIPVPDDVAWTTMISGCIENGEEERAFHVYSQMRLMGVMPDEFTIATLAKASSCLTGLEQGRQIHANALKLNCTGDTFVGTSLVDMYAKCGSIDDAYTLFKRIEMRKIAAWNAMLVGLAQHGEGEEALQLFEQMKSLGIRPDKVTFIGVLSACSHSGLVSEAYKHIEAMHKDYGIKPELEHYSCLADALGRAGLVREAEKLIESMSLEASASMYRALLAACRVQGDTETGKRVATKLLELEPLDSSAYVLLSNMYAAASKWSEMKLARTMMKGQKVKKDPGFSWIEVKNKIHLFVVDDRSNPQSELIHKKVKDVIRDIKQEGHVPETDFTLVDVEEEEKERALHHHSEKLAVAFGLMSTPPSTLIRVIKNLRVCGDCHNAMKYISKVYDREIVLRDANRFHRFKDGKCSCGDFW >A07p008450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4999938:5002927:1 gene:A07p008450.1_BraROA transcript:A07p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSPYIGVLDDPLHAEASQRGLRFRDEVDKGPAEAISIDTDQIPSNDINKPASIDANPSPSIDSGRVSEQKEFNVCGNLRDVNTTMRSDKSWGKEEEELEEEKKDQGWSSVIIDPSLLRLCQKIQSAQQVKEKELRKTSLQEVRRWLRSIDRQNITSIDRSPLKCVDRQSFKSIDHRLTVLVDTHIKSRRKKNPSRRFLRVSTDETSSISIDSTSDQTIDCLFIVSNDCSSHRPMRPCRYQSTAFHQHRSIVTSLCRSTLSRFFKQVWKEMRLVKGNVRQDLLSCWLGSGTFWRNMVILESFEVQNCTDASDSFAVHFQFRRFEVNQHPVAEVMPVLLRSVHSASREEAVEKRNVCRSMQKS >A07p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14706918:14708532:-1 gene:A07p025580.1_BraROA transcript:A07p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLVSFPCLSSPKAKFKPMAALSKSSTTYELKKGQNRLYHKLPSGLKMEVIEQRKEKNEKRRSEKEKENPPLVFVHGSYHAAWCWAEHWLPFFSSSGFDSYAISLLAQGESDEPLGTVAGTLETHASDIANFIESNLSSSLPPVLIGHSFGGLIVQYYLANISNKQPLVTDATNAYPDLSGAVLVCSVPPSGNSGLVLRYLFSKPVAAFKVTLSLAAKRFQTSIPLCRETFFSSAMDDHLVQRYQDLMKESSRMPLFDLKKLNASLPVPKPKEYSKKVMVLGAKDDFIVDDEGLKETGRFYEVEPVCIEGVAHDMMLDCSWEKGAEVLLYWLSKPTNLSA >A03p036200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15146366:15147580:-1 gene:A03p036200.1_BraROA transcript:A03p036200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMEAVMEISTVDDIIRRLLEGKGGKQVQLSEIEIRQLCVNARQIFLSQPNLLDLHAPIRICGDIHGQYQDLLRLFEYGGYPPSAHYLFLGDYVDRGKQSLETICLLLAYKIRYPSKIFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDGKILCMHGGLSPELENLEQIREIERPTEIPDNGLLCDLLWSDPDQKSEGWSDSDRGISCTFGADVVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDQSLVCSFEILKPAPASSGIPLKKVPKMGKS >A07p026520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15154407:15155512:-1 gene:A07p026520.1_BraROA transcript:A07p026520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQQAAVSFLSNIAKAAFGLGTAATVLNTSLYTVDGGERAVIFDRFRGVMDQTVGEGTHFLIPILQKPHIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPEVMRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLIKRAKDFNIVLDDVAITHLSYGYEFSKAVEQKQVAQQEAERSKFVVMKADQERRAAVIRAEGESEAAQLISDATAKAGMGLIELRRIEASREVAATLARSPNVAYLPGGQSMLFSLNR >A05p004120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1584537:1585187:1 gene:A05p004120.1_BraROA transcript:A05p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNRNNDHVIDPDRLFWQNTASYNGSSKILLVTIVAFSIIIIIVFTYHLYERFIVRRRRSTFQGHSFTVVTQPPKRGLDKVAIASLPTFVVGVNGDHVPATECAVCLALLEEKDTARMLPNCKHVFHMTCVDTWLTTHSTCPICRTEVEPNQRLEPEPREGPVGDGASSSEYKSSGSTVVRLDSFRRILTRERSLDRNDHSGVDQDRVVDLERQ >A09p019240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10209672:10210142:1 gene:A09p019240.1_BraROA transcript:A09p019240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKLIVLFALLLLTLTLSHSRPTRPESISDQLNKNSKGNNNKNDKGYGSGGGYFGPGTGSVPGTGFGLPGFTGKDWGNIGGGCGGGYGGPTGGYNKGGVVRPTVVCKEKGHCYMKKLRCPAKCFKSFSRSGKGYGGGGGGGGCTIDCKKKCVAYC >A08p008490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4812190:4813884:-1 gene:A08p008490.1_BraROA transcript:A08p008490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSSWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCQSRALEAFRLESASWGVNVQPYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNFTTGYIDYDKLEEKAMDFRPKLLICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDFEDKINFAVFPALQGGPHNHQIGALAVALKQANTPAFKVYAKQVKANAVALANYLMGKGYSIVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEKIGEFLSRSVTLTLNIQKEHGKLLKDFNKGLVNNKEIEELKADVEKFSASYEMPGFLMSEMKYQD >A09p048210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43048676:43055668:1 gene:A09p048210.1_BraROA transcript:A09p048210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDNQNASPTVSYVLVVLTILSMDHRISQATSRVAFQEPSIAHHFQQWMIKFSRVYSNEPEKQMRLEVFRKNFEFIENFNSKANQSYKLGVNEFTDRTKEEFLATHTGLTRGINVTSSSEAVDETMSSWNWNVSNIVGQSKDWRMEASCWAFSAIAAVEGLTKIAGGNLISLSEQQLIDCDGAPNEGCRGGTMEEAFTYIAQNGGISSEDAYPYQERDGTCQSQAEPAMQIRGFQYVPRNNERALLEAVSLQPVSVSIAGLAESFSHYSSGVYSDPACGIATTHAVTLVGYGTSPEGIKYWLAKNSWGETWGENGYIRLRRDVEWPQGMCGLAQYDKENTFIRFCVNLEFLFIGSIIVKMVLRVSYVLVVLTILSMDHRISQATSRVAFQKLSSDHISDFFEEWMIEFSRVYTSSLEKQMRLEVFTKNLEYIENFNRKANQTYKLGIDEFTDRTKEEFIATHSGFSDIDVTSSSQVVDESMSSWKWNASNIIGFYSKSKDWRMEGAVTPVKNQRYCEGCWAFSAIAAVEGLTKITRGKLESLSEQQLLDCDKANHGCKGGTMDKAFDYIAKKGITGDDEYVFQGDTDGVCLLEDEPVFKIRGYDCVQRNNERALLEAVSRQPVSVGIVGNMESIIRYSSGVYDEPDCGITMTHAVTIVGYGTSREGTEYWLVKNSWGTSWGENGYIRLRRNVAWKEGMCGLAQFACYPVA >A03p036270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15200229:15201561:-1 gene:A03p036270.1_BraROA transcript:A03p036270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTMREGLPSAPQISWWNAFGSQPLTPESLAGDSDSLAGVKVRSAGGIEQGVNKQSNSASHFSFSLGDVKSPRVVPKPHGSAFSVQPPYLELGFSQPQMYTKYPCVEQQYYGVLSPYGSHSSVMLPLNMETEDGTIYVNSKQYHGIIRRRQSRAKAAAVLDQNKPSSKFRKPYMHHSRHLHALRRPRGSGGRFLNTKSQNNAKKADGTKQTQPQQSNSQDSDVLHPENVTMNLSNRLGSEVTSMNYFLSSSVHPLGGMVMPSKWITAAAMDNGCCSFKT >A03p031360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13218547:13221341:1 gene:A03p031360.1_BraROA transcript:A03p031360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRYSDNPKRKLDDNGVDNNILHKMKRHEVDADHTSPSQQPFNPWRSDENSPQNRSFSDESALVMLYTSPLEANKSCGESSIKLFLNSCLALPEEQQGCCLPVVLEFCRLLRRVCPHNSLYGSCRNTLGSLLETVQELVDKSGIEFTSLHYRLLTVGEEILPCLSELADLMEQQLYEDNLGPSLYDVQKFSSFWRPLRYAIDFQLSSLIPFALPLRSTVLEAEVGRICQIFGRLLTTMHICMLRIESSLGGRGVANTEAVALKWGQYLNILKIVNSMSELYQGGKEKVVRFINSRKVPFCALILKFVKRGDDHGWISEYREATTFECRRHLAMMLFPDGKEDYSEMHEMLIDRSQVLKESYVYISQASPAGLHGALFMEFKNEEATGPGVLREWFHLVCQEIFSPGGTLFLRSADDFRRFSPNPASKVEPLHLDYFKFAGRVIALALMHKVQVGVLFDRVFYLQLTNQKISLEDIKDTDRVIYNSCKQILEMDPVFFDSNAGLGLNFVLETEELGKRETKELIKDGKSTAVDSKNREEYVKLLISERFVTSVSELVEKFSEGFSDILSVPIQSFFRHLDQEDFDGMLRGGENQISVDDWKAHTEYNGFKETDRQIDWFWKILRKMTEEERRSVLFFWTSNKFIPLEGFRGLSSKLYIYRLHEANDRLPTSHTCFYRLCLPKYPTMGLMEQRLRFITQDHVSSSFGKW >A01g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13765669:13777079:-1 gene:A01g504580.1_BraROA transcript:A01g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFVLSPAQNTQAEAEQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFTHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADDKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRMEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNMVFLPNAEHLCVDPRAPIPDENAARDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMHSLEAASEVRMSRRRKEQGAQAKNQRALQLEKRDFRGTGEQPATPAAAIQTESGDGRRMQRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGGFQLSDPSSRKHCTALE >A09g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14938565:14939274:1 gene:A09g504900.1_BraROA transcript:A09g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEYDLTSLLEAEAALYAEEAESSYNIGEPVQCPPQPFQYLCGGHTNAEEMRAFETQLSLLKDQVRESDQKLAKLEKTLCDELCKKTSWVTVLGVSFLLSLLLLIAVIILGGTASKDSRRVSDVETVFKDIKRSHTGTCLLSVLLVENLLDLIGGKC >A01p059430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34179646:34182598:1 gene:A01p059430.1_BraROA transcript:A01p059430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGVNSGVHQSSLGYLFGSSGDSPSSAATKMGTTTTTTTTTTTDGTGRRPITTTTTTVTDNNNRLAAGVRGSPNNYFRSEGQNSGNFLTERPSTKVRSAPGGVSSLGYLFGGPNAADSCDLLELPAVAQLEKDPKYGPVHQLLKIFLTQRLNAYREFQTANSECLQSYDISSVRGESDQPQGADLTSMTEDFNFYQGGRFPGDWGSCQSLEMVNLGQNFFKGIGIKETRRCEKRTLNFLIMSLKMRSIFAQVYQKSVSAGRSRNFSSSPTNFKVSKKTAMGIYVVTRTLGFASGFLAGNYVVGDFDKKLLERLEEDMRKDEEYFKRSEAILAPLSKLPRFQDLPTNIC >A09p005960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3189941:3193019:-1 gene:A09p005960.1_BraROA transcript:A09p005960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNILNLHGTSGHNVLKAHRFTFKNDHQLTMVMENMFRRVVGEAVDHRLSQRPYLFSSWSQTERSRSETPSSRSCLKLRFINSPPSPIFMGAKIETKDGSPVAIELVDTATNARVVSGPLSSSRVEIVPLKAHFTEESWTVDEFKRYIEKQRKGRPPLLTGDVTVTLENGVGVIAGDVSFSDNSSWTWSRMFRLGARLTGGEAVEARSEAFRCKDQRGESCRKHYPPSPSDEVWRLKNIAKGGVSAKRLAEQKIYTVKDLLRGYAVCPNELYNILGRETKSGRISKRKWEAIVSHAMQCVLDEKERYIYNANALDGSLIFNSVYEVIKVSLSDGTFRNPDEVPTYQLDKLKKEAYRDLTLLKTFVEHPQRSLQYTPNPGFGIACPELQHNNFQGTSDPSGSMSSLYFTAANSTIQPESFENSPDMTFHIDRNLLQRNSFRISEHDHDAQTLATRGYIENEEDDHENTFTYHQGMSSNLSTGAADWEQQMYNSLSVSVFGTEEAGTYNVRFTNTAGSPRARWCKIKAAFKIREVWKQAAARNRGKACVAY >A09g514420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42956213:42956619:-1 gene:A09g514420.1_BraROA transcript:A09g514420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKLTILGGSLSFKILCSWRSLISVRPDNLHVSCLAVDDLPGSRLVNAEVIFAIDFEIYFLRRLKVKSPGWGPTRPGY >A09p069440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54110826:54113920:-1 gene:A09p069440.1_BraROA transcript:A09p069440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNGNANGNVWQFKGNTATSDAAAVALRKLVFKMFRNYNLNSGKPILAPSPGDPSASPSFLTCPVAEEAVAAAARSGMANSYAPSPGILKARRAVADYLNGELPAKLKEEDVYITGGCNQAIEIVIDSLAGNSVANILLPRPGYPHYDARALYSCLEVRKYDLLPQKDWEIDLDGLEAAADENTVAMVLINPNNPCGNVYSYDHLNKVAEIARKLGIVVISDEVYKHVVYGDRPFIPMGIFASIAPVITLGSISKGWVVPGWRIGWIAMNDPNSILKSTGVIQAIEDCLDLTPQPSLILQEALPDILEKTPKEFFDKKIKAMRHSVEFSCERLKDIPCLFCPKKPESCSYLWVKLDTSMLVNIKNDFEFCMKLVSKEATQFNGSIANLQ >A02g512570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34240116:34241563:1 gene:A02g512570.1_BraROA transcript:A02g512570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCKEEGIRKGAWTPEEDQRLIAYVQLHGESGWRTLPEKAGLKRCGKSCRLRWANYLKPDIKRGEFTPEENDTIIKLHALMELRDILSYGGADAGDVKDLPEFNVTDGMEFLGSWNVEDDLDLENYYWVR >A09p044640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36204673:36207536:-1 gene:A09p044640.1_BraROA transcript:A09p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKQPEKGSSRILSLTVLFIALCGFSFYLGGMFCSERDKIEAKDVTSTTTKAVASPKEPTVSALQIKSVSFPECGSDLQDYTPCTDPKRWKRYGVHRLSFLERHCPPVHEKSECLIPPPDGYKPPIRWPKSRDQCWYKNVPYDWINKQKSNQHWLKKEGDKFHFPGGGTMFPRGVSHYVDQMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTISLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRIVRPGGFWVLSGPPVNYKRRWRGWNTTMEDQKSDYDKLQSLLTSMCFKKYAQKDDIAVWQKLSDKSCYDKIAKNMEAYPPKCDDSIEPDSAWYTPLRPCVVAPTPKVKKSGLGSIPKWPERLNVAPERISDVHGGSASGLKHDDGKWKNRVKHYKKVLPALGTDKIRNVMDMNTVYGGFAAALVQDPVWVMNVVSSYSANTLPVVFDRGLIGTYHDWYGESLFTCEAFSTYPRTYDLLHLDSLFTLESQRCEMKYVLLEMDRILRPGGYVIMRESSYFMDAITTLGKGMRWSCRREETEYAVESEKILVCQKKLWFSSNQTS >A09g516110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47644553:47644921:1 gene:A09g516110.1_BraROA transcript:A09g516110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKSPKDQAQTTYTSPKTKMRVTQKETRGKRLDTPPAPSKLPPERSLESRWKHEPSPEFDDIKSSPDHPNHLSTGNFRELTTITEKPPPRTVLEVSEIQHPPLNRLSFGER >A01p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7197861:7199187:-1 gene:A01p014850.1_BraROA transcript:A01p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLVVKKGPWTAEEDKKLINFILTNGHCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSHDEEQLVIDLHAHLGNKWSKIASRLPGRTDNEIKNHWNTHIKKKLVKMGIDPMTHQPLNQEPNNTYNPQNLSSTSDDISMKPRSGSTKETNGTLTEDESSSTVTGQNNSTDSENHLLSNIYNDEELFSHLWSDETTTAEASWSDSNDGFGGTIYHHDNNMSGAGADFPIWSPEGINGNDWTFLDYCQDFGVHDFGF >A01p049090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27602352:27603733:1 gene:A01p049090.1_BraROA transcript:A01p049090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGLDKVSIDTNYRLLINTPFIPSIDATTELSITFLLASFTVRVAAFVAADNKVAVHHCAFLVTKVDITTMSVTSKVPSTSSSVNCEIFVVSDKRVKPYGSITAHHRENADENTGYVFIRGKVYGIDDVYLGRAKGPYSRVIFAKTYLSKTVVPNGWTNWSYDGSTNISVLDQVLIDNVRRSDWAKELTKQEDESFLSIDFIDGTYWLPVLLQQAS >A03p023570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9954483:9957035:1 gene:A03p023570.1_BraROA transcript:A03p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g40720 [Source:Projected from Arabidopsis thaliana (AT2G40720) UniProtKB/Swiss-Prot;Acc:Q7XJN6] MRFKLHDVHIRRTISSLAESYTSPASLSSRIRALVQKAEYLQALHLYTNHDNSSPLCTSVFTFPSLLKACSSLTNLAYGKTIHASIIVLGLRQDPFVATSLVNMYVKCGSLDNAVQVFDGLSRCGDVTVWNSLIDGYFKFRRYKDGFDLFRRMVVVGVRPDAFSLSILCKGGDFRRREGKQIHGYMVRNSLGDDSFLKTALIDMYFKFGLGTYAWRVFLEVEDKSNVVLWNVMIVGFGDSGSSECSLELYVLAKSNSVKLVSTSFTGVLGACGRSENFVFGRQIHCDVVKMGLDNDPYVCTSLLLMYSKCCMVGEAETVFSCILDKRLEIWNAMVAAYAENGYGHGALELFSLMREDRVLPDSFTLSNVISCCSMLGLYDYGKSVHAELFKRPIQSTPAIESSLLTLYSKSGCDTDAYLIFKLMEEKDVIAWGSLISGLCKNGKFEEALRAFGSMKDDDDRLKPDSDIMTSVINACAGSEVLTLGLQFHGGMIKTGLVLDVFVGSSLIDLYSKCGLPETAFKVFTSMRTDNIVAWNSMISCYSRNSLPELSIELFSLMLNHGVFPDSVSITSVLVAISSTASLLKGKSLHGYTLRLDIASDTHLKNALIDMYVKCGLSKYAENIFRKMEHKSLITWNLMIYGYGSHGDCHRALSLFDEMKKAGESPDDVTFLSLISACSHSGFVQEGKNIFEIMKQDYGIEPKMEHYANMVDLLGRAGHLEEAYSFIKAMPIEPDSSIWLCLLSASRTHHNVELGILSAEKLLRMEPERGGNYVQLINLYMEAGLKKEAAKLLREMKEKGLQKNPGCSWIELSNLTHVFFSGGSSSLMASEIFNVLNSLKSNMTDEDELT >A09g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28246936:28249655:-1 gene:A09g509700.1_BraROA transcript:A09g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGPTTMAWVHRRGRSKAGGQGIAGKIVGENRLQFVITKLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYCDAWGDMVPALFPDEEEMEFAEQPNAPIQETTVRRQILMPHFQRAAEYRRLYQGQGTFQFAPEVDMTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKQGRPRKILSIDAESLRSITGVCRCGTLMQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIWGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSEWEEEPASSTGSGRAAGSKPEGEQKSPKRSPAENSRRSEALAVDSFSLPRAASLFFLSFRRVSLSSLSPRRASFSPLSLHAASLSPRHEQPRVVVVAGGINYPGRVERKKRGGRSVQKRRRCGAIASDKNGRVRIEAPVRLSHAESWWERVVIHCKGGPYPRNWATGLPGQATSQEAARKGEEWSA >A07g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16724561:16725124:-1 gene:A07g506710.1_BraROA transcript:A07g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFIFGFGYASFSDLKDFWDDLPVSRLKYNALEDFQDDLPGSLLTKSSHISLFHNLCKFVYLIFLHLVFNQMVLIFHLDIYFVCSIKSFEHFFISMFFISGADFGRFMGSFLRYNALEDFEEVF >A08p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16789618:16794426:1 gene:A08p026330.1_BraROA transcript:A08p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) UniProtKB/Swiss-Prot;Acc:Q9FPS3] MASLRCLRELSRRATTVLSINQTRLISSVRRLELPGTSITHGIPITNQSLTRDLPWYRSQGRHFSSKAKDTDESSEGEDDDDDEDYEDSAEMEVEREYSPAEKVEAAAEIGYKVMGPLKSSERLFKLYEPVFAVVQIGSHQFKVSNGDSIFTEKLKFCDINDKLVLTKVLLLGSASQTIIGRPILPDATVHAVVEEHALDEKVLIFKKKRRKNYRRTTGHRQELTKLRITDIQGIEKPEPKIVHKPSKAAHTEAELVFVFGSFTEHETRSLLEQKLINPPQSHKEKSVKSIQFGSFNPVTENSPVNSANGQLKKGQTDEAVKSRPSSSHKEDKSFQSALTQRSLDASRPSSSHKEDKSFQSGESQKRLDASSSDKINDNAAKKLSGEHVEENGTIKEFSERKPPLNNGVAVKATDPIGLEKLCVSDGESDSLCIASSSKFQALDTDIFSNDSSSGTTIPRKNSHMVSAESIPAMKDFTPRGLINAGNLCFLNATLQALLSCSPFVQLLQGIQLQNIPKAESPTLAAFSEFISELDVPSSSSFRNNVAVVESGRPFTPAMFERVLRNFTPDVLNNMSGRPRQEDAQEFLSFIMDQMHDELLKLREVSPKLTASKSSVVSSASDDDEWETVGPKNKSAVTRTQSFVPSELSDIFGGQLRSVVKAKGNKDSATVQPYLLLHLDIHPEAVSTIEDALHLFSAPEDLEGYRASVTGKAGVVSARKSIKIQKLSKIMILHLMRFSYGTQGSTKLHKRVHFPLDLNLGRYLLVSPSNGGLKYELVATITHHGRDPSKGHYTTDARRKNNQWLRFDDASVTAVGTKQVLHDQAYVLFYKQV >A05g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26123526:26126923:1 gene:A05g508790.1_BraROA transcript:A05g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPHAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFEFWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQTDFDEIFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGEEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A06p014680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6560680:6562101:-1 gene:A06p014680.1_BraROA transcript:A06p014680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G17240) TAIR;Acc:AT1G17240] MVYEEMRSKAKGLLRPLTTKPLQPVSCHIHLFCLLCVLILSALFFTLSEAVCNSQDQESLLWFSGNVSSSVSPLNWNLSIDCCSWEGIKCDDTSESDVTAISLPLRRLSGNLIWSVQNLHRLSHLDLSHNLLSGPLPPGFFSALDHLMVLNLSYNSFSGELPLEHGSNKFFFPIQTIDLSSNLLQGQILNTSIYLLGAFSLISFNVSNNSFTGPIPSFMCMSSPQLSHLDFSYNDFAGRISRGQGRCLNLSVLRAGFNNISGEIPVEIYNLSELEELFLPANHLTGKIDNSITRLKKLTLLELYFNHLEGEIPKDIGHLSSLQSLQLHVNNITGTVPLSLTNCTKLVKLNLRINRLIGNLTELEFSQLQRLKILDLGNNSFTGDVPEKVFSCKSLTAIRFAGNKLTGQIPPQVKELESLTFLAFSDNILTNITGALSILQRCRKLSILMLARNFYDETFPSNVNFVSSDGFPS >A03p008580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3465375:3466923:1 gene:A03p008580.1_BraROA transcript:A03p008580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLRNGLEKTERFNIVSKDEGVPLVAFSLKDSSSHTEFEISDMLHRYGWIVPAYTMPPNAQHITVLPVVIREDFSRTLAERLVIDIEKVMRVLDELPSRVIHKISLGEENSEADGDNLMVTVKKSDMQSRERSSIYGRSLFQTGRRLMVSGN >A07p044890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24404104:24407490:1 gene:A07p044890.1_BraROA transcript:A07p044890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTVSLAPSLASPPLLLPPHDLPPFFFLRNTVLKPTIATRTRHRLLASSCLAAKAATSPSETANDVVLETTPTPAHEVVSSFYAAINVHDLSSVTDLIAQDCVYEDLVFSSPFVGRTAILDFFGKFIEATSTDLQFVIDDISKEDTSAVGVSWHLEWKGKNFPFSKGCSFYKLEVIDGKRQIVYGRDCVEPAIKPGDTVLAAIKGVTWLLQKFPQLADQKRGRKRRVGKTEAHVEKVWLPSIILLLPSLSTCPFCCVDKCTSSILLLSSSLSLTSMATTASPSLPRNYSFPPPPPSFTRATSLPKTLSLPNRRFALTYRLNQNSKQRGGVIVRCEATEVSPSSSSSVASPGRNWVPVVPLSALPKGERRVIIQDDETILLLWYKNDVFAIENRSPAEGAYSEGLLNAKLTQDGCIVCPATDSTFDLRTGEIKEWYPKNPVLRVLTPALRKLFVYPVKFDEENIYISIRGNGKNEAAAEIVFSGKAQPGLTATNVNVDEVRMIVDEGSQGFGFSRKNEVINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFLYSASDAFK >A03p061650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26769261:26770847:-1 gene:A03p061650.1_BraROA transcript:A03p061650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKRVPTVVSNYQKDEASDGSVGGCGRNCLRACCVDMARLPLYVCKKVEDSGEKAREPPVAFLESLVLGEWEDRYQRGLFRYDLTACVTKVIPGKYGFIAQLNEGRHLKRPTEFRVDKVLQSFDGNKFNFTKVSQEELLFQFEAGEYEEAQFLPCMPLLAENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRMDHKSMLLALHMASEAANPYFRVGYNSLGAFATINHLHFQAYYLAMPFPLEKASSRQMITTDHGVKVSELLSYPMRGLLFEGGNSMQDLSDTVSDACICLQNSNVPFNVLISNCGRQIFLMPQCYAEKQALGEVSPEVWEAQVNPAVWEISGHMVLKRKEDYEGATEEKACRLLADASLSEERFKEVNALIFEAIGCSKPRGGA >A03p041560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17351480:17353068:-1 gene:A03p041560.1_BraROA transcript:A03p041560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHSEAESAAPPKKDDSSPERPKRTLLGWKDKTQDAEETKAAPEFRNKEKVLVTCSRRISFRYRHLMLNIVSLLPHCKKDSKVEAKSSKGATLNELVELKGSSSCLFFECRKHKDLYMWMVKSPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDVHWKLLKEMLTQVFGIPKEHRKSKPYHDHVFAFSIVDDHIWFRNYQISVPHNEADKVARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRNKAGKFAKKIKAKTRKKMHEISNPLEPDEFADMWKDDE >A01g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16626029:16627332:-1 gene:A01g505600.1_BraROA transcript:A01g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAFQVNDEIKMETPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIVKEEADADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWKKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A06p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3746231:3748052:1 gene:A06p010400.1_BraROA transcript:A06p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLAC1 [Source:Projected from Arabidopsis thaliana (AT1G12480) UniProtKB/TrEMBL;Acc:A0A178WLC1] MEQKQSAPRSNFADINEVVPEEDAEQEPQQQDKNKRFSSNRGPNRGRQRPYRGFSRQVSLETGFSVLNRESKGRGEKKSLPRSGRSFAGFETRGIINNGGDGRKGDFSIFRTKSTLSKQNSLLPSVIRERDIENSLRGEDGETKDESINENVSAGRYFAALRGPELDEVKDNEDILLPKEEQWPFLLRFPIGCYGICLGLSSQAVLWLALAKSPATHFLHIPPMINLVIWLLALVALVSVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLAISVPPVLSQKPLHPAIWCVFMGPYFFLELKIYGQWLSGGRRRLCKVANPSSHLSIVGNFVGAILASKVGWNEVAKFLWAVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWNTIYGQFDGCSRTCFFIALFLYISLVVRINFFTGFKFSVAWWSYTFPMTTASVATIKYAEAVPCFPSRALAITLSFISSAMVCVLFVSTLLHGFVWQTLFPNDLAIAITNKRLTKEKKPFKRAYDLKRWGKQALSKKISAEKDIEPEDESHH >A09g517400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51552647:51552951:1 gene:A09g517400.1_BraROA transcript:A09g517400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPVFQALSICTGGASSSHHKKFENSVSTEEEDLVPTMKDEVNGEEEKFPSFCCLLVIKMKNKKCD >A03p061760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26810268:26812390:-1 gene:A03p061760.1_BraROA transcript:A03p061760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTVLLCGVVLIHLFASQTDAQRPRSPWKTLSGDAPRVISRGGFSGLFPDSSLDAYNFALQTSVADAILWCDVQLTKDGAGICFPDLNMSNASTIEVVYPKGQKTYPVNGVPTQGWFTVHFSLKDLNKVYLIRGILSRSDRFDGNGYTISTVQTVNTELKPRGLWLNVQHDAFYAQNNLSMSNFLISASKNVSIDYISSPEVNFFTKIAGRFGHNGPVFVFRFLEKETFEPTTNRSYGSILSNLTFVKTFASGILVPKSYVLPLDDKQYLLPSTSLVQDAHKAGLEVFVSGFANDVDIAHDYSYDPVSEYLSFVDNGNFSVDGVLSDFPISASASVECFSHLRRNATKQVDFLVISKNGGSGDYPGCTDLAYDKAIRDGADVIDCPVQMSRDGKPFCSHSIDLSNITNIAQTSFAQRSTHVPEISSNDGIYTFSLTWSEIQSLIPAISNPYRVYNMFRNPNEKKSGKLILLSEFLKLAKHSTSLSGVLISVEVSSPTS >A03p037030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15519016:15521585:1 gene:A03p037030.1_BraROA transcript:A03p037030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHKEMALDEDKKQRCLVAGSVSAVLLIMVVSVAVITSKNSPNENQIRQTTKAVKAVCAPTDYKDICLKSIMDASPNSTEPLELIKLAINVTIESINQGLKKASIDVKPKTDEDPEAKDAFELCEKLMFDAIDDLKKCLNRGFSAAQIVGFVEDLRVWLSGAIAFQQTCIDSFKEIKSNTLMIDMRKIFKPSKRLTSNSLAMVTELSTILPNSNTTGLTKALSKYARKLLNTEEDGIPTWVRPEVRRLMEEEAPPIELEPQPPPPPPPARTDAVVAQDGSGQFKTIAEALNFVPKDNSPFIIYIKTGIYKEQVKITKKMPYVTMIGDGQNLTIITGSLNFGIGRVKTFLTATVTIEGEHFTAKAIGIENTAGPDGRQAVAMRVSADYAVFYECKFDGYQDTLFVHSQRQFYRDCTVTGTIDFVFGDAKCILQNSVIVFRKPKKGQTCVVAAQGRSDRHESTGLVFQNCHITGDEEYMAMRPAKKSFLGRPWKRFSRTIMLMSALDDVIDPDGWLPWKGEFALKTLYFAEYLSGGFGANDSLRVKWPGVKNITAEDAQLYTGGRFLGGDSWIPHTQVPYIANL >A01p036420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16451108:16452237:1 gene:A01p036420.1_BraROA transcript:A01p036420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSNVRSSYYNVLGIRKDASVSDVRAAYRKLAMKWHPDRYARTPDVAGEAKRRFQQIQEAYSVKTFRLTVLNDENKRSMYDAGLYDPHEDDDDDFCDFMQEMISMMNNVKGEGDSLEDLQRMFTDIVGGDSVSFACNDNSRNSKRPRVNISRNSAAMR >A09p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20322795:20325229:1 gene:A09p033630.1_BraROA transcript:A09p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 4-epimerase 5 [Source:Projected from Arabidopsis thaliana (AT4G10960) UniProtKB/Swiss-Prot;Acc:Q9SN58] MVSKNVLVTGGAGYIGSHTVLQLLTGGYSAVVVDNLDNSSAVSLQRVKKLAAEHGERLSFHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVDKPLLYYNNNLAGTITLLEVMAQYNCKNLVFSSSATVYGSPKEVPCTEEFPISALNPYGRTKLFIEEICRDVYGSDPEWKIVLLRYFNPVGAHPSGDIGEDPRGIPNNLMPFVQQVAVGRRPHLTVYGNDYNTVDGTGVRDYIHVMDLADGHIAALRKLEDCKIGCEVYNLGTGNGTSVLEMVDAFEKASGKKIPLVTAGRRPGDAEVVYASTERAESELNWKAKYGIEEMCRDSWNWTSNNPYGYDSSDA >A05g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12249764:12257403:-1 gene:A05g504410.1_BraROA transcript:A05g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITQQTEHLQTSAIDFSETNEVEVSRRIAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTYQHNRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKIADKVKPDKKRKAAASSQNTRMDNVFLRRSERVTKQSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGIHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDIFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNNIDIPQVKKLAVLITNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASGERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIVSPQLIDVLLIEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRGASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKESVTKLEKLQRVTSYETPNNETDTTPFHDTASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPILTPIQTQQDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEGKPDVGKKKKANAQPVDMLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLAHWMDLRGIYRVPFYINGKEIKKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFCNNNLPAACFVPVKFLEVVGYAYESVRKPHKKKQTLLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSYHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A10p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21094183:21102012:-1 gene:A10p037390.1_BraROA transcript:A10p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLEALCERLYNSQDTAERAHAENSLRCFSVNKDYIPQCQYILDNSSQPYSLMLASSSLLKQVTDHSLPLNLRLDIRAYIVNYLATRGPEMQPFVIASLIQLLCRLTKLGWLDGDRFRDVVKESTNFLEQGSADHYAIGLRILDQLVQEMNQPNPGLPSTRHRRVACNFRDQSLFQIFQIALTSLSYLKNNAAGRLQELALSLALRCVSFDFVGTSIDESTEEVGTVQIPTSWRSVLEDPSTLQIFFDYYGSTDSPLSKEALECLVRLASVRRSMFTDDATRSKFLAHLMTGTKEILQTVKGLTDHDNYHVFCRLLGRFRLNYQLSELVKMDGYGDWIQLLAEFTLKSLQSWQWASSSVYHLLGMWSRLVASVSYLKGDSPSLLDEFVPKITEGFIISRFDSVQANVPDDPTEHPLDKVEVLQDELDGFPYLCRFQYERIGMYIINTMEPLLQSYTERGKLQFADNSELALIEAKLSWIVHIVAAIVKIKQCSGCSMETQEVLDAELSSRVLRLIDVMDSGLHIQRYGEISKQRLERAILAFFQNFRKSYVGDQAMHSSKQLYARLKDLRGLDDHLMLLNVIVGKIATNLKCYTQSEEVIGHTLSLFHELASGYMTGKLLLKLETVNFIVSNHTREQFPFLEEYRCSRSRTTFYYTIGWLIFMEDSSIKFKTSMEPLMQVFRTLESTPDSMFRTDAVKFALIGLMKSLRGISMASSSKKSYGILFDWLYPAHMPLLLRGISNWFDTREVTTPLLKFMAEFVHNKNQRLTFDSSSPNGILLFREVSKLIVAYGSRILSLPNVADIYAFKYKGFWVSLTILSRALSGNYCNFGVFELYGDPALADALDIALKMTLSIPLADILTYRKLTKAYFGFVEVLCSSYITFILQLDSATFMHLVGSLESGLKGLDTSISSQCAIAVDNLATYYFNNITMGEAPNSPAAIRFAQHIADCPSLFPEILKTLFEIVLLEDCGNQWSLSRPILSLILISEQIFSDLKAKILSSQPVDQHQRLSACFDSLMTDISRGLDTKNRDMFTQNLNRFRLEFRVK >A07g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13673126:13676977:-1 gene:A07g505910.1_BraROA transcript:A07g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADMEDYGFEYSDEEQEEQDVDIENQYYNSKGNIETEPEEALSGFAEVVRMEPDKAEGFKALKQTVKIYYRLGKYREMMDAYREMLTYIKSAVTRNYSEKCINSIMDFVSGSAGQNTGLLQEFYQTTLTALEEAKNERLWFKTNLKLCNIWFDIGEYRRMTKILKELHKSCQKEDGTDDQKKGSQLLEVYAIEIQIYTETKDNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLVAAYQRNEIIEFEKILKNNRKTIMDDPFIRNYMEDLLKKVRTQVLLKLIKPYTKIGIPFISKELNVPEKDVTELLVSLILDSRIDGHIDEINRYLLRGDSANGRKLHKAVDKWNTQLKSLSMSITNRMGKVLHDSESGQNLALEKTFHKGPWTSAEDQLLTAYVDKHGEGNWNAVQKHSGLSRCGKSCRLRWVNHLRPSLRKGGFTDKEEQLVIELHASMGNKWARMAAQLPGRTDNEIKNFWNEETTATWLAHLP >A06p011640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4340596:4342288:1 gene:A06p011640.1_BraROA transcript:A06p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHMLVYVDRVMRPVLAEPSSLTVKEDNAVYVYDGDTFATEMWSAECRICQEESAIMNLESPCACNGSLKSYQAGYISPPPPLRSEETTIDIGGGWTISGLDLDDPHLMATAEAERQILESEYDDHTAPDTSLAAFFRISALILMTLLLRCALTIPDYADGEDEDTSSILSLFLLRAATFLLPCYIMASAISILHRRRQRQEAVALATRFALVLSSRQPRAMINYLSMEP >A03p035700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14980177:14982601:1 gene:A03p035700.1_BraROA transcript:A03p035700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLIPLSSSFLQPLKFKTLAKPRTPLNLSTTRKTITTCDSQNKNTEASSNPSSRNPNFQKRRKSSKYGTSRRSILKKSFLQEQVTFTARVSEDPQVAIIGGGMAGLVCALNLEARGVKSTVFDTGIHGLGGRLGTRFVEPQGLVFDHAAQFFTADDSRFIQYVDGWLEKGLVREWKGAVGELEVGGSFTQFPSSSPPKYIAVNGMRSLADSLLLESRLANVVRPCWISKLEPLNGMWHLSENGTPRGQFDVIVIAHNGKCANRLLSASGLPLVAKQMKKLDLSSIWALLAAFNDPLPTVNFEGAFVKGIESLSWMGNNSAKLGNGGTPHCWTFFSTAAYGKQNKVPQENIPTVTSEKVRAGMLQGVEIALGLPEGSLPKPVYTRLQLWGAALPKNTPAVPCIFDPQGRAGICGDWLLGSNLESAAISGEALGNHIADYLQNSEADPEEFAIGLHDWPSPLAGHDIGQFPGLTSVGEKQEAEAYQLL >A01p013510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6597447:6598674:1 gene:A01p013510.1_BraROA transcript:A01p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSKMARLPGLLQTRATVFLIRNYHKQPIEVVWKKPEHGWTKLNFDGSRKHGQASIGGIFRNHKGEFILGYSEPIGAATSTVAEFAALKRGLELVLENGWTDLWLEGDAKIIMDIISKRGRLRCQEAKKHVNYINVVMPGLSNCVLSHVYREGNRAADKLAKLGHQFQDPKVWRLHPPDIVLPIMHEDARVLETVTQQQNLTAKNGVILNGQESKIGTSSSSYNLDAALEPEDVRSLISFMASLTLWIAIFFT >A03g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21990824:21991148:-1 gene:A03g506170.1_BraROA transcript:A03g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSIETGVPQRRSLYPPIEPYNNGILKVSDTHTLYWEQSGNPDGHPVVFLHGGPGGGTSPNNRRFFDPEFYRIVLFDQ >A07p052440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27675973:27677487:-1 gene:A07p052440.1_BraROA transcript:A07p052440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-like PV42b [Source:Projected from Arabidopsis thaliana (AT1G80090) UniProtKB/Swiss-Prot;Acc:Q8GXI9] MMTSLSASSQFLLRLSFNFKPFVCLLTRTQNLHAMQSSNRLNPSRLIDFTVKDLTVDKLRLIEVPDNATLADALNAMSLFCIKPMANRVRAVPVAAVPGQWLGAGGSMIVESDKETGRAKKQYIGMVTMLDVVAHIAEEEEENGLDKKMAAHVSSVIGHCPEGRSLWSLNPNTSIMDCMEILSKGIHRVLVPLDSNVENTSGPELVESASAYTMLTQMDLVSFFLDQSSHLQAILSCTVTDLSAVNDNVLAITSKARVKDAVKCMSMAMLNAVPIVESSSDEEDHTQLVDGKNRRVVGTFSASDLKGCHLATLRSWLPLNALEFAENIPRTPLFASAPARELVTCHVTSTLVQVIRMATTRRVHRVWVVDKNDGLQGLVSLTDIIAAVRAAISTS >A06g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20006774:20007487:-1 gene:A06g507230.1_BraROA transcript:A06g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVQDVLNISTKVHVFHRAILNLGRARLSLGGEETKDGHAFSSGRPSGKSRKRPYLYPVHPSGSDESRHLDWYSPFSPTHSFFLWWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLK >A05g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22987225:23000286:1 gene:A05g507890.1_BraROA transcript:A05g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHELQKVVSCQRVLVTKRYEIPKVTNIKRYEDREVRMARGCMFQTVSFGTGLRLTQPIGSRPKAGSGKGLRWAIEPDFIGRSHLDSIRLDGLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSINERLCNVWVDDARDELVIVYETVKKLCIGSHVSNEYNTHDVCGCPSAHTGRLWLFVCVCVCPSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGNFGPRGLFVQYTLDVRGCPSAHTGRPMLALPVDCSGDFGPRELSVQYTHDVPHTGRPLLSISTHISTLVLGISMLALPVDYLGDFGPRGLSVQYTQDVHGCPQHTGRLWLSMAVRQHTHDVCGCPCVSMCVCMCSWVSASTHKTSVAVCGCLSAHTERLCVSVSTHRTSVCVCVYPSAHTGRLWLSISTHISTLVLGLSTLAIPVDCLGDFGPRGLSIQYTQDVRGCPPAHRTSVAVRGCSWLSVSTHRTSVAVRHHTQDVRGCLSAHTGRLWLSRSTQRTSVAVRVCLCVSVSTHNTTHRTSLGVHQHTQDVCVCPSAHTGCPWLSVCVRVCPSAHTGLPWLSNSTHISTLVLGLSTLALPVDISGDFGTRGLSVQYTQDVRGCPPAHTERLWLCVAVRVCPCVFVFVRQHTQDIRGCPSVHISARWSLDSARWPFPWTVRVILAHVSCLFSTHMTSVAHTRRPWLSISTHISTLVLGISTLALPVDYLGDFGPRELSVQYIQDVRGCPPAHRTSVAVCGCPSVAVRLWLSVAVRQHTHDVCGCPCVSMCVCVCSWVSSSTHKMSVAVCGCPSAHTERPCVSVSTHRTSVCVRVCPSAHTGRLWMSISTHIRTLVLGLSTLALPVNCLGAFSPRGLVVQYTQDVPGCPSAHTGPHTGLPWLSISTDISTLVLGLSMLTLPVDISGDFGPRGVSVQYTQEVRGCLPAHRMSVAVRLWLSVCGCLWLSVAVRQHTHDVCGCPCVSMCVCVCSWVSSSTHKTSVAVCGCPSAHTERPCVSVSTHRTSVCGRVCPSAHTERLWMSISTHIRTLVLGLSTLALPVNCSGAFSPRGLVVQYTQDVPGCPSAHTGRLCLSVSTHMTSVAVCVCPCVSVSTHRTFVAVHQYTYQHVGPWTQHAHPSRRHFGTSVAVRVSPSAHIGRLWPSLCVCVCPSAHRRRPWVSISTHISTLVLGLSTLALPMDCLGDFGPRGLSVQYTQDVRGGPPAHTERLWLTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGAHMTSVAVRVCPCVFVFVRQHTQDVRGCPSVHISARWSLDSARWPFPWTVWVILAPRGLSVQYHRTSVGSASTTERLWLCVAVRQHTHDVWAVRVCPCVFVFVRQHTQDIRGSPSVHISARWSLDSARWPFPWTVRHTQDVRGCPSVNISVRWSLESARWPFPWTIWVILAHVGCLFSTHSEVVIRSATEPEVNPKPYSTSQGANQDIRALKMPYLTNKEGLNNEANIYGFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSRSICEYPTLEGDLSSSKERPEAKPIIGVKRSLSAFQKAQDQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHLNQSGDILVIQEEFYKLIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAVNKVPRKLTYPLKPSRYKPWFHHSINSWVTPPCAYNILVSEHKLLNQEAMVGETHGHCQMAKENQHLTALQEVDLIAQLRKRKKAQGQRPQPGERRFGDAPEAVYVEPKPPDPSRINQTPTSQTHKHHVQEEGDRWFYKEPTIKTWRALKEVMRYEFAPKITSSEIQELYPRRYPTHGSKKARKDVPKEGHRSLIHQDQIRPNQKPTVFYDQNQPIEVPKTMEEKKFVSQDTLARHKEKPDKLIFQEKAKGDVTGTKEHEFKGEDPPGATPVMNQKMRFLSRSICEYPTLEGDLSSSKERPEAKPIIGVNRSLSAFQKAQDQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHFRPGDHLNQSGDILGIQEEFYKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAVNKDVRGCPPAHKTSVAVCGCPSVTVHLWLSVAVRQHTHDQHKTSVAVCGCPSAHTERPCVSVSTHRTSVCVRVCPSAHTGRLWMSISTHIRTLVLGLSTLALPVNYSGAFSPRGLFVQYTQDVPGSHTGLPWLSISTHISTLVLGLSMLTLSVDISGDFGPRGVSVQYTQDVRGCPPAHTGRPWLSVCLRQHTQDVHGHPSRWPFPWTVWVILAHVGCLFSTHRTSVGVLQHTQNVCGCVWLSVSTHMTYVAVRVCPCVFVFVRQHTQDIRGCPSVHISAHWSLDFARCPFPWTVWVILAHVDSLCSTHRTSVGARQHTQDVCGCPWMSVSTYRMSVAVHQHTQDVCGCPSAHTRRLWLSVCVRVCPCVSVSTHRTSVAVHQYTYQNAGPWTQHAGPSRGLFGTYRTFVAVRVCPSAHTGLPWLSISTHISMLVLGLSTLTLPMDISGDFGPRGGVCSVHTGRPWVSASTHGMSVAVCVSPSAQTGRLWPSLCVRVCRQHTQNVCGCLSVHISARWSLDSAPWPFPWTVWGILAHVGCLFSTHRTSVAVRQHTHDVCGCPCVSMCVRVCPSAHTGHPWLSISTHISTLVLGLSTLPLPVDCSGDFGPRGCPSANTGPHTGSPWLSITTHISMLVLGLSTLTLPVDCLGDFGPRGLYVQYTQDVCGFPLAHTGRLWVSASTHRTFVAVRVCPSVSVSTHRMSVAVHQYTYQHVGPWTQHAGPSRGLFG >A06p043140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23210150:23212501:-1 gene:A06p043140.1_BraROA transcript:A06p043140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCDQPDIISNLPDVLLVLIISCLSFKECVQTCALSKRWRSVYLETRNVSFKETDFLSPSVDANPIRNALGRIVFVDYVRRWVTRIYDQPIDTFGISISYPETYIDLIESLIAFAVGKKVKNLVLDFSNPVWRTFHDVTLDELVVEIPQSVYELATLESLKVGACKKFDPSKLSNLGKLNTVSFGWMELTDPKPLLKTSRVKSLTINDCGELYFDTIKGNMREVAIKNCDFFPNCTFNLPSVDILKYSGDLLPFEFDNMNTIISEVEFDFRVLDNNNDESNDSNTAEGGMLCHLLNNLLDYGGRSATTLTVCPFLLKMIPRSEHPHVLHPMETKHLVLKTELHPREFNGIRLLLMNCPNLETLTIDLLPPSPIATASSYADIDPETYWMQNISYECQRETLKAVAVKNFFGGSKELHIVKFFIRGCERLERVELYMPFDLDKGRKRLAYAKSEMLQRSSNRVQVVVHNS >A04p012780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3834251:3834820:1 gene:A04p012780.1_BraROA transcript:A04p012780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAFLNQFFYDAAANLEIEMDSLRRYVVEGDEQHVSRELNRVEEAGTEDSTSTSTDGMTSMSTDGMTSTSTNGRTSMSTDSRNLTSTDIITSTSTNGRTSASIDGMTSTSTDDTTSTSPDGAISTSTDATTLTLIDGTNSTSTNNTTSTSIDDVDREITMEDFLELEDGEKLEDLGSGGEVTMEDFLD >A09g502500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8348585:8349181:1 gene:A09g502500.1_BraROA transcript:A09g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMDTIDLAIRECNAWFLANEVIDPGESTMECIPRTPTEEPTFICRVDGSWKNDETTSGVGWILQLQDGSIDILGLQGCHRQISPLHTELKSLIWALKCLLRFQRYCNYFVTDSQELVKMIATPEDWPAFATELDEFKTVWASYQDGQVVYKSRSINTKADFLARQARTRKRVFSYVNTCVPHWIDTRNSAFADSY >A03p010660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4275272:4284036:-1 gene:A03p010660.1_BraROA transcript:A03p010660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLTSSPVTVSARSFSELRTQGCFCRCSQAIGRPSFGEDQGGRGKDVVWEVSYSHQSKPQGAQIIYSKYAGTEVEFNGEKHLILKEDDIVGLLETEDIKDLKPLNDRVFIKVAEAEEKTAGGLLLPKRSLLLARAGNDFKGKDGSSCILLRASDVIMAILSFFFYTVVSRVATVLKTRYTATGFWVAGLSLFEEAERLVSDTSEKKHLKSCIEQAKEQLTEVDIQPTEGYLFEGHLTVDREPPQPQWLVQQNLMSAFSSIVAGESSNAAAAAVGNVLGETANLMQELINGLDSIIPERLDDTMMYSMRILEDGGPPRAPPASKEVVEKLPVIVFSEEMLKKLGAEVECYICKENLVIGDKMQELPCKHTFHPPCLKPWLVINKTHPCSLLKVALFIAYDQIVWQDEHNSCPICRHELPTDDQKYESWKEREKEAEEERKGAENAVRGGEYMYDKILQSTGRGHSVTVTNDGTTILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVTSKIHPMTIIAGYRMAAECARDALLKRVIDNKENAEKFRSDLMKIAMTTLCSKILSQDKEHFAEMAVDAVFRLKGSTNLEAIQIIKKPGGSLRDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMTKVAQIEGAEKEKMKDKVNKILAHGINCFVNRQLIYNFPEELFADAGVLAIEHADFEGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACSIVLRGASHHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARKTAGKKSHAIEAFSRALVAIPTTIADNAGLDSAELVAQLRAEHHTEGCNAGIDVISGAVGDMEERGIYEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >A08p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12610888:12614199:-1 gene:A08p018220.1_BraROA transcript:A08p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGWNDSSKMKRFAFLGIASLFMTMALCAEADEGSSPSRKTEEIHRGSKLMITKTAVSIICSSTDYKQECIASLETVKSPDPRNLIRAAFDLAIISTRSGINRGMTDIESRADADVRTRDALNSCWELMDFAIDDLLKTRDTFKGFLFTRLSDFIDDLLVWLSGSVTYQQTCIDGFEGIDSIAAEIMEMVMRKGQHLTSNGLAIASNLDALLKIFRIPIPFLRPGSGGLGIFRSDSPEEQPLDSPEGSPQMGDSSDNQQLDSSEVSPQMGDSSENQRLDSSKDSPPQNLNGPKKRPLDSYENQPLDSSENQSLDSSKYSPHNLDPSKNQQPDLSESRPSDSYTTQQLDSSRNQPLDSSENTPQKLHSSENRPMDPLRALNPFGRLEDRHLSEEGGFPRWVTTHSRRLLAARGRRIRANVVVAKDGSGKCKTIKQALAMVPMKNRRKFVIYIKQGVYKEKIEVTKKMKNVMFVGDGPTKTIITGNVAFLPDRIGTYRTSTVAVNGDYFMAKDIGFENTAGAARHQAVALRVSADFAVFFNCHMNGYQDTLYVHTHRQFYRDCRISGTIDFVFGDAKAVFQNCEFVIRRPMDNQQCIVTAQGRKDRRETTGIVIHNSRITGDATYRPVKTKNRAFLGRPWKEYSRTIIMNTNIDDVIDPEGWLKWNETFALKTLFYSEYRNRGRGSGQARRVRWRGIRRISARQARGFAPGEFLRGNAWITKRRIPYNAY >A03p027240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11362639:11365022:-1 gene:A03p027240.1_BraROA transcript:A03p027240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMTALVTGGASGIGYAIVEELANFGAKIHVCDISETLLNQSLSEWENKGFQVKGSVCDVTSRSERETLIQHVSSLFDGKLNILVNNVGVLRGKPTIEYVAEDFAYHSSTNLEAAFHFCQLSYPLLKASGYGSIVFLSSVAGVVSFECGSIYGVTKGALNQLARNLACEWAKDGIRANAVAPNVVKTRQSQSYLEDVSFKEKLFCRTPLGRAGEPNEVASLVVFLCLPAASYITGQTICVDGGLTVNGKQCGNGCCEATIKCTSEEFKFIMATNLESALHLAQLAHPLLKASGSGNIVLMSSIAGVVNLSRALNQLGRNLACEWASDNIRVNSVCPWFITTPATKDFLCGEVKEKLESVTPMGRVGEANEVSSLVAFLCLPAASYITGQTICVDGGFTINGFSFP >A09g514780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44041328:44046570:1 gene:A09g514780.1_BraROA transcript:A09g514780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGLGDSHGSTKLVRIVVVGETRTKKSSLIMAAVTDHNHPDPRIPPMLPYTKLPSEYCFEDVPVTLIDTSLRRQRREIEICIEWYALRLSDISWLAQAVFVQVQIAAMHPIGPVYDQVTNSLKPRCVVALKHIFELNARDNDYILNDDGSKHVNARCFSIPLMPSRSRALINFVQELCPEGVKENGLTVDGFLVLITKLIIDRKLRPLWTMLRTFGYNNDIRLVDEMIPYSSFKPMHDQNAELTDEAIGSLRRVYHHFDNLEPQMMESLFETAPESESPYRSSTCYSIIQKEHNPIDNEEITIAIRQQFREIEICIEWSASRLSDISWLVQAVFVQAQIAAMHPIGPVYDQVTNSLKPRCVSALKHIFEHYACDNDYILSDERLIYINARCFGIPLMPSRSKALIKSVQELCSEGAKENGLTVDGFLVSITKLIIDRKLRTLWTMLRTFGYNNDIRLVDEMIPYSSFKRIPERRVYHRFHNLGSQMMESFFESAPERLNKTSKNRCVGENGTRKSSLIVAAVTGHNHPDPINPPIVKLTDEAIRSLRKVYNRFDNLGPQMMESLTSPER >A02g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20580484:20584494:1 gene:A02g507270.1_BraROA transcript:A02g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIDRVTPTSIDMDNPTSIDRRYECGSRAFDMYGARKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLEPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMKTEIDSLRQQLEKEATTSASIDAPCATSIDVSLPTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKINGLDNHAEWLQKEVKAIQRQLAAQHQISASIYRTKAKSVDGNSLRSTNEHIIASIDAESTTIGEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDKATRNSTKDAKADQPINYTLALNRQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGINFYLQLQIPHKGYFTRADHLEVDERKNNRSIRISADDRYQEMPRQMKINIDRCTQVPSIDAWLEPVDRCPQLTIDRCWQKCIGRYLNRLSIDTLLCLHLTGETQDLVC >A07g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23705380:23708250:1 gene:A07g508650.1_BraROA transcript:A07g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEIWKTSGTTYLLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSRLDFIKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTIYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRQLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHIITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p039060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21710733:21714137:-1 gene:A10p039060.1_BraROA transcript:A10p039060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLGCGVVNIMTELPIIHDCFWNSLVINIDYHCDCVAMISLEVFRSGQTFMHVEVAYEDGGVFHVKAPTVTSLSTSLTSQLGRFDGHPLVCGFFKSGRTCMAGATCPFAHKVPRVFDSRTGLDNPKTVELLARNVYMGPVDPPLDQTLKTLYLRRLNSSVHEQHIRDRFNPYGEIESIVYFAMRGVDGAFLTYTTREGAEKAMLEHSSWVVINGQNVKLLWGAHVIPKEAVVQENDQPQDHDRDHLSYSFLSHVAGLQGVGKTTVWELTCYLKK >A02g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24513212:24519251:1 gene:A02g509160.1_BraROA transcript:A02g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISESPSLNSKSSCLIRRERSVKMSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKQRLVPRKFMSVVKKFISNFNSWKKFFFFVRMDAASVEESCIPLFRRLPNDRPFINPFALFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDQNPVEVPTDVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFVAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERRGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRKCRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASPRWPYLYLPGLAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLLFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLNVFRRVLKLRGQSCSQDFAIGRGVSSGLVELAEGVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVYILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPVDFGGSAGTDSLGSCRGEHLFELLERCGVGLRVGRGYVRYWSVEIGAAASIKRSLHVIRVRQTVGTEIYTVDFRLNKETRKTLISQRTQISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDS >A05p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18925902:18926879:-1 gene:A05p033660.1_BraROA transcript:A05p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRLSISPTHHCDTFCWSYMVNIRSRPQVLSLGNICMVDGSWTSTVQFSGSGWVYKDNMGKIQLMGTRNLRSRESALHSELEALRWAMESMLQYSSCQSFGTDWKDMIAMIKNPQVWPNFSTELDVIQTLQNCFSDF >A04p032520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19018900:19021386:-1 gene:A04p032520.1_BraROA transcript:A04p032520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSSALPCFNQGHRNRHHHSSQRHTQNPTHSDQMNSEPLDETLGHSYCYVPSSNRFLSPFPSDRFVSPPGSFRLSPGPIRGPGSSDQLQTGFRAISGASVSANTSNSKTVFQLDDIYDDATVNTFGGGVRSSVVNANGFEGTSSFSALPLQTVPLGGHVEERTGLFMSGPIERGASSGPLDLPGEEASRSDSAAAHFSAPLGGGGGKKRRKRKKSLSWHTKQRPWVLPVSNFVGGAKRENTVKPPDGGGVTAESTREENDLQWALGKAGEDRVQLAVFEKQGWLFAGIYDGFNGPDAPEFLMANLYRAVHSELQGLFWELEEEVELEASSSCPARDKEEVVEVKMRKKLHELLAEAQAEDALDLSGSDRFAFSVEDNAVSVGSKRWLLLSKLKQGLSKQGVSGRKLLFPWKLGAEELVDNVRVEEPVEKRRERRKAGTVDHELVLKAMSNGLEATEQAFLEMTEKVLETNPELALMGSCLLVALMRDDDVYVMNIGDSRALVAQYQVQETGVSVETGRVDDRCDDLDRDGGNKESSVVGDEDTTVNIETPSQHMKLVALQLTTDHSTSIEDEVTRIKNEHPDDNQCIVNDRVKGRLKVTRAFGAGFLKQPKLNDSLLEMFRNEYIGTDPYISCIPSLRHYRLTENDQFMVLSSDGLYQYLSNEEVVSLAMEKFPDGDPAQHVIQELLVRAAKKAGMGFHELLDIPQGDRRKYHDDCTVLVIALGGSRIWKSSGKYL >A03g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26166312:26167863:1 gene:A03g507370.1_BraROA transcript:A03g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGATYYNSIIVSSVQEMAKGKMIKKVPQRYVRSGLDKAEITDECGPRTKIPIIDLNRLCSSTYMASEIDKLDLACKEWGFFQASLKLNILNPMDLKSEIQDFFNLPMEEKKKLWQQPGDMEGFGQAHVVSEEQKLDWGDMFYLLMQPARLRKPHLFPKLHLPFRDTLETYSTKVKTIAQTLLVNMAKALKIEPTEIEELFGDELGQKMKMNYYPPCPEPDQVNGLTPHSDATGLTILLQVNEVEGLQIKKDGKWVTVKPLPNAFIVNIGDMLEIITNGTYKSTEHRGVVNSEKERLSVAMFHNAAMGKEIGPLRSFVERQKAALFKSVTTEEFLKVLFSRQINGKAFLDVWRI >A05p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:300226:301463:-1 gene:A05p001530.1_BraROA transcript:A05p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRKEKPKAPSNNYQGGISFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKEVIAVELDSRMVLELQRRFQGTPYSHRLKVIQGDVLKTELPRFDICVANIPYQISSPLTFKLLFHPTSFRCAVIMYQREFAMRLVAQPGDNLYCRLSVNTQLYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPQPQVNKKEWDGFLRVCFIRKNKTLGAIFRQKSVVSMLEKNFKTLQAVLASLNGATGEAATMDVGDQSMGMEDDDNEMEDDDEDKEMVEGQGGGGGEFKEKVMNVLKEGGFEEKRSSKLSQQEFLYLLSLFNKSGIHFS >A02p029580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15105333:15106754:1 gene:A02p029580.1_BraROA transcript:A02p029580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHLQVPCPKPVSTKEDQEVDEKMISLHAESILNTIAFPMVLKAALELGVIDTLAAAGNGTWKSPSEITANLPIKPKNPEAPNLLDRMLRLLVSHSILKCRVIETGGTGNTERVYAAEPVCKFFLKDSDGSGSLASLFLLFHTQVHFNTWDNLKDVILDGKDAFISAQGMPLFEYISSDQQFSEVFHRAMSESATMVMKKVLEVYRGFEDVNTLVDVGGATGTILGLITSKHPHIKGINFDLAQVLTNAPSYPGVEHVSGDMFVEVPKGDAVFMKWILHDWTDEHCIKLLKNCWMSLPEKGKVILVEMITPVEPKRDDFFSNIVFAMDLLMLTQCSNGKERSLSQFQNLAFASGFLRCEVICHSYSYSVIEFHK >A06p042400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22772162:22779661:1 gene:A06p042400.1_BraROA transcript:A06p042400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLLFLIVSLVALVGSINGLTPCGSTPTAPNWKNQMESTEESQRELGRMGLECNTEGYTGGSGSVSAASAVFGLFLLLFMIFGVAAGIWCVCVQRQKAINAANINNFPGQVVGAQVAHETDLKTVQKGDAGYKMEYISLGSKTPTCPAKQTFRKFTWPEHLWFTKISTELPMTSHGRNKAVRVVARFKPSVAYPNVKSSSSSSAAAVSVHKPNGHESETVSISFGAQFPGSKDSYKLDYCYEEDETTSLILTKEIKPLISTVFEGKDANVIAHGARGSGKTHLIQGSEKEPGLAVLTMAEMLSMAGERGYSILVSVYEVHVKSLSEFKELYFGLKKTQKLKGDSPLRSHRGVMIHVTATGSNVSSGSIGRMNFLDLAGYEDSRKQKTDLVPLEITRINKSIYALQNVMYALNANESHVPYRESKLTRMLKDCLQGSNRTLLITCLPRELSQDSVYMLNLASRICLGGNRAMANATKKSKGPARSISSSSVAQRRQTPLNVTASSKQRTELRANASERKIKLNTSTSAIKGRKLFGEANGSVKSKNNSNKMEGKEMMAVKKEIFTSKVVLNVQSSASEEEVCSSFIVKDSQSSLLVKESSVQAVSSSNNLQETPRKHEERFLEATNCVDAVACKAQIVERDDNRPDAEEGRTPFSEGENLEKENTNLLANEITSPPLSLRLQELSNKLKSICDTSNQPSTPEKYQAAVTKYREQVPEHGDVTAEAELRTPERSMPLNVGFSPWKTFSAHSSKLKNSAVGEYLKFLNTADKEDLKKLKGIGEKRATYIVELRQESPEPFKKLDDLKDIGLSEKQIKGMLRKEIGEIFQ >A07p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24555100:24557141:1 gene:A07p045210.1_BraROA transcript:A07p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKDTAALETQSIEEDFDQPSPLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPISGMIVQPIVGYYSDRCTSRFGRRRPFIASGAALVAVAVFLIGYAADIGYKMGDKLEQTPRVRAIGVFALGFWILDVANNTLQGPCRAFLADLAAGDAKRTRVANAVFSFFMAVGNVLGYAAGSYTNLHKMFPFAMTNACDIYCANLKSCFFLSITLLLIVTVTSLWYVKDKQWSPPPVNPGEEKTKTVPFFGEIFGAFKVMERPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGSSQGDDRMKKLYNEGVHSGALGLMFNSIVLGFMSLGVEWIGRKVGGAKRLWGIVNFILAVGLAMTVLVTKLAADYRKIAGPYAGPSPGIRAGALSLFAVLGIPLAITFSIPFALASIFSSSSGAGQGLSLGVLNLAIVIPQMIVSLGGGPFDALFGGGNLPAFILGAIAAAISGVLAFTVLPSPPPDAPASSGAMGFH >A03p043070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17987293:17989744:-1 gene:A03p043070.1_BraROA transcript:A03p043070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSHQPFDVTTIASTYVPESATAPNAQTVNESNRVETEVAPVVQPGVEPVVQPQGEPDAASEAALVPAQPSSNPRPYTDMIYDAVVALNEPEGSSKWAISTYVRRLMNPNCPDGHEALMTHHLEVMKKSGILTMVKKSYKLAVSSPPGNVAAAGAVASGSEIPPTYTGSLDMVVASGSEIPRTYTGPLDMVVASGSEIPPTNTSSLDMLAVTASGSASQPLKRGRGRPPKPKTEAPQQQQPIDARPISQAVQPSTNVEPSAVQPSGEQPELPVANPSPVVTEPAKRGPGRPRKDGSGPSVPASNLAVTMKRRGWPMSCRASGRERKPISVPPPDSMLPVATLAPAGEAMAVAAVMKRGPGRPPKRGRGRTAGRPIQDTPRLVTRATANIQEADYGELKRRLGLASEKVKEILNVLEAGIAGDKQAVAQAAQELEGLILMMQPEEAAPPQIESETQGMELGQGSKGGGEQAPIQTMILAQPNAMEEVQPEEAAPEIETEAQGMELGQGSEGGGEQAQIQTLILTQPQPQTLTQPRPQTPPQLQPETLTLTEPEPQILRELEPQTVPELEPLPELEPLLELEPLIDLEPLPLTQSQPQILPQIEPNTEAEAMDEDIF >A01p050530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28472016:28473073:-1 gene:A01p050530.1_BraROA transcript:A01p050530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETACAKNKEPKPGCFRNVFAVWFRSSRCRQASKCKLHIQSLQCSVKIHRRRRDCMVKQSRSDVAKLLDSDRLQEARRKTRQIYEDEKMLSAYDQVDYFCTSILQNFSPLDRQSDVHLLPEETKEAMAGLIFAASRIGELKELQIIRSLFVQRFGLGFDKDCVDLRPGHLVSSEIVKILETKYSKDAFTPENLFGIFQNYQTNSTTTNVDSITEDSASRNDHGIASSDTAKKRNQRKL >A03p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16705988:16708539:-1 gene:A03p040070.1_BraROA transcript:A03p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTKPQTLRMYDSQLLKSKSSSLWGTGTKSEGIETSQESTSGQSFSYRFQLEEDVRRLQLQLQEEVELHTFLESLLEKDPWEISSSCSVPHPAQEFISNIATLETAVTKLEQEMMSLNFQISQERNERRLAEYHLTHMASPPDSSSSLIYLDSESHQSAEDSPRQDQPVQNQESSSESSPTESTVEVLDSSNQYLEKKLMRKTNARKLPRGMPPKFMWDHPNLLSEEMVRCMKNIFMSLADPTATSKASSNESQLSPVSVSPRGHLSSSSSWWPSTERSMISSWVQSPQIDIQNNTDVLATGNVFDPYRVRGKLSWAEIGNYSLASEVSWMSVGKKQLEYASGALRKFRTLVEQLARVNPIHLSCNEKLAFWINLYNALIMHAYLAYGVPKSDLKLFSLMQKAAYTVGGNSYTAAAMEYAILKMKPPTHRPQIALLLAIHKLKVSEEQRKASIDTHEPLLAFALSCGMYSSPAVRIYTAKGVKEELLEAQRDFIQASVGLSSKGKLLVPKMVHCYAKSLVEDSNLGVWIAKYLPPHQAAFVEQCISQRRQSLLPSRNCGILPFDSRFRYLFLPDHNNNSSK >A01p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7060036:7061833:-1 gene:A01p014530.1_BraROA transcript:A01p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIQSHQLPNGLFVSGKLEQPKEPRPPTMAARAVPYTGGDIKKSGELGRMFDISVLDPQGPPPPPPLIVGGNSSGGNSRQMAPPRVSGSSSNPNSGSRPNSGTVKKSSGPLSQLQPTGLITSGSLGGSGPIGSGSRRSGQMDRQVSNLGSSKAKYGSSVTSLSTDPVRVGFKVPKTVVWAVMIVAAMGLLVGAFLTVAVKKPVVIAAVIAAVVPAVVVLVWNCVWGRKGLLGFIKKYPDAELRGAIDGQFVKVTGVVTCGSIPLETSYQRTPRCVFVSTELYEYKGLGGRSANSKHRCFSWGSRHAEKYVSDFYISDFQSGLRALVKAGYGAKVSPFVKPATVADVTTQNKDLSPSFLKWLSDRNLSADNRVMRLKEGYIKEGSTVSVMGMVRRHDNVLMIVPPTEAVSSGCRWWHCLLPTYADGLIITCDDNQNADVIPV >A08p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:216701:218688:-1 gene:A08p000400.1_BraROA transcript:A08p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASEEAVKQLRILMEDVDDESLRESYRNIHQGYPTETLLRFLKARDYNVHKSHQMLLDCLQWRTQNEIDNILNKPIVPVELYRGIRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVHYYIQSHIQMNEYRDRVVLPSATKKQGRPICTCLKVLDMSGLKLSALSQIKLMTAITTIDDLNYPEKTETYYIVNVPYIFSACWKTIKPLLQERTKKKIQVLKGCGKDELLKVMDYESLPHFCRREGSGSGRHISNGTTDNCFSLDHSFHKELYDYVHQQALVKGSSAPIRHGSVHVRFPEPDTEGTKIFDTLESEFQKLGNDKA >A01p010990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5346534:5346779:-1 gene:A01p010990.1_BraROA transcript:A01p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSELGRRRSLSGAEMAPAAMIYRRGCSMIPTLETIFEERSDDSKVIMGQGHRLLLLVPAIISAVSCVLLYRHDRVVRFS >A06p004330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1092385:1094774:1 gene:A06p004330.1_BraROA transcript:A06p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLWSLTLFGVILIFPVNGVMEYDKMEKFTDFNGTNVYQTENNVKSKAKVTMVGLTLIKNAALRSAVCLDGSPGGYHFHPGSGSGAKNWLVHLEGGGWCSDTESCEYSKRTSHGSSKHMEKKIPFTGILSDKASENPDFYNWNRVKVRYCDGGSFMGDNENKDAKLQFRGKRIWTSVMSDLLSNGMEHAKQGLLSGCSAGGLAAIFQCEDFKSSLMSSTRVKCLSDAGFFVDATDVSGDRSLRQLYTDVIEFQSITNNLPNDCLNRLNPTSCFFPQNLINQVKTPLFILNAAYDSWQIQESLTSKSADPTGSWADCTFNLAKCNESQIMFFQEFRIHMVNLLGGFKEQRKNGVFLNSCFSHCQTESHDTWYSKNSPSVKNKRIAVAVGDWFFERGGAKIIDCAYPCDKTCRNLI >A09p000180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:71238:73846:-1 gene:A09p000180.1_BraROA transcript:A09p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Axial regulator YABBY 3 [Source:Projected from Arabidopsis thaliana (AT4G00180) UniProtKB/Swiss-Prot;Acc:Q9XFB1] MSSMSMSSSSAPAYPPDHISSSDQLCYVHCSFCDTVLAVSVPPSSLFKTVTVRCGHCSNLLSVTVNMRALLLPSVSNIGHSFLPSPPPPPPPNLLEEMRNGGQNINMNMMMSHHAAAHHSNESFVMATRVRSVDLQEMPRPPPANRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHPPTKKANVRQQEGEEVMMGREGFYGSAANVGVTHN >A09p078170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57924336:57926803:-1 gene:A09p078170.1_BraROA transcript:A09p078170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G11870) UniProtKB/Swiss-Prot;Acc:Q8RWT8] MGLHTLRLATVPLTFSTFTSRLFLKPIPPNTLQTLGQFSRHVHPRKPFLVRAFSASPAAVQEIPPTQASDSSAARPQWKASIDFKWIRDNKEAVEINIKNRNSNADLGGVIELYENMVNLQKEVEGIREERNNVAKKMKGKLESSERERLVEEGKSLKESLVTVEEDLVKLQDELQQVARSIPNMTHPDVPVGGEDSSAIRKEVGSPREFSFPIKDHLQLGKDLDLIDFDSASEVSGSKFFYLKNEAVLLEMALLNWTLSEVMKKGFTPLTTPEIVRSSIVEKCGFQPRGDNTQVYSIDGTDQCLIGTAEIPVGGIHMDSILLESALPLKYIAFSHCFRTEAGAAGASTKGLYRVHQFSKAEMFVLCRPEDSETFHDELIQIEEDLFTSLGLHFKTLDMATADLGAPAYRKFDIEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPSEPVQTGSKKGKASLPATKFVHTLNATACAVPRMMVCLLENYQQDDGSVVIPEPLRPFMGGIEIIKPKLR >A05p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9739635:9741982:1 gene:A05p020590.1_BraROA transcript:A05p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARLVVFPIKGRKWCFSRSVDPFAAQTPSGVTPTTVRGLWKKLSSESKPINANAELLVDFISDKMNKAWTGLEKAPEGSMKNKIHGLGLKLLARVKPSEIFLKSISKEVTSIQVSYPPSLDPRLVRRRLRHIAMSGTILHKKYLIGSVTLLPLTSAFMVLPLPNIPFFWVLFRTYSHWRALQGSEQLLKLLPNQTDDADERNNNKKKPQSPTCVLLPSEELCKLLGEASEEGLSEETIVEICKLFHLNKIDVLKYRNLLIYAPILSSVFFTGTSEKDLCYFETKLVQIFKFISPNTEDAKYVINVKQIAKVLQSQFVVGLGDKVSPTEIEEGMRVGVDRNKYQIQIPRPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGLLCYGPPGTGKTLLARAVANRTDA >A02p055150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33410811:33412196:1 gene:A02p055150.1_BraROA transcript:A02p055150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYTILKVDKNATEDDLKKSYRKLAMKWHPDKNPTTKTEAEAKFKQISEAYEVLSDPQKRAVYDQYGEEGLSGMPPPGSTGNNGRAGGFNPRDAEDIFAEFFGSSPFGFGSAGGGPGRSTRFQSDGGGMFGGNNGSENMFRTYSDGTVPKKPPPVESKLPCSLEELYTGSTRKMKISRTIVDANGRQAQETEVLTIVVKPGWKKGTKVKFPDKGNEQVNQLPADLVFVIDEKPHDLFKRDGNDLITSAKVTLAEAIGGTTVSIKTLDGRNLPVGVTEIVSPGYELVVPGEGMPIAKEKGNRGDLKIKFDVQFPTRLSTDQKSALKRVLVG >A03p028440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11941365:11952277:1 gene:A03p028440.1_BraROA transcript:A03p028440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQVSDVGHRDVETPSSNNGQDNIGSMNKKHPYARQEAGFSSNHGDNQDNDELPRKRNYSEAEKGSSSKHSCIVADATTASSLHTYTPVFLTQDFTSLGGLKGKCDKKCSPKRIISSMGTRFVTFTLAPVDVRHCRLRLPMQFSRENGINKPGKIYLLGNDGSKWLANLLQESRGRMTVGDGWKSFVKANGLKIGESYTLELNWEDTTPVLSLCPTDHHSIGIRAEGECSEASGNESLPIRPSSGTEVIKYDNRKDESSSWEREKNHLRSRDSSSASQNRFLTLTITPDSLRHGRLRLPLEFMTENSMNRPGDITLLGKDGAKWLASLLLERRGRMCLGKGWKDFAKANGLKTGDSITLESIWEDSTPVLRLLHIESSDDRRQQGECSKARDKESAFTEPSSGNKTRKLESNREESRIYPLTRLDSSLVNQNRFEISTLSPEIVRHGAHDYQIGEIVVFRDERDMMFQVSDLGPRFSVIQNVLAPSSNSDQENIGSISTIRKETGFSIYDGDDQYNLELPRKKKAKKNNPGTEAYSSPERSCFVAHVTASNLHTDAPHLPQDFTSSNSPTQIVSSYLSSEKQVATFTLAPVDVRNCRLRLPMQFTRENGINKPGKIYLLGKDGSKWLANLLLESRGRMTLGDGWKSFVKANGLKTGESFTLKLNWEDTTPVLSLCPPEYSIDSREGGECSETIEKEPLPIVLSCGKEINKEDENRKRESRSWERETNLLIWRDSTVLSQNRCLTLTITPDSLKHGRLRLPLEFMTDNSMNKPGEITLLGKDGVKWLVSLLLEKRGRMSLGKGWKDFAKANGLKTGDSITLESIWENATPVLSLLRVESSNDSEVSKQSGNKTRKEENRSSSWELEKRRSSSSSEIQNRTVILTLTPEGVRDCKLQLPSQFMRSNGIMNPGKITLLGRSGMKWFAYLLSKDGTIALENGWKGFCEANGVMVGESFVLELIPTQDADHTIPSDFFSNYIKDLETTTAELKSDSSLDITWKVKLTGRILNDGWGDFAVANNLHIGNVVLVRYEGGIVFHVSDLGPCFSQIQHINPPRQNIDEEDDVERERKGDESLIKKKLKPKQRPVSYSSYSPCHKRFVTFTLPPEYATLCRLTLPNLFVKENGISKPAEIRVLGKDGTKWPITLLLDKKGIMSFGKGWKEFVKANGLETGFTLKLMWEDTTPSFSLCCPESASDRDEKECLESIKKQSLSIDRRIRDKPGKDDNNKEEKRSWERQKIHLRGRDVGDFEEVPINKKVKTDSHGTEAAFSSLDNSCFVAFVTDSSLDSDTLYLPRYFTSSNGLTRKCRKIVLIDGGGRSWAMDLSFNESSDIFYISPGWRSFCDKNGLQAGGFFTFKLVGNEETPVLSFCPMESIDSRSQKDCFEAHSTEVSNEEEEIERERNEEETLMDIEEKKSKPEQRPVSYSSYSPCYKRFITFTLPPDYATLDKLTLPKLFVKENGFNKPGEIYLLGKDGTKWLTRLLLDKKGLMRLGKGWKDFVKANGLESGFTLKLIWEDATPSFSLCCAESTSDKNEEEYLETIMKQSLSIDRRIRDKISKDENDKEEKRSWEREKINMRGRDSTTSRQKQFLTLAITPTSLRCNRMRLPIPFLRKSCMDKPGVIYLLGKDDTKWMANLIQEGDGRMKLGKGWTAFAKENEFKAGESVTLESIWEDETPMIRFLRTESESSKANKKESIYMEDTESRTRDSSTEIHARFVTLTLKHEDVKACMLILPSQFLKANGINKLGKITLLDENEVELSAYLLSREGIVAVESGWGEFCEANGVKLGESFTLECIKEQDETAPVLKVMFSGG >A05p016740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7470537:7471175:-1 gene:A05p016740.1_BraROA transcript:A05p016740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTIMSLLIVVLSLIGLSHAASFYEVGDFNGWTTKMGVAYYKTWSSSKTFYVGDALIFQYNKDIHNVIEVNFRDYESCNPNSALARYKSEYEPVKLNRTGHYYFICAFTGHCEAGQKLEVLVMPASLQNTTIIQQNNTSSSNPKPNPVNAKPNPTPKPKPSPSPPLEDPLVVLPVDDATIASLPHNAVSNPRVWSGLNMLSLILLKSLVSS >A01p057630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32646684:32648208:1 gene:A01p057630.1_BraROA transcript:A01p057630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESSSQIPKGQVDLLDFIDWSGVQCLNQSSTHSLPNALKQGYREDAGLNLESDADEQLLIYIPFNQVIKLHSFAIKGPEEEGPKTVKFFSNKEHMCFSNVNDFPPSDTAELTEENLKGKPVVLKYVKFQNVRSLTIFIEDNQSGAEVTKVQKIALYGSTVETTDMKGLKKIEDH >A04p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:860668:862867:-1 gene:A04p001760.1_BraROA transcript:A04p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTFNKHRGDLESCIITSRTSRCDYRTLQNWIRRATRVKHTKDLTLNYIHGRKRCYRGTHVLHTSPQIFSHHSLTSLSLSGYSLLNARAFNNCGNLKTLKLLNMCLLRVSTLSSVLAACSSLEVIVLQIAFLTGFGVLKIENKYVKFLQVTFPNYVERIEVNAPSLDVLDIRDIKCESKNNFILTAPNNQFNRNHWVSRCVYRPHISYNVSELSKETRNIWHELLVSDFHGMTTRHGTLSVSVDITSPKEVEILKELLHMWTTYTMIELEILFKTNNAYREEEGECYSDGIANEKLWEDANPFPNTDFRVYKVWMYNFNGSSKEEFAFASRFVMQKTVMMKMMIETSSYPSMKKLEVEAAVAKLMELPKGNEELSIECF >A05p009930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4154868:4155565:1 gene:A05p009930.1_BraROA transcript:A05p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQVKTGLFVGLNKGHVVTRRELAPRPNSRKGKTSKRTLFIRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGGVTEKKK >A02p032240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16902899:16907316:-1 gene:A02p032240.1_BraROA transcript:A02p032240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVRVDRTGLETTLTPGAMCSRRVWSHSTPSVTVTELDLVRGVLQAMQGLSSPVIVWDQARQSFLARTNEIRVSHLSRTSLHALLAPFLYAATCLKLVESILAGISNNSPPTLMAFSNSTDDIQVALGQRLRDISLNEEVKINDSTLTATPTLLGLTSALSSLCSGAEYLLQVVRGAIPHAYFDPSYTISTAEVAVHVLDFLYKKLDQVCLVQRGEVEGFHMLLQIFAGTLLPYIEGLDSWLFEGTLDDPFGELFFTANQSVSVNDAEFWEKSYMLMKVPGPKSSEKKGLSGTDSTSVSDKDKEQNNRVLCPLFLKDISKSIVSAGKSLQLMQRIPSTSSEIQCHGRNCFGNSTLPAKNNNIRSTADLSLSEIFCLTLAGLIGHGDHVSRYLWKDEADEWEISPTLASYISGELESGMVDKDLPVLTCSERMWYKLLVGAVQEKRAMEAKSEHQSACYLTGVKDGKTSLTVKKALQGLFCHENPVVSVSKMDLERNKNAWNVLNLSHNYCLPSLNDESLLGAIFEESGLADAGLSGTNYKFGFQFGTSEYLSSQDDTEVLETLFPFPTLLPSFQPELHMSEFLPFQKNSTLLSKVLSWMLKAEPRDAPLPVVIMQECFTIYIRRQVDYIGKMILSKLMNDWKLMHELAVLRAIYLLGSGDLLQHFLTVIFNKLGKGELTNDDFELNIILQESIRNSADAMLLNSPDSLVVSISREGCLDKDKDDKGDVVPLSSTRKSRINNFGIDCLESLKFTYKVPWPLELIANSEAIKKYNQVMGFLLKVKRAKYVLDKARRWMWKGKGSATKIRKHHWLLEQKLLNFVDAFHQYVMDRVYHTAWRELCEAMVKAGSLDEVIYVHETYLLSIQRQCFVVQEKLWAIIASRINMILGLALEFYSIQQTLSSGGAVSAAIKARCEMEIDRIEKQFEDCIAFLLRVRPSNLQLCILVLSSKLNVGHFPHLADLVTRINYNYHYMSDTGSLMTVSGAETSSSRT >A07p003550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3241163:3243293:-1 gene:A07p003550.1_BraROA transcript:A07p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNRVTLVCSAIVWLSISGLSHAGVTSNYTRVAEPSEEMPLETFPPPAGLNAPEQVHITQGDHNGRGMIISWVTPINDDGSNVVQYWVADGDESTKKSAEASTSTYRYYDYASGFLHHATIKKLEYSTKYFYELGTGRSTRRFSFTTPPKAGPDVPYTFGVIGDLGQTYASNQTLYNYMSNPKGQAVLFVGDLSYADDHPNHDQRKWDSYGRFVEPSAAYQPWIWAAGNHEIDYAPSIGETQAFKPYKNRYHVPYRASQSTSPLWYSIKRASAYIIILSSYSAFDKYTPQNSWLESELKKVNREETPWLIVLVHSPWYNSNGYHYMEGESMRVTFEPWFVQNKVDIVFAGHVHAYERSERVSSIKYNITDGLSSPMKDPSAPIYITIGDGGNIEGIANDYTYPQPSYSAYREASFGHALLEIKNRTHALYTWHRNQDNEPVIADSLWVKNRHFLPEEE >A09g519330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59926950:59927855:1 gene:A09g519330.1_BraROA transcript:A09g519330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTLGKPFAGLFQEIEPRIVFFGLPGTGKSSILHKLKTGEVLSTNMPTVGLDMESFKYKNSSFCFVEMGGQYRYKIQGNVPDNVAVLVYANKHEVPGAMTAYEISNELDLASLRQKTWQRNWHVQSSCALSGDGLHEGLDWLLKNAERM >A03p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2412031:2418701:-1 gene:A03p005770.1_BraROA transcript:A03p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEAEDSNRRWNDEMENLLEKTDLSKNETPLMIWDLQRMRALTGEKDLLEVLTGDEGDEGGSGGQAMMRIPLKTKTLWNKDDVALTNDHDNTYFGQIMVGTPGQPFNVLFDTGRKKAEIRYGAGSLKGFMSNDAVEIGGLRIKQQAFIEATEAPGKRIYQRPWDGIFGLSGLSKSTITGARPIWRTMMDEGVVTNKVFSIWLRRYSDSGENGGEIVFGGIDQEHFTGAHTYVDAEGPHNTFKINSFFVGKIDTKVCSKGCKVLVDSGSTYIRGPPNLIVKINKQIGVAADCSNYDKLSEVISFTIAAKIFTLTPRDYIERKNGKCKSVFADGNLARHSFDHFILFGTTRLQELLKSDSPIVSCGEMEPSMWSKLPSDLIQLIFERLGFADFQRAKSVCSSWRYASKQSSPNNQNPWLILFPEKGKDYCLLFNPEEKDDKLYRIQNLGVNFANSNCLATYGSWLLMQDDHQYNITYILNIFTCEKIDLPSMKSQLSIVETEDDMFLVRLHDNRDVLFWFDEKTKEYVVIWIIQARFLVYSRKGDKYWKRIALFNFNFDMVYKDHKLYLYTSSRDVKVLEFFQDIPRQVFETQVNYDYSRKPEDVFYYDAPLHVCRKIKTENLVVRVTGEVLRVKSIVLCNSDVWYFRIYKMNSLNSEWEKLDSLGDEEAIFLDLGITVTLANTIKGLNGNSIYFSGNHNNYCDSDLGHFWSKNDILIFNLGTQEIERPHPSIFSSIQLSNARWFVPNFKQM >A01p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3199941:3205410:-1 gene:A01p006260.1_BraROA transcript:A01p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGIFIIFLTTCLTLFPHPLHGGVPYTGSISPGFEGTQMNYINNNGIFLESNSSAFGFGFITTPVSVTLFTLSIIHKPTSRLIWSANRASPVSNSDKLQFQSNGAIVLRREEGGEAEVWRLDNANKNASRMELRDSGNLVVVSGDDGASIWESFDHPTDTLITNQAFKENMKLTSNPSSNNMTYSLEIKSGDMLLSVDSSTPQAYWSMGNDRGRIIEKNGVVTSSSLLGNSWRFFDEKQSLLWQFVYSDNKDDNATWIAVLGNNGVISFSNLGSGVSAADSSTKIPSDQCATPEPCGSYYSCSGSKVCGCVSGLSRVRSDCKSGIDTSLCDNKKDNNASSPVELVNAGDGVDYFALEFASPFSKKTTLDSCKEFCSTNCSCLGLFFRNSSGDCFLFDWIGSFKSSGSGGSGLVSYIKVATNGLGGGDNGDDGDGTHFPYIVIIVLATVFIIGCLIFVAFRIHRRTRTKTSISEEGGCTSSGPSDCNSENYLSAQKKKKQEKQEAMRMFFSVLLASLLIFFPHPLHAGVPYNGSISPGFEGARVNYINNDGIFLESINRDFGFGFVTTPDDVTLFTLSIIHKSSSRLIWSANRASPVSNSDKLQFQANGAIVLRREEGGGSEVWRLDNANKNASRMELRDSGNLVVVSGDDGASIWESFGHPTDTLITNQVFKQGMKLTSNASYSSNMTYSLEIKSGDMFLSVNSLTPQVYWSMRSDRGRIIDKYGGVVTSSSLLGNSWQFFDDKQSLLWQFMISSDNRDDDNATWIAVLKNNGVISFTRLLSGVSAADDSLTKIPNDQCATPESCDPYFFCSVSKVCQCVSGFSSAQSDCKTGITSPCKKTNNNATLSVKLVNAGESVDYFALGFASPFTNHTTLDSCKEYCNSKCSCLGLFFQNSSGNCFLFDWIGSFKSIGSGGSGFISYIKVATNDLGVKNNGDDDGKQFPYIVIIIVFVAIFIICLLIFAFCRIHRRTKAQNVDWDMEEGEGVDVMNDERVQRAMKTAFWCIQEDMHLRPSMSKVVQMLEGVFPVLQPPYYTRLELRSINEEGGGGTSSSISHSQLSGPR >A02p054380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33046279:33047055:-1 gene:A02p054380.1_BraROA transcript:A02p054380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFKALILIPIAILALVISFAEAYDPSPLQDFCVAIDDLNGVFVNGRFCKDPMRVNAEDFFFSGLNVPGNTSNQVGSNVTTVNVDQIPGLNTMGISLVRIDYAPHGQNPPHTHPRGSEILVLIKGTLYVGFVSSNQDNNRLFAKVLHPGDVFVFPIGMIHFQVNIGKVPAVAFAGLSSQNAGVITIANAVFGSNPPIYPEVLARAFQLDENIVKELQAKFGP >A08p003190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1803493:1805262:1 gene:A08p003190.1_BraROA transcript:A08p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYFDANQVQGDAHDIEFHGSKVSLEDGLVRSSEGTKGPWMEDSNDLKSCWSISESDEAVSSKGYVTFSLTNGPEYHVSQITDAVMVAKHLGATLVLPDIRGSKPGDEMNFEDIYDVDKLVKSLESVIKVVKKLPSHVSLRDIAIVKVPTRVAEDYIKEHISPIFKSKGNIRVTTYFPSVNLRKSSLDGGETDPVSCLAMFGSLELQPGVNELVEAMIQRLKKKSNGRFIAIDLRVEILEKKNCRETGAGGSKTCYNAQEIAVFLRKLGFDGDTTIYLTQPRWESSLNILKDIFPKTFTKEAIMPAEKKSKYLELENSEYENVIDFYISSRSDVFVPAIPGLFYANTVGKRIALGKPQVLVPAEISGTSGLSTDYISPYISKKNHLAYSCFC >A05p042590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25764243:25765191:-1 gene:A05p042590.1_BraROA transcript:A05p042590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFVPILTKAKGRKLTAEELWSELDASAGDYLWGFHPTSKLQSTNQEEVALKDEAVVNKREPATEKRRRKNVYRGIRKRPWGKWASEIRDPRKGTRVWLGTFNTAEEAAMAYDVAAKRIRGDKAKLNFPDLIPPPLTSSLTSPPVSDDQLPAKKLCLLSQSELTQLSFPDECIGFGNGGQFQNHSYGFEPGYDLKQQISSLESFLELDGTTAEQPSQLDESVDMWMIDDVISSYA >A03g502700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8431825:8433156:1 gene:A03g502700.1_BraROA transcript:A03g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVISSFQSQLRPPARLRTPSPPPSVSFVSTPPSDSESRLHPPTPLIRLRNPSLPSRLRPASHLSRPCPASPPSKLQVHPPPDPPPCTYPPVLPEARSPPKPPDPPDVPFNLVLLLMSDTASSQLVSKTPDLKSLMLNLVPVFSDGVISLVCVDDTSFVSKCLSPAVCSVFLYWCVDWSLHRFSPRDFIYPFIMLVIVVVDSTMGCSIPIPNSISVSLPLPLIQVLSQRFLNLILGDELISLVWYLELSVDLSLFFALVRPFTAVCSPFTVVCSSISVVFKSLCAQWQLNGLMPHISIHHVNRVVYCPVSAFMEFVLLPISSSTLCGFGVGNVLLKIRDTSNTEVLIKGFVAMLKIVDCALVAASMLGFISLPVVTNFQGFILLYSSMVAEIRGLLDIISCLSALYAPIFLCCICFLVIAVCCLPWMALSSCMHTFSIYGE >A06p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:745932:747908:-1 gene:A06p001700.1_BraROA transcript:A06p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRNRSVDLEMEQQQHHQTQASLQPGPCLLLGSFPPPAMVANVPNSLQDNSAMFYGLPQYHHHHQPPPTNYYAPYVAFQAPPSQLPSSSSHEMNAHFMDHTPGAYKRKNAEGIPVNPHLAAPFNNAPETVAPFGGARSRPGALTMNPVLPPPPPHAPNVFIQGNYAGHHPFPPPGSIWYDQHLGRPDGSPSFWPHSPYMQGSNIVAGSLESSSRNPAPFIFPSPLNPRDHYYSHHHLPAPPPVQGVRGQSATLYPPMASSPSYRVPFGNFAPQNTSNLGPEMGPVQPTGFRIYQHPPPLAALRQHRGGVPRLRVMPDDEAAILEFGDFLGGSGNNHVDHHRDMRLDIEEMSYEELLALSERIGTVNTGLPEEDVKNHLKTRTCSVINVAEESSSSSPQTKDRETEPCTICQESFKNEEKIATLDCGHEYHAECLEKWLIVKNVCPICKSEALVMEKRKV >A02p013490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5915273:5917825:1 gene:A02p013490.1_BraROA transcript:A02p013490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR18 [Source:Projected from Arabidopsis thaliana (AT5G58080) UniProtKB/Swiss-Prot;Acc:Q9FGT7] MESVINEEGRSDQFPVGMRVLAVDDNPTCLRKLEELLLRCKYHVTKTMESKKALEMLREKSNMFDLVISDVEMPDTDGFKLLEIGLEMDLPVIMLSAHSDYDSVMKGIIHGACDYLVKPVSLKELRNIWQHVVKKNIGSYKKIIAPSRHLLPTSEYAPSGSGKRKEKADDSGDGDDDSDRDDEEDGSEQDGDESSSRKKRRVVWSQELHQKFVHAVQQLGLDKAVPKKILDYMNIEGLTRENVASHLQKYRLYLKKLDEGQQHNMSQDAFGSRDSSYFHMAQLEGLRDYSSTRQLSSSSLLTRSSLTKFHPSVYSSVNLQGSNSSSFIPPGHHQSSSSSANPFGTYHSPLLARSQNVNLSPLEPLQFPRSKCSPYMGDFKGIADRGIGSSFLDSRMSFGSSSTSLPCATSNNLMLQENFGVSDGNQSCLNGLSSFPSHHSWQGNLKTTTRFPSQSLPLNHAFGQDQMTCGGTGLGDYNTSLVSADSHVGVLQCEPPFLGDFMQNMNTHKWEEQNCTMMNNTFGNVDYPLPVDNNMVFRDNNATRSKGVDDSLMMSPIEDSATTLNSRECVGNVTMMDPEMRSSTKLENDLVDNQNDVFDDIMNEMLKQDENNGMVSVAARFGL >A07p013950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7054792:7055238:-1 gene:A07p013950.1_BraROA transcript:A07p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVYFVLSGAHTIGKAYCGTIQSRLYNFNATYGTDPSIDSKYADFLRRKCRVASETVYLDAATPVKFDNQYYINLQKNMGVLTTDQELVKDPRTAPLVKAFAEQPPHMFRQQFAVSMAKLANVGVITGEDRIGVIRKVCSKSNSRPY >A08g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8444977:8451425:1 gene:A08g505120.1_BraROA transcript:A08g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADISAANAQANAATLEEFIKMFATYEKRSEEQDKLVNTLTKQVETLTARTQVIRPRGTTKIRGKSLDFATPLDRAGLARERPSGQNPSEKSPVEKENPENLPPPAKDSEDNEAEHIDLDPSDVSHDTDEDVDKHPRRTRSRSAWEGSPFEKPITEEEELRQITASATANGKRAKIDRSSAKSTPGKDELKSSTDANASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATFTAEYEMTTRNYFDLTQENSKRNIHHINKPRKAARDSKPPTASPVKVPGQRSTERIRGTIHFLATIGKPGRNLLGIKGNRDGIPEPLNPLVDRRYKRLSVGMIIHPTLHQAHFVLEHVVIGLRPPKTSNRTAALTKVTHRGKGILEVPILNLELRGTNLHHLDDFPFALPFRFADSPRMIASKLRLSLQHLALQASKIPLRFLRFEAVNHGFSMTRLNGRAQQAQALQNRLTCYKHTWDNRQKILKKKKKLTPLMMRDLSATTLGLADSFVGGGPSKPDAASIRTKKKNFFHELKFEMNFLTTDINFRGTNLCLSDQDPNEMLNGKGSQLAYRNFETVQYSDENFGEVTLWGAVGAFPAAGNLELHSIRDMVERSHDREKLVGSRRNYHPKILGDRISERDSKKKDTTFVKYNQYEVFMKKYPSRFKPNKPSSVTTHTRRSVAMQQPNPSQARSLRSDRALRSFFKPAPISRRKGKRISRSNGTIPPSRLARSLRSDRARAQARPLRSDRALVSLCRYVATGLELKFGRCVAIELFRTSTNINPCILVKSSNAISRRP >A04p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6382948:6386992:-1 gene:A04p011870.1_BraROA transcript:A04p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 20 [Source:Projected from Arabidopsis thaliana (AT4G12910) UniProtKB/Swiss-Prot;Acc:Q8L7B2] MRFLLKVLAFVTLLLGFLITTESAPQSALITKLPGFSGTFPSKHYSGYVTIDKEHGKNLWYYFVESEMNPSKDPVVLWLNGGPGCSSMDGFVYEHGPFNFEPAKTNYSLPLLHLNPYSWSKVSNMIYLDSPVGVGFSYSKNESDYITGDMKTAVDSHAFLLKWFQMFPEFQSNPFYISGESYAGVYVPTLASEVVKGNKEGLKPALNLKGYLVGNGVADSMYDGNALVPFAHGMGLISDQLFENVTKACNGNFYDNVSPDCEEQMAKVSMDIDRLNIYNILEPCYHGTSLSAFDIKSLPSTLLQLGKTEKPLAVRKRMFGRAWPVRAPILPGIVPSWSQLLADVSVPCIDDRVATAWLNDPAIRKAIHAKEESEIGRWELCTGKLSFHHDAGSMISFHRNLTLSGYRALIYSGDHDMCVPFTGSEAWTHSLGYKVIDEWRAWISNDQVAGYTEGYANNLTFLTIKALNHNSLLKLEKWLPRHTVPEYKPREALDFYSRFLAGSKI >A02p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8593973:8595925:1 gene:A02p018620.1_BraROA transcript:A02p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKIYLLSLHLLLLLLLCFNPLTILADDNSTTEGIDGWCDQTPYPDPCKCYFKNHNGFRLPTQLSEFRIMLVEATMDRAISARDELAQSSRNCTDCRKQAVLADCIDLYGDTIVQLNRTLEGVSPKAGTGKGCTDFDAQTWLSTALTNTETCRRGSSDLNVSDFITPIVSNTKISNLISNCLAVNGALLPTGNNGTTTADGKGFPTWVSSKERRLLRLQSARAVRANLVVAKDGSGHVNTVQAAIEVAGRRKVTSGRYIIYVKKGIYQENINVRLNNDNIMLVGDGMRSTIITGGRSVKGGYTTYNSATAGIEGLHFIAKGLTFRNTAGPAKGQAVALRSSSDLSIFYKCSIEGYQDTLMVHSQRQFYRGCYIYGTVDFIFGNAAVVFQNCLILPRRPLKGQANVITAQGRADPFQNTGISIHNSRIQPAPDLKPVIRTVKTYMGRPWMKYSRTVVLKTHLDSAVSPLGWSPWTEGSVFGLDTLFYAEYKNTGPGSSTKWRVRWKGFHVLNRDSDASAFTVGRFIAGTVWLPHTGVPFTSGL >A06p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22766428:22770412:1 gene:A06p042380.1_BraROA transcript:A06p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATATWTPTSLQLRLALSSGVRRKSNAVFLRPSRIGRKSGCGVVCCVSQKPEVEAWTGSDSSNPPADGLAGWADSGNGNGNKSSRAKKKSLIEGVVGAGVAAIVLFAGLTYAVSSFNKRNHARRKPELTSHQESVLISSDETPSDEAKVANSEENILKEEVESIENNDIGQQGDEDKILGTEDSSLDGIVSDGSDATENITSETTPEAELKLHVQSDPDMPESEKIISESESIDSQKSDITGAENPEEPDSLPDTELSNVSDLENQVDSQKEDSMSSLSETDAYAATETVAVGVLVASQSDSTSDPHTVPLNAAGSAFSIVTEDLPEVNGTPEDLAAGSMSSISDVDTAKEVESSETPVSEESSYLSKNELSVDSEVELSDNGLLETPSGGSAFSSAGIPAPSISFQVNPGKILVPAAADQVQCQAFAALQVLKVIETDIKPSDLCTRREYARWLVSASSALSRNTTSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLITSKLSNRDLLNDVEGTFLFSPESLLSRQDLISWKMALEKRQLPVADKKMLYDLSGFIDIEKINPDAWPAIIADLSTGEQGIAALAFGCTRLFQPHKPVTKAQAAIALSNGEASDIVIEELARIEAESMAEKAVSAHNALVAEVEKDVNASFEKELSLEREKIEAVEKMAEQVKLELEQLREKREEENLVLVKERAAVESEMEVLSRLRREAEEKLEDLMSNKAEISFEKEKVSSLRKEAEEESQRISKLQYELEVERKALSMARSWAEEEGKRAREQARALEEARKRWETNGLRVIVDKDLQENVETEQSVLLNTVERSSIEGTEERAQTLMDKLKEMAKSVGGKSREVISMVMEKIRSWIMVLKEYAENLGKRAGEMREAAVVKAKGAAKEVEKGTVQVGDKVKRMAEECRDGVGKITQRFKT >A06p023210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11812720:11819535:-1 gene:A06p023210.1_BraROA transcript:A06p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH11 [Source:Projected from Arabidopsis thaliana (AT3G46960) UniProtKB/Swiss-Prot;Acc:F4JAA5] MNKVEAANELAFRVGISGHGGHLRVEPLYTEESDGAVNSLPDFVSPPAFAKESKESIKEHIEEKYLLPRLEPQQFSAENAQNQWDFDWFARVKVPLQPSLPRSVLVPTWELPFRRQKTGRWEPKSVEVDLSQQMYGDQDSGFFPRMVGPPKDFLRGSANNRPFRPGGLEDSQSSERILPDGVCDGQWVQELLNGGPPQNVPPSFKQSLDLGHLMPYPQTWKVYEDQSSHGNASDDKPSKLSIQFDDLFKTVLEEDTVFELDGDDRSAGSESPKAETEPEPEAIKGGEPSKGTDTDVTVLDEILSSAKTAIMSDEAIAGNSGKQLRKEGWATKGDSQDIADRFHELVPDMAMEFPFELDIFQKEAICCLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEASCLIMTTEILRSMLYRGADIIRDIEWVVFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTFEFADWIGRTKQKEIRVTGTTKRPVPLEHCLFYSGELYKVCENEVFISKGIKDAKDTHKKKNSSAVSVGPKQHAGSSAHQDGNKSQKHEAHSRGKQNKHSNVKDLAKSSYGGNGQNNGAFRRSAASNWLLLINKLSKKSLLPVVVFCFSKNYCDRCADALTGTDLTTSSEKSEIRVFCDKAFSRLKGSDRNLPQVLRVQSLLHRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDALRKFDGKEFRSLLPGEYTQMAGRAGRRGLDKTGTVVVMCRDEVPDESDLRRIIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEKQQLLMLKRSLPVKTIECIKGEPAIEDYYEMYMEANECNSKMSEAVMQSPNTQQHLVPGRVVVMKSETGIDNLLGVVLKGLSNRQYVVLVIKSEIPPPEKNMVSIGKKSSDPSQGFFIAPKSKRGFEDDYYSTSSSRKGTGVIKIELPYHGVAAGVGYEVRAFDNKEFLCICVSKIKIDQVRLLEDGNKAAFSQTVQQLLDLKSDGNKFPPALDPVKDLKLKDAELVETYYKWTSLLQKMSMNKCHGCVKLDEHMKLAREIRKHKKDLKDLEFQMSNEALLQMPAFQGRIDVLKKIGCIDDDLVVQIKGRVACEMNSGEELICTVCLFENQFEELEPEEAVAIMSAFVFQQKNTSAPSLTPKLAKAKQRVYDTAIKLGELQAHYNLQIDPEEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSALHKKMDSASNAIKRDIVFAASLYVTGV >A01p056530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32078697:32081254:1 gene:A01p056530.1_BraROA transcript:A01p056530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEEVSVPIFSSLEPVYGEGSQLDEAKTRFDVLKSKFNQVFDASPQLFARSPGRVNLIGEHVDYEGYSVLPMAIRQDTIIAIRKREGQNQLRIANVNDKYSMCTYPADPHQEIDLQNHKWGHYFICAYKGFHEYAKSKGVNVDSPVGLDVVVDGIVPTGSGLSSSAAFVCSSMIAIMSVFGENFEKKELAQLTCECERHIGTQSGGMDQAISIMAKTGFAELIDFNPVRATDVKLPDGGSFVIAHSLAESQKAVTAATNYNNRVVECRLASIILGIKLGMEPKEAISKVKTLSDVEGLCVSFAGDRGSSDPVLAVKEYLKEEPYTAEEIEKIVEEKLPSVLNNDPTSLAVLNAATHFKLHQRAAHVYSEARRVHGFKDTVYSNLSDEEKLKKLGDLMNESHYSCSVLYECSCPELEELVQVSRDNGALGARLTGAGWGGCTVSLVKESNVSRFIDTVKEKYYRKRIEKGVVKEEDMELYLFASKPSSGAAIFNF >A01p044740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24154068:24156506:-1 gene:A01p044740.1_BraROA transcript:A01p044740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSSHGAKDGSEEAFDYRGNPPDKSKTGGWLGAGLILGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYKMVAIAASVTALGVLLLTVSTTIPSMRPPPCDDFRRLHHQCVEAKGHQLALLYVALYTIALGGGGIKSNVSGFGSDQFDTSDPKEEKQMIFFFNRFYFSISLGSLFAVIVLVYVQDNVGRGWGYGISAATMVVAAVVLLCGTKLYRFKKPRGSPFTVIWRVGYLAWKKRNESYPSNPSLLNGYDNTTVPHTERLKCLDKAAVVTNEKDSWSVSTVTQVEEVKLVVKLIPIWATNILFWTIYSQMTTFTVEQATFMERKVGSFTVPAGSYSAFLILTILLFTSLNERVFVPLTRMITKKPQGLTSLQRIGVGLVFSMAAMAVAAVIENARREAEVAKGMKISAFWLIPQYFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLSTVSMGFFVSSLLVSLVDKVTHRSWLRSNLNKGRLNYFYWLLVILGALNFFVFLLFAMKHQYKADMISVGVDDSVEKGKDSEKEKSEFELKDIP >A02g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8134970:8135319:1 gene:A02g502480.1_BraROA transcript:A02g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKLSPAQDCPQLSELQSCSGESEVDEAQDYPVGSMKLLLVCEFHIRPTTDDRYLILRGSGDCFVIIYRYTNIGISETEPC >A10p021970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14595879:14600470:-1 gene:A10p021970.1_BraROA transcript:A10p021970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEEEPIFGWNSSGGRNLRRRKLLAGEKVEVRSVEEGFLGSWYSATVLSSKKRRLRSIRYDHILSDDATDYLVETVDVSEVVEGLSSSSSGSLRGRLRPVPPKLELDRFSLVYGLCVDVLISEAWWEGVVFDHGNGSEKRRVFFPDLGDETDADLQSLRVTQDWDEVTEAWECRGRWMFLELVEKYEEDNYLPVSVKQLWYDIRVRVGFARIREWTCSIRHLWEVLMLEVIEDNLKITINQFLHQEFELVKEASQPVNETDACPSGVEVEVIASHEQQYASNDKDYRCMSMPGLTSVSGIRSEESYINGVTEPSSQKSTSDHIKLILPDKLGTWQPFDCVAKSCPQAVSSYIRAPLQRVAFHVRKHLKYMGWTIEQIVDEGGRHRFRYLSPDGRLNEKSLRQVCIILKQRDQPLTLPVVAKPLSLPSENWKCNTREMRSIVLALPAYSRNVALTDGRKLSPETLLECRSQGKEEDFNRKSGKSYPRNAVQTRKRVLYVGVETKTKAQGFVRLRSKRKQKPNLRYRSRENKVIVGSRDVNLSRTRRQTSRMLTDIKNRVTGRGKTRCSCCRRIFSISGFEAHANGGSCRAAANIFLDDGRSLLECQVEAYKTRKKAQPTNLLKIKLRHGENDIVCSVCHYGGKLILCDGCPSAFHATCLGLEDVPDGDWFCASCCCEACGLFIPKNTSKYAKDNILISCKQCEHHPSCLQDSLNTFLAEKWFCSKDCEEIFVNLRELIGKPREVCLKKNLTWRLVQSLEQDTFGTDASKIEAVAETHCKLSVALDVMHELFEPVKRRHSGGDLAEDVIFSRWSKYKRLNFSGFYTVLLERNDELITVATVRILGKKVAEMPFIGTRFQHRQHGMCRVFMDELEKVLIDLGVERLVLPAVPCVLDTWINSFGFSKVTIPEKKDFLQFTFLEFGRTILCQKILIKSSIADPVPNTVSLGETNCDIITVEDNYASDDRSKVHEVEQDISIKDNSASDDRSEVHQAEQHLEESSSTKNPPEEIKTQTQEERLVKENRILKCYTRRRLKCRRLT >A03p012470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4951805:4954986:-1 gene:A03p012470.1_BraROA transcript:A03p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDVKETGATLWKVLGFSMNTSIKFMRNHPVLSGVSMFLLALYVILPSLFFFLIYSSPVLACGVVYAREKMGLSFPSSGSKSCGGEKDGVRCHLRQQRSVRRNARMQVEEWDSQTSEEEKDKVILTSLYNDLLGRKPHFEESPKAIETEVVEDNEKSVGEEDSQMSYVNVEEPMVCNKIKEEKEEMKEEMSNANEHWVSEIDRDRRLESLVARRRTKRLFKLALHQHNKLQAEETASPTHNNNLRINIPGKNNNNPFEKRRNYYPSDDDSTVMGLQVPSSAPSVMLQARNPFDIPYDPQEERPILSGDSFDQEFSFLDHKDMFLSRHESFSRFDLFSPEHAQCMDSPASTSDITTTKKRLDLENEYEQNTIEDDNKCVESGKLEVNNETDSNNEDDDDDSSCSEESESEHNRFNKAELREAISHTMDNYPSFLVNQARNNIPSPLPRGLAPPKIDDNNMFYARRREHSHSRTFSMASDMQVEVSEIGSPPTTVDWLDEWSNDGESYIYDTDIDREVIRGEESPKRVSSQSESKEDNSWLETKPVAEHLRMAGDMYTRSQAEENFDQKFYSLSDVSKPTRGSLFHTSVSLSSITEEPETIFDSVDGGTSENMNILTTNLVDQISPDSSTMKFLGEEVIDVQPLENNDLCGSPNIMDSDIIHHQQKDQILNSIQGEYDTNQIHMKNENTKPKEYEATQSLLDASLDIPYIESFERERNGKEEEEEPELNDFTKEETIQAENKALQGDLKSSPSHVLTKLLESEATVENGLELGKSTDVYAKPIELEKTHDDVLEASSSHSSQLLENYGDAENGSDLFLLQVQDSNNSALDESSEHPLSNEVESSRLSKDICGESAQVFNNITNVEEESTVSEGTHNSQGSKPWTQQHCTDSFERISARTLEITQQAEHDAIYPNKVSQDITEDIFAASAKDSTANKKNDEEKLKPDEQVIEQAVEKELELDLQSMHHNTGLVSKEDDEESKKVNGGSAQGRDHDNKDRNRDLG >A01p012410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6091506:6093122:1 gene:A01p012410.1_BraROA transcript:A01p012410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYRDWVKKGEPKVLDTPRNYEASPKNAKVPISPSTEQLRSHDFLKPKNLNNGHIKSWVDEKNSNFMLSPRNLSITWSDDPNYWTWFLNKESPNEVGVEAVALKNVCWLDITGKFDTKNLTPGITYEVVFKVKLEDPAYGWDAPVNIKLVLPNGKDKPQEQKVSLREIPRYQWVDIIVGEFKPEKNSAGEIVFSMYEHESGVWKKGLFLKGVEICPKYTN >A02g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3558743:3560427:1 gene:A02g501110.1_BraROA transcript:A02g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGRYDNNCKKKSGQVPRFGEWEEASEMPITQYFENPRQAAEALKLASHHPRPRHLHPSRQTAGTNERRRPQRRVRDVSAQTDKYYVDVTGVKQHQNNVASASRPPKPVDEDLYKIPPELLYSSRRRTTRLNGRSKNLGFFETCSWINQEFLSIAS >A09p037250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34698360:34701312:1 gene:A09p037250.1_BraROA transcript:A09p037250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSRAMPADSWRSDLPVVLPIRTKRLDIFPRDIQKQVSEAKKMGTLPDLSAIIAAQLGLTSGEGPSMTVPRAGEASPFDARSAGKGKKRKRGDGSGVERSIEETSDVPPSGEPQKKKKKRKTKKKSAGEQSENADEPIEQEEEDAREEELQPEEGASEAEVSGGRNDEEGVNEEEELETSLNAARSGGSEEDSEWSPLLIRMGNDEDDDERRSPVLTSPRERTPVPIGGGAVQTGTSSRGAAVLRRDLDLIFGSEYEEAARAKLLGDSTMNVVIDKYDTALKAALDELELAKKEFAEREEVSARQLNESRANLQKLNGMMIRTVARRDEFKAALDSSRREGVPGRGARFDGNNVPVLVLSDTSVEGRDSPPPEVPSGRRGKHWRGVGGCCGASLSDCPRIERQGFGAFRP >A10g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10576619:10579602:-1 gene:A10g504300.1_BraROA transcript:A10g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPVRPPDEDVAFLMEVQQIEECYKRNSQSGGMVATSKGQDKSGETLDDGSGANSENCEQEKGNVCGDDLAVDNRENKEEKVEEGDAVKMASDNLPQQICLIEDTRMLSVEQVSVENGNNGGAPENVAAEDEGDDSDYDYNGWHDYCRNDCVTDDDDDFVEGPGKGRSGGQSGGKGIRRPGGIGGTGAAKLACGKKQRSRGGGYIFKNNDSSVEITDLARDLGSPSRLDTELTETKVGVDVVLVTPPQSKNKHTRVTEDNDDDAIVDTPIPQPAAFQGEEVLTKAGDNVSGTSTDVGCAPNQATEAVESGDICLETPPKQYNAHNRGGSKKHKPNKCSNCFKEGHKKTTCKEVKP >A04p003390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1660151:1660711:1 gene:A04p003390.1_BraROA transcript:A04p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 21 [Source:Projected from Arabidopsis thaliana (AT1G65870) UniProtKB/Swiss-Prot;Acc:Q9SS03] MARHVLLALFSALLLATAITTSEAFSRTVKAPYQAHKPKKLTHLHFYFHDIVSGAKPTTAIVAVGPATNTSASAFGMVVVIDDPLTVGPEITSEEVGRAQGMYASADQKSFGLFVAFNLMFTKGEFAGSTASLYGRNPVMSKVREMPIIAGTGAFRFGRGYAQARTFTFNTTSGNAVVEYNVYIWH >A04p040950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23109614:23110688:1 gene:A04p040950.1_BraROA transcript:A04p040950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYEQNLNSPAHEEDSDVRKGPWTEEEDAILVNFVAIHGDARWNHIARSSGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQFMILKLHSLWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKHLRCDVNSNLFKETMKNVWMPRLMERINAQTPSPTYDPVESVITDPGQPIDKQTPVEPGFVLNPDQHRHQFVQASELCATSSNSPAETLSDVQDRVVNGSGYDPAGQTGFLELNDWGCDDGDNVWTDDESFWFLQDQLCHETTSFSYN >A05p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9780486:9781012:1 gene:A05p020660.1_BraROA transcript:A05p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQPEPVTYVCGDCGQENTLKSGDVIQCRECGYRILYKKRTRRVVQYEAR >A09p081720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59397556:59400792:1 gene:A09p081720.1_BraROA transcript:A09p081720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMHMNYWNVNKPLRIKPINSKLTKAYEPLRINRLSFSRPEANKLLLCVAAKRTTMPPMKRKKEDATMSEPKTKYDRQLRIWGELGQAALEEASICLLNCGPTGSEALKNLVLGGVGSFTVVDGSKVELGDLGNNFMVDERSVGQSKAKSVCAFLQELNDSVKATFIEENPDTLITTNASFFSQFTLVIATQLVEDSMVKLDRICREANVMLLFARSYGLSGFLRVSVKEHTVIDSKPDHFLDDLRLNNPWPELKSFVETIDLNVSDPVAHKHIPYVVILVKMADEWAQSHSGNLPSTREEKKEFKDLVKSKMISMDEDNYKEAIEAAFKVFAPRGISSEIQQICNDTCAELSSNSSDFWVMVAALKEFISNEGDGEAPLEGSIPDMTSSTEHYINLQKIYQAKAEADFLVMEKRVKNILKKIGRDPSSISKPTIKSFCKNARKLKVCRYRMVEDEFSNPSVTEIQKCLADEDYSGAMGFYVLLRAVDRFAAYYNKFPGQFDGGMDEDISRLKTTALSLLTDLGCNGSVLPDDLINEMCRFGASELHVVAAFIGGITSQEVIKLVTKQFVPMLGTYIFNGIDHKSQLLTL >A06p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15555783:15558562:-1 gene:A06p024290.1_BraROA transcript:A06p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLNDRQVACLGRPLDDRRVIRWIVEWPPWADHSTIVELSLAPSLQASETILARELRIFKKSESMVRDMSSPQGEKKDCDVEMSNAAPLATTPDPLPSNGAPAGFLSFRDKVLPSAEAQVGADVLAQPSGSSTTPVPTDDKEQAIESVPPPPARRGIFLALRAPSATSVAPPKDQKRRCTRGNNGESSQQGGSSLVSGHRAKFVSLIDELINECGSEAERLSKELSKSQEKSSQLETKLTDNEDTHSAEVSRLEVQIGGLERDLGKTASSLLKEKQSRKAKSSEVRRLQNQIQSEEGSKSRDAEMAADVLRAEFQARLAKITVFLDSLVTVHDRDLGLANEELATIDGDFGLILTDAKLECSSLPCPGEPEGHDPAAGEDEGGAVPSLDEVVVEGEASLIKRELWGFLGSPVEQVTSCGALRISDGDDLCLLSFVLWSASRSMTLSVKELRCEVSCVAVKMLESEVIWSVSLLEAVLCL >A01g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14901448:14909896:-1 gene:A01g504990.1_BraROA transcript:A01g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIILIRCWSVPRSDLRCLGAFQSDQPERPAQVTRVLTRQDTKKHVGSDLLERLCEVTPGLLVICFDVFTLSKPKLSTFVSHWRLKVDSLIDHLPSLVRYLITQGLIPMPMSCILHTYVSIRYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTKVAPDPERPVGATHQSRSRPLARRHQKSALERLPGATPASRSAHKNSLEKFISWVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVTPSQSDQPERPAQVTRVLTRQDTKKRVGSDLLERLCEVTPPVLLGRFLCYFRGLLVICFDVFTLSKPKLSTFVNHWRLSEYLHSQCFDIPQNWFDNHLYYKYLNNS >A03g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23626583:23627279:1 gene:A03g506550.1_BraROA transcript:A03g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNLKRTLPTIEGNILNGKGAVNLSQHTFITISKLLDILSEEFEQDKVFRCHAKIIEVCSRSGWNYISCDKCKNKLKKDGDTLVCETCPHQDITGSLRYRVELIVDDGSDTASFIIFNKDVTKILNKSPVELINYIETINDVET >A07p049770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26558785:26559858:-1 gene:A07p049770.1_BraROA transcript:A07p049770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEEDLEKLVIDYIESSIIVPGHMEKSSTALVTLQEILGSKGEKEKEMEEKVKSFIRRRKLSYEGDDEKRDVMKRIVSKFRSDGYNASIYRTSWDSSFDRRKGCSRMFRCTRKYEYIEVMVASEQDGDDGSKERLIIDLDFKSQFELVKQTEGYKDVTQMLPTVFVATEERLKRVVSLVCGEMKESMKKEGMSRPPWRTTRYMLAKWLPENRLTVSGSKKGSWSMFDDGEGGEAVKTTSGIGLKTTCGQSERVLKSQTKKEREER >A06p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10001264:10003159:1 gene:A06p020420.1_BraROA transcript:A06p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRICTSLHTLIYNLYITPRYHSFLATKSYKPKHLYQNISYFSLSKRMASIVFLIMSIVLSYITSGATSRGGVFKASAIEKHVQWMSRFHRVYSDNSEQASRFEIFKKNLEFIETFNMNTNITFKLDVNQFSDLTDKEFRAKYMGLVVPEGTNEVSETDKTMSFRYENVSETGESLDWRQEGAVTSIKNQGQCGCCWAFSAVAAVEGITKIAKGDLVSLSEQQLVDCSRDFNNGCNGGIMSKAFEYIINNQGITTEDNYPYQESQGTCSSATQVTDFIAATISGYETVPSDDEEALLKAVSKQPVSVAIAGSGAAFMQYSGGIFDGEYCGTHQTHAVTIVGYGISEEGTKYWLLKNSWGENWGENGYMRIKRDVDAPEGTCGLAHFAYYPLA >A05p035160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19934180:19934985:-1 gene:A05p035160.1_BraROA transcript:A05p035160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILVITAIVALLAVLAFPVSSQQPPLSQCTPSMMTTVGPCMSFLTNSTSNGTSPSSDCCNSLRSLTTGGMGCLCLIVTGSVPFNIPINRTTAVSLPRASNIAPAAAPGPAGTFGPAMSRGPATTPIVPEPTPAAQTPQSDATQPYTPTVDTAGPTAGDGGSTSRPSLTPSSAYALSPSLLFFGISLVVLKFY >A07p038970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20706490:20710547:-1 gene:A07p038970.1_BraROA transcript:A07p038970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTLTHVMTINPYNTNIHGPVVDEVEGLIKVYKDGHVERSQLVPCVGPSLPLELGVACSDVYIDKLTNVWARLYVPMDTNSKLPLLVYFHGGGFCVGSASWSCYHEFLARLSASSRCMVMSVNYRLAPENPLPAAYEDGVNVIHWLKKRSNSLWAKLCDLSRIFLVGDSAGGNIAHHVAARLATDADDLKPLRIEGTILIQPFFGGEARTESERRVENNTKSSILTLAASDAWWRLALPRGENREHPYCKPVKKMVTRTLVCVAEMDVLMDREMEMCDGNEEVIKRVVYTGVGHAFHILGKSQLAQTTTDEMQKERRSGINRTVENFLRDYGPPVSTAIMPCISFAQNTEIVNRGTIPCMDAGLIHLALGFVGCCWLYAFPNRSRLREHFALPEEPCGDFWVHLFCTSCAICQESRELKNRGADPSISWLSNVEKWSREKVTPPIVVPGMNR >A08g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2688165:2688881:-1 gene:A08g501110.1_BraROA transcript:A08g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLVKYFRGGGRLKPRLRGSLAEAISQILKMQFPEMICISSRSTTGGHSEALSDGVRCGLSLVALELALCVVELAIVYSSCELCVCLVDQAFWCHWCALDADVLGEVLPWRWKIEA >A09g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3422860:3425038:1 gene:A09g500970.1_BraROA transcript:A09g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPTNEAVDFRSEFLRVLRSRRSPEVPLVAECSKPVEDPVFQNDVPSTEALESCPKENINDLKEVLKEENLHLHTEAAEQGRLPLLILSLKEKSEERRPAIVFMHGTNTNKEWLRPWLEAYASRGYVAIGLDSRYHGERAHSKTAYRDALISSWKNGDTMPFIFDTVWDLIKLAEHLTQREDIDPKRIGITGISLGGMHAWFAAAADTRYSVTVPLIGVQKTIQVNEMLNVEQGFRWAIENDEWEARVNSIKPLFEEARIDMGKSEIDKEVVEKVWNRIAPGLASQFDSPYSLPVTAPRPLYLLNGAKDPRCPLGGLVVPLEKAQKAYEETASPGNFKFVAEDGVGHEVTSFMIKETSDWFDKFLKQGSVTSY >A04p015620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9680084:9681734:1 gene:A04p015620.1_BraROA transcript:A04p015620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFNIVLVANFGSMEDTVFCGVFDGHGPYGHVIAKSVRDLLPLKLGSHLESYLSCEEVLKEISLNTANRMTSDNLVHISANGESRVYNKDQDMVQTLLTSIVKAYRFMDKELKMQVDVDCFCSGTTAVTMVKQGQHLVVGNIGDSRAVFDMRNKENKLVLFQLTEDLKPDVPTEAARIKRCRARIFALRDEPGVARLWLPNHNSPGLAMACAFGDFCLKDFGLISVPDVSYRRLTEKDEFVVLATDGIWDVLTNEEVVEIVAKTPTRCTAGRALVEAAVRNWRWKFSTSKVDDCAVVCLFLDSKPDKLSTASFSVDKHISNGLTELDTASTSIPGSGTESPELNGVDRIDTLVTTKE >A07p007400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1041081:1041496:-1 gene:A07p007400.1_BraROA transcript:A07p007400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVMTCGNKNMLPDFVSSSLAKNISGEECLGEKHEAATISGEEQEPLILHRRHHDKRKSELQKYKDDPVKLFFGKRF >A03p005550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2317622:2317942:-1 gene:A03p005550.1_BraROA transcript:A03p005550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYNRQRAYGDTGMQIQPYHGGGPGTGDFRSYSTSYATENNIYNIKKEKSIARSKSWGITDPELQRKKRVASYKMYSVEGKVKGSFRKSFRWLKQRYTQVVYGWW >A03g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28788081:28789187:1 gene:A03g508380.1_BraROA transcript:A03g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSPMFGTYRPVKTEMRPITRWRVRCQVSSIKPATYSSRLSTDIPLHESPQALFDDYLEDKSRVFEAMFPDKPRSHKLNEMLPINFLFLTVWPVVDMRLSCKSNGQDYPADVPLDITKVVELNMTRWQLKGLDRVMQPSDFSLGVKGALYPDRRGKHTRLRGQLEMNISFVLPPVLELVPEDVRRNLANAVLTGLVENMKHKVNGSLLADYSRFKNERRLHKLSTKAEF >A09p047960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41579497:41581729:-1 gene:A09p047960.1_BraROA transcript:A09p047960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVISNETLGCPPIRALTFDSLGLIKVTEARGKERGTPTVVNSWGEMNTSRSVLAASMDDRLNNPLLAVARKDGNIEVLNPCNGDLHFAYSVFGDAGSSPEDNEVSGLHLFRKQKDDHTERSCTLLTCTKKGDVSLRTVQFPDARADSTDEAAPKTWRACGSGELLVGKVDGTENFGLFGGKRVEVNIWDLEQCTKIWSAKSPPKDNLGIFTPTWFTCAAFMSNDDHRKFVTGTKSHQVRLYDVSAQRRPVMSFDFHETAITAITEDPDGHTVYVGNASADLAAFDIRTGKLLGSFLGKCSGSIRSVVRHPHHQVIASCGLDRYLRVYDVKTRQLISAVFLKQHLTGLVFDSGFSGEEIAVANTVVEAETEETMEQEGQEEENDDEIEEAPVKRKKSKKEKRSREKVSEGEEIDKLRSKKTRDHKKKTKKVKHTEED >A02p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6381066:6388223:1 gene:A02p014410.1_BraROA transcript:A02p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFKQSPGRRRGSDLESGKSDAADSDSDTFSIPAKNASVERLQQWRKAALVLNASRRFRYTLDLKKEQETREMRQKIRGHAHALLAANRFMDMGREQGVEKSVASATPAGDFGIGPEQLVLMSKDHNVSSLKQYGGAQGLSELLKTNVEKGISGDDDDLLNRKTVYGSNTYPRKKGKGFLRFLWDACQDLTLIILMVAAVASLALGIKTEGIKEGWYDGGSIAFAVILVVVVTAVSDYKQSLQFQNLNDEKRNIHLEVVRGGRRVEVSIYDLVVGDVIPLNIGNQVPADGVLIAGHSLALDESSMTGESKIVNKDANKDPFLMSGCKVADGNGVMLVTGVGVNTEWGLLMASISEDNGEETPLQVRLNGVATFIGSIGLFVAACVLVILLVRYFTGHTEDERGGPQFVKGKTKIGHVVDDVIKVITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVESYAGGKKTDTQQLPATITSLCVEGIAQNTTGSIYVPEGGGELEFSGSPTEKAILGWGIKLGMNFDTARSQSSILHAFPFNSEKKRGGVAVKTADGEVHVHWKGASEIVLASCRSYIDEDGNVAPMTEDKAQYFKNGIEEMAGRTLRCVALAFRHYEAEKVPTGEELSKWVLPEDDLILLAIVGIKDPCRPGVKDSVQLCQNAGVKVRMVTGDNVQTARAIALECGILTSDADASEPTLIEGKSFRALTDAERDKISDKISVMGRSSPNDKLLLVQSLRRRGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMGRPPVGRKEPLITNIMWRNLLIQAIYQVSVLLVLNFRGISILGLEHEVPTHATRVKNTIIFNAFVLCQAFNEFNARKPDEKNIFKGVIKNRLFMGIIVITLVLQVIIVEFLGKFASTTKLNWQQWLICVGIGVISWPLALVGKFIPVSKTPLSNKLKCWGKKKSSGEGSL >A05g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5015419:5019426:1 gene:A05g501340.1_BraROA transcript:A05g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNHAIHMRKIDVQLAQTAESVKRQQEMLPGRTDKNPRTEHCNAVEQPFAETILVAEENTEQSASFEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIQKLKAVPEKEHGDKEQGWRTRMVAKSEPPVALRTIMYYLLLRHITISVFKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDCSEEVFQRQRFLRKQQPISGFALISLFSLPAACGLDISSFSIFPYHTTLEKAISLDLEKNPLNPITLFQSYFMVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A05p035080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19851172:19853820:-1 gene:A05p035080.1_BraROA transcript:A05p035080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPKNVSQTENPVSKLKLENSPVFNYINSLSPIKPVRSLPIAQTFGSLSYSSPPSVFTSPHVSSHKGSRFKSDHNHVPASVGDEEALHETEPPQILQNECTTDLLKMCDGNVKQKSETPDWDAMISDSSDILIFDSMYDSEASRCFLERPSDSKTRSLGVAKSTLEPVINSNDALRRGVRRRCLDFDQTSVASSSRCVVPSIGLHFNAIALSSKDNNSGNEYPLSGNTELGLQGSITPVLNSQDNVRENETREDAGQGVEECPKSLALVELNQSSPKKKRQVSPLHIFLCKSLSIFHNQMLALQFTRRKFGQAGEGESSCKRCNCKRSKCLKLYCECFAAGVYCIGPCSCVDCFNKPIHEDIVMATRKQIESRNPLAFAPKVIRNSDSIMEVGDDATSKTPASARHKRGCNCKKSNCLKKYCECYQSGVGCSINCRCEGCKNAFGRKDVSAGSSFTSMDKENETSSRGRTEKQPSTPMPLRQPLAQLPISSNNMLLTHHHLHGASGSSLHMSQSFRRQDMSLMSHSKIGTITEERAENIENLIESPMTNMINAVSPNSKRVSLPMELSPWRRNGGRSCYCQFLLFLLSLHTIKIFFDFFLSAFNSLI >A07g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22455174:22456738:-1 gene:A07g508100.1_BraROA transcript:A07g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSGSDAVGGGGVVRRYYDEEEVFGPTKPTSKSNRGVLNDKNLRIEVPFANRRVTDGESRLRRFAMANSTPGSYLRDERPHTLSSKGSVYWDSNEDIGTPSAPPIMDIGEDDNIAELEKEIEHIEDEICREAGVESHHQQLNIGCIAGDTVSHLYPEFSESARETQTEEAAQIEDISSDELNCHSVRLTTLFRNLQRKRFEMRNLDDEGFLSAQAAIDAIKGTILHQRWLAALMNISVESVPPDLHLSHFSFYVEIRTDLVNTVNEWNRQAGSPTPPGNVSENERRTGLYDYQSMINILRQETWKDNIEAGNI >A05p049060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28604050:28605887:1 gene:A05p049060.1_BraROA transcript:A05p049060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQNLKKVEHIKQDESMKMFPYAFGLNNSSGNSAKRPKPKLVYLLLFTLVSSCFVFAPHLFYFPYPSALFLIDSSIKEIEDRVSQNITEPPKPSKNDSSIKEIKNQVSQNITEPPKPSKPEESISCDRTGYRSDICFMKGDIRTHSPSSSIFLYTSSDDHVSQEIIKPYTRKWETSIMDTIGELKLVKLSGDKHSCQVTHEVPAVLFSTGGYTGNLYHEFNDGLIPLFITSKRYNRKVVFVIAEYHKWWEMKYGDVISQLSDYPLIDFTKDKRTHCFKEAIVGLKIHGELTVDPSQMQDDRTTITEFKNLLDQAYRPRINVLDAAEERRFKGKAAKRRNAKKPKLALFSRTGSRAITNEDQMVKLAQRIGFQVEVLRPDKTTELAKIYRVLNSSTVMVGVHGAAMTHFLFMKPGGVFIQIIPLGTDWAAETYYGEPAKKLGLDYIGYKILPRESSLYEQYDKNDPILRDPRSITQKGWQFTKGIYLTKQQVRLDLRRFKKILSDAYAKSNR >A06p015840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7072286:7073575:1 gene:A06p015840.1_BraROA transcript:A06p015840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 3 [Source:Projected from Arabidopsis thaliana (AT1G18490) UniProtKB/Swiss-Prot;Acc:Q1G3U6] MLSRLFKAGEKVLSNLVNKKDFHMASSNTENPPKVQELYELCKSTFTGKAPSPASNPVQKLCSLLGIFAFSLSLCFISALGFAIKVGDVVWVFGHHADSVSPGDVGLEEEAQNDDRGYGVSGVSRVNRVGRWAQPITFLDIHECDTFTMCVFCFPTSSVIPLHDHPEMTVFSKILYGSLHVKAYDWVEPPCIVTQDKSQARLAKLVTDKVITPQSELPVLYPKTGGNLHCFTALTPCAVLDILTPPYNESAGRSCSYYMDYPFSTFALEEGVKSVEGKEDEYAWLVQIDTPDELHMRPGSYTGPNIQV >A01p052630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29519428:29525140:-1 gene:A01p052630.1_BraROA transcript:A01p052630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNTLSKLALYFSSSEVFFRRADGGDGVFCYCWATVLALVFIFLCHIFRKVRVFTHASSSSPTVSVSQSLRSSQSGISTLVSDEDLKGLIKKLGERDGDAEIWEDIIHKSNPTRVSYTAKCCKPKDGGPMKYLSTTVFEDCSPEALRDFYMDNEYRKQWDKTVVEHEQLQVDSSSGVEIGRTIKKFPFLTPREYVLAWRLWEGMNDKFYCFIKDCDHNMVPQQRKYVRVSYFRSGWRIRKVPGRNACEIHMFHQEDAGLNVEMAKLAFSRGIWSYVCKMESALRKYTATSHRPQGPTVSAVSLMKKIPSELENETDDITNSLGTMHRGEGAKRKKLLRKPSKKQIANGLLLVGGAVGGAVCLSRGHSASLGAKVALAYFLTKLSKRGAPLSQTSQNAVRSDLDTPVVPLILIITCFGVFTSHVLAKTDSQDVSALNDAYKSMNSPSKLNGWSSSGGDPCGDSWDGITCKGSSVTQIKVSGRGLSGSLGYQLANLKSLTYLDVSKNNLNGNLPYQLPENLVYLDGSENDFNGNMPYSVSQMNDLTYLNFGGNNLNGELSDMFQKLSKLETIDLSSNQLTGKLPQSFANLKGLKTLHLQDNQFKGSINALRDLPQIDDVNVANNQFTGWIPNELKSIGNLDTGGNRWSSSRAPSPPPGTRRVDRNSGGVGVSNKALTTGLIVAASTIGGLIFTAGVIALFARRKNAHHTSHFFDEEKGGGTNRSKPLFTPQPSQMLQFDSTDDLKSQKTVDSNTLTETKPSSVNRTSSVSFKNTPIFHLIPSSQVVATPDRFFKPDDVKVFTLTDLQNSASCFSPNRLIGEGTLGRVYKAKYEDGRKYAVKEIDASLLGKGNPEEFSHIVSNISSIHHPNMAELVGYCSEQGRNMLVYEYFTSGSLNRFLHQTDDFSRPLTWNTRIRIALGTAQAIEYLHEVCLPPLVHKNIKSSNILLDSELNPHLSDYGLANFHHRTSQNLGVGYNAPECADPSAYTLKSDVYSFGVVMLELLTGRMPYDSDRPKAEQSLVRWAKPKLKDMYTLEEMVDPALCGLYAPESLSAFADIVSICVMPEPGLRPPVSNVVEALKRLV >A03g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18670996:18672582:-1 gene:A03g505200.1_BraROA transcript:A03g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNDDQTRPRQRRGTGSQSRGSSSHIQDSASPHSSYHTSPSPFPAPAPRVPAAAPAPAPPGPPGVMSVAELVQPIRERDQRMDQPMMYSALDKGHPTFTDFPTDKQHLWFCQFAQEFNWNSDDTLFIYHHFVHKVMDNYGKHMYEWKKKVPKSMNDTVWKELCVHWDKEETSSTNSTNRRSDRKGKDVFKYNLDAQSIATLGDRMVQDEVSQLQTEDDDSTASTNLSRVRINEIVESSVPKMKGRLVGLGHRSRWAALFSAPPPFVDPEVLTAQLKDKDDRISMLETQMTAQHAGYEAQKRLNEQMMEMMKRMYPNVKDP >A03p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6036284:6037897:-1 gene:A03p015230.1_BraROA transcript:A03p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRSAVLASSLCHESAVSPSPQRPPAPKLHCFKPTVVILRSCSAPPPWPTSSLLSTSSASRLVVFSFSREPPLADHHVCLGSESRAPTSSSSSQAWLVVVVQFVFQPAAPNSSSATPVIISVMAELQIEKLNSSSNGYLVQFAYSVVLSAISIVGISRGNRRNHRDGNEEERQW >A05p049350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28760151:28763747:1 gene:A05p049350.1_BraROA transcript:A05p049350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase 9 [Source:Projected from Arabidopsis thaliana (AT3G09920) UniProtKB/Swiss-Prot;Acc:Q8L850] MSSLEVGGGGPVTFTERTKSVDALTKKEILSALTNGETTSEPSEDARFRNRELSLPNGESYDGTLLGNVPEGSGKYAWSDGSVYVGEWRRGMRHGNGKMRWASGACYEGEFSGGYMHGTGTYTDANNLTYKGRWRLNLKHGLGYQVYPNGDVFEGSWIQGLGEGPGKYTWGNGNVYLGDMKGGKMSGKGTLTWITGDSYEGSWLNGMMHGFGVYTWRDGGCYVGTWTRGLKDGKGSFYSAGTRVPAVQEFYLNALRKRGVLPDLRRQSQVAAASSVNMESLRVGGGGNNKLSKGSLINLEQSRNGRVSLERRWSLEVSIEKVIGHDYSDLERGSGTEEYSANRPILEREYMQGVLISERVVVDNRFSPTSKRAKRKHKRLFKEAKKPGEVVIKVQTFRYTVGKITPIQRREVRTADFGPRASFWMSFPRAGSAMTPPHHSEDFKWKDYCPMVFRNLREMFKMDAADYMMSICGNDTLRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPYYHHHVKTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFFTDLRIHRRFDLKGSKLGRSADKVEIDENTILKDLDLNYNFFLEPSWREGLLKQLEIDSKFLVEQNIMDYSLLLGVHHRAPEHLRTQLVRSRSITADALESVAEDDTIEDDMLSYHQGLVLVPGGSDNVVTGPHIRGSRLRASAVGDEEVDLLLPGTARLQIQQGVNMPARAELIPGTEEKDRQILHDCCDVVLYLGIIDILQEYNMTKKIEHAYKSLHFDSKSISAVDPTYYSQRFLDFIEKVFPQNNA >A06p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19994548:19994849:-1 gene:A06p036890.1_BraROA transcript:A06p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRILLLATSRTTTFKLGKMHRKWLDLRIKEENRGLRRMLWRLEAEDYGYKAGGGGSGSGLGFRFRTLG >A06g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14004098:14005725:-1 gene:A06g504470.1_BraROA transcript:A06g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKNSKKGTSSANVHEEFLVPKIEFVPHSVDPVENKAWWVARYGSITPPNEKSFPVLNHRLVEEGAPSRSTSDFLRTVWSFYQISDTVEFRVPRQGERASSPPEGYFTCYEAFIVRCRLWFLIPKIIVRLLDRFEVSISQLNPLSIQHLVGVLNLSYEHGLSLSVDHFEALFRLQIVRNTDKYRLVPRNFMSVVKGFPSNFNSWKKFFFFVRINAASIEESCIPLFRSLPNDRSFINPIAPFPEDTIAVRDLLRNGPFFWTSFTPKRVRKALRLAHPGPASGVETDNHSEPDAPGFDVAPAGTAGLRSSKGKDIDLGDIEFSVDDPMLPGWLGVNLLGSALEVTNREAMVYCFKTEKAERDLARMQGEMLERDSKLARDHARAVHKAERKGKREIVEVMKSRASQFQIEYGNLKYAYTLVGDYRECRGSVRSLWKTQAEDYVFEKEMRFMKDGMNNHAHAEMLIPPIDGRIQGFWDPVPVSPDTVEATTEFAGDDEEVKYPADAFGASLSGNFDL >A08p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14345672:14346448:1 gene:A08p021460.1_BraROA transcript:A08p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREKILFAGGGTTKSWKVLLALRILAFIATLAAAIVMGLNKETKTLVVATIGTVPIKATLTAKFQDTPAFVFFVIANAMVSFHNLLMIALQIFSRKLECKGFRLLSVAILDMLNATLVSAAANAAVFIAELGKNGNKHAKWNKVCDRFSIYCDHGRGALIAAFSGVILMLLVSAVSISRLSIYSNKSSTSTAAAVASP >A03p055500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23951684:23955489:-1 gene:A03p055500.1_BraROA transcript:A03p055500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQNKSSLHKDSMEAKLDVEFGSPRQQDETKTLYANSLTAREMESLVAICDTLIPSIDGAEVGHLDDGVAGYYSASASHTGTPDRVARLMSQRLHHPRKWILRVGLWSLSTWIGSLVLCGRRSFIGGFPFFQRFCRLPKKQREETLLNWASSYFLLLRMFFRSIKLITALVFFTQVDEKGKNLAWKAIGYNGPSSDHSDHEEELNETKTNKEMPKEIFGPLYNGIINLKKPREAVTKKLTDHGFTVSTQKSNNNRSSISNPVMTIRCDAVVVGSGSGGGVVAGLLAKAGFKVLVVEKGNYYARSNLSQLEGQAMDEMYLSGGLLATSDMNVVILAGSTVGGGSTINWSASIKTPEHVMKEWAEKSELELFESDLYREAMDVVCERMGVQCGFVEEGFNNEVLRKGCEELGLKVKNIPRNASKDHYCGFCSLGCKKGQKQGTSETWLVDLVESGNGLILPGCKAMEVLYESEGGNKKKTSTGIAFAFGEDIYVVESRATVVACGALRTPHLLKRSGLKNDNIGRNLCLHPVVMAWGWFPEEEKWPEKKKKSYEGGIMTAMSSVLNAEAKTTYGETVIQTPSLHPGMFSGVIPWTSSNDFKTRMLKFSRTAHVFTLLRDKGTGTINSKSYIDYNLNDEDEESLKKGLESVLNILIAAGAEEIGTHNSKGKSLNVRTASAVEIERFVKEESSKSLKDLSGQICSAHQMGSCRMGIRPDESAVRPTGETWEVEGLFVADTSVFPTALGVNPMVTVQSIAYCIGLNVVGALKKK >A09p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8163372:8165207:1 gene:A09p015670.1_BraROA transcript:A09p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIASLQAIHLKSGRRGSIRCGIAEPSGEPAPVGQKTRYNDGLAERVFMGLFARKMDKFGGSKKKKNEIKEKGLWDYDYESFVEVSKRVMQGRNRSQQQEVVREVLLSMLPPGAPEQFRKLFPPTKWAAEFNAALTVPFFHWLVGPSQVIEVEVDGVKQRSGVRIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFDDMSCEMIYGQVPPSFEDDPATKQPCLADICTSYKLSDCIDLYNKRLAQDAEEERIGREEIEYKRLLKERASEKSEIEKERQMHRLAGVLREERVQMKLSDAWLFLEEKLSELEESNREETKRGNERYWRESVAHLRVGGVSICT >A09p003970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2287657:2288586:-1 gene:A09p003970.1_BraROA transcript:A09p003970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 9 [Source:Projected from Arabidopsis thaliana (AT3G28920) UniProtKB/Swiss-Prot;Acc:Q9LHF0] MDMTLKSPEPEPETPTRIQPAKPISFSNGITKRHHHPIAVTYKECLKNHAAAIGGHALDGCGEFMPSPSSTPSDPTSLKCAACGCHRNFHRRDSEDSSLTSALPPPSLPPSSTLEYQPHHRHHPPPPGPHLPRSPTSSSPPPISSSYMLLALSGTNKPGGNSLPFSDLNFAANNLSTHHLTPGSRKRFRTKFSQAQKEKMHEFADRIGWKFQKRDEDDVRDFCREVGVDKGVLKVWMHNNKNTFNNRRDQPASGGTTVHSVDNDVAVNNAEDDGVHGGNGLEHNLHGDGADGVNANGSSSSSGKSNNEA >A07p041320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22342783:22344495:1 gene:A07p041320.1_BraROA transcript:A07p041320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFVSGKKRVVVSNGMCFSKDNLDLGSENYRDVPLIPGLSDDVAKMCLALVPRASFPSMGRVCKKWRYVVKSKEFITVRRLAGMLEEWLYVLTTKAGGNESHWEVMDCQGHKLSSLPPMPGPAKTGFKVVVVDGKLLVVAGCALINGSLVASSDVYQFDTCLNSWSRLEDLKEARYDFACAEVNGLVYVVGGHAVDGESLSSAEVYDPETGIWTFIESLRRPRWGCFASGFNGKLYVMGGRSNFTIGNSKLVDVYNPQCGSWCGNKNGLTMVTAHVEVGKKLFCIDWKNQRKMSVFNAEDETWEVVALPLSGSSRAGFQFGKLNGKLLLFSSQEEAGRSTLMYDPDAAPGKQWMTSEIKLSGPCVCSVTITA >A05p004210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1610226:1610861:1 gene:A05p004210.1_BraROA transcript:A05p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein 11 [Source:Projected from Arabidopsis thaliana (AT2G42410) TAIR;Acc:AT2G42410] MKRTHLASFRSRNKTQEKEEGDTNGNDRIIMNHYKNYESGLIPWPPKNYTCNFCRREFRSAQALGGHMNVHRRDKAKLRQIPSWLFEPHNHHTPVRNPNPNLNSSSTTPAHFEPSPTNQISKTTPFSFSRFDLLENTTSYGGLMVEREKNNNNVRSRDLKKSAMDSCHAAKCEISRGDLMNKKDGVMGLELGMSLRNPKHVLDLELRLGCL >A03p006330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2683275:2684920:1 gene:A03p006330.1_BraROA transcript:A03p006330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLDREEDDVEENPSSVSSRMELKRIHQWLTQEDSSGSELFSNKRQVVETDSGSRTSFLTPSLMSAWDSSLVPNCLFHPANLQHSSDLLGRNFSPIDQDVLHGCSFNLDTIRKVNFNQVCESRNMPQSMVQFYGEGVSRSFETGPLSFGQTCSSIDRSFTLPGPNLSYDKGDENVFSNGVESFALLGQSLRKADYNIFSYDKGHENVMSLLPCDKASENLFMIEPPYHKDNANVVFSQGCEMAFMVPSQEKADQNSDQTSREARRRTMVSSVPVITSFENFSHSPAEDNMSFQYPPYANSSRVDTLLAPKSKDSKTAKKGSSTNTFPSNVKSLLSTGMFDGVTVKYYSWSREKNLKGVIKGTGYLCGCSNCNLNKVLNAYEFEQHANCKTKHPNNHIYFENGKTIYGVVQELKNTPQEKLFDAIQNVTGSDINRKNFNTWKASYQVASLELQRIYGKDAVVTLAS >A02p019390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8958221:8959849:-1 gene:A02p019390.1_BraROA transcript:A02p019390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTMEENECLESEGKISWIWSKAVSVGKKVLTAGVVVSSAPLLFPPLVVASTIAFISSVPFCLFLANYACTQKIMRTLLPPNEETSSGIEKDEYSFEAAKLGHGAAGMAEFDGAEPVLIQSEEDEEMAKESTSMIEKIRDEGRSEKEVQDGEKSGNAKPEKVQDHTAKQEAPKTGHEGELESTTTEASTGKEEETSSNEPIDQAVSAPSGTGEEKRKNTTKKKKKTGRAGVQ >A03p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7202113:7203272:-1 gene:A03p017680.1_BraROA transcript:A03p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKIIRKRRLCLPSDFAMGFKERREYPHQIDTQCRSQEETQAHCHGSNERLERVTKYLKVARVWIAFVDDSEVMAPVAHKDRSPPVLLLMGVGMAAGKSTVLKDILKEPFCAAADAVIIEADDRKEPDVIYRESSKHQRPC >A08p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22589963:22591186:-1 gene:A08p039200.1_BraROA transcript:A08p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSRGHWRPAEDEKLRELVQQFGPHNWNAIAQKLTGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLLASHRIHGNRWSVIARFFPGRTDNAVKNHWHVIMARRGRERSKMRPRSLGHDGTESGPGMMGYKDCDKKRRLATTTDINYPYSFSHINHFQILKEFLTGKIGFCNSTTPINEGALDQTKRPMEFYNFLQVKTDSKPEVIHNSRKEEDEEEEDVDNCVPFFDFLSVGNSASKGLC >A06p007220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2501991:2502710:-1 gene:A06p007220.1_BraROA transcript:A06p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSSTATSTSESPDTAAGAISPETCGGCKSQDSWVIHSARLRGILRFYCTHCLLRNHPASFCPTCFAFYDSSPPHQSRRVSCNDCNSVTHIHCAGDAKSPPYRCPPCRDPDGFSFFRPTVDENGARCMDKALSEAFLCAAKIAASSMSKAVTFFRSEAERKGKDAAVAKKRAREALEDVLKLDEKAKLAVSKPSENRDQKPKQSPASNGGLKQIESSAATAQVKKQSSSVVQVKQEK >A07p024550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14140517:14142681:1 gene:A07p024550.1_BraROA transcript:A07p024550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMHRSGLAPRRTNENAKVIITTILGIVFGTFIGISLPSLSFKINLPSALISSLDVALSDGKLLSARDDKSPEHFGSRKFPQIYVPTNPRGAELLPPGIVVAKTDLYLRRLWGEPNEDLKKKPKYLVTFTVGFEQRNHINTVVKKFSEDFQILLFHYDGRTTEWDQFEWSKSAIHISTRKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNADKYIQLVKKHGLEISQPGLEPNNGLTWEMTKRRGDREVHKDTKEKAGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHKVIPSLGSQGKSENGKAPWQGVRERCKKEWTMFQNRLADADKEYLGRMVKG >A06p027720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16213183:16213598:1 gene:A06p027720.1_BraROA transcript:A06p027720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSSNKSGGYSLVYLHVQILRLKILSFKSCDSLLFSNLFQRDCLYVLLEDNQKGKSGELIDHGFDLLLP >A03p013790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5455390:5459635:-1 gene:A03p013790.1_BraROA transcript:A03p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEELGNEEVTCSDRISDLPDDLLFRILSLVPVRMAMSTSLLSKRWNYVRKMMPTLMYDETCPYNGSLGFDQFCVMSLSLHEAHVLKTLYIKLTNQYDSIDTLLFPNIRSTLLKIKITLSSRYHSPIRFPNNLNVFKTLLVLKLHGNFVLSFVDSPVCFPSLKRLQLTCVNFRCEKSFKGILSACPALEDLILHRLCGLGRFLFSISSPSLQRLSITTLGAYYYYDATIHKISAPSLEYLKIFDDRGCHNFVGDMPKLVEADVKVHLSKIKKLRKVLSSLERLSIRLYPAIIHNLTGSLIFNRLLHLELCYSFYSNLLLGLLKYFPNLRSLKLVQPYLTVNEDQLYCLVSVPECLSFHLENLQWSGYGGTLNEREAAVYILKNAHFLKTATISLQKTSMDNGQIMMKDLRSISKASASCQLEMSAAIFGTEDVTSSDMISHLPDDLLLGILSLVPISEAMNASLLSKRWISLWKMMPVLEYVENSCPNMTSHGFLEFCRRSLQLHEATVLKTLTIKLKKQSVSLILPSCFPETVFKKLVVLKLHTIFCLEFDVSPPVCFRSLKSLHITHVWFRDEESFCRLISSCPVLEDLLINEVRLRGRQRLEITNSPSLKYLKIKDFSGDIIFIEDMPNLVEATLKVDQRPKDFLRFLTSVEFLSIPLHAKEVLILADKISQRLLHLDLCIYGKVPRNVLLHLLKHSPKLQVLKLQEIDQYLTIEPTTSDPPPSVCNPSSVPECLSFHLRTFQWICYGGTLEEKEIVRYILRNARCLKTAAIYAYSRDRRCRRKERLMMKELKSMPKASTSYKEIMEKAIKEARSWENAQVSVPTTPLMRLPPKPRSIKSSGHLFKPRYIKN >A06p006110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2040752:2041375:-1 gene:A06p006110.1_BraROA transcript:A06p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPKPPPPRTQQQQLPGRRLNPILCIIVALVLLGLLVGLAILITYLTLRPKRLVYTVEAASVQDFAIAKDDHISAKFNYVIKSYNPEKHVSVRYHSMRISTAHHNQSVAHKEISAFKQRPKNETRIETQLVSHNVALSKFNAKDLRGETTKGVIEMEVYITARVSYKTWIFRSRRRTLKAVCTPVMINVTANSLDGFQRVLCQTRL >A04p017810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10763098:10769037:-1 gene:A04p017810.1_BraROA transcript:A04p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNMAKSSGETKFTLKLVVDKENNKVVFAEACRDFVDVLFSLLTLPMGTIVRLLENHRKSEPEPIGCFSNLYKSVVDMGIDDFETEACKQMLLYPRSLRDVECKRLKLNLHPTDGIKLFSCSSYSFCRTRSVFITSRCSCGKLMNKEILYSETKSVDYNIEDGVFVRGRSVFIITDDMKVAVRSTDLVLRKLKSVGCGDFRKAVERLVDIGLDEVMTLLECIFSSNAPLTDAFLNKQVPKRVVKTCKMSSPYLENNIDESKEVITLSAIVRKHDRKVLYVECKEDFVDLLFTFLALPLEYALEISGDISTLGFIGNLFISFKELRATEISTSQVVLPFYYTCQKQLLNITVEQPLSFVRLHDKEFVVLIDPKYRGVDQSTKARGFVKRDTKFTVSDDLIITPMNSSSALCILKELESQAEDIQVQEMSINKTQARSLLRASLDGASALHYAVQVGALQTVKLLIKYNVDVNQGWTPLHIAVQNRNRDITKILLTNGADKTRRTKDGKVALDMALCFGRDLKNMAKSSGETMFTLKLVVDEENNKVVFAEACRDFVDVLFSLLTLPMGTIVRLLENHRKSETVPIGCFSNLYKSVVDMGTDDFETEACKQMLLNPRSLWDVHCRRLKLNLHPTDGIKLFVCSTFTICKMCSYFSTSRCPCGKLMNEEIPYEEKYVDNNIKDGVFVRGRSSFIITDDLKVAVRSTDLVLRNLNSVGCGDFSTVGERLVDVGFDEVMNLLEYIFSSNAPLTDTFLNRQSLQGVMKTCETLSTDLEREIDESEGVITFSAIVRKHDMKVLYVECGEDFVDLLFTFLAFPLESILNISGDSSTFGCIGNLFKSFKVLSATEVSTSKAVLPHYYTCQKQLLNIIIELPRSFHRISTKEPLALIDSKSHGGDQSSGGSGFMKRDAKFTVSDDLIITPMTSSSAICILKKLESQANDIQVQEIRISKKQATSLLRASLVTSSALNAALWNSTLKKPKQET >A08p043430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24172624:24174257:1 gene:A08p043430.1_BraROA transcript:A08p043430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RITMAATEEVKDVERAIEEAPVSEKREREEEAETMAPWEQHASIISIPRFDYTAPSSLLHHSHSGFLVTCSIKREKSATKEVMSILGKVLLPLGEEKSEVLKSSDASKRPKLCGQETEETGENPNVEDLKPAKESVSEEQKSLMSLVKLTKSGLLLFTFPVDNSTDTTDIVSRVFQCVESGALKAPVWCHRILPVQATCALTEKELQETVSKLVQRFVDDKQNTLSTPVKFAAGYNRRGVEEAKGKIQKASEVLDQCPLLDRTKCFETVAAGVKAIVPDSVVDLKSPELCVLVELLPLSRIPNGSYVAAVSVLPHRLVSTKPKLAIKPLVPETKQKKEQN >A10p028210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17590652:17594872:1 gene:A10p028210.1_BraROA transcript:A10p028210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAGVKKKTQVVNDAGEAETAVETAGGSGKRSGDGGFGSDDGGGGDSILREMGDDRRTEDEEEEEDDEDEEDEEDGGGGKEERPKLDEGFFEIEAIRRKRVRKGKVQYLIKWRGWPETANTWEPKENLQSIADVIDAFEGSLKPGKPGRKPGRKRKHHGGSNSNTQLKKKQQRLTSTTSHDASERSDSFTSLNNSSLPNIRGPLNDDLCGSGDGEAAYAAANQVEANSSRRSVGMVGEEKDYDPTLSELRGPVVNSNGAAGCSQGGGGGIDNVRPNGLLKVYPKNSCGVIGAKRRKSGSVKRFKQDASTSNNNNSNNHTTAATDQNVTQELATLDSFGGVARIGNEYPGVLENNNLSQKSKVEELDIAKILKPVKFSSSVTNNVQDVLVTFLALRSDGEEVMVDNRFLKAHNPLLMGSLTQACSSSSSLAKSVFSLASSSPFFFTSGHTKITRHYSSSFFRASTSPLASVCVDSKEVRSTDLVDLEYAELNLKYKISEEVGHVRIRQHVNPLSSSFSTPAPVPAWEEVYKDPSLPLMVDIGSGSGRFLLWLAKKNAESGNYLGLEIRQKLVKRANFWVNELGLSNAHFIFANAMVSFDQLISSYPGPLEFVSILCPDPHFKKRHHKRRVVQKPLVDSILQNLKPGGKIFVQSDVLDVAQDMRDQLDEEAKVLEHVDTVDTEEGWLRENPMGIRTEREIHAELEGARIYRRLYQKKILIDSLQ >SC208g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:170343:173574:-1 gene:SC208g500040.1_BraROA transcript:SC208g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWECAKSGPRCAKLGRDQNMSGRLCAYLGLIKRSLVVKHQKGIKKILCGGKRPMRAKMELIGYFGGWTAGFGCKAKGSHDHEHDISHRLQSQLFILAIHLYSLAPLELIHTHNLRSLKISYGIRASSKLSPCRLKQEEAVCPIRLFNTSRGRRVHPGVQKLFAAVSHHPMSPLKKEDPRKQRQWSGLATLSNKASSGFLLFKVQEEVSQEESLLLVLVWRVQHGERWELRHGGSKGKVHGRWRRWKVLIWLCKDRAKSRRDLEECLGANGQVCILRARQYGWYGPVRIRTDRDNPRIHNLAI >A07p048090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25708240:25709886:-1 gene:A07p048090.1_BraROA transcript:A07p048090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g75430 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G75430) UniProtKB/TrEMBL;Acc:C0SV32] MEEFRVRHEFSSTTSVSLDSRYVKAARCLLEEVIDMGGREVDLCNDVLIQQLFPGRRKPGFGLSSEIKSELCNSGFMSLPENHELHIKITKLLSLLQQVDERFDIYCNQLEQVISSFEEVAGEGSSKLYTGLALQAMTRHFGSLQEAILSQLNSLRRRFIISQDFVPKIVTSGLSQLSLFDGNTPSSLQRLSWVQGPQRHAWKPIRGLPETSVAILRAWLFHHFLHPYPSDAEKLMLASQTGLSKNQVSNWFINARVRLWKPMIEEMYREEFGDSSDESMQREGNDDSN >A05p010170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4262207:4262860:1 gene:A05p010170.1_BraROA transcript:A05p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPESSREPCPDRILDDIGGAFGMGAVGGGAFHFIKGTYNSPKGSRFMGGRQAVTMNAPRLGGSFAVWGGLFSTFDCSMVYLRQKEDPWNSIFAGAATGGFLAMRQGPSSAVRSALFGGVLLALIEGAGIALNKMLAQPQHMQMEEGMMQMGQVPGMQMPSQVQAQAIPEDTSSSSSSWLGGLFGKKNDEGQTSSGSETKVLESFDAPPVPSFEYK >A03p064600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28094181:28098544:-1 gene:A03p064600.1_BraROA transcript:A03p064600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLTNPKPINHIIQVCNYARRAFTREETKKIDQAHVVLRFKTKSSQFVMIATAPITRTRSYGNVGLITCLNVLGSMDSSVDGAAGDSSKSSGMSVEEKIHLVYELSAQPHLATEVLQAWSRKDILQILCAEMGKERKYTGLTKVKLIETLLKTISKKNSGDHDGNKRGSSDSLPLHRNSKRPRKVDTPTRYVVPTINNNASVSCNNLACRAVMRQGDSFCRRCSCCICLKYDDNKDPSLWLTCSSDPPFEGDSCGFSCHLECAFKSEKSGLAKDKEGEECCFYCVSCGKPNSLLECWKKQLTIAKETRRVDVLCYRLLLVQKLIKGSTKFSNVCEVVDEAVKSLEADVGPLSGLPMKMGRGIVNRLGSGPDVQKLCSSALESLQPLETKPSYGLSNGVSADTPQTKIRFEDVNASSLTVILASNDLTSPANIVHYSIWHRKVTEKEYQEKSTCTLFTPNARFVVSGLAPASEYCFKVVSFSGTREVGVDEINVLTRSSPEEVVERSESPLTNCSTLSSNPSSVEAESNNGYIAPQNHNKQDSNVVPAEKNVEGSVLLEEEQQEAAVPVTTTNLVSNRNSSDASLPITPFRSDQTKNRQARNGKPVKENGDHHSANGGSESGFKHCVSVIRQLESLGHIEKEFRQKFLTWYGLRATPQEIRVVKIFIDTFADDPVDLAEQLVHTFNDRVLAKRCSAVGDGASAVVKSGFCMKLWH >A07p007340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1084514:1085458:1 gene:A07p007340.1_BraROA transcript:A07p007340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B10 [Source:Projected from Arabidopsis thaliana (AT2G15280) UniProtKB/Swiss-Prot;Acc:Q6NPD8] MDKKSHLLQSIGNASVADLVMWKNKRGGILLLGSATLFWILFEKCGYSFFPFVANIQLLVVVILFLWAKSAILFNRPMPELPNLEITEASLLMIADPLRLLINTLLSIARDIYVNRNAKQLFRVSVVLWTISLVGSFLNFFTILYLGIVLGMLIPILYERYQHHIDEKLSVTHRMIQTQYRKIDERLLQKLIAKPTSKIKKMQ >A01p056370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30716179:30717432:1 gene:A01p056370.1_BraROA transcript:A01p056370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIRPGPDPKAALVSEICSLSRSPISCIHINRSGSCFIDWYLILGVQEDAEVKLIRKRYHKLALKIHPDKNNHPKADIAFKLIHEAYLCLSDETKRRCFNTDRRKNTCLKCSRVSHKTKENRTETKPNRFCQTLRDIRDKFREENKVIERCLKTNGANFMGNLTEETPVFGTPKQNRFKKELPVFNPSDYKLCGYPHVRNRVLDNNLSDWKMFMRSRSTCVHSS >A07p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9392973:9396752:1 gene:A07p015090.1_BraROA transcript:A07p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIIFSRFILFFTITTSVLTALRTWAASPALLHPDELKALEEIASTLGITKLNLGYGDPCDIKKLKIDVSQDPGSENIIACDCSFNNSRTCHITELTLKTLSLPGKLPPELVKLPHLRWISLVRNYLSGTIPMEWASMPNLTSILVGANNLSGPLPAGLQNFKNLTVLAVEANQFSGPIPDEIGNLTSLTRLNLGSNQFTGSLPTSLARLVNLEDFRISDNRFNGIIPSFIGEWPQLKKNISICKRTERTYS >A04p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1959588:1960817:-1 gene:A04p003930.1_BraROA transcript:A04p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKISHLACFSSTNRHFHFKTRSFPCPSFRPEVQSFVVRSVDGSSSEPPASLSYTAEVSKPFVEKTSKPYPTVDESATSKEIVTEKVEEHVATLQTKRAAKIHDFCFGIPYGGLVMSGGLLGFAFSRNLTSLSTGVCYGGALLALSTLSMKIWRQGKSSFPYILGQAVLSAVVFWKNFTTYSMVFRCLCDYAADCLALCLLACLQLLNVLLMQTKKLFPAGLFAVVSAAMLCFYSYVVLSGGNPPPKKLKPSASPSYRRNFHKL >A04p039260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:22194034:22194780:-1 gene:A04p039260.1_BraROA transcript:A04p039260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKPSVRHPSHNHPLRGQKALAEEETICSGCDLDLIGAAFKCTKSECEYFLHKSCFELPRETRHKSHPDHPLTLLYSPPYESSTYECSACSEYGSGFVYNCSICQFDLHVGCISMAESVEREGHEHPLTLLYCSPYTNGLIVKCDVCQETVPDHLWSYYCKECDYGTHLHSCEVEEEVEPKRGAGGGGGKASTSGNKGGGRGSAASELAAMLEAQREMERMQIELHMEMQRAKIAKKARKACLKLI >A06g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24626313:24629155:1 gene:A06g508700.1_BraROA transcript:A06g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPDVILRFDLLEHPHRGFVRESWARYHRPFRLKEMNKWVSTREPGLSSEPGFSVSEAISCRSPEPEIFSINSSSESLVNALRVVNREGGFDFLVKIDIRFPFRMDPEAEIRDTKSRNEHIDMLSYVCDSEHGIPTRCPCGGSIIHEVRGKEEYDTLPGKRFFTCINYEADGFHYRQPWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEAEVKALTVEVDRLTGKVYNLTVQVGREEDVRPPGVKASKAAKRKKHGNEAAFDQIETILAAKNMLSKQKILDRSRVQGGGCVDGCRSLFLYVSNQVTGGNVVVGGCSGRLCKMESRESVVFFNFLHSFYVSN >A05p019390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9109847:9110336:-1 gene:A05p019390.1_BraROA transcript:A05p019390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPVWLFQVITLYTGNLKDTGKVFESNLEEAPLRFRLGMRAGDKRRLIIPPSLGYSEEGLKKEDVPKNLWLVYEVDAVKVR >A01g503820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11550479:11550724:1 gene:A01g503820.1_BraROA transcript:A01g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGDSRLRITAGQTETAPVVVLGGVDACRWRQDAIAPARTSLLHGDNGSLVTRATPPVKALAPVAWLTVNDGVDSTVMV >A09g512840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38494868:38495757:-1 gene:A09g512840.1_BraROA transcript:A09g512840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKEIIFVPTQKKKKSSRRQAISKRLDTKVKPKPLFCRFVDSASMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGNTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKDEADVDDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNK >A07p006530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1637237:1638721:-1 gene:A07p006530.1_BraROA transcript:A07p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLKRILHRTLNPKPDSTHSSSISLFTTVSSPPSDPSNPTSPPDPLVTDAVSILTHHRSKSRWSTLRSLTPSGFTPSQFSDIALRLRNNAHLSLRFFLFTRRNSLCSHDVDSCSTLIHILSRSRLKSHARDVLRLALRIPSSEDRVPKVFRSLIKSYNRCGSAPFVFDLLVKSCLDSKEIDGAVMVMRKLKSRGIYLQITTCNALISEVSRRRDASTGYKLYREVFGLDEANKVKPNVNTFNLMMVSFYREGETEMVERIWREMEEEVGCFPNVYSFSVLMETYCFRGMMVEAERVWEDMRLKGVVYDVVAYNTMIGGLCSNLEVTKAKKLFEEMGSKGLECTSLTYDHLVRGYCKVKDVDSAMAVYREMKSKSFEAEGLTIEALVEGLCDGNKERVVEAAEIVKEAVRESEFCPSRKCYELLIKRLCEEGKMDRALSIQAEMVGRGLKPSQETYKAFIDGYGRAGDEETSSLLAIEMAESLKLRDEEEES >A03p043000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17950034:17951274:-1 gene:A03p043000.1_BraROA transcript:A03p043000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPERKMQECLSKSSFSKSLSPGQNWKSKSMRIIPEEFLRSTHESFEHRVVFSVPWNNSWQLWLRPDKKGLFMIKEDWDEFVNDNFLGPDDTLVFTHQDTMYFQVRIFKKDGKEIISAPLEVEPQKETTSASASASGRTATRGRKSCANLQNPERYLLNPQNPYLTKTLKKTNHLLHVGHPVIRQYGLEFGPHDSSMYFILPDGNKVDGLTKYYNGLPNFLGWADVCQQYNLKIGDTVVCEFELSGRVVASVRVHFVNE >A09g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19545829:19546365:-1 gene:A09g506630.1_BraROA transcript:A09g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLANTNSELPGLFYSPRSKPISLILDNHLIQAHNSICFIPDILGGDNNPGHDIPGAGAVTTNPSQSVERGIHDNSNRPSNNVMVESLSGTVTEPSDIPVSSDMSSAESAEAVYAHSAKKPHHG >A05p016850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7512519:7512863:-1 gene:A05p016850.1_BraROA transcript:A05p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRCVNERVVDDVLYSHDRVMNTLRAYDRNQKSWRVVEGVEELLARTICSDWSYTVRYGGNLALLFRRPGEIWCAEIWLERRQGEEIWGKVEWCDQVLTGNFKVMKSLSVMV >A08g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2583978:2585008:1 gene:A08g501030.1_BraROA transcript:A08g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMPLLTTAFHSSHECSSNGSPITSRVKLLRSALGKDWSPVFAAPEIQKALQRAAVDSNSQGGSIFQLCLVTGSWNLSAATWMFDSTEGMDNCKRTRK >A04p033300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19392836:19395780:-1 gene:A04p033300.1_BraROA transcript:A04p033300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESTTIEVGEPSTVTKSTSHEKKKGFVAAAAGGGHKRGLAIFDFLLRLAAIGITIGASSVMFTAEETLPFFTQFLQFQAGYDDFPTFQFFVISIAIVASYLVLALPFSIVTIVRPLAVVPRLILLISDTVVLTLTTSAAAAAASIVYLAHNGNANTNWLPICQQFGDFCQTASTAVVAASISVVFFVLLIRMAKESTTIDIGESSTVTKSRSHVVVDEKKKKRFVAATAGGGYKRGLAIFDFLLRLAAIVTTITASSVFLFFVIAIAIVASYLVLSLPFSIVTIVHPLAALSRLILLISDTVVVTLTTSATAATAAIVYLAHNGNPNTNWLPICQQFGDFCQATSSAVVAASLGIVFFLLLIVISAIALKRH >A07g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11123387:11125900:-1 gene:A07g505160.1_BraROA transcript:A07g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSASLSSDSSSLSDLRLSRRTRHGLVVLTCFFDLDVFCGIYHSYKQNDVEQSDHFGRGRIVSTAVVFIHLRFNEMLLQLSAGIQTVAVFPVVPHGVVHLGSSLPVSFCKQGEGWQNPDALLNQNFSCICNVNYTGDKAIGKVSLLPRDQSFDFPVDSDTIEVAGGGNYIENIEYPHMFTRGTAYIP >A02p015450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6839296:6841778:-1 gene:A02p015450.1_BraROA transcript:A02p015450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGGIRCGCREVSGGTFFHPGGFPCFLEQRTRRNRNFFRNVSYVPSFKRGRLITKRSSVAGNSRIFSMDAREKSRSFVLVSSRHKKVPVYVMMPIDTFGIDASGCPIIKRLKALTVSLKALKLAGVHGVAVEVWWGIVERFCPLEFKWSLYEELFRLISEAGLKLHVSLCFHSNMHLFGGKGGISLPLWIREIGDVNKDIYYRDKNGFSNNDYLTLGVDQLPLFGGRTAVQCYEDFMLSFSTKFEPYFGNVIEEIGVGLGPSGELRYPAHPSGDGRWKFPGIGEFQCHDKYMMEDLMTVASQEGKPQWGSRDPPSAGCYNSFPSGVPFFEEGHDSFLSDYGRFFLEWYSGKLICHADAILAKAADVLRRRQEEEKSSVMLVAKIGGIYWWYKTSSHPAELTAGYYNTALRDGYDPVASVLSRHGAALHIPCLDMADSETPEKYLCSPEGLLRQIHDVSKRRTIQVTGRNTSERFDQMGLRQIRENCVQPNGETVRSFTFFRLNEKIFRVENWNNFVPFIRQMSADV >A09g517830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53617647:53618078:-1 gene:A09g517830.1_BraROA transcript:A09g517830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTTKILGHTRFRRRGQTLRLRRRPRFETTPGTNRRFTRIHRSSLTPHHHHRKRLQLKSHLSPFIASTERKTSREPSPLTMVELRSSSDVVSTNPNQTKSKKLKGKNRLNPKTELGNQPSARQGMERLHLP >A05p002410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:815163:818069:-1 gene:A05p002410.1_BraROA transcript:A05p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNPETLQNLSQCFLHTLSPIPEPRRAAERFLSEAADLPNYGLAVLRLVAEPSVEEQTRHAAAVNFKNHLRSRWLPSADSGVAPIMDSEKEQIKTLIVSLMLSSSPRIQSQLSEALAVIGKHDFPRNWPALLPELVSSLQKAALAGDYAAVNGILGTANSIFKNFRHQYRTDELFLDIKYCLEIFAPPLQEIFVKTDSLIDAAVSSAANLKPLFESQKLCCRIFFSLNFQDLPEFFEDNMEKWMGVFKKCLSSNYPALESTEDGLTLVDDLRAAVCENINLYMEKYEEEFQKFLEGFASAVWTLLRDVSKSPSRDQLATTAIKFLTTVSTSAHHALFAGENVIKEICQSIVIPNVSLRDEDEELFEMNYIEFIRRDMEGSDVDTRRRIACELLKGLATNYKAQVTEVVSHEIQKLLSSFSSNPAVQWKDKDCAIYLVVSLATKKAGGASVSTDLIDVQSFFASIILPELQSHDVNSFPMLKAGSLKFLTMFRSHLPKPFAMQLFPELVRFLKAESNVVHSYAASCIEKLLLVKEEGGRSRYVAGDISPFLLQLMTNLFDALKFPESEENQYIMKCIMRVLGVAEISSEVAGPCIGGLTSVLSEVCKNPKNPTFNHYIFESVAVLVRRACERDISLISAFETSLFPSLQMILANDIAEFLPYAFQLLAQLVELNRPPLSPNYMQIFLLLLSPESWKRSGNVPALVRLLQAFLQKAPHEVTQENRLSEVLGIFDKLVAAPSTDEQGFYVLNTVIEYLDYGAIAPYMTGVWNALFTRLQNKKTIKFQKSLVVFMSLFLVKHGHAHLVATMNTVQPNIFTTIVQQFWIPNVKLIMGSVEVKLAAVAATRLICETPDLLHPAASKIWGAMLDSIVTLVSRPEQERAVEEPEMPDVLENVGYTAAFVSLHNAGRREADPLPDIRDPKQFVVASLARLSAASPGRYPQLIGENLEKANQAALLQLCSAYNSIII >A09p050590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44429508:44430129:1 gene:A09p050590.1_BraROA transcript:A09p050590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKQVTIVAALLIIMAFSFNLDMVAEAQLGPGDCYDGCSTGCVQRDSRKMARCDRKCSIRCGPDAKKARKTGA >A07p027890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15847154:15849238:-1 gene:A07p027890.1_BraROA transcript:A07p027890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil phosphoribosyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53900) UniProtKB/Swiss-Prot;Acc:Q9M336] MAYSISNAFRCYSETLRLGPRQDQCGRVNPTPSSLLSLNSSPFLGQTFGASLSRRNIPVRAKMAASEGSINGSNRMLVFVPPHPLIKHWISVLRNDQTPCPIFRNAIGELGRLLMYEASREWLPTVVGEIMSPMGAASVEFIDPREPIAVVPILRAGLALAEHASTVLPANKIYHLGISRDEKTLLPSVYLNKLPDEFPKNSRVFLVDPMLATGGTIIAAMDMLKERGLSVQQIKVICAVAAPLALSKLNEKYPGLHVYAGIIDPEVNEKGFIIPGLGDAGDRSFGT >A06p017450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7826890:7827493:1 gene:A06p017450.1_BraROA transcript:A06p017450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKAYDSWWVTFVSDYGCLDKTSVRLDDFRSLVLQLNFKCVFPDDLHGSHDLHRSCLRRKSSMILFRDSGQTLLILNDFHVSRRMDDF >A09p080010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58738277:58739686:1 gene:A09p080010.1_BraROA transcript:A09p080010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLNRLRSSVSALPHRALFNSTRRDSLPSRIPALRSTTRSFLDFYQFGNKKAIEDERARLNDEMNRGYFADMKEFKEHGGKIADANKTVIPAVSAVKFPELAVTLSNGKALKLPISCSSSEVNEESLAVPKVSLVCLSFRASSQEMISSWSKPFLESFGDRKDLQVFEVSFIDKWLLGLAPIKKLLLRVLQKPKSNENSVLQRRVVYSFGDHYHFRKKIKILNLLTGYIFLLDKSGRIRWQGFGTATPEEVSQLLSCTSQLLEDQ >A01g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21035420:21036456:-1 gene:A01g507200.1_BraROA transcript:A01g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REVREAISLSFFSPPKPLLLGPSPLIPSGGRRWVYSRRSTTPNRPADLIHLPVMLRAFHFLLAPPSLVLAGLWPDPVTKLMTGCVSSVSGERARLWQSGLSCAGSGSVPAQRLTGLKRVAASSLPVFSLLCSPLSPASVATLRASPSCSHGPRRSDETEDLAVLEPVVPPMLDRFVGRGPPPAELRGVSQALPLMPARSHFGEFSTELSLAILLFHL >A01p029550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20959098:20959441:-1 gene:A01p029550.1_BraROA transcript:A01p029550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPSFLDYLFLFIVRPLLAISFAVCFIALWWFLAWKLVLSHVPLVQEIFGLRKKTFKPKPESRGRISKFYKSQNYVPQW >A02p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8344747:8346701:1 gene:A02p018210.1_BraROA transcript:A02p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNQVEIDEALAASLLYDDPESIIYDEVTARQIQQREEEASLISDEKLAKEWQDMEGYPQMSLSDDEKYAKMLQEEELNGSTSLHCGSSSHQQGHVGGSIDTSSHSFQSPPPSGDVDPDNMTYEELNELGESIGAVNKGLSKSTIDQIPTYKFGASSWFRKKASSSGETECSICLIEFKKGDTISTLPCAHIYHKECISHWLEENKICCVCKAEVGP >A06p005300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1554900:1556903:-1 gene:A06p005300.1_BraROA transcript:A06p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGEGPTIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFFSALTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGQGNEKVQDLLLLDVTPLSLGIQTVGGVMTTLIERNTTIPTNKERVFTTCSDKQPGVLIQVYEGERARTNDNNLLGKFTLSGIPPAPRGVPKITVCFDIDANGILNVFAEDKTTGQRNKITITNDKGRLSKDEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIRDDKIGERLAAGDKKKIEDSVEEAVQWLDGNQTAEADEFEDKKRDLESVCNPIIANMYQ >A03g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24692017:24692329:1 gene:A03g507030.1_BraROA transcript:A03g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTEYFRSYYQSCETYRKIREASRIDSHKLIPMWFRDKREKEQQRLCKIQRDDDAVTSQAPQEPTTPNAGDALRSL >A01p051340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28787441:28787746:1 gene:A01p051340.1_BraROA transcript:A01p051340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRAGTNRIGMRRDDSYLTRFVDSVFSLFLLAEFELLFVMFFIIAYVIFKDLTARPEYNRILVEKPGGSDIWPF >A01p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:678671:680722:-1 gene:A01p001560.1_BraROA transcript:A01p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTPRVVVKVDLKKKPWEQEQPLHNRWHPEIPSVAQVKAGEFFRVEMIDCMAGTVKDNSSSADIKHADLSMSHCLSGPIRVVDEEGVAAKPGDLLAVEICNLGPLPGHEWGFTASFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSMELLRIWNERERQIEENGVKPFSLCEVVHQRPLANLPKAKGCLLGHIDTEAPEWERIAKEAARTLPGRENGGNCDIKNLSTGSKIYLPVFVEGANLSTGDMHFSQGDGEISFCGAIEMNGFLELKCEIIRNGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISIDESGRQHYLDATVAYKRAVLNAIDYLNKFGYSKEQAYLLLSCCPCEGRISGIVDSPNAVATLAIPIAIFDQDIRPKTRKVPSGPRIVRKPDVLKSTYDGKLPITKNPSCST >A10p030960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18626360:18628576:1 gene:A10p030960.1_BraROA transcript:A10p030960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLHFTRLMSSSARMALSSHFPFSIKPRIIHKPYFFSSTPYPLQYDMIINRPTQSSLSQSRRRPPRAIESTSPDPAEPDFDSWVDNKLASEREKGRPGSGDPEMDKEKRKYYSKRRKRLYGSDSEDEGRRKSDEGFVELKPEVVEFDRLHQREEELYFYDTFAYPWEKDKHYKMVYQLEKKYFPDQGLDKAFLQPGESPKGDGSVKVSGKKSVAYGGKKRIDESDDGDEKLLFFDEVKDKEKEKEKEKVEESVTEKKVEQFFKGLTKSNNERGLASGGGDGEPFLVTRNGELPSRWDGPNGTVLLVNKPKGWTSFTVCGKLRRIVKVKKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMIKGYSGVFRLGEATSTLDADSPVIQREPWEHIKDDDIKKALTSFLGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIFQFDIERSLDDRQNLIFRVVCSKGTYIRSLCADLAKALGSCAHLTALRRDSIGEYSANDAWEFNELEAAITKNYF >A01p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:850558:852751:-1 gene:A01p001890.1_BraROA transcript:A01p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,4-xylosyltransferase IRX14 [Source:Projected from Arabidopsis thaliana (AT4G36890) UniProtKB/Swiss-Prot;Acc:Q8L707] MKLSALHQSYLNRRRSPLDSSVDAPSKSFLAVFWLVLHCLCCLISLLLGFRFSRLVFFFLVSTPSSSNLYSPPFRPDLPPPRIDLTPSNATTAEAKTSSSRVVVGRHGILIRPWPHPDPVEVMKAHRIIERVQREQKAVFGAGAKGSRTVIAVTPTYVRTFQALHLNGVMHSLMLVPYDVVWIVVEAGGVSNETASIVGKSGVRVIHVGFDQRMPNTWEDRGKVEVLMRLRALRVVKEEKLDGVVMFADDSNMHSMEFFDEIQNVKWFGAVSVGILAHSGNAEEMVMSMDKRREMEEEESSSLSSLPVQGPACNATDKLIGWHVFNTLPYAGKSAVYIDDVAAVLPQKLEWCGFVLNSRILWDEAESKPEWVKEFGLLNENEGVESPLSLLNDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPGWVIDPPLEITVAAKRTPWPDVPPEPPTKKKDQMSLSQGNNNVVVIPKHQQQQQQRSSKVRKPKRRSKRNKHEAKPTDTATQVSSSTKHHQERN >A01g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7191117:7192014:-1 gene:A01g502030.1_BraROA transcript:A01g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVEQENAAYYSEQFKAMEASFVSRHEESSLMAAESGCDVSGRRDTSSSPLSNLIESLRTILSDPIKKLAALVGWEAVGTTQCLNGWYVLVKTLDNAESVKLRYRPLAKATEDPSAKATPSKMISNWL >A09p015650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8148618:8155812:1 gene:A09p015650.1_BraROA transcript:A09p015650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHPETFIATLLSWLPLLLPRVAHGDTASSLASTDPTDKESEMIEKIARDVSNKLNATVSRDFEDMVGIEAHLDKMQSLLHSDEDGAMIVGICGPAGIGKTTIARALHSRLSSGFQLTCFMENLRGSCNSGGLDEYGLKLRLQELLLSKIFNQNGMRIYHLGAIPERLCDLKVLIILDDVDDLQQLEALADETNWFGDGSRIIVTTEDQELLEQHGITNIYHVDLPTEIEARKIFCRYAFRQSLAPYGYENLAERATELCGNLPFGLRVMGSMLRGKKEDDWESILCRLENSNIPKIDAVLRVGYDSLHEKDQILFHLIAVFFNYENDGHVKTMLADSGLDVRLGLKTLAYKSLIKISSEGEVVMHKLLQQVGRQAIQRQEPWKRQILIDTDDIRDVLENDSGSRSLMGISFDISTIKDDMDISARVFKSMRTLRFLRVYNTRCDTNVRVHLPEDMEFPPRLKLLHWEVYPRKCLPRTFCPEHLVELHLTDTQLEQLWEGTQPLTSLKKMVLVSCLCLKELPDLANATNLEILDVCGCQSLVEIHSSVGNLHRLQSLDMIFCKKLQVVPTLFNLTSLESLVIMGSYQMRELPDISTTIRELSIPETMLEEFLESTRLWSHLQCLEIFGCAITHQFIAHPSQRNLMLVRSVTGIERIPDCIKCLHGLKELSIYGCPKLASLPELPRSLTTLTVYKCPSLETLEPFPFGSRIEDLSFLDCFRLGRKARRLITQQSSRVMVEDQVIELLCHILINGCPMKSTLKNKFDVEITIQLEHLIIFPSTLLTVDRRPEQYSEILFEFSTTSQDIEVIECGVQILRDKTDIRSSYGSCESNSEQLLELELEDDLDYDAPRVDTIKDFAKFLPSLLIFLSNRHRVHLYESWLVGRTRHHQKEMKFPSKEVSTDLSVQSCEVTNFSLTVAPQLVCLLFHSLVSFSSVSHLNLMFVRDKESEMIEKIARDVSNKLNSTVSRDFEDMVGIEAHLEKMQSLLNLDDEGGAMFVGICGPAGIGKTTIARALHSRLSSGFQLTCFMENLRGSCNSGGLDEYGLKLRLQELLLSKIFNQNGMRIYHLGAIPERLCDQKVLIILDDVDDLQQLEALADETKWFGDGSRIIVTSEDQELLEQHNINKTYIVDFPSKVDARQIFCRIAFRQLSAPHGFEKLVERVVSLCSNLPLGLRVMGSSLRRKKVDDWEAILQRLENSLNRDIEGVLRVGYDNLHKDDQFLFQLIACFFNYQDDDRVKAMLVDSNLDVRLGLKTLSYKSLIQISAEGTIVMHKLLQQVGREAVHLQEPRKRQILIDAHQICDVLENDYDSASVMGISFDTSTIPNGVCISAQAFRRMRDLRFLSIYETRRDPNVRVHLPEDMSFPPLLRLLHWEVYPGKCLPHTLRPEHLVELCFVNSKLEQLWQGIQEVPDLSNATHLKRLNLTGCWSLVEIPSSIGDLHKLEELEINVCISLQVFPSHLNLASLETLKMVGCWQLRKIPYVSTNIKSLVIGDTMLEEFPESVRLWSHLQSLNIYGSLLTVPLLQEFSLATVERIPDWIKDLNGLKFLYIAVCPKLASLPELPRELQQLIFSTSPTASSCA >A06p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:648649:651824:1 gene:A06p001500.1_BraROA transcript:A06p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNDNCLVAVARQRGIDVVLNDESNRETPAIVCFGEKQRFIGTAGAASTMMNPKNSISQIKRLVGRQFSDPELQRDIKSLPFSVTEGPDGYPLIHASYLGEKRAFTPTQVMGMMLSNLKGIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLHLIHETTATALAYGIYKTDLPESEPLNVAFIDIGHASMQVCIAGFKKGQLKVLSHGFDRSLGGRDFDEVLFNHFATKFKEEYKIDVTQNAKASLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEEISVPILERVKRPLEKALADAGLSVEDVHMVEVVGSGSRVPAIIKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVREFQVHESFPFSISLAWKGAAADAQNGGAENQQSTIVFPKGNPIPSVKALTFYRSGTFSVDVQYSDVTDLQAPAKISTYTIGPFQSSKGERAKVKVKVRLTLHGIVSVESATLLEEEEVEVPVTTEQMDTDKASGETDVNMQDAKETSDAAGADNGVAESADKPVQMETDSKAEAPKKKVKKTNVPLSELVYGALQSVDVQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLSDKLHEYITESEREAFLAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPVEMRYKESQERGTVIGQLGHCVNSYREAAVSNDSKFDHIELEEKQKVLNECVEAEAWMREKQQQQEALPKYATPAFLSADVTRKAEALDKFCRPIMTKPKPVVKPEAPPAKAAADEEKSEPQPEAASGEEPMETEKPTEDSA >A08p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21580722:21582116:-1 gene:A08p036890.1_BraROA transcript:A08p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSREDYVYMAKLSEQAERYEEMVEFMEKLSAAADGTELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVKTIRDYKAKIESELAGICDGILKLLESTLVPSAASEDSKVFYLKMKGDYYRYLAEFKIGKERDDAADNTLSAYESAQENAKGLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKTAFDAAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDEAADEIKEATPPKPTEEQK >A06g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27375929:27386212:-1 gene:A06g509500.1_BraROA transcript:A06g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITLVFTKKPRLLFFGFYATCKGEGSISHSHEKITLNPLSSYLFYMHRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQHKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTLRAEAEQSMVNIDADGYAKMLDSARSMGRMVASLSLGEDISRGGYRFLNLTLVFTKKPRLLFFGFYATCKGEGSISHSHEKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNLNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQKGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTRVWGEW >A05p018600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8640757:8642805:-1 gene:A05p018600.1_BraROA transcript:A05p018600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFSDAVVQIYLDNAGDLELVAKSIESTDLNFSRYGDIFFEVVFIGRRTQPGTVKPDEGERHPYSVIDCEPKREAILPSVVYIQKILRRRPSLIKNLENVTRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLTFVTDFFKEYLVENSLEDLISILRRGKMEDNLLDFLPPVRRTAESFAEHFTKEGLTDLVEYHSKKMFEVKLKEIKTVLTSKVTEESSVDEVIETVKQLVKDAKLPEIEVVRVVWDGLMDAVQWSGKNQQQNANSVLRQVKAWAPLLNTVCSSGKLELELMYKVQMQCYEDAKLMKVFPEVVRSLYDLDVLAEDTILHWFRKGTNTKGRQTFVKSLEPFVNWLEEAEEEE >A02p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1321472:1326128:-1 gene:A02p003200.1_BraROA transcript:A02p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYAMDLSPPTFFSSLTPSSSYPLRRLSSLPISTFHRHSNRKLHILCQATAGTQPQSQSSNLSDANSKLAARSGQDRLLKVPISKVRNFSIIAHIDHGKSTLADKLLQVTGTVQNRDMKEQFLDNMDLERERGITIKLQAARMRYVYEDTPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVVNKIDLPGAEPEQVLREIEEVIGLDCSKAILCSAKEGIGITEILNAIVERIPPPPETADKPFRALIFDSYYDPYRGVIVYFRVIDGKVKKGDRILFMASGKDYFADEIGVLSPNQIQVDELYAGEVGYISASIRSVADARVGDTITNFSRKAESSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLITTAPSVVYKVHTVNGDTTMCSNPSLLPQPGLRKSVEEPYVKIELLTPKDYIGALMELAQDRRGEFKEMKYIAENRASLLYELPLAEMVGDFFDQLKSRTKGYASMEYSVIGYRESDLIKLDILINAELVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAAGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >A10p021210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:14289255:14289740:-1 gene:A10p021210.1_BraROA transcript:A10p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYNMVKQEFIKKWITTLHMLDSSVEHPLNVTERKNAIRLSSDIAMAATRSGATIWSRALISRTRKYTTTCKPVAHRILKKARNRMKNRCTTITRNGIFGAKMRVRKRTELLKSLVPGGELIYDKDYLIRETLDYIVYLQAQVDVMRTVAAIDSVTRDLS >A05g505660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:15906217:15906393:1 gene:A05g505660.1_BraROA transcript:A05g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVIQDVISKQTGHSSVSFYGQSPSSCLREPLFFASSSASSLILLFVLSPLVLLPPL >A09p000130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51602:53762:1 gene:A09p000130.1_BraROA transcript:A09p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILTVGIASVVLGALIAVVFFSSYLRKRTSEVDSMAKAESQDPIRIPKSNPKKSHPKSHATDKNQNKRHHPLDLNTLKGHGDSVDALCFSSDGKSLATACGDGVIRVFKLDDASSKSFKFLRINLPAGGHPSAVSFADDASSIVVACHTMSGSSLYMYGEEKQKEQQSKLPLPSIKWEFHHIHDKRSVLTLSGATATYGTADGSAVIASCSEGTDIILWHGKTGRNLGHVDTNQLKNHMAAVSPNGRFLAAAAFTADVKVWEIVYLKDGSVKEVSRVMQLKGHKSAVTWLCFSPNSDKIITASKDGSIRLWNINVRYHMDEDPKTLKVFPIPLCDAGGNPLHYDRLSLCPEGKILAASHGSTLQWLCAETGSVLDTAEKAHEGDITCISWAPKAMTVGERKAQVLATAGVDKKVKLWEAPKLQSV >A03p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5432981:5434713:1 gene:A03p013720.1_BraROA transcript:A03p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFHYSIDLNEDQNHHEQPFFSPLGSSSSLLHNQVLSNSSCSSSSISSLSSYLPFLINSQEDQHVEYKNTYHVDHVHLSQPLKAKMFNSGSSSSYDHMVPKKETRLKLTIRKKDHHEDQTDFLHQNQTKSNLDSDKWMMSPKMRLIKNTITNNKQSTDHTSKNNNDHKEDHHLLNQKISLEEDHDEDLKKISPRTTTAVTRENRDNTINENGYGNNNGLIRVCSNCNTTKTPLWRSGPRGPKSLCNACGIRQRKARQAAMAAATAEGDQEVMAARMQQLPVKKKLQNKKKRSNGGDKYDITPHVGAYTKKCKIKEGDEYAAAVAEISKSTTSSDSSVSSNKLRFDDLTIMLTKSSAYQQVFPQDEKEAAVLLMALSYGMVHG >A04p011220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6830965:6831177:-1 gene:A04p011220.1_BraROA transcript:A04p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPINVLMDAAVVVEATYDSELRKSDIPQAFPALPVSRSGESQDNEAEDDDDEKSTASDEDNKGEDTTSD >A09g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2823504:2830288:-1 gene:A09g500730.1_BraROA transcript:A09g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p053450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30044051:30046628:1 gene:A01p053450.1_BraROA transcript:A01p053450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFLSSSSSSISASIRLSRLHDRRDWCTLLRDKKRVGPTWCRVGGGGGDGRNIKPERPIRVSSLLKDRGQVLIREQSSPAMDAETLVLSPNVNGTAIEMNGVKTLMPFNGADMVGIKQGLGIVSYLQGKTFLITGSTGFLAKVLIEKVLRMAPDVGKIYLLIKAKNKEAAIQRLKNEVLDAELFKNLRETHGASFMSFMLDKLVPVTGNICDSNIGLQTDSAEEIAKEVDVIINSAANTTFNERYDVALDINTRGPGNLMGFAKKCKKLKLFLQVSTAYVNGQRQGRIMEKPFSMGDCIATENFMEGNRKALDIDKEMKLALDAARKGTQDQDEAQKMKDLGLERARSYGWQDTYVFTKAMGEMMINSTRGDVPVVIIRPSVIESTYKDPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPKGVLDVVPADMVVNATLAAIAKHGMAKADTEPEINVYQIASSAINPLVFEDLAELLYNHYKSTPCMDSKGVPIRVPLMKLFDSVDDFSDHLWRDAQERSGLMNGMDSSDSKILQKLKFICKKSIEQAKHLATIYEPYTFYGGRFDNSNTHRLMENMSEEEKLEFGFDVGSINWNDYITNVHIPGLRRHVLKGRA >A10p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20883638:20889792:1 gene:A10p036830.1_BraROA transcript:A10p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSAIKGMSQLTLNESDTKKTRPRKRDIGRICVRGYNTDLPHNDVVNALRKHFSSCGEITDVYIFEKIDGLHSFGFIYFLGEGAVDKALQLSGIDVGGWTVIAEPYPYPAVIVQGYDTLLDNADIEKMLFEHFSSYAEVTEIRVHKRSIGAAVVELYGEDAEQKVMDLDGSIMVGRKISVKLYTAPTVYSVHPRRRRNRNYYPEMSLLTLNERDTTKRTTRPRKRDIGRVRVMGYNIDLPQDDVESALRNHFSSCGKITDVCVIVLDDNMLDSFGFIYFLGGQGTVDRVTGRCNLVELTLEDGMSLDPVAIVQGYDTCLGKTDIKRMLFDHFSSCGQIKDIMFQRSSIGVASVYLYGEGAEDKVLDLDGSYMGGCKILVKLIPSSGIYTVHPRSRHLGWPSPILAMSSRPPGMSLLTLNENDAKKNRPKNSYIGRILVKGYNTQLSHDDVESSLRKLFSSCGEITDVYISVLADNTLDSFGFVYFLGEGAVDKALQLSGSDMGGWTVIAEPHPFPEDADCDPVVAVQGYDTSLSKSDIKKVLTTHFSSCGEVTEIRIHKKIGAAAVCVYGECAEEKVQDLDGSYIGEHKITVRLISAREIYSVHPRRRYRCPFPDNKANMTSEE >A03p042060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17588569:17590727:1 gene:A03p042060.1_BraROA transcript:A03p042060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCRIDRVVGFTSRCLKSKQKQQKVSVANQVVFLREHQLFQVGASLMKFIKGKEGTTQMKIEGEMGVKIIFPSSRNEDHIIIEGGSVDCVTKASERIATIIHEIVLIGIHIIKVVKSPTLDYSHFVSLPLAIHPELVAKLVNFQNSILGNNSLAGDKQDVQPVDETRLYTLAELGIEKSIFIKPSTFHLTVLMLKLWNKDRVNAARDVLKSISPSVMDALDNRPLFIRLKGLDCMRGSLAKARVLYIPVEEIGDEGRLLRACSILAFSVNVNLEFLRICFCFFLTASSEVITDAFVKAGLVLEKDANQSLKLHATVMNARHRKRKDKRKKMDTFDAREIHKQFGTEDWGEYRIQEVHLSQRFVFDQSGYYRCCASIPFPGGHRD >A03p042780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17868663:17874933:-1 gene:A03p042780.1_BraROA transcript:A03p042780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISVDLKLDTLCLSLFYCQQDLSVYFISIIGASGDTDDSAFVVLWDNHHVVTSRPMDSAIMEQPASLITQCQLYSETIQHPNIRRTLITTNTTAMSRLFLLDRFFHGMWLVSICGAASSVCTSSMACGWVGIMVWICACSPPSSLPSPSSIPSVSGQPSCGNFKAYGFCNYGASCKFDHPVPVNPYHYAGLTMPSMPTTYGSGFGSVLVLLLLQFLVQVTFLVFQFQSVVLHPPTALLPWHVAGTNGASGDTADSAFVVLRDNHHVVTSRSMDSAIMEQAANMITQCKLYSETIQHPNIRRTLITTNTTAMSRLFLLDRFFHGMWLVSICGAASSDCTSSMACGWVGIMVWICACSPPSSLPCPSSIPSVSVSICGAASSGYTSSTNTHISCSVLHLFQLNTNGASGDTDDSAFVVLRDNHHVVTSRPMDSAIMEQAASLITQCQLYSETIQHPNIRRTLITTNTTAMSRPFLLDRFFHGMWLVSICGAASSDCTSSMACGWFQSVVLPPPAALLLPILIFLVVCSTCFNSMDLKLDTLCLSLFYCQQDLSVYFISINGASGDTDDSAFVVLRDNQHVLTSRPMDSAIMEQAASFTMLYMPTAYAPPVSTQVRITSPPSLSDSTTVSNGDKSAAENKSSETEKQDEIAGLITKPL >A08p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24896373:24898395:1 gene:A08p045390.1_BraROA transcript:A08p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEMGSSSSLKQGPVPMDNVHITPEATYEAVVADPKLFMGSLERIHSQLGTKFMVPIIGGKDLDLHKLFIEVTSRGGIIKIIHERRWKEVTSKFAFPATATNASYVMRKYYFSLLKNYEQIYFFTSNSHIPPDSLRNQSTVMGLGTIRPPQELQAPQPRIDFGGHLTGPNVIGVIDGKFEDGYLVTVTMGTKQLRGVLYELLPQQSHCSFPNTNANPQVVTTKRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSDQHARLKPLNPGKDREISRMIGELWNKLNEQERLVYQGKAMEDKERYLIEMEEYREKLRTGQLMISNAVPLQQMLPPEVNLDMAAEADLVIEEDEEGDSSDCSGESEPRDDDRELEEPALNRLGLNLNSNRTAIVVGDVVMETCPSKKPEEPECGGGCC >A03g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21353204:21355360:1 gene:A03g505950.1_BraROA transcript:A03g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNWDPGNRGGDIFIRRGDPIGSGKQGFGKGATISRWKDLLDWISNGKSVLVLLGDIFRSWIPPVWKLLEGLWELIKISLFSDWLRALREEICQINQIFLSLIGLILWLGNEELQFCYKRKGGLEVPIIHSSFLFFTQDDRARSYKGVVINGAVDQQGQGRDNRDHTGKGKGKMYEEPESRWVKVPEKRGNRYQSYRTNQRGEEGGFRYRRSRPDRFRGNNVEEKPSLQRELRRGISPRVGDTGEVSEEGEIPRQEERQSRGQGQEKSRENVVDSTIILASVQKVTLEPQIVENGLDVINDLLEEGNNETVGDNMVLDENLSNVLETVEASDDGFLNLTDGEVEVSNEQVQEEFSEVKEDEEINEATEGKEQPPGEVEKKKVVRKGLFKQTAVAGASSKARNIQAIISTRKRATNNTKPATRQGEGAKHHEEKGPSYPTATSSKS >A07p005320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2230910:2231689:1 gene:A07p005320.1_BraROA transcript:A07p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFHVRSNSFPSRTHPQAAHVDEQLARLRSSEEASTSSTYSICKRIDNLQELHESLAKHICLPVTQHALAQGQNKKAVEQLLDGSLRILDLCNISKEALSQMKEGLMEIQSILRRKRGDLSGEVKKYLASRKFLKKTFQKVQKPLKLAQDGESLAVFGEAEVVTIALFDSLFSYMSGSKICGKWSVVSKLMSQKRVSCEAQENEFTRVDSEFQSEKTMKIEDVQILVTCIQDLEDGVESLSKSLIKYRVSILNTLGQ >A07g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15195814:15196687:1 gene:A07g506360.1_BraROA transcript:A07g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATATITGPQSALISSQTLEPETVIGFLVAKERNEFLMLSFVKATKERSTDMQPADMMHPSGSTELYKHLYLDYPRRRHKQHPDL >A07p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14873161:14875795:1 gene:A07p025910.1_BraROA transcript:A07p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKNVSYLGDQSASPVQDRENTPGPDFSSTNQHHRVFVDHSLEASQNINRRSRKSKRLGGSDSRVGKSLLSGLSHRNIEAEHAAAGWPSWLCEVASEAVHGWVPLKAEAFQKLEKIGQGTYSSVFRAREVETGKMVALKKVKFDNLQPESIRFMAREILILRKLNHPNIMKLEGIITSRASSSIYLVFEYMEHDLAGLSSNPDIRFTESQIKCYMQQLLWGLEHCHMRGVIHRDIKASNILVNNKGVLKLGDFGLANVVTAKNKHQLTSRVVTLWYRAPELLMGSTSYGVSIDLWSVGCVFAEILMGKPILKGRTEIEQLHKIYKLCGSPPDSFWKKTRLPHATSFRPQHTYEATLRERCKELSTTGVLLLETLLSMEAYKRGTASSALNSEYFLTRPYACDPSSLPKYPPNKEMDAKNRDDMRRKRANLKLRESGVGRKHKRPHREEQDPKSYAKLPIRQDTFEDKNITNEEGPRATTTTHGNYYKLSDLPLTTGPASGFPWAVKRRKDPDNISTLTYYQPSSRSQLSETSAAFAKNTFGLNLKPENESAYEIQGDNDDQIMEELPSEDKLSRTGKRHGSLDGSGLDFSQREEDSPLKKNLEHLQFGKQSISGPLIFKSGKIDEILQRNESNIRQAVRKSHIKMGK >A04p004520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2267160:2268680:1 gene:A04p004520.1_BraROA transcript:A04p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT3G56660) UniProtKB/Swiss-Prot;Acc:Q9LXX4] MAEPAVDSFYNSSFEIENSFSDLDVDFELTFDDLYFPSENESFFIPVEVEEEATTTCKTSMTKRKKEIEEDDEKRDARLVRNRESALLSRQRRKHYVEELEDKVKSLQSVITDLNSKVSYFMSENASLRHKVGPGKGMCWPPLVPWMPYLGSHQVVPLLPIPRLKPQRPVAKVKKTKKVASVSVLGLLFCLFLFGALVPIIVNVSYGGRVLDVSVNNSSGRGNLSATENYVPLGNGSERLGASLFVPRNEKLVKLDGNLIIHSILASEEDTASDSTRTRDMSKYLNTEKRKTDDSKEKLNSTRTNGEVQQWFREGVAGPMFSSGMCTEVFQFDVSSTSGATFPTSPATQQHKGKNNRRILRGGHPLSNLNLSKDQNSSNKENSSTNKSFPSMVVSVLIDPREESSDGVFIVVLVDNVKYVTYSCVLPRPEEVPHLVTT >A03g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17910848:17911350:1 gene:A03g505010.1_BraROA transcript:A03g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGEKECSGSGIGGVETGYDAAEFILLGSNTVCTGVMVHGYGHVKILCAELQDFMKQHNFSTIEDFRGQKEAIEQRKAERRGLKSDKDWTGDRFVKETESMVSN >A04p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2010795:2012876:-1 gene:A04p004060.1_BraROA transcript:A04p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVEESLKTLSLDSLNLLINGQAFSDVTFRVEGRLVHAHRCILAARSLFFRKFFCGYDPSQTGVESANLTGSGIRAAVMGGVIPVNSVGYEVFLLLLQFLYSGQVSLVPHKHEPRSNCGDSGCWHTHCTAAVDLSLDILAAAHYFGVEQLALLTQKHLTSMVEKASIEDVMKVLIASRKHDMHQLWTTCSYLLTKSGLPTEILAKHLPIELVAKVEDLRLKSSMPHQHDLTSALDLDDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALVYAVENCSREVVKALLEIGATDVNFQVGPTRKTALHIAAEMVSPDMVAVLLDHHADPNVQTVDGITPLDILRTLTSNFLFKGTIHGLTHTEPNKLRLCLELVQSAALVISREEGNNNDNNMKEEHTSGSSLDSRLVYLNLGATNRDLGDGHGHQMEGMSLHHHHDPSTMYHYHHHRHF >A03p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15803793:15810970:1 gene:A03p037820.1_BraROA transcript:A03p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVAVRSRPLSTEDAKTSPWKISSDSIFMPNHSTLSFEFDRIFREDCKTVQVYEARTKDIVAAAVRGFNVVFGSFDCNCAEFLANRFVLEGTVFAYGQTNSGKTHTMRGSPTEPGVIPLAVHDMFETIYQDTSREFLLRMSYLEIYNEDINDLLAPEHRKLQIHENLEKGIFVAGLREEIVASPQQVLEMMEFGESHRHIGETNMNVHSSRSHTIFRMIIESRQKTQDEGVGNACDAVRVSVLNLVDLAGSERASKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVENQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAPDHADETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRSKLKTSHSDHSDEEILNLRNTLLKSELERERIALELEEEKKAQAQREKVLQEQAKKIENLSSMVLLSNRDEKREQDHFKKGKRRDTWCTGLLSRDSTSEVQSHVLSRGSSLKSERSERETGPLLPFAELVENELLYSISEQDEHNIDETLEDSALPDPCALVHVTSRKKPSSIRQKSPVVVRKKSSVVVESESERIQREYEDLFLQYETERITHEIQIECLKAKLGEKDLSGEATCKHLDCQVVGNVHQEESGVHLRDPEDILLIKQLQEKINMLETEKPSSKQNLDDLVTKATEQNICAKEKKAEIQEEIHAAREEAQIAREQLVSKESEVTHVLNENFNSLVNVTTEVEVLASEFQKFKASLETISLVMDEGLQDFASFSPLIHDFTLFMRQSFDEHASLISSYQNVQSCLKQKVLDIENEKVLLQEQCAGLQSQIEKLNQEAQKHGTSLMMLSDQNESERSDLLSHIECLEKDIASLSTSSLAKEKETLRKDFEKTKAKLKDTESKLRNAMQDKTKLEAEKASAERELKRLHSQTALLEKDISKQESFAGKRQDERNAKQSLQEEFHNLEALAFEMETTIASLEEELAAERGEKEELLCRSEGLDQEVTSLTEKLELSNTQLEQLQIDITELKARLESSSSDQQQQETKVKQLLEEKEELAMHLATSLLEMEEEKAIWSSKEKALTEAMEENMSLYNIKIESLSKEMSEAKRELESCRLECITLADKLRCSEENAKQENESSMEKSLEIDRLGNELQSAHAVSKQSQEVLKSDIDTLKSELQRACEMSDTLQSELDYVTSERQSLLSRIEEIKKEVVSSNRLQDADADNKEKAKLKMRLMGTQARLDAKSIRHEQAVKESEVMNRKFQEASAKLKEKLASKALEVIHLKKQLSASSR >A03p069210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30418017:30419481:1 gene:A03p069210.1_BraROA transcript:A03p069210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGQTHHHGKDYVDPPPAPLLDMAELGKWSFYRALIAEFVATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVADGYSNGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAVKALASFRSNATN >A05p054300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32145460:32149112:-1 gene:A05p054300.1_BraROA transcript:A05p054300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGDGSPDNEHYTSVNAMSKEKRICHRHTPQQIQKLEAYFKECPHPNESQREAFCSVLDLGIDQVKFWFQNKRTQSKTQDERTSNILLREENKKLQLENAAMIEVLKTVTCPPCGGPPFGRDDRESNLHKMRLENAFLKTERDSLTTTKNKYQQTMLDSLTSVQRQQTFEALTSYGMNLYNQPSSLESQTIQPQLLPQMDLPQLSETAATAVEELKRLFRTDEALWVMSSIDGTYVIDQESYEKFSHSIKHFRNLSARVESSKDITVVPIEATSLIDMFLDSEKWKMLFPTIVNKAKTIHTLGSELPINENCNVLQVIWEQLHILSPLVPPREFMIVRCCQQIGEGLWIIADVSQDSQHIFNSDQASPSCYKRPSGCLIRSLPNAHTEVRWIEHVEVDHTADTHKMYRDLVSGSSGYGARRWIVTLERMCERMALSSILIMPATDWSETIPTVEGRRSVMKLGERMLKIFNEMLIMSGKVEFPQQSKCGVRISIRMNKEPGQLPGLVVTAASCLSIPLTPLQVFNCLRSNDTRHQWDVLCRGNTITETARIFTGSSGTNCITLLQPTPLWDIGQNMVQEPQKKMMVLQECYMDALGGMIVYSPLDMATMSIAASGEVDPLNIPILPSGFTISSDNSEGTLLMLAFQILSSDENSKTRSVSEIAVDRVSRLISQTVQSIKLMLNCSPE >A03p012260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4876513:4876998:-1 gene:A03p012260.1_BraROA transcript:A03p012260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDHMPPPPSSSMMNNGSMNGGGGGNQEMMMMHMTFYWGKNTEVLFSGWPGTSSGMYALCLVFVFFLAFLTEWLGHSSFLRDTTEDSANAASGLVQTVVYILRTGIAYLVMLAVMSFNAGVFIAALAGYGIGFMLLGSRTFRNPSGNRETYEIPPSVCAC >A02g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10601121:10602115:-1 gene:A02g503190.1_BraROA transcript:A02g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPKDVKGKGQYHSWSGPEHKLLLRLLVDAINQGFRDASGKFNKLTVESRILTTLQQEVGSKKTYGQYKNRMKILKGRYQVFADFLRCSSGFGWDSETKKFTADDEVWKVYLQAHPNNKYLRDDSFEDFEELRTIFEQNTATGQNAVGLGDSVDAGSYQFEENEKTNDNDFVHVIDEGGGIEHQETFTEISSQIFDMIQKRWVKEAEEKEAEDKANNVWDAIKEIPDLDDDLRYEAMTLVHTLGMKSGFVNMSITNSCGWIKRNLRKPSG >A01g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8522790:8525916:-1 gene:A01g502470.1_BraROA transcript:A01g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQQEPQERGKGSYVQWSPQENKTLINLLLDCIAAGLSDSNGLFSKFTVERRILPTLNQMHGSTKTFQHYSNRMKILKTKYLAAAELLRFSSGFGWDATTKRFTVPDEVWTEYIKAHPNYKKFRDETFEEFDDLKVIFERNLATGRSAIGLGDTTDARTTETAEAEKEQPNNGEEFSFNVQENYESQSSFLGSPSNDTVEKLPLRKRQKTNSLHKADGPSIQKEGTLSNMNPEFLGQQETSDDKETYMSHEDEELDVHITLLVLLIFRQLMCLKKRQRELQLQIERPIRRVITRAGQDYIESVLKEDPLHFRELYRMYPDIFLKLCNLIREKTGLTDTRYISLEEMVASFLLIVGQNARYCYTRDTFKRSKFANFKKLITMVKKSLQLKINKENMPIK >A04p031060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18392640:18394483:1 gene:A04p031060.1_BraROA transcript:A04p031060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPLLLSITNPVKLLKPSTIPYGLNLNTTINKKQQQLTKTIVLNSNTRSRTTTTFTSSLSLVRRRNNNGGGVTGGFDETEVCRRDQGNAVLLCALGYWVQGSRCFPWLALNFHMSHCLHLKPSTLQLVQYTAILPMVAKPLYGVLSDVLYIDGARRVPYISIGVLLQGLAWGSLAIFPGSREALPSLLGLALLSNLGASVTEVAQDALVAEYGLRYQMNGLQSYALMASAVGGILGNLLGGYCLVKTPPRILFLAFTALLSLQLTVSLSSKEESFGLARIRETSLKKQFNDLMGVIQGDEVSQPLTWIIASIAMVPLLSGSVFCYQTQVLNLDPWVIGMSKVIGQLMLLCLTVVYDRYLKKTLPMRPLVHIVQLLYALSLLFDYILVKQINVTFGISNKAFVLCFSSVAEILAQFKILPFSVLLANMCPGGCEGSITSFLASALCLSSIVSGFAGVGMANAIGVTCNNYANLPAGILIQSLAAMLPLWFIHYVPMSENGFEREGKRGLSKRSRKNRRVGRVVGQEIFAYRRERDAEAQT >A04p009450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7717188:7718033:1 gene:A04p009450.1_BraROA transcript:A04p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 20 [Source:Projected from Arabidopsis thaliana (AT4G14465) UniProtKB/Swiss-Prot;Acc:Q8GWQ2] MSNPWWTNQSGLAGMVDHSTSSSLHHQSLLTKGDLGIAMNPSQENDQDDEDDPREGAVEVVNRRPRGRPPGSKNKPKAPVFVTRDSPNALHSHVMEISDGSDVADTIAHFSRRRQRGVCVISGTGSVANVTLRQAAAPGGVVSLQGRFEILSLTGAFLPGPAPPGSTGLTVYLAGVQGQVLGGSVVGPLVAIGSVMVIAATFSNATYERLPIEDEEDGGGGGGGSRQIHGGGDSPPGIGSSLPDPSGMAGPGYNLPPHLIPNGAGQLGHEPYNWVHARPPY >A05p052500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30657070:30663767:-1 gene:A05p052500.1_BraROA transcript:A05p052500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 14 [Source:Projected from Arabidopsis thaliana (AT3G04740) UniProtKB/Swiss-Prot;Acc:Q9SR02] MAELGQQTVDFSALVGRAAEESFLSLTELVEKAKSPELSDTEKKLSLLKYVVKTQQRMLRLNALAKWCKQVPLINRLQDVGSTLSAHDICFTQAADSLFFMHEGLQQARAPVYDVPSAIEVLLTGSYQRLPKCVDDVGMQCSLDEHQQKPALRKLEVLVRSKLLEITLPKEITEVKVSKGTVTLSVEGEFKVLVTLGYRGHLSMWRILHLDLLVGERSGGPIKLEVTRRHILGDDLERRMSVAENPFTILYAVLHELCVAIVMDTVIRQVRTLLHGRWKDAIRFDLISDTGTAPANQEGEADSVSLKTPGVKLMYWLDSDKNNAGSFVKIEPGSDLQIKCSHSTFVIDPLTGKEAEFSLDQSCIDVEKLLLKAICCNRYTRLLEIQKELLRSDRICRAPSDVILQAFLDEPSSEGSSMVDSKEQIEPEVLCVRAYGSSFFTLGINIRTGKFLLQSSKSVLTPSILVEFEDALNQGSISAVDAFINLRSKSILHFFAAIGKFLGLEVYEHGFGVNKSPKSLSDGSSILALGFPDCESSHLLLMELEKDFTPLFKLAETQTDGSGKPQFLNDLSNVLRVKKIDIGQIRILEDDLNLITSDVASFVSSFSDAERSLSQASGHRGPGLVEESLAEMSGGQLSFSSIVDEVFGHQKVTSSADGKAPLLTSYQSDSFYSMQGPLESSSFNLLSSPPPGKGSAMKKNSNKELSMVLSPSLTVVSESADLAVTSDGPLLRKDQKSRKRSASDLLRLIPSLQGVEGVTHPNKRSKASASQALSTAVVTSTKAIGCSYGDLIAEANKGNAPSSVFVYALLHVVRHSSLSIKHAKLTSQMEALDIQYVEEMGLRDSFSDIWFRLPFAQNDSWQHICLQLGRPGSMCWDVKINDQHFRDLWELQKGSKSTPWGSGVHIANSSDVDSHIRYDPEGVVLTYQSVEADSIKKLVADIQRLSNARRFSLGMWKLLGIKADEKAEEPGGANPAVKGPAGGKGGGEAVDRWRAFKIEAVGLTSLWFSFGSGIMARFVVEWESGKDECTMHVESLLDCIRLTAGPLTALAAATRPARASSATGVTVVPATATSRQSNQTQQTQPSTLAAPNAVGQSASGSALASPSPAPSPLGGSFHGAAGRSGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPKGGASIGGSLPCPQFRPFIMEHVAQELNGLEPNLTGSQGGATNPNSGSRVNFSPSSVMSRAVMSRVGSVASGSLVAGSGLSVRRPLGSGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLREILGSILKENEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVRRFHHQQQQQNGSSGTAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPIPVLREFLKLIAWKKGLSQSQQAGEVAPAQRPRIELCLENHSGADVETSCAAKSNIHFDRPQSTVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYTFGDNPSVTFLGMEGSHGGRACWQRVDDWEKCKQRVSRTVEVNGSAAGDLTQGKLKLVADSVQRTLHLCLQGLSSNNTLQKEFTI >A05g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17424606:17425993:-1 gene:A05g506090.1_BraROA transcript:A05g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCLECLLKLLNFLLAVAGLGMIGYGIYLFVEYQRATDNNSLNFTRDDQSYVSFGRPMLMSLALSSNVFDNLPKAWFIYLFIGIGVALFVISCCGCVGTCSRNVCCLSCVSWLTMLLFDLFALYSLLLILLILAELGAAAFIFFDNSWRDEIPSDRTGNFDTIYYFLRENWNIVRWVALGAVVFEALLFLLALMVRAANTPAEYDSDDEFITPPRQIRQPFINRQPAPVTGVPVAPTLDQRPSRSDPWSARMREKYGLDTSEFTYNPSESHRFQQMPTQPNEEKGRCTIM >A09p000660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:450022:451919:-1 gene:A09p000660.1_BraROA transcript:A09p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVLNPDHINAELSKPTSIFGLKLWVVIGILLGSLIVIALFFLSLCLTSRRRNRKPRHADFASAAVATPPVSKEIQEIVRPPAQQDHHYHPTQPEIQVDIGKAEHRVVFSDRVSSGESRGTVSASETASYSGSGCVGPEVSHLGWGRWYTLRELEAATNGLCEENVIGEGGYGIVYRGILNDGTKVAVKNLLNNRGQAEKEFRVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYDYVDNGNLEQWIHGDVGDKSPLTWDIRTNIILGMAKGLAYLHEGLEPKVVHRDIKSSNILLDRQWNAKVSDFGLAKLLFSESSYVTTRVMGTFGYVAPEYACTGMLNEKSDIYSFGILIMELITGRNPVDYSRPQGEVNLVEWLKTMVGNRRSEEVIDPKIVEPPSSKALKRLLLVALRCVDPDANKRPKMGHIIHMLEAEDLFYRDERRAVRENESRDHNQIQAAVSAA >A03p066900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28587236:28593642:-1 gene:A03p066900.1_BraROA transcript:A03p066900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKPIQMVRFHLRFALFIILIVSTNPNLFNGEYNPPTPSPAPEPHPDDESSVSCVDDLGGVGSLDSTCKLVADLNLTRDCYISGKGNLHVLPGVRLVCQFPGCSITVNISGNFSLAENSTVLAGAFRLAAENADFAIGSAVDTTGLGGEPPEEASGTPVGVEGAGGGYGGRGACCLADTTAKVPEDVWGGDVYGWSSLEKPEVYGSRGGSTSNEVDYGGGGGGKVAMEVVGCVGLNGSVLADGASGGVKGGGGSGGSIFVLAHKMAGNGRISASGGDGYAGGGGGRVSVHIFSRHSEPKIYVHGGSSIGCQENAGAAGTLYDVISERLTIDNENKTTYTDTLLLEFPNHRLFTNLYIQNMAKVSVPLRWSRVQVQGSISLSNGGELNFGLPRYASSEFELFAEELLMSNSAIKVFGALRMNVKVFLMLKSRMFIDGGGVTILGTSMLEISNLLVLKESSVIQSNANLGVHGQGLLNLTGTGDTIEAQRLILSLFYSIQVGAGAVLRGPLQNSSTGGLTPKLYCQREDCPVELLHPPEDCNVNSSLPFTLQICRVEDITVEGLIKGSVVHFHLARTVVVRSSGTITADGMGCKGGVGTGRFLRSGVGSGGGHGGKGGSGCYNHTCIEGGDSYGNVDLPCELGSGSGNEESEDSVAGGGIIVIGSLEHPLSSLSLEGSITTDGESPRKTLRGISNSSIGPGGGSGGTVLLFLRTLDIARSAILSSAGGNGSLKGGGGGSGGRIHFHWSDIPTGDVYHHIANVEGSVYVRGGLGASEENVGEAGTLTGKACPQGLYGLYCEECPAGTYKNVTGSDETLCHLCPATEIPNRAVYVTVRGGVAETPCPYQCVSDRYHMPHCYTTLEELIYTFGGPWLFGILLVVVLLLLALVFSVARMKFISGEEVHGAATTHHGSQIDHSFPFLESLNEVMETSRVEESQGHMHRIYFLGHNTFSEPWHLSHTPPEEIKEIVYEAAFNGFVDEINAIAAYQWWEGAIYVVLSVLVYPLAWSWQQSRRRLKFQKLLDFVRSEYDHSCLRSCRSRALYEGLKVAASPDLMLAHLDFFLGGDEKRNDLPPPVHQRLPMPLVFGGDGSYMAYYSLQSDEILTSLMSQLVSPTTWYRFVAGLNAQLRLVQQGKLRSTFRSVMRWIETHGNPALRRHGVRVDLARFQASPSSSCQYGIIVHTIVDEVASPTEQQHYTQYLSSSIIDIGSLQLLKEEKDVLSFISFLIHNTKPVGHQDLVGLVISVLLLGDATLMLLTLLQLYSISMLDVFLALFVLPLSIVFPFPAGVSALFSHGPRRSAERTRVYALWNLTSLVNVVVAFVCGYVHYHGSSSGKKIPYLEPWNISMDENEWWIFPVALFLCKVLQSQLVNWHVANLEIQDYSLYSDDSELFWQS >A08p003950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2287650:2292033:-1 gene:A08p003950.1_BraROA transcript:A08p003950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHKRNISRSGLVAVPENYVHMVQRVENQKGTNSLEIESFAMIGVETWESQSCESRNRQMAEAIVSVTVQKLGEFLLEEPEAVSLHSVGSEIREITTRLTKIAASMKDYGIKEAMDGESLSLFDSLREQRQSFPYAVEHNLVGLRDEQLGEELHRFLKRTKCLVVLDDIWGTDAWDGLKHVFPHETGSNIVLTTRNKEVALYADPRGVLHEPRLLTHEESWELLEKISLQGRDNLGQDYLEELVKRSIVMVGKRDIVTSEVMTCRMHDLMREVCLQKAEQESFVQVVDSRQQEEDEALRSLLTNTSRRISVQIHGGAEEHRIERLYMSSQCRSLVYLMKNQGSQWTLLGKVSFRKMKLLRVLDLEGAQIKGGKLPDDVGDLIHLRNFSLRLTNVKEVTSSIGNLTLMITLDLFVKGKLYIPDVIWKLKRLRHLCMPSELDPRTKLDLSTLRNLQQLWDFPVGQCNPRDLLAMMSLRRLSINLSSQNTDFEVVSSLSRVLKRLRGLTINVPCEPMPPPVDITQLVSAFANLSELELFLKLEKLPGEQSFSSDLGALRLWQCGLVDDPFLVLEKLPNLKILQLFEGSFVGSELHCSVNGFPQLHSLTLSQLENLEEWTVEDGAMIRLVSLELKCCKKLKSVPEGTRFLKNLQEVEIGNMTKAFKDKLASGGEDFYKIQHVPCVVFEYCDL >A03p061590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:26742251:26742700:1 gene:A03p061590.1_BraROA transcript:A03p061590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSENIPSCDRKPPRLTRDQEHVIMVSALRQVISNVRGDDTSSSNSVACEALHQPLEAGPCPLCGITGCYGCAFPRREEIKKETKHKGVRQKPSGKWSAEIWDPSLQGRRWLGTFPTAKMAGKAYDDAAAKLVKRKKARRGTTNEEEP >A09p057200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48340962:48344066:1 gene:A09p057200.1_BraROA transcript:A09p057200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRVFLFIALTMLLEAYGLTVETDRKALQDFKSQVSGDKQVVLSSWNNSVHVCNWKGVTCGLRHKRVTRLDLGGLQLGGVISQYIGNLSFLISLDLSNNTFGGTIPHEVGNLLRLDYLDLSYNSLVGAIPVSLFNCSRLLELYLNSNPLGGGVPSELGSLTKLVNLDLERNKLKGRLPASFGNLTSLMRVNFRDNSLEGEIPGDIGRLNQVVELYLAVNKFSGVLPSAIYNLSSLKLLTIRENHLSGFLRPDSDKLLPNLRFLNMGRNYFKGAIPASLANISNLQMLSLNANNLTGGIPSSFGKLQNLQLLSLFNNSLGSHSSGDLEFLGALTNCTQLKTLYVFGNHLGGHLPTSIANLSTDLRVLDLGTNFIFGSIPHDIGNLINLQSLALDGNHLTGPVPASVGKLLQLEVLDLVSNSISGEIPSFIGNLTRLDALVLANNSFEGTIPPKYGMGGQPSIHGDVYSFGVLVLEMLTGKRPTDIWGNFTLHSYIKSVLPEQVLEIADKSFFDNGLIVGFPIAECLTLVLDVGLRCSEESPTNRLEMSEATKELISIRERFFKAR >A10p005120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9184035:9189446:1 gene:A10p005120.1_BraROA transcript:A10p005120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKGSSQGDGSDTKAVRSSDRLRRRPKLYGRSYYYYSPNLHNRKRNTKTRTAASQIAKMLHKGNKPARASSATNVGNVANDVQPVASDLRRSTRKRRLSVNLEDYTDSSGGEDEDMMSPAYRTLRSRVSNGVHRNRKAKETESAPRREGLRPRRSKIIANKRLKTESGANQDSSEEKDGPEETENGNELDDHDADDGEDEVEAEDEGNGEDEGDGEDEEEDGDDDEDEEQEGRKRYDLRNRAEVRRMPTEEINKQQQPRSPRRVLHQGMGTRNGRDVRRGGSRLHKRHRFARTDDSDDSLLVDELDQGPAIPWARGGNRSGPPWLFGGLDTYGSSSLGLNAGASGWGHQSDGLTALTSGVQTAGPSSKGGADIQPLQINENINFDDIGGLSEYINDLKEMVFFPLLYPEFFASYNITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFSLPGCEARAEILDIHTRKWKNPPSRELKEELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKYAIDVGLVKVNKSHFVEAMSAITPAAHRGSVVQSRPLSPVVLPCLHRHLLGSMSLISDIFPSSAMSSELTKLSMLSFGSAIPLVYRPRLLLLGGEGVGLDHLGPAILHELEKFPIHSLGLPSLLSDPGAKTPEEALVHIFSEARRTTPSILYIPMFNNWWENAHEQLRAVFLTLLEELPSNLPILLLATSYGELSDFEEQSVFDNRSVYTVDKLSGEDRSLFFDRLIEAALSVISGLNGKPDGPPFPELPKLPKEPTGPKPAEIKAKVEAEQHALRRLRMCLRDVCNRILYDKRFSAFHFPVTDEDAPNYRTIIQNPMDTATLLQRVDAGQYLTCSPFLQDVDLIVKNAKAYNGDDYAGARIVSRAYELRDVVHGMLAQMDPALLTYCDKIAAEGGPAQIPDDLSGSILGLAPVVQMGTVTRSSARLRNVQPEVNIDRDHEGLKKPKKTADAASTDSASDKPEHQDSDVEMTPLEAAKSNSSAPCSAEDPPRKEDEACGEEVSGDCSQDSVKSDEDMSSEIESVKGVLMERTESYSIPQMERIYTRIMKGVLETLDKGLGDDQSPKHSILRFLSEFAQLQANF >A08p032090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19478991:19480612:1 gene:A08p032090.1_BraROA transcript:A08p032090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTN1 [Source:Projected from Arabidopsis thaliana (AT4G38800) UniProtKB/TrEMBL;Acc:A0A178UXV8] MGPHGDGDIEKFESESRRRPISTVVFVIAMQAEAQPLVNKFGLSETTDSPLGKGLPWVLYHGLHKDLRIYVVCPGKDAASGMFLLLPLFITLIDINNNSASVNGAGIDSVGTVPASLITFASIQALQPDILINAGTCGAFKVKGANIGDVFLVSDVVFHDRRIPIPMFDLYGVGLRQAFSTPNLLKELNLKGAAVAYVAELLKVPVIFLKAVTDLIDGDKPTAEEFLQNLAVVTSALEETATKVINFIHGKTLSDL >A07g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7790349:7793332:1 gene:A07g503990.1_BraROA transcript:A07g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKIYLIFHSFSLFLSHLNGFSDLEDFWDDLPVSRLDFWKSSGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWTSWKSSGLPGSRLDFIKVLSELPGSRLDFLKVVWTSWKSSDKVFFHIKWSPTFSLAYIRLLQAHIITNKSDPPRIVSFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNGCSW >A07g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7598864:7604040:1 gene:A07g503840.1_BraROA transcript:A07g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSRRENASDLVQSLWMSRSGMWCTATSCRRSERSLRQCSSKDHSNHLLLSSKCTQMSPETPCDINSPKLVLLLVHNFSRPILTLFVLTLIIKHPHIQINQLSYSLSTKHQNKDSLPPGGLQSNKT >A06p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20354584:20359980:-1 gene:A06p037510.1_BraROA transcript:A06p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 31 [Source:Projected from Arabidopsis thaliana (AT5G63630) UniProtKB/Swiss-Prot;Acc:Q9FFQ1] MPLKFPRRIRFITHSLPRTTNNLASFSSGSRAYHYNPSSSSPFLSRIFSLNHFPIGSHINFSTRPNGGETRASRSLIEDEEELSNWVTDLRPGSLRVHLTSDDDDDVERSRGRNQEFRGTRNKVDSFRENRFSDRDKGSNFRSSQSSFRGRKERSLGRDREGHKGLRKQRRGDVLDGESSDEDVKSLVMGGIGYLLSEEDEEEDEDHEFLKKKAASAFGFDKQKGVEARNDVKSSDSYLTKTRFDHYPLSPLSLKALNDAGYETMTVVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPTSPDNKRPPILALVICPTRELANQAATEANTLLKHHPTIGVQVVIGGTRLGLEQKRMQTNPCQILVATPGRLKDHIENTPGFETRLKGVKVLVLDEADHLLDMGFRKDIERILSAVPKERQTFLFSATVPEEVRQMCLVALRRDHEFINCVHEGTGETHQQVRQTHMIASLDKHFSLLYTLLRAHIADNVDYKVIVFCTTAMVTKLVADLLGELNLNVREIHSRKPQSYRTRVSNEFRKSKGLILVTSDVSARGVDYPDVTLVLQVGLPKDREQYIHRLGRTGRKGKEGEGILLLAPWEEYFLSSLKDLPITKSSLPSTDPESVKKVQKALCHVEMRNKEAAYQAWLGYYNSQKMIGRDKERLVELANEFSRSMGLDNPPAIPKLILVYLRRLIMAFAPILRRASAAKNLFSLSRLSLGSSPSVRSLQTGASGDDRQPPPPLSPSSIAGYHVSSGGYMRGAVYREPNQPLTIEEFHIPRPKVNEVLIKTKACGVCHSDLHVMKGEIPFSSPCVIGHEITGEVVEHGPLTDHKIIQRFPIGSRVVGAFIMPCGTCSYCAKGHDDLCEDFFAFNRAKGTLYDGETRLFLRHDDSPVYMYSMGGMAEYCVTPAHGLAPLPESLPYSESAILGCAVFTAYGAMAHAAEIRPGDSIAVIGIGGVGSSCLQIARAFGASDIIAVDVQDDKLEKAKTLGATHTVNAAKEDAVERIREITGGMGVDVAVEALGRPQTFMQCTLSVKDGGKAVMIGLSKAGSVGEIDINRLVRRKIKVIGSYGGRARQDLPKVVKLAESGIFNLTNAVSSKYKFEDAGKAFQDLNDGKIVSRGVVEIL >A09p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17585808:17587972:1 gene:A09p029450.1_BraROA transcript:A09p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSLSNMKNYNEKRVRCCEYIKALEEERRKINVFQRELPLCLELVTQAIETYKKEISEATMENLCGQSECSEQTTGECGGILDLFRPIKHSSTSLEGEEEKVDADDEHESNETGLDCDDKNMKSEWLKSVQLWNQPDSVLSKKLERSQQETETVVEAINGNDNGTTSHQPPCYETSNGKSGDDKSQASISGRQKMEAEKDISGGSGGIGRRKHRRCWSHELHRRFLNTLKQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPGQTIPNKRGSQTQHFVVVGGIWVPQASHSTANAATAGETTIGIYGPLQAEWPSQSNFGRTISEERSRCSNKGIIRCSSPAMSSSTRTKTKDAKLS >A07p028350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16036822:16037895:1 gene:A07p028350.1_BraROA transcript:A07p028350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQSFFPEDLDCGNFFDNMDDILDFPGGDIDVGFDIGESDSFPNIWTTHHDTWPAASDPLFSSNTNTNSDSSPELYVPFEDIVVKVERPPSLVEKKEDSFSTNMDSSSSHSTFRSSSPVSVLESSSSSSQTTNTTSLVLPGKQGRPRTKRPRPHVQDKDGVKDIVDSCLIVRIPKQFVSDHSKMISKKKKKKKAKVTSSSSSSGIDFEVNGNNNVDSYSSEQNPVRKCMHCEITKTPQWRLGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFTPALHSNSHKKVAEMRSKRCKDGSYTTEENGMQDLIPNNVYTGVDLKPRER >A06p028800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:513091:518040:1 gene:A06p028800.1_BraROA transcript:A06p028800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGDCFSLEESILLEKIEDVYENKINLRRVCEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVHNQDSGQHNQEVTQEVESGAQSSGDGQRESNGSDESGTQSSGDWEVDPDGSNESGAQSN >A09p051230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45291425:45293341:-1 gene:A09p051230.1_BraROA transcript:A09p051230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSAARADNFYYPPEWTPEQGSLNKFQGQHPLRERARKLGEGILIIRFEMPYNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFAMKAPCCKQEIVIQTDPQNCEYVITSGAQKKVEEYDVEDAETMELTAEEEKGKLADPFYRLEHQEVDLQKKKAAEPLLVRLQRVSDARHADDYSLNKALRAQIRGHRKRVAEEEAASRKLGLGIRLLPKSEEDIAAASNVKFKTKFDKNRKDKRALIHASSIFPESSYSMSSSSKKRLELEAKRRKICAASASSLLSGGFKASSLSKNPSSANKYKSSTVSVRKM >A06p051430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27056390:27056814:-1 gene:A06p051430.1_BraROA transcript:A06p051430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTSRKLCVFQNRFSTPQKASSKLSSTLKNGKEHMSLAVKPFSHIGNHHKIHIYHLKQSFLTMMTSEKQIQKREAFLHAITLNITHIWLRPNFQVLSRIITAK >A09p031950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19360970:19365613:-1 gene:A09p031950.1_BraROA transcript:A09p031950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTHQSESTTRPENTVVLSIDCLKGSSKSEEWSGDMLQTGDIVEEIRIGSGPGSAIFKAPFKGGKAWLQKVLHNSYRNKETSIVVRVRRGSEDLSDLSACIVPNESAGKRQYMLRSIDDPNYTVGFSDRTESVCLGIQASRGSRMVEALGRAKLQDGYVSYPWERRMQETLPISGSSNFLSILFLPKASVHGRAGSRYNDLEDTLARANAWLSCSQANGVPIVFMNIQTESLLTKISGETASATVNTMTSLSDLSNLANVSLYGFEDYHGVDIGVVRAVRLWYAPLGVELPLEIKLRDDDTKLGFSISRTEEVKISIEGFVYVSSVTDHEDESAPAARSGLSSLYRGAAKASRRLVVSRVGSQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHAKVSIILYVILWDTSATAAFSSPPRSSSHMLFSNQTLDSSEFSWHRMASPPRQVGDRQVMPLPDEEDDVFRLERENVGNASFKFQEIPFTNESL >A03p014180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5642843:5646195:1 gene:A03p014180.1_BraROA transcript:A03p014180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPHDPLSSSSPATSSLLHLTTSSDELGQSHLQNFSIRDYAYSNRKNSIKNSWPFSPKSLQLCSSHGVTDPLPPFETTASSSSGKHIVPHVHRGTGLAKLGLKGGCSQSRVIKNGFGKSTCVSKSKVETIVVAGTSNNNKNNKKCGRVGMVKSKEDSCGGGGLVMTTTCPICKTFSSASNTTLNAHIDQCLSADSELPPVSTYKPNKHRVDQRLSVDSAVPPVSSTKPNKPRVNQYLSVDLTVPPISTKPNKARVNQCLSEDAAVPQVSSKPNKPRAKPQMKVKTMVDIYASAKRCTLEDLDKRNGTTWVSILSHTNRVVADKVSKKRKASPVGVGPVYIDAKGQKLRILTEFSEKRTCTTTPLREQHEGGSSEKKSSSQGSKEKSKSLRKRRLGKKHYKCLKLTNLKANNTSEVPDYQRVFCGEGSSKGHRRIYNQRMLSKPGLVSKRLNEKGHKLYDLRDQPSEDDDEEEDDDSWSGGERLVLRGTDSSPLKKQKEVSGRNKAMFGNKEAQSRSFRVQMSKKEERTLAVAHLDTLQVKKRLASIQKDKYPLGKHVREVSPRATSMRKVSPQFVANGWRRLSLPVQLKKARLDSSEKEEEEEEAGKWESEMTQERELSDDDYVSGYDDTPSFSRYDDEDEEDEDDNNNNSRANVLDKSNDATPSERAMYYYSEEVEEMIYRQTTCDEDVRFESEVRGKGSLFVEVDTIPIPGPPGSFLPSPRDMCYDENLGNSSVITSQFHSFDRNSSESPVSAVSNVAAGKLSFPVELSSSYTTTPISFCVPSHHETITEAELMTVDKTKPPPRLGSNDQESCCCCQRKERISEGIALNHQTSHLLQRRGASYSSHLDTTNHPFEESPYMIQQDLELQSKFSSRAVVVPPSPSPVLRLMGKDLMVMNQGEADKAEASRDSLKPTAQFLDPLHCAGTGLYFNTGLYLRNSFESTHHQPQTPQAQAQTQTQASPVRHSFDDHVRYFSPS >A10p020400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13822481:13824071:1 gene:A10p020400.1_BraROA transcript:A10p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtABI2 [Source:Projected from Arabidopsis thaliana (AT5G57050) UniProtKB/TrEMBL;Acc:A0A178UGB7] MDKASPAVAAVPFRPFPDPQLEFAGIRGYCSPSLPESTCSSGEESTKDSSFIKINNMRQGSTSSSSRLADVTVDISAGEEINGSDEFDPRSTAQSEKRVLSRTESRSLFEFKSVPLYGVTSICGRRPEMEDSVSAIPRFLQVSLLDCGRVANGLNPHSSAHFFGVYDGHGGSQVADYCRERMHLALTEEILKEKPEFCDGDTWQEKWKRALFNSFMRVDFELDFVPETVGSTSVVAVVFPTHIFVSNCGDSRAVLCRGKTPLALSVDHKPDREDEAARIEAAGGKVIQWNGARVFGVLAMSRSIGDKYLKPSVIPDPDVTSIRRVKEDDCLILASDGLWDVMTNEEVCDMARKRILLWHKKNAMAGDALLPAEKRGEGKDPAAMSAAEYLSKMALQRGSKDNISVIVVDLKGIRKFKSKALN >A08p018890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12956610:12958535:-1 gene:A08p018890.1_BraROA transcript:A08p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLVLPIIDISSPEKISTAQLIRKACLEHGFFYVKNHGISEELMEEVFRESKSFFNLPLDEKMSLLRHDLLGYTPLYAEKLDPSLTSSTGDSKESFYFGSLEGALAQRYPNQWPPQDLLPSWRQTMECYYKNVLSLGRKLLGLIALALDLDEGFFEQVGALNDPTAVVRLLRYPGEVISSDVESYGASAHSDYGMVTLLLTDGVPGLQVCRDKSRQTRVWEDVPGIRGAFIVNIGDMMERWSNGLFRSTLHRVMPVGKERYSVVFFLDPNPDCNVVCLESCCSETCPPRFPPILAGDYIKERFRLTYDS >A01p038410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14588542:14590522:1 gene:A01p038410.1_BraROA transcript:A01p038410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNGGKHEMGLLRSSGNSIEGTHARSLRSDRAWLELGRYVATGQRACAVVAIRTKLYLGNIRCDVFLTEHDLLRKDILVFCGDLNVNFVVTVFDPNMRFYRAVWRVRTRMVYLAEVRVQKFARKYEMSSKKRSSKKGSSPVNVPEELLVPKIEFIPHSVDPAENEAWWFACAPSRSTSEFFEIMRSFYQISYAVKFRVPRQGERSSSPPEGYFTCYEAFVVRCRLWFPIPEIIVRVLDRFEHLIGVLILSYEHGLSLTVENFEALLRLQIVKDTDKYRLVPRNFMSMVKGFTYNFNSWKKSFFFVRIDAASVEERCIPLFRRLPNDRPFINPLALFPEDIIAVRDLLRTFHFSGLLLRRREQTRSDSEPDDQGPDAAPTVATGLNSSKGKDIDLGDIEFSVDDSMLPGWDPDLAFGDGSGTSEVPIPDSDDFFAGLPSSFDAPPTTNESGRPKVVAEGSRLELAWIGH >A01p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5299165:5300020:-1 gene:A01p010840.1_BraROA transcript:A01p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWIPTYIGHPILNQMAQSWAPEWIPTYIGCQFNIQIAQRWTPEWIPTYIGCQINQEFSYELDTVTRDHEGCGRQRREQTQKVQAESVKVDAGELVLQRRRNERRSGESR >A05p043890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26458308:26459548:-1 gene:A05p043890.1_BraROA transcript:A05p043890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRX-M4 [Source:Projected from Arabidopsis thaliana (AT3G15360) UniProtKB/TrEMBL;Acc:A0A178VJ83] MASLLDSVTVTRVFSLPITSSISPASKVPSVSARRISPVPEFRGLKASRKSSVTQSASLGTNLGSRFARGGRIVCEAQDTTAAAVEVPNISDSEWQTQVLESDVPVLVEFWAPWCGPCRMIHPIVDQLAKDFAGKFKFYKINTDESPNTANRYGIRSVPTVIIFKDGEKKDSIIGAVPKETLEKTIERFVVE >A01g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15071572:15073869:1 gene:A01g505090.1_BraROA transcript:A01g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRKSRMHVLTVLTLIQCNYVDVLHMILRRMKIMGTEVNEILSPEAAEAAYRNGRWTNQIQMFAKNGCSNASPLFSSFAQLASGLIASSACELLMIAILEPKREQNMKNKHYEKTKRCIRGLELLRQEHSQCISMTELQLDHNAATTTISNVNPGDHALGVSSVPPLSLGTQREVRNSAYSSSSATLTLCVGRFIEPVTYVIPRRYERTSDVQFEVEECIDVGAVTRE >A05p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:625274:634107:-1 gene:A05p002120.1_BraROA transcript:A05p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVMNRIKSPEVVRWEKYLPKTVLRVLLVESDDSTRQIITALLQKCSYKVVAVSDGLAAWETLKEKSNEIDLILTELDLPAISGFALLALVMEHEACKNIPVIMMSSEDSMTMVLKCMLKGAADYLIKPMRKNELKNLWQHVWRRLALRDGHNGHGLSLPASQQNLEDSDETSADHSDQGSGAQATTSCYGNNRLMEDVTMDLIGGIDKRSECFYGDNARDEYVGPELGLSLKRSCSGGFEKQDQTTKQQKLSLSDESVGITLTCRYENIQPAEKAEVGVEPSSSGEPKTPSESHEKLRFDYGSATTSSNHENMGSSSLSGQNELSFRSQVGSESTNGVKAKEQEEEGCGLSVEQRRSQREAALMKFRLKRKDRCFDKKVRYQSRKKLAEQRPRVKGQFVRAVNSDAGEPQRFGDKRKKRKGPKKKRAPPLSELQAKVNLKGFRINGEYFQPSTFILLSLLLLMEPSSPQYSHIIEVNASKSDEERTTTLGSVKVCGETPCHLNNASGDAEERTASMRKLCIAVVLCLLFMTVEVFGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQTYGFFRIEILGALVSIQLIWLLTGILVYEAIIRLLTETSERKRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIVDLICTLVFSVIVLGTTINMIRSILEVLMESTPREIDATKLEQGLLEMEEVVAVHELHIWAITVGKVLLACHVNITPEADADMVLNKVIDYIRREYNISHSLDQKVIVRRSLQVQGSEEDQRFVLEEDMMQQSEDLSSVLSVKDPNFLRLLSLQTLQKPPWELGDYLPHEVPEFHSPTNYYHQNPSLERVNEAISSQELQLNPLRKRRNNNNLVAASVSREKRKRKRTKPTKNTEEMESQRMTHITVERNRRRQMNVYLSSLRSLIPSSYILRGDQASIVGGAIDFVKLLEQQLQSLEAQKVTQQTDTKDISLEQSSKLRIDATVIESHVNLKIQCPKKQGQLLRSIIWLEKLRFTVLHLNVTSPCNATVSYSFNLKMEEDCTLTSADEITAARNHLHKQPSQTLVLH >A04p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17959421:17960923:-1 gene:A04p030280.1_BraROA transcript:A04p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGHMSSFSFRICFRRLLNSFLPCLRLYDEAKRNGLQLSQYHYNVLLYVCSLSDAETESSSLNPGLNRGFDMFKQMTVDKVVPNEATFTNGARLERHGPFDAVIDGANMGFVNQRSLASSSPSKRLPFVILHKSRVVGGPATYPRTEHCLRNGKTLSFRYWLYAAVSCKCLLVTNNEMRDHLFQILGTSFFRRWKEKHQVRISVSRVNRLLLHMPPHYSEDDLQTTRERLCATRSKTQ >A07p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25027942:25030045:1 gene:A07p046380.1_BraROA transcript:A07p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHTGESYWFLSVSALTTFLACITIFLLVEITRRKRKEPHRLPPGSRGWPLIGDTIAWLNAVSGSHPSSFVEKQVKRYGRIFSCSLFGKWAVVSADPAFNRFIMQNEGKLFQSSYPKSFRDLVGKDGIITVHGEQQRRLHSIASSMMRQDQLKTHFLEDIPAVMLQTLSNFKDGEVVLLQDVCRKASNQIRTSLVAIHLMVNQLLGVSSESEVDEMSQLFSDFVDGCLSVPINLPGFTYHKAMKARKEIIRKIDKTVEKLVHSKEADTAGNGVLGRLLEEESLPNESMADFIINLMFAGNETTAKTMLFAVYFLTHCPKAMTQILEEHEKFAGETLTWQDYKTMEFTQCVIDETLRLGGIAIWLMREAKEDVSYQDYVIPKGCFVVPFLSAVHLDESYYKESLSFNPWRWLDPETQQKRNWRTSPYYSPFGGGTRFCPGAELARLQIALFLHYFITTYRWTQLEEDRISFFPSARLVNGFKIQLNRREKDPPQCQ >A03g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21587050:21588328:-1 gene:A03g506030.1_BraROA transcript:A03g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVKQRRRRVSVSENKQTDMPNLSELAITDNPALNWLKNQAYWYEKMNYYDEKESEFATSVAAAAFVIASMEEAYKENAKRMREETKRSRKKKTNPVIAKSEVKRINRSFTQDLTIGEESFKKQQMDNPQKDRREQEIGSSSRALGLASAPSKADSWEKSQLDKIRLRYEKMKAEIVGWENERKSAAKLRMEKRKSELQKRTEINNQHYKTKLARIQVIADGAKKQLEEKKRSQEAQVQEKVKKMRRTGKVPANYLCFRFNY >A02g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7079857:7081123:1 gene:A02g502160.1_BraROA transcript:A02g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFLSCINLKYNRPVIDQNLYYLGMKYTTATFATAMYNVLPAITFVLAYIFGLERVKLRCIRSAGKVIGTLATVGGAMIMTLVKGPVLDLFWTKGASAPNTVGTDIHSAIKGAVLVTIGCFSYACFMILQAFTLRTYPAELSLTAWICLMGTIEGAVVALVIEKGNPGAWAIGWDTKLITATYSGIVCSGIGYYVGGIVMKTKGPVFVTAFSPLGMIIVAIMSTIIFAEQMYLGRVLGAVVICAGLYLVIWGKSKDYKYPSTPQIDGESAQPSVQLCRNGKDGVETL >A03p009620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3850946:3853512:1 gene:A03p009620.1_BraROA transcript:A03p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 7 [Source:Projected from Arabidopsis thaliana (AT3G06250) UniProtKB/Swiss-Prot;Acc:Q9M8J3] MESSATEHSGFNTMVTKAYPLRILNHNTEEHNNSGGGAEPYVGLEFDTAEEAREYYNVYAARTGFKARTGQLYRSRTDGTVSSRRFVCSKEGFQLNSRTGCTAFIRVQRRDTGKWVLDQIQKEHNHELGGEAEETTTTTTPRPVRAPAPTKLATTVNQHRPKMKVVDESDREQRSSSKSSLKRFKSCSVGEGEVSNDHHSKAVSGSEPYAGLEFASANEACQFYQAYAEVVGFRVRIGQLFRSKVDNSITSRRFVCSREGFQHPSRMGCGAYMRIKRQDSGGWIVDRLNKDHNHDLEPGKKNQDGLKKITDDVTGGLDSVELIELNNHNKHIKKATSRENRIGKEWYPLLLDYFQSKQTEDMGFFYAVELDVHNGSCLSLFWADSRARFACSQFGDAVVFDTSYRKGSYSVPFATFVGFNHHRQPVLLGCAMVADESKDSFVWLFQTWLRAMSGRPPRSVVADQDSSIQQALSQVFPGAHRRYSAWQMREKERENLRPFPSEFKYEYEKCIYQSQTVVEFDSVWNSLINKYGLRDDVWLREIYERREHWAPAYLRASFFAGIPINGAFEPFFGPSLDALTPLREFIGRYEQGLEQRREEERKEDFNSYNLQPFLQTKEPVEEQCRRLYTLTVFRIFQNELVQSYSYLCLKTYEEGAMSRFLVRKCGNESEKHAVTFNATNLNSSCSCQMFEHEGLLCRHVLKVFNLLEVKELPSKYILHRWTKNAEFGFVRDMESGVSSQDLKALMVWSLREAASKYIEFGTSSLEKYKLAYEIMREGGKKLCWQR >A01p014210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6909923:6910867:-1 gene:A01p014210.1_BraROA transcript:A01p014210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPECVLPPRRFLTLCWFLLRPDSREAMAGKAAEAVAKTVTAFQHPWRAKLDKYRTELTKGVWGYWEMGAWKPLGISARRRAMLRKEVLTAGEDWPYDPERKAMRTKRKGHKCDRISAEKRENTAKLMLKMPQMLLDYKKRRWEKKMKEEEKAKEDK >A06p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6542855:6546715:-1 gene:A06p014630.1_BraROA transcript:A06p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDVSSRNVNPRSNRNSVASASASASAAPVDSLRRRARSPSPPQTAAARYVIDSSVGASSPAVPVNAGSVDWTGHGLGSSGRSCRPWDRGDLLRRLATFKPCNWLGKPKTASSLACAQKGWVSIDLDKIQCEYCGSSLHYFPPQHQLNHPQADSSREEFSKKLDDAHEGSCPWIGNCCPESLVQFPPTPPSALIGGYKDRCDGLLQFYSLPIVSVSAIDQMRASRRLQIDRLLAQPQVYAHDDPSLRMDNTLAAETSKQEALSNYSRAQKLISLCGWEARWLPNIQDCEEHSAQSARNGCPSGPSRNQSRFQDPGPSRKQLSASSRKASGNYEVLGPEYKSESRSPLLDCSLCGVTIRIWDFLTTSRPVPLAPINANLPETSKKTALTRGNSATSGINGWFANEGMEQQQNEDVDEAETSVKRRLASNAGISFYQTAAGASSSAQLNMSVTRDNYQFSDRGKEILLRQPSGSEVGDRAASYESRGPSTHKRNLEDGGSTADRPYLRVQHTDSVEGTVVDRDGDEVNDDSAGPSKRSRGSEVHETYLPSYGRELSVGGPSHSVDAENEREVNRSDPFSEGNEQAMAFPGARDSARASSVIAMDTICHSANDDSMESVENQPGDFDDVNYPPAATGQSADPSELNFSNQAQQSACFQPAPVRSNAEAGISSINDGEEVMNTETVTAQGRDGPSIGVSGGSVGMGASHEAEIHGADLSVHRGDSVVGDMEPVAEVIENLGQSGEFAPDQGVTDDFVPEEMDREGRVGDIQDRVSQSVARADSGSKIVDSLKAESVESGEKMSNVNALMNEDSVHPSLSCNAIVCSGFEASKEEVTQTWNESPLNAGFALPGSSYTANDQGPPNGDSNDEIMEFDPIKYHNCYCPWVNKNVAAAGCSSNSSSSSSIAEALCGWQLTIDALDSFQSLENAQIQPMESESAASLCKDDHRTPSQKLLKRHSFISRHGKK >A09p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44081619:44084608:-1 gene:A09p050000.1_BraROA transcript:A09p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRVKSGEGKKSSWHLPVLAMTADVIQATHEECLKCGMNGYVSKPFEAEQLNRETTSKRLADRKIEKFDKNITKRGFVPETTTKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >A03p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2325994:2330739:-1 gene:A03p005560.1_BraROA transcript:A03p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMMSPPGETASLLSHASASQQPYVSELLSFTLDRLHKEPELLRVDAERTQRQMQEVAVGNYRAFITAADALLAIRQQVSFIDKHLESLICQVPKLMSGCTEFIDSAESILEKRKMNQALLANHSTLLDLLEIPQLMDTLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFGEYEMRLQFLRCREAWLTGILEDLDQKNAYEYLKGMINCHRMHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPPLFEEAVLNLFSKNINTAVENFQLVLDSHRWVPLPSVGFPSSGINDDSKDDVTPPSYLMEHPPLAVFINGVSAALNELRPCAPLTLKNVIAHELIKGLQAVSDSLLRYNTTRMLRLNESNLFLSLCRAFVEVVFPHCATCFGRCYPGGASIVMDAKSAYEGLSRILAASSSPEPSNKLVKTISTDATSASENGIASQVEEKQVESPNEKEEDSPIPLQIPETTPHES >A01p047240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26624388:26626837:-1 gene:A01p047240.1_BraROA transcript:A01p047240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNSIHNRSRSDPVLKKSLKTNKSSEATHNIDIFHMDQTLQREIEQLKSLVKCRKLQPQGVSCDEIDLLLQEDELLVRAELETVLRRKLFLEDCRNEDPSIPKEAKKLVSEIAGLELQVMYLERYLLLLYRRFFNNRVSSNLEPEEKEISEDLLVSTNLIESPKTAVCSPQKVLEDSGIFRSHSSLSHCSGYSFRMSPQAMDSSYHHSLPFSMLEQADIDVMVGTYVSENVTKSPNSLSEEMIKCISEVFRKLGNQESLDDDQESSSPFRRKGKLKVTSRPYDKLLMVKSLCRDSEKLNEVEPALKHFRSLVNKLEGVNPRKLNHEEKLAFWINIHNSLVMHSILVYGSPKNSMKRVSGLLKAAYNVGGRSLNLDTIQTSILRCRVSRPGQASLVFFQVFRFLFASKPKGKAGDLGKDYAITHPEPLLHFALCSGNFSDPSVRTYTPKNVMMELECGKEEYVRSNVGISKDNKILLPKLVELYAKDTELCHVGIHDMIANHLPCDARNNIHQCVNKKHGRFTIDWVAHDFRFRLLL >A07p022800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13283437:13286847:-1 gene:A07p022800.1_BraROA transcript:A07p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRSLRVPSSRTSLSGSRFSRFLSTGSQTGDYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGTCQGVIALNMEDGTLHRFRSAQTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQRPLEENAGKKTIEWLNKLRHSSGSLPTSSIRLNMQRIMQNNAAVFRTQETLEEGCQLIDKAWESFEDVQVKDRSLIWNSDLIETIELENLLINASITMHSAEARKESRGAHAREDFTKREDGEWMKHTLGYWEDEKVRLEYRPVHMDTLDDEIDTFPPKARVY >A03p055720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24076936:24078481:-1 gene:A03p055720.1_BraROA transcript:A03p055720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTILSHNSFLSLATKFTTRGSRLQCTIAMARSAVDETSDSGAFQRTASTFRNFVSRDSNSQFPAESGRYHLYISYACPWASRCISYLKIKGLDDAISFSSVKPIWGRTKESDEHMGWVFPDSDNEVEGAEPDHLNGAKSVRELYEIASPNYTGKYTVPVLWDKKLKTVVNNESAEIIRMFNTEFNHIARNPDLDLYPSHLQAKIDEANEWIYSGINNGVYRCGFAKKQEPYEEAVQQVYEALDRCEEILGKHRYICGNTLTETDVYAVHFKCNKKLLREYPNLFNYTKDIFQVPGMSSTVNMNHIKQHYYGSHPSINPFGIIPHGPNIDYSSPHDRHRFSK >A06p003520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4645159:4647690:1 gene:A06p003520.1_BraROA transcript:A06p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCLSVLPWGQAVTQACNSLFGDGNYIHLMKANLEALEASMQTLRDRRDDLLTRVSIEEDKGLQRLAEVKRWLARVESIDSQVSDLLTTKPAEINRLCLFGYFSENCISSYEYGKEVSKKLEKVKELLSREAFGDVAIKGRLPKVEQQPIQTTVGLDSMVGKAWDSIMKPEGRTLGIYGMGGVGKTTLLARINNKFDVEKNEFDVVIWVVVSKDLQYKGIQDQILRRLRADQELEKETEEKKASFIDNILRRKKFILLLDDLWSEVDLNKIGVPRPTQENGSKIVFTTRSKKVCSDMEADDKLQIDCLPANEAWELFRSIVGEDLLNLHPDIPKIAKTISEKCYGLPLALNVIGKTMKYKEDVHEWRDAIDVLSTSSHEFPDMEEKILSILKFSYDGLETEKMKSCFMYCSLFPEDYEIKKEDLIEYWISEGFINGKRDEDGSNNKGHGIIGSLVRAHLLMESKTTVKMHDVLREMALWIGKEEEKQCVKTGVKLRRIPDDINWSVSRRISLMRNQIEEISCCPKCPNLTTLFLGDNMLKVIPGEFFQFMPSLVVLDLSRNLILMELPEEICRLTSLQYLNLSFTSISSLPVGLKGLRKLISLDLEYCSILKSIDGIGTSLPNLQVLKLYRSRQYIDARSIEELQLLEHLKILTVNVKDALMLESIQRVERLASCVQRLLIFCVSAEVITLNTAALGGLRELKIWYSKISEIKIDWKSKEKEDLPSPCFKHLSSIFIFGLEGPKELSWLLFAPNLKHLEVANSGSLEEIINKEKGMSISNVHPDLKVPFVKLQSVTLRSLKKLKRFCSTPPPALPSLRKFDVESCPKLPEAAIREFQRHEQE >A05p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:295624:299838:1 gene:A05p001520.1_BraROA transcript:A05p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTKFVSSRPLVSLILLVVFGTIPIVIWCIATKNIRNNVDSLTEDRRSNLVSEIENIGRFIYPKTNSSTISLAGVVDSFITNNNNIHFTEIQTQIAPVLFHAYSMIPEVSQVSYMSTDGLLFSYITGINTSFAVFANSTGGDYTWYTQTIDQRTGLLNGDATRSQPLDVTNTEWFHAAQRDHITAFVGTGLGGEDNEILFQTVVSLDSKKGVVSLGFPVKSFTSDLNRLNLRGGELYMWTKDGTVLVYESSLNVSSFIPRDCSSGWVKETKGSKFEAYCSVLEVSGVPLSYTLISPNKGEGTSISNAAMYMLIFMAIYAFFWPLGFVVCMVRAARREMDLRSSLINQMEATQQAERKSMNKSQAFAQASHDIRGSLAGITGLIDLCRQEVKPGSDVDASLQQMNVCTKDLVALLNSVLDMSKIESGKMLLEEEEFNLAKLLEDVVDFFHPMAMKKKVDVILDLHDGSILKSSNVRGDSGKLKQILNNLVSNAVKFTVDGHISIRAWAQKPGPKSSVVLASEPKGSVSMFSKLMLCMSKDQSSSSVYENEISNSIRNNANTMEFVFEVDDTGKGIPVEMRKSVFENYVQVRETARGQQGTGLGLGIVQSLVRLMGGEIRITEKAMGEKGTCFQFNVLLLTASETDVNAREDIESVAGGDYVASTPNLGLTINTSSGGSMNIRHHLSPRFNNCLSSSPKQEASRVVLLLKDEERRRVTEKSIKILGIKVTVVEKWEHLSNALERLFGFSPQSSMESSLRNELSSTSSRELPLIGTDGVDSRSQLPRRRSASFSAFVLLMIDANAGPFLEMNDVVEKFRRGLHHGVVCKVVWLNEPTGRGSERGDVCCLKPLHGSRLNRVLKMLPELGGIVPRESSLLRHTLVATSPKGATSCEIQEVEEEEEPSLRYNKKLGKTIMGPKPVGNSEEERVASNASCDGLLKGKRVMVVDDNRITSSVATRKLKKMGVLDVKQCDNGKEAVRLVSEGLTQGDVLPFDYIFMDCQMPVMDGYETTREIRKVERNYGVHIPIIAVSGHDPGSTEAKDTIQAGMDAFLEKNMNQDQLGKIIREIESKRTVTVE >A09p057580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48499829:48501720:1 gene:A09p057580.1_BraROA transcript:A09p057580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAPPPSIFVNDGSFMERFRQLQQEKDDAKDKVPHVVEESKPVKIISRPSSATKVSIGFKTNDAQKKGGKLAFSLKQKSKLLAPPVKLGEEEDDDEGDVKIDHGSAKRPKLEQGRDAPAKSAKVSDVVVSSLTNNPIAGKIWNICHDCYKSAPPPEPSDPTVKNAADKLASFVAKNGRAFEDVTRQKNPGDTLFKFLFDESCADYKYYAFRLSEEEKSISQSKESGGLHSGDAGPRMSTTPITSQKPAQQQRGYQIPASALYDASVESGTSSRSAQASVTRPNNSHSFSAPRAADPISMMEFYMKKAAQEEKMRRPRQSKDEMPPPASLQGSSAIPSTDSGKRGHHMGDYIPPEELDKFLAKCDDVAALKATKEAAEKAKIQADNVGHKLLSKMGWKEGAYLPRSICKLVT >A01g511430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32970480:32972339:1 gene:A01g511430.1_BraROA transcript:A01g511430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDEIEVSSDEEGFKGSWFRAILKDPLPKSGNKRLNVSLLANDGSSSTTQKTTYRRFLRPIPPENLFTAAVEFEEGCVVEASLRGGWWTGVVFKKINDEEVWVNFDSPPDLLQFKTGQLRQHFDWVKQEWVRPQNKVLLSKKSTFRCGTMVEVKVTDGVDVWVPSVIVKEVVNRKSFVVKPLKYLSWNDGGEEPKPNRTVGLSSIRLTPPTVSVKSFGLMESVEVFIEPGWRPGMVTSILCDDMYTVCLKATDKTLVFTHDAIRPTEETIAKLVLQNTNEVNAAINPQMSLSLGENGEEEVPEEHNREDDSRKKKRGEVEHTSDLSEEGTIRKRQREHNLSSIPIVEEPQAKDTTMVLPFAKKSPFWKILETSEGFQRAPQSPHFSPLVGESKEQFREGQAIGMMVTHFSLLESFKDLEPYVSVSELNSLKVSFAELKKHGFEVSAPLARINKLLALKDRQLKTMEERNGLDREIMALKEGVGEMEHKILEMERQLVALKEQRDAANQNICQMESCARDRGVELDNLESEFKATSSAPW >A09p009990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5116972:5119941:-1 gene:A09p009990.1_BraROA transcript:A09p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQNHVFEEAAEGSTTEKVYIAVGSDIQEGFKTIDWALKKWHNTPISIVLLHFCNILQDFVYTPFGKLPASSVSEEKLEVLRKYEDQKINKLLSKYVTFCGKLQVKAELLKVENQDDFIPTLILDLISKLKITKLVMGITFMRSSSSSSSWKSKSAISGSFYVYQNKPDFCEFYIICGGKMVMLKRENDANNNIKSWIGKMFHDPGRSLDRSSSSNDDSTGSGSPWDKNLQEMESYFQQLLSLNLEEDDVEETEEDDDEEEEVEVALNVLQHMNVAEKLEYVRRNVNEAKLMIEENTREVKVNCERSDKAEWAISLCTCRIEELEAGIKQESERREKLQVTLESDKECIQEAKNDVEKGKTKLVSLGGLKEELSSKAKTMMEAKSEAEAELESVVLKKGEMVMEIEKLRNQRDIFNLRIEFCKEKEATGLDSKEEVKCGYREYVADDIKLATESYSDRLRLKSGGNWTNVYRGRIKHTTMAVKVIGDSLSDEDFGSKVKLLNEIRHPNLVAIAGFCPEKPKCILFEYMHNGNLRDNLFTSQRKSRRSKILTWHDRIRIAHQVCSGLGFLHSVKPKPIVHGRLTPSKILLDRNLVPKITGFGVLMHQTDTKPDVLAFGVLLLHLLTGRNWPGLLKAMSMNQASILRDLDQTAGKWPLELAKEFGGLAVKCASVNRGVNIDFTTKEIMQELGKIMEKANEFRIKGGYEEATNSNINEADPNDIIPSVFICPILQEVMKNPNVAADGFSYELEAIEEWLSMGHDTSPMTNLRLDHQVLTPNHTLRALIQDWHSKKAAQASS >A05p041210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25061132:25070697:-1 gene:A05p041210.1_BraROA transcript:A05p041210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKDLLYNTILARSFSKNEQKRLGYGAFIASLFFVFTLCTFFKPYLSPLPIVESQLSVDVGLRMLRISETHKPKALSSSNYTTFQNNITSNTTNTQRLNDTSLPKNHSDSFNRTTPTLISKEQVISDGNMMEETVKCKKQSRTEVCELNGDVRVHGKSATILTAITSAFSGNTTWHMRPYARKRDPGAMNNVREWTLKLVKNAERLENANFSRCVTNHSVPAIVFSLGGYSMNNFHAFADIVVPLFTTARRFNGEVQFLVTNKNQAWINKFKEILRSLSNYDLIHIDDEDETHCFTTVIVGLKIHPEYFRELTIDSSFSEYSMSDFRRFLRDAYSLRNAAVDLRRRRPRIMILSRAGSRAFTNADEIASAAGETGFEVVVAEASTGLARFAETVNSCDVMLGVHGAGLTNMVFLPENAVVVQILPIGGFEWLAETDFGRPAKGMNLRYLEYKIAAVESSLVRKYGRDHEIVRDPSAVIKRGWMAFKSTYLVQNVTVDINRFKPVLAKAFELLQKQSIFSKNEQKRLGYGAFIASLFFVFTLCTIFKSYLNPLPTMDTQLSVDTGLRMVRVTETHKSQAFNSNYTTTSGASESLISPTTQNNITSNVTNTQRLTSSEDDKLSVCNDTSLPKNYLDLFNCTTPTSISNEQSASDGNKMDETIKCKKQSRTEICEFNGDVRVHGKSATILSTITSAFSGNSTWQMRPYARKGDLVAMNRVREWTVKLIQNADHLENANFSSRCVRNHSVPAMIFSLGGYTMNNFHDFTDVVVPLFTTARRFNGEVQFLVTNKNQPWINKFKEILRRLSNYELIYIDGEDETHCFTTVVVGLNRHPEYFKELTIDPSYSEYSMSDFRRFLRDTYSLRNAAVSTGESLRRKPRMLIISRARSRAFTNTDEIANAAGETGFEVVVAEANTGLASFAETVNSCDVMLGVHGAGLTNMVFLPENAVVIQILPIGGFEWLADTDFGRPAKGMNLRYLEYKIEAEESSLVRQYGRGHEIVRDPSAVAKRGWTAFKSAYLVGQNVTVDIIRFKPVLAKAFELLQRRQSV >A03p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2744074:2753772:1 gene:A03p006520.1_BraROA transcript:A03p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRKRAKSPEEPKERRLSSRVQELRQKERDEKEQLARERVKFLSDQSSELCDDAKEDDVSTNEGTLITMRNGNDNNLDFYGSEEDPHLKVRRNLRFFNTQYLLMVQGKMSRPDLKGVMKANAVLYPRKMIGDLPGIDVGHRFFSRAEMVAVGFHSHWLNGIDYMGAAEYQRDYAAYQFPLAVSIVMSGQYEDDLDNADVVTYTGQGGHNLTGDKRQFKDQELVRGNLGLKNCFEHGVSVRVIRGHNCKSSYSKRVYTYDGLYTVVKYWAEKGVSGFTVYKYQLKRKEGQPELTTNQVNFMYGRIPKSTSEIQGLVCEDISNGLESKRIPATNRFTYINSLKIEPNVKIPKSSAGCNCRGGCTDSKKCACARLNGGNFPYVDLNDGRLIEPRDVVFECGPNCGCGPECVNRTSQKRLRFHLEVFRSPKKGWAVRSWDFIPAGSPVCEYIGVLRRTDDVDTLTDNDYIFEIDCQQTMQGLDGRQELTYDYGYTLDSVHGPDGKVKKLTCYCGASEFERMDLSKFLCWVVLLLGIFSSRVESRYMVYNTSHTMVQGKLNVHVVPHSHDDVGWLKTVDQYYVGSNNSIQVACVQNVLDSIVPALLADKNRRFIYVEQAFFQRWWNEQSEEIKTIVKGLIRSGQLEHINGGMCMHDEAAPHYIDMIDQTTLGHRFIIREFNVTPRIGWQIDPFGHSAVQAYLLGAGVGFDSVFFGRIDYQDREKRKGDKSLEVVWRGSKSLGSSSQIFAGAFPKNYEPPPGGFYYEITDDSPVVQDDPDLFDYNVQERVNAFVAAALDQANITRTNHIMFTMGTDFRYQYAHTWFRQMDKLLHYVNLDGRVNALYSTPSIYTDAKHAANEAWPLKTEDYFPYADRINAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSEKGPNTDSLADALAIAQHHDAVSGTSKQHVANDYAKRLAIGYVEAESVVATSLAHLTKLDPATFKQVTHSNSLAFLLSVFAAEHKLLPRKRNQLIRGEKFGKTVLAYNPLGWKRVDIVRLPVVDGEIAVHDSEGHEVESQLVPFTDEYAALRSYHVEAYLGHAPTQVPKYWLVFSVSVPPLGFTTYTISSAKKTGGYSSKSHVSRYQNGEQSTVDVGHEHLKLSFSTDQGKGINYYNSRISMTEPLKQTFSYYSSYNGTNDKEPQNSGAYVFRPNGTFPIKPEGQVTRVYKGKEHVEVEFIVGNIPIKDGVGKEVVTQISSSLKSDKTFYTDSSGRDYIKRIRDYRSDWKLEVNQPVAGNYYPINHGIYLQDSQKEFSVMVDRAIGGSSIVDGQVELMLHRRLLLDDSRGVAESLNETTCVKDKCTGLTIQGKYYYRIDPSGEGAKWRRTFGQEIYSPLLLAFAHQDDGKPMSFGAASFSGIDSSYSLPDNVALLTLQELDDGNVLLRLAHLYEVGEDKDLSGVATVELKKLFPGKKIAKVTEMSLSANQERSVMEKKRLVWKVEGEGSSEEMKNVKRGREIDPRKLVMELYPMEIRTVLIRLERPPSHSHVYRFDA >A05p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24715922:24720645:1 gene:A05p040400.1_BraROA transcript:A05p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLQDFSPPCSPSAPTQAFPRSITRTESAPVRIPPPSFESKQNVVVPPSSHLKLSRHASLKPVRNTGPVESGVRLSTNSSPRISFSRSSSRSYQDDFDDTDFSCPFDVEYDEITDPSSSRPGSLDQRGDIHEPPPFESSGSYPKKSQDAGVGALVNMLKKAPPLRQDVSETSTPELCWNNNKNKPGGDQEIATASMTASGIALAAKTTADALEELRSYKEMKNVLLSQSTKDSSSPFAV >A05p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1907275:1911278:1 gene:A05p004880.1_BraROA transcript:A05p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAWSQARGQWVVAMLALLVGSAIATEPYYYSSPPPPYEYKSPPPPVKSPPPPYEYKSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYHSPPPPIKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPLKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPTPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYSSPPPPKSYPPPYHYSSPPPPPKSYSPPYYYSSPPPPVSYPHPHPHPHPHPLVFKVVGKVYCYRCYDWTYPKKSHDKKHLKGAVVEVTCKAGDKIVKAYGKTKINGKYAITVKGYNYRKYGGEVCTAKLHAPPKGSPCNIPRSYHMGNKGAKLHVKSKTKYEVVLYAKSFAYAPKKPYGECHKPAPYHPPYYYKSPPPPSPVYYYKSPPPPAPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYIYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPAPTYVYKSPPPPTHTPTPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPSLVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPLPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPLPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPSNLHHHHITTIHHLLHKISPTSRLHLRFSPTSYPLLGSSNSTKFPHYSSFLLKNQIKEGSKSKMRRSEPNKDRCMETRNKTSLTMIHITSSSSISLIFHLCIIFVSSSSQIVSKTPLDRIIHLFVPFLLLSRVS >A04p030470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18096035:18096945:1 gene:A04p030470.1_BraROA transcript:A04p030470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGSTLYVFGGRDASRKYNGFYSYDTVKNEWKLVTGVEEGPEARSFHSMASDAENVYLFGGVSKTVRLKTLNAFNVSDEKWVQLPTPGDSCKERGGAGLVVVQGKVWVFYGFYGDECDDVHCFDVDRNVWTKVETTGEKPSPRSVFAIAAVGKHVIVVGGEIEMDPQAHVGPGKLCGKGFVLDTETLEWKKLEDGGGEAPTEPRGWCASTAATINGKKGMLMFGGKAQTNNRFDDLYFYSVKELNPLINVRVGLGLF >A01p044090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24558623:24563052:1 gene:A01p044090.1_BraROA transcript:A01p044090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTHIAEILCVKPKTKKTKKTKTMEEDVKNKKTKKTMKIEGEIVVMKKNLLDFKDTMASLLDRIHELLGRRVSLHLISSLQPDLANEKRGRLGKAAHLEKWVTKIKTSVTAEETAFGVTFDWDESMGPPAAFVIKNHHRSQFYLKSLTLRNFPGGEGGAIHFVCNSWIYPSHRYRSDRVFFSNQAYLPSETPELVKELREQELKNLRGEEKGGELKEWDRVYDYAYYNDLGAPDKGPDSARPVLGGSLEFPYPRRGKTGRKPTKSDPKSESRLALLNLNIYVPRDERFSHVKFSDFLAYALKSVTQVLVPEIASLCDKTINEFDSFEDVFHLYDGSIKLANGHTISKLRDIIPWEMFRELVRNDGERFLKFPLPDVLKQSRSAWRTDEEFAREMLAGLNPVVISRLKDFPPKSNLDSAKYGNQNSSIREEHIEPHMNGTSVKEALEQNKLFILDHHDALMPYLTRINSTNTKTYATRTLLLLQEDGTLKPLAIELSLPHAQGESHGSVSKIFTPSEKGVEGTVWQLAKAYAAVNDSGYHQLISHWLQTHAVIEPFIIASNRQLSVVHPIYKLLHPHFRDTMNINALARHVLINSDGVVERTVFPSRYAMEMSSSIYKSWVFTDQALPKDLLKRGVAVEDPSSDNGVKLLIEDYPFAVDGLEIWSAIKTWVTEYCSFYYKNDRTVQTDTEIQSWWTELRTEGHGDIQHESWWPSMQTRDDLIETCTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRFMPEPGTKEYTELEESEEVAFLKTITPQLQTLLGISIIEILSMHSTDEIYLGQRDSPNWTADDEPLEAFKRLGKSLEVIENNIIRRNNDKRLKNRTGPVNIPYTLLYPNTTDYTREGGLTGKGIPNSVSI >A06p015070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6715386:6715940:1 gene:A06p015070.1_BraROA transcript:A06p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein F1 [Source:Projected from Arabidopsis thaliana (AT1G17700) UniProtKB/Swiss-Prot;Acc:Q9FZ63] MTTIYGTNPTAANDLAPKLEYITRTNNQHPVRPGLATRRPWKQMLDLRSFHFPRKIASVITRIKTNIVYFQTNYTIVVLFSVFLSLIWKPFALLILLALIGAWLFLYFLRDEPLTVFDREIDHRVVLIVMSVLTLSVLFLTDAKLNIAVAVVVGVVVVLLHAAVRKTEEINQNEDETSPLLPQV >A02p053120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32360794:32362295:-1 gene:A02p053120.1_BraROA transcript:A02p053120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGIPVQDSQHFIIGPMNYWDQVRESGGFDIEHITAPQNTCGLMPYDCENERIRYPNRVLVNLYARLGLHRYNLFEGTNLEFHHLKKFNTSMTLISSYRITLVAYDPATNSLVTFLVGVSEQIYGRLNLMVFIARPQANSPSPLFKEAVWPQLGITNDAYYDKGSPFWPTDFDDTERFYLLKDPEIQGNDWIRLYLELALCSNDRNIPEWHVSQLQIVRVAVETIQYVVVSNVNFYITFKGLPVAQVGEDGEHVERRVIVRRFMDLSTGYFTLKGGFGFSVGEVASEGPMTRLRNMEEIRADLRLHPTTRPCPAIPIADWWKS >A09g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28511997:28512931:1 gene:A09g509830.1_BraROA transcript:A09g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEDAYYFYAWLSNRANIRQGTSSLTPSTCENLCASPSIDMESVTSIDSGTILDLLTADNTIDAKVDQPKEPKLTSNTNLTSLLVLGLGIHGIGFFRQVWKTQIKEFETGLGGGSLQNSLSQRTLGYRSKRNEQNLVATTIKF >A10p017980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12631462:12633842:1 gene:A10p017980.1_BraROA transcript:A10p017980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPQNAAGAGQQIPSDQQAYHQQQLSWMMQQQQGQQWNQQSAPSQGQQPYGSQNPGSDNEIRSLWIGALQPWMDESYIMTVFAQAGEVQSAKVIRNKLTGMCEGYGFVEFANHAAAERVLQTYNGTQMPNSDQTFRLNWAQAGAGERRQAEGPEYTIFVGDLAPEVTDFMLAETFSNVYASVKGAKVMMDRSTGRTKGYGFVRFGDESEQMRAMGEMNGQYCSSRPMRLGPAANKKPLAMQPELTHVKIPPGKRCGFVQYATRASAEHALLNLNGTQLGGQSIRLSWGRSPNNQAQPHQAQWNNGGGGYYGYPPQPQGFEPYGHGAPRPQDPSAYYGGGYGGYGNYQQQRQILPNVKNFERINNTSFFYQSLGQWAKYISVIVES >A09g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3299257:3300885:1 gene:A09g500910.1_BraROA transcript:A09g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYTSEEKGKAVAHKSTGPPRLRMRAPEFDPTDLIKENMLTLVGSRNKITPSSSHQNNTQKARTQMDHRNNEDYESPSYTRRRTANHTARHGEGYLAERKENSPVLQWRAKSPVVNHEVTPPSSPFQPPRRSVGRNLEAGDFPPASDLPSREEVMEEIREATLQYISCHDPMESAARKQRVLQSEMNGDVEEAATRILQASTTAALARTETLQASELPIHSNQVNLQAETSALPTKKRGRPPTVKGRKTMIRASPKTFSGMGSKKRNIARLHASPGTASRSGTRRTTQQVASSASATAPP >A04p019930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12191551:12192149:-1 gene:A04p019930.1_BraROA transcript:A04p019930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDEEETMAIAHLQSIRQRRRPPHSKRRASRDSAAKGKMNSTWDDQEMKMEEVMVLKKAAARMGVKQMSSTTYLSESEEEAMIMAQEQSQTTPTSSSLRRKPPHLVRRASRDSRLLPEMMWYKPQNRLFMDKEESEHAHENIILFLDRLLKAKEEAGVLEQPSQGLKIQN >A02g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16983054:16985880:-1 gene:A02g505840.1_BraROA transcript:A02g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFLIWKISGTTYLLVVWKSSGLLGSLLTKSSGLPGSLVWTSYKSSGLLKSRLDFLKIVWSCLLKWNPSFNNVFQDMTYNSVVHETTEIRLKCKSSREVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLPSKSSTARRLPNEISSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQD >A06p018710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9065507:9066448:-1 gene:A06p018710.1_BraROA transcript:A06p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSTVPMHADTQHLWKTISSKQQKKCKKVMSISNISDETTTEPPSPSPPPTYKLFSIRFLRLHGRDLFAASANWFLVDVVFYTSNFLLSHIFSSYSHKPSSSATTNVYDATFEVAKLGYIVAACSTIPGYWFTVFFIDKVGRVKIQIMGFFFMAVIFLAAGIPYGWYWSKHEQTKKGFMVLYGLVFFFCNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVGTVGFLWATKKVEDGDDMNQVYPDVNRMRTAFLILGGVCIAGMFVTYFFTKETMGRSLEENEHEHERDKNVEDEEIGDGQSLAST >A06p001560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:685178:687219:1 gene:A06p001560.1_BraROA transcript:A06p001560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQINPPSFPWPSHQKLKLFDLEFSPQQFSNQPINGGVEAILDIGNKIGQIGQAGVDFGSGLNVMVHQFFRRLPLPFRHEENVSVSMSRGVYVETKDESRLSKTDAVSSAVMSEERVTEFDLSNAGLLRRPKGTVEFSTSYESRTSGMEHSLAARGDLWRVEASSSSSAARDDSSSLFLLQLGPLLFLRDSTLLLPVHLSKQHLLWYGYDRKKGMHSLCPALWSKHRRWLMMSMICLNPLACFPNGQLTYVSGEGLTTSVFVPLCGGLLQAQGQYPGDMRFSFSCKTKQGTRITPMVNWPDKSYGVGVSQALAWRKSGLMMKPAIQLSVCSTYGGSNPGTKTELIHSLNDNINMICGCAMTAHPSAFASVSFGRSKWNGNIGRTGIVVRVDTPLASVAQPSFSVQLNNAFEF >A07p014350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8932262:8933613:1 gene:A07p014350.1_BraROA transcript:A07p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDQSHLKKEGENSTNPKINRYWIQRYDLFSRYDEGIQIDEEGWYSVTHEEIAIKHAERCRGKVVIDCFAGVGGNTIQFAKVSSSVIAIDIDPMKVQMAMNNANVYGVANHVDFVVGDFFSLAPSLKGDVSFLSPPWGGPNYCKVESFKMDMLQPRDGYSLFKIVQSITPNIIMYLPKNIEESCIGGKMIAITAYFSRNAI >A09g502970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9837127:9837609:-1 gene:A09g502970.1_BraROA transcript:A09g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTMFSLLFSSQSSTTTTTSFTSCCHFSLSLQLAPLIVTDSLVHLVVTAATTVPLIASRFSLHRHISCFPRHYCLSGSSSHCRYSYFTPHHRSSQFKWKRWIYLARGLTHQILFSIFCCASTIHTVSDCDSPWRYAFITVWICSALLLPSHIHCASA >A02g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1780102:1780575:1 gene:A02g500630.1_BraROA transcript:A02g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDALQMPEPELVRSRFCCLLEDLRTRVQDFFPIACVAFTTMVHVNWKNKGLDGLKHSNISYSDIDKLSLSNIPNGSDIFWVHLCMAYAITFWTCLMLKESIII >A07g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10038271:10038688:-1 gene:A07g504780.1_BraROA transcript:A07g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTFSVTGVHSSTHLFQPCGQLHLLMIHLCLELPLLRCIQFVFQPAAPDSSSATPVIISVVAELPIEKLDSSSNGSLIQVAYSVVLSAIAIVGISRGNRLVGIKVKKNDNGDL >A03p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21261484:21265688:-1 gene:A03p049650.1_BraROA transcript:A03p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRGRRVSICIGTLEYGNRKFRGGGGNGCYGGFVDRSSERCRDWSPSKSSTSRILRISSPTTSPPSSATSPVSLNCSFSNGAGYIEHRVSKFDTLAGIAIKYGVEVADITKLNGLVTDLQMFALKSLRIPLPGRHPPSPCLSNASLNHGSLVDAVIAEVNQSSYHQSKEVDSDKPTRRRQKSEADFSSRTPELLLKKESRSSCGGFSAVAGKGLALRPKAVSKTNLSAPVPINAPVGDSFSSVRKSFSASVLQEPVSNNKGSSSSLWQSSKWTLKADLLQQAAMARSIFDGLPKPLTGRRNKKAMD >A03p006550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2761497:2762000:1 gene:A03p006550.1_BraROA transcript:A03p006550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPSSFHHHFMCPPPPPKHHRSSNKKHYSFPGSHHPPSIHRLFPCQYCPRKFYTSQALGGHQNAHKRERAAARRNLGVDHHHPPPPSSILHDEAAFACPNFYPNQPPQGSVTATGWSGSTWIVPDNQQTTMMVGGGGGGYVDPYPYPFGYYIEDEPQLDLSLHL >A09p032880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19915500:19916028:1 gene:A09p032880.1_BraROA transcript:A09p032880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGELTNLGQEDNEEKAFPPPHTSQAPMAQLKRADNCALKEENYRIRYENDAIREALKYATKILTLMNRRFESKMLREE >A03p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16423348:16431591:-1 gene:A03p039480.1_BraROA transcript:A03p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMITPPPLDQQEDVEMLVPHSDVVEGPQPMEVAQPEAAAAVENPPVEEPPSVKFTWLIPGFTRLNTRKHYSEVFVAGGYKWRVLIFPKGNNVDHLAMYLDVADAASLPYGWCRYSHFGLTVVNQINNRYSVRKETQHQFNSRESDWGFTSFMPLGELYDPTRGYLVNDTVLIEAEVSVRKVLDYWAYDSKKETGFVGLKNQGATCYMNSLLETLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHQMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHDLQDAKKGVLFMDFPPVLQLQLKRFEYDFMRDIMVKINDRYEFPLQLDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVNGGHYYAYIRPTLSDQWYKFDDERVTKEDVNRVLEEQYGGEEELPQNNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDVAEHLRVRLKKEQEEKEDKRKYKAEAHLFTTVKVARDEDITEQIGKNMYFDLVDHEKVKSFRIEKQTPFLLFKEEVAKEFGVPVELQRFWIWAKRQNHTYRPNRPLLSHEELQMVGHIREASNKANNAELKLFLEIVRGPDERPIPPPAKSFEDILLFFKLYDPENGILRYVGRLMVKNSSKPMDIIGELIQMAGFAPDEEIELFEEIKFQPCVMCEHLDKNMSFKLSQIEDGDIICYQKAISIQENECPYPDVPSFLEYVQNREVVRFRTLEKPNDDEFTMELSKLHSYDDVVDRLAEKIGLDDSSKIRLTPHNCYSQQPKPQPIKYRGVDHLSDMLAHYNQTSDILYYEVLDIPLPELQGLKILNVAFHHASKDEVIIHTIRLPKQSTVGDVINELKTKVELSHQDAELRLLEVFSHKIYKIFVSTERIENINDSYWTLLADEIPEEEKNIGPNARLIHVYHFTKESGPNQVQVHNFGDPFVLVIHEGETLEEIKTRIQKKLHVPDEDFAKWKFASVSTGRPDYLQDTDVVYNRFHQRKDVYGAWEQYLGLEHVDNAPKRAYHNRHAYEKPVKIYN >A07p023670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13723457:13729904:1 gene:A07p023670.1_BraROA transcript:A07p023670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTEEQERNEKEIEDLAIILFWIERPFLVILLLYSSFVSVLIGLLLLVTTLLAIIFFLLCPRLSFAGPSGIGGLWLFLSDRFMFLLALSSHETILVWLLTVFISLVNHSAYIIEYIVRSYKSHHTISLPLQSPEVRRNDEEGDEIKELEKMGKLLEELREDGKKMDIEIELIQKTIHSDLEKHRKTLSLNLLELREELGDDGEKMGAYMELIKNFVNSELVTPRGDLNLNINNDIREDVSDEEDIKDWRVKLKSVAEEVHETYFVIQETAKAAKTTADRANLTYMIVDEISKEMETMSGMIKEEALEMFIELREEVWETWLDFEARKTEAEVAADRAAEELSYAMVELMDAVNIRPWEGFDVFVTRFRLLCIPICLRLAPSVLSRVVPWLWRGKGFIDDLYCKLEDIIKIQLLGVAYSGDVTRRWASETTTVRKFHIQRLRTLLFRHIEGSGLGFLTGERSLRKDCLTGDGSASSGFPLLFRHIEGSGLGFLTGERSLRKDCLTGDGSASSGFPVRYWRQPDMVVAVNQLVSASGIAVCTAWSLGTLFGMVASTRSSSKASYAFGDPGSPGIRGDEENLTFSGSSPMVENSN >A08p040610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23105130:23107150:1 gene:A08p040610.1_BraROA transcript:A08p040610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQIISCLSKSSSLLCISGSRSLILQKTYNRGGLNRFSPGLASRRLSTSDWAILERWEVPWEWQTVSLTSLACVLSFVLTGLAEIAALPYLGVDVEKLSLDQKAEILFLDQGITTAVILAVIFTVAKTFDPLPEDILRYDLKQPFNLQKGWLVWGGIGLVAAVGGIALTGVALSLFSTETPEREVDSLMQLLPLIGSSNISTLSLVGITGVLAPLLEETVFRGFFMVSLTKWVPTPIAIIISSAAFALAHLTPGEFPQLFILGSVLGLTYAQTRNLITPMVIHGLWNSGVILLLTFLQVQGYDIKELLQGS >A03p043440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18169589:18171193:1 gene:A03p043440.1_BraROA transcript:A03p043440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNTWREEFLIQASPSDPENFPFVLIGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKTGEEEELYLPDTIDVGTSNQPRSSGCEC >A01p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21906629:21906948:1 gene:A01p040910.1_BraROA transcript:A01p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFKGDFVRSSSSLLTKSPFNNRSERFVLSHVFLINLTFVHVFNQMVLIFYSFFLQSSTHL >A06p006040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2013013:2015163:1 gene:A06p006040.1_BraROA transcript:A06p006040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTGEPGSSMHGVTGREQTFAFSVASPIVPTDKTAKFDLPVDSEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVHDAGGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGATAFTAWRIAFFVPGWLHIIMGVLVLNLGQDLPDGNRSALEKKGEVAKDKFGKIMWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPAGGYASDLAAKYFGMRGRLWALWIIQTAGGLFCVWLGRANTLVTAVVAMILFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSRFTTEQGLTWMGVMIVACTLPVTLIHFPQWGSMFLPPSTDPVKGTEEHYYASEWNEQEKEKNMHQGSLRFAKNAKSEGGRRVRSAATPPENTPNNV >A10g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21900502:21902136:-1 gene:A10g507130.1_BraROA transcript:A10g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTTRNLENVRVAFMPPPWPESSSFNSLHSSNYDPYSGNSCTPTDAQIGPVISVPESEKIINAYQFPSYDNEMIKKKRLTSGQLASLERSFQEEIKLDSDRKLKLSRELGLQPRQIAVWFQNRRARWKAKQLEQLYDSLRQEYEVVSREKQMLHEEVKKLRALLRDQGLIKKQISGGEDMTEIPSVVIAHPREENLNNNQINGENQIYVVDQYNNPMLIASSCWPPFP >A05g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28128974:28130068:1 gene:A05g509310.1_BraROA transcript:A05g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCSYRRTEYENTIQGCVHPSLIEKFGDGLHEGAIIEICKFNLQDYNKNYKISYHKFQIRLTERTTIACVEQQLPQTPPEKFRFRNYEEFFQLKDSTYDLYDVIGCIKNIEKTDVRSKTTPVLRRVIQLYLELKYKQHYGLNKQNYLKINIAL >A09p074900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56643980:56645548:1 gene:A09p074900.1_BraROA transcript:A09p074900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPRFRSVLGQTGNKLGSSKPPGMKPEKKKKTKDEKTKEPETPASPTTTLKQCSSILRRNSASMTASYSSDASSSCESLPLSVASSSSCKRPVRRSGSVSSASGTLRRKQVDEKEDKAVTGSASNGDCFADGLKRCAWITPKSDPSYVAFHDEEWGVPVQDDKKLFELLCLSGALSELSWTDILSRRQLLREVFMDFDPVAVSELNEKKLTSAVSLLSEVKIRSILDNSRYVRKIIAEHGSFKKYMYNFVNNKPTQSQFRYGRQVPVKTSKAEFISKDLVRRGFRSVSPTVVYSFMQAAGLTNDHLIGCFRYQDCCCVDAETATTKAKKKNGE >A07g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12292961:12294693:-1 gene:A07g505510.1_BraROA transcript:A07g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLIYRSNDDQTRPRQRRSRGGMESQSRGSSSHVQDFVSPHSSYHTSPSPLPAPAAPAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLIEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAHVFNWNSDDTLSIYNHFVHKVMDNYRKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSALPPYVDPEVLTAQLKDKDDRISALETQMAAQQAG >A04p009410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7750870:7751433:-1 gene:A04p009410.1_BraROA transcript:A04p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMSSFSPIAQCHSSSSSFSLKRTQFLRYGKKQQQLHRKVFTTPYYQSTPSRCSAVSRDDSATTPELEEDAVEIRRREEEKFAVLNTGIYECRSCGYKYDESAGDPSYPIPPGFQFDKLPEDWRCPTCGAAQSFFESKMVEIAGFAQNQQYGLGGNQLTSGQKTALIFGSLFLFFLLFLSGYFIQ >A08p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20083873:20085563:-1 gene:A08p033380.1_BraROA transcript:A08p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKLNLEAPLLSTRRMQKTSAVSVRRNKTFDFTHDDTSSVPVLVPDMGLDHFTESASVPFTWEQAPGKLKGNDSTTTHEVFTPCLPPGKAIDRNLSSKTKQVDEEEEESEDVFSDARDTLSPKDSFSVKNSISGVSGYGVVTEMKKTLNPCEDPQSRDFMLNRFLPAAKAMTVEQSHYALNRKPSSCMSEPTLQIRELVPEEKRQTSKRYDESLILSCYDHQGIDDEESEEGDDEVSEYAYLPRRGCGMLPQLCFKESLGMLNTVPGFKTKRNDQVKSSKVSQLKSRFQSVKQLALDSVSKQKLSGKVQSPVHPSMGKKFNSASNLTCAASRSSSPYRHPRCMSPFRTANATCFPDTRKETENLRANRLNKHIRNISSSQELLYSKDNGSTSSLLEKAVYVDMEEAEKKPDTNLELEDFENISIKSGKDRSPLAPPSPKKPSESWLRHNLPSVNSQIPSRRYRFHPQKQDLNDNSRNVSKWETIVKTSYMHRDHIRFSEELVAHTSLQ >A01g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14247977:14249774:-1 gene:A01g504750.1_BraROA transcript:A01g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPKILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPKVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQSDDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRTADAFGASLSGDFDFGL >A09p073420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55976431:55978047:-1 gene:A09p073420.1_BraROA transcript:A09p073420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTGLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEEIIKLHAVLGNRWAAIAKEMENRTDNDIKNHWNSCLKKRLSRKGIDPMTHEPIINNLTVTITNEECGSSSITTFSPTSSPSGSACLLNKLATGISSRQHDLDKIKSILLEPRIASSDQDEKEEVKRDHTIGGGEEGDDFLIWDDEEVRRFMESDEMEYGTTPYVSLFYESTHVLDDLL >A05p055250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32639667:32644656:-1 gene:A05p055250.1_BraROA transcript:A05p055250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGILGARGGGGGGGSDQNRATASVAVESRRRWLKRRETWLVLLGVALHAVYMLSIFDIYFKTPIVHGMDPVPPRFSQPPAKRLILLISDGLRADKFYEPDVDGNYRAPFLRNVIKNQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTFAYGSPDIIPIFCSALPHSTWNSYPHEYEDFATDASFLDEWSFDQFESLLNRSHHDPKLKELLHQDKLVFFLHLLGCDSNGHAHRPFSSIYLNNVKVVDKIAERVYHLLEDYYRDNRTSYIFTADHGMSDKGSHGDGHPTNTDTPLVAWGAGIKYPRPATGHSHSDSVTSFVDKHAHDMPTPYDWGLNRVERVDVNQADIAPLMSTLLGLPGPVNSVGNLPLGYMKLNEEEEVEAVLANTKQILNQLLRKSHIKMSNSLFFKPFKPLVDHSSSLSQIDELISAKRYEAAMKLAVDLRNLSLEGLHYFQTYDWLMLMTVITLGYTGWMIVLALHVLQCYSSLSGDLSRKGHLSVQKRHSTKVYLSGCLLMAILTVLNLVEHSPPLYHAYIGMTVFLWTQILSEYRLIRGLWIYLRERKAGYFIKLLFAAAVSIVIVELLVHSFTERKLYTWFFLIAGFVSSVLLHISIPWRSGIPVFVCISCWFLSVFTLMPAEIPDNNNLVVMSGAIIIVISLAAKWLDTHAEGNKFWQSITFHESRKPMWSKLYFIQIILVGVSSVMVSLSTKHRTQNQELHSSHQFINWLVAGSSMVLPLFSGNGILSRLSSIFLGFAPPFLLLSIGYEAVFYSALAVVLMAWILFENASHHSSKAKDSSISEQNTEEHVTIGSEERYLQLSDVRIPLIFMVLFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICAFSAITKLVRVPRLGCYFLVILFSDIMTIHFFFLVKNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNLYTRTIRVKPLSTSPSLKTL >A07p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13950959:13953485:1 gene:A07p024230.1_BraROA transcript:A07p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANALSSPSVLCSSRQGKLSGGSQQKGQRVSYRKANRRFSVRANVKEIAFDQSSRAALQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKHGLLSVTSGANPVSLKRGIDKTVQALIEELEKRARPVKGGSDIKAVATISAGNDELIGAMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLLVEFENARVLITDQKITAIKDIIPILEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGVLNVVAVKAPGFGERRKAMLQDIAILTGAEYQALDMGLLVENTTIDQLGIARKVTISKDSTTLIADAASKDELQARISQLKKELFETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGATLVHLSTVIPAIKETFEDADERLGADIVQKALVAPAALIAQNAGIEGEVVHVPVYNYSPPFSSLFP >A07p048970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26062877:26063927:1 gene:A07p048970.1_BraROA transcript:A07p048970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein SmD3a [Source:Projected from Arabidopsis thaliana (AT1G76300) UniProtKB/Swiss-Prot;Acc:Q9S7E6] MSRSLGIPVKLLHESSGHTVTVELKSGELYRGNLLECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFIVIPDMLKNAPMFSRANAKIKGKSSIGVGRGRPAMRGRGTGRGTGGRGGAPPVRR >A04p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14681714:14682941:-1 gene:A04p024210.1_BraROA transcript:A04p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLTFEDQWIHTVDMIDCIALPSHAVSETLLLHKSPVIETFHLTKAYGCSDSEIYLWVRIAVDRFVISYTDEHRLIRLPSSLFRCETLETLELHKVVFLDVPFRVSLQSLRTLRLRAVKYANEESFVRLISGSPVLENLVVHSCSYDNVETFTIDVPSLRSLTVWNTSGDPEPGYSLLVIHSHSLNFLDVVDEWGEVNVMGEMSELVEASLNTLATRGNVLGSLTFAKRLSLTLALKGLDPVGSIYFQLVHLEFRGCDESWSSLLMHVLRHSPVLQTLKLVVLDNWNFERGVKVRWYRPSCVPECLLFHLKTFEWVDYNGTEDEKRVAVYVLKNARRLETATVFPESLMMNKRLVFEELEIATRGSRACELTMG >A09p045330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39842933:39844288:-1 gene:A09p045330.1_BraROA transcript:A09p045330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSLQTIKSYHHQAQHLVNSYLLADPFIPYTSVLTGIFLCKVVYDLCHFISNSHSKTYIILTKIQRIEWNNRGISTVHALFISALSLYFVFCSDLFSDRWHNDLVVFRSSRLSSLGLGLSIGYFIADLGMIFWKYPALGGLEYIVHHSLSGVAVAYSLFSGEGQLYTYMVLISEITTPEINLRWYLDTAGMKKSMAYVVNGVFIFLAWLVARILLFIYMFYHVYLHYNQVMRMHIFGYALVFGVPAALGIMNLIWFGKIVRGVKKTLAKRCEC >A09p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11667445:11667716:1 gene:A09p022210.1_BraROA transcript:A09p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDLLVSWSQHNQTDKEERRVINNHSLETLMISFMLIIQEMYLLELQRGKSHKKNVYI >A06p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2439555:2441872:1 gene:A06p007060.1_BraROA transcript:A06p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMMMQQSQPSLSLLTSSVSDFNGAKLHLQVQYKRKVQQPKGALYVSASSEKKILIMGGTRFIGIFLSRLLVKEGHQVTLFTRGKSPIAKQLPGESDQDFADFSSKILHLKGDRKDYDFVKSSLSAEGFDVVYDINGREAEEVEPILDALPKLEQYIYCSSAGVYLKSDVLPHCEVDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGIQISQLGHVKDLATAFLAVLGNEKASREIFNISGEKYVTFDGLARACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASVEKAKHVLGWKPEFDLVDGLTDSYNLDFGRGTFRKEADFTTDDMILSKKLVLQ >A02g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21336829:21340520:1 gene:A02g507690.1_BraROA transcript:A02g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEHDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNCDYIKDLENPKCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKHTIVNNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKHVEEPGSESVNEAKAGQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLHKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPYDKMKSKELTAWVQKDPCPSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGARFGGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPKELGEVMEPFVTMVPYLLVECALSDEQKSFLCAMNGKTKTMMRTWQRRLRDVNRVRIG >A03p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7629875:7631518:-1 gene:A03p018680.1_BraROA transcript:A03p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQALALPRLAHPFLTRGFGSSGGVFLHQSRTKHQGVAFCAADDDQFASSKSIQTRKVVEHVCLLKAKQSLLSEEKEKDMLDYLYTSQYQMRGIVAISVGSIGDKSSGDFTHALFVRFQRKEDLEMFYENPLFLKVLNEHVTPFCHVCPLTCKTPFLSLALSSRLLILLVLLYLDVSSLMLQGLTNVDYESEVEDDILSIFRKGEEYNYGEEFVLLVTFAKNASEKNIKDAMDSFAQLTSSLPSLVVQSTQGSNFNESSGEFTHAAVVRFRSFDAMEIFVEGREYKDMWRSQFEPFVEKSVALHFSVDPVGTDVM >A05p042050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25455188:25456489:1 gene:A05p042050.1_BraROA transcript:A05p042050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRGLVSRITQFLSIRSITPSSSQSPPHSSFFLIRRFSSDAGLLDGNESDPTRIIEAKSGEMSRSSKRTGIIAVKCGMTALWDKWGARVPVSILWVDDNIVSQVKTVEKEGIFALQIGCGHKKAKHLTMPVLGHFRAQGVPLKRKLREFPVTEDALLPVGTELGVRHFVPGQFVDVTGITRGKGFQGVMKRHKMKGGPASHGCSKAHRKGGSTGQRDDPGKVFKGRKMPGRMGAKQRTVKNVWVYKIDPARNLIWVRGQVPGAEGNFVFIEDAFYKKPDISKLPFPTYLAPEDEDLSELEPLVADLGEVDPFMLAE >A04p015410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9573059:9575439:-1 gene:A04p015410.1_BraROA transcript:A04p015410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNLSGDGTAAPIDTWWWLSHKGTTTSFLCISLFALLIRSAVSMFPYSGAGTPPKFGDFEAQRHWMEITTNLPAIDWYRNGTHNDLTYWGLDYPPLTAYQSYIHGLLLRFFNPDSVSLFSSRGHESYIGKLLMRWTVLSSDALIFFPAALFFVLVYHRNRAKSQVAWHIAIILLNPCLILIDHGHFQYNCISLGLTLGAIAAVLCGSEVLTCVFFTLALSHKQMSAYFAPAFFSHLLGKCLRRKNPILSLLKLGIAVIVTFVIVWWPYLHSVDDFLMVLSRLAPFERGIYEDYVANFWCTTSILIKWKKLFTTPSLKSISLAATVLASLPSMVHQIFSPSDEGFLYGLLNSSMAFYLFSFQVHEKSILMPFLAATLLALKIPDHFNHLTYYALFSMFPLLCRDNLLLPYLTLHLLFTLIYHSQLPKTKASSFSFTNFPGYVFLLRTHFVISLVLHVVYLTIQPPQKYPFLFDALIMILCFSYFVMFAIYTNYTQWNFSSHFRSVDKEKKQI >A07p005840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1929293:1929532:1 gene:A07p005840.1_BraROA transcript:A07p005840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRDRQQHTTRPPPPLAVSHGEERGAGKREREERKRQREERESTARASCLWGFPAELRFKVSDERREGVEACIDNKEG >A09p028030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16901943:16904842:-1 gene:A09p028030.1_BraROA transcript:A09p028030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSYNTSVVPSSSSSAQPFFLTGSGVGENDFGQKDTFVSMIQHPNSSAPPPKKRRNQPGNPSKFICDVCKKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQETARNPTLSFTSMAAASSGAGSGGLYGRLDGGRTLSHHHLSGHPNSGFSPLAGYNLNIASSENSRHFVPQTSNPNLLIQSSQGMLTVPNNNDQSFMNHHGLNQFDPVNNINSKSSTNNSFFNLGFFQENIKNSETSLPSLYSSDVLVNHPEESLNVCSNVSATALLQKATQMGSITSNDPSALFRGLASSSSNDFGEGQIMGNDNNGNLQGLMNSLVAVNGGAGGSGGNIFDVHFGNNGNMSGSDKLTLDFLGVGGMVRNVNRGRGRGDVSLDGELKFSEQNHPFGRS >A09g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11068451:11069392:-1 gene:A09g503470.1_BraROA transcript:A09g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLLEVFSEEDSYEDEEANDNHITTVLESFHLNIASECSASQIGLWVRIAVDRFVRDLKISFYYDHGLVSLPSRLFRCFTFRSLVKLRLLSVIYSDDESFSSLISTCPVLEELAVETRPQTIWTSMLVSLLHHSPKLQALKLVQDHGMPAERKRFEWRDYEGTKVEKEVAIYILNNAKRLVTATIYPFSVSLGAQT >A09p027930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16856335:16862778:1 gene:A09p027930.1_BraROA transcript:A09p027930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTASVLICIPIYDKVLVPFVRRFTGRAKGFTDLQRMGVGLLVSVLSMAAAAIVETKRLRVLGAMNISWQVPQYFLMGTAEVFFYIGQVEFYYEQSPDAMRSLCSALPLLSTAFGSYLSSLILSLVAYFTTMDGQDGWIPSEDIDKGHLDYFFWLLVCFGCVNIPAPKLYAEDGSVDLHGNPPLKAKTGNWKACPFILGNECCERLAYYGIAGNLITYLTTKLHQGNVSAATNVTTWQGTCYLTPLIGAVLADAYWGRYWTIACFSGIYFIGMSALTLSASVPALKPAECIGTFCPSATPAQYAMFFSGLYLIALGTGGIKPCVSSFGADQFDDTDSRERVRKASFFNWFYFSINIGALVSSSLLVWIQENRGWGLGFGIPTVFMGLAIVSFFFGTPLYRFQKPGGSPITRISQVVVASFRKSTLKVPEDAALLYETQDKNSAIAGSRKIEHTDDCKYLDKAAVISDEESKSGDFSNSWRLCTVTQVEELKILIRMFPIWASGIIFSAVYAQMSTMFVQQGRAMDCKIGSFQLPPAALGTFDTASVIIWVPLYDRFIVPLARRFTGVDKGFTEIQRMGIGLFVSVLCMAAAAIIEIIRLRLADELVLVESGAPVPISVLWQIPQYFILGAAEVFYFIGQLEFFYDQSPDAMRSLCSALALLTNALGNYLSSLILTLVTYFTTRNGGEGWISDNLNTGHLDYFFWLLAGLSLVNMAVYFFSAAKYKQKKAS >A09p065640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52394336:52398615:1 gene:A09p065640.1_BraROA transcript:A09p065640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEEDTAVTSNGMNDEYTNNINSCSASDNNRNGDGNQISQVWGTWEELLLTCAVKRHGSKDWDSVAKEVASRSSLNASAHDCRLKYEDLKRRFDDDGRGNTDDRDGGIVERLRSLRVAELRREVQRRDESILSLQLKVKKLEEENDGDDKPDLEAVRSLNNETEPVRLNRETMESDHRSMNESNSTASVDKIADHERLDNSRNPDPAHKAAEEEGEGTVSRRSGMSHSGELGESGTRRGQKHSGEDSSISGGNIKPAGNKSQPLVEIIKLIRSHPRGSVFESRLRSQETKNYKGLIRQHLDIKTIEKKLEKGSYGSSSLSFYRDLKLLFTNAIVFFPTSSSEYMAAQELRTLVSTEMKKRNGTSNHSVIKTDALSLVSRQRPSASPLVACKKKSSALKKTFPSSRLKQKDEKKTQEVSEEKTVTTAARSSRRTSNGIEVVAKNTKMGRAKNNKKQKTDSSSDDDDDGDKEETPKTEKKRAVAESEKKKSVAEFLKRIKTNSPQKVKDTTSKDQKKSAGNVKKENSKAKPRELRSNSTGKKKVDVENNSNKSSSKRKQSKKEAEKVTDAGRDSGKDNQQPKKRSRR >A06g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28055654:28056237:1 gene:A06g509650.1_BraROA transcript:A06g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVETRTLEEVKEVFRNGDVDVTMLNDAVELINGRAETEKCQRSGALTHSVNALDISLKIDTELALEAGRRTKRA >A01p056750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32254964:32256131:1 gene:A01p056750.1_BraROA transcript:A01p056750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLHLSDENLSSMPPSSSSSLHPCKHSPSATLDLLILLLVLFSAAFLLSSYFSYLFHSLSLLSSHLPSSDLSSIPPASYLLAFALFFAASIAFLDLCCGPRSRKCRNPRCKGLKKAMEFDLQIQTEDCVRSGSGGSKEIDRLPWKGGSEGNPDYECLRAELRRMAPVNGRAVLLFRSRCGCPVAKLQGWGPKRGRRHKNGGLLEFSSIWKDCPG >A09p041420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:317406:324396:1 gene:A09p041420.1_BraROA transcript:A09p041420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERNTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDYQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQVSSFAALFE >A07p034600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18777563:18778362:-1 gene:A07p034600.1_BraROA transcript:A07p034600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKEPQAWDGLILVAPMCKFTEDVKPPQLVLNALIFMTILLPEAKLFPKKDMRPLFYRDPSKRKLSYFDVISYDDQTRLKTAVELLNAASDIEMQINKVSLPMLILHGHADIVIDPTVSKFLYDQATSQDKTLKLYPGGYHCILEGDTDENIFTALLGLMPTPLPSKVRVYLQNPVFIIFFQNSQNLCN >A03p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2757439:2758287:1 gene:A03p006540.1_BraROA transcript:A03p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKSIMVNGGMKLPVGYRFHPTEQELILHYLLPKAFASPLPSSIIPVFDVFFSHPLTFPGDQKERQRYFFCKKRQEVSSNDHRIKISSGNGYWKPIRKERDITACGRTVGIRRTLVFRGTNNSSSSSNKTRWCMTEYCLAGFSSTKVFGEWAVYKVYKRKEPKGRRQRKPRVRDAEEEEFTIGSNHEFGPPPPSPPTSADESGSII >A08g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4784306:4788307:-1 gene:A08g502130.1_BraROA transcript:A08g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVRVLRGEWSKSEEGFWRFDGDPGDMEQYVLVKQNEPINSLIGLVREEFMLTPHTPLLLTYQLPPWMLMPDGPRAAPLNIVCSGDVEMMMSVHEWTSEVNLCVTYGAEAVARYGFLCRTPFRFGQRFYLGHGVSEEQHLAAINGRDPFVCSETVMREIFREDEMVILYRVSFEVEKARRSLDLNAHPPPEEVVVDMSMSDDGVVPEVGRRGNAQQHIGRSNEMEGLETARIGSPVGPLNPSPSIFRQAFADDGFPREHQTYIPNWGEVGVGPGYWENMLGEGYVLPSSSGMNVRANVNVNSVGEGLNISVASPPARPTYIVDIEGSSTGSSSDAAVNKNAGLAPRECGVRETNEMPNVHIIDEDPLPAYDDTSRIDIEDTSSEIAGGNGNRKDSNLNPEPNVLFAGMVFKNREEFKQHMAMYAIKNKFRFRNSRSCPEGMVLSGGFSVCLVETGEYEVKERDGDMYHVSLNTKTCTCYEFQTLMIPCTHAIAAVTRYKVPVETLACEYYSLAYYREAYSIPINPVVEIENVETLASDASDNVVKIHPPSTRSPPGRPRKSRIQSRGEYEGGGKRNTKCGRCKGLGHNRATCKMPI >A09p065540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52370695:52378453:-1 gene:A09p065540.1_BraROA transcript:A09p065540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKMIVLKSSDDESFEVDEAVVHQSVTLSPMVQDCAGREYPINNVTGKILNLVVEYCKNHVVVDGGDSSSSSSSGDALKKWDDKFITQMDLSTVYDLIMAANYLIIKGLFDLACQRVADEIAACKDHEEIRATLGIVTMVQDCAGREYPINNVTGKILNLVVEYCKNHVVVDGGDSSSSSSSGDALKKWDDKFITQMDLSTVYDLIMAANYLIIKGLFDLACQRVADEIAACKDHEEIRATLGIVSDYTAEEEAEKIATQQRRTMEMFVLKSSDDESFEVDEAVVLQSQLLSNLFEDCSGARECKIEEVTGQVLSKVVEYCKSHVVDGGDSSSSSSSAGDALKKWDDKFITRMDLSMVLDLIMTANYLNIKGLFDLTCQRVADEIAACKDHKEIRTKFGIVSDYTAEEEAEVLKENDISSQTIKKLTIYYDCEYGSDFMSFDGPSLVSLDCSDYALYVYPLVNLESLVEAKLVDLCYSKRIKRPDISGLLVGISNVQTLHLSVEDGLVLPMFKNLVSLSFGSNHKRCWKLLPYLLKQSPKVETIVIKGRDGDTCDDTIASEEFAWGNEMQRKSASGVPRKCVVDVDDDTITQTRRDLVTLFGVVAEPFQWNVEVAYFKTATQQITTMEKEKKMFVLKSSDDESFEVDEAVVLQSQHLSHVVEDCTGREHKIENVTGKILAKVVEYCENHVAVVNDGGANSSSSSSSGDALKKWDDKFIKEMDMSTVYDLIMAADYLDIKGLFDLTCQGVADVIAACKDHKEIRATFGLVNDYTAEEEAEVLKENEQKKYRRRCASARETAIG >A01g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:959943:961831:1 gene:A01g500250.1_BraROA transcript:A01g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DINFEQNIVLVSEQCGRRPRFTMGNKANMILLWAMLVSVAAMATRHRPFQRTKGINGLEKIIVRDPRGRSFEVYLYGGQVTSWKNEKGEDLLFMSTKYANTGPLTSHGFVRQRFWKIDANPPPLSSHPSSTAHIDLILKSSEADLKIWPHKFVYRLRVALGHGGDLTLTSRIRNSDAKPFNFTFGLHPYFSVSDISEIQVEGLQILDYLDQLKNRTRFTDHGKFITFNSQLARLYLRTPNKIRIVDHKKKKTIVVRKEGQADAVVWNSWDKKVVDLGVEDYRRFVAVEPVAVEKPIILKPGQEWKAIFQVSVVPSGCSRKSCIPHT >A03p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6286036:6287732:-1 gene:A03p015810.1_BraROA transcript:A03p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEIEAVLEKIWDLHDKLSDEIHFISRSHFLNSLKPAANRSENKERKKKSQGLGEEKKRQGYVFIKGFDNNDDATIQEAKSLYAIRTALEHLEDQLEFFHTIHTQQRTERDLAVARLEQSRILLAMRLAQHHGKSYCVLDEALAFVGSFKSDTRFVSPDQLYNSSPNPTGENSAPCDGNKPNFVINAFASAFGFAKRAFGFNHLGGVLGNAAIFTISLVAMLHLHQVATSEHHHLQKREDRREMSSSDISLDVMMARG >A05p034790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19604415:19605930:1 gene:A05p034790.1_BraROA transcript:A05p034790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETKQQLELTQEQIMEFKEAFCLFDKDGDGCITADELATVIRSLDQNPTEQELQDMINEIDSDGNGTIEFSEFLNLMGSKIQETDPDEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVDQMIKEADLDGDGQVNYDEFVRMMMTSG >A10p038090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21361465:21363309:1 gene:A10p038090.1_BraROA transcript:A10p038090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSTSLLAFILGFLILTFTVKRKESKKTVLVTSYTRNLPLPPGPKPWPIIGNLPEILWRNKPVFRWIHSLMEELNTDIACIRLANTHVIPVTSPRIAREILKKQDSIFATRPLTMGTEYSSRGYLTIAVEPQGEQWKKMRRVVASHVTCQKSFRWTLEQRTEEADNLVRYINKLCKGSEGIDVRHVVRQYSGNVARKMLFGVRHFGKGSEDGSGPGFEEIEHVDSLFKVVTHLYAFALSDYVPWLRFLDLEGHEKVVSGAMRNVSKYNDPLVDKRLDLWRNGKMNEPQDFLDMLILAKDTNGKPALSDEEIKAQVTELMLAMVDNPSNAVEWAMAEMINEPNIMKKAVEEVDRIVGKDRLVLESDLPNLNYLKACVKEAFRLHPVAPFNLPHMSTADAVVDGYFIPKGSHVLISRLGIGRNPNVWDKPLKFDPERHLGDNKNVELNDPDLNIISFSAGRRGCMGSNIGSAMTYMLLARLIQGFTWSSMPGEDKVDITESKTDLFMAKPLHAVGTPRLAPHVYSI >A05p041150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25034199:25035814:-1 gene:A05p041150.1_BraROA transcript:A05p041150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKITLGSHTVKSHGWKVAREHLIDWIILVLLGIVIIVLNIMEPFHRYIGPDMLTDLTFPLYQDTIPIWAVPIICILVPICIFTVYYYFGRDVYDLHHAILGIGFSCLVTGVTTDSIKNAVGRPRPNFFHRCFPDGKAKFDSVTKDVVCHGVKKIIKEGYKSFPSGHTSWSFAGLTFLAWYLSGKIKVFDRRGHVAKLCLVFLPILVAVLIGITRVDDYWHHWTDVFAGAIIGIFFASLSYLHFFPYPYDDNGWAPHAYFRMLAESSRRDTTMTRSSSREMLENDVELGSTSMPHHRNRGSTDSE >A09p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14431683:14431988:1 gene:A09p026690.1_BraROA transcript:A09p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIFFIVALLILSCSSSMIMGNSHEDHCHDYQDCEIWCKQYVPEPKCINHICNCRPPPLTSRRALNIF >A01p000510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:193176:196760:1 gene:A01p000510.1_BraROA transcript:A01p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLSFLLSVTTLISLFSLSLQASTASQSLYREIHQLISFKNVLPDKNLLPDWSPNKNPCTYDGVTCRDDKVTSIDLSSKPLNVGFSAVASSLLSLTGLESLSLSDSHINGSITTFKCSPSLTTLDLSQNSISGPVTTLSSLGSCTGLKYLNVSSNTLDFPAKISGGMKLSSLEVLDLSANSLSGDNVVGWILSDGCEALKHFAISGNKISGDVDVSRCVNLEFLDVSSNNFSTGIPSLGDCSALRHLDISGNKLSGDFSRVISSCTDLRSLNVSGNLFAGTIPSLPLKSLRYLYLAANKFTGEIPELLSGACGTLTGLDLSGNDFYGTVPPFFGSCSLLESLVLSSNNFSGELPMDTLLKMRGLKVLDLSFNEFSGELPESLTNLSASLLTLDLSSNKFTGTILPNLCRSTKNTLRELYLQNNGFTGKIPPTLSNCSELVSLHLSFNYLSGTIPSSLGSLSKLRDLKLWMNMLQGEIPQELVYVNSLETLILDFNDLTGEIPSGLSNCTNLNWISLSNNRLTGQIPRWIGRLENLAILKLSNNSFHGNIPAELGDCRSLIWLDLNTNKLNGTIPAEMFKQSGKIAANFIAGKRYAYIKNDGMNKECHGAGNLLEFQGIRPEQLNRVSTRNPCNFTRVYNGHTSPTFHNNGSMMFLDMSYNMLSGYIPKEIGSMLYLFILNLGHNFISGSIPDEVGDLRGLNILDLSSNKLDGRIPTAMSELSMLSEIDLSNNLLSGPIPETGQFETFPPAKFLNNSGLCGYPLPKCGPANGDGGAHHSRSHGRKLPSLAGSVAMGLLFSFVCIFGLILLGREMRKRRREREAALEMYAEGNGNSGDRTAYNTDWKMTGVKEALSINLAAFEKPLRKLTFADLLQATNGFHNDSMIGSGGFGDVYKAVLKDGSAVAIKKLIQISGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEFMKYGSLEDVLHDPKKAGVKLNWSMRRKIAIGSARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSPDFGDNNLVGWVKQHAKLRVSDVFDQEIIKEDPTLENELIQHLKVAMACLDDRAWKRPTMIQVMAKFKEIQAESGIDSQSTIGSIEDGGFSTIEMTDMSIKEVPEGKF >A04g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13236711:13239103:-1 gene:A04g506250.1_BraROA transcript:A04g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEIEPETAVEDPAAEQNRVDPIRNRKSPARAASRTCVRGREDALQRSEDEAVGEIRGGDPRSEPSGTRVSLGTFDTAIEAARAYDQAAFKLRGSKAIVNFPLEVGTWNQRADVGQNKRKRDGEEEHTFTTTRCTGETVLSNHHLSPPISPTGVLAAIDVIRGSLLAGIFYFVGCGLFCLEALLSLWVLQKKLDPSVFKIGSTQAAKKRWVAMGKQVSRKVQHVEDKVKESLLQIQQGLELDKESLKLSQNQETLSNKVTTCAFSFLGWTGYSDVEKGPTYASKRKIFATDLTRENLHNWQELEFKEYNFNAKGQPLHASYLHPLLKVSDSLSFCPSDQQSFMSELDSQVSTAFDPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYIYSNILKDLKKEFCCNSTLVHDSKLGHVHVYFTARYLRTSRKSFVATVHWLQTQ >A09p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11583176:11586515:1 gene:A09p021480.1_BraROA transcript:A09p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSNKKRKEFISEGDMATLLQRYDTTTILKLLQEMAYYAEPKMDWNELVKKTSTGITCAREYQLLWRHLAYRDSLLPIDDNALLQDDDSDMEFELEASPAVSVDAVTEAVAHVKVIAASYVPSESDIPEDSICEAPLTINIPYGLQRRPQEPSDSYWSSRGMNITFPISLQKAAEGHSGNGLASNMGGPRKKRKKWSAEEDLELIAAVKRHGEGSWALISKEEYEGEITVAQLSQRWGSIRRRGDLSNSSTQSYQQRTEAANRALSLAVGNRVPSKKVAVGIPPVLTSGSTTGAQGNGSSLQGQQQAKPVVQAIPRAATAVQTAKSRVTARKPTSTLRAELMVTANSVATAACMSGLGTAASVPKVEPGKSAAPALVAKVKSSQASLPRPSGISSSVMNVEPVKAASAASLPRPSGISPTLNAEPVKSASTASFPRPLGTISSSKAEPVKTASAAALPRPSGISAPKADLVKTGHAVSLPRPSGITSSTKAELMKTASAASSPRPESMPSAPRGEPLKSVSAAAATTKTQVVGPLSARNAANGPFNKPSPMAPFSKGPTIQNISVPSRFASSRLAPTQRVNATTVMPQNPSVGVAVTSSSKPVGVQKEQTQGSRTSPLVTTTLQPNKTISTNSVISAGKPVATHVDTPPSLMPKKDQVPQTCTDKSSLAKPPEKLSSSTVPPCVVAEPEPKPKDEASKGKSPDGATVTGTEQQ >A10p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14777314:14780026:1 gene:A10p022380.1_BraROA transcript:A10p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGNSPVMCSWCGIHIAHHQWREHVQVCRGAYNHASVAMATSQVSVQGHGVSAATLTQGQWYQQPYQQYYQNYIGDYMQQQPIQYQSFAPQNQDAYKEASVAMATTQASIAIPSSQASAQSHGVSAATLTQVQWYQQPYHQYYENYVGDYMQQQQPMQLQSFAPQNQVIYVQVQPRVHHVAQTDQSQMQPQPQVQSQSLTMHQPHDAFSSPKDNTVQDSLAQNTIGQCQSNQPHASWTGLNPQPNQTNQVESLPNNMFEYHEDHFPEQVTHVHPPQPIILIQKQQSLLTSQLTRDQYTMQQVLKYGGASVPESSYMHSLHQLILSNPSLPQKQTAASTTDVVHNQMHQEGPSYNLDIALQDHQPIPTILGVQPNMIHFSATAPQVGLGHAAPSLQRIPKQVHWPPLGPNKVIHIYGDKDDEAVMEGSISDSTNDLYNVLRAAEETTIKLDHKHKRKLTEACDESVHRSRINVEGSEIKIGPLGKQVMEENVGNGWEDLGKSLLVDVGNGWEDLGKSILVEKKGINDDPSLDGTLLMNPSLLNEDGLPQLSNLPQHYLTIAEKSSGRSAKSLDRGFDQRSSVRSHEKHETSFELRPTLRSSQPSMDLGEGQFRHGQRSPICEHLGMPSHGYGPSGGRFALHRSEFKGLGNLQIGEQVISGDKIGPVDSNNGFRLGDVGSQSIYTMQGYHVDDVSFPVKGDWVTKPFESLDFHSQSKEHQQKSMDMVSTMKHNEKKHKMAPSGSSQFGNQNKNEEGRLKGSGEKEENRSIVHHHY >A06p046290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24772268:24774318:-1 gene:A06p046290.1_BraROA transcript:A06p046290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIEHQQKEQLNSTKNTETKIDHQEIQISQASSSSSHMANLATSSDHHLHQLELAGNNINFSSIFDSPISLPFPYSYFEDHSSNNPNSFLDLLRQDQHQFASSSNPSSFSFDAFPVQNNNDTNLFFTDLPLPQAITTKAESSEVVNTAPTSPNSTSVSSSSNEAANDNTNKEVAVKDQEGDQQEHKGTKPHMYNNSLSMINRSSPDGTFINPGPSSFPGFGYDHIPQASTSTTSAIRDHGLLQDILPSQIREDTVNAQIVEEDKK >A10p038040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21344897:21347713:-1 gene:A10p038040.1_BraROA transcript:A10p038040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFRLDAVVSTIVTVHPHEIPALLHSSSCFFFILSAYFVVLPLRDEGAISLGLSKLPILFVGSLFLTLIAAPVSTLIFSLPNLSKSKALVMIHRFFSLSLVLCFLLWRASPTESNSKDASDLTKDPKVGVDGASPASGWDSHGWFYISVRVGFFLWVALLNLVAISSTWARIIDVMDSESGARLFGFVGAGATLGQLFGSVFAAATAWMGPYLLLFAALLMEFAAQSSKGITKDTSPSSEELSPLRRIDSERSQEASSPKLASPKIATPKSPISTARPQLWAILDGMRLILASPYLLLVSLFLWLGAVISSFFYFQKVNVIATTIKSSIGRRRLFAQINSFVAVFILIGQLTLTGRILTIAGVTVAISASPLVALGNLVAIAIWPTWVAVAVSETLRKVTTYVVTRPGRELLFTVVSQDEKYKAKVCIDVIVQRLGDAAAAGLFEVLTIALGGQTSTASLYALPVCLIWIVTAFFLGRRQEQLAKLQESWERNTSSTEMKKDHKAE >A02p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4923005:4928937:-1 gene:A02p011400.1_BraROA transcript:A02p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALFSATILFCLLPVSVLSYAFPAALKLERAVPANHEMEMNELKARDRARLGRLLQSLGGVVDFPVDGTFDPFVVGLYYTKLRLGSPPRDFYVQIDTGSDVLWVSCASCNGCPQTSGLQIQLNYFDPGSSATATPISCSDQRCSWGVQSSDSGCSVQNNLCAYTFQYGDGSGTSGYYVSDLLQFDMIVGSSLVPNSTAQVVFGCSTSQTGDLVKSDRAVDGIFGFGQQGMSVISQLASQGVAPRVFSHCLKGENGGGGILVLGEIVEPNMVFTPLVPSQPHYNVNLLSISVNGQALPINPSVFSTSNGQGTIIDTGTTLAYLSEAAYVPFVEAITNAVSQSVRPVVSKGNQCYVLTTSVANVFPPVSLNFAGGASMFLNPQDYLIQQNNVGGTAVWCIGFQRIQNQGITILGDLVLKDKIFVYDLVGQRIGWANYDCSMSVNVSATSSSGRSEYVNAGQFSDNAAPQKLSLDIVGNIIMLLLLFLVCCLWSLESNYLPTGEAKQKRISDVNNWFLSGVCVSICSDPRHYFVSTYFLSFSPLLNFISLFLVFSSTPAMADEKNGGRLSDASDYSSEDEGTEDYRRGGYHAVRVGDTFKNGSYVIQSKLGWGHFSTVWLSWDTLNSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDADDTKCVVKLLDHFKHAGPNGQHVCMVFEYLGDNLLSVIKYSDYRGVPLSMVKELCFHILVGLDYLHRKLSIIHTDIKPENILLCSTINPEADARRSGAPLVLPNDKDKTVTVEKEEPKSYAYGADMTKNQKKKIRKKAKKVVVEGCGGEEGSEENERSSNGNSTAESSERAKDSQKSGSNRRGSRSTRRKLLADVDRKCKLVDFGNACWTYKQFTSDIQTRQYRSPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGENFERDEDHLALMMELLGMMPRKIALGGRYSRDYFNRQGELRHIRRLRFWPLSKVLMEKYEFSEEDAVAMQDFITPILEFAPEKRPTAAQCLTHPWMNPVPRSLKASSSPQRLKEEARDEDRTKEKDEREAMEVGVGNIAIDGSELKTSGREGRQSARDHRT >A03p025610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10781936:10783853:-1 gene:A03p025610.1_BraROA transcript:A03p025610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 15 [Source:Projected from Arabidopsis thaliana (AT2G44570) UniProtKB/Swiss-Prot;Acc:O80497] MYCVLRTRSSQCFLTIQSMCIVLLLLSTSGKVSAGLNYGEALTKSLLYFEAQRSGKLPSDQRVQWRGDSAPGDGSDVHIDLSGGYYDAGDNMKFGFPLAFTTTMLAWGSVEMASQFQAHNEHQNVLMALKWATDYLIKAHPEPNVLYGQVGDGKLDHACWMRPEDMNTSHPRPSYRIDAQHPGADLAAETAAAMAAASLAFAPSDAAYANTLISHAKDLFEFGKNHPGVYHDSITNAAGFYSSSGHEDELLWAAAWLHRATGDQMYLDYLTQASNSGGVRSAFSWDDKFVGAQVLAAKLVFEGKVKNEGKMAEYKSMAEHFICNCAQKGYSNVKKTPGGLLWFLPWENLQYTATASFVLSSYSKYLEAAQASVQCPNGVLQAFDLLSLARAQVDYILGSNPKSMSYMVGFGTNYPNRPHHRGSSIVSIKKDSKLKACNEGWYPWYNTHEPNPNVLVGAIVGGPDVNDVYQDERSDYQHNEPDTVTVAPFVGVFAALA >A06p045820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24550633:24553591:-1 gene:A06p045820.1_BraROA transcript:A06p045820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYEVLEQIGKGSFGSALLVRHKHEKKLYVLKKIRLARQTGRTRRSAHQEMELISKIRNPFIVEYKDSWVEKAIAKAVTCKLLFPSQKPLPILDSHGVSVNIASSPLQGRSYKESQRRDFGLAKILTSDDLASSDMQGLINRINRSIVAPLPVKYSTGFRGLVKSMLRKNPELRPSASDLLRHPLLQPYVQKVLLKLSYRGHDTLQPESESARRSSYPPEQRRRSSGKCISFGPSKFMVDQEDSVSSVKPVHTYLHRRMPVDLSANDAGRVTVRRPAVSKISGVSYRSSLPKSGGVKQAATIRRASLPVSHKPAKGTRDSLYTPNIGILHQLNSPDVSVNSPRIDTIKFPLASYEEMPFTPVVRKKNKGSSRGSYSPPPPEEQQPLDCSITKDKFTLEPERETKSGGYLSDQNATAGASSRASSGASRRQRFDPSSYRQRAEALEGLLEFSARLLLDERYDELNVLLKPFGPGKVSPRETAIWLSKSYKEASTSKQES >A01g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8396071:8402919:-1 gene:A01g502430.1_BraROA transcript:A01g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNERLKSPAPKVLNGNESASAPVPDADATASEDDMSVNSDDDDVSLDSSPENSRVSSGVGRSYGRNSSCYTYSEVSSSRDTLVVAARGQTEPRYDTDTEEEDESTDSASSSQFSPPAAANGRRIDGGVSRVETHLPITDGGASAEKELHDKFSSEEVSDIPSAPPFSGAAEESEEIKPATSGVQVSEAITEDCVESKKTGHFTRTSAASESFGPSDQHPARLPNFHASARGPWHAVVSYDACVRLCLNAWAKGCMEAPMFLENECALLREAFGLKQLLLQSEEEMLVKQSSQAPHEGVAPKPKKNIGKMMVQVRRVKTVLDAPTGCSISSLKPSLIKFEKIRIHFSNLSTRISSGWRALRKIHVRVPANGSSLSRQSLGYVHASTQYLKQVSGLLKVGVTSLRNSSTSYDVVQETYSCRLRLKSLTEDEAIMMQPGSGEDHVFFPDSHGDDLIVEILDSNGKEFGRVLVQLANFSEDSAEKLRWWSVFREPEHQLVGKLQLYINYSASFDDNSHLKCGSVAETVAYDLVLEVALKMQRFQQRNLLLYGSWKWLLGEFASYYGISDTYTKLRYLSYVMDVATPTSDCLQLVHDLLTPVIMKGNGKSALSHQENRILNEIKDQIEQILKLVFENYKSLDESSFSGMNNVVSSATGVPAPALTPAVKLYMLLHDILSPEDQTNLCHYFQAAAKKRSKRHMGETDEFVTNNSDPNIWDPSAMSAAYQKMTMVCKNVKNEIYTDIEIQNQDILPSFLDLPNLSASIYSTDLSNRLRAFLVACPPSGPSPTVAELVIATADFQRDLSSWNISPIQGGVDAKELFHLYIMIWIQDRRLSLLESCKLDKVKWSGVRTQHSTTPFVDEMYTRLNETIQDYQVIISRWPEYIFVLESAIADVEKAIVEALEKQYADVLAPLKENLAPKKLSFKYVQKLTKRNVIAYTVPDELGILLNSMKRMLDVIRPKIEAQFKSWSSCIPDVGNAAPGDRLSEVTVMLRAKFRNYLQAVVEKLVENSKLQKTTMLKKILQDSKESVGESDIRSKMHNLKEQLTNTVNHLHSVCETNVFIALSRGYWDRMGQIVLSFLENRKENRAWYKGSRVAVSILDDTFAAQMQQLLGNSLREQDMEPPRSIVEVRSILCKDTAVSKSKSFYY >A07p001100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:288853:301462:-1 gene:A07p001100.1_BraROA transcript:A07p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSCFSCRQINESHHTLSVFLYNSYFSKQPLPIQFRMFYDGYAAKGTFLLNKKLPPRTVQVRPSMIMVSKDPALSNVSTFGSLEVVNTSNPPKRTKLSRNLVALLSYGGVPDEFFLDILLNTLEESKTIFNNKCAALKVALNYGDMDDHNAARMILAGIPLDEPHLQDQLSIFSNTEKNDLKAGRLPVSESYYLMGTVDPTGELKEDEVCVILESGQISGNVLVYRNPGLHFGDIHVLKATYVKALEEYVGNSKYGVFFPQKGPRSLGDEIAGDLYFISRNPELLEHFKPSEPWVSSTPPININSAKNPSQLSPEELEEELFNMYLKTRFHASNIVGMAADSWLTIMDQFLTLGDERAEEKAEMKKKMLKLIDIYYDALDAPKQGAEVVNFPDELKPHVFPHYLERDPKFNSTSILGMIYDFVHSHTAEEHKPSAEMSKLSCFKVEPVSDHHMEKCGRWYEKYRKEMIQAMGNKDESANEVIQRYKQASSSMNEFYGAAELEELYPQALALYNIVYDHAVKMNNARNCGFVWKVAGPVLCRFYLEKTEEKSLVCPLGVFKKCKGTRNSFVSCRSLDDLLSECTYKGVRRKTWNGFGSKTRANLVTPGNTVKDDSDSVFESHSERQECSEYLTQVRRVSPYFQHKQGCNSDSESSKTQSGSSTRKAKVRKVSPYFQGSTVPNPPRDMLQYFKIVKVSRYFHGLSAQVNESQKEIPRKVRKTPLVSHSLSLSQKTDEAYLRKTPDNTWVPPPSPCNLLQEDHWHDPWRVLVICMLLNKTSGAQTRGVISDLFALCPNAKTATQVEEKEIETLIKPLGLQKKRSKMIKRFSLEYLQESWTHVTQLHGVGKYAADAYAIFCNGKWDCEFYGPAGLEEFYPQALALYKIAYNHAIKMNNVRNCGFVWKVAGPVLCRFYIEKTEESSLVCPLVREPVAKG >A09p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1674394:1676279:1 gene:A09p002440.1_BraROA transcript:A09p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVMVTKSTVGCGGGKGGGKTKSTVEEEEEQNQQQLSLVEFLLTALRKSVISCRVDNNQQDDVVGGGISSAVHHMEIGWPTNVRHITHVTFDRFRGFLGLPHELQVEIPCRVPSASVSVFGVSAESMQCSYDNKGNSVPTILLLMQQRLYSQQGLKAEGIFRINPENSQEEHVRDQLNRGVVPENIDVHCLAALIKAWFRELPCGVLDGLSPEEVLNCNTEEESVELIKQLKPTESALLSWAVDLMADVVEEEEFNKMNARNIAMVFAPNMTQMTDPLTALMHAVQVMNLLKTLITRTLGEREEASSGSEGYSASHSSDSQTEPDSDNAQDMEVSCESQGTDSESGGEEEQEKQDENDTGSLSSIEDCFLSQLNDNARVSNSSMSEDLSPKGSPLVSLTDDKNNTSGSSTSDQDESMTV >A07p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11445166:11449321:1 gene:A07p019250.1_BraROA transcript:A07p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKVVAPPHRSTAAKLKTRLKETFFPDDPLRQFKGQPNRTKLIRAAQYIFPILQWCPEYSFRLLKSDVVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQQVSPVDNPLLFLQLAFSSTFFAGLFQASLGILRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKALLGITHFTKQMGVVPVLSSVFHHTNEWSWQTIVMGVCFLLFLLATRHLSMKKPKLFWVSAGAPLLSVIVSTLLVFVFRADRHGISVIGKLQEGLNPPSWNMLQFHGSHLGLVAKTGLITGIVSLTEGIAVGRTFAALKNYHVDGNKEMIAIGLMNVVGSATSCYVTTGAFSRSAVNNNAGCKTAVSNIVMSVTVMVTLLFLMPLFEYTPNVVLGAIIVTAVIGLIDLPAARHIWRIDKFDFLVMLCAFFGVIFLSVQQGLAIAVGLSLFKLLMQVTRPKTVIMGNIPGTDIYRNLHHYKEARRIPGVLVLSIESAVNFANSNYLTERTSRWIEDSEEEEAQEKHSSLQFLILEMSAVSGVDTNGVSFFKELKKTTAKKNIELVFVNPLSEVMEKLQRADEEEEFMRPEFLFLTVAEAVASLSLKGPSLNNV >A08p004470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2621152:2622423:-1 gene:A08p004470.1_BraROA transcript:A08p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 26 [Source:Projected from Arabidopsis thaliana (AT1G49780) UniProtKB/Swiss-Prot;Acc:Q9FXA4] MPGNLEPLDLGIQIPYHFRCPISLELMTDPVTVSTGQTYDRTSIESWIATGNTSCPVTRLPLSDFTLIPNHTLRRLIQEWCVANRSSGVERIPTPKQPADPISVRSLLSQASAISGTHVSVRSRAAAIRRLRGLARDSEKNRVLIAGHNAREILVRILFADVDVGVETSSSEVVTESLALLVMFHMTEGECESISSDPGRVGFMTRLLFDSSIENRVNAAALVEMVLTGSKSTDLKMIISGSGSVFEGVMDLLRSSVSSRRALKIGIKALFALCLVKQTRHLAISAGAPGTLIDRLAADFDRCDTERGLATVELLCRLPEGCAAFGEHALTVPLLVKTILRVSDRATEYAAGALLALCTAEERCRDEAAAAGLVTQLLLLVQSDCTERAKRKAQMLLKLLRDSWPDDTLVNSDEFGRSEVAPF >A02p000630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:350738:351424:1 gene:A02p000630.1_BraROA transcript:A02p000630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRERSKTDLQNQLQEFKAELALLRVAKVTGGAPSKLSKIKVVRKSIAQVLTVISQKQKLALREAYKSKKLLPLDLRPKKTRAIRRRLTKHQVSLKTEREKKKEMYFPLRKYAIKV >SC150g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:127910:129659:1 gene:SC150g500060.1_BraROA transcript:SC150g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYPVFQPCMSLPDGECLFGSRGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSLCSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGLILNPSLRRGVYLHDPRELGGYSRRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A03p069480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:83238:85291:1 gene:A03p069480.1_BraROA transcript:A03p069480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIHIAGPVHGNAGLSGDLTRLYSDDISSRQLQTIDSSAQIRSEHTQLNSVLNKIKSVRIRLEAVEFHRLADLVERAVNVEEAIAAERASSSHSVQPRRPSVQSHPQPHSPMSRGRGGRAFQGGHSGGPRHRTPTCFTCGQLGHVRRDCPNVVQFQTAVPSHITCFTCGERGHYATSCPHTHLAQPVVSSAQPVEPVNQPLPLPPAKRQATAGRAYALELPGPSGPPQGPISGLFS >A03g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32079520:32082103:1 gene:A03g510010.1_BraROA transcript:A03g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQHHPWPRPENTSFDVLGYATRHSSATRGTCHADLPAFAAPELGTHRHLLFPTSRTFRTSLCRTRETSRGSWWTLFRPSGLECLVGAGEPQERRHQHQQLAGTHPQRTMRLLTRTPTSPPISILPPLIMNLANIRQGTSSLTPSTCEHICASPSIDMERITSIDSKRVTSIDMERITSIDKEPKLTSNTNLTSLLVLGLGIHGIGFFRQVWKSSKRDLEAAIFKARFRKELSDIGQKEVNITWWQPPLSFDSRKPVQSWSLILRWKQTLTQERNLEREKLGTNFYLQLHILV >A03p052070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20232108:20233956:1 gene:A03p052070.1_BraROA transcript:A03p052070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESPLEQQSQSHEQENEIVTEGSAFGHGEPPSQDGSVPPKVDSPVEVLDEKVSKQIIKEGHGSKPSKYSTCFLHYRAWTKHTQHKFEDTWQEQQPIELVLGKGVVMLSVKQKKKEMTGLAMGVASMKSGERALLHIGWELGYGKDGNFSFPNVPPMADLLYEGKARSDMTVEERIGAADRRKMDGNNLFKDDKLEEAMQQYEMAIAYMGDDFMFQLYGKYQDMALAVKNPCHLNMAACLIKLKRYDEAIGHCNIVLTEEEKNPKALFRRGKAKAELGQMDSAREDFRKAQKYAPDDNAIRRELRGIAEQEKAVYQKQKEMYKGIFGGGRDESGGKGKSRNWLIMLWQWLVSLFSRILGRNRVKAD >A03p025260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10610705:10612787:1 gene:A03p025260.1_BraROA transcript:A03p025260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGQPAADTEMSEATGGAAPSQPMPGIENIPATLSHGGMFIQYNIFGNIFEVTAKYSPPIMPIGKGAYGIVCSAMNSETNESVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPLRTAFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVNSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEQEVEFLNENAKRYIRQLPPYPRQSITDKFPNVHPLAIDLIEKMLTFDPRRRITVLDALAHPYLNSLHDISDEPECTIPFNFDFEQHALSEEQMKELIYREALAFNPEYQPAIA >A08p045230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24843181:24847705:1 gene:A08p045230.1_BraROA transcript:A08p045230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLALVALLALVGVSIAKPYGNPLGNPRVKGDLDLDYYRFKCPQVESIVRRVTFQYVSRRPTLAAALLRMHFHDCFVRGCDGSVLLKSPNNDAERDAPPNLTLRGWEVVDAVKSVLERKCPGVVSCADVLALVARDAVAVIRGPWWPVPLGRRDGRISRLSEANLPSPFADVKTLKNNFRVKGLNSKDLVVLSGAHTIGVSSCGLISSRIHNFTGRGDFDPAMNPSYVRTLKKRCKPTDVRTPVDMDPGSARRFDSHYFNIVAQKKGLFISDSALLNDFVTKSYIQTQVVTRGASFAKDFSDSMVKLGFIQILTGRKGEIRRKCAFNLLALVVLLALVGVSVAKPYGNPLRNQNGHRNPRATGDLDLDYYRSTCPQLESIVRRVTFQYVSRRPTLAAALLRMYFHDCFVRGCDGSILLKSPNKDAERDAIPNLSVRGYEVVDAVKSSLERTWGCRGVVSCADILALVARDAVAVIGGPWWPVPLGRRDGRISKLSEVNLPSPFADVKTLKKNFMDKGLNSKDLVVLSGAHTIGVSSCGLINNRIHNFTGKGDFDPAMNPSYVRTLKKRCKPTDVRTPVDMDPGSARKFDSHYFNIVAQKKGLFTSDATLLDDIDTNLYIQAQVVTRGASFARDFSDSMVKLGFVEILTGKQGEIRRRCAFVN >A08p008770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4673699:4676622:1 gene:A08p008770.1_BraROA transcript:A08p008770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQKLTSVCLAVIVLLALTATIFRKLEIPSSRKLKTEELRSSKNNSTMAAKRVKGVELNEQHAVSDPDRVADEVASLVQMSEHNKTARRKLGFFSCGTGNPIDDCWRCDPNWHKNRKRLADCGIGFGRNAIGGRDGRFYIVTDPTDDDVINPKPGTLRHAVIQEEPLWIVFKRDMVIELKQELIMNSFKTIDARGSNVHIANGACITIQFITNVIIHGLHIHDCKPTGNAMVRSSPSHFGWRTMADGDAVSIFGSSHIWIDHNSLSHCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTKDKLMQVTIAYNHFGKGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYAAPMDRFAKEVTKRVGTDASDWKKWNWRSEGDLLLNGAFFRASGAGTSASYGRASSLAAKPSSMVRTITSTAGALGCRKGKPC >A08p023720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15590549:15601358:-1 gene:A08p023720.1_BraROA transcript:A08p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMIFGLDAETGLTEFLKVPKRELKSQQMKNWEEVRTTLKGTNLEKLLRQDQRANTLEREREMEEKRTLASAGDSPASEPSTRRRLKRKANALGTSNSASAKRMLKREKAMLASFSPVHSGPLTRARQAPSSMPAAAGVKAEVVVVGTDGEKLKEEEEERDKAAIREWEAKIEAEFEAVRSRESNVHVVPNHCGWFSWGKIHPLEERSLPSFFNGKLEGRTPEVYREIRDWIMKKFHSDPNTQIEVKDLKELEVGDSEAKQEVMEFLDYWGLINFHPFPSSPDASSTPGDHDDLGDKESLLNSLYRFQTDEASPALVHKPRPTAQATPSGLFPDPVAPDDLLKQEGPAVEYHCNSCSADCSRKRYHCPTQADFDLCTECFDSGKFSSDMSSSDFILMDSAEAPGVGSGKWTDQETLLLLEGLEIFKENFNEIAEHVATKTKAQCMLHFLQMPIEDAFLDQFDYKVQSTKDTTNSAVSKDDKSVLKDAPEETENKNPVKEAETVKEAPGTEDDNEGKVPPESSEPGDAREEISEVEAEQKTLKVETVTDERCKDDADENVALKALTEAFEELGYPITPEASLSFADLGNPVMGLAAFLVRLAGSDVATASARASIKSLKSNSGLLLATRHCFVLEDPLDNKKDSAESKSVDAVGNGDSAHKDEQPEEKSQKAEDVSLNANDREMTEADSGRENQDSVSEEKQPGSRTKKSARKPDAERRKRSINSVATEKSEEPAAIICTSQDKCSGKELQEPLKDGNKLSSENKDASQATVSQLAPDASQPEASKDVEMKDMSQSQKDPQDMVKTVGEEIEQAKESAKDVLSMPDTSVASASVPENGTDGENTKKEKDVSEETKDKHNTNKLKRAAISALSAAAVKAKHLAKQEEDHIRQLSGSLIEKQLRKLEAKLSIFNDAESVTVRVKEQLERSRQRLYHERAQIIATRLGAPPSMSSKASLSTNRTAANLANVAQRPPMGMSFPRPPMSRPPGASPFSVPGSHVAATAMSGSSGPPQGSDNPFLQTQKEKPSGFLSFQQSSLLFTKSSEYLQADESRITGEKVSPPLRNLSLKNKDSIMADSPENSPPAPETPNAGSLSNGTSPLTSPASSPPSPDSAPPPTPTASSTPPAPPTQETSPPPSLPTSPPAVPNPPAKPQENPSPPSPQISSPVTPPAPPQAPSNQSPPPQRPSPPSPVANDDRNRINSNNREGSTPSPPSGTSENDDRNRINSNNREGSTPSGNRRSSDGGSPSPPRSISPPRNSGDSDTSSPPGEQPHQANLGLIIGVIVGAGLLLLLLMFICICCYKKKKEKRAPQVNHMHYYNNNTPFGAPNGNGGYYNNGTPQDHVVNMDHSDSSNLAGPTAPSPPAATLGHNQSTFTYDELSIATEGFSQSNLLGQGGFGYVHKGVLPNGKEVAVKSLKLGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGGQRLLVYEFLPNNTLEFHLHGKGRPVLDWHVRVKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDFSFETQVADFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELITGRPPVDLTGEMEDSLVDWARPLCSKAAQDGDYSQLADPRLETNYDQQEMARMASCAAAAIRHSARRRPKMSQIVRALEGDMSIEDLSEGGRAAQNTHLSPGTGSSEYDTRSYSADMKKFRKLALESKEYQTGECGLNPSASNSEEMKRNPQF >A04p027460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16533538:16536043:-1 gene:A04p027460.1_BraROA transcript:A04p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALB3 [Source:Projected from Arabidopsis thaliana (AT2G28800) UniProtKB/TrEMBL;Acc:A0A178VUV3] MARVLISSPSSFFGSPLLKPSSSSRHVAGGGGSLQFRNKHLVRFSLNELPPLHGSVDIGAILTRAESFLYTVADAAVVGGAADSAVSTDPAVQKSGGWFGFISDGMELVLKFLKDGLTAVHVPYAYGFAIILLTIIVKAATYPLTKQQVESTMAMQNLQPKIKAIQQRYAGNQERIQLETSRLYKQAGVNPLAGCLPTLATIPVWIGLYQALSNVANEGLFTEGFFWIPSLGGPTSIAARQSGSGVSWLFPFVDGHPPLGWGDTAAYLVLPVLLIVSQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFALSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAAPAVNENASGIITAGRAKRSIAQPDDAGERFRQLKEQEKRSKKNKAVAKDTVELLESQSESEEGSDDEEEEVREGALASSTSKPLPDVGQRRSKRSKRKRAV >A08p045860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25075830:25076448:-1 gene:A08p045860.1_BraROA transcript:A08p045860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESKKEIASLQSEGAIGRQLIRLRSRQLTYKVAKLKCEAWLLIHSIAHTSVGTGIQGATKAMAAMSKVRFLFNTYQICPYVYSFGWSHQIKYF >A08p026990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17145101:17146435:-1 gene:A08p026990.1_BraROA transcript:A08p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYATVTAKKPISSCFIFAFLFFSSQNAVVSLNQVSNSQPVARPLKKLDKHVVLLISSDGFRFGYQFKTNLPNIHCLITNGTEAETGLIPVFPTVTYPNHYSIVTGLYPAYHGIINNNFVDPKTGDVFTMASHEPEWWLGEPLWETAVNQGLKAATYIWPGSEVRKGSWDCPKGFCQHYNSYVPDGDDDDRVDTILRYFDLPSSDIPSVITIHFNDPDPQGHQVGPDDSLITEAVVNVDRLIGRFIDGLEKRGVFEDVSMIMVGDHGMVGTCDKKLIVLDDLAPWIKIPSGWVQNYTPLLAIKPPSGHDAKDVVDKMNQGLRSGKVENGKYLKVYLKEDLPKRLHYSDSYRIAPIIGLVDEGYKVEQKKSKGKECGGAHGYDNAFFSMRSIFIGHGPLFSRGRKVASFENVEIYNLICSILGLKAAPNNGSDEFASKVLLPRK >A03p039000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16237058:16245302:-1 gene:A03p039000.1_BraROA transcript:A03p039000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEVLTLPSVGINQQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVAFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYKCSPNEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHGASFAQFKVPGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQVSHKFNLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYMFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVDAQLYEEAFAIFKKFNLNVQAVNVLLDHVGSIERAVEFAFRVEEDSVWTQVAKAQLRDGLVSDAIESFIRADDATHFLEVIRACEDTDVYDDLVRYLLMVRQKVKEPKVDSELIYAYAKIERLGEIEEFILMPNVANLPNVGDRLYDEALYEAAKIIYAFTSNWAKLAVTLVKLQQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDIINDLLNVLALRLDHTRVVDIMRKAGQLRLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESTDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGDHDLAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALELAWINNMIDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEEKDVMSEQNMYAQLLPLALPAPPMPGMGGGPGMGGGYGPPPPMGGMPGMPGMPPMPPYGMPPMGGY >A09g501810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6585173:6586357:-1 gene:A09g501810.1_BraROA transcript:A09g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVISSSLSWFRPPPPPSQFRPPPDPPPRTSPSVPPEPPFETLSPSEPPLEALSPSEPPLEAVSPPEPPPETLSPPEPPDPPDAPFTLVFLLLLDTSCAFSQPVSKASDLESCLLNMAFVFCDEVASLVYVGDTSFVFKYWYPADCSVVLCWCDLIHSTRPLSMIVIVSIESTMGWSIPITISVSLPRPFIQVLSERFSKLMLDDELISLVWYFGLSRGPFTAVCSFFTAVCSSIFVILKSFQLWQLNGLMHHISIHCLASSVMEFVPLPILLSTLCGFVAGSVMLKIRDTSNTEVLIKGFIAMLKIVDCALVAASILEIISLIVVSNFQGVVSLYSLMVVENRGLLDFISCLSVLYALILLCCICFFVLVVCLAYVALFSCFMNTSSIRGE >A02g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12354267:12355998:-1 gene:A02g503670.1_BraROA transcript:A02g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >SC178g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:383594:384616:1 gene:SC178g500200.1_BraROA transcript:SC178g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRQHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A04g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14894375:14896114:-1 gene:A04g506570.1_BraROA transcript:A04g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQALVNNTGVNVESPLRHPASVETEAIPLVSSHNSTSVTASTSETLHFPKTAVLSPIAGLIETFTSSTFVPPVPLLFSVTGTLSLPTSVVDMNCESRLGGLGSNSKPLVDIKGLNMFENIALMDEELASDDVFSPCSCCYSELAKDESGAKVVAASSNSLDMAQKIKLHQSSIGLSMSNGHVQMDIAAHLTSLYMGAKRQEYITGTTNTDPSSSSRAARCGKGRSSLTSTCTFASPIKIGSSRGLFVSIGAPIPEAIQLISFLE >A07p003270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3380571:3380819:-1 gene:A07p003270.1_BraROA transcript:A07p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSISTSRSSNSSWTAKQDKQFEVALATYDKDTPDRWQNVARAVGGKSAEEVKRHYELLIRDVNDIESGRYPQPRYRNTN >A02g503750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12452934:12453161:1 gene:A02g503750.1_BraROA transcript:A02g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVEGVVEEAVDTVVEAVVVVEAMVEDVSMDTMVVVSGGGCRIFIHKTLNIRPHPQDLHPQNLDSCVHIFPCP >A04g503290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7244923:7245627:1 gene:A04g503290.1_BraROA transcript:A04g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIFDQERRQAIPWILWTIWKNRNNLLYAETQESPSLLVQRTLEEASLWNELNKAESIGGQLQADMGISKQWIPPAQGMIKCNIHASWRSDKQVIGAAWITRNHRGDVCMHARDALVPTPDKLAAEMECLLWVLRGLRDLRMEEVSIGTESQKLIDAVKNPARWPRYRCLLRQIEAVCLEFVVIEFEVESQESNKVVRKISTSVLRDGRLRSYLAMGGPAWLHNLIQTEVIG >A05p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2441363:2443262:-1 gene:A05p006190.1_BraROA transcript:A05p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFLHLSKTFTPSPLPRQTTNQCFGLHNAPLATTISRRPRRIVAQQSFKPNDRNKGKVTLKGNKENIWSVDNEMAENEKRKRKPKGRRRGKRLGAGGRKGRVLVSGTMLIETETVLQTQEPVIKPVWRTFASSVSGIWKGVGAVFSPITAEMEPLEIGKKNESLYDCYTLSRIEGSPSSEIQRKINWVTFNPHGEFFQNDDVLVDETGLLPKFESFNLKASDVMEEDSMGDEPGLVYFEDGSYSRGPVAIPVGEMSESNYYLTPTFKFEQCLVKGCHKRLRVVHTIEFANGGADIQIMRVGVYEEQWVSPSNYEDQSNNDAPLELKPFSQRKRTQPSELTGSWKVFEVSATPIYGEEDLDQSGETTPYVYLCTEALKRRNLPESSVSFGDEEMVDMQDVSVMWLPGGVTAYVDVKKDGVLCVGIGWYSDEGINLVMERDYGLDGNLKEVRSNTEVKRRWTEEPK >A03p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21622506:21624747:1 gene:A03p050350.1_BraROA transcript:A03p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFCGFCGVLSVNLIMDLNGECKGGGGGDGFIDRSRVRILLCDNDPNSLGEVFTLLSQCSYQVTSVKSARQVIDALNAEGPDIDIILAEIDLPMAKGMKMLRYITRDKDLRRIPVIMMSRQDEVPVVVKCLKLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNMLSYEFDLVGSDPSDPNTNSTNLFSDDTDERSIRSTNPQRGSHQEKEWPVPTGSVCAGDGAADGTATSTPPVAIIEPPLNHLPEPHHEPTKRNTNPVPKKSRLKIGESSAFFTYVKSTVNGNGSVHPGMAEKLQAVASEVINNAKQTRGGRETEKNKAQGENFVNGTLERSRTLPTPMELHGSRSYQEVPNSIERSRTLPPPMELHGTRSCYQEGSMDDARVAAAKDSSQFPAQNAYPYYMHGVMNQVMMQSAAMMPQYGHHQHPHCPPNHLNGMTGFPYYHHHHQMNTSLQNGHVPLQNGQMPPMVHHHHSWPQVGNHPSPNEVRVTKLDRREEALLKFRRKRNQRCFDKKIRYVNRKKLAERRPRVKGQFVRKMNGVNVDLNGQPEPDSADYDDEEEEDEEEEENRDSSPQDDALGT >A09g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22634410:22640458:-1 gene:A09g507920.1_BraROA transcript:A09g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLRSDRAVCMLGRRVSIELGLSVVRLPYSSLSAAELDTCLFPSYNRISEDSRIMAKRKILGSRIRVFDTMPRDVKDQCAGFRARPSFTPGFRGFSAYTTCMVGIEHLSGDRKCWTKISDFFYSAIILVSDASATSRDAEDLLFFRMPRFGLEMFAEVDLANHREESAPFNVHDATSILEFSSSQMFSMLFRDLLGTTETERNALKQETFRSRFEGEYKAGGRYVATDSLTGRYVASGSKPRSVLLVFVVKSQRKLRLRRNKKRFDEDSKDNPKEELSEALQVATLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKQDLSEALQRPSIVRTRSLRSDRSVCVLGRYVATKQHVRARSLRRDRAVCVLGRYVVTEQRVLARSLRSDRAVCVLGRYVATEQHRPSRVRARSLPSDRAVCVLGRYVATEQCACSWFLGEQVLSFQNVFGKRVLVKPLCIDISFVRKRNRGHVLVLFLWRKVATKFSILLNNAAFAGPGSLREPTTIRVRALRSLQEIIRALAAKAVSDLKFLSAENSESKKFSPCLSPRTPYILAPRSVYAFTLLPLHRHSIKWRYSIFSDLRIYPQNFVFIRGNLTFILPCAPSVNRHTVYGLLVKKSMVGRHELSSLRSSGDSIIG >A05p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5222806:5224664:-1 gene:A05p012020.1_BraROA transcript:A05p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSVRSKHVRPNRKTRSTKPQFDPSCLLNKTALSTLLDSNPSGNFEDNGYGYCTEEQLEDLLLKHLEHIYNEAVSKLVSLGYDDDVALRAVLSNGYCYGGTDVLNNILDNSLAHLKGSSNGEEDEDGSEPVFADLRQLVEYSLAGMVYLLKQVKPNLSRGDAMCCLLMSELHVGKASTIDIPTSGKVDADDGAREIDCPKRFNLTPSMKCLLRENVAAFAAGYRASKKCEQPPQESVSSVLEKFQDLNLDDDSAPEKGKDDALIGLLRQVQDLKRQVEERREWAQKKAMQAAQKVSDELSELQSLRSVRDENLRLKKGKQSGDDSTVKRISEMESDLRKVSSHVDKAGMIARRLENENAVIRAEIEASKLSESESLTACIEATKKEKKRLKRLVAWEKQKKKLQEEIDGEKEKIKALERGLAQIKQEEKEYEEKWREEQKAKEQALAQVEEEQRSKEATEVRNKRNVESLRLKIEIDFQRHKDDLQRLEQELSRLNKDSSTDASLLPNNISQTKGEVVFKLLEELERLDGLYEKEESYDRECLICMKEEVSVVFLPCAHQVVCASCSESFMGGDKATCPCCRASVQERIRVFGATS >A01p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9168508:9169891:1 gene:A01p018860.1_BraROA transcript:A01p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EFFECTOR OF TRANSCRIPTION 1 [Source:Projected from Arabidopsis thaliana (AT4G26170) UniProtKB/Swiss-Prot;Acc:F4JU69] MYKRDDYVRNKPDGVFSRWQGFARSMLLPKPFSETAELRRTVADYSLISRGLAPKILTGAKGNREDLRVGKDFVGSRYRVQESIQGLGVAVNIHEADDISHGQTESIRTRLRSYGRPVPLLKKLGDNASHTITQKKTGSRSKDKKHGFEEERDVSCVEPEENNNTNSVHDDIVDGSDSASVCGVLQEDGTTCLTAPATGRKRCTQHKGQRITCAPPVKKPPCEEETEKICGVILPEMVRCRSKPVSGRKRCEDHKGMRVNAFFFLLNQTERDKILKEDKSKPETRTSSMNQEEPGESHSCEATTKNGLPCTRSAPKGTRRCWQHKDEALDHKSSENVKSTTVVCGVKLYTGSVCEKPPVKGRKRCQEHKGMRITS >A05p048570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28421190:28421624:-1 gene:A05p048570.1_BraROA transcript:A05p048570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYALSPFQQKIMTGLWKDLPEKIHHKVSENWISATLLVTPVLGTYWYAQQFQEQEKLEHRF >A07p049160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26150274:26152290:-1 gene:A07p049160.1_BraROA transcript:A07p049160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRLLSALDVARIQLYHFKAIIIAGMSLFTDAYDLFCIAPVLKMLGEIYYHEDSIGTPILSIFYAIALLGAALGQLLVGYLGDRLGRRRVYGLCLMIMVLSSFGCGFSVCTTRRSCVMASLGFFRFALGLGIGGDYPLSATIMSEFANKRSRGTFISAVFSMQGLGILMSSAVTMSVCSAFKNAGERSSEKTRAEESDIAWRLILMIGAIPAALTFYWRMRMPETARYTALVEKNAFQAEKDMQKVMSVCKVSQVEEDLPEEPPCSSSSSYKLFSRRFFSLHGRDLFAASANWFLVDVVFYTSNLLLSQIVNLSDKPASISNVYDSAFEAAKVAAIVAACSTIPGYWFTVYFIDRVGRVKIQIMGFFFMAVVYLAAGIPYSWYRSDHGYTSNKGFVVLYGLIFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKLGAIVGTVGFLWGTKRDEEHKDVFPDVKRVRIAFLILGGVCIAGVLVTYFCTRETMGRSLEENEEDEIITRMSSADELLPRRQ >A08g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4127845:4128235:-1 gene:A08g501660.1_BraROA transcript:A08g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A07p051280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27241048:27245176:-1 gene:A07p051280.1_BraROA transcript:A07p051280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNRRKDKAIPPPQLPPEVREEEIEFSDEDVKYVEENKDYARFVSRIDTTAINRQCVGEAKTVEDKYEEERSKKNVQQEEKGSNEIQVDPVDVLPVKTLDGKLHFRTVTKKSKLAAADPEEAEEEDVLEDEHILNKSQRRAKAKKSKKEAKKQEKEVPEEILQEEETPQAAVLAEVKEELSAEESFENKKNRLAELGMLLLSDPDANIRSLKEMLDISKDENAKIVKLCLLSVLAVFKDIIPGYRIRLPTDKELEMKVSKEVKKTRFYESTLLKAYKSYLQKLMAFERQPVYNQVANRCICTLLDAKPHFNFRDNLLTAVVRNISSPDEVVRRLCCSTIRSLFSNEGKHGGELTVQAVRLIADQVKSQNCQLHPNSIEVFMSIRFDEDIGKRDREEANKKKFKKNDKRNNQEEQNQVQENERKKSKREMMSKIRDEVNADYRGVTYEPDAMERRKMQTETLSAVFETYFRILRKTMFSIGESSTEEDTTLNPGAFGPHPLLAPCLDGLGKFTQQLDLDYIGDLMNYLKKLASSSSVSTTKKKNSKLLTVSERLRCCLVAFKVMRSNLNALNVDLQDFFVQLYNLLLEYRPGRDSGEVLAESLKIMLCDDRHQDMQKAAAFVKRLATFALCFGCAESMSALVTLKNLLQRNVKCRNLLENDVGGGSVSGSIAKYQPYATDPNLSGAFASVLWELNLLTKHYHPAISTMAGTISNMNTSQNQTFLSAVTPQQAFADYSLLKESFEPKSESRKLNNKRKRESGGEEGKDVPETDIVELKKKLKENFTILRGIKEDERVIMEFEKKKQSNLAKKKPTKKLSTVAKKKPTKSPKSKKRI >A03p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:440875:441747:1 gene:A03p000740.1_BraROA transcript:A03p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFYKDCGTKGRMDTNDSLRVASLWHSMHAISQQLSPVNGCSGIELLQADTFDLHCFQSLPGTKFFVVCEPGTPHMESLLRYVYELYTDYVLKNPFYEIEMPIRCELFDINLTQAVQSDRVALLGR >A07g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3198920:3201925:-1 gene:A07g501590.1_BraROA transcript:A07g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCSVPPGFRFHPTDEELVGYYVRKKVASQKIDLDVIRDIDLYKIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMTGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKSDWIIHEYRLESDENAPPQEEGWVVCRAFKKRATGQTKNTETWSSSYFYDEAVSSGVNSIIDPTEYISNQKHDIFGKGFTCKQEIEGMVDDLNYMQSYHQFIQLPQLQSPSLPVMKRPSSSMSISSMDNNSNYIISLDDEASFESVISRENKRKNKKKQVKMIGDWRELDKFVASQLMSQDNGTSDYVGHHINHEDMEMDSSLLLNERDEENRFVSELLNSNTDYDSGIAYLMNETI >A08p005020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2926338:2926775:1 gene:A08p005020.1_BraROA transcript:A08p005020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMDEYKAKSKLSESSTSTVWLAKHMLTGEEAVMKCFDLSKLNHNLRICLENELDFLSSVDHPNIIRLLQVLQEKDLLIMILEYCDGGTLSSYIKRHGRVQQHIARRFMKQIGAGLEISPE >A07p051910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27487255:27491427:-1 gene:A07p051910.1_BraROA transcript:A07p051910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASSLLFPNQWRLLASPPQLYLPAFIFSTASSTPKSPTFWSPTLRQCRNLQTAVSPVVTSSYLPTSYITQKQIETPTSPEKKGPPMQESLGAFQKLPMVMPSIDLYSSALRKSKRVQPTKGIANIAKRERNRGAKQLDALMKELALPLKGYMESFPRRRLLHPYERSLIELTLGDGNYEEVLGKVDALRKKVQSVGKEHASLCAKALSKREAEDRLSEGVEKLELVFQQQGIAVDELLSIAKVLRAMPVVDLEMPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHVILNYQRFQVTDTPGLLRRCDEDRNNLEKLTLAVLTHLPTAVLYVHDLTGECGTSPSDQFRIYKEIKERFEDYLWIDVVSKCDLLVGGSPVIYAKEDISNDEAEIIRYRETGPDGSIHVSVKTEQGLSELKSRVKEVLSTEMEKIKSEVRVDPTISKEGIYLSRFALCTPRFSLSRVTSKKKNKNKMDAVHELQMKINDYERKRMHYERKISRLEDDLFQRNQEIIRAKFTILQALPELNTPEKGPLVDIVRVPGYLNPKMFEAACLNNPSDGREKETMRKDRAILDAETLCNEWRSKTSNGVWELYIEGPEEGEEEDDWVQVEAQDLLDLKEKYGDELYKAIKIAWTESQESTRTGVHLKPWDYDAGREKTLTELLVPLREQIQFLVMKTSEKGN >A09p048190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43033184:43034250:-1 gene:A09p048190.1_BraROA transcript:A09p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFIVFLASALCFTTLLHLVAADADDFDRFNITGSVYCDTCRVQFVTRLSKFLEGAKVKLECKSRVNQTVTLTKEAVTDKDGKYQMEVMGDHEEELCEIILVQSPDAECGEVNNEEFLRNAARISLTANDGIVSNEIRTINPLGFMRKTPLADCPQVFKELGIVPDVIF >A09p026230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14749599:14750915:-1 gene:A09p026230.1_BraROA transcript:A09p026230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRMQPVASLLLLLNFCMYAIVLGIGAWSMNKAISHGFHIGADYSLPAHFSPIYFPMGNAATGFFVMFALIAGVAGAASVITGVSHIQSSTTASLPAAVSAATIAWSLTVLAMGFGCKEIELGMRNARLRTMEAFLIILSATQLIYIAAIYVTRK >A03p039520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16448910:16449552:1 gene:A03p039520.1_BraROA transcript:A03p039520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEFSLQQTEYKNRTTNNLKTSAFRRWKCNKNLTLHGKTVSTTSMMQQATAKWSTEVIISI >A02p057300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34451070:34458070:1 gene:A02p057300.1_BraROA transcript:A02p057300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMEDSSKIMRRSIHTFLQNYHHATTAAAIALPFSAALLLSQAFFSSSSSSSFHLRLNTHFHGAGFTSSLDFFNIEDEYTIKIQICGTDDIKICIKGPKCLLAKMASEEQWFKGRVKAVTSGDCLVITALAQSRPGPPPEKTITLSSLMAPKLARRGGIDEPFAWESREFLRKLCIGKEITFKVDYKVEAIGREFGSVYLGSENLAKLVVQNGWAKVREPGQQNKDKVSPYVAELLQVEEMAKQEGLGRWSKVPGAAEASVRNLPPSAVGDSGNFDAMGLLAASKGKPMEAIVEQVRDGSTIRVYLLPEFQFVQVFVAGLQAPSMGRRSTQETVVEPDVTSAPNEDASAEPRGPLTSAQRLAASAVSSVEVSSDPFAMEAKYFTELRVLNRDVRIVLEGVDKFNNLIGSVYYSVGETVKDLGLELVENGLAKYVEWSANMMEEGAKKKFKAAELQCKKNRVKMWSNYVPPASNSKAIHDQNFTGKVVEVVSGDCLVVADDSVPFGSPMAERRVCLSSIRSPKIGNPRREEKPAPYAREAKEFLRQKLIGKQVNVQMEYQRKISPADGATTSGAGDSRVMDFGSVFLPSATKGDAAAETPGVNIAELIIARGLGTVVRHRDFEERSNHYEALLAAEARAIAGKKGIQSAKDSPAMHVTDLTVASAKKAKDFLPSLHRSRRISAVVEYVLSGHRFKLYIPKETCSIAFAFSGVRCPGRGEPYSEEAIALMRRKIMQRDVEIEIETVDRTGTFLGSMWEGKTNAATFLLEAGVAKMQTGFGADRIPEAHLLELAERSAKNQKLKIWENYVEGEEVVNGGGSKVETRQKETLKVVVTEVLGGGKFYVQTVGDQKVASIQNQLASLSLKDAPIVGSFNPKKGDIVLAQFSLDNSWNRAMIVNAPRGAVQSPEDKLEVFYIDYGNQETVPYSAIRPVEASVSSAPGLAQLCRLAYLKVPSLEEDFGPEAGEYLHSVTLGSGKEFKAVVEERDTSGGKVKGQGTGTELAVTLIAVDDEISVNAAMLQEGIARMEKRKRWEHKDKKAALDALEKYQEEARKSRTGIWQYGDIQSDDEDSVPVRKPGRG >A02p040100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25371675:25373018:1 gene:A02p040100.1_BraROA transcript:A02p040100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVQDPRLNYGLFSKEFAKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNGIHEVFMIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETEVEK >A03p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6139508:6142450:1 gene:A03p015590.1_BraROA transcript:A03p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENETRSGSLQNSSSSRKEWRAVSDSHNFGNATDYVNGREAAGGDLDYYSITVDDGEVLEQIRALSRQQGELQQQEVELRARVLAMEIQRSFESRSAEYENAAARMQEQLRENDRSIREMERKLEEKERELHAVKLDNEAAWEKEGLLREQNKELATLRRERDHSEAEMSQSLHKISELQEHVQEKERQFAELQEQNRIAQETIMYKDEQLREAQGWIARAQEMDALQSSTNHSLQAELRERTEQYNQLWLGCQRQFAEMERMHLHTVQQLQHELANVKEGGGSKTNPNGASQIIQNSGNQIKNIQAGQVNPLHSFAMHQQELLQPRGPPPHVPESVLLQQKAVPASAEMPRQNYVHPSQVVHGLVSSDEKSEHQVPTNGQSLDQGYLDVQTSHGAQFGPTTPSSSVNEQVVESGNGSNASENNFQDISSQFRDALRLDSVAINQKPEEINGQVSPGEPNGVARETLVSSGKTERNLESALLDERSLLACIVRTIPAGGRIRISSTLPNRLGKMLAPLHWHDYRKKYGKLEDFVASHLELFMIEDDYIQVREGAQKMVAGSASAAAGKVAVSSSPSSMYVAMTPMAQSQGLKKNVQRGRQSSDFMAPQQRKL >A09g510160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29572266:29576504:-1 gene:A09g510160.1_BraROA transcript:A09g510160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSFQSEFPLRFYDENKWDSSRLPSTRYSNRDDILTRYSDLSGLKGVLLCILRQKQVNLILNSLACFCSPYLHLCVHFRISIETSLVSPRLKLPLRLYDIKKKKKPQRPIFSHGFRLISVKISITVFTKSNLRKEIFTKSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRASVSLGRYVATEPEPSSRPSDRPARSLCSDRAIVPLSRYVATELEPKLGRYVATERSSRSVATDRARAKAWSLRSDRAIVPLGRYVATELSQARSLRSDRALVPLGRYEATGLEQKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPCFPPF >A03g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7444732:7445739:1 gene:A03g502340.1_BraROA transcript:A03g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLPKRRCTIGFTWTEKMVTNLGEVPIRSKKKFVSDKLYGALYSKILLPCHEFFQGKLNFKASVFLCFHHVTLILEHDGLNGSNGGRRRCRTFLGFERRRRYLINKETTKKGIMLMLTKTVTNPQVEMVPLLQTALRLWRSGNMMKDDYGYDDKEEP >A01g510530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28997702:28998259:1 gene:A01g510530.1_BraROA transcript:A01g510530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSESSFILGRKRLRHRRRTPLANPSSPSSPPPLISHCPTSSLFDSLSKTNATKHSQVCDLRSSLLQSDLSVRVARKSVQCFQRLFLLIREMTWQL >A09p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45800783:45802433:1 gene:A09p052220.1_BraROA transcript:A09p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHGGITPAPADEEEQVWSWGAGTDGQLGTAKLKDEHIPQLLSLTSLASISMLACGGAHVIALTSGGKVLTWGRGNSGQLGHGDMLDSSLPKPVSFFDDYFVTQASAGWSHSGFVSDSGCLFTCGNGSFGQLGHGDNMSLCSPAKVSYFADKSVKMVACGMRHSLVLFAGNQVCGFGSGKRGQLGFSSDKTKSLVNLPCLVSGLEDVEIVRIAANGDHSAAISANGHMFSWGRGFCGSPDVQTPHCFHSSLSFRDVALGWNHALLLTADGEVSKLGNTLNKQFKKQQVQVDSSEPLLEKVAGFDGVKVVQIAAGAEHSAAITESGEVQTWGWGEHGQLGLGNTNDHNNPQLVSLGSIDQRTQETKVYCGSGFTYVVSRIQKLSSS >A08p042430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23793105:23796778:1 gene:A08p042430.1_BraROA transcript:A08p042430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSLPPSTSTTGLAFPSLVAGGVKPEAALVMDWSVEEQYVLENGLAKLKDEPKISKYVKIAAALPDKTVRDVALRCRWMTNYLAVSSIAFSQWDVVIMEVMILGIFLSLFKKAYAEYDGISTMMQLCICALRLYISISKCVGLRRAYLLSQRKRRKREENSAGKNITNRKVVDTSPELNMLASLPQQNALYAMNNNYHSTHMPFEGISDAVLDLLQQNAQAFSQISYNLSAFKLQDNISLFYQARNNISAILTDMKEMPGIMSRMPPLPVSINDDLASRLLPTNIVHHATKHPHEARAEKLMEERWIFTDAKENSTSTWLSGNVSVMIDMAQTHEGLSTADTAPEQRRDLTLGTGITVELSGSLTEKNELQAKLYVVAVVVSVTVLIFFVLGQVAEARMMNICFHMAYPSLCQPLVKRITNPRRATHRTIQALEANTKLALADAARFKKGNQAIATRRLVMQFIIWGMQEKA >A09p031970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19372008:19373122:1 gene:A09p031970.1_BraROA transcript:A09p031970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease ATP-binding subunit CLPT2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12060) UniProtKB/Swiss-Prot;Acc:Q8GW78] MAAQSFTLANLRISQIDSFYSQKPSSPIHFFSTRNPVKSLNLTNPWMAGDSSLSLDSSASVLRTNSTTRRKIPIAVVASLPTANPESAVSDAKKPKWSWRGIKSFAMGELEARKLKYPNTGTESLLMGILIEGTSFTSKFLRAHKITLYKVREETIKLLGKADLYSFSPEHPPLTHDAQRALDSAVNQNLKAGGAGEIMPAHILLGVWSEVESPGHKILATLGFTDEKAKELESFASESGFLDE >A09p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19051337:19054504:-1 gene:A09p031520.1_BraROA transcript:A09p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEERRVVCRENQGLADHVLMKKREYADKPKGLSENLERTFLKAYRNVCDSKDPITTLKDLSHIKGFGKWMLKMTKGYFDNGAETSEQEDSAGNKGKGRKRYTPQRNSVAYALLITLHRETANGKEFMRKAELIDAAEASGLSHAPVGPEKGKGKAGLGNSKREWYSGWSCMTTLIHKGLVVKSSNPAKYMLTDEGREVANDCITRSGLPTLDILSDDDNETANENFISSCTIREQQTAIPSDILEKFTPFGYSKEQVVAAFREVSDGSQDKDPSTLWLSVMCHLRQAEVYNPCPDSRNRRKDSAGPSRPQNCRVFSNHMILIAVSVEGQVDLDGTHAKKARSSNDEYTLKPSDPLQACSSSLPSDTNIRGLPPLTFGEAFEEAYQVILILDDREQFATKGSRSRKIVDYICSEFKIKIEVRRLPVGDCIWIARHKCLGTEYVLDFIVERKNIDDLRSSIRDNRYRDQKLRLQRSGFKKLIYVVEGDPNQSDAAESIKTACFTTEILEGFDVLRTSGLGETLRKYGHLTKSIHQYYKSLVNDDDGQNKVAAYPCFDGFVKRCQDLDKMTISDVFAIQLMQVPQVTEEIAIAVLDMYPTLVSLASAYSQLDGNVSAQEEMLRNRSNNVISATASKNIFKLVWAE >A07p043360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23737935:23738734:1 gene:A07p043360.1_BraROA transcript:A07p043360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVSSPDQRYSVTADLPSRRPWTPRFESHISPYKVNIPLIVEIKNKNQWKSRLNSLKDTNKLLVIEFTAKWCGPCKSLEPKVEELAAKYTDVEFVKIDVDVLMSVWMEYNLHTLPAIVFMKRGQEVDRVVGVKFDELERKLHKYAQSFF >A06p022850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12007756:12008715:1 gene:A06p022850.1_BraROA transcript:A06p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin TIP5-1 [Source:Projected from Arabidopsis thaliana (AT3G47440) UniProtKB/Swiss-Prot;Acc:Q9STX9] MRRMIPTTFSSKFQGAVSMNALRCYVSEFISTFFFVLAAVGSVMASRKLTAGDVTGPFSVLLPAIANAFALSSSVYISWNVSGGHVNPAVTFGMAVAGRISVPTAMFYWTSQMIASVMACLVLKVTVVEQHVPIYKIAGEMTGFGASVLEGVLAFVLVYTVFTANDPRRGLPLAVGPIFIGFVAGANVLAAGPFSGGAMNPACAFGSAMIYGSFKNQAVYWVGPLLGGATAALVYDNMVVVPAAEDDRGSSTGDATGV >A08p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19340104:19341454:-1 gene:A08p031700.1_BraROA transcript:A08p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1A [Source:Projected from Arabidopsis thaliana (AT4G39220) UniProtKB/Swiss-Prot;Acc:O48670] MHKRLGSNQIKKNKTRIKIHLLHFACCVFRQICSFFWISISSSSLVMCSYCLLLIRLLYGFCFIQHQMDGGGGDSGSVATPVQQKAHEAWRIYQHYLDKTTPHATYRWIGTLVVALAYCLRVYYIQGFYIIAYGLGIYLLNLLIGFLSPLVDPEAAGGSDGPSLPTRGSDEFKPFIRRLPEFKYSMTKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFVLTMRRQISHMIKYKYIPFSFGKQKYGGRSTSGPRAD >A01p042560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25433737:25434955:1 gene:A01p042560.1_BraROA transcript:A01p042560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVNGATLLALLCFHVFAVNVTARNGVSSSKDEEEKTLIGGGKGGGFGGGFGGGSGGGIGAGGGFGGGGGAGGGGGGFGGGQGGGVGVGGGFGKGGGVGGGFGGGHGGGAKGSERGGGVGGGAGGGIGKGGGLGGGIGKGGRVGGGIGKGGGVGGGIGKGGGIGGGIGKGGGVGGGIGKGGGIGGGIGKGGGVGGGIGKGGEVGGGIGKGGGIGGGIGKGGGVGGGIGKGGGVGGGFGKGGGVGGGIGKGGGIGGGIGKGGGIGKGGGIRGGIGKGGGIGDGGFGKGGGIGKGGGIGGGIGKGGGIGGGIGKGGGIGGGGGFGGGGGFGKGGGIGGGIGKGGGFGGGGGFGKGGGFGGGGGFGKGGGFGGGGFGGGGGGGGGGGGGIGHH >A08p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12729149:12729562:-1 gene:A08p018480.1_BraROA transcript:A08p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKLYLSFFILIIFVTTDLSHAEIVVAIINDFGSTVQFHCRSKDIDLGNQRLQPGGSWSFHFQRNFFGRSLFFCSFDLPNGKRLWFQKYLWRIKPRGPCKHSNDDGWSGILLDNCFSWNKN >A05p022540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10827972:10839479:1 gene:A05p022540.1_BraROA transcript:A05p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSTLPPLIKALEIYTVVDVTQLGTDNDEVSAMMSIKKTYGLSKKLSWQGDPCAPQLFLWEGVNCSYPDSEPSLITSLNLKESGLTGTITSDISKLTQLKELDLSSNDLSGDIPAFFADMKLLKLINLSGNPKLNLTVPESLQERVNSKSLTLILGDTLNPTTLGGKTKKVPVLAIAVPVAGVFALVVILAIFFIVRKKRPRSNAAPRPPSVTTSLGKSETRPSNPSIITKDRRITYPEVLRMTNNFQRVLGKGGFGTVYHGNLDDAQVAVKMLSHSSAQGYKEFKAEVELLLRVHHRHLVGLVGYCDDGDNLALIYEYMANGDLRENMSGKRGGNVLTWENRMQIAVEAAQGLEYLHNGCRPPMVHRDVKTTNILLNERSGAKLADFGLSRSFPIDGECHVSTVVAGTPGYLDPEYYRTNWLSEKSDVYSFGVVLLEIVTNQPVIDKTRERPHINEWVGFMLTKGDIRSIVDPKLMGDYDTNCAWKIVELALGCVNPSSNQRPTMAQVVMELNECVALEIVRRQGSQEMYSMGFINVDCGLPLRESPYNSLPTGLAYISDADLVKSGKTSRIAKEFEPDYTKPILKLRYFPDGVRNCYHLNVTRDTKYLIKATFVYGNYDGLNVDPNFDLYLGPNIWTTVSSNDTMEEIIHVTQTDSLQVCLVKTGISIPFINVLELRPLKKDMYVTQSGSLKYEFRRYLSNSDRTRMMFMIVNGTRCSWRTHGHK >A08p007720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5111123:5111866:1 gene:A08p007720.1_BraROA transcript:A08p007720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQIRVVKIIVIGVILWGVSFILTRRIFSSYSFDFSNRLLSTVHATVAVTLATLSVQDWSCPVCPRASKPSPQQFDQVISMDNAVHHFVSILGFVAGFAYQKSGSEIIATLWIAEISSPFYHLREILKEIGYRDTSVNLAADVSICYTFNFVFLPFLNDKLL >A05p021090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9993926:9994531:1 gene:A05p021090.1_BraROA transcript:A05p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKLYHKINYHAHHNTFRINVIVLGGEDILTYKTDWVDQEFIDCAYESLEYFLINESGIRINDDDLDYLRERMYYLIEEVICCTFGYALEVWFELDDDDDAQMLEAAQLSFDETSNITPRPVGKLVVESLTRRTYMTKTEKEENNKIDVEGCTICLQEFSNGATVVTLPCAHDFDDECIVKWFEINILCPVCRFELPSE >A03g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4153347:4155550:1 gene:A03g501410.1_BraROA transcript:A03g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTRGIKLKYNTEGEKIEISEKAFEGMSNLRFLKVYGYRDALQLTRGLNYISHKLRFLEWNYFPMTCLPSILNLEFLVELIMHTSKLEKLWEGTKPLPCLKWMNLRYSENLKELPDLSTATNLELDLRNCSSLIKLPSLNGNSLEILYIGRCSSLVEFPSFIENAVSLRKLDLTSYPNLLELPSYVGNATNLDELYLTNCLHLVELPLSLGNLQKLKKLSLKGCSKLEVFPTNINLESLEKLDLAGCSSLDLGGCSTIGNVPSLRMLKLRSLPQLLDLPSFIGNAINLDYLDLSGCSNLVELPVFIGNLQKLKILVLAGCSKLEFLPTNINLESLIFLSLSDCSMLKCFPQISTNIRDLDLTGTAIEQVPPSIRSWPRLHTLHMSYFENLKEFPHALERITSLCLTDTEIQELPPWVKKISRLIEFVLKGCRKLVSIPPILDSICYLDASDCESLEILECSFHNKIITLNFANCFKLNQEARDLIIQNSVEAVLPGGQVPAYFTHQATGGGPLSIKLNEKPLPKSLRFKACILLVDKGDHDACSKEKSTQVFAMYKNDRHMLCPALAQHLYTFEVEKEVTSSELLFEFKLESDDVWKIGECGIVQHLECISMMQMERSSNTQNGDGHVATLGSGLGLGGKPTRPVVRP >A10p007030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10037146:10039002:-1 gene:A10p007030.1_BraROA transcript:A10p007030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACLSAQVKAESPGASPKFKDTGSLGSKGSSVSVRPTPRTEGEILQSPNLKSFSFAELKSATRNFRPDSVLGEGGFGCVFKGWIDEKSLTATKPGTGLVIAVKKLNQDGWQGHQEWLAEVNYLGQFSHGHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGLYFQPLSWKLRLKVALGAAKGLAFLHSSETRVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPIGDESHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLELLSGRRAMDRNRPSGERNLVEWAKPYLANKRKIFRVVDNRLQDQYSMEEACKVATLSLRCLTTEIKLRPDMKEVVSQLEHIQSLNASRGGNVDRTERRVRRRSDSVVTKNLDAGFVRQTAVGCTVVAYPRPSASPLYV >A02g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20732964:20734323:-1 gene:A02g507320.1_BraROA transcript:A02g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLISLSTIYTSICVNLLRCGVSPSIKKYALLPSKPSSISLKLYYLHLQHLLEWTMIYINNFELMRNRDYRLKPKLSIFSAVPKPRFFFLLQIGVICGVDHEDLKSINIISKSKEEPPIKYEKNSLEEVGKKFKKNSVALRIVNF >A02p008820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3639062:3641916:1 gene:A02p008820.1_BraROA transcript:A02p008820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGESHDTTPPTQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLEIFKDKQQKSQEAGTIPSFYKKKPEEGSISGRVQRLAKYRFLKKQSDLLLNSDDLAAMWNCLRENCVIDDGTGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDEAGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQSHEMESYILGLIPNLAQLRDMPEPFTQMYCRIASQKFFFFCDPHRRGKACIKKILLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDCSGSLSKQELKEYADGTLTEIFIERVFDEHVRRGKSGSGNSREMDFDSFLDFVLALENKDTQEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPSDPLKITLDDLLGCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPPEEESQ >A07p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11889337:11890227:-1 gene:A07p020030.1_BraROA transcript:A07p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEDWIELKFRLADGTDIGPTKYSPSMTVSSLKEKIISQWPKGLGDDAPLNSFHSFKQALRSSLQ >A01g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14438752:14440148:1 gene:A01g504870.1_BraROA transcript:A01g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRDCIKEMVKFTLTHRSGFDLELTGDFCSGLLSGDSLLHAETVEAFAGVPEYPLYKRLALSLLKSIASGCFCGGFEKVSLGKEVTWLKEKEEEWSNMITQKGSELVNALKYIACELQVQEPLFSLERVQQRGSLVMINKCLLFEVMEMHKYSSFYELLKAESPEKVFPGTNTLEEGMQMFKKLCDVVDQEKKNNGVVAIHLSKSVSQPCVALSHILSVRSSFFHVSTSVVHMIHSLMLLPKTTGVRKARKLTFTDIECELLHVIIVSHYTVFAALFV >A06p022800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12042452:12043942:1 gene:A06p022800.1_BraROA transcript:A06p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWPTTLISRRICNLLIFFVHKNSSLDLDNQHSAMKHGGKDHHRRRNRGALSSSSSSPPKTRPSGSPSRTSGGKVATSATLLDREETGLFPGSGYDDPNPEPRSFPYSVKQQCWEKAEKIKGRDPERWRRDPLGNIVFRKLVGCPGCLCHDYDHIVPYSKNLGGKDHTSIVDIIHQGGKSTLDNCEVLQAKVNRSKGNKTDISRAELIQRSSYCRVAGRDMDLIELTAYGNVQRAPESSGCRMQ >SC206g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:84727:85251:1 gene:SC206g500040.1_BraROA transcript:SC206g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A02g511290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29979459:29979996:1 gene:A02g511290.1_BraROA transcript:A02g511290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRKSQTERDKRRQAHPVKERKQNNLEFVPSLALLCKYSDLDPRILSPYQELEKPTTETTILHHYRSEVQNFSKMRVESIKSRKKGEEPFDNAGNMNSPSG >A01p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3779997:3780304:-1 gene:A01p007790.1_BraROA transcript:A01p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNMEVFCEILIAILLPPLGVCLKRGCCTVEFLICLVLTILGYIPGIIYALYVIVFQNREGETQDYSAPLNSA >A01p001320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:503971:507118:1 gene:A01p001320.1_BraROA transcript:A01p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNGNANGDGGFSFPKGPAMPKITTGAKRGSEICHDDSGPTVKATTIDELHSLQKKRSAPTTPINQSGAAAFAAVSEEERQKIQLQSISASLASLTRESGPKVVRGDPAEKKADGSTTPAYAHGQHHSIFSPDLGAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSNGALATLSGAKTGRAPRDKRVVRDATTEGELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLEKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDLNLSRREMVILGTQYAGEMKKGLFSVMHYLMPKRRILSLHSGCNMGKEGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWTETGVSNIEGGCYAKCVDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYSDKSVTENTRAAYPIEFIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMKTQGATGWLVNTGWSGGSYGVGNRIKLAYTRKIIDAIHSGSLLKANYKKTEIFGFEIPTEIEGIPSEILDPINSWSDKKAHKETLLKLGGLFKKNFETFANHKIGVDGKLTEEILAAGPIF >A05g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20509234:20518690:1 gene:A05g507230.1_BraROA transcript:A05g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDISHGYMAFYPCYRSPRHALFSPPGIQSIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRARQVHRDKNPNDPQAAKNFQVINHETKCYLITRGEAYHVLSDPEKRTAYDNYGKEGVQQDAMDPAAVFGMLFGSELFEDYIGQLALASIASLVESHEPEIRKKLLQDKIKNYLRSNSGQETGHGVSRMDRRHNFSILLSFKKNK >A05g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9559470:9562322:-1 gene:A05g503280.1_BraROA transcript:A05g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETAIRTGESSPPLLFRQVSPGPGDSTLQFRLLHFWDARKNVKGGPGILLGIEMLMIDAEGTLAQGFIGQNRRNQYEKELQRGSMYTLTNYYASNNKVMYHVADQRLVICISHASALSKDEKDIEGILRQRFRVRSFTEFEANCDLRGDLHDVVGHLKLVDGQALHERPVLCTNDDSASRKVMVHLQLKDGPVMNVYLWDEAAESFRLKFDGSAVTPTVLLVTTVNPKRLGGKLCLSSMSSSRVFLDEEVDPTKEYLAWLATNPSITSLVNPVEVVKAETLTIGEIAAFLKHQPAQVAYFDCIATIDDVKLGTEWYYIACKDCQTKLNRGPTTLVCPKCRNEDATAVANYRVELSVYDNDEQCTFIILGDAGKDLTGRKATELIDAYVQENGGVAAELEVPVPQCFIDTIGLTKKFRIKVTDYNFTSTKLSFTATKIVSAAELPPKNPPLQTPPITEWRTQSWLRVVVVVLQLLTTRRKQSVPSVVARSHAELLLHQWLVQTAQSG >A03g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24173860:24174327:1 gene:A03g506770.1_BraROA transcript:A03g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLIHFFKGLESHRVKLNRKDGEKRWILILPFTNHPYHPIYTFMLQKIFQRFSFSIYHEDK >A02p047370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29570244:29571243:1 gene:A02p047370.1_BraROA transcript:A02p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGTTGEFFRRRDEWRKHPMLSNQMRHALPGLGIGVGAFCVYLVGEQIYSKLMAPSPSSHQKQPAPLFRS >A07g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14681633:14682800:-1 gene:A07g506200.1_BraROA transcript:A07g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIRISGLKGVHGLGFIGPENKKKSFNGFNWVIHLFGVSPYDTGSSHASNSHWSRVIASKARKIGLRLGFFSVGGDFSCDATAGEFLDRIDVGVSRKRSQMDPTEERRETKSQTELINMQGYVADSEYGIPTRCPCGGRIIDEVWGKDDYDTLLGKRFFTCKNYKADGFHYRQPWVIGVHEHIERLTKRLEEVELAEIKALNREVDNLTGQ >A09g514690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43743662:43746573:-1 gene:A09g514690.1_BraROA transcript:A09g514690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSANLESSGSRLEVVWTFWKSSDKVVWTSRNSSGLLGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFFRSGFNMQVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASIWLFMVVVVLMTMAIL >A09g517700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53212311:53212647:1 gene:A09g517700.1_BraROA transcript:A09g517700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGGGDEEKIDWTHDFNNFIENAHHRQKTEMWLVKEEHDERDEEEKKPVNWFKSL >A10p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1462630:1464711:1 gene:A10p002820.1_BraROA transcript:A10p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKGLAEQDLSKLDVAVLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDSPNCDVPGFENAKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANETCPQPQTSEHLAAVEIMQLKHIIILQNKIDLIQENVAINQHEAIQKFIMNTVADGAPIVPVSAQLKYNIDVVCEYIVKKIPIPKRNFVSPPNMIVIRSFDVNKPGFEVDDIKGGVAGGSILRGVLKVNQLIEIRPGIVVKDERGNPKCTPIYSRIISLYAEQNELQYAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEVNFFLLRRLLGVRTKGSEKQGKVSKLTKGEILMLNIGSMSTGAKVVGVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTIEVPPSPF >A07p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24749293:24749755:1 gene:A07p045630.1_BraROA transcript:A07p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQELQDINKKDQKREFTMKRLQTPVSTSATLILLLLVLYSSNVKGRQILPASMDVASSKAVRDLNINKEMKEESLRGEKDSFRRIPRSGASPIQNRKGPLTYARRSRNQQITATEP >A02g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17323412:17331203:-1 gene:A02g505980.1_BraROA transcript:A02g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELCLHFSSAMNSVCGLRFSIPYLAGQLPCCSPLLLFPFQMPPRRRITRAQTARAVRDDLDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQEAARQAAQEAARIAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQHDHQDPVQQVPLPQVPLQHGPAQQFAHGVQDLPPLPPRPHVYPVYDERFYRLTRQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQFAGEGILEEDLMRKFLNGIRVEIRNRCRVVTYHRLGDLVEKAAEQEAGLAEEQKYSKAVQPKFAGTSEAQQRTWDKPSIQCFYCGKMGHKSRVCRSRLFDAQVAPPVRQIAAPAAPAAAHVCFGCGHPGHFIRDCPRRGNAALPPPLKRLAIAPCAFAVGDPHGAEPISGSLGGIGFKRRPEPSKDYYSRERVKEKKIGTTACCHQESSPEPPHARTSSSSSSPSTSAENRRKPPPLKLCFRPFKPPSCSSRRDEAVATDHAAIGVRTKPLEPPEVSPLCARELYAPPPEIVSAAFAAVSAARPPPHHHRNAVAVAVLRLLSPPSSAVTTELTGLTFDHRIDFSINLDQTRFKPFERRSDSIFRPDFRFGVYLSIWNSQGWDEHVNMDKSQKNRDVTRIVQNE >A06p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26342846:26343492:1 gene:A06p050000.1_BraROA transcript:A06p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQAALVILVFSGLLAFKTTLAAQHVIGGSQGWEQSVDFDSWSSDKSFKVGDQLVFKYSGLHSVVELGSEAAYKSCDLGTPVNSLSSGNDVVKLSKTGTRYFACGTPGHCQSGMKVKVNVVSADSTAVPSPGSDSSSDSDSGSSNGSDSGSSTGSGSRSSSGQGLRASTGYILAVGSLVIGLVWAY >A05p024710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12058236:12062819:-1 gene:A05p024710.1_BraROA transcript:A05p024710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) UniProtKB/Swiss-Prot;Acc:Q9XIA9] MAAAADHVVIVEEGRQATAEHPSAGPVYRCKYAKDGLLDLPADLDSPWQLFSEAVKQYPNEQMLGRRVTVDSKVGPYTWITYREAHDAALRIGSAIRSRGVNPGNCCGIYGSNCPEWIIAMEACMSQGITYVPLYDSLGVNAVEFIINHAEVSLVFVQEKALSSILACRKGCSSNLKTIVSFGEVSTTQKEEAENQCVSLFSWHEFLLMGNSDETTLPRKQKTDICTIMYTSGTTGEPKGVILSNAAIMVEVLSIDKMLQVTDRSCDTSDVFFSYLPLAHCYDQVMEIYFLSRGSSVGYWRGDIRYLMDDVHALKPTVFCGVPRVYDKLYAGVMQKISAGGLIRKKLFDLAYNYKLGNMRKGLSQEEASPRLDRLMFDKIKDALGGRAHMLLSGAAPLPRHVEEFLRIIPASNLSQGYGLTESCGGSFTTLAGVFSMVGTVGVPMPTVEARLVSVPEMGYEAFSGDVARGEICLRGNSMFSGYHKRQDLTDQVVINGWFHTGDIGEWQEDGSMKIIDRKKNIFKLSQGEYVAVENLENTYSRCPLIAQIWIYGNSFESFLVAVVVPERKAIEDWAKLNNQSSPNDFESLCQNLKAQKYFLDELNSTAKQYQLKGFEMLKAVHLEPNLFDIERDLITPTFKLKRPQLLKHYKSIIDQLYTEAKASRA >A05p023160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:11212235:11214262:-1 gene:A05p023160.1_BraROA transcript:A05p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g22410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G22410) UniProtKB/Swiss-Prot;Acc:Q9SJZ3] MNISNASKSKLLLPLIPKLNRSLYTHSHRRTRSLPHHKDKPINHNSTHRLVPHNPFLSLLQKCESLPHLKQIQAQMTITASILDPSDSSRLINFCTLSKSRNFDYCVKLLRGLNNPNAFSWNVTIKGFSESPNPKDAVLIYKEMLRDGGESRPDHFTYPVLFKVCADLELSRLGLMILGHVLKLGLELVSHVHNASIHMLACFGEMGNARKVFDESPVRDVVSWNSLINGYKKMGQAEKAIEVYKEMESEGIDADYVTMIALVSSCAMLGSLKLGREFYGYIIDNGVRMTLPLANTLIDMFSKCGDVHEARMIFDRMEKRTIVSWTTMISGYARSGLLDDARKLFDEMDEKDVVLWNAMIGGLVHAKRAQDALALFQEMQTSSTKPDEITMIHCLSACSQLGALDVGVWIHRYIEKHNLSLNVALGTSLIDMYAKCGNISEARSVFHGMKTRNSLTYTSIIGGLALHGDASAAISYFNEMIDAGIAPDEITFIGLLSACCHAGMIQAGRDYFSQMKSRFNLNPQLKHYSVMVDLLGKAGLLEEAEKLMETMPMEADAAVWGALFFGCKMHRNVVLGEKAAKKLLELDPGDSGIYVLLDKMYGEANMWEDAKKARRMMNERGVEKTPGFSSIKVNGVYFEFIVRDKSRPESEKIYDCLNCLGRHMGSSEVPEMRLL >A03g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27676055:27685804:1 gene:A03g507840.1_BraROA transcript:A03g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNIELAWGCNYTSTMSRTSGANTCHHLYNKERHASPEHPTVTDRDPNREVTADEDGKRPRNSLEPAGIITTTPESTGTLIRTTEATSNDCFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPASDTSTMAKTNKTDMEAKKAAAAKREFELRGKPLEPVEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKMSKGKGIALERDRSKSPTVEELHHHLAKGVSWVPTRFADPRRWRSSESKTMLRQCCST >A07p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17135891:17136962:1 gene:A07p030850.1_BraROA transcript:A07p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNMGPQPGMPMPQAVPQPNPFGNAFSGPGSGLIRSGLGAYGEKIFGSSSEYVQSNITRYFSDPQYYFQVNDQYVRNKLKIVLLPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPLMAFGTYVVLAGLSLGLYGKFSPEALNWLFVKGMVGWFLQVMLLKITLLSLGSGEAPLLDIVAYAGYTFTGLCLAVLGKIIWGYSYYALLPWTCLCSGVFLVKTMKRVLFAEARSYDSSRHHYLLIFVALAQFPLLIWLGNISVNWLF >A05p038040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21682047:21687946:1 gene:A05p038040.1_BraROA transcript:A05p038040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 3, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT3G20440) UniProtKB/Swiss-Prot;Acc:D2WL32] MVSLSNQTSFSFYPNNLFVSDKTRLGIPGINFPRKIKVKVTCFAADQPRQQKQQQKKKKSQSTNSDAESGVDPVGFLTKLGIADRIFAQFLRERHKALKDLKDELLKRHFDLRDLASGFELLGMHRHMEHRVDFIDWGPGARYGAIIGDFNGWSPTENSAREGLFGHDDFGYWFIILEDKLREGEEPEELYFQQYNYVDDYDKGDSGVTAEEVFQRANDEYWEPGEDRFIKNRYEVPAKLYEQLFGPNSPQTLEDLGEIPDAETRYKQYKEEHKNDPPSNLPPCDIIDDGRGKPYDIYNVVTSPEWTKKFYEKSPPIPYWLETRKGRKAWLEKYIPAVPHGSKYRLYFNTPDGPLERVPAWATYVQPEDEGKQAYAIHWEPSPESAYKWKHSKPDKPKSLRIYECHVGISGSEAKISSFEDFTKKVLPHVKRAGYNAIQLIGIPEHKDYYTVGYRVTNFFAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVQSYAAADQMVGLSLFDGSNDCYFHHGKRGHHKHWGTRMFKYGDLDVFHFLISNLNWWITEYQVDGYQFHSLASMIYTHNGFAPFNSGFDDYCNQYVDRDGLMYLILANEILHDLHPDIITIAEDATYYPGLCEPVSQGGLGFDYYVNLSATEMWVSLLDSVPDSEWSMSKIVSTLVANKEYADKMLSYAESHNQSISGGRSFAEILFGGVQNEIKLLDRGVSLHKMIRLLTFTIGGRAYLNFMGNEFGHPERVEFPTQSNKFSFSLANRRWDLLESGIHHQLFSFDKDLMDLDKSKGILSRGLPSIHHVNDANMVISFSRGPFLFIFNFHPSNSYEKYGVGVEEADEAKYGGQGLLKEDQYLQRSISKRIDGQRNCLEVFLPSRTAQVYKLTRILRI >A05p042320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25604025:25604883:-1 gene:A05p042320.1_BraROA transcript:A05p042320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLDFDVDLEKGILDCQNVYTKSPEKPLIPMCPDIKPVETGLLENDASPVQCAVNKPEWGRSERKEKRKKSASKPPRPPRGPSLDAADEKLIREIAELAMLKRARVERMRALKKSREAKAASASSASSLGNVFATILTAIFFFVLVLQGFSPRAAASSGESPFVGKASGGFVPVQYAGNPSASEPGVGYGGPVKAQRIPNLLKPVSGLENEQKMNRVSQ >A04p011200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6839074:6846898:-1 gene:A04p011200.1_BraROA transcript:A04p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRNHRLQPPETQTSRPPDPPDSTSSLSPVQFPPLSSTPPKSRSELRRSHLTLALGDSTKSLTTSPPTKASLPELAPRFGSFTEIESQITIPATGNPCSLVATQTESLSSMEEPPTITTHPTSNPLKVLLPNHNSPLITNRASLYTQTSNHKLPVHSPVQNPDLPTNSNLPSNSLPSIVTPNLPPIFQSPPVAALPTLAEKLRVKGDKTLQRLAPITMAESGRPRVLIPDSVFQKGAELHKDFIICYFNGRPPPFNQIQSVLNHMWGKGRRLEIHNNPLQRSAIVRIQSEFLREKILDKNIWYIGDSMFHTAQWSSEHSSSTPPLSAIKIWVHLTGVPLDLRYQQRLSLVAGLIGDPKETDDFTLNLVSLTLSHVKVEVDLTKPLPTVVELERQSGEVVEVKVDYPWLPPTCSHCHELGHVIRNCLHYSPPKDAPAPATTESIQKQKPKGPESSKKTPAKAQKNKHYVPIKRPPPPSTPPVLPPPITPSNSISLPSSPSAFKPPLFFKSKTFIPPLPSMDSPSDKPPKPSLKRCRSSPSLSPPVPLKPPFQNSNPINPPPPLFPVIGTVQYIENSSFIDEDGRIVLIWKDPAKVCLLNQSKQMITCEVELPNCSPFIYSAIYASNISEERADLWVELLNVQSALALDSKPWMIGGDFNQILRSHEHSSFCHSNHSTQMFQFRDCLLQLGVSDLRFYGPVHTWTNKCDAAPVAKKLDRCLINSEILTTFPNASATFLLPAPSDHSPCLIDLAFQLPKAGTQPFRFLNYLTKHPCFLEVVTDAWSLAGSVSVNLAAATVASLFSEGAWRLPPARTENLLALQIHLTTVNLSGNDDYYEWVVDGRQRHKYNTGEVYAYLRGVRPPVPWAKIVWLGDLVSCSEQSFGGVLSRFVPRGLETAAFLAPVKCAPKFFVLLLFHPSIPEAALVLCNCGNENFVYGVWLPIALSLGNGGSGFVSGSGCSLVTPIVWKDSSQHQDGLLILLVELLPIFLCKLESQVNVAASSSNPYLVSSSSSDGLGCDDEEKVKTLFSPPN >A07p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12351230:12352445:-1 gene:A07p020980.1_BraROA transcript:A07p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B3 [Source:Projected from Arabidopsis thaliana (AT1G20696) TAIR;Acc:AT1G20696] MKGGNSKSDSRNSKLSVNKKPTKASKAAAKDPNKPKRPASAFFVFMEDFRQTYKKEHPDNKSVAAVGKAGGEKWKSLSDSEKAPYVAKADKRKVEYEKTMKAYNKKLEEGPKEDEESDDKSVSEVSEEEDADDGSDEEEDD >A10p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1638518:1640310:1 gene:A10p003230.1_BraROA transcript:A10p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 3 [Source:Projected from Arabidopsis thaliana (AT1G04520) UniProtKB/Swiss-Prot;Acc:Q6NM73] QATQPPKESTQKTQRMGLSISLLFLMSLLFSDLNVIQSATTEYTTLIYKGCAKQQFSDPSGLYSQALSAMFGSLVTQSTKTRFYKTTTGTSQTTITGLFQCRGDLTNHDCYNCVSRLPVLSDKLCGKTIASRVQLSGCYLLYEVAGFSQISGMEMLFKTCGKNNIAGTGFEERRDTAFGVMQNGVVSGHGFYATTYESVYVLGQCEGDVGDSDCSGCVKNALEKAQVECGSAISGQIYLHKCFIAYSYYPNGVPRRSSSSSSSSGSGGSSSSDPSSSTGATGKTVAIIVGGAAGVGFLVICLLFAKNLMKKKHDGTFSLLALIHTCMYKHAYKQTTNTCTCDEE >A02p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31572026:31573376:1 gene:A02p051440.1_BraROA transcript:A02p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLSTCKIQMTTLRLIIITMTMLMMRTGDSMRLDLESGMTKCISDDIKMNYLTVGTYSVVNPNEAIHLPASHKIFVTVASPKGHTQHQAENVESGKFVFTAVEDGDYTTCFIAPVFKPPAKFAVDFEWKSGVEAKDWATIAKRGQINMLEVEVRKLLDVTESIHDEMFLLREREREMQELNRSTNSRMAGLSLFSLVVTLFVAGLQLWHLKSFLERKKLL >A03p027300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11389501:11391571:-1 gene:A03p027300.1_BraROA transcript:A03p027300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFPLLLLLHCNPKTPQPPWRFILTPEQSASPVADGVVIPPILHIISLEMGQSMSCGTRPEHGIFASVQCGDIVTVRRVMTSDPSLLHQTTPYDRHSVLHVAAASGQIEILTLLLERFKNPDVLNRHKQTPLMLAAMYGRISCVKKLAEVGANVLMFDSVNRRTCLHYAAYYGHADCVQAILSAARSSPVAVHWWGLFPNLDQGYARFVNIRDDKGATPLHLAARQRRPECVNVLLDSGSLVCANTSLYGSPGSTPLHLAARSGSIDCVRKLLAWGADRLQRDASGRIPYVVAMKHKHGACGALLNPSSAEPLVWPSPLKFISELNEEAKLLLEQALMDANREREETILKGTAYSLPSPSFSDTASDDNMSEMSDSELCCICFEQVCTIEVKNCGHQMCAQCTLALCCHNKPNPTTSTVTPPVCPFCRSVIVRLVVAQNNNNDKSKSQYEVVDREAGDVNSSKLRKHRRSINLGEESSSFMGLSSIGSFGRITGRSSGRIAADNELMNKPIL >A09p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2806347:2808428:-1 gene:A09p005080.1_BraROA transcript:A09p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRLIMITFLVVVITTSYVVIGDGNDVVYSADAGPVLPNVTYYISFMSSDYNMWICRMNARSTDPRTCPHQPVMFTRPTITPTPVMFILPSSTPDTTVIRESTKLSIKFANPSQCGESGVWRVANGEVVLNGVESREDSLFSIHMTDSYYKFTIGESVYPDVYATSISLSNDRYGKDRLIAKQPSGEMEKIARLVVLPRSLSQIRSYSLLQRKQSTLIHLPDLLSNYL >A10p007100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10054710:10056939:1 gene:A10p007100.1_BraROA transcript:A10p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVTKLSTCFRGGYSFRQKHISVPPPTDPLDEGLGHSFCYVRPEPTLITCSKVHSEEETTMFRTISGASVSANAATPLSTSLYDPYGHIDRAAAFESTTSFPSIPLQPIPKSSGGGGGGGGPVALGSGPIERGFLSGPIERGFSSGPLELFSGPLEKPISDHQFQRSFSHGLALRVGSRKRSLVRILHRAISRTVSRGQNSIVAPLKSVKESESSKNHNENNLTVNSLNFSSEGTLDDDVSLEGQNLQWAQGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDATDYLLSHLYPTLHRELKGLLWDDPNIESKPQDHDGSCLGQEDINHCEGRWRCEWDREKKDIDRRLKEQINSRRSGSDSDQLTNHSDVLKALSQALRKTEEAYLDTADKMLEENPELALMGSCVLVMLMKGEDIYVMNVGDSRAVLGQKSESDYWLAKIRQDLKRINEETMKSNLRGCEGDHEVSNLSAFQLTVDHSTDVEEEVERIRNEHSDDVSAVSNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFQIDYIGESPYISCLPSLYHHRLGSKDRFLILSSDGLYQYFTNEEAVSEVELFITLQPEGDPAQHLVQELLFRAAKKAGMDFHELLEIPQGERRRYHDDVSIVVISLEGRMWKSCV >A10g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16720211:16721573:-1 gene:A10g506130.1_BraROA transcript:A10g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDSWVRIIDISARGFFIPRCRSAPRCVRDTATTTPRSLELASEPAEFPSRVPISLRRGSSHFTANHHKLLLPRLDQAVTASPSPPSRDQASSVASVSPSPSLAPLRDPVQAVLSGARVSSSSSFAVVEISLAVVRVETKLSISSNGDFFNICKTGPRNLDYLHIRPKLLKLHKRPLELALNLLIVQNNPSDFL >A10g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10908758:10910063:1 gene:A10g504430.1_BraROA transcript:A10g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHDDQRSCDAKMGDALRASLSSSPVPGAVLAHIAEFLSFQNELTRRDAEKEGFTPVDPSNSPTSADNFDPKVELPPHPGVVPTGGVNSALALVEAMAQPTEIISGYVLPALLPVFRRRVARDPVLIPKWLGRGDASKALRSGLLGPSSLRASDTGLMSQHRAKVERLMKELAESEDKLSQFEAKLETRIGELERRLRNPVSSLLKAKQARKTKALEVRHLQRQKAEGAQRVVGLKRLSRDIALAVIEGRMCEIQMFQGREALSPQAKEAKLTARKEDLAAIEGDFDLIFKFVRILPSLSGDPEEQDFAVERDGDDAAPNSDEVMGEDEVSSLEDE >A03p008810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3548055:3549765:1 gene:A03p008810.1_BraROA transcript:A03p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLIEKVLTGNKTIKLSRRFEEANNFGSPPNSHTNLSLARNHLHNKSYSVLERGENENSLKRRGNNGMNKKLCSRGHWRPTEDAKLKELVAQFGPQNWNLIAHHLLGRSGKSCRLRWCNQLDPRINKKAFTEEEESRLLTAHRAYGNKWSLISRFFPGRTDNAVKNHWHVVMARRTRASERQQALHAPSGNAEMTVLSVYRYNRGGFFGRVAEGTLVNEADDDDGSAVSTCTTELSLTPPSSTHQPRFLHDDNTLASGKDGQCVQRAEVNGIYSKKMDHQNHHTTTVSEREVEMKTKSGFYFFDFLGVGAS >A09p077330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57077784:57079043:1 gene:A09p077330.1_BraROA transcript:A09p077330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGCQPDAFTYGPILNRMCKSGNTSSALDLIRKMEHRKVKLNAAIYNIIIDSLCKDGSLDDVLSFFSEMETKGIKADVFTYTSLIGSFCSFGRWDDGAQLLRDMITRKITPNVVTFSALIDSLVKEGKLTEAKDLYNEMITRGIEPNTITYNSLIYGLCNDKRLDEANQMMDLMVSKGCDPDIVTFNVLINGFCKAKQVDDGMRLFRKMSLRGVIADTVTYSTLIQGFCQSRKLIVAKEVFQEMVSQGVHPGIMTYGILLDGLCDNGEVEEALGILDQMHKCKIDPGIGIYNIILHGMCNASRVDEAWDLFCSLPLKGVKPDVKTYTIMIGGLCKKGSMSEAGLLFKKMGEDGIAPDDCTYNTLIRAHLRGGDVATSAKLIEEMKSCGFSSDASTVKIVMDMLSDGRMKKSFLDMLS >A03p038070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15884961:15887543:1 gene:A03p038070.1_BraROA transcript:A03p038070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVSGCCTVTTSGDISKRYDGVPVHDNLGHSFCYVGPVLNVSRSSFQQEPSLRLDSIAGATTTTFSISGASVSANTSTALSAGSPSTDASLQASGFESSNRFASLPLKPVPRSPSKKPGHESGVFERRFLSGPNESGLVSGPVGNNKKKKPKKIKSFTKPKPNNKFLTFKTIVSNIISSCSKKSVVEPIDGSYSSHESLDSDETNTTLRSQESPRTTKEQEDSEEEEEEKSDQSSLEEEPKIQWAQGKAGEDRVHVILSEDNGWLFVGIYDGFSGPDPPDYLLNNLYTAVLKELKGLLWNDKLEAEDLESYIENGESQIKKHSTLEQDSVSSHIMKGDYVACDSRNMKEDSVACDSRNMKEDSVACDSSNITEDVTKLQWRCEWEHNSINKNSTHQNRKGTDLEMVNHKDVLRALQQALKKTEEAFDLTVDENPELALMGSCVLVTLMKGEDVYVMSVGDSRAVLARRPDLRQRKKMQNELERIKEESPLETFLVRDKGLNLLVPVQLNMEHSTNNKEEVRRIKMEHPDDPLAIEKDRVKGYLKVTRAFGAGFLKQEAIFEVDSFISAFPEGDPAQHLIQEVLLRAAKKYGMDFHELLEIPQGDRRKYHDDVSVIVISLEGRIWRSSM >A06p008560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2955738:2958194:-1 gene:A06p008560.1_BraROA transcript:A06p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKGLRLRSITMVMLMAVLVWSVTLETCIARRGRHWRHNHRSSSDLSDSLSSKKPKSHGNSHHNSHNNNNNHHQKSKPKPKPKQKTPPKADDNNSPVISPPPKVQPPSLPPLKGSQVFNVMNFGAKGDGKCDDTKAFEAAWAAACKVEASMMTVPPEYTFLVGPISFSGPYCQANIVFQLDGTIIAPTDSKSWGKGLMWWLEFTKLKGIKVQGKGVIDGRGSGWWQQDYPFIDGETKLIVPLNNSVHQNPPMPERSELDWKMPSIKPTALRFYGSIGVEVSGITIQNSPQCHLKFDNCIDVEVHDMSVSSPGCSNVFVHNVNCGPGHGISIGSLGKDSTKACVSNITVRDVAMHNTMTGVRIKTWQGGVGSVKGILFSNIQLNEVQLPIVIDQFYCDHSKCKNQTSAVAVEGVTYERIKGTYTVKPVHFACSDNFPCVDVQLSAIELKPVQEKYHMSDPFCWQTFGELNTPTLPPIDCLQIGKPARNRVQSDHDVC >A08p012920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11612068:11613967:-1 gene:A08p012920.1_BraROA transcript:A08p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIISMMKKDRKDGSKSMRMNRSQRKLLAEEEMLHRRALSMAIRQTQLSQRFDGSMSKRVGSTSTRKQRTLSDPFSNAKQVPEFSLESLTVKKFILVHGEGFGAWCWYKIVASLEESGLSPITVDLTGSGFNMTDTNTVSTLEEYSKPLIEYLENLPEEEKVILVGHSTGGASISYALERFPEKISKAIFLCATMVSDGQRPFDVFSEELGSAERFMKESQFLIYGNGKDKPPTGFMFEKQHMKGLYFNQSPNKDIALAMISMRPVPLGPMMQKVSLTAERYGKGRRFYVQTLDDLALSPDVQEKLVRENSPEGVFKIKGSDHSPFFSKPQSLHKILLEIAQIP >A10p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9795526:9797670:-1 gene:A10p006620.1_BraROA transcript:A10p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLLLCVLALCFFSFTAVILYKVDDFVPQTKTLAGHNLEPTPWHIFPRKSFTEATTYRILQCSYFSCPHNSAPEPNTLSSDSGSGHRTHHQPQCPDVFRWIHRDLEPWLKTGVTKEHVEKAKASAAFRVVILSGKLYVDLYYACVQSRMMFTVWGILQLLSKYPGMVPDVDMMFDCMDKPIINRTEHQSFPAPLFRYCTNEAHLDIPFPDWSFWGWSETNLRPWEEEFRDIKKGSKRSSWDSKQPRAYWKGNPDVVSPIRMELMKCNHSRLWGAQIMRQNWAEEAKGGFEQSKLSNQCNHRYKIYAEGYAWSVSLKYIMSCGSMTLIISPEYEDFFSRGLLPKENYWPVSPTDLCPSIKYAVDWGNANPSDAETIGKRGQGYMESISMNRVYDYMFHLINEYSKLQRFKPGKPPSAKEVCAGSLLCFAEQKERDLLERSRAVPSMDRPCKLPGADRDRLERLIQRKKQTIEDVRNMEMTRTERGSR >A10p000670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:355998:356984:-1 gene:A10p000670.1_BraROA transcript:A10p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEARALWQRTAAGRCFAVREDFLMAPRLTSCHHQRSSSGNTEKSSYYSRSFGDFFCDTKWWLKGSSGFDEEIANTFFEDDTKCKKLHDLVDLIGKREQEDVEYSFISKKDTTTPWWRSITDIDELALLVATRSLDYNIQNCDLPPPQKLHKRIHCTSGEKGFISPQWKQGAWSDRFESSLSHSSSTASKNKSPKSPPMSDDLSNAQLLEALRHSQTRAREAEKVAREACAEKERVMTIFLRHASHLLAYKQWVKLLEMEVICRQMKKGELEEPEHIKGVNLKKRKQRVKKKMGEIGRYMMAFALGFSLIGAGLFLGWTVGWLFPF >A04p004280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2108446:2112854:1 gene:A04p004280.1_BraROA transcript:A04p004280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISEKLPTWAVVPAVFAVFFVISYQILIAPDNLNGTKNVLSMAKSLRPYHFLSMDQRALSGIRKGEVLMLLLWTAVFSSGVEMVGWVEFAYTSPHRGNCSRHEVVPTCGRPLGLSFEKKTGDLYICDGYLGVMKVGPEGGLAELVVDQAEGRKVMFANQMDIDEEEDVFYFNDSTVVTSIISGRVIRYNKKTKEAKVVMDNLRCPKAGTRDIFAKVPGYPDNIRLTPTGDFWIGIHLNGFKPHWVSVKISGETGEIVEILEDKEGKTMQYVSEAYERDDGKIWFGSVFTPAVWVLDRKHACRVDASTVPFAPLLPYRTSLVSPSSLFPYGLTLAENKMFREVPASRENIILELVINQTKMCALVPPVFPNFGWPSTGEYESNYLVGENLDDFTFLDFPAPETYGVEHHQEIQEMLGVSVPSEGNGVVTKKLNHNASERDRRKKINSLFSSLRSCLPASDQSKKLSIPQTVSRSLKYIPELQEQVKKLIQKKEELLVRVSGQRDIEHYVEPHPKAVARYVSTISATKLGDNEVMVQISSSKNHNFSISNVLSGLEEDGFVLVDVSSSRSHGERLFYTLHLQMGNKDDYKLTCEELRQRMLYLYEECGNSFR >A03p001030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:558763:559558:1 gene:A03p001030.1_BraROA transcript:A03p001030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDCRENHSHRKIKRARDSTNGVDLISSLPDVILQHIFSQIPTKYAIRTSVLSKRWRHVWSETPSIDIDCYRYEPDSVYETIARRYSSPKITTFRLSISTTEAKPKQIDSLIEFAVSRNTEKLSLEFRHAYSVAYRFPEVFFTSCSLKHLSVESGTIDTIPGHVTVSWRSLKTLSLRGCVLSDEACAKILSGSPLLECLTLFCDKLERLDLSECLMLCSMLGARAADCGAAYQLFEVDS >A03p047150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22546103:22547655:1 gene:A03p047150.1_BraROA transcript:A03p047150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTSSVKLDQKKTSSVKISRCGDKESKAVLGCGQVSQECGAIDECCWVERKTIQIQDNIWLEGDPGFPILEQCFPKLRKLENTVDKKNGLCYTKKRTRNRMMMSDLPDDLLEEILCRIEASSLKRLQSTCKRWNHLINNRRFTIKHFEKAAKQSLSLMLNPNGVYSVSINLHRSSPVEVTEKIDLIYPHSSLDECRISEYCQTDGLLLCVCINDVFDFSNMYNQDARFVVWNPCTGETKCIQPRYPSTLSHTYSLGSYKNKKSNDISYKILSQWGCGENQEFDIYDVSSNSWRTLDVTLDCRFEFFSNVSLKGKTYWFASDEKEKQLGIFLVSFDYTTETFGRLSLPHQHPSYQTMSLSVVGEEKLSVLLQHKISSKTEIWVTNKIGETKVVSWIKVLAVDLKPELDTYHCISFLVDVEKKVLVYSAFVVGKNEHVEYIVGEDNEVREVALGIEYIPFFLNYVPSLTQIEATRKPLYLEIS >A04p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12741152:12742439:-1 gene:A04p020900.1_BraROA transcript:A04p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKAKEIVSSNVVVVFSKSYCPYCVKVKDLLKKLGAKFIAVELDKESDGAQVQSALAEWTGQRTVPNVFIGEKHIGGCDSVTNLHRGGKLVPLLTVSKPSSKIRSSITKARQGDNMPTEVEGPSRARKTSHTELTRSKQKHKGGRNIKYDFKDT >A08g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9138523:9159484:1 gene:A08g505410.1_BraROA transcript:A08g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQGGGLDIDRCTRLGIDRCRRLFYWMSDRAWLVRGPIAILKLTQIKEFETGLGGGNLQSSLSKRTLGYRSKRSEQNLVATTIKCMPNSTRSNKDKHLLFSEDPAHLERTIRKDQRSTSIDAAAFTSTDSRTQPWTDTRPSSSTNLHRLTSIDTTPHTSIDPQSRNMVAIVILRQDENGNLYDQDGHLRNATGQKLDAQENVIPDTDATGAAQPVKIDRPHEPAVDRQRETNIDRHPAPPIDRHAPLTYQVRLPSIDNDRINALRPPCKPLANPPEPTTNPSDPTPEPMQVDEATEGRRLRKRKEKIPKNLKRKANEKEMDGFTKRVLRTPVEKPLDEVYFIHRLWMFFKETKETEEDIRRMFHHVRQIMKLRITLKKKSDPRKFAIPCVVKGIEFPHALCDTGASVSILPKVMADQLGLKIEPSSESFTFVDLSERSSGGIIRDLEVQIGNALVPIDFHVVDIKLNWNSSLLLGRAFLPTVGVVCDMNTNRLYPDVHYDPFRVVRQQINLVELGNDLGYIAACHCGAEYAAEYSESIDTQTTSSIDSNESPTTDENYPTSLDGKHLVDYFTLPDQCYPDFAFQQPNNRGRDDYSIGSWAEKEYDEDYWKERAIETAMHDADIHAIPSTTRVHHQSTESTQHRSIPTLIQQNDLLHRSIPHLVLRSILKTLPLKRKHGIFQFQVEETSIRSIQSKNHNSADKRLSSIDTPVSTSIDPHSKPQLSLFTKKNMSIDYDFLIPDEFGIFRDPDGNARAMDGRILQVSREDIADILQLANGPDNLFKQQRSIPDNIPAVPDEYPKANTTEIGSHKSCRPVSQASIDKGNTNVARQATFTIRLTYVKNLDIALMTSMEPESSDGNRRTNMVSTEMSLDMQGA >A07p036820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24946907:24950219:-1 gene:A07p036820.1_BraROA transcript:A07p036820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNGEERIVHEVAIVVPKRVREEEEEGDCVEVLVSELKKRGMVVDRVVGLADEFLKVAAPLETLGSAAAELHIRKPTRLGIDLPFEVQGSEAFFRQPDGSLFSWFERFHCYQHLIYGIVNSDGYDVTLKLDDKEFCWAAGESLVRRLESEGVIKQMFPLHDELNRKELLKTWALNWWNFTNQPIDQIYSYFGAKIGVYFSFLGMYTRWLLFPALLGIIVQMIDFGSLQFLVLPSFFVSTILWAALFLQFWKRKNAALLARWQINYLVGPSQGYRFIGMEWSSLPFPNELIKNLGNEKFKEKEAYQRYEWFAYRKRFRNDVLVIMTIICLQLPFELAYAHIYEIITSDTIKFLLTAIYLLIIQYLTRLGGKVSVKLINREINESVEYRANSLIYKTYIGIFYHVLLHRNFMTLRQVLIQRLIISQVFWTLMDGSLPYLKYSYRKFRARKKKKSEGGPSTGKILIASRVEKEYFKPTYSASIGVELEDGLFDDFLELALQFGMIMMFACAFPLAFTLAAVSNVMEMRTNALKLLVTLRRPLPRAAATIGAWLNIWQFLVVMSICTNSALLVCLYDQEGKWKIEPGLAAILIMEHVLLLLKFGLSRLVPEEPAWVRANRMKNVTQAQDMYCKQLLRSISGEFSSMAKSQQEGAVET >A03p061840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26858795:26860681:-1 gene:A03p061840.1_BraROA transcript:A03p061840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNGEEGKATTYCVTGASGYIGSWLVKSLLERGYTVHATLRDLAKSQYFQSKWRGNERLRIFRSDLQDGGSFDDAVKGCDGVFHVAASMEFDISPDQVNLESYVQSKVIDPAIKGVRNVLGSCLKSNSVKRVVFTSSISTLTAKDENERWRSIVDETCKTPIDHVLKTKASGWVYVLSKLVSEEEAFRYAKESGMDLVSVITTTVSGPFCTPSLPSSLQVLLSPITGDSKLFGILSAVNKRMGSIGLVHIEDICMAHLFLMEEPKAEGQYICCVDNIDMHELMLNHFSKEHLCKVHKLDDDLEERQSLMKPMISSKKLKDLGFEYKYSIDEIIRQTIDASISFRFPTLDHKLK >A03p060430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26176675:26178574:1 gene:A03p060430.1_BraROA transcript:A03p060430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGTNNVTSFGLNQQQQHEAAASSYPMDPPRPDNPNPFSAPPITSSSSAAAAVENAAPQFSLTMPAAETGSSEQKKKRGRPRKYNPDGTLAVTLSPMPISSSVPLSSEFPPRKRGRGRGRSSRWLKKSQMFQFDRSPGAGVAAAADFAGANFTPYVLTVNAGEDVTMKIMTFSQQGSRAICILSANGPISNVTLRQSTTSGGTLTYEGRFEILSLTGSFMQNDTGGTRSRAGGMSVCLAGPDGRVFGGGLAGLFLAAGPVQVMVGTFIAGQEQSQLQLAKERRQRFGSQPSSISFNFTAEERKARFERLNNSVAIAAPTPSYQHENTANAVHSYYTNSVNHVKEPFSSMPGDGGHEEGEGEEDDNELEGDEDGEFGGDSQSDNEIPS >A03p035820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15018674:15019974:1 gene:A03p035820.1_BraROA transcript:A03p035820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a-1 [Source:Projected from Arabidopsis thaliana (AT3G04840) UniProtKB/Swiss-Prot;Acc:Q9CAV0] MAVGKNKRISKGRKGGKKKIVDPFSKKDWYDIKAPSNFTHRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDNAYRKIRLRAEDVQGRNVLTQFWGMDFTTDKLRSLVKKWQTLIECHVDVKTTDNFTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRSKMREIMIKEASSSDLKELVAKFIPESIGKEIEKATQGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVKVDRPADETVVEEPTEIIGA >A02p008680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3581820:3583005:-1 gene:A02p008680.1_BraROA transcript:A02p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRRPGSMMVIANVSMFLALVVSGPIAVEAARAFFVFGDSLVDSGNNNYLVTTARADAPPYGIDFPSRRPTGRFSNGLNIPDLISEAIGNEESPLPYLSPQLRGRRLLNGANFASAGIGILNDTGFQFRLHSLGVGRVLVTGAGPLGCAPAELARSSSSSGRCSAELQRAAALYDPQLTQMINALNRKIGKTVFIAANTNQMQIDFLTTPRRFGN >A06p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22953711:22955521:-1 gene:A06p042690.1_BraROA transcript:A06p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASVALSPALEEVVNFPGFIGRFGLDLDDPILIFLSISGSLSPLRVMESDLIASVKLRIQSIKGFFVKKQKLGYDGREPARNDSQVRDCGLADGELLHLVIRLSDLQAISVKTLGGKEFELFVERSRNVGYVKQKIALRENELGNTWDHELTLDGEELDDKRLITDLCKDGESVIHLLIRKSAKVSAKPVGKDFEVSIDDVTHNHNSVDGRRRLISPQEKPKDFFVEPVVVNHEIEPPSLVKDLINSTIEGLENGNGPIKSSDGSGGAYFMQDPSGNKYVSVFKPIDEEPLAVNNPHGQPVSVDGEGLKKGTQVGEGAFREVAAYILDYPMTGTRTFPHDQPGFAEVPPTTMVKCLHKDFNHPNGYSFSPENTKIGSLQMFVSNVGTCEDMGYGVFPVDQVHKISVLDIRLANADRHGGNILVSREGNDGQIVLTPIDHGYCFPNKFEDCTFEWLYWPQAKEPYSPETLEYIKSLDAEQDIELLRIHGWEIPPPCARVFRISTMLLKKGAAKGLTPFAIGSMMCRETLEKEPEIEQIINDAEAIVLPETTEEEFISTVSAIMDCCLDQHSRN >A02p056740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34174388:34181209:-1 gene:A02p056740.1_BraROA transcript:A02p056740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIVHVLHLIAKQFAHYLLSSKPIKIFISLLRTYFIVMASSLLTSSGIIPTTGSNMVVRSFLPFGSVRLTRPYNNSSLLISCCSSVSKNAETSGTDLKTIVERWPEYIPNKLPDKNYVRVFDTTLRDGEQSPGAALTPPQKIEIARQLAKLRVDIMEVGFPVSSEEEFETIQTIAKTVGNEVDEETGYIPVICVIARSKERDIKAAWESVKYAKRPRIVIFTSTSDIHLKYKLKMTREEVVDMVASSIRFAKSLGFEDIEFGCEDGGRSDKDYICTVFEEAIKAGATTLACPDTVGINMPHEYGKLVRYIKANTPGIDDVIFSAHCHNDLGVATANTIAGICAGARQVEVTINGIGERSGNAPLEEVVMALKCRGAFVMGGVYTRIDTRQIMATSKMVQEYTGLYVQPHKPIVGANCFVHESGIHQDGILKNRSTYEIISPEDVGVVKSQNSGIVLGKLSGRHAVKGRLKERVTDDDLKALVTCGDEIFSSDKLNGTDDNEINSNGYVPAPQISSVRLFFISSLGLIAALYENYIIITLYLFFLFLSIIFTLIFCFSIFIFLVTNSSAGKAFSDKDIGSVKTGDLQNWIGDHFLRSN >A09p002390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1651225:1652499:-1 gene:A09p002390.1_BraROA transcript:A09p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein OR23 [Source:Projected from Arabidopsis thaliana (AT4G03030) UniProtKB/Swiss-Prot;Acc:Q0V7S6] MPLPIFSSRLQSSSSSSSSSSSSRSYLPRPKPRIDPTLTLIPGLSNDVARLILSFIPYPHIPRLKSTSKSWYAFLSSKTLISLRRRHDNRHRLSHLLCVFPQDPSISPPLLFDPITLSWRPLPLMPCNPHVYGLCNFAAVGVGSDLYVLGGSAFDTRSYPLDRPLPSASVFRYSFVKTAWERLAPMLSPRGSFACGAIGGDRIVVAGGGSRHSLFGAAGSRMSSVEVYDVARDEWREMDELPRFRAGCVGFVVEEGEREFWVMGGYCGSRTVSGVLPVDEYCKDAAVMNLNGGEKWRLVGDMWGEGESPKLGKIVAVESVFYMLDREWILRYEMGSNRWVKESSVPKKAHFDKPVGFVAVNGELHVMILLDGYNLMDTRQRSNAGCLMIHVYDPKKRTWRSVVAKPPFNHQLDFRTTVMCTIRL >A02g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15963841:15964352:1 gene:A02g505130.1_BraROA transcript:A02g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHKDGDKKFKDQSREEDDALVIPPGPITRAKARRLKEAVGIPREPVPFNDLENMEPVPYFSI >A05g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20960951:20961898:1 gene:A05g507410.1_BraROA transcript:A05g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLDEQTRSGVDASSLKHQDNYAMEAVALAEGIRRQIVVFRPVEHLQQLRRRNGSSRVTGRWQADPRDDGWPLFFHRATVPILVSKNVNRGGELMSEPYTEA >A06p054010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28365888:28368844:1 gene:A06p054010.1_BraROA transcript:A06p054010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMALFSPPISSPLQNSNLIPKISLSLLSTKRLSLVSLTRASSDNGTSTPASATTVEAPTPKPVSVEEVLAESPSASENGAVGGEEIDMTTTTMTEIKFQDAKWVNGTWDLKQFEKEGKTDWDSVIVAEAKRRKWLEDNPETTSNDEPVLFDTSIIPWWAWMKRYHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDKLKGLIEETTLYDKQWQAAWKEPESSSSSTVSSKKNLHEVVRHRENLGRSLCSPMLGCSLYQDEELWPSIERPSPSTSRLANGKGTGTVLTGKQPHHDSRDSRSASLSKNNASDSEEEEEEEEEESDVSGSDTLWVSWFCSLRGNEFFCEADDDYIQYDFNLCGLSSLVPYYEYALDLILDVESSHGEMFTEEQNELIESAQQLRCFMDLFTRVTY >A03g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1077878:1078895:-1 gene:A03g500350.1_BraROA transcript:A03g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTPTPPISSTREELSLLILSRTYTRTSMFSVSRIISRAQALRSGVAAATRCSSQLDFGFPFLLEACWMSTRREIIVTTSLSEEIAQHTLDEIEKRVEVGISPKTKEEAKQVISSLTTCLEENKVLLKDAAVALAELRASMSQPDEYVTMENIRNATESQL >A06g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12551131:12551985:-1 gene:A06g504070.1_BraROA transcript:A06g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVSAWGKSPVIFFDDVKIDRGGCGMDCVWLFLYEWSFVVRHLDFSCIFQILSKKGYSQQRTKIARQNLIFSRINPSFPPKPSYEHITTEDFYRRERVLKFVSNARKGRCNCPLRRSSVLLIFAVLDEVFGPVLRVKTFSTQDEAIQMANDSQ >A05p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2139903:2142531:1 gene:A05p005560.1_BraROA transcript:A05p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor 1 [Source:Projected from Arabidopsis thaliana (AT2G44100) UniProtKB/Swiss-Prot;Acc:Q96254] MDEEYEVIVLGTGLKECILSGLLSVDGIKVLHMDRNDYYGGESTSLNLNQLWKKFRGEDKAPAHLGSSRDYNVDMMPKFMMANGKLVRVLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPATPVEALKSSLMGIFEKRRAGKFFSYVQEYDEKDPKTHDGVDLKRVTTKELIAKFGLDENTIDFIGHAVALHSNDSHLHQPAYDTVMRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVMGVTSEGETAKCKKVVCDPSYLPNKVRKIGRVARAIAIMSHPIPNTNDSHSVQVILPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQTELKPGIDLLGQVDELFFDIYDRYEPVNEPTLDNCFISTSYDATTHFDTTVVDVLNMYKLITGKELDLSVDLNAASAAEE >A01g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5095891:5098616:1 gene:A01g501320.1_BraROA transcript:A01g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKRGNLFHNFFTFLFFSKIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVQKALKFVQPGPALDTDTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSHIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHAWAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFCECRGSVGSLWRTQADDYVFEEEMSLIKSGMSDLILVFGRIWPFEFGFRLGQDDRICISGTGRWWL >A08p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22080495:22085661:1 gene:A08p038010.1_BraROA transcript:A08p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAFVISQAKACSSASIARLKNLNRRTIDVIASRLYFYYSLSFEQTGDLAEIRGNLLALHHSATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFFFTQKGMDKALRPYFELTNAVGIGDLELFRTVQEKFSDTFAQDRTHNLIVRLRHNVIRTGLRNISISYSRISLPDVAKKLRLNSENPVADAESIVAKAIRDGAIDATIDHTNGYMVSKETGDIYSTNEPQTAFNSRIAFCLNMHNEAVRALRFPPNTHKEKESDEKRRSWLSIWLRKTMMTFRKMISSLSSSTNNIVMAKSLAGVALLAALFITVDAFRPSGLTNGHATFYGGSDASGTMGGACGYGDLYSAGYGTMTAALSTALFNNGASCGECYRITCDYAADSRWCKKGASVVITATNFCPPNFALPSNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVVFQRVSCYKRGGVRFRINGRDYFELVNISNVGGAGSIKSVSIKGSKTGWLAMSRNWGANWQSNAYLDGQSISFSITTTDGATRVFLNVVPSSWSFGQTYSSRVQF >A04p030780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18241292:18243453:-1 gene:A04p030780.1_BraROA transcript:A04p030780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPQVQNSTGSIPSSDKTEDTLTKVKVNEENMDEPSLFPDRPGERDCHYFMRTGKCGYGSSCRYNHPVSHVPEAVFYHREELPERVGQPDCEYFLKTGACKYGAACKYNHPKDRNGAGPVLFNALGYPMRQGEKSCPYYMQKGMCRFGVACKFHHPQTHNAQPTSFPFGGSFPVMSLAPATYEAMSRPQALHPQAYSFMVAPPQGWSTFMGGYDMKTELDSSSEKAECSFFMKTGTCKYGDNCKYSHPKERMLLSPPPNLFNPVVLPARPGLPACGNFKAYGFCKYGANCKFDHPVPVNTYNNTGSTMPSPPSAYAPPVSTPVRITSPPSGSNGGDKPAAEDNSSETVKEEDGPDKAEVHDSSQLSRSGSTALSNDAENPGPETKKEDDDPLPADNSGKQESSDNSA >A03g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15819632:15820117:-1 gene:A03g504440.1_BraROA transcript:A03g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVCESLGFKGKGICKVHGTGFVIMCDRALPGERFLGCVTHRKGSYAEVTKIKTLTPHRDLVEAPYEYASYCGGCKAQNLSYEAQLRAKDEQVHELITHVGRFSDNSPGLETVLKAIVPCDIQF >A06p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:13489:15716:1 gene:A06p001080.1_BraROA transcript:A06p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCFPCFSTPRNKKSSSSDEANNNEVKLHERRQSDEAEQSEETTLKIFSFRELATATKNFRQECLLGEGGFGRVYKGTLKSTGQVVAVKQLDKHGLHGNKEFQTEVLSLGQLDHPNLVKLVGYCADGDQRLLVYDYISGGTLEDNLQEPKPNREPMDWTMRMQIAYGAAQGLEYLHDKVDPPVIYRDLKASNILLDDDLCPKLCDFGLHKLGPGTGDKMMALSSRVMGTYGYSAPEYTRGGTLTTKSDVYSFGVVLLELITGRKALDTTKPNDEQNLVSWAQPIFRDPKRYPDMVDPILKKKFSERGLNQAVAIASMCVQEEPTARPLISDVMVALSFLSMSTEDGIPTAVPMLSFKDKSMSIALSRHDSNLVSPTPEVATEDDKSSTSSDEESSVDNEKEMVNKKKKKKLEEEDSLTESDDGSDSNSDDEHEKDQESQPPKPVDEKNQAQSLKIKYRYSWEDVDINEGRLSSKSSQKSNDGGSISSGYESNKDHDDLPKEKEEKEEDHTHLEHMQSSKTDDSQSVYFDDDDDSGDDNEEVSLHRVKSEVEVGSFEDDSGASLHHTES >A03p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6241160:6242694:-1 gene:A03p015760.1_BraROA transcript:A03p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWNNGVKAGEGIHVSLYPNNISSPFETSSSFFVADLKHCLFSAANPSTMDYDFRNKSGPPYGRPMYPSPSPSSTHPMYNGPPGYPKIGQQSSHGQPFFPPPERNPSFQHNPSPSSSSGLGIKVTLKPEYRITPPPPLLPRVGDVPRSSFQFDFGLERKVLAEAEKENPDWSKFGSEHPPPPASFPPTPSPSMGVDPVVMKYAGLNREAVNIAVANYGDNPTKVQEFANGFTALREMGFPTNAVADALFMFENDTEKALSHLLHGSS >A01p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20540202:20542029:1 gene:A01p024940.1_BraROA transcript:A01p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSFLRQTTTTKLNPPPSPWRLPVIGNLHQLSLHPHRALCSLSHRYGPLMILYFGRVPTLVVSSADTALDALKTHDLKFSNRPSLCALNLFSKKTIQSFGYIREEEITLMMDKLAKASSSSSTVNLSALLTTLTNDVITRVVLGRKYSGEEGGNNSNNIVRRFNELLGTYPLGEFVPSLAWIDWIQGLDKKVEKVNKEIDVFLEKVVQEHEDADQDMSAFVDILLSTQKDKTTPFELDRAGLKILLVELLFAGSATTFTLMEWTMTELLRHPECMKKLRDEILSVSKHNLYVSEKEVEKMNYLNMVIKEVLRLHPSGPLIPRLVSDDVKVNGYDIAAGTRVLINLYAIQRDTATWGPDAEKFRPERHFDSSLDFEGQNFKYFPFGSGRRRCPGDGLALPLVELTLANLVKRFNLRFEGGPKGDNKPDLLEATGLDVCRKFPLIVSPFSATISL >A06p007990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2765214:2766846:1 gene:A06p007990.1_BraROA transcript:A06p007990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPK1 [Source:Projected from Arabidopsis thaliana (AT1G10210) UniProtKB/TrEMBL;Acc:A0A178W6I4] MATPVDPPNGVRNQGKHYFTMWQNLFEIDTKYMPIKPIGRGAYGVVCSSVNTDNNEKVAIKKIHNVYENRIDALRTLRELKLLRHLRHENVIALKDVMMPIHKRSFKDVYLVYELMDTDLHQIIKSSQVLSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLINANCDLKICDFGLARTSNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFQGTECLNQLKLIVNILGSQRDEDLEFIDNPKAKRYIRSLPYSPGMSLSRLYPGAHVLAIDLLQKMLVFDPSKRISVTEALQHPYMAPLYDPNANPPAQVPIDLDVDEELGEEMIREMMWNEMLHYHPQASTSELL >A03g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4229362:4229948:-1 gene:A03g501440.1_BraROA transcript:A03g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSENIPKTLNDVKLINAGKILDNNRTLAESTLPVGELPGMVITMHVVLRPPTLDKKSRKCFFAFLLC >A07p037020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19818838:19821860:1 gene:A07p037020.1_BraROA transcript:A07p037020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFGWMQNKLHGKQGNTHRPSISSASSHQPREEFSDWPQGLLAIGTFGSVAKEQTQIQVVQEVIQEENPSNVHVEGQVQDEDQDLSFSGDLEDFTPEEVGKLQKELTKLLTRKTKKRKSDVNRELANLPLDRFLNCPSSLEVDRRISNAISSGGYSNENEEDIERTISVILGRCKAISTESSNKKKKSKRDMSKTSVSYLLKKMFVCSGGFSPLPNPSLRDTFQESRMEKLLRVMLHKKINAQAPSKETSTKRYVEDKQQLALKNEEEEGRSSDGSKWVKTDSDCEFQIFWFLKFFFEKNVQELIRSSSLFWLQSLFLRSDPIFHSSCYRRPKIHWRGSAYGEQRDVCCTSDLAKLSVCSHGEIIHHPSAKDSGCHKCLVFR >A01p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6244000:6247242:1 gene:A01p012690.1_BraROA transcript:A01p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIFTFFLLLSSVSPLLCSSSTSSLNLSLIRQANVLVSLKQNFASYDPSLDSWNLPNFKSLCSWTGVSCDNLNQSITRLDISNLNIYGTLSPEIHKLWSLEVLNISNNAFEGELKPLEFSQMSQLVTLDAYNNNFKGSLPLSLTKLTKLVYLNLGGNYFNGKIPRSYGDFLRLKHLDLSGNDLSGRIPDELGNITTLEKLYLGYDNDFHGIPKGLGRLINLVLLDLANCSLRGSVPSELGHLKNLEVLFLQINELTGSIPRELGNLTSLKTLDLSYNSLEGEIPLELSGLQKLQVFNLFFNRLHGEIHEFVSHFPDLEILKLWHNNFTGKIPKKLGSNGKLVEIDLSTNKLTGLIPESLCLGRKLKILILFNNFLFGSLPEDLGQCEPLWKFRLGQNFLTGKLPKGLVYLPHLWLLELQNNFLTGEIKEQEAGKEGSSNLSQINLSNNRLSGPIPGSINNLRSLQILLLGGNRFTGQIPGEIGRLKGLLKIDMSMNSLSGKVPPELGECQSLTYLDLSHNQLSGQIPVQISQIRMLNYLNVSWNFLNQSLPVELGYMKSLTSADFSHNNFSGSVPASGQFVYFNSTSFIENPFLCGYSSNPCNGSQNQSQSQLLNQKNASSHGEISVKFKLILGLGLLGFFLMFIVLALVNNWRMRRNSPNLWKLIGFQKLGFRSEHVLECVKENNVIGKGGAGIVYKGLMPNGEEVAVKKLLTVSKGSSHDNGLSAEIQTLGRIRHRNIVRLIAFCSNKDVNLLVYEYMPNGSLGEALHGKAGVFLKWETRLQIALEAAKGLCYLHHDCSPLIIHRDVKSNNILLGPEFEAHVADFGLAKFMMQDNGASQCMSSVAGSYGYIAPDKFGEEGIDIVQWSMIQTNCNRQGVVKIVDQRLSNVPLGEAMELFFVAMLCVQERSVERPTMREVVQMISQAKQPNTI >A05g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10142861:10143529:1 gene:A05g503460.1_BraROA transcript:A05g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLCSSLVSGAGGPFSRCRKAPTLFLLFSALSLSLYLPRPCRSSWVWYAAESFFLYSCRSRSFGLGVARSGSMATSGTRVCRWGRFRGGRNLGFALQLSPDSDLRVTACGAPVRFVLADPWWWCGFEVALWVRVLWAWCSNLGTWFLGGAWNRVGFVFRRSCGLL >A04p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10161077:10164348:1 gene:A04p016530.1_BraROA transcript:A04p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase catalytic subunit alpha KIN12 [Source:Projected from Arabidopsis thaliana (AT5G39440) UniProtKB/Swiss-Prot;Acc:Q9FLZ3] MCSYGVSDFRFSLSIKNFSDFDLEFLSIADFPNSEMNGSSSQISSKRKSVLPTYKIGKTLGQGSFAKVKLAVHKTTGHNVAIKILNREKIKQMGIEAKVEREIKIIRLLMHPHIIRQYEVIETPEDIYVVMEYVKAGELFDYIVEKGKLHEDEARYLFQQIISGVEYCHRNMIAHRDLKPENILMDSKFNIKIADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDIWSCGVILYALLCGNLPFDDENMPGLFSKIKKGEYILPDHLSYAARDLIPRILTVDPMMRISIPGIRQHPWFSSKLPPYLAAPPLDTTEQAREINEEIIRDVVNLGFVEKHVKESLLNRIQNEATVAYYLLLDSRRRSPSDYFESNVNRISSFNSTIPAQTVAPFPALVNHHLMAGLRPRVSADKKWTLGLQSQARPKDIMTAVFKALQDLKVSWKQIGEYNIKCRWVHQSSISKEKSNMMEAELAMISPTVLKFELQLYKAGEGKYVLDIQIADGPQFLFLDLSVSLLRELNVV >A02g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13181167:13183090:-1 gene:A02g504010.1_BraROA transcript:A02g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPSLSLGSRTGSFPFLSLDLCLSIVLFISLFVFWLTPGGFAWALYKARVHTRQQSKARAAIPGPAGLPIIGLLLAFVNNASTHRILASIANSCNAKALMAFSVGSTRFVITSEPETAKELLNSSAFADRPLNESAYELLFHRSMGFAPFGDYWRELRRISSTHLFSPKRISGFAESRRKIGNSMVEDINSAMASYGEVEIRRILHFGSLNNVMSTVFGRTYDFNDGTNELEHFVSEGYELLGIFNWGDHFPGARWLDLQGVRRRCRSLVGKVNVFVGNIIDDHISKRSLHDSQEEESTNEDDFVDVLLGMQGNSKLSNSDMIAVLWEMIFRGTDTVAILLEWILARMILHPDIQAKAQAEIDVIVGESGRQVSDSDLSKLPYLRAIVKETLRMHPPGPLLAWARLTIHDTQIGTHFIPAGTTAMVNMWAITHDEKVWPEAHEYKPDRFLGAPESGNFPIMGSDLRLAPFGAGRRVCPGKSMGIATVELWLAQLLGRFKWVPCGEVDLSETLKLSLEMKNPLVCKAIPRV >A01p046680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26276048:26277703:1 gene:A01p046680.1_BraROA transcript:A01p046680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIIQNDENSAKTKPSPKLTLLPLVFLIFYEVSGGPFGVEDSVKSGGGPLLALLGFLIFPLIWSIPEALVTAELATSFPENGGYVVWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPVLNHAAARVPALLVLTFALTYLNYRGLHIVGFSAVLLAVFSLCPFVVMALLAVPRISPKRWLFVDFKKVNWRGYFNTMFWNLNYWDKASTLAGEVESPGKTFPKALFGAVLLVMGSYLIPLMAGTGALSESASGEWSDGYFAEVGMLIGGVWLKGWIQAAAAMSNLGLFEAEMSSDAFQLLGMSEMGMLPAFFAQRSKYGTPTISILCSATGVIFLSWMSFQEIIEFLNFLYALGMLLEFAAFVKLRIKKPDLNRPYRVPLNTFGTLMLCLPPSLLLILVMVLATVKTFLVSGVIIVVGFCLHPFLKLVKEKRWARFIPEETRPVLEVPSESQLDEEHGDESSASLLP >A05p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24658457:24672196:1 gene:A05p040250.1_BraROA transcript:A05p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REERKVKGAVDKISNLPDVILQHILCFVPTTKVAISTSLLSRRWRHVWCEVQSISLGQHYRRPSRPKEERRGLIKERPSSSLLSTWHSLIGKPKGVEETRRSVDMGLDYSYTQPSESEEYGGETADCPYSETDDLIRRDQEEISRNYGAPAQYPPQPKVEFGFPQTCYCGSQPVIATSNSRNYLGRRYYTCVNVDDGECHVWKWWDEAVMEEMRARDRHTLQLAEKVETLTMLTDYETERKVVRLEKIVSELAKEKTRTPIMLPVDSLTRYTAPKTKSFQLILKLTTPTRNNIPYYIEIRLIKFAMSHNVENLSLDFSRSYYYYYGYMLPDFFYSSSSVKQLTMTLGSYQTIVPECTVSWTSLQKLSLRFCRLSDESMAKILSDCPVLENLTLYHCSELEVLDLSKSLRLRTFVNVCGPNQILHDSHLSCSLVDIASLTEANLEISSIPLNPEFNGVFLQGTVKMLKLLKNAEKLKLGRNFIQILSLAEIGGVPFPMFKVKVLTLDTMICQCVIPGIERLLQNSHDLEKLIISGRSCSSILEGVHLAEYFKSQSLNTYQCWRSKDGFNWNTSCWNLQPKHVTSFVELVLKNTEKLDKMDVLLDERYVKFKTEDVVVPTLSPNRNVTIVLSSTTKKSDDVDGEKYVDLKNQDLIGKKINSVQQWRMDGGGYRNGNGRRCGGDGFNDDDRKIEGAEDLISNLPDVILQHILCSVPTTKVAISTSLLSRRWRHVWCEVPSLSLDVGTLRTAASVNEALRAAALMNPRSQDKEFSPHNKILNEEHTIHGHVDQCVKQLNIKALNVYNRLASQLTKILSGCPILENLSQNFLRQRHYYDYKQLPDFFYNTSSFKRLNITSCCYNHTIVPPECTVSWTSLHKLSLRFCTLFDESMAKVLSGCPVLEYLKLYHCGELNVLDLSQSPSLRTLKVIRNMTVRGPRQIVAPHIHCLRLYDPQLSSSTLVDVASLTEARLEISCVLLNSDFNANFFQVAVLKMLDKLKNAEKLKLGSNFIQIRGVPFPMLKVKALTLDTKICKYVIPGIQRLLQNSPADLEKLAVGGRARFRMPPVEFLDKYLKLQGFNVNKCSWNEEHCVDAKLEHFKTEDLTATLSHNNNVTIVLISSTNKPSRRHQRIGES >A04p018880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11258752:11259585:-1 gene:A04p018880.1_BraROA transcript:A04p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDHELWRTLRCASKAQDKTSVDSLMLRYRPIAPKPTTTGQPFVGSTSTTRTKRKYVRVSKNNKATCRSKNDVRSSSTDPENGREDLVTLQLMPERSTPLSLDLNNFDPTVKTIIGDETCKTGTWLKFNGGEDAVHQVPVETWVTVESVNGGSASHAVGCTDEEIAEAIDKDTRPAFISDGSNRVVMVNESYRKTVTGDYGFGGEPSSLLPEVIVWLVVDQTATFYEYRTFTCKVRLEYTWRETKYVKTVPCDVWKMEFGGFAWRLDTTAALTLWL >A07p037270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19929229:19930434:-1 gene:A07p037270.1_BraROA transcript:A07p037270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPQSLYSAARPEFPYPGKSSQPKWSRFPGIVRCASTESLTSLTQNAAELELKYMVSQHGWGVRRLRRDDEEEIRRVSLVQAEAFHIPLALFNDFFFLFFQAEVLSGLLYKLKNSPPDRYACLVAEKAGEADTSSSSSVVGVVDITVQTENSVLRHFPGEEEYLYVSGLAVSKAQRRKKMASTLLKACDVICYLWGFKLLALRAYEDDAAARNLYSNAGYSVVESDPPWTSTWIGRKRRVLMTKRIS >A03p053870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23130466:23132579:1 gene:A03p053870.1_BraROA transcript:A03p053870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPPDIVRQTRDLLVYADRSVSIPDLRESKRQEKLSELSKNLRELKLILYGNSDAEPVAEACAQLTQEFFKGDTLRRLITMLQYLNLEARKDATQVVANLQRQQVNSRLIASDYLESNIDLMDFLVDGFENTDMALHYGTMFRECIRHQIVAKYVLDSQHVKKFFYYIQLPNFDIAADAAATFKELLTRHKSTVAEFLTKNEDWFFADYNSKLLESSNYITRRQAIKLLGDILLDRSNSVVMTKYVSSMDNLRILMNLLRESSKTIQIDAFHVFKLFVANQRKPSDIINILVANKNKLLRLLADVKPDKEDESFEADKAQVVREIVSLKP >A04p028080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16899663:16900888:1 gene:A04p028080.1_BraROA transcript:A04p028080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECLRNLRNRIKGSTDQEPQSPVVEDQVVKMNHMVTSLAKTFKSPLVDTIQSILQHQQKTAETEEARTKKLILSSSLKCMG >A10p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9221440:9226512:1 gene:A10p005250.1_BraROA transcript:A10p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSEENHRKTVEDKAEMGRRKRAIWERKWKRLDIVKAFASLFVHFLCLLAPFHFTWPALRVALVVYTVGGLGITVSYHRNLAHRSFKVPKWLEYLFAYFGLLAIQGDPIDWVSTHRYHHQFTDSDRDPHSPNEGFWFSHLLWLFDTGYLVEKCGKKTNVEDLKRQWYYKFLQRTVLYHILAFGFLLYYFGGYWGSNGASCDLPHQLSLPYLGKPNLEDQRHFSWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLATDVKLPSESQRRRMALENGSGSSRKAMRKEKRAYFFRKWTSVDLMRALSVVAVHLLCVLAPFNYKWEALLFGFILAAVTNLSITFSYHRNLTHKSFKLPKWLEYPFAYSALFALQGHPIDWVSTHRFHHQFTDSDRDPHSPIEGFWFSHVLWIFDTSYLREKCGARNNVMDLKQQWFYRFLQKTVGLHVMAFWTLVYIWGGLPYLTCGVGVGGAIGYHGTWLINSACHILGSRAWNTKDTSRNIWWLAPVTMGESWHNNHHAFEASARHGLEWYQLDITWYLIRFFQALSLATDVKLPSEAQKRKMAF >A10p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20701385:20703059:1 gene:A10p036310.1_BraROA transcript:A10p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATNRNFRHASRILGLDSKIERSLMIPFREIKVECTIPKDDGTLVSFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAGIPYGGAKGGIGCNPRDLSLSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIQGLTFVVQGFGNVGTWAAKLIHEKGGKVVAVSDITGAVRNPEGIDINALLKHKDATGSLKDFSGGDAMDSEELLLHECDVLIPCALGGVLNKENAGDVKAKFIIEAANHPTDPDADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWEEEKVNLELQKYMTRAFHNIKSMCHTHSCNLRMGAFTLGVNRVARATQLRGWEA >A03g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13847631:13849820:1 gene:A03g503940.1_BraROA transcript:A03g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTSRSSSSSRRFCSSSSSSLEPSSSSPLFTKRSKVKIDTAIEYVRDGGNGERGSIDNQGPVSDPGSESGELELGSSYPQDMDAEKPMSVMEISLEADTNPETDVLPTPTIPEEISVVSLRIQSYGESLHILPQLLALSELEFNKAFLGMCLCLQVKITAEEIQGWKDLSIVAFEVAVWEHLGKDYCSPTDRRLSLDWDTGKTHHYYQCHVASDGSYTFKCFDRDFFLSLEPVGTYLQKVLGDDNVLTVVFEDLQKNSSTCSIDPYSAYKRIAKNGIKIGLRRYQLFARMHFMHVHTLPSSDNYMVRFSLILSKTKKLEVDMTGITFEIIDDIHCHVLC >A06p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:722040:724922:1 gene:A06p001640.1_BraROA transcript:A06p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLKARKTTTSALIKLRTGTLFSLLTNKLHAVFHQPKHVFTRQWRRRRRVTATLVSLHFSSPSRTSLLVNRRSFSITATMASSPTKKVLIPVAHGTEPFEAVVMIDVLRRGGADVTVASVENQVGVDACHGIKMVADTLLSDVTDSVFDLIMLPGGLPGGETLKNCKPLESMVKKQDADGRLNAAICCAPALALGTWGLLEGKKATCYPVFMEKLGATCATAVESRVEIDGRIVTSRGPGTTMEFSVTLVEQLFGKEKAAEVSAPLVMRPNPGDEYTITELNQIKWSYENTPQILVPIADGSEEMEAVAIIDVLRRAKANVVVAALGNSLEVVASRKVKLVADVLLDEAEKNSYDLIVLPGGLGGAEAFATSDKLVNMLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAYPAMCNKLSDQSHIEHRVLVDGNLITSRGPGTSLEFALAIVEKFYGREKGLQLAKATLV >A09g510240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30419320:30429370:1 gene:A09g510240.1_BraROA transcript:A09g510240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHECSKVASCQRVSGTKRYELPKVANIKRYENQKARLAKGCMFQTVSFGTGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRIDGLVFGDDPDLFVGSYAFVIMLTEELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYESVKKLCIESHVSKTSVCVRVCLSAHTGRSWVSVSTHRHSCVSVSIHETSVAVRVCQSAHTRRLWLSISTHISTLVLGLCTLALPVDCLGDFGDVDYLFSTHKTSVGVRQHTQDVRGGPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGIHRTFVAVRVYPCVSVSTHMTSVAVHQYTYQHVVHTGRLCVSASTHRTSVAVRVCPSLSVSTHRTSMAVRQHTQDVWGCLWLSVSTHRTSVCVCQHTQNVCGCRSAHTGRSCVSVSIHRTSVAVRVCPSAHTRRLWLSISTHISTMVLGLCTLALPVDCLGDFGTRGLSVQYTQDVCGCPPAHTGRPWQSVCVRQHTQDVCGCPSIYISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVRVCPSAHTGLHTGRPWVSANIHKTSMAVRLCPSVSVSTHRTSVAVPQYTYQHVVHTGRPWLYGSTHRTSVAVCGCPSAHIGCLCVSVCVCQHTQDVGGCPSVHTGRPCVSVSIHMTSVAVRVCPSAHTGRLLLSISTHISTLVLGLCTLALPVDCLGDFGPRGLPVQYTQDVRGCPSAHTGRPCVSVSIHRTFVAVRVCPCVSVSTHMTSVAVHQYTYQHVGPLTSHADPSCGLFGTSVAVRVCPSLSVSTHRTSMAVRQHTQDVWGCLWLSVSTHRTSVCVCQHTQNVRGCRSAHTGRSCVSVSIHRTSVAVRVCLSAHTGRLWLSISTHISTMVLGLCTLALPMDCLGDFGTRGLSVQYTQDVCGCPPAHTGRPWQSVCVRQHTQDVCGCPSIYISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVRVCPSAHTGLHTGRPWVSANIHKTSMAVRVYPSVSVSTHRTSVAVPQYTYQHVVHTGRPWLYGSTHRTSVAVCGCPSAHIGCPCVSVCVCQHTQDVGGCPSAHTGRPCVSVSIHMTSVAVRVCPSAHTGHSLLSISTHISTLVLGLCTLALPVDCLGDFGPGGLSVQYTQDVCGCPPAHTGRPWLSLCIRVCPSAHTGRPWLSISTHISTLVLELSTLTLPVDCSVDLGPRGLSVQYKQDVRGCPSVHTGRPCVSVITHRTFMAVRVCPCVSISTHMTSVAVHQYTYQHVGPLTLHAGPSRGLFGTHMTSVAVHQYTYQHVGPLTSHADPSCGLFGTSVAVRVCPSLSVSTHRTSMAVRQHTQDVWGCLWLSVSTHRTSVCVCQHTQNVRGCRSAHTGRSCVSVSIHRTSVAVRVCLSAHTGRLWLSISTHISTMVLGLCTLALPMDCLGDFGTRGLSVQYTQDVCGCPPAHTGRPWQSVCVRQHTQDVCGCPSIYISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVRVCPSAHTGLHTGRPWVSANIHKTSMAVRVCPSVSVSTHRTSVAVPQYTYQHVVHTGRPWLYGSTHRTSVAVCGCPSAHIGCPCVSVCVCQHTQDVGGCPSAHTGRPCVSVSIHMTSVAVRVCPSAHTGHSLLSISTHISTLVLGLCTLALPVDCLGDFGPGGLSVQYTQDVCGCPPAHTGRPWLSLCIRVCPSAHTGRPWLSISTHISTLVLELSTLTLPVDCSVDLGPRGLSVQYKQDVRGCPSVHTGRPCVSVITHRTFMAVRVCPCVSISTHMTSVAVHQYTYQHVVHTGRLWVSASTHRTYVAVCVCPSAHTGRPWLSLITHISTLVLGLSTLALPLDCLGDFVPRWLSVQYTQDVRGCPPAHTGRPWLFVAVRQHTQDVRVVRVCLSAHTGVRGYRSAHIGRSCVSVSIHRTSVAVRVCPSAPQDVCGCPSVHISALHIGRPWVSASTHRTSIAVRVCPSAHTGRLWLSISIHISTLVLGLSTLALPVDYLGDFGPRGLSVLYTQDVCGCPSAHTGRPCVSVSTHRTFVAVHQYTYQHVGPLTSHVGPLTSHADPSCGLFGTSVAVRVCPSVSVSTHRTSVAFPQYTYQHVVDSGRPWVSASTHRTSVAVCGCPSANTGRPCLSVCVCQHTQDVLGCPSAHTGRRCVSVSIHRTSVAVCVCPSAHTGRSLLSISTHISTLVLGLCMLALHVDCLGDFGPRGLSVQYTQDVQGCPPAHTGRPWLSLRVSVCPSAHTGRPWLSISTHISTLGLGLSTLTLPVDCSGDLGPRGLSVQYTQDVWGCPSAHTGRLWLSVCVSQHTQDVRGCACVSGCVRQHTHDVRGCPSVHISARCTHKRTVGLRQHTQDVRGCPCVSVSTHRTSSAVPQYTISMLVFRLSTLALPLDCLGDFVPRWLSVQYTQDVRGCPPAHTGRPWLFVAVRQHTQDVCVCPCVSVSTHRTSVGVHQHTQDVRVCLSAYTGRMWLSVCVRLHTQDVSWLSISTHISTLVLGLCTLALPVDCLGDFGPRGLSVQYTNDVCGCPPAHTGHLWLFVAVRQHTQDVRVCPCVSVSTHRTSVGVRQHTHRTSVCVRQHTQDVCGCPCVSVSTHRTFMSVHQYTYQHIGPWTLHAGPSRGLFG >A09p072230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55474640:55476905:-1 gene:A09p072230.1_BraROA transcript:A09p072230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSTILGLCGFGIGISMGLVIGYFLFVYLQPNDVKDPQIQSIADQDPKSMLRMLPEIPLWAKNPDFDRVDWINKFLEYMWPYLDKAICKTARNIAKPIIEEQIPKYKIDSVDFETLTLGSLPPTFQGMKVYLTDEKELIMEPCLKWAANPNVLVAIKAFGLKATVQVLDLQVFAQPRITLKPLVPSFPCFASIYVSLMEKPHVDFGLKLGGADLMSIPGLYRFVQEQIKDQVANMYLWPKTLVVPILDPAKAFRRPVGIVHVKVVRAVGLRKKDMMGGADPYVKIKLSEDKIPSKKTTVKHKNLNPEWNEEHKFSVRDPQTQVLEFSVYDWEQVGKHDKMGMNVLPLKEMVPNEHKSFTLELRKTMEGAEEGVQPDKDRGKLEVELLYKPFTEEEMPKGFEESQSVQKAPEGTPAGGGVLAVIVHSAEDVEGKHHTNPYVRIYFKGEERKTKHVKKNRDPRWEEEFTFMLEEPPVREKLHVEVLSTSSRIGLLHPKETLGYVDIPVVDVVNNKRMNQKFHLIDSKNGKIQIELEWRTTS >A06p014480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6501920:6502493:1 gene:A06p014480.1_BraROA transcript:A06p014480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWASAVPIHLMADLMEGFFFPKWLDVLYHWLLSKPRFEEIHEWYYGWKGLFPQELSANERIRIQLKRGLDMLMEAVEGVEVSQPRAKAQDKKHFEPVRAQAKAQVDDNEVLSLKEVLEVFAQEKELLFKPKPNRMHNDSVNQKMLAQKDGGWFLVTPDDLLRMHNNNATVSGKR >A06g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2961001:2967379:-1 gene:A06g500680.1_BraROA transcript:A06g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYISCIYAFKLVFKSLSSLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTCFIQSLSDLILMFVIASQSKRQFRCMTSRHTRRNAQGELATFTNQELARLERPNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNKRQSQSNQQVVPANGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQEILPGKTDKNPRTEHCNAIEQPFAETAPGTEERAEQSASSGVTAPSEPAETLLSRVYVPKVPYPIPPRQLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIVDNLGIADVEHSQLTLTFANSSRALKVVPEKEHGDKGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTCLHQVNHLVPDSRSDLILMFVIASQSKRQFRY >A07g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1162750:1163137:-1 gene:A07g500550.1_BraROA transcript:A07g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWKEENFSKKIENGGNGLLRNYYISPRKRKLRKRVGESSKTILISFFRRRRNIIFPLRFCRIVKSLSLIFKIRLCASEASD >A03p040640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16948151:16951454:-1 gene:A03p040640.1_BraROA transcript:A03p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60-like 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13860) UniProtKB/Swiss-Prot;Acc:Q93ZM7] MYRVLSKLSSSIGSSTSRKLVSGRIISSRNYVAKDISFGVGARAAMLQGVSEVAEAVKVTMGPKGRNVIIESSYGAPKITKDGVTVAKSISFESNAKNMGAELVKQVANATNKVAGDGTTCATVLTQAILTEGCKSVAAGVNVMDLRSGINMAIDAVVSDLKSRAVMISTPEEITQVATISANGEREIGELIARAMEKVGKEGVITVADGNTLENELEVVEGMKLARGYISPYFITDEKTQKCELENPIILIHEKKVSDMNSLLKVLEAAVKSSRPLLVVAEDVESDALAMLILNKHHAGLKVCAIKAPGFGDNRKASLDDLAVLTGAEVISEERGLTLDKIRPELLGTAKKVTISRDDTLILHGGGDKKLIEERCEELRSANEKSTSTFDKEKTQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIIPGGGVALLYATKALDNLETQNEDQRRGVQIVQNALKAPALTIAANAGYDGSLVVGKLLEQDDCNFGFDASKGSYVDMVKAGIIDPVKVIKTALTDAASVSLLLTTTEASVLVKAEEKTQKHVPDMAGMGM >A02g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9890277:9891241:1 gene:A02g502970.1_BraROA transcript:A02g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCVLLRSVDFLRHARGSGQERSQTRFFLGGARAPRLFHLASPLVLGYGFAPGRLRLSATLLPLESRQGGRTVRSPFSALFLFRVVEASTALASPLKFPGCGGSFSSVAPATLPERGGSYSSIVAGFWSLSSILGFSFFLFDGAPGRFGGRGEEGEESKSSGVDGESADDSPVVVEEEEMLRIAMEGGPTSDSLLGLRVAGFGYWALSPS >A09g514260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42855252:42862720:1 gene:A09g514260.1_BraROA transcript:A09g514260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLDSDRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLQDVDIKETINKEVKTKERWAEWSWIVTGPNGWEDFESLTRPVTCTPNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVFLSDVSGTQEVISVHHQTKTKKKALPTFFRASQDGYS >A04p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19327264:19328983:-1 gene:A04p033190.1_BraROA transcript:A04p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCSVQQSLTHEAANMVKQAMALARRRGHAQVTPLHVASTMLSASTGLLRTACLQSNTHPLQCRALELCFNVSLNRLQTSTGSPMLVPCISNALVAAFKRAQAHLRRGSLENQQQPILAVKIDPEQLVISILDDPGVSRVMREAGFSSPHVKSKVEQSVSLMISSKKPKLTSAVRNKDIINGVDNRVAERRETFSEIENGTVLECSMKTSSRVTTALPAWLQKYKNENQSDRTDLDNSISDSVYIRPSEKTLDLSSLSPSSSSNTYAHTREVEYPSRFNELNDENLRTLCDALISKAQSQKDVIPAIAKAILSCRSRSAPRKLSNGNRKEETWLLFQGVDVEAKEKIGRELAELVFGSQNSFVSINLRDKKRRRDEDSLSYTQRLFEAVSCDPHRVFFVEDIGEADYLCQMGFKRAIERGRVQSENGEEALLRDAIVILCCERFISRSRSCSPPEEERKMRSSCISLDLNLSLHHDGDDDGHCSDHIGLLDAVDAHIIFSNSAT >A04p029360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17568407:17569125:-1 gene:A04p029360.1_BraROA transcript:A04p029360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKPHSFPLFRHLIAEIMGHLNLITGWSLINQIFIHFFLILVALFGVGVEGTVHKIGNSSGWTMMGVDYQAWASSRNFQVGDSLFFEYNNEFHDVTGVTPYDFELRFSPNPLATTKLD >A05p054180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:32200821:32201072:1 gene:A05p054180.1_BraROA transcript:A05p054180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATEKAEHDRVFKKFDANGDGKISATELGDALKNLGSATHDDIKRMMAEIDTDGDGYISYQEFSDFASANRGLMKDVAKIF >A07p027560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15711977:15716531:-1 gene:A07p027560.1_BraROA transcript:A07p027560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLFFLFVSSVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPNLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAFMDEMQNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKEYIKWCANMAQSLDVGVPWIMCQRNDAPRPMLNTCNGFYCDNFVPNNPNTPKIWTENWTGWFKQWGGKNPHRTTEDVAFSYHGGTNFDRTAGGPYITTSYDYDAPLDEYDKFKKFLFLLTWENLLGNLNQPKYGHLKQLHDVLHSMERALTYGNISTIDFGNYASATIYKTEKGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKSNEAENIPSTLKWSWRLENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDLFLGKSMSLRINSTAHVLHVFVNGKHIGNQHAENGKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFENKPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFENQLFRTESMFKWSVESVPFNRTMTWYKATFKSPFGNDPVVVDLMGLGKEVLIMLKNVSPIVENPHKDERDNTLVLFEEMGGNPSLVNFQTTRVGSVCANVYEKTIIELSCDRKSIFVIKFASFGNPYGNCGSFEKGSCESSNNAVDILTQKCIGKEKCSIEISKEKFGAPDCGGAPRRLAVEAIC >A06p051840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27447262:27452855:1 gene:A06p051840.1_BraROA transcript:A06p051840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOLDAT10 [Source:Projected from Arabidopsis thaliana (AT2G03050) UniProtKB/TrEMBL;Acc:A0A178VYV9] MMFARCCLLPPPFISAVRTRCFAGETSDTGLLFREKLIYLQDLNVDPHKALRVNPSLRAAPISTVVSVETLLSSTGLSRPAVGRILDMFPDLLTSDPESDILPVLRFLSDEIYLSDEDIPKSITRCPRLLISSVDFQLRPALAFLKTLGFVGRDTVTSRNTVLLVSSVERTLIPKIEFLEEGLGFTRDEVAKMVVRSPALLTYSVENNLAPKVEFFMEEMRGDVKELKRFPQYFSFSLERKIKPRHRLLKEHGILMPLSEMLKVSDGQFNLWLVELRLRSVERRLSEIDQVWNQMQQGVRRMRPLVVEQQPERDMRFPKPAMVVQERCSRSSYLERMEKYWTERWIEIALAGGTSMEEIEEIRALVNYDRPVRTLEEERKARLEMLEECRRMIEREDEEDEAKKHHEIVSLVAGDSDPIVSHDGGFVESVCVILEPNKLKTLQEDYCPESETFLLEEDNSSEREMDVCASQVFDQIPKRRKKVAKKKKRWKLFADAITTRGNVSTVRLEAGLLPCTVDVNVDASTILLLDTRTLPVNTGTVLGVDVCTLLSNLDAVLTIDVCTFLVCIEAGAVLGVIDAGTVLGVDVCTSPANLVAGTVLGVNVCTSLANLNTGGGPWCRVCTSLANLDAGAVLNVDVCTFLFNLEAGTVLGVDVCTFLVNLDTGVVLGVDVCTLLVGVKAATVLVVDVYTFIVNLEAGTVLGVDVCTLLVNLDTVGVLNVDDCTFLGNLNLGVDKDISIFDPR >A04p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19809327:19814379:1 gene:A04p034160.1_BraROA transcript:A04p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-5B [Source:Projected from Arabidopsis thaliana (AT2G37420) UniProtKB/Swiss-Prot;Acc:Q0WQJ7] NIQHQVVMSFTPDVTRKSGVGVIPSPAPFLTPRPERRRPDSSSSSSFSSRLEREKEVNVQVLLRCRPLSEEEQKSNVPRVISCNELRKEVSVANKQVDRLFTFDKVFGPKAQQRSIYDQAIAPIVHEVLEGFSCTVFAYGQTGTGKTYTMEGGMRKKGGDLPAEAGVIPRAVRHIFETLESQKADYSMKVTFLELYNEEVTDLLAQEDSSSRSSSDDKQKKPVSLMEDGKGCVVLRGLEEEVVYSANDIYALLERGSSKRRTADTLLNKRSSRSHSVFTITVHIKEESMGDEELIKCGKLNLVDLAGSENILRSGSRDGRAREAGEINKSLLTLGRVINALVEHSSHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKLSKAVLLKDLYLELERMKEDVRAARDRNGIYIAQERYTQEEAEKKARTERIEQLENDLNLAEREASKFRGLYTTEKEKLLDVESDLKDCKRNLDNTNKELHDLKENYIQVISKLKEREAIISRMKASETTLIDRAKGLRSDLQHASNDISSLFTRLDQKDKLESENKSMLLKFGSQLDQNLKELHRTVLGSVSQQQQQLRTMEEHSHSFLAHKYDATRDLESRIGRTADTYTSGVAALKKLSEMLQKKASFDLHNMNSSIGSQIEAVEQLLTASAKEAAKVAEDIRDSLSDQKELLALAARQQEQGLIRSMRSAQEISNTASTIFSNIYNQAHSMVEAIRESQAEKSRQLSAFEMRFKEEAEREEKQALVDIGLILSKLTSKKTAMVSDASRNIQEHDVQEEKRLQEQMSCMQQVSIGAKKELCEYLKKAKTEFTENTIASAESITVMDHYLEDCLGRANESKILWETTEAGVKNLNKKYQQELNVSMGDMEKENDKLQDEFTSTFSTMDANFVTRTNELHAAVNDSLMQDRENKEATDAIVETSMKQVTLLQEKHGQGVSNIRDKAEQSLIKDYQVDQRQNETPKKLPITVPSLASIEEMRTLLPKNILGEEDTTSMEKRSSKQGQDEANDRTPFLEVNI >A02g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26883036:26883708:1 gene:A02g510050.1_BraROA transcript:A02g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAENSESQSSPVLTLLLHYTNGSNASFCVSGKYGTLKKAEELMRVDTLPLDEKAGCCSNTVEVRVLRFKIGRELMSVDILFLKKFLLRFDYRTKLNLEAFLEDWEPTKDSFLVVRALGMSLLKILLTETKLHG >A06p039450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21247564:21248530:1 gene:A06p039450.1_BraROA transcript:A06p039450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRIPLSLALSAYDVIPPYDQAKALGKSNIDVGRIAAGLPCGSEGLRLMYVRWRKLENEQRKKRLLANGVIPNEYGINPQQKLTIGSKRPDCLDKCVLRDRNSTFREIGGVTPPNRPKYRSTHRPTIK >A03p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2842104:2842872:1 gene:A03p006800.1_BraROA transcript:A03p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFKSKRFGIRFGFGKRTNNKGTQQDQQQKGLGNSNNNISCCNNEIKWELRPGGMLVQKRQESIGDDLISIRVSTFANFHDLSIEATSTFGELKMVLSLLTGLEPKQQRLLFKGKEREDDEYLHMVGVGEKDKVLLLEDPAFKEKKLLDRNNISASCLTIIV >A02g504770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:15243095:15244045:-1 gene:A02g504770.1_BraROA transcript:A02g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFLWRVNSGALAVKSRLVTRGIHLDPTCSVCEQSSETICHVLFHCKTAKEVWERSNVPLPPAGWSQSSVFLNLLYLLRCSKTSALGPCLRQAFPWILWHLWKARNAFCYERSVPDPSVILRRAMEDAAIWLNLHDALPHTEPLVMNAEPAQVQWQKPPASFVKCNVGSSWDVNSNTGGGAWIVRDDKGLVLCHSRRSFSMVGSLYQANVMALQWAAEAMCDLKFKKVVMEFSALEVKKAMDSSLLCSRTNQSCSYVLATIFSIRDAKINFVSSSCNLIANLIAVSVTRDQRLQSYVARNGPAWLSSQICQEAST >A02p046430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28981177:28984169:1 gene:A02p046430.1_BraROA transcript:A02p046430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-II [Source:Projected from Arabidopsis thaliana (AT2G01730) UniProtKB/Swiss-Prot;Acc:Q8GUU3] MAIDCLVLGAGQEIGKSCVVVTINGKKIMFDCGMHMGCDDHNRYPDFSILSKSGDFDNTISCLIITHFHMDHVGALPYFTEVCGYNGPVYMSYPTKALSPLMLEDYRRIMVDRRGEEELFTSAHIASCMNKVIPLDLKQTIQVDEDLQIRAYYAGHVLGAVMVYAKVGDAAIVYTGDYNMTTDRHLGAAKIDRLQLDLLISESTYATTTRGSKYPREREFLQAVHKCVAGGGKALIPSFALGRAQELCMLLDDYWERMNIKVPIYFSSGLTIQANMYYKMLISWTSQNVKEKHVTHNPFDFKNVKDFDRSLIHAPGPCVLFATPGMLCAGFSLEVFKHWAPSPLNLVALPGYSVAGTVGHKLMSGKPTTVDLYNGTKVDVRCKIHQVAFSPHTDAKGIMDLTKFLSPKNIVLVHGEKPSMMSLKDKITSELGIPCFVPANGETVSVASTTFVKANASDMFLKICSNPNFRFSNSSTQLRVTDQRTADGVLVIEKSKKAKIVHQDEVSEVLHEKDHVVSLAYCCPVKVKGESDDVGLIKQLSEKISETVSCADEIHESETCLQVGSFKGSLCLKEECVHRRGISSSCSEVKFLCCNWSVADLELGWGIINAMKQNL >A02p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:685566:687756:-1 gene:A02p001550.1_BraROA transcript:A02p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPEELTNNRSPEPSESDSVEKPTHVRFLVSNAAAGSVIGKGGSTITEFQAKSGARIQLSRNQEFFPGTTDRIIMISGSVKEVVTGLELILDKLHSELHAEDGSDVEPRRRLRLVVPNSSCGGIIGKGGATIKSFIEESKAGIKISPLDNTYYGLSDRLVTLSGTFEEQMRAIDLILAKLTEDDHYSQNVHSPYSYAGLFNSGFHGLPYAYVLPSVATAGYNSVNYAPNGSGGKYQNHKEEASTTVTMGVSDEHIGLVLGRGGRNIMEITQMTGARIKISDRGDFMSGTTDRKVSITGSQRAIQQAETMIKQKVDSASERATE >A06p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24518573:24519318:-1 gene:A06p045740.1_BraROA transcript:A06p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQVEKPTTNDVKEEAKKIPATQGGVDAADDKDKGVVTEASGGQAEGEVNQKNVVANPPASEGTITIGEALEAAVLTAGNKPVEWSDAAAIQAAEVRATGRTNIMPGGVAASAQSAATLNARANSDDEKTTLADVLTGARGKLPSDKPATRKDAEGVTGAEMRNDPHLTTYPTGVAASVAAAARINQSK >A06p010180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3633927:3634190:1 gene:A06p010180.1_BraROA transcript:A06p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWRQSISSSLIILILFLGGLHLSYGRHIGRTKTPMHSTAEFYEFWRRRFAEERMLTVVHSGDKKDLIYGVSGREAPAGPNPLHNR >A03p045880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19279456:19280523:1 gene:A03p045880.1_BraROA transcript:A03p045880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQTTSLLSTLVLSPPISDLLVSSLAPLTSRTLFSPVSLCDRLFAVNDDMFCIFQGHIENIPFLKQQYGLTKTATEVTVVIEAYRALRDRGPYPADQVVRDFQGRFAFMLFDCTTKNVFLAADADGSVPLFWGTDGEGHLVLSDDVETVKKGCGKSFAPFPKGCFFTSSGGLRSFEFPLNELKPVPRVDSSGQVCGVIFKVDSEAKKEGAMPRVGSVQNWSQQI >A08p030720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18813031:18813582:1 gene:A08p030720.1_BraROA transcript:A08p030720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQHTGDLFKHLEKQNELLKEAHKTMSQELQKLMVEEQMMMHKLYEITVAHRKNNKEMKKTQNVLEGKETLEEASSLAIVPTGDEEH >A06p004190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1054112:1055396:1 gene:A06p004190.1_BraROA transcript:A06p004190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZOP1 [Source:Projected from Arabidopsis thaliana (AT1G49590) UniProtKB/Swiss-Prot;Acc:Q7XA66] MTEYWVSQGKKWCEFCKIWTQNNATSIRNHELGKHHRECVEKKLTDMREKSAAKDKELKKNEKLLQQIEAKATRSYQKDIATAHEVAKANGAPEDGTRDWVLDAASGYYYNQINGLHYDSKSGFYYSDSIGRWVTQDEAYAAVKTSSDKDTKVPLVKKPVLSSEAGPSVAKPPGRVVSATLNPKRNVKGAASSVEIGNNNKRKRIDDKPKKAVSAEEKAALRAREAARKRVENREKSLLGLYNRPF >A09p010970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5544341:5547099:1 gene:A09p010970.1_BraROA transcript:A09p010970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKDSGFEALEKLKSTEPPVFLAPSSISEVARAASQYLFTKLKPHNPKSPFDELLVDGFDAEQIWQQIDMQSQPLLSTLRHEVKRFAKSPKEIRKVGGLAVEGDVDEMDMDDDNEGEDDDDDELEADESEGEEEEEDGESEEEDEEEESEEENEGIEDKFFKIKDLEDFLEEGEAQEYGTDYNNKKGLSKGKNQNLSDDDDYDEEDEEDEDEEDEEFGAFAGDDNEDADKLGKARYEDFFGGKKKETKRTMKDVIEDEEAGDGNQDTGKLSTHEKELLKVQSKIEQMEKANLDPKHWTMQGEVTATKRPKNSALEVDLDFEHNARPPPVITEEVTASLEDMIKSRIIEARFDDVQRAPSLPTKSKREAKELDDSKSKKGLAEVYEEEYVQKSNPAFAPATFSDELKKEASMLFKKLCLKLDALSHFHFTPKPVIEEMSIQTNVPAIAMEEVAPVAVSDAAMLAPEEIFSGTGKIKDESELTQEERKRRRAKKKRKFKAESANHPVKKARDTNSEIPSTGNE >A01p022940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11305384:11312378:1 gene:A01p022940.1_BraROA transcript:A01p022940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering time control protein FCA [Source:Projected from Arabidopsis thaliana (AT4G16280) UniProtKB/Swiss-Prot;Acc:O04425] MSRFDEDDEAFTRRRRRGNSPSNYQVGIGGGGGGDRRWEDDSPTDRNVHREGVRGGSFQPMNAPPGRVDFQPMGYGFDGGFPPMSRHGAGGGFRPNVPVNFPPSQSPDAGGYSGGRGIQSTGPDYSVRLTSPPIQQPLSGQKRGRPLLEQSSFTGTDFTDRSSMVKLFVGSVPRTATEEEVRPFFEQHGNVLEVAFIKDKRTGQQQGCCFVKYATSEDADRAIRALHNQITLPGGTGLVQVRYADGERERIGAVEFKLFVGSLNKQATENEVEELFLQFGRVEDVYLMRDEYRQSRGCGFVKYSSKETAMAAIDGLNGTYTMRGCNQPLIVRFADPKRPKPGESREVAHPVGLGSGPRFQASGPRPTSNLGDLSVDVSHTNPWRPMNSPNMGPPGNTGIRGTGSDLAPRPGQATLPSNQGGPLGGYVVPAINPLPVSSSATSQQQNRGAGQHMSPLQKPLHSPQDVPLRPQTNFPGAQASLQNPYGYSSQLPTSQLRPQQNVTPATAPQAPLNINLRPTPVSSATDQLRPRAQQPPPQKMQHPPSELVQLLSQQTQTLQATFQSSQQAFSQLQQQVQSMQQPNQNLPGSQTGHGKQQWAGSAIPTVVSTTASTPVSYMQTAAPAATQSVVSRKCNWTEHTSPDGFKYYYNGQTGESKWEKPEEMVVFERQQQQPTIQQPQTQSQQALYSQPMQQQPQQVHQQYQGQHVQQPIHSSVYPTPGVSQNAQYPPPLGVSQNSQFPMSGTGQNAQEFGRTHTPVGAASINNPLRTQQVHERRS >A06p014050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6342888:6343397:1 gene:A06p014050.1_BraROA transcript:A06p014050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVPWHQTVWNKGGIPRQSFLSWLLVLNRYPTRDKMIGWGLATSPLCLLCNVQAKSRDHLFFDCFYTWEILSSLARRCALQSERNWNKVLDQLQRQNRRSALGILSLLCWQSCLYWSWSERNSRLHRNTFSSAPSLIRQIDRQVKDMILSYRNANPSSASIMMQRWLT >A06p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22957619:22959062:-1 gene:A06p042700.1_BraROA transcript:A06p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPSKKKTNALRPPGYEDPDLLASVTPFTVEEVEALYELFKKLSGSIIDDGLIHKEEFQLALFRNRNRKNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVHEKIKCNLLHNHSMLLVAFKLYDLRQTGFIEREELKEMVIALLHESELVLSEDLIEVMVDKAFIEADRKNDGKIDLDEWKDFVSKNPSLIKNMTLPYLKDIHGTFPSFISSCEDEELELQNLYF >A08g503300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6087083:6087328:-1 gene:A08g503300.1_BraROA transcript:A08g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEARVLYGRCTTCLVCTLALTMHNTYPSSLVDSFLFLIHPIFAPEAIFSLTPPNTSHDQSKSLLDLTSQDRYFRTLLKLD >A05g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21804878:21805146:1 gene:A05g507680.1_BraROA transcript:A05g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTPLQLVQSSIEKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAGTNLSCVVVWM >A05p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3372796:3374070:-1 gene:A05p008290.1_BraROA transcript:A05p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G39470) UniProtKB/Swiss-Prot;Acc:O80634] MAVSSLSIRYCLSPTISQKTDIHCPNPSLRASCSLSSGYNLDSENTYQKGSGSSWKRRQALVGVGTLLATSVPATLLLAEGNLPKTRYQKATQLLWIKKTDILIITHQTGGWEFDFRAHDSAFKDRYLQLQSVRVRFIPTEKTDIREVGPMDEVVYDLVKHKFAAPNQVATIYDMKERVEDGRSYYTFEYGLRTPIYATTCFATVAVGNNRYYTLIVGANERRWRKVKKQLEVVADSLKILQI >A01p057010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32341520:32344344:1 gene:A01p057010.1_BraROA transcript:A01p057010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alkaline/neutral invertase A, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G05820) UniProtKB/Swiss-Prot;Acc:Q84JL5] MRPSKCLLRAFTRLSPSRDTAFQSHHSRCINQNINNQDPYRITKLRNVVQFCTNRPSSVTTQVASEARNLSASRICTKDPTLDKIIAKNGLNVKPLTVERLEEDEKDEEVVNGDVRNIDKDGLENVRRKEIEEEAWRLLRQSVVTYCDSPVGTMAANDPTDTAPLNYDQVFVRDFVPSALAFLMKGEGEIVRNFLLYTLQLQSWEKTVDCYSPGQGLMPASFKVRTSPLEEDKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIKMIANLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSSREMITINDSSKNLVRTINNRLSAISFHIRENYWVDKGKINEIYRYKTEEYSTDATNKFNIYPDQVSPWLMDWIPESHRCGFLVGNLQPAHMDFRFFTLGNLWSIISSLGTPRQNQAILNLIEEKWDDLIGHMPLKICFPALESSEWHIITGSDPKNTPWSYHNGGSWPTLLWQFTLACMKMGRPELAEKAVTLAEKRLQADRWPEYYDTRYGKFIGKKSRLYQTWTIAGFLTAKQLLQNPEMASSLFWEEDLELLETCVCVLTKSGRKSCSRAAAKSQILI >A07g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8378407:8379370:1 gene:A07g504270.1_BraROA transcript:A07g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVSSSWLEPGSETLASSASSEGRAYNSSDRRPSLNLRVHLGRSSQSIASGLLHFWDFLNFKKDREFMGIMVLFLDEKVNSVIHGFIHAGRAKNYMLSLKAGSIVKVDRFEVARCSSMYKIIDHPFVIRFISHHY >A07p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8081428:8082577:-1 gene:A07p012550.1_BraROA transcript:A07p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCTRFRVLQNRNVAATSMLRYMSTKLFIGGLSPGTDDNSLKDAFSPFNGVTEARVMTNKVTGRSRGYGFVNFTSEESAKSAISAMDGQELNGCNIRVDAAKEWPSLPLSLDEGTEDEKKGNKMVSRSVWKDPFVDAFLMKKKNAALNRKIWSRRSTILPEYVDSSVRIYNGKTHVRCKITEGKVGHKFGEFAFTRKVTKHPRAK >SC178g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:327201:329669:-1 gene:SC178g500170.1_BraROA transcript:SC178g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHRSGRSEKLEEENEWVVSRVVKTALKSCGIWSNHIKVEPLKVRAAEESQTASLEKIHVKVEPLKEVAAEEGQTARLKVHEAKGVILEWKHGNSELYQLVGRLKCLWSELDGLRPSTSDPKVIQDRQEQGVVFNLLVDGICKLVQHMCEKNKRSTQWKGGTSCKRRRLRKLSKVWFMMRRPWREESESDDLRHMMGLKGIKDVVHQMVRGECSYSAYMGETVENRGVLTEQEKGDGADDHITRKEWRRGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEEQVRPVSSEEEQVEPASVQTEDYEIKRSLE >A07p051760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27431524:27433399:-1 gene:A07p051760.1_BraROA transcript:A07p051760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKATFLPLNLSLSPFLLLLLYLLTLSAVVVHGVQEKKILSVHNNIWSLTKSNEPSSSCLSHNLAKGRESSSTTLGMKHRGLCSGKTIDWGKKLRRALTLDNLRVQSLQLRIKSMSSTTTDSISETQLPLTSGVKLETLNYIITVELGGKNMTLIVDTGSDLTWVQCQPCKSCYNQQGPLYDPSVSSSYKTVLCNSSTCQDLVAATSNLDRCGGSNGVEKTTCDYVVSYGDGSYTRGDLGSESIQLGDTKVENFVFGCGRSNKGLFGGASGLMGLGRSSVSLVYQTMKTFNGVFSYCLPSLEDGASGSLSFGDYKNSTSVSYTPLVQNPQLRSFYILNLTGATIGGVELESPSFGRGIIIDSGTVITRLPPSIYKAVKTEFLKQFTGFSSAPGYSILDTCFNLTSYEDVSIPTIKMIFQGDAVLEVDVTGVFYYVNPDASLVCLAFASLSYENEVGIIGNYQQKNQRVIYDTKQEKLGIAGENCSI >A08p036700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21467610:21468352:-1 gene:A08p036700.1_BraROA transcript:A08p036700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSLFGELEVEVEIKSPAAKFYHMYAGRPHHVANATPRNVQACDLHDGEWGEVGSIIFWNYVHDGQAKVAKERIEVVEPEKKLVKFRVLEGDLMEEFKSFLITIQVTPKQGGSGSIVKWHFEYEKIDENISHPETLLPFFADMIKEIDEHLLSEE >A01p029980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21152609:21153515:-1 gene:A01p029980.1_BraROA transcript:A01p029980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG-binding domain-containing protein 5 [Source:Projected from Arabidopsis thaliana (AT3G46580) UniProtKB/Swiss-Prot;Acc:Q9SNC0] MSNGTDQAQPPSEKLSLPVESRPKKRASPGDNWAPPPGWTTEEKVRTSGTKAGLVDKFYYEPVTNRKFRSRTEVMYYLEHGTPKKKNKKSVNNSDTKSQRSEDRGSYKRLTQSNKKANEQPPPPPPPKPLDFDFLNVPEKVIWTGTNGLKEAWCPFIGDYKIQESVSQDWDRAFTLLTANANMSA >A03p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3056424:3057142:1 gene:A03p007390.1_BraROA transcript:A03p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFMKFFAMFLFIQTQIALSQQNLIQQLCQKNRYEPLCVSTLNLDPRSKTSDLQGLASISIDATTKKTNETLTYLANCLDRTGGDRAAFEEYGTCVDQDYGASIDRYLPGALANLKAKKYSAAIANLQDVMDATGDCENQFAGSCPLPVSQRNKAVHDIADMTTDIIKTFV >A09g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6511558:6514686:1 gene:A09g501800.1_BraROA transcript:A09g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSNYSFFLGAIVLLLFSISNFVSTFASLTPGLCHPNQRDVLLQLKTDLVSLDLSFYVSIYSPPSSLLLSIENPPLFLRLLARNLRNLRALDMSYVNISSEIPHEFSYMLSLRSLHLERCSLVGEFPSGVFMIPNLHSIILDYNPDLRGSLPVFHRNNSLQVLRLRKTSFSGIIPDSIGNLKHLASLTLADSNFAGRIPSSLGELSNLSSLSLYRNHFTGEVPSSIGNLKQLISFGVSSNQLTGSFPSALLNLTKLRFIHLDSNQFTGSLPPNIGQLSKLEGLYAFGNSFTGAVPSSLLQISSLTELYLDDYQLSDLVGFENVSLFSNLEYLYFESNNFRVSSPVDLNVFSSLKQLVLLALSGIPLSTANITSDSDFSSNFQYLYLSDCNITEFPEFIRDQRNLRFLYLSNNNIKGQVPDWLWRLQELQILDLSHNSQSGFDGSLKAVPGSHIEKLNLNYLDLSNNNIKGQVPDWLWRLQALQILDLSHNSLSGFDGSLKAVPGSHIQILDLRQPHYIGDSSLNYRYYSSVVLMNKGVSMVMERILTVYTAIDFSGNRIHGPIPQSIGLLKELHVLNLSRNAFTGDIPSSLANITALESLDLSQNMLSGEIPPELGDLSSLGWINVSHNQLVGSIPQGTQFQRQACSSYEGNPRLFGPSLKDICRETTSPGSEPPVSSEEEEEEEGSFSWVAAGLGFAPGLVFGFTIGYIMKNLGRNKH >A08p006450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3695924:3697543:1 gene:A08p006450.1_BraROA transcript:A08p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTYKRLKGSQSFRQRLLLATLSSTPIIIDEIRADDMIPGLLRHEMSLLRLFESVSDDCVVEVNETGTRLKYKPGIIMGGKNLVHSCALTRSMGYYLEPLLVLSLFGKKPLSIRLKGVTDDPKDLSVDTIRNATLHILKRFGVPSEGLDLKIEARGVAPEGGGEVLLTIPNVQSLTAVQWVEEGMVKKIRGWTFSARVSSDFEHSMRFAARGVFNNLLPDVHIFNDHKSGPHAGKSPGYGISLVAETTAGCYISADTAVSCGRADETGEIDVERQERKPAEDTGVEIASWLLQEIEKGGVVDSTHQGLLFILCALCQQDVSKVRVGTLSPYGVETLRNLKEFLGVTFSFRPDPSTGTVILTCVGSGLINLSRKLS >A02g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6470245:6473876:1 gene:A02g502050.1_BraROA transcript:A02g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEAKRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANEGLGVDVDDIYAPVNFKNQHWIAIWILIPKRHIVVWDSIVSHISPEELDEVMEPFVTMVPYLLVECALSDEQKVQYKLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A06p003670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4566003:4567575:-1 gene:A06p003670.1_BraROA transcript:A06p003670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPISEEASGKKRLVVKKLFARQQHEGFGAVVRRSIGRFELRYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLEGEILHEDCEGHKGVIREGGLQWMTAGKGIVHSEMPSSNGNGTTTHNKGLQLWINLSSKHKLVMPSYQEIESKDIAEAEKDGVRVRIIAGEWNGVKSKICTRTPTMYFDFTLSPGSKISQHIPLHWNAFVYVLQGHGHFGDARSQHSAASAHHMLILGLGGDRLEAWNGSDSGLPLRFILVGGEPIGEPVVQFGPFVMNTQEEIDQTIDDFENFTNGFEKARHWKSQAASDLGFF >A03p045870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19274416:19275388:1 gene:A03p045870.1_BraROA transcript:A03p045870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRQSSKNSKKAHVFVLLALTLLSSIERISSLSVTVSDDECVQEYVLYEGDTVSGNFVVVDHEIFWGSDHPGLDFTVTSPAGNIVQTLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPNEHDLAKDEHLDPVNVKIAELREALESVVAEQKYLKARDTRHRHTNESTRKRVIFYTVGEYIFLAAASGLQVLYIRKLFSKSVAYNRV >A04p000570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:316663:317829:-1 gene:A04p000570.1_BraROA transcript:A04p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLLYFRRFLLTSSSSIDSSSISSSFLLSSSRRRRTLSSVSDTKTEDAKRKRPPLKSFTVNYLVNSCGLSLESAKSRSKFVKLSSSKRPDSVLALLKTNGFTFEQITRVVNSFPSILIVNPDSVLLPKLLFFRSIGLTSSDTAKLISNCPTTLSLSLQNRLIPCYDSLKSILVEKESILKCLRRGYWIFTLDTVKYLAARLSLCRDAGVEDQSIRRLVQNGPLVFFCSERKFNEVLTRVRSFGFDPKKMYFIHAMLVFFHVSESTVERKFELYQQFGWSRGEFVAAFMRFPNCVKISDEKIKGTMEYLVNDAGLKAGAVAMQPFVLGLSLEKRIKPRNMVISELLSKGFVKKEDLNYFQILKVKECVFVDKFVLKFQQVSSLQPSL >A09p053700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46550366:46551169:-1 gene:A09p053700.1_BraROA transcript:A09p053700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNFLSGYFSDHFYVSIRKRKKRKVMQVKIDCDGCERKIKNAVSSMKGAKSVEVNRKMHKVTVSGYVDPKKVLKKVQSTGKKKAELWPYVPYTMVAYPYAAGAYDKRAPPGFVRKSEQAQAQPGGTDDKLMSLFSDENPNACTIM >A02g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15739897:15740800:1 gene:A02g504950.1_BraROA transcript:A02g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNEKYDESEDLIVQGPVFVVHVSRPAEVEQTWKDLPIIMMEQEIMETINYHPTDRVVIGITQPRRVAVLATAKRVAHELGVRLGQEVGFQNDLSLRLYSVIILDEAHERILKTDIHSNWDAHSSHQNQRGTLQDQINEVSTIWKHNSIRKQNHLAAYTYIDECKFTSRRLCIGEKFVP >A01p024700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:12309602:12310123:-1 gene:A01p024700.1_BraROA transcript:A01p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECE1 [Source:Projected from Arabidopsis thaliana (AT4G14870) UniProtKB/TrEMBL;Acc:A0A178UZ24] MSLTAQFSPPVTGISIRLRKTASLPSTLSPFHTEMRTPAMICVRKSPYLVAKAIEQSRDTAGSESEQEATPSPGESGKGEKEVEISELGAEIKAAMEQRKAAEEEEGKNEFLSGVAEEVREIEWPAFQKVVGTTGVVLGVIAGSSVVLLTVNFLLAELSDRLFIGKGVQDFFS >A02p012200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5341861:5342668:-1 gene:A02p012200.1_BraROA transcript:A02p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFTTQLFVSRLSGYTTDQSLRQLFSPFGQIKEARLIKDSQTQRPKGFGFVTFESEDDAQKAMKALDGKIVDGRLIFVEAAKDVEAPTTNMNSVTKLDENTHSHYKTS >A05p052270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32365919:32367390:-1 gene:A05p052270.1_BraROA transcript:A05p052270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRNSLRSVRAPMTESKSGVGPVIEMASTSLLHPKRSYTPVSTEDPGTSSKGAVTVGLPPDWVDVSEEISVNIQRARTKMAELGKAHAKALMPSFGDGKEDQHQIESLTQEVTFLLRKSEKQLQRLSKGGPSEDSSVRKNVQRSLATDLQQLSMELRKKQSAYLKRLRLQKEDGGDLEMNLNGSSSRAEDDDFDDILFSEHQMSKIKKSEEISVEREKEIQQVVESVNELAQIMKDLSALVIDQGTIVDRIDYNIQNVSSTVEDGLKQLQKAERTQRSGGMVKCASVLVILCFIMLVLLILKEIIF >A10p016850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2733074:2733598:1 gene:A10p016850.1_BraROA transcript:A10p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNEGLPERYLYTSTGDIEGQSLNCLVLEMDIPAIDLSLLLSSSDDGREELRKLHSALSTWGVVQVMNHGITEALLEKIYEVTKQFFALSTEEKQKYARETGSFQGYGNDMIRLDDQVLDWTDRLYHTTYPKDQRQLKFWPKFPIEFR >A06p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22981524:22983716:-1 gene:A06p042720.1_BraROA transcript:A06p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane protein of ER body 2 [Source:Projected from Arabidopsis thaliana (AT5G24290) UniProtKB/Swiss-Prot;Acc:F4KFS7] MDRPADGSKFGEGGEIVDADFLVGLLETFRFGKYNLPAIKNVLNAPAKVTSATEQQDKTTEKQDEEGSQGLVGNTSVHESIGSVSSSSDPIIMDTMSETGSHNEPVSNEESGYNWLESNPTNLPNVENERHHNAEEGEIVVEEEIYKEEEEDKEDEEEDENKNSERTSSESEEKSNLETLLAIQEKYELYCPSCSSCITRKVILRKKEHVKLVDLYADLKPYESTDIEEIEPPVKLHVPETLIENGDQEDKKEGYIFTCLACLKYYIRKGARFLQRDYARGLPVEESVEVHLEPRKSSNTTETPPQNKLDRERFTVELLKSTVYGGLTETITSLVVVSSASASGSSTENILALAVANLAGGLIVLAQNLRDLRNNSDQENDRYNELLGRRDNIRLHVLVAVLSYIFFGLIAPLVYAFSFYQTGIKNYKLVSVFSVSLVCAIMLGMIKVYVRKPVNVRASPKPYLKSAAYYTSIVVVSSGVSHIVGEIVGEYIRKLGLFSLYQTGLTSTFDGVKPDEYRFTSF >A01p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6296313:6297487:1 gene:A01p012820.1_BraROA transcript:A01p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1 zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G20380) UniProtKB/TrEMBL;Acc:F4JUW0] MQDQLVCHGCRNTLMYPRGATNVRCALCHIVNMVPLHPHPHPPPPHHAHAGMDMAHIVCGGCRTMLMYTRGASSVRCSCCQTVNLVPGPPPSNQVAHINCGNCRTTLMYPYGASSVKCAVCQFVTNVNMSNGRVPLASNRPNGTASPGTMPSTSTQSTPPSQTQTVVVENPMSVNESGKLVSNVVVGVTTGQK >A09p067840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53358506:53360731:-1 gene:A09p067840.1_BraROA transcript:A09p067840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRSNLCIVLFAVSYAICVFAGKSYYDVLQVPKGASDEQIKRAYRKLALKYHPDKNQGNEEATRKFAEINNAYEVLSDEEKREVYNRYGEEGLKQHAANGGRGGGGGMNMQDIFSQFFGGGGSMEEEEKVVKGDDVIVELEATLEDLYMGGSMKVWREKNVIKPAPGKRNCNCRDEVYHRQIGPGMFQQMTEQVCDKCPNVKFEREGYFVTVDIEKGMKDGEEVSFYEDGEPILDGDPGDLKFRIKTAPHARFRRDGNDLHMNVNITLVEALVGFEKSFKHLDEHEVDISSKGITKPKEVKKFKGEGMPLHYSTKKGSLFVTFEVLFPSSLTEDQKKKIKEVLA >A07g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8643913:8646699:1 gene:A07g504320.1_BraROA transcript:A07g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGRRKDRSMEERKEEWRERPGKGGEERKSRRECSKLELALPPAWTAHDSAYQRPESSPSSIVLLALLPPLHQISCLRYARSRGLGFDASECSAAEALLLRWYLRLSVAQTSRMRGCFSPSSSGRVALLLRVNGGSPLQIQEVVRLGIEFWGSRCFVELRLSPYWHGVCRRVVSLSTQSGWCSSSVAGSRKVMSSSVGIGCKLAHVLHSILVGASDGFSSSSFSSSVLDGYERVVVLVSLTVTISVRSPLTCQHYLGLLEPLVVVCEAIVCRCFEVIDELFSGGPLSLRADSSGRPNLPGSNSRLNLVHLFAASCWLI >A08g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5368981:5374112:1 gene:A08g502470.1_BraROA transcript:A08g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSLPRWPYLYFRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNLLRRLAVIIFTIFGPDEAADERKLKRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWCNSQKVFSGFPSLSALTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRERKTFRVPLLDGRLLAGVLTGRSFPRDSCSIEWGGEIEPLPADFGGSAGTDSLGPCRGEHLFKLLESRGVGLRVGRRYVRYRSVEIGAAASVKGSLHVIRVRQTVGTEIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYSSFCSSPRTPYILAPRSHKNGDIPFFPIFTIIFKTSVFIRGNLTFILPRGPSANHAVVHGLLVRKNRRMGLESCFRSLWAVFRLDTFTTSFPRFLIREIAFEGLKNARTGVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVAKSFGLSSVADRALARARSLRSVGRYVATERDELDVFGSSSLGRSEDDRSVATYERPSFGSSSVVRSDRAGRSLGRYVATELWRARSLRSDRAGRSLGLNPKEYFFVKITSYRLFLRKLHPFFY >A07p010350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6496015:6497934:1 gene:A07p010350.1_BraROA transcript:A07p010350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKKDSVSSETKGQRPSTLGRLTMEEPFLIREEQLVPSTRTWHRGQLTVELKKVCRLAAPMATVTSAQYLLPVISVMVAGHNGELQLSGVALATSFTNVSGFSIMYGLAGALETLCGQAYGAKQYEKLGTYTYSAIASNIPICFLISTLWIYMDKLLVSLGQDPDISRVAGSYAFSLIPALFGQAIVIPLTRFLLTQGLVLPLLYCAVTTLLFHISVCWILVFKFGLGSNGAALSISVSFWFYAVILACYVRFSTSCEMTRTFVSDDFVSCVKQFFHYGVPSAAMLCLEWWLFELLILSSGLLPNPKLETSVLSICLTTETLHYVISNGVAAAVSTRVANNLGAGSPQVARVSILAGLCLWLIESVFFSTLLFTCRNIIGYAFSNSKEVVDYVADISPLLCLSFILDGFTAVLNGVARGSGWQHIGAWNNVVSYYLVGAPVGLYLAFSHGFNGKGLWCGVVVGSAVQATILAIVTTSMDWKKQAEKARKRIISRENGLA >A03p062110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26984694:26987713:-1 gene:A03p062110.1_BraROA transcript:A03p062110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRRDFVRNGPGSVKMVAEDSDDLWYAYNLIAVGDSVMAVTFRKVQREVPGGGRDSERVRLKLEVQVEEVDYDKDAAVLRIRGKNILENDHVKIGAFHTLEIELKRPFVLRKGIWDSLALDTLKQASDPAASADLAVVLMQEGLGQIFLALKKFFENVLQAFVKHVDFSVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIILVHTNSGYRHSLGEVLNAPNVMNMIKDTKAAKEVKALNDFFTMLSNDPARACYGPKHVEVAHERMAVQTLLITDELFRNSDVKTRKKYVDLVESVKDSGGEAFIFSSMHVSGEQLAQLTGIAALLRFPLPDLEDIEM >A10g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18373843:18374256:-1 gene:A10g506400.1_BraROA transcript:A10g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPFSNKSTFFTSFSSRWCRNLEYDILLEAASMGASGDVSPKAVKFRKALLVGMLERGRDKADVEEAIFITTANAYVLLWDYASRTLAF >A03p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2685894:2689511:-1 gene:A03p006340.1_BraROA transcript:A03p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase 1 [Source:Projected from Arabidopsis thaliana (AT5G13700) UniProtKB/Swiss-Prot;Acc:Q9FNA2] MTTASVIIIGAGISGITAAKELAEKGVEDVLILEATERIGGRIQKQSFGDVSVELGAGWIAGVGGKESNPVWELASRLNLRTCFSDYTNARYNIYDQSGEIFPTGFAADSYKKAVDSAILKLKSLEAECDGQEAEEAPSSPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREYLVADERGYESLLYKMAEGFLYTSDGNILDNRLKLNKVVREVQQSRNGVVVKTEDGSEYEANYVIVSASIGVLQSNLISFQPPLPKWKTEAIQKCDVMVYTKIFLKFPRCFWPCGPGQEFFIYAHEQRGYFTFWQHMENAYPGSNILVVTLTNEQSKRVESQSDEETLKEAMSVLRDMFGPTIPYATDILVPRWWNNRFQRGSYSNYPMISDNQLQRNVKAPFGRIFFTGEHTSEKFSGYVHGGYLAGMDTSKTLLEEMKQSLLLQPLLAFTESLTQTDQRSNPQMYSNVNLISGRS >A09g511210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33797222:33805569:-1 gene:A09g511210.1_BraROA transcript:A09g511210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGCYIRDAIQWIRFCGCKFILTLQAKSDSMRANSATLRRTMGEEDNQNNGMDQLLLDALTTRMITLMDQRLENFRAEEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLHLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKAIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLDTSVGLCLCVDSHRLRLLNTFIFFLRYLILNLVDMATEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEEADEYNISEVDWGEEPGYSWEDQNYGDGSEEDDQCRESRAEDGYEEGPCRGELDSKPQDHYKNHTINKSYSKPWLKFTDKFYDYRNENYSRWEEDMENYFWEYKVPEHKKLSIALDTLVGEAYQWWLQEEECRIYFKEPTPHWEYVKELMYEHFEMRRLPPRTCPKRFVKLKPRQLHEREVTLTSHYNSYDQFRLYKFSGKGEDPRNYLQWEEDMERYFKCNSIPKGEYLSYGLGQLTDKAQRYWKREEKYREQFQEPPIRTWEQFKGVMRDRFAPYIPTQHAQKVSTKRVVQPQVLQPANQRQSSKPFDDLINLIKAGSNSVSSNSMTVLTHLSSAQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDKVISELNVTYRNYQNTGMMHLYSVQNVYKGLGNEETRPEAQQQENNEQSILETSTPADHALEVANTEAESMQDNQVSEALNFTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPEFNRETIFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHMIKMNPLFVNLPYMDAFTLGVIKDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVSVFVWIHIGAGFWSYQRDISATLCGDNRPIQA >A09p011310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5668670:5674831:1 gene:A09p011310.1_BraROA transcript:A09p011310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNSSFSSGNGNNEPDVSSSCFYVPNPSGTDFDDAESPPLPPPLSPAPQSIPADLAAAIPLIDRFQVEPFLRLMQKQIQSGGKRGFFYSKKSSSSGSHLQKERFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFHLILKYMGVDSSSPPSLDERIELAGKLFKKTLKRVELRDELFAQISKQTRHNPDRQYLIRAWELMYLCASSMPPSKDIGGYLSEYIHNVSHDAASAEPEAQVLSLNTLKALKRSIKAGPRHTTPGREEIEALLTGRKLTTIVFFLDETFEEISFDMATTVSDAVEELAGTIKLSAFSSFSLFECRKVVSTSKSSDSGNAEEYIGLDDNKYIGDLLSEFKAIKDRNKGEILHCKLVFKKKLFRESDEAVTDLMFVQLSYVQLQHDYLLGNYPVGRDDAAQLCALQILVGIGFVNSPESCIDWTSLLERFLPRQIAITRAKQEWELDILARYRAMENVTKDEARQQFLRILKALPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSAANSLVSGDISCSSKPQNLEVYEKRVQDLSKAFEESQRKNDMLMDELQKKTQQEVSMREELEATRNSLELGRKKLLEVTLDSDKLKSLCDEKETTIKTLMSELRGMEARLAKSGNTNLSKEPKSELTEMDNQMLYKIQAELDVRNKELHIAVENSKRLLSENKRLEQSVFNIENNKSEEAEIHQKRYEQERRVLKLRVSELENKLGVLTQDLEIAKSTIESKTSDMLLLQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEILYKEEQVLRKRYYNTIEDMKGKIRVYCRIRPLNEKESSEKKMQVLTSVDEFTVEHPWKDDKRKQHIYDRVFDMRASQDDVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGHENNPGLTPRATKELFKILKRDSNRFSFSLKAYMVELYQDTLVDLLLPKSARRLKLEIKKDSKGMVFVENVTTIPISTLEELRMIIERGSERRHVSGTNMNEESSRSHLILSVVIESIDLQTQSVARGKLSFVDLAGSERVKKSGSAGCQLKEAQSINKSLSALGDVIGALSSGNQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLLYASRVRTIVNDPSKHVSSKEMVRLKKLVAYWKEQAGKRNEEEDLVDIEEDRTLRDGGDSLIKLT >A07p016210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9983360:9987988:-1 gene:A07p016210.1_BraROA transcript:A07p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAPTQASSLASGLQISEEVEQRFNLVKSVGEQCTHEDELRDLLAKKAAPVCYDGFEPSGRMHIAQGLMKIMSVNKLTSAGCRVKIWIADWFAYMNNKLGGDLKKIRVVGEYFKEIFQAAGMNCENVEFLWSSEEINARGDEYWPLVMDIACRNSLAKIKRCMPIMGHSETEELSAAHILYVCMQCADTLFLEADICQLGMDQETVNLLARDYCDDIKRGTKPVILSHHMLRGLQQGQTKMSKSDPSSAIFMEDEEAEVNVKIKKAYCPPGIVEGNPCVEYVRYIILPWFNEFTVERDEKYGGTKTYKSFEDIVTDYESNVLHPKDLKDALSKALNKILQVRISFCRNNTPVRDHFKTNSCAKNLLKQAKGYKITRTALSKEMEALSVNAPNPASSAAGLQLSEEVENKYNIVRSIGEECIQEDELKNLLAKKPTPICYDGFEPSGRMHIAQGVMKVTNVNKLTTAGCQVKIWIADWFAQLNNKLGGDLERIKVVGEYFKEIWQAGGMNTDKVSFLWASDEINGRGGKYWPLVMDIARRNNLRRILRCGQIMGRSETEVLSAAQILYPCMQCADIFLLEADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSNPSSAIFMEDEEADVNEKISKAYCPAKIVEGNPCLEYVKYLVLPRFNEFTVETDGGNKTFKSFDDIAAEYESGALAPEDLKKALVKALNIMLQPVRDHFKTNERAKNLLEQVKAFRVTR >A01g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22254844:22255779:1 gene:A01g507830.1_BraROA transcript:A01g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYSIPAKLDISELLINSYATKLSAFWRHDSQSPPRNITSTSFQWYDALKQKQKATHQNIHFEKSAVLSIPSISASNIHVSSNHQLQYPNLTDAEQRGLCQNPSQEIGTDSVSLSESSGKDMVVAKEGEFYEARRGSSSAVEEKRKIFFWFRPPGNWVFLECLFTVTVEAVKAK >A03p045070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18831801:18832947:-1 gene:A03p045070.1_BraROA transcript:A03p045070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLRRAVGKVKEVERFPSSTSRVAAHRRSLPKEELTAPKSPSTAAVDGVSDEEGRRTTSDDNVLEERDPKYDTMLNQMVGRIKAKPGGKAEMGEASVVESSKRPLPKLRNTTPESTRYEEKPVPQGTLNVAQVRHIMLLFQGKAQDHSGSMSVEEIAKNYRIDVSQVKKITQFLSLPPEVTDKQKKRYE >A01p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6351561:6352145:-1 gene:A01p012960.1_BraROA transcript:A01p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKQFVSKHQVFHQVFHFCCKSMPIFNNLLNLSIESNNQNGWQVMPLLLKSCPNLQTLVFKGLVHKVTNRCGDACACNPQNPSLKNSKKMKKKKKICCLQTCPVKVLEISDYGGCFQEVEQMRHFLGNLKCLETVKVGVDPDNKGKNAEFLLANLMNLPKLSSKCTIQLL >A02p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:432735:437821:-1 gene:A02p000890.1_BraROA transcript:A02p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNPGFLFLFFYLLGFLGDSPVDAAVKKYQFDVQMKNVSRLCNAKPIVTVNGMFPGPTVYAREGDRVIINVTNHVKYNLSIHWHGLKQYRNGWADGPAYITQCPMQTGQSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPQPGKPYPFPQPYQETNIVLGEWWNGDVETAVNQANQLGAPPPMSDAHTINGKPGPFFPCSEKHTFVVEVEAGKTYLLRIINAALNDELFFGIAGHDMTVVEIDAVYTKPFTTKFILIGPGQTTNVLVKTDRSPNRYFMAAGPFMDAPVSVDNKTATAILQYKGIPNTVIPILPKLPSPNDTSFALDYNGKLRSLNTPNFPALVPLKVDRRLFYTIGLGINACPTCVNGTNLAASINNITFIMPKIALLKAHYFNLPGVFRTDFPDRPPKAFNYTGVPLTANLGTSTGTRLSRVKFNTTIELVLQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPKTDPAKFNLIDPPERNTVGVPTGGWAAIRFRADNPGVWFMHCHLEVHTMRMATATYPPPPPYYRLYKDYSENPSSAPEPPPPIEGTYVCFGGNYTTEDVLPSLEEQGVPQLYPKDSNVDYKKELRSLNRELQLHILELADVLVERPSQYAKRIGDISSIFKNLHHLLNSLRPHQARATLIHIMELQIQQRKQAVEDIKRGDRNLTKVKRDVAWWMEAAPPRIIYPEKPSTTPVLETILEERETEEDDQEHDEEDL >A05g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3941654:3943491:-1 gene:A05g501090.1_BraROA transcript:A05g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLQLSRHRLVLQLKKKTSRFNYIQTTFNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLPGKSSTARRLSNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMIMAIL >A02p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3910331:3910868:1 gene:A02p009260.1_BraROA transcript:A02p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQFAEEADVKIRAAAAASDLEHMAAESSVVPDFERGRFYDVYSARRNERLKRRKGGEEEDAVVKGTLYNLGVDPMPTKRRGTFKKKKAMVETTTTPRYSLRSTVNKDNKKPLSVAEIEVWGFDFLLSNVCSIVLLSGCRHK >A04p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10464285:10466147:-1 gene:A04p017030.1_BraROA transcript:A04p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPATQKYFNLLSRVLFVFFTRPSVSPNQFITRLFCYNMKHFCTIQGCVPRMEFGFLRHCLEQNLTLSWNLKASSLATTSYLQRLPLSMVASSRNHYYKNSLLLKRYLVRVGSSTEEEHSLSQDSLDDSVSRPLTSDELKSLLIDNQRSKLVKKLSEANQHNRFLKRQLKTQEDEITNIKSELAIMELEVQALVNLAEEIANLGIPQGSRKISGKYIQSHLLSRLDGSSLLLLVLHHLHKFPSRNYIVTKSSFVPIKAVHNKLKEQIKDVEAAQSKEVNVFWIGMAESVQVMGSFDGWSQPEDLSPEYTASFTKFSTTLVLRPGRYEMKFLVDGEWQISREFPTSGEGMLENNVLVVE >A06g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19662167:19662908:-1 gene:A06g507120.1_BraROA transcript:A06g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKSLLHDVKSKGGSDDEAPDVNIRNRKRKHLPSFMYLPGGSISAPVTPPLSSPTARGMNQINNSFFVSSTPPSPTRQQSVPASPTFSLVSRNPFGFREDQLASAGGGGGSRMWTPGQSGTCSPAITQTGYVPMSEAVAPPPEFAFGSNANGLAKAWEGERGYMKRVVLMISSSLLETQALGVIEKPVPTKPFFNMSLQFYFPM >A06p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2150516:2154282:1 gene:A06p006440.1_BraROA transcript:A06p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:Projected from Arabidopsis thaliana (AT1G08520) UniProtKB/TrEMBL;Acc:A0A178W355] MAMTPVAASSPASTYRLFRPDFIIPKPPLLSLPTKRNRAASHRFTVRASANAIVESPNGAAASSSDIDSSDYGRKFFPLAAVVGQEGIKTALLLGAVDREIGGIAISGRRGTAKTIMARGLHAILPPIEVVVGSISNADPACPDEWEDELAEKVEYNADNTVKTEIVKSPFIQIPLGVTEDRLIGSVDVEESVKRGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTDGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRVAINLSADLPMSFEDRVAAVGIATQFQERSNEVFRMVDEETETAKTQIILAREYLKDVKITKDQLKYLVLEAMRGGCQGHRAELYAARVAKCLAALEGREKVTIDDLRKAVELVILPRSDVVENPPPDQENQPPPPPPPPQSNDAGEEQNEEDQEEEEEEDENNEENENEQQQDQIPEEFIFDAEGGLVDEKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDISGTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDAAEVLLPPSRSIAMARNRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANITLKRSTDPEGIAPDAPRPSSQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKDALSALKNS >A04g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9342081:9347585:1 gene:A04g504510.1_BraROA transcript:A04g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFIDPRGLRTDGSRGHTKGCGIAQRYYQTSIVAGSDALLDGKDKPQYGQIGHLAMVPAKAPFRTYAGLSSTLHGQSVRYGEKHEPQLKYSERSDLEAGSDPCTDPWTAVYHFLLLQKDLSSNPNKGAGDEGAVVVPESKQRWLSIKVDMMLVASSSKTRSGSSSTSKIVTLPQKPVETKQGYSDAPVAKQFKIRKITTFITRHQTFNWFFIIFKFTLGFLTDGKGWLSYWGTCGCALLLALADVLEGFVHTLHKVITKLLDIKLKRLPFWTRFTFGYPRGLRTDGSRGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPQYGQTGHLAMVPAKAPFRTYAGLSSTLHGQSVRYSEKHESRLKYSERSDLQAGSAPCTDPWTAVYRVPNMLSSAE >A10g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13851464:13853048:1 gene:A10g505480.1_BraROA transcript:A10g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKFEYGNQTADKPSSIDTRRPSMHTARSLRSDRARAKARSRRTDRARAKARSLHSDRAIVPLGRYVATELGPKLGHYEATGLVPLGRYEATGLDPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPCPSYDFPNQKPVNHSLVYAWSTRKDKCQVSADKYGSFEDNCEDRENGISPFLCYDGLRTEEVFLVSLFKRKSSVRISVPTISESVIWSPCDSQLLVVPVLKETAQVEVKSIPLLVKDQQVETLVRWSLNMTVNR >A05g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6240770:6244778:1 gene:A05g501870.1_BraROA transcript:A05g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKVEKEVIAPSRLVDWSRFRFLAKPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNSKSPPSSLMVEQSIFDEFDIFSSENKRFNPSNIHHGAMMDTENMDLAQRLLVSEAREQFRGDDDGQEAVDASIVPVSYYPGNIFAEESPLEVWRIRPSVVDGQDWSNVERTKSTVDVCSSNVCFSSLFFLVGHPNLATYPEDWRESARIVALQKQDHWEDFTRERIQRSVDRIANQSWISEPHPHINQSTSKRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGAKRGASENRVGPSGLEVVEATPIATEQARTGGSSQGKSSKKSKKSAGGPKDSSEPEHPGADGSSKKGGKKRKAGDPPSEDIPKKKRMKKKEPAPPRSSSVCEEELQALVPEAIPEVGTSEDDENETIALRRRRRESRVTEEVFRGALAGDLRSTEVPRGISTSGGQRDRLRNESPAHVTEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSSLRRAREQIRESEEAKKRMEEALRVSTREKADAIAREKALRKAFDETRTSDAAELQMCKESMNNLEFVVDKQRKEKADLEAKMAAELLRHSEEMDRLRKSHIDPAKQASAGAVVLKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKGDDALAGMPTADATAPAPTKFGRVSGPGEGDGRGNEDPPVVD >A07p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19365062:19366938:-1 gene:A07p035930.1_BraROA transcript:A07p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSMISSKLLFYTITVSTLLFIVSSLFFLQRSDSSFTSSLVRKLILPSTDLKNEQTDAKPVSCGVLKVFMYDLPSEFHFGLLNWHKKGSETWPSVKNVSTVPSYPGGLNRQHSVEYWLTLDLLASETPEVKRPCSAMRVKNSKEADIVFVPFFSSLSYNRKSKVSGNETTSVDRLLQERLVEFLKSRDEWKRFGGKDHLIVAHHPNSLLYARNSLGSAMFVLSDFGRYPSSIANLEKDVIAPYVHVVKTVSNNESASFEKRPVLAYFQGAIYRKDGGTIRQELYNLLKDEKDVHFAFGTVRGNGTKQTGKGMASSKFCLNIAGDTPSSNRLFDSIVSHCVPVIISDQIELPFEDTLDYSGFSVFVHSSEAVKKGFLVTLLRGVTEDQWRKKWERLKEVVRYFEYRFPSQPGDSVDMIWSAVSHKLASLQFDVHRKNRYRRAEVFERSLST >A08p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17857414:17861344:1 gene:A08p028660.1_BraROA transcript:A08p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRTPFLANGGEAEEDYAPARTWSDVKRVLSTESGKLWMFASMVAFDAICQFGVSFMTIVFVGHIGEIELSAVSISLSVIGIFSFGFLLGMSSALETLCSQAFGAGEVNKLGIYMQRAWIISLVSCLVFLPIYIFATPVLRLLGESEEVAVSAGEFTLLTIPQLFSLAFTFPTTKFLIAQGKVVVMTSIGFSALLLHVFMLWLFIIVFGWGTNGAALAFNITNWGTAISLIVYVVGWCNEGWSGLSWLAFRDIGAFVRLSIESAVMICLELWYMMSIIVLSGRLDNDVIAVDSLSICLNVNNVELMLFVGVNIAISMIVGTELGKGRPRAAKYSVYVALFESLIIGLVFMVAVIIARDHFAIMFTNSQVLQRAVSKLAYLLGITMVLNGVQQVLTGVAIGGGWQRTVAYINVACYFIFGIPFGYFLGYGANLGVMGLWSGMIAGSALQTLCLMFLVYKIDWNREVEETTERLQRWGGNGTTTKDTQHKDECICSNSRQLRTERIPHPVFSLSTIFIPPIKMQLYSIGAYTSGSSAHKQQFRDIIWITRWCERKLSKSLGKGRRNVMKDEL >A04g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11314796:11315387:-1 gene:A04g505210.1_BraROA transcript:A04g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPALNSHTTAKSRRSLSVKHQLYLWLIPLKNAAGSKSSGSSLDDDDEDEDDDVCDWGFVVRKKSGVDEVYPTGGEGSSCRELARVILKLGEVYERIEGAKQRMMVELEKQRMEAAKEIELQRMNMLMDMQMELERSKLAKRRTAAS >A05p049940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28949185:28952029:1 gene:A05p049940.1_BraROA transcript:A05p049940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCICFKPWRRSPSPSVKSTVVDELDNSNKDREGSSCPRFREFTLEELNVATDGFSADNIVSEHNEKVPNIVYEGTLDDGKKIAVKRFQKLSWPDASEFVEEAQEVGKCRSEDMVSLIGCCSEGQERLLVADYMPNGTLAKHLFHWEKRPMKWKMRLKVALHTAKALEYCNDMGLDLYHDLNTYRILFDKVGNPRLSCFGLMKCIREGKSYSTNLVFAPPEYLRLGTLVPESSIFSFGTLLLDLMSGKHIPPNHALDLFRGKNYLVLMDSALDGQFSDEDRAELIHLASRCFRREPDERPSIKFLMSALSRLEKRAELWPKLKEENIHDPSYTKPATNQPLRLTPLGEACSRVDLSGIHELLEKLGYGEDDVSVTNEFSFQMWTGDLQENNDYKKHGDAAFRAKDFETGIEFYTEFMSGAPVVSPTVLIRRCLCYLMSDMFSEALSDAMQAQVVSPECSTALYLQAACLLKLGMEAKAKEALQQGSALEAV >A03p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13451833:13452606:-1 gene:A03p031810.1_BraROA transcript:A03p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKILMSVNMRCQKCRSAALKIGGQTTGVTFVGLEGEDKDQVVVIGEGIDAAGLVLRLRKKVGFADLISVTDVDTS >A10g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4727169:4728411:1 gene:A10g501730.1_BraROA transcript:A10g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEGGAPSKYVKLTKEQAPVDEVNPGELNQPIQVPHLAVHKCNECGQTLPENFEAPADEPWTTGIFGCTEDMIWQGLFCPSVLFGRVYETLSDEETSWTKACICHSIVVEGGLTVASIAACAPGIDPHTTFLMWEGLLFVWWMCGIYTGNVRQTLQRKYHLQNSPCDPCMVHCCLHFCAVCQEHREMKNRLSDNFVMPMTVVNPPPVQEMSASNDSVPVSHHGSELEMRPL >A05g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11397442:11399764:-1 gene:A05g504120.1_BraROA transcript:A05g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22647442:22656170:1 gene:A04g508520.1_BraROA transcript:A04g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFTRASPRDKKSNLYYITVIGLLCIGSYLLGIWRTSSVIPRAAFDYSSGPPCEKFSKTISTRDLDFNAHHNPHDPPPVKAAAVSFPSCGAQLSEHTPCEDAKRSLKFPRKRLEYRQRHCPEREEVLKCRIPAPYGYKTPFRWPESRDVAWFANVPHTELTVEKKNQNWVRYENDRFWFPGGGTMFPSGADAYIDDIGRLIDLSDGSIRTAIDTGCGVASFGAYLLSRNITTMSFAPRDTHEAQVQFALERGVPAMIGIMATIRLPYPSRAFDLAHCSRCLIPWGQNGGVYLMEVDRVLRPGGYWILSGPPINWQNRWKGWERTMDDLNAEQTQIEQVARSLCWKKVVQRDDLAIWQKPFNHVHCKKTRQVLKKLKYTKMDSCLTPLPEVDEAEDLKTVAGGKVEKWPARLNAVPPRINNGDLKEITPEGFLGDTKLWKQRVSYYKTLDYQLGETGRYRNILDMNAYVGGFAAALADEPVWVMNVVPVEAKLNTLGVIYERGLIGTCEAMSTYPRTYDFIHADSVFTLYQDKCEPEDILLEMDRILRPGGGVIIRDDVDVLIKVKELTKGLQWEGRIADHEKSPHERVKIYYAVKQYWTVTAPEEEDKNNPIFHHRVCSDTLKMHPVYSSSSSPATNDVSSPLLNRVLPSRSSQPLRGAASRLLRRASGRGMMLRESSVRVRETAAEQIEERQSEWAYSKPVIVLDVVWNLAFVFVTVGVLWFSSEENPRVPLRFWIVVYNLQCLLHVVCVISEYRRRHGYDRLNRDLDSGLTSSEESSEDESDGPEIESGTRQVKIIFFLLSITFSFNTMSLAKHLESTNAMFSFVWWIIGFYWISAGSEELSQSSPHLYWLCVAFLAFDVIFLVLCVAVASLIAIAVCCCLPCIIAVLYALAEQEGASDEEIERLPRFKFLTVRNSEKVNGEILETHGGIMTQLGVDSPSERVLSSDEAECCVCLCEYEDGTELRELWCRHHFHEACIDKWLRINATCPLCKSNILKTDEQSGNDAKV >A02g502400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7839159:7839620:-1 gene:A02g502400.1_BraROA transcript:A02g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNVGFEWNRSNLLVGGGWVVRNERGVVKCHSRRAFSNIHSLDEAKLVVILWALESLGSHRMSNIIVAGDFSELVGAVERPQAWPSFLHQVGEIELAMARIEGCRLISVGNEANKGATFIAQSVTRQGLIRSYVQNGHPPWLFELFVNESRCL >A06p021840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10868057:10878924:-1 gene:A06p021840.1_BraROA transcript:A06p021840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKDLKHGLSSQCGPSKPQENLSHTHRGYDGQSARVVGLSIPNAAVETMKASMEEDDECFPLGGGDKVRKIEVSGGNISLVVDFSGSLTGASSHNAFESNVSCLKENQTQLCLVGNLVWAMTKSKKWWPGEVVGYKADATESCFMVRYLGEGQLESWCAPSKLRPFKESFERLVSQRNDVGFFVAVEEAMTLLRNSLRLEMTCSCMSERNGKKPARKTKPLILREFSVDRLEPKEFVTQLKNLAECVSSGGILEATVMQSRLSAFYSFCGHKQIPMDLLNQNEARRSFNGSKMEESEFVGSPSVVAGSSRRKFRKEWFRKFVSEVDYVSAREDLVDTSPSDLVSKLKLLAVDSSTCAEETENVGLFEWFFSKFRISVFHDENSYRMQLANMAGLNDLIVAKAAISKSKNVGKSKVEPFCGVSVAGAEQKTSESQKISEKSKIEVTGGVSVANIEQKNSEKSKIELIGCVSVSDTEQKISESHKNSERSKMEVIGGVLVANTEQKTFELQKNSEKSKIEVVGGVSVADADQKAFESNTRAEKYRTYQRASKPKIPGLKTIKDTISRSDISGSVANEPSLQGKACMADTLSRPAATLVPDLNGGGNGLASAESDHLQKPETLVPPSALPQKERPFSMMLNFQAAAASTAAPRSNYGISGTGYVSSLTDLERKFTSADLCAKVTGTEKKKRGRKRKNPEAAHATNGIPDLNGTTKEPASVPPQAEPTQRRKRRKKEEMPNRSTRGITVLVLKFSSKESMPSKDDLTSTFSAFGPLDASETHVYEELSGAEVAFVSSGDAVEAVKSLDKANPFGENLESFRLQQKLINVYRNIAPRMPEISHVSPLQKPKNAPISVESMKQNLMMMTSMLDKSGDHLSRETKAKLKSDISTLLEKISSMPSSSSSS >A02p005780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2488566:2489436:-1 gene:A02p005780.1_BraROA transcript:A02p005780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSRGQPKREQIRKTEVISYEKSVTQLSREGRQTHLVVDRARKTPLNTHSRSYSTVFDNTSPQYNWLRVGWLVEERRIVLTGRLYRYYYDPLGQVYGTRYEVEQMCAYIDRNKNRKKREIIIID >A08g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5935974:5936636:-1 gene:A08g503080.1_BraROA transcript:A08g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARSDYSGASRQSVTPVIFEHSRDLIMNPDEERFSRMSAHPMKKKKKKREKIMGLKKKTDGSRINPHPWRGRKQE >A09g510800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33217468:33219256:-1 gene:A09g510800.1_BraROA transcript:A09g510800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTFPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIPVKENSTSTKGEDIKLSIQDYLDPGRTYSNRSTIKIPGDDTKKSKFNADYYCMVRQNPFQIKNSLKTLHSFLQNKHRSDIAQIDENALSDTDDYSDEETNCSDPSSVFHVESFTQAYDTALKSRTGRERFNIRHALTGNRKTKSEFYGKINMVYGELMEKADSLGELIRKLEGQVAEIATAIKSDAGCLPGRTDLNPRRQVSAVILRSGKNLAAGTRNNSDVGKPDDADETGKSNSHPIFLDELDPNPSQDSRKTTAEKAKEKAIDLELDEDTEIEDEIDRQYGTDVDRPKTPTVDQQPEKPIDRRSTQPEPIIERKTQSARKS >A05g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17239549:17241230:-1 gene:A05g506010.1_BraROA transcript:A05g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACAQVSVKSILTGALKPKRVNSSHQYAWPRSYQGKMLTLGWMMECRASISTTWTNQTDLDCPVHQNSSLCPDQYTDQSTGRASMLICVLTWCISCPKSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKAQHADMCGQHADMSSVHGSVHGSVHGHTRISTRTVHGHSWEGQHADMCGQHADMSSVRGSVHGSVHGHTRINTRTVHGKGQHADLYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGGYDSVTDQYTDQYTEQSTGRASNMPDMCGQHADMKFSTNGSVHGAVHVPGHWCISCPKISTRQSNGKGPAGLMGGLVHRPDMSTRISKQRISPTGSDSRLRCVLTWCIECVSKISHTGQATGRASMLIGKKETGEKKTRVPPPTTPLHVLNGRPLCTEQDSPSWAKINRTLAQKGQAC >A08p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21195535:21196469:1 gene:A08p035960.1_BraROA transcript:A08p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-23 [Source:Projected from Arabidopsis thaliana (AT1G26960) UniProtKB/Swiss-Prot;Acc:Q8LFD3] MSCNNGLAFFPANFSLQNHHQEEEDHPQYLLPSCTPPQDFHGFLGKRSPMQNVEGFCNLEMNGEEDFSDDGSKMGEKKRRLNMEQLKTLEKNFELGNKLDSDRKLELARALGLQPRQIAIWFQNRRARSKTKQLERDYDALKRQFESLKDENDLLQTQNQKLQAQVIALKSKEPIESINLNKEEGSCSNRSENISGDIRPGHPPPSPTATTMQFFHNSSPEQRMVKEENSISNMFCGMDDQTGFWPWLDQQHYN >A04p014510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5574670:5579232:1 gene:A04p014510.1_BraROA transcript:A04p014510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) UniProtKB/TrEMBL;Acc:F4K790] MGEESETASDLHLLHKIAKILNDTRTSYATHNRKLKELAALRRSDESSSIRRFSSVFFKALTPLFAAAQRRTAAAERIVRFVAEFACLRSSSDGGDSDCDEFLDEFLRFLIPGSVAANRNARFRACHIISEIILRLADEVEVGDELWDEVIECMMVRVRDKVPVIRTFAVRSLSRFVNDPDNTDILDLLLEVLPLEQNPEVRKTIVLSLPPSNATTQAIIDCTLDVNESVRKAAYSVLANKVPLQSLSIKLRTTILERGLADRAENVSKECLKLMKDQWLSNSCQGDPIEFLKYLDVETYESVAESALEVLLSEGLIMPSDDKSIQQYISSADGEARDESTCSTPSIQLMEPEIALYWRIICRKLHKSAQAKGSDAATAMGAEAAVYAAEASDANDLLERIIPASVSDYVLLVKAHIEAGPNHHFASRQLLLLGTMLDFSDAMLHKTASSFVQELLHRPFEQELDEDGNSIVIGDGINLGGDKDWADAVSKLAKKVHSAPGEYEQLILVVVEELARPCRERTADFLQWMHMLSLTSLLLENGESIHSLREKAIEPEEILHALLLPGAKHTHLDVQRIAIKCLGLFGLLEKKPSEELVRQLRIAFCRSPPPISIMASKALVDLGMWHSPTEVDKAMGQDLLSQFEDENIDFVPVDLSNAEEDLNFKMLDLLYAGLESEDWRAYTESTENESVKATVGEGFAKLLLLGEKFPNLPASLYPFVLVKLISLYFSEESKEQLRFKQCLSVFFEHYAPLSEKHKGYVSKAFVPLIRSMWPGIDDGNSKNSSYVVSNQRKRAVQASRFLVQMMQTPLYKSPDSLEESIQPPLDRTEEGLAIRIAIEMVSFKAKKTAAEKAYVLALCKTVVLLNLKSSEQNVMKLMKNLLSRVADSVCPEKDLLKEVKLVLEHLKSLDDNPSEELSQDEANSIFESLGVNYDMDITAPVTVPQTPAPCSTRPAPSRRRVRRIEESSDEEETSPPPSAPNTLMTRSHRASKTAALAKIMASKVKKRDTDEVIEEEEGSSDVTAEDSDESDE >A07g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15414267:15419160:1 gene:A07g506410.1_BraROA transcript:A07g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQWLNQTVGFFVFFFLDIFDYLFCFIYKTLDLFFEYEWKPCYCSSPLEVQAKTRKIIVSERGDYSKVVSMTRTKIHFDEISDTLYSRGPLLLSKLVSSVKCFNCRGLIMRHNVVESCDNNDESKKKTRSGSNKRLMTLNSTVVEKLPTTPRWSDCHCSFCTSWLSPSNKDSLFVKVQQPKDNKKARDNVVFIHGFLSSSSFWTETLFPNFSDTAKSNYRLIAVDLMGYGRSPKPNDSLYTLREHLEMIEKSVISQYKLKKFHVVAHSLGCVLALALAVKHPRAIKSLTLLAPPYYKVPKGVQAAQYVMSKVAPKNVWPPMQFGASLISWYEHLGRTVCLVLCKSHRLVDSLTRLLTLNRRFSPAPGLTGSPMPPFRSYVLFIPFALCFTVHKAVIMRWSEDPKLYATETDKKIHRTTSIGVTCPLVGLLISVESGSFLRCCCSMRFGTPYSQRSPLFLSPGTDELFHPPFETPLTPSDTRSGNSSWLDLKSFRSFLSLSFPTMMMPAFSLLRSLVGRRQSSLGDSSFNGGGKFSLGYECILGLSADFGPHLPFFGAWPTKLMVKIFGGFTDVYDLCALPYIYFMKKLLVGSPSHVPCSIPFPYLLSMKGEDFSVSTGKCSSFYTVLLSCVAVCTGPEDASETTSVYLVGENWVSTSLVTNFRLSDFVVKLLSTHSSFALNSLSSSHEDLSILALMRTYLIEGFLCHTHNGSWHTLHNIIFGSGGKLDSYLDYVRDHVDCDVTIFHGGEDEVIPVECSYSVKTRVPRATVHIIPDKDHITIVVGRQKEFARELELIWQTSKTTYK >A03p025770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10854610:10855400:1 gene:A03p025770.1_BraROA transcript:A03p025770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSLAISPRKLRLDLYSYSYRDGSNTPLVISVLSSVIERTVARNERISPSYGGFGKTCVFDCMEVPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQNNQGFRISLTNVHRLLITTIMIASKYVEDMNYRNSYFAKVGGLETEDLNNMELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYQIEKALRCAEEIKSKQIVQDPKHHHHHQFSRVLL >A10g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5246635:5247341:-1 gene:A10g501980.1_BraROA transcript:A10g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTFLRRIQKYPKLLPVHEPSVKWNKRMKEAVYVVFQEELRHEKELRKKLEAEVQRTKDELEELKKEQESLIDIFSEDRDRGDKEEENLRNKLEEASDTIQALLDEKSRRIR >A09g506530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:19348214:19348912:1 gene:A09g506530.1_BraROA transcript:A09g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPQVNFRVTQSRPLSPSEGYENSLTITIDTKSYDFLENPTTGHRIFTGRSTPVYPPALINICLLSSSPHDIFRQLLREQAIHDTNRPHVLAYLAKQISAAAISLGFGRNGFVMDIDYKVVYANVVVRSDPPPRDESLSLRASLLRLVLLGGVRYREETKGLKMETEPCCSICLDPLVSRGSNSKRGVPTRMTCSHVFHDGCLLELLQRKNTCPLCRTVLYDRSTILNTED >A01p056130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33009344:33011892:1 gene:A01p056130.1_BraROA transcript:A01p056130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHDGSITTFLGLQLLLLFFCFLSPASFSDAIPSTLDGPFTPVTITLDTSLRGKAVDLPDTDPRVQRYVTGFQPEQISLALSSNYDSIWVSWITGEFQIVMNVKPLDPTSIASIVQFGTLGDSLIHTATGSSLVYNQLYPFEGLLNYTSGIIHHVRITGLQPSTVYYYRCGDPSHGMSKIHHFKTMPVSSPTSYPSRIAIVGDTGLTYNTTATISHLVQNSPDLVLLVGDVSYANLYLTNGTSSDCYSCNFSNTPIHETYQPRWDYWGRFMENLTSTVPLMVVEGNHELELQAGNKTFEAYSSRFAFPYVESGSTSKFYYSFNAGGIHFVMLGAYIDFDRSGEQYEWLKMDLAKFNRSVTPWLVVTWHPPWYSTYTAHYKEAECMKVAMEELLYSYGTDIVFNGHVHAYERSNRVYNYQLDPCGPVHIVIGDGGNREKMAIEHTDEPGKCPDPLSTPDAAMGGQFCPSNSTTTGAFCWDQQPPYSALRESSFGHGILEMKNATWALWTWHRNQDTNSQVGDQIYIVRQPDLCPPQNVTT >A05p006460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2578559:2579320:1 gene:A05p006460.1_BraROA transcript:A05p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNTLAISPRKLRSDLYSYSYQDGSSTPLVISVLSSLIERTLARNERISRSYGGFGKTRVFDCLEIPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQNNQGFRISLTNVHRLLITTIMVASKYVEDMNYKNSYFAKVGGLETEDLNNLELEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYQIEKALRCAEEIKSRQIVQDPKHHNQFSRILL >A01p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8468658:8470676:-1 gene:A01p017090.1_BraROA transcript:A01p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYKITRDEATELLLMDPFPHRENVDVLRLPTRRGTEIVAMYIRYPMAVTTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGAKQENIILYGQSVGSGPTIDLAARLPRLRASILHSPILSGLRVMYSVKRTYWFDIYKNIDKISLVRCPVLVIHGTADDVVDFSHGKQLWELCQEKYEPLWLKGGNHCDLELFPEYIGHLKKFVCAVEKSASKRNSSFSRRSMEGGCEHPPRHSVDAPKKSKDGREKPRKSVDRLKFQGYKLSHIERPEKLKIPFEEMERSRRSVDIYRDKSQPMERARKSVDWLDRNRINE >A09p038920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:33130:33693:-1 gene:A09p038920.1_BraROA transcript:A09p038920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVVKGIRKLEPNQNKADASSKELDKRAGSWMRSSIGQLPEQDGLAHSAVSAGDQLNSAGLSVQVLGSWAGSGQWPGHVDDPCVPMGWRALVVGSWAWEISLGLVWTCPGVVWQFQGVW >A02p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8158347:8159108:1 gene:A02p017920.1_BraROA transcript:A02p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREEGRKTHVKRGLWKPEEDMILRSYIDTHGEGNWADISRRSGPNSNSPHTFQLLQNQRLKRGGKSCRLRWKNYLRPNIKRGGMTPQEQDLIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKKSSSRKQNAAESVEATPLVDKPVMSTEVRRSHGGGEGEEGTTTTWMEETNFFARIESPQPLTAHYPDTLCFDPSFAFTDCFPLL >A03p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7411835:7414809:1 gene:A03p018170.1_BraROA transcript:A03p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase subunit SCY2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31530) UniProtKB/Swiss-Prot;Acc:F4IQV7] MNSSQACFFHSPLRPISLPQPSFGFVSKRDPSLCYQPFRSRRCLTTNLNISRTRETCFLHLNRRERKCFSVSYSDKHINESIGSDEVHTDALDVEIVPENSVVSKALQDNNNRPKSFRNRFLDFVRISSVLNTAAERFFKSEIRRRLFVTAVLLVLSRVGYFIPLPGFDRRLIPQDYLSFVSGSVEELGEFGAEIKLSLFQLGLSPQIIASILMQVLCHVLPSLVKLRKEGLDGHEKIKSYIWWLSFFFAIVEGIVVACTSLQYSVFAATAQVKHVMLTASLLVCGAMTMTWLCDTISESGFGSFTNWLPYLLGVLGIFTVVTMFAVVVTEGCRKIKLQYYGFKLASASREGSPITEVEPYIPFNINPAGMQPVLTTTYLLAFPSILASIVGSPFLLHVKEILNPESTVGAPPWVYYSVYAFFVFLFNIFDIANLPKEIADYLNKMGARIPNIKPGKATIEYLTKIQASTRFWGGLLLSFLATSSSVLDHYLRSINQGFSIGFTSVLIIIL >A09p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17765544:17767161:-1 gene:A09p029850.1_BraROA transcript:A09p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, root isozyme 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G05390) UniProtKB/Swiss-Prot;Acc:Q9M0V6] MALSTPLSQMSVALPIGIDGSSRSMIKVQSVSFTEKSCGPLLRLDTVSRKLSLKKRSTVCMSLQQQASRSKVSVTPLELEEPKETPLNLYRPKEPYTAKIVSVERIVGPQAPGETCHIVIDHDGKVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAQYYDPETGKEDPSKAGVCSNFLCNAKPGDKVQITGPSGKVMLLPEEDPKATHIMIATGTGVAPFRGYLRRMFMEDVPTFKFDGLAWLFLGVANSDSLLYDEEFSSYLKDYPENFRYDKALSREEKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEERGESWEQKLTQLRKNKQWHVEVY >A09p082090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59557073:59558710:-1 gene:A09p082090.1_BraROA transcript:A09p082090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDFDSYNERKAFDETKEGVKGLVDAHITEVPRIFRLPQGTLSDKKPSLSSSNLAIPIIDFADIHVSRPRVVEKIKDAAEKWGFFQVVNHGVPLSVLEEMRDGVRRFFEQDLEVKKSYFSREATKKFVYNSNFDLYSSTACVNWRDSFACYMSPDPPTPEELPVVCRDATIEHWKHMMSLGDLLFELLSEALGLSFDRLKSMDCMKGLFMICHYYPPCPRPDLTIGTNHHSDNSFLTILLQDQVGGLQILHQDCWVDVSPIPGALVINIGDFMQLITNDKFISAEHRVLSNRTETRISVASFFTTNLLPNSTVYGPIKELLSQENPPIYREFTLEEYTKGYVKKGLNGTSHLSYLKL >A10p023650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15427117:15428021:1 gene:A10p023650.1_BraROA transcript:A10p023650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLQHQDVFSNYNKARDAMGVSSSPNPTEVDHNQKKPSPATGAAKPQPPDLALRCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNIPVGGGCRKNKRSTSSATRSLRTTPEPAFSAASFGGFGNNEHTDLSLAFALLNKQPQGSSSHLGFPSAFGNSSSHQSDMESVFGTSQKNENAGYAFGNGSSGLDMAMSDPNKVLWGFPWQMNGEGFGMMSMGGGGGGHVDQVDSGRELWTNMNYINSGALM >A05p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8979139:8980389:-1 gene:A05p019100.1_BraROA transcript:A05p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKCFVFVLLCLTVTLISCAADTCDSPRHGLFVIGPCSQIPNCNNKCVELHYSGGECFKKSSSATELSCGCCFPPFFLIKPLIQSFNRKLFHIPNPSVIHLIQTQRISAPRKIHETHQSTLGNGDQISETHRVSVDLWSVGCVSLRFLPEDHFLKGRTEIEQLNKTYKRFGSPDEEFWEKNKLRSQTKDVQTTTSI >A02p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1551497:1552666:-1 gene:A02p003920.1_BraROA transcript:A02p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSSDDSGLKKGPWTPEEDEKLVNYVQKHGHSSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSPDEEQTILNLHASTFCVLVLITYDDDDVIRWSTIANHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHLPRTDIFSSLSQLMSLSSNLRGFVDMQQQFPNGQDQTILKLQTEMAKLQLFQYLLQPPTMSNNISNPNDFDILSLLNSIASFKEITSNNLDLGSDLQDFNSLPSLKTLNSNIGPSSVLPQIPEDNHFKFCNERENLPVSPIWLSDPTNSNHHMLPSLDPSSAVSDDMIRNQYVIEHVNSNLTSSSQESGASASAAWPDHLLDDSIFSDMIP >A10p034710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20126961:20133340:1 gene:A10p034710.1_BraROA transcript:A10p034710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPRQTKGNRALSPVSTLLLSILFFISKPSNAQSSSPKFACDVTKNPSLAGYGFCNTGLNAEARVTDLVGRLTLEEKIGFLVSKATGVSRLGIPDYNWWSEALHGVSDVGDGSSFKGPVPGATSFPQVILTAASFNVSLFQAIGKVVSTEARAMYNVGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPTLVSKYAVAYVKGLQGTDGGDPNLLKVAACCKHYTAYDVDNWKGVSRYTFNSVVNQQDMDDTFQPPFKSCVVDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGQWKLNGYIVSDCDSVEVMYVNQHYTKTPEEAVAKSMLAGLDLNCDHFTGQHALGAVKAGLVKETDVDTAISNNFATLMRLGFFNGDPKKHPYGNLGPQDVCTAENQELAREAARQGIVLLKNSPGSLPFSPSAIKTLAVIGPNANVTDTMIGNYHGVPCKYTTPLQGLVETVWAKYQMGCPNVACTEADIDSATSLAASADAVVLVMGTDLSIEREDHDRTDLLLPGKQQQLVTEVAKVAKGPVVLVIMSGGGLDVTFAKNDPKITSIMWVGFPGQAGGLAIADVIFGRHNPSGKLPMTWYPQSYVENLPMSNMNMRPDNSTGYPGRSYRFYTGETVYAFGDGISYTHFNHRLTKPPRLVSLGLAKSHPCRTSKCQSVDATGPYCGKAIEVELRVRNAGEREGTETVFLFTTPPGTAFENVRLGKKEKAAVRFNVDVCKDLSVVDETGKRKIALGVHGLHVGSLKYSLILKMDTTKDDDFTFSKALQPDSQVVLDGIVLKDGLDPHLNQISPKENTVGSLSFTVTDSSSSEMILKARKPITRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGESNRRLISMDEVKKHKSGDSMWTVLKGRVYNISPYMNFHPGGVDMLMKVVGRDGTFLFNKYHAWVNFDVLLEKCLVGVLDDSKSPHFKASYYSDIQIKTLCQLSNESKTKKEKTRMEFRGDASQRIARISAHLQPSLTSQMEGKNTVMGRENCRGKGGKAGFKVAILGAAGGIGQSLSLLMKMNPLVSLLHLYDVVNAPGVTADVSHMDTGAVVRGFLGAKQLADALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVKTLCEGVAKCCPNAIVNLISNPVNSTVAIAAEVFKKAGTYDPKKLLGVTTLDVARANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQVKPPSSFTPSEIDYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDANVVECSFVASQVTELPFFATKVRLGRTGAEEVYQLGPLNEYERVGLEKAKEELAGSIQKGVDFIRK >A01p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11783245:11784195:-1 gene:A01p023810.1_BraROA transcript:A01p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSIRFSCSALPHDIILKIGSYLEVTDLCALSSCSRFWRELCGSDILWEPLFKERWPLLSTFDGDNTLFPDAQTDETSQEWRRVYVMQHMEMASRASEVIAFVTEWPAALSLEASEYLHAVETMSSMRLGFQDVELFLFKPNSSVLLNLVGLIYCIKHLKPREQVLEGMRRCGVSEQLVWVKWLTLGRWSRGRRDCFASGVSG >A10p024500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15849748:15850983:1 gene:A10p024500.1_BraROA transcript:A10p024500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEPSPTRDLAHDQNSPKNIPFDLTFEILSRLPAKSIIRFQSVSKLWSSIIRSKDLADSFLIHSKTRPRLLFTFKHFDSRQRFIFSAPEHDTEENSSTARHDMTISDLVSYYIKSRPVNGLICCTRGSSIAVCNPTTRQILKLPDVTLNGRDMYARLGYDPVQDQYKVLCVMMHDGFDSRTKDNIEQEHFVFTLGCQQQEWRKIEFIDVGDPYTDVKGGVCISGGIYYVIGHKKIARFDVRSEKVELINAPQEDMLNEEDIKKVIVYHSSDDISTINAHCWTLVNHQGKLGGTDYQEFHLMRLWIQEEEDESWNIMTCDVPTDWGDLFRDKGLSSPGEIHTGEVMLVSDTLESSKPFTVYYYDMIRESFRSATVEGIADSEFRRGIHGFGKRNRDMLCFPGHIENIMFF >A02g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16071870:16073526:-1 gene:A02g505190.1_BraROA transcript:A02g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILKPSKSVSNPLIHIQFLSGSFGNKIQLKVPCLHGAYSDSRGLLEARKEQYEEEQESASATKKLHQKRQFITI >A02g500820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2580314:2580586:-1 gene:A02g500820.1_BraROA transcript:A02g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVACLVNVCVDVVYVVGRVVDGDLMVVCVYVVDVFGRIVDMDLMVSGCVDVVDVSGRVADRGLGGGYESGKRDGRDSGISDSNGGGN >A01p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16300547:16301596:1 gene:A01p036650.1_BraROA transcript:A01p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADLIRALLEFIYAQRFQDAQKKYLKEQQIKKLEETNNSQEGNDAPSDGGEEDDDLRVDEAKVDESRQMDFAKVEKRVRTSCGGSTGTVSFMNLCIREDTEKYMLNLDINSAHYDPETRSMREDPLPDADPNDKFYLGDNQYRNSGQALEFKQINIHSWEAFDKGQDIHMQAAPSQAELLYKNFKVAKHNLKTQTKDHGEVWECFYRR >A06g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19131589:19132184:-1 gene:A06g506930.1_BraROA transcript:A06g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYSQGNGNSEELRCVIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVKLQDLLDATRMLVPRTRPGREIDSDPEGLEHAEKFRQVKVVIEEVL >A09p010820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5488608:5490044:-1 gene:A09p010820.1_BraROA transcript:A09p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITKPHAALLSSPGMGHIIPVIELAKRLSTNHGFRVTVFVLETDGTSAQSKFLNSTGLDVVNLPSPDISELVDPADHVVTKIGVIMRETVPAFRSKIAAMTQKPTALIVDLFGTDALRLASEFKMLTYAFITSNARFLGVTIYYPTLEKYVKEEHTVQRKPLEIPGCEPVRFEDTLDAYLVPDEPLYRDFVRHCLAYPKTDGILVNTWDEMEPKSLKSLQDPKLLGRFARVPVYPIGPLCRPVESSKTVHPVLDWLNQQPDESVLYISFGSGGSLTAKLLTELAWGLEQSQQRFVWVVRPPIDGSSCSAYLSANGGGTKDSTPEYLPEGFVTRTSDRGLVVPSWAPQAEVLAHRAVGGFLTHCGWNSTLEGVVNGVPMIAWPLFAEQNMNAALLSDELGIAVRAEEANTRSEIEAIVRKVMAEEEGEEMRRKVKKLRDNAEMSLSSEGGGSAHESLCRVTEECQRFLGRDRDLARGA >A03p069840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30689460:30690643:1 gene:A03p069840.1_BraROA transcript:A03p069840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGSQLPLPSVTNLQVDSVNFPPSVISPASSNRLFLGGAGVRGIDVLGDKFVIITFFGVYLDPVAVPLLSVKWKGKTTEELMESVPFFREVVTGTFEKLIKVMMRVPLPGQLYSQIITGTSVKIWKSLGIYTYSEAKAVERFLEVFKDEKFPRGASILFALSPEGSLTIAFSKDDSIPETGKAVIENKLLTEASS >A09p081470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59273941:59275772:-1 gene:A09p081470.1_BraROA transcript:A09p081470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEQRKKIIIDTDPGIDLRWIRYLIGFDDYVDDAMAIFVALNSPEVDVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGTHKTIMNGTKLRIADFVHGKDGLGNQNFPPPKGKPIEKSAPEFLVEQAKLHPGEITVVALGPLTNIALAVQLDPEFSKNVGQIVLLGGAFAVNGNVNPASEANIFGDPEAADIVFTCGADVIAVGINVTHQVIMTADDRDKLASSNGKLAQYLCKILGVYYSYHLDAYEIEGVYLHDPTTILAAFLPSLFTYTEGVVRVQTNGITRGLTLLYNNRKRFEEVTEWTDKPSVKVAVTVDAPAVLKLIMDRLMES >A04p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17285142:17287449:1 gene:A04p028860.1_BraROA transcript:A04p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNIIYDHQNVILGLAPVLTYAACEWFLIFLMLLDALLSYLLVWFARYCRLPLPCFLCSKLLHPLHWRLLLCRSHRSEVSSYISCLNHDNNLADCRGMCDDCLLSFTKTTGPDPDMNRLLLGKLGYDLLSTSHFAHPPRSCSCCDKPWRTRHHTQRLIRLGSRGAAKPNIPAAPRHHHLTRRGSGGSLKKMRNNLKAVDAGSRSDGMSHVGYAELKIHSESESEFLFTDDDAFFQTIDFSGEPSERRVVHKSRSRESLKDKKVLKRKQPYLRDNIKPREGKLVDKTQHQPIEAREDEDVISELVTMSEARPFSLDLPKEESAGGAVAQSENEAKVSGSSSPSGGEFLSPSGENSTSHEVQIEADFDQNISHSAAATEASEAAVDQKVSDLTDVPGSVADEPSSDEENVVEGDLTNNDTPVSLADEPSNDAENGVEGDLKPLTTNDEESNEVSENNVAEEYFSNEEEDAEVNAPSEPSTSKDVTGSFALEQSHCSHEEEDVDNGDSQPLTSNNMTGEHSSNEEEDVDNVTGSATEEHSGKEEHGETEPLTSPKNSNEGTSLEHRDDKDFSKATENLNTSDETVPELKQSASVESFVSISSDIEGERLVDLLKQQLEQDRNSLKELSKELDEERNASAIAANQAMAMITRLQEEKAALHMEALQYLRMMDEQAEHDVDALERANDVLADREKEIQDLEMELEYYRVKYPDEPREEILASMGVLESIETSGNSTTDETSEKVPTDI >A07p047240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25396416:25400232:1 gene:A07p047240.1_BraROA transcript:A07p047240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAEDDSQSLCLVYFLLFVLITAISVAGDSLDSDREVLLSLKTYLESCNPTNRGVYTEWKTEKQDVCNQWPGISCMPQGSRVTGINLSDSTISGALFTNFSALTQLTYLDLSKNTIEGSIPDDLSRCQNLKHLNLSHNILDGELTISGLSNLEVLDLSVNRIAGDVHSTFPTLCNRLVVANLSTNNFTGRMDDIFDGCPHLKYVDFSSNGFRGDIWPSFGRLVQFSVSENHHLSGKITPTMFRGNCTLQVLDLSDNEFVGEFPGQVSNCQSLEVLSLWGNNFTGKVPAQIGSISSLRGLYLGNNKFSRDIPETLLNLTNLIFLDLSRNSFGGDVQEIFGRFTQVKYLVLHGNSYVGGINSSKILTLPNLSRLDLSKNSFSGQLPPEISRMQSLKFLILAYNNFSGDIPKEYGNMPNLQALDLSFNKLTGSIPASFGKLTSLLWLMLANNSLSGEIPREIGNCSSLLWFNAANNQLSGGLHPELTLMGSNPSPTFEVNKRNTDNIIAGSGECLAMRRWIPAEFPPFNFVYAILTKKSCRSLWDHVLKGYGLFPVCSPGSTVRTLDISAYLQLSGNKLTGEVPANISRMKKLSMLHLGFNEFHGQLPKEIGKLPLAFLNLTRNNFSGQIPEEIENLKCLQNLDLSYNNFSGNFPTSLNNLSELSKFNISYNPFITGVIPSTGQLTTFEKESFLGNPLLQFPSFFNQSGNNNNKTRTQITYQKLGHRPGTILVVCISSALALVFIACVVVISIILMVVKSSRQSETDLLDGSKIRHDSSGGSSPWLSGKIKVIRLDKSTFTYTDILKATSNFSEERVVGKGGYGTVYRGVLPDGREVAVKKLQREGTEAEKEFRAEMEVLSANAYGDWAHPNLVKLYGWCLDESEKILVHEYMGGGSLEELITDKTKLTWKKRVDIAKDVARALVFLHHECYPSIVHRDVKASNVLLDKHGNARVTDFGLARLLNVGDSHVSTVIAGTIGYVAPEYGQTWQATTRGDVYSYGVLIMELATGRRAVDGGEECLVEWAKRVMMAGNVTVKGSPFTLSGTKPGYGAEEMTELLKVGVKCTADQPQARPNMKEVLSMLVKISGKAELFNGLSSPPQVYIEM >A07p018550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11152210:11155248:1 gene:A07p018550.1_BraROA transcript:A07p018550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 2.12 [Source:Projected from Arabidopsis thaliana (AT1G27080) UniProtKB/Swiss-Prot;Acc:Q9LFX9] MEVLENAKNPNNSPERKRGGWISISFILGNETLERMGTIGLSANFMAYLTNVFHMKLVDASNVFNLWLGLSNLAPLLGAIISDAYAGRFKTIAYASFFSLLGLMTVTLTAWLHQLHPPECNIHRPDSCDRPNKLQLGVLFLGLSFLSIGSGGIRPCSIPFGVDQFDQRTEKGLKGMASYFNWYYLTFSIVLIVSHTVIVYIQDNISWTVGFSIPTGLMACSIVLFFVGTRFYVYVKPEGSAFSSIARVILAAHKKRNLKLPVEDDGTVEYYDPPLKPGVLSKLPLTNQYKFLDKAAVITEGDLTSAGVPVNKWRLCSVQEVEEVKCLIRIIPVWSAGIISVVTMSTQVTFIVPQAMKMDRHMGPHFEIPAGSVSVISFITIGIWLPIYDRVLVPSLWRIRKFRVTLLQRMGIGIVFGIVSMFTAAIVERVRRTQALKMTQVSVFWLAWQLVLMGLSESFNYIGQIEFFNSQFPEHMRSLANSLFPLSFAASHYLTSLLVTTVHKFSGGKDKPDWLDNDLNKGRLDYLYYMIAGLGVVNLVYFWYCARSYQYKAGSQIEDLAEEKSLVD >A08p004980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2873951:2882190:-1 gene:A08p004980.1_BraROA transcript:A08p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKLPSSAQEFQELVRGGFVSRKLLLHNPFDHNPQGAFAVAPSPHITDENNLSGNVLMLLSVLVCGVICCLGLHYIIRCAFRRSPSFMISEPVSSIPTRRGSPNKGIKKKALNMFPVVTYSREMNLPGLGEECVICLSDFVCGEKLRLLPKCNHGFHVRCIDKWLKQHLTCPKCRHCLVETCQKILGDSSQVTATAPTESLTVRIAPLEPEGRSEKMSANELPSSAQAFQEQLLGGFVSRKLLMHNLFDHNTLRALAVPPSPPVTHENNLSETVLMLLSVLICGIICCLVLHYIIRCAFRSCSSFMISDSTSIFLTPHSSSNKGIKKKALKMFPVVSYTHEMNLSGIGEECVICLSDFVCDEKLRLLPKCNHGFHVRCIDKWLQQHLTCPKCRHCLVETCQKILGDSSQADQVTETASTESVFVRISPLEPEGRGKFMAAPVESSWVLGSNSGRFDLLKPHGSNWSNRNLDLHKSILNSILLLEQSPSPTPSPYVEDNNFDANVVMVLSVLLCAFLCSLGLNSIIRCALMCSSLAPSEADNNQTAARMTNTGVKRKALKSFQTISYAADLNLPGLDTECVICLSEFVSEERVKLLPTCHHGFHVRCIDKWLSSHSSCPTCRHCLVETCQKIADCSQTRSLNPPQPPQDSIIVQIAPLQPERWIRCFR >A04p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8996267:8998069:-1 gene:A04p007180.1_BraROA transcript:A04p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKESETAPIWGRTRQSENNNIHPMDVESSALSEQHRSQNRSRGGSYEERGRGVKEFRSWFSWLIPCFVIANVVVFVITMYVNNCPKKSGDCFAGFLGRFSFQSTRENPLLGPSSLTLRTMGGLDVKKVVEGDEGWRLLSCNWLHGGVVHLLVNMLTLLFIGMRMEREFGFIRIGLLYLISGFGGSILSALFLRSNISVGASGAVFGLLGGMLSEIFINWTIYTNKVVTIITLVLIVAVNLGLGVLPGVDNFVHIGGFATGFLLGFVLLIRPHYGWINQRSDPAAKPHKYNICQAILWTVSLLLLLGWFIAGLISLFNNVDGNKHCSWCHYLSCVPTSRWSCNREPASCTTTQLGNQLSMTCLRNGKSGSYILANSSDSRINSLCVQLCR >A02g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25868866:25870408:-1 gene:A02g509690.1_BraROA transcript:A02g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKLVFHHMVLIFHSFKGFSDLEDFWDDLPVSRLKYNALEDFKDDLPGSLLTKSSHMSPFHNIFERFGKFFKSRTDLRFMGSLLKYNALEDYLKICFPDFKITYVPRAQNQISHSLARTARSFHRELYFIVCSIPVWLPRPPQV >A03p014150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5627814:5628314:1 gene:A03p014150.1_BraROA transcript:A03p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFATAEACDSNAELISNGDLRALHPIFKIYGQRRCFSGPIVTLKVFEDNVLVRNQLETKGEGAVLVIDGGGSMRCALVGGNLGQLAQNNGWVGIVVNGCVRDVDEINDCDVGVRALGSNPLKSSKKGHGEKNVPVNIGGTLIRDGEWLYADSDGILISKTELSV >A05p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21232275:21233325:-1 gene:A05p037390.1_BraROA transcript:A05p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGYGDGRDGREMGPKRQRMIEQAPPPGTFYGPHPGSAFMFNPYGFVPPPPVFPVVRLRGLPFDCAELDVVEFFRGLDVVDVLFVHKNNKVTGEAFCVLGVPLQVDFALHKNRQNMGRRYVEVFRSTKQEYYKAIANEVAESRVHGTVTGGGGGGGDGGRGGGSGGHSPRRNVQRARSSDDGKENADHTGILRLRGLPFSAGKEDILDFFKDFDLSEDSIHVTVNGEGRPTGDAFVEFGSAEESRAAMVKDRKMLGSRYIELFPSSVEELEDALSRGR >A10g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10519724:10526006:1 gene:A10g504270.1_BraROA transcript:A10g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIGELSESDEGEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRGHLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETSTVQRRASSLLEHPLSIHLQVIQSLSHTQAAPKLH >A04p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19706044:19708610:-1 gene:A04p033940.1_BraROA transcript:A04p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGLSHGGEVDAMLCGGEIKKNATVVGADPLNWGAAAEQMKGSHLDEVKRMVKEFRRPVVNLGGETLTIGQVAAISTLGNGVKVELSETARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKNGVALQKELIRFLNAGIFGSTKETSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITSFLNNNITPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGEALNAEEAFKMAGVTSGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVLSVLAEVLSAVFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRYATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVGICQAIDLRHLEENLKQTVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLKVVDREQVYTYADDPCSATYPLIQKLRQVIVDHALVNGESEKNAMTSIFHKIGAFEEELKAVLPKEVEAARAAYDNGTAAIPNRIKECRSYPLYRFVREELGTELLTGEKATSPGEEFDKVFTAICEGKIIDPLMECLDEWNGAPIPIC >A02p016840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7576534:7579322:1 gene:A02p016840.1_BraROA transcript:A02p016840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAMVGFPQAYLESREVKETSSLVTELCRHFYTQGWVSGTGGSITIKVHDASIPKPDQLIVMSPSGVQKERMEPEDMYILSANGSVISAPSPKPYPNKLPKCTDCAPLFMKAYEMRNAGAVIHSHGMESCLVTMLNPQAKEFRAECYHYLFDAAIKLHQLGLDAATPEHGPIQRATHSQNQTESTRRCIVLDIEGTTTPITFVTDVLFPYARENVGKHLSLTYDTAETQEDIKLLRSQVEEDLRQGVTGAVPVPHTDEGKDEVIAAIVSNVEAMIKADRKITALKELQGHIWRTGFKCNELKSVVFEDVADALEKWHSSGTKVYIYSSGSRLAQRLLFGNTAYGDLRKYLSGFFDTTIGNKKESRSYKEITETLGVDDPSEILFVTDVYQEATAAKAAGLEAIISIRPGNASLPENHGFKTVTSFSQI >A05p054370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32286958:32287502:1 gene:A05p054370.1_BraROA transcript:A05p054370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQEQMESLMLGEERRRGNCVRDADEGFNSPSSFPNSPDDSDRRSSSSFRRGLSKHYKGKSQSFTSLSAALTVGALAKPENPFNVKLKQRRGNTHCRRLSGCGGASEQNLGVHDAFHSGNGRPPRLSGNRAPPRAQTLSAAHISALLTRT >A08p002710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1501117:1504845:-1 gene:A08p002710.1_BraROA transcript:A08p002710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLGETVDSVKSIQIRQLLTQAISLVCCLIGMIVTSSLIIWKALICVTGSESPVVVVLSESMEPGFQRGRDIPIVHRVIKVHERKNTGEVDVLTKGDNNPGDDRLLYAKRQLWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVISSRD >SC322g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000167.1:15679:15972:-1 gene:SC322g500020.1_BraROA transcript:SC322g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSDRPARSLRSDRDQAKARSLRNNRAIVPLGRYVATELEPKLGHYVATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPCFPPF >A10g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18788436:18789094:-1 gene:A10g506540.1_BraROA transcript:A10g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLKKAYLTLFFSNNYVALAHSFYAVCRVLYVCDLTVEVYVLFSNHWWQFGKKSNSICFLTVSRRLGCSLSRYHDLTAFVAECLALLGISQLVCKSDCHELSRLLSESWSSDIHWILLAIRSLILSFEDSH >A03g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28453540:28455153:-1 gene:A03g508140.1_BraROA transcript:A03g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNHYTQSSSYFPFNSNVHLGESENPPFTSHQSEDTPDKRNMGLDYSYSQPSDSEDLFCNSVDSGYNETDDLIRRDQEEIRLQRGSPVQYPPEPEVEFGIPQRCYCGAQPLVATSSREIGRRYYTCPNVDDGECHVWKWWDDALMEELRDRDRQVLLLSEKVDSLALLSDNETEQKVAILEKMVYDLAKEKSKVSYRFEFFLGVMVVVVVLVGVVQWFLW >A01g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22277579:22278671:-1 gene:A01g507850.1_BraROA transcript:A01g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLYFAYMSPYQVLEYHMEFLETFGCIWSSKEVFKVIIGRAAHGSDLTGATPATSLERRPEVARYFASWRHEIGAGATSRSDPSRSLPKPRATCWSDEPRSLHVSYLLELMIFQGPFAIGGRLSFVLKKTTKNLWNVHLFESIDHFVIAILCFHIYFLLFRIVSKLKVDSLIDRLPSLVRNLITQGLIPMPMSSLFP >A07g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1950538:1954637:-1 gene:A07g501000.1_BraROA transcript:A07g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHSSNHPWFLLAILVVLLSFSEALSSTHKEKTTSKNPKKEVTYDGTSLIINGKRELLYSGSIHYPRSTPDMWPKIIKRAKQGGLNTIQTYVFWNVHEPVQGKFNFSGRADLVKFIKLIEKNGMYVTLRPGPFIQAEWNHGGLPYWLREVPGIFFRTDNKPFKEHTERYVKVILDKMKEEKLFASQGGPIILGQIENEYSAVERAYKEDGVNYIKWAAKLVDSMNLGIPWVMCKHNNAPDPMINACNGRHCGDTFPGPNKKNKPSLWTENWTTQFRVFGDPPAHRSVEDIAYSVARFFSKNGSHVNYYMYHGGTNFGRTSAHYVTTRYYDDAPLDEFGLEREPKYGHLKLLHNALNLCKKALLWGHSRTEKPGKDTEIRYYEQPGTKVCAAFLANNNTEAAETIKFKGKEHVIPPRSISILPDCKTVVYSTAEVISQHTSRNYVKSKKANKKFDFKVFTETVPSELKGESFIPVELYGLTEDVTDYGWYTTNFKIDDNDLKKKGGKTTLRIASLGHALHVWLNGEYLGVLTGFPDSGSYLEHRYTGPRSVSILGLSSGPLDLTEKNKWGNKVGMEGEKLGIHAEKGLKKVKWQKFSGKAPGLTWYQTRFDAPESKSSVAVRMNGMGKGLIWVNGEGVGRYWMSFLSPLKNPTQVEYHIPRSFLKPKKNLLVIFEEEPNVKPELIDFVIINRDTVCSYIGENYTPSVRHWTKKNDKVQAIADDVFLTANLKCSGTKKIAAVEFASFGNPNGTCGNFTLGSCNAPASKQVIEKYCLGKSECDIPVNKSTFQQDKKDSCPKVVKMLAVQVKCGRTNKN >A09g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15290861:15291330:1 gene:A09g505020.1_BraROA transcript:A09g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENEKLLKYGDTKSARNIMYTVLQKLIEGNPLFDVKLPFPSFKASQLKTLINQRLYKVLNILEFNSTRQNMPIIVQDKDGKL >A05p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19338168:19338581:-1 gene:A05p034460.1_BraROA transcript:A05p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTGVRTAEVENPMGHCEQDPSSYQLPQFLRTLLRIPGPAFAPHIRDGCEPAVEERKQYQTLSRRIP >A03p018090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7386353:7388674:-1 gene:A03p018090.1_BraROA transcript:A03p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGEKPLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVSRLGGRSAFVGKLGDDEFGHMLAGILRKNGVADQGINFDTGARTALAFVTLKADGDREFMFYRNPSADMLLRPDELDLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLREPLWPSKEEAKTQIMSIWDKAEIIKVSDVELEFLTGSNKIDDETAMSLWHPNLKLLLVTLGEKGCRYYAKNFRGSVDPFHVNAVDTTGAGDSFVGALLNKIADDHSILEDEERLRKVLRFANACGAITTTKKGAIPALPSEAEVLSFLEKK >A02p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1121617:1125970:1 gene:A02p002670.1_BraROA transcript:A02p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHSDKVSIRIHNIGTPLISRSTGSPVESLHVESDRPYTIGRSTANGSCDFVLDHVGISRNHCQILFDSQSSKLYVFDGVILSNYSGGFSQFFNEVEKEGLRFKVSLNGVYVNRVKVRKGRVQEVLVGDEVLFVCGKEGLLCYKDGRVGFVVQEIGFEGRDASVSEGHSRGSFSSGKRSKRVFAPMENEVSSLVSGVCRRKAVGGVVERLNSLVRYCRHVLNSDDPVSCLRVSDSGKECVSCCTMLRLKGGIVADNREVRSDEVNDEMGHGLSRLKASDEQPSPKLQVESDGAICISVSDKARTMLPFDGEKENTPNISYINKEKSCQSSLQTPGKNFYLNRLQYIEQDPTGSQRMVSLPELLHPVESISQIFIATFTSDILWFLTGCEIPSHLPVTVACHHAERCWSSSPDARTSAPLPNYPNVVMVFPPFPEEIAFGKDRKNRGIACHHPKLFILQREDSIRVIITSANLVARQWDDVTNTVWWQDFPRRANPDYLSLFSHFKKETNRGLSSDFGAQLAGFAATLLADVPSQAHWILEFTKYNFEHSAGHLVASVPGVHSYKPSYLTESVRSSTAFNEEFLGSVEASVVGLSYLFRSTSDSTGAQLKRLASYISRTRENSLGMLELVMRRNTNVPADVNAVSVLVPNPDDDSRDEFVQLGFLPRNIAKWVSPLWDIGSFKFVGYVYRDEVLAAASCRSNQKVQLMLHVLQGVSISEMPKLINPHHVVALCSLIASLQRCTGIWRLQEVLGCYKWPESQESDFVYSASSVGGSVTAGFQADFASAAGKKMLQHFDSQESDPEWGCWSAREEREAPSIKIIFPTIERVKNGQHGVLSSRRLLCFSEKTWQKLRYNNVLHDAVPNPQDRVGHPMHIKVARRRFTSTGSRSSSFGWVYCGSHNFSAAAWGQTISRSSRTNQDQSYNATRSVSKLRVCNYELGIVFVFPPPHEEKDSCDGSKIDDIVLPFVVPAPKYGGSDRPATGLAMREALAEFREGSTSVFGESEVEEVEEEEEDEAEAEAEAEGRGEFVVEEKQEEKAYAEALWSQVESSLSS >A07p005270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2274398:2277255:1 gene:A07p005270.1_BraROA transcript:A07p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGTLVKALLKNTSNPRLAWRLYKRIFSSPSLESHATSLSAAPTIARILVKAQMQQEIDELHSLILSSSLQNAKASSFLSVVSIFAKSNHIDKAFSQFQFVRSHFPEKGPGIYLYNVLLEGCIKERRVDFVSWLYKDMVISRIAPQSYTFNLLIRALCDSSCVDAARELFDEMPEKGCNPNEFTFGILVRGYCRVGLPDKGLELLNSMESFGVLPNKVVYNTIVSSFCKEGRNDDSEKLVERMRGEGLVPDIVTFNARISALCKEGKVLDASRIFRDMELDEYLGLPRPNRITYNLMLKGFCKVGMLEEAKTLFESITGNDDLSGLQSYNIWLQGLVRHGKFIEAETVLKQMIDKGLWPSIYSYNILMDGLCKLGMLSDANAIVGLMKRNGVVPDSVTYGCLLHGYCSVGKVDAAKSLLQEMMRNSCMPNAYTCNILLHSLWKMGRMSEAEELLRKMNEKGYGLDTVTCNIIVDGLCGSGELDKAIEIVKGMRVHGSAALGNLGNSYVGLVDDSMIENNCLPDLITYSTLLNGLCKAGRFAEAKKLFAEMMGEKLQPDSVAYNIFIHHFCKQGKISSAFRVLKDMEKKGCHKSLETYNSLILGLGIQNQIFEIHGLMDEMKEKGISPNICTYNTAIKYLCEGGKVEDATNLLDEMMQKNVTPNVFSFKYLIGAFCKVPDFDMAQEVFETAVSICGQKEVLYSLMFNELLAAGQLLKATELLETVLDRGFELGEFLYKDLIESLCKKDELEVASGILHRMIDKGYGFDPAALMPVIDGLGKMGNKKEANEFAEKMMEMASVGEVANKVDPNARDLQQKKHNKYGGNSWQNILHRDDGSGIALKSLTRVKKGWGQGDISSFQPPRVDYLDYWEDDE >A03p030540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12820045:12823302:-1 gene:A03p030540.1_BraROA transcript:A03p030540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTRNGNARAPAGLPPRAPPRAANTYEIDVKNFISRTRALYAHWNQHSEDLWGSADALAVATPPASDDLRYLKSSALNIWLLGYEFPDTIMVFTPKKIHFLCSKSKATLLEVVEKPALDELRIDVVMHVKAKGDDGTGQMDAIFRAIRDLPVGDGKESQVVGHIAREAPEGKFLETWTERLKNAMFQFVDITAGLSDLFAVKDGTEIINVKKAAYLAYSVMKNVVVPKLENIIDEEKDVTHSSLMDVTEKAILEPTKANVKLKAENVDICYPPIFQSGGKFDLKPSAASDDELLTYDPASIIICAVGARYNSYCSNVARTYLIDATPLQSKAYEVLLKAHEAAINALRPGTKLNTIYQAALSVVEKEAPELVDKLTKSAGTGIGLEFRESGLNINAKNDKVLRSEMAFNVSLGFQNLECEEESRSKNKKFSLLLADTVIVKDQNPEILTTKCSKAVKDVAYSFKEEEEEKPRKKARTAGPENYMTKTALRSDDHVVSKEELRKQHQAELARQKNEETARRLAGDSSGSGDRRSTAKASTDMVAYKNVNDVPQPRDLMIQVDQKNEALLLPIYGSLVPFHVSAIRTVSNQHESSQNNYIRIIFNVPGTPFNPPDSNTLKNQGAIYLKEVSFRSKDSKHSSEVVQAIKTLRRQVNARESERIERATLVTQEKLQLAGNKFKPLRLSDLWIRPQFSGRKRIPGTLEAHANGFRYSTTRPNERVDVLFGNIKHAFFQPAEKEMITVLHFHLHNHIMVANKKTKDVQFYVEVMDVVQSLGGGRRSAYDPDEIDEEQRERARKNKINMDFNHFASRVNDMWQQPQFASLDLEFDQPLRELGFYGVPHKTNAFIIPTSSCLVEITEMPFFVVSLSEIEIVNLERVGFGQKNFDMAIIFKDFKKDVLRVDSIPTTSLEGIKEWLDTTDIKYYESKLNLNWRQILKTITDDPQSFVEEGGWEFLNLDGSDSESGGSEESDKEYEASDVEVESESEDEDSDSASLVESEDDEEEDSEEESEEEKGKTWDELEREATNADREHGAESDSEEERKRRKMRAFGKSRPGTGGGGGGRSSGGGGSSMRNMPPSKRKHR >A07p037540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20075506:20076840:-1 gene:A07p037540.1_BraROA transcript:A07p037540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTSLISSLRSSSLLGTKVVTPRSVVLSRRLLSISYDPATKAALSSRANNVVPKTPASEIVSSFYTAINSNDLASARDFIAQDCVYEDLIFSSPFVGRTAILDFFGKFIDATSTDMQFVIDDISKEDSSAVGVSWHLGELPKLTQWKGKRFPFSKSCSFYRLEVIDGKKQIVYVRDCVEPAIKPGESVIAAVKGVAWLLRKFPQIADRF >A07p026780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15260874:15265500:1 gene:A07p026780.1_BraROA transcript:A07p026780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGERGRSSKKMKYGGKMKNIQNEEYYMGDDDADEDSRDGEGEKMNMDFSKLELKPDHVNRPLWACADGRIFLETYSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPSDMIDFINASTANYGKVKLVLKKNRYFIESPFPEVLKKLGDDGVISKARLYSDPYGGDGFTIGKTSGELEAGPGELLNAAELAAAAQEKETHSFEIDPAQVEIVKQRCLPSELNYPMLEEYDFRNDNVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAAARIKKSCLCLATNAVSVDQWAFQFKLWSTLRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEEMRNREWGLLLMDEVHVVPAQMFRKVISITKSHCKLGLTATLVREDEKITDLNFLIGPKLYEANWLDLVKGGFIANVLCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHIERTKILEAFKTSKDVNTVFLSKVGDNSIDIPEANVVIQISSHAGSRRQEAQRLGRILRAKGKIEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITTSLPPPDAGSSLSYNSREEQLSLLAKVLNAGDDLVGLEQLEEDIDGKALQATRRSRGVMSVMSGAKGLVYQEFNSGHHKSSGQQFKKPKDPTKRHQLFKTR >A04p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20760816:20763372:1 gene:A04p035840.1_BraROA transcript:A04p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVGAINRAPLSLNGSGAGAASVPATTFLGKKVVTASRFAQNNKKSNGSFKVVAVKEDKQTDGDRWRGLAYDMSDDQQDITRGKGMVDSVFQAPMGTGTHNAVLSSYEYISQGLKQYNLDNMMDGLYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVMAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADLIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDKIKDEDIVTLVDQFPGQSIDFFGALRARVYDDEVRKFVEGLGVEKISKRLVNSREGPPVFEQPEMTLEKLMEYGNMLVMEQENVKRVQLADQYLNEAALGDANADAIGRGTFFGQTTGQA >A03g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27087244:27088441:1 gene:A03g507680.1_BraROA transcript:A03g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLPVSTQQKMANDDRKKPPKKKGRLTPMRSSIPISNPVTLAEPLLTPVVEGRKVEILKSIVYGGLAEAITSLGVISSAAGSGASTLNILVLGLANLFGGLVLITHSLHEIRKEELPIRETTAESQTNGQKESRYKRLLGRRENFMLHATIAVLSFIITGLLPPVVYYFSFSKTHNKDYKVASVFGASLICIAMLALAKAHVRNPRSSYLKSVMYYAITAVSVSGITYVVGNVVNQLIEKYGWSDGSETPAGEMMLSLMGRKAGGFGYSSSY >A09p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13648821:13673656:-1 gene:A09p024640.1_BraROA transcript:A09p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSFWLSLFTHFILLNLTLAHLSNHENFLSCLSRRINDFTVEPRVIHTSEDPSFFSIMNSSIQNPRFSVLEAPKPVSIITPVQATDVQSTIKCARLHDIHVRTRSGGHDYEGFSYIARTGPFVVIDMRNLRSITLDVDNRTGWVQTGATIGELYYEIGKLSKSIAFPAGLYPTVGVGGQFGGGGYGTLMRKYGLSADNVIDAHIVDSSGRFLDREGMGEDFFWAIRGGGGSSFCVVLAWKIRLVDVPTVVTVFDVTKTSEKEAVRTINNWQYIADKVPDNLFIRAMLQRSNDTAVYASFPGLYLGPVSDLLTLIEEKFPELGLKIGDCREMSWIESVLWFIKGESMNTLTERKRTSRSFKGKDDFIQKRIPKAAIRELWKRACAPEARLAKIILTPFGGRMSEIAENEIPFPHRGGNLYEIQYLAYWKEEEDKNKNDTEKYMRWVESLYDFMTPYVSKSPRGAYVNFRDVDLGMYNVGMNMKTKYEEGKIWGVKYFKNNFDRLVAVKTSVDPMDFFCDEQSIPVLKSVDREMGISKPSPAFSFLLIFALYFSFYTITPTTSSASLQDQFINCLHKNTHVSFPLESTFFAPAKNVSMFSEVLQSTAQNLRYLTKSMPKPGFIFKPLHESHVQASIICSKKLGTHVRVRSGGHDYEGLSYVSEIEKPFIVMDLSKLRKVNINIKDNSAWVQAGATVGELYYRIAEKSRVHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVLDAKIVDANGKILDRKAMGEDTFWAIRGGAGGSFGIILEWKIKLVPVPNTVTVFTVTKTLQKDPGNKILSKWQMVADKLVDELFIRVIFNVASNNGSKTATTSYNAVFLGNKGVLMKVMNKSFPELGLTPKDCTEMSWLESIVYISGFASRTPTKVLLQGKSAFPKNNFKAKSDFVKKPIPESGLKGIFKKLLKEDNPMMIWNPYGGMMAKIPESQIPFPHRKGVIFKIQYVTNWPDSDRRASRHIKWIRDLYSFMTPYVSANPRQAYVNYRDLDLGQNKKGCKSTINQAQVWGASYFKDNFKRLVKVKTKVDPNNFFRHEQSIPPMLTATTSYNAVFLGNKGVLMKVMNKSFPELGLTPKDCTEMSWLESIVYISGFASRTPTKVLLQGKSAFPKNNFKAKSDFVKKPIPESGLKGIFKKLLKEDNPMMIWNPYGGMMAKIPESQIPFPHRKGVIFKIQYVTNWPDSDRRASRHIKWIRDLYSFMTPYVSANPRQAYVNYRDLDLGQNKKGCKSTINQAQVWGASYFKDNFKRLVKVKTKVDPNNFFRHEQSIPPMLILLLVAGMRVSKLAPAVSYISYLALYFSFYTVASTSSNSLQDDFINCLHQNTNVDFPLDKTFSTPDRNASMFIEVLNSTAQNQRYLTTSMPKPDFIFKPVHESHVQASIICSKKLGIHHRVRSGGHDFEGLSYVSHIETPFIIIDLSKLRQINVDIEDNSVWVQAGATVGELYYRISEKSKIHGCPAGIYPSLGIGGHITGGAYGSLLRKYGLAADNVLDAKIVDANGKLLDRTAMGEDMFWAIRGGAGGSFGIILAWKIKLVPVPQTLTVFTVTKTLDQDAGFKILSKWQQVADKLVEDLLLRVFFTIAGNNENKTVAMSYIGQFLGEKGNLMEVMHKDFPELGLTKEDCIEMNWIESVIYNSGFPTSTPPPPEVLLQAKSPMGEVYFKAKSDFAKEPIPILGLKGMIKKILEEEAALMVWTPYGGMMDKIPESEIPFPHRSGTSFMILYYRSWSDTEKRPDMRIKWIRELYNYMTPYVSSNPRQAYVNYRDLDLGQNSNNSRTSLKQAQVWGAKYFKDNFNRLVKIKTKADPENLFRHEQSKMSLCLSSSSNQHFWHSSLNLRISQYSVIHGFISAGRANHYMPSLKAGSIMKVDQLP >A08p034580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20553209:20553693:1 gene:A08p034580.1_BraROA transcript:A08p034580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFWGTRVMAIVKKHDSGGLIWKRIKLTSTRKANAKTRLRRVWQNEAVLKACGTSDASVSPGVSSNTETCTSTVKN >A07p050960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27105636:27109240:1 gene:A07p050960.1_BraROA transcript:A07p050960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) UniProtKB/Swiss-Prot;Acc:B3DNN5] MREEEIEKIRGVVRDCVSKHLYSSAIFFADKVAALTNDPADIYMQAQALFLGRHFRRAFHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEDGNVYDAKDGNLIYFDKDGEDREINISSAICFLRGKAYGALQNRSQARQWYKAAIKADPLCYEALECLIESHMLTSEEESNLLSSLQFSPEDGWLSSFYSCLIKKYDKESTVEARFKKLENEISGSVSGSSMISTLVNNTDLLACKAEYYHQCCQYQKCFELTSGLLEKDPFHLKCTLVHLAAAMELGNSNELYLMACNLVKDYPSKALSWFAVGCYYYCIKKYAEARRYFSKATNIDGSFSPAWIGFGNSFAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLADQFFMQAKAICPSDPLVYNELGVVSYHMKEYGKAVRWFEKTLAHIPSVLTEIWEPTVVNLAHAFRKLRKYREAISYYERALTLSTKSLSTYSGLAYTYHLQGNFSAAISHYHKALWLKPDDQFCTEMLNVALMDECQNGLDSTVELC >A08g510270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22653248:22656792:1 gene:A08g510270.1_BraROA transcript:A08g510270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRLAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGVSTKTSPKIAEKRVTRQCVRKIFKHTVNSKLQSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATYD >A09g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24827851:24828775:1 gene:A09g508850.1_BraROA transcript:A09g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAGTLTATSVPCVPDTSVLPAGSSTTPILVENKERAAESMPPPSARKEIVLALHAPSAVPVAQPMGRKRKFTKGGDGESSQQGGSSLALGLRRKFMSLIDGMISESGSEASRLPGELTSCNCQIFSLCKFSKLEIEIGELERDLGKTASSRLKEKKARKAKYSEVHRLQSQIESDVGSASRGIEEAKDTLRSDLQARLAKISAFLDSLERIRSRDLALAMIKGGMAVVRAFQSEIPPSLEVEETKLSACKGDLVAVDGDFDLVLADLKSA >A09g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13635535:13644726:1 gene:A09g504440.1_BraROA transcript:A09g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSSIDRPHLDPNTRLLTEEYQRGITKFMGLVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLGMVGLRCTVFYCDWHDNTPDRGVRTDAFGVTSINSRRNLQYYDPFILASQADQVCYIKYPRVRNRDDPWVTVTRLNPRGRVQGNSELEDPLQPSTSGNLSAAEDLAGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSASYEIPRKFRGKIETKYGKKNLCFETPNPVSSEFPRSNDDQTRPRQRRSRGGTGRQSGGSSSHIQDFVSPHSSYHTSPSPLPAPAPPAPAAAPAPTPPGPPGVMSVVELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSALDKGHPTFTDFPTEKQHLWFRQFAQEFNWNSDDTLSINHHFVHKVMDNYGKQMYKWKKKWEINKVPKSMNDTVWKELCAHWDKKETKETSSTNSNNRRSDRKGKGVYKHNLGAQSIATLGDRMAEENDGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVSLVQTQVQDEVSQLQTEDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGCRSRSAAPSSAPPPYVDPEILTAQLKDKDDRISVLETRMAAQQAGYEAHKRLNEQMMEMMKRMYPNEVFPNVQDP >A03p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21062056:21064300:-1 gene:A03p049220.1_BraROA transcript:A03p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIVDAEYLKEIDKARRELRALIAKKNCAPIMLRLAWHDAGTYDAESKTGGPNGSIRNEAEYSHGANSGLKIALDLCEDVKTKHPKISYADLYQLAGVVAVEVTGGPDISFVPGRKDSNACTDEGRLPDANQGSKHLKDVFHRMGLSDKDIVALSGAHTLGMAHPERSGFDGQWTQDPLKFDNSYFVELLKEDESDGLLKLSTDKSLLEVPEFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPTSTVTMAITDCTQLAHTAVGVAVAAAVVAFSYFYEIRRKMK >A06g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19995591:19999623:1 gene:A06g507220.1_BraROA transcript:A06g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSGVEPTSLAAKPTKLSRACRARACYLMGLVEGCNPSPTISPPPVHLKDMASRNRLSREEKGKDIAASPSPARDADDGPLEEFDIIHRDALRDTENMSLSQRLLVADAHRQFREEVEENAEDEDGEASGSEAPSQVFVIPTRSPIPRSSSKPPNRSRRIVISVGRILVGSGYVVSKLGLLEIKPVPTDGDVNSEPPAQSSPKKKASKAKKRSVPLEEAPSSADASEGMMMREDTIQPILLGDRLKNVPRRNRRRRLQKTTAEDDGTPAPEIPSKSGGPVTETGDGSRDESPLSKGALSPSARFASAEGGKRISGSRRVRLRRGDSVGTKSASMRVTDPSDPWWDKRVAADRRLVLQEGSDGSMNYLVEKYDSTLKQTMIQLGASEKLARTRLGVIVRLRAENKKASDKAAKEKEVLRVKFAELEDKLKSDRLAKKDALREKARLERLVASLEKEKTELEGERDAVVGTLVKERERLRNSRIQEVTRERIRVQTAMADKSTRCFGRRVTVPEGTAVEECPCKNDPEVGGSAIQEETGDVAAEDPVLVSSSEEREDDEGIEGLNTHVAEETMESLDPVVSNKDDQDTVA >A07p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17181934:17183228:-1 gene:A07p030980.1_BraROA transcript:A07p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLCVTFSRKLLKTFFFTIHSLSGDCKRQSSSVSTLPLISKMDIVNRDNEPMEWSTVPYKAPQGPVSNGKQRTSSLEAPIMLLSGHQSAIYTMQFNPAGTVIASGSHDREIFLWRVHGDCKNFMVLKGHKNAILDLHWTSDGLQIVSASPDKTLRAWDVETGKQVKKMAEHSSFVNSCCPSRRGLPLIVSGSDDGTAKLWDLRQRGAVQTFPDKYQITAVSFSDAADKIFTGGVDNDVKVWDLRKGEAIMTLEGHQDTITGMSLSPDGSYLLTNAMDCKLCVWDMRPYAPQNRCVKIFEGHQHNFEKNLLKCAWSPDGSKVTAGSADRMVHIWDTTSRRILYKLPGHNGSVNECVFHPHEPIIGSCSSDKLIYLGEI >A05p013180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5741110:5750265:1 gene:A05p013180.1_BraROA transcript:A05p013180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 13 [Source:Projected from Arabidopsis thaliana (AT2G34180) UniProtKB/Swiss-Prot;Acc:O22971] MALRLLGRIVTKAVKKENSPESPKSPKTPQGSVLMDKYDLGKLLGQGSFAKVYLARSISTGKDVAIKVIDKEKIVKSGLAGHIKREISILRRVRHPYIVHLLEVMATKTKIYIVMEYVRGGDLLGKVSKGRLRQGVARSYFQQLISSVSFCHGRGVYHRDLKLENLLLDDEGNLKVSDFGLSIVSEQLRQDGICQTFCGTPAYLAPEVLTMKGYDAAKADVWSCGVILFVLMAGYLPFEDKNIMVMYKKIHKGKFRCPKWFSPELTRLLTRILDTNPDTRITIPEIMKHRWFKKGFKNVKFYIEDNKLCRVDDNSEDESSSVSSGRSSTASEGDAEFEIKRVGSMPRPASLNAFDIISFSYGFDLSSFFEEGGQGARFVSAAPVTKIISKLEDIAILANFTVRKKDWSVRLEGSREGAKGPLIIKVEIFELTASLVVVEVKKKGGFIEEYEEFCNKELRPQLEKLMHYQANEVEVAMSQPPMAPVPSPQLPPPRLLGKVITKDVKKETSTPESPNSPKTPQGSILMDKYELGKLLGHGSFAKVYLARNINTGENVAIKVIDKEKIVKSGLAGHIKREISILRRVRHPYIVHLLEVMATKTKIYIVMEYVRGGDLYTKVSKGRLREGVARRYFQQLISSVSFCHGRGVYHRDLKLENLLLDDEGSLKVSDFGLSVVSEQLKQDGICQTFCGTPAYLAPEVFTRKGYDAAKADVWSCGVILFVLMAGYLPFDDKNVMVMYKKIFKGQFKCPHWFSPELTRLMGRILDTNPDTRITISEIMKHRWFKKGFKDVKFYIENDKLCREDDDDDEYDSLSSGRSSTASEGDAEFEIKRIGSMPRPASLNAFDIISFSSGFDLSGLFEEGGQGARFVSAAPVIKIISKLEEIANVAKFTVRKKDWSVRLEGSREGAKGPLTIKVEIFELTPSLVVVEVKKKGGFIEEYEEFCNKELRPQLEKLMHYQADEVEVAMCLVPC >A09p023350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12804273:12805171:-1 gene:A09p023350.1_BraROA transcript:A09p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGPRSGDAIFSSIDRVNAELFTLTYGAIVRQLLTDLEDVDEVNKQLDQMGYNIGIRLIDEFLAKSGVSRCVDFKETAEMIAKVGFKMFLGVTASVSSWDADGTCCSIILEDNPLVDFVELPDTCQGLYYCNVLSGVIRGALEMVSMKTEVTWTRDALRGDDAYELQVKLLKQVAEEYPYKDDE >A01p027710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18718055:18718604:1 gene:A01p027710.1_BraROA transcript:A01p027710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDTVLAQSGFDAVRCRKICGITLILLDEKWFFKWSLQTNRAYYDTLQASHASPSTRVGSYLQAYITAQQP >A03g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23540502:23541775:-1 gene:A03g506540.1_BraROA transcript:A03g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEDTKKRVVVESLGWITESSIMPKKHRAIEGVGPSSIMELKAQLYKSQEEAKQTKDFTGSDAQYHRAKERIAAKDSFAAKNSGVEGRALKDKLELKAVKDGAVSYAALEKKAQLYEKLARGELSDEEAEEKYCVDFFRKGVQRGDDLEPPRTSNSSVSAPPEELKADGEDDGSLFSTKFAGLGRAVETADISQHVRMVREVHQEVNQAREKATELKQRRQEQATNRREKLKQAYLRKQLEKLKAQQQQQPQQQDEGKT >A06p034740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18821750:18822347:-1 gene:A06p034740.1_BraROA transcript:A06p034740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGSPCFFGSSEGWACKRCLSGSPVPQTGIPDIRHSTFESLRLGQSSQSISTGLLRFWDSLNFKKDTAFMGITVIFLDEKIP >A06p010450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3770763:3775196:1 gene:A06p010450.1_BraROA transcript:A06p010450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G12430) UniProtKB/TrEMBL;Acc:F4IC87] MSTSSVNQRNGTHRSSLRAHSSASTSAAAHKPSLKSKTVLRKSSPAALGSGSSAAAGSKSGNGGDAGVPGRVRVAVRLRPRNGEEMIADADFADCVELQPEVKRLKLRKNNWDTDTFEFDEVLTEYASQKRVYEVVAKPVVEGVLDGYNGTIMAYGQTGTGKTYTLGQLGEEDVADRGIMVRAMEDILAQVSLETDSISVSYLQLYMETVQDLLDPANDNIAIVEDPKSGDVSLPGATLVEIRDQHSFLELLQLGEAHRFAANTKLNTESSRSHAILMVHVRRSLKTESNGNSHMTKSLKPPVVRKGKLVVVDLAGSERISKSGSEGHTLEEAKSINLSLSALGKCINALAENSSHVPFRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLSKRLEVQLDSLIEENERQQKAFVDEIERITVEAHNQISEAEKRYANALEEEKLRYQNDYVESIKKLEENWSKNQKKLAAERLALGEKNGLDITSNGNRSIAPALEEVSELKRMVQKEAQLKMAAEEEVNRLKLQLTESKKVEVSGNSEIMRLHKMLETETQQKEKLEEEIATLHTQLLQLSLTADETRQNLERHGSQKTSGGALDSFMSQLKLPQLQDPGNAEKPPVAKLFEQEANQQQIVEAGGLTSLLMLLRNTEDETIHRVAAGAIANLAMNETNQELIMDQGGIDLLSSTAANAQDPQTLRMVAGAIANLCGNDKLQTKLRSEGGIAALLGMVRCGHPDVLAQVARGIANFAKCESRASTQGTKRGKSLLIEDGALSWIVQNAKTETTAIKRHIELALCHLAQHEGNAKEMVKEGAIWELVRISRECSREDIRSLAHRTLTSSPTFLTELRRLRVDIR >A04p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10430858:10431764:1 gene:A04p016990.1_BraROA transcript:A04p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein B [Source:Projected from Arabidopsis thaliana (AT5G39730) UniProtKB/Swiss-Prot;Acc:Q9FIX1] MSRSDAQLHDVFVYGSFQEPDIVNIMLERTPEIISVTLPGLCNYVRVDGDGGFFSKRFRLKGRLYPCIVPSEAGEVHGKVLMGLTDKELSNLDAVEGNEYEKVTVEVVRKENSAKMIVKTYIWINKNDPDMYGEWDFEEWKQLHMPKFMETIKEIIEINKNHQGKGVEDYTQILHKNLGDAPLS >A01p051200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28692215:28695140:1 gene:A01p051200.1_BraROA transcript:A01p051200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSVFHHVVIVLMLLWLLSYLNRSHALFYFLALVYLYLVHERYVMRLRKKLQFEERKQANQKRVLSDSESVRWLNHAVEKIWPICMEQIASQKILRPIIPWFLDKYRPWTAKEALIQHLYLGRNPPLLTDIRVLRQSTGDDHLVLELGMNFLTADDMSAILAVKLRKRLGFGMWTKLHLTGMHVEGKVLVGVKFLRRWPFLGRLRVCFAEPPYFQMNVKPIFTHGVDVTVLPGIAGWLDKLLSIAFEQTLVEPNMLVVDMEKFVSPQSGENWFFVDEKEPVAHALVEVVEASDVKPSDLNGLSDPYVKGQLGAYRFKTKILKKTLSPKWQEEFKIPILTWDSPNILNIEVQDKDRFSDDSLGDCSVNIAEFRGGERNDMWLPLQNIKMGRLHLAITVTEEEAKVDDADDPFEGATISKEDMWVSFATDDANRGSFSSVVSDKSPRVRDNLEPINIEGQEETGIWVHQPGTEVSQIWEPRKGKSRCVDNNIRGVTLEASTGSNESSSPDENQEGKNKARSVGKGLKKVFHRNGKKEESGNMEEDVRSPRINLKALNRKDVGVKYIVEDRLSGPLTGRSPRGESFGSEDAQNKGHMKDVAKSILKHAEKSARHIKHAFSRKGSRKSRDDECSTVPENESVFGSECQFEYSDDDDTADGSVQGTPRKAKDEGKGVRAGEDGLVNTSANSKEDSRGVTHMDASAGAEKVSTPKKVQ >A03p068770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30116959:30119746:-1 gene:A03p068770.1_BraROA transcript:A03p068770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISICFSLLLIVLFQFPSSHAEPFIGVNYGQVADNLPPPSETAKLIQSTSIQKVRLYGADPAIIKALAGTGVGIVIGTANGDLPSLAADPNAASQWISSNVLPFYPASKIILITVGNEVLLSKDPNLVSQLLPAMQNVQKALEAVSLGGKIKVSTVHAMSVLGSSEPPSSGSFASGYQAGLKDILQFLSDTGSPFAINPYPFFAYQSDPRPETLAFCLFQPNAGRPDHNTGITYMNMFDAQVDAVHSALKSMGFEKVEIVVAETGWASRGDPNEVGPSVDNAKAYNGNLIAHLRSMTPSGKASTGWCVSKKDATDEQLQSSIDWACGQGIDCGAIQPGGACFEPNNVASHAAFAMNMYYQKSPKRPTDCDFSQTATITSQNPSYNSCVYPGGVGGAGSAGVMNKYVNSDKIESKKNGAVESKVYSSLSFLLIVVSLIVHVNM >A09g500930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3359473:3359979:1 gene:A09g500930.1_BraROA transcript:A09g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMNPKTIVTNLLLLLLLQISNFSIVVFSLERLYRKPYKSEFSIRPSTVTRIIVANELWGLKNGNAGFVCAHGPKVWRKSNPGDRYVVIKFEHTGITRYKFVHCHLRSSRGYVNVPINIHPDTSARCYPSYKCHYFIRKDGVYYKPEKKLFPWLPFPRSKNGRHAKA >A02g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15682701:15684613:-1 gene:A02g504930.1_BraROA transcript:A02g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGFAFRVSVSSEKPRNIPRLFRGSRIFKSKTTFCGLNNTYITPIKCLRLIMKEYLQFGKKFDVLKPQTCVPRNFLGIFRGNSEEQYKLIEIHAHDILFLGIFSFNRANKPPNISRKLKLKILREFRRKISKYSEEVYPSGYSDDIFLGIFRGLSDELVVFGISSEIHFFGIPSEISEGFPRKMNFRGLFSS >A03g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24260229:24261076:1 gene:A03g506830.1_BraROA transcript:A03g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDECDSAAWFTNLTGRFVRLVRNLDVLERVYTMETQIMQIKDAIGIQNNRVVVVNVSVDDEQRPKSSESTESMAVLGNIQRQEQFL >A01g510160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27890244:27891725:1 gene:A01g510160.1_BraROA transcript:A01g510160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQENLRLTDYAFKHTPINLMCQQYHNRMRYDEPAASIRCVCSSSDFVFFVSAKLAVVVQLVLQPPQHIATHQNRDCRRLSSSSRNQARAVVVITGSAFLVVAENFSRRRKSSRDCATVSSKTRPSFLRGRAQLHRDRAQLHRGRARRFRPRKSFDPLQW >A03p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10236346:10237452:-1 gene:A03p024210.1_BraROA transcript:A03p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGTTYKEALESNWIGLDYEVRMSKVEATNKPAKSASGAIGKIRSSNGSGVHELLECPVCTNLMYPPINQCPNGHTLCSSCKQQVQNTCPTCRYELGNIRCLALEKVAESLEVSCRYQSLGCHDIFPYYSKVKHEQHCRFRSYDCPYAGSECSVTGDIPTLVEHLKVDHKVDMHSGCTFNHRYVKSNPQEVENATWMLTVFNCFGRQFCLHFEAFQLGTAPVYMAFLRFMGDENEAKKFSYSLEVGAHSRKLTWQGIPRSIRDGHKKVRDSQDGLIIPRNMALFFSGSDKEELKLRVTGRIWREE >A10p038890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21654391:21655866:-1 gene:A10p038890.1_BraROA transcript:A10p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSASLKLTSLSFSAKKTNRNPRNRSLSFTITCSSSFDEPPKPSLTSSSSTPNRVSSSSAPKARFVARQKQSVSVRQLQRPLIEYMSLPASQYSVLDAERIERVDDNTFRCYVYTFKFFNFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPVVVAQNDKFDASMVNRVSCDGTQEGSLEQQITSDAVIEVVNIEIPFAFRVFPVGAIEATGTQVLDQILRLMLPRFLSQLSKDYQAWASGDTSRQPLGTGEI >A07g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10924125:10926040:-1 gene:A07g505060.1_BraROA transcript:A07g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNQLHFSDLKAGRLDDRVVTSLLRFWEARNVKKSGELMSVDFMLLNEKCRRHSKITGKKVTVGLISATPLNATLLFPTAALKPSSSMGGGIVKCIDLVMFRAVYMPYLHGQLQPHTWCEAGSSQGVKREKTLESSFVGSKRKHGNGGETHFNELGNGDTGKTAMVSRGELTFQNIYGAEALLNAEDEDGYSGWEPLQQKMPVEFVKWCCFNCTMANPASAITWLRHGYFASLLFKRHWSLTEVEEKCGGNSSAASSTAVGFEEIMLLHSEVYTLEHVNFTSQLLYRFEFTCNVPGLLHRRHILISIEHLLLI >A09p049790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43930568:43933522:-1 gene:A09p049790.1_BraROA transcript:A09p049790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase large subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G27680) UniProtKB/Swiss-Prot;Acc:P55230] MAAMMKLQCTSSSPFGLNRKVVSDSGRDSSFWGAEVVKANHLKAQSGGPQKIQTRLIRSVLTPSVDQESHEPLLRTPRADPKNVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDIPMSNCINSGIRKIFILTQFNSFSLNRHLSRTYNFGNGVNFGDGFVEVLAATQTSGDAGKKWFQGTADAVRQFIWVFEDAKTKNVEHVLILSGDHLYRMDYMNFVQKHIESNADITVSCLPMDESRASDYGLLKIDESGQIVQFSEKPKGDDLKAMQVDTTVLGLPPKEAAELPYIASMGVYVFRKEVLLNLLRSSYPTSNDFGSEIIPLAVKEHNVQAFLFNDYWEDIGTIGSFFDANLALTEQPPKFQFYDPKTPFFTSPRFLPPTKVDKCRILDSIVSHGCFLRECSVQHSIVGIRSRVESGVELQDTMMMGADFYQTEAEIASLLAEGKVPIGVGENTKIRNCIIDKNAKIGKNVVIANADGVEEGDRPEEGFYIRSGITVVLKNATIRDGLVL >A10p020580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13965438:13968367:1 gene:A10p020580.1_BraROA transcript:A10p020580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSTTVGLAKVNSEFKDLDIAIVKATNHVESPPKERHVRKIFSATSAIQPRADVAYCIHALSKRLSKTRTWVVAMKVLIVIHRTLREGDPTFREELLNYSHRRHILRISNFKDDTSPLAWDCSAWVRTYALFLEERLECYRVLKYDIEAERLPKGAGAASKTHRTRMLSGEDLLEQLPALQQLLYRLIGCQPEGAAYSNYLIQYALALVLKESFKIYCAINDGIINLVDMFFEMTRHDAVKALNIYKRAGQQAENLAEFYDYCKGLELARNFQFPTLRQPPPSFLATMEEYIKEAPQSGSVQKKLEYEEKEEEPEPQEEEQPEEPAEDENQNTETDQPLIEEEEEEPIEEKEEEEVKPSPLIDTDDLLGLNEINPQAAEIEERNALALAIYPPGHETSGPSNSLSLIEAGGSGWELALVTPQNNNNNNNPRPTVATKLGGGFDNLLLDSLYEDDTARRQIQLTNAGYGFGATATHGEPHPSNPNPFGMQQDPFAMSSNMAPPTNVQMAMQQQQMMMMNNNQNPYNNNNNYSPYHQQHQHFPSYPSSSASANPFGDTFLALPAPPSSSTQQQHNNHMLL >A06p037200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20132039:20134595:1 gene:A06p037200.1_BraROA transcript:A06p037200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDPDPKPASGSSREKDPVADHVTEELSDDVRNVGEATEELSVPISVAEGDGETDQKEEEGRERVEKRMMVYPVRPDAEDCSFYIRTGNCKYGSSCKFNHPVRRKLQIGRDKVKEKEREENVENPRLMECKYYFRTGGCKYGETCRFSHTKEQTSLPSRPELNFLGLPIRPGEKECPFYMRNGSCKFGSDCKFNHPDPTAAGGVDSSLFRGNNGGSFAPKEAPQASSTSWSSSRHMNGTGTAPFIPVMYSQNRGASPQTPEWSGYQAPSAYPPERNVLPPSTYSANNSLAETSSFSQLQQQMSTEEFPERPDQPECSYYVKTGDCKFKYKCKYHHPKNRLPKQSPSSFNDKGLPLRPDQSMCTHYSRYGICKFGPACRFDHSIPPTFSSTSSQTVETPQLGGNGNENDGWN >A07p000410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:723017:723911:-1 gene:A07p000410.1_BraROA transcript:A07p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKMKSLLKGLRYISQVFESGKEEEIKIGNPTDVKHVAHIGWDGPSATPASAPSWMNEFKNGGGFESGQGGGEDDSSVKCMSECGGRTRDLPNLPKSSRKAASEKGSPTREISSDKTKRRSSKKGTTSSSRRPKEMSELNERSSLQEAPKKSRRKKKKTKEIGGSTRSIRRSDVDNMSDYMSETGSIRSMPQFDNRDDF >A08p042470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23816099:23822282:-1 gene:A08p042470.1_BraROA transcript:A08p042470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate lyase A-1 [Source:Projected from Arabidopsis thaliana (AT1G10670) UniProtKB/TrEMBL;Acc:F4I5V8] MCTTHHDDQPQQQNLPCLHCDPHSYIHMVQHMIERCIILRMNRDECVQALDHHATIPPLVTLTVWRGLERENKDFFETYEHSFSPEPFSSGYVRRSPRLARRDSMAAADTTALSYWLNWRVLLCALIILAPLVLASILIRRYEGKRREGVSSAGTLFKHEAWSTCLKTVHPRWLLSFRVFSFVAMLSLLIANVVIHGGGVFYFYTQWTFTLVTLYFGYGSLLSIYGCCIYNKEAGGNIETYTYTSIDDAEQGTYRPPFTLEEATNNSKPCSTHSEAAGFWVYIFQVLFQTCAGAVVLTDIVFWGLIYPFTKGYRLSFLHVCMHSLNAVFLLGDTCLNSLRFPLFRISYFAFWSCIFVAYQWIIHAFMNLRWPYQFLDLSSPYAPLWYVLGSGRDAYTVLRRLCFDHKDEEFFARASQLVIGLGSLFYLLRHMRTPWPNSNTKPEKLKPEDRVSPRDLFIPLIFSYRKMARKKIREYDSKRLVKEHFKRLSGNELPIRSVQINQTTDLKELVEKEPWLSSEKLVVKPDMLFGKRGKSGLVALKLDFTEVATFVKERLGKEVEMSGCIGPITTFIVEPFVPHNEEFYLNVVSDRLGCSISFSECGGIEIEENWDKVKTIFLQTGASLTPEVCAPLVATLPLEIKAEIEEFIKVIFTLFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGDIEFPMPFGRVMSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPKEDEVLQYARVVIDCATANPDGKSRALVIGGGIANFTDVAATFNGIIRALKEKEAKLKAARMHIYVRRGGPNYQKGLAKMRSLGDEIGVPIEVYGPEATMTGICKEAIQYITAAA >A06p002240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5270309:5272213:-1 gene:A06p002240.1_BraROA transcript:A06p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G52630) UniProtKB/Swiss-Prot;Acc:Q8RY81] MIASPVKPLFVFVLTFSLLLVVIILSPSPHFLQIPPVPSGSSLGGSVIWSVKRTMEWRPCKWWLQGHLTPLPAQTNGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNETSGFGDVFDVDYFIQKMNGFINVVKELPKDIASKEPFRVDCSKRKGQFDYIESVLPSLLEHHYISFTPAMSQRRDRYPQYAKATLCQGCYSALRLTSSLEKKATELFDAIPKPFLSLHLRFEPDMVAYSQCEYQNLSPSSVSAIEAARVDRKPWTGELAQIWRKRGKCPLTPNETALMLQSLNVPTNTNIYLAAGDGLMEMEGFTSVYTNVYTKSALLNREDFTRMHGNTKAALDYHVSINSDAYVATYFGNMDKIVAAMRTYKGMHNTLFLSRKAFAELASQGLGGAELKNALWEVHKNDFAIGRGFALPDCFCEFEL >A03p046580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19661767:19663114:1 gene:A03p046580.1_BraROA transcript:A03p046580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLKSFKRQPHSVAYKRPIYVSENDNLKVFSFTELKKATKNFIECKVVDGDDRSSRKFYKGYIDEYVPSRAGTGITVSVLDVGSSFPLQDREISKHSDLHRSVMFFSTEEEEPLPWEIRVKIAIGVARGVEFIHFIKNKPQYNAKLFYLELNQEDACRLIGGLEYEPRICRLDLKSDVFTLGVILLDLVIGSKDTIRKERRKSIATTRPSLLCDAQKIREIINPRLRNDYPVNAVKEMGILIQRCTKWDKNERPLMQQVLDSLSYIAEFKTSV >A09p062040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50926028:50926816:1 gene:A09p062040.1_BraROA transcript:A09p062040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKKTEWQVGDPAISVVSGQFCNPYPMDLMVKRKVQNFSKDHYQVFDPSGNLLLQIDGQAFGFNRKRVMRDPAGFTILTMRQKGITLKNKWEVHGGESKEKEDLLFTAQQSLAVSLKTSLDVFLAENNNVKKGSTCDFHASGGYSNISFKVFKSDALIAGVGVTHKFTWGSFCKGKYNFRVRVNPEVDYAFIIALLVMVDDNEN >A05p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7505077:7507316:-1 gene:A05p016830.1_BraROA transcript:A05p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH extracellular protease family [Source:Projected from Arabidopsis thaliana (AT2G30950) TAIR;Acc:AT2G30950] MAASSTCLVGNGLSLHTTKHKLSQHFPRRQIGLSSSVTRTSKVNVVKASLDAKRHEGRRDFLKVLFGNAGIGLLGSGKANAADEQQEDASSSSRMSYSRFLEYLDKDRVSKVDLYENGTIAIVEAIAPELGNRVQRVRVQLPGLSQELLQKLRAKNIDFAAHNAQEDQGSVLFNLIGNLAFPMLLIGGLFLLSRGSPGGMGGPGGGGPLQFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLIGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDIKGRTDILKVHAGNKKFENDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRAKTAISSKEIDDSIDRIVAGMEGTVMTDSKSKSLVAYHEVGHAVCGRFFCRTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEIIFGEPEVTTGAVGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLAEDIDTAVKKLSDSAYEIALSHIRNNREAMDKLVEVLLEKETIGGDEFRAILSEFTEIPPENRVPAATPTSTPTPAPV >A05p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3723641:3726852:-1 gene:A05p009010.1_BraROA transcript:A05p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLTMDNSRTRQNMNGSANWSGIRSSAASLEDLEIPPKFRSFAPSSISISPSLVSPSTCFSPSIFLDSPAFVASSANVLASPTTGALLTYENNQKSINEEEKTKKNNNNVNFFDFSFQTQSSGVSAPTRTTTNSSVLQSQETRPHSNTHHQAVSYNGREQRKGEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVETSLEGQITEIVYKGSHNHPKPQSTRRSSSSSSTFHSAVFDHHGDHSDSFVIQQDDNNTTSGSLGDDELSVMSRGEEEDCGTPIRPNAIAGHSNYTTSQAPYTLQMLHQNNNTSAGSIGYAMNNNNFLGGGFSRAKEEPNDDSSFFDSFLS >A06p043900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23620680:23622062:1 gene:A06p043900.1_BraROA transcript:A06p043900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKKFRGVRQRQWGSWVSEIRHPLFKRRVWLGTFNTAEDAAKAYDQAAVIMNGHNAKTNFPVIKSNDSDSSDINSLSRSPKWLSEHLNAKLRKNCKDQTPYLTCLRLDNDSSHIGVWQKRAGSKTTQNWVKLVELSDGVNARAGDVGINKMKKKIDDVEEEDQMAMQMIEELLNWTCPASASISGLH >A08p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2389631:2391110:1 gene:A08p004120.1_BraROA transcript:A08p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACREGISQSYNIVMGAPKHKWSQEEESALRSAVAKHGPGRWRTILKDPDFSQVLFLRSNVDLKDKWRNISVMGYGSGSRPKPVKRTLGSLPSDEEILEMVDAKNVSTTGSSALQVSSPRTPNWLDSLITEAICTMKQLGGSSKTAIGNYIQERYEVPPNFKQLLSSRLKYLSAFGCGKLIKVKRKYRIPNSTALSSHKKRHLGTSSDKDEVSVQRQSEVDAELAKVMIVNIHEAAAVAAQAVAEAEAAMVEADEAAKQAEIAEAEAEAAQVFAEEVSKSLKGIKQLQCADDPCLR >A08g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1964766:1965833:-1 gene:A08g500770.1_BraROA transcript:A08g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSPGSSSSSLQASMQEFNLFETQSVQYYFHFPPFESLEFIYDWLEVYRVLKIDRLHASELNLSEDSTAYTKKDTRRQQGHRRPQTGHSLLWHHWYCVGFIKFLGPYYILVITERREIGEICGHRVYEVSKSEIISLRNPSVLSNIPNSRDENSYSFNIMHSFQKDICDHESGATLCKKMFVWNEFLTWGIRHLRNTVWTVALVYGFLKHVQI >A09p083340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60000759:60003928:-1 gene:A09p083340.1_BraROA transcript:A09p083340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRKKTTPASRTRVGNYEMGRTLGEGSFAKVKYARNTVTGDLAAIKILDRDKILRHKMVEQLKREIATMKLIKHPNVVEIIEVMASKTKIYIVLELVNGGELFDKIAQQGKLKEDEARSYFHQLINAVDYCHSRGVYHRDLKPENLILDANGILKVSDFGLSAFSLQVRGDGLLHTACGTPNYVAPEVLSDKGYDGAAADVWSCGIILFVLMAGYLPFDEPNLMTLYKRICKAEFSCPSWFSPGAKEVIKRILDPSPITRISIAELLEDEWFKQGYKPPSFGQDDEDITIDDVDAAFSNSKESLVTEKKAKPESMNAFELISSSNSLENLFEKQAQLVKKETRFTSQRPASEIMSKMEETAKPLGFNVRKDKYKIKMKGDKSGRKGQLSVATEVFEVAPSLHVVELRKTGGDTLEFHKFYKNFSSGLKDVVWNTDGAPEEHKA >A03p007420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3066900:3073561:1 gene:A03p007420.1_BraROA transcript:A03p007420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNMPMDIVNDVFLRLPATTLLRCRVLSKPCFSLIDSPDFVASHLKRTLETEEHLMILLQSPQLLRTVYLDAPDTLSDVEHPLQTGGVTEVFGSVNGIIGLTNSPLDLAIFNPSTRKIHRLPIAPIDFPEHSISRENVFYGLGYDSVSDDYKVVRIIQSKDEGDEGDESFGYALEIKVFSLKSNKWKRIHLLFEVQILFIYFYYDLLYRRGNGVLASNSLHWILPRTGGHTAFNTIIRFDLASDNLGVLNFPQDLYCEDDMDIGVLDGCLCLMCYSESSHVDFWILREYQGKWSKFITVPKPETVVSFKFVRPMIYSKDRSKILLELNNGKLVWFDLESKNFEALEIKGCEGPCNAEIVVSSLVLGCKGDPCRAPEKKMMQESDKSPDFVASHLKRTLETGDHLMILLRSPRLLRTVYLDAPDKISDVEHPLQTDGSTEVFGSVNGLVGLTNSPVDLALFNPSTRKIHRLPIEPIDFPEHSISRENVFYGLGYDSVSDDYKVVRMVQSKDRGIERFGYPFEVKVFSLKRNRWKRIREVQILFIHFYYRFLYPSGNGVLAGNSLHWILPRRQGLIAGNRIIRFDLASDDLGVLSFPQELYFEDNMEIGVLDGCLCFMCYSMFSHVEVWILREYQGKWSKFITVESYNFIRPLIYSKDRSKILMEINGEKLVWYDLASKSFETFVIKGCEGLQCSAEIVVSSLVMGCKGDPHRAQVKKMMQKGSKRWGYAHLYNGYNEDRPWNVEIVVSSLVLGCKGDPGRAREKKMMQKGNKSFPEKTMANMPMDIVNDVFLRLPASTLVRCRLLSKPCLSLIDSPDFVASHLKRTLETEEHLMILLLSHRLLRTMYLDAPDKLSDVEHPLQTGGLTEVFGSVNGVIGLTNSPVDLAIFNPSTRKIHRLPIEPIDFSEQFITRENVFYGLGYDSVSDDYKVVRMIQSKYKGDGVEESFGALEIKSPFLFEVQILFIYFYYDLLHRRGNGVLASNSLHWILPRTGGHTDFNTIIRFDLASDDLGVLSFPNDLYLEDDMDIGVLDGCLFLMCYSESSHVGVWILREYEGEWSKFITVPKPETVVSFEFEQDFAGDKKNGKQMWFDLESKGFETLEIKGCEGPCNAEIVVSSLVLGCKGDPRRAQEKKMMQKGNKRGDGFLSKGFKLKL >A10p030560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18461156:18465410:1 gene:A10p030560.1_BraROA transcript:A10p030560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWLDVYHVFSATVPLYVAMILGYLSAKHLNIFSLEQCAGINKFVAKFSVPLLSFQVISQNNPFKMSPRLILSDILQKIFAFVVLAVVLRFWHPTEGRGGKLGWIITGLSVSMLPNTLILGIPILSAIDGDAAVNILVQIVVLQSLIWYNILLFLFEINAARKITSSGASIEHRGNDNEEADIEQEPKEEVAIVRTRSPGTGKILLKAWQKLIINPNTYAALFGLVWATLHFRLGWKLPEMIGKSVHMISDGGLGMAMFSLGLFMASQSSIIACGTKMAIITMVLKFVIGPALMVASAFSIRLRSTLFRVTVLQAALPQGIVPFVFAKEYNVNPEIASTGVIFGMIISLPITLAYYFPLLLCFSRLLPSQLLQETIMGKGPGLYTEIGKKARDLLYKDYQGDQKLSITTYSSTGVAITTSGTNKGDLFLGDVVTQIKNKNFTADIKVASDSSILTTFTYDEATPGLKAIISAKVPDQKSAKVELQYLHPHAGICTSVGLTANPVVNFSGVIGTSVLALGTDVSFDTESGNFKHFNTGVSFTKDDLIASLTLNDKGEKLTASYYHIVNPLKNTVVGAEVSHNLKSQVNSITVGTQHALDPLTTVKARVNNAGIANALIQHEWRPKSFITISGEVDSKAIEKSAKVGFALALKP >A09p067720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53315691:53317445:-1 gene:A09p067720.1_BraROA transcript:A09p067720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 6 [Source:Projected from Arabidopsis thaliana (AT3G62390) UniProtKB/Swiss-Prot;Acc:Q9LZQ1] MERQRSFSIKSTSVFLALIITTISSAVIFFTFFSIKSNSSNANNFQIHLSPVASITETTTSLHISDSSVSPQQSPILISTHFTPPENTSGSAKIPDFEKKTRGEVSSVKVIELPSKNDEEKKKKRIEECDVTNGKWVYDSDLPLYTNASCPFIDEGFACQSNGRLDLDYMKWRWEPRDCDAPRFNATKMLEMIRGKRLVFVGDSINRNQWESMLCMLFQAVKDPKRVYETHKRRITKEKGNYSFRFADYKCTVEFYVTHFLVREGKARVGKKRRETLRIDAMDRTSSRWKGANILVFNTAHWWSHYKTKSGSVFFVPNQKKDIRRNTGMERVNYYQEGDLVHPKLDVSTAFKKALQTWSSWVDKNVDPKRTRVFFRSAAPSHFSGGEWNSGGHCREAKNLLNQTFKPSYSGKNIIVEEVLKQMKTPVTLLNVSGLSQYRIDAHPSIFGAKPENRRSQAVQDCSHWCLPGVPDTWNHFLYLHLLHKRKVTN >A05g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8966416:8970931:1 gene:A05g502930.1_BraROA transcript:A05g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKADIISCSLCNTPAQAQVEKAQQRETLSNPNPNNYKRRCLSTAEPSETHQAKPCETQELPPPPARRGSAAVDHRPRSPSRRSRVPSRRLLDSVELPRARSGTETAALAVLDPDRQPTKIDEMLIMIENLVDLGKERTGGEYGKRGGRSVQNGRVKIEAPLSHHKPTKRSPARHESCRRHQHAEEAPPSTTVRAAQVADRGCQAASFSILWSVTACTSESQIRNRNCYLAVLDPVRQPIKVRTCL >A08p003780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2152793:2156258:1 gene:A08p003780.1_BraROA transcript:A08p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7-dehydrocholesterol reductase [Source:Projected from Arabidopsis thaliana (AT1G50430) UniProtKB/Swiss-Prot;Acc:Q9LDU6] MAATVHSPIVTYASMLSLLAFCPPFVILLWYTMVHQEGSVVQTGSFLWENGVQGLINIWPRPTAIAWKIIFCYGAFEAALQLLLPGKRVEGPISPTGNRPVYKANGMAAYFVTLATYLGLWWFGIFNPAIVYDHLGEIFSALIFGSLVFCVLLYIKGHVAPSSSDSGSCGNLIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYEINGKVSDSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVQLGTQLAIYILVAGCLCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVATYTTTAGETKTSLLLTSGWWGLARHFHYVPEISSAFFWTVPALFNNFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVKYRIIPGIY >A07p017110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10403399:10405764:1 gene:A07p017110.1_BraROA transcript:A07p017110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAATKLTSLKATAGKLGYREICQVRQWAPLKSAMPHFGMLRCASSRQPFATSTVVKAQAQAQATAVEQTTTDEEAVPKVESPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSAKEAEEVSKQIEEYGGEAITFGGDVSKEADVDAMMKTAVDKWGTIDVVVNNAGITRDTLLIRMKKSQWDEVIDLNLTGVFLCTQAATKIMMKKRKGRIINIASVVGLIGNIGQANYAAAKAGVIGFSKTAAREGASRNINVNVVCPGFIASDMTAKLGEDMEKKILGTIPLGRYGQPEDVAGLVEFLALSPAASYITGQTFTIDGGIAI >A05p005410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2101879:2109629:-1 gene:A05p005410.1_BraROA transcript:A05p005410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIKEPPGGWENMWVEGRTLWDLGEATPIVVHLCETGSLPNGRALVPGCGTGYDVVVMANPDRHVIGLELSKTSVERSMKMFSSLPNSKHFSFLKEDFFTWEPTEKFDLIFDYNFFCAFEPKVRPLWAKRMQELLNPGGELITLMYPLSGGTPGPPPYRVSVSAYEELLIPLEFEVISIVDNELAPATRKGMEKIGRWKKKKSVKSHTSHSPSSRLILSPPWPYSRPWLRRSGWEKCWEDGITPWDQGRATPLVVHLVDSSSLPLGRALVPGCGGGHDVVAMASPERFVVGLDISESALAKAAETYGSSPKAKYFTFVKEDFFTWRPNELFDLIFDYVVFCAIEPEMRPAWAKSMYELLKPDGELITLMYPITDHDGGPPYKVAVSTYEDVLVPVGFKAVSIEENPYSIATRKGKEKLGRWKKIT >A05g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10686345:10688191:1 gene:A05g503760.1_BraROA transcript:A05g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLIYRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYTNEVFPNIPDP >A02p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2534626:2536482:1 gene:A02p005930.1_BraROA transcript:A02p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQTNTRLNPINVVPGRSLTNPSRAPCRVRCAAASPGKKRFNIALLPGDGIGPEVISVAKNVLQQAGSLEGLEFNFQEMPVGGAALDLVGVPLPEESFAAAKQSDAILLGAIGGYKWDNNEKHLRPEMALFYLRRDLKVFANLRPATVLPQLVDASTLKREVAEGVDMMIVRELTGGIYFGEPRGIKTNENGEEVGFNTEFYAAHEIDRIARVAFETARKRRGKLCSVDKANVLDASILWRRRVTALASEYPDVELTHMYVDNAAMQLIRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGVSGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEDAVLDTLNKGFRTGDIYSPGNKLVGCKEMGEEVLKSVDSKVTATV >A08p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22746297:22748415:1 gene:A08p039580.1_BraROA transcript:A08p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT1G17270) UniProtKB/Swiss-Prot;Acc:Q84WU0] MDRNSSDEDDDHRNLIPHHDSRDNELHSATNRTNGSSRSPRSAFQIEEASSRSGNNRKTPLNKRYIIAAVSLTLLLFLFFFSFTDTRTFFPLNLSSFKLDANESELRALYLLKQQQRDLVSLLNHNNSSNAIESNVESAISLSKQIEEALLSPHKTGNSSLSGLDSDSITSSCGKVDQSLSERRTIEWKPREDKFLFAICLSGQMSNHLICLEKHMFFAALLDRVLVIPSPKFDYQYDRVIDIDRINTCLGRTVVVSFDQFKKEKGGARIDRFICYFSSPQPCYVDEEHVGKLKALGVAVGGKLEAPWSEDIKKPSKRGFEEVVEKFKGEDGVIAIGDVFYADLEREWVMQPGGPIKHKCKTLIEPSRLIVLTAQRFIQTFLGKHFVAMHLRRHGFLKFCNAKSPSCFYPIPQAADCISRIVEMANAPVIYLSTDAAESETGLLQSLVVVDGKVVPLVKRPPRNSAEKWDSLLYRHGIEDDSQVDAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGTSSMCDEYLCRGEEPNFIAEDE >A07p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19799487:19800921:1 gene:A07p036990.1_BraROA transcript:A07p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRFSWKNICLPISCINNTNQKTTCTTNPSKERLLLLSKQTSVRSRVYLSDFSNSTISLNDLSNSYLIDIHIFTFEELKTITQGFSKYNYLGEGGFGEVYKGFVDNSVKTGLKAQPVAVKALKREGGQGHREWLAEVVILGQLKHPNLVNLIGYCCEDDHRLLVYEYMERGNLEDHLFQKYGGALPWLTRVNILLGAAKGLDFLHKEEKPVIYRDFKPSNILLSSDPNKLEQIIDPSLEGRYSLEGIRKAAVLAYQCLSHNAKSRPTMATVVKTLERILDLKDIQNGPFVYIVPVAGANKVHDIKCN >A05p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:367789:370787:-1 gene:A05p001650.1_BraROA transcript:A05p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOMAIN OF UNKNOWN FUNCTION 724 6 [Source:Projected from Arabidopsis thaliana (AT2G47230) TAIR;Acc:AT2G47230] MSTEHQTMVKGSEVEVSPQEEGLKGAYFPALLKENTTLSGRKKLSVIYKTLLSDDGSSPLTEAAQQSLLRPVPPEEEYAGVVLEEGTVVDAYLRDGWWTGVVINKKLEDNNFLVCFDSPPDIIVFEKTNLRAHVDWTDSKWVRPDLKEVDKKSMFCPGRMVEVSSSVDKAEDAWFPAMLIKEIEVDHGEKKFIVKDCNHEARPNTTVDPRRVRPQPPPPSSVEEKYTLMDRVEAFHGSVWRQGLVKEVRTGKRYKVFLVAKKEEHFFGQSDVRPLKVWEDGAWHDVSKEETPPSRGVKPKTVVTSKRARRHVTGSSLNPDETLTVAATRELGNKKADAVLVNDETPPQVITPQVTPIAKESAPVVTPSPPVIAATPTETEGQLSSEPRSKQNGLEKNDSIPPHKMPEEEETSQVLNRKRRREEEQQQHSDVNETEVSSSSSHQTPNVMMMNSAASVEETPPPALLKALPFAKRSPYWKSPEAKEGYKTMPQRPHFSPLLAAEDVNHREWSAVGMTVSFYGFLEQVKGLKPHDSLSVLCSLSESFVELEEYGFDIGAPQSRIRKMMSLKEEQAKIAEEKQCLEKKVDEDEKRSRELAEEMDVLKGKMLELQRQEAVAREEKEAADKRVVEMKASGERFEQKMEDVELEFLETASAPW >A02p013720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5975401:5975903:-1 gene:A02p013720.1_BraROA transcript:A02p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDDGSREMLLKLQEKIEKATSELKASGSSSSSSTSSHHLQKLSKEVQLLLDKTVNAQVPSKPQIRDAELDKVFAAEEVGHVCDLCDRDLASDPERPNASLRSLQEACVLACGHVYHFKCLRGTTLDLDNPSCILCIR >A09g510900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33325913:33327882:-1 gene:A09g510900.1_BraROA transcript:A09g510900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARIEKRILEESTSPSVSAIRKDEDEDVYMEQVVKEEAERHERSKKTMNLIILNVGDHMLRKIEFCTLAASTYSNWRGYDVIIDQLANEDDEDLDKPADEDVLVLSKGPLTRSRSRKLTQAIGGLVPMGKESEEEAELVRKNKMLREQMTEQMNQTMISTMADMLKASMKEFREEMRQELRQATGQGHSNESRRNRPTPIRQEHAGSQETDNYYSRHRTEHNQTEC >A06p003210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4801373:4802011:1 gene:A06p003210.1_BraROA transcript:A06p003210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLVPQLLSSPTSLNVAAPRTYYTFNAHNTRRTVKCSSNPEPKDQQFLDLTPSPDSINTTSAETFPIEKRRRSEIIRDRKQRGIEKPEPPNFEIGWKRTKEINLEKPKGYVIMDFLEKFEGLMAREFGSKELLAKAGEIVAERAREEAEVLRDEGEVEERMVTELFRVLKLMEMDLAMVKASVKEETLSERIEQARARCRQAILVANSF >A02p032400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16966189:16967000:-1 gene:A02p032400.1_BraROA transcript:A02p032400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLLVDDEFIHGEETNLTVRKTSLFFSGDGFTVYDCKGSLVFRVDSYGGPNNRDTDEVVLMDAHGRCLLTLRRKRPSLRRRWEGYLGERTDGQKPIFGVRRSSIIGRNSVTVEVYAEYECSEYLIEGNFGQRSCTVVEAETRRKVAEIRRKVDASTNVMLGKDVFSLNVKAGFDGAFAMGLVLVLDQIYGDDYVEVGEEQVHPSAVDL >A02g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17022822:17025240:-1 gene:A02g505870.1_BraROA transcript:A02g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSSFEDLRRMVLEDFGIDQNLVELELSYLPMELIGSIDCPPVIIESDRQVKNFLTYVRGKTSTRLCVSTSPISGNNSNIGVDNEKSSSPIREQGEPSSFPPRDDSVRSSESSKDVEDNSNSNANKEDDLLALKQHEGEEKY >A09g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19550491:19550826:-1 gene:A09g506640.1_BraROA transcript:A09g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKQSHENFIVISTFTKPLSKEAIYLLAFSPWSKIAPQIYLMPAHHLASTWSPPLCMLPDALSDAHYIRNYFSDFVKT >A10p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19644148:19648888:-1 gene:A10p033630.1_BraROA transcript:A10p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex II-specific subunit 120 homolog [Source:Projected from Arabidopsis thaliana (AT5G11040) UniProtKB/Swiss-Prot;Acc:Q9FY61] MEPDVSIETLSIIRIAVLPIGTIPPPLLRDYHSMLLRHHTIALSAISSFYTEHQKSPFTNQPWDSGSLRFKFVLGGSPPSPWEDFQSNRKILAVIGLCHCPSSPDLVSVTESFNVACRSYSSALVRRCFAFCPGDSQLEDGDKKGENLILFPPSDKQTQEFHLQTMMQDIAASLLMEFEKWVLKAESAGTILKTPLDSQASLNSEEVIKAKKRRLGRAQKTIGDYSLLAGSPVDANAHYSTALELARLTGDYFWYAGALEGSVCALLVDRMGQRDVALEDEVRYRYTNVILHYRKSFIQEIAQRVSPLSFELEATLKLARFLCRRELAKEVVELLTNAADGAKSLIDASDRLIVYVEVARLFGELGYQRKAAFFCRQVAQLYLQQDNRLAAISAMQVLSMTTDAYRIQSRASVSKVSVNNETGSRQPDTGKMHHHSIVSLFESQWSSLQMVVLREILLSAVRAGDPLAAWSAAARLLRWHYPLITPSGQNGLASSLANSADRLPSGTRCSDPALPFVRLFSFPLHSSQVDIVKRNPAREDWWTGSAPSGPFIYTPFSKGDANESSKQELIWVVGEPVQVLVELANPCCFELRVDSIYLSAHSKNFDAFPVSVDIPPNSAKVITLSGIPTAVGPVTIPGCTVHCFGVITEHVFRDVDNLLLGAAQGLVFSDPFRSCGSAKLRHVFVPNISVVPPLPLLVANVVGGDGAIILYEGEIREVCINFANAGTVPIEQAHVSLSGKNQDAVISIADEALQSALPLKPGAQVTLPVTLKAWHVGPVDSDNAVGGGRNAAGNTGRPKDGTSPSLLIHYAGPVSNNGDPQEKESVVPPGRRLVVPLQICVLQGLSFVKARLLSMEIPAHVGDNLRDEDTETESNTDRLVKINPFRGSWGLRFLELELSNPTDVVFEISVFVQLEKSAKEDDSSPVQDSPEYEYPKTRIDRDYSARVLIPLEHFKLPVLDGSFFTKDPPPGTPSSSRHPSFSEKNTKAEINALIKNLISKIKVRWQSGRNSSGELDIKDAIQTALQTTVMDVLLPDPLTFGFRLVRNDSETKAQSPLSKGSVLSHEVTPMEVLVRNNTSEAIKLNLSVTCRDVAGQNCAEGADATVLWAGALSGISMEVAPLQETRHCFSLYFLVPGEYTMVAAAVIEDANNVLRARARTASPNEPIFCRGPPFHVRVTGGEM >A05p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21140375:21142910:-1 gene:A05p037190.1_BraROA transcript:A05p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDPTSILFTKIRTLEPDLASKIIGYLLLQDLGKRDLMRLALGPDTLLQSVCLKAKSALGLSSSSNGSPSSSSGTTPLLNPISRPINIHGHSPFMEFSRSPSLNNTPGSSNPNVGSSPFQASSSLFASDGDDLVDEGQLGNYLFFLNESSSKNNNNNDETTDLFGFSGDNDDAHLHKRSFSASDVCFGSEEPGYGGYCRFPGLGDDFESPGGGFGSPDFRQQEEIARMKLAQRQRMAAAQFLAASGGSPMSYDKGLNFLLGSRNAHHRSGGAGQFGDEGYWFGSPGRHEREEFLGMGDKSNSASKQIYLTFPADSSFTDEDVSNYFGSPSKTQLHQKLNFGPVQDVRIPYQQKRMFGFVTFVHSETVRIILARGNPHFICDSRVLVKPYKEKGRILENRRQQQQLMQQMERGNFSPGSSPSGMDARDLFDCHFGPRMFSSTQEMMRRKAEQAELQQALEFQRRRLVNLQLPDLDSESFHHHQRSLSIGSPLHYPSRVNQSMLFRSENAGEEGNGDSGHFHSDSENKNSQEGGYVNHFNTGRQETSLENALPDCFFASPSKTGETQEQSESENENCATVESKPASTLQSA >A01g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11418468:11420073:-1 gene:A01g503710.1_BraROA transcript:A01g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRAPFPLSTSSESKKHNYRGGLIGLELLLLMISHKCGLICHPRRFSNAAWSGKFVVDCSSFSSDPLLLSTAKGQAGNLGFRSYQTMSFIFSGILTPIPAFGFLFDNEEVKGPVIQYLIRPEECLKKFHPIDLEKLQFIGDSLNILRQEVEEHAKLTAFKYSIHGSGQLTPEMWEPWIKERRYSDTPIVFYTKWKHGSSSADVIGLDWTVDMADGKRRLGSSVRDLRVHGNVDPSNLLSLIPALTEEIYRWIVYFVELKSSEDYWTYLHGIYSNLGHGVLVGTPEEALAHFFETARSLAY >A10p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11305149:11307997:1 gene:A10p008350.1_BraROA transcript:A10p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFLRRGWIVVCVFMLLMSTQVLGKLSSKDDHAKSKHRHHNNKRDGASGGGGAGGSIGAGGGAGGGIGVGGGIGGGGSAGGGGGIGSGGGVGGGGGGSGTGGNGGSCAGGHGGGGCGSGGGGGRGSGGGGGGVGGGVGGGGRGVGGGGAGGGVGGGVGGGVGAGGGVGGGAGAGGGGGGAVGGGAGGNAGGGVGAGGGAGGGVGGGVGGGGGFGSGVGGGGGGGLGGGGGIGGEKKAKNAAIKQREALEASQRGRRLDAIEAQIKERQRKLAEDQRNLLQQQAQEKAQNLPYEDDLARKRLHVTRKTVNIMFNSYKDRTFNEKVFDLELLQTDHEAQRRQISCVFVFLKRILACVPTLSLAPIEAYPVVTSNSRDTSCSLVLEIQYCSLILNSLMLQ >A05p033490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17380301:17382569:-1 gene:A05p033490.1_BraROA transcript:A05p033490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATMNIRDFGSARIAFPGFNEVGREDHFYRSANLIYLNDWVDEVSAVRTFRLKCYRSGNPEAIYLRGMYEFFILHLVDEGWEKIHLAGERGCELAQYVDGMMNLAFSVDQRGIVHNYPAFTRQHVDKMFQIICSWQLSGHWDYDKPGMIDPNVPRDCWCSHIDPPEFEVSLDGSRSRWKCDPAMMMNSMPTDLIVNIFSRLPAKSVAVCCCMSKLWASILNSQDFRELFLTRSSSRPRLLFAIQGTYNNIWRFFSSPQPHYSSDKSSHEVTADSYMKYLEDMRPYFCSYASGLMCFRQSRWIRKETELYIVHVICNPSTGQYDLLPKLILNYCGDSTSFLGFDPVDKQFKVMFTDYNVQRIITFGSGELRWRNIHCSLPHQGVSWPYSPILVCFDVRSEEFNFIEEEEVVECFNGFLINYKGKLGVVKTYYDGSTLQLRVWVLEDLEKQKRSEYVYNLPKNKFFGGNVSVVGMTARGEIVLISDYVS >A03p067380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29646000:29649597:-1 gene:A03p067380.1_BraROA transcript:A03p067380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSGTSGGKQKLIPISNKHLETGRFLFDIRYLVLSKHVKGHNDGKGLHLIFLKPGSRTPSGLPVSYATTHFMKSDYYVKNLPSYWDTSSTSPSEIKFCPDNKQSLYCHLLCGLVLRDEVTRVCANFASILLSDWITDSCRGSVSIILGGPNPQLADIIEDICNQKSWKGIIPQLWPRTKFIECTFTGQMSQNIPLLEFYVNDLPLVSRNYVSSEAFFGLGCYYELVVTSYSGLHRYRMGDVLQVTGFYNSAPQFKFIRRQNVVLSVYLEATMEEDLLNAVTNATQLLKSSDIMLRDFTCYPHISTVPGHYVLYWELKGNNNDDINELIDTNVLMECCSVVEESLDALYRKFRSKDGSIGALEIRVVQQGTFDALMEYFIAQGASIGQYKTPRCIKSSAALELLENRVTTRFFSDKLPHVDISLC >A04p013110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4218559:4220968:-1 gene:A04p013110.1_BraROA transcript:A04p013110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTKSAKVSLFAVWTILLVLNVNGQSGCDTHRFANNIAFTSCTPLSALGSFLHWNYNGQNSTVSIAYRHPGTSSSSWIAWGLNPSGARMVGTQALVAFTNSSGQFQAYTSSVDDFSTQLQPGSLSFGVSLVSATLVNGEATIFATLELPANLVMTNQVWQEGPVANGVPQTHQRTGDNMRSIGRIDFRTGQSSASGGSSGNRLRRRNTHGILNAVSWGVLLPMGAMMARYMKVFTAPTWFYLHIVFQVSGYVIGVSGWATGIKLGNDSPGTSYSTHRNLGIALFTFATLQVFALLLRPKPDHKYRFYWNVYHHTVGYATIILSIINIFKGFDILDPEDKWRWAYIGILIFFGACVVILEPLTWFIVLRRKSRGGNTVAAPISNKYSNGVNGTTSTTGQHHQDA >A10p000170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:90801:93407:-1 gene:A10p000170.1_BraROA transcript:A10p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBTI1.1 [Source:Projected from Arabidopsis thaliana (AT1G01900) UniProtKB/TrEMBL;Acc:A0A178W900] MLFFPFYDNRPMMFIRSLLFLFFLFFTSNASSLKQTYVIHTVKTNTKDIVSSLLNSLQTDSNIQDDNDFALPEIHYIYENAMSGFSATLTDDQLETVKNSRGFISAYLDELLSLHTTHSHEFLGLEFGIGLWNKTSLSSDVIIGLVDTGISPEHVSFRDTHMPPVPSRWRGSCDEGTNFSSSSCNKKIIGAGAYYKGYESIVGKINETTDFRSARDAQGHGTHTASTAAGDIVRKASYFGLAKGRFASGMRFSSRIAAYKACWALGCASTDVLAAIDRAILDGVDVISLSLGGSSRPFYVDPIAIAAFGAMQKNIFVSCSAGNSGPAKSTVSNGAPWLMTVAASYTDRTFPAIVRIGTGKKIVGSSLYKGKGFENLSLAFNTTAGGGSGAEFCVRNSLKRELVEGKIVICLRGAGGRTAKGEEVKQNGGVAMLLVSRQTEGEELLADPHVLPAVSIGYSDGVVLLKYIAGGGGAANATVASIGFRGTEYGARAPIVAAFSSRGPSVAGPEIAKPDISAPGLNILAGWSPFSSPSLLRSDPRRVQFNIISGTSMACPHVSGIAALIKSVHGDWSPAMIKSALLTTASTTDNRNQPIGDMGAGPNSAATAFDFGAGHVDTTRAVDPGLVYDLSTVDYLNYLCSLSYTSERILLFSGTNYTCPPSPGDLNYPSFAVNLANGAKMKTVRSYRRTVTNVGSPACEYMAHVEEPKGVEVRVEPKVLKFQKVRERLSYTVTFVAAASRDSSSSFGALVWISDKHKVRSPIAVTWE >A02p028260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14307731:14309185:1 gene:A02p028260.1_BraROA transcript:A02p028260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A05g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27564040:27565808:-1 gene:A05g509170.1_BraROA transcript:A05g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFDPIQADLATAETREVCSSSDDSSSSSPIKLSTIHCYRNPLLLFNSDLMVSSTFPSISFYIKPTLIETMQKQLHLQAWKSTGMSPLSTGRTQGLLLVACAVTVVAWLVSGHWVLNNLLGISIFIAFVSHVRLPNIKICAMLLLCLFVYDIFWVLFSERFFGANVMVTVATQQASNPVHTVANSLNLPGLELITKKLELPVKIVFPRNLLGGVEPGVSASEFMMLGLGDMVALYVGGLYIYWMGICNENGTRHTRTVKDEEDSLKRKVKETMEKAFWDSVMESMKLEEPGMSFARWCLLLNSGTLDIDYLGKMLEFALATLRKLSAPANDRENESTHQSLLEELHRLCQAKDESGSLHAVAIVKGNRFILEQIHV >A08g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4409002:4409682:-1 gene:A08g501830.1_BraROA transcript:A08g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSIRILEDFMEVVWKTSLKSALYFRRLPRRLPISLPKSDPDLRNMYIKLRSEKHAYHIKKTSVMKGRHGRRLCQKTSCKSSGRLPVSRLEDFLEVVWKSSSL >A10p014600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4100539:4101855:1 gene:A10p014600.1_BraROA transcript:A10p014600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHFGTYRNLILQTLLSLSLTVILYFLRIPIIFLYGLCTYIQPENLGQNSAGSGVRAAIRRPSNTDPNNELRRRNRSKDKSEFDETNAQIFRIKLDEDHLRSRMYFTDYNSLFVVSFLSLSSFLLQIYFSVDDKGLLFPLVLGFIALCKVFAALAKISFERSASKRSEKRLSFIFGALGFVFGIIISAGVFPRGLDFQLGSVDAFCCVLISFSMACIAGFLYMPAGRSARSFWVGTDQIRSNLSIISCGWFGRIILYVNYIVSVFTSLLWIHPLADILVKRSSNAGGLVGNVGFSSNDFGRFRVLCLLLSGLLQAMAVRPNLQMFLNEAVLSWYQRLHGSKTPDLEFSRAKMFLHNHYLCLVALQFVAPSVLVIIFLGLSQIDLGSFAVSQLVCGSLPCSEFVGQVGLLMSWWVLFVWSAFASASLVFYRRGVLYVS >A08g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12179399:12182203:-1 gene:A08g507050.1_BraROA transcript:A08g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVEQPNVHIRRQPRNDAAQDGLLAPKEVRIDREGLGPFRMEDSVPTRKRARPRKILSIEADRLRSVTGIAGQAVEAERALTIRVVAISSSKEEVEVEEDLRKRLIMDERTSGECTEPCKCEILVQIVHKPRLVQEYTKEFLDMAEKCKSKPAEGGEDDREGDGSKEIPERSSAEKSRRQEALAVDDLSLSLPALPLPLFSLLTASLSPLSLLAVSSREWWWWPLGVGKNRGTGKIESRRVLAGRGHNTLQRRSVPEELGDGPTRAGDFTGSSKKRGGMVRLSCVADRLHRLSVVTRGFSFRIEPTISGNVNGEEGNAPETHGTRNGTHGDVGKIDMCVLNSVPWNPGRKWGRGGCFKITR >A02p006830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2910054:2912137:1 gene:A02p006830.1_BraROA transcript:A02p006830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFFCSCFFFMIIFSTSSAYDPLDPNGNITLKWDIMSWTADGYVATVTMNNFQIYRHIQNPGWTLGWAWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKIPTVVDLLPGVPYNQQFSNCCKGGVVGAWGQDPSSAVSQFQVSVGLAGTTNKTVKLPKNFTLLGPGPGYSCGPAKIVPSTVFLTTDKRRKTQALMTWNVTCTYSQFLARKHPSCCVSFSSFYNDTITPCPSCACGCENKKSCVKADSKILTKKGLNTPRKDNAPLLQCTHHMCPVRVHWHVKTNYKDYWHDTGMFFGTKFYNDLLMEAGPSGNVQSEVLLQKDEKTFTFKQGWAFPRKVYFNGDECMLPPPDAYPFLPNSARGNLASLWTLSLTVLLLVVFISSL >A02p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31789761:31793550:-1 gene:A02p052090.1_BraROA transcript:A02p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSNWKPNEQGRDSLANNANDWRSTHEFDLRKRVIIAIVEKLKICFPKHSQNDINNTACKFEGKIYGMATDKSDYLRKISEKIMVFDQRFKSVQSGSLVNEPNTTQDPAAQALNQGPSLPTSLSYTQTPTNQQWFPQNNIHQSSGFSNQVPITVSAAQSQNIQMGEGVHSHLLSNSQRQIQGRKQFCTQPQQQLQSCNDMFQSQVNQQLLKENTHHLQPPYMQQHQHQQSLLKQPIHQHLPHHTSLSTIQQSFPQTSVHSSLTSSGQQNSQYLPRHMYVQSQEQKQQEYEQLISQLMNGQDTQQNHLTSQQNNWEQRGAFTVSPSQQNNIVSFQEMGQQSSNPHTMYLQQHLYSHSNNASSSLASQQQTYMPGGQSGNFNVYESSLLGTQGQGLGQSQPMMLQQYQQPQNRILQQHLDDTQRLHEAVSLHQTQNVANQQNQPYQLQRAPTENPYINASGGDWQEETYQKIKALKEKYLPVLSTLLQKVSEKLGEVDSLPQQNTQNEPIEKLRVGKSMLELVVMFLNVHRDNISESHRDKFSLYEEQVLRFTKNRQTLTQRPMQHQQSPSGHNQHSNISLPQSCMFHEKQFHHLNQLLHSQQQQQPQTNQQQPQIQNYSSPQFVDHQILSTAFHNTGTSSQSLAPSPNLVDLEKPIYVESLVSHDYQLQTAPQEQPIDRLIEAVHSVTTTPPLDIISQTGSYKRLSSLESEADSTASSGSKAQKIEPACTLLQEIKDINGSLVETVVNICNEEVYPSEVTPGTIVTCSYSPVALSDTFEAHYKSGHISQIQPLRLLVPVNYPSSPILLLEKLSYDSSLHKYDDLSARTRSRFGLSMKEFSEPMSLKEIAQAWDVCARETMAEYAEQHGGCTFSSNYGHWDPV >A09p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8297798:8300633:-1 gene:A09p015910.1_BraROA transcript:A09p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 16 [Source:Projected from Arabidopsis thaliana (AT1G64170) UniProtKB/Swiss-Prot;Acc:Q1HDT3] MATFVNGTSPETVEMMKATSNGVFQGESPLDFALPLVILQICLVVAVTRSLAFLLRPMKQPRVVAEIIVSPRSTALNLFYNFRVTAYKNSLFPAKSLTVLDTLANLGLLLFLFLVGLEIDLKTLRRTSHKAISIAAAGMLLPFAMGVVTSFAFPEISSTSGDDNRAPFIIFMGVALSLTAFGVLARILAELKLLTTDLGRISISSAAITDVSAWILLALAISLSGDRSSLLVPLWVLLSGTAFVIACFLVAPLIFKLISRRCPEGEPIAEKYVCLALCAVLAAGFATDAIGIHAIFGAFVIGVLFPKGHFADAIVEKIEDLVMGLLLPLYFVMSGLETDITTIHGLKSWGRLALVIVTACFGKIVGTVSAALLSKVGLRDSLVLGVLMNTRGLVELIVLNIGKDRKVLSDQTFAIMVLMAIFTTFITTPLVMALYKPSETTQTQDNDSYKNLKRRRKIESDREEEQTQQLKVLICLQSGRDINPMVKLIEASGGGRNQTKESFCVYVMHITQLLERPSSIRIVQKARRNGLPFWNKKRDNVLVAFEASCNVRNVSVRSVAAISPLLTVHEDICSSADSKHAAFVILPFHRQWSSLEQEFERVRSEFQGINKRVLENSPCSVGILVDRGGLSDSDSEVASSSFSLSVNVLFFGGGDDREALAYGLRMAEHPEVKLTIVAISGSEISKSNILEAQETSRFLAAIKNKGAVPTRFEERIVNSTGEAVKIIQEFCECDILLVGKSSERPFVSKLPVMMKMDYPELGPVGNLILMTTSVSVLVVQQYTKRNTSVVGSVSVAVLETP >A07g500880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1653276:1653921:1 gene:A07g500880.1_BraROA transcript:A07g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVRRLRGSLLEDFVEVVWKTSLMSSRRLPRILAHYILEDFREDFREDFPETWEKTPSKDFVEVVWKSSSPEVFQI >A02g510340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27453651:27454801:-1 gene:A02g510340.1_BraROA transcript:A02g510340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKTVTTKLTSKSPQITSMSIFPRQIVLGQKNVATYVLEIKPCSNPVWIKHKLSQGNGNVSKPATDRFEYDDRNTDKPSSVTTQLPHMHTARSMRSDQARTELDRYVATKLFQNDDTTQIHAFSSTLRCCLPKTVANPFHVFRHSKLSIKLYDKNRGKFTAQRDLRHDSKPTLRFLNQQSVNRETVYAWFARKDKCQVSANKYEFFIDNYEDRENGISPFLGYDALRAEGEMHIRDLWEIRALLLSLFKRKSTVRISVPTIK >A06g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13430727:13431246:-1 gene:A06g504300.1_BraROA transcript:A06g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPLGFPQPTTTTDDLEDLYMVYRVDRGVVLDLASASETPETPILEILAELGLSFTQVLPNFLRHLITFLVRAREEGLPFGFGEFCHQGESQNFPPVSASGSPRY >A07p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16304509:16305367:-1 gene:A07p028980.1_BraROA transcript:A07p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALPLQHNGGFISTTKVPIARTSQRLSRNPRWVVVSAKQEKDEEKKRGDETSLFTRLTDALDFAQVRSEKDAELLYEAREATKSGGKMSKEQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTKGEARQVDKAGRYAHVSCLQNPSNSSGNFFTRLFSR >A02g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1146831:1147801:-1 gene:A02g500320.1_BraROA transcript:A02g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKALKAHFDTLHFVTDSMQGIQERCACGQRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELGFMKTRVEKCEEYKSLVVKLEVENQELKAEVEKLIARVSQLEYAHKKMASYSPGFVSLLTSQNGEFSTPGFVNLS >A04p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14468198:14470898:-1 gene:A04p023800.1_BraROA transcript:A04p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKKDPYFLFSFVSFLLLCLSTCSLSSQPRNPEVEALINIKNELHDPHGVLNNWDEFSVDPCSWTMITCSPDNLVTILGVPSQSLSGTLSASIANLTNLHQVLLQNNNISGNIPPELCSLPKLQTLDLSNNRFSGEIPGSVNQLSSLLYLRLNNNSLSGPFPASLSQIPHLSFLDLSYNNLRGPVPKFPVKTINIAGNPLICRSSPPEICSGSINPSPFSVSLSSSSGRKTNVLAVALGVSLAFAVGVILSLAFIWYRKKQRRLMILRISDKQEEGLLGLGNLKSFSFRELHVATDGFSSKHILGAGGFGNVYRGKLGDGTMVAVKRLKDVNGTSGNSQFRTELEMISLAVHRNLLRLIGYCVSSSERLLVYPYMSNGSVASRLKAKPALDWNTRKKIAIGAARGLFYLHEQCDPKIIHRDVKAANVLLDEFFEAVVGDFGLAKLLNHEDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRALEFGKTVSQKGAMLEWVKKLHKEMKVEELVDRELGTTYDRIEVGEMLQVALLCTQFLPAHRPKMSEVVQMLEGDGLAERWAASHNHSHFYHANMSYRSITSTDVNGDNQTKHLFGSSGFDDEDDNHALDSFAMELSGPR >A06p007430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2571174:2572685:-1 gene:A06p007430.1_BraROA transcript:A06p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G09700) UniProtKB/Swiss-Prot;Acc:O04492] MTANEVSSGVSNCYVFKSRLQEYAQRYKLPTPLYETIKEGPSHKPLFQSTVIVNDVRYDSLPGFFNRKAAEQSAAEVALQELAKSSDLTQSVSLPVHEMGLCKNLLQEYAQKMNYAIPLYQCQRSETLGRAPQFTCTVEIGGIKYTGAATKTKKEAEISAGRTALIAIQSESKMDLANNYSTQLTVIPCEKKTVEVASPVKETIIKTPKARRAQFKKKARKGKLKVAKNLLEDSTVPSQATEHCQNQQLNLEPSSCVNGFKEAAFASVETEASQA >A01g510350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28349342:28352531:1 gene:A01g510350.1_BraROA transcript:A01g510350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFLKDPFKTSDAVDLVSQCTRDRGSPKGIIAFTRVPSSSSSPTTISTAAATFPESETPLTSRNSANPNPNPKRSSIPARGDINFDGLMEEVVEEIEAASSSGDPHSQSLMGFVYGTGMMREKSKSKSFLRHNFAAEGENMQSKMTLAFTYLRQDMHDKAVKLYAELAETAVNSFLISKDSPVILEYQAQKGNAAAMYKIGLFYYFGLRGLRRDHTKALHWFSKAVEKGEPRAMELIFFLIRSPPLPLGFMGISLLLLDEQLWRCCSGGLSRVDTMEGRKKKSSYQLENFTRSLPTPVNRQKKLIFSVRLRLWELSSKMACRLYLAQVATGSSQNLSGATDVFLLTHRGHRVSVDDGKESATFVVFDREMIKLIKKEAKSGSCVDTLVAVGGMELPKCPQELAGNGYLFSYSGNINKAPVVDLKSGQPTAFASSTGDAAKIALGNDGANQPGSADCRQRQNPQTLT >A06g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7122166:7126351:-1 gene:A06g501980.1_BraROA transcript:A06g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVKLSLGLWTKNSNGDWSFEVTSSYHGEAIIINNNETFDGLVELIRIRLNLGILTPVALTYQLPDWMNVPDGPKTPPITLSCDKDVEILTSVRDYMSEAVLYVTSGPELVARYEFLRRSPFTIGDTTYLEEGVSEAQHRQAILDLVGGHPIVCSKHILEIMFNEPQLLIVFRVALEIEMVYGLPNDNVQAEEATGFPRLTVDDVVAMAEAGTISPEEEFYYAENEEVLYGERMNIEELQYEIPIGQPASLLNHSTPLQVEPLNVWRDMTEEEEYWDGIAGHENDYEVYYAHSTHPTEGVIGLPLAPNRRIAAPQPATIIIIDDDDGSTTASSDGLNENNIITSAPPSEVIATIAIELSNNGPSVMEGDISTAVGNINQAGSSEFPIGPTLEDNSNKAEPTLDLTLTLGNKVPSNGDVPVESLNGSCSDPDEGSGNETNNSEELYVGKIFRNRADFKQQMASYALRCKFRFKNSRSSPDGMVLQCISLTCNWRVYAVKLKNVEKYEVRKLNLDHTCSVDERAENFEISGGMLVCQINAGEFDVNDKDGISYHVNLHTKSCSCFSFQTLLIPCPHAIAAAIKEKSSIESLVSNFYTMDTLVAAYAGNILPISSEVNPTVVKAWVITKPHAKWQYKKNVHEVKVGLSRMMKVFDKNGGL >A10p005270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9232584:9232796:-1 gene:A10p005270.1_BraROA transcript:A10p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKRQMILGVILLGFLVIFLGTTQVEAARPLRTSGEIQYVFQFLQRGPVPPSDRNGCTHIPRQGGSCRG >A08p042540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23839393:23842646:1 gene:A08p042540.1_BraROA transcript:A08p042540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQEQAELIEKKLTPFVASQPTTVVIGGGLADQKTTGGESTDQKTTGGGLTDQKTTGGSQTTQPPTTSPPSPPSPESGGGGGSQSSPPPVLTVSPPPSNQSTNTTSPPTPPSSPPPSITPPPSPPQPQTPPQSTPAGNSPVVIPSPTPQIQLPLTPPTFVTQQPTVNGLALPNNPIFNPSPPSTPLSPPFPENSGSQGSPPSPPVVPLTPNAPRNPSQPLDSPPAAGGSNHVPSSSPPSLSGSDNNSGGSNRHNANGNGNGGSGQQKNDSNYTEKTMIGIGIAGVLVIIFVAAIFFIRRKQNKSSSPRSNQNYLPPANVSVNTDGFVNYRQKPGNGNISAQNSSPDTNNSLGNQKPGRSTTPDSAVLGNSKTIFTFEELSQITEGFCKRYVIGEGGFGYVYKGIICDGKSIAIKQLKSISAEGYREFKAEVEIISRVHHRHLVSLVGYCISEQHRFLIYEFVPNNTLDHHLHGKDLPVLEWTKRVKIAVGAAKGLAYLHEDCHPKIIHRDIKSSNILLDNEFEAQAISLQVADFGLARLNDTAQSHISTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDTSQPLGEESLVEWARPRFIEAIEKGDISEVVDPRLEKHYIEGEVYRMIETAASCVRHSALKRPRMVQVVRALDTRDDMSDLSNGVKVGQSTVYNSGQYSNEIRMFRRASEDSTDYGYGSSNGYYTSQDFTSRESERAFNTSRRTNY >A09g510620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32843873:32845686:1 gene:A09g510620.1_BraROA transcript:A09g510620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRMSEGNGYVSKSAADKLGYGNRTAEKPSSIDTRRPSMHTARSLRSDRESVPLGRYRPSVRSAQSLCSDRARAKLGSYVATELFRNVDSTPVHALSSILRCYLPKTVANSVHVFRHSKSSSKLCVAINVSSRNTAQRDLRYDSRPILRFLNQKPINRRMVYAWFAREDKCQVSADNANFGSHSLALEGGGRGYGLLLLMATKRLIETMSGYMKDKLAALTAPMANAYANAVVFNKIENLVATFRHRKSTKTSSRFLPVNTKGHDKSYQNPVKLVLPKTAEKPLARSLRSDPARTLLGRYVVTEHAHCSVAT >A03p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5730900:5733232:1 gene:A03p014420.1_BraROA transcript:A03p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERDQFHRNEAISAVADEGFMGEEEDDDFDDLYNDVNVGGGFHQSVRKNDEAGSRNEEKEKVKIEEEEAEEVSIPGLVGESVAIKTEPESEASGNGVIVASSGYGAQELKVSDVSNQVPSGVVGGGIKVELGQAPNRANDVEASRGNNVSQGLLPPPPPPPPTLGNNENLMRPVNGIMGNGAAIHMPGGGGGTFLFVGDLHWWTTDAEVEAELCKYGAVKEVRFFDEKASGKSKGYCQVEFYDPMAATACKEGMNGFEFNGRPCVVAFGNPHSIKRMGEAQVNRNQQAQAALAQAKRGGGPADPPPPPSNKPVVAPTNNNFNSHAVGGNFQGEENRGFGRGNWGRGNGQGRGPGGQMRNRPGGMGGRGFMGNGGGGFGPMNMMHPQSMGFEQAFGGPMARMGGYGGFPGAPGPPFPGILPSFPPVGGVGLPGVAPHVNPAFFGRGMPMGMMPNAGVDMGMWDPNSGGWGGGGEDLGGGRAAESSYGEEAASEHQYGEVNHDNRGARQNHVKEKERASEREWSGSSDRRNREDNDAGYERDMPREKDGGHGYDLPERRHRDDRETGREREREHHHKERERSRDRDRERDRERDRHREERERYGADHRSKHRDEPEHEDEWNRGRSSRGHSKSRLSREDNHQGGLQLNNHHRYGKKEDVRESQTLVAEDSLSLVKSLLQLSKRLPCLSLRTPFTIFM >A09g516690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49183832:49185498:-1 gene:A09g516690.1_BraROA transcript:A09g516690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRVFFSDLKSGKCSSVVEARLLRFWEARNVKRGGELTWVDMLLMDVNSTLIQATINANRLPKFRERLAVGTMYSVSCFDVARCAQNFKLADSSLMIRFNDSTEFDVLSDPVSPIPAEGFRFRNQTELVGLDNTNTQLPATELSDLNIEPVDIIGEIVVVKSTVSDHPEDKNRVMVTVKLENDVSVTLSLFDAQAVSFHQKLGGMRGDPKVIVATSINPKMVGGRLFLNATSRTHVYYDKETHAGESLFYRLVASDTGLPSAAPLLKSYAKVEPVTIAELNHFSTTDPPQQEIDFLCTGRVSRVEADKGWCYVACSKCSKKLQRTVTSFECARCNNPHAVGSLRYRVEMVVTDDTAEGTFCLL >A01p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24293683:24294248:1 gene:A01p044550.1_BraROA transcript:A01p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSSKEDTWKRRRLSSGTSSSNKVMSSTSMAARVSDEVAEFDEENTRAAKGSPLISLPPPPPFRDAHFHGDKEEAKVDVNEQRKADDVDSV >A06p047240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25114539:25115898:-1 gene:A06p047240.1_BraROA transcript:A06p047240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRLAILCSHLNPSGPYPARDSILRVSDCSSSEDKVESSNLQNDCVFCKIVRGESPCLKQLYEDDMCLCILDTSPLIHGHSLIIPKLHYPTLEETPPSVVAAMCSKVPLISNAIVKATDSDSFNLLVNNGAAAGQVIFHTHIHIIPRKERDCLWTSESLHRRTLKLDKEASQLASRVREQLCRLPEEQLVQPS >A08p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14327755:14337202:1 gene:A08p021370.1_BraROA transcript:A08p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSKDPFEAAIEEEQEESPQESPVSYGGVGGGGNDDGLVEIDQTPEESERDVSVRRQNKKSKTSSIIAEAKSKDEDEEEEEENMEAELTKYPTTADPAKMATMQVILSQFTEDQMSRYESFRISALQKGNIRKSEDQYANNNRYVWYRKEVEEIDKEDPLSLEKIGENTVIEETREEGQEGTATDAVQIPGTEMSQEKPELHGEEAVHEKSETENGEAIQGNEADDGSTIPEGAIPQEKPAELNVQEHEHEKSETENVKGRNKVEEERGGCVSSLSLVKWKDIRYQRVSPDCVPLTNGANKPYLRPSPSNEDTTTSIAAGRGFNGGSCTISSSLDGVPKGFRFRSTQQHDPTPSRGGGGDVLLQWGQRKRSRISRAEIRSSTTTPADDSSSSSGQGKTQSNKLSRRSLNPSMPPPAPVFSGRSSNNRNGIVGGKEIFLSRNLEDRSANGSPSRNINSRMVSRSAGSKRSPPSPDQIEKRSSVRDHHQNHRQNGLDHNHDQQHQRVSRSESAAQAHPELETNKNNGEKEKATQVETREWPRIYIALSRKEKEEDFLVMKGTKLPHRPRKRAKNIDKSLQYCFPGMWLSDLTKNRYEVREKKNVKKQPKRRGLKGLENLDTDSE >A07g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8040958:8047105:-1 gene:A07g504110.1_BraROA transcript:A07g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYICLYQSDLWTSTAGATSRSDGMKSLCTTTRSDSLGATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYARFYFQNLCFITLEATRRQIIFVLRKTTKNPWKGISLNELISLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTTRSDSLGATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDGLRSLRVLFLLELVISQGHFATRRQIIFVLRKTTKNPWKGISLNELI >A10p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9057091:9057730:-1 gene:A10p004860.1_BraROA transcript:A10p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGEVPEYPSAEECKKIQLWKNIANAMELKIIPKTDQDRNVGRCLDVGTKSKMPYWLDKFIRNNNGIGPKMIIEKSLTGTDLNKNNGRLSMPSSKIVDEDFVTELEKTIKEKGLSGKGLDATIVDCKLHRCMGGEPEDMGHDRPSYINLQEKDNIRLWSFHSSGELYFALILLPPSTDSGKSKL >A01g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:650302:651191:-1 gene:A01g500190.1_BraROA transcript:A01g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKANIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A03p070360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30931997:30933245:-1 gene:A03p070360.1_BraROA transcript:A03p070360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTSFLLVISLLCFLPLCLCHKSYGGKLSPGFYAHSCPQAGEIVRSVVAKAVARETRMAASLIRLHFHDCFVQGCDGSLLLDSSGRITSEKNSNPNRKSARGFDVVDQIKAQLEKECPGTVSCADALTLAARDSSVLTGGPSWMVPLGRRDSRSASLSGSNNNIPAPNNTFQTILTKFKRQGLDVTDLVALSGSHTIGFSRCTSFRQRLYNQSGNGRPDMTLEQSFAANLRQKCPRSGGDQILSVLDKVSPAKFDNSYFKNLVENMGLLNSDQVLFSSNDKSRELVKKYAEDQGEFFEQFAESMIKMGNISPLTGSSGEIRKNCRKINS >A04g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13047351:13050487:-1 gene:A04g506180.1_BraROA transcript:A04g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYITEDTEVSVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERIPTIPHSPGGIVITERCDPTRATRRQTGPSDREKNKRPVEEDTESESESDDDMVVPVVPPVVGETREWSRPVRRRLLFGNAGIPDTDGGVGDSNSSSDHSEELPVDDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A09p065090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52188239:52190692:-1 gene:A09p065090.1_BraROA transcript:A09p065090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFESRYEINRTVEFIISKSFTRIALQFPDELLKESTKVVRALKSKLKEMNAENGRDVRFFVMADTTYGSCCVDEVGALHIDSQCVVHYGQTCLSPTSVLPAFFVFGKASINVASCVKHLLDYMSKSNKPVLILYGLEYAHVIPSIQEELGLSKPESQLKFSVANVLCSFISPSKDPRESMEHPVPSGEDSLSSSRNYRLGGLTWDLPEGSKIEDYLLFWIGSDSSAFANVVLTFNGCDVVRYDAEEDSLVTEFTQQRRILKRRYYLVEKAKDANIIGILVGTLGVAGYLHMIHHMQALISAAGKKSYILAMGRPNPAKLANFPECDVFIYISCAQTALLDSKEFMAPVITPFEANLAFSRGSEWTGAYLMHFQDVIINSSKPESEAEEEPRFSFFQGGYVEDHNTNDEAENGEEETMALVQAAEKALQLRGKDHNQLAKQTAAKSGPEYFLNRAYRGLEINSDNTTPEPFLVGRSGKASGYKHE >A02p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7428043:7429908:-1 gene:A02p016530.1_BraROA transcript:A02p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTQITPQQVTNDDEQLALFAMQLASASVLPMVLKTALDLDLLEIMAKKSSPMSPIEIASQLRIENPNAPVMLDRILRLLSSYSILICSGGYGVERTYELGPVCKYLTKNDDGVSIAALCHMNQDEVLMKSWYHLKDAIRNDGIPFNKAHEEMTSFDYHETDHKFNMVFNNGMSNHSTITMKKILETYKGFEGLTSLVDVGGGIGATLKVILSKYPNLKGINFDLLHVIKNATPHDGIQHVEGDMFKSVPEGDAIFMKWICHDWSDNKCVQLLQNCYKALPENGIVILAECLLPETIDTTSLLTKQVFHVDCIMLAHNPGGKERTEKEFEELANASGFKGIKVVCNAFGVYIIELLKKID >A03p053670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23010750:23013664:-1 gene:A03p053670.1_BraROA transcript:A03p053670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLVLPIVDISSPDKITTARLIRRACVEHGFFYVKNHGIPEELMEGVFRESKRFFNLPLEDKMVSLHRDFLGYTPLYAEKLDTSLTTIGDSKESFFLGSSEGVRGQCYPNQWPSENLLPSWRQTMECYYKNVMSVGRKLLGLVALALDLDEDFFIKIGALNDPAAVVRLIRYPGEVISSDVETYGASAHSDYGTVTLLLTDEVPGLQVCRDKSKQPRTWEDVPRLGGAFIVNIGDLMERWTNGLFRSTLHRVMPVGKERYSVAFFLVPNPDCNVECLKTCCSETSPARFPPILAGDYIKERFRITYAT >A04p009230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7825794:7827381:1 gene:A04p009230.1_BraROA transcript:A04p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFQLQEGDPPPKPVEGLHEVGPPPFLIKTFEIVEDPKTDHIVSWNRGGTSFVVWDLHSFSTFLLPRHFKHSNFSSFIRQLNTYGFRKIESDRWEFANDGFLLGQRQLLKSIKRRASFGSSPSTNDPCTELRREKQLLMMELVCLRQQQQTTRSYIKAMEQRIEGAERKQRQMMSFLARAMRSPSFLHQLLKKRDMRLKELEDETAERERGSSMSELEALALEMQGYGKQRNVKEEEDMVVERELDDGFWEELLSNESLASTSS >A03p000650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:413054:414080:1 gene:A03p000650.1_BraROA transcript:A03p000650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMIGSPGTVSGLMLRLGQCATAAASIGVMVSAPGFSSYTAFCFLVASMGLQMIWSLGLACLDVYAIRRRSDLQSPILLSLFAVGDWVTALLSLAAACSSGGVTVLFTKDTLFCKQASLSCNWFQISVGLAFFNWGLAAISSHAMFWILI >A01p044070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24588390:24588836:1 gene:A01p044070.1_BraROA transcript:A01p044070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSSFFSPLKKLWVRVNSAQKKSRGLYILYEDVKSCPYEDVHVLWSILVESHPHTYPNNKNSIHY >A02p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29025080:29027053:-1 gene:A02p046510.1_BraROA transcript:A02p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEKRRVDEDWITTLLSLEFFGICMNHKYLRKNEKNVFCIDCNAQICRHCCNTEAHFLHRRLQICKYVYQDVLRLLDIQHYFDCSEIQTYKINGEQAIHLNSRPQAKDARPSTKSKNAGSCVTCKRYIQDRPNRFCSISCKISASPEKHKLCFSPEINQSALKKEHYNQEQNLEEKKSCTSSLTDVSEDSDVLLGNFSLRPLIRILKRKGVSRRSPLN >A02p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13131051:13132158:-1 gene:A02p027110.1_BraROA transcript:A02p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP26-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74070) UniProtKB/Swiss-Prot;Acc:F4HTT6] MMLPNAKLSTPTSKFLPPPIEPQQHSRRTAAGASPSLELSCKLSRRNLSKSSLLLLLGTQTTLTPLLDLSKAQADTISQVTDNPTSCGDRVSTKKAFLDVSIGGEPVGRIVIGLYGDDVPAGTARFSSIVSGKAGISYRRKEFVKIMPGYVQHGGIRSYGADAERATAAAGSLQNLVEEWERGRRGERCKEVKAGSVGIVVRDPLKPPPKTKLVATNGKLEVQEEEIAVGPNGTEFVITAVDTPELEESVLVIGEVLEGMGVVEKMREVKTVRDNTSSPYFKVAKVIGDKRAVVAERGFNRPYTKVQVTNCGFIEPQDSQ >A03p047390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22436052:22437643:-1 gene:A03p047390.1_BraROA transcript:A03p047390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLKSLFTLLFFFIITTSLAVTFAGKIPAVIVFGDSTVDAGNNNYIPTVARSNFEPYGRDFDGGKPTGRFCNGKIATDFMSEAIGLKPTIPAYLDPSYNISDFATGVTFASAATGYDNATSDVLSVLPLWKQLEYYKEYQTKLEAYQGKDRATDTITNSLYLISIGTNDFLENYFAFPGRSSQYSVDLYQDFLAGIAKDFVTKLHGLGARKISLGGLPPMGCMPLERATNIGTGGECVGRFNDIAVQFNGKLERLVEKLSKELSGSVLVFSNPYEPFMRIIKNPSSFGFEVAAAACCATGMFEMGYSCQRNNPFTCSNADKYVFWDSFHPTQKTHRIMANFLMNDTFSHFL >A03p065090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28390854:28395709:1 gene:A03p065090.1_BraROA transcript:A03p065090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase 24 [Source:Projected from Arabidopsis thaliana (AT4G30610) UniProtKB/Swiss-Prot;Acc:Q9M099] MARTHLIFLLFLALLSKSSASSPSSLPSREQEKDRIKALPGQPKVTFSQYSGYVNVNESHGRSFFYWLTESTSPHTKPLLLWLNGGPGCSSIAYGASEEIGPFRINKTGSTLFLNKFSWNKDANLLFLESPAGVGFSYTNTSYDLKSYGDEQTAQDNLIFLIKWLSGFPQYKYRDFYIAGESYAGHYVPQLAKKIHDYNKAFSKPIINLKGFMVGNAVTDNEYDSIGTVAYWWTHAIISDKTYKSILKNCNFTADKVSDDCDTAVNYAMNHEFGDIDQYSIYTPTCVASKQKKTGFLRMKNTLLRRRFVSGYDPCTESYAEKYYNRKDVQRAMHANVTGIRYKWTACSDVLIKNWKDSDKTMLPVYKELAAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLPVKTRWYPWYSGNQVGGWTEVYKGLTFATVRGAGHEVPLFEPKRALILFKSFLAGKELPKSY >A10p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21750766:21752499:-1 gene:A10p039200.1_BraROA transcript:A10p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNNQPDPDAVRWGLHDLEVCTLTNAGSCRSVTRYETDGVAQGYVREGYNNNNHTLTGSYVDNDAVIAQFYQDELSRVDRAEASDDPSRTSVVDQEWPHQGEEDIDKNVAARLREGSSPGRDDDESVCSVEIEEESWSEFGKRLNQMIPIAHVPKINGELPSEDEQVSDHQRLSQRLQLYGLVENKIQGDGNCQFRALSDQLYRSPEHHSAVREQVVNQLAYNREMYEGYVPMAYNDYLKTMKRSETLSLLSVLSTGILIVIYYEHRNGEWGDHVTLQAAADWFGVRMFVITSFKDTCYIEILPHLQKSNRLICLSFWAEVHYNSIYPEGELPAPEGKKKKKKFWVF >A05p041740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25307172:25309015:-1 gene:A05p041740.1_BraROA transcript:A05p041740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSALTRFSGLSSKTTLSPDFDHSSRRTFLPPNRVGLKISSSSSAAADSEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDASKVINVTPRYARLKTGSNGSAKTDVIGWQNIELISDRPLETMLKEFKQLKQEYPDRILIASIMEEYSKTGWEELIDRVEQTGVDALEINFSCPHGMPERRMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITEPARVSLKSGCEGIAAINTIMSVMGIDLKTLHPEPCVEGYSTPGGYSYKAVRPIALAKVMNIAQMMKSEFGGKDYSLSGIGGVETGYDAAEFILLGSNTVQVCTGVMVHGYGHVKTLCAELQDFMKQHNFSTIEDFRGHSLQYFTTHTDLVRRQKEAIEQRKAERKGLKSDKDWTGDGFVKETESMVSN >A02p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2704559:2705498:1 gene:A02p006390.1_BraROA transcript:A02p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITASSTFHSLSTTKSLNLSSTLLLPLSKNLTFRSKPIGNSRVCIFTKQNRLGLRKLSSLGEGGEAVAVAGDEQQQHQQDTVSVPVSPSDMLTMFFQADGTLNEAAIPNVTKALQDIDGVSNLKVQVAEGVAVVELSKQTTVQATGVASSLVETIQGAGFKLQTLNLSFEDEDEVLV >A03p048310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21975901:21978113:-1 gene:A03p048310.1_BraROA transcript:A03p048310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRIIIPRHIYILPSFSLPTSTSSSIRSFPPSPNNLNLSFPVRRRATIRSMSDSIETGVPQRRSLYPPIEPYNNGILKVSDTHTLYWEQSGNPDGHPVVFLHGGPGGGTSPNNRRFFDPEFYRIVLFDQRGAGKSTPHACLEENTTWDLVNDIEKLREHLKIPEWQVFGGSWGSTLALTYSQSHPDKVTGLVLRGIFLIRKKEVDWFYEGGAAAIYPDAWEEFRDLIPENERGSLVDAYHKRLNSDDLETQYAAARAWTKWEMMTAHLLPNDGNIQKAEDDKFSLAFARIENHYFVNKAFFTSDSHLLDNIDKIRHIKTTIVQGRYDVVCPMMSAWDLHKAWPEADLKIVGDAGHSANEPGIAAELVVANEKMKAFVG >A05p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3906554:3910204:1 gene:A05p009380.1_BraROA transcript:A05p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVEQWSAAENGNANMTAKGSSRELRHGGRTAHNMSSSSLRKKSDIRVIQKVPYKGLKDFLSNLQEVILGTKLAILFPAIPAAIIGTYCGFSQPWIFGLSMLGLTPLAERVSFLTEQLAFYTGPTLGGLLNATCGNATELIIAILALTNNKVAVVKYSLLGSILSNLLLVLGTSLFCGGIANLRREQRFDRKQADVNFFLLLMGLLCHLLPMLFGYVANGETPAGLIADMSLNLSRASSIVMLIAYIAYLVFQLWTHRQLFDAQDEDDEYDDNVAEEETAVISFWSGFAWLVGMTLVIALLSEYVVATIENASESWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIGLFVVPLTVIVAWILGINMDLNFNLLETGSLALSIIITAFTLQDGTSHYMKGLVLLLCYIIIAICFFVDKFPQKQPNAIHLGHQLMNNVAAANGEGVFSS >A02p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7693703:7695927:1 gene:A02p017140.1_BraROA transcript:A02p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLSQEEDLQFFDAKEEEMMMASNPSGFDVWSDSPGSVVERRRKFLQWMGVQEDLSQPIKFESDGASENCVEAEQISGGFSSSSSQVSSSEELSLRVDKSFGGCDVTRRESSSMASSSSSRCCPLKETEKQSSIKKGWFTRLRSFGCSADYTKIKASSSSYGDSISSVKVKHCKKQTKELSALYQSQDIKAHNGSISAMKFSSDGKYLASSGEDGIVRVWKVIEDKRSRPTRDCLNPSCMYFEVNDHSQLKPVLLDEEKPNKTTESFKKTSDSACVVFPPKAFRIMEKPLHEFRGHTGEVLDISWSMDNCLLSASMDKTVRLWQVGRNDCLGVFTHNSYVTSVQFNPVNENYLMSGSVDGKVRIWNVSGCSVVDWVDLKDIISAVCYRPDGKGGIIGSLSGRCRFFNMSGDYLELDSQIHLLSKKKSSNKRITGFQFLPQDQSKVLVVSADSKVRILQGNDVVRKYKGVCKTRSLTSASLTSDGKHIVSACEDSNVYIWSNGDESDSSSSSSSQTKKIRSFERFSTNASVAATWCGFSDHNATLPFSSPSCLSYEGFVPGSIPKGSATWPEENLPANPLSSSTMSASHYKFLKSSYQRATNSSLAWGMVIVTGGWDGRIRTFQNYGLPVTTA >A04p004650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2381378:2382631:-1 gene:A04p004650.1_BraROA transcript:A04p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfatase HINT1 [Source:Projected from Arabidopsis thaliana (AT3G56490) UniProtKB/Swiss-Prot;Acc:Q8GUN2] MSHRVSILSSHLSPVMASEKEAALAATPSDSPTIFDKIISKEIPSTVVFEDDKVLAFRDITPQGPVHILLIPKVRDGLTGLSKAEERHIDILGRLLYTAKLVAKQEGLDEGFRIVINDGPQGCQSVYHIHVHLIGGRQMNWPPG >A09p007560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3947516:3949905:-1 gene:A09p007560.1_BraROA transcript:A09p007560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRVNSNYQSFLAHTSPLVPVHYHTQPSGASSSSTVASGAEAKEVRRPHIVLGDIWSAYEEFSCYGNEVPLSLEGIDEDVTSYYAPTLSAMQIFTIKPFADDGGSSSRSSSIGANDSSSYLYFQYNELELPHERHPLTAKIELLAEQHSGLRSLTSSDLSPDSWLSIAWYPIYQIPSVKSLKKELSAAFLTYHKLKPDFPETFVEDDKKVKEKGKSSSEEEVVFPPFGAMTYKAVGKVWNMPWTSDHDDRDRHEKAASSWVEKLGFTHSDYRFFFKWKFYARR >A09g515650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46182368:46182887:-1 gene:A09g515650.1_BraROA transcript:A09g515650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAFEESSTSWCNYKPLRRREEDDEAVMKTRAFHDWKDGWTVVFEEIIAMMQKRNAGVGRHL >A07p031430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17391066:17392334:-1 gene:A07p031430.1_BraROA transcript:A07p031430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQHANWSPYDNNGGTCVAIAGSDYCVIAADTRMSTGYSILTRDYSKIHKLADKAVLSSSGFQADVKALQKVLKSRHLVYQHQHNKQMSCPAMAQLLSNTLYFKRFFPYYAFNVLSGLDEQGKGCVFTYDAVGSYEKVGYSAQGSGSTLIMPFLDNQLKSPSPLLLPAQDAITPLSEPEAVDLVKTVFASATERDIYTGDKLEIMILKADGIRTEVMDLRKD >A07p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:566781:567242:-1 gene:A07p000690.1_BraROA transcript:A07p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LATQVVIFHLWKQRNNLIHYHISLSVASIFHCIDKELRNIISARKGRKQFRSFMSICLLAVQVFVFHLWKQRNNLIHNQSSRTAASVFHDIDKDMRNLISARRKRKHFQSLMIMWLR >A04p031580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18585837:18587089:-1 gene:A04p031580.1_BraROA transcript:A04p031580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-classical arabinogalactan protein 30 [Source:Projected from Arabidopsis thaliana (AT2G33790) UniProtKB/Swiss-Prot;Acc:P93013] MGFIGKSVLLTLIALCCFTSSVFSTIAQVPPVKLITPLPTLPPTKAPIKAPTLPPAKAPIKVPTLPPAKAPIKPPVVLPPVSPPKFNRTLVAVRGVVFCKACKYAGVNNLQGAKPVKGAVVRLLCKNKKNATSEATTDKNGYFLLYAPKTVSNYAIRNCRAYLVKSPDAKCSKVSKLHGGYLGSFLKPVVKPENATIIFNKLKYSLFNVGPFAFEPVCPK >A08p012790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11571751:11572491:-1 gene:A08p012790.1_BraROA transcript:A08p012790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNNCILNNNERVMCSVYFYILIWGFYELSKQAGGSGMDMRSTAKHTDLSLVYIYTLPGPATNHQNSQKGIMMVPTLVKLLGKTVRDHTTAVLELTNLLEGTMLILTTRNVCMPELTPVQINGEVMPGQLEFQVPPSVGFMCFGLCFAADLRWTGR >A03p044820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18718504:18720886:-1 gene:A03p044820.1_BraROA transcript:A03p044820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCVRSTLNPSRTPQSFIRRVSAKIPAFASVSFLRTLPEFKKYPVMSCQGKAQSQQEQIPPPQLSLDDLVTSNRKGEVLGTIKESLSNCLSDTNLLETVPGLKSRIKGKVCGCVLCLLPLLLSTKTNAETKNDYAGERYIYDAGDYLVLVTTDRLSAFDRNLASIPFKGQVLNETSLWWFNNTQHITPNAIVSSPDRNVVIAKKCSVFPIEFVVRGYVTGSTDTSLWTVYKKGVRNYCGNVLSDGLVKNQKLPANILTPTTKAEDHDVPITPNEIVEGGFMTQAEFDEASMKALSLFEFGQRVAKEHGLILVDTKYEFGRSSDGSILLIDEIHTPDSSRYWLAGSYEERFQKGLEPENVDKEFIRLWFKENCNPYEDEVLPAAPAELVTELAWRYIFLYETITGSRIDILPTQLYQQEPIHDRISRNTSQALSSLRRQL >A08p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21819047:21821257:1 gene:A08p037390.1_BraROA transcript:A08p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSSSKPRNFGAYSYSATPCTRTHQIGALFLVVSTFFVTRILDQYFSESNSVTPATDLHRTSSSGITIDKGIPQWPERGYGSHLSLKIYVYDENEIDGLKELMYGRDGSVKTAACLKGQWGSQVKIHKLLMESKFRTSKKEEADLFFVPAYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWSTFINRSFILTPEGDRTDKKDTTAFNTWKDIIIPGNVDDAMTKNGQPDVQPLPLSKRKYLANYLGRAQGKAGRLKLIDLSKQYPDKLECPDLKFSGTEKFGRTTYFEHLRNAKFCLAPRGESSWTLRFYESFFVECVPVLLSDHAELPFQNVIDYAQVSIKWPSSRIGPELLDYLASIPDRDIEGMISRGRKIRCLFVYGPDSAPCSAVKGILWELQRKARHFQQSTETFWLHNGSVVNRELVHFSSWRPPMPLP >A10p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1117532:1127250:-1 gene:A10p002160.1_BraROA transcript:A10p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSHERKAFDEMKIGVKGLADSGITEIPPMFRAPPTILASLKAARASQQVDIPTIDLKGGSVHYKDQDVTTRRNVVEQIREAAEKWGFFRVTNHGISLELQERMLGGIRGFHEQDLQVRKQFYSRDHTRNFLYYTNVDLFTSASATWRDTVICYTAPDPPPFEDLPAVFGEVMLEYSKEVMSLGELIFELLSEALGLNPNHLKEMDCAKSQMLTGQYYPPCPQPDLTLGLSKHSDFAFLTVLLQDNIGGLQVLHDQAWIDVPPVPGSLVINIGDLLQFITNDKFISAEHRVIAHESSKARVSVPCFFTTFKKANPRVYGPIKELLSEDNPPKYRDCSITEFSNIFSSKEITITRKEEA >A05p030640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:15876574:15876885:-1 gene:A05p030640.1_BraROA transcript:A05p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKNGKNIRKFKDQFVETKKLDHRTWIQLGRSPGWTSPVRRTAELDPIRIQLGHSPSWTGSTADSARSFAELDQSSLANGRAGSTGIHLHLVLVTLLPRLH >A04p007460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8865872:8866072:1 gene:A04p007460.1_BraROA transcript:A04p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDELAQYTTAATIVNVRNTESFSMIHDIVETSKANVFTFSYVPRSSLVLVDELAKKLDVTIKPM >A06p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24661239:24662036:1 gene:A06p046000.1_BraROA transcript:A06p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRREGLTLPISSSSPSLPETQVAPLASQKPKQRLSKQLSMRETPRDVAWEKRRRQMLKIQEKKQKGLSDSDCDPTDLTDEDLRELKGSIELGFGFKEEAGLKLCNTLPALDLYFAVHRQLSPLPSPSSSRSSNGGDGSLPSTSASSSSIPCSPRTDSDSLKILCPGDSPQQVKQRLRHWAQAVACSVMQSH >A06p044870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24076756:24078678:-1 gene:A06p044870.1_BraROA transcript:A06p044870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSIPPPIDSTGGVDKLPEEMNDMKIRDDKEMEATVVDGNSTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPIIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSAALDTLVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGVAVEIVAKLVPEHARKQCPWLGL >A03p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10113545:10115178:1 gene:A03p023910.1_BraROA transcript:A03p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G41350) UniProtKB/Swiss-Prot;Acc:F4IK01] MSDMTGDTSSLSESKGGSDAARISEVKAWLTSQFEAAGKQVPSFDYTHRSIAHLYNLATASQAKSQAATIVANDFRQKASEYRAQAARVREILESAGMAQESLPSNVVSSAQVLANVANLLNIRDTELSSFLVAMGDISLRKTGVEEKRAKAQKDSNVLLDYTRKAIQRLTYLKKILAQLEDDVVPCESQMENWKTNLEVMAAKEEQYIQQYKKYEMLLNRVGYSPKISHRELVEMAEHRKELEKMTKPVVDTLRSYQDLPPDKALAALAIEDKKRQFAAAEKYLEEVLQSALETSDE >A04p005680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3007208:3008444:-1 gene:A04p005680.1_BraROA transcript:A04p005680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQVLNQLEPWSELKDKVVLLTGASSGIGREVCLDLGKAGCKIIAAARRVDRLESLCSEINSLSSTGIILAAPLELDVSSDAATIQKAVKQAWDIFGKIDVLINNAGIRGNVKTCLHLSEDEWDRVFRTNLTGAWLVSKYVCSLMRDAKSGGSVINISSIAGLHRGMLPGGVAYSCSKSGVDIMTKMMAIELGVYNIRVNSIAPGILKSEITQGLLQKEWLKKVTERTTPLKVQQTVDPGITSLVRYLIHDSSRYVSGNIYILDSGGDK >SC163g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:343967:348155:-1 gene:SC163g500240.1_BraROA transcript:SC163g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIELSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGSTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKIMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTLSRPHHASRTPKSNIHSSYNQIVTKSELYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHQSDFRFKCVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKTAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWKLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPIKYNFGIILLLYDVFACVHLSCFNVSGLSNASGVRRAKWISPFYLIEAVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEEHRLRALVWCVISNLLPRSIKEPFRSLLCHHSIHIP >A01g510840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30138620:30139817:-1 gene:A01g510840.1_BraROA transcript:A01g510840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNEFSVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMAKWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALEATNVETAFLTILTEIYRIISKKSLTADDDDADGNSSLLKGTRIIIPSEEESGKRGGCCGKT >A06p050270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26439814:26443976:1 gene:A06p050270.1_BraROA transcript:A06p050270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive shikimate kinase like 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G26900) UniProtKB/Swiss-Prot;Acc:Q9LW20] MEISAAFASLTFSGLVPRLLPPLFYPQARSAPLLSSSSSSSVKLISSHRRIAPSPCLADQSASTGVNVVDSDPIDAVKRKAMDISPQLKGASIFLVGVNNHIKTNLGKLLAESLRYYYFDSDNLIAEAAGGNVSVQALKEADEKAFKESETEVLKQLSSMGRLVVCAGDGAVQSLTNLHGISIWIAVPLEIAAKGDAPFSSEPSPELYDAIKASYEKSRKGYDTADAVISLERIATKLGCEDLDTITSEELALEASLERNREVDESKENDGRSFSAFLGKCNCNELCIIHPQIPYSSRTL >A08g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11792043:11792432:1 gene:A08g506850.1_BraROA transcript:A08g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A09p040970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23158656:23160608:1 gene:A09p040970.1_BraROA transcript:A09p040970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLNTSFLPSSTCRTRSSSSSAPHFRVNKPRVVTVRAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAPVGSAIALLAETEEEIADARAKASVGGGGTSQAPPVVEVTVSSVPPPKAVSSASASAVHPASEGGKRVVASPYAKKLAKELKVELAALVGSGPMGRIVAKDVEAAVAAAPPPPPVHLAPGVGVELGSVVPFTTMQGAVSRNMAESLSVPTFRVGYTINTDALDALYKKIKSKGVTMTALLAKATALALAKHPLVNSSCRDGNSFVYNSSINIAVAVAIDGGLITPVLQNADKVDIYSLSRKWKELVDKARAKQLQPQEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVATKDGRIGMKNQMQVNVTADHRVIYGADLAQFLQTLASIIEDPKDLTF >SC238g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:63305:65797:1 gene:SC238g500030.1_BraROA transcript:SC238g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRAIMRIMKKVKRIERERERVRENRIRLKRRGTHFLNSFGSGYMP >A05g502020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6701187:6701576:-1 gene:A05g502020.1_BraROA transcript:A05g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAVWLNLHDTLPIEEYSVMFMDSVPQKWQKPPASFLKCNVGSSLDGGSTIAGAAWVVRDARGVVLLHSGRAFANVSSLHQANVMALHWAAESMSDLKLKKVVFEFSALEVKKAMDHHFSVLDISSL >A01g510690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29478884:29479615:-1 gene:A01g510690.1_BraROA transcript:A01g510690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYERKPKTSRRKGNRLKRMQHSKRRKVPVSTAKRRRRMKAVKDEEKAIDDGEEDREKRSLMDSGTKLTPAAKYLNIIGLLDLTCRTVAYMIIDKIPEDMCTNIKNDYTPEEETEVRKEHGPSSDFWSLVV >A01g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27072206:27075591:1 gene:A01g509900.1_BraROA transcript:A01g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSKFLTFQPELNKESELNSDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLKHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKVIMFGLQRKNTKEKSLQPSASQSSFKSSLNYFDECVSVQEKPNRWSKEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPYLFISKKAQGESGNHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLILSPQVFEEEPLDFPHQCPCIDTRICLDDDLGPIFDEEDEPGPVFDEEVTSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNYLPDMFVKVSTDDVIRFDLDKMKDFFVSKSVFDNMIISLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALEEILIQKLLEQKSLETENDFRDVEFYGSVLQPDLLSFETDKTWYFLRSLRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLDTSDRGSVQGGYLNIPKVFVMNPIFPESQLKCYSLRLGIS >A04g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10448831:10449208:1 gene:A04g504890.1_BraROA transcript:A04g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYRRLHSYLIVILHVPETLVSKATYMDIFHNNKYSLSLQVYTTPAQHLDSTWSSPLNTTSASVTTPATTSEQTSYIFIHLRKQTTYLHVKSE >A02g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18841722:18846430:1 gene:A02g506740.1_BraROA transcript:A02g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVDLTPMERIYVFLASLLCIILMMNWHDNDDNVTKRPRVSPRLLTFSPTEKALPADAQIIGALNGMEIVDPINTEEELHDQEMLAEIQEDDMLGEDLMEMEAGSTSNVQQVERVGDVNARVKLRTSSSYKSGGRTGFPLGLQNKKACFFRRGSPQENNTEEPQVLSLGNICLLDGSWTSTDHFSGCGWVWMDNGSNIQLMGTRNITRRESALHSELEALRWAMENMLQHSTCQSFGTDCKDLIAMLKEPHAWLSFATELERIEMLQICLPEFSIIHVPRARNQTSDFLAKTARSFHRELLFIGCSIPV >A09g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15243688:15245675:-1 gene:A09g505000.1_BraROA transcript:A09g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYHFLRPAIDFKGMMSGFRHAGVSKIGVGSITCYRQIRRLRSCPAALNGGSETETNSETLASRICSSLQSSLSVFSGTHTSHCDNYLTGVSVGHYPRLGLEKKAISSLAGTPVHWHATLEEVPSGVPTIIIAHEFYDALPVHQFQQFILKKQHEALQKQQGQSYAPIEEMDESLHVATSRGSHGHGEFEFSEIFSSHSEISSVFYETMRRSPFSLGVQQCVDPDRWDHCFHIVGLLLVIETLSTFLRALHLHLVEFQNKFYAHFSFVLTANEDD >A09p051010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44632118:44632426:-1 gene:A09p051010.1_BraROA transcript:A09p051010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSESALISSLFHVSSPTRSSSSTASALFFGLTTVLTALRFCSKQRLVLEVPSLSMGVRPKRTHKRQRLPFFIVR >A09p074960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56662820:56664411:-1 gene:A09p074960.1_BraROA transcript:A09p074960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSAASKKKSDSITSSTTDLFRSGPSKATSKEMDRIDHLFNQYANTSSNLIDPEGIEELCSNLQVPHTDIRILMLAWKMKAEKQGYFTQEEWRRGLKALRADTLSKLKKALPELEKEVRRQSNFADFYAYAFRYCLTEEKQKSIDIETICQLLDIVMGSTFRAQVDYFVEYLKIQNDYKVINMDQWMGFYRFCNEISFPEMTEYNPELAWPLVLDSFVEWISEKQA >A07p043520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23797073:23797772:1 gene:A07p043520.1_BraROA transcript:A07p043520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEEGHHNGERKPLTWCQTFFKSFVFVCLVACFICGTVYTIKLSSRKEPVPKIELASMDFTVLNITDTRLSAKWDLSIRIPDELPGSYICLQGHFQASFMYKNVTLAISSPQKYSDLKYNQPQVLRVLVGVSAEDIEGLIGKDITEDIKEKKEVRFGTRFYLTDCREKTTGTMRYACDDVTLRFEPGSEMKAALFGMNPSCVNY >A05p047690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28046671:28050164:-1 gene:A05p047690.1_BraROA transcript:A05p047690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMQPQIILLKEGTDTSQGKAQLLSNINAVTAVGDVVRTTLGPRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVRKSSWILDLFSVDDFVGDGTTTVVLLAAEFLKEAKPFVEDGVHSQNLIRSYRTASTLAIEKVKELAVSIEGKSVEEKKGLLAKCAATTLSSKLIGGEKEFFATMVVDAVMAIGDDDRLNLIGIKKVPGGNMRDSFLVDGVAFKKTFSYAGFEQQPKKFDNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVESGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEQDLNRVAAATGGTVQTSVLGTCEIFEEKQVGGERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDVIPRQLCDNAGFDATDVLNKLRQKHAMQSGEGASYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAGAMGRGRGGGRGRGMRRR >A01p054010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29732347:29735546:1 gene:A01p054010.1_BraROA transcript:A01p054010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFWNLTSTISLTLSILFLFGYNYEDVSAVPTTHLCRPDQRDALLQFKNEFEVRNSSFAYYCIINNTIVKPHRKTESWMNNSDCCNWEGITCNPNSGEVIELDLSCSNLRGKFHSKSSLRNLHSLTTLLLSNNDFSGPIMSSIGNLSHLTSLDLSQNGFSEFIPSSIVDLSYLTLLDLSDNSFSGPIPSSIGNLSHLTYLNLNLNQISGKIPSSIGNLYNLTYLDLSANNIVGEIPSFFGNLNQLTSLRVGLNRLNGSFPIALLNLTKLSYLGLYYNQFTGTIPHNITSLSKLTTFDGSNNAFTGALPSFLFTTPSISSVDLSYNQFNGILEFGNISSPPKLQVLDVGNNKLRGPIPATLSKFTNLVRLDLSHYKTQGQVDFNIFSYLKRLEDLDLSYLNTTTALDLNDFLSYFKRLERLVLSGNHVTATNKSPVSGPPLLHQLHLSGCGITEFPEFAVNQHMQWLDISNNKIKGQVSGWLWTLPNLTDLNLSNNTFTGFENSTTVLVSRLYSSLTLLASNNNFTGNIPSFICDLRSVDTLDLSNNNFSGLIPRCLGNLKRSLLYLNLRQNRLHGGLPETIFESLRTLDVGHNQLTGRLPGSLIHFSSLEVLNVESNIFNDTFPFWLHSLRELKILVLRSNAFHGPILQTSFPKLQIVDISQNHFNGSLSSDYFVKWSAMLSLGKNYDQWDDKYMGESYYHDSMVLMNKGLEMELVRILKIYTALDFSGNKFEGEIPRSIGLLKELHVLNLSNNAFTGHIPSSMGNLTALESLDVSQNQLSGEIPQELGSLSFLSYMNFSHNKLTGLVPGGTQFRRLNCTSFEDNKGLFGPSLDEICRDIHTPTPHETLESVEEEEEEEEVLSWIAAVIGVIPVVHQPFCPKQRQTQPHHNSLKRSFIAYLRNLKEEIQRNHYVYPYQIRFGVSFLQNFFVPLVLKLLCFSVIDNNYVSYAENYKHSQRCVVFSPLVNLNINCLDVR >A05g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6464522:6465484:1 gene:A05g501910.1_BraROA transcript:A05g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNPSVVLNESLTIIRQAILCLGDATCRCLGFPFLNKSRRGLEDVESVSSTVLHAKKLAQQMENIHIRIVLWSSLSLLFQMKRCSHVYWLLEELFCVFTKDSAARDDVLYMKSNEVECTGLEKEMMRRKLKAWKKMEVPEKCILEVLQEGLEKLLVPYEKPNFDSEELMSSIYNVEVPVKKLELC >A09g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25171274:25172002:1 gene:A09g509010.1_BraROA transcript:A09g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDMPRPTTRIKLEEEVAEVAMIAELAQRSRSRLAIKEGEETRKRTHSFFIELVLHFGAICGEAKRRTSLLRSRSKFLKRDYGPQPNDMNHSFSNPGWINGNHKCDQNNPNATNPSDRDFESRSTSSDQPDDNSGLNWSSGLEPSQK >A04p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8602590:8605958:1 gene:A04p007900.1_BraROA transcript:A04p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTHSCRRGYILLLVLSYSSVFGLASNMSISNDTSGNKTDSFFESQSTSTEWGTDMGDKYIMCTESNMEIPWMISCKKSKEVFTRINFADYGNPSGKCEHYRHGNCGAKTTMEVAKKNCLGKHQCVFKVSDEMFGKRKNRKREVKTDELVHTLKKLSQTKRPGNRSGDKEARRERQKEKGAKIVEEAKKQLEEAKKQQVTLLVVGQEKKPPVWRLLKRWAWKRRRGHAGVLKYCLENASCMTIAVKLKKRKHGGYLITTKRHKNFWLLA >A01p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1001332:1005747:-1 gene:A01p002190.1_BraROA transcript:A01p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHQQHQGGFFSFSNGFNRSDFPNLTHQEKQEHQRVLEMDEESSVARSGIPVYEPAGMLSEMFNFQRSSGGGGGGGGDFDHSQSFRSNRQLLEQQHHNIPAMNSAAGMQLFLPQQPPSTRSHHGSSTLHMLLPNPSHHQGYPNTMSMHQLPHQQLTWQSSSDHHHNTQTDIGTVHVENSGGQGLSLSLSSSLEAAVKAEEYRKFYYGSNLSSHHQYNEVSNHFLSSPAAASSSSSIGAINILRNSRYTKAAQELLEEFCSVGRGFSKKNKPKNKSNPNTSGGDGSSSGGAGGSPSSAGASKEHPPLSASDRIEHQRRKVKLLTMLEEVDRRYNHYCEQMQMVVNSFDIVMGHGAAIPYTALAQKAMSRHFRCLKDAVTAQLRQSCELLGEKDAAGLSSSGLTKGDTPRLRLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKEREERLEENEQEDQETKNNSSNDKSTKPNNNESNFTAVRTTSQTPTTAAQSAATPPDAGHHRLRSAADINAYENDPSSSSHSNAAAVVSSYGGSTAFSAIATCQQGVGGFADADMDGDNNVIRFGTINPTGDVSLTLGLRHAGNIPDKNASFCVRDFGGF >A04p031600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18597211:18599004:1 gene:A04p031600.1_BraROA transcript:A04p031600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKSTKRSRPEPPPPLQSLKKQAPNAKLSDDLDLDVSSDLKGIMSALQQIREKAQEDGRKKKEESISSVSTEVKLKIDELKSKLEKERQNFAKALTKSSKECESLLKDESAKFEELHHKFMKEKSDRLQCLKDIVSKFEEDKERLYMRYEQQRKKDKTMISDQEKFCAEKLAQLEESLKTKKRGDKTFSILRKTLGSFLENEASDEEFPPDE >A03p038720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:16145461:16146153:1 gene:A03p038720.1_BraROA transcript:A03p038720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKITKKLSTKYVKLNKSRLRQDGSMKSEEDGGEVFQENHSPKIEESNEKKETPIVTKIMESMHRKLTLKEKPNKKNIHVDGQDDQLEGSVRNMNRGDNDQHVGSVRHGHMDHLEDLIKGRDRTDHVEGSTRNGARDRVDQLEGSSKNGQKSQGEDVTTKLSCHVDQSEGSTKSPSGFASKKDYLDWIEYVEGSSQHNFDRSENHERHYRKDDIDHNQISIGISEGSSLL >A10p006050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9552170:9553112:-1 gene:A10p006050.1_BraROA transcript:A10p006050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTILIITSPKLTFTVTSNFPMTSPAVDQENIPPMDVTNTTVTEPAAKGGKTKKAKEVKAKAKKPATAAAKKKSVSTHPTYEEMIKDAIVSLKERTGSSQYAIQKFIEEKHKVLSPSFRKLLLLNLKRLVASEKLVKVKASFKIPSAKPSSSPKAVTEKKKPAAASAVKPKAKGKKATTAAAAKSKVAKKPAAVVKPKAKAKAAAAPKRKAVAAVAKPKAKTAKTSTVTSPGKKASAAGAAKKKATKVATKKKTPVKKAVKPKTVKSPAKRASKRGMK >A03g509890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:31651864:31652418:1 gene:A03g509890.1_BraROA transcript:A03g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSDESDQHEDQNVPGVPVEVPSSNQTRQTDRAVYRLDPRTSGLELWPDPRPDDQTDRTESRLSRTIRQAKADFQAKINLGRANSDSDRGFSLLARLARTISACDCADDLASLFDPIMDFPFGYFTNARILKLSEDLGHVGTQLVRSERPAALADRPAHVLIFSTLDTASSDESGQVPNIHLD >A08g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15617305:15617599:-1 gene:A08g508510.1_BraROA transcript:A08g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKNWIDYMLNNKCR >A06p031940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17022272:17026705:1 gene:A06p031940.1_BraROA transcript:A06p031940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7B [Source:Projected from Arabidopsis thaliana (AT3G43210) UniProtKB/Swiss-Prot;Acc:Q8LNZ2] FPLHRSFRSSDRFSQSPKILFLPPPPNLQSKMIGLPRTPSSKINRANPSSTPGGSRVTEENILVTVRMRPLNSREHAKYDLIAWDCPDDHTIVYKNPNPERAAAKYSFDKVYEPTCATQEVYEGGSRDVALSALAGTNATIFAYGQTSSGKTFTMRGVTDSVVKDIYEHIRKTQERSFVLKVSALEIYNETVVDLLNRDTGPLRLLDDPEKGIIVENLVEEVVESRQHLQHLIGICEGQRQVGETALNDKSSRSHQIIRLTIQSSLREIAGRVQSFMATLNLVDLAGSERVSQTNADGLRLKEGNHINQSLLTLTTVIRKLSSGKQGDHIPYRNSKLTRILQNSLGGNSRTAIICTISPALSHVAQTKKTLSFATSAKEVTNCAKVNMVVSEKLLLKHLQQKVAKLESELRSPESSSSTCLKSLLVEREKKIQQMETEMKELKRQRDIAQSELEQERKGKEQKVARCLSYTAQEESTPSKSVPRSRRTSRVKRKDNVRQSLTSADRKALAQEIRLFEKNQRKLGEEANQALDLIHKEVTSHKLGDQQSAEKFAKMLSEIRDMQKSNLIPEETVVGDEANLKEEINRLNSEEIAALEKKLETVQKFIDMLASSCQTDEETPEFRTQMKKKRVLPFGLSNSPNLQHMIRAPCSPLSSSGTENKAPESNVVSARDSRTPSKDGTPVSSSRQANSVDVKRMKRMFKNAAEENIRNIKDYVTGLKERVAKLQYQKQLLLCQVIELEGNETAESDESQMERPLCFEEQRKQIIMLWHLCHISIIHRTQFYMLFKGDPADQIYMEVELRRLTWLEQHLAELGNASPALLGDEPASYVASSIRALKQEREYLAKRVNTKLGAEEREMLYLKWDVAPVGKQRRQQLINKLWTDPHNTEHVKESAEIVAKLVGFCDSGENIRKEMFELNFASPADKKTWMMGWNFISNFLHL >A09p015020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7854200:7854757:-1 gene:A09p015020.1_BraROA transcript:A09p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element-binding protein 1E [Source:Projected from Arabidopsis thaliana (AT1G63030) UniProtKB/Swiss-Prot;Acc:Q9SGJ6] MENNNNVLAEMKPKKRAGRKIFKETRHPIYRGVRSRNGDKWVCEVREPIHQRRVWLGTYPTAEMAARAHDVAVLALRGRSACLNFSDSAWRLPAAESTDPDTIRRTAAEAAEMFRPPEFSTGITVLPSSGGGELDTVEEGEGVAGMMMRLAEEPLMSPPRAYVDMNTSVYVEEEMGYEELSLWSY >A01p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8091369:8093806:1 gene:A01p016360.1_BraROA transcript:A01p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTGRYLIGTAGVSGFGSKSTADEVTENCDLRSTTAIITGATSGIGAETARVLAKRGARLIFPARNVKAAEEAKGRIVSEFPGTEIVVMELDLSSMSSVRSFVAGFESLHLPLNLLINNAGRLAHEHAISEDGIEMTFATNYLGHFLLTNLLLKKMIQTAEETGVQGRIVNVTSGIHGWFTGDLIEYLRLISQPKCQFDATRAYALSKLANVLHTKELSSRLQKIGANVTVNCVHPGVVKTRLTRDREGLLTDLVFFLTSKLLKTVPQAAATTCYVATNPRLVNVSGKYFTDCNETTPSGLGSNSSEATKLWAASEILVTQHPKAAFDPFS >A07p039430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20944438:20946700:-1 gene:A07p039430.1_BraROA transcript:A07p039430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFYTSEDLRCVSSEVSSDYTIEISGSRYHLHKFPLLSKCLRLQRMCSESPESNQLPDFPGGVEAFELCAKYCYGMTITISAYNIVAARCAAEYLQMSEEVEKGNLVYKLEVFLNTCILNGWRDSIVTLHTTKAFPLWSEDLAITSRCIEAIASKVLSHPSKVSLSHSHSRRVRDDDTSSNRAAAASRGWWAEDIAELGIDLYWRTMIAIKSGGKVPSSLIGDALRVYASKWLPSLQRNRKQVGKKEDSDSDSESDVSSKHRLLLESIISLLPAEKAAVSCSFLLKLLKAANILNASSSSKMELARRVALQLEEATVSDLLIPPTSYKSEMLYDVDVVATILEQFMILGQTSPPTSPLRGKKGMMDRRQRSRSAENIDLEFQESRRSSSASHSSKLKVAKLVDGYLQQIARDAHKNLNKSERKRICRVLDCKKLSMEACMHAAQNEMLPLRVVVQVLFYEQARAAAATNNGEVKMTELPSNIKALLAAHNIDPSNPSAAAFSTTTSMAGPEDQWSVSGLKSPKSKLSGTLRSKLAEDEEIDERFMNQGGGRTPSRFKAFCAIPGRPKKMFSKFLSINRNSNDKN >A03p050880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20764622:20765698:-1 gene:A03p050880.1_BraROA transcript:A03p050880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLDREKEVVNMGAPKHKWTPEEEEALMAGVLEHGIGKWRSILGNPEYSSVLHSRSNVDLKDKWRNISVAATCGSRKKAKQGDDGGQQIVPASAPLAFSYEPPQDLFTSVDNMILEAITNFKGPLGPDRNSILLSAEVNANMPMYMEPLVSSRLEHLINTETIVKREHRYSVSQGYAAAKAIAEAELALAEAELAAREADNAEAKAEAARIFAKVAMKALKATR >A04g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3741119:3741720:1 gene:A04g501490.1_BraROA transcript:A04g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFLLVLDIRLGRLRRALHEGDGGFSPGFFPALFDLLLLSVQLILGPSSNDVRRRVPVPVCSGESSIKLPAVAFQSVKVVEVLVGTCPSIPSFQHVAKSRHRVFPWALLSFGPLLRSVVVARVFF >A03p045850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19261007:19268646:-1 gene:A03p045850.1_BraROA transcript:A03p045850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASFNMQSVFAGGLTTCKINTNKLCFAGNFHNLKRNCPVGVRCMAEGEPMKDESAPSTSASQPLPKSSSPPPPPTKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVLAQISDGGVSWFLGTAAILTLASLVPLFKGITAESKSKGFMTSDAELWNGRFAMLGLVALAFTEFVKGGTLVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVLAQISDGGVSWFLGTAAILTLASLVPFFKGITAESKSKGFMTSDAELWNGRFAMLGLVALAFTEFVKGGTL >A09p056660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48076220:48082503:-1 gene:A09p056660.1_BraROA transcript:A09p056660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKDRRMVPSIEKLRWMLQFGFCVMSLGCASVSGSFSFQIHHRFSDQVKTVLGSHGLPEMGTLEYYETLIHRDRGRRLTSNNNQTTVSFAQGNSTQEISFLHYANVTVGTPAQWFLVALDTGSDLFWLPCNCKSSCIRSMETDQGERIKLNIYDPTISTSSSKVPCNSTLCALRNRCVSPLSDCPYRIRYLSPGSRSTGVLVDDVIHMRTEEGEPRDARITFGCSESQVGLFEKTAVNGIMGLAIANIAVPNMLAKAGVASNSFSMCFGLKGKGTISFGDKGSSDQLETPLSGTLSPPFYDVTITEFKVGSVTVETEFTAIFDSGTAVTWLIEPYYTAVTTNYHLQVADRRLPARVKSPFEFCYIITSATDEEKIPSISFEMQGGATYNVFSPVLVFDTSNGGQVYCLAVLKEVTAGFNIIGQNFMTNYRIVHDRERMILGWKESDCNDKNGFTGPTASANPPSLPPTPSPRARSPSTRRHVFVLLSALVLSWGLKRCEATGKFSFEVHHMFSDNVKHNLGFDNLVPEEGSLEYFKVLAQRDLFRGRGLASNNEDSPLTSAEGNLTVFVSFLGSLHYANVSVGTPATWFLVALDTGSDLFWLPCNCGVTCISDLKDAGFPQSVPLNLYSPNTSSTSSSIRCSDDRCFESSRCSSPSSSVCPYQVSESTTTTSTGTLLQDVFHLVTEDVDLKPVEANVTLGCGQRQTGLFQNFQAVNGVLGLGVKDYSVPSLLAKAKLAANSFSICFGRVIGVVGRISFGDKGYTDQSETPFISVEPSTAYGVNVTGLSVGEKAVGFSMFAQFDTGSSYTHLREPAYSAFTKAFNSRALDIRRPSDPQFPFEFCYNLSPNATNITFPPIDMTFEGGSVMSIKNPFGGGRMYCLSIVKIEDLSPNIIGQNLMAGYRIVFDRERMVLGWKRSNCFEDESLTSAPPAEFGDTPPPPSESEGPSPPESEGPSPPTESNPLLRSPPPPPLFFTTTPPSDSTESPGSSGVANVSPLGSLLLLSLLAFL >A02p010760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4658110:4663647:1 gene:A02p010760.1_BraROA transcript:A02p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHF2A [Source:Projected from Arabidopsis thaliana (AT5G22000) UniProtKB/TrEMBL;Acc:A0A178UA98] MMLEWWILLSFGNDLFELVPRQFMTDVNKERLEVGGLGKTSSSGVVIEDITEEDTVISGENKKPSEEVTGRQRESNGSIHSQGVKTDLDGLQALRDDPEAIRTFQNFISKTDPDTLAALSGGKAGDMSPDMFKTASSMIGKMSPEEIQKMVQTASSFKGDNPFASTAPSGENGFTPTPDMLKLASDMMSNMSPEERERMFNMASSLKANAPVSTSHRDAETAEPQEASGESSSSVRGFEPSMPSAPPVDLQEQMRNQMKDPAMRQMLTSMIKNMNPEMMASMSEQFGMKLSREDAAKAQEAMASLSPEALEKMMRWADRAQTGIEKAKKAKKWLLGKGGLVFAGAGDKTTSSEGHLTSAAAFVQGGIQDPCDDACSICLEVFCDSDPSTLTSCKHEYHLQCILEWCQRSSQCPMCWQSISLKDPTSQELLEAVVQERSFRINPPRNATIFRHPTLGDFELQHLPVGVDNAEIEERIIQHLAAAAAMGRARHGARREGHRSRSSTQGHPQLRVFSPHSNASPPHPPMPSSPSQRDESDTVTNLPLSHQNTLGEGSLHSNMQPQVSPSNNRSPNQPTSSDQDRAGPSELQSFSESLKSRLNAVSMRYKESISKNTRSWKDRFFSRSTSIAELGSEVKREVSAGIATVSRMMERLETREDSTRPGTEPVSSNGLDNNTPAEPNNEHSRRSEASDEHSLNERGVKGTCAAASGSS >A10p030890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18597003:18601591:1 gene:A10p030890.1_BraROA transcript:A10p030890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVRRVRSSSLPNFLAWRALGFRTICSGGLGIAPSSSPPASAGIKILESFKEEFEVGSRVVTLETGKIARFANGSVVLGMDETKVLSTVTCAKSKSPGDFLPLTVDYQEKMFAQGLIPNTYMRREGAPKERELLCGRLIDRPIRPLFPSGFYHEVQIMANVLSSDGKQDPDILAANAASAALMLSDVPWGGPIGVIRLGRIGGQIVVNPTMDELSSSDLHLIYACTRDKTMMIDVQAREITEKDLAAALRLAHPEAVKYIDPQIRLAAKAGKQKKEYKLSMLSEKTLEKVTDLAAERIESVFTDPSRGKFERGEALENIGKDVEKVFEEEGDQESLRILPKAVDTVRKKIVRSRMISEGFRVDGRHLDEVRPIYCESHHLPALHGSALFSRGDTQVLCTITLGAPGDAQRLDSLVGPPKKKFMLHYTFPPFCTDEVGKKLGLNRREVGHGTLAEKALLAVMPPEEDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGISLRAHVAGVSVGLVTDVDPSSGEIKDYRIVTDILGLEDHLGDMDFKIAGTRTGVTASQLDIKPAGIPLDIVCESLENARKARLQILDHMEREINSPRDQQCRLATLKYTNDALRNLIGPMGALKRKIEEETVVGGVYKGTVTSIKEYGAFVEFNGGQQGLLHMSELSHEPVSKVSDVLHIGKYITMMCIDTDVRGNVKLSLKALLPKPTSRPEKLPVVKEAVSVETSSFGETVASLPSVVEPPQKSKLAVPAVVIRTAVECDEAEKSSHVDKNTKPKRAATVKPDRKLKSTASKQTVKEDETLSSTATEESRDDCVETLTQENTLKCTSLENNSNIVSSSKGKKPSRKEKQSDNEAGESPSISARKLKIGTEMTAKVHQIRTHGLVLDLGGGIRGMYKFEGDEETEFEIGDTLQVKCTSFSSKGIPVMALVDEEDV >A07p011450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8715054:8717565:1 gene:A07p011450.1_BraROA transcript:A07p011450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK1 [Source:Projected from Arabidopsis thaliana (AT3G24550) UniProtKB/Swiss-Prot;Acc:Q9LV48] MSSAPSPGTGSPPSNSTTTTPPPASAPPPTTPSSPPPPSTPPPSSPSTPSAPPPSPSTPGSSPPLPQPSPPAPTTPGSPPAPVTPTRNPPPSVPGPPSNPSPGGGSPRTPSSPSPPSPSSDGLSTGVVVGIAIGGVALLVIVTLICLLCKKKRRRDEEDAYYVPPPPPPKAGGPYGGQQHQQWRQQNATPPSDHVVTSLPPPPKAPSPPRQPPPPPPFMSSSGGSDYSDRPVLPPPSPGLVLGFSKSTFTYEELARATNGFSEANLLGQGGFGYVHKGVLPSGKEVAVKQLKVGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGAKRLLVYEFVPNNNLEFHLHGEGRPTMEWSTRLKIALGSAKGLSYLHEDCNPKIIHRDIKASNILIDFKFEAKVADFGLAKIASDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVVLLELITGRRPVDANNVYVDDSLVDWARPLLNRASEQGDFEGLADAKMNNGYDREEMARMVACAAACVRHSARRRPRMSQIVRALEGNVSLSDLNEGMRPGQSNVYSSYGGSTDYDSSQYNEDMKKFRKMALGTQEYNATGEYSNPTSDYGLYPSGSSSEGQTTREMEMGKIKRTGQGYSGPSL >A04p030920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18334236:18337171:-1 gene:A04p030920.1_BraROA transcript:A04p030920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIERQRVLLEHLRPSSSSSHSFEGSLSIKALSHLTISFSTCQASACLAGDSAAYQRTSLYGDDVVIVAAHRTALCKSKRGNFKDTYPDDLLAPVLRALIEKTNLDPSEVGDIVVGTVLAPGSQRASECRMSAFYAGFPETVAVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPAVKKFAQAQSCLLPMGVTSENVAHRFGVSRQEQDQAAVRELSLKAAAATAAGKFKDEIIPVQTKLVDPKTGDETPITVSVDDGIRPSTALATLGKLKPVFKKDGTTTAGNSSQVSDGAGAVLLMKRSVATQKGLPVLGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELDDIDLFEINEAFASQFLYCRNKLGLDAEKVNVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDGVDELRNARKVEAQGYLSKDAR >A08p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8211717:8213523:-1 gene:A08p013430.1_BraROA transcript:A08p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCSLGIDGLRSSLSASKSEHEDSIFRDYTLDLSFLSSDDSLSRDVDTTTKALLNPNPLVSKLPEMLIVSSTVAGDGELELSCANAAEHSSTDSEEDTPCWIGINSRETPASAGAICRRSTDDDLSGFRRLNPLAPQFIPSNSIKVLEKDGLKKSLSSDFPSSSAEFKLDDAASILADESLGFVSQDGNEFQQSKRLDPSAPVFVPSNAKLTPSAFETNAHSTTYSDNKLLGKVKIDTPSREAAPIHISGSYNKSRGQRRLNPLAPQFSLPDTKPKAYSYGSSSFKSPTADTNFGSTKWYAVEPNTTLSVNGNQDFPFHVVETAAGSSSRNAKASSGGSSPKMDVMKLLTTIHGLSELLTLAHGSESSDSPEELHLINSTVHNLNMYIQNGIQEQSVVQHNSYDLQLLSNKSKLSIRDLQFPSTNNMTVDLGVRRKEKYSVVSGETFPDSGLYQYGVTKDEGFGQVVAKSGYQQNHQGEEQINPQSLFYKSLWLKAEAERCLMVYETSLSNPGS >A05p018800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8776173:8779752:-1 gene:A05p018800.1_BraROA transcript:A05p018800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) UniProtKB/Swiss-Prot;Acc:P14714] MSSGSSNSGSCSTRSRNNSRLTSQVLADAKLHGSFEESERLFDYSASIHVNMPTSSSYDIPSSSDVSSYLHKIQRGMLIQPFGCLIVVDDKTLKVIAFSENTQEMLGLSPHTVPSMEQREALSIGTDVQSLFQSQGSSALQKAADFGEISILNPITLHCRTSGKPFYAILHRIEQGLVIDLEPVGLDEVPVTAAGALKSYKLAAKSISRLQALPSGNMSLLCDALVKEVSELTGYDRVMVYKFHGDGHGEVIAECCKADLEPYLGLHYSATDIPQASRFLFMRNKVRMICDCSAVPVKVVQDKSLSQPITLAGSTLRAPHGCHAQYMSNMGSVASLVMSVTINGSESDEMNRDLQTGRTLWGLVVCHHASPRVVPFPLRYACEFLTQVFGVQINKEAESALLLKEKRILQTQSVLCDMLFRNAPMGIVTQSPNIMDLVKCDGAALYYRDKLWALGVAPTETQIRDIIDWVLKSQGGGNSGFTTESLMESGYPDASVLGESICGMAAVHITQKVFLFWFRSGTAKQIKWGGARHDPDDRDGKRMHPRSSFKAFMEIVRWKSMPWDDMEMDAINSLQLIIKGSLQEEHPETVVNVPPFVDNRVQKVDEMCVIVNEMVRLIDTAAVPIFAADASGVINGWNSKAAEVTGLAVEQAIGKPVSDIVEDDSAITVKNMLALALQGSEERGAEIRIRAFGPKRKSSPIELVVNTCCSRDTRNNVLGVCFIGQDVTGQKTLIEKYSRVQGDYARIMWSPSTLIPPIFMTTENGLCSEWNDAMQKLSGIRREEAVNKMLLGEVFTSNDSCCRLQDHDTLTKLRIALNAVSSGQDNIEKLLFGFYHRDGRFIEALLSANKRTDMEGKVTGVLCFLQVPSPELQYALQVQRITEQAMACAVNKMAYLRQQVENPEKAISFLQDFLHSSGLNEEQKQLLSTSVSCREQLAKVISDSDIEGIEDGYVQLGCSEFSLEESLEAVVKQVMELSIERKVQIICDYPQEVSLMRLYGDSLRLQQILSETLSSSIRFTPALKGLCVSFKVMSRIEAIGKRMKRVELEFRIIHPAPGLPDDLVREMFQPLRKDTSREGLGLHITQKMVKLMEGGTLRYLRESEMSAFVILAEFPLL >A09p072480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55551478:55552553:-1 gene:A09p072480.1_BraROA transcript:A09p072480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSAVASGARSMLRAASSRSRFASQAKSVPPMFRATSRSSSLLSPLRNRVEMSFCVESMLPYHTATASALMTSMLSTSAHTYAWLSHACIEDF >A06g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16235056:16243069:1 gene:A06g505660.1_BraROA transcript:A06g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRQRTCIQIGRGQARVWKSDRGQAKLDRYAANPAAHSDGPANVEPSSSQAPVLPTERSLPSSESLRCDPSAIQSSVGTVATSESSASGISYVATGSRPARIRYRSDPGLGQVSGSLVAIEPDSERQYDTSPCILKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNWQKSKSFPYLNGNRQCEFRFPQFGARRRGGYGLLLLIATKRLIETMFGYMKDKLAALTAPMANAYANAVVFNKIENLVATFRHRKSTITSSRFLPVNKKGNDKSYQNLVNKSRCIRVLPKHVFRKHFGRIKLVLPKKPLKNPYVNRGKRKHNKTITQLGRYVATEHAHCSVATDRARTRCSVASDRAHTLLGRYVVTELKPTLHSLHSDLVSVEILRRKQVGLVSACFHSLRSDLSDCQSLRSDLGPPLRSPLNSHRNAFGFVSIGVSVEILRQKQINLILNSLACFSSPYLHLCVPFRISIETSLVSPRLKLPLRLYDIKKKKPQRPIFSHGFRLISVKISITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNRHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRARAKARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRLNLSERQYDTSSCILVKTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLIKSPINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDANFGSHTWSPRIDRAWLVHGLISILELVRGRFVYMSVAFGQSVFSGSIEIWMIFYCKALRKDIFTKITFCKNVYADFYGLSDIDSVVTDFDPNNS >A09g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13738691:13739925:1 gene:A09g504470.1_BraROA transcript:A09g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAWNVRGLNSATRHTMTKDWINFHKPLFGVFLETHILENNSDRVLRAIPPGWKFFANCQSDASGRIILVWDPRVMAFIYHESAQSVTCGVVITADNLSITMSFLVDIQATTPVSRYPWAVVGDFNQILRVSHHSNHISGRVDTSGIEEINLSLQDAELFEAQVKGLPFTWTNNQEDNPISTRIDHAFINQHWSSSFPDSYAEFLEPSQSDHAPCLFHLPSYRRWVCKPFKFFPHVIDHPEYSQLVSSAWNCNLIMGTDQFKLVPEEKYYRQRSRVRWADVGDRNTVFYHRVVTQQVTVNHIHFLKDENERVVCTTDELKSHSAQYFQSILG >A08g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6735975:6736506:1 gene:A08g504120.1_BraROA transcript:A08g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSDLRQTLKEFSEDSRKTSQNTLGKSSNAFYARKLASHEIFRKSSEVFCPKWYKFWICILLWKTSQKTIGRLSEDFLGSIIMYFMLDDFLRSLREVLQSLLPKVVQRNDVRWSPSLSMWRNYI >A09p007460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3914703:3915710:1 gene:A09p007460.1_BraROA transcript:A09p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 18 [Source:Projected from Arabidopsis thaliana (AT5G23530) UniProtKB/Swiss-Prot;Acc:Q9LT10] MATESQPNHQKKLTIPLKTRIALTVISTFTDNAQRPDGSINRRFLRLFDFRAPPNPNPVNSVSSSDFVVDPSRDLWFRLYTPHISGDRIPVVIFFHGGGFAFLSPNTHPYDNVCRRFAGKLPAYVVSVNYRLAPEHRYPAQYDDGFDAVQFLEENRGEVLPANADLSRCFFAGDSAGGNIAHNVAIRVSRARCFAAVKLVGIISIQPFFGGEERTEAERRLVGMPLVSPDRTDWCWRAMLPEGANRDHEAAKPSVVDISGLDYPDTMVVVAGFDPLRDWQISYCEWLKLSGKRARLVEYPNMFHAFYIFPELPEAGQLVQRIKDFVEERVASLSA >A10p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22412038:22413000:-1 gene:A10p040750.1_BraROA transcript:A10p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSAIFFLLFLNISAFLPSFIDGAQLILVNNCQESIWPAILGGGGQTTPRNGGFHMGSGEETIIDVPEKWSGRIWGRQGCTFNQNGKGGSCQTGDCNGGSLNCQGTGGVPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPIGGGVGCGVAACEVDLNVCCPSALEVKRDGKVVGCKSACLAMQSAKYCCTGEYANPKACKPTLYANLFKAVCPKAYSYAFDDSSSLKTCRASRYVITFCPPNNLTWAFNLNGLNWAQLPARNISKGPTLSR >A06p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:174479:176912:-1 gene:A06p000680.1_BraROA transcript:A06p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) UniProtKB/Swiss-Prot;Acc:Q9C888] MELEEQKKYFHGTLEITIFDATPFSPTFPFNCICTKPRPAYVTVKLNKKKVAKTSLEHDRVWNQTFQILCAHPVSDTNITITLKTHCSVLGRFRISAEQILTSNTAIVNGCFPLTTNNGSTKPNLKLKCMMWFRPAYLEPGWCKTLEGESFQGIRNASFPQRSNCRVVLYQDAHHKATFDPRVHDVPCNARNLWEDVYKAIDCARHLVYIAGWALNPNLVLVRDDETEIPHAVGVTIGELLKRKAHEGVAVRVMLWNDESSIPMIKNKGVMRTNDQTALAYFRDTSVVCRLCPRSHKKLPTAFAHHQKTITVDTRVTNTNTKEREIMSFLGGFDLCDGRYDTEEHSLFRTLGTSDDFYQTSLAGAKLSRGGPREPWHDCHVCVVGAAAWDVLKNFEQRWTKQCNPSVLVNTSGIRNLVNRTGPETTEEDDRNWNVQVLRSIDHVSATEMPRGLQVERSVHDGYVAAIRKAERFIYIENQYFMGGCEHWEGKNGSGCTNLIPVEIALKIAAKIREKERFAVYIVIPMWPEGTPESETVEEMLHWTRETMSMMYKIIGEAIWEVGDGSHPRDYLNFFCLANREEKRDGEYEAASSPHPKTQYWNAQRSRRFMVYVHSKIMIVDDAYVLIGSANINQRSMDGCRDTEIAIGCYQRNTTKTNEIRAYRLSLWYEHTGGQITVDELSFSEPESLECVRGLRTMGEQMWDIYSGEKVVDMNGVHLVAYPISVTRDGVVEEIGDGVFPDTKTLVRGKRSKMLPPVLTT >A05g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15855132:15858909:-1 gene:A05g505640.1_BraROA transcript:A05g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEANARNRELRSKRRFDETSSSSNPHRHPWPHPENTPFDTTHSSATRGTCHADLPAFAVPELGTHRHLLFLTSRTSQTSLCTTRETFRGWWWTLFRPSGLECLVGAGEPQERRHQHQQHAGTHPQMTMRRLMRTPISPPISISSLLIMNLANIRQGTSSLTPSTCENVCASPSIDMERITLIDSGRVTSIDMERITSIDKEPKLTSNTNLTSLLVLGLGIHGIRFFRQVWKVTPGLNYLSHFLPLNFDPRLTQIKEFETGLGGGNLQSLLSQRTLGYKSKRSEQNLDESPFVEREENYEIYREIYGDPIYDVYEDDVRVVDFVFSEDFFANFVCAKIGQDEIRAKFGRDKIRANPVQDELRANFGESQKIRHEKPIQDSRTNLFQSGENDADNFDSWKPVQSWSLILQWKQTLTQERNLGREKLGTNFYLQLQM >A02p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2967554:2970186:-1 gene:A02p006990.1_BraROA transcript:A02p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine alpha-carbonyl methylesterase ICME [Source:Projected from Arabidopsis thaliana (AT5G15860) UniProtKB/Swiss-Prot;Acc:Q94AS5] MHHPPLETHQPEGCRPMTSTVSEIEEVIDSERTTLLNGSNGLASPEPVRRRVSGKSPADGGSRRICRQPSFGRDIGHAAKETYLVTRLSFKLLRYLGVGYRWIMKLLALTCYAMLLMPGFLQVAYMYFFSSQVRRSVVYGDQPRNRLDLYLPSNNDGMKPVVVFVTGGAWIIGYKAWGSLLGMQLAERDIIVACVDYRNFPQGTISDMVTDASQGISFVCNNISAFGGDPNRIYLMGQSAGAHIAACALLEQATKGSKGESISWRVSQIKAYFGLSGGYNLYNLVDHFHNRGLYRSIFLSIMEGEESFDKFSPEVRLKDPIVGKAASMLPPIMLFHGSSDYSIPCDASKTFADALQAVGAKVELILYNGKTHTDLFLQDPLRGGKDELFDDIVSVIHAEDDDALAKDSLAPPRKRLVPELLLKLAREVSPF >A04g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5126176:5127742:-1 gene:A04g502070.1_BraROA transcript:A04g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIPSLRNHFREDVYGASSGCPRISKMQYKRKSGTKEFSLKAVNDKLGQIEGPTNPIGGPSNNAQSGQAHADSVEATGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLFTQLGLLEEEVKSLRWSVPGSDNPAVQDDGDGSDNSESEEEDGDVGGDKESEEEDGGDNNEPDEEDEMYAHAVEAEKKLKTKAAESVNTKKKRSRKDDGKEAVPVKKVKVDRGDNVRSPIQVRSRAAEKRTRGAKKQKAAAEKKAAAAAKKKAAAEKEAAAENEAAAEKEAAKKKAAAKKKTPAKKKQKKPKTKKVRKKTEIFMLKTDRERGRIGKL >A09p076100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57068914:57071103:-1 gene:A09p076100.1_BraROA transcript:A09p076100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDSSSHSPHANSGSSASNVSSNTSRSTGPSGRSTNSYSTGSSSLGSSLPTQPRTEGEILSSPNLKAFSFNELKNATKNFRPDSLLGEGGFGRVFKGWIDETTLTASRPGSGIVVAVKKLKPEGFQGHKEWLTEVNYLGQLSHPNLVLLVGYCLEGENRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAVGAAKGLNFLHEAKSQVIYRDFKAANILLDAEFNAKLSDFGLAKAGPTGDNTHVTTKVMGTQGYAAPEYIATGRLTAKSDVYSFGVVLLELISGRRAMDNSNGGVEYSLVDWAKPYLDDKRKLFRIMDTKLGGQYPQKGALAAASLALQCLNPDAKLRPKMSEVLVTLEQLESAAKPGGKHTQMESPRGRHSSGVQKSSVRFGQDRPLGNITPGASPLPSYSKSPRVR >A03g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29051073:29051656:1 gene:A03g508540.1_BraROA transcript:A03g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQCTVLEVKVIEGHGTTIDVVLVNCVLHEGDQIVGPIVTTIRALLTPHPMKELRVKGTYVHHKEIKAAQGIKITAQGLEHAIAGTALH >A09p047030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40852018:40853946:-1 gene:A09p047030.1_BraROA transcript:A09p047030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSVPSENGGEGSALIFLGTGCSSAVPNAMCLIQKSDPPCYVCSQSLSIPPEKNPNYRGNTSLLIDYCQSDGKHKYIQIDVGKTFREQVLRWFTLHNIPQVDSIILTHEHADAVLGLDDIRSVQPFSPTNDIDPTPIFVSQYAMDSLAVKFPYLVQKKLKEGQEVRRVTQLDWRVIEEDCEKPFLASDLSFTPLPVMHGEDYVCLGFLFGEKSRVAYISDVSRFPPSTEYVISKSGGGQLDLLILDTLYKTGSHNTHLCFPQTLETIKRLSPKRALLIGMTHEFDHHKDNEFLDEWSKREGISVKLAHDGLRVPIDL >A09g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6280308:6282773:1 gene:A09g501700.1_BraROA transcript:A09g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKYKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g517510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52209727:52210601:1 gene:A09g517510.1_BraROA transcript:A09g517510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSVSSFLFSVAVRRVCFGPESRPPSSSSSQAWLVVVVQFVVQPAALDSSSATLVIISVLAELLIEKLDSSSNGSLVQVAYSVVLSAISIVENSRGNRRNRRDRSEEERQWVYGNGADLWWIVKIPTSSDGEKRQL >A05g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7673503:7673962:-1 gene:A05g502440.1_BraROA transcript:A05g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLANKIEAECWRIAQCIDEIMEIDMGVEARYAHTKSPLQAEAEDLLWAMQVILKFGHREMVFQSTVNNWLYSFKRRKIGLRWTRSSTKYRLYPKNFLNFLLLIFLDL >A01p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10058661:10063708:-1 gene:A01p020500.1_BraROA transcript:A01p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKRITGHWAKLSPQTKQEVKQSLIESITVENSPPVRRASANVVSVVAKYAVPAGEWPDLLGFLFQCSQSAQEDHREVALILFSSLTETIGNSFRPYFTDLKTLLLKCMEDESSSRVRVAALKAVGSFLEFASDGDDVVKFRDFVPSILNVSRKCLASGEEDVAILAFEIFDELIESPATLLGDSVKSIVQFSLEVSCNQTLEISTRHQAIQIVSWLAKYKNNILKKHKLVVPILQVMCPLLAETPDQDDDDDDDDLATDRAAAEVIDTLAMNLPKHVFPTVFEFSSMYGQSTDLKFREAAVTALGVISEGCFDLMKEKLEPILNIVLGAIRDPEKMVRGASSFALGQFAEHLQPEILSYYQSFLPCVLNAIEDSSVDVKEKSYYALAAFCENMGPEIVAFLDPLMGKLMAALENSPRNLQETCMSAIGSVAAAAEQAFNPYAGRVLEAMKFFMVLTNDEDLRARARSTELVGIVAMSVGKQGMEPIFPPFIDAAIAGFGLEFSELREYTHGFFSNVAEILDDSFAQYLPRVMPLVFASCNLDDGSAVNIDESDEDVNDFGGVSSDDEAHDEPRIRNISVRTGVLDEKAAATQALGLFALRTKSSFAPYIEESLKIMDKHSGYFHEDVRLQAITGLKHILAAAHAIFQTHNDGTGKANEILDTVMNIYIKTMADDDDKEVVAQACLSIADIMKEYGYAAIQNYLSPLVDATLLLLTEKAACQQVEDESDDDDDVGHDEVLMDAVSDLLPAFAKCMGPHFEPVFAKLFEPLMKFAKARRPDQDRTMVVASLAEVAQDMGAPISAYVDRIMPLVLKELESSHPTNKRNAAFCVGELCKNGGEAALKYFADVLRGLNPLFGESETDLGVRDNAAGATARMILVHPELVPLNQVLPVLLRGLPLKEDQEESMAVYSCIYSLVLASNPQIIPHVPDLVRIFGQVVESPVEKGEVKAIVGRTFSHLMSVYGDQLHPFISVLPPSQANALAAFASAG >A01g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27075615:27077317:1 gene:A01g509910.1_BraROA transcript:A01g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLNRRFFSPSIREYQISKRDSCPRMNRPEPKPILHEPKVFPQSSSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELCSLSCALKEILFRKRHEPKFLRPKNQFDFIHDKNFSYLALTLCFPDRFSAWPNFKIDKLIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPYDYFFRRFDVVSLVVLNEQDKHDHNDICSLFDSYLLKHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVSSIMLKIQKRACLAQMEKPIKYWLREKMDFDQASKGHVLAHIRSIFFTFQSPGRGYMKSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFGLFRNP >A06p009400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3305884:3307089:-1 gene:A06p009400.1_BraROA transcript:A06p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRILTSEPLAHKVNQFRLISTASSLLTSNHHKKSRHVLSLLRTENNPDQILEICRSASLTPDHHHLHRVAFSVAVATLSSAKHLAAVSHLLDGVIKSQPHPKPESFAVRVIILYGRANMLERSLQTFHDLERYEIQRTVKSLNALLLACLAARDYEEARRVYFETRKEYGGIEPDLETYNRIIKALCESNSTGSSYSIVAEMERRRVKPRASTFGLMIEGFYKEEKYDEVRRVLRLMREFGVHVGIATYNVMVRCLCERKRTREAKALLCGVMSTRMRPNSVTYSLLIHGFCSEGDLDEAMSVFEVMVKSGCKPGCECYFDLIRCLCKGGDFETALVLCRESMESHWVPSFSVMRWLVHGLVGSNKVDEAREVIAQVKHKFSRNVHLWNQVEADLSR >A10p011340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11878901:11879906:-1 gene:A10p011340.1_BraROA transcript:A10p011340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLVDLLDQEMCLTNLDGHKIVLKNLVGHEMGWAIRYDLEMDWSNRHEREMSWKWKIKNQRCFQQNECKEQFGRTRKRWTEPKKDLVEKRPFRPCLFINLTCSNIEAKLTRVTHSCRTRFEVK >A03p047370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22442488:22444981:1 gene:A03p047370.1_BraROA transcript:A03p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) UniProtKB/TrEMBL;Acc:A0A178VUN0] MATSFLRRNLNTTRFSLNRFISTSSSSSSSHRRVVVTGLGMVTPLGRGVETTWRRLIGGESGIRGLTPDDLKMSSFDEETKLSTFDQLSSKVAAFVPYGSNPGEFDEGLWLNSKAIAKFIGYALCAADEALRDADWLPTEEEEKERTGVSIGGGIGSISDIVEAAQMICEKRLRRLSPFFIPKILVNMASGHVSMKYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSVAGFSRSRALSTKFNSSPQEASRPFDCGRDGFVIGEGSGVIVLEELEHAKRRGAKIYAELCGYGMSGDAHHITQPPEDGKGAVLAMTRALKQSGLSPNEVDYVNAHATSTPIGDAVEARAIKTVFSDHATSGTLAFSSTKGATGHLLGAAGAVEAIFSVLAIHHGVAPLTLNVKNPDPIFDKSFMPLTTSKEMLVKTAMSNSFGFGGTNASLLFASV >A02p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21167489:21175678:-1 gene:A02p036780.1_BraROA transcript:A02p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGARILAKIRSQVFAKHLSPLCLYFIYFLTFSILGFLALKISKPRITSRPHDFDLFFTSVSALTISSMSTIDMEVFSNTQLIFLTILMFLGGEVFTSFLNLYFSHFTKFVYPHNKVRHLTDSFDLDRRMEEPRIDIENVIDHQEGSIKMIERASKCLYSVVLGYHLITHLVGSLLVLVYVNFIKTARDVLSSKDISPLTFSVFMTVSTFANGGFVPTNENMIIFRKNSGLLWLLIPQGLMGNTLFPCFLVLIIWGLYKITKRNEFGYILKNHKKMGYYHLLSVRVCILLGLTVLGFFLFQFLLFCTFEWSSEPLEGMSWYEKLVGSLFQVVNSRHTGETIVDISTLSPATLIFFITMMFLPPYTLFMPLTEENNNKDEEDDSGNGNKRKRSGFFVSQLSFLVICIFLVSIVEEEQIRRDPLNFNVLNITLEVISAYGNVGFTTGYSCKRRLDASDGVCKDASYGFVGRWSPTGKIILILVMLYGVYFIFPCVLYVGVKNGYDGITTRKSSEIVFPKEIYPLGIKPNKPSSVTTHTRRPVAMQQPNPSEARSLRSDRAIRPSDRPARSLRSDRARAKARSLRSDRAIVPLSCYVATELSQARSLRSDRAIVPLGRYVATKLEPKLEPKLGRYVATERSSRSVATWRPSSAKLGRYVATERSSRSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKIGRCIAIEPFRTSIRHQSLHSRQTFEYYLPKTVASSKSRKTRGKRVESEDGPKGPKTRLEAYPTIFPNQKPVNHSMVHAWPTRKDKCQIRRGKRRTLVTTSTSITRGKISKGRITMRSVRIKAGPRATHGLAIKGMTKTPSASSTNPEDTPQPIAKSWEQDWPRSYSLESFRNAPGEDEVKSSINANAADVEARHKSEAHATTQPEHPENSIERLIRTKYETTTRIYFNPTQENSKQNIYHINNPRKAARDSKPPTASPVKVPGQRSTERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGTITHPTLHQAHFLFKHIVISSRPPKTSDRTAALAKVTHRDKGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLKHLALHASEIPLCFLRFEAVDHSFSMARLNGRAQQAQALQNRLTCYNYIHRTITKKFSKN >A02g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25014901:25016103:1 gene:A02g509360.1_BraROA transcript:A02g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVVVERAARNSIQDLYGLKASSRSMKALAERRGVYHFLDVLFVPWGLNMPSELLKACYDEGNPITLYIKGVQFFYSLDLHEEGLSLMKRATDAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDRIDANVQILCSDNVTACGTLMSLRMITCVTAVSGSKSSACSYAELIVSLAKVEENIEKLMATEFDHAHNNGLDLHWSG >A02p004780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2069693:2072751:1 gene:A02p004780.1_BraROA transcript:A02p004780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT3.11 [Source:Projected from Arabidopsis thaliana (AT5G11940) UniProtKB/Swiss-Prot;Acc:Q1PDX5] MSSQVSWWVFGMMSVVLILNLEFSVVEGGASEETKIHIVYLGEREHNDPELVTASHLRMLESLLGSQKDANEFMVHSYRHGFSGFAAHLTDSQAKKIAEHPDVVQVTPNTFYEPQTTRAFEYLGLSQSSPKGLLHDANMGEDVIIGILDSGVWPESPSFSDKGLGPIPKRWKGTCVDGAKFDSKKHCNKKLIGARYYMDSLFKNNRTDSGIADTEYMSAREVFAHGSHVASTAAGAFVPDVSDNGLGVGTARGGAPKARIAMYKVCWQTQDGSCASADILKAMDDAIADGVDVISISLGSAIPISREIDISNVFSYGAFHAVSKGITVLMAGGNFGPDAYSVQNIAPWIITVAATSLDRWYPKPLTLGNNVTLLARTPSVDPELQAELIYVDSSKEMTSEAAGKIVLGFTTAENGESATDFLNKQMEVQAAGVILAGRRSDKMIVSEGLINLNIDYQQGTTILNYIKSTNKPTITVSPSIALTGPLVATKVAEFSGRGPNSVSPYVLKPDIAAPGVSIVAACTPEKINYENGYTAMSGTSMAAPAVAGVVALLKAVHPDWSPAMLKSAIITTASSTDPYGEPIYSEGLSRKLADPFDFGGGLVNPEKAANPGLVYDADVQDYIRFLCASSYDEMSITKISKQTVKCPSPRPSMLDLNLPSITIPFLKEDVTLTRTVTNVGPVDSVYKLIVQPPMGVKISVTPQTLVFNSGVKKLSYQVTVSTTHKANSIYFFGSLTWTDGTHNVVIPLSIRTQMVKYFDQ >A01p047010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26514788:26516509:1 gene:A01p047010.1_BraROA transcript:A01p047010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTSFFVSRSLVPTTPRRPRVRPLSVPPMTVCIDQSRNEKVVVIMGSTGTGKSRLSVDLATRFSAEIINSDKMQFYKGLEITTNQITIPERCGVPHHLLGELPVDGPELTASEFRSLASGFISEMTSRGKLPIIAGGSNSFIHALLVEHFDPETNPFSKTLIPTELRYDCCFLWVDVSVSVLYHYLSKRVDQMMESGMFEELADFYNSRDSRSTTRTGIHKSIGVPEFDRYFGVYPPEKNDKVCGWDPARKVAYEEAVQEIKENTWRLSKKQIDRIMKLRSSGWEIHRLDATASFRAQSREAWDKNVLEKSVKIVKRFVLEN >A01g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18283489:18285842:-1 gene:A01g506250.1_BraROA transcript:A01g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEHVSSPLHKERHASLEHPTVTDRDPNREVTAGEDGKRPQNSLKHAGIITTTPESTGTLIRTTEATSNDFSSIVHVGENQSKEPRSHPSSGSHHHNEPFTHLGHSRGNQMNHPILAFPAITIEDDRNSIKTQTTRSKAGNTPSRGLPCTRNDNHHTLREPPRLSSLKNLRERRERKSTIPPSEYYNFETKSWNLELISEIIYKDDIPTILDIKISKSGRRDEYCWKHTTSEHTRAETRQTAPVQVDTGLRYKCQVDGSWSEKDKCMGMGFPLMEAGVVILQGQKCTHRVQSPLKTEAEALI >A07p025640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14724007:14725029:1 gene:A07p025640.1_BraROA transcript:A07p025640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKSKMSSSREENVYMAKLAEQAERYEEMVEFMEKVAKSVDNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNEDHVAIIKDYRGKIEAELSKICDGILNVLEAHLIPSASPAESKVFYLKMKGDYHRYLAEFKAGNERKDAAESTLVAYKSAQDIATAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMTDEAGDEIKETSKPEGAAE >A05p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5983098:5986853:-1 gene:A05p013740.1_BraROA transcript:A05p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLRRLRGVIHRHESKDRRDLRALVQNDELAQASQDVQDMRDCYDSLLSAAAATANSAYEFSESLRELGACLLEKTALNDDEESGRVLLMLGKLQFELQKLVDKYRSHIFQTITIPSESLLNELRIVEEMMRLCDEKRNVYEGMLARQKEKGRSKAGKGEFFSTQQIQEAHDEYDNETTLFVFRLKSLKQGQTRSLLTQAARHHAAQVFILSCPSVLLARAHRVHVPIFGFLQLCFFKKALNSLEEVEPHVQMVTETQHIDYHFSGLEDDDGDDEIENNDDDGSEVHTDGELGFEYRENDKDNNADSSPVLSSELGQSDITFPLVAGPNTAQQKHEEGNYRKSYSFRRDVRIESQSAPLFFENRTTLPSSDKLLRMRSSLTRKFSTYSLPTPAETTRSPSSITSLRNNNMASSTPAKAMTKQVWYSSPLDARGPAKLSSRPMAALKEQVLRESNKNISSRLPPPLSDGLMYSRIGTLKRRSFSGPITSRPLPNKPLASTPRLYSGPIPRNPVSKLPKVSSSSPTASPTFVSTPKISELHELPRPPPSSYAKSSRAFGYSAPLVSKSQLLSKPLISSSPSPLPTPPPAIIRSFSIPTSNLRAADLDISKTTPSPPLTPMSLTHPPPSALPELADHLTMSKQEDLKCKLFVALPKHAYRRRKTFPLVADM >A06p034340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18565405:18567959:1 gene:A06p034340.1_BraROA transcript:A06p034340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTAVSTYFSYGLLFAFGQLRDYSRLIFDWCSTNNLHGYAPICLAHEDFYIRRLYHRIQDCFNRPISSAPDSWVDVIERYSNDNNKTLKRTTKSTRCLNLGSYNYLGFGSFDEYCTPRVIESLKKFSASTCSSRVDAGQDRTISLLCFCILTSVSFLPPGTTSVHAELEECVAKYVGKPAAIVTGMGFATNSAIIPVLIGKGGLIISDSLNHTSIVNGARGSGATIRVFQHNTPAHLERVLKEQIAEGQPRTHRPWKKIIVVVEGIYSMEGEICQLPEIVSVCKKYKAYVYLDEAHSIGAIGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYHCPAHLYATSISTPSAQQIISAIKVILGEDGSNRGAQKLARIRENSNFFRAELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRENVAVVVVGFPATPLLLARARICISASHSREDLIKALKVISKAGDLTGIKYFPAAPKKQEEEKDRIKLD >A08p000070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:32038:33116:-1 gene:A08p000070.1_BraROA transcript:A08p000070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB72 [Source:Projected from Arabidopsis thaliana (AT1G56160) UniProtKB/TrEMBL;Acc:A0A178W4I7] MGKGRAPCCDKSKVKRGPWSPKEDLTLITFIQKHGHHNWRSLPKLAGLMRCGKSCRLRWINYLRPDVKRGNFSKEEEDAIIHFHQTLGNKWSKIASFLPGRTDNEIKNVWNTHLKKRLFPNSSSYSSISCPNDRPTEADQKKNYAIVQEERNSRDNESQDPPSSSHLHGKHMHTKPELHEVNELHEIQLLLDHDDFDDITSAFLQTTETLFPVQPLDSLLQTHTLTGFHNTGGATQEATEPQSFDHSQPEIPCGFEETNGEFDLWSQPSPNSEEFDEWLSFMDNQAYFDDFTLFGEVCL >A08p007630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5022118:5026066:1 gene:A08p007630.1_BraROA transcript:A08p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNGSQEMSVPVEGVAGGGTAYGFNDGGLNSQPLKQSTDPTEVPTSDLVHVWCMPNTLNVGSQETPRPLETINLLAARNERESFQIAMRPKVSWAGSTPSGSVQVQCTDLCSSAGDRLVVGQSLKLRRVVPVLGVPDALVPLDLPLTQLSLLPGETSVIWVSIDVPNGQPPGQYEGEITVSAMKTDGGCSDNLGKHEKDQLCMELKNCLDIMEPIEGKPMDEVVERIKCASSSLRRILFSPSFTEFISTNGSSDMMEEDVVSNLAVRIKLRLTVWEFIIPVTPSLPAVIGVSDTVIEDRFGVEHGSEKWYEKLDLHFKWLLQYRISPYFCKWGENMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYRQVIAGDDSREIYLRKEVEILRSKPHWNKAYFYLWDEPLNMDHFHNVRKMASEIYAYAPDARVLTTYYCGPGDAPLAPTPFESFVKVPNLLRPHTQIYCTSEWVLGNREDLVKDIVEGLQLENREEWWTYICLGPTDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEVRFRRGLPPGDGVLYYPGEVFSSSSEPVASLRLERLLSGLQDYEYLKLYESKYGREEAMGLLEKTGVYMGPERYTLEHRPIDVLRGEVYNACRPS >A08p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16454267:16456969:1 gene:A08p025570.1_BraROA transcript:A08p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVRALRRVTKKPLQWVRSVPQGKRSFSALPNYSASDADVQDQVSVEGKAKSRAAILDRPSSLNALSAPMVGRLKRLYESWEENPAISFVLMKGSGKTFCSGADVLPLYHSINEGNTEECKHFFGELYNFVYLQGTYLKPNIAIMDGVTMGCGGGISIPGMFRVATDKTVLAHPEVQIGFHPDAGASYYLSRLPGYLGEYLALTGQKLDGVEMIACGLATHFCLHSRLGMVEERIGKLLTDDPTVIEASLAQYSDLVYPDNTSVLHKIEMIDRYFGLDTVEEIIEAMENEVADSGNEWCKKTLKQVKEASPLSLKITLQSIREGRFQTLDQCLTREYRISLCGVSKTVSGDFCEGIRARLVDKDFAPKWDPPRLEDVTKDMVDCYFSPATDADDSESELKLPTAQREPYF >A02g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16340322:16346955:1 gene:A02g505400.1_BraROA transcript:A02g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAVAFKNGRVKIEAPVRLSHAKSWREGVVIHCKGYRLHPREPDAECTRAGDFIGMQQEKGRVGPLELSPLQLNPALLALNFFWMPPRNARQAQPATTAQRAARRAARAASQATSDNGSHAGDGVDENQVNGIAQEQYQVNGPAQGQDQGNGPAQGQGQAAMDAAAVEELRRYRDAYGGRLPQEGAAGGGIAPPLAVPACRDRTEAGTGAALPAPPPKKPATLPRVFVAGNNQGTETIAGMVKVGGVVAYTLFDTGATHSFVSQDLTKRWSFQGKYECRTTRVETAGPDEISAMGLSHQTPTKRSPARLERCRRHQPAEEAPPSTTARAAQVADRGCQAASFSILLPRARSGTETASFAVLDPDRQPTKKLNEIQTTEGLVGSMGNAAAVAFKNGRVKIEAPVRLSHAESWQEGIVIHCKGYRLHPREPDAECTRAGDFIGMQQEKGRVGPLELCRS >A01p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16608745:16610775:-1 gene:A01p036180.1_BraROA transcript:A01p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLAMADEIGSVNLSLPQFEGGLKMSKPIPPQLATKEMLEEMIAEVYTTQEDMMDDIYRRLDEIYYPIDSRIGWIHKFIENIEERLDQISDTVERQERQRTKGEKAIRSFGEVVRIWYGRCTDCTDPYVPGQSQKCITRPFEVGANLGRDQTNCAKIGCDQSMSGRRYALGINMASRRCFVEANNQWEFPCV >A08p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10123252:10124654:1 gene:A08p015430.1_BraROA transcript:A08p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGSVEQRNLLKRRLRLDGVYAECIKDCDKAFERDRKHRPGTEQLCGRWKKSRQVTAKNGWFCWLCCCSDTPSPLTCMCSLQSCDQSVGERGLIMKQRVCIGGKPVEGSVETELESSAMERRVCYLATKGSERMIFETCPSKNI >A02p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6364286:6365832:1 gene:A02p014400.1_BraROA transcript:A02p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTLATEPSSRNTLNPALLALTPRQVLISRPVMAKESKALSLKSEQKAILLRSVAQYLERCGFSKCFKKLLSEAEIEKKELNSALPDLEEVYGVFLSKSNLEAVEVKDESGDQKKEVGEVSAMEGVEKVKKEKKKKKKKVEVPEEEKVKETDAEVEDGVEEKKIKKKKNKEPKVEEVKETDGEIEDGVKEKKKKKKNKSKATEAETLLGDEEKVSKKRKRSEPEEETKEQTEDDDEDSKRRKKVAEDDMGVQETPVKQQNDVQENGNFETKSTDKKSGKGLSNSKEPKKPFQRVNVEEVVFTDDRLKDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGSYRGGEIDLQSHSVKFEYSDDE >A07p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10817407:10820350:-1 gene:A07p017890.1_BraROA transcript:A07p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGQDTNGIEFHQKRHGLLKDQVQLVKRRDSVRYEIVPIQDRLSFEKGFFAVIRACQLLSQKNDGIILVGVAGPSGAGKTVFTEKILNFLPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLKNLEDLKEGKQVEVPIYDFKSSSRVGYRTLDVPASRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQQPEEIIHQISETVYPMYKAFIEPDLQTAQIKIINKFNPFTGFQSPTYILKSRKDVSVDQIKAVLSEGYTENKEETYDIYLLPPGEDPESCQSHLRMRNKDGKYSLMFEEWVTDTPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFATEKVCVKIDWLEQLNRHYMQVQGKDRQLVQSTAEQLGLEGSFIPRTYIEQIQLEKLINEVMALPDDLKHKLSLDEDLVSSSSPKEALLRASADRVAMRNKNLKRGMSHSYSTQRDKNLSKLAGYSSSDRRYEERNHDSPANEGFMTQLSEQISSLNERMDEFTNRIEELNSKLSCNKNSPTQQSMTVQAEVCNGSAPTSYFISSLDNGCLTNSIMPHSSSSSQLAKDSPLMEEISTLSRGQRQVMHQLDNLCTLMRESSSAERSRLARTGSNNRSRSSKSFFLSNAESSSLLPLKLTALVALCSVGIVVIKSYINKRQ >A05p051090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29707940:29711460:1 gene:A05p051090.1_BraROA transcript:A05p051090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETSPAKLGRASSSSSSSSSSSSDRASVKIEEIEDGGSCSGVVVVNGSEVVETKLESAVTDDNAVAESSGGGSKSFARVWTMPVEGSSSSDKAESSSSASKPRLDKSKTERQQQKEKVTHILAEDAAKIFDDRLSAGKKLKLLNRIATVKHDGTVEFEVPADVIPQPIAVDREEDSKNGVCPDESIDGVDLQYIPPMQIVMLIVGTRGDVQPFVAIAKRLQDYGHRVRLATHANFKDFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIPVQRNQMKDIINSLLPACKEPDPDSGISFKADAIIANPPAYGHTHVAEALRIPIHVFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGTQSSGSNIPYGYMWSPHLVPKPKDWGPQIDVVGFCFLDLASNYEPPAELVEWLEAGDKPIYIGFGSLPVQEPETMTEIIVEALQRTKQRGIINKGWGGLGNCRVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPSPIPVDEFSLHKLEDAINFMLDDKVKSSAETLAKAMKDEDGVAGAVKAFFKHLPSMRQNVSDPIPEPSSFLSFRRCFGCS >A01g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16409104:16410112:-1 gene:A01g505510.1_BraROA transcript:A01g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIRWYSSSTHLKVGLLILDMYFCVFYKDLEDFWDDLHVSRLKYNALDDFQEVFQTTSISLVWTSWKSSGLPGNRLDFMEVFNHMVLIFHSFKGFSYLEDFWNDLLVSRLKSNALDDFQEVFHFSRLDILEVVWKSSGLPE >A09p079400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58461635:58465797:1 gene:A09p079400.1_BraROA transcript:A09p079400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKSSVRRDRLLEIEVAVRKWWEDEEVYRSESRKDLPKDGEKFFATFPFPYMNGYLHIGHAFSLSKVDFASAYHRLRGANVLLPFGFHCTGMPIKASADKLSREIQKFGNPPVFTAEESTKEVKEVEEESDNQALPGQFKGKKSKVAAKSGGQVYQWEIMRSFGLTDSEIARFQDPYEWLYYFPPLAVEDLRAYGLGCDWRRSFVTTDVNPFFDAFVRWQMRKLKAMGKIVKDNRYTVYSPFDGQPCADHDRASGEGVQPQEYTLVKMEVVKPFPVKLGPLEGKNVFLAAATLRPETLYGQTNAWVLPDGKYGAYEINETDVFVLTERAARNLAYQNFSKIPQKPSCLLELTGHDLIGLPLRSPLGVIEIIYTLPMLTILTNKGTGIVTCVPSDSPDDYMALHDLKAKPALRAKYGVQDEWMPTDIIPIINIPEFGDRTAEKICFDLKIKSQNDKEKLAEGKKLVYLKGFTEGTMIIGEFAGRKVQEVKPIIKTQLIESGEAILYSEPEKPVMSRSGDECVVALTDQWYLTYGESEWRSMAEECLSKMNLYSEETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHIFHEGDMYKGSKSLVSPQQMNDEVWEYLFCDGQYPKSSDIPSDLLSKMKQEFDYWYPLDLRVSGKDLIQNHLTFFIYNHTALMASRNWPRGIRCNGHIMLNSEKMSKSTGNFRTLKQAIEEFSATATRFSLADAGDGVDDANFVFETANAAILRLTKELTWMEEVLAEESSLRTGPPSTYADKVFENDMNIAIRLTEKAYKDCLFREALKNGFYDLQAARDEYRLSCGIGGMNHDLVLTFMDVQTRLIEPICPHYAEYVWRKLLKKEGCVVTAGWPASNEPDLVLKGANKYLQDSIVLMRKLLQKQLLGSKKAAKKAQVTAVAEEKLKGLVYVNEQFDGWRAHCLNILQSKFDQQTRSFAPDAEILAELREVLQKEGQAENFKQIQKLCMPFLKFKKDEAIAIGGQALNLKLPFGEMEVLQSNMDLIKRQLGLEEVEIYSASDPNDVAKAGPHASLLKQNPPSPGSPTAIFLNSSKEKRGGMFELQVNRLEDLAYAAVLLPKVLIPLELCRAETSSNESGLERGSLLQAPAQPPDLVSSGVWCFCEDVSIDLMIKMRTRAARK >A09g515270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45404614:45406497:-1 gene:A09g515270.1_BraROA transcript:A09g515270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRAFSSDPNRVLTSGSSKIGPFAGLDQHYARCYWEFSKARLRYKVGRIEQLETSDQAKARGANTIIPRKLVQVLTPSTASEGNLGPDPVHLLAIKEVKMELEKCSTVQKWPELWPKRFVSVKPQSVSADTKKSKKDTEKWSDIVSDVYLERLAVNWSSVRNVMDMNAGFGG >A09p072330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55512255:55512679:1 gene:A09p072330.1_BraROA transcript:A09p072330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EPIDERMAL PATTERNING FACTOR 1 [Source:Projected from Arabidopsis thaliana (AT2G20875) UniProtKB/Swiss-Prot;Acc:Q8S8I4] MKSLLLLVLFLSFFAGSIFASRHFPTHPYPSHNHHHVGMMGRKMKRQRRPDTVQVAGSRLPDCSHACGSCSPCRLVMVSFVCASIEEAETCPMAYKCMCKNKSYPVP >A06p009760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3483575:3485299:-1 gene:A06p009760.1_BraROA transcript:A06p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRMFCSLLLLSLLVRCTGDESLPEVTYSEQAPMDKREREALYSAIQGFVGDSWNGSDLYPDPCGGTPIQGVSCDMYTDLWYVTDLSLGLIHENSLPCSSSLEIRPELFELKHLRSLSFFNCFISPMVLAKDGWINFAANLDSLEFRSNPGLIGKLPETLGNLTNLKSLVVLENEFSEELPASICNLKSLKRMVLAGNTFTGMIPNCLQGLKKLLIMDLSRNSFSGTLPSSVGDLTSLLKLDLSNNFLEGKLPQELGLLKNLTLLDVRKNRFSGGLSNNIERMQSLTELVLSDNPMGGEMVGTKWEKMRNLVILDVSKMGLRGEIPTGLTNLKRLRFLGLNDNNLTGFVPSELEALPCIGSLYINGNNLRGELRFSRKFYEKMGRRFKAWKNPNLCQPFEIVMSESQKHLLPLGVKPCT >A08p037140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21689115:21690547:1 gene:A08p037140.1_BraROA transcript:A08p037140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAKLTRTQSSLLRSPSTTVRSSFQSLSVIASEVSHQRQDLEAGEKEEKQRRKPPKPFGSSPRTGLNRINPGLTFTMVSLSFLSLSSFIFFVVFSQTDELLTSENLLLALIFVAVALFFASKNIALLNQTILALKETTKVFGFHSKNRSEPVQWYIGDTETKPEKKIKPFVKEGVHFYSNGDFYEGEFHKGKCNGSGVYYYFVRGRYEGDWVDGRYDGHGIESWARGSRYKGQYRQGLRHGYGVYRFYTGDCYAGEWLNGQSHGFGVQSCADGSSYVGESRFGVKHGLGSYHFRNGDKYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQGYGAYSFRTGDAKSGEWDSGNLITFLHPTSEPVRRAVQAARETAKKAVTRRRVDEQVSRAVAAANKAATAARVAAVKAVQNQMDGNFCQS >A07p010310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6460016:6462205:1 gene:A07p010310.1_BraROA transcript:A07p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEATPKAVKDTMEVEGIALHHVKSHLQKFRLGRCNIRDETDQYHKRMFSSIALSFRFYVFFFGYRTGRRFFKAHAASNSPRPQVNIRPKVMGDVKPKKAKEDHGSLYMRIEHDLNLQRCREAERMQMAFEIEHNRKMLEAQYLQASKAPSITSQHRNYISTTTQRPSSQVLDQWLADHYSGRQTSDSQQPTTMIPQTTTCLPMFPQETKNTFSMYDPQHVNTSTQEKRQFNNSLNYNMTQGFINPYVTTEPQSMPGSSTVTTQPELQLNDDCLIHDLYRNPSFLPTSVPQTVDSLHQVISNITSPLSTAPQPIQTYAPNHTYNYPEYNTLERVKAQLSALQYSSTSRIQATPFCETNLSSSVTRDDEEDPVDMYIDWGKYEEVDIDELDPVEALLALGFSVSP >A04p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11436515:11437255:1 gene:A04p019150.1_BraROA transcript:A04p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLRGLPVGFRFRPTDCEISKYLLTKNVMEEQPMKIRNVRYVPEECHDIFSKHPRDLPGYPRETDLYFYCKKLSSEVTTNSHSIWKQIGEDTDVLDPKNNDALVGIKRPFTLVDHEEESDDILLSDEDEPSQYNWFMDEISLPLTVSETDWVLCHVFRKIKPEFESKEEEEEEESVFAKSLDLLRENDGNVLPPSHSPP >A03g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6120371:6123136:-1 gene:A03g501910.1_BraROA transcript:A03g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCGASSTVATLPFLVVRSPVTLQTGAQRVTLGGGSHQFSRASSLISFSRGSMLSAITADENLVSVLESEINCSVVKEVPGEDELPEGFPFRIGDITGDRVLHLIREFEDETIFVRIGDDEEKEEPNDHHAEGLIGIPMVISVTKQDDGPCLDFIAKAYVGEIVIEAVYVEEEPRKHTCPYQGPDFDDLDDNLQKAFHRFLEVRGIKPTFTEFVVDYMADKEGRERVQWLKDVKSFGKTGEVTKIFTHNSTIVIKDVSLTTKHMKSREEGEPGQILKIEALIHSSNAMLYSKEKEVVSRVGHKGP >A06g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4778711:4783192:1 gene:A06g501320.1_BraROA transcript:A06g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPYTSHHMPLAIRVGSTPANRSLLLLKAWSQQTRWNRSSHDLVRRAFFSTLTNSKPTVSCSAIVSSKPCLDNCLTVIAVPFRDGPLIPGFYMPLCVKDPKVLAALQESKSGETPYAGAFLLKDDKDPSTSSSVIHQVGTLAQILSIQGEQVIVIGRERLQITEMVGKDPLTVKTHHLKDKPYDKDDEVIRSTYFEVISMLRDVVKTTSHWTEQDNICNSNYRKLAEFLLQKRRRKLTDSGAGIFEAVDFNYQKLADFGAGISDAKKHKIQEVLEELDVHKRLNLTLELVKKQVEMNKIQLFHASLFPVTHATATFKKRIEPIKEKIPKHVLKVIEEQFAKIDRIIRNYGSTDDIFTYVDWLTALPWGKCSDDNFDVLRAEKILDEDHYGLRDVKERILEFIAVGTLTGNPQGKIICLSGPPGVGKTSIARSVARALDRKFSRLAVGGLSDSSHIKGDRGVYIGATPGKMVQCLKEVGTENPLVLLDEIDKLGKKRSRDPEGALLELLDPQQNSHFLDYFLDVTIDLSKVLFVCTANVTDMIPGPLLDRMEVITLAGYTTDEKMHIARDYLVKIVSGKCGIKPEQVDVSDTALLSLIENYCREAGVRNLQKQIEKIFRKIALKLVRQRAPAKTDLESSAEGSMEQSTAVAEKFMINESNLADYVGKPVFRGEKIYEQTPVGVVMGLAWTSMGGSTLYIETTFVEEGEGKGCLHITGHLGSVMKESAEIAHTVARRIMFDKDPKNLFFANSKLHLHVPEGATPKDGPSAGCTMITSLLSLAMKKPVRKDLAMTGEVTLTGRILPIGGLKEKTMAARRSQVKEIIFPEANRIDFEHLEESFKEGLDVHFVDEYEQIFELAFGYEQ >A07p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17421583:17425112:1 gene:A07p031590.1_BraROA transcript:A07p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HDG1 [Source:Projected from Arabidopsis thaliana (AT3G61150) UniProtKB/TrEMBL;Acc:A0A178V5Q4] MNFNGYLGDGSSRDRISDVPYSDNFSFSAAATMPHSRPFSSNGLSLGLQTNGVNGEAFETNVTRNKSRGGEDVESRSESDNAEAVSGDDLETGDKPPRKKKKRYHRHTAKQIQDLESVFKECAHPDEKQRLDLSRRLNLDPRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMSVREAMRNPMCSNCGGPAVLGEVSMEEQHLRIENSRLKDELDRVCALTGKFLGRSPSGSHHVPDSSLVLGVGVGSGGGFTLSSPSLPQASPRFEISNGTGLATVNRQSPVSDFDQRSRYLDLALAAMEELVKMAQRHEPLWIRSSETGFEMLNKEEYDTSFSRVVGPKQDGFVSEASKETGNVIINSLALVETLMDSERWAEMFPSMISRTSTTEIISSGMGGTRNGALHLMHAELQLLSPLVPVRQVSFLRFCKQHAEGVWAVVDVSIDSIREGSSSSCRRLPSGCLVQDMANGYSKVTWIEHTEYDENRIHRLYRPLLSCGLAFGAQRWMAALQRQCECLTILMSSTVSPSRSPTPISCSGRKSMLKLAKRMTDNFCGGVCASSLQKWSKLNVGNVDEDVRIMTRKSVNDPGEPPGIVLNAATSVWMPVSPKRLFDFLGNERLRSEWDILSNGGPMQEMAHIAKGHDHSNSVSLLRATERNGNGSGGCMEEGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCDSN >A10p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1959484:1961809:1 gene:A10p004020.1_BraROA transcript:A10p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEISSDLINELKISLRREAKLSSFDSSTTTLPTAPEAIAELDASPPYLRCRNCKGKLLRGIDSLICVFCGEQQRTSENPPDPIKFTSTHGYKWFLTSLDLDGSEMVEPLKETNGSRIGAKASVAKGVSVSEFLDFEVQWLAREEKASNNGPDDKNRLDLGGISLDDYFVEERGDFSKVDPVESKQEEEDDFKDPRSLSLFDGVKSQGVAESLQSGKDAQKNVSSGEHENLSLFAGRDARDSASVSDQGNFGFFEGKDAGDSIKEDENLSLFEEIDEKRTSPSKNTESFGFFEEKDAQRNSSSKEDESFGLFVSKDAERSSALKEDGNLGLFEGGEGQRNSSSKEDGDFGLFEGALSSNAGLKSFDDKVVASSSDWGTDFQTVSQEKSSGDPFVNSQVDLSAHMDSVFGSGKDLFYEKPADSSTAYVSKAGDWLQDDLFGGVTGKTQNNDQTVHEGLVMGGNGSSSMDIDWIGDDLWQTSEKKAVGKTPTDDNDGDNDWNDFASSVNSKTPSNLFSRTMESSQEEIFDGLAHVEHDINEQSKDEKQNTGTGVISDMAKGQEDDLFGAWDSFTPSNVSQTPVQPPTNHVNTSAEQNPEMNLFGENNHHRDLPFDYFPESKDQTNSEEVKDMPSGTLSVERTSDPDGKDQTLDLVGTTAISRKSKSDVGEELMSQMHDLSFMLETKLSVPPISKAE >A09p001250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:966361:967635:1 gene:A09p001250.1_BraROA transcript:A09p001250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQYKTVNEKDSTVKHKNIGQGGRNNYNPYVQTTTPTSASDKRSKDKILEVLNRCGKKVEQVTRKAESFADGLKDHLKFSPSLSDAARARLAQGTKILVEGGPYRVFQREFGLLSPEKLLDSFVCYISTTSGPVTGVLYILNKRIAFCSDYAIRSPSTGVPAYYKVMMELEKIRSINSSSNVLKPSERYVHVVTQDGFEFWFMGFVSYMDAFNCLNKARFNYHAS >SC179g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:603452:604679:-1 gene:SC179g500300.1_BraROA transcript:SC179g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLDMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGRRVYGRYGQCTASTDHLDHDDLNHL >A05p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24310843:24313783:1 gene:A05p039760.1_BraROA transcript:A05p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUT11 [Source:Projected from Arabidopsis thaliana (AT3G19280) UniProtKB/TrEMBL;Acc:A0A384K903] MGVFSNLRGPKIGSTHEGLPVANASSPSSLRRKVSSFLPICVAIVVLVEIASMGRLDNASLLDTLTGFFANSPSGKLSPIKPSGLKDGSGMERCEEWLEREDTMSYARNFSKDPIFIYGGDKDFESCSVDCAIGMKSNKTPDAAFGLSHQPGTLSILRSMESARYYLQNNLAQARRKGYDIVMTTSLSSDVPVGYFSWAEYDVMAPVQPKTEKALAAAFVSNCIAQNFRLQALEALMEANVTIDSYGTCHRNRNERVEKVEALKHYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGAPNIQEFAPSPDSILHIKQMTDIEPVAKRMKYLADNPDAYNMMLRWKHEGPSDSFKALVDMAAVHSSCRLCIFVATRIREQEEKSHEFKKRPCKCTRGSETVYHLFVRERGTFDMESIFLRDGDLTLEALESAVLTKFKSLRHEPIWKKERPASLRGDGVLRVHAMYPLGLTQRQALYNFKFGKNSSLSTHIQSNPCPKFEVVFV >A09p030620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18677019:18681216:-1 gene:A09p030620.1_BraROA transcript:A09p030620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTMLGSISCCPSPKGYEVAKQHSGRLKQCVFTVRACVPVCCEGVLRNKLHSFGGRGLVERRVFLDTSRRLYFRGRWSESSGRRVETYAGVDVASAVDVINDLGFDTLTFLMVTVIIVPAFRVLKASPILGFFFAGVVLNQFGFIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLAQVLLCTLAFTAFELPPNGAIGTRILEFLFHSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVVLPVLESQNLVGESILPMLAKESAKALGGLGILSLGGKFLLRRIFEVVAETRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMEVLFREWPNVLTLLAGLIVIKTLIITALGPRVGLTLQESVRIGFLLSQGGEFAFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPTLNQLGRKAADFIDEKIGNEENEDEEVNFDVSESIVIIGFGQMGQVLANFLSTPLVSGVDSDLVGWPYIGFDLNPAVVKESRKQGFPILYGDGSRPSVLQSAGVSSPKAIMIMYKGKKRTTEAVERLRLAFPATKIYSRAQDLPHLLELKKAGATDAILENAETSLQLGSKMLRGFGVMSDDVSFLSRVFRDSMEIQAQDEITAAETNAAAAAAAASQVPPLMKPMRMKASDTADLQLVKPEQVKASDSKVDSAEVLQETDDGLSQPETDNGFVGKADKAPE >A03p071180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31286942:31289102:-1 gene:A03p071180.1_BraROA transcript:A03p071180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPHQTVRQEESEEFCYSSPGKRVGSFSTSSSSAVVIEDGSDDDEPNRVRPNNPLITHQFFPEMESSVGDNGGGGTGPGFPRSHWFGEQFSQSDLATGSSVGKPATVGAVVEPTQPFKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIEDYDNDMKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDSSIYDEELNAESSGNPIQQDHNLDLSLGNSVNSKHKGQDMRLKMNQQDSLHSNEILGLGQTGMVNYIPNSVHQFPGSSNIHRGGGFSLFPVTENHQFEGRTTANQVLANAAASSGFSPHNHNQIFNSTPTSHQNWLQTNGFQPPLMRP >A09p079890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58666776:58667479:1 gene:A09p079890.1_BraROA transcript:A09p079890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNEIAKKKREAKADRKRAIHGDPLTNKLKTRAPVVAVSGKRQKKLLRKWRREQKEMVEKGLVSMEDVEMASADAGSEDSKKPTRKFSVKKTIKLNKLKNKGNKKKSQKAGGKEVSTDQMLE >A03p000330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:272212:273695:1 gene:A03p000330.1_BraROA transcript:A03p000330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01600) UniProtKB/Swiss-Prot;Acc:Q39101] MASKALSSFTAKPAVSLLPHGVSSSASPSVTSLSFSRHTGGRGVVVASSTVDTNNMPMTGVVFQPFEEVKKADLAIPITSNASLARQRYADSCEAAINEQINVEYNVSYVYHSMYAYFDRDNVALKGLAKFFKESSDEEREHAEKFMEYQNKRGGRVTLHPIVSPISDFEHAEKGDALYAMELALSLEKLTNEKLLNLHRVASENNDPQLADFVESEFLGEQIEAIKKISDFITQLRMVGKGHGTLSLALRPDASELDWEIHFMLWEIKREVNRRRLIL >A02g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16359262:16360334:1 gene:A02g505420.1_BraROA transcript:A02g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRSTTVSLSVFDAQADQLKQNILAIGVAKVIVATSINPKFVGGNIRCGKGRLYLDATSGIHFYFDHEVAASQRLFQELYSKPEKDTTSAKQYHGVKKLEKVSLGELNNYILESPPQVLEFLCKAKIASLETTNGWYRVELLVEAGDDKSLFVAFDSAMTKLTGIRAAEVVME >A05p023960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11613304:11616386:-1 gene:A05p023960.1_BraROA transcript:A05p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVAFAAAAVLVSCSVAAVMVGRRIKSRAKWRAVVEILKEMEEACRTPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVHRLPNGTEKGTYYALHLGGTYFRILRVHLGGERSYLDVQDVERHPIPSHLMNSTSEVLFNFLAFSMERFIEKEGKESNSQGVKRELAFTFSFPVKHSSISSGVLIKWTKGFEISEMVGKDVAECLQGALNRRGLDIHVAALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERTDAIIKSQGLLTTSGSVVVNMEWGNFWSSHLPRTSYDIDLDAESSNPNDMGFEKIIAGLYLGDIVRRVILRMSQVSDIFGPTSPMLSELYVLRTNSVSAMHEDDTPELQEVARILKDLGVSEVPLKVRKLVVKICDVVTRRAGRLAAAGIAGILKKIGRDGSGGITSGRSRSEMRMQKRTVVAVEGGLYMNYTMFREYMEEALVEILGEEVSQYVVVKAMEDGSSIGSALLVASLQS >A01g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26505029:26511264:1 gene:A01g509720.1_BraROA transcript:A01g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTVSCSASLSSASPFFRFFRHFPRSSTAALRGPARNLRRISSPSAAGRRVLLRRGLRVSSAAAGRGVNGQFSRLSVRAVATQPAPSYPDVSQDEAEKLGFEKVSEEFISECKSKATLFKHKKTGCEVMSVSNEDENKVFGIVLRTPPKDSTGIPHILEHSVLCGSRKYPVKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDVHTFQQEGWHYELNDPSEDISYKGVVFNEMKGVYSQPDNILGRIAQQAISPDNTYGVDSGGDPKDIPKLTFEEFQEFHRKYYHPSNARIWFYGDDDPVHRLRVLSEYLDMFEASPSRDTSKIETQKLFSEPIRIVEKYPAGRDGDLKKKNMVCVNWLLSEKPLDLQTQLALGFLDHLMLGTPASPLRKILLESGLGEALVSSGMSDELLQPQFSVGMKGVSQDNVQKVEELIMNTLKKLAEEGFDSDAVEASMNTIEFSLRENNTGSFPRGLSLMLQSIAKWIYDMDPFEPLKYTEPLKALKARIAEEGSKAVFSPLIEQFILNNSHRVTIEMQPDPEKASQEEAEEKSILEKVKAGMTEEDLAELARATEELRLKQETPDPPEALRCVPSLNLSDIPKEPTYVPTEVGDINGVKVLRHDLFTNDIVYAEVVFDMGSLKHELLPLVPLFCQSLMEMGTKDLSFVQLNQLIGRKTGGISVYPLTSSVRGKAEPCSKFVVRGKSMAGRAEDLFNLIDLAFLCLQKIYFSFMLYSPVRLCLLLDAPNVFFCLDCEYNHSDWKTGFVCRDIIQCNPDTETDSINRMNCLLQEVQFTDQQRFKQFVSQSIARMENRLRGSGHGIAAARMDAMLNVAGWMSEQMGGLSYLEFLHTLAKKVDEDWEGISSSLEEIRRSLLARNGCIVNMTADGKSLTNIEKSVEKFLNFLPETPSGGLVTWDGRLPLRNEAIVIPTQVNYVGKAGNIYSTGYELDGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDIYDGTGDFLRGLDVDQETLTKAIIGTIGDVDSYQLPDAKGYSSLMRHLLGVTDEERQRKREEILTTSLKDFKEFAEAIDVVREKGVAVAVASAEDIDAANQARSNFFEVKKAL >A06p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15495947:15497477:1 gene:A06p024400.1_BraROA transcript:A06p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPK3 [Source:Projected from Arabidopsis thaliana (AT3G45640) UniProtKB/TrEMBL;Acc:A0A384L050] MNNAGGQYPDFPAVQTHGGQFISYDIFGSLFEVTSKYRPPIVPIGRGAYGIVCSVLDSETNELVAMKKIANAFDNHMDAKRTLREIKLLRHLDHENIIAIRDVVPPPLRRQFSDVYIATELMDTDLHQIIRSNQGLSEEHCQYFLYQLLRGLKYIHSAKVIHRDLKPSNLLLNANCDLKICDFGLARPTSENEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLGFTHNEDAKRYIRQLPNFPRQPLAKLFSHVNPLAIDLVDRMLTFDPNKRITVEEALNHPYLAKLHDPNDEPICLKPFSFDFEQQPLDEEQIKEMIYREAIALNPTYA >A03p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4671023:4672661:-1 gene:A03p011670.1_BraROA transcript:A03p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPDTDSDNARRLQTEKAVTASLSSRSRTPQQQPSAQTSAPASAAENGRNSSAPRGGSKNARRRNSGGKGKAHEAAAAGVRGGYRNPNAKVGNFQNEYVYVPKKPSPSVVSSAKSVGEGSSTVKFSGDVLYRLYFKGLVSEESVAGKGKGKGNTADVAAGFGVAICDQRGGLLFELKGELIGRDTNPQGAEIKALTRGLTEASKLGIKHVVMFCDSYPIFQYVRGNWVPKQKKISMLMDDLSRIRQQFTFTNPVLVPGNEVKFAYKLARESIASKSNPRGVARRAKVAWKEECLICYNETDIERMFSVGKCPHRFCFHCSKQHVEVKLLHGTVPNCPHDGCNSEMAMDACRKIM >A01p046610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26248438:26248806:-1 gene:A01p046610.1_BraROA transcript:A01p046610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKRSRRDSKPEAEEVLSKKDSDVTETDKKPRQSLRDAAPLEPDAQERGSWRGPRDQSNRRARADDDGKSQHRKARTKDALGDRIGSMRVTTPKEHSLGNDRPSWRRN >A10g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4469963:4471231:1 gene:A10g501620.1_BraROA transcript:A10g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLREDQAPKVEASLSQSEPRKISMFLNKKFLQLLKSCEIFRVRQEKRLVKPYVILHSGAVSNWEMFDKDFKTFQRLPKVPSSDYCFFHSDKETVSVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSASNGKTAFFAGGIKMNENGNPVVVQTVEKYNADTKRWTMINGMHKARKFSSGCFLRGKFYVLGGRDDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPFIAVVDDNLYMLETSLNELRVYDINTNIWKKLGVVPVSANAAFGWGIAFKSMGDRLLVIGTSHSWHRKTVVHSCRPSPDVEEQHWEEIKHWCVGAELPQFIHNCCVMFA >A02p056920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34292777:34295238:-1 gene:A02p056920.1_BraROA transcript:A02p056920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 18 [Source:Projected from Arabidopsis thaliana (AT5G61070) UniProtKB/Swiss-Prot;Acc:Q8LRK8] MAGESRKRKVGLLYDERMCKHDTPDGDDHPECPNRIKAIWEMLQRTGLAQRCVVLGGSKAEDKHLQLVHTKEHVNLVKSLSTKKKDSRRNKIASKLDSIYLNGGSSEAAYLAAGSVVEVAEKVAEGELDCGFAIVRPPGHHAEADEAMGFCLFNNVAVAASYLLNQRPDLGVKKILIVDWDVHHGNGTQKMFWKDPRVLVFSVHRHDGGSFYPTGDDGDYDKVGEGAGEGFNINVPWEQGRRCGDEDYIAVWDHILIPVTKEFNPDLIFLSAGFDAAIGDPRGGCFVTPYGYSVMLKKVCVVFSVLIGLAWFFSPELLQYVISQLMEFAQGKIVMALEGGYNLGSVAKSSLACVQVLLEEKQIQDSFEEYPYESTCRVIEAVRKRLCAYWPSLADELPWEAKTPSSPRCIREAKLTILSIRYKKQAESLRASLEVALEEKAALQTKVVELQGEKLRLQDKYAAEAKEAAEQLTQVSSELREAKIDLDFQRELFKKAGEEKKLLEGRVLKLEAELQQEASDKLRLRISRDRYAAEVKEAAEHLAKVRSELRDVQIDLNLHRKLPKKAKKVM >A01g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27202960:27204985:1 gene:A01g509940.1_BraROA transcript:A01g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYHFLRPAIDFKGMMSGFRHAGVSKIGVGSITCYRQIRAYGLNGSAQASNRRLGLRITSRRTPKTAGNKLDRRETNEREPPSLTVDSSTPSPVFSGTHTSHRDNYLTGVSVGDCPRLGPALQKLQHQNLKCTEESSLEKKAISSLAGTPVHWHTTLEEVPSGVPTIIIAHEFYDALPVHQFQQFILKKQHEALQKQQGQSYAPNEEMDESLHVATSGRSHGHGEFEFSEIFSSHSEISSVFYETMRRSPFSLGVQQCVDPDRWDHCFHIVGLLLVIETLSTFLRALRLHLVEFQNKFYAHFSFVLTGNEDD >A02g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12344375:12345593:-1 gene:A02g503660.1_BraROA transcript:A02g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRPRVNQSLSSLSFSTSSPRLLQKLEFEENGGGLTNWTLFLVGGGLRTGASCSWDLEKICNWFAVKGPVRSSSAKLSLRLYHWQLRFLSVTEPALLGDGGDKGRTYRLSVLEPLLRDALAVNSDGRYLTTRGVDRHVHKCQGVHLRLGEIESCNYTRKVDKAEKLDEEKVAKGVSH >A01p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10630967:10634619:1 gene:A01p021810.1_BraROA transcript:A01p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSPTPDAVTAMDEELTLIVKWSGKEYTLRICADDSVAELKRRICVLTNVLPKRQKLLYPKVGNKLSDDSLLLSQIPLKPSLKMTMIGTTEDDIIVDQVTSDDVVDDFELGKEEVVDIEDKEINKQKLRRRIDQYKIKLVNPCRKGKKLLVLDIDYTLFDHRSTAENPLQLMRPYLHEFLTAAYAEYDIIIWSATSMKWVELKMGELGVLNNPNYKITALLDHLAMITVQSDSRGIFDCKPLGLIWALLPEFYNAQNTIMFDDLRRNFVMNPQNGLKIRPFRKAHVNRDKDDELVLLTRYLLAIAELDDLSSLDHSRWETFTEDSMIRADAPVMPLETPPRSSEVGEIDTRPPFQSVRDAVSLFRQVSFSKQQPPSLSSSSQDATDVPDKVTQLLLAEQEMNRVHLCLDSSVKAKARALSDLDSTQQKAADLRDKLETTKQSRKRAIQTKHTINQRLEKLQSQNQETESVRESYILATAELFMAKEKLVEIEQEFSISVEERLSELQRAEEAECSSMVNSQKINEMLEEIAEMRDTAERLNSDADKKKEEEAKIKEKSFDARETYAEMKREAEQRLEDLRSDCDPELRKDIDELAEISAENQSLQREIKLARELKEAKSAMQEICDEERSYKSLVISLTVELDGVQRENRDLKEKEKEREEVEEEEWVEERLKVEETMRVAERTRQEAEEMRMHVDELRREAAATHTVMGEAAKQLEIVRRAVKKAKTAEKRAVEDMTVLTEKKESLTHDDPDKKIRISLKEHEELRGKHEESERMVQYKAETVDAQLEEINESRVEGESMLEEKMKEMEEVKEATDTALRSAEIAEEAHCIVDAELRKWKPEEL >A02p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5184282:5189108:-1 gene:A02p011870.1_BraROA transcript:A02p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEARCKDKLLHFRIKELKDVLTQLGLSKQGKKQELVERILTVLSDGKSARLWSKRNTVAKEEVARLVDDTYRKIKVSGASDLASKAQVSSDTSNLKVKGEPEASFRPEMKVRCVCGSSLETESMIQCDDPRCHVWEHVGCVIVPEKPMDGNPPLPESFYCEVCRLTRADPFWVTVAHPLYPVRLTATNIPTDGSSAMQSAERTFQITRADKDLLAKQEYDVQAWCMLLNDKVLFRMQWPQHADLQINGMPVRAIYRPGSQLLGANGRDDGPIITPFVRDGINRISLSGGDSRSFCFGVRLVKRRTLQQVLNLIPEETKGETFEDALARVRRCIGGGGGDDNADSDSDIEVVADFFGVNLRCPMSGSRIKVAGRFLPCVHMGGFDLEWQCPICLKNYSVEHVIVDPYFNRITSKMMHCDEEVTEIEVKPDGSWRVKSKKESERRELGELTQWHAPDGTLCPSGDEIKRKMETMIPVKQEGFSDGGGPTSLKLGIRKNRNGVWEVSKPNNANGMSSSNRQEKNHHIIPMSSSATGSGRDGDDTSVNQGAAIGTTFDFGTNGVDLDSVSMNVDSGYNQAGEDRNNEVIVLSDSDEDNDLVITPGPAYSDSRTNGGVNFPLNPSGIINSYNEEPHTLAGGSSRLGLFNDDDEFDTRLWSFPSETPEASGFQLFASDADVDLHHQGSLNCGPEINGDYTMAPETTSMASIPPPVVPDGRAEAVANDGLVDNPLAFGRDDPSLQIFLPTKPDGSAQSGFRNQGDVSNGIRSDDWISLRLGDSVCEDHGEPANAREGSLDDTTENDSLLLGMNESRQDKAKKRRSDNPFSFPRQKRSVRPRMFLSIDSDSDE >A09p081530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59289605:59290091:1 gene:A09p081530.1_BraROA transcript:A09p081530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCVLYKSVTEEVSIWGWPLQTSGFFGQWAEGRFGYSIREANTSWGKTKCSTSVLQLDPNTWVLEYNLSSVVEGSTLVSLATDLLAHMMFQAAKNVNRELFWMFSASRNLIV >A06p006960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2396147:2398803:-1 gene:A06p006960.1_BraROA transcript:A06p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 65 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G09230) UniProtKB/Swiss-Prot;Acc:Q8RWV8] MAAHPTSEPVVNLPQVAEPLGVTLLVRHLPDGIPHDIVSRLFSQYGAYAVRPCSGGRLRNAAFVDFKNEAIASQAHRQLNGLRFLGKVLQVQRANKPSENKKPRLNEESVKDGQPFAFSTVSGSNNDSKSGEGLAGEPIAPKLGINYPFPPHLQYAYPPPDANILANITNALIAVPPLYTQVLHLMNKMNLPPPFRLALPTPPLPKPAQQPTELDHQSSSESEMESDEDTGASKARRKRARHETLVGPGIDKDVTHETVGVKPSSLTPKEMPRIRKNKHVMQIKITQKVVQEEHKEDIELEGPAEEEPREEASTLKPFASLEELENGRLPPQDILSLPMFKNYTAGNPSLVLYIKNLAKDVITDDFYYIFGSQFGSIEAAKSSLGVRLMQEGRMRGQAFLTFPSVEVAHRALNLVNGFVFKGKPMIIQFGRNPGSAKPNE >A07p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27167373:27171652:1 gene:A07p051100.1_BraROA transcript:A07p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGNGEDPYLFSSNNFVGRQTWEFDPKAGTAEERAAVEEARRHFLINRSRVKGCSDLVWRMQFLKEARFEQAIPPVKIDGNESITHEKATDALRRGVSFFSALQASDGHWPGEITGPLFFLPPLVFCLYITGHLEKVFDEEHRKEMLRHVYCHQNEDGGWGLHIEGRSIMFCTALNYICLRILGEGPNGGGENACKRARQWILDRGGVTYIPSWGKIWLSILGLYDWSGTNPMPPEIWLLPSFLPIHLAKTLCYCRMVYMPMSYLYGKRFVGPVTPLILQLRDELHLQPYEEINWNKTRRLYAKEDMYFPHPLVQDLVWDALYVLVEPVLTRWPLNKLVREKALRLAMKHIHYEDENSHYITIGCVEKVLCMLACWIEDPNGDYFKKHLARIPDYMWVAEDGMKMQSFGSQLWDTGFAVQAILASDLFDETGNVLKKGHDYIKKSQVRENPSGDFKSMYRHISKGAWTLSDRDHGWQVSDCTAEALKCCLLLSMMPAEVVGQGIDPEQIYDSVNLLLSFQSENGGMTAWEPVRAYEWIEFLNPTEFLANLVAEREYVECTSSVIQALILFKQLYPDHSTKEISRSIEKAVQFIENEQTPDGSWYGNWGVCFIYGTWFALAGLAAAGKTYKNSLAMRKGVEFLLRTQKDDGGWGESYLSCPEKIYIPSEGKRSNLVQTAWAVMGLIHAGQAERDPIPLHRAAKLIINSQMENGDFPQQEIVGVFMRNCLIHYATYRNTFPLWALAEYRKATFPTHQQLLQ >A09g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29053713:29054947:-1 gene:A09g510030.1_BraROA transcript:A09g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESSKQVATDRARAKAQSLCSDRAIIPLSRYVATELDQARSLRSDRAIVPLGRYVAIELGQARDRARAKAQSLRSDRALVSLGRYVATGLGPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNRKPVNHSMVHAWPTKKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPMLIPCCNAHTQIRNKIYFALFSISYFYRFSYFPYLNGNRQCEFRFPQFGARRRGTYGSI >A03p034790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14654565:14657136:-1 gene:A03p034790.1_BraROA transcript:A03p034790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin receptor RAD23c [Source:Projected from Arabidopsis thaliana (AT3G02540) UniProtKB/Swiss-Prot;Acc:Q84L31] MKIFVKTLKGTHFEIEVKPEDSVADVKKNIETVQGADVYPAAKLMLIHQGKVLKDETTIEENKVAENSFIVIMMAKSKTASAGASSASSGPTVQAKSMPTSSTQPPASVAMSQGDVYGQAASNLAAGSNLESTILQILDMGGGAWDRDTVVRALRAAFNNPERAVEYLYSGIPEQAEVPPVARAPASGGQPANPPAQAQQPAAAPATGPNANPLDLFPQGLPNVGANPGGGTLDFLRNSQQFQALRAMVQANPQVLQPMLQELGKQNPNLMRLIQEHQADFLRLINEPVEGGAEGANLFGEGMPQPQAIQVTPEERDAIERLEAMGFERALVLEVFFACNKNEELAANYLLDHMHEFEE >A08g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10988551:10992500:1 gene:A08g506440.1_BraROA transcript:A08g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKREGSHDHEPGQMHGKIKRSLSGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPLQVIQGETAIPGLHQVVSEPLEDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQQLVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A04p028740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17240071:17240992:-1 gene:A04p028740.1_BraROA transcript:A04p028740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSRLFPPLIESLSSIQKANTSKGCVVTNRNQNLRLKRVCTCVGAKERLASLPASTVSVARPALLIKPTVSVSAPVLGLPPIKRRKGGVRCSMEPKQGNVSSVGG >A04p004470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2244980:2251831:1 gene:A04p004470.1_BraROA transcript:A04p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNLIATSNAVRFFSSFSGKPNYFLSRRLSQTTHRGRVQTSCCYREMPLKAVKPVVMPETSDGIGIVRFLRGKSYFVTGATGFLGKVLIEKLLRASPEIGKIFILLKSKDEDSANKRLYDEIISSDLFKLLKHMHGSSYEAFMKNKLIPVIGDIGEENLGIDSETADKISDEIDVIINSAGRTTFDDRYDAALSVNALGPDRLLSFAKECKKLKLFLHVSTAFVTGKREGTVLETPLCIGKNITSELKIENEVKLASEAARKFHGSEKSKKLKELGYERAQSYGWEKAYTFTKAMGESIIYSKRGDMPVVIIRPSCIESSYKEPFPGWLQGIRMTAPLILGNGKDQIPDLLGDYQSSCDVIPVDMVANAIISVMAKHSCGNVPEVKVYNITSTSHAHPLRMGEIMDFSYQHLCDSPLTETTTKVLERMKFHSSLEEFTSSVSKAIRKQERAMKNGEEEAESHTTLSMKGKRKLKYFVSLAKTYQPYMFFQARFDETNTRSLRHELSMEERQMFDFDGSCIDWEHYFINIHLPGLKRELFRQRSMYFGYISGIEIMFGTSYVWVRIIPVTRYTIKQDPFRIYVGFGSVQIHFDWIRLLYVGPPNEADGEAILKIHLRTIPCSSAICLKELASVTKGYTGADISLTCRGAAIAALEVNFFFSFHQFNIFLSGKSRINLGVPGTLFNQSRES >A08p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12689000:12689826:1 gene:A08p018370.1_BraROA transcript:A08p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNIKIDSIIPFLGPKVFLFISFDVSPSPTSTRHIGINMESMNQPTSIGYDQSRRKRKKKQPSPAPSQSSVQLWRSEKQQQIYSTNIIQSLRELRISAAAADQSPSLPPRGGGIAVRDAAYRSLAVTARGRTLWSRAILSKAVKVKLKFRKQNRPRYSNPPPTITGNNRLRKKRATVLRLKAKGLPAVQRKVKFLSRLIPGCRKQPLPVVLEETTDYIVAMEMQIRALNAIISAVGSGSSGGD >A04p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19202576:19204767:1 gene:A04p032970.1_BraROA transcript:A04p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTSPARLMIVSDLDHTMVDHHDPENLSLLRFNSLWEHAYRHDSLLVFSTGRSPTLYKELRKEKPLLTPDVTIMSVGTEITYGNSMVPDHGWVDALNHKWDLGVVKEETGKFSELTLQAETEQRPHKVSFYVDKSKAQEVAKELSQRLEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKLKSEGKLPVNTLACGDSGNDAELFSIPDVYGVMVSNAQEELLKWHAENAKDNPKVIHAKERCAGGIIQAIGEFKLGPNLSPRDVSDFLECKVENVNPGHEVVKFFLFYERWRRGEVENSEAYAASLKASCQPGGVFVHPSGAEKSLIDTIGELRKHHGDKQGKKFRVWVDQVLATETAPGTWMVKLDKWEQSGDERIGCTTTVKFTAKEGEGLVWEHVEQTWSEESKLKDDSSWII >A06p041000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22113201:22113901:-1 gene:A06p041000.1_BraROA transcript:A06p041000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKSSQAASIKKILKRCSSSLGKNKNVTDCYYKQEDGLPQDVPKGHFPVYVGPNRSRYIIPISWLEHSEFQTLLRLAEEEYGFDHNMVGGLGDFFPNVNGQRDMLTSDLEKSGDN >A06p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5288968:5291421:-1 gene:A06p002170.1_BraROA transcript:A06p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWKSWIVAEKARRCLRTIFFVAAMTASLLASSLPVLITVADVVIPCLIVSSITCLTCHSAAEHLRQYSFKTSFIDVPLISLLRSLAIICLSCLCEDARLAYGPYLETVMSLSFGGFLLLLVKAFVFTMKPHMEGNVYNLKISWAMPVLLLSSALFGLAHVVIAYRKTCGARKKLMYHKIDQEAVLSSKSGFLGYKKAHRQSFTRSNCKILTFAGDFRQNSFRETTLDREEVIQPRLLANADSLFIKIQGLNVHYKKCSAVEVNARKVRQLDKQMSNLVVQTQSNHLHRSYTIQPDRSSLYDPLLATYPTTPISLFNKDDMNPGDDLERNENVGVVLVHGFGGGVFSWRHVMGELSLQLGCRVVAYDRPGWGLTSRLVQKDWEERNLPNPYKLESQVDLLLSFCTEMGFSSVVLVGHDDGGLLALKAAERVQASTFKCSVSIKGVVLINVSLSREVVPAFARILLHTSLRKKHLVRPLLRTEITQLVNRRAWCDTTKLTTDVLMLYKAPLCLEAWDEALNEISKLSYEMILSPQNASALLNSVGDLPVLVVAGTEDTLVPLKSSQALASKLANSRFVALSGCGHLPHEECPSTLVSALCSFISRLIPKVPNS >A09g504280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13195194:13201914:1 gene:A09g504280.1_BraROA transcript:A09g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLVEFETILSGQLDNNLLIDVVGQAIDIGENLSDVSPIKFAWSVLVKVLHTWISFSHQFGSSLEMVLTDINGVKIHASCKQELLQRVERQCGVGEWKVITNFTLRPASGLNRPTNHVYKMEFMEQTSITDGNLTCNNMFIHLHDFDNIKNGFCDERFLIDVIGEVLDFRGQNIVKFERKEVTKVEFNLRDIKNQRIQCCITGKSAEIFSEKVKQSNREWKVTNAFDSTLVLINPDIKEAKALRQKFQGDATTVEMCQHINEKIVIHEKRQKWSQYPFRTIQEMKYCDKGGNYRVICSVYAVDTINGWYYYACADCQNKVIKPTILFDEPEVPSWWCEFCQRIVTKVTPRYKLELLVQDQTGETKFTLLDSVATSIVKISAAKVVKGLLEKIVEIVGKSYGFGISVDENNNSSGLEKIEAMKVWGLKDILWKRTKSLHQNSTTSRKKQCTNVFKINESNSIDDSEKANAVHTPTAMSKLQSSSGRVLRDLTNLPMKKDLGGSLKRSTSIPPPQDVPCKKKTNTSNVPATKRINNRNKVFTTNTQAGIEVVSTSGYNSNKRKRNLNAYSGTVKVNTRMDISRTTIPLTSIFGRILGDLQNFSGSKVQNVYQSAAGHMSDRTYMHCENQLNTTKYPPSKRNKFYRDLRVNDQIAQRKTVLQSGTKQRNSVLRKDDARSTPKLMQTVVTGNNNSKVTYPSHVGYASNDNSFPEVPSEGRDDQFYDLSSQESDKLVDNSEPVKCLYRDVVSEKANIESMMSRIRKICESKGKTKKNSEFTTYHKNN >A02g512540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34024054:34024664:-1 gene:A02g512540.1_BraROA transcript:A02g512540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDPATEIRDSKRTKEYINMLSSVADSEYGIPTRCPCGGSIIHEVRGKDDYDTLSGKRFFTCKNYEADGFHYRQPWVIGVEEQIERLTKRLEEVINWVPEVNNHIQRLEAEVKDLTREVDNLTGQVYNLSVQVEVLEKLCFD >A03g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4213661:4214091:1 gene:A03g501430.1_BraROA transcript:A03g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQLQQELFFFLFRQVGRQQDTSGQEQQQPRKHEQLGQLSFGQQQLFEQHDPSQHLEPVQLQLVQLQHLGFLRWHEQLDRQQQKKLARLKHGIPQLQRVS >A06p019790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9674456:9675783:1 gene:A06p019790.1_BraROA transcript:A06p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OGG1 [Source:Projected from Arabidopsis thaliana (AT1G21710) UniProtKB/TrEMBL;Acc:A0A178WC14] MKRPRPPSPPSISAAAKPPLSPPPTPILKQKLQRTGAPKWLPLKLTQSELTLPLTFPTGQTFRWKQTGPVQYSGSIGPHLVSLRQRPGDDTVSYCLHRSATSPNAAELALLDFLNAEISLAELWSDFEMKDPRFGEVARHLRGARVLRQDPLECLIQFLCSSNNNIARITKMVDFVSSLGLYLGEVEGFEFHQFPSLERLSRVPEDELRKAGFGYRAKYITGTVSALQSKPGGGDEWLLSLRKLDLQDAIAALCTLPGVGPKVAACIALFSLDQHSAIPVDTHVWQIATRYLVPDLAGAKLTNKLCSRVAEAFVSKYGEYAGWAQTLLFIAELPAQKALLQSSQSIQLVKSAEKKK >A04p006110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3165183:3171497:-1 gene:A04p006110.1_BraROA transcript:A04p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQTFISYYIVLILVPFVWSSNTLTANQILSISSNLTMISPGNVFELGFFKLAGSNTKEDGERWYLGLWFYKASSKMNPLWVANRESPLYDSKGALKIYKSNLVIVNQSGNIIWSSSNAVVPSPPKTSSVVAELLSNGNFVLRYSGDNRGDSFLWESFDYPTDTLLPGSDLAKGVNRSLTSWISNNNPSTGIYALLSKNQEMFLLDAKSSPVYRRSFGLKTPYALGNGYYLQVTYDGLLQQFPTTREKTLIWHAPRDDCDRYGLTCGSNSVCSLEKVDVHEVAGCTCFKGFKPRNWWRWWLRSMTEGCQKISRLNCTGNGFQVLKNVKLPDTKDAGVERSIGPKECRQRCIEDCSCTGFANVELDCFLYSSDLVDLRTLQSGQQLYIKVATSYLDLLIDGDRTDSYEVVAARVQRIEFLTIAEATNEFCDSNILGKGGFGTVYKGKLVEGTDIAVKRLTRMSDSGTSQFLNEVNLISELQHFNVISLLGYCFHSDEHILVFEYHANRSLDLYIFGSTESSKLSWKIRHKIIKGIARGMTYLHHDSCVTIIHRDLKVSNILLDKDMNPKISDFGISRLVSRDHIQTNTNKVSGTRGYIAPECWSDKIFTKKTDVFSFGVVILEIISGKANYKFTNSKGETSLLTCMWRNWEEESLLEVVDPSIMDSSSSPLTMIQIVRYTQIGLLCIQNIPQDRPTMSSLVVMFESSTELPRPKPPVYFNSDTTYSTFEIGSTSMSTTMSSLVRTEYSTRHTYNVLPCVNVRNFNRASSV >A08g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21373589:21375178:-1 gene:A08g509930.1_BraROA transcript:A08g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYSRSEKGKWVSEASRTPTRKPSSQGRRAPILLPSSDNSELIEDNKLTLLGRNTLRSLEDHRRRQDSRRAPTSQMRNSGSLPRDDHAVSQRSTTSRPSYPERRPYHDYARHQSSYDPRAVGRAQDRRPTFERRELRDHSSTHSSREYHLHGDRPQYSQSSRTPPPNPAREPMELPVIPERGEIISHSSERRSALERIERPHQEPLRSGGLSTSLIARLQDVEVNYEQGDLRNKLNEGSAGSKQNQSPARDHPVSGQRVPATLRIGSPVGTKTKTNQASSSKKKATSKASTKKGTTAIPAAQGKRVTRAKVNRSPRQSTRLSKQMTARSTNPPRKKLCVER >A07p009800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6067753:6068341:1 gene:A07p009800.1_BraROA transcript:A07p009800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLIGHGTDGWAVQVTLDQSLIQTLEGIREARLDSYDRLARAGEEEHLCLGSKRCSIVINSGRTEAHTALFKAEVSEKELARLKEEAAANSLREKELAGKEACKAYRRGKREVADIAKNRYTEFSNEFGELSKTYKSISEYRDCCGAVGRLYLTPSPQEFLREGGG >A07p002340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3906288:3911705:1 gene:A07p002340.1_BraROA transcript:A07p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEDIKNETVDLEKIPIEEVFQQLKCTREGLTTQEGEERIQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKILDLANARPDLRKKVFGCMDKYAERGLRSLAVARQVVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSAALLGTDKDSNIASIPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGGYQAVMSVVFFWAIHKTDFFSDKFGVRSIRDNNDELMGAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLVATLIAVYADWTFAKVKGIGWGWAGVIWVYSIVTYFPQDLLKFAIRYILSGKAWTSLFDNRTAFTTKKDYGIGEREAQWAQAQRTLHGLQPKEEVNIFPEKGGYRELSEIAEQAKRRAEIARLRELHTLKGHVESVAKLKGLDIDTAGHHYTV >A02p035360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19320420:19321279:1 gene:A02p035360.1_BraROA transcript:A02p035360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLDWIISFLFLVTLLIIVIYQLTCLADLEADHINPYDSSTKINRVILPEFCLQGLLCVYYVLTGHWFMAILSLPHLFYNIRLYMKKEHLADVTEMHNTNKGEQKKRLYKIGHIALCTFITSYWLIHSALGDI >A09p069530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54159258:54172848:1 gene:A09p069530.1_BraROA transcript:A09p069530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSPTNPHFFQPLLPGFTKHLDIPVAFFLKHLDKRNKGKTAKLRSDTSETTWNVKLDGRRFSDGWEYFAVAHDLRVGDIVVFRHEGELAFHVTALGPSCCEIQYGEDSQEEDKSGELCDAMEEISRKKKRPKTEIDFSKDQSCFVITVTPSNLRRDTVYLPKAFAVVNCLMKKFEIVLVNEERESWKLNLRQDSYLGRFYMSNGWRSFCVANGKKPEDMFTFKMVQNETTPVLKLLPWNNEDLHNPEKVPKKKHQEIEADSSFVAIVTASNIRRDTMYLPKTFAASNGLKSKFKIDLMNEKGESWTIDLRHEPYSGRFLIRSGWRSFCAANGKKPGDMFNFKLIRNVETPVLKLFPLNLPKLEPSEDTRQGLEATEREFLGVETNRDDSRQEAIRKGKWLEANEITIKEENISTTENRFVTLTLTTSKLVSSTTKLLCVFLLFFFTCYGMKSKEQNLQNLPLEFTKGNGIKKAEKIKMIDRYGTTWSTSLLMNKKKRGEMKLGKGCKGFCEVNGVRMGESFVLELVWEDTVPMVLDLSDLEPAGCRQTRDLPSPSCNNGQDEMADTELERNKKAKKNNPETEVDSSISSDHSCFVALVTASNLHKDALDLPKEFASSNLLEKECRKIVLRDRWERSWAMDLAFNKSSDTFYIRQGWRSFCDENGKKAGSFFVFKLIGNRETPLLSLCSTESTSNKNNKESCVEHSTTPPIIKSRLMTLTIEHASFIKGSLHLPLRFMKKHGLDKPRLITLLGKDGTKWVANLRRESSGGRMRLGKGWKDFALANGLKVGDSFTFELVGKNNTPPMLSLIRTESTSDTRQPSSGNKTREGKKTIEERRDSSSAIKNQVVTLTLTPDDVRACQLILPSQFMKANGIYKLGKITLLGENGTRWLAFLLSKDGLLALGCGWKDFCEANGVKTGESFTLEVFAKLMTQLMCLSSFFETMAKSTLLHPQFFHTLVPGCGFHTHLIIPLDFFSKYIEGRSVDNTAHLKSGSSDRTWQVKMTGPILSDGWREFAVAHSLQAGHLILVRYEGDMVFHVTDCREIPSNNNKHSHPRTDVDDLPKKKKRAKTNSNETEADSSSLDNSCFVATVTAFNLLIDTLYLPQHFTSTNGLTRESHKIVLIDGEGRSWTLDLRFNASSDTFYMTRGWRSFCEENGQEAGGFFTFKLVGNGETLVLSFRPTESISSTMQRDSSQEEDTEWESDEDEPLMQTENKKKCNPKRRAVPYSSYSPCHKRFLTFTLPPDCFRIERLSLPKQFLRENGINKPGEICLLDKDGMKWPTSLLRDKKGIMSLGKGWKEFVKSNGLASGFTLKLIWEDTTPAFSLCCPESTSDKEQQEYFKHIKKQSLYIDPSNNGDNSSKDSSPSSHNPLRLPKQFVMESNMNKPGVIYLLGKDDTKWTTKLVKERDGRMKLGSGWTVFAEANGFKTGESVTLESIWEDGTPMIRFLRTGSNSSETKKNESVSTEVTEPKTSGSSSEIHDRFVTLTLLPEDVKAGVLIIPSQLLEANGVNKLGKITILGENKMELSGYLLSRGGTVALENGWGEFCEANGVKLGESFTLEFIKKPDKTTHVEGKRSRNLENMVNQHFFQPLLPGFHSHLTIPVAFCSKHVEGRKNEHMTTAKLRSDVSDDITWKVKIEDGRKLTDGWKEFALAHDLRVGDIVIFRQEKDMAFHVTMFGPSCCEIQYGSRLNKNKLNLSKIQNKKKVKKKNKKRYIKSSSLDPSCFVNNVRPSSLRDNRLTLPKRFVRENGLETRCGEIVLMNEKGRSWTLNLSRKDSCGTMYITGGWKSFCNASGLRTGSLFTFKLIKRGDSLVLLKSPSSTKPAEEDCLEAYEREPDSEEKSNQDKKPRLLWKASTSSPSQKKPRSLWKASTSSPSQNLFLTLTLKISDVKNSRLFIPVNFTRLHGINKETKMAMLDKNGVKWSTSLRSETSGGDRIRLVGGWKEFFKANCVEIGESIIVKLIWEGDKSCVLEFYSKVKQETK >A02p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2844995:2847185:1 gene:A02p006680.1_BraROA transcript:A02p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKPQRNNEWLLLLPQSSLQKPNRLLMAEETVHLPDSPYVNRSWRRISTRKLSFLYTEEKILPNYLKSTGSCHDVCKYGTQQHEPKDKPSKVSPLKRVNRSFSGTLSFDNSPLKKKKKALTKSVLNPSLGSGKRKKTVSHTFKTVVVSRRRELERVEHNKRVTALKLKSVAITAAMALRRSTVNRKKTNGGTKAEEPKKSVVPLRATMSLKKESNVCLADETCEDLAEEKTLYVIKMGTGNETVESDDQNQRCVVDSPIDYPKSQEEGECIVTETDDESSQEEEEQEEDENTPRQGKSKALSVNSKSMKLRFKRGKYSDVGSQDNTPRKLKFKRGKIITGVDTNTKSGGPRRLKTKGTNLSNDKEQEHKARSFKVVLKHQETQKKGDSRVLLFNKVIKKTAIKLVETRKSKVKALVGAFESVISLQEKEKLLSNSITLKIASLLPPQCRADVLYVSDPCEHLDQGEEGDDGFVPRDSQGVSDV >A09p013140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6709621:6710262:-1 gene:A09p013140.1_BraROA transcript:A09p013140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKIWLSRTLRKLFPSPLLTDSSTLATDISLLLVHPFQTTTTDFSPSTFSFCAYDSQGQEGTIPQNSSSTFPFAYLLILFHLHTPAHLDPPNGLFLVISHYPLLYFVFTELQTVTTSIFVFREMAIYVMPEEFSRIRVLDSKLSDQENLMELSSYDVVLPQPPYRFHRTVCFSLL >A03p062870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27297912:27298805:1 gene:A03p062870.1_BraROA transcript:A03p062870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAATGTTTAKRKPVFVKVEQLKPGTTGHTLTVKVVDANPVVPATRKARPGGSMGRPSQPSRIAECLIGDETGCILFTARNDQVDLMKPGETVILRNSRIDMFKGTMRLGVDKWGRIEATEPASFTVKEDNNLSLVEYELINVTDQ >A01p031260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13346333:13347379:1 gene:A01p031260.1_BraROA transcript:A01p031260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKQIYRKPISNLINYKKEGDDKKKLEKKKRVYRVSLTSHGVDETGGEAISDRESPSSLSHSCNIYSDAVVVNFGTSSPSRHRPCRVSPRCELSLSMQRINTLCLHRFDSAWCFYDMSSGLCFAQIRKKMGLFLLMFHLLGLMEKGSSTVCIFKWET >A08g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17103674:17109970:-1 gene:A08g508990.1_BraROA transcript:A08g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRMKNQNMGEIQANPGMNAHGSVKLMPLDWDPDAVFSEWSWAGCQQLVNINHRDKDWPSFASEIDDIKALCEYQNCLFCLLDTSGNAELQDDEWDESKVHEFYKRPMPKWFSDEAFTLDSKKYYVVPESKLHDNDLLQLLMEVAFYSKSDRVKNVVVETFEDEPRDKLMANNAIFYLSYKCCADACSTPLAGDHLSIGFDLDYNYDPPTLVRSTWGKNYDIGLYGRIGLQCRNLHKGTNLKFKRLEKHSIKLTGYVNFYITLEATDPATGSLCSFQTLFIDFGSCLSLGARITWVTFASRIKQIPNEPVDDEWEEEDTPGINEFYKGPLPKWFSDEALERDTKKYYVVPESGCITMTGFNFSWKSPSSPKQNVHCLDAYLPLELKNVVVETFEDYTTEPSEKLKADNAIFYISYKCCSDPFLRLGGPHFLTGDHRAIVRKIMDGKPGHMRLEVALTREQENK >A01g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12141539:12142059:-1 gene:A01g504000.1_BraROA transcript:A01g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQDEGKTKAKRGRPFKNLNISEASTASSSSTAKKTHKKKNVANGRLARQRRLAIRRSKRDKLQRPGKLKTYKYFAKLPTEFNKNN >A04p001380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:668106:671869:-1 gene:A04p001380.1_BraROA transcript:A04p001380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIQETVKTVGSLRFCGSESVETSKRDMVMDDKIYVAVTGRDLESKSSLVWAIQNSGGREFCIVYVQKLRLCRKEKERAHKSIDKYLNICRQMQVSAEKICIEMGSVEEGILHLISNREVKKLVMGAAADRHYSMRMRDLQSKKSIYIHREAPATCHIWFTCKGYLICSREARRRDHLYLEWASLDSSSQSETTKGTQSVPSSSMVKDDDRIQVSDAIKMAKQSENVYINELKCKKETEKALSKTKEELEKMIRKLQYKYSLSMEALRRLRQEQEELKIELREVSKLKSNRKNHGPPQYFICPITQDVMEDPHVAADGFTYEGEAISSWFERGHETSPMTNKSLPHTSLGARENNMEEEEEAAEERIYVAVGSETAKNKSNLTWAIDNSEGRKICIVLVHQPAQMIPVLGTKFHAATVDEELVRAYREKEKAKADKILDEYLRICLQKRVHAEKLCVEMDSIEKGIVQMISQNRVKKFVMGAAADKHYSTKMVDLRSRKAIFVCQQASVTCHIRFVCKGHLINTREPIINEGEEEEERISRTSSCRSASTSSYYGGSEASSSIDEASSSIDEVSSIITEETISNHSPPPSLPCSGMGLGMITFLINSTKLLHRLAIQNQKQPE >A05p010890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4615220:4617867:1 gene:A05p010890.1_BraROA transcript:A05p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVVKARQIFDSRGNPTVEVDVHTSTGVKVTAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVGNVNNIIGPALIGKDPTQQTAIDNFMVHELDGTQNEWGWCKQKLGANAILAVSLAVCKAGAVVSGIPLYKHIANLAGNPKIVLPVPAFNEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSSDKTYDLNFKEENNNGSQKISGDALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAECGDNVQIVGDDLLVTNPKRVAKAIAEKSCNALLLKLLRIEEELGSEAVYAGANFRKPVEPY >A07p049760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26556611:26557682:-1 gene:A07p049760.1_BraROA transcript:A07p049760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGKEDLDKLVLDYIEPPVTVQPVTVPPATVPNHMEKSSTALVTLQEILRTKGEKEKEMEEKVNSFIIRRLSYEGDDEKRDVMKKIVSKLRSDGYNDVSIYRTSWDSSFDRREGCSRMFKCKRKYEYIEVMVATGRGGDDRSKMKRLIIDLDFKSQFELAKQTEVYKDVTQMLPTVFVATEERLKRVVSLVSGEMTESMKKEGMSRPPWRTTRYMLAKWLPEKRVSSSKKGSWSMFDDDGGEAVETTSGIGFKTTCGFQLS >A08p044640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24637377:24641036:-1 gene:A08p044640.1_BraROA transcript:A08p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKARVLLKPSFLHRATTVFSSLSPSRPISNQMETKPSPDPERYCYDPVLRWDPQVEDYFNKAYGPDHFAQISKALTRPSSYSCIRVNTVKTTSDAVIEMLTKILNESDDGLKLLQSDGRSSPISKCQIPGLEYVVFVHGSGPHRIEYGSGLESPPKEVLVSRKCAEAVLRGAQVYVPGVLACTAHVEKGDAVAVCVAMEQPGDEGDWSVNMTRGTTLQGLPSGKNPNHPFYCERSGLYIGMGTTMLSRAGMFRVPHGIAVDLSNRVFRLPSFHNVLEGEIFLQNLPSIVAAHALDPQKGERILDMCAAPGGKTTAIAILMNDEGVIVAADRSHNKVLDIQKLSAEMGLSCITTCKLDALKSVRLPNALSDSTTLVNGDNIGSVTNHSELSSNEEMASGRSEAEENASTEHPSGGDNVSQAEIRKNKGRLKNGRGRTQSQGGRAGKSQGFPPNSFDRVLLDAPCSALGLRPRLFAGLETVISLRNHGRYQRKMLDQAVQLVRVGGILVYSTCTINPSENEAVVRYALDKYKFLSLAPQHPRIGGPGLVGRCEFPDGYVEEWLKPGEEEMVQKFDPSSELDTIGFFIAKFSVGPKD >A06p047290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25138194:25138983:-1 gene:A06p047290.1_BraROA transcript:A06p047290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEYGGGEYYRRGHVPAFGTWDWNDAVPFTQCFETATTTQQQPTFLQYAPYPQDRDLYLAGGDLYDNHHLVAPAVILVPRRRAKVGQEPKRASSKEQHNFKTDARESDAPTSCPTPVVKRRTKPVDEDLYRISPTLLSVKSTKKRGGGFGCISRCFLPTRVL >A01p008680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4198133:4199701:-1 gene:A01p008680.1_BraROA transcript:A01p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAFPFPYLQDDECSHFLGLFHDMDSPPSDFGFEGFGNDNTKKRPRKEDEEEEAGAVNGGSSNKPAFGDILATLLLLDEEAKHQQEQWDFESNREKSLFEANHREKVRAMDGYYNQLQGHYNTDVTPPKRARRSAVAAVVAAVASGEEATAAPVQATDIASGSGTSHRRLWVKERTTDWWDRVSSPDFPEEEFRREFRMSKSTFNLICDELDATVTKKNTMLRDAIPAPKRVGVCVWRLATGAPLRHVSERFGLGISTCHKLVIEVCRAIYDVLMPKYLRWPSDSEIRSTKEKFESVHKIPDVVGSIYTTHIPIIAPKVHVAAYFNKRHTERNQKTSYSITVQGVVNAEGIFTDVCIGNPGSLTDDQILEKSSLARQRAARGMLRDGWVVGNAGFPLTDWLLVPYARQNLTWTQHGFNENIGGIQKIAVEAFERLKGRWACLQKRTEVKLQDLPYVLGACCVLHNICEMRNEEMAPEIKFDVFDDVTVPENNIRSATASNKRDQISHNLLHRGLAGTRTL >A05p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2747843:2750407:1 gene:A05p006800.1_BraROA transcript:A05p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDLCIGREDKLKSSSSWRRDICFLPTAVDFSTERLKHRENLQSRNKKMEILTSIAIVAATTIFIVLSFTIYLTLKIFAGKSINNKEYAPVHATIFDLFFHRDDLYDYETEIARNKPTFRFLGLGKSEILTADPRNVEHILKTRFDNYTKGQNNRENLGDLLGHGIFAVDGEKWKQQRKLASFEFSARVLRDFSCSVFRANAVKVVGFVSEFGLSQKSFDAQDMLMRCTLDSIFKVGFGVELKCLDGFSKEGEEFMEAFDEGNDATSLRFIDPLWKMKWFLNIGSQARLKKSIATIDKFVYRLITTKRKELANEQNTAVREDILSRFLVESEKDPEKMNDKYLRDIILSFMIAGKDTTAASLSWFLYMLCKNPLVQEKIVQEIRDVTSSHERTTDVNGFVESIDEEALDQMQYLHAALSETLRLYPAVPVDTRCAENDDVLPDGYRVKRGDNVYYISYAMGRMSYIWGEDAEEFKPERWLKDGVFQPESPFKFISFHAGPRICLGKDFAYRQMKIVSMALLHFFRFKMADEKSNVSYKRMLTLHIEGGLHLHAIPRTIT >A10p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16826118:16830544:1 gene:A10p026550.1_BraROA transcript:A10p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNL2 [Source:Projected from Arabidopsis thaliana (AT5G19610) UniProtKB/Swiss-Prot;Acc:F4K2K3] MERAVVKAKRKELGISCMLNTEVGAVLAVIRRPISESYLSPQETDHCDSSVQQSLKSLRALIFNPQQEWRTIDPSVYLSPFLEVIQSDEIPASATAVALSSILKILKIEIFDEKSPGAKDAMNSIVSGITSCRLEKTDSISEDAVMMRILQVLTGVMKHPASELLEDQAVCTIVNTCFQVVQQSAGRGDLLQRNGRYTMHELIQIIFSRLPDFEVRGEDGGEDSESDTDEIDMNSGYGIRCCIDIFHFLCSLLNVVEVVENSEGTSVHTADEDVQIFALVLINSAIELSGDAIGQHPKLLRMVQDDLFHHLIHYGASSSPLVLSMISSCILNIYHFLRKFVRLQLEAFFSFVLLKVTAFTGFLQLQEVALEGLINFCRQPAFIVEAYVNYDCDPICRNVFEETGKVLCRHTFPTSGPLTSMQIQAFEGLVILIHNIADNMDREEDEGGEEEDSNTIKPSPVEINEYIPFWIEKPKEDFETWVEHIRVRKAQKRKLAIAANHFNRDEKKGLEYLKYNHLVSDPPDPMALASFFRFTPGLDKTMIGDYLGDPDELHLSVLKSFTQTFEFTGMNLDTALRTFLESFRLPGESQKIERMIEAFSERFYNQQSSEIFASKDTVHILCYSLIMLNTDQHNPQVKKKMTEDEFIRNNRAINAGKDLPREYLSELFQSISTNAFALSTHSGPVEMNPNRWIELMNRTRTTQPLSMCQFDRRIGRDMFATIAGPSIAAVSAFFEHSDDDEVLHECVDALISIARVAQYGLEDILDELIASFCKFTTLLNPYTTPEETLFAFSHDMKPRMATLAVFTLANSFGDSIRGGWRNIVDCLLKLRKLQLLPQSAIEFETTEEEALSESDLNMLASQENKFNRRQGSSLMGRFSHFLALDSVEESVALGMSEFEQNLKVIKQCRIGQIFSKSSVLPDVAVLNLGRSLIYAAAGKGQKFSTAIEEEETVKFCWDLIIAVALSNIHRFNMFWPSYHEYLLNVANFPLFSPIPFVEKGIPGLFKVCIKILAANLQDQLPEELIFRSITIMWKIDKEIIDTCYDTITEFVSRIITDHSASLQTQIGWKSVLQLLSLCGRHPETKEQAVDALIGLMSTNASHLSQSSYAYCIDCSFSFVALRNSAVEKNLTILDLMTESVTMLIQWYKTASTETVNNFSVASNTSSSSSVEENSLRGVNFVHHLFLKLSEAFRKTTLARREEIRNRAVTSLERSFTMAHEDLGFTPSGCIYCIDHVIFPTIDDLHEKLLDYSRRESAEREMRSMEGTLKIAMKMLKNVFLHYLEQIVGSAEFRTFWLGVLRRMDTCMKADLGEYGDNKLQEVVPELLTTMIGTMKEKEILVQKEDDDLWEITYIQIQWIAPSLKDELFPDEDM >A09p072890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55753137:55754291:1 gene:A09p072890.1_BraROA transcript:A09p072890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIKEREMEIPVIDFSELDGENRIKTMSLLDHACDKWGFFMVDNHGVDKELMEKVKKMINSHYEDHLKEKFYKSEMVKALSEGKTSDADWESSFFIWHKPTSNICKIPNISEELIKTMDEYVSQLHKFAERLSNLMCENLGLSQEYITNAFSGPEGPAFGTKVAKYPECPRPELMRGLREHTDAGGIILLLQDDQVPGLEFLKDGKWVPIPPSKNNTIFVNTGDQLEILSNGRYKSAVHRVMTVKDGCRLSIATFYNPAGDAVISPAPELLYPSGYQFQDYLKLYSTTKFGDKGSRFHTMKKRENGDSV >A04p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2946295:2947517:-1 gene:A04p005560.1_BraROA transcript:A04p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTEAQCLQLIQRSNVTSGIRARLQYQDHRLKFSFGSVREARSSNQRIKQARRKIRSRLETYLVYLCVLFALKNLQDRSVALVDK >A01p056300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30698727:30700020:1 gene:A01p056300.1_BraROA transcript:A01p056300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIARRILRSHRFLRPFISSSVCSPPFRAPEYHSQSVASPFLVHSLSLMKWCGGGGSRSWFSNEAMAIDSNAAGGFIDVPLAQTGEGIAECELLKWEILWKSFRHSVKFRAIKQFIEITSRFKGKVALISHAPGDIIKVGETLVKLAVEDAQDALLVSSDSSKSKTDNLVGALSTPSVRNLAKDLGIDINAVTGSGKDGRVLKEDVLRFGGQKGNITDSVTRGDSVSTTASNFEDQIVPLRGFNRAMVKTMTMGHKSTTFSFR >A06g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11645081:11645806:-1 gene:A06g503720.1_BraROA transcript:A06g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDVGNVQSCSPYGELSHPVSPGRRMASWDVTFPAHRMASWLRRNISRKLFGVDTVVIDFDPNSPQGSSRRLTISPLGRYQFLFASPSRRQVETSSSSPCRLAVKARLAPLLLAIRAIPTPLRLTIRATPVPSRSHGHSCSPLGRAQLLHCVVFRISIEMSFILSQSEFTLRFC >A06p048630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25742756:25744223:-1 gene:A06p048630.1_BraROA transcript:A06p048630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKGGVKKGPWTPEEDIILVTYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNKANQDSHQDFDQSRDLSSSPSSSSANSNSNISRGQWERRLQTDIHLAKKALSEALSPAVAPIITSTFATTATTSSSAESRRSTSSACRFLRTQETSTAYASSTENIAKLLKGWVKNSPKIPNSSDLMVSPDSETKDVIKSDVEDCAGAFQSFSGFDHLKDCDSSYHQAGVSPDHETKPDITGCSNQSQWSLFEKWLFEDSGGPIGDIVLDENPNFF >A04g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7196143:7197789:-1 gene:A04g503260.1_BraROA transcript:A04g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSCLERSIRKEKRIDTTSTSSIDTCDRATINTSTRASMYTNPRADNMVATLVLTRNGNVDLHDHASPGGPYSEDGIYDGTSPGNSAEDEYILNLRLAFSYKELNRKVEALDAHVMMLDTQVFETAETVKKQEVLVKGKVEETKRHQERKESRVIQNSPIDTCILPSHIELKRTTSIDINTMESIDNNRRAPINSSRRAAIDTLP >A08p000110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:42435:43158:-1 gene:A08p000110.1_BraROA transcript:A08p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSEVGTSHKEQNETEVASSSKGFWLFLPVVSLILVLRLSSGVAAAEQRSLRPGSGEEPGGEDSVCQAEVELMDRWLTTILENRGWERKICFSGSYSVREALLQAKSLYLPKFCLRPDIQVMMNALTSKLLKTSHLRLLLYLLFLFLGARSMNSTNDAIAKSALCNHNYVSHA >A01p041180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22006208:22006958:1 gene:A01p041180.1_BraROA transcript:A01p041180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit 10-like protein [Source:Projected from Arabidopsis thaliana (AT1G61700) UniProtKB/Swiss-Prot;Acc:Q9SYA6] MIIPVRCFTCGKVIGNKWDTYLDLHQADYTEGDALDAIGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSDAN >A02p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17878267:17878641:-1 gene:A02p033550.1_BraROA transcript:A02p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSNVKFVSAFVSQELSNAIFRRGYAATAAQSSSGKGRAVVSAVMKKGVEESNKKISWIPDPKTGYYRPETGSNEIDPAELRAALLNKKQ >A02g513110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35994869:35995684:1 gene:A02g513110.1_BraROA transcript:A02g513110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRNGFSKHQRAEKVCGQGGPNWILIAGGALLSTLSIRFGYKLKQSPLFKPPHHSNASPGFKANGTSERQRCCCLHSSTTSSCAKNNGYSCFRSVPGTLFFPYVSDTELQSSSLVHLIEVSRRALTVGRDRIMQLHKVICCFFCLPLLEMMKVDKAEKLDGGEGGKRGESLVARTLGV >A05p049710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28885017:28887660:1 gene:A05p049710.1_BraROA transcript:A05p049710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRLSSLLRWRKLAKSDWLVASIGFVLFVFFLSFFFDPTSDSVPSVDRSPPIASPPDLVKLTLSSKAKERGAFCLDGSLPGYHFHKGSGSGSKSWLLHLEGGGWCSSIASCSARAMTMLGSSTYFEDEVDFQGVLSSDPSLNPEFFNWNRVKIRYCDGASFAGRPEAEFKNGTRLFFRGQLIWETIMDELLSMGMSDAKQGILTGCSAGGLATLIHCDYFRDHLPKDAAVKCVSDGGFFLNVPDVLGHPTMRSFYHNVVNLQGVEKSLDHNCVAKPEPSTCMFPQKFLNNIRTPVFLVNPAYDFWQIQHVLVPASPNLDKSWAKCRLNIKECDAAQMKVLHGFRSSMMDAIGKFHQNKAGGMFIDSCYSHCQTLMSATWHSPTSTRIENKTIAESVGDWYFNRKPVKLIDCPYPCNPSCYNLNFT >A01p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5014545:5015972:-1 gene:A01p010290.1_BraROA transcript:A01p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-1a [Source:Projected from Arabidopsis thaliana (AT4G17750) UniProtKB/Swiss-Prot;Acc:P41151] MDGGFRKVDPDRWEFANEGFLRGQKHLLKTISRRKSTHGHGTSSSNTQSHQGHMASLSSCVEVGKFGLEEEVEQLKRDKNVLMQELVKLRQQQQSTDSKLQTMVKSLQTMEQRQQQIMSFLAKAVQNPTFLSQFIQKQTDSNNMHVTEASKKRRLTEDGTTAASDGQIVKYQPIRSDSTMSMMWNMMNTDEKFPFSPNRDSGVTFQEVLLPTTSEQSQAYAPIPSASTSLMPMIPPMPQESISDSPTENYMNAEKDVSEAFISPSPFLDGGSVPNQLEGLPQDLDIDELMSNCDIFEEYLAQSPVFGDETTLESSDANGGHVDKLIEELGHLTSETKQL >A02p047070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29456029:29473048:-1 gene:A02p047070.1_BraROA transcript:A02p047070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHSVESSGEGGGEINVSDWSPFDTLTEDCISNIVSFTSPRDACVFTSVSKTFKSAVKSDIIWEKFLPLEYPSMIPPSLASSSKMEIYFYLCNDPVLIEDGKKCVWLENTSGKRCIMLSAESLYIKWINDTHCWDWITSPGSRFERVAKVNDVCWFEIRGTINTHELSPRTHYSSYIVFKEGFTDLPIEARVGVVGKQASKRFFCFDVSTDGQFLKKGRRTWYFEKPKEREDGWREIELGRFFNKGGLMNSDEFEMSAIATELRHWKSGFILQGIEIRPATIQRPRTRLILDEFGQIFYSLNFQMKKEYKTDASMGQTQGVDSKGKGKEGQSTALTLKAKDKEVSGSLMGQNQSVGEIITGPSPFDDLPEDCISNIVAFTSPRDACVSSSVSKTFESAVRSDSVWEKFLPLDYTSLAPASRAFSSKRELYFALCDHFLIDDDKKSFWLEKGSGKKCVMLAARALWITWGSSPEYWQWISMPESRFEEVAELRNVCAFEMGGTMNTQVLSPGTHYSAYFVYKIRNQRHGFRDLPIQVGVGFKGQDMPKNFICFDLSNDVNKQWPRKELMKSEKREDGWIEAEIGDFFNEEGCDEIEVSIVDITSGNWKCGVIIQGIEFRPRDCRTQTRLIQTQGMGQKNSVEYRVEGKGDIVTGPSPFDDLPVECISNIISFTSPRDACVVASVSKTFGSAVQSDSIWEKFLPLGYASMIPQPRAFSTKELYFALCDHFLIEDGKNSFWLDKASGKKCVMVSAKELAITWGNSPQHWQWISIPESRFEKVAELLSVWWFEIRGKMNTRLIFLGTRYSAYIVFKTVNKCPGLADLPVEVGVGLVGQEIPKQLIYFDGYMDKDAKKERGEMRDVMKPKKREDGWMEAELGEFFNEEGCNEIELSVIEIKSPYWKCGLIIQGIEFRPTTSRFCWCFGSSMGQKLDFGSGGKIGQERVSVSSPFDNLPDDCIANIISLTSPRDACIAASVSKTFKSTSEFNSVWEKFLPPEYSSLILGSQVFTLKKELYLALCNDPVLIEDGQKSFWLEKASGKRCIMLSARQLAITWGNSPQYWQWISIPEARFKKVPELLDVCAFEIRGWMNTRVLSPRTHYSAYVVYKTRTGCHGFRDLPIQVGIGFVGEKSTKRFICFDESTDRIKLWGRRELKKSKERQDGWIEAEIGDLFNEFGCEKIELSIIDITSPYWKRGLIIQGIEFRPRNVLFFAFCFAPLVSTTFGSASAVTSGSVREKFLPQEYSIFSSMKKRFPARCDDYVLIEDRKMSLCLDKARGTRTIMLSGKELDLTFSTFWRLISDPDSRFEKVPQLVTGIPFKIYGVLKPKLLSPDTQYSAYVVYKAKDQIQDVQKSFGVGVIVHETPEGAKWERLELTKLEKREDGWVEAKFGEFLNDGGFMDDRDEIWFRISEIKYSYWTPGFIIQGIEFRPVKKIPDAGDLMHATMPSPFDSLPVDCISTIISFTSPRDACVAASVSKTFESAVKSDTVWEKFLPSEYSSLIPQYSRVFLSKKELYFALCDDTVLIEDGKKSFWLEKASGKRCIMLSLKESSITWGTSPQYWRWISIPESRFEKIAELLNVCWFDIGDGMHTRYLSPRTHYSVYIVFKTKNGCPNLGDSPVDVGVGLVGQEPSQKLIYFIGPPRRGRGRGRRRETETRDVTRPEAREDGWMEAELGEFYNDSCCDDISFSIIKTNTPHWKSGLIVQGIEFRPAKI >A09p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20474574:20478926:1 gene:A09p033890.1_BraROA transcript:A09p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEQVLPLLTKVKDLTNPSPASSSSSCETREEAEDLCLPISSNEEGEEEENSPIREVALTVPTTDDPSLPVLTFRMWVLGTLSCILLSFLNQFFWYRREPLSISAISAQIAVVPLGRLMAAKISDRVFLQGSKWEFTLNPGPFNVKEHVLITIFANAGAGSVYAIHVVTVVKAFYMKNITFFVSFVVIVTTQVLGFGWAGIFRKYLVEPAEMWWPANLVQVSLFRALHEKEERTKGGLTRTQFFVIAFVCSFAYYVFPGYLFQMLTSLSWVCWFFPTSVMAQQIGSGLHGLGVGAIGLDWSTISSYLGSPLASPWFATANVGVGFVLAIYVVVPICYWLDVFKAKTFPLYSSSLFTSEGSKYNITSIIDSKFHLDLQAYEREGPLYLSTFFAISYGIGFAALSATIVHVSLFHGREIWDQSKQSFKEKKIDIHTRLMRRYKAVPEWWFWCILAINISVTIFACEYYIDQLQLPWWGVLLACSVAIIFTLPIGILTAITNQAPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMKQAVLFLQDFKLGHYMKIPPRNMFMAQIVGTLLSCLVYLVTAWWLMETIPNICDSISNSVWTCPSDKVFYDASVIWGLIGPRRIFGDLGLYKSVNWFFLVGAIAPVLVWLASRMFPRQQWIKLINMPVLISAISSMPPATAVNYTTWLLAGFLSGFVVFRYRPHLWQRYNYVLSGALDAGLAFMGVLLYMCLGLENVSLDWWGNELDGCPLASCPTAANIIVDGCPLYT >A01p049260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27722716:27727931:-1 gene:A01p049260.1_BraROA transcript:A01p049260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHKLEQESGFYFNIKYFEEKALAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRAKAVEILAKDLKVFATFNEELYKEITQLLTLENFRENEQLSKYGDTRSARSIMYTELKKLIEANPLFREKLAFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFLDHSCNAANGGARAITPVNLPVAAVARPSNFVPLGVHGGPFQPSAAPAPNPNALAGWMANPHPSSSVPSGVVSASPFQLQQPNQVNVLKHPRAPSNSLGLMDYQNADHEQLMKRLRSAQTSNEVTYPAHPPHPSASLDDLPRNVVSTMRQGSVVMSMDFHPAHHTLLAVGCSSGEVSLWEVGSKEKIVTQPFKIWNMAACTGIFQSTIVKEPSISVTRVAWSPDGNLMGVSFTKHLIHVYAYQGSELRQHLEIDAHVGCVNDLAFAHPNKQMCVVTCGDDKLIKVWDLSGKKLYTFEGHDAQVYSICPHQKENIQFIFSTALDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGALKRTYQGFRKKSNGVVQFDTTRNRYLAVGEDNQVKFWDMDNTNLLTTVDAEGGLPNLPRLRFNKEGNLLAVTTADNGFKILANADGLRTLRAYEARSYEASKASIDMKVSTSAMVSSMSPAAVGKVEHMDTDSPARPTPIHNGIEAMSRTMEKPRHLESVDKKPLELTEIVDPTQCRQVTMPDSKDSVSKVARLLYTNSGVGVLALGANGVQRLWKWSRNEQNPTGKATASVTPQHWQPNSGLLMANDVPENPETAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSSIHIYNVRVDEVKTKLKGHQKHITGLAFSTALNTLVSSGADAQLFFWTADSWEKKKSSVIQLPPGKAPVGDTRVQFHNDQIHLLVSHETQLAIYDASKMECIQKWVPQEALSSPITSASYSCNSQLVYASFTDGNIAVFDAESLRLRCRIAPSAYMPQPTPNSAPIIPQVITAHPQEPNQLAVGLSDGSVKVIEPSEASRRWGVGVAVTADKSGTENGRPSSSSAANNSSSDHQIQR >A07p018520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11133924:11136855:-1 gene:A07p018520.1_BraROA transcript:A07p018520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.5 [Source:Projected from Arabidopsis thaliana (AT1G27040) UniProtKB/Swiss-Prot;Acc:Q8VYE4] MGEIEKMEVEMQGEDSKWEGYADWRNRAAVKGRHGGMLAASFVLAVEVLENLAFLANASNLVTYLTEFMHFSLSRAASEVSAFMGTAFLLALLGGFFSDAFLSTFIVFIISAFIEFMGLILLTIQARRPSLMPPPCKSSPASPCEPVHGSKAALLFVGLYLAALGIGGIKGSLPSHGAEQFDESDPKGRKQRSTFFNYFVFCLSCGALVAVTFVVWLEDNVGWEWGFGVSTISIFLSILVFLSGSRFYKNRIPRGSPLTTILKVLVAASVMGCSSKSSSNAVENFSMTPSNHLVGEDQSEGAKRDSQSLTNSLRCLNRAVEGKTVHRLLECTVQQVEDVKIVLKMLPIFGCTIMLNCCLAQLSTFSVRQAASMNRKIGNLVVPAASLPVFPVVFMLILAPVYDHLIIPFARRVTKSEMGVSHLQRIGVGLVLSILAMAVAALVELKRKRVASEAGLLDSKQALPISFLWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWASLAMGFYLNSAMVVIVNKMTGSGGRTPWLGEKLGINRYRLDLFYWLLCILSVVNFLHYLFWAMRYKYISTGARR >A05p021250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10097406:10099151:-1 gene:A05p021250.1_BraROA transcript:A05p021250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G53290) UniProtKB/TrEMBL;Acc:W8Q798] MQSPRKLFHARPSLATRRSTALIVLTSLAIGIAGFTFGLAAILFPALRLTGRNCLTNAPPKTVRVVWDVAGNRNGAGGGDGRRHKVMGFVGIQTGFGSTGRRQALRKTWMPSDPEGLRRLEESTGLAIRFVIGKTKNEQKMAELRKEIAEYDDFVQLDIEEGYSKLPYKTLAFFKAAYALYDAEFYVKADDDIYLRPDRLSLLLAKERTHSQTYLGCLKKGPVFTDPKLKWYEPLSHLLGKEYFLHAYGPIYALSSDVVASLVALKNNRQDLFRMFNNEDVTIGAWMLAMNVNHENHHILCEPECSPSSVAVWDIPKCSGLCNPEKRMLELHNQESCSKSPTLPSDDE >A06p051820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27441324:27442525:-1 gene:A06p051820.1_BraROA transcript:A06p051820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATTQPQQAPPVAEELNLDYVKRQTQSLQEAISRILEDFEAYSQTNTTPKWKDILGRYKMINLDLFILVEEVKQVSKALVVFPKNVNAENASILPVMLASKLLPEMETDNNVKTDQLLQDVQSLPVPMQIETLKERIGRIAEACENAGKVLADARKAYGLAPQRGGPSMLPTTMDKAQAAKIREQENMLRAAVNEGEGLRLPPEQRQITTALPPHMVDALFVNDAVFNSSGMMQTHQSQSQQPEQHQQQQQGGYGSK >A01p039770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14152943:14156402:1 gene:A01p039770.1_BraROA transcript:A01p039770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NSP-INTERACTING KINASE 3 [Source:Projected from Arabidopsis thaliana (AT1G60800) UniProtKB/Swiss-Prot;Acc:Q93ZS4] MVGARLVFWRLGFLVLVWFHDVTTATLSPTGVNYEVTALVAIKSELNDPYNVLENWDVNSVDPCSWRMVTCTDGYVSTLGLPSQSLSGTLSPRIGNLTYLQSVLLQNNAISGPIPDTIGRLEKLQTLDLSNNSFTGEIPTSLGELNNLNYLRLNNNSLSGTCPQSLSKIEGLTLVDISYNNLSGSLPKVSARTFKVIGNALICGPKASANNCSAVLPEPLTLPQDVPSDQSGTHSNGHHVAVAFAASFSAAFFVIFTSGMFLWWRYRRTKQIFFDVNAEQYDLEVSLGHLKRYTFKELRSATNHFHSKNILGRGGYGIVYKGHLSDGSLVAVKRLKDCNIAGGEVQFQTEVETISLALHRNLLRLRGFCSSNNERILVYPYMPNGSVASRLKDHIRGEPALDWSRRKKIAVGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRSSHQKGVMLDWVKKLHQEGKLKQLIDKDLNDKYDRVELEEIVQVALLCTQFNPSNRPKMSEVMKMLEGDGLADRWEASQSGGAKSLPPPLPSGMVSSSPRVRYYSDYIQESSLVVEAIELSGPR >A02p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7210929:7212421:-1 gene:A02p016160.1_BraROA transcript:A02p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SVR8 [Source:Projected from Arabidopsis thaliana (AT5G54600) UniProtKB/TrEMBL;Acc:A0A178U954] MATMMTSLQSSFTSLSISSNSNSSFLGQRLLSPLSLSAASPVKPAQNPCLVYAKLKRWERKKCKPNSLPILHKMHVKFGDTVKVISGRDKGKIGEVTKIFTHNSTIVIKDVNLKTKHMKSREEGEPGQILKIEAPIHSSNVMLYSKEKEVVSRVGHKVLEDGQKVRYLIKTGELIDTIEKWKQLKEAKDKETTQVAAASAS >A09p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:541104:542777:1 gene:A09p000890.1_BraROA transcript:A09p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSPKPLQFFVRLLNGKSLTLAFPSPLAYGDEIKHRIFDHTKIPIHLQRLIHGGHQISDGSAVSQSDTTVNLVLSLRGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWKEGEEERRLEKLAHEFLKKQASRVKEGVGNGDTQKYVKKYQEESDKCIEAVDLALEESFKNGKRKVYAESEKSKRLKIWKGKRAVGDSDSDDSSDEEEDEESVVLNNGCQVVLDKGTGESSGSVMDGGKASSGSGSEEEKDVVVNQSSDVPKGEMTGVQVVNEEKMDDSSVTVVDGVVQTEKENTVEAASEALVSSVPAENQGNDSEVKTVGAAGDTESVDAAMCCKPEEPLNFDDFNSAKDMEVMGMERLKTELQSRGLKCGGTLQERAARLFLLKSTPIDKLPKKLLAKK >A06p012430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5694996:5696267:1 gene:A06p012430.1_BraROA transcript:A06p012430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRERACCGLHLPLGDTTMAAATMSWDDGKHMRVKRVQLTYEDVIKSIEAVYDDDQNPKRHGTPGKKSDGVSLSPDEYITDVTGYYKTTGNEDAIAALAFRTNKTEYGPFGNKTGNQFSIQAPKDNQVAGFQGTSSNVLNSIDVHFAPIPSASAGGQPAGSASSAKKLEAKGGNAGNPWDDGAHDGVKKVYVGQGESGVTYVKFVYEKDSKEVPGNDHGKKTLLAPEEFVLDPNEYITAVEINYDNIFGTESEIITMLKFMTNKRTSPPFGLEGAKSVLLKEDGHKVVGFHGKAGADILHQVGVHVKPISK >A03p071240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31348709:31349458:1 gene:A03p071240.1_BraROA transcript:A03p071240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGCWCAGGDGTGPGPTHVGVLSGLFSWSSGSRVFFLVSPLTPVTFCESHSLSLRFSKVARDVPALVSVVAESLLCLASIPVPVKDFLSLEPSRLVCGTEVRSVWCTGMVATVASFLLGWEAVSNAVWCCVQAESWWREQGFMLLRRRLENSRHPRQQGEPHLLGIFVNG >A04g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9481793:9482204:1 gene:A04g504580.1_BraROA transcript:A04g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLTSPGDENASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A08p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2138954:2141961:-1 gene:A08p003760.1_BraROA transcript:A08p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVAFASAAVVAACSVAAVMVRRRVKSRRKWRTVVEILKEFEEGCDAPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVHDLPNGTEKGTYYALHLGGTYFRILRVHLGGERSYLDVQDVERHPIPSHLMNSTSEVVLFNFLAFSLERFIQKEGNESNSQGVKRELAFTFSFPVKHTCISSGVLIKWTKGFEISEMVGKDIAGCLQGALNRRGLDIHVAALVNDTVGALSLGYYHDPDTVVAVVFGTGSNACYLERTDAIIKCQGLLTTSGSMVVNMEWGNFWSSHLPRTAYDIDLDAESSNPNDMGFEKMIAGLYLGDIVRRVILRMSQESDIFGPSSSVFSQPYVLRTNSVSAMHEDDTPELQEVARILKDLGVSDVPLKVRKLMVKICDVVTRRAGRLAAAGIAGILKKIGRDGGGGITSGRSRGEMQMQRRTVVGVEGGLYMKYTMFREYMEEALVEILGEEVSQYVVVKAMEDGSSIGSALLVASSLQS >A03g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23988984:23992720:1 gene:A03g506680.1_BraROA transcript:A03g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHASCIFLFLFSFLTTSFRATAESPYFFHHLCRNTTRYSSNSIYSTNLKTLRSSLSSTNASYSTGFQNATAGQAPDTVTGLFLCRGDVSPEVCRSCVSFSVKDIVAKCPNQREATIYYDECMLRYSDQDVSSNLTLADDFLMYNDYKTAPKDVDLFKKVASTTMMEAAFEAVNSSRYFCTRKAKWIDFKDLYVLVQCTPDLTRDDCLFCLLQSINGLNFESIGSRHLSPSCNSRYEVYKFYNETEVKSPPPLASSTTPRPNAGKRGNSNVLAIAILVAIIMTLLLSIAGYCFLEKRKKKTSDNAPTFYGDDITTGDSLQLDYRTIQAATNDYSENNKIGQGGFGEVYKGTFLNGTEVAVKRLSKSSGQGETEFKNEVVVVAKLQHRNLVRLMGFSLEREERILVYEYVPNKSLDYFLFEHDFVNILNFGEDFSGYMSPEYVIHGQFSMKSDVYSFGVLVLEIITGRKNSSFYERDGAHNLVTYAWRLWTNKSELDLVDPVIVDNCQMSEVVRCIHIGLLCVQEDPIERPTFSTILMMLISNNVILPVPQQPGFVTQTRRKKDLPDSNQSAMTKSGIGSVGDASGH >A09g518650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56854972:56857312:1 gene:A09g518650.1_BraROA transcript:A09g518650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTCGWSHFVDFLKIIADLAVAHSLSFCSLAQRRVDKTKTRLSPTKGRLNCKASSFRISLPSLYTELVLMSLIHLFFFFAGLVSLQAAAAAATSFSPGSRSILRDIGTKDISDHKDNAVELNATNFDSVFQDTPAKFAVLEFFAHWCPACRNYKPHYEKVARLFNGPEAVHPGTVLMTRVDCAVKMNVKLCDKFSIKRYPMLFWGPPSKFVGGSWEPKQEKSEILVVEEWRTADLLLGWINKQLGSSYGLDDQKVGNDHLLPNISDHEQISQAVFDIEEATEEAFDIILSLKAIKSSETGASFIRFLQLLVPHHPSKRCRKGSAEILMNFDDLCPAGECSYDSGLRIMDFDAFTFREDRGWRKPVCIHNPL >A04g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1537088:1537885:-1 gene:A04g500490.1_BraROA transcript:A04g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPKSESPIFTPDELTEADGFVFGFPTRYGMMAAQFKVFLDTTGGLRRTQSLAGKPAGSSTAVALKVVAKKPLHTVVNDISSPLKDQSAHVYIICDGGNIEGFATKMTEPQPKYSITEKQYGWDRKNLRSQGWHHVVLQLVMAS >A10p019970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13598487:13600647:1 gene:A10p019970.1_BraROA transcript:A10p019970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKSNKPKIVNGPGGYILQDVPHLVDYLPDLPTYPNPLQDNPAYSVVKQYFVDADDSVPEKIVVHKDGPRGVHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSYMYGVKRILGIDGGYRGFYAKNTIPLNSKVVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESNENGIGFVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLLEFIEKRIKENGHMVIVLAEGAGQELMSKSMESNTDASGNKLLKDVGLWLSQSIKDYFKKINMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYTSGLVNGRQTYIPYYRITEKQNNVVITDRMWARLLSSTNQPSFLGPKDIPEEKKEMPEKPTLDGENCDGVVDIPPVTKEITK >A03p004140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1776163:1778621:1 gene:A03p004140.1_BraROA transcript:A03p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRILSSLLRSSQGRSTSKSSLLGSRNPRLPSPGPARRLAPLLGRVAEYSTSSPAAPPSSSSPAKDEAAKKTYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A02g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21775587:21786491:-1 gene:A02g507860.1_BraROA transcript:A02g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHFMLSWLKGIRSDQSASREEAAEKRKPRRSMQHSARRSMEIPDQRRSPSIDNNTSSSIDTRQPQSTETPSSSADTRPPPSTEEILLSTDIFHPTSIDASSQTSIDTEPRDMVVNIILLRDENGDLHDHEGHLCNAESQKVDAKGAVIPEPSTATEDAKVLQPRTMAELIRPSQIYTNRSAVQPPIDSIHEVSLVEQAICQDEDQRNIEEMKFMLEKLLKEQQEMTEDLNLHLDSLYKEVNGRLETLDTHSKFLCLWEARTGVDRHQHLNIARHHRLSSHSDFAARHPHPPTLVRIRPNDVDRQQAERIDRQHHERIDRQEHGSIDRKEQQRIDRFPYTPYRVRLPNLDAHRLNATQNSSQTSVCLGTTEQISQQTEDATEKEHSTLAETSLVEIDQLQRDGYEHRVKSRKPFIPKHLRREVNKVELDGFHKRVKRVPKDMSFEDAYYKYRLGNFFRESRETYEDTEQLFNKVCRKPKRTLQKEQDPGKFLIPCFIQNHDLPNALCDTGSAVSIMSIDTADLLGLKMEPSQDSFIFVDNSNANSAGMIGNIKVDIGDCTIPVDFHVLEIKSGKPSSLLFGRAFMATVGAVCDQKKNMMCLTNIDEGVYYDPVDKTRSKDFISCIELSDDEAHTADSTREPAKSKSASIDNQPSASVDKQPSESIDPKLPASVDTLHISEQTVTEKSKSGGRTRQRKKKKRKKNIDADFLSLVPSQFQEGSLECRVRCRGGHEPFTKLIGKYLKQISLHKNRRVLHQHRPTLNCVDQYSNQWHIFRIGTRVDDCLEYRPIRACSGTFNMVILESFGAFRGAELHRRVRCLAMDGDLPTIFELAFQCHRSQVNQHLLAEVMPVLLKSGQSASREEASEKRKPRRSMQHSARRSMEIPDRGPCIFYDFVKPRSHHKLPECPWTTRNPIYDESEQDLVAKTIKA >A01p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8883949:8886107:-1 gene:A01p018300.1_BraROA transcript:A01p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaminyl-peptide cyclotransferase [Source:Projected from Arabidopsis thaliana (AT4G25720) UniProtKB/Swiss-Prot;Acc:Q84WV9] MATRSSSSYKKRPTKQRSMPPHLPTPASRQFVTRRRILMTIPLALFSAAIFLFFMPFNGSGRSSDSSLDLLSRINEIEVVAEFPHDPNAFTQGLLYAGDDTLFESTGLYGQSSVRKVSLQTGKVDVIEKMGDKYFGEGLTLLGESLFQVAWLTTTGFTYDLSNLTKVKSFKHHMKDGWGLATDGKVLFGSDGTSTLYRMDPRTMEVTDKHVIKYNGIEVRYLNELEYINNEVWANVWQSDCIARISPKDGSLLGWILLPELRQGLLHSGHGGIDVLNGIAWDSDKKRLFVTGKLWPKLYEIKLKPAAAKSERQIARQCLV >A09g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18378821:18379296:1 gene:A09g505990.1_BraROA transcript:A09g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRPIIPDNACILCITAAAGTELADAYSPDTVIASSLGKEESGPCLSPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYGVLAAVSSCCSPPKGRFLRVTHPSATGNTTSRPTCMC >A09p065210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52236582:52238675:1 gene:A09p065210.1_BraROA transcript:A09p065210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 13 [Source:Projected from Arabidopsis thaliana (AT3G59690) UniProtKB/TrEMBL;Acc:Q9M199] MGKKGSWFSAIKRVFTPHSKEKQLSNNQEQERKSNNKKEKKKKSLGKKLRDGETNSFLPIFRQPSSIEKILCEAEREHNLVFRPPTPTDRANSIPSPHIRPASPKVSSQRYVSSPRPVSPRVASPRALSPKPPSPRAASPRIVQRREYVRRPEPTLLVKKASATKIQAAFRGYMARRSFRALKGLVRLQGVVRGHSVKRQTMNAMKYMQLLVRVQTQVQSRRIQMLEHRARNEKDDPKLASSLALWKNSPRSAQDIRTNGVPLWWNWVDRENNQTQPFRLTPTRPSPSPQAHSSNKNHSRLNNSFDVSTPNSSKSAFLTPSRPIHTPQPSRYSRGGGRGTQDSPFKDDDSLTSCPPFSAPSYMAPTVSAKAKLRANSNPKESVDGTTPEKRRSSFPLGSFKWNKGSLFMSNNNKGSPSSGAVVLEKHKTLKSVGNMSIDSTVSMPATIGKRSFNRFA >A04p040790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23020671:23021990:1 gene:A04p040790.1_BraROA transcript:A04p040790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETYEETMETSQSPRPAPKLNERILSTLSRRSVAAHPWHDLEIGPEAPLVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEMDDKIIAVCADDPEYKHFTDIKQLAPHRLSEIRRFFEDYKKNEHKEVAVNDFLPSEKAHEAIQYSMDLYAEYILHTLRR >A01g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17567367:17568062:-1 gene:A01g505920.1_BraROA transcript:A01g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEEIQALVVERVARNSFQDLYGLKASSKSMKALAKRRGGNPSTIYIKGVQFYFTFSLQEEGLTLMMCTADAGYERDVYTHAITQAIFLCDAQYFHGIPREWVQRIGKLVRSVKWGWGLWHSDEFHQSRALFISKFVPSFYRCQCATHVWRQCLCLWHLDTTKDDNMCERCFWIKEFGLFFRDFKPISVIRDTRKW >A06p022200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12383792:12384926:-1 gene:A06p022200.1_BraROA transcript:A06p022200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 27 [Source:Projected from Arabidopsis thaliana (AT3G47870) UniProtKB/Swiss-Prot;Acc:Q9STS6] MSQIKTIHNPIGSLERFLDLLLISLIVYMTLKGGTSGACAACKYQRRRCAADCPLAPYFPAEQPKLFQNVHRLFGVRSIVKILENLDELQRPEAMKSIIFQSYVRDRNPVHGCLGITQQLQYMIWVAEEELKAVNSQLQLYRNGQNHPNPHNMMIHELGEKQDLTSQLDLGMGLPVNDNNQGNNTIPFFSPVSETQPQPPHMSYCSDQVNNHDYNPTPDSCKEIVNNHNTSLAWGQNQFPYNHHNYGFINQNEHCSETKNNNSVMAIQSQLVNLQMASNQQEEEVDDQNYDEIHQFLEIIDESQSFTETKEVYASSSGESLKEPIDEVGEKELRRAATCFSLTSVN >A09p012220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6234872:6238067:-1 gene:A09p012220.1_BraROA transcript:A09p012220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRSPSSSSALKWLGFVTAVWVQSISGNNYTFSNYSGALKSLMNLNQLQLNNLSVAKDVGKAFGILAGLASDRLSTPVILLIGSLEGLLGYGVQWLVVSRTITPLPYWQMCIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCTALFSNDPASFLVLLAVVPFAVCLTAVFFLREIPPASSADEESEETRYFAVFNILAVVVAVYLQSYDIIGIKTGVFSVVFASVLLFLLFSPIAIPFHSFIRSLNRGEEQDVEGRVQEPLLRSAEKEEVVVEEESPVEERKRPVLGEEHTIMEAMLTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMTSIWGFFGRILSGTLSEHFLKKAGTPRPLWNAASQILMAVGYLLMALAVPNSLYIGSMVVGVCYGVRLAITVPTASELFGLKYYGLIYNILVLNLPLGSFLFSGLLAGFLYDAEATPTPGGGNTCVGAHCYRLVFLVMALASIIGVGLDILLAYRTKEIYAKIHASKKAKSSGSDLS >A08p019060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13036516:13036777:1 gene:A08p019060.1_BraROA transcript:A08p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSFSLIQTVAISGVFSAVSCWYGFMFGRESARKELGGLIEDLRRGGSDSGSPPHS >A01p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3623755:3638409:-1 gene:A01p007290.1_BraROA transcript:A01p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVPLAGASAGFGILFLAGGLWWLRKFIIKRRMTKRKKKFFKRNGGLLLQQELNTRQRNVETTRIFSSRDLEKATENFSENRVLGHGGQGTVYKGMLLDGRTVAVKKSKVIDEDKLQEFINEVVILSQINHRHSSQYTEKSDVYSFGVVLVELITGDKPVIMVQNTQETRSLADHFRRTMKEKRLFDIVDARIKDDYKPGQVMAVANLAVKCLSWKGKKRPNMREVFTELERICTSSEDSQVQQIQIDEEEEDEEEGRDLINERDSWSVSVTAPALASSSSDVPIQDRKLFQEMNPKSIHLRERAMTWRPHGSGSTSAHHPDVESPPFGDKREVFQASQSKTSRCWLSITVLRDMRLTMAAGSHASRLSLWGEDPTQSFALICGNCHMHNGLARKEDFAYITYDCPHCNALNKPKNSEENLLIPPVSALLWLINSSNSTSERGNSPTPQIEEEAAERDTGRVLLLLILYSEDVAASSSCQSQCGGVTIQYPFGIGKGCYLEKSYEIKCLSSTTSGKLAPFLYITDNNREVVNISLPEIEAYSPNEPIYLLSFGSVRVKMPITSSGCGVKESPESLLNFTGTPFFIDYQNSLVAVGSNAKVSLTHVEPNIVGCELTSNTGKYQSSNSVPFLKNTQCFASSQSYLYGACPLYNEDVKECNGSGCCKVGLREYQQAIGIRIESNDSTTTREEKCRVAFLTIESYTSTNATNPQELFDKGYATLSLGWVIQTKNHSFVSSLSCDNRDVNENTTYAAVSQRKCICGKSTIAKISYAHCSCKRGYTGNAYDPHGCQDVNECKIEHISCEGMNTCVNTEGGHHCAGDKKKTILIGLGSGLGALALVSGVWWLRKFLIKRQMTKQKNKFFKRNGGLLLQQQLYTRDGNVETTKIFSSGELEKATENFSENRVLGQGGQGTVYKGMLVDGSTVAVKKSKIIDEDKLQEFINEVVILSQINHRHIVKLLGCCLETEVPILVYEFILNGNLFQHIHEESDDYSMIWGMRLRIAVDVAGALSYLHSSASSPIYHRDIKSTNILLDEKHRAKVADFGTSRSITIDQTHWTTVVSGTVGYVDPEYYRSSQFTEKSDVYSFGVVLAELITGDKPVVTIQNTRETISLSEHFKLAVKEKRLSDVMDARIKEDCKMKQVMAVANLAVKCLSSKGNKRPNMREVFTELERISASPEDSHVQVMIDENEDEEDEEEEVTNMVNRGDSLRVGLTAPALGIVASPSSSNAESLSPRPTW >A04g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8781700:8787745:-1 gene:A04g504230.1_BraROA transcript:A04g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPGLDYRFLFLGISASFSLPCDFRRLLSSPLRFPAISLFSLAISTPIIMSNDDQILPRQRRSRGGMGSQSRGSSSHVQDSVSPYSSYHTSPSPLPAPAAPVPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHSVQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGCMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000021.1:240707:243107:-1 gene:A06p030390.1_BraROA transcript:A06p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVHQYTYQHSGPWTEHAGPCHVMCGDFGPRGLSVQYTQDVRGCPLAHTGPHTGRLWLSISTYEHTGPWTQHAGHSRGLFGLFWPTWALCSVHTGRPWVSLRTNMTSVCARLCPSAHTGRPCAVRVCPSSHTRRPWLSISTLISTLVLGITTLALPVGCLGDFGPRGLSVQYTQDVCGSPSAHTGCLWVSVSTHRTSVGVHQHTQDILGCPCVSVCTHRTSVAVHQYTYQHVGPWTQHADPSRGLFGTSVAVRVCPLAHTRRLWLSISTHISTLVHELSTLALPVDYLGDFGPRGLSVQYTQDVCGCPPAHTRCSRLSVCVHQHTQNVLPCPCVSVCVRQHTQDIRVLFVCVCKHTQDGCGCPSVHISARWSMDSARWPFPWTVWVILAHVGCLFSTHKTSWVSANTHRKSVAVRGCPSAHTGRLWQAVAVRQHTQDDCGCPWLSVSTHKMSVAVRVSHTGRLWLSISTYEHTGPWTQHAGHSRGLFGLFWPTWALCSVHTGRPWVSLRTNMTSVCARLCPSAHTGRPCAVRVCPSSHTRRPWLSISTLISTLVLGITTLALPVGCLGDFGPRGLSVQYTQDVCGCPSAHTGCLWVSVSTHRTSVGVHQHTQDILGCPCVSVCTHRTSVAVHQYTYQHVGPWTQHADPSRGLFG >A09g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15433058:15433512:-1 gene:A09g505100.1_BraROA transcript:A09g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTHNQCANVVGQILIIQKINQYHPEFNIDATIGLRLNMSTIVKLILRDKQTADFSILQNMKNRKFKVVIITSIIPKLFQGKLLFSSSPATKFLFQQIN >A07p045400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24637190:24638432:-1 gene:A07p045400.1_BraROA transcript:A07p045400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYCLLSSSLRIFENPNSLLRQTLRGKYYPDGEILTCKASSYASHGVVSSQLFPWILWTLWKERNKFVFNGCSASPVYILATAIKAAKEWDQMQKVEKGSSPLPPSITAVQHSASFIVRSDAAWPKEDKKACLEWSMQTPTTEVQQKKTVGICDQRSASVMQKPRAIFHPPRV >A03p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5790915:5791355:-1 gene:A03p014580.1_BraROA transcript:A03p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNTTILIIAMVLTTAMIMEEAKSYPICNTDTNDLQKCSPAVTGNNPPAPGPDCCAVAKSADLECLCPYLSLSGIDPSKIKSVLASCGVGNPSYSRTELDTAAEFVST >A02g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15976241:15976933:-1 gene:A02g505140.1_BraROA transcript:A02g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGCSKGVFLVFLSVLGESGLCSSVTRLVWIFLMETNNLGMEMKHSSSIPLKLEEETGGSGSRWAKAVLRSCVLWSSHKKGKPLRRMATEAGQAWSLRYEDRVVQENHTICGIEAAHGSRSDLKKVCGVKRANTDLRRGKEELHQLVGKLKYLWRELDLLRSRTSDPEVIQERLEQDVVLSLLVSLNSTYGQLIMQVAKDDERVDVMNS >A08p044530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24593784:24594598:1 gene:A08p044530.1_BraROA transcript:A08p044530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQRLPKKEEDDYQPSSTIESINAFSREDRHNYSMDDFDSIFDLNLDGSKCSQELTWDFFKEDEDVEEEEKRLSTDQEGSSSGVWDNNMSTDYEDKELGLKLNLNHQEVINAWSDRPQQPLWTNTSLLRGPANALYSGEVPVMDEGRNTRREASLLRYKEKRQSRLFSKKIRYQVRKLNADKRPRFKGRFVRRET >A04p005440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2849790:2851933:-1 gene:A04p005440.1_BraROA transcript:A04p005440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRKGGFPARATAGRELLQALARRNQPTLHPEKKDGALCEVPQEQQDKWWSDFVVVHVSTTNSKNRKSERDGDSIHQHISGSKSYAKVKYEMCDTFVDKRAQRIIEEVEYIFVSEHPNTDETDSQGSNSEASITPLMRDKVVKPHKGRLFGLGKSQMENYDHIAPPAVVLTRQAQLEKEVINLTRMVKLMSHQLNSLCEARGVTASDATINPSPSSPPTPNEQRI >A02g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25126099:25136349:-1 gene:A02g509410.1_BraROA transcript:A02g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVERTRVVVELFDDQSLVNFPVGFPGELPARFLDELPVRFLDELPAGFLLTSADHIFRCSFQPVAKRLRDTETFVLAVHCMEIAGHMDVYASGALYEGLRGTKTFVLAAHSYALSAHLMFYVVRPTSRSDYYRIGALEVLSLKFSDLRFYYIIWIYDFGVDFREVINGDFGLYIYLFYFRKYGCYNLVSERGSVPALTRDGDLLGRGFDSMPPRKRVVRTQSASASREGGDENMPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAAAQQVPPVQVQGHQQPPIQPVPPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGNALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFSCGRLGHVAKYCRFTKVDGTGTGQVTAPTTLAAASKKCYGCGQPGHIFRDCPRGGRVENPSPAKRQAIAPRVFAARGNERVEPADVVVELFDDQSLVNFPVGFPGELPARFLDELPVRFLDELPAGFLPVAKVRAIPLNPELRLRDTETFVLAAHCMEIAGHMDVYASGALYEGLRGTKTFVLAAHSYALSAAFDVLCGVLDTVRPTSRSDYYRIGALEVLSLKFSDLRFYYIIWIYDFGVDFREVINGDFGLYIYLFYFRKYECYNTAINYYPEDGERVKKSKDEEDSIGKLLELIPLDLIYDILLRLPAKSAVRFRCVSKLWSSIITRPYFITSFAFMSSTRLRLLVCIKTSDRRRLFVSLPQHEHPHTSYSDVERYQMDPPEFDRVDYEPYSYSVHGLMCGGYFMGEAVVWNPSMRQHVTLPEPAEFRLKSYFLGYDPVEDKYKVFCIASSSNNDPLVFTLEPQESWRVIKNSPKHYTPRWPMGHRGVCINGHAYYEAIKMLSWSRVDLESKEVSWRYGKRNKLEEQMSIHVALETRLWGRLYRDRDTEADLIEREKLLEVFWGRAEAVAEVLDGRESQADVSTDSEDYQVMHNKWLNLKNENLRLQHDLVQSREQYEDLAAEVRRDVRQGVRQEVLQRVAVSNKPKVRHEVLKHGCAAGTRKETDRCISNCVRPSKKQHRMCCWFCGKVGHKKVKCFAREKSRNMVKKVNKMFIKPKRVEEVLLAKSGLLDKVKEETSEDGCSSVRSDLQEDQEASSVESGHGVVCDTKGKEIERALGADGEGLMVKETTHEGSLVLNRSWSKGSSTGASDRDAGENGDVLVLSMHISWGRKAWCGAHLVGEKNTFDRDTEADLIEREKLLEVFWDRAEAVAEVLDGRETQAVVLVSFDVKYEKFRIIRKPEDPKLCPLLLNYQGKLAWVCEDRDEKKLTSSLRFWILEDEEKQEWSLRVVLMPFQIWPRHDPIWRVYLSLEGVTQDTGEFIYVEAEFEAIYVIYYDPKTNLTRRVIYEGVEYEEFTEYSGSKNFKVHLYPNHIESLMSLEKVPRYVSPINWNKYCQKDSS >A10p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17541864:17544518:1 gene:A10p028120.1_BraROA transcript:A10p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGSPQSRQGRSPSATSNSTEPVRTRWSPKPEQILILESIFNSGIVNPPKDETVRIRKMLEKFGAVGDANVFYWFQNRRSRSRRRQRQLQAANAAAIATRGVEDPQHMTTMSMHHPYRNNEIDLGFGSCSNSSANYLFNESSSQVSSFLLGLSSSSTNGGCESNNGMGDLFTMYGHESDHHHFHHPQHSSNDASISSPSDQNSNIHYQQGLMTVFINGVPTEVTNGAIDMKAMFGEDLVLLHSSGLPLPTDEFGFLISLQHGQSYFLVPRQT >A04p006470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3462976:3464754:-1 gene:A04p006470.1_BraROA transcript:A04p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSTEPTQEEVSEKDHDAFTVVIGSKRSVLEGEGTSDANVKDVAVESFSVSVRGKVLLKNATVKISHGKKYGLVGSNGTGKSTLLKLLAWRKIPVPKNIDLLYVEQEVVADEKTALQVVVSAKEELVKLREEAEALQNSDGDCGEQLVELYERLQVLGSDAAEAQASKILAGLGFTKEMQVRATESFSGGWRMRISLARALFVQPSLLLLDEPTNHLDLRAVLWLEEYLCLWKKTLVVVSHDRDFLNTVCTDVIQLHEQKLHLYHGNYDKFETGYEQRRKEMNKKFEIYEKQVKAAKRSGVRAQQEKVKDKAKFAAKKEASKRKAVDEDGPAPEAPKKDKGYSVEFHFPEPTELTPPLLQLLEVSFCYPDRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVSRSQKLRIGRYSQHFVDLLTMGETPVEYLLRLHPNPHLEGSAINSQEAVRAKLGKFGLPGDNHITPIVNLSGGQKARVVFASISMSKPHILLLDEPTNHLDMQSIDALADALDEFSGGVVLVSHDSRLISRVCEDEEKSQIWVVEDGTVSFFDGTFEEYKEELQIQIREEVDE >A04p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7809370:7810431:-1 gene:A04p009340.1_BraROA transcript:A04p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKISSLEATVVAFSPLAPPLPLRLRPPSRLHPPSSSPPRLHPPSRLCPLSPSPRLQLRPPPDPPPSTSSPVPLEARSLPKPLDPPDVPDGVIYLVYVDDTSFVSKCLFPAICSVFLYWCIDWSPYWFSLSNFIFPPLGFTMLVIVFVDSTIGCSIPISISVSLPLPIQWQLNRLMPHIFTHHVNRVIYCPVSAFMKFVLLLISSSTLCGFGVGNVLLKIRDTSNIEVLIKGFVAMLKIVDCALVAASVLGFISLIVVSNF >A09p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11739410:11745856:1 gene:A09p021720.1_BraROA transcript:A09p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkane hydroxylase MAH1 [Source:Projected from Arabidopsis thaliana (AT1G57750) UniProtKB/Swiss-Prot;Acc:Q9FVS9] MAMIGLLEVFAAFFFFLVFKHFFLPKKSPGQPILKSWPVLGMLPGMLPHIPRIFEWTFEVLEATNLTFFFKGPWLSGTDMLFTADPRNIHHILSLNFGNYPKGPEFKKIFDVLGDGILSVDLELWEDLRKSNHAMFHHPDFLELSVSSNTSKLKEGLVPFLDNAAHENIVIDLQDVFKRFMFDTSSILMTGYDPMSLSIEMPEVEFGEAAETGEEAIYYRHFKPVILWRLQNWLGIGLERKMRSSLVTVNRMFAKIISTRREEISRGERKQSADALTYYMNVDTTKYRLLKPSNDTFIRDVAFSLVLSGRDTTSSALTWFFWLLSMHPQVLSKIRKEINTKYDPTDLEKLVYLHAALSETMRLYPPLPFNHKSPAKPDVLPSGHKVEANSKIVICIYALGRMRSIWGEDALDFKPERWISKGGELKHEPSYKFMAFNAGPRACLGKHLALLQMKIVAVEIIQNYDFKVTEGHKIEAVPSIVLRMKHGLPEVFVAFISFLVFNCSFLLKKSQSQPILKNWPFFGMLPGMLAHIPRIFDFSVEVLEATNLTFSFKGPWLSGTDMLFTADPWNIHHILSLNFGNYPKGPEAKKIFNALGDGILSADMELWENLRKSNHAIFHHPDFLKLSVSSNTIKLKESLIPFLDNAAHEKIIIDLQDVFKRFMFDTSSILMTGYDPMSLSIEMPEVLFDEAVETGEEAIYYRYFKPVILWRLQSWLGIGLEGKMRTYLAAFNRRFAKIISSRREEMSRGKRKQSVDVLTYYMNVDTTKYKLLKPNNDKFIRDVVFSLLLAGRDTTSSVLTWFFWLLSKHPQVLTKIRKEINTQYDPTDLEKLVYLHAALSETMRLYPPLPFNHKSPAKPDVLPSGHKVEANSKIVICIYALGRMRSIWGEDALDFKPERWISDSGNLRHEPSYKFMAFNAGPRACLGKNLALMQMKIVAVEIIQKYDFEVTKGDKIKPVPSVILRMKHGLSVKVTKKI >A09p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2452888:2455474:1 gene:A09p004270.1_BraROA transcript:A09p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMMVMFGLLLIIVCICSALLRWNQMRYSKKGLPPGTMGWPVFGETTEFLKQGPDFMKNQRLRYGSFFKSHILGCPTIVSMDAELNRYILMSESKGLVAGYPQSMLDILGTCNIAAVHGPSHKLMRGSLLSLISPAMLKDHLLPKIDEFMRSYLCGWDELETVDIQEKTKHMAFLSSLLQVAETLKKTEVEEYRTEFFKLVVGTLSVPIDLPGTNYRYGVQARNNIDRLLTALMQERRGLGETFTDMLGYLMKKEDNKYLLTDKEIRDQVVTILYSGYETVSTTSMMALKYLHDHPKALEEMRREHLAIRDRKRPDEPLNLDDIKSMKFTRAVIFETSRLATIVNGVLRKTTHDLELNGYLIPKGWRIYVYTREINYDTSLYEDPMIFNPWRWMEKSLETKSYFLLFGGGARLCPGKELGISEVSSFIHYFVTRYKWEEKRGEKLVVFPRVSAPKGYHVRVSPY >A10p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1261933:1263282:-1 gene:A10p002410.1_BraROA transcript:A10p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDLPPLGGKPHGKEKVPNKYRKTAAAARENPPTDQPQPQDDVGEFGDGSGMSKDWRKTPNAMARVIKQKLDICWAIVLTYMLQAITNISNPNDYVEFLYQDLVVHLKLKKKTKKQVQPGLKLANLQKAIDHIAHPGLLKEKSSGAAKAGSKTGFHGKWQFQTEISPSADFIKARVEHSPVAISFEVDTDFHELKKNIYKVGDTTEPLEEGEVEGHAVLIVGYGYTKNRQLFFLVQNSWGDDWGVKGFGRIFIDESSKTTLVYPVVYIRFYHIFRAV >A07p025060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14461571:14463405:-1 gene:A07p025060.1_BraROA transcript:A07p025060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-XYL synthase 6 [Source:Projected from Arabidopsis thaliana (AT2G28760) TAIR;Acc:AT2G28760] MATNGTTTKPPPMPSPLRNSKFLQSNMRILVTGGAGFIGSHLVDRLMQNEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLMVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQTESYWGNVNPIGVRSCYDEGKRVAETLVFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLMRLMEGDQTGPINIGNPGEFTMVELAETVKELIKPDVEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLGVLKK >A03g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7349456:7350181:-1 gene:A03g502280.1_BraROA transcript:A03g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSSWSSSQESFLWNESSFLDQSFFCPTHNYSDDFFSFESPEMMIKEETQNDDVSNSEGEEKIGTIDEEKSYRGVRKRPWGKFAAEIRDSTRNGIRVWLGTFDKAEEAALAYDQAAYATKGSLAVLNFPVEVL >A01g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5778390:5784834:-1 gene:A01g501510.1_BraROA transcript:A01g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFQSREWMDQRIDPESNQVSEIFLGGVDAFIQFACNQEDYKERETLLCPCARCKNVKQREARVVARHLFLYGFKGNYYFWTSHGEKFNDLGESSGANHSTGEEEMLETPIWNAYEDHHLNIPETFMMLNCETFAPYERMFEEYMTRSIPDITPVAMQKAKDTNFAEWCKDYDDRRDQVAESSLLRVETHVVDDVSDYDLAPVNPPNDEYVSDVEVVKYVISFLLSSQYLEKKRQTLSLDSRRNSSSPLRFLCHRLRFNLAVSDSIRRLRFHLPPLMMNSTRAPGTQAASPPMPPGATGPAVYHAASPPMPPGATGPAVYHAGSPPMPPGATGAAPNHAASSSRSNSYPQMTLNAMLNSPARLSQPHLHPDKPNGALWFGIDPCIHAFIRATWQGYYMGPWKSWNKVPEERKDSWWQTFVQNFYWEPQFNDLVYGLWKKETMTTVGERISKKKRQHKKPKYINDSDWTLLLEYWATDEAKKKSKKAAKSRKADPVGKGCHKHNAGPRCFARIAYNMTQSSGEPPSYTALVRETHSRPDGTFVDYRAEELVTQAEMEATQLSNTEGSPGSPSASSAPSRLMLNKAYLKNAKSKRGYVYGLGSEQFREHAPSSRVPNGIARNLDLEMRVGGLETTLQSVTSDVAGVKQDVSDMRQDFAATREAINQLLQTLRPPQAPTGQTSDHQAQAPTGQPNPLNGI >A07g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9699110:9701960:1 gene:A07g504720.1_BraROA transcript:A07g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEIVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTSYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASIWLFMVVVVLMTMAIL >A05p019800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9285167:9287063:-1 gene:A05p019800.1_BraROA transcript:A05p019800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAACSSVVTALSLLPCKSHHLLRNQFSFSGKSNKFVGVLTLEKRCFSSTVSMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLQVLSKLTNSQKVVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPTSDIDVINLELIFCDLDQIGKRLDRLNKGKPKDSQSKVKEEAEKSALQRIQEALLDGKPARSVALNDLEKDSVKHLCLLTMKPMIYVANVAETDLADPDKNSFVEQVKALSSDLQSGHVVVSAQVESELTELPLEERTEYLNSLGVSESGLGNLIRATYSLLGLQTYFTSGEKETRAWTIHAGMTAPQAAGVIHSDFEKGFIRAETVAYEDFVSAGSLAAARDKGLLRSEGKEYIVKEGDVMLFRFNV >A07p001020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:334978:335328:-1 gene:A07p001020.1_BraROA transcript:A07p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKETEDNNNEGVITTVKNSLGWIPLDLTSLILLKLPAKFLVEFTCVSKTWYSIILSKMFINTFMSMSISRPRLLLTYKCNENNGNELIFFSSPHISQESNDSSSVVSRMLTLDE >A04g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1632566:1635702:-1 gene:A04g500520.1_BraROA transcript:A04g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYITEDTEVSVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSKTPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERIPTIPHSPGGIVITERGDPTRATRRQTGPSDREKNKRPVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVDDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A06g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16977241:16978700:-1 gene:A06g505920.1_BraROA transcript:A06g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSARKRRAMTRQGHHWCPMPDPREEIGGEEVDVGTLGLGFVGAGFAGIREIGVGVRTAEGESAIEHRRRSFGCSTGETINDWDRCPQCKGEKVVSEKKVLEVAVEKGMQHGQKVTFRGQADEAPDTVTGDIVSTYLLTAVAFSLLMTSADVKRSHLASLQLHLAISYNQHKFYLNRVIKIPRSSLCLLQVKGRAFCFCLLMDEELADTMNLDLNLGPGPESDLQPSLNETVNLADWSNYPSERSLEAVTRIRTRHRTRFRQLNLPIPILSETHMSIELNQFMGSLVTGAALQTGEGSERGNEDLKMCENGDGVIEDGVSEKKADVEKSSGSDGNFFDCNICLDLSKEPVLTCCGHL >A05p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25327732:25329632:1 gene:A05p041790.1_BraROA transcript:A05p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLATNSDSEEHLHSTFASRYVRAVVPRFTMPNNSMPKDAAYQVISDELMLDGNPRLNLASFVTTWMEPECDKLIQESVNKNYVDMDEYPVTTELQNRCVNMIANLFHAPMGEEEAAIGCGTVGSSEAIMLAGLAFKRKWQQRRRAQGLPTDNPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLAEKNAETGWDVAIHVDAASGGFIAPFLYPDLEWDFRLPWVKSINVSGHKYGLVYAGVGWIVWRTKDDLPEDLVFHINYLGADQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKNIMENCMDNARRLREGIEMTGKFDIVSKDIGVPLVAFSLKDSSKHTVFEIAESLRKFGWIIPAYTMPADAQHIAVLRVVIREDFSRGLADRLITHIIQVLKEIDGLPSRVAHLAAAAAVSGGEVKTAKMSLEDITKYWKRLVEHKRNIVC >A03p032760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13857006:13857852:1 gene:A03p032760.1_BraROA transcript:A03p032760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKLQKRLSASELKCGKGKVWLDPNEGNDIAMANSRQNIRKLLNDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAK >A03g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30851280:30854407:1 gene:A03g509570.1_BraROA transcript:A03g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFFRSGFEVEMTSMKSRRLRGSLPDEFQEEFQEVVWSSGLHGSLLTKSPFHNRSERLGFSDLDLICRRLNFQSSQKTDFKVNCKNNLCVDQTTFRLLQAHRISNESDSPIIVSFYDFMNHKKCKIKISEWKKKKGNSILGALRASNWLFMVVRVLMTMTIL >A05p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1702259:1705076:1 gene:A05p004370.1_BraROA transcript:A05p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive TPR repeat-containing thioredoxin TTL3 [Source:Projected from Arabidopsis thaliana (AT2G42580) UniProtKB/Swiss-Prot;Acc:Q9SIN1] MSHSRRLSLEPAITGRFRDSLSLQRHDNDDVINKPDFRELDLGSPVSTLMPRVSTSSAAATPTSSSGSSGSASGKPSVAGKSHSGEIPGGPGSVMPAVKNLKPGHRRSSSTGKPLIFSGSSFSHSATSHSSATSAVSPSPAVLPAGNICPSGRILKTGMATRSSTRAETLCTGTGNYGHGNVVRSGSGGGSNHPARADNESPEELKRLGNDMYRRGNFSEALSLYDRAISLSPENAAFRSNRAAALTASGRLGEAVKECLEAVRLDPSYSRAHQRLASLYLRLGEAENARRHLCFSGQCPDQTDLQRLQTLEKHLRRCCEARKIGDWRTVVKETDAAIANGADSSPQLVACKAEALLRLNQIEDSDFCISSIPRHDHYYHTQPQAKLFGMVAEAYVLCIQSQVDMALGRFESGVVKAERAAMLDQTNPELVSVLNNVKMVVKARTRGNELFSSGRYSDASVAYGDGLKQDGSNSVLYCNRAACWYKLGLWEKSVEDCNQALKIHPSYIKALLRRAASYGKLGRWEDAVRDYEFVRRELPGDSEVAESLERAKIAVMNRSQESKSFGYNNQVEAVSNLDKFKNVVSLPGVSVFHFKSSSNRQCEEISPFINTLCLRYPLVHFFMVDVEESLALAKAESIRKVPTFKMYKNGDKVKEMICPSHQFLEDSIKHFLL >A03p041060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17151467:17154431:-1 gene:A03p041060.1_BraROA transcript:A03p041060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQRSSESSLVTSLKASCITIHLSFVLLVTLNFVAFKSKKPIKSFTRSAMNTVNLSFSFLFIQSKGRRYNSISSVKSPPKRTVLSLSSAVTSRSGDMITPEGKRNDHSSTFDFKSYMIGKAESVNAALDVSLPLLKPLTIQEAVRYSLLAGGKRVRSLLCIAACELVGGDEAIAMSAACAVEMIHTSSLIHDDLPCMDNADLRRGKPTNHKVFGEAMAVLAGDALLSLAFEHMTVMSSGLIAPERMIHAVIELAKAIGTKGLVAGQVVDLRSQGLNPDDVGLDRLEFIHLHKTAALLEAATVIGAIMGGGTEEEIDKLRKYARCIGLLFQVVDDILDVTKSSEELGKNAGQDVITGKVTYPRLIGLEKSRELAEKLSREAEEQLLGFDSDKAAPLVALASYIAYSLLRQKTYQ >A06p022070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12466369:12467644:1 gene:A06p022070.1_BraROA transcript:A06p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPRQFRRRQLMTPEVEAFKALCRQFLVFNLSIQGVEDKRDGGSGFLNPVFGGLVALNRILMLIDWKLSEERSTTVEDVCEPGGIPAKRRLVSAVEKEYRRSSPDLPEYGSQSSPTARGLPSGSNPPRDGQGASDRDVKWDVHVQMGMSK >A07p021260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12451148:12452701:1 gene:A07p021260.1_BraROA transcript:A07p021260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEDDDFIVTCKDEAISCGTRKLPSERKLAIGGEGFRQERVSIVLTPLVRAKGETLPEAATFSASSIDDTLPSAPTPAEERETEDQQVSLKTPIPFRYPAGTKTLPFEHMSKSLQRRYVKVKEPLFDPVTSKRIYWREEPFDVNGKDDDHGHDHGCLFFLKVSIRGMVDKWFGPLLGLPKTEDFNY >A03p014350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5710451:5712102:1 gene:A03p014350.1_BraROA transcript:A03p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinate/nicotinamide mononucleotide adenyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55810) UniProtKB/TrEMBL;Acc:F4K688] MDVSLPVDKLTIGSQPKDKTCVVLVATGSFNPPTFMHLRMFELARDALHSEGFHVLGGYVSPVNDAYKKEGLLSAEHRLEMCNLACKSSDIIMVDPWEASQDSYQRTLVVLSRVKTFLTNNSLVPKESLKVMLLCGSDLLESFCSPGVWIPEQVRSICKDYGIVCIRREGQDVENMIFGDRVLYETRDNIRIVNNFVPNQISSSRLRQCISRGLSVKYLTEDGVMDYIRQHQLYTELT >A10g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17943737:17946206:1 gene:A10g506320.1_BraROA transcript:A10g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALADLINLDLSDSTEKIIAEYIWIGGSGLDMRSKARTLPGPVKDPSELPKWNYDGSSTGQAPGSDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPANKRHAAAKIFSDPSVAAEETWYGIEQEYTLLQKDIKWPVGWPVGGFPGPQGPYYCGVGADKAFGRDIVDSHYKACLYAGINVSGTNGEVMPGQWEFQVGPTVGIAAADQVWVARYILEGDWNGAGAHTNYSTKSMREDGGYEVIKKAIEKLGLRHKEHISAYGEGNERRLTGKHETADINTFVWGVANRGASIRVGRDTEQAGKGYFEDRRPASNMDPYTVTSMIAETTILWKP >A09p030560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18650353:18653543:-1 gene:A09p030560.1_BraROA transcript:A09p030560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylation and cleavage factor homolog 4 [Source:Projected from Arabidopsis thaliana (AT4G04885) UniProtKB/Swiss-Prot;Acc:Q0WPF2] MQSEKILNPSLLLSTTTGRKSMSVVKPPPPPSILDRFKALLNQREDEFGGEDPSSEEVVQLYEVVLGELTFNSKPIITDLTIIADEQREHGEGIANAICTRILEAPVEQKLPSLYLLDSIVKNIGRDYARYFSSRLPEVFCLAYRQAHPSLHPSMRHLFGTWSGVFPSPVLRKIEMQLKLTSATNSQSSLGASEPTQPTRGIHVNPKYLRRLEPSAAESNLRGISSSAKVYSQNSVGGYDDFEDQLDSPSSLAGPRSSTAGSLTLASSAIGADGFPRRFSDGVNSSNQAYNYGMGRATGRDDEHMDWRRKDNFGQGNDHERPRALIDAYGVDTSKHTSISRPIRNVNGIHSKMVTPWQNTEEEEFDWEDMSPTLERSRAGEFLRSSVPALGSVRPRPRLGNIHDFQLDSDIKNGMNSRFGRGPAMISRVGPSGADALPDGTWPHLGVRGSNSLPVPSAHVHHLANPGNAMLNHLNGKPLYRPENQVSHMTQQNQVLGNYLPSSSAMAPRAMQSLLPHGHGYPPLGSTIRPSLSVHGGEAMHPHSSGVSISQNPSLGASNQPPGGAFSGLIGSLMAQGLISLNNQPTGQGASVMEFDADMLKIRNESAITALYGDLPRQCTTCGLRFKCQEEHSKHMDWHVTKNRMSKNHKQKPSRKWFVSGSMWLSGAEALGAEAVPGFLPVEPTTEKKDDEEMAVPADEDQTSCALCGEPFEDFYSDETEEWMYKGAVYMNAPDGSTTDVDKSLLGPIVHAKCRPETNGGDIEEGSQRKRMRS >A01p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24826838:24827283:-1 gene:A01p043590.1_BraROA transcript:A01p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRLRFLRSGQKVPDNRLPPMLFATDRCLCGRLNIYSKPDLLAFIRHALPGTRSLRLSKLLVLGSCLTYELASVLSRAN >A08p043990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24397245:24399988:1 gene:A08p043990.1_BraROA transcript:A08p043990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSSSGVIIVAAVFLHAATTIVFGSDSVLKLERLIPPSHELSLAELRAFDSARHGRLLQSPVGGVVDFPVYGASDPFLVGLYYTKVKLGTPPREFNVQIDTGSDVLWVACSSCNGCPKTSELQIELSFFDPGTSSSASMVSCSDKRCSSNFESESGCSPNNLCSYAFKYGDGSGTSGYYISDFVSFDTVITSTLAINSSAPFVFGCSNLQTGDLQRPRRAVDGIFGLGQGSLSVVSQLATQGLAPRVFSHCLKGDSNGGGVMVLGQIKRPDTVYTPLVPSQLHYNVNLQSIAVNNQILPIDPSVFTIATGDGTIIDTGTTLAYLPDEAYNPFVQAISSAVSQYGRPITYESYQCFDITSGDVDVFPEVSLSFAGGASMVLTPRDYLQMFSSVSIVSYTTYFEKVIAAQLLATVRKFNMVHWFPEIAAAASYNDSWRFGSERQSGGLRSCSPTDWMGSIRLLSGSERFSDRRRKKQRRNKHGAVEREQELRD >A09g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:732147:733885:1 gene:A09g500100.1_BraROA transcript:A09g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSRLLRMEKNDEDRTALIFLGTGCSGAVPEFRCLLQPSDPPCHVCSQSLSLLPHLNPNYRCNTSLLIDYCCEEEDGRHYYITIDVGKSFREQVLRWFTFYKIPRIDSIILTHEHADAIHGLDDIRSFQPRGSATDTNPLPVFLSQFTMESISTRFPYLIEKKAKQVPRRVSQLDWRIIEENCDKQFIASGLSFTPLPVMHGEDYVALGFLFGHKSKVAYISDVSRIPPSTEYAISKEGAGQLDLLILDTNIPFKRGLQPTHICFPEALEIIKRLCPKRALLTGMTHDFDHHEYNEMLAEWSLREGIHVQLAHDGLRLPINL >A02p029810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15144616:15145019:-1 gene:A02p029810.1_BraROA transcript:A02p029810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMVGYVMVSSSASVIEVCVPTVDGRKVVEITVESWLLEKVASLKEKIGKMIQMQAKELKLRRKSGGVLKEDKSLAHNNVEAGEILTLTWRISRWN >A02g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17267544:17269108:-1 gene:A02g505940.1_BraROA transcript:A02g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSGSDAVGGGGVVRRYYDEEEVFGPTKPTSKSNRGVLNDKNLRIEVPFANRRVTDGESRLRRFAMANSTPGSYLRDERPHTLSSKGSVYWDSNEDIGTPSAPPIMDIGEDDNIAELEKEIEHIEDEICREAGVESHHQQLNIGCIAGDTVSHLYPEFSESARETQTEEAAQIEDISSDELNCHSVRLTTLFRNLQRKRFEMRNLDDEGFLSAQAAIDAIKGTILHQRWLAALMNISVESVPPDLHLSHFSFYVEIRTDLVNTVNEWNRQAGSPTPPGNVSENERRTGLYDYQSMINILRQETWKDNIEAGNI >A02p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2301557:2302463:1 gene:A02p005280.1_BraROA transcript:A02p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVKLVKNGVMRLPPGFRFHPTDEELVVQYLKRKVLSSPLPASIISDFDVCRADPWDLPGSLEKERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKRVVTSRGNQIVGLKKTLVFYKGKPPHGSRTDWIMHEYRLSSSPPSSMGPTQNWVLCRIFLKKRAGNSDEGDNRNLVYDNEHIEITTTNQTEDKTKPIFFDFMRKERTTDLNLLPGSPTSDHASSGLTTEIFSSDEETSSSCNSFRRNL >A10p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1921001:1923823:1 gene:A10p003920.1_BraROA transcript:A10p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVENTPAATDKFTTTEEEDGEDACRTIEVVERNVFQAQFDEAADAVEELNLIPPLNFSMVDNGIFRSGFPDPANFSFLQTLGLRSIIYLCPEPYPESNIQFLKSNGITLFQFGIEGNKEPFVIIPDQKIRKALNVLLDEKNHPVLIHCKRGKHRTGCLVGCLRKLQKWCLTSIFDEYQRFAAAKARVSDQRFMEIFDVSSFSHVPMSFSCSSR >A07p001920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4102543:4102773:-1 gene:A07p001920.1_BraROA transcript:A07p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKEKMETEQNKSRDVSAVKNHAGKAEDTVRAIVHESIIEGGSDGNRDGGDSARKPEDILAFSRTVRKIDSSLE >A02p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15559221:15561051:-1 gene:A02p030500.1_BraROA transcript:A02p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIQRTQRKLSLGEWRLIETFSVSQAGGQYRPTNHTYKMSIIEDTSISPSSYECDDNFLRFSIFEEIGNGTLKTSFLIDVIGQVISFRDVQSVHVSGKDKKKVEFRLLDIKYILIKVIIPYLCFIDDVQVTNAFDASLIQLDPELPETLALKLRVSNNEFALALTDTKKEKRLTKNQTVHWNNVDIKSISEIMMATVVLCKLHMVVKDDTSTCKLIMLDSVGKLIVGCEAEELWDGSYDEIEYPTDLPQPIQDLVGKSLCFGITLGSENVANVSDVFLVSQVCSGDKILQIESNSEPITNVIDGSSIMSGREVKI >A09p033990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20563062:20564753:1 gene:A09p033990.1_BraROA transcript:A09p033990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G10650) UniProtKB/Swiss-Prot;Acc:O82497] MTTAKSWKIGREIGEAVVKASSNPNRRWYGPHMAASVRAISERIPLVDFVLEIRDARTPLSSEYELLRKFSPFSSKRIVVLNKMELADPLELKKWMAYFQGRNFLSYAVNSHNKECVKNFLNFLQSQVRELHKSGHSGHTTTMMLLGVPNVGKSALANSLHQIGRISAAEKGKLKHTTVSSQPGDTKDIMSLKIGSHPNVYVLDTPGVFPPKLLDAEICSKLALTGAIPDDIIGETELARFCLTLLNSSHEYKKWAKLCKSQDVTGSLSNESSTSSAQRKRQYPTDHTQDFIVYDVRRVLYETISVFNGNLDDISMGELVETQFAALRTVLRVTEEASEFADLRVASKILNLYRTGRLGHYTLEHASC >A01p038970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14850724:14852031:-1 gene:A01p038970.1_BraROA transcript:A01p038970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRASLVNLCETSSTHVEPPDWLDGVLGFATREAILVARAIERSFVHKIEEGVSLDRSQMDPAEEIRHSKKQRENCNMLWFVQDSQYGIPRRCACGGKIIDEVLRKEDYDSQPGKRYFTCINYEDDGLHYSHPWVVGVQEEIERMRKRLEDAEEEIKGVWNLKFQIQTLPEQVRSLTVQVATLEKVCFD >A09g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22156435:22157118:-1 gene:A09g507620.1_BraROA transcript:A09g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYWDLRCRIGVPVCDLRYLVVEATGKSTTLAFQKPVGDTCTHQVRVLHLRELFSWVRLDSGPLHPGRFYLGWEQGPNPVPHLVTRMGSSPPPGVESLPSELPHPVGSSLYPFKLF >A09p044270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35859013:35860677:1 gene:A09p044270.1_BraROA transcript:A09p044270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIYSTQALNSTHFLTSSPSSSSKQVFFYRRQTNRRFNTIITCAAQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKSGIAVEKPIYNHVTGLLDAPELIQPPKILVIEGLHPMFDERVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFNYQPDSYFDNEVSVVEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIANKANAPTEAAKA >A07p031110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17234500:17240125:-1 gene:A07p031110.1_BraROA transcript:A07p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMIVLKSSDGESFVVEEAVARQSKIISFLVEELPDQELPFTNLTSEILRKVIEYCKKHVVEDGSGDSSSSSSDDLKKWDVKFVGEIDQPTLMDLIMAANYLHIPSLLDVTCQKVADMIAACEDEKEIRSTFNIENDFTEEEFYVGRLGLFQLISKVVNPLMLKESIEMASENPEVVVAPTVENGGAESSSRGKDEPLETELSKKLEVTEDGKEENEVEEEGSKAESSTAKKKKKKNKSKKKPQQTDPPSIPLVKLFPSGEFPEGEIQQYKDDNLWRTTSEEKRDLERLQKPIYNSVRQAAEVHRQVRKYVRSIVKPGMLMTDICETLEDTVRKLISENGLKAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIIDCAFTVAFNPMYDPLLAASREATYTGIKEAGIDVRLCDIGAAIQEVMESYEVEINGKVFPVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDIGHVPLRLPRAKQLLATINNNFSTLAFCRRYLDRIGETKYLMALKNLCDAGIVEPYPPLCDVKGSYVSQYEHTILLRPTCKEVLSKGDDY >A09p011610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5872882:5874726:-1 gene:A09p011610.1_BraROA transcript:A09p011610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGEWSTGLGGMYTDEADFMNQLLASYDQPCGVSSPETTAPAAAYHPQNAHLTGGFCFSQESSSYSAGHSGYYAVMPPREENNNGMEDVTINTNLYLVGEEMCECEVAEYPAKSLLSLETVEENLDDNKRSLETEDDQKLFNACESSKKRSRAITTDKNKRASKTRKTKKIMEMSDNNNNSGGEVQTEKAGGERNTKALKIQKTYYSDDEANGGDTSSCKEGGEDCKALNLNGKTRASRGAATDPQSLYAREISYVRLKTKTKTDLMTVQKRRERINERLKILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDMKLNSLI >A04p007680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8215862:8223553:1 gene:A04p007680.1_BraROA transcript:A04p007680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNVGQLDENLILKILSLVPIKTAVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSFEHSVKFFTIKVPSLQRLRIYDDNDEDEFVGYVIDTASLKYLEIGYLGCPQFSLNAPGLVVAYIGRVSNVISESPVSVRRLVLNVSTLMTIYPPTGCIFYQLVYPQIYTHEPGWYDLLTWMLEHSPKLQVLKLVGKYRINPDNHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRRRTRKKLDGVLKASNTCHLVFNVMAEEMNIGQLDENLILKILSLVPIKTAVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYETEVLDSFHLSFGSDKADAVDVVHWIKTAFGLHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSCEHSVKCFTIKVPSLQRLSICDDNDEDEFVGYVIDTPSLKYLEIGYLGCPQFSLNAPGLVAAYIGRVSNVISESLVSVRRLVLNVSTSMIYTHEAGWYDLLTWMLEHSPKLQVLKLVGKYRINPDYHVLGWEWNKPKSVPECLLSHLETFVWIRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRRRTRKKLDGMLKASNTCHLVFKFE >A07p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7502106:7502797:1 gene:A07p013400.1_BraROA transcript:A07p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLLIAANHCLLYLARKKRETSYTSRQANQHAKMIEKTISNKFYLLVTMRRNGYWG >SC210g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:13279:18063:1 gene:SC210g500020.1_BraROA transcript:SC210g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTIRDYALFSFILYSSFILVGDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYINSIRKAISQAFRDVEKQLKQSKTISPSLEVQNQALSSTVSELKDAEPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKPGSYSRILIILGECSARSRTSWGNKELEADQNSLLLGHVKIWKPPDMQQLQHHYKDYQTMSGDGGFTGENGEVITGIGGEFMFSSQIKEKPPDGLSLHQSPNKPTRGNYLDSKKRMKPDLLSIGTGQTVLSAILFERRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANSDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSECRTSQSYLWRPGELAKVTNHVFKSSFIDYTDMMHLFLPKESCADYMEALKHAKRKNKREEDKRFKPPDLSQERHQDITKGALSVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQLASCIGSSCSSPPS >A05g502490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7752622:7753005:1 gene:A05g502490.1_BraROA transcript:A05g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKETKWETDDMLNSTRWVNACVVDDVLYYHDREVVNTLSAYDPIQKPWRAVEGVEELLARTICSDWSYTVRYGGNLALLFRGRSMIRCLEILLEKRQGRDIWGKVEWCDHVLSGNFEVRKSLAVVV >A04g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6803003:6804140:1 gene:A04g503110.1_BraROA transcript:A04g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGGKRRYDVEMGEATSPAPIPTSSVEAPACVADHLSFREKLTCRKAEKEPVRAGTKFPSSSALAVAPDHGTKVKVLQDAGTLAGSSVSDTSVLLAGSSTTLILVEDKERAAESMPPPPVRKEIVLVLCASSAVPFMSLINGMISECGSEASRLAVDLSELQGRWSETEAMLTAVKDSHSAKVSKLEVEIREPERDLRKTASSPLKEKKATKSKSSEVRRLLRQIEGDAGSASRGIREATNALRSEFQARLAKISAFLGSLECIRSRDLALVTIEGGMAVVWAFQSETPPSLEVEET >A06g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8620844:8626950:-1 gene:A06g502560.1_BraROA transcript:A06g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCLVSVTPQDVRVCCQHTQDVRCLSLMVSVCVRSQHISSTLVLGFSTLTLPWTVRSNFIPWWGCRLSTHRRTSVGVREHTQDVCGFVGVCPVCYTISTLVIGTQAASPSRGLFGHFGPRWAVCSVQQTSVGVPPAHKGHLWLSVTVRQHTQDDVRVYACVSVSTHRTSVGVLQHTGRPWLSISTHISTLALPLHCLGDFGPRGLSVQYTQDVRGCSSAHTGCLWVSVSTHRTSVGVRQHTQDVCDVLGCLSAHTGHPCVSVSTHRTSVCVRQHTQDIRVCLSAHTGRPWLSISTHISTLVLGLSTLALPVDGSGDFGPRGLSVQYTLDGRGCPSAHTGCLTHISTLVVGLSTLTLPVDCSGDFGPCGLSVQYTQDVRGCPSAVSTHRTSVGVRQHTQDVRVCLSAHTEHPVLSVCVRVCLSAHTGCPWLSISTHISTLVLGLCTLTLPVECSGDFGPRGLSAHTGHPCLSINSHISTLVLGLRTLALPVDCLGDFGPRGLSVQYTQDVRGCPPTHTGRLWLSVAVRQHTQDVRGCPCVSVCVCGCPPAHTGHLWLSVAVRQHTQDVHVRPSAHTGRLCASVCVRQHTRDVCGCPSVHISARWSLDSARWPFPWTVLVILAHVGCLFSTHMTSVGVRQHTQDVHVCPSADTGRRLWVSASTHRMSVAVCVCPCVSVCGRQHTQDVRAYPSVHILALHRTSVGVRQHTHDVCGFLWLSVSTHRTSVAVCVCPFVSVGVRQHTQDVRGCLWLSVSTNMTSVCVRQHTQDVRVCLSAHTGRPWLSISTHISTLVFGLSTLALPVDGSGDFGPRGLSVQYTLDGRGCPSVHTGRPWVFVSTHRTSVAVLDTGRPWVSVSSQHTQDICGCPLAHTGRPCVSVSTHRTSGAVRVCPCVSVSTHRMSVAVHQNTYKHVGPWTLHADPSCGLFGTSVGVRQRTQNTCGCPWLSVSTHRTSVAVRVCLWVSAGTHRTFVAVSGCPSAHTGRPCVYVSTHRTSVCVCVCPSAHTVRPWLSISTHISTLALPVDCFGDFGPRGLSVQYTHDVCWFPSAHTGCPCVSVSTHRMSVAVRVCLCVSVSTHISTLVLGFSTLTLPVDCSGDFIPCGLSVEYTQDVCGCPLAHTGRLWLSVCVRQYTYQHVGTWTQHASPSRGLFGSFWPTWAVCSVHTRRPWVSASTQRTFVAVRDCPSAHTGRPWLSVCVRVCLWVSASIHRTFVAVRGSLSSHTGRPCVSVSTHRTSVCMRVSPSAHTGRLWVSFSTQDVRGCPSVHISARWPFPCTVWVILDHVGCLFSTHRTSVGVRQHTQDVCGCPSAHTGHLWVSVSTHRTSVTSVTVRVCPSSHTGRPWVSVSTHRTSVCVRQHTQDVRGCPCVSVCVRQHTQDVRGCPSVYISARWSLDLARWPFSWTVWVILAHVGCLLSTQRTSMGVRQHTQDVRGCPWLSISTHRTSVAVRVCPCVSVCVCVCPSGHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLFVQYTQDVRGCSPAHTGRLWLSFSTHRTSVAVRVCPCVSVSTHRTSVAVHQYTYQPVGPWTQHS >SC232g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:36317:38114:1 gene:SC232g500030.1_BraROA transcript:SC232g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A05g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19525642:19528645:1 gene:A05g506920.1_BraROA transcript:A05g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRSDGGLPQKTLPSPAQPPSENQRTVAEEQRRNEKIVRFTELSRGICTGSKGMKDRPIRCMTSSGTSEGRGDQKKSGSLVLRRRSDMEEKKLADDRVSDEQVKRIELMVSDVDARDTKDEEVKVPEVNGESHGEANLQHITTGEAVPGFVTSQVNGDEGEAGAGNVSETATLSYSENGIFSHEKKAVLLSFGSEKEEKKLGDDRIIHDQVENNILLVSDVDARDAYDEVFVEAITGLVAFAQQSRTNSSCNCSQLLCVLDRDCFENESGAQRNGETVAEYNTVKYASGDSIQVASGEKSLNDSIEVACAGTSSPLERKPISYVKLWSLGYSNKMVGHTGCSMKLDKSGTSLRCNRCVSTNITGVIRFRVELADDDGNDCATFCVVTITLNGSKTLNLLHISGGEGQELPRCLEELAGKDYVFQIRVTPYSFTPNHRTFTISAISDASSFCIHAHAESCTSNPTAVFDGEDGQLTASASNTVEGVKIEMGVDCRERKQPQNAPQTTSHTEDRDFDFI >A08p018980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13001393:13001750:1 gene:A08p018980.1_BraROA transcript:A08p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASGSRVSDQFSQAKPSHSRSMSRSQSVRLVEDAELHLPRYDPSSQSGKREEKSRSRSAEYVVHFIPLLLLLCVIILWLFSRSAAVF >A01g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22310250:22313382:-1 gene:A01g507880.1_BraROA transcript:A01g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAKDGVDGIDEEDWHTFALSEIPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERLPTIPHSPGGIVITERGDPTRATRRQTGPTDREKNKRPVEEDTKSESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVHDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVIEFNKKFIEIQKISPNCAAYLVDIVCRKEEARQTGNANVVAVRDTTGHPAATQYDKL >A02p058210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34988437:34990810:-1 gene:A02p058210.1_BraROA transcript:A02p058210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 9 [Source:Projected from Arabidopsis thaliana (AT5G63380) UniProtKB/Swiss-Prot;Acc:Q84P23] MEKTKKSNHSRTIDLLSGYDQRTGIYHSLRSSLSLPPIDQPLSTSEYVFSLLRASSPPATAGKDVESLTYLVDASSGESLTYGELLRKVRSLAATLRERFTSGDVAFILSPASLHVPVVYLALMSIGVVISPANPIGSELEVSHQVEVSKPVIAFATSETVNKLRLSSFPLGVVLIDSPEFLSWLTKPELDNPIPVRVNQTDTAAILFSSGTTGKVKGVLLTHRNVIASTAVSHQRAVNDPVDYDRVGLFPLPLFHVFGFAMMIRAISLGEKLVLLGRFELEAMMKAVEKYKVTGMPVSPPLIVALVKSELTRKYDLSSLRSLGCGGAPLGRDIAERFKLKFPGVDIVQGYGLTESTGPAAATSGPEEMVRYGSVGRISENMEAKIVDPSTGEALPPGKNGELWLRGPVIMKGYVENEKATAETLDQEGWLKTGDLCYIDPEGFLYIVDRLKELIKYKAYQVPPVELEQILQSNPDVVDAAVVPFPDEDAGEIPMAFIVRKPGSNLNEAQVIDFVAKQVAPYKKVRRVAFINAIPKNPAGKILRRELTKIAVNGNASKL >A05p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20894985:20897558:1 gene:A05p036830.1_BraROA transcript:A05p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAPAHALRLRYVVPTRTWLHKFRCSSSSSAVPLAHLSASELSGGNNNTLKTSEDKNNSEKKTAPYYPKRGQTVELVCESLGFKGKGICKVDGTGFVVMCDRALPGERFLGRVTRRKGSYAEVTKIKTLTPHRDLVEAPCEYASYCGGCKAQNLSYEAQLRAKEEQVHELITHVGRFSDNNPGLETVLKPIVPCDIQFNYRNKMEFSFGPQRWLPVEMLHEREDGPVSFALGLHAPGFFDKVLNVDKCLLQSEPANMVLAAVQDCWRDPQLSLSPYNARSHAGFLKHLMLRTGRNVETGSQELMVNFVTSSYKPELLKPLVDKVSSIPEVVSIMNNVNSSVGNTSVGEQEYTLYGKETIAEVLRGLTFQISANSFFQTNTHQAEVLYKLIEECAGLKGDGSEVVLDLFCGTGTIGLTLARRAKHVYGYEVVPQAVTDAHKNAQINGIENATFIQGDLNKIGEDFGSNFPKPDIVISDPNRPGMHMKLIKFLLNLKSPRIIYVSCNPATCARDLDYLCHGVEEKNIKGCYKLMSVQPVDMFPHTPHIECVCLLELS >A05p044480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26701186:26702676:-1 gene:A05p044480.1_BraROA transcript:A05p044480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQDNAISYGSMLVLSLLLLLPPPAKGCDMFTGQWVKDPSYPLYDPSTCPFIRREFACKKNGRPDLDYPTFRWQPQGCKLAWYHHQFNGVDFLQKNRGKKIMFVGDSLSLNQWQSLTCMLHSSVPKSPYTMTTEGTISTFTFQEYGVEIKFDRNPYLVDIVSEKIGSVMKLDSINDGKNWLGMDTLIFNTWHWWSRKSWDYIQIGSNFTKDMDRMAAFEIALGTWGKWVDSVVDTSKTRVFFQGISPSHYNGSLWGEPAAHSCAGQTEPLWGTSYPGGLPPEVGVLKRALGKITKPVTLLDITMLSLLRKDGHPSIYGIGGRTGNDCSHWCLSGVPDTWNEILYNYMLY >A02g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2818441:2819481:1 gene:A02g500930.1_BraROA transcript:A02g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCGALFLLALVVFSLLQTMVMASSGSGGKYNSKRYGPGSLKRSRKCFLHFYLNAQRSVIGGVARHSTTTPAFYSATNAAESVCVCLRVTMGTNKFALATTTGKLNRVDQNALENLPTVLTLSFLFIIKVFDDGYVSL >A02p021900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10331590:10332639:1 gene:A02p021900.1_BraROA transcript:A02p021900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMKKKTFTESEEVELRRGPWTLEEDTLLTNYILQNSEGRWNVVAKCAGLKRNGKSCRLRWLNYLKPDIRRGNLSPQEQLLILDLHSKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIESNSDKFFDAVRSFWVPRLIEKMEQNPSNTYCCPQNNNNNNNSLLPPSQSYDSMSKQTYTDISGKNPGISNTDGSASSSTFMPDLMTVPHFMDHNTIIDSSMCYHEGNDQELGGYIPGMEEYYMRNSDISTDCHVAEAYEDVTQDPMWNVDDIWQFRG >A05p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20482229:20483115:1 gene:A05p036190.1_BraROA transcript:A05p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEDAHNDQVQSPSSTQLRNQVIRKLWSLNIPPKLKIFWWKVLHNGLQVATNLVRSRCRINMDCQLCGEACHELSQSFSLNMDMLQSVQHLVMDGKRERHGSLALVHIVQVIKAAIMDFNLWKEALQFNEPVASDSPATHCHSVEDIPLENHANTIFRQGTLIIQGISAIAPTNSSLEAEATATLLAVQQLHRLRYANVMFVGDNAQLHKSLKDCNHFCNEASIMVLDIVNLSKL >A02p058260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34999866:35001192:-1 gene:A02p058260.1_BraROA transcript:A02p058260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQMTCWSRYYRFFQQKLQSPPASCPNDGNVFGCGYQDSSTLAVVLNARVSSVCLIMIVHFGFFLTEASHYIKLRSSKASVVNLKISNCGFLLLFLASYVSLTFIIMIIRTRTTYYQGNILLDVPRMVGLPSLKTLQIQSVKLLDGESFRKIPSVCPVLENLLVKLYGGYVNMGMINVSIPSLLRLSLQIPYDGLLDGLVIDTPSLKYLKLEDLPQLEEAYLDFNYRDVERLIGSITSVKRLTLALELEHLRLCVGTNCASSLLVRLLEDSPNLRELDLFEMVSKLLGVFKRLILPAWSRLFPFILRKSDLVIARKSCLPAKKENDQWKIWNQ >A09p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5331614:5336261:1 gene:A09p010420.1_BraROA transcript:A09p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative disease resistance RPP13-like protein 3 [Source:Projected from Arabidopsis thaliana (AT3G46730) UniProtKB/Swiss-Prot;Acc:Q9STE7] MVDAITGYVVGKMGDYLIKEASMLMTVRDDLEELKTELTCIHCYLRDVEAREREDEVSKEWTKMVLDIAYDVEDVLDTYFLKFKERSQRKGLMRLANKIGEKKDAYNIGDDIRSLKRKLLDITRKRQAYGIGRRFKEVTSWRVRQLRRARPVDHEELVVGFEDDVKLLLAKLLDDEGERYIISIFGMGGLGKTALARKLYNLGDVKRRFEYRAWTYVSQDYNTRDMLLRIIRSLGVASGEELERIKMFTEEEELEAYLHELLDGRRYLVVVDDIWKQDAWESLKRALPCNHGGSRVIITTRIKAVAEGVDGRVYVHKLRFLTFEESWKLFEQKAFMNFQWVVDEDLHRIGKVMVQKCDGLPLAIVLLAGLLSKKRPNEWHEVCASVWRFLKDNSIHISTVFDLSFNELHYELKLCFLYLSVFPEDYEIDVEKLIHLLVAEGFIQEDGEMMMEDVARHYIEELIDISLVEAVRRERGKVVFCRIHDLLRDVAIKKAKEINFVSLVCNEHHSSSTTCRREVVHHLMDNNYLCDRRVNKRMRSFLFFGEQKGMLGSYVKTTNLKLKLLRVLNLRGLLFDCEGYIPFMSLPDVICELIHLRYLGVADTGLRQLPSLISNLQFLQTLDASGNRFEGMTDLRKLTSLRHLMGRFIGELLIGDAVNLQTLRSISSYSWSKLQCKTLKNLRDLEIYDSGYVELTRVRLNLSSFSKLTNLRALTLRVPTFRISSEAVVFLPSLESLTFFGTKLEEDPMPALRKLPRLEDLVLEECDCSEVKMSISAQGFARLRKLALFRVRLDALWIEEEAMPSLMHLNLLNRLGPRTKLMIPDRFLALVRGSSRAKPLHWTSCLKIAEDVAQGLYYIHQTSSALVHGNLKSTNILLGHDFEACLTGYCLDSSSSSVSPDDADTSSYKPLRSENHLVDPLPNAMSTPLVFLIFELLTGKSESMHPFMAPKDMLAWVRAMREEEEGGTSGATAPGPSPCPPVTPSCVEPALTGTACLCRVTSPEQRPTMRQVIKMIQGIKESVMAEENDPFQ >A04p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8693501:8694790:1 gene:A04p007740.1_BraROA transcript:A04p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSESRNKARLAIMELANMISVPMSLNAAVRLGIADAIWNDGDNSPLSAAEILPRLHLTYQNGTIGGDPENLQRILRMLTSYGVFSEHLTNAGRKYSITDVGKTLVTDSDGLSYAAYVLQHHQEALMRAWPLVHTAVVEPETEPYVKANGEAAYAQYGKCEEMNGLMQKAMSGVSVPFMKAILDGYDGFKYVEHLVDVGGSAGDCLRMIIKQFPNVRQGINFDLPEVVAKAPKIPGVTHMGGDMFQSVPSGDAIFMKVCGNIVVT >A06p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23789758:23792002:-1 gene:A06p044230.1_BraROA transcript:A06p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEFPGLWKVVESRRLLWLLGLTFALIVTFQYIELPNTISSLFSSTKLPFSRNGDGEHKSSRNLAPAMAPSFPQKNASLVDDSSGGVGDDEEVEVDKIFDSGGNATAPTVSPSQLKENATAPTVSPSQVKENATAPTVSPSQVKENATAPTVSPSQVKENVTAPVASAKPPAALPLVKENATAPVASAKPPASLPIPNPSPVKDNATSHVEDKNSTKTNVPGVSPVVRFVPVVKEYSKTPDSRVMSISDMSKQLRRNRISHNRLAKKPKWVTKPDLELLQAKYEIENAPIDDKDPLLYAPLYRNVSIFKRSYELMEKMLKVYVYKEGDKPIMHSPILRGIYASEGWFMKLIESNNNKFVTKDASKAHLFYLPFSSRMLEVTLYVQDSHSHRNLVQYLKDYIDSISVKYPFWNRTSGADHFLAACHDWAPSETRNVFAKSIRALCNSDVKEGFVFGKDTSLPETFVRDPKKPLSSIGGKSASQRPTLAFFAGKPDHGYLRPILLSYWGNNKDPDLKIFGKLPRTKGNKNYLQFMKTSKYCICAKGFEVNSPRVVEAIFYDCVPVIISDNFVPPFFEVLNWESFALFVAEKDIPNLKKILMSVSERRYRQMQMRVKRVQKHFLWHVQPEKYDMFHMILHSVWFNRVSQISV >A02p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5150252:5150900:1 gene:A02p011790.1_BraROA transcript:A02p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKQKGGGDLNMNASTRGCRLLGFSLHVETPASNLSKRICTEVKPILYHIFELERLFNMEGLLRDPEKGWRFLYTDSEDDMMVFGNDPWHEFCNVVLKIHLYTKEEVENANGDSKSCLAALMMEASKSSSVSQPDSSPTVTRV >A05p011730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5138641:5141488:1 gene:A05p011730.1_BraROA transcript:A05p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGSSDQDNEHIVQVRQALMCPDGGRFEGLRTARFLNHTTTSIDDDVFELPLDAFVTSEPEKLSFSGWGSPSVNWIEWVNAMAESNATMWRRSGVYDAIMASRYQITKQDDLMTALVEKWCIETNSFVFPWGEATVTLEDMIVLGGFSAIGNNVLASVKRDSMKSVEEKLKRAKREIEASSMRRCCVSLWMMEMMNSGNEIEHEAFLVSWLSRFVFPNSGDLVREKLFAAAVQLARGVRLALAPAVLAGIYSSLGVLKKQLVGGSGEEETVVTATSPFQFVQVWARERFMDVRPPGQPSQLKPHEPRMALWHHHGGGQEANQSLKSIRTVLDSAKESFHHRPYTKPLKNFKSPKFYLEDDCWVSLEDEDIVAFGRCLRCSKLVGLNCIEPYYPHRVALQFGYDQDVPGVVPVVLTESPELAWKDYIRPITDEMIYIPSRLRRADVTVKYIRWWKQSVTTLQAMAKRSTHKVLKEKPTETTTSTTTMVKSSPPRTSKTVGSKAELKGGSLKTVSDKSSSAKSLTGSEKAKPLKKVELVKKPLSKLTKTPGRSVDEAKERKGGPGPRVSQEIKAHSHVNILLPGSAASSPKTQQSLSQKQTSKSSHVIRPKALPVKSPRPQASKGPKGSSSSSVSPLTSKKSSPKAITSHQSSISKAPPALKKRNAADQRIKAHTKQETRLSSKGGDEAMMNTLKAMSEIMKLEEHVGEGGEVMYQIPKQQFEVLSQGVQDVLHELQSIKSALNIEKSS >A01p048480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27285106:27285506:1 gene:A01p048480.1_BraROA transcript:A01p048480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVPIGRNLENLSHMFYTYFSYIIIYDNRDRKQHVLTYQEKDGDWMMVGDIPWDMFLETVRRLRITRLERC >A08p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12903311:12903593:-1 gene:A08p018780.1_BraROA transcript:A08p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDHGLFLGGRAFLVGDLFLPRKKKEKLSVRMEQQDKCRSLAHTKKQEICMQISPANIW >A01p046830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26343424:26345625:1 gene:A01p046830.1_BraROA transcript:A01p046830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAKHTTLQMLGFILLASLVLTMAQPPGLTKPSHATCKIKKYKHCYNLEHVCPKFCPDTCHVECASCKPICGPASPGDDGGDTPPTPVPPVSPPPPAPVPPVSPPPPVTPTPSYPTPTDPMPPAPVSPPPPAPVAPVSPPPPTPTPYVPSPTPPVSPPPPSPTPDVPSPTPPSSPPPTTPTPAVPSPTPPSSPPPPSPTPAVPTPPDVSPPPPTPAVPSPPDVTPTPPTPSVPSPDTPTAPLPPYSPPATPAPSVPSPTPTPPSSPTPPGSTPTTPTPSVPTPSPSVPVPSAPNSPPYVPPSSPTPTPPSDGEAGAGVRRARCKKKGSPCYGVEYSCPSACPRSCEVDCVTCKPLCNCDKPGSVCQDPRFIGGDGLTFYFHGKKDSNFCLISDPNLHINAHFIGKRRPGMARDFTWVQSIAVLFGTHRFYVGALKTATWDDSVDRISASFDGNVISLPQLDGATWTSSPGVYPQVSVKRVNADTNNIEVEVEGLLKITARVVSITMEDSRIHGYDVKEDDCLAHLDLGFKFQDLSDNVDGVLGQTYRPNYVSRVKIGVHMPVMGGDREFQTTGLFAPDCSAARFIGNGGRNGGWSKMELPEMSCASGIGGKGVVCKR >A09p053760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46559192:46561066:1 gene:A09p053760.1_BraROA transcript:A09p053760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSSGKQQQQRPRPPSSKTRDARLKLRRTPNEEHEPENYEDLPLDFSPALFSSLERYLPEKILNSTRIEKARFMSELLQSYSPATELNRIQRHREYRQRILSSYQRLHGDIYTLDPARFFVPSFLDAVSQPSEERFRSMIVPSAPGIYTFDMLQPRFCEMLLAEVEHMEKWVYDSRSTIMRPNTMNRFGVVLDDFGFESMLQKLVDDFISPISQFLFPEVCGTGLDSHHGFIVEYGKDRDTDLGFHVDDSEVSLNVCLGKQFSGGELYFRGVRCDHHVNSESVENENYDYSHVPGRAILHLGRHRHGAKATTSGHRVNLILWCRSSTFREMKSYQSDFSSWCGGCKVDRQNRMQASVKATMELLNRRTAEKTLVELSSTPPAD >A07p020340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12009462:12011038:-1 gene:A07p020340.1_BraROA transcript:A07p020340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYLCQPVIAGLGEDDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEIKERILKGRMD >A09p010210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5202603:5203789:1 gene:A09p010210.1_BraROA transcript:A09p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILSDPHFSSVLKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRALPPPPKHDDDNNTRALSIVPLANDGEQTNLTSPRTHASKKSITSLDRIILEAITNLKEPRGSDRTSIFMYIEDNFKTPPNMKRHVAVRLKHLSSNGPLVKIKHKYRFSTNFTNPGARHKSPQLCLEGNNNSPRPKGNGANILTKSRADGEVFMIKGMTAKEAAETAARAVAEAEFAITEAEEAAKEADKAEAQAEAAQIYAKAAVKALKFRISNHTW >A03p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2977034:2979277:1 gene:A03p007140.1_BraROA transcript:A03p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSILLCLVILVTLGFVGSLSYAHDTEVTSKDVESSQKETEVVHKSKHEEKGGEKVNDDHKAGSDVDKKDKKKEHDVHKKDDHHEKKEHDVHKKDDEHEKKEHDVHKKGKAGDIVLGYDSVKSYKTDKVYFGATVGRVANRIGKAQFKLNGKEYKTTANDGKNTLHGGKKGFGDVVWGVKKHKYDGKKPHIVFTYTSPDGDQGFPGEVNVTVTYKLDKENELSVVMEAKPKDKATPINLAHHSYWNLGGHNAGDILSEEIQILGSSYTPVDGELIPTGEISPVKGTSYDFLQLRPIKDNMKDLKAGYDINYCLDGKADKMRKIVELIDKKSGRKMELSGNQPGLQFYTGGMLKDIKGKNGTTYQAFAGLCLETQGYPNSVNNPKFPSQIVEPGKTYKHTMLFKFSIVS >A03p018210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7435243:7435927:1 gene:A03p018210.1_BraROA transcript:A03p018210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVHFESVDQRTLSVQEKPNDKTSNTVLQEHEEQGGIQEAWSEIKNRGENVGGYLAGESRHKLEKKKSQVLLEGYVEAQENLTRGKSLTDDDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDDKLNHQEDSPRPPPTTTSPVANWKISSPGDNPDDVKARLKYWAQTVACTVRLCS >A03p013460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5292564:5294367:1 gene:A03p013460.1_BraROA transcript:A03p013460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP71B10 [Source:Projected from Arabidopsis thaliana (AT5G57260) UniProtKB/TrEMBL;Acc:A0A178UG51] MAVIWLLSLLFLISILITAVKRTKQSDGRQPPSPPGLPFIGNLHQLGPLPHQSLWELSKKYGPVMLVKLGRVPTVVVSSPDTAKQVLRDHDLNCCSRPSVEGARKLSYNYRDIAFSRYDDYWKELRKLCVMELFSTKQVNLIQPIKKMEMKKLIHSITESAYKKTPVNLSETFLSLNVNVVCKASFGVSFQGTVLNNEKFQALVHEAIEMLGSFSASDFFPYIGWIFDWFTGLHARRERSVRDLDAFYEQMIDLHLQKNREERSEDDFVDLLLKLEKEEAVLGYGKLTRNHIKAILMNILLGGINTSAITMTWAMAELARNPRVMKKVQSEIREQIGKSKEARVISLDETDKLKYLKMVIKETWRLHPVSPLLVAREVISEFKINGYTIQPKTRLHVNTWGTGRDPEIWKDPEEFIPERFMDRDIEAKWAAL >A10g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16764525:16766197:-1 gene:A10g506140.1_BraROA transcript:A10g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSIPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEDY >SC298g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000144.1:5332:10655:1 gene:SC298g500010.1_BraROA transcript:SC298g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTSCPGLCTISKHLKWASCDLSGHTGPYRSVQGRTASPYLCTLAHFDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHKITWRMFSTQLRSSSKKNQIKRSSYMIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEKAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLSDMVVKVSTDDPDLLSFETDNTWHFLRSFRYNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNKKHSWPNFKIDKPIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYILRTWNWKYLREASSKLQGSKIDLRSNPFEEGGNDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMPFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKFLGEVISKFRSFFCWTVLNPLGSEFISTLPKSDPYFGSITFAPQHSSKSLLDFDLA >A05g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5630841:5636697:1 gene:A05g501580.1_BraROA transcript:A05g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPALAGKAVKLSPGASEVFGTARVTMRKTVKPTGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGDGPLGEAEDLLYPGGSFDPLGLAADPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >A06p055970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29193267:29194551:1 gene:A06p055970.1_BraROA transcript:A06p055970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKLVLAATSGKSSKILLGLRVLAFLATLSAAIVMGLNKETKTFVVGNVGNTPIKATFTAKFQHTPAFVYFVVANAMVSFHNLLMIALQLFGGKTELTGFRLLSIAILDMLNVTLLSAAANAAGFMAEVGKNGNKHARWDKICDRFATYCDHGAGALIAAFAGVVLMLIISAVSISRLVQPNKCSISTTA >A08p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9955711:9956620:-1 gene:A08p014530.1_BraROA transcript:A08p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVIYHFNWGIKDSAENLGEIFMGCQIKNSPYNFKIFKNESKIFMCHIENLSSDTFKFLKKRIDEMYQVNTLLDNSLVMEVSSVARVMGSGDLNDERKILLKMEGSLVSILNSLMVITFMTSIFLVIFLRTGRRNLTRYEELDKDAQAHMNEELSWVMLCVLHQTIRSCVQILGMVIVTILFDILWFTWNTLRVYSSYASFLVLMMVTFLFVIGEHPG >A09p008150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4210265:4212163:-1 gene:A09p008150.1_BraROA transcript:A09p008150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G61930) UniProtKB/Swiss-Prot;Acc:Q9FH50] MQRRKRITQISLSIISKTRFFSSSSIKPLTNLDNDDEADPLYADVPKPRKDKSERKPYPTPMKELIRRAKEEKELRKSQPCRVLEDPPDNGLLVPELVPVARRVHRCRASLLSGLSRIVHHHVHVHRCRFCSEVHIGKEGHGIRTCTGSGSGLRSATHVWKRGRASDVVLFPKCFHLYDRAVKPRVIHDERFTVPKISAVLELCIQAGVDLEKFPAKRRSKPVYSIEGRIVDFEGVNNGNSETAVTTPLQEDNLCMSAEKKSLKELSIETMDSWFEMVTGVKKLMERYKVWTCGYCPEIQVGPKGHKVKMCKATKHQMRDGMHAWQEATIDDVVGPNYVWHVRDPDSSALDNSLQRFYGKAPAVVELCVQGGAQVPDQYKSMMRLDVVYPQRDEVDLVA >A07g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24688546:24689931:1 gene:A07g508840.1_BraROA transcript:A07g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVVYLQDPLSYSSCKDFPFHDLYFQQGEDQDPLDTKNNIKLGQEQRQGFGSINYNGKGGDNSVDYSYNDQVDLQWPLHELPYGSTVDTKNHPPPSDMAATGGERRKRRRTRSNKNKEEIENQRMTHIAGDQASIVGGAINYLKELEHQLQSMEPQVKTTATKETGSTVGDKNNTITASSSGPFSDFFAFPQYSSRPSSSSVAEGTAEIEVTMVESHASVKILAKKRPKQLLKLVGSIQSLRLTLLHLNVTTHDDSVLYSISLK >A08p043500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24207030:24208850:1 gene:A08p043500.1_BraROA transcript:A08p043500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKASRTKESLVPLATLIGRELRSEKLEKPLLIYGQAALAKKGEDFFLIKTDCERVPGDPSSAFSVFGIFDGHNGNSAAIYTKERLLDNVVSAIPQGASRDEWLQALPRALVAGFVKTDIEFQQKGETSGTTVTFVIIDGWTITVGSVGDSRCILDTQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEYIVPIPHVKQVKLSDAGGRLIIASDGIWDILSSDMAAKACRGLSAELAAKLVVKEALRTKGLKDDTTCLVVDIVPSDHLTSAPTPKKKQNTFTAFLSKKKHTDTNNKNGNKLSSVGVEELFEEGSAMLADRLGKDLPSNTDTGLLKCAVCQVDQSPAEALSSNEGSIISSASKRWEGPFLCTVCKKKKDAMEGKRPSKGSVTT >A01p024180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11924542:11925746:-1 gene:A01p024180.1_BraROA transcript:A01p024180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIAKLAVVNLFREFPSANQESKMLETLDAGDDEEPALEPAWPHLQVVYELLLRFVASPMTDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFMFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHRPKCAAAYHQQLCYCIVQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRLIARCINSSHFQVAERALFLWNNDHIRNLITQNYKVIMPIVFPAMEKNTRGHWHQAVQSLTLNVRKVLAETDQALFDECLTKFQEDEANKTEVLAKREATWKLLEDLAASKTVSNEAVLLSRFSSSVTLATGKTSAS >A09p030990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18840493:18840747:-1 gene:A09p030990.1_BraROA transcript:A09p030990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVGLELEKMPKAETSFTKNELGPQDTNVKPTQKNMEATVTLCTETKREVKDKGSGPGSYRQREPITLKNKFQLLESKAETKT >A05g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14076605:14081104:1 gene:A05g505050.1_BraROA transcript:A05g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHISSTTMELEEERDGDWSRWAKRALESCGLWCGHVKGKPLVEMATEEGQTRNLKSEDEADQETTLESGIEEAYEERSKLVKVSGDKRVICGLSQGKDELYQLVGRLREGWMELDVLRPSTADPRVIKKRTEQDVFFSFLVEEIWVLTHQACAVWEENKRSNQWKGGTSCKKGRLRKLSRVWLKMGKAWKKNMESGYLTDKMSLKMIKEVAQQVVRGECSYSAYMSNSVEDSMVMKEQEIKRADDPITKKEWDGFVTYSESGVQEQHQGDSGHHDQEVTEEVENVPQVRVDEQGEVHDPEEVTETETEVQAELKLGKLPWLTLESKPRPRAVWIREEQSG >A07g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21763427:21765063:1 gene:A07g507830.1_BraROA transcript:A07g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-adenylylsulfate reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G62180) UniProtKB/Swiss-Prot;Acc:P92981] MALAVTSSSTAISGSSFSRSGPCSDRKALQICSFRLSDLSHVSQRRYSLKAESPPTRNDSLVTRASTLITPGVEEKEEDVEDFEQLAKKLEEASPLEIMDKALQKFGSNIAIAFSGAEDVALIEYARLTGRPFRVFSLDTGRLNPETYRLFDAVEKQYGIRIEYTFPDAVEVQALVRNKGLFSFYEDGHQECCRVRKVRPLRRALKGLKAWITGQRKDQSPGTRSEIPIVQVDPVFEGLDGGVGSLVKWNPLANVEGGDVWNFLRTMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKKEDDSTTADLAPAIVHDIFESSNVVALSRGGIENLLKLGNRKEPWLVVLYAPWCPFCQAMEASYVELAEKLAVKGIKVAKFRADGDQKEFAKQELQLGSFPTILLFPKSAPRAIKYPSEHRDVDSLMSFVNLLR >A01g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8762105:8762592:1 gene:A01g502530.1_BraROA transcript:A01g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSGSSSNSRNRGYGGRKLCACRLPAKIFTAWTDKNPGRKFFGCELYKKPEKPGCSNGDEESICNEFVKLLSKGNIH >A04p031150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18426393:18431279:1 gene:A04p031150.1_BraROA transcript:A04p031150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHNFFGQEGLSQDQHQSQVVDGSWSGFSNGLVGNQRHIDPSSIASLKSYSTQQPVDPERWQSSNSHHGLSFTQQQQPSIRSEYSRGLLQDNQQLTNGYMHGMAMQNGSNVLGVGVESGRDSLSAKGFTSDIHKTPMRFEMGGESPVNCDFFGGQQQLNSQPPGMLQPFPRQQMTFNDMQVLKQQVMFKQMQEYQLQQQLHKREARQLSSLNSNAVNGNRSSDNQSHLLINGIPLQDASSNGWQPDLVSGNTHWMHPGISPVSSSGLGAEHGQANLQFEPSLYSMPLGGANAPQNSFSSVQMSRLSSEHGSALTNQPDSFMLPRSTYQARAMFSNTSAPGSNDSPNFECFQQDDPRERNVSAQEKLDQMKGSGPPEKSYIKAPGNVSGSQKSTALDPTEEKILFGSDDNLWEAFGNDTDMSLTGNLMSSSSDLNDACPSLKGGGWSALMLSAVAETSSNDAGFGNRVQNLGVKASNALSERLQSDSGSIQRNEGIEDRFGIWKAASNPNLVAPAEQKNHFTQNLQMKANYGFGIATAENKSTASRDVQENQQHLGNNSVEKATPQVNYRDGSQISLKFHYHPMGNTGVTDEPYREKVAHLPPTLEQVSAGNQGYFGQPKSLSQPPMNMQIDRGHGLQGIGSENSPTTSASADRSVDMCNQVKNASRQTMLELLHKVDQPEEHSVETNVSNIPESTPSAENGGQSRQRQSSASQGFSLQLAPPSQPAPSPDNVQFSMNSLQPLNSLHIAPEKGPTSQSRFAPWASNQSFPQHSTYQGESNNASGFPYSKGYRQNQLMPVDTRQLTSNHLVSSSSELSTLQVKERDQSSDYSAQTPSLLNPTTHNNKGDSAEGFPMLSAPQPQVIFSSPQQSSSSGMRSDSGAGILAPQHRFWNQPPKPQLDILRPHPVTNSHVEDIFSRQEKRNQLSSQNGGDMSLSGRDMVNMHELQGQDMGAKQTAGVASMFSRMVQSNHQTFDRSFPSNNLPKDNMRHDELMAENGEADAPKMTVKRGEDSSVHLQKVASKEEQQSPLRSDGLLRDGLNHKESANHLLPFGQTVSQSFSNKNHSAAAGTDHQQQISPQMAPSWYNQYGTFKNGLVQPVNNTGRLTSLNIEEKSSNVGSSADGSHSVQSPKQSRKQFNTQQMSGSAPGAEIPSSESLPHGATHTLLKVDKPKKRKTATSELLSWKKEVMHGSQRLKTLSEAEVDWARATNQFAEKVEFGNLLEDGLPIRSKRRLIYSTQLMQQLFRPPPARVISLIASSNYEFVAYTAARGALGDACSSTFTDRNECLLPQNKSNPVSERRKTETISEQYISKAAEDFISRSQKLETNFAGLQNGSTIADLSVELQDLEKFAVINRFAKFHPTSSSTDRTVSSLRLIPQRYVTIAPMPQNIPDRVQCLSL >A05g503090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9188488:9188805:1 gene:A05g503090.1_BraROA transcript:A05g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDGRVDQWAICNMEITKKRDRIDGGWDLVAGHMSLSTKSVDGMMKRDGSSSFCMCVSLKIGRGMKMDRWTPQRTMNGSLICQGFALKWLHTNWKYFRVLLGEN >A06p016330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7303542:7303823:-1 gene:A06p016330.1_BraROA transcript:A06p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVRRDMFLLLGIAFFVLLQTDKVSSLRWERDMRLQLLTVHPFRVLEESSSSSKEGNLNTNGDLAPSVMHDPNQSVKRKIGRGSDPIHNKC >A05g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4931161:4938073:1 gene:A05g501310.1_BraROA transcript:A05g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDCHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGCSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEEELCFINNNGSWYKKEPNFQYNNYQQKSYLNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLTSTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVAPRLLLGRFLFYLRAFWSFHYAPTRQIIFGSIEKYTKTLLRSSSLGFLISNHNASFELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKCFDIPQNWFDNHLYYNICLRSLENS >A03g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:100105:106999:-1 gene:A03g500020.1_BraROA transcript:A03g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVDFEITIFDPNIHQYTYQHAGPWTQHAGPSRELFWTSVGVLQHTQDVRVCPSAHTGRPWLSVCVRVCPSVHTGRPWLSISTHIRMVVLGLSTLTLPVECSGDFGPRALSVQYTQDVHGCLPAHTGRLWMSVSTHRTSVAVCVCPSEHNGRPRLSISTHISTLVLGLSTLALPVNCLGDFGPCGLSVQYTHDVCGCPPAHTGRSWLTLVLGLSALALPVDCSGDYGQYGVSVQYTQDVCGCPPAHTGRLWLSVYVRQHTQVVSGCPSVHISARWFLDSARWPFPWTVRVILAYVGCLFSTHRTFVCVRQHTQDVRGCPAAHTGRSWLSGSTHRTSVAVHQYTYQHADCPWTAVQYIYQHADNTYQHAHRYATQAPDQFNNHKTMLVKKLRRIVVHTGRPCVSICTHRTSVAVRVCPCVSVCVRQHTQDIRGCPSVNISARWSLDSARWPFPWTVWVILAQVGCLFSTHKTSVGVRLHTKDVRGRTRQHTQDVRGCPSAHAGCPCVFVSTHRTSMCVRQHRQDVRGCPSGISTLVLGLSMLALPVDCLGDFGHVGCLFSTHRTSMGVRQHTQDSVAVRGCPSVHTGRPWLSVCVRVCPSAHTGRPWLSISTHISTMVLGLSTLAIHVDCLVYTGRSWVSVSTHMTSVCVRQHTQDVRGSPCVSVSTHRTSVAVHQYTYQHVGPWSQHADPSRGLFGTSVGVRQHTQDVYGCSCVSMCVRLCPSVHTGRLWLSISTHIRTLVLGLSTLALPVDFLGDFSPRGLSVQYTQDVRGCSPAHTGLLWLSVAVLQHTQDIRGCTCVSVFVRVRPSAHRRRPWLSISTHISTMVLGHSTLALPVDCSGDFGPCGLSVLYTQDVCGCPSAHTGLSCVSVSTHKTSVCVRVCLCVSISTHRTSVAVHQYTYQDDGPWTQHAGPSRGLFGTSVGIRQHTQDVRVCPSEHTGRPWLSISKHISTLVLRLSTLALPVDSLGDFGPGGLSVQYTQDVRGCPSAHKRRTRQHTQDVRGCPSAHAGCPCVFVSTHKTSMCVRQHRQDVCGCPSGISTLVLGLSMLALPVDCLGDFGPRGLSVQYTQDVHGCPPAHTGRPWLSVSTHRTSVAVCVCPCVSVSTHMTSVAVHQYTYQHDVHTGRPWVSVSTHMTSVCVRQHTQDVRGSPCVSVSTHMTSVAVHQYTYQHIGPWSQHADPSRGLFGTSVGVRQHTQDVYGCSCVSMCVRLCPSVHTGRLWLVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRTTTIKIRNRKESKVDLIPNLRMSVYNKV >A02g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4077805:4078204:1 gene:A02g501220.1_BraROA transcript:A02g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPNNNQDLLNPRSTFNNIEEHERDLGVRETGSEIYDTTRPPPPLAAANGKEIERFDRERERDREEREREERDAARREKRKRGSAGREKDHRRLGLPVSGISLQSFASKFLMGD >A03p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4571155:4572831:1 gene:A03p011330.1_BraROA transcript:A03p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDIKRPISNKTKKKTGFIVNMQQLNHNNRGGNNLFIVFFRHYYKWILWFFLSLYFFTSYFARDQSTTTTTTSLISNHKTSSSLPSHALFESSAIVKPAHASIFSGMKIYVYDLPARFNADWVTSSDRCASHLFAAEVAIHRALLSDSNVRTLDPEEADFFFVPVYVSCNFSTANGFPSLSHARSLISSAVDFISESYPFWNRTRGSDHVFVASHDFGACFHAMEDMAIEEGIPEFMKNSIILQTFGVNYKHPCQEAEHVVIPPYIPPESVQRAIDRAPANGRRDIWAFFRGKMEVNPKNISGRYYSKGVRTAILKKYGGRRRFHLNRHRFAGYRSEILRSVFCLCPLGWAPWSPRLVESAVLGCVPVVIADGIQLPFSETVRWPEISLTVAEKDVKNLRKILEHVTATNLSTIQRNLREPAFKQALLYNVPMMEGDATWHILDALSRKLVRSYRRSRVFSQ >A07g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27450327:27450761:-1 gene:A07g509240.1_BraROA transcript:A07g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLFSSEAACARYMDGHVEKNKEIEAIWRIDALHKVQKAYPIAFVSIITHCLNGTAAEHSPWIKNSAFTTFLMKFFSDV >A09g517650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53064724:53066370:-1 gene:A09g517650.1_BraROA transcript:A09g517650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RSP31 [Source:Projected from Arabidopsis thaliana (AT3G61860) UniProtKB/TrEMBL;Acc:A0A178VG60] MVNRDKETVAVSATNRIQRCSSKSTERQRLQLHNRYALLTVDGFAFVKLSHFVSYLLKTLYLSGDGSYQKPTKTLFVCNFDPFRTKEVDIEQHFQPYGKVINVRIRSNYSFVQFATQEDATKALEATQRSQILGKVIAVEYGLEDDDERDDRRGGGSPKRSPSPAYHRRPIPDYGRPRSPEYDRGRRSPAAYERRRSPAACERRRSPADYGRRSPDNGKQRSPSYDRYRSLSPVPRGRKSEEYEKNAEPEATKDACDTMVSQP >A08p028800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17925379:17927128:-1 gene:A08p028800.1_BraROA transcript:A08p028800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRKWSSGGRSRSKSSEANGCISALYHFFHSHHFYFPSRHHHHQPSFDSPSRYPKGLVAPRNSLELTEESSLSTNYKEKDNLNISVGGKRSNLRALIFDRSSDNCNSPSAKSPNLVARLMGLDLLPDNLDLNISSRKSVRGHRHSESGSGTRSLPESPRVSSARKSDSDVRRLSLQLNRENKHEDSVCRRLKDEENQSPGNNERVITRRLGMDITNLLENRRVRQGQDQIKHRKVRSMSSRKENTLSSSPTFVFKQDNISRQQTKTLTLSKDSKKNLKSVDEQPLRPTNVCKKVCSKSKFSPHSTPNNQHKRRQVISTSRCDHLHKKECKQIPNSSAVSASERPRKQMERAEGPEQKEDGTICFGQMYNYEEKLPQELLSSSSSHSTTISATFSNVGRTKIYFEYLTGMKKLEKEEERVVAEVERHIVDALVLETVKLACV >A03p030740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12852310:12853389:1 gene:A03p030740.1_BraROA transcript:A03p030740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTFIQIVKKKSTEEYSPVPYLATLINCLVWVLYGLPMVHPHNTPVLTINGTGIIIEVVFITIFFVYCGRQKQRLVIAAVLAGETVFVAVLTVLVFTLQHTTKERSLSVGIICCVFNVMMYASPLSVMKMVIKTKSVEFMPFWLSLAAFLNAGVWTVYALIQFDPFIAIPNGIGCVFGLAQLILYAAYDK >A09p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5851005:5853592:1 gene:A09p011570.1_BraROA transcript:A09p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHLSLVKSIREFSSEKKMHFSSLSSLSTWTTLITVGCLMLHTSFSSAQVLTPTFYDNTCRNVSTIVRGIIVNELRSDPRIAASLLRLHFHDCFVNGCDASILLDNTTSFRTEKDAAPNANSARGFPVVDRMKAAVEAACPRTVSCADILTIAAQQSVELAGGPSWRVPLGRRDSLQAFFDLSNNNLPAPFFTLPQLKASFSNVGLNLPSDLVALSGGHTFGKNQCQFIMDRLYNFSNTGLPDPTLNTTYLQTLRGLCPRNGNQSILVDFDLRTPTVFDNKYYVNLKENKGLIQTDQELFSSPNATDTIPLVREYADGTQKFFNAFITAMNRMGNITPLTGSQGQIRQNCRVVNSNSLLHDVVEIVDFVSSM >A01p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22226415:22230109:1 gene:A01p041370.1_BraROA transcript:A01p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 45 [Source:Projected from Arabidopsis thaliana (AT1G61810) UniProtKB/TrEMBL;Acc:F4HVG0] MNNLPIFVFIIILQSLILSSSCLYQNSSHNILQDSSPFPSDFLFGTASSAYQYEGAFLTEGKGLNNWDIFTHENPGKIRDENNGDMAVDQYHRFKEDIQLMTSLGVNGYRFSISWSRVLPRIKPFVTLNHLDYPQELENRFQSWLSPEMQNDFGYLADICFKHFGDRVKHWTTLNEPNQQIILTHLKGTFPPSRCSLPYGNCSQGNSEREPFIAAHNTILAHAKAVHIYRSKYQVKQRGIIGIVVQTSWFEPISDSIADREAAERAQSFYSNWILDPIIYGKYPKEMVNVLGSALPRFSRKEMENLKQLRLDFIGINHYTSYFIQDCLFSTCNAGDGASKAQGFALKLDRKGNVSIGELTDVNWQHIHPEGFRKTLNYLKNRYHNIPMFITENGFGDLQKPETTLTELLNDTKRIQYMSGYLDALQSAMRDGANVKGYFAWSLLDNFEWLYGYKLRFGLFHVDYTSLKRTPKLSASCKKLIAILCHSCCFFLTD >A08p026170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16708543:16711550:-1 gene:A08p026170.1_BraROA transcript:A08p026170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMESLHRVETLISGLHHHPRTNNTNRNRVPRSVNIFNAANHVNPRKCQCFDLYDQLVPYNKAWTWQKSLVEEKQILLDRNQDCPDTLILLQHSPVYTMGTGSSEDYLNFNIKDAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHEMDLHWYLRTLEEVIIRVLSSAFSIKASRLDGLTGVWVGNQKVAAIGIRVSKWITYHGLALNVTTDLAPFNSIVPCGIRDRQVGSIKGLLGDGEEQGKVGDLRLMDVAHESLLKEFSEVFQLQIEKQTLLALYTILRSFKKRHEHAPSLCVKEIKVATSVGPIMLCQGRLKLCFEPYLVRQLHLHMMLDCSEQCMSIINGPFVVHLVSQLGKKTVTRLCNNPRSASVVVLMPPSTPKSHLLSSSLEEDQKFKCYRGVRKRSWGKWVSEIRVPRTGRRILLGSYDAPEKAARAYDSALFCIKGEKGAFNFPNDKKPQLPEGSVRPLSKHDIQTIATDYALSVASAPSSPTTTVPATYQVPSHVPASSDASSANEHYLPVDATAE >A09g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18827279:18828001:-1 gene:A09g506300.1_BraROA transcript:A09g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEPMLNLESVLLDAHRCLNEQGEIGQLMVTKIYVCSVPNINEMLASHKRVFLLYSLHGPSKPGENVPSAKSYPLEAIVKDCCEVTNRRISFEYAILGLILYNPIHGCANIKCCPKLEKK >A09p080810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59034391:59036122:-1 gene:A09p080810.1_BraROA transcript:A09p080810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRFL7 [Source:Projected from Arabidopsis thaliana (AT1G06910) UniProtKB/TrEMBL;Acc:A0A178W486] MALSQWKEAILEGIFTEIEQGLVEEKNLERLENLVEILHKEGSKVPQSVKESYCQVAVECTARSLTNERDAKEAYTEAIRSIWLRRVMPLCDKVSCLVTRDLLNSCKRLWRAHGDAKAREILIDENTREKALASLRKVVSELHPNIDWAYGERDESEETSKETGETEPMEEDEGRLSDIEEEGPCGALEAESPPRRMKTISSAVVAKALEELRASKIDLMNALAEAGGPSNWKVASTTQQENVVTDPPAANKPSLMERRATAQTYEWEDSIDDDSDGENGEADNEPRGKRIVVSPWKRNLVGGRRPKIPWSTAETLAVMKGYEKYGANWKRIKDECDILVRRTNGDIKDKHRVEMRRLERHPLSR >A01p009060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4337085:4342220:-1 gene:A01p009060.1_BraROA transcript:A01p009060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin-2 [Source:Projected from Arabidopsis thaliana (AT4G29350) UniProtKB/Swiss-Prot;Acc:Q42418] MSWQTYVDEHLMCDVGDGQGHHLTAAAIVGHDGSVWAQSANFPQFKAQEFTGIMKDFDEPGHLAPTGLFLAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQSCVFGIYEEPVTPGQCNMPSEKKQRKEKMSWQSYVDDHLMCDVEGNHLTSAAILGQDGSVWAQSTNFPQLKPAEIEGIKKDFEEPGHLAPTGLFLGGEKYMVVQGEAGAVIRGKKGPGGVTIKKTNQAFVFGIYDEPMTGGQCNLVVERLGDYLIESDL >A02p030720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15686146:15690443:1 gene:A02p030720.1_BraROA transcript:A02p030720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRGSLHDFVNQINFFYNSVNIYLRASPSLSSRDHSSRLFTGGEFCVPARSDLNLWLLRKCRRFTQESSRPSRMMRRRASMVTFASDVSDNDIEVFGMQVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDCPWEFQPNDFVEGDTITGGDLYATVFENTLMTHRVALPPDAMGKITYIAPAGQYSLKDTVLELEFQGVKKSYTMLQSWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSTALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEAALVAKFKKLYEDLTAGFRALEDETR >A09p018700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9880877:9882108:1 gene:A09p018700.1_BraROA transcript:A09p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIPTQEVHIDIDDSLSISKEKNTDLVHAKPIVLMSFLSGLHAGYFRISLSLCSQALLWKIMIAPDSMSMSHLHSNLPSMAFHLLWYLALATQVLLCLLYALKCFFFFDMVKEEFSHYIGVNYLYAPSISWLILLQSAPMMEPQSILYQTLFSVFALPVLTLDTKLYGQWFTTEKRFLSMMANPASQVSVIANLVAARGAAEMGWRECALCLFSLGMVHYLVIFVTLYQRLPGGNNFPTKLRPVFFLFFAAPAMGSLAWNSICGSFDPLAKMLFFLSIFIFMSLVCRPNLFKKSMKRFNVAWWAYSFPITFLALNSVQYALEVKEQVAAGLMLISSSISVLIFLGLMILTAANSNRLLRCAPVLGSVTSPKVKSRQRS >A10p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3978881:3979329:-1 gene:A10p014850.1_BraROA transcript:A10p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHRVPLLRVVVGQESELKGSLLGVNAVQVSSSSSPNPIQTLTADIIDLMNKNHVFRWFHEAFKYEMQQLDYQVRLLEEELQLLKATMRTEGTNNGRIVVGCCLIHVVIVLGIRYYM >A03g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31440071:31440775:1 gene:A03g509780.1_BraROA transcript:A03g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDIGQVSLAGDIGQVSLAGSILSDPIDDCEIAIEYAFHFRTELTLCRAQSFNHSKALHLACDEDFNQIQEFIKDCKKKLEACKKKTEEAYSDESAGDDDIERLQKELDEDMELESKINDELR >A01g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2675894:2676285:1 gene:A01g500720.1_BraROA transcript:A01g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISADDRLQEMPRQMKININRFKQECTSIDINLHLSRHLLVSIVSTDAHRSMVLPLVDLYVVSSGEMSFMLQNAPKS >A10g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:942777:943703:-1 gene:A10g500260.1_BraROA transcript:A10g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQLLRSLNQTRSQNPFTGKVTNPTLSPSHLKVIYRIKLPGPAILGERGLLHSPKPDLCQKVSSFELDDSLVHCVLPRFEVEDGVVQREEVRRECGKGFTSSKALCGHMACHFEREKRVSCSHFFQVKKSVKSLVISHELV >A03p046520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19643669:19645893:1 gene:A03p046520.1_BraROA transcript:A03p046520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G48520) UniProtKB/Swiss-Prot;Acc:Q9FV81] MSTTLFRTIQPNHFTLLTTALLRTRTKTSRHISVRCQTSTTTQQPRTSAPKNHGSNKLDEILKDYEAVIGIETHVQLSTSTKAFCSCPNSYGSHPNTSICPVCMGLPGALPVLSSKVVDFGVRLGLALNCSLSLKSKFDRKQYFYPDLPKGYQISQFDVPIASGGYVDVDIPLEFGGGHRRFGITRVHMEEDAGKLLHSDAGDYSQAMIVDLNRAGVPLLEIVSEPDMRSGVEAAEYGSEMQRIVRYLGVSNGNMQEGSLRCDVNISIRPIGQAEFGTKVEIKNLNAFSAMSRAIDYEITRQALLYNQGQADKIVTETRLWDEGAQKTVTMRKKEGLADYRYFPEPDLPEVILTQEYVDSIRASLPELPEAKRRRYEAMGLGIQDVLFLANDVSVAEYFDEVIGKGADVKSAANWLMSDIAAYLKNEELSISDVKLTPHELAELIAAIKDETISGKIGKQILFELLAKGGTVQGMIKEKDLITDPVEIEKVIMKVISESPKQLEQYRSGKTKLQGFFAGQVMKMSKGKANPALLNKILLEKLNAKE >A05g509100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:27339041:27339220:-1 gene:A05g509100.1_BraROA transcript:A05g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFLPSEEIVYIDFVGDPIFDLYDDYSWIQYDNNEFYGQELDKLGDEVTHWNILFLV >A07g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:810787:813843:-1 gene:A07g500350.1_BraROA transcript:A07g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FLY2 [Source:Projected from Arabidopsis thaliana (AT2G20650) UniProtKB/TrEMBL;Acc:A0A178W2C9] MKKSNMGLGFLLSLLSILVTQQANGLRPIRDTSRSSWGDQWLFGKKEVSSGPFSPWNITGTYRGTWKFQDTVNSSSKFPDFRNQNGNSVIELVTSPTKITGVHYVQVTTSSFFFLYISVINFERGQGAVVFHDVFDNEHNVGGAQIKVEGVYIWPFRQLRLVANSGKNGDSGLEDDYLLSNPYHLLGIFSSQVLQESPRDRIMSKQKASPIHEMEKHCNIEIAAQISRASSTQNSGDKDYFHIEGLMESPAVDDDGDCFSPLLLNATSINVEVYYNKAVNYTLMVTFVSFCPIHHRMTNTVAVSFLQVLLLIRQMEHSNTQSGAAKVSIVMIGQQAIMDSYLCLLHLTAGILLSFKTKTSLTSSYAESLFNAFATAAFFKFVVFSIFEMRYLLAIWKATRPSNSGEGWETMRRELSFLYSHGILLGGILIMYELHNYMRWILLLMYSFWIPQIVANVVRDSRKPLHPYYILGMTVTRLAIPLYVFGCPKNFMRVEPSNAWCVGLCAFTGFQAAVLLLQHYFGSRCFVPRKMLPDKYNYYRRLDHDVNRSRDCVICMATIDLRRRTNDCMMDGHKDGVPNMSSHTSSSLTLKRIISASVSLGSHLLTSPTLIAGFGKRLEEYKTGIESGVEDVFL >A06p039690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21408586:21411771:-1 gene:A06p039690.1_BraROA transcript:A06p039690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPFCKVSSFLHRSDPNATKGSMIRRIQPHRDAASPGSVSSALILAMRVSKDLRRTFCSVSPLIRTLPPEESDPNSIPHRLLSILTKPNWHKSPSLKQMVPSIRPSHVSSLFSLDLDPKTALNFSHWISQNPRFKHSVYSYSSLLALLANNGYAEVVFKIRSLMIKRCESVGDALFVLDICRKMNKDESFKLRVECYNALLNSLARFGMVDEMEKLYMEMLEEDEVSPNVYTYNKMVFGYCKVGNMAMAKGYVSKIVEAGLEPDFFTDTSLIMGYCQRKDLDSAFKVFEEMSSKGFKRNEVAYTHLIHGLCVARRVDEAMELFAKMKDDDGDNCYPTVRTYTVLINALCGSKRKSEALDLRKEMSERGITPNIHTYTVLISSSCSECNFEEARELLGDMVEKGLMPNVVTYNALINGYCERGMMEDALDVVELMESRNVRPNTRTYNELIHGFCKKNVHKAMGVFNKMLERRVAPSVVTYNSLIDGQCRSGNFDSAYRLLSMMNDRGLVPDQWTYNSFIDSLCKRKRVEEARELFDSLEEKGVVNANVVMYTALIDGYCKSDKLEEAKPVLEKMLSKSCLPNTSTFNALIHGLCTDGKLSEAMLLEKKMVEKGLQSTVITDTILIHRMLKEGDFDHAERRFQKMLVSGTKPDAHTYTAFIQSYCSAGRMKEAEGMMEKMKEDGVFPDSITYSSLIKGYGDQGLTDSAFGVLKCMLDAGCEPSHHTFLSLIKHLVEMKHGKENDLCLTSNMIEFDIVVELLEKMAEHGVTPNARSYEMLIKGICETGNLKVAEKVLDRMMQQEEGISPSESMFNALLSCCCKLEMYKEAAKVVDDMICVGQLPQLESCKSLICGLYKNGENERGVWVFKSLLRCGYYHDELAWKIVVDGVGKQGLVEAFNELFAVMEESGCKFSSHTYALLTGGPPDSTGSKHDPGFLPELQTQLRSERTVKGSLEVKVVFSLFYKLAFLHGEKDPRSSFDNHDSFDKIRHYLLLLQLLNHLILQG >A05p048780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28481500:28482281:1 gene:A05p048780.1_BraROA transcript:A05p048780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of anti-oxidant 1 [Source:Projected from Arabidopsis thaliana (AT1G66240) TAIR;Acc:AT1G66240] MSQTVVLRVAMSCEGCVGAVKRVLGKMEGVESFDVDIKEQKVTVKGNVQPDAVLQTVSKTGKKSAFWDAEAETAKA >A09g510590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32624504:32627763:1 gene:A09g510590.1_BraROA transcript:A09g510590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLIETMSGYMKDKLAALTAPMFNKIESLAATFRHRKSTKKTSRFLFLNVKGNDKSYQTPLLGRYVATEHAHAARSLRSNRARTRCSVATDRARTPLGHYVAIEHAHRSRPVRPQKGPPWGSLVNPHRHAFCFVSIRVSVEILRQKQAVSRYVATCKASERSSFGFSCESSSPRFSFCSIGVSVEILRQKQRPVRPSVATQRPVRPQNGPPLGSLLNPHRNAFRFVPIGVSVEILRQKQVGLFLACFHSLRSDLSGRCLLNPRRNAFRFVSIGVSVEILRRKQRPVRPQKDPPLGCLLNPHRNAFRFVSIGVSVKILRRKQVGRFSACFHSLHSDLSDYSSKLIRLLLLALTSSFVFSFKSRSKRLLFLSELPLKLYDKKNRKDFRLNYTITLNLFTKIDILSKEKITRPSSSQDRLLRSDRASVLLGRYVATKLEPSSVATKRPSVHPARSLRSDRARAKLVRYAATECPSRSIAT >SC266g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000114.1:2724:6507:-1 gene:SC266g500010.1_BraROA transcript:SC266g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHRIITSLAPSSFGSKLEGDFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGQFLASLRWLRSLLRGGDPNQFYEEGKPFSKMAVKSVERGRLRTGSMKRASGTDRRSVPSTVRPHLSTTHPGNSKEEKCKQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDETGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQEN >A08p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22015946:22017500:1 gene:A08p037830.1_BraROA transcript:A08p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFSCLNPRTKDIRVDIDTARPTTDSSVHGSDTTGTGSISGILGLKLLSEYGTVNGKVNSPTKPGGGARSFTFKELAEATRNFREVNLLGEGGFGRVYKGRLDSGQVVAIKQLNPDGLQGNREFIVEVLMLSLFHHPNLVTLIGYCTSGDQRLLVYEYMPMGSLEDHLFDLDSNQEPLTWNTRMKIAVGAARGIEYLHCTANPPVIYRDLKSANILLDKEFNPKLSDFGLAKLGPVGDRTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYCFGVVLLELVTGRKAIDLSQKQGEQNLVAWSRPYLKDQKKFGHLVDPSLRGKYPRRCLNYAIAIIAMCLNEEAHYRPFIGDIVVALEYLAAQSQSHEARNVSCTSPDVRRTPRRDS >A07p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11997681:11999802:1 gene:A07p020270.1_BraROA transcript:A07p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLKSGQSASREEAVAAMKDYRSTVHPFRQSTVMPEYGCVPLYGHDGDYSSVVSPDLPTSFLGLMHHCSSSLKMMVTALPPPSQPSPPPDLPPNKHFPVETLSPIKSPEPPDPPNASVSLVLLQIFVTSSRSSSQSPQILDLMLNLSRVSSKLSDGDAGILFMWYTGCILVSSGMGLLTALDAMLDVWVIRALVGNVLMDYVSFGYIFMSLGFFYSAIECSLHITSFYSAIECSLPIASWFQIFLTFSRVEYLMLNCRSSTKLWFQILIKPTSTFLLSSVLYCCCSCVAPSDFRLEYCSTDYSLSVLFKGSASWCHITSTIPSCVEIRGRLCNVDAAWDAKSRHCDTGGIFSGETTITLPNLCDSLNHVAALMAEAIDVCLAIATAVYSTSDPWQFSLILYHSSSY >A06p019360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9491604:9493019:-1 gene:A06p019360.1_BraROA transcript:A06p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAITAVAHVASHLIPPRTAFAISSHRLSSLSQSRRLNLSYLQRRANALSPRRVVVRAARIESGGVELGARAPDFELPEPFTGNVWRLDDFELYPCLLVMFICNHCPFVIHLKKDIVKLSNFYMKKGLAVVAISSNSVVTHPQDGPEFMAEDAKMFKYPFPYLYDESQEVAKEFGAVCTPEFFLYKKDGRRPFELVYHGQFDDSRPSNNTPVTGRDLSLAIDLVLSCQPIPTNQKPSVGCSIKWHPGTQS >A06p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25623224:25624012:1 gene:A06p048370.1_BraROA transcript:A06p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSVRYAQLPGDDEDYADGGGGGRRDFDPRFDYTPKAFDRVPWKSIGLAVFLLFLGCLLLLLAVFIFTGHMEGDSSQCYALLVLGFLTFLPGFYETRIAYYSWRGAEGYRFAAIPSY >A03p067300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29609743:29610604:-1 gene:A03p067300.1_BraROA transcript:A03p067300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT4G33450) UniProtKB/TrEMBL;Acc:Q9SZC2] VEMELATTEMSRGSNSCDNKKTKGHRKGLWKPFEDDKLKQLVEQYGPHNWNNIGQLLSGRTGQSCRQRWCNQLAPNINKGPFTKEEEERLLEAHRIHGSRWAYIAKLFPGRTDNALKNHYRVTMKRRKRIGLSSTATSPFNQIRSPIFPLPRLSHNLYPFQRYQMHNSRGPWPYTSASAPRSDQFGSSWISNAQQETDLYRRKSNELVHHQAATPDHEKNSSGEDGTSMIDHGEKRDVPFFDFLGVGLDS >A01p011280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5482283:5483179:-1 gene:A01p011280.1_BraROA transcript:A01p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGFAEEALFTGWILELGGFTCVICLAWCLAEEAQLFVVQKVTIWFTNACKVIRSSYGSHLFGRGGELSMTPVEPEVPFFLFVSLRSRLREAERGGFFVSPLVLRSIVLENILWISTSKPKSPCHLTVHVAVIQTAFFTGHKCCNGSKGEEKVETLLSTSINRYQPVIIEVGSRVMISGLYVCLSLEWVESFVK >A08p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19829728:19831230:-1 gene:A08p032810.1_BraROA transcript:A08p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYLLCSIVYTSTTTLFLSLLLPFRLLIHRLLPSRSAVDSSVSYYEGTVWHDRLRPVRHSFRYTVRYALFDLDKSLETPPDHLSADEARLLARTNGPIFLLTIPPSVGYEQNPLSLYYCYNLEGSSKRLSKCIAQVTNTPWGERVTFVFDPESDLVAKSLQVSPFMDMLGNWKIRANEPGHELSVSIESQHPHHGNYFSATLRAKRIDQTRVSDPAVFFWLMPHKVAIWIYWHALKLWWKNVPFIQHPRYSNPSYREDSAKRDQKLRCVGLDGSNSGETIKFDGCSSGFGGCRFAWRDANWPWS >A01g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:240620:241353:1 gene:A01g500050.1_BraROA transcript:A01g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAQDVKPKNLFPRQPSFSSSSSSLPMKEDVLKMTQTTTTRYVLFRHIKLISKTKIFLKYFCLIYGVPQPQTAPLTIFYNGEVIVFNDFSAEKAKEVMDLASKGTANTFTGFTSTVNLPKSQTEVRTNIAPTSNQVPHVMKTATQEPIMSPSAAMACELPIARRASLHHFLAKRKDRVTSKAPYQLSDPAKASSKTQTGDNTISWLGLAAQI >A02p054180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33007311:33009789:1 gene:A02p054180.1_BraROA transcript:A02p054180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIVDCSICIYWRLKHRLSLVGTVAFDLALTAAAAFAADDHLRENVPVMVVETVFPGGSDPKATVSTRLAWSCFLSRKLLGSGRITDLCLVIITIIQAAAGAGRTMLISDSGNVYSCGKDSFGEAEYGGQGSNVPGVDFVLSCCLVRMSGSLTLSSKNVKNGSGKVCVCVGVSRDMCVCCSCCLVAQTVYKSQEKLHAQALTLAALAGAAAVEYYDHKTGATDRYPKFLKPDNLNKD >A10p002000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:982751:983986:-1 gene:A10p002000.1_BraROA transcript:A10p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRQNVCGTRRVTRSMTSPQQISLPIPAELVFEIFSRLPSKVIARCRCVCKLWSSMLCRQYFIESFLTKSCARPQILFSCEAKFDICFWSVPQPQNQEGNSSAVAAANHLAPFRRYSRFFGCANGLFVCGYEGVKNDSKVVTVICNPSTGQSLTLPRLKSRTRYEVETYLGYDPIAKEYKVLSMAFSRVNNVYISVDHQVLTLGTKRLSWRKVQCCIPHLPSSSIAMYICGHLPSSSMPICISGVLYYKAGAPCISSVENIVESMVVCFDLRTEKFGSVKFLGTSCKEPTLVNHNGKLGLLMSGDSTYVNLERRSRSFELWVLRDAEWSKHVYVLPPSWKNIVTETMRIIGMIGNEIVLSLCNQNEHLYVIYYNVESKMITKVGVQGMDVYQGCYLKTYLNYVEDVKFF >A10p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20758082:20760988:1 gene:A10p036470.1_BraROA transcript:A10p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDSVNLSRTFKYLLATQFLSRGIPFIFNSWIVRRLTEADYALYAVQFHLFVTCVLFLSREGFRRACLRADINSDGLVSEKDVTRLLKVAWVTLPLGIAVTIAACVFVLWWQSLSYSDTYAQAILIHGAACVLELMAEPLYILSQTLMLLKLRLVVETVATFSRCVTLCFLIVKQTNMEKGIIFALSQVAYGGSLFLGYWAYFLMRGVFRSLNLFPFRPGSFMDFDKQLSSMCMLFTLQSFRKLILQEGEKLVLVWLDTPYNQAVYGIVDKLGSLVVRMVFLPFEESSYTTFARFASGDDYQQKKKKLGTCLTEALKLVMLIGLIFMAFGPSYSYSLIRLLYGEKWSDGEASFALQFYCLYIIVLAMNGTSEAFLHAVGTEVQLKRSNDMLLVFSLVYIVLNILLIRSAGAIGLILANSLNMIGRIIYSGRFIQRYFKGDASSPFSFRMSLPSGWQILILSGIITIISEKAILDHKNFWATFPPHFAIGFLCFCLSAIVIYRRERVFINRIIRFRNHDD >A02p003420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1411714:1411953:-1 gene:A02p003420.1_BraROA transcript:A02p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMPEIIGSIIGVYNGETFNQIEIKPEMMGHYLAEFSIEIKPEMMGHYLAEFSISYKPVKHGRPGQGATPSSRFIPLK >A08p031110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19048217:19048735:1 gene:A08p031110.1_BraROA transcript:A08p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHKEDRRLLLSKEEERIRDELEMEIERNLEGEFKDGIYNLALKLRRLYEQRREREESLDASMRKSKRVLEVNISIKMEGDTKIEITERKKEVDNDKMKKAENLVTRKKCEAGEDKTRKEKLKNPTRAQELRWKW >A08p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15996454:15999841:1 gene:A08p024620.1_BraROA transcript:A08p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREECFLLRNGRTMMMVMKIAPSKSTLIRFNLILFALSFLLYTAFSLSPSSSVYFRSAASFVGCSFRHCTPKVTRGVKMQELNVENQINKIGSQIASNQTKLEAPSFMEEILTRGLGKTKIGMVNMEEADLTQWKRYGETNHIHFDRVSKLFTWHDLFPEWIDEEEDHEVPTCPEIPMPDLERLEKFDLIVVKLPCKYPEEGWRREALRLQVNLVAANLVAKKGKTDWTWKSKVLFWSKCQPMIEIFRCDDLEKREGNWWLYRPEVVRLQQKVSLPIGSCNLALPLWAPQGIDKVYDLTKIKAETRRPKREAYATVLHSSESYVCGAITLAQSLLKTNTKRDLILLHDDSISITKLRALAAAGWKLRRILRIRNPLAEKDSYNEYNYSKFRLWQLTDYDKVVFIDADIIVLLNLDLLFHFPQMSATGNDVWIFNSGVMVIEPSNCTFSTIMSLRNDIVSYNGGDQGYLNEIFVWWHRLPRRVNFLKNFWSNTTNERNIKNSLFAAEPPQLYAVHYLGWKPWLCYRDYDCNFDVEQHVYASDAAHARWWKVHDAMDEDLQRFCRLTKKRRTELNWERRRSRRRGSTDFHWRINVTDPRRRRSYLIG >A02p054760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33225195:33227686:1 gene:A02p054760.1_BraROA transcript:A02p054760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPFYLNIVAFLCTVGAIALAIFHIYRHLLNYTEPTYQRYIVRIVFMVPVYAFMSFLSLVLPRSSIYFDSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLQPSWCLMTCCFPPLTLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFNPDQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFVIIKSVVFLTYWQGVLVFLAAKSGFIKTAEEAAHFQNFIICVEMLIAAACHFYAFPYKEYAGANVGGSRSFSGSLTHAVKLNDFYHDTVHQFAPTYHDYVLYNHNEGGEEGTKKYRSRTFVPTGQEMDAMRKNKPVYANKIDGVSVSSSLSSNASSPKSSSVTSDPVRSEAVKSSLLVDASDSLDTMYDMTLIDIDISSFPSNVPSANESGPR >A01g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19735667:19737464:-1 gene:A01g506730.1_BraROA transcript:A01g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGPTVYQSSGALHDGLTGNPTKVPPSLSAISYLPTTKARRKKFQPTAAHCPSGHRTTGHIPSGHCHVTTSCNNSALGHDPVSLSLPDPANKSFLEPAGGSRGKFIGNINQSGTSRVAVRVSVRMAPDACAATPRAPHGWLHVSVSCKVTPFPLPVYMHGLAACKETPRPPHVWPHGLVACVATLSCLVDPPRAYVCHSACCDHFY >A08p019780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13404586:13407712:1 gene:A08p019780.1_BraROA transcript:A08p019780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGSVRKMIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLISQDAFQEVKSKRDEKKDTKDQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETRLAQRGKGARNHWAGSSAPNSDRPKNAEVKEAEPTGPTGAASSSLLPAPAYQSAWAKANPGKKTMAEIVKMGKPLHQKKVSAPRSSETQESGSKAPLKDEGSSTEKQESGSKAPLKDEGSSLEKQESGSKDPLKDEESSFETQESGSKAPLKDEGSSFEMQDVSDPVPSLLKPFFEPKTHADQVSEPQHVDESQMNDEVLETKTNQVAIHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEENSSFRQHDTNFYGGEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNVMDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGFQQGFPVGNHQAPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQPPGFVQAQQLHQQQLSQQALMSLDQLRHQHQYQHHQQSAGEASSQTQEQLWPNNS >A03p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19297954:19300171:1 gene:A03p045910.1_BraROA transcript:A03p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAALNKTPFLSQPLTKSPSSDLPIAAVSFPSKPRRRNITVHAGLIAPDGGKLVELIVDEPRRREKKHEAATELPRVELTAIDMQWMHVLSEGWASPLGGFMRESEFLQTLHFNSLRLDDGSVVNMSVPIVLAIDDEQKASIGESKRVALVDSDGNPVAILTDIEIYKHPKEERIARTWGTTAPGLPYVEEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPAELRKELEKRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRTLAKNKENPPDGFMCPGGWQVLVDYYDSLTPAGNGRLPEVVSA >A10g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9271889:9273522:1 gene:A10g503580.1_BraROA transcript:A10g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLSLIFYRICNPKSRYDYEEMAEARAEAERRGFEAELKRLRTISEAEELRLKTQLKRVEAERKRFGAEVNRLRRIILENNNSGGPPEFCLPSDLLAVILSRLALKDNIRSSAVCKTWGEIAASVRVRDPPCWLMYLDPCRNSYGFFDPIEKKKTKAMMVDLPESCYILYSNDGWLLMEDRASHARLFFFNPFTRERVDLPVFDTVLLMQMRFAFSCAPTKKGCVVFGITGASVSGREVEIITWRPGGASSTTWVKEHFPNPFPCDLVDTINVLYNTRDGLFYMSLGIALGVFDPSARTWNLVPVLQPIPCFQRHPMRWITEYKGEIFLVDASSVKPVVYRLNNSFKRSVWEKKETLEDGCSIFVSDGSCVMTCGLISNILYFWNNDINDRRPSPTKYQDFTFKKNRPYKYSLYSSSLCDDPEGFYFEYRPTNRNNGVWIQPPHNISIFDFPILPAEDAINTRLFI >A05p010570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4471609:4473019:-1 gene:A05p010570.1_BraROA transcript:A05p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAKLKDYIEKQGTGGNWIALPHKAGLRRCGKSCRLRWLNYLRPNIRHGDFSEEEDKIIFSLFSSIGSRWSVIAAHLHGRTDNDIKNYWNTKLKKKLIATMAPPPPHHLLAIASSSSSPSSSHYNMTNSLPPYNPSISTNELITPHQEMMMTMMDQQQQQLLYQEAVDSLVNSPNSNKLIMSHQEDSREQSTNKGIMLLSDVRSGSSTTSTVTRVKMEQHDHHHEERSMEDYGMEEINHLISSSCTSSSSNSLWFDENKTDDTFMLYY >A03p063310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27503314:27504749:-1 gene:A03p063310.1_BraROA transcript:A03p063310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIAILLPKIRDEQPQRKRKLESPGSSVAAPPIQSSPKVNYDHDPWDDDKIDPVIEKEYHRQFVESDGFDVDHFYLPYGGGQVPHIVEDKYDYPYDIGLFSRLGLHCYNLEKGTSLKLAAINKYNDRLRFTRCLCYHITLEAIDTSSNSLCNFQTCVFKDFIPEQASFVAQTEISRLKVPSGPRPPTYGGPERRWREDGVDDYYKGKMPKWFTKDEMAAISSNKGQFYELQESDLQGNEWLHMYAEFAFHSKWIAHASDMRPFLPLEIKKVTIQTKEESQPCMKLKANNAIFYIIFKGNGDPSGAPVEYQAVVRKTMDGIPGHICLEIDCLAYKSC >A05g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14488259:14489663:1 gene:A05g505230.1_BraROA transcript:A05g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRPVSFKWVLQPSALHPSCSNSPLHTQWTPFFLFKLGFDDELGTPFVNLKHHSNSSQDKTQLHWDQSLTSSSLITNVSSFITLDRIKTMSTLTFVGSKSLDCPPSPSPSVHGHHLDENFSWTRRLGVWSARPLHTPLLPRRITIWTDREQDEEPRTHTPWLQPSSSCRN >A02p023500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11695664:11695994:1 gene:A02p023500.1_BraROA transcript:A02p023500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKALQAPINFLVAGEVFGGNRGFRRIPPEKGIFPLDHFHECDSEKKLYLGCIKVSAHKSEQCRHLSKKYLQCRMAK >A02p002320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:966013:966771:1 gene:A02p002320.1_BraROA transcript:A02p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKMKLTRIENPRARNTAFKRRTQGLIKKAEELTILCGLDACLTFFNLDDAKLVAWPSKEVAESLVDRFYSLPSYERNMKAETQESFLKTNIKKIQKKLADCRVRVAELEMEHLLFDLKNGRSLDDFSQSEIESLRSYTNKRIMGLNKDLGYPEHAYTSVNEPFPGVDQTPRVLDVALEQAHCSNLMGSRCTYLMDKWFFDDPKVQEDGDVTHLPKLVHRFDLNMEPSDDEEDMETYKGESGNSGGASDA >A01p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6191348:6195572:1 gene:A01p012620.1_BraROA transcript:A01p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDVETSQAFNYVLSPHERCIRGDNNNNKKTKNNNSNNNNVRNIHVAFEKNLNVFVRDHLDNVTVASGENVDESTKAVPECSSSKSSVLKTEEPRLSSSSIGSDPDPNLPHPGQQDKNTSRKETMPASSLVQIWEARTTSSNQNQPQTDSRTSSSGSNVLENSESLIEEPVKEYEPIQPIQEGKNEEHKEEEIESDSQSVSGEKEREGVRVMDIIRKLSSDTETTTGNNDNGSSSNENGKEVQTTTTETRTFPQVSCSPRIRGRQAFADLLIQMMRDREKELASLLDRHCVTKFTHRGRIQSTLRIRCYERCIAIQDKYRSKSWAAGSDLNRAGGSDLNRSPRGSGVMHLLKEKNKTNSGNIETGAQSSSVSSRSRVMDKDPQKSVEKKVFEETMEKIDVKEVQEVVSAVETAKKAVLSELVENKNGLKKPKGETSKKENAQGRGEKRETMAQEKVSAVETAKKAVLSEIVENKNGLKKSTGETIKKENAERRGEKRETMEKPVAKEGLERRGEKREIMEKPVARDEGRGDKETVKKAVLSESAEGKSGLKKPIGETLQKETTEGRGDKGETKEKAIAKENVQGNVLVGIAEKVNRWNSEEKLNRSRWTEKGKTETKANTERVDKNDVLEDAKRTNNVQSGEKSNTTCEFPEKEVRRKEKEDCVSVETKSIEIGDPQQGTAQEGEKVVQETTSRNNKNGLKKHTEKESREVKEMEESVEGNTFMGIAEKVKMWNSKYKKSRRRYSMDRGKGRTGKPNTERNEVLQEASRRIINVKTEERSITTSKETVEKVVGTQEMKHSREIMQCEPEEKGRRMKEEELCSEEAKSKDITEVKIMSSQEVIIPAQESACHGSPERGKELSASLQGEEMFILQNLEDKSTKETDGNNFQENIIDEDSREKAKEKNASQQDEEVKEVAIEFANDWDEKEEDDDDDDDYEDYGDFDDYIGEISTDWIYDVSRPRSYWEELRKERYLEVLNTESDKKDICNLIQSRTVSNFLTSDLRQKIDNLMTSLVQNHLGVPINQEEDEEEEKEEWVEECSARNQEDIETEEEPEKTNLEAASDTILEADHDACSQSSERSSTLMISWSFRDQDIDKDHEPTASLSLPEPSSATSQTTQEMQMISDLREQMEQLQREMLELRNTVKSCTDMQLHFQKSSTQDSCRSGSSAEQRVETKNPLKRKCCVCSEMPVDSLLYRCGHMCTCLKCAHELQWSSKKCPICMAPIVDVVRAFLDA >A06p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5867018:5869056:-1 gene:A06p012900.1_BraROA transcript:A06p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVRGPSEYSQEPSRDPSLKVNAKASTSFFFAFAEPFNAEPPRSALVSSYVTPVHLFYKRNHGPIPIVDHIENYSVSFTGLIDNQTKLSLKDIRSLPKYNVTATLQCAGNRRTAMSKVRNVRGVGWDVSAIGNAVWGGAKLADVLELLGIPKLTSSTVLGGRHVEFVSVDRCKEENGGPYKASIPLSQATNPDADVLLAYEMNGEILNRDHGFPLRVVVPGVIGARSVKWLDSINLLAEECQGFFMQKDYKMFPPSVNWDNINWSSRRPQMDFPVQSAICSLEDVQMVKPGKVSIKGYAVSGGGRGIERVDISMDGGKSWVEASRTQKPGRDYISEHSSSDKWAWVLFEATVDVSQSTEVIAKAVDSAANVQPENVESVWNLRGVLNTSWHRVLLRLGNSNL >A10p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18457450:18459259:-1 gene:A10p030550.1_BraROA transcript:A10p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMARLLKLMCVFCIAGLIPTIRANISELDEYWSQRADEAREFTLQAYHSDPYEIVDHFHERHYDNSTDDTTTEEHSSNSTDVTATEEDNSNSTDVTATEEDSSTKPEEEGTEVIEMVGNSKNSTRRSLKGKGKGKWSKLKGPCTASNPIDKCWRCRSNWANRRKKLAKCVRGFGHGTTGGKRGRIYVVTSNLDEDMVNPIPGTLRHAVIQKEPLWIIFKNDMSIRLSQELLVNSDKTIDARGADVHIAHGAGITMQFVRNVIIHGLHIHHISESNGGMIRDSVDHFGMRTRADGDGLSIYGSSNIWIDHVSMSKCQDGLIDAIVGSTAITISNSHFTHHNDVMLLGAQNNMNEADQKMQVTVAYNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQGPTILSHGNRFIAPPHLPYYKEVTKRDYASEDEWKHWTWRSAKDIFMNGAFFRQSGDPHYKSAHTRKQMIKPKNGYAVSKLTKYAGALDCRVGRRC >A07p003540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3244171:3245439:-1 gene:A07p003540.1_BraROA transcript:A07p003540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTFLVLFSLACSLSLCICDDESNYGGGEGNLFPGFYRSSCPRAEEIVRSVVAQAVAREARMAASLMRLHFHDCFVQGCDGSLLLDSSGSIVTEKGSNPNSNSARGFDVVDQIKAALENECPGTVSCADLLTLAARDSSVLTGGPSWMVPLGRRDSRSASLSGSNNNIPAPNNTFNTILSRFTNQGLDLTDLVALSGSHTIGFSRCTSFRQRLYNQSGNGSPDITLEQSYATNLRQRCPPSGGDQNLSELDINSAGKFDNSYFKNLIENMGLLNTDQVLFSSKEESSELVKKYAEDQEEFFEQFVESMIKMGNLSPLTGSSGEIRKNCRKINS >A09g514010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42269086:42277211:1 gene:A09g514010.1_BraROA transcript:A09g514010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARLARKGVVICESVCVALAVLELFFTFWVFGRLSGRSNQPQQKMKYRSSESVEREEERDGVCSRWIKEALESCWLWSSHGKGKPFMEMATEEGQTRGLKLGDEVDQGNTTKSEVEATLGKSKLVRVVEGKGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRWCQERRKQDVIFSFLMEEICELVKHTCDVWEMNRKPDRWKGGTSCKKGRLRKLSKEWLMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQEKEGGADDCITRKEWRVFMEHVQALAASKKHGTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKLLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVAYVPRMFVKVLELELKQSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHKSDFRFKFVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPIKYNFGIILLLYDVFACVHLSCFNVSGLSNASGVRRAKWISPFYLIEAVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELRLRALVWCVISDLLPRSIKEPFRSLLCHHSIHIP >A05p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10156065:10157177:-1 gene:A05p021370.1_BraROA transcript:A05p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSSSESFIDDLIEDYWFFENLFTRRSRALRDCHSDPYPSSSSLSCPEKMGDSDERKYLEASTGRCLNRAASIDGREGGSKTKQLSENIMVQEQTPVMSFLHKKEPVVLPKSGSRSAPGKIQEPSTERSLIRAPSLPPRIDKREMDLEAKKMINKLTRQFSEKIRVSGNERQRPPRNLKPEATVLVKQTSPSPKTLRKTVSSIETAKEIQGYDDQVVEPRVVNGLATPPRVPKDTRKEMKDQIKFWARAVASNVRQEC >A04p006350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3380328:3383220:1 gene:A04p006350.1_BraROA transcript:A04p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTVTTERNVANIGVFWNMDDNPIPDGLDPTTVKEFINGAFEDMGYLGRLIQVRAYCEDRSELVSYCDAAGILLQNRVSKVGYAEVDHMLVDILTWGLYNQAPSNLMIITKNVSEETELFGVLEDLKLLNYNILVSSLGKDATVDLVCLSTRLFGGGNPVDQSISSHGVSNKLAHVANTGVFWNLDDCEIPDDIDIYQNVKSALANQGCHGQVTIWAYCEEDKEPVPGITLVSAGDETARFKKMLRDILFWALQNPVPCPMTTVPSLMVISNMSRNIKFAYVLQLLASRGYNVLLTVPDEKEYICSVWLYPFLIPSLENFPICKKSDKSLHDIQTGIFWNITGCTFPNDIHLDELNENIKSAIKNQGHNGEVSIKAYWEGSSGLYHWLHGTITFKERVSKDEDPSVTLGNMFVDILSWALDHPAPSNLMVISKAISQETELSSLLQDLESKGYNILVAHAEEAASPVLPPACLEWHFNTLIAGGNPITRTNYSRDVLSIVQNDLSFLRKDCHDTKGNTGIFWSIEDCPIPSGLDPLTFFFDVKKVLWRRNVSVMAYCDQNRSLDDFSLNDNLHITLVHTADKYARIKKMYKDIFLWALENPESNVLVITKSMPFHITYVIDDALSSRNYNLVLADPHAVGYVNSVWISTSLFGGGNPIDPSGRKNLPSSQEVMAKWKITS >A05p037060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21049353:21050995:-1 gene:A05p037060.1_BraROA transcript:A05p037060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTQSFALNLLPSPKFCKPIPPKRDPIFSLRCSVSTPLTATTNHQLSASSHKPFPAEVSRSIMELSSVGTLSTLTRDGWPLGVGVRFAVDGDGTPVLCLNRALSPDKRSALHVQLEQCGLRTPQCTIQGSIARPGDDLALKRLSATWRKKFGEEVEEDSLYVVAVDRVLQMEDFMEDGIWVASADYKNASPDPLRDVAEDIVNQINANNMEDIFRFCNVYVDLDFVVSETKMIWMDRLGFDLRVWSPRGVYDVRIPFPMEVTDEKGAKSSFNGMSQLAWEVEKSYCPADFNKVKLLKPVVGSSQKGRGQ >A07p043050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23310361:23312517:1 gene:A07p043050.1_BraROA transcript:A07p043050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMTTTLGFVLPTSLSPRRGGFRVSVIRCSASPLTTSTGSAASGIVEKPWSTYDARLVLEDGSIWPAKSFGAPGTRVAELVFNTSLTGYQEILTDPSYAGQFVLMTNPQIGNTGVNLDDEESEQCFLTGLVIRSLSISTSNWRCTKTLADYLTERNLMGIYDLDTRAITRRLREEGSLNGVLSTEQSKTDEELLHMSRSWDIVGIDLISDVSCKSPYEWVDKTDPEWDFNTNTRDGKPYRVIAYDFGIKHNILRRLSSYGCQITVVPSTFPASEALKMNPDGILFSNGPGDPSAVPYAVETVKELLGKVPVYGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNNRTGQVEISAQNHNYAVDPTSLPGGVEVTHVNLNDGSCAGLSFPAMNVMSLQYHPEASPGPHDSDNAFREFIELMKRSKQSS >A10p033390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19559001:19561039:1 gene:A10p033390.1_BraROA transcript:A10p033390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLEEKHSRIFVTGPIIVGAGPSGLSVAACLSNRGVPSVILERTDCLASLWQQRTYDRLKLHLPKHFCELPLMKFPKNFPRYPSKQQFISYVESYAARFNIKPVFNQTVEKAEFDVASGLWTVKTQDAVYSSTWLVVATGENAEPVIPDIPGLKEFTGPVVHTNAYKSGSEFANQKVLVVGCGNSGMEVCLDLCRYNSLPHMVVRNSVHVLPRDIFGLSSFGIAMTLLKWFPLKLVDNLLLILAYFSLGNTDRLGLRRPKTGPIELKNVTGKTPVLDVGAISLIQSGQIRVTQAVKEITKKGAKFVNGQEMEFDSIILATGYKSNFPYWLKDNSFFTKEGMPKTPFPNGWKGENGLYTVGFTRRGLLGTAFDAVKIAEDITDHWMKMNGPLSSSNVCSSHIIHFDFNKS >A03p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16833412:16836282:1 gene:A03p040400.1_BraROA transcript:A03p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTATSSLGSLIAPNALKFPSSTFGKRQNVCSRRTHPAIVCAAKELHFNKDGSTIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVHELKLMSKEVEDSELADVAAVSAGNNPEVGNMIAEAMSKVGRKGVVTLEEGKSAENHLYVVEGMQFDRGYISPYFVTDSEKMSVEYDNCKLLLVDKKVTNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGNASKVVLTKEMTTIVGDGSTQEAVNKRVVQIKNLIEQAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVAEGIVVGGGCTLLRLAAKVDAIKDTLENDEEKVGAEIVKRALSYPLKLIAKNAGVNGSVVSEKVLANDNVKFGYNAATGKYEDLMVAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPAGNPMDNSGYGY >A08g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9613425:9616547:-1 gene:A08g505710.1_BraROA transcript:A08g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCDQACFIPYPRVRRQSVDDWWACAKNFPRGIRETSEIALTAWQDDRRDQVAESSLLRVETHVVDDVSDYDITPVNPPDDEYVSDGDMASRRGQGTSPHMPPGATGVAATGQASSSRSNSYPQMSLNAMFNAPARISQPHLHPDKINGALWFSIDPSVNAFIRATWQAYYMGPWKSWRSVPDERRDSWWQTFVNAKGKRGHIYGLGSAQYREHAPSARVPASLARNLELELRVSGLETSFQSVIDDVSAVKADVGAVKEDVATMKEDFAATRAAIAELIQSLRPQANPQQQPPSTQSQDPSTQA >A09g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13973844:13974265:-1 gene:A09g504570.1_BraROA transcript:A09g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRKRRRKEINEETTLVEVVDEGSLPRHFAEDHSPSRRLNVYSKVNYYFFIRNVFEGTLKMDMLLGSCFDKLFKFLAHKTTYNDIQTMSTCTYTVNMYTIVMISTCTPL >A03p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9817218:9818831:1 gene:A03p023290.1_BraROA transcript:A03p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT2G40160) TAIR;Acc:AT2G40160] MFNQFSLVHNQSNEMRQTGGTKRSSYLHVLYFGVIVLPLFLLGCYLYNEKQRFGQFQEFKKDNLQEEDKEKKINLVPLEVCDVFTGKWVLDNVTHPLYKEDECEFLSEWVACTRNGRPDSKYQKWRWQPRDCSLPRFDAKLLLEKLRGKKLMFIGDSIHYNQWQSMVCMVQSIIPSSKKTLNHTAQMSIFITEEYNTTISFYWAPFLVESNADPPDKRDGKREPIIMPGSISKHGENWKDADFLVFNTYIWWTRHSKIKVLNESKESNEVGIYIMYEEVLRTWKNWLEQNIDPNRTSVFFSSMSPTHVRSSDWDVSGGVTRKCEKETEPILDMSKPVAVGTNRRLQEIAVNVTKSTTKVHVTFLNVTTMSEYRKDAHTSFYGSRSGRLSTPVQKSDPRTFADCYHWCLPGLPDTWNEFLSLYIIHRS >A03g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30818464:30819313:-1 gene:A03g509540.1_BraROA transcript:A03g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLIRGEVRAGCNRSESVWLCFFVCALLFDSSGRLWPLDDSQFAPPFFCCSLLRRHDLILRQFCWQLKRALARLGMWLKASCGVSYIPAFVRKASWRSSGTSYSGDVKGTPCILGNEENLTFPRVTSTVENGYQSRRISKNKLAECAGFSGRAKLGL >A08p036660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21459376:21459899:-1 gene:A08p036660.1_BraROA transcript:A08p036660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMYNKHKKKKKRETVNIKGNRILISVAFLGSAGPIRFVAYEGDLVANVIDTALKCYAREGRLPILGSDFNDFLFYCPMVGPEALSPWEAIGSLGARNFMLCEKPEEEKKKVEEEDNGRRSSFPINGARKRSFRAWINKSFSLKVTTH >A04p030710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18212137:18217291:1 gene:A04p030710.1_BraROA transcript:A04p030710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT13 [Source:Projected from Arabidopsis thaliana (AT2G32740) UniProtKB/Swiss-Prot;Acc:O48843] MKKFNSRKRKVVRKQSPNLLIYILPTLVFCVLFVPHINQTVTYLFPSDQETLNLALKIKQGETDTCTGRYVYIHNLPSRFNDDLIESCESYAGLRNKCKYLVNSGFGPPILEDVHNHTTRVLTTETGSWHSTNQFMLEVIFREKMRHYECLTNDSSLSSAVFVPFYAGFDVRRFWVYNVKLRDELGEDLAQWLRERPEWKKMYGQDHFFVTGRVGRDFRRESDLDSDWGNNLMRLPEFENMTMLSIETNSCSNEFAVPYPTYFHPKTRTEVETWQMQVRTVQRRYLFSFVGAPRPEMDESIRGEVIKQCLASQERCMFLNCDTPSKDCGNPVKVVEVFQDSIFCLQPSGDTPTRRSTFDSVLAGCIPVFFSLDSVQYKWHFPVDHTKYSVYISEEDVRDGKVSIEKILSMISEEEILSMRNEVEKIIPKIIYAKPGALGPEKIEDAFEIALPRQLLMERETEASSSRGDREEDVKVIEWEEFDNELTRLWSLSSALKLATEKKLTLQPKLESLIQVSAESLRRINELEEMRQRLEAKKLMVDKTSVTCKVTEQDVKKKEDDLSAEVRSLLVGGTTLSIAKTKLQESNCQLEGESGYSHLKTVTNKLRKRQQYMVSQVSFIYPLKIEAGPSQDQELESFPGGSRLVGTKPVSQGSVRILGLPFSMAPFTKMSFFTDKKEVQKSATALGYVAHAVSLLAPYLGVPIRYPLRLGGSKTYIRDYAPYIEPSASDMSPVSTLSENAKFVEFPLFLDGQDTTRAAYAVFLLNKNIEQLLNFVGESSLGPRQVLANLKELIRIIQSPDFIYS >A02g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7875461:7876652:1 gene:A02g502410.1_BraROA transcript:A02g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRNYTAAATQPSTSLPEALTVKPVAPMSRTKRTQSCNAKCKGSKKSKKKKCITTTGLWDQHIPTEILEGILSRLGLKDNIHASALPCRPWLLHPIDDWTIPGSPYLLLDPLKPHHDQSQKYKLDFPHMRFTPGGMSCSRDGWVLAKSPHLMYAFFFNPFTKKLFVSPRGSIYHLMSRLAFSAAPTSTSCVVISYSRIPKTADFLIETWRPGEARWTTHRFESSLLRRWDKCVFSNGVFYFLSTCGCLGVFDPCEATWNLLPVKPLLFPEVDSPVFIMEHEGDIFVMCSRLNSNHTLFKLNMKQNVWEEKRDLGGLTVFASVPGSFIRACLSAEEMNRIYPSFTDFYLIYGASSHRPPRTNLSCRVAWVEPPQNNLDLL >A02p024990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12516382:12526010:1 gene:A02p024990.1_BraROA transcript:A02p024990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLIAAGIAYGPVGISSLRTIKTGSSKLKFGQRKLTSLQVRRFPTVKMKKQLQNTMETKKLSCRRRPQTHIVYLNFRRLGSCFSSEEELQFLHERSRNSEDEAEYSTSKGLAVSGRGDFGILDKKIDSLRYLSLENTYLNFSASESYIFSKAVLVEKIPRSMSKLANLHVLDLSNNNYNTNINIIMMTGSHCYCFFGIISIFFSLIIHTLSSTTPHSCLHHQREALLEFRDEFPIDEFDPNPWNKSSTDCCLWKGITCDDRSGQVISLNLVGTFLNGSFKTNSSLFRLQYLRHLNLSYCDIQGEIPSSLGNFSRLTLIDLSHNNLVAAKTVELGANTLIGAIPSSLGKLNQLRYLSLAANELTGEIPSSLGNLSSLLELRAPSLRVHGGGIHHCSAGPTCRGGPRLV >A05p007340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2942772:2944789:-1 gene:A05p007340.1_BraROA transcript:A05p007340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDTPDAFAWLQNLPPFSQWKRKSMSMCICSPNSSHPSLNFSLTRSSQSPNTFTFSIIAKFKIPISLFISKPLRMIGSNQTNLLSENIISTLLMSFVDIVLNYNVKRTTCSIQLQNLGSTSNLKDVFNLAFFTFVFLICIYEAPSSLRTTCLKTVKDQLVTCRSRQGSKLLMVQLGSNLEEQWMRSLNLAMTNWIIEIRAYQHLKSPSPLFSYAFSTQGLWKVHLYCPVIAMEMESVNSALTDERLFFSLNYHQLEGVIQFNHRIYVREMWFNIAVNIDNVRCDIIRLVNETLLSERGMGLEEKHFPSKISLQLTPTVQSNILMASVEKSSENPLRQFEVEKGIEATIDPPNTFFGLKVSANETKTKSMKPWKFEQWVHGCTAYLTWFLHDFDDGREVSSSKPSKVSMMNPRAWFKNRYTNAFRPFTKQGGVVFAGDSYGQSVLWKVDKRAIGKVMEFEVKGCVCLTYWPNKHHTFYSDTRRLEFKEMLYLNLP >A02g512410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33601173:33604262:-1 gene:A02g512410.1_BraROA transcript:A02g512410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGESDDQLTYDTNYTPPATLDFETQQLIARLGAAAEIGSQPCDEEVIAREKQSSKRKLISLVDSEEDSDVEITPTSQTTKPRRPTTFGTASQKPMVQSTLEIGSGSSKQACSQKKYVPVKSVIRGGRRTKGVSKGSGSQSQKKKKKKMEEEIPELEDELDEEGLDELELGEEEREERQRSDVWKDFTVVQKPNGKQKAACNHCKREYAWQSHSHGTSGLRRHRMRCKIFNTYMKRPRLLEQHAASIRVMEQHTSLRSRLVHLKVRSPRNDLKRLVHFGGAGLGRPFEDRIPRGPDPP >A05g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28464246:28465925:-1 gene:A05g509380.1_BraROA transcript:A05g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPISYLKSPNQTRFPLIFGKPFLLSTLRRHNGGRSPLPPPATANPTEEETPDQQRPIPPIATQPKMVQPQEPHFFQPLLPGFQTHLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGDIVIFKLKGSMVFHVTPFGPSCCNIQYTYPNSMEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTLPLMCVCSPSKECLKSAGDIASSSR >A03p047190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22522607:22524109:-1 gene:A03p047190.1_BraROA transcript:A03p047190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAQLVIGPAGSGKSTYCSSLNQHCETIRRTMHIVNLDPAAEVFNYPVAMDIRELVSVDVVMEELKCGPNAALIRCMEYLEDSLYNWLDEELENFTDDDYLVFDCPGQIEIFTHVPVLKNFVEHLKKNDFNVCAVYLLDSQFVSDVTKFISGCMVSLSAMIQLELPHVNILSKMDLLQDKSNIEEYLDAEPRTMLAGLDQRMAPQFAKLNKAFIELVEQYCMVRFTPLDLRKERSIQYVLSEIDKCIQFGEDAEVKIRELDEDMDQLEL >A05g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2010597:2012132:-1 gene:A05g500480.1_BraROA transcript:A05g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYVVTGFDFPGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGLFFCFLQEIITGCSILALKTYIYVQLKYGGFYLDIGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A08g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11560924:11563553:1 gene:A08g506680.1_BraROA transcript:A08g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEKVRKVKFVKTTSNNIEKTTTENVESTGTAKTTEIVDSREKTTDVSTEVTTDVSMEKTTDVSTEKTTDVSAEKTSEDARESTAEITEPSDVALETAPATMNKGPVGPSPPAPPATPAIGTESEEEENEETPSSGDEENQKAGSGEEENDHDDRSDDSSQENEDAEEEQEEADEKEETEGFGEGNGDREGNGDGEGKDNENEGSEEENDREELANVDDNENPPEPGNPTEPKLLAFEAIPKLGIAFRETVVGAGRDCPRMCKSYFKRNGMTGVSLFVINKELGNTTVSLPVNCGRTRVGIKKIDKKVDQLDGRLAPLEEFVKEAQGKVVEVEEAESQGKDKRNKTQKSVGKGKKQKTK >A09g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17803790:17806009:-1 gene:A09g505660.1_BraROA transcript:A09g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMGRGGRKESYRIYRSALKVRFPSAPYSTFVVPNSPLYYAAGQGRHELYLLVESGVDIINLWNYRGKRFWKQTALMQACQHGHWEGAFVAHVTVEDGTTIDLIDPETKAWLEQHKHFV >A02p000540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:319703:323488:1 gene:A02p000540.1_BraROA transcript:A02p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQEEGWPLGLRPVNARIGGLTREPHHQQVSAGSISFSSLHSPSPSSPSSSDLDSQVRFVVGGDGPKHVRLEEVREKHSLQDRVEMLDAVPHSRVRSVLVAGHMFLNSSLTEAFCTAILEAASCGLLTVLPDDMVVLAEPDPEDNMVRAIGKAISILPSINPEEMHNRKLYSWQDVAKRAEIVYDRAMKCSNRSLLERLSRFLSCGAWAGKVLCMVMIIDYLLWRLLQPDDDIEKAPDISFRH >A06p049040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25925551:25931054:1 gene:A06p049040.1_BraROA transcript:A06p049040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFLSNSLEVKEKEKVKMKIWGSIRLIFMNADGVDWMLMGLGLIGAIGDGFIAPIIFFFTGLLLNDIGGSFNDVTFMKAVSKNAVAMLSVAGASWVVCFLEGYCWTRTGERRAARMRERYLKAVLRQDVVYFDLHVTSTSDITKSVFSDSLVMQDVLSEKLPNFLMSASAFVASYVVAFIMLWRLAIVGFPFIVLPLIPGLMYGRSLISITRKIREEYKEAGSIAEQAISLVRTVYAFGSETKLIAKFSAALEGSMKLGLRQGLAKGLALGSNGIIYAIWGFMTWYGSRMVMYHGAKGGNIFAVIMCVTSGGISLGRGFSNLKYFSEVVVAGEKITKMIKRVPGIDSDNMGGQILNNFKGEVHFNHVKFMYPSRPETPIFEDLCLRIPSGKTVALVGGSGSGKSTVISLLQRFYDPVAGEVLIDDVPIYKLQVKWLRSQMGLVSQEPVLFATSIKQNILFGKEDASMDEVMEAAKASNAHTFISQFPHGWRERSANVRRSEAEDRNCTCDNQITKNSPFRRGNKCTRLRIRKGSPLEALDNASAGRTTIVIAHRLSTIRNADVICVVHNGRIVETGSHEELMENWDGHYTSLVRLQQMENEEYDVNISVRVQGGQLSILSKDLKYSPKLSFVDSRSNLATNSTIDSNLSGSIHKDKKTHVPSFKRLMAMNRPEWKHAMCGCLSAAFYGAVQPLNAYVVGSMVSMYFLTSHDKIREKTRIYVLVFVGLALFVFLTNIVQHYSFAYMGENLTKRIREKMLTKMLTFEVRSLIGERVSLLVQTISGVAIACTLGLVIAWRLAIVMIAVQPVIVVCFYTQRILLKSMSKKAIKAQDESCKLAAEAVSNIRTIIAFSSQERILKLLNRVQEGPRRESVRQSWLAGIVLGTSRSLLACTTILNYWYGGRLIADGKIVAKAFFEMFMIFVSTGRAIADAGTMTTDLAKGSDAVGSVFAVLDRCTTIEPEDPNGYLPEKIKGIIRFVNVDFAYPTRPNVVIFKDFSIEIEEGKSTAIVGPSGSGKSTIISLIERLYDPLKGSVRIDGRDLKSYHLRSLRQHIALVSQEPALFAGTIRENIMYGAASENIDESEIIEAAKAANAHEFITSLSNGYDTTCGDRGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERMVQDALERVMVGRTSVVISHRLSTIQNCDTIAVLDKGKVVECDHSSLLAKGPTGAYFSLVSLQRNLC >A01p056110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31544761:31545519:1 gene:A01p056110.1_BraROA transcript:A01p056110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVGVVTRSLRRQQQANIVGQIPTEHEEDQNDDDDVVESTASAFDRAKRDKSRGSRRGTLVVDLDSGAAGGTTRASTQHQSEQANVEPKDSRKSNKSVVAAPVEEPKFNCPICMCPLTEEMSTKCGHIFCKSCIKEAISRQAKCPTCRKDVTAEDLIRVFLPTTK >A09p058290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49155860:49157000:-1 gene:A09p058290.1_BraROA transcript:A09p058290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEGFYRVIMRRNSVYVSFIIAGAFFGERAVDYGVHKLWESKNVGKRYEDISVLGQRPIEE >A08p032870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19849371:19851202:1 gene:A08p032870.1_BraROA transcript:A08p032870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMFSRIAMTNYVVVLLLSLTSLEHGLLFQRVSSLGINYGQVGDNLPPPDKVIQLLGSLHINKTRIYDTNPKVLSSFANSNIELFVTVENQMLPSLVDPQQALQWVTSRIKPFFPATKIGGIAVGNELYTDDDSSLIGYLVPAMTSIHAALVQTGLDKYIQVSTPNSLSVLQESYPPSAGCFRPQVTGVMTQLLSFLHSTKSPFWINAYPYFAYKDSPTKIPLDYVLFNPNPGMVDPYTQYHYDNMLYAQVDAVIFAMARLGFKDIVVGVSETGWPSKGDGDEVGATVANAAVYNKNLLKRQLQSEGTPLRKNMGLDVYLFALFNEDLKPGPTSERNYGLYQPDETMAYNVGLLSSSQSSTSSTNSIVSLTSSASTDITRGKQRLIYFMCIYLLAIHMLIRRRY >A02p060060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35812508:35821226:-1 gene:A02p060060.1_BraROA transcript:A02p060060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPKPISLLCESDSQTRSREVTVSPNLDPDLLLQKAKVWPRGSGSRCPYGASVFSSLSFWTLFLQPFLLKFYSTFSSSGQGRYNWYQSRLNPPGAVPGGIVANTPSLVNRMPPKNARVARPAAANQRATRRVTRSASQASSEAESRREGAPENENPVEMPNVANAALLAELQRYRDAYGGQLPNVELRNICNVRDYRDVHELIEKAAEQESGLEEERKQNQNSQNRGAKRPRDAQPAAEPAPLRPACERCGRFHAGECRMGACFACGERGHIAKDCPKEGHGQRRRCYRCGQEGHLAWECPTLQRGNAEGAQPQQQRGQAAGARAYAVEGREGAEPIAGSVAVGGVTAFTLFDTGATHNFVSPRLTREWDFKGNFNTMPQERQQAKPCPSRTPPPPPPQAAAAREALAAATELLAAASLIQYGREGAGVDVRMVQVFSRVFHFGTRFFQPFLLKFGLECLIRFIGPRPLHKSSDLVETTASKTLTDSTKAIPRTATTATRRDPSKQTFSLFRFTTTKPRNHTNYNRRRNMINPRRNGAFRSGSRHGSLPYHLCVSGHNHCSAQHNFYGKSCPNVEAIVRKIVQQKVKETFVTIPATLRLFFHDCFVNGCDASVMIQSTPNNKAEKDHPDNVSLAGDGFDVVIKAKKALDAIPSCRNKVSCADILALATRDVVVAAEGPSYPVELGRRDGLESTAASVHGNLPGPNDNVDKLNKLFAKNKLTQEDMIALSAAHTLGFAHCSKVFNRIYNFNRTHIIDPTLNKGYAKDLRLACPKNVDPRIAINIDPITPKKLDNIYFRNLQQGKGLFTSDQVLYTNRRSRPTVDSWAKNSAAFNAAFVKAMTKLGRVGVKTGHNGNIRRDCGAFN >A06p041910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22553268:22554702:1 gene:A06p041910.1_BraROA transcript:A06p041910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCVVPTSSFCTVSDSSIRLKSSKLVHLSNQQRRRSLGSRGGLKVEAYYGLKTPPYPLDALEPYMSQRTLEVHWGKHHRGYVDNLNKQLGKDDKLYGYTMEELIKATYNNGNPLPEFNNAAQVYNHDFFWESMQPGGGDMPQKGVLEQIEKDFGSFTNFREKFTNAALTQFGSGWVWLVLKREERRLEVVKTSNAINPLVWDDIPIISLDVWEHSYYIDYKNERGKYINTFLNHLVSWNAAMSRMARAEAFVNLGEPNIPIA >A02p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16261490:16269804:-1 gene:A02p031470.1_BraROA transcript:A02p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHSVDRKEPGETSTTASTAEDDILGSGRWRRPRGYKGGGEIEGTQQALDRLISNGSNKVACLYTQQGKKGTNQDAMLVFENFCSRDDTVFCGVFDGHGPFGHMVAKKVRDTFPSTLSTQLKLASESEQSGLVNEEEEGQRSESVTTTMDEQWCELNPNGEQLPEMYLPLKHALLKSCQQIDKELKMHPTIDCFCSGTTSVTLIKQGEDLVVGNIGDSRAVLATRDKDNALVAVQLTIDLKPDLPSESARIQKCKGRVFALQDEPEVARVWLPNSNSPGLAMARAFGDFCLKDYGLISVPDINYRHLTEEDQFIILASDGVWDVLSNKEAVDIVASAPSRSTAARALVDTAVRSWRIKYPTSKNDDCTVVCLFLQDSSNVVKDSLREDSVESVSISNKEEEIVPVKEESISKSCGIESKMMTMTLAECISVAQDDEEWSALEGLTRVNSLLSIPRFFSGELRSTRTMVAATTTMTATFRLFLFCLTYSFTVFSMVSSVTDPRDAAALRSLMDQWDNTPPSWGGSDDPCGTPWEGVSCNNSRTTALGLSTMGLKGRLSGDIGELSELRSLDLSFNPGLTGSLTSRLRDLQKLNILILAGCGFTGSIPNEIGYLKDLSFLALNSNNFTGKIPASLGNLSKVYWLDLADNQLTGPIPISSGSSPGLDLLLKAKHFHFNKNQLSGTIPPKLFSSEMILIHVLFDGNQFTGSIPSTLGLVQTLEVLRLDRNTLTGKVPENLSNLTNIIELNLAHNKLVGSLPDLSDMKSLNYVDLSNNSFDPSEPPLWFSTLPSLTTLVMEYGSLHGPLPNKLFGYPQLQQVKLRKNAFNGTLSLGDTVGPELQLVDLQDNDISSVTLSSGYTNTLILVGNPVCTTALSNTNYCQIQQKQAKRIYSTSLANCGGKSCPLDQKVSPQSCECAYPYEGTLYFRGPMFRDLTNANTYHSLEMSLWVKLGLTPGSVSLQNPFFNNDDYLQIQLELFPSMGKYFNRSEVQRIGFDLSNQTYKPPPLFGPYYFIASPYTFPADGNGRSLSSRMVTGIITGCSALVLCLVALGIYAFWQKRRAEQAIGLSRPFAKTNSGCISVSWASSGKDSGGAPQLKGARWFSYEELKKITNNFSMSSELGSGGYGKVYKGMLSDGQMVAIKRAQQGSTQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQILVYEFMSNGSLKDSLTGRSGIALDWKRRLRVALGSARGLAYLHELADPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDCTKGHVSTQVKGTLGYLDPEYYTTQKLTEKSDVYSFGVVLLELITAKQPIEKGKYIVREIKLVMNKCDEEFYGLREKMDRSLRDAGALPELGRYMELALKCVDETAAERPTMSEVVKEIEIIIQNSGASTSSSSASASSSATDFGGVKGGDKVLYGENLRKKEVRDGEGAFDYSGGYSVMTKVEPK >SC122g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:357780:358183:1 gene:SC122g500220.1_BraROA transcript:SC122g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWECLARNTPHCLMYLSISLSRYIASLCLFLSSNIQPVLDYHKPIRTHLLDALLIPLLNPDLAHTSQTVPLCPIFAQTPNVFRWLRKDVSGRSHPYRSLQSVRPSVQPTLTLHHRPFPPKLL >A04p032400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18968001:18968519:-1 gene:A04p032400.1_BraROA transcript:A04p032400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLWASRVASHLRTSVAQRGFSSGETHDINSPVSGTVVEVNEVLTESPELKHHQLGDLLILRSRAKILLLELTDPAVSSPYEEGWIIKVELSDAGKAEKLMDSDKYSKFCEEEDAKH >A09g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23371806:23373378:1 gene:A09g508250.1_BraROA transcript:A09g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPAEEDDVLTIPNGPITRARARKLKEAIGGLIRKSLEQEESMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGQRREQRINRQGQREHAGSEETDNFYERSSHSS >A08p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22585574:22587426:-1 gene:A08p039190.1_BraROA transcript:A08p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIGEHIRLRRARHQTIRHSAADDDPPLTHVVPPISQPTRFCNSAMSSFLLLPTKKKQLGFPQAASFRGMNCTAAAAAHEVSVPSAIRSSADWDKKKKKRNKKKKKKKKGSYEDGSVRFLSESRDVDGSGGCVAVPDVWCGPGLGFSTDAVSVDPPRRNLPSSRRKIDVDKNSSNQTEGSSVLTRRFTNQESHSQAFMGSDSTSMTSSHVEPTLFSSRYHGHLRRPYPDDLTEMMMLRNGFLMGRTTDSLDHFHSLRLDVDNMSYEQLLELGDRIGYVNTGLKESEIRRCLRKMNPSVSITLADRKCSICQDEYERECQVGKLECGHSFHVQCVKQWLSRKNACPVCKKTAYVKP >A09g515610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46053529:46055428:-1 gene:A09g515610.1_BraROA transcript:A09g515610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSQTCSSFVSKRYIVLTRLTYNIFDGTINQAPQLCSVFAARVGLAVFNILKAFSAAASKLETIRQGPQDWTERCMNCFLCPCDQVDAKNQNAPSETKPLIVHKLSHHLSFGSLVKEAGSLVNEVKWNTFCSSFAVLDSGLGSEMGDPSQRSLGGKAVKPTQNSISTSGAVNLRKINRVRGHWSASKSD >A09g511550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34639310:34644711:-1 gene:A09g511550.1_BraROA transcript:A09g511550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLVFSNVLLLLSCDAAVFMSPSESPVFSPSSEPSNNDCSTVVYGMFDCLSFLTVGSTDLSPTKTCCEGIKIVLKYNSSCLCVALESSRAIGFDLINNRALAMPSTCNIPIDPHCVSPSKPPTTRLSSAKPGSSPTIIQSPPTLAAQSPAMFAPSPSESGMENMSLSKLFIIIMMISSFVYLLASNDTTSPNTKENQTTIINFSETVLPLNPNCFRNRVHYISSRQSGFSEIISVIFFSSLQEKESAKEKGHSEAVLLNIVAHLEKLDQKFDSRLTEYDTKFGSFSRGLLDTIGDTVKTTVEERLRVLGVRSPIVVNVMVSEDNRQPDSNSGQPASKTPIDKQSEESQPQKTPDKGQSEKNLADDIAKADAKGMVAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPVGRGCRGLGKRNNLAADLERNEAEKKKQEEAELKKKQKKEEADQPIRLHKTAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKVDKSQPYLKPYPELK >A02p047110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25884340:25886984:-1 gene:A02p047110.1_BraROA transcript:A02p047110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYSSLVSFSLKIFIFTPLLFKRDRGGQVKPEVVIWLPRIIVLHNFLSSDECEYLKAIARPRLQVSTVVDIKTGKILDLYNTRADLRKKDHLAIDKYPLHGLRSLAVARQTVPEKTKKKKKALVIHGNSLVGCLCLILHDMIVHTPLEELQTSVSTSVITGDQLAISSETRLSSVWDQTCIHTISFSTWIRKPSERTLSHNIQQEYIEVVESCVFTVQVLCIGRGGKASKPDKRNGLLPLGGQGKCHERVSPKFTSTARLSPLLFNLRITHTIYLWP >A05p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3347565:3348645:1 gene:A05p008230.1_BraROA transcript:A05p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPSPPPVSLRVVVLFLRVLTVVFLVITVIILSTNSVTIDSQGTALKYYFKDVYAYRYMFSAAIIGLVYAVVQLFFTISELATRIKNPINYQLDFYGDKIISYLLATGSAAGFGVSKDLKDALLALVALDSTDPVDKFFSRGYASASMLLFAFVCLAVLSVFSSYAISKH >A02p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1208458:1210984:-1 gene:A02p002850.1_BraROA transcript:A02p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTLSEASRSRSKKVCVIGAGPSGLVSARELRKEGHKVVVMEQNNDVGGQWLYQPNVKEEDPLGSVPTNGALKVHSSIYSSLRLTSPREIMGYSDFPFLAKKGRDMRRFPGHKELWLYLKDFSEAFGVREMIRFNVRVEFVGEEDKNEDVKRWIVRSKEKLSGKVTEEIFDAVVVATGHYSHPRLPSIKGMDSWKRKQVHSHVYRVPDPFRNEVVVVVGNSMSGQDISIELVEEAKEVHLSSKSLDITSGLSKVISKYPNLHLQPQIECLKDDGRVIFVDGSWVVADTILYCTGYSYKFPFLESKGRVEVDDDRVGPLFEHTFPPPLSPSLSFVGIPRKLIGFPFFEAQAKWIAQVLSGKLSLPSSDQMLQSVADFYRSRDLAGVPKHNTHDIADFTYCDKYADYVGFPHLEEWRKLLCLSALDNSQENLETYRDCWDDHELLQEAMQSSHFTNFNS >A09p082370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59662724:59665677:-1 gene:A09p082370.1_BraROA transcript:A09p082370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGKQKKKRRDESESDSDGSDDLSPPRSSRRRKGSSSSSSRRRRHSSDDDSSDSDGGRKSKKRTSSKKPSEEEIKEYMAKKAQKKALRAAKKLKTQSVSGYSNDSNPFGDSNLTETFVWRKKIEKDVHHGVPLDEFSVKAEKRRRGERMTEVEKVKKRREERAVEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRLKPIDVLCKHLDGSDDMDIELSEPYMVFKGLTVKDMEELRDDIKMYLDSDRATPTRVQYWEALIVVCDWELAEARKRDALDRARVRGEEPPAELLAQERGLHAGVEADVRKLLDGKTHSELLELQLDIESQLRSGSAKVVEYWEAVLKRLDIYKAKACLKEIHAEMLRRHLHRLEQLSEGDEEDVEVNHRLAPVMEENEEEEINDTNLSDAEEAFSPEPIMEEEEEADVEAEAAGSFSPELMHGDDREEAIDPEEDKKLLEMKRMVVMEKQKKRIKEAMDSKPAPVEDNFKVKAMKAMGAMEEGDAVFGSNAEVNLDSEVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPIYTIEKDGTSSETCMIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYINFKRHRYRR >A07p038170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:20350685:20350981:1 gene:A07p038170.1_BraROA transcript:A07p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKKTNNSISRDTTQINKKQKTEMAQNGSNGTVKLLGTWSSPFALRGRIALHRKSVEYEYIEEADVLKSKSDLLLKSNPIHKKVPVLKMRFGGGQN >A09p015940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8308565:8310028:-1 gene:A09p015940.1_BraROA transcript:A09p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G64190) UniProtKB/Swiss-Prot;Acc:Q9SH69] MESAALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLDRAAVEGNLPVSGQYSPRDFVLSLQRPRSLIILVKAGAPVDQTIAAFSEYMEPGDCIIDGGNEWYQNTERRISEAEQKGLLYLGMGVSGGEEGARNGPSLMPGGSFQAYDNIKDILGKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNEELAEIFTEWNRGELESFLVEITSDIFRVKDEFGDGELVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKDERENAAKVLREAGLKEEIGSASSGIDKKRLVDDVRQALYASKICSYAQGMNLLRAKSLEKSWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPDLASLVVDPEFAKEMVQRQAAWRRVVGLAVSAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRSGAYHTEWTKLARKSN >A06p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7746441:7748048:-1 gene:A06p017300.1_BraROA transcript:A06p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQLSPEIPFRFHPTPPKFPNRSRINFCRPLSATSDSRSRNRMFVLGMGFVGGFFAEKLKEADWVVSGACRSDSKKEEWEKKGIDLHLFSADSPEWSLLETVKKDYTHLLVSIPPLADIGDPMLRDVELVREKLSSGNLQWLCYLSSTSVYGDYGGAWVTEDHPPNPKTQSAKLRLAAEEGWLSLGSDLGVSTHVLRLGGIYGPGRSAIDTLLKRENLSESQLRRASRRFTSRVHVEDICQALQAAIEKPSSREIYNIVDDDPAPREEVFEYALEMIEKRWKRKIETKPFLYESREQSSLRGEKRVSNEHMKDKLGVKLLYPSYKLGLQSIGEKMDNPF >A07p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:90102:91924:-1 gene:A07p001550.1_BraROA transcript:A07p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein arginine N-methyltransferase 1.2 [Source:Projected from Arabidopsis thaliana (AT2G19670) UniProtKB/Swiss-Prot;Acc:O82210] MAGTKNNHNEQGSDRNQNTKLPFEDADETMCDADVADDITSADYYFDSYSHFGIHEEMLKDVVRTKSYRDVIYKNKFLVKDKIVLDVGAGTGILSLFCAKAGAAHVYAVECSQMADTAKEIVKSNGFSDVITVLKGKIEEIELPVPKVDVIISEWMGYFLVYENMLDTVLYARNKWLVDGGIVLPDKASLYLTAIEDAHYKEDKVEFWNDVYGFDMSCIKRRAITEPLVDTVDGNQIVTDSKLLKTMDISKMASEDASFTAPFKLVAKRNDHIHALVAYFDVSFTMCHKMIGFSTGPKSRATHWKQTVLYLDDVLTVCEGEMITGSMTIAPNKKNPRDVDIKLSYSLNGQHCKISRTQLYKMR >A06p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15760042:15760775:-1 gene:A06p023910.1_BraROA transcript:A06p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP46 homolog [Source:Projected from Arabidopsis thaliana (AT3G46210) UniProtKB/Swiss-Prot;Acc:Q9LX74] LLPCAINAACAALIDAGIPMKHLAVAICCCLADSGYVVLDPNKLEEKKMTAFAYLVFPNTTLSVLPEVEGEPVEHGIITSVTHGVMSVDDYFLCVETGRAATASLSAFFRKNFQQSQGASSKAG >A07p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21032325:21034185:1 gene:A07p039570.1_BraROA transcript:A07p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFSPVGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAREALRLLRERKDGFDIVISDVNMPDMDGFKLLEHVGLELDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELKIIWQHVLRKKLQEVRDIEGCCYDVGADWFTQAQFLGGGGEDVSFGKKRKDFDFEKKFFQDESDQSSSSKKARVVWSYELHQKFVNAVNQIGCDHKAGPKKILDLMNIPWLTRENVASHLQKYRLYLSRLEKGKEIKCYSGGVKNMDSPPKDAEINSGHQSPGKSSSNAFLKATETDPKQLASASVSDPTSDVHMPPKAKKTRIGFDPPISSGVFDSLLPWNDVPDPLESKPPILYENSFLQQQPLPSQSSYVANSAPSLMQEEMKPSYVNPDEFLMPQNKNSTVILQDMDLSAPFSSNATSNTESIPGSLNWELPEAHHSGSLDTDLDFTWLHGEHFFANSGLQNFQFQDYSNSSSTSLLSELPPHLWYGNDRLPDPDEYTLMVDQGLFIS >A05p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1285072:1285858:-1 gene:A05p003480.1_BraROA transcript:A05p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPVGVPPPQGYPPEGYSKDAYPPQGYPPQGYPPQGYPPQQGYPPQGYPPQGYPPQQGYPPQQGYPPQGYPPPYAPQYPPPPPQQHQPQKSGPGCLEGCLAALCCCCLLDACF >A08p045300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24865917:24868179:-1 gene:A08p045300.1_BraROA transcript:A08p045300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEISLDLINQLNLSLRKNAKLSSLDSSSLSLPTAPEAIAELDASPPYLRCRNCKGKLLRGIESLICVFCGEQQRTSDNAPDPIKLTSTSAYKWFLTSLDLDGSEMVEAMKETSGSSRGAKAPVVKGVALSEFLDLEVKWCARDETSDGVSVQKKSPLNLGGISLDDYFVEEREELSKVDTAESKAVEDDDFKDPRSLSLFDSVKSQGVVESQQSENVGLVKGNDAKKNESLSLFAGRDAQDGVSLAEQGNFGFFEGKKSEHSSFKEDENLSLFEEKDAPRTSSSRKDDSFGFFEGADGKGTSSLKDNESFGFFEGRDAQKNSASKEDGNLGLFEGKDGQRNSASKEDESFGLFEGAPSSDDKVVASSSDWDSDFQSVSQEKISSDPFVSSPADLSAHMDSVFGSGKPADSSTAYVSKAGDWLQDDLFGNVTGKSQNNDPAVHDKSEGQVVGGNGSSSMDIDWMGDDLWQASEKKAIEKTPADENDDDDDWNDFASSANSKTPSNLLSRTMESSQEEIFDGLAHVKNDVKEQSEYEKQNTGTSVISDIAKGQEDDLFGTWDSFTSSTVLQTPVQPPTTVNQSAEQNQGMDLFGESNHHRDLDSDFFSGSIGGQTNSEEVKAMPSGTSTSERTGDPDGQDQVTTVSRKSKSDVAEELMSQMHDLSFMLETKLSVPPISKAE >A09p019060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17964839:17968564:1 gene:A09p019060.1_BraROA transcript:A09p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASIEASKASKIRRGTSYGSPASSPEKTTRRGTSYGSPSPEKATRRGSTLSPIVAKKQKVNVAPSGDVREEWSETEMLASTVAKKTRRGTSYGGSPMSPRQSKKQKVNSEKSLCDDGDDREEFLQIEKFGDIGDDGREDENGIAGIEEGLHFGESEGQSSQAQSSQTQASPWEVPQSSQGQSSQSPTITMGSSPILTRSSITDNSVGKMFFDHSRIAPGYHEFPSYSQTNPQNPNSSCDTRRRKSENRDS >A07g505720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:13008037:13008231:1 gene:A07g505720.1_BraROA transcript:A07g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWSEGAVVWRGKRGEVILREVKATKAPSSPAQISGRCLAFSASPRRSCLRGMKAYIALRRRL >A03p003860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1673567:1678088:1 gene:A03p003860.1_BraROA transcript:A03p003860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRAYKLQEFVAHSAAVNCLKIGRKSSRKLVTGGEDHKVNLWAIGKPNTILSLQGHTSGVDSVTFDASEGLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVNFHPFGEFFASGSRDTNLKIWDIRKKGCIHTYKGHTRGVNVLRFTPDGRWVVSGGEDNVVKVWDLTAGKLLHEFKSHEGQIQSLDFHPHEFLLATGSADKTVKFWDLETFELIGSGGTETTGVRCLTFNPDGKSVLCGLHESLKIFSWEPIRCHDGVDVGWSNLSDMNVHEGKLLGCSYNQNCVGVWVVDLSRTEPMSGGTTQSNFHPERVSGSGRDQPVLNDNSSKVILRNGSQKMNPSSKETKSLGRLSVSQNPDPSPKETKSTGRSSVSQSSDPLIRESKPLGRLSVTHSSDAVKESLTSSSTGSTSGSPRRVTLTSSPKVASGVSTVVSTAAASKRNFNLKANLRTVNKDDFSPVIVPRTDPIIEQAVESRAELDIIGRTMPYSLQSKAADSRRLSSIRNEPDLPTDSLLERSQSQPIEPSNLQDGNTFTSEESGAWDTAERKNKDNRYRGFGRFNSRSLLRSPPRNHDENSDSNGFNGNRDQSPIESRRGGRLHSLAQYRERRGRVFNSEGGHVSSSSGGNMTTPNIRPSNMVNQRGNHVPVDEGITSDSEEDKAAEVMGQHDQFVSSMQSRLAKLQVVRRYWERNDVKNSISSIEKMADNAVVADVLVIITERSEVLTLDTCTSLLPLMSALLGSNIDRHLSVCLDLLLKLVRMYGSQIYSSLSAPSSVGVDIEAEQRMERYSRCFVELEKIKACLPSLERRGGLVAKTIHELNLIFQEVSS >A03p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11111018:11113156:1 gene:A03p026550.1_BraROA transcript:A03p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKVETFNMNRVIDEFEEMTRNADQVQKQTLKEILHKNQSAIYLQNFGINGNTTDPEAFKALVPLVTDLDLEPYIKRMVDGDTSPILTALPVPAISLSSGTSQGRPKFIPFTDELMENTLQLFRTAFAFRNRDFPIDDNGRALQFIFSSKQYISKGGVPVGTATTNVYRNPNFKAGMKSIQSLCCSPDEVIFSPDVHQALYCHLLSGILFRDQVQYVFASFAHGLVHAFRTFEQLWEEIVTDIEDGVLSSRITVPSVRTAMAKLLSGPNPELAETIRTKCMSLSNWYGLIPALFPNSKYVYGIMTGSMEPYVKKLRHYAGELPLVSHDYGSSEGWIAANVRPRLSPEEATFAVVPNLGYFEFLPLSETGETEERPPVGLTEVKIGQEYEVVITNYAGLYRYRLGDVVKIVGFYNKTPQLKFICRRNLILSINIDKNTERDLQMSVESAAKRLAEEKIEVIDFSSHVDVKTEPGHYVIYWEISGETEDDVLQDCCNCLDKGFIDAGYMSSRKCKTIGALELRVVERGTFRKVQEHFLGIGSSAGQFKMPRCVKPSNVKVLQILCDNVVSRFFSTAFG >A10p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1177174:1178848:-1 gene:A10p002270.1_BraROA transcript:A10p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSKSNEETMYRPCLGMGCCGSKMGRRTSSGRIVSLNNLVSIPNRITSNGKSKSSCIFTQQGRKGVNQDSMIVWEDFISKDVTFCGVFDGHGPHGHLVSRKVRESLPVRLLSFVQSKQSKSDSQEAAKEKEEEEEASEEDKLKLLWEEAFLKAFSAMDKELRSHPNVECFCSGSTAVTVIKQGSNLFMGNIGDSRAILGSKDSNDSMVATQLTVDLKPDLPREAERIKQCKGRVFALEDEPEVPRVWLPYDNAPGLAMARAFGDFCLKDYGVISVPEFSHRVLTDRDQFIVLASDGVWDVLSNEEVVEVVASAPRRASAARLVVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSDSSDYEEQGYYSSATNAVEESEESQVNAEPCLQRNVTVRASTEYGNVNAEKEKKSEGEQNWSGLEGVTRVNSLVQLPRFSGEETKT >A08p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4067443:4069091:1 gene:A08p007040.1_BraROA transcript:A08p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTIKETMATKDEVQNHPTDPLLQASESDGHEEFNGASFTGAVFNLATAIIGAGIMALPATMKILGLVPGIVMILLMAFLTDKTIDFLLRFSGVGNARRSYGCLMEDSFGKTGRIVLQVAVLVTNIGVLIVYMIIIGDVLEGMLEGWFGESWWDKRSIVLLFTTLCVFAPLTSFKRIDTLRFTSALSLVLAVVFLVITAGIVVTKFYRGGLMKPRLFPNVTDLSSVWKLFTVVPVLVNAYICHSNVHNIQNELEDSIQMKPVVRSALVMSSSVYVMTSLFGYLLFGESTLDDVLANFDTDLKIPFGPVLSDAVRLSYAAHLMLVFPVIFYPLRVNMDGLLFPTATPSLTTSNLRFGSITAALIAVIFVGANFIPSIWDAFQLTGATTSVCIGFIFPAAVILKDRHNQATKMDKTVAIFVIVLAVCSSAIAIYSDAYALVKKNKPTCSM >A04p039740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22475257:22477556:-1 gene:A04p039740.1_BraROA transcript:A04p039740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSMSSPSSAVFSPENLSPDPLSPSEQLCYVQCNYCETILAVSVPYTSMFKTVTVRCGCCTNLISVNMRSLVLPASNQLQLQLGPHSYFTPQNILEELKDAPSNMNMMMMNQHPNMNDIPSFMDLHQQHEIPKAPPVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLAPDNQPVKKTNMPQQEGEDNMGMKEGFYAPAANVGVIPY >A01g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5990333:5990848:1 gene:A01g501640.1_BraROA transcript:A01g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQQEASGKDSFEILSRQVTLSQFITELEIYGFVRIKGSEHLEFGHEQYFVRGKPDLLTKMRYQAASDRMKKSLKEAKARAEAEKNGCVGDQSPISRMMNARDARIRFNKIMRSFKAKTPLENSFLHLRI >A01p051620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28943765:28950655:-1 gene:A01p051620.1_BraROA transcript:A01p051620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMDTSPSYFDPEVLSVRDQFRRYRKRHSTSPPHEEVSSPNVSENRLLYDGDYIHSPTNTALLLENIKEEVDSFHTGLYQAEAVSGGDVDGDDDEGMFRRVESQSLKACKAENDELAESGDTTFALFASLFDSALQGLMPIPDLILRLEDSCRDVSQSIRYGSDIRHRVVEDKLMRQKAQLLLGEAASWSLLWNLYGKATEEVAQELFMSPSTSHLEACQFVVNDHTAQLCLRIVLWLEDLASKSLDLERKVRGSHVGTYLPNAGVWHHTQRYLKKNGSAADTVRHLDFDAPTREHARLLPDDKKQDESLLEDVWILLRAGRIEEACDICRSAGQPWRAATLCPFSGMDMFPSVDALVKNGKNRTLQAIELESGFGNQLRLWKWSSYCASEKIAEQDGGKHEVAVFANQCSNLNRILPICTDWESACWAMAKSWLDVQVDLELAQSKPGLTEKFRSCLDESPETMQNGRLASLGPEDWPLHVLNQQPRDLPALLQKLHSGEMVHDAVVRGCKEQHRQIQMTLMSGNISHLLDIIWSWIAPLEDDQSNFRPHGDPHMIKFGAHVVLVLRYMLADEIKDREKLSNVGDLILHMYSMFLFSKQHEELVGIYASQLARHRCIELFVHMMELRMHSSVHVKFKIFLSAMEYLPFSHVVDSQGNFEEIVDRVLSRSREIKLAKYDPSVDVAEQHRQQSLQKAIAIQWLCFTPPSTIKDVKDVTSKLLLRSLMHSNILFREFALIAMWRVPATPVGAHTLLSFLAEPLKQLSENPDTLEDYVSENLQEFQDWNEYYSCDAKYRNWLKFQLENAEVTELSEEENQKAVVAAKETLDSSLSLLLRKDNPWLTFLEDDVFESEENMFLELHATAMLCLPSGECLRPDATVCAALMSALYASVTEEVVLDRQLMVNVSISSRDSYCIEVVLRCLTTEGDGLGPHNANNGGILSSVAAAAFKGELTRFQAGVTMDISRLDAWYSSKEGSLETPATYILRGLCRRCCLPELVLRSMQVSVCLMESGNPPEDHDELIELVASDETGFISLFSQQQLQEFMLFEREYRLSKLELQEELSSS >A05p052610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30619728:30620882:1 gene:A05p052610.1_BraROA transcript:A05p052610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-repair/toleration protein DRT102 [Source:Projected from Arabidopsis thaliana (AT3G04880) UniProtKB/Swiss-Prot;Acc:Q05212] MAGAASTSDPPLKIITGADTFGASLKDAMVSHLRSLGIAVEDTGVSSYYSAGAEVGRRVSASSSSSRIRGLVCCGTGVGVAMFANKFPGVYAATCLTVEDAVNARSISDCNVLALSGAKTSPESAVEIFDAWIGTPFKSPCPASGSEPWSSEISSFLDDSLSEMAQIGKSTDHLSPTKPEHNSCAICCLAKNREFTPVDIMPGGSMKIMRETPTSAIVRFKAGSVEPAHHHTFGHDLVVIKGKKSVWNVSKSERADLVEGDYLFTPAGDVHRVKYHEDTEFFITWDGRWDIFLDEDLETAKKAIEQEAS >A03p064870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29423938:29425500:-1 gene:A03p064870.1_BraROA transcript:A03p064870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKIEETVCEDKISALPEDLLVRILLHVPIKDAIATMFLSKRWRFIWTILPKLEYDFFSDDDEDDDDKVVDDNDDEEESKKTSWCFFDKSMELHNAPVLKTLLIKLGPGCSSDIDVGKWIAKAVDRRVVVLRFKLSWSTGPTRLPKSLYTCETLKELTLSHKVLLDFPSSSCLPSLIFLNLFYVVYRDDASLESFFSHCPVLICLTVKRNRRNRDDNITKFSVKIPSLRGLLYVSDDIISSLPDDDEVVDTGKCLAIDTPGLLYFSITDHSGDSCSIENMPCLEDASIDVKSFSDMDKSKTYLSAVRSLVLHTTDQVLLPFSTVKFSRLLKLRIYPDRSDWLEALLLLLKNAPKLKDLLVDYAFNTDVIPSSWNQPSSIAGCLSSHLEFFEYRVYGGTEEEKEFVMYILANSKCLKKVRISVSPYLENQNLSIEELRDIPRASTFVEMIIVFDDA >A04g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4642814:4643917:-1 gene:A04g501940.1_BraROA transcript:A04g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRKLMRSNVSLDISICHDWDHSDATITLLNDVNCMKDRNRIQLLVCTERKRTEEGYFDTDGNSVEIVRDKKSLGVKYSYFSFVKRIPILTLSQCTWADVLQKSSVELNSSKGNSSSELHNILPAHASSRNLYKKQSQEDNKDEAEFGMYCIQQCLRK >A05p036510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20708429:20710033:1 gene:A05p036510.1_BraROA transcript:A05p036510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:Projected from Arabidopsis thaliana (AT3G21650) UniProtKB/TrEMBL;Acc:A0A178V736] MIKQIFGKLPRKPSKSLNNDSNGEGAVNSYYAPNPSASASRLPNGTLAPNSNKNIQAGPFPPSGVVVYEALPSFRDVPISEKPSLFLQKLTMCCVVFDFRDPSKNLKEKEIKRQTLLELVDYVASVGVKFNEAAIQELTKMVAVNLFRTFPSANHETKILEMHDMEDEEPSLEPAWPHIQVVYEILLRFVASPMTDAKLAKRYIDHSFVLKLLDLFDSEDQREREYLKTILHRVYGKFMVHRPYIRKAINNIFYRFISETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCASVYYQQLSYCIVQFVEKDFKLADCIIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIRNLITQNYKVIMPIVFPALERNTRGHWNQAVKTLTLNVRKVFSDIDQALFDECLAKFQVEEENKTEVKANRERTWKRLEDLAASKTDEAELVPRFVSSVNLASSSESSG >A06p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2458841:2460978:1 gene:A06p007120.1_BraROA transcript:A06p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRGFITILALYVFIHHMEALEVGGPTQELINSICAETVDYELCKEIIHKHLDTKTMNLFDLTHLIFRIATEHASDTYVFIGNILREHPDPEETTGLNTCLTAYTDETSTFLKVRHEFCQEDYERMIIDILSTRKILKRCRTDFQIPLNKKKLLIEKGRVMKILINMSAVSGYMVKNGNGYLLSSVVTEPCFFNDVDQVKALLDKNTQQRVDAICKQTIDNKFCKGIFAKKLVTSSPSIKDLMNVTVTEAERNSAKTYFFISTLLRDAGDERSGLQKCADAYAIVNIAFTDAVSFFNKGLYGEILKLTGKLSKGVGICKTDFNVPGYHINPMVEKNRETKVLVAMEEIIGHMVSS >A05p045080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27003650:27004643:-1 gene:A05p045080.1_BraROA transcript:A05p045080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNQQVNKFRSMKSNWTDDYIEKSLFMIYIGTEDYLNFTKTNPTADASAQQAFVISVTNKLKNDIGLLYSLGASKFMVQLLAPLGCLPIMRQEHGTGNDCYEPLNDLAKQHNEKIGPMLNQFAKSPGFQFTVFDFYNAILRRTQRPHNYRFYVANSSCCGVGTHTAYGCGMGNVHSKLCEYQRSYLFFDGRHNTEKAQEELAHLIYGADTNVIQPMTVRELIVFPAGANMLESWEPNLSSVRRRPSTKSTSVYRLLDLVG >A02p059220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35394602:35395006:-1 gene:A02p059220.1_BraROA transcript:A02p059220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVYYKQLLLAFLLIILMYSPAQAQNTGEIVRNRKLLVVEKEPETQNSRQDGGNDGYGLVDMDYNSANKKRPIHNR >A10p031200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18709477:18712587:-1 gene:A10p031200.1_BraROA transcript:A10p031200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTREKFVSFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQKELARLGVAKDLGDSVGFIAGYLSEMLPLWAALLVGAVQNLVGYGWVWLIVTGRAPILPLWAMCILIFVGNNGETYFNTASLVSGVQNFPKSRGPVVGILKGFAGLGGAMLSQIYTVINSPDPASLIFMVAVAPAVVVIPLMFFIRPVGGHRQIRPTDGFSFTFIYGVCILLAVYLMAVMLIEDLVVVSLNVVTAFTVVLFFILLAPVLVPIMTSFFSDSNGPADMVEEPLVPKREGDQDPRLRTPDLILSEVEDEKPRDVDLLPASERHKRIAHLQAQLMQLILFDLYPPLNAMQAAAEGAVRVKGRRGPHRGEDFTLMQALVKADFWLIFFSLLLGGGSGLTVIDNLGQMSQSLGYDNTHVFVSMISIWNFLGRISGGYFSELVVRDYAYPRPVAMAVAQIVMAVGHVCFAFGWPGAMYIGTLLIGLGYGAHWAIVPATASELFGLKKFGALYNFLTLALPVGSFVFSGMIASSIYDMEAERQAHGSVFNPDDVLKCEGSICYFLTSLIMSGFCIVACILSMILVRRTKSVYTQLYGKTRA >A06p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9867347:9870949:1 gene:A06p020160.1_BraROA transcript:A06p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIITDEIHLKLAVLEDELSDQGFSDAEIAEKLEEARLKFEAAAAASEESDAVGDLKISDTQTHQVAARKEKQMEAFRAALGLRDPEQAEEGIIEEEPTGVVKERREHSFLDRGSVRKVDEDVEVKGGKGKESKKQRGDGGDDVDEVKRKKKKGSKKRRHDDDSSESDGKGRDRRRRSKKKAKGRKQESDSESDSSSSDSDSESGEKRRRKVTKKRGRSRRSVSSESESDVESDDSKKLRKSHKKSRPTNQSVSKDSRDKPDEGRGGRKRHDSDVSEPESEDEKQQLRKKEEAYRSGQKQKRDQEDLESDHLKDMHRGGKKAARDSDDSETEYGNNKKQLRSKVEVYSGGMSKKRDEEEIVSKHDYDRKQVARDSSDYSEAEYENRRKLKDDSYQRGRKQIREEDNSRYGRDRYRSDDAGQRRGTVKDVDDRYRGQASEEEDEIDRGRYRQRRETVKEDDTEYKRGRERYRGDKEDRYRNDVAAGKRHVLGKEDEDDERVSREREYSDKGRNHYDGRSSGKRSSYGDRD >A09p033470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20260900:20262150:1 gene:A09p033470.1_BraROA transcript:A09p033470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded DNA-binding protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11060) UniProtKB/Swiss-Prot;Acc:Q84J78] MNSLAIRVSKLLRSSPPNHLLHGSVAVTAGRSSFSTGPIDEEGLEEDFEETISERPELQPQGVDPRKGWGFRGVHRAIICGKVGQAPLQKILRNGRTVTIFTVGTGGMFDQRLMGATNQPKPAQWHRIAVHNEVLGSYAVQKLAKNSSVYVEGDIETRVYNDSISSEVKSIPEICIRRDGKIRLIKYGESISKISFDELKEGLI >A09p055940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47771453:47778120:-1 gene:A09p055940.1_BraROA transcript:A09p055940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLPYDLEEEILARVPWKYFATLRCVCKLWNSLILEERLNKKNLSFHMHSYSGEHRFILKDTGPTISAVGIEEQKNVVDPPSLIVQDFTLIKARTCNPVRVYKTVHCDGLLLCVMDNQLVVRNPLLKETTWIKCGSDFHQRDDAYSLGYLSHCDYRILRFRCASNSRNRPSRVEVCEVASKTWKVIDNISFDWFLSVPLSILSLRGTPYCIGLREDHTAFVQSYEFYKERFQPIDDLPFSYDELNPIALEIYKGDRLSVLEQCHKTRKICIWVKHWLMLTSWTKLVVVDIPEFPLIYPRLALLSTNYYFDKNNRLVITCNDTDMKGLSIIRVINDREFQVIKANECEIYFPFLCSYVPSLVRLPGFWKQDTRRIRWRKHFTLIKTRTCSPIRVYKTVHCDGLLLCVMDNQLLVRNPLLKETTWIKCGSDFHQRDDAYSLGYLSHCDYRILRFRCASNSRNRPSRVEVCEVASKTWKVIDNISFDWFLSVPLSILSLRGTPYCIGLREDHTAFVQSYDFYKERFQPIDDLPFSYDELNPIALEIYKGDRLSVLEQCRKTRKICIWVKHWLMLTSWTKLVVVDIPEFPLLYPRPTLVSTNYYFDKNDRLVITYNDTDMKGLSIIRVINDKDFQVIKADEGECGFPFLCSYVPSFVRVPGFSKQDTSRIRWRKRRFN >A06p044520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23897565:23899494:-1 gene:A06p044520.1_BraROA transcript:A06p044520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDGGGAAYNPRTVEEVFRDFKGRRNGMIKALTTDVQEFYRLCDPEKENLCLYGHPNEHWEVNLPAEEVPPELPEPVLGINFARDGMMEKDWLSLVAVHSDAWLLAVAFFFGARFGFDKADRKRLFNMMNDLPSIFEVVAGTAKKASKEKSSVSNNSSNRSKSNSKRGSEPKPKYSKPEPNEEEEEGVEEEDEDDDDEQGETQCGACGESYAADEFWICCDLCENWFHGKCVKITPARAEHIKQYKCPSCSNKRARS >A03p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16035453:16042903:1 gene:A03p038430.1_BraROA transcript:A03p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMVSRFSQSSVSLASSHRYDDDCVSSSQGDSSRRKDSDATSSIYGNGTAERASATSMAYLPQTIVLRELRHDASEASALLGTSEGIVLAPKWRLKERMKTGCVALVLCLNITVDPPDVIKISPCARIEAWIDPFSMAPPKALETIGQNLSTQYERWQPRARYKVQLDPTVDEVRKLCLTCRKYAKTERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAARMILNAFAELHDWGSSGSSGSTRDCILLAACDVHETLPQSVEFPADVFTACLTTPIKMALKWFCRRSLLKEIIDESLIDRIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCNPISHPMLPPTHQHHMWDAWDMAAEICLSHLPQLVLDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGSWAVDLALSVGIFPYVLKLLQTTTNELRQILVFIWTKILALDKSCQIDLVKDGGHTYFIRFLDSSGAFPEQRAMAAFVLAVIVDGHRRGQEACLEANLIGVCLGHLEASIPSDPQPEPLFLQWLCLCLGKLWEDFMEAQIMGREANAFQKLAPLVSEPQPEVRAAAVFALGTLVDIGFDSSKSVVDDEFDDDEKIRAEEAIIKSLLDVVSDGSPLVRAEVAVGLARFAFGHKQHLKSAASSYCKPQSSSLLTSLPSIAKLHDAGNAKLVSLHMSPLTRASTDSQPVAREAKISSSPLGSAGLMNGSPLSDDSSLHSDSGIMLDSVSNGAGHHQRLLDNAVYSQCIRAMFALARDPSPRIASLGRRVLSIIGIEQVVAKSSKPTGRLGEAATTSNTPLTGLARSSSWFDMHAGNLPLSFRTPPVSPPRTNYLLRRVCSLEFKPHLLGSPDSGLADPPLGVSGSERSLLPLSTIYSWSCGHFSKPLLGGTDASQEIVTKREEKEKFALEHIAKCQHSSISKLSNNPIANWDTRFETGTKTALLHPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDKGISKLCLINELDDSFLLVASCDGSVRIWKNYATKGKQKLVTGFSSIQGHKPSARDLNAVVDWQQQSGYLYASGEVSTVALWDLEKEQLLRSIPSESECGVTALSASQVHGGQLAAGFADGSLRLYDIRSPEPLVCATRPHQKVERVVGLSFQPGLDLAKVVSASQAGDIQFLDLRTTKDTYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLQGEQLGIIRYYPSFMAQKIGSVSCLAFHPYQVLLAAGAADSFVSVYTHDNSQAR >A04p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22674384:22676368:1 gene:A04p040170.1_BraROA transcript:A04p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRKSMKRNNNSDTLTNRHASLKTTSYPGKRIAKATLFFVSCLFISAGLLDLLGCFDSTTFAGLKQVTTIRKQPITTHHKFPDQCDVVQNQTQQQQERQQLPMSEDGTKNDKARSSHSRPSTCPSYFRWIHEDLRPWRETGITRGMLEKARNNKAHFRVIILDGKVYVKKYRKSIDTRDVFTLWGILQLLRWYPGRLPDLELMFDANDRPTVRSNDYRGQQHPAPPPIFRYCSDDASLDIVFPDWSFWGWAEVNIKPWAKSMVAIQEGNKMTPWKDRVAYAYWRGNPNVAPTRRDLLTCNVSDQQDWNTRLYINDWVRESREGFKNSNLENQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVRPKYYDFFIRGMMPLQHYWPIRDNSKCTSLKHAVHWGNTHLDQARKIGGEGSRYIREEVNIEHVYDYMFHLMNEYAKLLKFKPEIPWGATEITPDIMGCPATGRWRDFMEESMVMSPSEVSPCEMPPPYSPVELREVLERKANVTRQIEFWEDQYYSNKP >A10p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16454177:16454963:-1 gene:A10p025700.1_BraROA transcript:A10p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSAAKLSGIRQIVRLKEILQKWQTATIGPKSDIAPLEAGKQAAAMISPVINKRLFAVKNCDSDEENCQSPEPPADVPKGYLAVYVGPELRRFIIPTTYLSHSLFKVLLEKAEEEFGFDQSGALTIPCEVETFKFLIKCMENNPKDYHPDDSPAEDDAVAANED >A02g512950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35420527:35422728:1 gene:A02g512950.1_BraROA transcript:A02g512950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESYILHMHMAHLPLRLWLHRCVSKLSLLDESPKRHENLTEGVNLIPVSFMSQFHRSRPDCNLCASWVRFYLYKRMNALITLCFHGHMFLQTKKYCVLLYIKEKILKKLSKQQLDPLYDLDQALRFACVDRGQTENSCCSQTENICCVKCPFCRRGGDGVSNVVKFTEESKIRYAWSKYAELERSLAETELARTIFELAISQYEEYIDYLYPEESQTTNLKILEAAYKWKKQKLAASEEDYDYYQVSFELYQNCELIFFGLC >A10g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18749633:18750176:-1 gene:A10g506520.1_BraROA transcript:A10g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVQGKYYDIIDPYGEGTKWAEKRSIHHSLLLVFAQQDDGKTMSFGAASFSGIDSSYR >A08p026700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16954927:16956184:1 gene:A08p026700.1_BraROA transcript:A08p026700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAKSFDLWQKDVFFSAAEEVQQSADTMESAYRLWVKEKRESGRVSVESDHLCNELQAALSTAKWQLEEFERAVRLSHGRCRDDTTLTRHKQFVSAIENQIHRVQSALSENGEQPLRWVDLNKEERDDLAMFLSGSSQTSESFSSESINLSRCYGERTPDCVIDIDDERGSPESAGNRNGARRTWSSPDISALRINVPLEEEEKKRYVSDIEATPKEKGYKPLFWMQRCRDYNQLFDRVRYYQRRFGVPFNRRVQLVLSFTLLLFLLLLFRAY >A03p009980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3975346:3975856:-1 gene:A03p009980.1_BraROA transcript:A03p009980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRTVSVRRWLSVPEITNDVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKIAVQFVDWFPTGFKCGINYQPPTVVPGGDLAKSDHCEFQSSLS >A03p010640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4270304:4271068:-1 gene:A03p010640.1_BraROA transcript:A03p010640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKQMLYQAQHDGSTDSLMESIGEMQTTMSQLHSSMTEMKSALQHTTTTTEEESTVTEVKQDLPDAPEKEEETRRIYTKRIEVEMPAFDGHTRNLNHWIIKAERYFEFGDFTEKEKILVSSLSFDGPALNWFVVTERLQPFADWDDFKSQLLDRFGPLESAMSRLLALKQVGSVVEYLTKFEEISLELPKGKDRHEVFLEGVFVNGLRDEIKDMLPLFQPKGLDEIIAQARRLENSRFLERLMMVPRDPRED >A03g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5127180:5127696:-1 gene:A03g501680.1_BraROA transcript:A03g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAYASSNPNQVHEDVLQKSRDGCYKARDAFYTCLEKESGKKPTEIASVGLLYPKECSLSRTEFVKSCRSSWVKHFDREYCRNKRVQRLLDDGDERKGPMSLPQPYTFKPSPST >A05p051840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:29183821:29184795:-1 gene:A05p051840.1_BraROA transcript:A05p051840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G08630) UniProtKB/Swiss-Prot;Acc:Q9C9Z3] MAAIARLHLSAKPNQTGPRAINLTRAPRLIVSFPRNASVCSSLRTSPNLVASSAGGGGSGGNFVGGGGGGGGGGDDGDSGRKQEPSPWGPIGMFIQGWRSRVAADPQFPFKVLMEELVGVTANVLGDMASRPNFGLNELDFVFSTLVVGSILNFTLMYLLAPSLASSTSSNLLPGIFKTCPASHMFEQGSFTVVNRFGTLVYKGMVFATVGLAAGLVGTAISNGLIMLRKKMDPGFEPPNKPPPTVLNSLTWAAHMGVSANVRYQTLNGVEFLMERGLPPLVFKTGVVALRVVNNLLGGMSFVVLARLTGSQSVGEEKVEEKDD >A06p044210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23763730:23766934:1 gene:A06p044210.1_BraROA transcript:A06p044210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPVKINSISVDLAKAPDEIDSGKCEHFSIRGFVAEIRGGDHRKCWPFSEDSVELRNHESYSLPSLSVVKSRWWRCGSCIKDINVKKINDSDCGLQSHSRSVKEKSLKAGSSAVPSRRRLNWLSVSDKKTKKNQVTAATLLKKVRRRGKDASTNKSKGSKLATPKQVKKRSKVSRDAVNGGFGSSEIAGVVDDTPDKSIKKNVMSSHVLEEPDNNVSPQSRKLRKTRKVRLLSELLSTKSKDTPSKRESTRGRKRKSCGDREDCTSAEMTNYASRILSTMGKTSENASKSCDSGEESRPSGESQSTDSGFNKEGKQRDSRLQVVEADTAQVNPYEYASPVRSSFSGKEMVPCPLHAQRTEKEDKKRKRKAPITDYTKSTIITFGNNNMDDGNLVQPRRTDTLPRTTMPQSTRDFLNSKWLDNSFDRDKYTPQFDDRPFFQLPLQDPMRYKDGDGAMLKGIGTNHFRNFGSSFNSTNADAFLRTGVNVNFCSNIDTISSSSLLTDKLRHTSSTEVPYGSCSLQKDISTGHGKGKAPEHIDDIPMEIVELMAKNQYERCLPDREEDKQPTSSVSKNALLIDLNETYDNSMDNNNNTTRQPKPLAWNSDAATKEGQFMAGRQWISSVPFGVVNPPVSQFSGVVRPNSQWISSVPTTMANQQNPFRVLRACNTCQSVQQQQSREASPRPVWASSPPVSLNMQKFMDQSTKVENPNTRNLNFTENNGKQKLGFEGASSRPLDTFSNESSIPAMHLLSLMDPRLRSNVPVDQSQNTSFAKPPVHQSKEFLGTQPGNSTKQWPFDLYSKRVVTPETSRGIIPPVGTSSISFQSEKTCAENADFRFQASWNHHQEKKDNEFGPTYHNSSQQKQVFTSSSSDPVRFQLLGASDSMKLPLKYHMKDKAKHKSKNHNSNVSACPPTSTTCGRFVCIVSRNPAEFTIPDAPGNVYMIKGEDLKVSKQPSFRKKQNLCKQDALMQNKTSFAPPGAENA >A10p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21198012:21200363:1 gene:A10p037640.1_BraROA transcript:A10p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular Ras-group-related LRR protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05850) UniProtKB/Swiss-Prot;Acc:Q9FFJ3] MSTYYYLWIIIDLAIQMQVVRKETTFHAQCNNNHFIIFSFLPSTIVTCLASFTTMATELNPNNFPVLSYVLDRLPSFTAKSSSDGDKPPSKSDPSSSSSHSIEIVTQMPHLAHPDVLASMTSAIADVSQTRSVLRTLGPRPDHETVDKARARLVEIDASLSESFEEIALSANDVDVAEKEQKRREAVDQEKTWYNSILKLNELHESYEKLLKEGEERLVRIYESAEKNAAAVAEEEAKEVEVSEEVVSILQQAEEKPLDRVDLSGRKLKLLPEAFGRIQGLLVLNLYNNQLEAIPDSIAGLHSLLELDLSTNFLETLPDSIGLLSKLKILNVSCNKLTTLPDSICKCGSLVVLDASYNNLTYLPTNIGFELVHLEKLLIHLNKIRSLPTSVGEMRSLRYLDAHFNELNGLPESFGMLTNLEYLNLSSNFSDLQDLPSSFGDLISLQELDLSNNQIHSLPDAFGTLVNLTKLNLDQNPLVVPPEEVVKQGVDAVKMYMGKRWVSMLEEEEKMANMKEEMDQANADWLARTTSKLKTYVTEVSEYLGSNSPKDPFLDQQL >A02p026480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13913428:13914290:-1 gene:A02p026480.1_BraROA transcript:A02p026480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRVGVNSIPGIEEVNIFKDDVVIQFINPKVQASIAANTWAVSGTPQTKKLQDILPQIISQLGPDNLDNLKKLAEQFQKQSPGGADVPATIQEEDDDDDVPELVVGETFETPAAEEASQPKAAAS >A03g504870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17461589:17465653:1 gene:A03g504870.1_BraROA transcript:A03g504870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTLLANLRAGRCSNTAEVRLLRFWEARNINKGGELMSIELLLIDEADTLVQGCVSAVHQRKFRERLAEGSVYTLSGFDVTRSKPKFKLSDGPVSIRFNEGTEFEKLAATARTIPTEHFRFRTHEQILELANTSRQLPDVIGEVRAIRSTITDRLPGAQRVMLTLRVESDVNVCVSLFDSLAVAFHTKLDGYGREPRIVIITGINPKIVLDNSTLLLAGKLYLNGTSASRIFFDSETSAGKDRLERQDIFLIIYSRYFGSLYSIPFDAFSVLSSYRLPGGGADEPGSSSMVVHAQKIEPLTLAELNEFVLSAEPQIIEFLCTAKVIGIQLDGGWCYIGCSLCSKKLVREESSFTCPSCNETNAVWVVFSVSDPTGTSSFLGFDKEVAKLTNVLASEAAQIVGIGLSAHVDTELPRTLAGIVGNTYTFQLKLTDFNFTANHQTFTISRMFAAPEIAPIPSFAEAEEDPQPAVSQTVTRESAANSAIGNREAAKKEQFGREEIARKKARTPSFLLYECNCDHHSGSLSSGLREMVKTPEELARKASEVSSQDMYYLQYPQQSHPLQQREAEKRKMRRPWLQSQYIPIAPASMLSFRSDVHLSTVNNARLARQNRRLLMRSKRNANLPAQDIQPRQATILPDQVDDIDVDSPEQVTARASRALRIKKQKSKRIATRDIASTSGSRP >A02g512700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34736776:34737985:1 gene:A02g512700.1_BraROA transcript:A02g512700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLISSLSSLSLNPSTRATRFLVQNAPKLIQPLRTRAFSGSSGFDGFGDDDNGWDIPTGGDSLGGGTGSDDLGWDNKSMWSTGFTTEHFDGVSVGRKKNTNPSTSDNTGSDDTGDVMSKLGPREVAMVNEMNEFFVSHDREFHVASLKYNPGFKVMPEGWEGTIKDIDEVHYEISKKEDDMLYEEFVQRFEFNKMKWRGEVKCHKYSRRRSTDGWKITIEKLGPRGKRGAGGGWKFVSLPDGSSRPLNEMEKVYVKRETPRRRRKILACSKGTVSKACKARKYITKRKEKYPCTQARKYFFKIWKKNVPP >A10p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13453883:13456348:-1 gene:A10p019660.1_BraROA transcript:A10p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIEQKPKTKIVCTLGPASRSVEMVEKLLKAGMNVARFNFSHGSHEYHQETLDNLRQAMLNTGILCAVMLDTKGPEVRTGFLKDGKPIQLKQGQEITISTDYDLQGDENTICMSYKKLAVDVNPGMVILCADGTISLLVLSCDTENGTVRCRCENSAMLGERKNVNLPGVVVDLPTLTEKDKEDIMKWGVPNQIDMIALSFVRKGSDLVQVRKLLGKHAKNILLMSKVENQEGVANFDDILVNSDAFMIARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMKYSPVPMSPLESLASSAVRTANSARATLIMVLTRGGSTARLVAKYRPGMPILSVVVPEIKTDLFDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTVK >A02g512040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32562556:32562877:1 gene:A02g512040.1_BraROA transcript:A02g512040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEPSLHMVVEGEDEFREEYAYYIFHVPSDPIYVCRVTTRMEHTFNNFLGFEEVVFLFPAGWPESREIAGF >A03g500810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2418466:2419683:1 gene:A03g500810.1_BraROA transcript:A03g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQLTEPDPPEPPDPPSSPSSPVRTSNRSFSPVKALILCKSHIINGVSFLDRSVFSKRFSISSFHLLLLSSASESFMSPDLNMKFSQISVYSASGVLWLSISSLILVLRSSSTSVPVAGLLVPGLGSSNGFITAECSLFLWVSLSPVAVTVCFTSQLVNLVVASCTGCSALITTSCFIHLPTIQVVSLRFSNLFTGVVLIVLECCPGLSLVLVRPFTAVCSLFIALCSSACAILKYFCILLWQLDGVMSDISIPWVLFVDIYCSLSSSMECVPLLISSSTLSGFVSGSKTFKIRDTSDIEVLIKGSSKWCSIAYVCVAISRIVNCALAAVSISGIISLNVVFNSQGLLSLCSLVVETRGPLHAISCLSVLYASILLCFIVIVVCLARMALLSCFVNTFSLNGE >A06p024530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15426010:15427502:1 gene:A06p024530.1_BraROA transcript:A06p024530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPEKNGSTIGYVARNLLLCLFVFTTVLFALSCYFVLRSTAHNRFLGSTFQSKSFVRDPGAKKDDCRCVEDEKRTAPLKVFMYDMDPEFHFGLLNWKPERKSVWPDVHKFIPPYPGGLNLQHSIEYWLTLDLLASEYPNGARPIAAKRVYNSTDADVIFVPFFSSLSYNRFSKVNPHQKTSRNKDLQGKLVAFLTAQEEWKRSGGRDHVVLAHHPNSMLDARNKLFPAMFILSDFGRYPPTVANVEKDVIAPYKHVIKTYENDTSGFDSRPILLYFQGAIYRKDGGFVRQELFYLLQDEKDVHFSFGSVRNGGINKASQGMHNSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPFEDVIDYSEFSVFVRTSDALKDNFLVNLIRGITKEEWTRMWNRLKEVEKFYEFHFPSRVDDAVQMIWQAIARKVPGVKMRIHKSRRYSGSVSDAGKESRWLSLIPRSFW >A03p064610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28169659:28170596:-1 gene:A03p064610.1_BraROA transcript:A03p064610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELGDAEQD >A04g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8405652:8406791:1 gene:A04g504000.1_BraROA transcript:A04g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYISRHYLLYTRKKKRNHFHPNAEKKRKALDDRRFRSVSIRRFDDVFFLFFSCLQMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRSLTGGWKDFTTAHDLQIGDIVIFKQEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A03g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9051456:9052292:1 gene:A03g502910.1_BraROA transcript:A03g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNADGVFGFIPNVAGLILAGLGDLKTELRQSHMFDPRLETKILKVVHVTLGGEYGFSRAIEMSSELLGGVRYIQEKRLIRRLFQELRLENGKYVIGVDDTLNTLGAIETLIVWQDLAINRYVLNNNATGGTVIRYMDSEQEGNEENFRDGNIELVVMENTPLVEWLANEHKRFGCVLEFVTDNSNEGSQFRKGFGGIGGILRHNIN >A01p006470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3267091:3271309:1 gene:A01p006470.1_BraROA transcript:A01p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAHKYFVMFLLLSIVTQGHCRCRSTDLQIGGVRTGRYIAAQPEWKVTVVNTCNCPQKQVILTCEGFAPVNPVKPWLLRPQGKACLLINGEVMPAGGTVEFAYAGEPYIFRPVSSRPTAKKTPKDAPGGGAKSGKTNHRSASRAFDEDMEIFINRAAELKEEGNKLFQKRDNEGAMLCYDKAVKLLPKDHIDVAYLRTSMASCYMQMGLGEYPNAISECNSALEASPRYSKALLRRARCYEALNKLDYAFRDARIVLNMEPENVNANEVFDRVKKALVDKGVDVEEMEQSFVDVMPLGTARLKKVVKKSKKKKKEDEVKGNRAVVESPKAIIAETKEEKKRTTFKKQKKKSGNKGGDEKVVVMDKEVIASEIVEGGKEETTVTRTVKLVHGDDIRWAQLPLDSSIRLVRDVIKDRFPSLKGFLIKYKDTEGDLVTITTSDELKLAASSHDMLASLRLYIAEASPDQEPSYDGAEKDVKRVSSVADSGSVGESSCFENWIFQFAQLFKNHVGFDSDSYLDLHDLGMKLYTDAMEDAVTGEEAQVLFEIAADKFQEMAALAMFNWGNVHMSKARKQVSFPEDASREAVLEAVEAAFVWTRNEYNKAAKRYEEAVKIKPDFYEALIALGQEQFEQAKLLWYHALKGKVDIESEVSQEVLMLYNKAEDSMERGMQIWEEMEERRLNGISKLDMQRALLHKMQLDGWCREGADEETVEQTANMSSQINLLWGSILYERSIVEYKLGLTTWEECLEVAVEKFELAGASATDIAVMVKNHCSSESALEGMGFKIDEIVQAWNEMYDAKRWQMGVPSFRLEPMFRRKAPKLHDILENALCLFGSSVLPFDFLCGGYRSPRDILLASAVFICGG >A02p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25468484:25473453:-1 gene:A02p040270.1_BraROA transcript:A02p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQLLSWKPLILPSQASRRNRFSSLKRRLSLHSLPSGGIESFRFQGTFKVNSQRTGHRQPSNVNLNDFCSKLHKSLLPYKAVIGCVPLYALFRIMQKMLPGLIQNSVGATLPFACASNTLNKPTPLNLDLSIPSLRDIKWSTSRFIYLFNIQLEKNIGTFLVALLLACISFVIIGGFLFSQFRKDNQPLEECFWEAWACLISSSTHLKQKTRIERVIGFVLAIWGILFYSRLLSTMTEQFRSNMQKLREGAQMQVLEADHIIICGINSHLPFILKQLNSYHEHAVRLGTASARRQRLLLMSDAPRKQMDKIADTYSRDFSHIDILTKSCSLNMTKSFERAAASMARAIIILPTKGDRYEVDTDAFLSVLALQPIPKMESIPTIVEVSSPSTYDLLKSISGLKVEPVENVTSKLFVQCSRQKNLIKIYRHLLNYSKNVFNLNSFPNLAGMRYRQLRLGFQEVVVCGLLRDGKVNFHPSDDEKLMKTDKVLFIAPLNWKKKQLTDIKTEDITVDQHVTRKQVFEKKRSRLAKIIMRPRKSLSKGSDSVRGPKESILLLGWRGDVVHMVEEFDNYLGPGSSLEILSDVPLEERSRVGDGIGSRKIKNIKVSHRVGNPMNYETLKETIIHMQSKYRKGKDVPLTILVISDRDWLLGDPSRADKQSAYSLLLAESICNKLGVKVQNLAAEIVDSKLGKQITGIKPSLTFIAAEEVMSLVTAQVAENSELNEVWKDILNAEGDEIYVKDIELYMKKGENPSFTELTERAWLRREVAIGYIKGGKKVINPVPKTEALSLELTDSLIVISELEGDQPITL >A09p026990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15253930:15254814:1 gene:A09p026990.1_BraROA transcript:A09p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF5 [Source:Projected from Arabidopsis thaliana (AT5G47230) UniProtKB/TrEMBL;Acc:A0A178UKK9] MANPSEASALRFIEKHLLDELSPVATDRWMIHESAATESSSDSSPTIFGSPAAPIEFSEFENKPEIIDLSTPRLISSPFEFDSEVSVSDFDFKPSYQTGNQFEPEIKTQSNRNPPSLKISVPTKTEWIQFDPQPEVTKPKQAIAEEKKHYRGVRQRPWGKFAAEIRDPNKRGSRIWLGTFDTGIEAARAYDQAAFRLRGSKAILNFPLEVGKWKTRSDADGEKKRKRDDELEDVTVVEKVLKTEQNVDGKETFPLVKSDLTELYDWDLTGFLNFPLLSPLSPRPSFNYSELTVV >A02p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5948026:5951327:1 gene:A02p013610.1_BraROA transcript:A02p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNPSSANKRAFSSPSSKRSDGSDPLEHGLDRELTFNRTIRKIGAGLENLGNTCFLNSVVQCLTYTEPLAAYLQDVGHGRRCHMAGFCALCAMQKHVRLALQASGKIVAPKYLVSNLRCVSRNFRNCRQEDAHEYMINLLECMHRCCLPSGVPSESSDAYRSSLVHKIFGGSLRSRVKCAQCSHCSDKFDPFLDLSLDISKADSLQRALSRFTAVELLDDGAKIYQCERCKQKVRAEKQMTVSKAPYVLTVHLKRFEAHRSEKIDKKVQFASAVDMKPFVSGPYAGNLKYTLYGVLVHYGRSSHSGHYACFVRTSSGMWYSLDDNRVSKVSEKTVFNQKAYMLFYVRDRQNPAPKNTVTVVKKETPKESLATNSASLNIFSSRNDQVNSSAAMKTCSLKAPVANGISPLRSCEKGAPAVLTQKDLNAKETQNDAPSIVEAKEILKRENGTEPLEPCAKGAPAVLAQKDLNKELPSSAEVKEIPKRENGSAPLKPCDLLAPSLLTQKDLNTKETLQKEAPLPQANGDVSLVKESSRAACAILVRKDSPIKEAVDVNQTLGHQLEESATSIKSVKASSDEGTLTTPRKTRKGSMKTLKVGFKSFKLTLGVRKKKKQKKRRPSAVKVDSEVLSSKRATDQERSTPQITSDVASSGSGCLHGKDNSVNLHDEKIRSRNGNMLLGSPAVELKERSNQNGAVLASDQEQTLKRSDMSEASQNAKRKRESAKEEQNSSQKEQVTILTRGLPETVVAKRDEEVSASRKMGKSESTRIGYVADEWDEEYDKGKKKKIRMKEEEMYAVPNPFQLFAPKKQQTDTKKKWTQRMNTAKTGFRI >SC232g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:119214:120044:1 gene:SC232g500050.1_BraROA transcript:SC232g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSESFREFILLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQGKLSNCLIRM >A07p027760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15787915:15791612:-1 gene:A07p027760.1_BraROA transcript:A07p027760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYRFSEHSSRDRLASPLPTSPQGKKQTHKLKSPRSSSPQFHSFPFEKALTENKLHAHQTNTGLPMKSLLAQEMSKHTEPKRRSPSIIARLMGLDVLPPQSSSHRQQKPVENQQGSSCDGNKSLTRRSSKEEQKFKDVFEVLDAKRAEGNGNSCHQGRVKAANLSQAEMAFIRQKFMEAKRLSTDEKLRHSKEFNDALEALDSNKDLLLKFLQQPDSLFTKHLHDLQTTPHKPHYSQPEKQPHRHGGGSHTRHASYDTLDLPNVEVGKRSELQPTNIVVLKPNLGEPRYAGRAFASPSSSSDEFRADRRLLPCTTNHVRQKSNEDNGLSRRSSRDSGEFSKIMSRQRKGRCDNGSSAMSFEASGFRGYAGDESSSGSDSASESELVPVTSITRTPFKRKNYHRSLPSKSSSSTTSSVSREAKRRLSERWKMTHKYEQEIEISRSGTLAEMLATSDREARPASFNGLSFEEGISKRVESNAQLSESPEPVGISSRDGWKGSSSRSFSKSKTIMNQESTYGYTIVLPKELISRDGLVKGSSSQSFMSTKSSRHGSNKSHSSYNSSPEVNRSPSLTKFLYMNHEIHQKEKLSSSKAGCSFSVDADSDTEDSSATDDIKTALSSEAPDLSTVTSLTDPDVSRMATEDVNHSSVPEPQSRESSKEGDQPSPVSVLEASFDDDDVSSSSECFESVSADLQGLRMQLQLLKLESATYNEGGMLISSDEDKEQEESSTITDEIVISQEDWKSLYLADLLANSRLSDSDHNTVMETPVDPSLFQDLEKKYSSLKTSTRLERKFLFDLIRRELMQILKQFSDPHPWVKPTRVCQKWDVNKIEETLLDLVTRKEEKPRKDDVEKREFEWLSLEDDIEIIGRDIEEMLTDELIAELVVDAIF >A09p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34338656:34340457:-1 gene:A09p040260.1_BraROA transcript:A09p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTHSGGGEGGGGGGDVSRGGLTRIRSAPATWIETLLEDDEEDDLKPNLCLTELLTGNSAGLTSPDTFEFPSSVEQGLYNHQSGFYRQNSSPADFLSGSGATTDGVLSNFGIPANYDYLPPNVNISPGSKRSREIEAQFSSQMKEEQMSSGVSGMMDMNMDTLLDDSVPFRVRAKRGCATHPRSIAERMRRTRISDRIRRLQELVPNMDKQTNTADMLEEAVEYVKTLQRQIQELTEQQKRCRCTPKEEQ >A03p071030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31226093:31227499:1 gene:A03p071030.1_BraROA transcript:A03p071030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSVSSSSSSFGGFLSPGAPPSYTDNNKGWSSERVPHPSSSSSTSTINAPRRRIGSASALTTPFYGGRGIPSKWEDAERWICSPAVATNPQRRQKSKSGPIAPPPPALPHPRMTMMMRAVEAPPQGNSKKGLMVVGSPFSTGVLEAERVFRGSVGGGHGHSKSCADLMSEEETSSLSSKTDTEEKEEVVTRRDIATQMTPNNNHHSPQELSVSVIEPPPCRGGGEVREVKMDKGARLIKHPKRRVMSSSSRIIRRDHQPEAEANSASWDISEPAMTLFKLHREEAKIAAWENLQKAKAEAAMRKLEVKLEKKKSASMDKILSKLQTAKLKAQDMRRSTTVSSGDHGKEEQISRNSAKITTHLVRRHTFMSPFMTCFAPRVDCRKSSSAL >A06p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21754899:21757612:1 gene:A06p040160.1_BraROA transcript:A06p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDMEQHSPEKEEMKTKTKKKRSRDKDKAKILKQLAKTEVKEPKAITDEEPKKKKKKKLKQVATEDEETEAISDEEPKKKKKKKKKAKQLEEEEDKVGESGGNGIMTNETFESLGLSDNTHKSIKEMGFARMTQIQAKAIPPLMMGKDVLGAARTGSGKTLAFLIPAVELLYHVRFTPRNGTGVIVICPTRELAIQSYLVAKELLKFHSQTVGKVIGGEPRKKEVEILVKGVNLLVATPGRLLDHLENTDGFVFKNLKFLVMDEADRILEQNFEEDMKKIIKLLPKTRQTSLFSATQTSKVEDLARVSLTSPVYIDVDEGRKEVTNEGLEQGYCVVPSAKRLLFLLTFLKTFHGKKKIMVFFSTCKSTKFHTELFRYIKIDCLAIHGGMEQSKRTSTFFQFVKLETGVLLCTNVGARGLDFPHVDWIVQYDPPDDPTEYIHRVGRTARGEGAKGKALLVLTPTELQFIQYLKAAKIPVEEHEFEEEKLLNVQAFLEKTISENSALSVSAKEAYKTYISGYDSHSMKDVFNAHRLDLKEVAASFCFSSPPKVLLKIHREGDGYRSKREPVNNKFNRGRGGRPGGKTKFERY >A03p028510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11982810:11984846:1 gene:A03p028510.1_BraROA transcript:A03p028510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRAAKKSSAMVTVIDGKRFETRMVRRLMKFEELPGYLQDNEFIHDHYRCQWSLRDTFLSTFSWHNETLNIWTHLIGFVLFLWMMVVSSLETTELGLAGLFNGMSGAWMISLSSNQSLLHHDLNMTQHMSLLNSQAEVNYHNHQQEVVPKWPWLVYLAGAMGCLICSSVSHLLACHSKRFNLFFWRLDYAGISLMIVSSFFAPIYYAFSCHTNFRFLYLSSISILGLLAIITLLSPALSTPRFRPFRAALFLAMGFSSVVPASHVLFLYWSHPNVLFALACELATGISYAAGAVFYVSRVPERLKPGAFDIAGHSHQIFHVFVVLGALVHCVATLFIVDFRRASLSCGF >A01p028110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18548868:18549123:1 gene:A01p028110.1_BraROA transcript:A01p028110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNGHNELEWRRQSQGWFDERQHHRPVVLSRLAVGLVSAVQSEAAGAVVSRFEGDFLSVARGAWLSFATSL >A02p044780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28200518:28206991:1 gene:A02p044780.1_BraROA transcript:A02p044780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCSDAKLLEQIRTVSRLKKRRTSPAGVLCSSCFSDMNFDDFWQQFLNTLDQIQSKTRHLSTLREINSTDQKVKLLTDGHGFGPHPSAAGSSKRHRLGEAEERGGDGETEKLQKKEEGNIKEAEKNERGDNEEVHHERELQLYRESKRSNVYLHHLPSCSPPVSDLKLFVDIVFASVIALWNSPIVQRYPAASPHVPQEAANNANALENQNLQASPAFPNDFWQQFLNTLDQIRTVSRLKKRRTSAAGD >A08p040050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22904248:22905247:1 gene:A08p040050.1_BraROA transcript:A08p040050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGAVLSGLGSSFLSGSKKSTAALGSGVVSGAARVGRKTLIVAAAAASQPKKSWIPAVKGGGNFLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPRAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANYTGDQGYPGGRFFDPLGLAGKTRGGVYEPDREMLERLKVAEIKHSRLAMLAMLVFYFEAGQGKTPLGALGL >A10p032840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19314718:19316202:-1 gene:A10p032840.1_BraROA transcript:A10p032840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQRSRFKKVCVFCGSHSGNREVFSDAAIELGNELVKRKIDLVYGGGSVGLMGLISRRVYEGGFHVLGIIPKALMPIEISGETVGEVRVVADMHERKAAMAQESEAFIALPGGYGTMEELLEMITWSQLGIHKKTVGILNTDGYYNNLLALFDTGVQEGFIKPGARNIVVSAPTAKELMEKMEEYTPSHKHVASHESWNVEELGAYPGQQSNHQ >A08g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12867713:12869374:-1 gene:A08g507360.1_BraROA transcript:A08g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMKGRKRKNPSTTCVGVSSRTRARKAVSAGNEPARETTVVSLSVDSESDDMSAVSSKVINSVLVPTVGEEIMLARIIDEEREYHCEGSTSDTWNHWLNVKQKKIFWKELYDLDVAARVFKKKKDKEKVTFLEDSSSKSGLESLKALEEKILGAMSEGFSGHKSVVEAKLGDMDVRMSKFEKNQ >A04g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9688305:9689295:1 gene:A04g504640.1_BraROA transcript:A04g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDVGESKEGSGMANEAVFFSNLFSEWDCTPSPASSRSPPSPCTSATRPPHNISKMVSKRWLEEPYDVWHWPSEPMRKSPTTKALEYGQVKITTRFSALIDVDDKGNPVDKENISEEEAIVDSENEGTDTNEDEVNMEASVEEASSTGVEVNVEASVE >A10p016810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2756284:2759525:1 gene:A10p016810.1_BraROA transcript:A10p016810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGVGEVTGARYTGMHAVCLLVLMSGESGRGARKWQSTRRTRSELVPGRYIIHRRERERKGRARMGRIFTVELEGRSYRCRFCRTHLALPDDLISKHSATWKVRYLVEFFVEALYIGFLVQQSFHCRRGKAYLFNRSVNISMGPLEERMMLSGMHTVADIFCCCCGQNVGWKYESAHEKAQKYKEGKFVLERGRIVDEMDLSTEVYIDTRSDTEDS >A06g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27890596:27891872:-1 gene:A06g509610.1_BraROA transcript:A06g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPFCFLTNTTCNNGSIPYFYYRFGSRSLFSGGSNTSSAALCSLECEAKEEELMGIDMLLLNEKGVLRFVGVIKSTFSDHEQSAQCIMVDLQGDNVTELAVFDAEMSKLTNVHAAEVGDIMGAGVGGPLEAEIPPFTKKLLSGDEPSNEMDGIPSNQTRHPIR >A03p030030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12606182:12608679:1 gene:A03p030030.1_BraROA transcript:A03p030030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 4 [Source:Projected from Arabidopsis thaliana (AT4G09570) UniProtKB/Swiss-Prot;Acc:Q38869] MEKPSSRRPSSSVLPYETPRLRDHYLLGKKLGQGQFGTTYLCTEKSSSANYACKSIPKRKLVCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDSVFVHIVMEVCEGGELFDRIVSKGHFSEREAAKLIKTILGVVEACHSLGVMHRDLKPENFLFDSPNDDAKLKATDFGLSVFYKPGQYLYDVVGSPYYVAPEVLKKCYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRQILQGKLDFKSDPWPTISEGAKDLIYKMLDRSPKKRISAHEALCHPWIVDEQAAPDKPLDPAVLSRLKQFSQMNKIKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKAGLKRVGSELMESEIKSLMDAADIDNSGTIDYGEFLAATLHMNKMEREENLVVAFSYFDKDGSGYITIDELQQACTEFGLCDTPLDDMIKEIDLDNDGRIDFSEFTAMMKKGDGVGRSRTMMKNLNFNIADAFGVEETSTAETDDKPN >A09p077470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57569965:57571917:-1 gene:A09p077470.1_BraROA transcript:A09p077470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMIRSAKALRSVRPRFMETAGTLRISLFHRTPYELLSFVCERTFSGGSDRKMSASYKERLRSGIIGIKKDEAVALFQSMIRSRPLPTIIDFNRLFTAMAKTKQYDLVLDLCKQMELNGIAHNIYTLNIMINCFCRRPKLGFAFSVMGKMLKLGYEPDRVTFNTLLNGLCLEDVFTYTSLIGGFCSVGKWDDGAQLLRDMIRREITPNAITFSSLIDSFVKVGKLSEAQDLYNEMIKRGTDPDTITYNSLIYGLCMEKRLDEAREMLDLMVSKGCDPDIVTYSILINGYCKAKLVDEGMRLFRKMTLRGVVANTVTYSTLIQGFCQSGKLNVAKELFQEMVSEGVHPSIMTYGILLDGLCDNGEVEEAMEILEKMHKCKIDPGIGIYTIIIHGMCNANKVDDAWDLFCSLSLKGVKRDIRSYNIMLSGLCKRSSLSEADALFRKMKEDGYEPDDCTYNTLIRAHLRGSDITTSVQLIEEMKRCGFSSDASTVKIVMDMLSSGELDKSFLDMLSGPSREIASSLD >A01p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13629118:13630293:1 gene:A01p030910.1_BraROA transcript:A01p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQVALFGYDYTKDVGAGQTNFCLSLILLKLEDYQPPCHLQMELQNRDIYSVPRGFHQSEETIPHLGTLHKDMFLYQLLSTKGTRNPRVPLQIKRLSDPRKQFYAVQDLPLKRRKWEPRVTHVIASTNENGACKRTLKFMMGILEGKWILSIDWIKACMKDREYVSEELYEISIDVHGTRQGPFTGR >A07p042950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23248306:23249225:1 gene:A07p042950.1_BraROA transcript:A07p042950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGKGKELVGDTPPPAEQNDSKKVSGETEIHKEPSRESEENAIKKKYGGLLPKKIPLISKDHERAFFDSADWALGKQKGQKPKGPLEALRPKLQPTPHQQPRARRMALSSGDNTEDAEADTNEPIDDQQASASAVDNAKDDGGIDAADAKDNIKS >A10g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4992722:4997636:-1 gene:A10g501860.1_BraROA transcript:A10g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRCMPSGTRSNKEKDLLFSNDPAHLERTIRRGQRSTSLDATISSSIDTHNQPSTDTRLSSSIDPNRSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSANSTECNAVQILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNRRRLRKRNEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHVITLTKKSDPGKFAIPCVVKDVEFPHSVCDTGESVINSVDYGKELGFISTCHCGAEYESEYKTEYSESIDTPTFPSIDSNVSTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSICSWADNGFHESFAVDTDERLETHKFTNTFPTSFDAVHSTSVDIHPVQQNNRSHRSTPVKEHRSIFAPQRKFRSRRIFPPQLEKSMKSNHLKNKSSAEITLPSIDVTVSTSIDTTLNPNLSISKLNDYENTDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILLVANGPDNLFSQQRGTPDVIQTDPNNHAGVATTETNPDLSRQPKEQASIDGTTETSIDRVTPTSVDIDEPTSIDRRYECGNRTFDMYGAREFTWEQRDEYGVYRDERGHARDIAGEMIPVTKDNIRKILERASLFEESHICLPEHVTSFTLTRLAPELYTKEEIDEMVFGICGALEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSTSIYAPHATSIDVSIPTAQIPAEPQCSTQHRDE >A09p079390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58458753:58460903:-1 gene:A09p079390.1_BraROA transcript:A09p079390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTYKGNKNADKALIAAEYVGVKIDVPSDFAMGVTNKTPEFLKMNPMGKVPVLETPEGPVFESNAIARYVSRLNGENSLNGSSLIEYAHVEQWSDFSTLEIYGNILKWFGPRMGFMPYSAPGEEAAISALERGLDALNTHLTSNTYLVGHSITLADIITVCNLNLGFATVMTKSFTSAFPHVERYFWTVINQPNFKKVVGDVKQTEAVPPIKKAGQPAKPKEEPKKKAAPAAEAPKVVEEEEEAPKPKAKNPLDLLPPSPMVLDEWKRLYSNTKSNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENMVSFVTLNKVGGFLQRMDLARKYAFGKMLICGSEAPFKVKGLWLFRGPEIPKFIMDEVYDMELYEWTKADISDEAQKERVSQMIEDAEPFEGEALLDAKCFK >A07g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18979380:18979674:1 gene:A07g507240.1_BraROA transcript:A07g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKNWIDYMLNNKCR >A03p022570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9478758:9480172:-1 gene:A03p022570.1_BraROA transcript:A03p022570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTSSIMASPIQASSSSSTIGETSDGLKVQSHVSIGANDLLIVGPGVLGRLVAEKWREEHPDCQIFGQTVTTNHHDELEKLGIKPSLKETEFDGNFSYVIFCAPPSQSPDYAAELRMAASKWNGEGSFLFTSSSAPYDCFDNGECNEDSPVVPLGKSPRTDVLLRAEQVVLESGGTVLRLAGLYISFWYFIH >A02g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10860385:10860739:-1 gene:A02g503280.1_BraROA transcript:A02g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLSHLEPVAASAHDYASVNGILWTANSICKIFGHKYITDDQLLDLKYCCDTFSEPLINMFRFTDSFFQTTGTSSYTVVNLKIFD >A03p051970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20284462:20288813:1 gene:A03p051970.1_BraROA transcript:A03p051970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTSVILGSLLVYFTHAERHGVQVEGIAVGRSFAMFKNYNIDGNKEMLAFGMMNIVGSLTSCYLTTGPFSRSAVNFNAGCKTVVSNIVMAIAVMFTLLFLTPLFHYTPLVVLSSIIISAMLGLIDYQAAIHLWNVDKFDFLVCMSAYFGVVFGSVEIGLVVAVAISIARLLLFMSRPRTAIKGNIPNSMIYRNTEQYPYSRTVPGLLILEIDAPIYFANAGYLRERITRWINEEEERVKTSGENSLQYVILDMSAVGNIDTSGISMMEEIKKIIDRRTLKLVLANPKGEVVKKLTRSKFIDDKLGKEWMFLTVGEAVEACSFMLHTLKTEPASKGEISKMKTAKGKDKARNTKEALKPVDDRKVGKRKAPGEKGETRKEKKAKKDPNKPKRAPSAFFVFLEDFRITFKKDNPNVKAVSAEKAPYEEKAVKRKAEYEKLMDAYNKNLEEGSDESEKSRSEVNDEDEASEEEAQPDKGKAGDEEDDDDADDDEEED >A03p041460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17327508:17329218:1 gene:A03p041460.1_BraROA transcript:A03p041460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEVLHKMKETVKEKVGLGSSADSGKGKSKMSKQITHGFHLLKGKALHEMEDYVVAKFKEVDDNELGLFAIFDGHLTHEIPDYLCSHLFDNILKEPNFWQEPEKAIKKAYYITDTKILDKATDLGKGGSTAVTAILINCQKLVVANVGDSRAVICKSGVAKPLSVDHEPNMEKDEVESRGGFVSNFPGDVPRVDGQLAVTRAFGDKSLKMHLSSEPYVTMEVVDDDAEFLILASDGLWKVMSNQEAVDSVKGIKDAKSAAKRLAEEAVARKSSDDISVVVVRFQ >A02p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:510645:512218:1 gene:A02p001040.1_BraROA transcript:A02p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVKLLFAGERQVCDQIFQGFDSLSDQCFAQVTVSSVSMLLSFGDAIARSKRSPEKLFVLLDMYQIMRELHTELMDSLRWRYGQIETIFKGKACVEIRDSAMGLTKRLAQTAQETFGDFEEAVEKDATKTDVLDGTVHPLTSYVINYVKFLFDYQATLKQLFLEFGNGDDSNSQLASVTMRIMQALQNNLEGKSKQSEAKDLLGDDWVQRHRRIVQQHANLYKRTAWTKILQTSSAQGLTSSGGGSLEGGNSSGVSRGLFKESFQKSNVYIFGNDELKKWNIFKMFTMQFDELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFG >A04g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14979203:14980105:-1 gene:A04g506670.1_BraROA transcript:A04g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSRPLLLLFREEAYLASGKGNSFILNRRDLSSGSLITPWKKKLELPDPPEFIHLNFELKSMEKEEMVEQESIMKGPGSFPGETLLAIFQCIASVGDSSFGEKEDEEGQEEATGYDKEMLGSGRFYVSDGWRSELAKVSCFEIDILTRSLISRLEEVDPSKLRHEEKLA >A07p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2114829:2119440:-1 gene:A07p005500.1_BraROA transcript:A07p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLIDLLARLQKRSHNSYNNKQILVMEKTILGNLEWQYVFLVRFITASMSDPKLLPNAQKERKLINSGDNNLFLPAPFQVKIPLAASSPSQLRLHVWVFETMQRERMSKKKSSQVHCISSHDHILMMASTSKHIPEIRLYKAWKGNNRFFCGGRLIFGPDVNSLFLTSFLIGAPALTFCIRMLVWIQKDDPIFNYTVTSAFILTLLVFTFLFLTSARDPGIIPRNKTSVNLEDSSNSSLTQSMEWVNNKTPHLKIPRTKDVFVNGYTIKVKFCETCLLYRPPRASDCSICNNCVQRFDHHCPWRNCPVFICFISTSTLLCIYIFAFSWINLIRQPGRLWSTMFHDIISTTYENFRSRYDKKENPYKRGLLKNVKEVLFAKIPPSQLDLRAMVPEEDDGSEYESEYSSSIIYDNEKGGKLPKRVSPEKLNLDNIDISNEYKRAKDDASSELDPSFFSSQLDLPK >A09p066840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52882295:52883897:1 gene:A09p066840.1_BraROA transcript:A09p066840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 73 [Source:Projected from Arabidopsis thaliana (AT3G61450) UniProtKB/TrEMBL;Acc:F4JEA3] MGVVDLITRVDSICKKYEKYDLVKQREEANISGDDAFSRLYSSFESALETLLQKTEEFSSETNRAKAVAMNAEIRRTKARLLEGVPKLQRLTLKKVKGLSQEEFDARNDMVLSLREKIESVPEGSLPTNGWTASTSYTNIRLDTNVSDDRVDSGYFQRTEESGNFKQEYKMRRMKQASLCLDQGLDFIAEGLDTLKNMAQDINEELDRQEPLVDEIDTKIDKASTDLKSTNVKLKDTVTKLRSSRNFCIDIILLCILLGIAAFIYNSVK >A04p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19921044:19922333:1 gene:A04p034410.1_BraROA transcript:A04p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDAFMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETANTSSSVEKNYEMPDGQVITIGAERFRCPEVLYQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A01g511320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31526180:31531572:1 gene:A01g511320.1_BraROA transcript:A01g511320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGSPRNLLGIFRGNSEELGGILTYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p045030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24174397:24179408:1 gene:A06p045030.1_BraROA transcript:A06p045030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASEMNDLSFSVVSIVEDVLQQHSSRSSDVGLLVPRRVEESSLRRYEAAGWLREMIGVSGGRDFPAEPSEEDFRLGLRSGIVLCNVLNKVSPGSVSKVVEAPDDVADGAALCAFQYFENIRNFLVAVEELGLPSFEASDMEKGGKSIRIVNCILALKSYSEWKLKGGTGPFRYGSNMKNNFGSRKPFLRKSSEPFMSSMSRTHPSADQPACSDVGQEGDSRSINALVRSFISERKHEDIPSVVESVLHKVMEEIQQRLSIQNEMVRASEMKSSSKHIPEDDSSCETVVQSQQSDIRQHEEAEDNSPSQVVEEKIQRVNSEHYEEQEILLNQQKHIQELKQTLYTTKTGMKLLQKKYQEDFLHLGTHLNGLAYAATGYKRVLEENRKLYNLVQDLKGNIRVYCRVRPFMPGQPTSLSTVENIEEGTITIRVPSKYGKEGHKPFMFNKVFGPSATQEEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTFTMSGPKELTEESLGVNYRALADLNNSHNGINVPEANLVPVSSTDDVIQLMDVGQMNRAVSSTAMNDRSSRSHSCVTVHVQGRDLTSGAILHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLSQKNAHVPYRNSKLTQLLQDSLGGSAKTLMFVHVSPEAETVGETISTLKFAERVGSVELGAARVNKDNSEVKELKEQIANLKMALARKGNGNGNGNGNEAQPTAPPQNQRISRRRSLETPIFRPKLPTMGNAPSNLKPQVMDLSGPEAFSDTASSRRHSLDLHELMKSSIPSWPRQTLDTNEEDREFKSGEWIDKHTELNQDDNLLSPDKFYQSINPQQLNGGKQDFEVQSITDNESDGVASDCSDSDLMWRLNVQVNVPRVSNKQSSANPKPKKIKPKTTKVSETRSLIPSLIPAPSKRPPNTVSSQPQRPTRDGRRRLSLGK >A06p036810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19958113:19959316:-1 gene:A06p036810.1_BraROA transcript:A06p036810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPQIVLFGDSITAQSFRSGGWGSALADAYSRKADVVVRGYGGYNTRWALFLLHHIFPLGSLTPPVATTIFFGANDAALKGRTSDRQHVPVEEYKDNIRTMVQHLKKCSPTMLIVLVTPPPIDESGRQSYAESIYGDKAMKEPERTNETTGFYAQHCVALAEELGLRSVNLWSKMQETNDWQRKYLSDGLHLTPEGNGVVYEEISRVFREAWLSPDEMPFDFPHHSQIDGDNPSKAFQERCL >A09p046870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40743076:40743832:-1 gene:A09p046870.1_BraROA transcript:A09p046870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVMTTLPQFNGLRASKISAAPVQGLVTVQPMRRKANGALGAKCGDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >A02p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25588303:25590806:1 gene:A02p040460.1_BraROA transcript:A02p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINFVVDLKPFKTMWKIKMKVIRLWKQYSAGGGETIEMVLCDLKVELTHSCGSYRSTTHAYMISFLSTTRVRSCEQLPEDLSGFEPVKYKYVLDGTLNPDYLVDIIGQIVEISHIDHINVNGKETEKISLEFDERLPMVLWGKFACDVCEAMRVQDEHSTVLVLRFGKIKVWKEDRSLSNAYNVSNPPIIEVRKFIASLPKDDLPLAIVESKNSAIVNGVSAKDDFFILTPRKTIAQILETKQKCILLCTITAIDSDMGWFYLSCKVCSKRVLSVPTSPNDDGNDEDDLNHTYYCVKCKTYNPMTLPRYTLHLVVLDNTSNTKLVLFDNHAMQLLNQPCLQIAGLLIKSEESEDGQFHDLETQSDAPEVSLAIQGLPSKQSESFDMTPAKRIRAVNIHTEEILDDNTVTRSVSSVKIKKEKFAKSG >A05p012850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5613718:5615226:1 gene:A05p012850.1_BraROA transcript:A05p012850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSASMFASLAPYIASALLLFLLLEQLSYLIKKRNLPGPLFVPPLIGNAVALVRDPTSFWYKQSKTAGNSPGLSANYLVGRFILYIRDTELSHQVFSNVRPDAFHLVGHPFGKKLFGDHNLIYMFGEDHKSVRRQLAPNFTPKALSTYTSLQQLVILRHLRRWEETFSGGTRPVVLRNLVRELNLETSQTVFVGPYLDKEARDRFRKDYNLFNLGSMALPVDLPGFAFGEARRAVARLAETLAVCAGKSKARMAAGEEPTCLIDFWMQAFVAEVNSGNPPPPHSGDEEIGGFLFDFLFAAQDASTSSLLWAVALLDSEPEVLRRVREEVVRIWPHESDALITVEQLAEMKYTRSVAREVVRFRPPATMVPHVAAEDFPLTESYTVPRGTIVFPSVYDSSFQGFTEPDRFDPDRFSEIRREDQVFKRNFLAFGWGPHQCVGQRYALNHLVLFIAMFSSLLDFERVRSDGCDEIVYCPTISPKDGCTVFLSRRVAAYPDLSLN >A04p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22245413:22248831:-1 gene:A04p039390.1_BraROA transcript:A04p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g44590 [Source:Projected from Arabidopsis thaliana (AT2G44590) UniProtKB/TrEMBL;Acc:B5X4Z5] MATMESLIGLVNRIQRACTALGDYGGGNNALSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEHGTYEYAEFLHLTNKRFTNFSLVRKEIQDETDRITGKNKQISPVPIHLSIFSPNVVDLTLIDLPGLTKVAVEGQPETIAEDIESMVRSYVAKPNCLILAISPANQDIATSDAIKLAKEVDPTGDRTFGVLTKLDLMDKGTNALDVIEGRSYMMQYPWVGIVNRSQADINKNVEMMVARRKEREYFETSPHYGHLANKMGSEYLAKMLSKLLESVIRARIPNIIALINRSIEELERELDQLGRPIAIDAGAKLYNILGMCRAFEKIFKEHLDGGRPGGARIYGIFDYQLPGAIRKLPFDRHLSLESVKRIVSQSDGYQPHLIAPEMGYRRLIEGSLYLFRGPAEASVNAVHSVLKELVRKSIAETEELKRFPSLQTELAAAANSSLEKFREESMKSVLRLVDMEASYLTVDFFRKLHEMDTQGSQNTSLSSPTTVEQNGERQFRTIASNVAGYIKMVADTLANTIPKAVVHCQVRQAKLALLNYFYTQMSQRQGKHLGQLLDENPALMERRLQCAKRLELYKNARDEIDAAVWLG >SC176g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:14900:15834:1 gene:SC176g500020.1_BraROA transcript:SC176g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVCSVHTDVRVCPSAHTGHPWPSVSTHRTSGCPSVHISAPSVDCSGDFGSRGLSVQYTQDVRQHTQDVRGCQCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCQSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTPDVRDRPSVPHYQHVCPPVDCSGCLWPHVGCFCSVHTGRGGGHADHTYQHAGPSRGLSCTDPWTDPCTELISAC >A04p008850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7988198:7998285:1 gene:A04p008850.1_BraROA transcript:A04p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTSSSSSGLVKAKSETLSEALKSSSLDFSNGGGSSHGRKQHVRASSSPSQSGISNYRRNTHIRKAKTLDLGRLTGGEALSRASSASLGLSFSFTGFAVPHEEEIIPSERSCSNDDNLEDIEAATSSVVKLQEEPTFPIYLKFIDITYKVTCKAMTSSSEKSILNGISGSAYPGELLALMGPSGSGKTTLLNVLGGRFNQQNTSGSVSYNDKPFSKHLKSRIGFVTQDDVLFPHLTVKETLTYTALLRLPKTLTKQEKEQRAVSVIQELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIMTNPSLLFLDEPTSCLDSTTALKIVQMLQSIAKAGKTIVTTIHQPSSRLFHRFDKLVVLSRGSLLYFGKASEAMPYFSSIGCSPLLSMNPAEFLLDLANGNMNDISIPSALKEVSSCSKIRPPKSTPTAVYELYHINCDVDAETQYLEEAYKTQIEVMEKKKLMEPVPLDEEVKLMITCPKREWGLSWWDQYCILSLRGFKERKHDYFSWLRVTQVLSTAIILGLLWWQSDIQHPKGLQDQVGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERESNMYRLSAYFVARTTSDLPLDLILPVLFLVVVYFMAGLRLRAESFFLSVLTVFLCIVAAQGLGLAIGASLMDLKKATTLASVTVMTFMLAGGYFVKKVPMFIAWIRYMSFNYHTYKLLVKVQYEEIMQDVNGEEIVSGLKEVSALVAMIIGYQLTVRFGLFNKPNIHKTISVIVDHQDHDNLSLLRFNSLWEEAYRRDSLLVFSTARSPILYKELRKEKPLLTPDIIITSIGTEIAFGNSMVPDHSWVETLNTHKWNQEIVLEETRKFPELTLQPKTEQRLHKVSFYIDEGKGEAVTKELSHLLEKRGLDVKIIHSWGMNLDVIPRGGGKGEALEYLLKKLKAEGMSPVNTLACGDSEHDAELFSIPDVHGVMVSNSQEELLKWHTENALNNSKLIHSSERCADGILQAIDYFKLGPTLSPRDASEFLNGKADIANPGQEVVRFYLFYERLRRGEIKKYETYIASFKESCDQDAVFFHPSGEEKSLREAIDELRKYNGDRSGKKFWVWVDQVLVIDNISGKCIVKFDKWEQCEDERKCCTTTVEFTSKGKGCLVWEQVKQIWSEESELNDENNCWII >A09p060020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49934638:49936333:-1 gene:A09p060020.1_BraROA transcript:A09p060020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPPHKRHENRALRASSVPPSLLTKHKNTKIIYANDFISRWFLVGSEDNKSFQLVPVSSEWRRESEDKPLVMLAKSESGKLEDPWLWVAEKVENDLMLGFGRAKETLLRYASDDVNLRLITRFGKAVFNGSDNSSDTLRDDNVTKRVLEKLKRSFRTNVPKSYVENTVYGVVPKMGFSVEETKELYHVKVFDNTRPDITISCKCMAELNALRHLIIDVSCLDQDLDMRLSVDSKRTLTNLSENEIKSLKELTVSAVIDPNVKGGLKWPLGKLSCGDRYSVCGVWHTVTTTYRNQTLRLQVREADRYDFRTGIGGASREVFLKLRALSKLLKEENVEGKCVSDMLKDCLKTVWDYFLKIQ >A08p040990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23245646:23247936:-1 gene:A08p040990.1_BraROA transcript:A08p040990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLLSSFSPSSSLQLRSSFNGDYVSPSSSFIGAAPFASSSLSLLSGQKNSYPPRKLHMSAKKVSGLEEAIRIRRMRELEKTSKVRRNPPLRRGRVSPRLLVPDHIPRPPYVESGVLPDISPEFQIPGPEGIVKMRAACELAARVLNFAGTLVKPSVTTNEIDKAVHDMIVEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQSGDIINIDVTVYLDGYHGDTSRTFFCGEVDEGFKRLVKVTEECLERGIAVCKDGASFKKIGKRISEHAEKNGYNVVERFVGHGVGPVFHSEPLIYHYRNDGPGQMVEGQTFTIEPILTIGTTECVTWPDNWTTLTADGGVAAQCEHTILITRTGSEILTKC >A09p080600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58939956:58941725:1 gene:A09p080600.1_BraROA transcript:A09p080600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVEREAIVNDESEPNRFSDNASKSLVYQLHSGFSERFVRWRRRYIPVGGGTRRGHGSVKLSGPLVSGAAYCVSSCSMIIMNKIVLSSYNFNAGVSLMLYQNLISCLVVALLKLSGVVSVERFNWKLIRVWMPVNVIFVGMLISGMYSLKYINVAMVTILKNATNIITAIGELYMFRKRQNNKVWAAMFMMIISAISGGITDLTFNTVGYTWQTANCVLTASYSLTLRRVMDKAKQSTKSGSLNEVSMVLLNNLLSLPFGIILIILLGEWRYVISTDVTQDAMFWVAATASGFLGLAISFTSMWFLHQTGPTTYSLVGSLNKVPISLSGLVLFDVPLSLPNLFSILFGLFAGVVFARAKMS >A01p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24998696:24999450:-1 gene:A01p043170.1_BraROA transcript:A01p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFEEFRAMLSQKRMCIVLWSEKNVQAIKRGCRRILEMVLEAGHRGRSITLILEDQLKKSQVAERPTGRSTGAYELERAVKTAATRTDIGGTDHSKVRKLLHDLISATSKTRHRSDLSERRSKETMDLVTTRASVWSGFTRLLLDFRHFEFYLVYGFVQIC >A03g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4112151:4112824:1 gene:A03g501380.1_BraROA transcript:A03g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLHKSYLLAFYTADEGQAQISALHLIEAREVKLYLKSCKENSSRKNPRDLQAAKFFQVMVDPAAVFVCFLQASSEECVCKLALA >A02p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7027591:7031386:-1 gene:A02p015920.1_BraROA transcript:A02p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFTLPSLFSIAVLILTVSTAESLKSPFHPLDLLPLLPKQVSWPILNSLYGAADLLPTFIGTASPGNDDVKWKGACFYENTAYLEFHNKSGSEFGGGTLHIQADKAHSWTCMDLYVFATPYRVTWTWYFISRAHTVEFPEWDGLAEYEYVKNKGVSIFLMHAGMLGTLQALWDVFPLFTNTGWGESSNLAFLEKHMGAKFEARPEPWVTNVTTDQIQSGDMLAISKIRGRWGGFETLEKWVSGAYAGHSAVCLRDSEGKLWVGESGNENEKGEDVIAILPWEEWWEFELTKDDANPQIALLPLHPDVRAKFNVTAAWEYARSMEGKPYGYHNLIFSWIDTVTENYPPPLDSHLVASFMTVWSKMQPDYAANMWNEALNKRLGTKGLDLSDVLVEVEKRGSSFDKLLAIPEQDDWIYSDGKSTSCIAFILEMYKEAGLFGSLADSIQVTEFTLKDAYMLNFFETNASRLPKWCNDNDSVKLPYCQILGKYRLQLPGYNTMEPYTHMNEQCPSLPPKSVAYSDTTGYQRLKLSIVMCVSSSRQMMGHVVARTQLILSRSNFADSIRCQGLRVSKTLRMESQQSKRPTCPSCAKPTQLCLCNRIRSPPLDNQVSVTILQHSLERKHALNSTRIARLGLKNVTVTTVCDVHDEAEFLIRVKGASLELVDTSKLGSDNVDNESLKLYQQLADKRGSCEENLMRISMKKRGVISNVSTSLMEDASFNGILASPAAMDVLAKGFVVTKFSEGKEEFELEVPPGSALLFPSEGSVMISDLKERDLKVRNLIVLDGTWSKARRMYVENPWLKLLCSHVKLEIEGASLYREVRRQPREGCLSTIESIVHAMKEMGEDTEGLDSMLDVFESMVGDQRRCKDENFGKIL >A06g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10803484:10806720:1 gene:A06g503550.1_BraROA transcript:A06g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIEAVKLYEFMTDGPFKPSVEDCSLLLKSLSAGPSPDLDLVYRVSRKYESTGKPLSKAVYDGIHRYLTSVARFDKAGDTMKAMRDAAGCEPDNVTYSQLVFGLCKAKRLEEACGVLDQMEADGCLPDVRTWSVLIQGYYLATPQCVHQCYYNMTNVHANNLLDTHDLNTRLRAGNSLVNVYFRHKFKLNLLLFRHEFAVDSLIVRHEFTKTHLWLGMSLQKLSFY >A09p008590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4418811:4419539:1 gene:A09p008590.1_BraROA transcript:A09p008590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSRLLSYSPNSPSFDSFSSAVDLAAIAARVVQELRDQEQQFDFHRDDDNDFEFAFDCPSRRCSHPIATADEIFYNGKIRPSNPYGGGTGNAPVSPPTSTLPRRHRPALRKLMSEERDTTSDSSSEAEEDLTGVAPETYCMWTPKGGDDDLQELSSQSKIKSNSVGLSKRWNLKNLLYARSSSEGNGETNSDHREEEEEPSSKVVGEKSEETKRQPFAPFNKDMMGILKNVNGLSRHLRPF >A07p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17712599:17715877:1 gene:A07p032190.1_BraROA transcript:A07p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCD [Source:Projected from Arabidopsis thaliana (AT3G62130) UniProtKB/TrEMBL;Acc:A0A178VKW4] MAADDRRNGDSTNHNRRDPKKPRLSEFLTESDIRSEFAHHHTGVARVNNGSFGCCPSSVLDAQREWQLRFLRQPDEFYFNGLRRGLLASRTVISDLINADDVDEVSLVDNATTAAAIVLQRVGRCFSEGRYQKEDTVVMFHCAFQSVKKSIQAYVTRVGGSTVEVRLPFPVSSNDEIVSAFREGLKKGRANGRTVRLAIIDHITSMPCVLMPVRELVKVCREEGVEEVFVDAAHAIGSVKVDVKEIGADYYVSNLHKWFFCPPSIAFFYCKKRGSELDVHHPVVSHEFGNGLAIESAWIGTRDYSSQLVVPSVMEFVSRFEGGIDGIMERNHDEAVKMGLMLCNAWGTNLGSPPEMCVGMVMIGLPSKLCVESDEDAVKLRSYLRVHRSVEVPVYFLGLRDGEEGVKDKDSGVITAYVRISRQVYNETEDYERLRDAITELVKDQRTCQNLPPFDIFRCNNKALEEEEPEPLAKVPEVTLLLMSLWWSGVQWKKRLTLRRLFNVFLTTEKPIRLLNFVSEEQLEESKKERGERVEDGTFQRDRALYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLEMSKKEYEQQLADEDEQQIRSFQAAVAARSATPQEPEEAALPPPAPPTKEPKTTGKRNSATRPFNTIIRVKPQPKKLKATEEEKKEISGPPEPLQTGLALVSYSDESEDDD >A07p026280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15014496:15017174:-1 gene:A07p026280.1_BraROA transcript:A07p026280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETVEETRPRASSSETGSAKQNDVAASGEKTLKENGVRVTENGEHKVVDESGVIEDCVMNGVSSLLKLKQDVSDEDGDAKEEEEEEEEHGYHVGDFVWGKIKNHPWWPGQIYDPSEASDLALKIKQKGKMLVAYFGDGTFAWCGPSQLKPFAESFKECSNVSSSRVIVTAVEEAVEEMGRHMERLLIRDCTVELDNRVVKNAGIKGGVAVRDVRREMISSLLVGKPEGILQDVKGFAETVSFSGLLELEIVKRKVSALQHRRKQKSIAEILENESCAKVRFEIEPEDGKGKSSRKKVKRSDELVTTTNPKSRRMKEVAAIEEDSKGKRKRKRRDEDGGGEREEDEADNDSTPLASLRKRAKVDDASSAGNGETSTQNTTKRERKKSSKYFSPEFLSDFSSKGRKKTKTEPESSEVPSQSQGGELMANASNSLVVVEEEDNNSGELLLLENGAGHQELSEELSNAVDFLRLGATTEEIRDLIRVSALGTEYPKDSSSRDMVREFMSIYRSFTYHEGVNSKFLGSYSTAGTEKEEMNGTGKPEEIEQTGKEENETTKKQRKPKTPTSKKQADEVEESRKEVTESTKKERKRKKHESEKKAHEEQESTKKERKAKKPKSRKQGDEEEEASGSMKKDKKGKKPKFEEQETLNESEEKEREGKTKKQEFSGAELFVTFGPGSTLPKKEALIEIYGKFGALDEERSYVSDSNLCARVAFLNVDDGEKAFESSQENSPFASASTVKFRLKYPNERAGEKKGEAEAAETKTVEMEHLKKKFEEMISLVDKSQGGMTEEVRVKLEVEMVNLLEEVRKMPLS >A01p003350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1489141:1490049:-1 gene:A01p003350.1_BraROA transcript:A01p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 25 [Source:Projected from Arabidopsis thaliana (AT4G35390) UniProtKB/Swiss-Prot;Acc:Q6DBQ1] MSGYMHPLLGQELHLQRPEDSRTPPDHNNMELNRSEADEAKGETTPTGGGAANSATASGSSSGRRPRGRPAGSKNKPKPPTIITRDSPNVLRSHVLEVTSGSDISEAVSTYATRRGCGVCVLSATGAVTNVTIRQPAAPTGGGVITLHGRFEILSLTGTALPPPAPPGAGGLTVYLAGGQGQVVGGNVAGSLIASGPVVLMAASFANAVYDRLPMEEEETPPPRTTGVQQPQPAASQSSEVTRSEAQMGESNMGGRNGGVAFYNLGMNMNNFQFSGGDIFGLSGGGGGGGGGDGGGVTRPAF >A05p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4259559:4261282:-1 gene:A05p010160.1_BraROA transcript:A05p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAATNTVTLASPQSRQFSHTFSRSLPSLRFNLSGRNLSFKSTNLRVSATSMSAFAAEKLSPETFLDKKESGILHFVKYHGLGNDFILVDNRDSSEPKITQEQAVKLCDRNFGVGADGVIFAMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAEIENLQGKHSFTIHTGAGLIIPEIQDDGQVKVDMGEPILRAEDVPTKLQGNRGESVVAAELVVDGVSWNVTCVSMGNPHCITFGTKDGQDLRVDELKLSEIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACALVVAAVLEGRTDRKCTVDLPGGPLEIEWKEEDNHIYMTGPADLVFYGSALL >A01p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7801781:7803223:-1 gene:A01p015890.1_BraROA transcript:A01p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRATAALPKTLQNLRLYSPAATSALPLETQLDYLPGFPRPDPKHAETILAVPRADSGKNISAKERKAGRVPSIIFEQEDGQHGGNKRLVSVQTNQIRKLVTHMGYSFFLSRIFDVEVRSEIGSDEVVEKVRALPRSIHLHSGSDAPLNVTFIRAPPGTLLKVDIPLVFIGDDVSPGLKKGASLNTIKRTVKFLCPAEIIPPYIEVDLSHLDVGQKLVTGDLKVHPALKLIKSKDEPVVKIAGGRVSDQQKDQAKKDQPKKDQTKK >A09p013990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7215980:7222779:-1 gene:A09p013990.1_BraROA transcript:A09p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAKVTTSPLPLSSAMTVAFGLRALIFLRQYLFLSSLIIHDCFKPQEMTDIMKDFDEPGHLAPTGLFLAGLKYMVIQGEPGAVIRGKKGAGGITIKKTGQSMVFGLYEEPVTPGQCNMVVERLGDYLVLKKLEPWRDLKDKVVLVTGASSGIGKEICLDLGKAGCKIIAAARRVDRLNSLCSDINRFGSTGTQATALEFDVASDAATIRKAVKEAWEVFGKIDVLINNAGIRGNVKSSLDLSEDEWDKVFRTNLTGPWLVSKYVCILMRDAKQGGSVINISSMAGVHRGMLPGAVAYACSKGGVDIMTRMMAIELGVYKIRVNSIAPGLFKSEITQGLMQKEWLKNVNERTVPLKMQQTVDPGLTSLVRYLIHDSSEYVSGNTYIVDSGASLPVSQVLTQSLREEEEEMSWQSYVDDHLMCDVEGNHLTAAAILGQDGSVWAQSANFPQLKTEEINGITKDFEEPGFLAPTGLFLGGAKYMVIQGEPGAVIRGKKGPGGVTIKKTTQALVIGIYEEPMTGGQCNLVVERLGDYLIESDL >A03g503470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11472668:11473411:1 gene:A03g503470.1_BraROA transcript:A03g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCLKNLAIKVVNLIVNEAHYHAASIASRSLPALERYQLSSFWKEYGYVTTQKLAPLVFGLPEKEIDPPLLLEDCSYEIKDSSKKINIFCSPTTIRARIDSTEAREYLCARIQYLRDFPVTDLPLLDMYISELKYHDDEVNSKPPSFGEPSSYLR >A03p022370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9387325:9389384:1 gene:A03p022370.1_BraROA transcript:A03p022370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFSADDPTDSFWPPPPPPSPPLSPGDGMTRSQSEWAFQMLLEEISSVPAGSSISSSSATDNAIARSYPPQVRSESSVSRTEEASSNVVEMQKPSRNGATSSFVEELDPNHYQAVLKSKLELACAAVALRVSTTVTPEDSSASSGNQNQVSPVGSQTQGSAVAQMSPTVSSVSDAPSLDTQRQRDIQSRLAASDSDDSDDEDPDGETVTKVNADPTNVKRARRMLSNRESARRSRRRKQEQMSGLDSQVGQLRGEHATMLKRLSEVTQKFSTAVVDNRILKADIETLRTKVKMAEDTVKRVTGMNPRLLAKPYSIPFDRTLMGTSQPNLNQTDMIPNQISENDSFASNSVVSLEAGCNAFEATSWICDKEAP >A06p047180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25097235:25098460:1 gene:A06p047180.1_BraROA transcript:A06p047180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRYSICLILFSLISLQAFAKKTGDVSELQIGVKFKPATCEVKAHKGDMIKVHYRGKLTDGTVFDSSFERDEPFEFELGSGQVIKGWDQGLLGACVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELLAVNEKPAGGEEQQEEDDGEDVDDTYGADEL >A08p005150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2978151:2978889:-1 gene:A08p005150.1_BraROA transcript:A08p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNINTINNKYWHKTIWFKEHILPWINQPRVNADAHAIPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLNRMMRDRLLSYPASSSFSSSLLLFIFLWTKKAP >A03p020780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8554695:8555660:-1 gene:A03p020780.1_BraROA transcript:A03p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLAACYSEHAIKVSDTYCSGPSNHTYISPTLPPSIPDTVTTTYTSSSDKPVSVSLTWSDNLTVVISTTPAKSYSVSLKKPKGSRKLTSSSGSLNAEILWDLTEAEYDNGGPEPTRGFSVAVVVNSEVVLRVGDVDRRDTSSWRVSRTERYSGSCWLSTKAKFSDAGSKHEIQIQCGGGGGGGGGGGGGGEEGYLWKLKSPETMSVWVDKRKVFQVKKLKWNFRGNQTMFFDGMLIDMMWDLHDWFFKETASSSSTGSKTTSSSSSSSSSSSPPCAVFMFRRRSGLDSRLWLEEDEEENKKIGLREAKHSFSLVICVSKK >A02p012500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5472656:5474416:-1 gene:A02p012500.1_BraROA transcript:A02p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQSFKLYQTRTKLTVLIRQERYRFIVNRKPERPVKRKPNSIIQKGSTRSIETRGKTMFATSSVLSPTPQSFFLSSHLPQIQFLYPIKFLGFPVTNRCYVGGSFYNRRSCDERRRRNRVIVPRARASSPYEVLGVSPSATPQDIKRAYRKLALKYHPDVNKEANAQEKFLRIKHAYTTLINSESRRKYGSDTRATGQTTSRKSNSQVEEDFYGLGEFVKDEIGEEFVEFLEKEVNISDEDNDDGSSKNGERFDFEESSKSPGNNTNSTKNSIEDNIDEIEATLAQLKKDLGLQ >A05p015350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6843158:6844078:-1 gene:A05p015350.1_BraROA transcript:A05p015350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGHIARESSDVTRLALFYKEVFGFEEIESPDFGDLKVIWLNLPGAFAMHIIQRNTSTNLPEGPYSATSAVRDPSHLPMGHHICFSVSNFDSFLRSLKEKGIETFQKSLPDGKVKQVFFFDPDGNGLEVASRSES >A07p002060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4057024:4057727:-1 gene:A07p002060.1_BraROA transcript:A07p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNLASLSPSMLHKILSKVATTSIRDFGCARVAFPGFNAIGREDYFYKSADLIFLNDCLDQVNAVRTFRLKCYQLGNPEAIYLQDGMMNLAFSVDHRGLFHNYPDFTREYADHPNVCYDCWCSAIIEPVFVVSIDGSRTRWKCDPWDLCNEIQLTARDWPIGD >A03p061240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26633100:26636674:-1 gene:A03p061240.1_BraROA transcript:A03p061240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLTLVPVIATPPSSLFTFTRLHQLASLSSPYSPSGVLRATAKRFTFVTKSKSVTTMAAAQEYPGNLKRQVEKLFDASLRSTVPDETGVQTEVTASLPGKPGDYQCNNAMGLWSIIKGKGTQFKGPPAVGQALLKNLPASEMVESCSVAGPGFVNVILSSKWIAKSIETMLVDGIDTWAPSLPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEYLFEKFPDTDSVTETAIGDLQSFYKESKSKFDADPEFKEKAQKAVVRLQGGDAIYRKAWTKICDISRAEFAKVYQRLRVELEEKGESFYNPYIAKVIEELNSKGLIEESEGARVIFLEGFNIPLMVVKSDGGFNYASTDLTALWYRLNEEKAEWIVYVTDVGQQQHFSMFFKAARKAGWLPESDKTYPRVDHVGFGLVKGEDGKRFRTRSSEVVRLVDLLDEAKTRSKTALIERGKDKEWTPEELDQTAEAVGYGAVKYADLKNNRSTSYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIDELKKTGKLVLDHPEERALGLHLLRFAETVEAACANLLPHVLCEYLYELSERYTSFYSVHQVIGSAEEASRLLLCEATAIVMRKCFHLLGITPVYKI >A10g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12176370:12179052:1 gene:A10g504900.1_BraROA transcript:A10g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMSITRWKISKERTITRSIRTKAEPQVIRGPAIKDMTKTPSESSTSPKDTPRLTAKSWEQGWPRSYSLESSWKSKVKVPGQRSAECIRRTIHFLVTIGKPGRDLFSIKRNRDGVPASLDPPVDRGNERLSVSIRRLASSKDFRLNHDTHGNHLELELRGTSLHHLDDLPLALPFRLADSPCMITSKLHISLQHLALHASEITFRFLRFKAIDHGFPMARLNGLAKQVKALQNRLTCYKRKKKQLGNRQNFRKNLTPVYTNKEEKLLPRVEVGDEPLNHRHKAPRDQPILVRILDEL >A09g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19285675:19294335:-1 gene:A09g506490.1_BraROA transcript:A09g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINFNLKIHIRSNDTTSLNTKENQTTIINFSETVLPLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSLQEKESAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFGDFSQGLLDTIGDTVKTTVKERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMRAKLNSKVVRDKAAGVTKNLDLAFGNADATNADLVSDSPGKEPPFGREAELKKKQKKEEAELKKKKKQEEADLKKKKKQEEADSKKDIPASKRTRSAVTLDIAQPNLKPYPKIGKYLISQPIRLHKTVPIRLHKAAVKKI >A07p005630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2029189:2031543:-1 gene:A07p005630.1_BraROA transcript:A07p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIVSNFRFRSLGLFRFSHHNEKANQTFLLDDANQTSIPTTMATLKPPESQLLKTLSSILTSDKTHILETLNPYIPQITQPLLLSLFSSPSLAKRPETLLSLFHWAKTSTPEAFPSDSPLPLLSVVRSLISHHKFADAKSLLVSHILTTSDGPLSLSNSLLHPNLHLSPPPSKALLDVSLGAYLQAGKPHVALHIFQKMVRLKLKPNPLTCNTLLIGLVRYPSSFSLANARQVFHDMVKLGVSLNAKTFNVLIHGFCLEGKLDDAVGMIERMVSEFSVQPDNVTYNTVLKAMSKKGRLNDVKELLLDMKKNGLVPNKDTYNNLVYGYCKLGSLKEAFQIVELMKQTNMLPDLRTYNMLMKGLCNEGSIKEALELVDEMKELKLLPDVVTYNTLIDGCFELGRSLDAKKLMEQMVNLGVKPNQVTHNISLKWLCKEGKMEEVSRKVKELVEVHGFSPDRVTYHTLIKGYLKVGDLNGALEMMREMGQKGIKMNTITLNTILDALCKERKLDEARSLLDSARKRGYIVDEVSYSTLITGYFREEKVEKALEMWEEMKKIKIIPTVSTFNSLIGGLCHNGKTELAMEKFDELAESGLLPDDTTFNSIILGYCKEGRVEKAFEFYNESVRHSFKPDSYTCNILLNGLCKEGMTEKALNFFNSLIEEREVDTVTYNTMISGFCKDRKVKEAFDLLSEMEEKKLEPDRFTYNSIVTALMEDGKLSEAEELLEKVSVKFGSAKQSLQGQTHENAESKEELNNTEGIAYSDVINELCSRGRSKGVTVEVT >A01g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9198753:9199280:1 gene:A01g502730.1_BraROA transcript:A01g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGFRYADIGALRACSMVRPSSSKCGKRAYVTFLAGNKDYWMGVVGLAKGLRKVKSIYPLVVAVLPDVPEEHRQILLAQGCIIREIEQVIPPENKVGYSMTYFVLNYSKLRIWEKKNYF >A04p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22680439:22681912:1 gene:A04p040200.1_BraROA transcript:A04p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSTTLSFSSSFTHRSLLLERRFRPRRPPKPLDFTPSCVSSDPKSDDSTPINLSSKLISLLKAVPDWSDGIKERRMRQKRSLYTHENWVRHRSSLRHLRHVSSSASSRVILSLIPPVFFFTTVAVLIAGYNSAVGLELLPSFFPVLRASPLPYQLTAPALALLLVFRTEASYSRFEQGRKAWVKIITGTNDLARQVVSSVNGSSSGDDEFIIRDALLRYIAAFPVALKCHVIYGSDIADDLRNMVEEDDLSLILKSKHRPRCVIQFISQSLQLLNLDSTKIDTLESKMLQLQEGIGVCDQLMGIPIPLSYTRLTSRFLVLWHLTLPVILWDDCHWNVVPATFISAASLFCIEEVGVLIEEPFSMLALDELCDMVLSNIDEAVKSEKVIRNRIIAKKRMHEIKHSSNGWHKS >A09g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25895942:25897542:-1 gene:A09g509230.1_BraROA transcript:A09g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSDHVTYVFVVGSVQLGELGLCVVRDPGMCCVWRHPLSNLRGLGVCGVRRTRPGVDFRCCLQGARCSRQVGSGSRMRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVAVNIIDISSRESSPWISMPAWSPAFSLGGSLD >A05p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3226801:3227515:-1 gene:A05p007910.1_BraROA transcript:A05p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTKNLCEGSTQFKDLNRARGNSQLKGKRTEETSPETNVDRFMKNNNMSSPYLSRATANNPVTVKRSSNHLNGFAQRPESTFFGTRMQPDIELMSLVDPMDMSSRTTTMSWPESRNNSGVLRWRSYEASDEEDEPNWGIAPISSGSMPVKGRRRRFAAR >A06g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19336451:19336772:1 gene:A06g507000.1_BraROA transcript:A06g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKCLEKLKPFIVAYEGGDRGSRRMGANIEVIGEVMVEAPLLKEIVDHDSVPDRVTAKKQNEELDRIATTVPKVHLML >A03p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1839388:1843702:1 gene:A03p004360.1_BraROA transcript:A03p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKESLESQFQPYQNFISYSAALQAMKAQWTRFPENWKGVDPCGSNWVGISCYNNKGISMWKESLESQFQPYKNFISYSAALQAMKAQWTRFPENWKGVDPCGSNWGISMWKESLESQFQPYKNFISYSAALQAIKAQWTRFPENWKGVDPCGSNWVGISCYNNKVVSM >A03p062350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27125296:27127257:-1 gene:A03p062350.1_BraROA transcript:A03p062350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPRSASPSVSLATSDLLDKQRQSSHGEFTRLEKRMGGRRMTFHSKSMPRGSMFLGQEASRNSHDKRFDLFRTMSGKLERQISNLRGKPIDRSLQEDKEITESLTADRFFDALQGPELDTLKEKEKIVLPDDKTWPFLLRFPITSYGMCLGVSSHAIMWKTLATTDAEKFLHVTQVVNHVLWWISLVLLIAVSITYLFKTIFFFEAVRREFRHPIRVNFFFAPLITVLFLALGIPHSITSSLPSILWYFLMAPVLFLEMKIYGQWMSGGQRRLSKVANPTNHLSIVGNFVGALLGASMGLKEGPMFFFSIGLAYYMVLFVTLYQRLPTNETLPKELHPVFFLFVAAPAVASMAWTKISDSFDLGSRLAYFASLFLCFSLVVRINFFRGFKFSLAWWAYTFPMTAVATATIKYSGEVTGVATQILSVVMSGAATLTVIGVLVMTAVHAFVKCDLFPNDVAIAISAVQPKQKKWFKQLKVLDPEDNQIDVEAPPLLNA >A03g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30372424:30374328:1 gene:A03g509380.1_BraROA transcript:A03g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVLAAPEKLKTKEEPKAYEEDWKKAGTEENVDMERGNEAEGKVSKILADGYALFARRETRGYGLQPIRSKRKAVPGKELQKKDAPGNSIINAVSSSQKQRRRNKTRSMADSGDSTAKLSQIAFQIKGGRRWKLESFYGIIEEKETMGRLGPKVEIVSYWAKSFEAQQDILHKQKETLR >SC337g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000180.1:43:5883:-1 gene:SC337g500010.1_BraROA transcript:SC337g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMLRLQVSRHLVLLGVNQHAVLSWASTPPASLATYHARQVTSRTYLIDKASSSFSVHSTDFDPSGEFSSRDQSRIFFYARSDEMNIFNKLQVNPDLDGKYFPSLRLPRKIDNTKIRVFAQLRVFPSCFNPVVLASYILRKLRDEETSIFKNVELLNRRASKMALVTMYHSRVASYLMLRGTTFLAKVFSAREASYNRGGNIVFKGRLEGDVYFTARLFKPLLHLSSSPIKVSYSVKSDETSNLGLLLGFSSPSHQAKSILVAPQETTPRLDHMFLKCLVASKQTVSEWTVHETSLAMDGYTLTEISAFCYRTENSTKTSEYVALLGQISIKEHAQLQQNLVSLTPASSWVIEAHSIELVPGDSGSRILKVKLEWRQTQLEDSGLPVYNVYAENVNSTDVLRSRKATDMYPNRPRTNSSMEIRPRTSQARSIRGDQASIRLARSLRSDRARAKLGRYVGTERPSRSVAM >A05p037950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21569073:21574670:-1 gene:A05p037950.1_BraROA transcript:A05p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSRKVLRREKSPVTMAFPRMIFLFLINVFILQTASSSSWQTLHGKPPVVVARGGFSGLLPDSSENAYKMVKLTTSPDVTLWCDLQLTKDGAGICFPTLNLDNASNVKDVYPNHKEWLSVGFTWKELSTVALRQGVFSRLQIFDDVSDILRIEEVAKLGTSGLWLNIQHSDFYTQHNLSMRNFVLSISSHMKINFISSPDISFLKSVKKDVKPTKTKLIFSFLSQDQIDPFTNQSYASLAKNLTFIKTFASGILVPKSYIWPLSSDLYLQPHTSLVTDAHRQGLQVFASEFANDAVSAYNYSYDPTNEYLYFIDNGNFSVDGFLSDFPLTPYRAINCFSHLDKKKAKKRANITIISKDGASGDFSGGTDLAYEKAIRDGVDFIDCNVQMSKDKIPFCMSSIDLMNSTNVIYTSFKNLSSTVSEIQQGSSIFTFSLTMSEIQTLKPIISNPHRVYGLFRNPRNKNLGKFLTLSEFLLLPNRYSSLSGSLIKIEYAAYLATNQGISIVDAVLDELKRATSQVLIQSTDKAVLMDFKEKGKMTNEELVYAVDEDVHDVTDSAIKDIKSFAGSIVISKKSVVPYSEGIARLEKETDVVPRLRSSGLRVYVETFSNEFITQPYDFFSDPTVEIDYFVRGDPEVDGIITDFPATTSRYTKNQCYSKMEQIRTGELVSLANPKILSPAEAPYPLLLDSNVTEPPLPEVRIQPPAPAPAKEDVKSKAKAVQVSSTVRAMVVLKLTYVHVDSSRRRYIYEEITKLGRRNISAQIFTFKDLCVATKNFDPENQLGEGGFGRVYKGYIETNDKVVAVKQLDRNGYQGNREFLVEVMMLGLLHHQNLVNLVGYCADELVRNKKKPLDWDTRMKVAAGAARGLEYLHETADPPVIYRDFKASNILLDEEFNPKLSDFGLAKVGPTGGETHVSTRVMGTYGYCAPEYALTGQLTVKSDVYSFGVVFLEMITGRRVIDPTKPTQEENLVTWASPLFKDRKKFTLMADPLLEGKYPIIGLYQALAVAAMCLQEEAATRPMMSDVVSALEYLAMNKDKVDGEAVEDKRNYNN >A03p006000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2498905:2501120:-1 gene:A03p006000.1_BraROA transcript:A03p006000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRPSDSSGTDDDLPPSHQNRYQRSGRPAGNGRPSVLNSAPLSRVHNDMETQIHLIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADEMIRRIREWRKGNSVPQMVHDNAPSPAVSGSRKKQKTSQSMGPPSPALHPSMQPSSSALRRGGGPPPGPKTKKPKTFPATGIGGRPQGGALTNEPGEAGSYDPLIGKKVWTKWPEDNHFYEAVITNYDPIEGRHALVYDISTPSETWEWVNLKEISPGDIRWEGEDSGVSRKGGHPGQGRGSSTKAMPRGGPSGRGRGNMKIQPHKAQNGIGKKALGDIEILHTDTLIKEIEKVFGSVNPNPAEVEKAKRVLRDHEQALVDAIAKLEELSDGESDGVWLKGVDGGGSVNR >A02p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30790332:30791855:-1 gene:A02p049740.1_BraROA transcript:A02p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMRKQRSVSALVFLALISASMATSESEVPFMVVHKKASLSSIKSGVQRVFVTVDIYNQGSSSAYDVNLVDDTWNSNLFEIVSGNTSKSWAKLDVGQVLSHWFELKSKKKGLFYGSPAVVTFRVPSKGVLQAYSTPILPLDVLAETIPEKKLDLRLVGRFGPQFSVLSIIVTFVYLMVTPSKASKKKR >A01g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27428836:27430390:-1 gene:A01g510040.1_BraROA transcript:A01g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTDKKKKEKTPQHGFRAQHRIGELGGSVKPWGFELVEILRHHEICCSRNWFCIQSKQEQVYDVSVYLALAHSSSLGPGWDRGSIRPMYAKVSAR >A04p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7549111:7551856:1 gene:A04p009970.1_BraROA transcript:A04p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At4g14240 [Source:Projected from Arabidopsis thaliana (AT4G14240) UniProtKB/Swiss-Prot;Acc:Q67XQ0] MQLINAVAAGRMLYGLGQSNGVGEAIPFGSPWWFIYAGVSCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPNEKKQASAIFPVVQKQHQLLVTLLLCNAVAMEGLPIYLDKLFNEYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMILCYPIAFPIGKILDLVLGHNDALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAQEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNVIGLLLVKSLLTVRPETETLVSAVCIRRMPRVPADMPLYDILNEFQKGSSHMAAVVKVKGKNKVPPSTLLEENTEESNDSDLTAPLLLKREGNHDNVIVQIDKANRQSFYQNNETVPHGFTHTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSIARAPSSRRLIAQKGAGGGQNRQPQTVKGSITEPVEGKQPI >A10g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13717703:13723362:1 gene:A10g505470.1_BraROA transcript:A10g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVFHFSERPS >A03p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16519111:16520418:-1 gene:A03p039670.1_BraROA transcript:A03p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFTLRKIGVPPNSANLTEARRRVFDFFRAACRSIPTIMDIYNLQDVVAPSQLRFAISAQIRNNAHVTDPKVIDLLLFKGMEELTDIVDHAKQRHHIIGQYVVGEGLVQNTGSKDQGKSDFLKNFYTSNYF >A05g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7653704:7660720:-1 gene:A05g502420.1_BraROA transcript:A05g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSRSDITKSLCPTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTKVAPDPERPVGATHQSRSRPLARRHQKSALERLPGATPASRSALKVDSLIDRLPSLVRYMITQDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHEPRATSRSDTTKSLASHTPLGATSQSDTPRSLASLWRDDTKRSLERPLRATYQGRSRSRATRWSDTPKSLATSRPETPKIGPGATSRSDTCKSLRSLLRIVPRLKVDSLIDRLPSLVRYLITQGLIPIPMSSLFPYALISLRTGSTIFYTTTFVLGALKTPNITPTTQFFLGHKGGVGRNEESFSLVVEGSPVLGLLDGLDSAPCGIPPFRFLPTFGI >A09g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19117447:19117806:-1 gene:A09g506430.1_BraROA transcript:A09g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKTLVLFVFTTIFIISFVDCHTTTMATTPASTPGYGINWATVLCFKISTPCDLAGRYGCAKFCDQWDYFYDRCEPGKCCCHR >A04p023770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14461656:14464378:1 gene:A04p023770.1_BraROA transcript:A04p023770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIESESDDEISVPEVPNPSASSSSPPKTTDAKIVGGDDSDGFETASERGVSDYEEDEEEGDRKQDAVENQEPQPSDSPEKKEDQVEAVTDVKSNQKEKALEDANEAKKEGNKLFGDGLYEDALSKYELALQFAQEFPESLDLRSICHSNRAICYLKLGKYAEAIKESTKAIELNPSYTKALVRRAEAHEKLEHFEDALTDLKKILELDPSNGQARKSIRRLEPLAAEKQEKMKEEAIAKLKEMGNTILGKFGMSVDNFKAGFSKVSVPHCKLLLLLLVEHENLNPKLKFGFCSYESVRYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGDVKTDIGMVVIRGNSIVTVEALEPVGRSS >A07p006310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1723750:1724376:-1 gene:A07p006310.1_BraROA transcript:A07p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMILQTPKTCISPEAPSKPHTHFPRSTCDSSPRKHLPLPKKNARLWSSKVWTSRLSSFSSYFPRFCDLGSLRNHTKALCLSAGAGHAPMAMAHIRLYDVTAVELVDSLPLVGRADPHNLPFFDGAFDFTFTAHLDDALFPWRVVEEMERTVRRGQFCVVAVDECGGDDVREIARLFLKSKLVDVANVTLEGSKRTSILLKVQDFKT >A06g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3793635:3794116:-1 gene:A06g501000.1_BraROA transcript:A06g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEAGRNGGAGGVAFPVVVVRMRRRRGGGRSGDGGRGEIGTGAAFEMLGGGAGRVGGGENGCRCCVRGLVREPSPLALPELTRPSPSSPVAAAEPPLERCCSSSPFAKVEINLPATT >A08p041820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23556665:23559347:1 gene:A08p041820.1_BraROA transcript:A08p041820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWSVVRRNLFNGCNSSYSSSIIGKSWKCKSSTASHTRYYGALSKETSVFVRGSPVSLPCYLGSSLSSNAIMRMTVPYNHTFNTSIRLFSSDEGGSVDAVVPYMGESISDGTLATILKKPGDRVEADEPIAQIETDKVTIDVSSPTAGTIEKIVAKEGDTVEPGFKIAVISKSSGAATNVEDSEKKPEETKPEKKEEKPKPVAESPPSPKVETSPPKEKSRAPPPPPPASGASPREPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFLEKHGVKLGLMSGFIKGAISALQNQPVVNAVIDGEDIIYRDYVDISIAVGTPKGLVVPVLRNVEQMNFAEIEKEINRLAKKATAGTISIDEMAGGTFTISNGGVYGSLLSTPIINPPQSAILGMHSILNRPMVVGGEVMSRPMMYIALTYDHRLIDGREAVLFLRRIKDVVEDPRRLLLDI >A07p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18703287:18704479:-1 gene:A07p034380.1_BraROA transcript:A07p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRFYLSPRILLLFLTLLSGTKWSDSARVFTIVNSCNQVVWPAITPGESFNGGGFELKPGQSMVFNAPVGWSGRIWGRTGCNFDKTGTGTCETGSCGSTLKCSASGKPPASLAEFTLATLDFYDVSLVDGFNLPMSVTPLNGKGNCSVAGCVADLRPKCPRELAVKSNGKVISCRSACDVFDKDEYCCRGVYGNPSTCRPTYYSKLFKEACPTSYSYAYDDPTSIFTCSGTDYVISFCSAKKKPVCTYHDNKLVCSDGSAGSSGFKTMIGGLWLVPLLSLIVF >A01p054830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30869790:30872950:-1 gene:A01p054830.1_BraROA transcript:A01p054830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRLPTAPSSLRSQMLGQPLHRIPLPPCNGRSNLRFKPVIGTNLSRKNHVSPVAVITRDETTSVAPLASPQPRLKVSPSSLQYPAGYLGAVPERASDPENGSIAEAMEYLTNILSTKVYDVAIESPLHLAKKLSERLGVRLFLKREDLQPVFSFKLRGAYNMMVKLPAEQLAKGVICSSAGNHAQGVALSAAKLGCTAVIVMPRTTPEIKWQSVENLGATVVLVGDSYDEAQAFAKQRAEEEGLTFIPPFDHPDVIAGQGTVGMEITRQAKGPLHAIFVPIGGGGLIAGIASYVKRVCPEVKIIGVEPADANTMALSLHHGERVILDQVGGFADGVAVKVVGEETFRISRKLVDGVVLVTRDAICASIKDMFEEQRNILEPAGALAIAGAEAYCKYYGLKDVNVVAITSGANMNFDKLRIVTELANVGRQQEAVLATILPEKPGSFKKFCELVGAMNITEFKYRCGSEKESVVLYRQCCVLLLSVGVHTPGELKALEKRMESSQLRTRNLTSSDLVKDHLRYLMGGRSSVEEEVLCQFTFPERPGALMNFLDSFSPRWNISLFHYRAEGGAGANVLVGIQVPEQEMEEFRNRAQVLGYEYVLTKSEEVVEAMGVFLVSMVVLELSVGTKPVSLGSVRWAFMSRAYYASPICNLYCLRALISHYAVICRLWIPFSK >A02g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9496960:9497413:1 gene:A02g502870.1_BraROA transcript:A02g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLQAHFRLACLILEIGDSFHTAITIFRFRKTVALTAPISLAFIFGEAVILTAPSFRRLFLRIDPESIHGSRSATVLASMVEFGRAFSLTPAEDDVVFRWMSDSCGDGGCMSVR >A07p047790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25593962:25594803:1 gene:A07p047790.1_BraROA transcript:A07p047790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSSALILLFVFITSSIAVSATVFTLQNSCPYTVWPGILSGNSNTLGDGGFPLTPGASKQLTAPQGWSGRFWARTGNGNCVTGDCGGVLKCIGGGVPPTTLAEFTVGTGVSGKDFYDVSLVDGYNVEMGIKPQGGSGDCKYAGCVADVNAVCPNELRIVDPKTGTVAACKSACAAFNSPEFCCTGAHATPQTCSPTRYSAMFKNACPSAYSYAYDDATSTFTCAGANYLITFCPTRS >A05p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21476687:21479229:1 gene:A05p037780.1_BraROA transcript:A05p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHDFINSGSWWKVSSSSSISSSSSMRANSNESGGSAVFHDKLHHQDHHSLATDHHLQVIGLGLSSQSPVDQWNQSLLRGDSKAETSFGVMLQENLNLDATSNANANTTSSTTSYQLQESDSPHHQALWRDPHDINNNDFKPQLNMTSSNRGFFSDHPQFSPHGSSSTDSSTVTCQGFSIDNSTNTMYGTSTTPNSSSAAMFHQHQGAGYNLPGSSEQQPSRNHQQSNLGYSQFGSSTGNYDQIATALPSTWFLRSSPPKPHSPLRFSNNATFWNPAATAGNVGPTQHDASSNFFPAIQPPQLHRPSFEEQPKSVSEIRDSSSGDVKRGGGDQPAAKRAKSEAASPSPAFKVRKEKMGDRIAALQQLVSPFGKTDAASVLSEAIEYIKFLHQQVSALSNPYMKSGASLQHQQSDHPKELDVSEEPDLRSRGLCLVPVSSTFPVTHDTTVDFWTPTFGGTFR >A01p049590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27833384:27837809:1 gene:A01p049590.1_BraROA transcript:A01p049590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHMQQTNAAAATALYDAAGPANDAGDAVMARWLQSAGLQHLGSPVASTGNNDQRHLPNLLMQGYGAQTAEEKQRLFNLMRNLNFNGESTSESYTPTYQASAAMPSSEGFFSPEFRGDFGAGLMDLHAMDDTELLSEHVITEPFEPSPFMPSVNKEFEEDFNLPANRQQRQQTDSEPLGSLPKSEKENTSVAKIKVVVRKRPLNKKEIARKEEDVVTVSDNSLTVHEPKLKVDLTAYVENHEFCFDAVLDEDVSNDEVYRATIEPIIPIIFQRTKATCFAYGQTGSGKTYTMKPLPIRAVEDLMRLLRQPVYSNQRFKLWLSYFEIYGGKLFDLLSERKLLLSSRKLCMREDGRQQVCIVGLQEYEVSDVQIVKDFIEKGNAERSTGSTGANEESSRSHAILQLVVKKHVEVKETRRKNNDAKELPGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSVGSCEHTLNTLRYADRVKSLSKSGNSKKDQTANSMPPVNKEALLASNDVEDIFEPPQEVNVQETGRRIEKESYATSSTDFRQPTSFREESGIPSISMDKGRSETNNNSFGGSASQRNHLSSYPQETSDREEKVKKVSPPRGKGLREEKPDRTQNLSKRDARSSDIPTLTSFRQNTSEAASRQYETDTSLDENIDALLEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSMIENYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPPR >A02p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1190302:1194237:1 gene:A02p002810.1_BraROA transcript:A02p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 6A [Source:Projected from Arabidopsis thaliana (AT5G07660) UniProtKB/Swiss-Prot;Acc:Q9FLR5] MDKSNHGDRGNSQRSSSGAILKIRLENFMCHSNLEIEFGEWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGCSDALVRVEMNNEGDDAFKPNVYGDTLVIERRISHSTSSTVLKDSQGRKISNRKEELRELVEHYNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFYKATLLQQVDDLLLGVDTKLKAASALMDELEETIKPVEKEITELLGKIKTMEKFEEVYQQLQLLKKKLAWSWVYDVDRELKEQSEKLVKLRERVPTCQDKIDQKLGEVESLREKLTNKKAQVTCLMDESTAVKREIECLRQSVKTATREKVALEEDHRHKCSNIQKIKDRVMRLERQIKDIDEMTIRNTQAEQSEIEENLSQLKLEAEKAKALLFSLKEEEKMLTEKASVIGKEIALIEDTIREREKKQRSINSHINDLKKHQTNKVTAFGGDRVITLLRAIERHHRKFKMPPIGPVGAHVTLVNGNKWASAVEQALGNLLNAFIVTNHQDLITLRSCGKEANYNNLKIIIYDFSRPRLIIPRHMVPQTEHPTILSVLNSENHTVLNVLIDVMGVERQVLAESYEVGKTVAFERRLAHLKEVFTIDGYRMFSRGPVQTTLPPRGRRPTRLCASFDDQIKDLEVEASKEQSEIHESRRQKREAEVNLEDLEPQMRRLKRQCTQIEKDLTRKELELQDLKNSVAAETKASPTSSVDELRLEITVSFIG >A07p042210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22886438:22889424:-1 gene:A07p042210.1_BraROA transcript:A07p042210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGLKRRRRRRIHLSKLYTLTCTQAFFKQDHSQIGGPGYSRLVFINEPDSPEADSSSYSDNYVRTTKYTLATFLPKSLFEQFRRVANFYFLVTGVLSFTPLAPYTAASAIFPLLFVIGATMVKEGVEDWRRNKQDIEVNNRRVKVHRGDGNFDSKEWKTLSVGDIVKVEKNQFFPADLVLLSSSYEDAICYVETMNLDGETNLKVKQGLEVTSSLREDFNFKGFEAFVKCEDPNANLYSFVGTMELKGTKYPLSPQQLLLRDSKLRNTEFIFGAVIFTGHDTKVIQNSTDPPSKRSMIERKMDKIIYLMFFMVVLMSFIGSVIFGVTTRDDFKDGVVKRWYLRPDSSSIFFDPKRAHVAAFYHFLTAAMLYSYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEANKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVEMAMGRRKGSPLVLQGNESDDVEYSKEPFAEEPTVKGFNFRDERIMNGNWVTEPHADVIQKFMRLLAVCHTVIPEGDEDTEKISYEAESPDEAAFVIAARELGFEFYNRTQTSISVRELDLVTGKRVERLYKVLNVLEFNSTRKRMSVIVQDEDGKLLLLCKGADNVMFERLSKNGREFEVETRDHVNEYADAGLRTLILAYRELDKKEYKDFSVRISEAKSSVSADRESLIEEVTEKVEKDLILLGATAVEDKLQNGLCQ >A07p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26012088:26014932:-1 gene:A07p048800.1_BraROA transcript:A07p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANASFAAALIVGFALLFAVTAESPYRFFEWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVYNSLDEPFLLSWNGVQQRRNSYVDGVYGTTCPIPPGKNYTYILQVKDQIGSFYYFPSLAFHKAAGGFGGLRILSRPGIPVPFADPAGDHTVLIGDWYKSNHTDLKAQLDSGRKLPLPDGILINGRGSGATLNVEQGKTYRLRISNIGLQHSLNFRIQNHKMKVVEVEGTHTLQTTFSSLDVHVGQSYSVLVTADQPAQDYYVVVSSRFTSDVLTTTGVLRYSGSAGGVSGPIPGGPTIQIDWSLNQARAIRTNLTASGPRPNPQGSYHYGMINTTRTVRLASSAGQVNGKQRYAVNSVSFNPADTPLKLADYFKIDGVYRVGSIQSQPTGGGIYLDTSVMQTDYRTFIEIVFENSEDIVQSWHLDGYSFWVVGMDGGQWTPDSRNEYNLRDAVARCTVQVYPSSWTAIYIALDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSLRDEYPIPKNALLCGRASGRRTRPL >A08g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2107760:2108152:1 gene:A08g500810.1_BraROA transcript:A08g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATRRRQGFQLTRWRRRRLQEEKTKAVTGGGEKDAKKAPELRKVATRRSHGGGVRHGSLTQIGRCGLWRRKVGGEKMATAEISLHGEEDDVEIREIFFRFNFML >A04p009760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7441436:7442169:1 gene:A04p009760.1_BraROA transcript:A04p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCSLMMAYVFYGLHIVCNTIDQRMNVIPYPSGFVLGKICAPSFIWYLSKYLERQAICCSCICWEYARVWILFMKDIHALHIEDGFSRGSRTALTPSYNNTSRGQALWLVHCYKKLNNGGTYHAVFL >A08p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18047901:18049018:-1 gene:A08p029080.1_BraROA transcript:A08p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSTRLDSIRVQRKTLQNLLQDCQRALQLLNLSDSDRVGQHSDSPDREEELSSSESRDPETDKLYDLIKSRVECHDFRDRLELAKASLLLQDLPAEDGSSWDVVSEDDILWGDKSMEYDYVVVREEDVADGIACFMVTYLSSLEQTKDISPDQLQKALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCKAISKLV >A09p078940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58215213:58228210:1 gene:A09p078940.1_BraROA transcript:A09p078940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSVLQTSKIANMSLGCDLSVLEELTSNAKQIQDDVLTKILKANANTEYLSRFLEGSSDKELFKKNVPVVSYEDVKPYIDRVANGEPSDIISGEPITTFNRSSGTSSGNQKIYPTNNIYFENLLFGYALSSVVMSKHVDGYKQGKMMIFRFTHKISPTPCGLPIAPALTSFTKSKYYSTMAKNNTSPYEVALCPDPKQSMYCQLLCGLVQRDEVVSVGTTFPSVLVQIVHFLENYWKELSSNIRSGHVSDWITDLSCRDSVSIILGEPNAELADLIEKECGQESWQGIIKRLWPKTKCIETIVTGTMSQHIPALDYYSNKLPLVSKVYASSEVFYGLNLNPLSKPQHVSYTFLPNMSYFEFIRVDADGEDTSEIVDLVDVTLGYYYEPLVTNYSGLHRCRVGDVLQVTGFYNNTPQFRFVRRNNTVLCVDVEPTTEEDILKALARATVVLESSDFILTGFTCYGDISTVPGHYVFYLEVKAKVNNGTNVLQLDNKVLVECCCIMEESLSSLYRRLRGDEGSIGALEIRVVQQGTFDSLMEFFLSRGSSISQYKTPICIKSTEALKLLEDKTSKRTNMRLGYDLSVLEELTSNAKQIQDDVLNKILKANANTEYLSRFLEGSSDKELFKKNVPVVSYEDVKPYIDRVANGEPTDIISGEPITTFNRSSGTSSGNQKIYPANNIFIENTLFGFGLSSIVMSKHVDGYKQGKVMVFSFTHRISTTPCGLPVAPALTNFIKSKYYPSMAKNCTSPYEITLCPDPKQSKYCQLLCGLVQRDEVIGVGATFPSVLVRVINFLEKYWKELASNIRSGTVSDWITDRSCRDSVSTILGEPNAELADLIEHECSGQKSWQGTMSQHIPALDYYSNELPLVSKVYASSEAFFGINVNPLSKPQHVSYTFLPNMSYFEFKEVDDDGETTGEIVDLVNVKLGSYYEPLVTNFSGLHRCRVGDVLQVTGFYNNTPQFRFVRRKDSVLCVDVEPTTEEDILKALARAAVVLESSDLILTGFTCYGDISTVPGHYVFYVELIAKVNNGTNVLQLDNKVLVEYCCVMEESLSSLYRRLRVKDGPIGPLEVRVVEQGTFDSLMEFFVTRGASITQYKTPICINSVEALKVLEDKTTKMSLGCDLSVLEELTSNAKQIQDDVLTKILKANTNTEYLSRFLEGSSEKELFKKNVPVVSYEDVKPYIDRVANGEPSDILSGKPITAFFRSTGTSSGEKKIFPANDNFEDILLGLALSSLVMSKHVDGYKQGKVMIFKFIQPISTTPCGLPLAPSITIIMKSKCYRSPGKRSTTPDEIIMCTDPKQSMYCQLLCGLVQRDEVVSVGALFASVLVQIIRFLEKYWKELCSNIRSGHVSDWITDHCCRDSVSTILGEPNPELADLIENECGQESWQGIVSRLWPKTKCVETIVTGIMAQYIPALEFYSDKLPIVSSIYGSSETFFGLNVNPLCKPQHVSYTFLPNMSYFEFIHVDADGEATGEIVDLVDVKSGGYYEPLVTNYSGLYRCRVGDVLHVTGFYNNAPQFRFVRRKNTVLSIFVESTTEEDVLKALDRATVVLESSDLMLTGFTCFGDVSTVPGHYVFYLELKAKVNNDTNVLLLDEKVLVEYCCVMEESLSSFYRSLRRKDGPIGPLEVRVVQQGTFDSLMEFFVSRGASITQYKTPICINSVEASRVLEDKVLARFFSDKSPTI >A05p016710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7437430:7437678:-1 gene:A05p016710.1_BraROA transcript:A05p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLILKQTLIILLVIFASPIFSTQARILHDDRVANMGTMDSHVLLRELGFDLSKFKGHNERRFLVNSDRVSPGGPDPQHHH >A04g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14857964:14860596:-1 gene:A04g506560.1_BraROA transcript:A04g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRGNHIFSEYTDEISRKSYFLGISINLYCSSEFPRNIPRKFRGTHVWASEYSEEIPRKNKCSLEFARHSPRLFRRFRALLLGFLFLRKSRVFKPKTTFCGLNNTYITPIKCLRLIMNGRRNFLGIFSFNRANKPLNISRKLKLKILREFRRKISKYSEEVYPSEYSDDIFLGIFRGLSDELVVLRISSKIHFLGIPSEISEGFPRKNEFSRSYFR >A09p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:308792:311341:1 gene:A09p000550.1_BraROA transcript:A09p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNNNRGSSEDWKARLKLPAPDTRFRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGRDILARAKNGTGKTAAFCIPVLEKIDQDNNVIQAVIIVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTKKGVCVLKDCSVFVMDEADKLLSQEFQPSVEHLISFLPQNRQILMFSATFPVTVKDFKDRFLTNPYIINLMDELTLKGITQFYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >A01p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7756830:7758688:1 gene:A01p015830.1_BraROA transcript:A01p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELAAKAKEAFVDDDFDEAVDLYSKAIDLDPNCAEFFADRAQANVKLENFIAEAVEDANKAIELDPLLTKAYLRKGTACMKLEEYQTAKNALEKGASIAPSESKFKKLIDECDLRISEEKILVQPVASPSVKADLTHVSSAPAKPKFRHDYYQKPEEVVVTIFAKGIPKQNVNIDFGEQVLSVVIDVPGEEEAYHLQPRLFGKIVPEKCRYEVLSTKVEIRLAKAEIIAWASLEHAKVPAVLPKPNVLSEVSVRPAYPSSKKVKDWDKLEAEVKKQDNDEKLEGDAALDKSLREMYSNADEDMRRAMSKSFVESNGTVLSTNWKEVGAKTIKSTPPDGMELKKWEI >A09p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11028406:11029060:1 gene:A09p020780.1_BraROA transcript:A09p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATARGVLMRFLPCGSSLSRFLGGSSELWVRVRCFFFRCCRPSLEVPVTVRNESRDSIRVSLVVSVFQSRALDCGSAFCESGMVCRVWIGGSLALGSLTSSKVALFRRRCARYVSLILLFGGLNGCIRSWVWRVGGSNLQFTVPHDGTAYLWTPPRAAGGAMLNGFAAS >A03p030900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12975470:12977873:1 gene:A03p030900.1_BraROA transcript:A03p030900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNLLSIILCFVLAVSFGFVSAQRCGNSLFFRPNNAYDTNRRLVLSTLASQVSSRNGYYNVSVGEGPGKIYVLGLCIPGTDPEVCSDCIQTASEGLLESCPNQTNSWDWRADKTLCFVRYSNISFFNRSDLEPTQAEYDTGMYTGNVTTYTRVWNSFMESMITRVGQSPSRYLADVSPRIGERLDDNVYALMQCIPGISSDECEACLQENVRAHQRCCNGYIGGSVGKPVCYFQWDGYAYLGAFDAFNDTHSKPAPPPPTPPPPAPPPPDGNKIATRAIVAIVVSAVTFVVLLAFGLVIWKRLRKNNHLIYVVTADDDMTSPQSLQYDFATIEVATDKFSRNNKLGQGGFGEVYKGMLPNETEIAVKRLSRNSGQGTQEFKNEVVIVAKLQHKNLVRLHGFCLERDERILVYDGYMPPEYVTCGHFSTKSDVYSFGVLILEIVCGKKNSSFYHIDDDSGGNMVTHVWRLWNNEAHLDLIDPAIRENYEKDEVIRSIHIGLLCVQETPAHRPEMSTIFQMLTNSSTVLPMPRAPGCFLRSRSNLDPLTYGSEPGHSRFNSVSYSIVTPR >A09p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6592218:6592537:1 gene:A09p012910.1_BraROA transcript:A09p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVKREFKSLKSYVSTFTNVRETTIQELFERLVTQLEGEKAQKKVEVQKLMEENVKLTAVSDKKEAQLLALIEKC >A09g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17423345:17425113:-1 gene:A09g505460.1_BraROA transcript:A09g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHIQDSVSSHSSYHASPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHHPSLIPYPHGRGQTWISAWINRMMYSALDKGHPTFTDFPTEKQHMWFRQFTQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEMNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVSLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVELSVPKKKGRLVGLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQTAAQQAGYETQKRLNEQMMEMMKRMYRNEVFPNIQDP >A04p030900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18325830:18329428:-1 gene:A04p030900.1_BraROA transcript:A04p030900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) UniProtKB/Swiss-Prot;Acc:O49323] MASSPPKKTLNSQSSSISRPPQAVKFGRRTSSGRSVSLSRDDDMDVPGDSSSHTDYINYTVHMPPTPDNQPAGSSGSTSDAANRGGPRNKMERRLSVMKSNNKSMLLRSQTGDFDHNRWLFESKGKYGIGNAFWSEEDDNYDGGVNMSDFLDKPWKPLTRKVQIPAKVLSPYRLLIVCRLVVLFFFLWWRIANPNEDAMWLWGLSIVCELWFAFSWILDILPKLNPINRATDLDALHDKFEQPSPSNPTGRSDLPGVDVFVSTADPDKEPPLVTANTLLSILAVDYPIEKLSAYISDDGGAILTFEAMAEAVRFAEYWVPFCRKHDIEPRNPDSYFSLKKDPTKNKKKHDFVKDRRWVKREYDEFKVRINGLPEQIKKRAEQFNMREELKERRIAKEKNGGVLPPDGVEVVKATWMADGTHWPGTWFEPKPDHSKGDHAGILQIMSKVPELEPVMGGPNEGALDFTGIDIRVPMFAYVSREKRPGFDHNKKAGAMNGMVRASAILSNGAFILNLDCDHYIYNSKAIKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFNPPRANEYSGVFGQDKAPAMYGRTQSGASQNSQASDIESDTQPLTDDPDLGLPKKFGNSTVFTDTIPVAEYQGRPLADHMSVKNGRPPGALLLPRPPLDAPTVAEAIAVISCWYEDNTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAIFATRRLKFLQRVAYLNVGIYPFTSIFLVVYCFLPALCLFSGKFIVQSLDIHFLSYLLCITITLTLISLLEVKWSGIGLEEWWRNEQFWLIGGTSAHLAAVVQGFLKVIAGIEISFTLTSKSAGEDEDDVFADLYIVKWTGLFIMPLTIIVVNLVAIVIGASRTIYSVIPQWNKLLGGTFFSMWVLTHMYPFAKGLMGRRGKVPTIVYVWSGLVSITVSLLWITISPPDDVTGGGGISV >A06p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9501028:9505323:-1 gene:A06p019400.1_BraROA transcript:A06p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPSAKTYSHHLCFPSIPNDHSDSGVCSPTLWSTSPPRSPHHRPEDYSSLSPDSKAQAIARGQRELMEMVSKMPESCYELSLKDLVEARGSEENDMRKIFDELPQRTSKVVRKTKSGKRVDPSRNSGGNNSGFLLKMMFPFSFGSKKETSKKKMKKKRKGKDSVKDSQVSPRPSISDESVKTEDRDWWNRLSESSGSGSTKRSGSSNSNSSNIIRDKKSSCLLSFLWCIESCYMANNAAACAERATNDMLIGPDWAINIELCDIINMDPSQAKEAVKVLKKRLASKNSKVQILALYALETLSKNCGESVYQLIVEREILPDMVKIVKKKPDLSVREKILSLLDTWQEAFGGSGGRFPQYYNAYNELRSAGVEFPPRTESSVPFFTPPQTQPIIAHAAASDEDAAIQASLQSDDASALSLEEIQSAQGSVDILTDMLGALDPSHPEGLKEELIVDLVEQCRTYQRRVMTLVNTTSDEELLCQGLALNDNLQRVLQQHDDKAKGKSVPATAPTIPLVSINHDEDDDSDDDFSQLSHRSKRESARVSFNPVLPPPPPTMRPVHVESGSMDFLSGDVYTPQGASETSKPPSTSPSSDAPVFDDPAPRSKSPEQPLFTKPVYGQTEQLPRAPWEPEGTRAFPPPMSVRTNQRQQYSQHNNVPQRTSSGSDSSYEDLVGQSRNLSLNPTASASAAAAAAATPLKKDDKPEDILFKDLVDFAKNRKSSSSSSKPNN >A02g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16403546:16404954:1 gene:A02g505470.1_BraROA transcript:A02g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTVLADLRAGRCFNTAEVRLLRFWEAKNINKRGQLMSVEMLLVDEHSTLIQGSVPAAIQLTFRGRLSEGSVYTLSGFEVTRSNPKFRLSDGPVSIRFNEGTAFEKLATTTRIIPTEHFRFRPYEQILELANTGKQLPDVMGELRAIRSTITDRLPGAQRVMLTLRLEGDVNVCVSMFDSLALAFHSKFDGYGREPRIVLVTSINPKIVSGKLYLNGTSATRVFFDCETTVGAEAFNRQIARRWDRPGRVFN >A07p049660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26390116:26392308:1 gene:A07p049660.1_BraROA transcript:A07p049660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYWPYFDPEYENLSTRINPPSVSIDNTSCKECTLVKLTSLLMVDVFHVTDQQGNKVTDSKTIDYIEKVLGPKGYASASQNTWPGKRVGVHSLGDHTSIEIIARDRPGLLSEVSAVLADLHFNVVAAEAWTHNRRIACVLYVNDNETSRAVDDPERLSTMEEQLNLVLRGCEQEDEKVARTCLSIGSTHVDRRLHQMLFADRDYEAVTKVDGSVPKITIENCEEKGYSVVNVSCEDRPKLMFDIVCTLTDMQYIVFHATITSSGSHASQEYFIRHKDGCTLDSEGEKERVIKCLEAAIHRRVSEGWSLELCAKDRVGLLSEVTRILREHGLSVTRAGVTTVGEQAVNVFYVRDASGNPVDVKTIEALRGEIGHSMMINVKNKVPSKRWKEEGQAGTGRGWAKTSFFFGNLLEKLLP >A03g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20055708:20056111:-1 gene:A03g505710.1_BraROA transcript:A03g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKLSNSLMKSYAVMSASSIAAFIPPLTETFLNFSNCLCYLLSHSPYACSMAVQITLSVTCSISSMTIALLLSTADPVSLSTLLY >A09p022510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12380631:12391732:-1 gene:A09p022510.1_BraROA transcript:A09p022510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEQRGRWSLQGKTALVTGGTKGIGHGIVEELAGFGAIIHTCARDEAHLNECLSDWKKKGFQVTGSVCDASSWTEREKLMQTVSTLFDAKLSILINNVGAIRSKPTIENTAEDFSFHISTNLESAYHFSQLAHPLLKSSGCGNIVFISSVAGVVSFGVSSIYCATKGAMNQLARNLACEWASDGIRANAVAPTVIATPLAEAAFDDEFKKAVESTNPLGRLGKPEEVASLVAFLCMPAASYITGQTICVDGVTDKKNKRVRVILWLSVVPASKALNIGAFGWDLTDTDLNPPNMRCLIFSMDIHLYSHKIIHFILRGMAGEDQRRRWSLQGKTALVTGGTKGIGIGAIIHTCARDEAHLNECLSDWKNKGFQVTGSVCDASSWTEREKLMQTVSTLFDAKLSILINNVGAIRSKPTIENTAEDFSFHISTNLESAYHFSQLAHPLLKSSGCGNIVFISSIAGVVSCGVSSIYSAIKGAMNQLARNLACEWASDGIRANAIAPAVIATPLVEYGFDDEFKKAMESINPLGRLGKPEEAASLVAFLCMPAASYITGQTICVDGGLSINGFSYQPHA >A01p012630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6197663:6199738:1 gene:A01p012630.1_BraROA transcript:A01p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERDHHPNKDKKLLVGVIWNFSTELKLIFMALLVIFTLATLLPFIPSSFSLSASDFRFCISRFSPAVPVNITSTAVNVTPEKTSTEPVVLDNGVIKRTFTGHGSAAYNFVTMSAYRGGVNSFAVIGLSSKPLHVYGHPSYRCEWVPLDPTQDPVSTTGLKILTDWGYGRIYTTVVVNCTFPTISAVNSGGSLILHATTGDPSLNLTDSIPVLTESPNSVDFDLYTSPEKKKYDYLYCGSSLYGNLSPQRVREWIAYHARFFGDRSHFVLHDAGGIHGDVFEVLRPWIELGRVTVHDIRDQERFDGYYHNQFMVVNDCLHRYRFKAKWMFFFDVDEFMYVPEKETIKSVMESLEEYSQFTIEQMPMSSRICYSGDGPARTYRKWGFEKMAYRDVKKVPRRDRKYAVQPQNVFATGVHMSQNLQGKTYHKAESKIRYFHYHGSISQRREPCRHLFNDSRVVFENNPYVLDTTIRDVGLAVKMFEMRTIGDRLLRTRQ >A09p000610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:341679:343043:1 gene:A09p000610.1_BraROA transcript:A09p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFINNLDTDTSLAILSCLDDPSDLVRASAVSRSWRGFVIKQSLSKSLCLKLFHQLARVDRTLETSNEESSGSISSVYALEREHRVYALLAKGCTSSPIKSCVDDAITASSTDRLPEESILNTLDERERIGGTPSYWSSSGHHKTSVPETLLYKLKGDLCVITELSIQPFQGDDRTMPSSSPIYSSHNVRFRFGHLDNKSHDKNSYVWTYTSQQFPMAQENRLQNFKLPEPVICIGGFVLIEFLGRVQRCDIDDLYYIWYIFFSSPLKAFTMTLNVTHVKAMGRSLAKSFRVVDPDESGKFGLKVLSYSDPQEMNEKEEEEAGPSVFRPMRNRNLEQLVNFLHSHSTDVQYVWPESDEDDESDEEEV >A03g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3542873:3543902:1 gene:A03g501190.1_BraROA transcript:A03g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSKAKLTTALFSSGSGVGAVAGGPLSPLSYLQIRDAGDGSVRWSASSGVAVRLRWSGCGEVGFYGDLFGSLSVWCGGGGSVSSALASWCRRSLSTPLSSDFDGSLPSRRASVSLPPAVSFEVSDGACKVASLVARGVVCALASLLVWPTSHLFGVFLSVRGDVLGVLSFPVSESVLASFGRMPSFFLLQVPLRRDKAATVQPVLLGVECSRLGVQSGWFSYELSGLFTQLCA >A07g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27631646:27632184:-1 gene:A07g509280.1_BraROA transcript:A07g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRDTHTLFYMRLTGSVRSGFLCGRSGKEWNYAVHPDKYSIVLTKFTMMKQDYLFEYSCNGGMEMEEMRGNCRPDAQLLGYTDEFRSFRQAESRVRDWGDARNEEGEEQVENGVREVGLSSRRVEHRKRRGRCIREFHRVLGKIPLMYSYGKVVNSVGE >SC161g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:127506:129876:1 gene:SC161g500020.1_BraROA transcript:SC161g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVIEDRGVIHGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGISCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELLEEQPLLIMRGGMDLNQGSRSITKRIQVSMIRRRHRK >A10p015880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3381104:3382151:-1 gene:A10p015880.1_BraROA transcript:A10p015880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNGNQEREYLRRHHKHELERENQCSTTLVKHINAPVHIVWSLVRRFDEPQKYKPFISRCVVKGNMEIGTVREVDVRSGLPATRSTERLELLDDNEHILSIRIVGGDHRLKNYSSIISLHPETIEGGRIGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADISERLAVQDRTGGFP >A10p037330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21069089:21078960:-1 gene:A10p037330.1_BraROA transcript:A10p037330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGTLNSCSRVVDLDYITRISVGSELFGNRNISLYKSRRTMQTFPLASADDGVAAVNGTPHSDDVEEMGARLSGSIQDEYNCDELVQSLHDSARSFELALLKKISSPMLPWFSSAWLGVDRNAWVKTFSYQASVYSLLQAAKEVSSRGINGDKDLNVFVQRSLSRQAAPLDSMMRDKLSSSHPEADEWFWSDQIPSAVTSFVHCFERDQRFVAATSANTNYSIESPIIWCAAAVEQQLGESAQRIEILDTTVAELNRKMDRLDERVNTIVATSQTNTQTIQASMKASDAKIDRLEASMQSLIASLQQNQHHATHAESSGPSQMHSRIQQPVQSVVTLRKKYELQYRESYHLVGSSYAKGKSSAASNETEVSLLVLVLNCIAAVTKLGPTKLLCLPFCSMIPDTTGRLMDKFVNLVPLPQAYQSMKSLGLRREFLVHFGPRAAACRVESDCSTDEVVFWVDLIQNQLLRAIDREKIWSRLATSESIEVLERDLAIFGFFIALGRSTQTFLAANGSDSLENPVEDLVRHFIGGSLLQYPQLSAISSYQLYVEVVCEELDWLPFYPNTKEPQPAKQAHGHKSRPEGTPNYDALPQIFDVCSYWLQSFIKYSKWPENPSNVKAAKFLSKGHNKLIQCKEELGRSSWAVTEAGLIDMNALSTEESSSFDKALESVDEALVRLESLLQQLNASSSASGKEEIKAACSDLEKIRKLKKEAEFLEASFRAKAASLQEGGGCGDSQESSDGKNQNLKGNDTKNSISSVDQGTSMNRGFWGFFERPIRRKSAPNLLVFNFLSYVKHILHLNTFVLDISNLVFNQVRRCCVNIFGYFCNNKQADEYIERSREKVGSVDSEPSEIYRFELLRNELMELEKRVQASTDDSVNEEGSTSGDPSTSSSSTKGVELVQSSKRERAIEKTLDKIKETSTDVWQGTQLLGSDSAAAMELLRRSVTGDELTEKEKKALRRTVTDLASVVPIGVLMILPVTPVGHAAILAAIQRYAPGLIPSTYGSERLNLLRQLEQVKQMQNETEPEKETKP >A04p029930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17836392:17838563:1 gene:A04p029930.1_BraROA transcript:A04p029930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASGHVLVFPYPFQGHINPMIQLSKRLSKKGLTVTLIIASNNHREPYTSDVYSITVHTIYDGFLSHEHPQTKFNEPQRFISSTTRSLTDFISRDKLTSNPPKALIYDPFMPFALDVAKELGLYVVAYSTQPWLASLVYYHINEGTYDVPDDRHENPTLASFPAFPLLSQNDLPSFAREKGSYPLLFELVVSQFSNLRRADLILCNTFDQLEPKVVKWMSDQWPVKNIGPMVPSKFLDNRLLEDKDYDLGDFKTEPDESVLRWLASKPAKSVVYVAFGTLAALSDKQMKETAAAIRQTGYSFLWSVRDSERSKLPSGFVEEALEKDYGLVAKWVPQLEVLSHDSTGCFVTHCGWNSTLEALCLGVPLVGMPQWTDQPTNAKFIEDVWKIGVRVKADEEGFVSKEEIARCIVEVMDGEKGEEMRKNVEKLKVLAREAISEGGTSDKNIDEFVALWT >A08p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13382893:13386389:1 gene:A08p019730.1_BraROA transcript:A08p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGSVRKMIQSLKEIVNCSDSEIYTMLVECHMDPNETVIRLISQDAFQEVKSKRNKNKDTKYQAESSRRGIPNRGARNSAKSSYNTARGGGNKFNSNETRLAQRGKGARNHWAGSSSAPKSDPKNAEVQEAAPAGSTGAAASSSSLPPPAYQSAWAKANPGKKTMAEIVKMGKPLHQKKVSVPRSLETQERGSKAPLKDEGSSTEKQERGSKAPLKDEGSSLEKQERGSKAPLKDEGSSTEKQERGSKAPLKDEGSSLEKQERGSKAPLKDEGSSFGKQERGSKAPLKDEGSSLEKQKRGSKAPLKDKGSSTEKQERGSKAPLKDEGSSLEKQESGSKAPLKDEGSSFGKQESGSKAPLKDEGSSFEKQDVSDPVPSLLKPFSVPKTHADQVAFHQHVDESQMDDEVLETKTNQVAFHPDLDQVAQLSHLRFGSFGLIGSGRASSRFNYNLEDTQETEEDSSFRQQDTNFYGGEEELRYNATDEQTSYQIDSTARNYHASSDSEREAAHHEEPPQEDPYMQNLDSFFTNVMDLRDESISPPGGGQQAAALYQHPALYPYFNQHGMPLGYHGNFISDPFMPHGYMHPGFQQGFPVGNHQAPLVVVIPPSASSLQQQQNENTFAWQRPHMRVAPSGEVYIYSVNPNMQPPGFVQAQQLHQQQLSQQALMSLDQLRHQHQYQHHQQSAGEASSQTQEQLWPNNS >A09p078150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57917929:57921945:-1 gene:A09p078150.1_BraROA transcript:A09p078150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSYGWRKLRHEMVKSRTRSREKTAYLIKTSSFLDFEFVSMAFAIDYVIVFQKRSSTEKKTKEFDLSFKPSKLLTSQLSNAPCHQDMSARRVRSPITGRDKAEMVKMTLIARVTDGLPLAEGLDDGRDLPDSDMYKQQVKSLFKNLSRGHNEASRMSVETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGPNIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVFLLFWVKNKLWLLVKALQEGLELSFFVFADGKESSRDHIPSSTMQHLLFAVVLAEVAVILALSFKTPIRKLLIMSLDRAKRGRGPVVVQTVSATVCVVLVASVYSMMKIQKRWVEEGAMNPTDEVIVSKHLLESTLMGGFLFLGLMIDRLHHYMRELRMRRKTMEAIKKEGSVLEGEKARASDEVKSLKQEITALQERQKQLAAEIEAKSKEIRTEETSGIALQKQSEGFLIEFNRLSEENQDLRNQLHTVDSRISRSSIKKNT >A08g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13792779:13793169:-1 gene:A08g507860.1_BraROA transcript:A08g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQAAPLTELSVNKAHSQILSSPELVSHAERQLKEAIHEVLYKFLSNRHMCPGSIKRRDKV >A01p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1653113:1657156:1 gene:A01p003800.1_BraROA transcript:A01p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHSLDRWFLTAILVVLLSSSCTFASKKEMKKKTKGKEVTYDGTSLIVDGKRELLFSGSIHYPRSTPEMWPSIIKRAKQGGLNTIQTYVFWNVHEPEQGKFNFSGRADLVKFIKLIQKNGMYVTLRLGPFIQAEWTHGGLPYWLREVPGIFFRTDNKQFKEHTERYVRMILDKMKEEKLFASQGGPIILGQIENEYSAVQRAYKEDGLNYIKWGSKLVDSMNLGIPWVMCKQNDAPDPMINACNGRHCGDTFPGPNKDNKPSMWTENWTTQFRVFGDAPVKRSVEDIAFSVARFFSKNGSHVNYYMYHGGTNFGRTSAHYVTTRYYDDAPLDEYGLEREPKYGHLKHLHNALNLCKKPLLWGQPRTEKPGKDTEIRYYEQPGTKSCAAFLANNNTEAAETIKFRGRDYVIAPRSISILPDCKTVVYNTAQIVSHHTSRNFMKSKQANKKKFDFKVFTESLPKKLEGNSYVPVELYGLTKDKSDYGWYTTSFKVHENHLPKKKGAKTNLRIASLGHALHVWLNGEYLGNGHGSHDEKSFVFQKPVTLKAGENHLVMLGVLTGFPDSGSYLEHRYTGPRSVSILGLSSGTLDLTESSKWGNKVGMEGERLGIQTEKGLKKVKWEKFSGKAPGITWYQTYFDAPESESAAAIRMSGMGKGLIWVNGEGVGRYWMSFLNPLGQSTQIEYHIPRSFLKPKKNLLVIFEEEPNVKPELIDFVIVNRDTVCSYVGENYTPSVGHWIRKQDEVKAITDNVSLTATLKCSGNKKIAAVEFASFGNPIGDCGNFTLGTCNAPVSKQVVEKHCLGKAECVIPVNKSTFQQDKKDSCKNVVKTLAVQVKCARDKKN >A06p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6649732:6651179:-1 gene:A06p014870.1_BraROA transcript:A06p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g17480 [Source:Projected from Arabidopsis thaliana (AT1G17480) UniProtKB/TrEMBL;Acc:Q2NND9] MGGSGNWIKSLLSHRKHVDDHQQEKLSSKKKWKLWRLSSESFTSSSSSSKECFLNRRGSYGLSSLGSEPPSFSADEAFAVAMAALIRAPPKDFLLVKREWASTRIQAAFRAFLARQAFRALKAVVRIQAIFRGRQVRKQAAVTLRCMQALVRVQSRVRAHRRAPSDSTELKDPAKQSEKSWCGSPRSIKEVKTKLQMKQEGAIKRERAMVYALTHQSRACPSPNARSIKNHGLRKSGPGWNWSEKCSDSSVSSEHETVKVRKNNLTSTRVLARPPHLLLPQVLSGTSSDSLHDETSTSSTSQSPVAFSGRGLESGGYYRKPSYMSLTQSTQAKQRGSGSSSNGDARSSAGSDQCSDLYPPEIVTGRHLWAKSQRS >A07g501050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2047570:2047728:-1 gene:A07g501050.1_BraROA transcript:A07g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRGEGVRSSSIANKTSRSWRLPVETFFIFPRAVPFQAYAFYPFQQPYHSP >A04p021500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13008115:13010065:1 gene:A04p021500.1_BraROA transcript:A04p021500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPRPCFATAFEVLLSSDDKKERNSDFNASTKLKHSLNEKSGRRKSDAISIEDVRDVEELQAVDAFRHALLTDELLPSRHDDYHMMLRFLKARNFDIEKAKLMWADMIQWRKEFGTDTILQDFDFEEINEVLEFYPHCYHGVDKEGRPIYIERLGKVDPNRLMQVTSMDRYVRYHVKEFERGFMIKFPSCTIAAKKHIDSNTTILDVQGVGLKNFTKSARELITRLQKIGGDNYPETLHQMFIVNAGPAFRLLWILGYNYMTKLLEVIDVNELPEFLGGACTCADQGGCMLSDKGPWKNPEIVKMVLHGGAYRTKQVVKVLNSEGKVIAYPWINGSDTSTAESGSDAENIG >A08p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9965598:9966514:1 gene:A08p014500.1_BraROA transcript:A08p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSILIPPILSASISRRNLLLSTTIATVSPSPQIPSPDVTITDRVFLDFSLCPTYFRSDPSATLSSTTPCPDSTPLGRVVLGLYGRHVPLTVSTFKLMCTSSSTSYKNTPIHKIFPGQFFLAGRQGLRRDTAEVGPLSLPRNTDVVNSKSFLLPHARPGLVSLCLSENDDDDETRLDPEYRNVEFLITTGPGPCPQLDGGNIVFGTVLEGLDVVTSIAAVPTFKPSEKIRQFNDFAEFLGDERAQNARSLWNRPLKTVFISDCGELKVTKPSLSPSLP >A10p020870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14107434:14108778:-1 gene:A10p020870.1_BraROA transcript:A10p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 25 [Source:Projected from Arabidopsis thaliana (AT5G57550) UniProtKB/Swiss-Prot;Acc:Q38907] MDRHSTLILSILLNALTTTFFSPVYAGTFDREFDITWGGGRGKVLNNGELLTLSLDRASGSGFQSKKEYLFGKIDMQIKLVPGNSAGTVTTYYLKSKGDTWDEIDFEFLDNLTGDPYVMHTNVYTQGKGDREQQFHLWFDPTADFHTYSVLWNHHHIVFLVDGIPIRQFKNLEHRRIPYPKMQPMRLYSSLWNADQWATRGGLVKTDWSKAPFTASYRNFRADACVSYTGKPSCPAGSPRWLSHRFDLTAENNMRVVQRKFMVYNYCTDSKRFPQGFPKECGIH >A03p027730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11613247:11615202:1 gene:A03p027730.1_BraROA transcript:A03p027730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSLSFFRVLLLFLTLSVRSNGQGVGINYGQIANNLPSPGRVAILLRSLNITRVKLYDADPNVLFSFSNSQVDFMIGLGNEFLQNMSTDPTKAQSWIQQRLQPHISKTRITSIVVGNEIFKTNDHVLISSLLPAMKAVYSALVSLGLEKQVTVTSAHSLDMLQTSYPPSSGSFKEEFIPYLQPLLDFHSQIKSPFLINAYPFFAYKDSPKEISLEYALFQPNQGMVDSNTNLHYDNMLFAQVDALYSAIKTLGHTDVEVRISETGWPSKGEENEIGASPENAALYNGNLLRLVQERKGTPAKPSVPIDVYVFALFNENLKPGPISERNYGLFYPDGKPVYNVGLQGYLPDIIYSSSATTIKILNVWRAVMGLAVAGMILDMGVRMKMR >A01p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14587900:14588391:-1 gene:A01p038390.1_BraROA transcript:A01p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYAWFVRKDKCQVSADKYEILKIITKIRKNGISPFFCYDGLRAEEEKPATQFGLAVLGLLELGISPTALEPRLIPCSCGINRSSGPLGN >A06p011830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5431913:5432726:-1 gene:A06p011830.1_BraROA transcript:A06p011830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSENKREYNRKVRDVVEQSWTAD >A10p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9954085:9958209:1 gene:A10p006940.1_BraROA transcript:A10p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLQTMMKEGEHRKWADLPPELTSLILQHLDAVEIVEKAKKVCRSWRSVCKDPSMWRKIEMRSLDPWKHKYHERICRHAVDRRQGGLVAIHLWNFCTNSLLSYIAHREHPLDSIIVIPLSEEALMGLAEAVVKLPLLDTLKVVGQSCPNLKTLKENCVGYSHSRDKSDDRIKVVRRPNEPIHDYPFDATVNLAEDGYPDDFSDGSIPEDDYSSLALPRQIRTVSLKFLSEQASRANQRILLREAHLHRSSTENPNQVFFSDLKTGRCSSIVEARLLRFWEAKNVKRGGELMWMDLLMVDVNSTMMQVTISAGRLPQFRDRLLAGAMFSLSGFDVSRCAQNFRLTDSSLMIRFSETTSFQLLTEPDSPLPEEAFRFRNQQELIGLANTNTQLPENDTGFELDVDIIGEILSVKSTVCDPPEEKNRVMVTLKLERSDNFDFPIRLMLVEMNLVLDRYFLYELQRRRHCDLKDGGRIKDISHEKKHMKQFLIKECHRCFDTSPKRADELLRNMARVEIPIIIISNEFNITIHISNINGLSISGFEMQQLVTGVSSVYDYERILHYRTSLWEDQQGILDEIS >A03p069120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30329746:30333705:-1 gene:A03p069120.1_BraROA transcript:A03p069120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPSLDRWLLAAFLVVLLSSSCAFASKKEVKKKTNNKEVTYDGTSLIIDGKRELLYSGSIHYPRSTPEMWPSIIERAKQGGLNTIQTYVFWNVHELEQGKFNFSGRTDLVKFIKLIEKNGMYVTLRLGPFIQAEWTHGGLPYWLREVPGIFFRTDNKEFKEHTERYVRTVLDKMKEEKLFASQGGPIILGQIENEYSAVQRAYKQDGINYIKWASKLVDSMNLGIPWVMCKHNDAPDPMINACNGRHCGDTFPGPNKENKPSLWTENWTTQFRVFGDSPVKRSVEDIAFSVARFFSKNGTHVNYYMYHGGTNFGRNGAHYVTTRYYDDAPLDEYGLEREPKYGHLKHLHNALNLCKKPLLWGQPRTEKPGKDTEIRYYEEPGTKSCAAFLANNNTEAAETIKFRGKEYVIAPRSISILPDCKTVVYNTAQIVSHHTSRNFMKSKKANKKFDFKVFTEPLPHKLKGDSYIPVELYGLTKDKTDYGWYTTSFKINKNQLPKKKGAKTTVRIASLGHALHAWFNGEYLGNGHGSHDEKSFVFQEPVTLKEGENHLVMLGVLTGFPDSGAYLEHRYTGPRSVSILGLSSGVLDLTESSKWGNKVGMEGERLGIHTEEGLKKVKWQKFTGKAPGLTWYQTYFDAPESESAAAVRMSGMGKGLIWVNGEGVGRYWMSFLNPLGQSTQIEYHIPRSFLKPKKNLLVIFEEEPNVKPEIIDFVIVNRDTVCSYVGEDYTPSVRHWTRKQDQVQAITDNVSLTATLKCSGNKKISEVEFASFGNPIGTCGNFTLGTCNAPVSKQVVEKHCLGKGECVIPVNKATFQQDKKDSCKNVVKTLAVQVKCARDKKN >A03g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21451362:21452833:-1 gene:A03g505970.1_BraROA transcript:A03g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSSSYKYGTHHSCDAQFFQSEFQKQSEISKQTLSYQPISMANSYTLLQNLRAGRCSNIEPMTVSELNQFVLTADPQIIEFLCTAKVTEIQLDEGWSYIGCSGCSKKLTREETSFSCVPCNETNTVAKLRYRVILSVSDATGAAAFLGFDTEMSKLTHVLASEAAQIVGIGTNVQVDVVLPRPLADLVGRTYTFQLKLKDFNFTPNHQTFTISRIFPQRELAPNPTFAEEDVEVIEPAIPQSAAERSGDKDATTSNVAEQSTVATDDL >A06p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2690786:2694545:-1 gene:A06p007790.1_BraROA transcript:A06p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLGWLIGLSQRSRQTKTLDAEAYVAARAVKPVLMVDTVQEIAVYIHRFHNLDLFQQGWYQIKISMRWEDGDNSSSSGIPSRVVQYEALDATSNESSGVWKIDDKDNSFLSQPFRIKYARQDVRLCMMVSFTMPLERYEGSATSAVILRFELLYSPIIESIDAFPAAAHDFRIPPKALSGLHSYCPVHFDTLHAVLIDVSVHVSVMKSAAYKRPAVLSSDSSNGKNLASGSVQSSKKIASADKKLVSFVKSLLEARDTLLEEMQRLSKAVGQTIDLSEFVSTMDNTLLPESAEDEEGLGQGKQQNNLEVLGFILQQSLYFIYYFVFHYLLEYKLVSPFLAQKLNSPFDLENDDEWLHNFSKEHLSRTFHLLGTQLHHFWNTFLAFHRENNTKILEYLRDTWTKDRRAEWSIWMVYSKVEMPHHFISGMDDTSNHSSHKRATTSVLKLNDPAQVAATRAELHRRSIAQMRINNRGIQDMHIFGDPMRVPIVIIERVWNAPKRTFSDRSSMRHVDKIDSSLLNDENRSIKHNTPQHSGRELKIVVFVHGFQANLRLIRNQWLMIDPKIECLMSESNEEKTHGDFREMGQRLAHEVVSFFKKKMDKHAKYGRLKNVKLSFVGHSIGNVIIRTALADSLMGPYRKYLHTYLSLSGPHLGYLYSSNSLFNSGLWLLKKLKSTQVIHQLTLTDDPDLQNTFFYKLCKQKTLDSFKNIILLSSPQDGYVPYHSARIESCQPASSDNSKRSVAFLEMLNNCMDQIRGPTLETSHHQRVFMRCDVNFDTTLYGRNLNSFIGRAAHIEFLESDIFARFVMWSFQDLFR >A04p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1888456:1894633:1 gene:A04p003800.1_BraROA transcript:A04p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTENMEAVPADKGDEILKPRTDKREYRRIVLKNSLEVLLISDPETDKCAASMNVSVGSFSDPEGLDGLAHFLEHMLFYASEKYPEEDSYSKYVTEHGGSRNAYTSRENTNYHFDINTDSFDEALDRFAQFFIKPLMSADATMREINAVDSEYQKNLMSDSRRLDQLKKHLSREDHPYHKFSTGNMETLHVWPEAKGIDTRNELFKFYDKHYSASIMHLVVYGKENLDKTQGLVEETFQEIRNTNKSIPIYPGQPCTPDHLQVLVKAVPIKQGHKLTVSWPVTPSIHHYEEAPCSYLGHLIGHEGEGSLFHALKTLGWATGLNAGESDLTMEYSFFDVSVDLTDAGHEHMQDILGLLFRHIKHLQQSGVSQWIFDELSAICEAEFHYQAKRDPFSYAMAISRNMKIYPTKHWLVGSSLPSRFNPDFVQKVLNELSPSNVRIFWRSKKFEGQTDETEPWYNTAYSFENITEFTIQEWVQSAPDVKLHLPVPNVFIPTDFSLKDVKDKLYLVGFNHKLRILLEAIMQKIAKFEVKPDRFSVIKETITKTYQNIKFKQPYEQAMSYCSMVLQDRTWPWTEKLDALTHLEAEDLVNFVPMLLSRTFVECYIAGNVEKNEAESMVKHIEDVLFNDPKPISRPIFPSQSMTNRVVELGKRIKCFYHQEGSNPSDENSALVHYIQVHQDEFAMNIKLQLFRLIAKQATFHQLRTVEQLGYITSLNQSNHSGVYGVQFIIQSSVKGPGHIDSRVESLLKDLESKLYNLSDEEFKSNVTALIDMKLEKHKNLNEESSFYWLEIKNGTFKFNRKDEEVAALRELKKEELIDFFDTYIKVDAPKKKSMSICVYGSQHLKEMASDKDKVASPFIEIEDIVGFRKSQPLYGSLKG >A02g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10691961:10696836:-1 gene:A02g503230.1_BraROA transcript:A02g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSGIERSSFTSYSGSIDPDHYSEQKNAKYILIDLVHFTRSAKVDSLQIDRSVRIDRSIPCPGKNPKSIFLVLGRKSNSHPKIINPNSLNSLIWTIIWPNSYDFVSFLRIYHSLVRSAKGIVHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATNSEFEESGEEKGEDQTVDTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEDLVQASFTAFGEKFCQQFSDRLGKIETEVTQLRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELVGKSDQASGPSLTKINSGPSTSKKGTAPSKKKAVKNQELKIADSCVNLTRAKVTQSSASDLRMVRLDDRDIEIDGENFPDRCLVFVHPTDFKKMQDWQDTRTAIQIGPSMLDGDLAGRIMSASSWLKNYVSIRPEIDAIMYVFRERTTLKRWNVDRVAFMTCVFSDLIAKDYQNFCKGIKKYTMDPLLLQYGKGELPSHGRTRMLWNVDVDWMYVPVWVNCNHWIALCISFVTRNIQVFYCGGKKKIKEVEAFAQLIPRIVKAVQSLTIQKHLHITPYNVSYVPMSGLNRLQCHCGVYTIKHIECHVLGLDISMVSDENIWGARIKIMWDLWEAANDLELIERMSKYEPIKCNKPAETNKKRAASFDRPVPVRSFGKARSLSDRSICLSDRSIPHPDKSILWFLSVKSENGIKTPFINPISLDLTPIWTILEPNPHDFSEITDAVMLVVELHRC >A02g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12088351:12090223:1 gene:A02g503590.1_BraROA transcript:A02g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTQNPDAISAYYQTRAAHHGVITSDWLAQAQAAVEQPDSTHSGLGPNKSFNVIDEFNGWRKQPDLAEAVAAIRAMAAVIRASEATTMMELEIELKKASDTLKSWDKTSISLTAGCDLFIRYVTRTSALEYEDFNSAKSRLLERAEKFGEISCKARKIIAMLSQDFIFDGCTILVHGLSRVVLEVLKTAAQNNKLFRVLCTEGRPDGTGVLLSNELSKLDIPVKLLLDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHNMNKPVYVAAESYKFARLYPLDQKDMAPALRPIEFGVKIPAKVEVERSARDYTPPQYLTLLFTDLGVLSPSVVSDELIQLYL >A09g512890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38681214:38690484:-1 gene:A09g512890.1_BraROA transcript:A09g512890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVCSVHTGRPWVSVSTHRTSVAVRVSVCVRQHTQDVRGCPSVHISARWTSVGVRQHTQDVRCCLWLSVSTHRTSVAVACVSVCVRHTHRTSVAVHQYPYQHAGPGLSTLALLVDCSGDFGPRRLSVQYTQDVCGCPPAHTGRPCVSISTHRTSVAVRVCPCVSVSTHRSSVAVHQYTYQHAGLWTQHAGPSPGLFGMSVGVRQHTHDVCGCAYVSVCVRQHTQDVCGCPSVHISARTHRSSVVVRQNTQDIRGCPSAHTGRPCVSGSTHKTSVAVRVCPCVDVRGLSMLTLPVDCSGDFGPRGLSVQHTQDVRGCPPAHTGRLWLSISTHRTSVADRVCPCVSVAVHQYTHQHAGPWTQHAGPSRGLFGTHRTSVAVRVCLCVSVSTHRTSVTVHQYTYQRAGPWTQHAGPSRGLFGTHMTSVGVRQHTHDDCGCPYVSVCVRQHTQYVCGCPSVHISHVGPCTQHADPSRGLFGTSVAVRQHTQDVRVCPAAHTRRRGCPCVSVCGRLWLSISTHISTLVLGLSTLALPVNYSGDFGPRGLSVQYTQDVCGCPSAHTGRSWLFLCVRVCPSAHTGRPWLSISTHISTLVLGLSMLTLPVDCSGDFGPRGLSVQHTQDVRGCPPAHTGRLWLSVSTHRTSVADRVCPCVSVCVRQHTHYVRGCPSVHISARWSLDSARWPFPWTVRVILAHVGCLFHMSVTQASGVSSPHTRRRPCVSVCRPSAHKDVCGCPSVHISARWSLDSARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTQDVCVCPSAHTGRLWLSLCVRVCPSAHTGLRLWVFVSTHRTSVGVRQHTHDVCGCPTLTLPVDCSGDFGPRGLSVRYTQDGRGCPPAHPGRRTTTDVRGCPSAHTGRPSVSGXSTHMTSVAVRVCLYVSFSTQRTSVAVHQYTYQHVVHTGRPWVSASTHRTSVAVRVSCVSVCFRQHTHDVCGCPSVHISARCSLDSARWPFPWTVWLILAHVGCLFSTQRTSVGVRQHTQDVRGCPSVHISERWSLDSARWPIPGTIWMILAHVGCLFSTHRTSLGVRQHTEYIRGCSWLSVSTHRTSVAVRVGLCVSVCVRQHTQDICGCPSVHISARWSLDSARWPFPWTVQVILAHVGCLFSTHRTSVAVHPHTQDIHGCPCVSVCVCLSPSAHIGRLWLSISKHISTRVLGLSTLALPVDCSGDFGPRGLSVQYTQDIRGCPSAHTGRPCVSVSTHRSSVAVCVCPSAHTGHLWLSISTHISTLVLGLSTLTLPVDCSGDFGPCGLSVQYTQDVCGCPSAHTGPSCVSVSTHRTSVAVRVCPCVFVSTHRTSVAVHQYTYQHYTQDVRGCPPAYIGRLWLSVSTHRTSVAVRVCPCVSVSIHRTFLAVPQYTYQHAVLWTQHTGPSRGLFGTLGNPVDCLGDVAPRGLSVQYTLDVCGCPPAHIGRPWCLSAHTGRPCVSVSTQWTSVAVHQYTYQHAGPQTQHAGPSRGLFGTHRTSVGVRQHTQDVRGCSTLAFPVDSLGDFGPRGMSVQYTQDVHGCPSAHTGRPWVSISTHMTFVCVRQHIKDVSSCPCVCPCVSVSKHRTYVAVHQYTYQHVCPWNQHAGPSRGLFGTSVGVCQHTQDVRVCPSAHTGRLWLSISRHISTLVLGLSMLTLPVDCSGDFGPRGLSVKSTQDVGGCPPAHTGRPWLGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQHTQDVRGCPPAHTGRLWLPISTHRTSVADRVCPCVSVPVHQYTHQHAGPWTQHAGPSRGLFGTHRTSVAVRGCPCVSVSTHRTSVTVHQYTYQRAGPWTQHAGPSRGLFGTHMTSVGVRQHTHDDCGCPTLTLPVDCSGDFGPRGLSVRYTQDVCGCPPAHTGRPWLSVSTHRTSVCVRQHTQDVCGCLCVSLCGRLWLSISTHISTLVLGLSTLALPVNYSGDFGPRGLSVQYTQDVCGCPSAHTGRSWLFLCVRVCPSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQHTQDVRGCPPAHTGRLWLSVSTHRTSVADRVCPCVSVCVRQHTHDVRGCPSVHISALWSLDSARWPFPWTIRVILVHVGCLFSTHRTSVGVRQHTQDVCVCPSAHTGRLWVSVSTHRTSVGVRQHTQDVCGCPSAHTGRPWLYVCVRVCPSAHTGRPWLSISTHIRTLVLGLSTLALPVDCSGYFGPRGLSVQYTQDVCGCPSAHTGRPCVSVSTHRTSVAVRVCPSAHTGPHTGRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVRYAQDVSGCPPAHTGRPWLSVSTHRTSVCVRQHTQDVRGCPCVSVCGRLWLSISTHISTLVLGLSDTGQESDCTDGRSEVRELGPDRTDGRLWDG >A01p059340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33746263:33750596:-1 gene:A01p059340.1_BraROA transcript:A01p059340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKACVTAKSRQEAAVGQVETVCVIDLCGDLCRFGWYGLETELAYVVVVSPFWPFSSRFGYCYAWSLTVDLADFILEFMGAVIACRAVWFSSGNTSRKFQLARLRRCGFLVGLLSRIKRTYMSASHPYLKVVLIEWRSLLSGYEVSGSWTLSFGGQSAKMFSAQNKIHKDKGVAPTEFEERVAQAFFDLENTNQELKSDLKDLYINQARPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRVDGTKIMKVYLEPKERNNTEYKLETMVGVYRKLTGKDVVFEYPVADP >A08p024730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16042922:16047168:1 gene:A08p024730.1_BraROA transcript:A08p024730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFKGQPRLPKFAVPKRYDLRLIPDLIACTFTGTVSIDVDIVADTRFIVLNAADISVNDDSVSFTPLTSSKALAAPKVDLFEEDEILVLEFAEILPHGVGVLQLGFSGILNDKLKGFYKSTYEHNGEKKNMAVTQFEPADARKCFPCWDEPACKATFKITLEVPTELVALSNMPIMDEKVDGNVKVVSYQESPIMSTYLVAIVVGLFDYVEDHTSDGIKVRVYCQVGKADQGKFALHVGAKTLDLFKEYFAVPYPLPKMDMIAIPDFAAGAMENYGLVTYRETVLLYDEQHSAASNKQRVAIVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAIDSLFPEWKIWTQFLDESTDGLRLDGLEESHPIEVEVNHVAEIDEIFDAISYMKGASVIRMLQSYLGAEVFQKSLAAYVKKHAYSNAKTEDLWSALEGESGEPVNKLMSFWTKQTGYPVVSAKIKDGKLELEQSRFLSSGSPGEGQWIVPVTVCCGSYDMRKNFLLESKSGVYDLKELFGCSIADGAWIKINVDQAGFYRVKYDDTLAAGLRNATENQSLTSIDRYGILDDSFALSMARQQSLASLLTLITGYKKELDYTVLSNLIVISYKVVKISADANLELMSEIKQLFIGVFQFAAGKLSWEPKQGESHLDAMLRGEVLTALAVFGHDETLKEATRRFDAFLADRNTSLLPPDLRRAAYVAVMQRANKSDKSGYESLLRVYRETEMSEEKTRILGSLACCPDPSIVQDVLTFVLSDEVRNQDALYGLYDVSWEGREVAWKWLQEKWEYIEETWGSGFLITRFISALVSPFASFEKAKEVEEFFATRSKPSMARTLKQGIERVHINANWVESIRKEDNLTQLVAHLSSN >A10p040920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22494531:22500513:1 gene:A10p040920.1_BraROA transcript:A10p040920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDDLEKPVISLKLLIDAKKNKVVFAEAGADFVNILFSFLTLPMGTIVRLLEMHQKSKSVPIGCFNNIYASVASMAMKHFSTEASKQMLLYPGSLNHDKCQKTKLRIDDTQATKCFMCPMFVRSGQCSKGYSNFNTSRCSCGNLMSEVIQFQGEGGRASAGVFVRSASFMITDDLKVEVHSVLSTLKVLKEHGYAADCDKLVATLLECLFTSDTPLTDTFLKKKSSVCIKRMLSPPALSNEEEEGESESKGDQTITLNAYVRKKEGNIMYVECGEDFVDLLFTFLALPLEAVWGISGKGIILGCVGNLCKSFKELSADDSGREAKCVIPHYYKCDQKQLLLDAVTTHKPPTYYRFVSFSANHFREYCLSDKSDKRLVYAWDKLLPVTCIDPKSEGNNKTADQSSGFVKKGTKFMVTDDLIITPSNAASVLGMLKDKQISLEDVECRVISMKQEEVIRLLRASLVTFSALSTGLLAMESDSTSAPEKEPKITLRLIIDEEKNKVVLAEACRDFVDVLFSLMTLPMGTIVGLLKKHQNSEIGCFSNLYKSVADMSIDSFMTGACKQMLLNPRSVKEAYCKRLKLNLNPTDDHLKYFKCPSFSSCHMCSDFSGSDCACGRLMIHEIELTEEEEDEIQNDVDGVFVSGRSSFIITDDLKVSVDSTGLVLKTLNSLGCSDVSNLGEQLLDIGLNEIMYLLECVFSSNTPLTDALLKKESTQDMTNMHKLSTPSCLETKTDVSESEFTIDAIVRKQDMKILYVECGEDFVDLLFSFLAVPLEYVCATSLGCIGNLRGSFKDLTVVDKRKEGLASECVLLPHYYKLQKQLSVITTEEAPVYYRYRNSNPRQPDYSLTTDYDRTPLYRKDRIVQVTVIDPKSHGRVHRHQSENGSGFVKRGTRFTVSDDLMITPRGCLSTSMCFLKKYEIKADDVDVQVIRICEEEIIGQIRNEEVFPFASVGDPPLDKKRSRARDLSSIYLSRTYRKNEARERENMLQIRLRRDSPTESGSGARASPTDTVTVACPDHLVLADLPVAKGIGSVTPTSIIKPVGRRSRRQLGERVHFCVRCDFPIAIYGRLNPCDHAFCLECARNDGNESDVQSTKLQSSASGSTLRGPLRSQQQPLLHRSASLSKPQSGFGQLQSYPAETDNSRPPGFETASPKPGIRFPDYPPPINMMQPPSMPIPMNQNPGLPQQFGFPPYPTTDGSSQQFYSGPPFEMARPEGSGGSEQGSALGYQQQPAPMMNLSFQGSYPPQSSWNAGMAPPQTTQQVNRGRDGQGFGWQQENRDGFGQE >A09p060660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50238567:50241591:-1 gene:A09p060660.1_BraROA transcript:A09p060660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDIL1-3 [Source:Projected from Arabidopsis thaliana (AT3G54960) UniProtKB/TrEMBL;Acc:A0A178VF09] MASSTSMSLLFLLSFLLLATSRAENAANGSDLDEELAFLAAEESKEEQQHHANSHHDQYRDFENYEDLEQGGEFHHGEHEGGGEYHEEEPQLPIVDEKDVAVLTKDNFTEFVGNNSFAMVEFYAPWCGACQALAPEYAAAATELKGVAALAKIDATEEGDLAQKYEIQGFPTVFLFVDGEMRKTYEGERTKDGIVTWMKKKASPSIHNITTVEEAERVLSAEPKVVLAFLDSLVGSESAELAAASRLEDDLSFYQTTSPDIAKLFEIETEVKRPALVLLKKEEEKLARFDGNFTKAAISEFVSANKSPLVINFTREGASLIFENSVKNQLILFATTNESEKHLPTLREVAKSFKGKFVFVYVQMDNEDYGEAVSGFFGVTGTAPKVLVYTGNEDMRKFILDGELTVNNIKTLAEDFLADKLKPFYKSDPVPETNDGDVKIIVGNNFDEIVLDESKDVLLECYVPNACVLSQIYAPWCGYCQSFEPIYNKLGKYLKGIDSLVVAKMDGTTNEHPRAKADGFPTILFFPGGNKSFDPITVDVDRTVVELYKFLKKHASVPFKLAKPSATPEQVITTKKADEKTESDGAKDEL >A07p029480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16519919:16521038:-1 gene:A07p029480.1_BraROA transcript:A07p029480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSDQSRFLASLPLLLLLLSFLMASFFHTAAAQIGVCYGRIGNNLPRPADAVALYRNRNIRRMRLYDPNQEVLSALRGSNIDLLLDVPNPDLQRIASSQAEADTWVRNNVRNFNGVRFRYISVGNEVQPSDPTSRFVLPAMQNIDRAVSGLGIKVSTAIDTRGISGFPPSSGTFTPEFRNFIAPVIAFLVSKQSPLLVNVYPYFSYINNMRDIRLDYALFTSPSTVVNDGSNAYRNLFHALVDTVYAALEKTGGGSVEIVVSESGWPTAGGTATNVDNARTYVNNLIQTVKSGSPRRQGRPIETYIFGMFDENQKSPEFEKFFGMFLPNQQPKYGVNFD >A05p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1881599:1883146:1 gene:A05p004850.1_BraROA transcript:A05p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALNRANFVGGLFSFRNIKSMSSSSSQNFVSRSVIKKVFAKLQKEGDGAVVRRGISRSEQKLLDPFLMLDEFSVSPPAGFPDHPHRGFETVTYVLEGGITHQDFKGHKGTIYAGDVQWMTAGRGIIHSEMPEEEINKGLQLWINLSSNEKMIEPNYQELSSSDIPKAEQNGVQVKVIAGESMGIKSPVYTRTPTMFLDFTLDPGAQFHQKVPESWNAFAYVLESGEGGAVFGSSNASPVSSHNVVVFEQGNDGVSVWNKSSSKKLRFVLIAGEPLGEPVVQYGPFVMNTQAEIDMTIEDYHYGKNGFEMAKYWRSQ >A05g510560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32837861:32838932:-1 gene:A05g510560.1_BraROA transcript:A05g510560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSTSESWPRPLPLFITSKGPHLLPFLSTLPPSLTLDLVSSNQLLRGRHPIHQIYQVIAKAVDSAAIVQPENVESVWNLRRVLNTSWHRVLLHLGHTLNL >A02p001810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:774568:776960:1 gene:A02p001810.1_BraROA transcript:A02p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPIIGETFGYFKPHGFYELSPILKKKMLRYGPLFRTNILGVKTVVSTDMDVNMDILRHENKFFSLSYPDGLVKPLGKDSLFFKTGNIHKHIKHISMRLLGSENLKQKIIKDMDRVTQEHLSLKANQERFDVKDAVSSLIISHLTPKVISNLKPETQSKLMKNFNAFSFDWFRASYTLSALRGLYNTLWACREGMQLMKDIYARRKTSREKYDDFLETTLDELEKEGSLVNEDVIVSLIFTLCCITQDTTSKATCMALKFVSENPKVLAELKREHEAILASREDKEGGVTWEEYRHKMTFTNMVTSLLLSSKAMGAGYTIPAGWIVMVIPSVVHLDPEIYENPFEFNPWRWEGKELRSGSKTFMVFGAGIRQCAGSEFARLQISIFLHHLVTTYDFSLSKDCEVVRVPGALFPNGIYMNISKCSKVT >A07p015960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9865564:9875449:1 gene:A07p015960.1_BraROA transcript:A07p015960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPLLKKLVTFFLSSTLFLATANSESRFKSIISFGDSIADTGNLLGLSDPNDLPHVAFPPYGETFFNHSTGRFSNGRLIIDFIAEYLGLPLVPPFYGSQNASFDKGVNFAVGGATALERSFLEERGIHFAYTNVSLGVQLQSFKGLLPNLCDSPSECRDMIEDALILMGEVGGNDLNYAFFVGKPIEEIKELIPLVITTISSAITELIGMGGRTFLVPGNFPLGCSVAYLTLYQTSNVEEYDPVTGCLKWLNKFAEYFNEQLQAELDRLRKLYPHVNIIYADYFNALSRIFQDPAKFGFMNRPLPACCGLGGQYNYTPGKKCGFEGVEYCDDPSKESNLQDSRMASPDPPHLTKKLLSFFLSTLFLTIVVNSETHCRNFKSIISFGDSTADTGNLIGLSDPDDLPAAAFPPYGETFFHHPTGRFSNGRLIIDFIAEFLGLPFVPPFYGSQNANFEKGVNFAVGGATALEHSFLVERGINLDFTNVSLGVQLQSFKDALPSLCGSPSDCRDMIENALILMGEIGGNDYNYPLFLGKPIQEVRELVPLVVTTISSAITELISMGGKTFLVPGQFPLGCSTTYLRSYKTSNTEDYDSTGCLKWLNEFGKNQGDQLLVELKKLQKLYPHVNIIYADYYNILLRFIQEPAKYGFLSKPSPLPPCCGTGGSYSSVFGRTFGLKGLKCCNDPSKYVDWDSAHMTEAAYRLMAEGVLKGPYAIPPFDWSCFNPEIKNNSPPRMKKLLSFFLSTLFLLTVVNSETTCRNFKSIISFGDSIADTGNLLGLSDPNNLPKVAFPPYGETFFHHPTGRFSNGRLIIDFIAEFLGFPLVPPFYGFQNANFEKGVNFAVGGATALEPSVLEERGIHFAYTNVSLGVQLQSFKDSLPNLCGSPTDCRDMIENALILMGEIGGNDYNYPLFLGKPIEEIRELVPLVITTISSAVKYGGQNISVPGEFPIGCAVIYLTLYKTSNKEAYDSSGCLKWLNEFAVYHDDQLQAELNKLRKLYPHVNIIYADYYNALLRLSQEPTKFGFIDRRLPACCGFGEKGMECCSDPSKYVSWDSVHMTEAAYRFMAEGVLKGPYAIPPFDWSCLNPEIKNSGSSDTKSII >A01p057420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32480836:32483754:-1 gene:A01p057420.1_BraROA transcript:A01p057420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVQSYSEKCFDVCLQNQMMSAFGSHKMTSGFGFGLGDSNGSTKPVRIVVVGEKETRKTSLIMAAVTDHYYPEPNIPSLLPYTKLPSEYCSEDVPVTLIDTSSRPEDKRDVIREVKEADAIVLTFAIDRQETLDRLSEYWLPLFRQLEVRVPIVVACYSVIKNEHNPVNIEEITLPIRQQCQEIEICIEWSAPISTFYYTSCLKTTKLIFVQAQAVFVQAQISAMYPIGPVYDRVTNSLKPRCVAALKRIFELYARNNDYILSDDGLSDMNVRSFGIPVMPSRSRELIKSVQELCPLGVKENGLTIDGFLVLITKLINDRKLRTLWTILRTLGYNNDLRLVHEMIPYSSFKHMPDQSVELTDQAIGSLKRAYHRFDNLGPQMMESLFETAPESPWNGAPYKDATEKTSNGGLSLEAFLSLWSLMTLLDPARSLEYFICICHPDDPSSAVHVTRRRELDCKEQISVRKVVQCFVFGPKNAGKSALLNGFIGRPYDDDNRDGLGEERYAVNMVGNSGVTGGTKKTLVMKEIQYQEDGFLISDEALASCDVAVFVYDSSDESSWKRAIDMVSEVATISEDAGFVFPCLMVAAKMDLDSFPMAIQESTRATQEIGIEAPIPISSKLGNVDNLFHKILTAAEHPHLCIPKIESKRKRVLKLINSWIPECWVKCFCISS >A09p076290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57122968:57124750:1 gene:A09p076290.1_BraROA transcript:A09p076290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRNIHGKRSNFQSEFPGNGGSKRRNLHDDDTDHNVIGSEDTVYRYLCPVKKTGSIIGKGGEIAKQIRSETKSNMRINEALPGCEERVVTIYSTSDELNHFGDDGELVCPALDALFKVHDMLVADSNDNDDDDDGDEDLVGKQTVTVRMLVPSDQIGCVIGKGGQVIQKLRTETNAQIRVIKDNLPPCALTLSHDELLQIIGEPLVVREALYQVASLLHDNPSRFQHSLLSSPSTMYQPGGMLMCAPLTGSHRNYTARRDLADATEFCICFICPAENVGGVIGKGGGFINQIRQETGATIRVNTSETEEDDSIIFISSKEFYEDQSPTVNAAIRLQERCSEKVGKDTNDATISTRLLVSSSHIGCLIGKGGAVISEMRSVTRANIRILQKENVPKIAREDEEMVQITGSPDVAMKALTQVVLRLRANAFDMNHGLVLLPTSFPYIPQVSESSNRSKYAKRDGSRDQDYSKLSSNSKRRNHVS >A06p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7065519:7070858:1 gene:A06p015810.1_BraROA transcript:A06p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g18485 [Source:Projected from Arabidopsis thaliana (AT1G18485) UniProtKB/Swiss-Prot;Acc:Q0WN60] METKPIVLGRHREMSTRENHNGICKNVPNLISSFVDAFVDYSFSGTFSPHHPTPLNHTPQTRFEKPDRLVAIGDLHGDLEKSKEAFRIAGLIDSSGRWTGGSTVVVQVGDVLDRGGDELKILFFLERLKREAEREGGKVVTMNGNHEVMNIEGDFRFVTKEGLEEFRVWSDWYCLGSKMKSLCNGLEKEKDLYEGIPMSFPRAREDCFEGMRARIAALRPEGPIAKRFLSKNQTVAVVGDSVFVHGGLLAEHVEYGLERMNEEVTSWINGLRGGRYAPGYCRGGNSVVWLRKFSDERPHRCDCAALEHALSTIPGVRRMIMGHTIQEAGINGVCGDKAIRIDVGMSKGCSDGLPEVLEIRKDSGVRIVTSNPLYKENPNSQLVPESKTGLGLLVPVEHVTKQVEPLPQLSTLLDSRRSYKKSTIPRAVAVSSTSTNGEHLLRRVSGLCETGNLHESFRVIEEFDREEKSSSDAFLLLREALGLLLQASGRRKDIHLGRKIHQLVSESARLSNDDVLCTRVITMYSMCGYPDDSRSAFDALRKKNLFQWNAVISSYSRNELYHNVLEMFVKMITDSDLLPDNFTFPCVVKACAGVSEVQVGLAVHGLVVKTRLVEDVFVSNALVSFYGTHGFVYDALRLFEITPERNLVSWNSMIRVFSDNGLLEECFLLLGEMMEEDDDGTFMPDVATLATVLPVCGREREIRVGKGVHGLAMKLSLDKEVVVTNALMDMYSKCGCINDAQVIFKLNNNKNVVSWNTMIGGFSAVGDIHKTFDLLRQMLAGDEYLRADESSDPRPSLDAYFQMKSSGLLPDVFTVCSLLSACSQIKSLRLGKEVHGFIIRKRLERDSFVYISLLSLYIHCGELSTAHVLFDAMEDKTLVSWNTMVNGYLQNGFPEGALSLFRQMVLYGVQPCEISMMSVFGACSLLPSLHLGREAHSYALKRLLMDNAFIACSVIDMYAKNGSVMESFRVFNGLKERRSGKWDEVRKVRQRMKEMSLRKDAGCSWIELNGKVFSFVAGESSSDGFEEIKSRWSLLEREIGKMGYRPDTSSVQHELSEEEKIEQLRGHSEKLAITYGLIRTSEGTTLRVYKNLRICVDCHNAAKLISKAMEREIVVRDNKRFHHFRNGFFGKDRSADLSSCTETSTQLCKQQEKTEAMKVSISIIVVLFFATFVAISAAPSHETCLRRNIDRSQPPSSSLETKTASRFITLAEMICRDMARSVMFHVRITGKFPSQYVEAMCNVFGDDEKKVKEYVEKIWLGLGGPKLVSSLSCVFH >A09p020110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10683444:10684456:-1 gene:A09p020110.1_BraROA transcript:A09p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKPTSFTFEIDNFLEKEAVISSPTFSSGGCQWYANVYPKGNGIEDHLALFLYVANYGSLQLGWKRRAKFSFVLLKQSGKEFYKSIELCQVFCAQVPGWGIVKALTIKQLQEKGFMEKNKLIVKVQVQVLEVVNEAEVTGNETMDVRGFQVLYSQAFQVSWIFERHPDIALSFGPKSHFVKTTYMNLLLKLIEKLDKPPHSFSETELSNTRTELVDLTQTGFKLDWLK >A01p022740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11201194:11201763:1 gene:A01p022740.1_BraROA transcript:A01p022740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTLNALEEFLQIFAQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEERNSSITAIFHGAIKAFASETLSSSYFVSFYHFMTVRIFVVSINPFRPSHPIAKLESDTHPASKAPSQSTSIILSF >A02p014480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6417500:6417952:-1 gene:A02p014480.1_BraROA transcript:A02p014480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRFADFFPSSFLSLISNLFAPFIAARVSVALFRHICYSSLNFELLFFGSRLPFPLPQSFVVFRLHERLLVVVILVLEACVLLLRGDSGLL >A09p056060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47816930:47817874:-1 gene:A09p056060.1_BraROA transcript:A09p056060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFVMWRERAGGMEHVPGSFGTSASFALRFGQIIFSSASLIFMCLDYDFYDFTTFCYLTTVMAFVTPWSISLALADTYSVLLKQLPHEPRLLSMVLAGDTVMSFLSLGGACGVASATELLSSMGAPICGDNLCSQYQVSATLAFLCWFLLLASALFNLWSLPSLLY >A04p040550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22882389:22883729:-1 gene:A04p040550.1_BraROA transcript:A04p040550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G46580) UniProtKB/Swiss-Prot;Acc:Q9ZPY1] MGTAAAPWKQLLFGAIEANSHLKHSSYAQLATIGVNGRPSNRTVVFRGFEEKGERIQINTDLRSRKIQELKQCPFSEMCWYFSDTWEQFRINGKIEVIDASNPDQNKLQLREKAWFANSLKSRMTYTCPAPGMPCNSEQPNQEVKLDPSSGPVADYCLMLLEPEKVDYLNLKSNQRLLFSSKISGTGEKCWTSEKVNP >A08p011780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:404449:407007:1 gene:A08p011780.1_BraROA transcript:A08p011780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETNGDCFSLEESILLEKIEDVYENKINLRRVCEVKKVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQDSGQHNQEVTQEVESGAQSSGDGQRESNGSDESGTQSSGDWEVDPDGSNESDAQS >A07p039440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20960446:20961892:1 gene:A07p039440.1_BraROA transcript:A07p039440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMGENGGHYCSKKSDDLCGTQESDRGFGITRLCCMLRGIDLKSIIFLLVIFPMCVIGVYIHGLKISYFLRPLWESPPKPFHDIPHYHHENASMESLCKLHGWGVREYPRRVYDAVLFSTEVELLTIRWQELYPYVTQFVLLESNSTFTGLPKPLVFASHRADDEFKFVEPRLTYGSVGGRFKKGEKNPFYEEAYQRVALDQLLRLAGITDDDLLIMSDVDEIPSRHTINLLRWCDDIPEILHLRLKNYLYSFEFPVDDKSWRASVHRYKTGKTKYAHYRQSDEILADSGWHCSFCFRRISEFVFKMKAYSHYDRVRFGHYLNPKRVQRVICNGDDLFDMIPEEYTFKDIIGKMGPIPHSYSAVHLPAYLLENAERYKFLLPGNCLRDKE >A07g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2953728:2957329:1 gene:A07g501430.1_BraROA transcript:A07g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHQMDAFINVLRQRYQNHPEHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANEGLGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSICALSDEQKVQYTLEPYTYARQTVGVPQCRPGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A08p005720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3286980:3287882:1 gene:A08p005720.1_BraROA transcript:A08p005720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDVHLTSGCYRAHCNWFVHVNRHIALGGAITQSIFFFFGTLSSTIALEAAITPTSMFGGDQFDITLQFWECDHANTVQWGGKVLYCNTSDKNTPEHPLCLTPILKHKSYPLIIFRCLSALSVMDIADFSKPIVLEIYILKR >A06p000340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:389244:392414:1 gene:A06p000340.1_BraROA transcript:A06p000340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GBF-interacting protein 1-like [Source:Projected from Arabidopsis thaliana (AT1G55820) UniProtKB/Swiss-Prot;Acc:A4FVR1] MNKSLGDGGSARVSIPRNLRETVQSIREMTGKQHSDEDIYAVYKESFNDPFETAQKLRFLDTFHENLVPINQASARTGRRNFASSNTYHGNGRSSSFKRESGSNHVTGGSRPSLPNTNNRARNPTLPRATKVPAPSGVSNHKVEDDFTATVNKGVAEKLPLSKSISFSEDAVEPETSKANSEQVAPPVSVSVVQNHTQDVISAQPDVNNQPAELQSSTFGRQDPSLISASHCSNHSDQVTGNETASKKGKARSLLKLDVGERSHVTFPLHLQVADELQNGLTFGSFDSNFVKELSSTYGASGGDDSDFKSSHGTGDDERDSSPTTNGIHAVASARGASSYFEDDNGIPNSAPGALPNTQPHQIAYGQDAPFSVFGLVPSFSALGQPLGNSNAPAMSLVSNPPGQSSIAAVSQQATHLFGQQYPPSFFPYGPYYPQFYMPPPYIHQFLGPNGIPQQSYFPPGAAIAAPTHIAPVGDNENPPTTNPSQHASSTVVTHIPSATALNSIHSEERTSAMTGNAGAWIGQGLGNLQMYNLALQGQPLGFPVVQAGHRGLMGIHQPSQPMPAPSTTYQTLTPLPPTTAMAEPIRHPNIAHQQPQAAVTNNNY >A04p025590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15503099:15505026:-1 gene:A04p025590.1_BraROA transcript:A04p025590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G26100) UniProtKB/TrEMBL;Acc:W8PV11] MPLFSHRFTTASSTGPATPSYYSKPSKSHKHSSSASRVHVAIVLFSLVSLFIGLAGTIFAITSSGGPSSVYRCGGSKDTSRVFGSRKLGGNGGVLPERRKVIGLVGIQTGFDSGDRRAALRSTWFPSDPDALLRLEQATGLAFRFVIGRSKDEKKMAELEKEIKEHRDFVLLDDVEEEYLRLPYKTLAFFKAAFKLFEADYYVKADDDIYLRPDRLGTLLAKERLHSQTYIGCMKKGPVITNPKLKWYEKQGNLIGNEYFLHAYGPMYVLSAEIVASLASARNGSLRMFNNEDVTIGSWMLAMDVHHEDNRALCEPRCSPKSIAVWDIPKCSGLCNPESRLKELHNMEICSKSPTLPPDDLDQ >A10g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4666144:4667396:1 gene:A10g501700.1_BraROA transcript:A10g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIFSVNSISYRVELAIADSTVEGAFVCFDGVMTKLHNLRASEADLTITRILKEHERVPVPDFFVKGRNDGDDADMADGGPVPVCVETGEGSSDADKNTDAKPADASAKKRTHSSTKMAKKLDTSSYGFMFQTETSGAAGLGWTNKTSRETQRFSSSTNFVMSPLMAEGLAMRERLGRVRNWGSDIRIDASSFDAISFCWIPRERNYDADFLAKEALRHVTTDLISFVFNQ >A01p055580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31444317:31447724:1 gene:A01p055580.1_BraROA transcript:A01p055580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGDLTSVPNSVIKPFPFLVIFKDLKHVFSMDAIGREILSMAFPAALAFAADPIASLIDTAYIGRLGAVELAALGVSIAIFNQASRITIFPVVSVTTSFVAEEDTMEKMKEDEANKAIAHANISAVQDSLEKGVASPASNNTSQPQQTPASDTKPSSGNKAKKKGKKNIKSASTAMIIGLILGLSQAIALIFSSKVLLGVMGVKPNSAVLSPAHKYLTIRSLGSPALLLSLSMQGIFRGFKDTKTPLYATVVADVINIILDPIFIFVLHLGVSGAAIAHVISQYFMTLILFIRLASQVNLMPPNFGELQFGKFLKSGGLLLARTVAVTFCQTLAAAVMARLGTTPMAAFQICLQVWLTTSLLADGLAVAGQAILACSFAEKDYNKVTAATSRVLQMGFVLGLGLSVFVGLGLYFGAGVFSKDAAVIHLIAIGIRFVAATQPINSIAFVLDGVNFGASDFAYTAYSMVGVAAITIAAIIYMAKFYGFLGIWIALTIYMGLRTVTGIARIATGTGPWKFLRGRSPSSS >A02g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17526787:17527625:1 gene:A02g506120.1_BraROA transcript:A02g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVKFERESSVYLSVFDSLAKFSMRSLLPVGSALSELPLSHCLEDIVGSILTFELKLSPFNFSTNHQSFTISRFFKSHQRPPLPLFENHGGDDMPGGSSSTLKRSPLGLNSRDLNQSGCKW >A03p034800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14657787:14658655:-1 gene:A03p034800.1_BraROA transcript:A03p034800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCSIRPCLGWIKSPEAQANATVFLAKFYGRAGLMNLINAGPDHLRPGIFRSLLHEACGRIVNPIYGSVGLLWSGNWQLCQAAVEAVMKGEPITEMAADAATNGQGPPLKMYDIRHISKDESSAAAAAAATGSTDLKRPKPRRAKRVAAVAKPSESAEKEASHVSSLSHQSEVVAAQEGESNISEVMTFSPPAVQSSGEIKLDLTLGLEPVSHACNVVPLKKRKIGAFATCQEDIPCKTELKL >A08p028340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17773669:17780480:1 gene:A08p028340.1_BraROA transcript:A08p028340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTKATVELTATDTSSLEKSSEETAGGKETQEEPKKGEEKAAEPEKMEIDAEIKKDEELVVKSNEGTDKMEEDVTVTKDEGQAEATKMDEDANGQKEQTDDGVSGGGTVEDTVMKENVESNNAKDDEKEESITEADQNKAGKESEEDVKNEGDKANGTKDEKAGDIEEEDKKVETVDENNKEQNKEEDLAEEGKEEEDKEVEKAKVEDEERSEDENDNEQVESQPAKEDEKEETNDDKEDEKGESKSSKKRGKGKSSGERIRKKTKNEEEKKDSEPKTPFSDRPVRERKSVERLVAVIDTDSSKEFRIEKGPGAYLKDIPSVAYKVTRKKSDETLKLLHTILFGGRRGKAPQVKTNILSFSGFVWHGNEEKAKEKIKEKFEKCIKDKLVEFCEMLDIHVTKATTKEDIVTKLFEFLEKPHAKGDAPASEKEKSSKGAKRKRTPKKSSPAAVTSSSKRSAKSQRKSEEGTKAAKKGLALSEDESEEEKEEEKQEKEQKSAEEEENVNGIPDKSEDEAPQPSESEEKDESEEEETPKKKKKRGSKLSAEKKESAGRARNKKASVAAKASPPEKVTQKRSSAKRKKTDDDSDTSPKASSKRKKSEKATKASTSTPSKSASKEKPEVKGAGKGKEKTKGPSDKVLKNAIIKILKRVDFNTATFTDIIKELGKEFKDDLTPRKLSIKLVIQSELTKLAEEAEEEKIEEEKEEDAEKKKAGGSTGTEKEKEEDAEKEKAEGSAGSVKEKEEETVKEKAGGSAGSVKEKEEETVKEKAGGSAGSEKEKEEEAVKEKAGGSAGSEKEKAGGSADGEETLSSFLSTLLLVAEEANGTSDLRDDNVFQGKSVLSNLACGSKDCACWLLFTCASLIHLTLSVNMSGHHGEEAEAEHMEDDDTLGADFENLMCSADTTASQAPNRKDIQGISWDKLSLTREEYRKSRLQSYRNYENIPNSGEASGKDCLDSEKGSSFYMFKKNFRSVTPTILHFQLRELVWATSNHDVYLMCNNSITHWSTLTSSRDEVLDLAGLVTPSEEHPGSLLEGFSKTQVSSLAVKDGMVVAGGFSGELICKHLDRPGVSFCYRLTSEENAITNSVNIHRNSSGALHFMASSNDGGVRNFDMETYQLVQHFHYPWPVNHSSVSPDGKLVTILGDDPDGLLVDSNNGETVGRLYGHLDYSFASAWHPDGVTFATGNQDKTCRVWDVRNLSKSVAILKGNLGAIRSIKFTSDGQYMAMAEAADFVHIYNTNTGYMNEQEIDFFGEISGISFSPDTESLFIGVYDRSYGSLIEFARERVSGSAL >A02p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10400406:10402613:-1 gene:A02p022060.1_BraROA transcript:A02p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREGKRPSHETLVPLAALLSRETRAAKMEKPIVRYGEAAQSRKGEDYLLINTDTTLRLPPPNSSTAFSVFAIFDGHNGKAAAVFTRENLLNHVLSALPRGLSRDEWLQALPRALVSGFVKTDKEFQSRGETSGTTATFVIVDGWTVTVACVGDSRCILDTSGGSVSNLTVDHRLEDNKEERERVTASGGEVGRLSIVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNVGGRLIIASDGIWDALSSEAAAKACRGLPAELAARQVVKEALRRRGLKDDTTCIVVDIIPPDNSQEPSSSPLKKHNNFFRSLLFRKKSNSSNKLSKKLSTVSIVEELFEEGSAMLAERLGSGDCSKEPTTGGGGLFTCAICQLDLAPSEGISVHAGSIFSTSLKPWQGPFLCTDCRDKKDAMEGKRPSGVKVI >A02g513070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35879884:35880973:-1 gene:A02g513070.1_BraROA transcript:A02g513070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGVAGSWAVMGWCGLGLNHQGSVGSWSWTRDSGKCHGPDFRTAQRKGRAVGQLLPKRCKRGSFVPFLSNCLVGFSSAVGAGFLYKLRVPGTENGTKFLRQSSAKLITDRKKERDRRLEKRTVCGGVGLPACSERGKARPEHWTKAMAGPGVKVLGIGRTDPWSDLTSRDQTWTVVKERYREDSGHGEMCGEWVIVDKCVVLIAYCATCELMID >A10p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18284774:18287468:1 gene:A10p030180.1_BraROA transcript:A10p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSGYDEMQLLQQQVMLKQLHALQMQQQNFSNPYSSTAQKQANSSRQFPPLLDEMPLNDSSSQGYLNWPQRSATPGQQGMFSMGFGSQQPDVSLYGAPVGNARGNMSQQPPIQAMYQEPGSLAFSNPFLKSQYDPSPQQLPLQQGTFMSNLGVQGTGSVNLLSQQFDSSPKDPSGRQEEQASWSSFQQKDTTKLSQGLDPLEEKILFSMEDSSSISEIASGVFGDSVSFSSPFPSSMQSGSWSALMQTAVAEASSSDTVLPEEFSGLTYQNMEMSADINDISNFIADSDKQQPSFPGFQVPTNQMRPGLFQDDSTTPVSTQRSSNVSGHWVDCNPQPKISTGNMYLQSLGMFDQLQSQTGIHRSSTAASHLAGMSSSLVPRQLGQAGVFPQFLQQNDLSTVSVSQMQTTNPFVASLPDNATLPGLGGHTTSQTRSPQRGTNQQFNVWMDLPTRQHLLDQEPLKVPAEILRSDGTSWQKAQQMSSTGYNLQQKIGLPQDQRAADYTASSKDFNKLPMDSGMSSMPQLKSFAFPGPNDKMQPAHESQGIPDQLAQHSKPFDTMNKHAEIIAPKKRKLMRTKKLAWHKEVSNASQRDHTISEVEQEWARVANFLAEKAEYDTHTFEFAPPLHRSKRRLVVTSQLMQQLFDPPPSLLLFSKASSSCDVLCFFIARATLGDACSLTHKRENGLPPSSYEVDKIPKKIGDVQQYEVAKSLTEKANKLKESFERLEKTQSMAEIKFDIEDLERFSVINRFARFHSKGPVSGPSAVLKPMPQRYVAVGPMPRSIPEGVQCISL >A10p005920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9499045:9500004:1 gene:A10p005920.1_BraROA transcript:A10p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal and mitochondrial division factor 2 [Source:Projected from Arabidopsis thaliana (AT1G06530) UniProtKB/Swiss-Prot;Acc:Q9SHJ6] MAEERSLNGEDDSFFDSDQNNDGGDSAESNKKIGELESRNQELARENGEISKRIETLTAEIEEMRGSEAKARRKMGEMEREIDKSEEEKKVLEAIAERSSELEAEKARLQQELVTAKAEKEEAEKEAEKLRGEISQKRDGVVELEKEVDELRSGKEENERRMKELEAKLSALEAKELEERNKKVRAEEEMRDRVDNKEKEVDELKEKVKSLESNVAEGKAELEKWRTEKKVVEESLRESEKRVAGLEAEIVELERQMDESEKMISGLKNMVEPVNGVEVVRSWSPGAVSGGSGGAMAAVAVVAVAGAAVVFYVYRSRKG >A03g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20218775:20219231:1 gene:A03g505730.1_BraROA transcript:A03g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQPTTWTNAQRPETRVKERRSNLPPAVEWRLDGTPPPSRVRPMKKRLKTEPPSRRSKPHTGSAFPRDKSSPKMKRLKTREGRDESRLEKESRLGREKLETPINEPGNNPEGAAVAFEKKRDAETKAG >A10p039310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21795235:21796911:1 gene:A10p039310.1_BraROA transcript:A10p039310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKVSMEREDNDSKNNRYKECYYAGGSSEYISCLNPDKKPLHDVMGFKRELNGIIMDIALQWYVDGYSDTMLGYANGIRTNDGGTHIDGVKASITRTLNNFAKQSKVFKDEDITFSGEHVREGLTCVVSVIVPNPEFEGRTQTALALKRVREVIRSNSVSTPCTISEKLTNCSSEKPGMY >A01g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26159194:26161724:1 gene:A01g509610.1_BraROA transcript:A01g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHLVLFNKYKRIMLLTFLFYKDNPLSPSIHFHFSISFGFLSKERNLKVMADLKAPLVRPKRKKTWVDYFVKFRWIIVIFVVLPISATLYFLIYLGDMYSESKSYEQRRKEHDENVLKVIKRLKQRNAAKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLGEFRNILEINKEKMIARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHLYGLFADTVEAYEIVLAGGELVRATRDNEYSDLFYAIPWSQGTLGLLVAAEIRLIPVKEYMRLTYIPVKGDLQALAQGYMDSFAPKDGDESKIPDFVEGMVYNPTEGVMMVGTYASKAEAKKKGNKINNVGWWFKPWFYQHAQTALKKGQFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLFGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVYPIWLCPHKLYKAPIKQQIYPEPGFEYEKRQGDTDDAQMYTDVGVYYAPGPVLRGEEFDGSEAVRKMEKWLIENHGFQPQYAVSELDEKSFWRMFDGDLYEHCRKKYRAVGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEAD >A09p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13822842:13824833:-1 gene:A09p024890.1_BraROA transcript:A09p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSELPSSSTGDRDGDIAKPSSNGSQRDVMPLVLLFITVTISCILVYNSSNNPLMVLSPWKINWFSQNQTSFKTREPASELERVLMNAAMEDKTVIITALNEAWVAPNSMFDLFLESFHIGIGTEKYLKHVIGVCVDNKAYERCLHLHPHCYLINATDYDQLSGPNNYMTPGYLKLVWRRMEFLREVLALGYNFLFTDADILWFRDPFPRFFPDVDFQIACDHYKGNSSSKSNWVNSGFTYVKANNKTIKFCEFWCGSRWRFRGRGKHDQEVFNLIKRDPFVDQIGIKMRFLDTLHIGTFCEPSKDVNVVNTMHADCCKGLNNKVSYLNAVLRDWKQYLSESFLGNTKKAEARWRRRHRCGLRVR >A02p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13032385:13032672:-1 gene:A02p026840.1_BraROA transcript:A02p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLAVQQLHALYYKNVMLLSDCAQLFNNLDILSQGENKRTRLNNFTFKHDPRNFVQHVDQLAKRARIMSQQYVIFWL >A04p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15492317:15494022:1 gene:A04p025570.1_BraROA transcript:A04p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTHDHNCEDHECSSDWSLYKHIDLPKVSALNESVSGSVKSVFKAWEQRLHSSGEHLESNEGDPELLVFVPFTSDVKIKSISIVGGPDGTSPSKLRVFINREGIDFSDAESMQAVQEWELAENLQGVLEYQTRYSKFQSVGNITLHFPESFGGDTTQIRYIGFKGEATQLKRDVVATIVYEIRPNPSDHKTKAETGGGFSQVE >A08p017720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12300002:12301432:-1 gene:A08p017720.1_BraROA transcript:A08p017720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNDSPKSLTGQHFLFVTFPAQGHINPSLELAKRLAGTIAGVRVTFAAPISAYNRRMFSKENVPENLIFGTYSDGHDDGFKSSTSSDKARQDTAGRYMSEMKRRGRETLTELIEDNRLQNRPFTCVVYTILLTWVAELVREFHIPSALLWVQPVTVFSIFYHYFNGYADAISEMATNDPSGSIKLPALPQFCLRDLPTFIVPANTYSFLLPAFREQIESLKQEENPKIFVNSFQELEEEAFSSVLDNFKILPIGPLITSRTDSERGAEYIQWLDTKTDSTVLYISFGTLAVLSKKQIVELCKALIHCRRPFLWVITDKLYTSKEDGEENEEESTRSFREELDEIGMVVSWCDQFRVLKHRSIGCFVTHCGWNSSLESLVAGVPVVAFPQWTDQMTNAKLLEECWRTGVRVMEKKDDAEVVVESGEIRRCIEEVMEEKSEEFRGNAARWRDLAAETVKEGGSSFKHLKAFVSEHM >A09p079110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:58316663:58319818:1 gene:A09p079110.1_BraROA transcript:A09p079110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFRRDRSRFNPQKTWVPRGSSTSVAVVNDPTERSSESLHAGATASRPAYLQRQHNGSGHPPYNQHQRSNVAAPPPSNNAPGTRPDNRQRVASNTRPVNEWKRVVKEEKIVVTDPNLPQLVQEIQEKLVKSSIECMICYEKVGRSANIWSCSSCYSIFHMHCIKRWARAPTSIDLLAEKNQGDNWRCPGCQSVQLTSSREISYRCFCGKRKDPPSDPYLTPHSCGEPCGKPLEKDFAAKEDLCPHVCVLQCHPGPCPPCKAFAPPRSCPCGKKMIHTRCSERRSVLVCGQRCEKLLNCKRHLCERSCHVGTCEPCQVLVNATCFCKKRMETVACEDVTVKGELKAEDGVYSCNLICGKGLGCGNHLCSEVCHAGPCGDCDLLPSRVKTCYCGKKRLEEHIRESCLEPVPSCSNICLKLLPCGLHTCAEVCHGGDCAPCLVQVNQKCRCGSTSKTLECYKTSSETEKLVCGKPCGRKKNCGRHRCSERCCDWDTHLCQLPCGKNLRCLQHSCESLCHSGHCPPCFEVIFTDLTCACGRASIPPPLPCGTPVPTCQLPCSIPQPCGHYATHGCHFGDCPPCSAPVEKNCVGGHVVLRNIPCGLRDIRCNKVCGKTRRCGTHACARTCHQEPCDACDTYNVTCGQTCGAPRRDCKHTCASLCHPSQPCPDSRCEFPVTITCSCCRITATVPCDAGSNLRVESLYEASVLQKLPTMLQPVESSGNRIPLGQRKLSCDDECVKLERKRVDITPLNLDYVHFSENSAMTEIISDIYRRDPKWVLAVEERFKLLVLGKARGSVSSVIKVHVFCPMQKDKRDTVRLLAERWKLAVKNAGWEPKRFTVVHVTQKSKPPTRIIGARGSEGGPHPPCFDPVVDMDPRLVVSLLDLPREVNVNALVLRFGGECVLVWLNDKNALVVFHDHARAATAMRRLDHGSVYHGAVAVQNGSQSPSLGNAMGSLSCDAQKANPWKKAVIQESDDETWGAEDSSIRGSSTDIQASTWRSATSNTPNLTSVNHWSVLEPEKPLFKTKGSSSSKSASKPVESSSEELVDD >A10g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11134417:11134879:-1 gene:A10g504540.1_BraROA transcript:A10g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKGIICGLAASGVKPQLKQQQPQQEALNTEQERGHSDYLHYLRAIGAYNSAPVRRPQQQRQSSRGQSSRGNDVDEITRTEFRRSQGYLIRIEEPEEDIDPMFLHCMRALDSCNSALMRCPPQAQGQSSRTNGGRHY >A02p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11617006:11619524:1 gene:A02p023370.1_BraROA transcript:A02p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNRGLLTTKTYSSCGGLKQFMYGNAFTTLDAFWVSLCNLFFLLLASIFRVYSDKETSLVVVKTNNNNNNNNPRESSVDGSSLVSISKRCDYLSDKSITCYVEETKPVRFVFHDYYPSAVQEEMKMITFSDESNTSFFLEKPFVVDDNKLETSLSEGCFIKEHVKEEMLVYEFMSCGVLKGLLAHESFAGCEDNLFEEEEEEDEFIELNPSFQREEESKMGFNEEQEAYDFDDDDDDDSDDDDEYEHSDVIEKLKTEIRTARTGGLCTILEESETPLQELKPLKIEPKPDQFKDMIGEVHKVYKNYAVKMRKLDVIDSQMMHSISLLKLKLKDSSKPSSNIVKPHKSQNLWPFKKHKLECDPIERLLKEASRDFETVYVSQLCLSWEMLRWQYTKLLEFDSHVATTYQYNLVAGEFQLFQVLLQRFVENEPFQSLSRVETYLKNRRHFHNFLQIPLVRDDRSSKSNKKCRNEGEFAVKIETLREIILESMRVFWEFLCADKDEFSSVMKVSHQTQVSPQDPLDLELLTEMRTNLQKKEKKLKEILRSQSCIVKKLKKNESKSSSVRVKDELLIAQIELRVVSRVMTMSKLTTEKLVWCQEKLDGMSFNGRKIHMEPSFSLLPC >A05p044900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26928664:26931974:1 gene:A05p044900.1_BraROA transcript:A05p044900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLQMSWMPSLLSQKRRNGPPLGLRNLGNTCYLNSVLQCLTYTPPLANFCLSHKHSSHCDSFVDGERKRDCPFCIVEKRIARSLSVDHAIDAPNKISSCLKIFAEHFKFGRQEDAHEFLRYVIDACHNTSLRLKKLRTKGGGGPESVNGSSSSSSTEVKEIFGGAMQSQVKCLPCGAESNKADEIMDISLEISNSSSVKESLQKFFQPEILDGNNKYKCESCKKLVTARKQMSVLQAPNILVIQLKRFEGIYGGKIDKPITFGEILFLSTFMSKSSKDPQPEYKLFGIIVHSGYSPESGHYYAYVKDSLNRWFCCNDASVTHSTLQEVLSEKAYILFFSRINQRPASAKNLVTTSNGTTSHEVNGSETPRPKKFIGPLNNVSMQQPRAEQSFKKDNNNLASSKPHQFIRPLKDANMKPREEQPFHKKNADSPQVEKAPLKPHAKISISVNLGAKRVSPTVNGRLSFDQDQDLAPEADKENIGSGSAKRVYTCSEKKFGTENGGNGVKENGSAQASSSSSNNNEVSLHPHERSNGSSNGGDHHHKDSLYSCKSNGSQNGTDQEEIEKDGVSKPQPKALESSTNGDERCIFLRKDKSSRDQLEAIKESLQEDVSSYLRSCGWYDEVHKSMRAKKRLLGELSGGDGEDGNDLKRRLIADVESSLSQIPDELKADLSSSVLSVITYAHIACSIPSVVMQREGIEIFPVSVK >A02g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11201448:11203555:1 gene:A02g503420.1_BraROA transcript:A02g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAVCQHKKKRQWLSVCVRVCPSAHTGRLWLSISTHISTLVLGLSMLALPVDCSDDFGPLGLSVQYSQDVRGCSSAHPVPHTGRLWLSISTHISTFVLGLSTLTLLVDCLGDFGPRGLSGQYTQDIRGCLPAHTGSLWLSGSTHMTSVAVRVYPCVSVCVCQHTQDVRGCPSVHISARWSLDSARWPFPWTVRVILAHVGCLLSTHMTSVGVRKHPQDVCGYLWLSVSTHRTSVSVCVCLCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFDPHNLSVYYTEEVRGCPPAHTGHLWLSVSTHRTFMAVRVCLWVSARTHRTSVGVRQHTQDVRVCPSAHAGRPCVPVSTHRTSVAFHQYTYQHAGPWTQHAGPSRRLFTHMTSVAVSCESVDVRQHTQDVRVCPSAHTGRSCVSVSTHMTSVAVHQYTYQHVGPWTQHADPSRGLFGTSVCVRLRPSVHTGRLWLSISTHISTLVHGLSMLALPVDCLGNIGPRGLSVQYTQECMWVSASTHRTSMAVCGCPSADTGRLWLSVCVRHHTQDVLVVLQYTYQHAGPWTQHAALPVDCSDDFGPRGLSVQYTQDVRGCPSAHTGRPCVSVSTHRTFVAVRVCLCVSVKCRR >A07g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9230283:9231073:-1 gene:A07g504580.1_BraROA transcript:A07g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILQGGSLRGEFVDATPFRDAVKKTNGEEDSKSSLLVDDLGSMLKQKGFNHYGTETLYSDHLGVELKYEIFMGPVYYQRLRHMVSDKFQVRSTGMVDQLTRQPIKGRKRGGGIRFGEMERDSLLSHGASYLLHDRLHTSSDHHIADVCSLRRLIREIGNLPPGSGRTPKKVTCDSCKTSKGMETVAMPYVFRYLAAELASMNIKMTLQLSNSGEGSDSEEV >A06g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24942630:24949659:1 gene:A06g508810.1_BraROA transcript:A06g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFKSFAGNPREAAAMAMVQSSSYRVLSGRNCSNIRRTTPLDSFLAKGRSPVKAFSFLYVSRYSTQPNNEFGHSSKRRSRGPVMAAKKAADGEKQEDGKYKHTVDLPKTGFGMRANSLTREPELQKLWEENQVFKRVSDNNKGGSFILHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVQYIPGWDCHGLPIELKVLQSLDQEVRKELTPLKLRAKAAKFAKATVKTQMESFKRFGVWADWNNPYLTLDPEYEAAQIEVFGQMALQGYIYRGRKPVHWSPSSRTALAEAELEYPEGHVSRSIYAIFKLVGGAKTSLLDEFIPNICLAVWTTTPWTIPANAAVAVNAKLQYSVVEVHSSPEDESASTGKKKKMPGKVLKTQQNLCVIVATDLVPALEAKWGVKLIIRKTFLGNFTEEAGQFRGLSVLGDGNSAVVSYLDENMSLVMEESYAHKYPYDWRTKKPTIFRATEQWFASVEGFRKATMDAINDVKWIPHQAVNRISAMTSSRSDWCISRQRTWGVPIPVFYHVETKEPLMNEETVEHGSDAWWYMSVEDLLPEKYRDKAADYEKGTDTMDVWFDSGSSWAGVLGKREGLTFPADVYLEGTDQHRGWFQSSLLTSIATKGKAPYSAVITHGFVLDEKGMKMSKSLGNVVDPRLVIEGGKNSKDAPAYGADVMRLWVSSVDYAGDVLIGPQILRQMSDIYRKLRGTLRYLLGNLHDWRVDNAVPYQDLPIIDQHALFQLENVVKNIQECYENYQFFKIFQIIQRFTIVDLSNFYFDIAKDRLYTGGTSSFTRRSCQTVLSTHLLSILRVIAPIVPHLAEDVWQNLPFEYKNEDGSAAKFVFELKWPLLNEQWLSFPAEDVLFWERLLELRTEVNKVLELARNGKLIGSSLEAKVYLHTANVSMAPRLLEMCEAQNEADTLQRIFLTSQVEVLSSMETEMVSSVQHTGEYVEGENKVWIGVSRAEGSKCERCWNYSGQVGSFSEHPTLCGRCFNVIVANPPEPVAAAVIN >A08g506300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10789631:10790323:-1 gene:A08g506300.1_BraROA transcript:A08g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLLLIFLITTVSFLTPAAFPPSITPQDQQHADRIIQAMIGAGEFRDWAADFLSAVDDQFGIPLSATIFIPSDFDAAGITSSSGGGATNPGRLSVAYHIVPQRLSFADLRILQPLSRLPTLLPGNSIVITNNSVSDFTVDGVLVSEPDLFLSSSIAIHGVASPLDFSRYGDFENGGDTALADSLRPLSQNRRRRRPEFNSNRTSASVSIAHLSTCSFLLPLALALF >A03p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6693373:6696924:-1 gene:A03p016790.1_BraROA transcript:A03p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLHDAIDVNKIRVIEFPIAENAKRQLNMSMWADLSDKLDEKVLEDLTSNVKQMQDDVLKEILTLNANTEYLRPFLHGSSDKELFKKNVPVTTYDDVKLFIDRVANGEPFDVISGKPVTGFSLSSGTSGGKRKMFPCNKKYLENLNFIYCYRSLVISKHFDGLEHGKGMVFNFCVPEQTTPSGLPVSAATTLFFKSDYFKNRPSYWHWSFTSPDEVILCLDNKQSLYCHLLCGIVLRDEVVKVGTAFVSTLLRVITFLEKHWKEICTNIRYGHLSEWITDISCRDSVSKILGEPNPELADLIENECNQKSWEGIIQRLWPKTKFIESIATGQMAQHIPTLEFYSNKLPLISSSYVSSETMFGINMNPLCKPQDVSYTFMPHFSYFEFLLVDAGDEFEIVDLVDVKLGCHYETLVTNHSGLHRYKMGDIVQVTGFYNNAPQFRFARRGNLVLSVHMEITTDQALLNAVTHAKMVLESSNLMLMDFTGYADISTTPGHYVLYWELKGKYSNDIAKIDDKVLVECCYVVEESLDNFYKEYRKNGSIGALEIRVVQQGTFDSLMEFFISQGASSTQYKTPICIKSTEAIAILERKVRARFFTDKSLSLKFSS >A06p006050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2017093:2018896:-1 gene:A06p006050.1_BraROA transcript:A06p006050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTGEPGSSMHGVTGREQTFAFSVASPIVPTDKTAKFDLPVDSEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVHDAGGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGATAFTAWRIAFFVPGWLHIIMGVLVLNLGQDLPDGNRSALEKKGEVAKDKFGKIMWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAACFGMANFFARPAGGYASDLAARYFGMRGRLWALWIIQTLGGLFCVWLGRANTLVTAVVAMILFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSRFTTEQGITWMGVMIVACTLPVTLIHFPQWGSMFLPPSKDPVKGTEEHYYASEWNEQEKEKNMHQGSLRFAENAKSEGGRRVRSGATPPGNTPNNV >A09p058490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49246272:49248430:-1 gene:A09p058490.1_BraROA transcript:A09p058490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQQNESEEQGSATKMSSFFFDQIQSQTETSAAALPLFVPEPTSSSSFSCFTPDSSSSSTRHLKMGNFFSLAQWQELELQALIYRYMLAGASVPQELLLPIKKSLFHQSPLNFLQHPLQHNFPHHQASWYWGRGAMDPEPGRCKRTDGKKWRCSRDVVGGHKYCDRHIHRGRNRSRKPVETTAKTATTNAATNAASSFVLGEELGHGPNNLFFSSGSSHHSSPHLHLNSHQSCPSDMNQESNNNKRPYETHSGFSNGRSDDGHILRPFFDDWPRSSDSTSSPLTSSTCHLSISMPGNPPSDVSLKLSTGNEEEEEANMRNNNNNEREQQQNMNWWSSGGNHNNMGGPLAEALRSASSTSSVLQQMGISTQVFH >A08p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3031566:3034389:1 gene:A08p005230.1_BraROA transcript:A08p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTTSRKSSRRLPGSRLDFLEVVWTSSKSSQNWKLSGLPGSLPFIIDLSVLVFNHMVLIFYSFKGRSINFRYVFLFFRSGFDMQVFQIWKTFGTTYLRLPGSLPDYFHFSRLDFLKVVWTSWKSSGLPGSRLDFLEVVWIFWKSSDKVLWRFFCNQTKSDDLTFSRLGKHISKSIAKITSVLTRRLPAHIRLLQEHIILNESDPPRIVSFFDSINQWRKKKGKSILGALRASNWLFMVVRVLMTMAIL >A07p041470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22394239:22398514:1 gene:A07p041470.1_BraROA transcript:A07p041470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTWCVIQKPVEWKLEHKICNNVTKIETGKRSLGNMRSSSLHASCLFKNSNKRRVSLDSLPDDLLVEISSRTAASSLSAVRNLRLVSKTFKRTCDEKYVISRLSLHELTLLTWYHYQDPELEREVRTEHERKVLNKKKELERKVRTERLSNFYKRCRRNGNPEALYRKGIINYFRRNHKHKGLKLLSQAAKKGNKEATYVYGLILICGGGGGRDIYEEGPVLHGGETKQEGFKILSSLIKPLMSKTLEELVDMRDKIGGSIRWLGTPVMKELKRAYVPDKCGCDGRTNSFITYNCAWHRYGEDNDMNASSACEICLWDHEMETPNSLEKNSRWENMEKNILAKIFGMLDVVDIIKGTSRVCVSWFLASHNKSLWKTIDLSNLKSIISKISAKADHLSNDEEHQYELRNILAEITKFNSSVTTNLVFDNCYYIQDEELAIIAQRMPNVKKLSLPLYRNLNKNSLMFTFSQWKNLQTLVITQPGLPIRNANFRAIGENCKNLTSLKLIRLLDNDLAYQIVSYFSNLESLSFRGAALYIDALLSLVTDHQNLRNLNLSHCLYIDCKGFANVYYNNYIKASGLETENIVQTATQKLDTFIVCSNLCETCNELRGRMRGRWDFHCNYCMLSMEQWKTDEIKELEF >A06p032360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17273702:17275208:-1 gene:A06p032360.1_BraROA transcript:A06p032360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPNRNISFGCEHPQTNQKCFSDVGLRSLATPRNIFKPANIPTTKMRHAKEKPTFSIARQQTVILTKIFSNTYPSRRVKKALPGDLKIILEEMLDSYHTSHISREHIAAFKAGRNHVKNDTGNVHNFTDASDV >A01p027080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19153998:19154655:1 gene:A01p027080.1_BraROA transcript:A01p027080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDQQHPVGAPPPQGYPPKEGYPPQGYPPAGYPPPPQGYGQAYPAQGYPPPQYPQGPPPQYPYQGPPPPQYGQAPQKKKKDKDSGFVEGCLAMLCCCCLLEACF >A05g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27358095:27362870:1 gene:A05g509110.1_BraROA transcript:A05g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYNHYGICPNYPYFLSQPPVALIYHIFCFSLSYYAVLETRNQTLECMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGESRLFSDKDPSTDPTKFRGKQGWRTRMVAKSEPPVALRTIMYYLLLRHITISVLKKKKKNEINVMEKGKKEKKHGATGKVEQEVGT >A02p060050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35808613:35809406:1 gene:A02p060050.1_BraROA transcript:A02p060050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRPCIQWIETADAQGHATVFVAKFFGRAGLMSFISAVPEPKRPALFQSLLYEACGRTVNPVNGAIGMLWTGNWNICQAAVETVLRGGSLRPIPELLAHGGGFAGFPSEEGSEICTGMLNLNDGSSDRNIYHHSRFSSSRSRSTLDSSAKKRKRVDSSELDLSLNPNLSTKTTPSPTRQRSGTPSMNSEESVATTTSFWDATATGERYGNGGGGETRQLLNLFV >A05p040340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24687249:24689599:-1 gene:A05p040340.1_BraROA transcript:A05p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFVTMKESENKKPKERRPYLASECRDLADADKWRHQIMREISRKVAEIQNEGLGEHRLRDLNDEINKLLRERWHWERRIVELGGPNYNRHGAKMTDLEGNIIDVPNTSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLEGLERSAEGDMRRREVEEWRRLDEVRREARKGAAEVVSVGAAKEVLFEEEEDVVEEERREREKEEEEKEREFVVHVPLPDEKEIEKMVLQKKKMELLREYASDDLAESTQLRSSDDKPCAQHEEAMEQSERYEPHPHVHTLNPKLSLSTTQTHSCLSSQVLSPPMADYEDEIDQQNQPFIPKETALQALNTIIQLHFEKTLEKKRAIDHQKKKLHKLFQLFFIFLALIFFSLSQPSGRLHCRHCWAPIVLLSFSHLFFYVSVAQTLRCINGFKYQRRCHKLTLGLATHKLRFIKSRIAAGDFCLGGGGGGEDEVSASAAAWDLEVPYQEPPDSYFGKFKRNWALYFGFLILLYTFMISFSVVILCF >A02p050590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31191844:31197400:-1 gene:A02p050590.1_BraROA transcript:A02p050590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVENINAAPASEDELYGFKRQEMYSGALAGSVAPYGRHVFLCYKSHEMWLPHVESEGLPQRFAKSFKDRRADFAVETKLTVCGGGGGESDGDVLVFPDMISYKALKDTDVDAFVEDVLINGKPWTSGIQEELSGSFVFVCAHGSRDKRCGVCGPALMEKFEQEIGSRGLSEQIFVKPCSHIGGHKYAGNLIVFSPDSAGKVSGHWYGYVTPDDVPAVLDQHIAKGEIIQNLSRGQMRLKPEGEEAEKVVEHQIPNGNSVVERDSVETKGFTGGCCQGTKGISCCQEQTPEPVKKETSVKQKWFSWMEKEEVLLGAAAVGAIATIAVAYSIYRRSVLLVLVASLAEGQMFATRNLSRTCRRSLSSLLHSDSSRNLLLSSNHATSNVMVHPSISSEGNSNSFRPLTHQVFKGWSRAMSTSRGRSMRSKVESRMRKESGKTLREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYDLPELPSPVHDPELFTPEQIQAFKKIGFKNKNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMATMIARLSGGVVINIHNVKTIIMFRGRNYRQPKNLIPVNTLTKRKALFKARFEQALESQKLNIKKTEQQLRRMGVNPEDPVAMASIQRVASTFFNAIDKKEGSPYVFHGDKQSERGASVVNTEGSEPADEEDSDQEELDRFIAEIEEAADKEWEEEEAAEQEETGRIRYWNREEFAGRSRDSSQGFRRNERDTRSQRRSHHSDDEDDDDSDQLDSEDEDEIPKRFDRPRSNTRRQGNDLLEEALILVLE >A02p004920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2135567:2137135:-1 gene:A02p004920.1_BraROA transcript:A02p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSNVAKTKSRDKKVVVVNDSHKTTPTKASSIGGAYNPLLVESSSSSSLHTTGRFRSIDESDDCDSVSNNGSWSGDSEDHKEKTAAPPSAKQEATIDNEKREKMRLKNERKHQRQKEKRAQELHERCCQFLMSRKLEVLTQKIIAMEIPHHEKATYALMLNEGKLEESVNWLLDVGGASVEDKKLDPSFGSLKIDISEELGRILELEAKYKWSKQDVERAVVTAEGDVERAEESLRRQKQDHSVKVEDVSSNNSKAPEEERKNVNQSVNPMDKINMNLQLMKNAAMEEKKRMSNQQPPPPPRPMEETHHVTVAIGREPVMVMQQQQQQRSQSANTNLPPVSTMNPSFTVSAGGGGGGSGWYPANGQTNGYLPVRTPPPSDLNSNLMYQYQQYQGNNGHIMGRPSEQPHAVAPAASLGLFSGYGSASSSGIDWSADGSVGKYDYSKIDWSLDRGLACPRQEEQQQYVAEASQYEADMSGRTRMNGMGVQEVALVGNGGREWTSPFEGKDLFGLSRQYVPPSL >A03p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10409209:10411614:-1 gene:A03p024700.1_BraROA transcript:A03p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQSKELSENREDEITRQLCANGLFMKTTEVEAKLDEGNIQEAESSLREGLSLNSEEARALLGRLEYQRGNLEGALRLFEGIDLQAAIQRVQVSAPPPEKPVTKKNRPREPQQQPAPQHAASLVLEAIYLKAKSLQKLGRTTEAARECKSVLDSVEKIFQQGISDAQVDTRLQETVSHAVELLPALFKDSGDYQEAISAYRRALLSQWNLDNDSCARIQKEFAVFLLHSGVEASPPSLASQVEGSYTPRNNLEEAILLLMILIKKFNSGKAKWDPSVIEHLTFALSLCSQTSVVAKQFEEVMPGVFTRVERWNSLALCYSAAGQTNAAVNLLRKSLHKHEQPDDLVALLLAAKLCSEEPSLAAEGAGYAERGVKNAQGMDEHLKGVGLRMLGLCLGKQAKVPTSDLERSRLQSESLKALDGAIAFEHNNPDLIFELGVQYAEQRNLKAASRYAKEFIDATGGSVLKGWRFLALVLSAQQRFSEAEVVTDAALDETAKWDQGPLLRLKAKLKISQSNPTEAVETYRYLLALVQAQRKSFGPLRTLSQMEEDKVNEFEVWHGLAYLYSSLSHWNDVEVCRMWEGRKEFKPALAAFLDGLLLDESSVPCKVAVGALLCERGKEYQPTLPVARSLLSDALRIDPTNRKAWYYLGMVHKHDGRIADATDCFQAASMLEESDPIESFSTIL >A05p038770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23765855:23768724:-1 gene:A05p038770.1_BraROA transcript:A05p038770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:Projected from Arabidopsis thaliana (AT3G20040) UniProtKB/TrEMBL;Acc:A0A178VNP2] MGRVLVMLTAAAAVVACSVATVMVRRRVRRRRKWRKVVGLLKDLEESFETPLGRLRQMVDAIAVEMQAGLVSEGGSKLKMLLTFVDDLPNGSERGTYYALHLGGSYFRIIRVHLGGQRSSLEVQDIERHSIPTSLLNSTSEVLFDFLASSLQRFIEKEGHDSISSQDVKRELAFTFSFPVKQTSLSSGVLIKWTKGFAISEMAGEDIAECLQGAVDRRGLDIHVAALVNDTVGALSYGHYHDPDTIAAVVFGTGSNACYLERTDAIIKCQNPRTTSGSMVVNMEWGNFWSSRLPRTSYDIELDAESLNSNDMGFEKMIGGMYLGDIVRRVILRMSQESDIFGPISSILSTPFVLRTNSVSAMHEDDTPELHEVATILKHLGVPKVPLKVRKLVVKICDVVTRRAARLAAAGISGILKKLGRDGSVGEGRRRSSDKQMMRTVVAVEGGLYSNYRMFREYMDEALRDILGDDVARQVVIKAMEDGSSIGSALLLASSHSVRTISNI >A03p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10676239:10681418:-1 gene:A03p025350.1_BraROA transcript:A03p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNNNNHHHHSDTDDDILASMSSVPPPRKIHSYSHQLRASGQKVRHHRHRQQHSLDDIPKLTEIISGCSISGDSSDDEFYPYASTINSSSFPFTGDINDSDDYLITHQPEIGEDFQPLPEFVGSGGGVGMFKVPTRSPLHSARPPCLELRPHPLKETQVGRFLRNIACTETQLWAGQESGVRFWNFDDAFEPGCGLSGRVRRGDEDAAPFHESASTSPTTCLMVDNGNRLVWSGHKDGKIMSWKMDHGPDNKSDDGEDDTPFSEGLSWQAHKGPVNSIIMSSYGDLWSCSEGGIIKIWTWETMEKSLSIRLEEKHMAALLVEKSGIDLKAQVTVNGNCSISSSEVKSLLADNVRSKVWAAQLHTFSLWDGRTKELLKVFNTEGQTENRVEMPSGQDQPPEDETKVKIASNSKKDKPHGFLQRSRNAIMGAADAVRRVATRGGANEDAKRTEAIVLAGDGMVWTGCTNGLLVQWDGNGNRLQDFHHHQCAVLCFCTFGERIYIGYVSGHIQIIDPEGNLIAGWVAHNNAVIKMAAANGYIFSLATHGGIRGWHVISPGPLDGIIRSELSEKERTYAQTDSVRILIGSWNVGQGKASHDALMSWLGSVASDVGILVVGLQEVEMGAGFLAMSAAKESVGGNEGSSIGQYWIDTIGKTLDEKAVFERMGSRQLAGLLISLWVRKNLRTHVGDIDVAAVPCGFGRAIGNKGGVGLRIRVFDRIMCFINCHLAAHLEAVNRRNADFDHIYKTMSFSRSSNANNAPAAGVTTCSHTTKSANNVIVNTEETKQDLAEADMVVFFGDFNYRLFDISYDEARDFVSQRSFDWLREKDQLRAEMKAGKVFQGMREAIITFPPTYKFERHRPGLGGYDSGEKKRIPAWCDRVIYRDTRTSPESECSLDCPVVASIMMYDACMDVTESDHKPVRCKFHVKIEHVDRSVRRQEFGRIIRTNEKVIALLNDLRYVPETVLSSNNIVLQNQDTFVLRITNKCVKEKAVFRILCESHSTLGEDEDKLEFHPFVSFGFPRWLEVMPAAGTIKPDSSVEVTVHHEEFHTLEEFVDGIPENWWCEDTWDKEAILVVNVQGSCSTETVCHKVHVRHCFSAKNLRVDSIPSNSKSTGLKKNEGDSGSKSQKKNKGDSSSKSQKKSDGDSSSKSQKKNKGDSNSKTQKKSDGDSNSKTQKKSDGDSNSKTQKKSDGDSNSKCQKKNDGDSNSKTQKKSDGDSNSKCQKKSDGDSNSKSQKKDDGDLSSKSQKESDGDSSSKPQKKSEGDSSSCKFQSGKKNEGDTSSYTSQSENKSEGDTTSYKCQSWKKNSSNSSAGEESRSGHNKR >A09p008550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4403037:4403515:1 gene:A09p008550.1_BraROA transcript:A09p008550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELVDSLLHKACGVVGYHVDARDAEMEKDKLERVNQEACRVVETMWTQEAELAWDKLEEQVYTVEKKARDKLGEQVYVLRNKLGFQERGDHYKRAMESS >A04p024820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15048460:15051026:1 gene:A04p024820.1_BraROA transcript:A04p024820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVIAEPPPTISPAIVDYDEQIEDEDEESDLSISSDSDIGEALDWLDGKDDDELIGGGFSLHARRPNAHGGNGARPNSGALQPLSNKAQKLSSHVRASPLEAWEGRVEVGMSNSVTTAIRGSLRDTEIGRSRNTDKADRATVEQALDPRTRMVLFRMLNRGVFNDVNGCISTGKEANVYHATKSDGAELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEHRNLKRLQAAGIRCPVPILLRLHVLVMEFIGRDGWAAPRLKDAALSLDKLRESYLELIIQMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPLALTFLREDCDHVSDFFKKHGVAVMTIRELFDFIVDPTISDENVDSYLEEVQRKVIERGELSVEDEIADSVFMKSYIPKSLDAVKNPEADVEKITSGQDTGDMLYQTITGLKDALPKVDEQLVKVDVEDKIEEGGGEKEEESENEEEESESESEEELGPEDKKAARKEHKKKVKEEKREARKNKTPKSVKKRKKKISKPHKTR >A06p014830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6633429:6636378:-1 gene:A06p014830.1_BraROA transcript:A06p014830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIPSSSLSPKSLQSPNPMEPSPASSAPPPSSSQQQQQHMTPPISNSAASPAMAAVRNTEGAIQNNISNPQPNPAAGAQIPSPSPLDQQAQTQQQQLGGQTQQIMQQISSSSIPQLSQQQQQQILQQQQQHMSPQQQIPMSNYHIAQSLQRSPSVSRLNQIQQQQQQGQYGNVLRQQAGMYGTMNFGGSGSVQQQNQQMGNPNLSRAGLVGQSGHLPMLNGAAGAAAQMNIQSQLLAASPRQKPGMVQGTQFHPGSSGQQLQGIQGMGMMNSLNLSSQMRGNPALYAQQQRINPAQMRQQLSQQNALTSPQVQNLQRTPSSLAFMNSQLPGLAQNGQAGMMAQQQWLKQMSGITSPNSQSFRLQQSQRQQALLLQQQMPSAQLHQNSMSLNQQQIQMLHQQQQQQQSQMGQAQMSQSHQQQQMQQPQQQMQMQQQQQMSVNQQQPSPRMTSHAAQKSVSLTGSQPDVTQSGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDAHAKLDPDVEDLLLEVADDFIDSVTSFACSLAKHRKSSILEPKDILLHLEKNLNLTIPGFSSEDKHQTKNAPTDLHKKRLAMVRALMESSKSETNASNSKETNRQMMVNPNGQNHLLRPSPSSEQLVSQTSGPHMLQHMTRY >A06p050490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26544354:26546450:-1 gene:A06p050490.1_BraROA transcript:A06p050490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPYGFSSVRNHSLMLKTSHLCVPRSAIGCFSPKESPFFKKNSSRFLSPQKRTSLPLKLVCPLASFSSYAEGDEQHHGDQQIQNSHNSSTNSNESDGKGNPEATGDFSGMANAFNISSKTARAITIVIAFSALSLPLFMKSLGQGLALKTKILSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTIRQAVITAAVLEFSGALLMGTHVTSTMQKGILMANVFQGKDMLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLAKVASSWVISPVMGALVSFLVYKCIRRFVYSAPNPGQAAAAAAPVAVFVGIASISSAAFPLSKTFPIALSQALACGAAGAIIFDRIIRNKLGHLLAKTKPHDTAQTQPKEIGFLSEIAGPTGTQLKIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQRGAGAGGGGEIVIPIDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAATVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVKEIVASWLVTIPVGATLAVIYTWVFTKILSFVL >A09p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10847767:10849316:1 gene:A09p020420.1_BraROA transcript:A09p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPDSSQDLSTEMEVDAFRRLFPLRFFERHLSESLRPDGRPLGKARDTIVNLGLVSSADGSALAKIGSTTMLAAIRMEVMSPSTDSPDEGCIAVEFHMPPICSPTVRPGRPADEAPVISKRLSDIFSSCDMIDLKELCLVSGKAAWMGYLDIYCLDADGALFDAALLSAVAAFSNLQIPVVALNDNGRIVAINGEQEENASIKEKEAMNKEKRKLTLKNIPFALTCILHKKYILADPTAEEESIMDTLVTVVLDSSDQIVSFNKSGGNALAGSSAIKSCVELARKRGKELKQILGEMDID >A04g500450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1496219:1496509:1 gene:A04g500450.1_BraROA transcript:A04g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCLPRLKLQPSSPSSSLAHGLSISRGRAQNLRRGSSHFATNHHKLLLPRQDPPWRLRNRRRESSLQSARHGGRSSCNNGGFWSFCTSGPSPFG >A02g503920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13035725:13035946:-1 gene:A02g503920.1_BraROA transcript:A02g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHFVFPKSSRKTPPMKGLELQCSPLKPLFKIPTDLPHPTIRLISRCLFISSCLLPQILPLSFSPQIVSSKS >A07p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22616312:22617207:1 gene:A07p041960.1_BraROA transcript:A07p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPILAVVTSLHLLAFVFAFGAERRRSTAVPVPDQYDEQTFCRYGTDASTVYGMSAFGLLLVSQAVVNGVTKCLCCGKGLVTGTSYTVWAIVFFVVSWVSFLGAEACLLAGSAKNAYHTKSKGVFQGKELSCAVLPVGVFAAGAAFTLMSLIATILYYLAHSKADTGGWEKHHNDGIGMTTPSDAPKQQNNDFDKV >A07p047490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19356144:19359682:-1 gene:A07p047490.1_BraROA transcript:A07p047490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHLSSRFLATNTKKHSSISISHHYSPTPFTGLFSRKKFMSCSMSMNGCEGDFKTPLGTVETRTMSTVLSPTAATERLISAVSELKSQPPPFSSGVVRLQVPIDQQIGAIDWLQAEDEIYPRCFFSRRSDVGRPDLFLDLASDNENANRNGSDRDLVSVAGIGSAVFFRDLEAFSHEDWRSIRRFLSPNSPLIRAYGGMRFDPNGKISVEWEPFGAFYFSVPQVEFNEFGGSSMLAATLAWDDELSWTLENAIEALQETMLQVSSVVVRLRRESLGVSVLSKNHVPTKGAYYPAVEKALEIIKQKSSSLSKVVLARNSRVITDTDIDPIAWLAQLQSEGHDAYQFCLQPPGAPAFIGNTPERLFQRNQLGVCSEALAATRPRAASTARDMEIERDLLTSPKDDLEFSIVRENIREKLNSICDKVVVKPQKTVRKLARVQHLYSQLAGRLRREDDEFDILAALHPTPAVCGLPAEEARLLIKEIESFDRGMYAGPVGFFGGKESEFAVGIRSALVEKGLGALIYAGTGIVAGSNPTSEWNELDLKISQFTKSIESEATTTTLQPIN >A08p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20663583:20667899:-1 gene:A08p034880.1_BraROA transcript:A08p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMMDAESQGDMIIGFGLVLGLCVPQEKIIMRTYERYWWWVKKKKQMALVLFMIVTLMLQLQMKGCVGCLETERMGLLQLKSYLKNGFKVEEESMLKSWSHDDPISDCCHWKRVKCSDATTGGYVVHLSLDELIPASYELENQSLNLSLFHSFPRLQNLKLSFNKFSDLFDPINVLTGMTMLQELDLSNNGFTDFDKLGVTLPSSLHVLNLANNQLPSTPKGYLKICALMNLRELDLSSNALTNTPYCLANLSRLRTLDLSENKISGDLSSFVPGLPSTLEYLSLFDNDFNGSFWFSSLANHTRLTVFKLSTKLGTIQTQAESSWLPPFQLKILKLKKFNLGSTIPTFLAHQHDLRSIHITYSQLKGAFPVWLVKNNTRLAAIRLTNNLLTELRLPSRLVHGLNFLDVSCNRIYGSLPEDIGIVFPHLRYMNFSSNHNHGTIPSSMGEMKSLEFLDMSDNRLYGQLPNTFVRGCYSLSVLKLSNNQLQGKVFPRHANLTSLVWLFLNGNRFGGSLEQGLLNSKSLRLLDISDNSFSGTLPYWIGKISGLSFLLMRGNKLKGQVPHQLPNLQLSVVDMSHNSFSGSIPRNFNVSSLRELRLHSNEFMGSVPSYLFKAKGLQVLDLRHNRISGMILNTIGKTSDLGVLLLGNNSFQTHIPEKICQLSNVGLLDLSHNKFKGAIPSCFGKMSFGYNAIINPYDYSVGFSSFQRWRYPSALYLVDTQLELGLQSTPATTVNFLSKSRYETYQGDILRYMYGLDLSSNQLSGEIPVEVWDLKNIISLNFSRNRLIGSIPDCISNLKSLESLDLSNNKLHGNIPPQLADLNNLGYFNVSYNDLSGEIPFKAHLVTFEATSYIGNDQLCGLPTNKSCKTSKEFEKQFKEEEEEEEGDGVIDMMWLYWTSGAVFISTSLVLFASLCIDSRWSREWFYRVDLFIHRLQSFKGRFVCK >A03g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30881528:30882666:-1 gene:A03g509580.1_BraROA transcript:A03g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFDISKDGPQPIIYESSEDWSTNLNILLKWSQFEDIEAHGTKVIIYNLWLNDEGIYELSFDDDDEDKRLRDENVQDEKRINSRTLDLRSHISYRVRHSLRAYTSMLYLKMCEEYTKKETGMEQTVRNLEKELEEAKSKCAQLALLVDAKRKEMQQV >A05g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1239601:1239878:1 gene:A05g500310.1_BraROA transcript:A05g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLWEMDVDKSQIRLENFSSPMKPAAEDTWRRRHEISGGKNMKSTRFDGILLGPGRQSGKIFDDSSN >A02p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6990745:6996616:-1 gene:A02p015830.1_BraROA transcript:A02p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHRSWVFSTLAANPGCILEDFVRCHSPSDWTENDTSSGVDSSPLRGQLSTRMQKEAKPLPTVKQTPLYDEDLAVEGILNSLEDIPAAELFEQLFVSLVALGFVMVEPVVATNEDLTKLFFECKEYVVAICQGGACTDKLDDLYQVYETVETMLKRPEEVLRSMKQTTEESPSNGNETKRLFKRLGFIFRGREGNQKRVPSETEQKSREPSPHQSFSSPFDGKSSLDSAGAMMLRAVIRRASTRGGGSSASGLGKSLQSSRVVASTTQSFHYLAATQTLVPRGTDARSFHHRSCPGCSECSRTVFSSFQGTTLQKWVRPYSSDSGDVVEAVVPHMGESITDGTLANFLKKPGDRVEADEAIAQIETDKVTIDIASPASGVIQEFLVKEGDTVEPGNKVAIISTSADAVSHVAPSEKVAEKPAAKPSPPAEALKVESTKVAEKPKAPSPPPPTKQSAKEPQLPPKDRERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSQYKDAFFEKHGVKLGLMSGFIKAAVSALQAQPVVNAVIDGDDIIYRDYVDISIAVGTSKGLVVPVIRGADQMNFADIEKTINSLAKKANEGTISIDEMAGGSFTVSNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVGGSVVPRPMMYVALTYDHRLIDGREAVYFLRRIKDVVEDPQRLLLDI >A09p061800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50843153:50845326:-1 gene:A09p061800.1_BraROA transcript:A09p061800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucomannan 4-beta-mannosyltransferase 14 [Source:Projected from Arabidopsis thaliana (AT3G56000) UniProtKB/Swiss-Prot;Acc:Q84W06] MATSSDGLFADMNFLGVIGYVLEQTRFIFLVPILNVLVNLCQVISLLLFIDASYMAIVVAIVKLRGRTPEKVLRWESFKTDDVELAPSSNHPMVLIQIPIYNEKEVCQLSIGAVCKLSWPLDRMIVQVLDDSTDPHSKELVRLECKKWASEGINIKSEVRNSRNGYKAGALTAGMKHSYVEGCEFVVIFDADFQPESDFLERTVPFLAHNSEIALVQAGWKYVNADECCMTRIQEMSLNYHFAVEQKSGSSMHGFFGFNGTAGVWRISALNEAGGWQDRTIVEDMDLAVRAYLNGWKFVFVDNIKVSNELPSSFRAYRYQQHRWSCGPANLFKKMAMEIIKKENVSLWKKAYLIYNFFFVRKIVVHIFTFVFYCLILPATVIFPEIEVPKWTTIYIPATITILNAIATPKSFYLILYWILFENVMAMHRSKGTLIGLLETSRVKEWVVTQKLGEYNTLRENLLTHYSFPERLRWREIMVGMYLFICGYYDFVFGKTYLYVYLFLQSTAFFIVGVGYIGMSVPS >A08p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000216.1:1294:2624:-1 gene:A08p011240.1_BraROA transcript:A08p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRAGSFESGVLIFRELKLTALKTTPRVVLKVLKLLLPFCCGCVILDLTGWCCTRFNIHKSFHVSKDLEHGMNMRMKGSWLRSKVATTLCGLGMVKTAVGSKGFVGAPPQQGRLRNHFLMEEIKKRSPMNRLWEKMQQEDMLVMTGNDGNGKSLVAYTGASSSRGNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDQLIRELVE >A01p010270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5010129:5011678:-1 gene:A01p010270.1_BraROA transcript:A01p010270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSVKVSNVSLGATERDLKEFFSFSGDILYLEMHSETERSKLAYVTFKDLQGAETAVLLSGATIVDSSVIVTMAPDYQLSTEALASLEPKEDSSKSPRASVFRKAEDVVSSMLAKGFILGKDAIAKAKSVDEKHQLTSTASARVASLDKKIGFTDKINTGTVVVGEKVREVDHKYQVSEKTKSAIAAAEQTVSNAGSAIMKNRYVLTGATWVTGAFSKVAKAAEEVGQMAKEKVGLAEEEDKRKVVDEFARVHLSESPKAAAASSEGEESKFSESPEAKKDSEHQEPPQVQQAPPPPVAPAQP >A08p024510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15935768:15938656:-1 gene:A08p024510.1_BraROA transcript:A08p024510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSIPNEKTISINVNPNSTTISAFSQLIHQRTHLPQSLIRYSLSLRNPNLRDDSDVTLSDLGFGSSSTVYLHIPLLGGGARFDLLDSNPPANYVAGLGRGATGFTTRSDIGSARIAPGKSINEAEEEENQNQNQNHHTFDEFEGNDAGLFADSVYDEEDKEADAIWEAIDKRMDSRRKDVKEAKIKDEIENYRASYPKICEQFSDLKRKLDNVSAEEWDSIPETANYSRQKKKKRFESFVPVPDSVILDKSLDLTAVGEGRGTLLSLKLDECSHSFSGKASVDPKGYLTELKTLEAEVPDVFHVNRSRVLLKSVTMSNPKNPNGWIAAARLEERAGNLKVARVRIQRGCEECPKEEDVWIEACRLAKPEEKKAVIARGVKQIPNSVKLWLEASALEDDARKSLVLRRGLENVPDSVRLWKTFVDMVNEEDAVVLLHRAVECCPLDVELWLALARLETYENTKKLLNKARVTLPRERGIWITAAKVEEANGNTDKVGTIIEKGLKALQSEGVVINRERWIEEAEACERTGAVATCKAIIENVIGFNVEEQDRKRTWVADAEECEKRSSIETARAIYAHALTVFLSKKSIWIQAADLERSHGSRESLDAVLRKAVLYLPQVEALWLMGAKEKWLAGDVSAARVILEEANAAIPNSEEIWLAAFKLEFENKELERARMILGKARERGGTGRVWMKSAVFERELGNVEEERRLLEEGVKRFPKFFKLWLMLGQLEERLNHLVQAKRVYSSGLNHCPQCIPLCLSLADLVEKKLNGLNKARVILTNARKRCPKEDELWLAAIRIELRHGNKKEAERLMSKALQELPKSGILLAADIEMAPQCPLPKMKIKDALKKNCVKEGYVTASVAKIFWRERKVDKARKYFERTVKLNPDNGDFWALYYKFEVQHGSEERQKEVLNRCVVSEPKHGEKWQAVSKALENSHQSVEVILKKVAIALNREGHILT >A06g502470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8266820:8267515:-1 gene:A06g502470.1_BraROA transcript:A06g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLPELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMPSQLLKNCYAENNPNTIYVKGVQFFYSYDEQYYGHSLIKRAADAGYERAVYTHAMTQAIFYGDAQYFRRILRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYLCQCVNVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFRDFEPISLFRDTSKW >A10p022870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15042163:15047600:-1 gene:A10p022870.1_BraROA transcript:A10p022870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNSSTPRYECLLFDLDDTLYPLSSGLSEACANNIIEFMVEKLGIDEDGVVELNQILYKKYGTSMAGLKAVGYEFDNDEYHRFVHGRLPYENLRPDPVLRNLLLTLPLRKMVFSNGDEVHVMKSLKRLGIEDCFERIISFESLNPKVNETEVSLENYLPEIPVICKPAEIAFEKAFDIGQLNPHTTLFFDDSIRNIQTGKAMGLHTVLVGKSEKMEGSDHALKSIHNMKEAFPKLWSESNNKESERIGYATQISIETSVFTNADKAHAAKIIARLGLDGCFERIISFETLNPNINTESPAAVMESREIFDIISYTANPDTSIKLPKTPVICKPFEGAFEHVFKMTNINPHKTLFFDDSIRNIQTGKRVGLHTVWVGTSHKDEGVDIALEHIHNIREALPELWEAVDDKTEEIRSKQKVAIEIIA >A09g513560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41287879:41289283:1 gene:A09g513560.1_BraROA transcript:A09g513560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGKDTVPKAEDIRELALQSRHASYYMAQKRLEVVFNRISPVTVYDPSTANKWRNISFNRFSCLILSNSDPWTILVQSFFLADVVPTLSVSDSSERDGVSKLASSSWGPVKLGGCNRVESLQISILASSYLVRVCSLLVFVIVAYHGEPGHGCGYMNCTCGFTEIYDYLGMRVKQEIHIYLFSHQFVLTGKQLFTP >A07p032940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18020042:18020958:1 gene:A07p032940.1_BraROA transcript:A07p032940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine phosphotransfer protein 6 [Source:Projected from Arabidopsis thaliana (AT1G80100) TAIR;Acc:AT1G80100] MLGLGVDRLQADINRLLTSLFHQGVLDEQFLQLQQLQDETSPTFVYDVINIYFDESEKLLRSLRLLLMDREFSDYKKIGLHLNQLVGSSSSIGARRVRNVCVAFRSASELNNRPGCLRGLEIVEHEYHYLKNMMHELFQLEQQRLLAAGVRYPM >A08g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20612944:20615473:1 gene:A08g509740.1_BraROA transcript:A08g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSRPSYSYSSSSRTSSPFFSNHSSLINGGTRRSLSFLSASPQELCLRSKMKSVQSSVVLQDGAVVVTDSSPIEKLKDGLLSIRSQEVVAELGGGEHESTVSITVVGASGDLAKKKIFPALFALYYEGCLPQHFTIYGYARSKMTDGELRHMGGRLSNRLFYLSIPPNIFVDAVKCASSSASSLSGWTRVIVEKPFGRDSKTSAALTNSLKHYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIQNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQLEDVVIGQYKGTTTKGGVTYPGYTDDETVPGDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRSAEIRVQFRHVPGNLYNRNSGGSNLDRTTNELVIRVQPDEGIYLKINNKVPGLGMRLDQSHLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKEIEEKKMIPELYPYGSRGPVGAHYLAAKHNVQWGDL >A05g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8537266:8538306:1 gene:A05g502760.1_BraROA transcript:A05g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISDSLTSCTDSNFVSCLLFLAFNVLVLMYLIEQVERGAGTSRKTEKIQVTNKHKRVKEETDSNDSEFWMLKSSSGSKSESEQESDEENCKGSHCDAKEYENKEDVNRDWTKYDHYGSATMIMRLKATIQSLKSILVLLLRRLLSMLRLLLRKCPRVFTDLNSELQEKLAERSYAVASKITDNSLYHFCVFSDNIVATSVVVNSTALNSKAPEKVVFHLVTNDINYTATKSWFTMNMDNLRGVTVDVQKFEDFKWLNASYVPVLKQLQD >A06g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29813567:29814955:-1 gene:A06g509890.1_BraROA transcript:A06g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSIDDSEKANAVHTPTAMSKLQSSSGRVLRDLTNLPMKKDLGGSLKRSTSIPPPQDVPSKKKTNTSNVPATKRINNRNKVFTTNTQAGIEVVSTSGYNSNKRKRNLNAYSGTVKVNTRMDISRTTIPLTSIFGRILGDLQNFSGSKVQNVYQSAAGHMSDRTYMHCENQLNTTKYPPSKRNKFYRDLRVNDQIAQRKTVLQSGTKQRNSVLRKDDARSTPKLMQTVVTGNNNSKVTYPSHVGYASNDNSFPEVPSEGRDDQFYDLSSQESDKLVDNSEPVKCLYRDAVSEKANIESMMSRIRKICESKGKTKKTPNSQPITKTI >A09p022140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12206737:12208083:-1 gene:A09p022140.1_BraROA transcript:A09p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSRRVQFLLFAIGIVALSITAEKCRELVGEEAASKSGQFTFLNCFDMSSGTVACAVKEGVKLYFYSIRSIHVEKARNVAIEKALHEALVKGMAANEAAKDAQNAGAKAAKMATRQAKRIIGPIVAAGWDFFEALYFGGTLTEGFLRGSGTLVGAYSGGYVGEQRFGRFGYLVGSHLGNWIGARVGLMVYDVVNGVNFFFEAYQSGESYEDQSTYKTEENQSTYETSEEDQSTYETSEDQSTYKTSEEDQGSYETSEDQSTYETPSYEL >A01p056780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32270561:32271097:1 gene:A01p056780.1_BraROA transcript:A01p056780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMAMDLELDDDVFYADIRKQINLLITDEDENNPISLSSSVSFQSLFSENYQTLATPYMMYHVQNYNLIRERKGTGVFIPRCSQPRKKQNNHPHQTKQGSFGSLVSKQQFPHYVYDNNNSTTLNNNQERITLHHAASTNPRRTFRDAAYLFT >A01p054040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29728064:29731142:1 gene:A01p054040.1_BraROA transcript:A01p054040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDLLRAYFSRKTLVSLYNLFFTISRTLLTSFPLSVIMPKPNDAVAGSDSESVPLLDLSKPSTPISLPIKSLQDLKSRSYFDSFHFQFNRSTVPLRRDLPDHRPRVLVCHDMKGGYVDDKWVQGCENDAGFAIWHWYLMDVFVYFSHSLVTIPPPCWTNTAHRHGVKVLGTFITEWDEGKATCGEMLATKESAQMYAERLAELATSLGFDGWLINIENEIDKEQVPNLMEFVSHLTKVLHLSTPGSLVIWYDSVTVHGHLKWQDHLNEKNKPFFDLCDGILMNYTWKESYPKLSAEVAGDRKYDVYMGIDVFGRGSFGGGQWTVDTALDLLKRHNVSAAIFAPGWVYETAQPPNFHTAQNKWWSLVEKSWGIVQTYPQVLPFYSDFNQGFGYHVSLEGRQLSDAPWYNISCQSLQPLLEFKEDNTDIIQLTIEYICLQTYNGEHMNLFSTREASYNGGGNIVFKGRLEGDVYFTARLFKPLLHLSSSPITVSYSVKSDETSNLGLLLGFSSPSHETKSILVAPQEPTPRLDHMFLKCLVTSEQTVSEWTVYETSLVMDGHTLTEISAFCYRTENSTKTSEYVALLGHISIKEHAQLQQNLVSLPPASSWVIEAHSIELVPGDSGSKILRVKLEWRQKHLEDSVLPVYNVYAEKVKSTDVLRSRKVLDKPRSERVFLGVSHVPAYYVSELVVDSDVKGVSFVVQPCGEDGSWMKVDDSPNLLVDLEGLS >A04p022910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13897608:13898681:1 gene:A04p022910.1_BraROA transcript:A04p022910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein At2g22870 [Source:Projected from Arabidopsis thaliana (AT2G22870) UniProtKB/Swiss-Prot;Acc:O81004] MLILRCRFITINLTPLQPSHFQSHKLHTTRFFRTPNLISTPRITSSSLPTTRSISDEARFARSVLFIPPGVEIEELTDDMVLPGSNIVIGPFAGHSQIKQVEFVKSSARARDCPKDDLPEIAILGRSNVGKSSLINCLVRKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFAKVSDAAKTDWSAFTKGYFLNRDTLVCVLLLIDASVPPQKIDLDCANWLGRNNVPLTFVFTKCDKMKAAKGKRPDENIKAFQQIIRENFKQHPPWILTSSVSGLGRDELLLHMSQLRNYWDQ >A10p038080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21358915:21360044:-1 gene:A10p038080.1_BraROA transcript:A10p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable chalcone--flavonone isomerase 3 [Source:Projected from Arabidopsis thaliana (AT5G05270) UniProtKB/Swiss-Prot;Acc:Q8VZW3] GTEMVMVHEVPFPPQIITSKHLSLLGQGITDIEIHFLQVKFTAIGVYLDPSDVKTHLDKWKGKTGKDLAGDDDFFDALASGEIEKVIRVVVIKEIKGAQYGVQLENSVRDRLAAEDKYEEEEETELEKVVGFFQSKYFKTHSIITYRFSAKDNICEIGFETEGKEEEKLKVENANVVGMMQKWYLSGSRGVSPSTIVSLADSLSAVLT >A06p043720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23521830:23522660:-1 gene:A06p043720.1_BraROA transcript:A06p043720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTVLKATLHSSNNTFHHFPLLHFPTITLIDKHTGTYLTPNILMARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNAVPSSSSKLLSATLTAKLHKCYMASLHLTKQTQTQSQAQAQNPRLRSLSLPDTDSVMNMTAGGNHYDRGGGVTETMKEIKWEDGNANLQQSFKPLEEDHIEQMIEELRHYGSIEFCSVLPTRSM >A08g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11972818:11973317:1 gene:A08g506920.1_BraROA transcript:A08g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSHASGGEWLLLSASMRKKGGDTLKKARVFSLRGDSPRRRDFVVISPSNRLRFLFSTLLGQWIRHRREDIQRGNRIT >A03p054260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23281801:23284860:1 gene:A03p054260.1_BraROA transcript:A03p054260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 5 [Source:Projected from Arabidopsis thaliana (AT4G17770) UniProtKB/Swiss-Prot;Acc:O23617] MVSRSYSNLLDLASGNFHSFSREKKRFPRVATVTGVLSELDDDTNSNSVCSDAPSSVAQDRIIIVGNQLPIKSHRTSSGKLTFTWDNDSLLLQLKDGMREDMEVVYIGCLKDQVDPSEQDDVAQRLLENFKCVPAYIPPEIFTKYYHGFCKQHLWPLFHYMLPLNPDLGGRFDRSLWQAYLSVNKIFADKVMEVISPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRNELLRALLNADLIGFHTFDYARHFLSCCSRMLGLSYQSKRGTIGLEYYGRTVSIKILPVGIHTSQLQSILNLPETQTKVADLRDQFSDQKVLLGVDDMDIFKGISLKLLAMEQLLQQHPEKRGRVVLVQIANPARGRGKDVQEVRSETLATVKRINDTFGRPGYQPVVLIDEPLQFYERIAYYVVAECCLVTAVRDGMNLIPYEYIICRQANPKLNETLGLDPSAAKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMDYALIVSEAEKQMRHEKHHKYVSTHDVAYWSRSFIQDLERACAEHVRKRCWGIGFGLGFRVVALDPSFKKLSIEHIVSAYKRTKKRAVLVDYDGTMVQPGSIRTTPSIQTIETLNKLSSDPKNIVYLISGKDRKTLTEWFSSCGDLGLAAEHGYFISLGMSLLYCVGLT >A06p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:265422:276339:-1 gene:A06p030530.1_BraROA transcript:A06p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQNQHGDQDDQISPTEVQPFSRTRSTDRAVYRIDPRAPGRDLRMDSRPDDRISQPTGVLSRPIRHSRANSQAITHDHREESDSRLSLSFLARLGRTARPDQADHDLSNHFNDFMMIDASNYSKGRILKLSEDLGRAISSSFHGSSTIKHTGSLTTFILLLVRSSPSEPLCCVGQDVRLCLSAHTGRPWLSVCVRVCPSVHTGRPSLSSSSHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRPWLSVSVCVCPSVSISTHTTSVAVHQFTYPHVGPWTQHDGPSRGLFGTSVAVRQHTHDVRGCLCVSVSTHRTSVAVCVCPSAHTGRPWVSISTHRTSVCVRQHTQHICGCPWLCFSTHKTSVAVRVCLWVSASTHRTSVAVPGCSSAHTGRSCVSISTHRTYVCVRVCPSAHTGHPWLSVCVRVCPSAHKGRLWLSISTHISTLVLGLSTLALPVDYSVDFGPRGLSVQYTQDVRGFPSAHRGHPCVSVSTHMTSTAVRVCPSAHTGRPWLSIGTHISMLVLGLSTLSLPVDCLDDFGPRGLSVQYTQDVRGCPPAHTGRPWLSVSTHKMSVAVRVCPCVSVGVRQHSQDVCGCPWLSLAVHQHTQDVRVCPCVSVRKKTGRLWLSINTHISTLVLGLSTLVLPVDCLGPTTRSGSRVIRAGFAKAVEELLAQEQTGYNHKQETTRPVPSIRGKAEPRNGHPSVRPFDHPTRAYNILITMGDVGQDQAAINAQLLAENEELRASLRAITTELAQLRQGGRPNGPRPPGRNQPDPHDTDSDADSTDDTRSQDEERPNRGDRTDGQTEERLDGCDGGMGRPVYT >A01g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8403873:8407032:-1 gene:A01g502440.1_BraROA transcript:A01g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTLYSSSPSLKQSTVKPFTSPPTTRGDSFSFPHTSKPTTHLPLTLSASRSHTSHSDAASAAAKKELIKDPDALWKRYLDWLYQQKDIGLYLDVSRVGFTDEFVVDMEERFKGAFKAMEELEKGSIANPDEGRMVGHYWLRNSSLAPRPGLKTLIENTLDSICSFADDIVSGKIKPPSSPAGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMYDWVGGRTSVMSAVGLLPAALQGIDIREMLAGAAIMDEATRTTSLKNNPAALLAMCWYWASDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNTVNQALTVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWDLEPGVTCGDYLFGMLQGTRSALYANGRESISVTIEEVTPRSVGALIALYERAVGLYASLVNINAYHQPGVEAGKKAAAEVLALQKRVLSVLNEASCKDPVEPLTLDEIADRCHAPEEIEMIYKIIAHMSANDRVLIAEGSCGSPRSVKVFLGECNVDDMYA >A09p019440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10294585:10295789:1 gene:A09p019440.1_BraROA transcript:A09p019440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSVPCSKIACTRYLANPTLRNQNPCDIFINHRGIDTKKTISGLLYDRFTSLGLNSFLDSKNLKPGDKLFVEINAAIKECSAGIAVFSPRYCDSYFCLHELTMLMESKKRVIPIFCDVKPSELCVKDDRTRPAAEIRRFRLALEEAKYTVGLTFDTSNGDWSEFLAKASDAVTNNLLDVEEARLRSINPTYKHIAT >A08p038210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22138120:22140569:1 gene:A08p038210.1_BraROA transcript:A08p038210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHKSKRVSWPPDFKLCQVRLFISEDSPSQVGSESQDHLQAKSHPSEDNLPPGFGGPLSANDSQIKLSDIPVIKWKCSIRILLDEEWRVVAGEESKEVETQNQRELRVLEAFYPGASAIPPNPSVPADVDNSEYDDQQTVVIPILPVEDDDLAMDSASDLPAQSGVDVGTEPPRTDENTSVSSTLPAASEIMAALTAISNNKELGSGMIDQDLLMKILSNPKLVENLVANNGGAGSVSSNASSPYLSEANGVVTTTPASSNGQYYPQPTVTHTHGTYPPPASSDHPNYGAPPARDASYYKSLIQQHGGERQEAPPPVQQHLGYRYNPQPGGGPNPEMVNSNNNNNQRPRDSKPKIMKPCMYFNSSRGCRNGANCLYQHDAAAYQPRNPNNGNEMPSAKRMRFDRD >A09p054310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46852052:46854913:1 gene:A09p054310.1_BraROA transcript:A09p054310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G22460) UniProtKB/Swiss-Prot;Acc:B7ZWR7] MRRRKKTLVVVVIRRLLTCAICIIALLGFLTVHIYVAPLNRLPRLHLSKYTTRQRGHISYENSITEPSSTRNLSRRETIEENEIQNQRLTSEHINNTHELSQPHFLTSPSFAKLNTTSGTQDYDKLWKHPPNRNFVPCVNPNPNYISPLESRGYLLVHTNGGLNQMRAGICDMVAIARIINATLVVPELDKRSFWQDTSKFSDVFDEDHFINALSKDIRVIKKLPKGIDGLTKVVKHFKSYSGLRYYQNEIATMWDEYKVIRAAKSDSRLVNNGLPPDIQKLRCRACYEALRFSTKIRSMGQLLVDRMRSYGLYIALHLRYEKDMLAFSGCNHGLSASEAAELRKIRKNTAYWKVKDIDGKVQRLKGNCPLTPKEVGILLTALGYAPNTPIYIAAGEIYGGESRLASLGSRFTMLMSKEKLATKEELKPFMNHSTQMAALDYIVSVESDVFIPSYSGNMARAVEGHRRYLGHRKTISPDRKAIVRLIDRIGRGTEKDNRKVYERIIEIHKTRQGSPRRRKGPASGTKGLERHRSEESFYENPLPDCLCQRDSSRGS >A08p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13096839:13098267:1 gene:A08p019130.1_BraROA transcript:A08p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVSESSFDRMELESSCKLFIGGISWETTEDSLLQYFQTFGEVLEALVIKDRLTGRARGFGFIVFKDSSVAQRVLLQKHMIDGKSVEAKKAVPRDDHISVNNSNSSLQGSPGPAHSKKIFVGGLASSVTEAEFKKYFAQFGTIIDLVVMYDHKTQRPRGFGFVTYDSEEAVDKVLQRKFHELDGKMVEVKVAVPKETSPIPNMNISSLNNFGSSRMSLLLNDYTQGFNLSPTSAKPEVRYSPALSNQRSFSPFGHGFEIDLDFIERNHNQSNVSRRLFSPGYNASLSRYGGQWNGSVNRNQLWGNNGGLSYMSNAELSGGFNGNYGVGSIGEKWGTVGEGRINYGISNGMGLGSRGGGGAHMSSSGWGMSSEGGGMRGCGYMNNTEVTTKIDFCSW >A07p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13915499:13918709:-1 gene:A07p024160.1_BraROA transcript:A07p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGLQNILAINSSFPRDTRQMETEGRPGVRASSLLQMWRELEDDHVTGHPRERRSGPSSPSRGDDSNISAHGVVLDNVNLGESELGGCSPTGSEHSSDLGMVERERVRQIFREWMSSGTGEQSSAPSPSRAEWLGETEQERVRIIREMVQMNSQQRPVLGDSREDQPAEVANQIERVLDGMVVNGNCVQNEHARRGIRKLCGRQVWVDMLKMAERERQRELQGLMQHHAVSNFAHRNRIQALLRGRFLRNGVKDDKEKPTSSAATELGFLRERQTVSELREEFISRLDRSVSGQASTSHSETSSDTETDDSRGEQNNQDNINDADGGSDHNRREADSHNSLDEVTDSRRSNNWSTSLEERTARVEGWQGQLPENIQSEWQRSEGDEFSQRRNDAEADLNSHQREDAASCSSSEQSLQRPEEIATSGPTIDLQEHLPENINLTFTLEEQSEEEILANEESDWQLINGEWRDDAEEEADTNVSESFPNQLSQLSSVDEDGEDHGPLQPTEMQADDSDLQSTVQDWSEEHSDEDTVSIGRAATFFPPDDDNGNMELRELSSRRRVSNLLQSGFRENLDQLIQSYVDRRSRDPVDWEEHETFPDPLLADEHTEQHADDAQSGGGGGQEVDAVESPPLSLPSPVIPVQAFWDHDRSNSSWPAHDMHQRIGMDWDSINDLRIDMGRIHQRMDNLQRMLEACMEMQLELQRSIRQEVSAAMHRSADSSGPSSSDAESYESKWEYVRKGICCICCESNIDSLLYRCGHMNTCEKCAKKLVEAGGKCPMCQAPVVEAVRAYCIL >A10p041030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22539377:22540144:-1 gene:A10p041030.1_BraROA transcript:A10p041030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEFRLVSPTIDNEGKLPRKYTKGGQGVKKNISPPLEWYNVPQGTKSLALVVEDIDAPDPSGPLVPWTVWVVVDVPPDMKGLPEGFSGNDEQVAGIREGNNDHKIPGWRGPLMPSHGHRFQFKLFALNDKPNLGHTVTKERLLDAVDGIVLGEAVFTCFA >A04p025860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15649687:15652485:-1 gene:A04p025860.1_BraROA transcript:A04p025860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MLGEKPKTKVIPTIDYLSIIKVSRLKKMSVQKCISPLATILLLATTILYNNQVHATSPIKTIVVLVMENRSFDHMLGWMKKLNPEINGVDGSESNPVSASDPSSKKIQFGSGSHYVDPDPGHSFQAIREQIFGSNDTSKDPPPMNGFVQQAYSEDPTGNMSASVMNGFEPDKVPVYKTLVSEFAVFDRWFASVPSSTQPNRMFVHSGTSGGATSNDPKSLALGYPQRTIFENLDEADISFGIYFQNIPAVLFYRNLRKLKYIPKFHSYSLSFKDHAKKGKLPGYAVIEQRYMDTTFEPANDDHPSHDVYQGQKFIKEVYETLRASPQWNETLLLITYDEHGGYFDHVPTPVRNVPSPDGIVGPEPFLFEFNRLGIRVPTIAVSPWIEKGTVVHGPNGSPFPSSEYEHSSIPATVKKLFNLSTPFLTKRDEWAGTFENILQIRKEPRTDCPETLPEPVKIRMTEANENAKLSEFQQELVQLAAVLKGDDVLTAYPKEIGKGMTVIQGKKYMEDAVKRFLEAGRLALDMGANKEELVHMKPSLTGRRH >A08p042410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23789414:23791831:1 gene:A08p042410.1_BraROA transcript:A08p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAHPYMVSIGSLSLISAVARAISKDEAVAPPLRVVQIEGLAVLKIIKHCKEFAPTLVTGQLLGLDVGSVLEITNCFPFPVRDDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTVLGSYQTVELIETFMNYQENIKRCVCVIYDPSKADLGALALKALKLSDSFMELYRGGNFTGEKLRERNFSWMDIFEEIPIKVSNSALVSAFMTELETDAPVSQGDYDRLHSSTTPFLENNMEFLIKCMDDLSMEQQKFQYYYRNLSRQQAQQQAWLQKRRTENMARKSAGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQVSNFCGQINGVAGQNFSRLYLTKALHDN >A02p055740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33724617:33725874:1 gene:A02p055740.1_BraROA transcript:A02p055740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSLSLLLLLAATVSQARPSLPAPKLIELLTSINVIEDEAELLEKQQLAINYHNCRSWHLGVETSNIINFQTVPANCIDYVEDYLTSDQYRADSKTVCKEAYFYAKGLALKNDTVNVWIFDLDETLLSNVPFYAQYGYGTEKQDPNAFKKWLEAGEAPVLPETLHLYQNIQELGIEPVLLTERYQELEEVTLKNLEAAGFTYWRQVLFKPTGSNTKISNFKSKERKKLVRSGHTIIGNVGDQWADLAKDSPGRRTFKLPNPLYYKN >A06p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2738834:2748391:1 gene:A06p007910.1_BraROA transcript:A06p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVSEVLGFFEVDSTKGLSDSQVDQHSRLYGRNGTPFWKLVLKQFDDLLVKILIVAAIVSFVLALANGETGLTAFLEPFVILLILAANAAVGVITETNAEKALEELRAYQANIATVLRNGCFSILPATELVPGDIVEVAVGCKIPADLRMIEMSSNELRVDQAILTGESCSVEKDVDCPLTTNAVYQDKKNILFSGTDVVAGRGRAVVVGVGSNTAMGSIHDSMLHTDDEATPLKKKLDEFGSFLAKVIAGICVLVWVVNIGHFSDPSHGGFFKGAIHYFKFKAVTIRDSRIMAAFLIFTQIGDLLLFSQIAVALAVAAIPEGLPAVVTTCLALGTKKMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVQSADHGPVINEFSVSGTTYAPEGTVFDNNGQQLDFPAQSPCLHHLAMCSSLCNDSILQYNPDKDSYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERAAYCNHYWENQFKKVYVLEFTRDRKMMSVLCSHKQMDVMFSKGAPESIIARCTKLLCNNDGSVVALTAAARAELESRFRSFGDETLRCLALAFKTVPHGQQTISYDNENDLTFIGLVGMLDPPRKEVRDAMLACMTAGIRVIVVTGDNKSTAESICRKIGAFDNLVDFSGLSYTASEFERLPAVQQSLALRRMTLFSRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVGEAVVTGWLFFRYLVIGVYVGLATVTGFIWWFVYSEGGPKLTYSELMNFETCALRETSYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVITPRSNLWLVGSIILTMVTPLSWAEWTAVLYLSFPVIIIDEVLKFLSRNTGLRFRFRLRKTDLLPKDRRDK >A01p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4062533:4065793:-1 gene:A01p008350.1_BraROA transcript:A01p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIRCKAVVFFALFFTVSAEIYIVTMEGDPIISYKGGVNGFEATAVESDEKIDTSSELVTSYARHLERKHDMLLGMLFEEGSYKKLYSYKHLINGFAAHLSPAQAEVLRRAPGVKHLSRDWKVKKLTTHTPQFLGLPTDVWPTGGGYDRAGEDIVIGFVDSGIFPHHPSFASHHTAVPYGPHPSYKGKCEDDPRTKVSFCNGKIIGAQHFAEAAKAAGAFNPEVDFASPMDGDGHGSHTAAIAAGNNGIPVRMHGYEFGKASGMAPRARIAVYKALYRLFGGFVSDVVAAIDMAVHDGVDILSLSVGPNSPPATTKTTFLNPFDATLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITTVAAAIDDRRYKNHLTLGNGKMLAGIGLSPSTRPHRSYKMVSANDVLLDSSGGNKYNPSDCQKPEVLNKKLVQGNILLCGYSFNFVAGSASIKKVAETAKHLGAAGFVLVVENVSPGTKFDPVPSCIPGILITDVSKSMDLIDYYNVTTSRDWMGRVKDFKAEGSIGDGLEPILHKSAPEVALFSARGPNTKDFSFQDADLLKPDILAPGSLIWSAWSENGTDEANYVGEGFALISGTSMAAPHIAGIAALVKQKHPQWSPAAIKSALMTTSTVMDRAGRPLQAQQYSETETVTLVKATPFDYGSGHVSPSSALDPGLIFDAGYEDYLGFLCTTPGIDAHEIRNFTNTPCNYKMRHPSNFNSPSIAISHLVRTQTITRRVTNVAEEEETYTITSRMEPSIAIEVSPPAMTLRAGASRSFTVTLTVRSVTGVYSFGEVTLKGSRGHKVSIPVVALGHKR >A03p067450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29666606:29671459:1 gene:A03p067450.1_BraROA transcript:A03p067450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQVTDDSPPKASPLGSSVIPIVNKLQDIFAQLGTHSSIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLVQTKSKSSGGSDDEWGEFLHLPSNHRIYDFSEIRREIEAETNRLAGGNKGVKDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGHRTIGVITKLDIMDKGTDARNLLLGKVVPLRLGYVGVVNRCQELSYSMQTLLLLFTIPSLFVLDYLDSNHIRPVLDILLNRSVKDALSAEEKFFQSRPAYHGLADRLGIPQLAKKLNQILVQHIKALLPDLKSRISNALVATAKEHQSYGEITESMAGQGALLLNFLSKYCEAYSSLLEGKSEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPAVPFEVLVRRQISRLLDPSLQCARFIFDELIKISHKCMMNELQRFPVLRKRMDEVIGDFLREGLEPSEAMIGDLIDMEMDYINTSHPNFIGGTKAVEAAMHHVKSSRIPHPVARPKDTVEPDRTSSSSSASQVKSRSFLGRQANGIVVDQGVVSADAEKAAPAANASESRWGIPSIFRGSDNRGVTKENFLNKPFSEDVEDMSQNNSSMIYLKEPPAVLRPSETHTEQEDIEIHITKLLLKSYYDIVRKNIEDLVPKAIMHFLASISYLPEVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIAGKRKRTQETLHILQQAYRTLDELPLEAGSVSNGSTDTTGVSKYLDLPTSSSMYSTISSPYSASPSTGRRYRRAVDQHQNGYGF >A09g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8972273:8973367:1 gene:A09g502680.1_BraROA transcript:A09g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKGFTDVWSMEAREDAGCSPNVVTLNILIDGCCKAKRLTAGLGWTITDDDRTRSFSTATPFVVSPLIVEGLALREAVWSCQRLGYTNVCCESDSTRLIQAVNSGSTATEIYGITADIISLAGLFETIFFRWISRVKNSEADGLAKQCLADEIAFN >A08p016490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10730189:10731526:-1 gene:A08p016490.1_BraROA transcript:A08p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g30950 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/TrEMBL;Acc:C0SUY4] METNMFINNPSAHLPFSYTFTSSSNSSTTTSTTTDSTSGQWMDGRIWSKLPPSLLDRIIAFLPPPAFFRSRCVCKRFYGLLFSNTFLEIYLQLLPPRHNCFLFFKHKTLKSYIYKRGGGGGANDDGSNKAEGFLFDPNEIRWYRLSFPYIPSGFYPSGSSGGLVSWVSEEAGLKTILLCNPLVGSVSQLPPMSRPRLFPSIGLSVTPTSIDVTVAGDDLISPYAVKNLSSESFHVDAGGFFSLWAMASSLPRLCSLESGKMVYVGGKFYCMNYSPFSVLCYEVTGNRWIKIQAPMRRFLRSPSLLESRGRLVLVAAVEKSKLNVPKSLRLWCLQQDNATWVEIERMPQPLYTQFAVEEGGKGFECVGNQEFVMIVLRRASLQLLFDMVRKSWLWVPPCPYGGSSSGAGSGGSEGEVLQGFAYDPVLTTPVVSLLDQLALPFPGAC >A07g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21943265:21944061:1 gene:A07g507960.1_BraROA transcript:A07g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSKRKNNSLKKRILKAITGGCFGGQEPQSFPHEQTPQQSHWPVKEPAGCSAAEERLKRNRRTAGRSESGESGKRGLHSGLLTIFIHLADPEWLLIFS >A01p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21263065:21265074:1 gene:A01p030180.1_BraROA transcript:A01p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTEGAFTLIENMAASSANKNADTDRSMKVSSVGTSRMDELSAKMDQLIMSNQNLIFVMEDSLLEQSVKDTALDTDKPAEDHQDTAPQDELKSLAMMMQQRLQGQQVQGMALNQVTTDINARMNNMFNDLSTKYDNVASHMRWMDVQIAQTAKSVKRQQGRLPGKIDKKPKECNKSEQSPSDAPAADNDTEQSVEVDPSKTEQPAEVVQPRTEPVPVGKYTPKVPYPVPAKATRKDREEMKCKKMLEDLTIKLPLIDAIQMMLYMRSLMKGLIFVKISEDSDFMIISKKCSAVLQNKTIRKLGDPGKFVLSLQIGRTVFLCSLVDLGLSRKKEENDVPTA >A04g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6856942:6858063:-1 gene:A04g503130.1_BraROA transcript:A04g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNIHSQSSSLLGLLQSQQGSIYHGEGIKAAKARRNGGKGKSVSDYASVWEMKKEDWEMKKADLERKERLSKLAILDTLLARPQPLSEAEEDVY >A01p005360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2296359:2298089:-1 gene:A01p005360.1_BraROA transcript:A01p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRHSISILSRRISRFDSQSLTMSSAAASTDSFLLRSLHTRCRFGGGFVHRLNPIDYGGGKANRLILRSSFDSTHKKAARALAAQHRRLLHGLGSGHGGTTDLANGRGVGGSTRLQGSDKIVVAVDIDEVLGNFVSALNKFIADRYLSNHSVSEYHVYEFFRIWNCSRNEADIRVHEFFKTSYFKKGIHPLPGAHTTLHKLSRYCELSVVTSRQNAIKDHTLEWLDLHFPGLFKHIHFGNHFALHGESKPKSEMCRSFGAEILIDDNPRYAEECANIGMKVLLFDYDNSYPWSKTESVDRHPLVTRVHNWEEVEQQILSWVVTKC >A07g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6963171:6963525:1 gene:A07g503590.1_BraROA transcript:A07g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVYGLIKHLTHTLSQIDTAITKEINLYYYKESNLREFLATVHRHCYSLSMSGVGKVC >SC208g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:37220:38987:1 gene:SC208g500010.1_BraROA transcript:SC208g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGLGGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNEEERSMRNLCKRPTKMKEE >A09g517110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50337652:50344265:1 gene:A09g517110.1_BraROA transcript:A09g517110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRASWSFHYAPTRRQIIFVLRKTTKNLWRWISLNELINFSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRASWSFHYAPTRRQIIFVLRKTTKNLWRWISLNELINFSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKK >A10p003130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1598590:1601933:1 gene:A10p003130.1_BraROA transcript:A10p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYVTFSTVTPVTITGNNSGGYALSTFTRRCVTASPNAGRRMFRASGMAKATKKAMEYRKLGDSELIISEITMGTMTFGEQNTEKESHEMLSYAVEHGINCIDTAEAYPVPMKKETQGKTDLYISSWLKSQSRDKFVLATKLCGYSERSAYLRENAEVTRVDAANIKESVEKSLKRLGTDYIDLLQIHWPDRYVPLFGDNYYDTSKWRASVPFVEQLRAFQDLINEGKLRYIGVSNETSYGVMEFVHTAKLEGLPKIVSIQNGYSLLARTRFEVDLVEVCHPKNCNVGLLAYSPLGGGSLSGKYLVTDLEATKNARLNLFPGFMERYKGSLAKEATIQYIEVAKKHGLTPVELALGSRHLKARSVLASFRFLGSQDSVVTDTMNIYTNALHGFTYGPIRVNGHRIGQ >A09p071360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55092451:55095301:1 gene:A09p071360.1_BraROA transcript:A09p071360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSCIFLFLFSFLTSYGASAENPFYLDLFCPNTTRSSTYFTNNREAVLSSLRNAAYSTEFQNATAGQAPDKVYGLFLCRGDMTPEVCLNCVTYAVNQILARCPNGNEAVIYYEECILRYSHKNIFSVLTLEGGHIRMNGYNISLNQEVRFREQVASMLNRAASEAANSPRKFYTTQANFSTVQTLYVLAQCTPDLTISEKPKPTPQTHQVWKPKITVKQNDVAAPVSAPSHYLFTSVKPLLLLTTRDCLTCLRSSIKGMPLNRYGGRLLWPSCNTRYELNQFYNETAIRTSPPRFGKGGNSNVLVVSVVVPIIVVVLILGACYCFLAKRAKKTSDTAPDFDGDGITTIESLQLDYRTIQAATDNFSENNKIGQGGFGEVYKGTFWNGTEVAAKKLSKSSGQGDIEFMNEVVVVAKLQHRNLVRLLGFSLNRKERILVYEYVPNKSLDYFLFDTSKQGQLDCGYMSPEYAMHGHFSMKSDVYSFGVLVLEIISGKKNSKFYEKDGAHDLVTYVWRLWSNGTTLDIVDPAILDNCQKSEVVRCIHISLLCVQEDHIVRPTMRTILMMLTSNTVTLPVPRQPGFFIQSRPQNDPFNSDQSRTSNSFPGSLDDASVTELSPR >A01p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3228161:3229252:1 gene:A01p006340.1_BraROA transcript:A01p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPRRSLAASLSPLRLIDGLPRRRSFNYNQMPEEPIKLTVLKLDGSSFGIELLKTATVGELKMAVEAAFSHLPITGVGKVSWPHVWAQFCLSYGDQRLLNEADYLIEFGIKDGDQLRFIRHISNYCTMMVNHKSKIPHVSSLKQLKLPSRFSLKPETWKKKGTQGQEDGVDSVTKTQTSFLSTVLGGWLSYKSTPSRTKHRNVTASTSSHLRAFNNLIARFRFKCYSEKDVWNRKKLISENMK >A10p034040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19808844:19812392:1 gene:A10p034040.1_BraROA transcript:A10p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGSLQLSHGFGLVRNQWCYKPDNSGIRRRPHVSKGPLLLDSPLGQHGFRNKLLSDYLRRPICSPCRSTAFRCRSSSGGGNVIEPAIKAATLVLAKSHRLLQQFPLVYKLVPAVALLIFSLWGLVPLVRQGRNILLNKNDNGWKNSGTYHIMISYVQPLLLWSGALFICRALDPIVLPTEASKIVKDRLLNFVRSLSTVLAFAYCISSLIQQTQKLFSETSDPNDTRNVFSASKIRNITGFSIWFAYLALGMEQMGFQFAGKAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLITLAGREILTNFLSSVMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRIFLENVNPENQALVILISCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTIRKMYTDADMENAPFGESMYGPGGVASRRPLMLIEPSYKINGEDKSKSQNRASKPTTAEQENKAPSSPKSKETPTPDPKENVKAGESDTNKTPDEKPGTKPVSKPATVANDATEASGKAKRSGGTTPKNDTQKETDGSTSSISLEENIVLGVALEGSKRTLPIEEEMHSSSSSPTETTDGKELTGAARRSGNGTTLVAEKEQKDGQSQTSSSGAATSSENNEMERK >A09p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16777638:16778280:-1 gene:A09p027790.1_BraROA transcript:A09p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSSGKEASEEETILMEYDEENNQLDIEFCPVEHPVEPEEEDRPVKCPVPISSSLIHNSTEKPKPGWVKHRASCDTPVYPTPRHVRNVRKRHNSFVEEKKSFFTRSMIPTSTLRSHDEEITTSTRSNVTIYRVLQQVHEFEP >A06p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4798076:4799128:1 gene:A06p003230.1_BraROA transcript:A06p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSREELEASNNGGSSPNKITGSVDEQVENGPLNLRLTTSPEFLKQIESLLQQQQQDSNSTPPAKTKPMSFPISKITIGEWTRDAVYEQDLKAKIYFARKKIMWECVEDVSTTGMNRKIEMQWGDVLSLKPWYHPHDQTGILKVELRKPPTFFIETNPQARKHTQWQQLDQDFTRNHSASRNRIHTLHFAPGVLQKNMEKLVSGDRFWSELVKVHFPTLEHLYFYDIGYGNSMNNMHDGNRVPR >A10p025730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16468434:16473163:1 gene:A10p025730.1_BraROA transcript:A10p025730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSSNGVSPKPLEGERRNINSELWHACAGPLISLPPAGSLVVYFPQGHSEQVAASMQKQTDFIPSYPNLPSKLICMLQNVTLNADPETEEVYAQMTLQPVNKYDRDALLASDMGLKINRQPNEFFCKTLTASDTSTHGGFSVPRRAAEKIFPALDFSMQPPCQELVAKDIHDNTWTFRHIFRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFVRDGKGQLLLGIRRANRQQPALSSSVISSDSMHIGVLAAAAHANANNSPFTIFYNPRAAPAEFVVPLAKYTKAMYAQVSLGMRFRMIFETEECGVRRYMGTVTGISDLDPVRWKNSQWRNLQIGWDESAAGDRPSRVSVWDIEPVLTPFYICPPPFFRPRFAGQPGMPDDGTDMESALKRAMPWLDNGLEMKDPSSTIFPGLSLVQWMSMQQQNGGQVPSSAGFFPSMVSPTAAMHNSLGGADDQSNQQFPQLPSPSQVQQFPSSCTNTGLPSLQPPQTLVSRPQDKQNPPVGGGAKAYSGITDGGDAPSSSTSPSTNNCQISSSTFLNRSQSGPAILIPDPAADMSGSLVQDLYSKSDMRLKHELVRQQKPKASLTDHQLEASASGTSYGLDGGENNRQQNFLSPNFGLDGAKARFSGEINRRQPLQREFVNCVQSIKILSSAEVQQMSLDGNFAGLPATNQACSGGDNGNGWRGQYDDNSATSFNR >A06p024830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15234052:15234700:-1 gene:A06p024830.1_BraROA transcript:A06p024830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGKYKNACRTVRMVRRMRMHNVDLAGEDKLRYGQFGRLVMVPAEAPIEMHAGRIDQSDRYGRMNEPQSNCSERPDLHAGRLQWTDPRTRAHQFRHSTRCVVRVVGPPKHRALLGLYQNNFRTGHKDKPCYATSTGLFRLCDRVDMGGHAATVGFSRLRSKVDVGGTLDYQWQEASLED >A05p011780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5156742:5157549:1 gene:A05p011780.1_BraROA transcript:A05p011780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPRIIQISRHRHRISYKSSLPSGEWLCGVCRQNIEIDYGAYSCDKCCDYVVHSICALGKDVCDGEDLEGVPEEDDITLGVESFDVISEGVILHFLHDHHLYLQASILYDENKFCQACVLPIFEGNIYSCIECGFILHETCAQSRRKLQHALHPHPLTLRHVNPYEYVGFTCDACDRLCGGFIYGCHLKECDFDLDIRCASISEPVDYQGHEHPLYLALNSEEQPICHVCQIK >A05p017990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8200197:8200898:-1 gene:A05p017990.1_BraROA transcript:A05p017990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGYDNNNHHKILSFSIVDSNIKDIEVYCFSSDTWRVLDVGPMYFNLYSKFFVSLKGNTYVCSPDHASEEKNGLFCFDFTTERFGPLLPLPPPFHSGYYKVTDIISSKEKLVLLHNAYVCEKVEIWITTKIEPNLVLWNKFWTLDWYFIKGLFPDDFWLYFDCRSWFIDEEKKVVVFFDITPKTCFFQMAYIIGEDGYFRSVNLGAAPYPEWVYHNIEYYIYVPSLVQLQV >A07g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4786892:4789774:1 gene:A07g502200.1_BraROA transcript:A07g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGVYTLVYTRMYTLSYTIVVFNLAFTIVYTGVYILVYTWVYILVYIGGVHTGVYTLVYTRLYTIVYTGVYTLYVFQSVHPCCIPSVHWIVHPSVYINVHPWVYRGAHTSVHWSVHLVYTLAYTGVYTLAYTGVYTLAYTGVYTFAYTGVYTLAYTGVYTLAYTGVYTLAYTRVYILAYKAYTGVFAYRVYTLAYTGVYTLLHRSVQPCVLECTPLHTSGVHRGVHPCIHRGVHPCVHRGVPECLHTWCTLLPTPECTTFYTGVYTLAYTGVYTLAYTGVYTLAYTGVYTTAYTGVYTTAYTGVYTLVYKAYTGVFAYSVYTLLYRSVHPYIPECTPLHTSGCTLLRTPGCTPRLHGNFIG >A07p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17655648:17657028:-1 gene:A07p032060.1_BraROA transcript:A07p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISVNGQSQVPPGFRFHPTEEELLEYYLRKKISNIKIDLDVIRDVDLNKLEPWDIQEMCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATTVGFWKATGRDKIIYSNGDRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDENVLASSSNHGDEVSVETCEVIGGDEGWVVCRVFMKKSLCKTVISSPPRSVKTSSFNEETIEEIFKVMGQSCKEEIIVDPFLKLPNLECLNNTVASYQRLMDDQVHNYHVPSFGTSWVTLDRLVASQPNGPNSYSIPAVDEIPQSPLHGLNRPGFYNTGLTQDYYTTEMDIWNNTDFGRTTTSSSNPWFHISNSSE >A09p080870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59054563:59057505:1 gene:A09p080870.1_BraROA transcript:A09p080870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolycopene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G06820) UniProtKB/Swiss-Prot;Acc:Q9M9Y8] MNLCLHNPVTCADRSSSLSSALKTSNYKLGTSKFGFLKHRKKNHVVAVRSVSTSTVTTVKEETKRESQVYDAIVIGSGIGGLVAATQLAVKEAKVLVLEKYLIPGGSSGYYERDGYTFDVGSSVMFGFSDKGKLNLITQALKAVGREMEVIPDPTTVHFHLPNDLSVQVHREYDEFVNELISKFPHEKEGILGFYGICWKIFNSLNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIKDPQLLSFIDAECFIVSTVNALQTPMINASMVGFLFWAFWCLLHVSLSVQFLSVQGQVLCDRHYGGINYPVGGVGGIARSLAGGLVDQGSEILYKANVKSIILDDGKAVGVRLADGREFFAKTIISNATRWDTFGKLLKGEKLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWKNLEEPYGSIFLSIPTILDPSLAPDGRHILHIFTTSSIEDWEGLTPKEYEAKKEEVAAGIIQRLEKKLFPGLSSSITFKEVGTPRTHRRYLARDKGTYGPMPRGTPKGVLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLERKSKVLDAGLLGLLGWLRTLA >A09g518050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54748142:54748613:-1 gene:A09g518050.1_BraROA transcript:A09g518050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHAPSTHNLPSPLVVDASWKAPTDNVGIGTLLVAEAMAMWSAVQQLYRLYYTNADEIKIYVKVIGVAKDIKAVATKSNFNFRHVPRKLIDVIDKLAKDARISNRPYVITWLNS >A07p006970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1353436:1355383:-1 gene:A07p006970.1_BraROA transcript:A07p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGGRVYWGKKEMDDGGCNGGVVVMFAWSSISENHLASFVHLYSSLGWNSLVCRADFLTAFYPEMALSLAFHLLSDLVEELRTRPCPVIFLALSGAPKACMYKVIMGDCEAQIHPDDSQLVRNCLSGHVYDSGPLDFTSDLNAKFALHPTIRQMSVPSRLVSWVAKGVSSGLEGLYLTRFESQRSEYWQALYSSVEIRAPYLILCSDNDELAPHQVISSFTHQLQELGGEVKVVKWKNSPHAGHYRHNPIQYRAVISNFLENAISVHSQKIRQLGERFHTHDEISELICDLQKVAVNSNQSLRRVATGPSDHFFLPSSAPYQTNNNNDASSSLEEQRDRSSFRPLQPTSINAHSVLGQFLFDSCVPKNIEGWDIRFGGSLNGQPYATSTSRKSSNLGFKKRVLRSRL >A06p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6151388:6152717:1 gene:A06p013610.1_BraROA transcript:A06p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHNFIQAHYLSMAKATTTLFAISSVSTRFSLLRRSESLKLSSPSARLPVPMAMAASAKKVAPAVIVGGGRVGRALQEMGSGDDLLVKRGEAVPVDFEGPILVCTRNDDLDAVLDATPQSRWKDLVFFQNGMMEPWFESKGLGDADQVLAYFAVSKLGEPPVDGITDTNPEGLTAAYGKWASDVAARLQSGGLSCKVLEKEAFQKQMLEKLIWICAFMLVGARHPGASVGMVEKEYRDEVSRLIQELAAAAAAEKGLTFEDNMVERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAEGKPDPCQLHTQWLRELKVI >A02g513080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35923219:35929267:1 gene:A02g513080.1_BraROA transcript:A02g513080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYINQTPKAVRLGFWRLEGDFEIFPTHYKKDESYRSIGLALGEVDKVDVDNGRVRVKINADEPLQFERKAGYSNGDVITVSLKYEELHRFCYTCKRISHEEGTCPELSTNQREVNKIAWLEQKEKEELAAKEAFSAPARGFESQVRFDSQMRNPHNLDRARKLADQQVRRNERSGTEYNKKTEYEDLRARISSRRDYQAKTVWNRLEGNSVGKIPRDRERYHPYQKELPPDTRAFKRTYESHKHGRYGDSASSSSWRVKGSSPQNRNRDQEESLERRRNDAPLRTYRSPDSQRTISEPYNAHRSDLSGRRNVHQSRGEPRLEWQPVRVTERRIEGHNRRTAERETEQERERETEEARRWRLKGKAIRENSGEKEDNQRQDRIANGTLIINEPTTLDIPENQVHVEMNKMITGPQRDDRAAHTSSGTATSKPVEGRETHTSGREMNKMGQAGHPSPPKETGLLSEEEINQITEQYASVDFEMDEEMLNEDDLLDETDDDLVVPETQEVVIKDNPAQKNTDDSGARGMGKETEKVKKIQKDSMPPISINKRRGTRSPDRKGTAASKKLAVRGRASPKGKLVKHGRPNSSRAPGMTPSKGRYTNGDVRWMHPGKNMKKEQVSALSY >A02p051610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31619712:31620718:1 gene:A02p051610.1_BraROA transcript:A02p051610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFLTSRSISGVKDIVPFTKARIYSCLKRSSGKFLTRKVAAPISVKCSVSDSWKPLESDTDLIKDCVNKSKADADWKEFRARLVAGEQAATSDMVVDCSSSTSSRITLGDKWAHKISEPEPGCLLVATEKLDGVHIYEKTVVLILSVGPSDTIGVILNRPSLMSIKETKSTVLDKAGTFSDKRLFFGGPLEERLFLVSPRNGEDNEVEKSGVFRQVMKGLYYGTRESVGLAAEMVKRKLVGRSEVRIFNGYCSWEKEQLKADVLRGYWTVAACSSSVVELGSAVQSHGLWDEVVGLIGPQSGSAI >A03p006880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2866856:2868278:1 gene:A03p006880.1_BraROA transcript:A03p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSCKKTDDICEDVCGQDGSRAAKAFSRVRCVLRGLDFKTYIIFLTIVPIFILGVYLHGQKLTYFLRPLWESPPKPFQTLPHYYHHNASMETLCSLHGWTHRESPRRVFDAVLFSNEVDMLTIRWKELYPYVTQFVILESNSTFTGLPKPLVFAANREKNFEFVEPRLTYGNIGGRFKRGENPFVEEAYQRIALDQLIGLAGIQEDDLLIMSDVDEIPSAHTINLLRWCDGYPPVLHLQLKNYLYSFEYFVDNKSWRASVHLYKPGKTRYAHFRRGDTLLADSGWHCSFCFRRISEFVFKMKAYSHNDRVRFSHYLNPKRIQDVICRGTDLFDMLPEEHTFREIIGKLGPIPRSYSAVHLPGHLIEKAESYKYLLPGNCVRDSG >A07p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12424728:12425480:1 gene:A07p021140.1_BraROA transcript:A07p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKNASEEFKNVPEHETPKITTTEEPSAATGEVKDRGLFDFLGKKEEVKPQETTTPLASEVEHKAQITEEPAFVAKHEEEEHKPTLLEQLHQKHEEEEENKPSLLQKLHRSNSSSSSSSEEEGEDGQKRKKEKKKKIVEGEEKKGVMEKIKEKLPGHSEKPDDSQVVNTEAAVPVSDETAEHPEEKKGILEKIKEKLPGYHAKSSEEEEKKEKESDA >A08g504530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7492778:7493089:1 gene:A08g504530.1_BraROA transcript:A08g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQFPVSLCFLVWYLVCGLRVWLRFCLFQRSCPSSDTYSLRVMVKWVYTVPVECNGGCNLRRNLLNDGIVSLRLFLVLPVVSFILPALCSWLDSSLWFVMG >A08p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24629276:24631805:1 gene:A08p044610.1_BraROA transcript:A08p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATHFSFPVNYVLSEASRSRGRFDRKLVRAVASGDSIAPAISEETKVKLGGSDLKVTKLGIGVWSWGDNSYWNDFQWDDRKLKAAKGAFDVSLDSGIDFFDTAEVYGSKFSLGAISSETLLGRFIRERKERYPGTEVSVATKYAALPWRLGRESVISALKDSLARLELSSVDLYQLHWPGLWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRAPEQTGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPSGPRGRIYTREFLTKLQPLLNRIKQIGENYTKTPTQVALNWLVAQGNVIPIPGAKNAEQAKEFAGAIGWSLTDDEVAELRSLASEINPVVGFPVEYL >A06p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6507621:6517143:-1 gene:A06p014540.1_BraROA transcript:A06p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHNNYITFEPPPTLASVLVKSSAEKTQHAKTKRQAPKLRTSSSTSDLSHPNRLINTDRSSKLGVDRRSPRSGGAPLGQKKLGGRISDLESQLGQAQEELRLLKEQLANAEAVKEQAQDELHHNVKSKKPNPQALVEGPAYEADTIDRDEIPGDAQKETDVFEVPVEKIAVLKDEEAEKLVAKEDEINMLKVRLYDMEKEHESLGKENERLKSQLSDAATEMSNVKANEDEMASKVSRMGEDLEESRAKTAHLKEKLESVEEAKEALEAEMKKLRVQTKQWRKAADAAAAVLSREFERDRSRSAEKCFAGGLFDPNAEVGFLEPPGVADDSDDGLGSGKRKSSGMKMFGELWKKRGQKTETEYAKSVKAKSINYTGRGERKCVLEREIDCLCLTHSRNQSIFFLSRAHKEDETDRERDKGGEARCLSILGSSLSNLKSCSLTRHLSEAVKPGHECVASDMLEPRGADIPILFLVLVVLPVVAYILLGKWSDISEKRGRANLLAQMAAEEAFRAETDVRGVRFETMATENRAPRTRTKTVPAASGAVREDFVAELRPDSVAATCGVPVNTEGHVCASSGKCQIIHWRLAHKDECIPAETCSSSSERVSFENDSVLHDNGMDSSTMYSNSIKQTAKGKISKGSVEFASEGISQIDITPRINTQGRKSVGKPNSSKSNRESFSGEAACAGGDNKKGHTRHKSRSNIGAVETNSRRHSVDNSSMQMNGQTFESGMQESYKHSLGASSSYACPNGIRAATLPKSACTETSKKGQVAAVSKTLRSKDTGVAEENNGNSSSMGSNLMKMMGLRNSSKHDDRHKNLKMLFPYEEFVKFFQCEVFYLSPRGLVNCGNSCYANAVLQSLTCTKPLVAYLLRRSHSRSCSGKDWCLMCELEQHVMMLRASGGPLSASRILSQMRSINCQIGDGSQEDAHEFLRLLVASMQSICLEKLGGETKVDPRLQETTLVQHMFGGRLRSKVKCLRCDHESERYENIMDLTLEIYGWVESLQDALTQFTRPEDLDGENMYRCSRCAGYVRARKELSIHEAPNILTIVLKRFQEGRYGKINKCISFPEMLDMIPFMTRTGDVPPLYMLYAVIVHLDTLNASFSGHYISYVKDLRGNWFRIDDSEIHQVPMTQVMSEGAYMLFYMRSYPRPQRGEHNGKAQVRHPQPRNEMKEQRKPVNRFKPRADYNKNLVESSSSEWSLFTSSDEAASFTTESTRDSFSTIDYTDGCHVLDSSSPFSIFNNLHHNVEPSPHNTVACRMFSGTKPETRYFVEEEEANHNNNTVVMDDTSSHGYYQQSMYVDYETNCQEQTYSYEQNW >A05g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23513039:23519496:-1 gene:A05g508010.1_BraROA transcript:A05g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGVRQHTHDVCGCPSAHTGCLWLSECVLVCPCVFVSTHRTFVAVHQYTYQHVGVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEDNFYGFYTQEGVQNNWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKKRPEPKPIIGVKRSLLAFQKAQDLEKWSRKLEDMINFPKPSKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEELYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQLGSTQGYLWEPGDTLDHSEDIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEKFLQIFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKPPQVSLLEGSKSSLTAIFHGAIKAFAPKTLSSSYFVSFYHFMTVRVCPCGAYKALEAMEEERHGQNLRATLSQQSAALQKLQIKIAQLEKINQAQGQRPHEGERRFGNVPGAVYVEPRPPDPSRINQTPTSKTHNPYVVNSRFDYNSFADKVELFKFSGKRGYLRWERNLDEWFHYNNILRKERLAYAIDQLKDDAFKWWVQEEDDRWFYKEPAIKTWRALKEVMRDRFSPDYTRSEIQELYPRRYPTHGSKEARKMVEQEVQRVLPKEANFQPNQGHAIVHCLEQESDIPKVRKMSTSVGQNTLIRSKDKPEQVIVQVKAKVSPIHDKSFHKSSTTCMMHLSLSKSVITGLKEPRYIEEEAPGTNLPMDQKEARSTKQSKLLNKPKPVIQVSNQGIPDESHMLTGVPSAEPDHELNQNPHHKWKPKSEQCTVQVPKSEVKFTLNQNVFIDSMTRLMHLSCPRKSEIGTGKQGYYKANKEQEVLTATFDIKVNCSMISSVYKSLYFGIIHLSSSRCFDPGISQEEHKNRAELSQEDGYTNQGKHLQEMQPSNQICPKKNIILHHADAPKVNSTITNSVHEIPVSDIIHMVFVQNVEKISGCKEENFKEIPPDNLLLLGGSNPKMVRTEPARSMKDHPLKKRSNAKFHSRGVILSYLLKEEPPDEQSIPKPKQYQGKTLESQKSMKADLLYLGAGYTVSRSKPFQGGGNVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEDNFYGFYTQEGVQNNWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKKRPVMSPILDRIGRTGHGAGRRTSQLTGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKISPQLLFSKHNCQLAPRSNLNLDQVIQSE >A06p009560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3356175:3365484:1 gene:A06p009560.1_BraROA transcript:A06p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G11790) UniProtKB/TrEMBL;Acc:A0A178W336] MALRCFPIWACPQTAYYHYPLLGLDTKRRRIPLWECSSSASQRAVTAVGSEVPYGRELKKPSDEMGLTQESPQLETFHRDLSMLPKPLTANSLTSSAGDDSKVRISFQGIPGAYSETAALKAYPNCETVPGDQFETAFQAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVQEVHLPVNHCLLGVPGVSIEDIKCVLSHPQALDQCVNSLNDLGIQRVSAKDTATAAQTVSSSGERSIGAVASVRAANIYGLDILVENIQDDANNVTRFLILARDPMIPRTDRPYKTSIVFSLEEGPGVLFKALAVFSLRNINLSKIESRPQRRRPLRVVDGSNNGCAKYFDYLFYIDFEASMAETRAQHALGHLQEFTSFIRILGCYPMDLVSSSREVVGQLETDCFSSDGRSKSSGICSPRASSLRKLADVASNGELLDWPKNDTRRFFHVVYRVGDLDRTIKFYTECFGMKVSRQRDVPEEKYSNAFMGFGSEKSHFAVELTYNYGVSSYDIGDGFGHFTISTQDVYKMVETVRAKGGNVTREPGPVEGGSSIIAIVKDPDGYPFELIQRGPTPEPFCQVMLRVGDLDRAIKFYEKALGMRLLRRIKKPEYKYTIGMMGFNESVVLELTYKYGVTEYKKGNAYAQIAIGTDDVYKSGEVVKIVNKELGGKITREPGPLPGIGTKIVSFLDPDGWKTVLVDNKDFMKELGESSVNYVSSSVKNLEEAPEAKTKSQSFEDEEEKKKKMRGGSLWQLGQSITRRLAQSDKKPLSRRYLASGADLKKTALYDFHVAHGGKMVPFSGWSMPIQYKDSIIDSTVNCRVNGSLFDVAHMCGLSLKGKDCVPFLEKLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDEHIYLVVNDGCRDKDLAHIEEHMKAFKSKGGDVLWHIHDERSLLALQGPLAAPLLQHLTKEDLSKLYFGQFQILDINGSTCFLTRTSSRGRVQESHKHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHISPVERASHGPLGNVEEQKVATRYGLTIRRVGFFSSGPPARSHSEVHDENGNKIGEITSGGFSPNLKKNIAMGYVKSGQHKTGTKVKILVRGKPYEGNITKMPFVATKYYKPS >A10g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14669826:14671471:-1 gene:A10g505720.1_BraROA transcript:A10g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDYGSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKINCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEVQTTLPEDF >A09p058130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49087765:49089835:1 gene:A09p058130.1_BraROA transcript:A09p058130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNRVPESTTRPVGGTEYSWCRAIAGGTGIAVIALLLSRTRKLENLQNTLDKLQIHHPTLRSTFRFDASTSSFSLATPASSRVVIHPFDSSSTAQIIRDSDDPCAEPHRIILEHELNKNAWIDPHRWSNGECGVFFVSLYDLRGGGEERVLTFRLNTGACDRTSAVTLLREFMRETTVADGCCDGHVAKEAGLGKAIEEMIPSGKGDKPFWARGIDVLGYSLNAFRFSNLSFVDAEEPNRRSQVVRMKLERDQTLKLVAVCKARGIKLWAAIAAAGMISAYTSKNLPQYQGEKYAVVTLSDCRSILEPPLTPNDFGFYHSGILHTHDITGEEKLWDLAKRCYDSFTSAKNSNKHFTDMSDLNFLMCKAIENPTLTPSSSLRTALISIFEDPVTDEYPEQALASAGVKDYIGCASIHGVGPSIAVFDSLRDGKLDCSFVYPSPVHSREQMDGLIEHMKAILLEGSVSSF >A01g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14429783:14431417:-1 gene:A01g504850.1_BraROA transcript:A01g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRFSSARMADKKGKGILLEDEDEPIKLVDDEDPHTIREYRMSLIGKVLNPKKQNVEKLISHMPTQWGVQDRVTANDLGNGKFLFNFSSEGDIKLVLQQEQPHDKRSSGKKLASTIITPSRDEVSNDDNVTFRYKEITCAFDFSNTENVVPHDEDEGQIIGALQDMDMGEAGETAIIVAPQQEAWMECDDISDDLLGEELNEMDRVVSSRQVDQVPMGAVKKIKGKRSTSSKGSSRHRVPLGLSSRKAELFRRGSPRMHNAVLPPSGETKEN >A08g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5486520:5487297:1 gene:A08g502570.1_BraROA transcript:A08g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLTRWYSSSTNLKVFNQVVLIFHSFKGFSDLEDFWDDLPVSRLKYNALDDFQEVFQTTSTSVVWTS >A10p029900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18180700:18181981:1 gene:A10p029900.1_BraROA transcript:A10p029900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS [Source:Projected from Arabidopsis thaliana (AT5G15840) UniProtKB/Swiss-Prot;Acc:Q39057] MFKQESNNIGSEENNTGPRACDTCGSTICTVYCHADSAYLCNSCDAQVHSANRVASRHKRVRVCESCERAPAAFMCEADDVSLCTACDLEVHSANPLARRHQRVPVVPITGNSCSSLATANHTTVTEPEKRVVLVQEDAKETASWLFPKNSDNHNNNNQNNELLFSDDYLDLADYNSSMDYKFTGQYNQPTQHKQDCTVPEKNYGGDRVVPLQLEETRGNLHHKQHNITYGSSGSHYNNNGSINHNAYNPSMETDFVPEQTAPDKTVSHPKTHKGKIEKLPEPLIQILSPMDREARVLRYREKKKRRKFEKTIRYASRKAYAERRPRINGRFAKISETEVEDQEYNTMLMYYDTGYGIVPSFYGQK >A09p004100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2396104:2396448:1 gene:A09p004100.1_BraROA transcript:A09p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDARAKRPCSEVKYGFKAGFFMKSQKHGSCTFRFLQHSAFNLSPMIMAGKHPVISIVVQGGFVDWGLMPVELIGAIDDKRVTANAMHTSAGIFMPCDFSLYFQCFSLSLSCA >A07p041750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22505547:22506356:1 gene:A07p041750.1_BraROA transcript:A07p041750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67810) UniProtKB/Swiss-Prot;Acc:Q9FXE3] MNSSSLNVLASPPLISTSTPTLKAFHRPNFSSKLSKRIRCMHDPSINLNFGSRSNPKHDFPVPFATAFVEAPLGTTTTTSADKLHLLVSEFRSLTEPIDRVKRLLSYATALAPLDDSARVQANRVTGCTTQVWLEIKVDELGRMRFKADSDSEISKGFCSCLIWILDGAKPEEVMGVRGEDLSEMNVGVHGKVQSRVNTWHNVLMSMQKRTMALVGDADVEHREGDRSEPHPHNLLFDYVNGRSYVESSSKVDRDYSISLLPLGYDFTI >A03p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1953481:1965599:-1 gene:A03p004670.1_BraROA transcript:A03p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDDEAEGVGMAMIPLLRDQHEVEKDGDIMVETRKLWRIVGPAIFTRIATYLILVITQAFAGHLGELELAAISIISNVIVGFNFGLLLGMASALETLCGQAFGAKKYDMLGVYLQRSWIVLFLWSILLLPMYFFATPILKYFGQPDDIAELSGTVALWVIPVHFSFAFFFPLNRFLQCQLKNMVIAISAGVALVVHIFVCWLFVYGLKLGVIGTMATVNVSWWLNVFILFTYATCGGCPLTWTGFSIEAFTGLWEFAKLSASSGIMLCLESWYYKILILMTGNLKDAKIAVDSLMAINGLEMMIPLAFLAATGVRVANELGAGNGRRARFAVIISVTESFIIGLIFSVLVVFLHDQIGWIFSSSETVIKAVTDLSVLLAFTILLNSVQPVLSGVAIGSGWQAFVAYINLGCYYFIGLPLGFVMGWIFKSGVKGIWAGMIFGGTAMQTLILIFIVMRCDWEKEAQKASVRVNKCSLICVLAFVYRLRLGVAGTMATVNVSWCLNAFILFTYATSGANELGAGNGRRARFAMIISVIESLITGLIFSVLVVFLHDQIGWIFSSSETVIKAGIWAGMIFGGTAIQTLILIFIVTRCDWDKEMAKRDYETEGIEKSRDQYGEEEQNGDIKRETWMETKKLWRIVGPAIFARISTYSIFVITQAFAGHLGELELAAIAIVQNVIIGFSIGLFLGMASALQTLCGQAFGAKKYDMLGVYMQRSWIVLFLFAILLLPVYLFASPILKFFGQPEDVAKLSGTVAFWTIPTHFVFALYFPLSRFLQCQLKNRVVALSSGVALVVHIFVCWLFVYGLKLGPIGTMATINVSWCLNVLILFTYATCGGCPLTWTGFSIEAFTRLWEFAKLSASSGIMLCMSINGLEMMIPLAFFAGTGVRVANELGAGNGRGARFAMIISVTESLIIGIGIWAGMIFGGTGIQTLILIFIVMRCDWEKEDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A07p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3526850:3527555:-1 gene:A07p002900.1_BraROA transcript:A07p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNERKMCLIKDLKPFRDEWRLTLKLLHSWKQTTSYGGDTLECVLVDQTKVIDTVKITGVGVGQYRPTTQQYKMIIIGDTSITPSNYRNDNHFLNLANYEEIGNGKLKPHFLIDIIGQVTDLGPVGMVQAKGNDTKEYLF >A09g511070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33626744:33628296:-1 gene:A09g511070.1_BraROA transcript:A09g511070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDAAAMFRSLLNSDDKTQSVMVVITVNTNIFGDNLYLISILAIKFYFDTDLPAIKEFTTRLQLILTWEVLQEKFSSVLILWKRSKKNEHVSIQDLHPFIFISNEQTQADFICKLGLSRSSTKLAGRSSHGPDAAVSRTNLALLSVTTKMFLPMIPGATFVVFDGEMTKLTKQHGTALALEEINGGGGGNYQDVLKSLLARSTFFRAVLHHTISPELPYLHSSCFINQTPVIEVEGRQPTTSVSNTVAAAKIEIGGDESSPPGFEGKEKSCKRPEDDQYLI >A02g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8525807:8526827:-1 gene:A02g502600.1_BraROA transcript:A02g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTPPPGYICHRCNVAGHCIHHCPTNGNSNYNVKRVKPPTGIPKSMLVALIHCQVVQLQLLNQNRKDAFDNEIEGLPSTTRSVGEFTSRCCFQSFCVTISVYVLSLNFREFLNHKKQGVTGVEEMLSHFLIFTIYVPKSHDIHEISNKTLHSRRRNEETEKKS >A05g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28723191:28726213:1 gene:A05g509430.1_BraROA transcript:A05g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTTTVSPTPASHRPLIRRRLLSQISGPSPPLQMKKKKPKNSPTKSTSKSSSPTKSKPVTIDLPINETKIVSDAQIGSPADTVAQQLKDSLDLASVLKDIPTCEKVIAVEQADPSPARDEINSPTLELSVVGQNRCSSVEVSDDATVSIDKSSSDVGVQIAAADAKVDTLSSPAAVVDPPPSTGAAAAVNCPKKQKNESTGRKTRRGRSKDKQAWREVDKTKADNSLSSQSQASLTVPVRSEIVQAELHKSQLGTAKDKVVGESSNTPFYLLPVRARSRSGASGSSRSDVQPDSSDVESSDSDLEEGELKIEHQLALLRGWKLQTLSSLCRIPSGH >A09p026380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14637351:14638400:-1 gene:A09p026380.1_BraROA transcript:A09p026380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKALSTVFFLILGVGICYATSRNLLTYGEMPAGHGGGGGGGHAGGYGGHAGGGGGGSGGGGGAAYGGGGDHASGYGSGGGEGAGGAIGGHAGGGGGGSGGGGGSAYGGGGEHASGYGSGTGEGGGAGGGEYGGGAGGGGGHGGGGGSAGGGSYGGGAYGGGEGGGAGGGSGAGGYGGGGGGGSGGGGAYGGGGAHGGGYGSGGGEGGGYGGAAGGYGSGGGGGHGGGGGSGGGGYAP >A02p024770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12635665:12637026:1 gene:A02p024770.1_BraROA transcript:A02p024770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP6 [Source:Projected from Arabidopsis thaliana (AT1G71120) UniProtKB/TrEMBL;Acc:A0A178WCW6] MSLNLSSHMSSMDLLVTLLLLASPVALAKSSPSLPAIFTFGDSIFDAGNNHFNKNCTAQADFPPYGSSFFHQPTGRFTNGRTVADFISQFIGLPLQKPFLELHIQIMNGTLKRFPSNGINFASAGSGFLLDTNKDMRVTPIQTQLQQFQTLVEQNKIEKSIIQESLFLLESGSNDIFNYFLPFQTTTLSPDDYVDSILTQVNKTIDQIYKLGARRIAIFSLGPVGCVPARALLPNAPTNKCFGKMNVMAKKYNRRLEDIVNIIPTKYPGSIAVFGAVYAITHRFQTYPARYGFSDVSNACCGGGTLGGLKQCGREGYKICNNPNEFMFWDFYHPTERTYHLMSKALWNGNKNKIRPFNLMALATNFTF >A06p008830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3079681:3083504:-1 gene:A06p008830.1_BraROA transcript:A06p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10940) UniProtKB/TrEMBL;Acc:F4I7B6] MEKYELVKDIGAGNFGVARLMKVKDSKELVAMKRDTSSSSLYQQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQKIMAVQYKIPDYVHISQDCKHLLSRIFVANSVKRITIAEIKKHPWFTKNLPRELTETAQAAYFKKENPTFSAQTAEEIMKIVDDAKTPPPVSRSIGGFGWGGEGDAEEVDEEEVEEDEDEEDEYDKTVKEAHASGENTNTFKSSFIFSSCGGFILQKYKVVKDIGAGYIELGGLMRVKNSKELVAMKFIERGSKQMCHRDLKLEDTFLDGSPEPCLKICDFSYSKSVVLQSCPSSKTRTAAYIPPEIFSCSEYDGKMADIWSCGVTLYVMLVGARPFEDRERPQKLR >A01p008200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3976032:3976520:-1 gene:A01p008200.1_BraROA transcript:A01p008200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH146 [Source:Projected from Arabidopsis thaliana (AT4G30180) UniProtKB/Swiss-Prot;Acc:Q9SUM5] MERQIINKKKRVFSVEPNKIPPSEVFTRKYTRLLVPALKKLNMNKNSSQANQLTVKHEVDMALALSAQEFAWSRFLLQKLSSSNNPTTTTSSSSNGIRIQKRSGKEGGDEDGEIEEKLRELQKLLPGGEEMNVEEMLGEIGNYIKCLELQTIALKSIVEDTT >A07g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21710532:21711185:1 gene:A07g507790.1_BraROA transcript:A07g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRQIDQAAYRFDPRTSGLELRLDPRLDAKTDQTEAPRAKSYSIHGFSLLARLAHTARTGDRTDRLIGPIDQFMHFYNPSQHRTHLAQSGSLNGHGGSYGQSHIRPSPYRGSCFRIQRIWTEAEQSPCLKSFWSKAFEFKLFVLRLRTCRVS >A02g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14330750:14333353:1 gene:A02g504290.1_BraROA transcript:A02g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRALIMVFGYAYPSYECFKTVERNKPEIQQLQFWCQYWILVAALTVLERVGDALVLWLPLYSEAKLAFFVYLWFPKTKGTTYVYNVFFKPYDSSRSAPGGLDPRLVALDWSISEAVGVWGDSESAFWCSDPDLSFAFVDLWCERRLRRVLLRRSCSGASRVVFRSVSCFVVEWGSKLSQDGDLASRFCQRLCLVLRGDDGGSAMSKRARWILWLCGRCISVVCACNRSLEVQDLSSMAALFSLSGIALSLFRRRHLQVRVARVFVSGAFSIALLAVLRLYGVVVTAQCAGADIHGLCLPLSQPFWPVSFWVRGPLDLPCAVVGLEFLMSDRVVSALEVARELFLVEPDHKEQIIGEAKITGEQSTPKPQSKEKKETTTPQLDDPALTVTEENKATHDEMKKKADS >A09p078340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57983570:57986154:-1 gene:A09p078340.1_BraROA transcript:A09p078340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTQPLLSKPKSLNHKSLCLVLSFAAILGFATFFTTQVIFTNTTTDDSLLTPSQICHGAHDPDSCQTLLSEFTTLSLSKPKRLDLLHVFLKNSVWQLESTTNMVNEARTRSNEVRDKAHFADCKEMMDVSKDRMVSSMEELRGGNLNLESYSNVHTWLSSVLTNYMTCLDSISDVSIDSKHRVQPKLEDLVSRARVALSIFVSVLPSKDYLEMILPIHFPSWLNSLDRKLLESAPKTLEVKANVVVAKDGTGKYKTVNEAVAAAPENSNSRYVIYVKKGVYKETIDIGKKKKNLMLVGDGKDVTIITGSLNVVDGSTTFRSATVAANGDGFMAQDIWFQNTAGPAKHQAVALRVSADQSVINRCRIDAYQDTLYTHTLRQFYRDSFITGTVDFIFGNSAVVFQNCDIVARKPGAGQKNMLTAQGREDPNQNTAISFQKCRLTASSDLAPVKGSVKTYLGRPWKLYSRTVIMQSFIDNHIDPAGWFPWDGDFALSTLYYGEYANNGPGADTSKRVTWKGFKVIRDSKEADQFTVAKLIQGGLWLKPTGVTFQEGL >A10p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18078554:18080483:-1 gene:A10p029570.1_BraROA transcript:A10p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSSKLFLFSPLHGFADKGRPVWQRKSSMAELDNEELRRLKDVNMDEAPARRLVRDCFKDIQHNLDHILIKTPGDGIKTKESYEVNSRGVEIFSKSWLPEAASRPRALVCFCHGIARRLALSGYGVFAMDYPGFGLSEGLHGYISSFDLLVEDVIEHYSNIKEKPEFSSLPSFLFGQSMGGAVSLKIHFKQPNAWTGAVLVAPMCKAPYNVICYCDKPRLRTAVEMLRTTQEIEQQLHKVCLPILILHGEADRMTDPSVSRDLYEKAKSSDKKIVLYKDAYHSLLEGEPDEVILLVLSDIISWLDDHSLQVEGS >A09p003710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2154072:2155130:-1 gene:A09p003710.1_BraROA transcript:A09p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G28340) UniProtKB/TrEMBL;Acc:W8QNM3] MSGSRLIIFAIISATFFTVGSIRLLPEDASSDFIEAPAYINGPKCSVLPQNRLLLACDPSAVHIAMTLDPAYLRGTVSAVHSILKHTSCPQNIFFHFIASGSSHVKTLSSVFPSLSFKVYTFDETLVKNLISSSIRQALDNPLNYARSYLSEILSSCVHRVIYLDSDVIVVDDIKKLWKTSLTGSRTIGAPEYCHANFTKYFSDSFWSDRKLSPVFDSKTPCYFNTGVMVIDLVRWREGDYTRKIENWMRIQKEERIYELGSLPPFLLVFSGEIEAIDHQWNQHGLGGDNVVSSCRSLHPGPVSLIHWSGKGKPWVRLDDGKPCPVDYLWAPYDLHKSQRQYLQYNQELEIL >A02p046750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29165381:29168322:1 gene:A02p046750.1_BraROA transcript:A02p046750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSYKEVMWPRLVANKILRKSVGSNNFVADFPPDADGLLLEAPGLVDEQPSFDSKSIFVNQHKTTNLNYKVHVSTWNVGGIVPEDGFDMEDLLETHKTPCDIYVLGFQEVVPLRASNVLGSDNNKVSAKWNSLIRETLNKSVATSDDRNKGINGVSQDFKCIISKQMVGILITVWVRGDLRPYIRDPSVSCVGCGVMGCLGNKGSVSVRFRLHETSFCFVCNHLASGGRDRDGRQRNSDVIEILSRSTFPRGTYLEDLPKKILDHDRVIFLGDLNYRISLPEEKTRLLVERKEWKTLLENDQLSMEIMNGQIFRGWQEGNVTFAPTYKYIPNSDLYYGCIAYKKDEKKRAPAWCDRIIWYGNGLKQHEYTRGEVKISDHRPVKAIFTTEVKVLRYSNKIRDLFFSERFEDRIDGYDQIDSKDYSWIST >A06g500220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1012258:1012542:-1 gene:A06g500220.1_BraROA transcript:A06g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHIRGKMHKKVWISAGDIILVGLRMDMDNDTKVDVIHKYTLDEARFLKGCCELPRDVRLSEGIAAGFDDDSDHEGGGVANDYFEFGDDEIDRL >A06p049320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26071749:26073070:1 gene:A06p049320.1_BraROA transcript:A06p049320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NQR [Source:Projected from Arabidopsis thaliana (AT3G27890) UniProtKB/TrEMBL;Acc:A0A384KSW6] MEAVTAMKPLIKVAAISGSLRKGSFNTGLLRAAMELTKESVPGMHIEHIDISPLPLINTDLESNGTYPPVVEAFRQKILEADSILFASPEYNFSVSAPLKNAIDWASRSPNVWADKPAAIISTGGGFGGGRSQYHLRQIGVFLDLHFINKPEFTLNAFQPPQKFDAEGNLVDEVARERLKQVLVSLQAFTLRLQGQ >A01g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13311694:13322464:-1 gene:A01g504340.1_BraROA transcript:A01g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNPVADLKPFKSMWKIRVKIIRLWKQFTAAGGLTIEMVLIDANISFLATTRVKICEDLPRAINGFQPVNYREILDGTLNSDHLVDVIGQVVEVSHVDVLSVNGKDTQKITLELRDLEDVRLPLVLWGNFASDVSNAMQTRRDEALICVLRFGKIKVWKDDRSISNAYNVSDVSLNPYMTEVEEFKSKLPKDELCLAIVESKPVGQVVGVSDKDDFFVHTRRKTIAELSESRQVEKCIVMCTIAAIDADMGWYYLSCKVCSKKVVQVPNDTLDDGEDENELMFNVIPLELQNLVGKTYLFKIQIERENYVYKHETYKVLKIVTNLEMISEFNLPASPKVPRLCLGSSTSALSEAPEGSLMLSAGSSEEVNPSELTPAKRRVATIVNLEEDFDRNSVTKTACTVRVKKEKIEKSEKKMNRNKHKAGKENVTDNRPPKRRKLDTRSSTNSVDMVQPEDKRAMLGEITNQASNEQRDARTKRFNILQQKRKFSETNPTPTKPKQLNIEPSFQLSAASESSENHSIIETHIATASIGHPPKKRIQRHQEHIHEGFKFTAKGTTQPAASFFKNNSRGTSTVSHCTVATTQPTLTGPTRQFPCKFSSQRTAPQPSSQNHDCSEGNWANTSDEDHNDDILSDTDTDDEQIDIVQRRAVTNQVFERFARAFGDSLTKAKPRSTASIVSAKKEEEHVNTAGGSVDTARVSSGVNADGQTVGGNTDGEAVEPTVDEIKKYFDARYIMLTYACLTALVPGKKQPAIRVKIVRTWMSPFGSIRPNTCMVFGDEKVSTLFTN >A09p062350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51046941:51048089:1 gene:A09p062350.1_BraROA transcript:A09p062350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRVAILSSHISPVMASEKEAALTATPSDAPTIFDKIISKEIPSTVVYEDDKVLAFRDITPQGPVHILLIPKVRDGLTGLSKAEERHIDILGRLLYTAKLVAKQEGLDEGFRIVINDGPQGCQSVHHIHVHLIGGRQMNWPPG >A10p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15249989:15250357:1 gene:A10p023320.1_BraROA transcript:A10p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKLVVVQFFIISLLLSSSLFMLSNADSSPCNGKCNARCSKAGRQDRCLKYCNICCEKCDDHCVPSGTYGNKDECPCYRDMKNSKGEPKCP >A10p033440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19576418:19577417:1 gene:A10p033440.1_BraROA transcript:A10p033440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQTTSSLPASSLPSSSERSSSSAPHLEIKEGIESDEEIRRVPEFGGEATGKEISGSVTGQDQTQATVGGESQRKRGRTPAEKETKRLKRLLRNRVSAQQARERKKAYLGELENRVKDLENRNSELEERLSTLQNENQMLRQILKNTTGNKRGGGGSNADASL >A01g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20113190:20113819:-1 gene:A01g506880.1_BraROA transcript:A01g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISSLLESTRSSGEAPHEIGEKKVFHDHNPHYEFCRRCQVTGYDIETLVNMLARTTRNTEKCLKREISEIVSTVSNVRDVSRHAAGYPNLQKLGIGILTNLALDTEARERIGGTGGVLKELFNIFFKTHRDNGNQRCVRIAAGEVIAMLCVGEQRQLPPCS >A06p015150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6838313:6839962:-1 gene:A06p015150.1_BraROA transcript:A06p015150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASKTTKHRRAKPMVVPQHARSAALLSPSNPFASEEEEDHHPPMLKVSLSSISKLEVRSLKRRLTSELDEVRSLIAQFDPQGGNFTTGKGGNKKVKTGNGVKKGDKGTVQIFKKCLNLLTKLMKHKDGWVFNVPVDAKGFGLHDYHTIVKEPMDLGTVKAKLGEGLYESPLDFAEDVRLTFNNAILYNPVGHEVHSMAKFLLSMFEEKWAPIELQYHSLHREMKPVRDVVLPRPAPVVEPLPAPTPSPSPPLPTLPPAVLEDRTLEIAESMTTPLEPETVTTTALEKPGGDEEAPVDIRDLTMDEKQRLSEELQDLPYDKLETVVQIVKKSNPELSQQDDEIELDIDSLDIQTLWELYSFVTGYKENLSNKKEEDQGFGSERDAESAHNIIQEPATGTERSRVTESGKAICMSSSPVRQENKAGGSSSSNSSSSDSGSSSSDSDSDSSSGRGSDTGN >A06g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22225071:22226090:1 gene:A06g507980.1_BraROA transcript:A06g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLRNSPHLETLILKGLLHHVTDKCGDACDCISREDKGRSLSSCPVKKLQIRGFKGTVREKGMIRHFLESFPCLDEMEVYADQSDNDPTNVEVNRIYKIVAYKVHAEDVSTETVLQSKTMSQTQMEEISSQFTPRNNRNKYDFVYILHGAPPPTPQDMSEDYLKEQLDAAKTKLAELNEEYKERQRVHDANEEYKERQRVHDAMFDGIVEQYPMIASALKARQAATDSEGSEASNDQEKKRDVEALLEIMADLYPDFASALKAMRGTDLERGEPSRDQGEMAADLLPRDGD >A03p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14319636:14322953:-1 gene:A03p033850.1_BraROA transcript:A03p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVVELLGKVPLLHRLTSLSLKRIAQVVVFKRYERGDYVVRRDEEVEGVYFLLQGQAQVLGSAGDSSEFLLKPFDFFGRGIFGNVYSADVVALSQLTCLLLMSHHCSLLETNPISDPDKDLDTPCLVERILSLDPLDLTLFRGFTIPNAPTFGKVFGGQLVGQALAAATNTVESTKIVHNLHSYFLLVGDITIPILYEVHHLRDGNNFATRRVDARQKGKTIFILFASFQRDQQGFDHQESNMPHMSPPETLVTREEMIERRITDPLLPRDYRNKIAAEKILTWPIDIRFCEPSYYTEQTKSPPRLNYWFRARGKLSDDQALHRCVVAFASDLIFACIGLNPHRKKGMSAAALSLDHSLWFHRPLRADDWLLFVMVNPTSFQSRGLTTGEMFNRKGELVVSLTQEALLKEAVTIKPIFGAKL >A03p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14144761:14146365:-1 gene:A03p033420.1_BraROA transcript:A03p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRFCSGLKVLGYFMILLVFAVVAVSYYAVVVNTWWPILIESSNRGLSALACLIISLFHFLLVMLLWSYFTVVFTDPGSVPEHFRRELGGGESLEAGTSTDQGAFGSLGYCPKCRNVKPPRCHHCSVCQRCVLKMDHHCVWIVNCVGAQNYKFFLLFLLYTFLETMLDVVVLLPSFIKFFSQAIKHSSSPGKLASLVLAFGECILYHLDAVLNLAFVLSLLCFVVMHLSLLSTNTTSVEVHEKNGDVRWKYDLGKKKNFEQVFGKKKAFWLLPLYSKDDLHSITSLQGLEFPTRSDIDP >A01p053090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30279458:30282359:1 gene:A01p053090.1_BraROA transcript:A01p053090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDGDDRTFNGDFSEEGVVKLKERVKVKLKEYMGDYTDDILVEYVIVLLKNGRRREEAKNELKIFLADDSDSFVSWLWDHLGESVDEYFTSSQVEKTTVRSSLVSSLNEDKALVQMDSESEKGRAPSRRSRQWRTQPNASDVPPLLSSEVHKVHNYEKEDHRERHGKRSPSEQSHSHRKRSKTDSSRNEQREAKPVVSSRLLQFAMRDALGTSKPTEPSLKRLRSVVSASTQDSSEPDPPRKTRSVAKAVNPMATVVKAVAEAAEDAKNPKAGRSVFDRISHSTGFPETSNQRMVLGEVPPKNEERSVDQETVDNNGVYVENMTTFDTGLQPSRLGSSVNGSYSSSSLGNRINNPKSLQRRLVDDPNRVKGTDYQNHFTEVATKQKNGGFSGNADTGKTVKLEEQMKVPGVGLQRYTSSEAITESSTVKPAAIAKEDSLIKKPVPGTLSTSRPLEDARSRTIFVANVHFGATKDSLSRHFNKFGEVLKAVIVIDPATGKPSGSAYIEFTRKESAESALSLDGTSFMSRILKIVKGSNEQQQEAASSMSWSRAGRYARASSPYRRGIPGGAFRGRSVVRGGARSMQWKRDSAETGTNSVAAAPSTRSMTYVRTDSQSNGNATA >A09p081640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59352833:59354246:-1 gene:A09p081640.1_BraROA transcript:A09p081640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT1G05260) UniProtKB/TrEMBL;Acc:Q0WSR2] MNCLKTIALSLSLFLVGLVGPIQAQLQMNFYANTCPNAEKIVQDFVSNHISNAPSLAAALLRMHFHDCFVRGCDGSVLINSTSGNAERDATPNLTVRGFGFIEAIKTVLEAQCPGIVSCADIIALASRDAVVFTGGPSWSVPTGRRDGRISNASEALANIPPPTSNFTNLQTLFANQGLDLKDLVLLSGAHTIGVSHCSSFTNRLYNFTGRGDQDPALDSQYAANLKSRKCPSLNDNTTIVEMDPGSRKTFDLSYYQLVLKRRGLFQSDSALTTNPTTLSNINQLLKGSVESFFSEFAKSMEKMGRINVKTGSAGVVRRQCSVANS >A07p028200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15976720:15977993:1 gene:A07p028200.1_BraROA transcript:A07p028200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSELKQNIYEIFKDFITGITKLEELDNAANTFLLRFQQALCTFFFGFYWWTFSDLISYPPALLKRSPILTSSKLIQSILKNNETRRLIEAGCINIDDAARSTGALHTSLSGLSDHLIKAQSLLSDLEHLTDDATLAIDTATKLSTQLDEESSDDLRQVTSDEENETVSFAQEPEVTEYATVIAVVYSMVKQNYVMQEKIVRSLSLKTSFDELDTYTMMWSLRPFVEDQIMNRAWKCIY >A09g515910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47032604:47033760:-1 gene:A09g515910.1_BraROA transcript:A09g515910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSSSSSSTTQVVSLREMGMYEPFQQLSGWENAFNTIGTSNQNNNNNNNPSSSTVPEVEADDNNKANYTSMYNNSVEAEPSSNNDQDDDQINDKMKRRLAQNREAARKSRLRKKAHVQQLEESRLKLSQLEQELARARQQGLCVRNSSDSSYLGPAGTMNTGWCLISLNKYSLRFILNVVVDFKICLSFYIFKAYVL >A04p030840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18278525:18281501:1 gene:A04p030840.1_BraROA transcript:A04p030840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKEIHRNKRWHFLLLILITMSPAESLIGVSPETDVGGFDYGEALSKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWSVIEYGDSLASTGELSHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDLAGETAAAMAAASIVFRTTNPHYSHLLLHHAQQLFEFGDKYRGKYDESLRVVKSYYASVSGYMDELLWGATWLYRATDNDHYMSYVVDMAHQLGGLSWAMSEFSWDVKFAGVQLLASMLIKEEQHKQHSKIIQQYKSKADHYLCSILNKNINGTNVQRTPAGLLYVRQWNNMQYVSTASFLLTVYSDYLRKSNSDLQCHEGTVTPDEMIVFAKSQIDYILGSNPMKTSYLVGYGPKYPTRVHHRGASIASYKDYKGFIGCTQGYDYWYGRPEPNPSVLVGALVGGPDHRDEFVDRRDNYVQTEACTYNTAPLVGVFARLIELEEQTLEEEDVSLVATYKK >A05g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23149791:23156006:-1 gene:A05g507910.1_BraROA transcript:A05g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTTNQEGLNHQANFYGFYTQEGVQTNWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEANPVIKIKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSSQLEANRFNQLQTRNWRPGDHFNQSRGIPEVLSCTRTQEISRFNGESLKSNRNYLWKDWTIFRFDPFQAIPIQPGEPDDIQNKPRHPGDIIHEPEEFYNFIPCTSSHRNKKIPTITKLPYLESLAFKLQQLFFYKGKDEISIYQAFKKVPRKLSYPLKPSRFKPWFHHFYQFVGYPPCAYNILVSELKLLNQEAMVGKTHGQSQMAKQNQQLTALQEINDRIAQLRKRNKARVQRPQQGERRFGDAPEAVYVEPKPPDPSRINQHPTSQTHTHHVANSRFDHKSFADKIELFTFSGGRSYLFWERNLDEWFHYNNILKEERLSYAIDQLRGNAFKWWIQEEDDRWFYKEPAIKTWRDLKKVMRDEFSPELTRSKIQKIYPRRYLTHGSKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDIKTGPEVEKDTISTSLLESKVVHDLSLRDKEILNPKKEEPSSQCNVTGLKEQEFKRKESPGVTLVIDQKMAQDTKLSMLLKEAKPVIKVSHQGKFLTPPLDTSTDVCVLGTGRTNESYKLIVVPKKEPDPKLSHEPTSKWKPKSEQSIVQVPKPMNVENFSGCKEESFKEIPPDYLMLLGGSTPKMIRNANFYGFYTQEGVQTNWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEANPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSSQLEANRFNQLQTRNWRPGDHFNQSRGIPEVLSCTRTQEISRFNGESLKSNRNYLWKDWTIFRFDPFQAIPIQPGEPDDIQNKPRHPGDIIHEPEEFYNFIPCTSSHRNKKIPTITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKPWFHHFYQFVGYPPCADDVVIISATEPEVNPKPYSTSQGATQDIRALKMPHLTNQEGLNHQANFYGFYTQEGVQTNWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEANPVIKFKRILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSSQLEANRFNQLQTRNWRPGDHFNQSRGIPEVLSCTRTQEISRFNGESLKSNRNYLWKDWTIFRFDPFQAIPIQPGEPDDIQNKPRHPGDIIHEPEEFYNFIPCTSSHRNKKIPTITKLPYMESLAFKLQQLFFYQGKDEISIYQAFKNVPRKLSYPLKPSRFKKDQILYLELKSHKRLQRLVFDFFLSFDLFPFLFVLES >A08p009060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4518633:4519113:1 gene:A08p009060.1_BraROA transcript:A08p009060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPKLTSNTKLDTTACLGDWYTLDQILQISLEVKVFLLHKDFNKKNSNETWWRQSSRFDSHEILDIGQKEVNRTWWQPPLRLDSWKSVQSWSMILHWKQTLTKERN >A05p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27352098:27353828:1 gene:A05p045830.1_BraROA transcript:A05p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLPRWFLEAILLLISALAFTNAAFIGVNIGTDLTNMPSPSDIVSLLKSHQITHVRLYDANSHMLKALSNTTIQVTVGVTNQEILKIGRFPSSAASWVNKNVAAYVPSTNITAIAVGSEVLTESPHVAPILASALNNIHKALVATNLNFQVKVSTPMSMDVMPKPFPPSTSTFSPSWNTTVHQILQFLDNTGSFFMLNAYPYYGYTTANGIFPLDYALFKQLSAVKQIVDPNTLLHYDSMFDAMVDAAYYSMEALNFSKIPVVVTETGWPSSGGSDETAATVGNAETFNSNLIKRVLKNSGPPSKPDIPINTYIYELYNEDKRSGPESEKNWGMFYPNGTSVYPLGLSGSGGGGGGSEALNGTTLFCVAKVDADDDKLEDGLNWACGQGRANCGPIQPGQACYLPNDIKSHASFAYNDYYQKMKGVGGTCDFDGTAITTPRDPSYRTCAYTGSPNANGTGGNFPPALGPASQVGGNANAVFVSIYHLPFLATLALTLLLVL >A03p037070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15532788:15537698:-1 gene:A03p037070.1_BraROA transcript:A03p037070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPNLISCNESSDLESIQETVVSINDYILSVVSNPEVWFSLKQQCISMLSIEEENTLFEFSSEHSALSNLYWGIESIEASLQPESSEEKMSRLRNSERMLQMPALLEEQGTTTSGVPNSTLVAFSYFYLSIVSCIQGDSLQTTLHFLQSVLVSPDVLRNEIAPELCQCLFFTPGVSKSDEEIRETARKYKYRATYYQVISYGKSHQRPSECTEKQLQRPNKYRPEVSTANGHSFAEKLELSETCEYQNLQSVDMQEDELNDMFNKLKASRPCLDLNLHEDYNPELGKNTRVMSLNDFLNDSQTSKSYNEETIAKRSHSLIGHFNRSIFDIQAQQAYKTRSAHIEDVSSLRQLELEEDPAYGSITFEGMRRNLQTIRLGDGVQTHSRRARRMSLWENLQSFIKEVLGNDDEKYLSEVTMIYQMLNGKQGVKYSMLKDVILDQLLMAISSSEEKTVIKASMTALTKIISVNRTAIEEVKRKGLNLSHLANALKQNVQEAAILIYLIKPSPTEIKRLELLPALVDVVASTSSSSCSYTFRPSPPLLTPPAASLMIIEVLITAFDHATNTMHLAEISSPSVLGGLLDVAKSGNSGEFISLARVLVKCMEFDGVNRKYIYQHTRVAPFAHLLQSNDREEILVALQFLHEVLKIPRSSAIKILQQIKKEGSFDIQDTLLQCIKQLQGDHRLFAADILLQLNVLESPPESKRFRNEATRALLEAVTDSECSNMQILSTLILSNIGGTYSWKGEPYTAAWLMKRGGLSSMSHMNMIRNINWSDECLQDTGIDGWCCKIARRIIETGKATFCGLQEGLKSKNKSVAKACLIAIAWLSIEISKGPNSLKYSACEVLLEEIAQFLHPGLELEVRLLACICIYNFSSGKGIHKLINFSEGVRESLRRLSNVTWMADELHKATYYLFSKSDQRISCVHTQTIEMHQSGSGAVTALIYHKGFLFSGYSDGSVKVWNVDEKLSTTLLWNIKEHKSAVTCFSVSETGESVLSGSADKTIRIWQIVKGKLECVEVIKTKESIRKLEALGNMIFVITKGHKMKMLDSSRTSQSIFKGKSVKSMVASQGKMYIGCIDSSIQELILANKREKEIRAPTRSWRIQNKPISSVVVYKDMLYSSSTHVEMSNIKDLRRSYEPQMSISAEKGSNIVAMGVVEDFIYLNRSSSTSTIQIWLRRTQQKVGRLSAGSKITSLFTGNDIVFCGTEAGVIKGWVPL >A10p026410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16772630:16774193:1 gene:A10p026410.1_BraROA transcript:A10p026410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKVAPTGVWTAVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAVSVTKNMLKNDGIGAFYKGLSAGLLRQATYTTARLGSFKMLTAKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADNTLPLAQRRNYTNAFHALYRISADEGVLALWKGCGPTVVRAMALNMGMLASYDQSAEYMRDNLGLGETSTVVGASAVSGFCAAACSLPFDFVKTQIQKMQPDAQGKYPYTGSLDCAMQTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQITKFQKTIGL >A04g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9781288:9789534:-1 gene:A04g504650.1_BraROA transcript:A04g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNWQEMLPPLGSMAEWEAFVKMFVANNKVTFSSLSPLFDLDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHESSTHEITWRMFSTQLRISSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMLKASKGHVLAHIRSIFFTFQSPGRGYMKRFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFNKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKHYDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEGELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFCVSKSVFYNMINSLKIFEPDKCLDQSRFQNVNGIISGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKRNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCGFVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNPVVAYLDKILVCNIYFDEHLERLKNVQFVFGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGLVQEGYLNNPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPKPKPILNEPKVFPQSTSWPNQKHCKDHGLIISAHHENVLKPRISKRNHIYTWLKNVLFKPFHELCSLSCALKEIWFRKRHEPKFLRPKNQFDFIHDKNFSDLALTLCFPDRFSAWPNFKINKPIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYVLRTWNWKYLREASSKLQGSKIDLRSNPFEEGGNDVP >A06g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29883651:29884543:1 gene:A06g509910.1_BraROA transcript:A06g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKGYPQIFKVIVGVFNGVLEKHKCEANLIERERNLLESDLMGQLVKLVVGVWEEVGQRGWLFLEDPTERKHEVMVHENQTYASVLDLVRTRYSVGLETAVTLTYEFPEWMKGPGDLSPPPVDVREDGDVELFMSIRIELSATRLMVTIGNDVVARYLFQRRDNYTVIGSSKGVTSDNTRTYPPRTDVSLYEKTVNVNASRVPGADGSGFWEGMLA >A07g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27128676:27130160:-1 gene:A07g509210.1_BraROA transcript:A07g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSITLSLCFTLSIFLIGAQAKVPVDEQFLVVNEGGYTDYSPIEYNPDVRGFQPFNDNFRLCFYNTTPNAYTLALRIGNRAQESTLRWVWEANRGSPVKENATLTFGEDGNLVLADADGRLVWQTNTANKGAVGIKILENGNMVIYDANGKFIWQSFDSPTDTLLVGQSLRRNGRNKLVSRLSRSVNTNGPYSLVMEDKKLVLYYTTSKTPKPIVYYDYEFFSKITQLQSMTFQAVEDSDTTWGLHMEGVDSGSQFNVSTFLSRPKHNATLSFIRLESDGNVRVWSYSTLATATAWDVTYTAFTNDDTDGNDECRIPEHCLNFGLCKKGQCNACPTDKGLLGWDETCKTPSLTSCDPKTFHYFKIEGADSFMTKYNGGSSATEKACGDKCTSDCKCLGFFYNRKSSRCWMGYELKTMIRTADASLVAYVKAPNAIKKSVL >A08p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1568277:1569180:-1 gene:A08p002850.1_BraROA transcript:A08p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLSPRVPTDRLGGSSLAGSKLFIKPSRQSFKPKSTRAGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSLLTYVSASSTGDVLPIKRGPQEKPKLGPRGKL >A09p053710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46553588:46554977:1 gene:A09p053710.1_BraROA transcript:A09p053710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKKEDLNQFLIAHLNTIYDTLQLFERTASPTEEKVNWNDVLQMSDHLSRQATIVGMLWSGEAPKAESLKETMESYFNALQGFLLCVHGSTVGAGPTLSSLIHVSVKKIVDSSFRLLQGSVSLYEGSYEKDKKPSIPQLSGAVWEACSSFKKVPATNITAIGRAITQVAVSMKDVLREMKEVKPASPEDEASGDNNNSDDDEDDDDLGNDLSPEEMEVAQMVAEIVSETIIVIKELIRVITGMIKLENPKDNSGFVDSLEKLLKLCKGTGDQIDEIGACVYPPQEIVKMKQALKIIQGNLDEVEAEVEGLKSASEAFTGACRKLSSSMKHMEGELDKRCEDEVVTGMQNVTLGS >A03g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32217823:32218535:1 gene:A03g510040.1_BraROA transcript:A03g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLSINLVIKGALYNGRLGAYIMLRDKGPNGIREIPWDLLDGLEGSDVVHKLKEKELLEFECKSFQIRQVGVNEDPFDPMLAGARTADKLLLTPMMGFASGRFFIGLESSSKVGYSQMDRLRNL >A09p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10957761:10958737:1 gene:A09p020660.1_BraROA transcript:A09p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 3 [Source:Projected from Arabidopsis thaliana (AT1G59940) TAIR;Acc:AT1G59940] MPLDGGVSCLRRSEMIGIGIGELESPPLDSDQVHVLAVDDSLVDRIVIERLLRITSCKVTAVDSGWRALEFLGLDDDKSSVEFDRLKVDLIITDYCMPGMTGYELLKKIKESTSFREVPVVIMSSENVLTRIDRCLEEGAEDFLLKPVKLADVKRLRTYLTRDVKVSDGNKPKVPEDLSRFSSLAMVTPPPPSITSVESVSLSPESSVSPVDSPIRPMEMRSPGLD >A02g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2415962:2418222:1 gene:A02g500800.1_BraROA transcript:A02g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLHRSSSSSKNIGKSLPSKELLDDLCSRFVLNVPEEDQQSFERILFLVEYAYWYYEDNAVENDPTLKSLSLKEFTSLLFNSCDVLRPYVSNVDDIFKDFTSYKCRVPVTGAIILDETYERCLLVKGWKGSSWSFPRGKKSKDEEDYACAIREVLEETGFDVSKLLKKEEYIEFTFRQQRVRLYIVAGVTDDTAFAPQTKKEISEIAWHRLDHLQPASNEVITHGVAGLKLYMVAPFLGSLKSWISKHPAPVKRRSDKPLRALSVWNARTSSTGGNGTTTTTTTTTESYNKKQPQLIERPKDTVPGNTFRSFKFNTSAILESGFSA >A08g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8623353:8629571:-1 gene:A08g505200.1_BraROA transcript:A08g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLMEENQREKRFEYRYATEDELEEMKQREFAGWMFTYVSAGLARGETFDDWICEMVVGPNFVKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLCMVGLRCTVFYCDWHDNTPDRGVITDAFGVTSVNSRRKLQYYDPFILASQTDQVCYIKYPRVRNIYDLWVTVTRLNPRGRVQGSSELEDPLQPSTSGNLSAAEDLAGVGLVVDLTDFGDEAAVHVEDEPVIGENTEEIPREDRSNDDQTRPRQRRGRGGTGSQSRGSSSQIQDSASPHSSYHTSPSPFPAPAPPAPAAAPSPAAAPAPAPPGPPGVMSVAELVRQPGRDYLPYLTLFNRSGNGISAWINRMMYSALDKGDPTFTDFPTHKQHLWFRQFANSTGIPMIRSLSITTSSIKVMDNYGKQIHEWKKKWEINKSMNNTVWEELCVYWDKEETKETSSTNSTNRRSERKGKGVFKHNLAEENDGEPVDDLALMKRAYTNKKTSQIDDGLVRDVVTLVQTQVQDEVSQLQTEDDDSMASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPFSAPPPFVDPEVLTAQLKDKDDRISVLETQMAAQQAGYEAQKRLNEQMMEMMKRMYLNEVFPNVQDS >A09p061890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50880379:50883257:-1 gene:A09p061890.1_BraROA transcript:A09p061890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYISPLFWLILVATFIFHDSCSSDEAGYYSFLKDATSAPMLSHFDYIIIGGGTAGSALAATLSQNATVLVLERGGSPYDNPAATDIGNFANTLINITDPNSWSQLFISEDGVFNTRARVLGGGTVLNAGFYSRAEEEFVAETGWVREEVEAAYEWVEKKLVFEPHIKGWQTAFIDGLLEAGVVPYNGFTYEHVHGTKVGGTIFDPDGHRHTAADLLEYANPNTIAVYLHASVHKILFTTKGNSRPKAYGVIFQDANGVFHTAELTVQDARSEVILSAGAIASPQLLMLSGVGPAAHLAAHGVNPIILDHPMVGQGMGDNPMHPVFIPSPKPVEVSLVQVVGIPNFSSYIEGGSGLSLSISLTRTFFNGVLDLLNKLKFPSQSISNLLKSLDLGLNVTTQAGVIIQKVSGPLSRGHLELRNTNPDDNPSVTFNYYQDPEDLNNCVKGLSAIINVIDSKGYSSYKYPGVNGRGLLNLILALPINLRPRHITSTFDLKQYCMDTTMTIYHYHGGCQVGKVVDNDYKVLGVDALRVIDASTFLKSPGTNPQATIMMLGRYMGQKILREREAF >A02g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19210115:19211704:1 gene:A02g506840.1_BraROA transcript:A02g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTPTDVANVYVLTIPPNRVAMLHRTPCLHQEFSLTRMCLRQLITSLGWLRSEDPYVASMFIALEVTKVETLTIGNLFNCMPFITMDPHHEMATPQWLLDTIGQTHNVKVKVVCPPVLPDVRTTCADQSPQTLKIHRSDYLYKGTALHLAAQIDILDVAGYCFPSVYQCLNCWSPLMDNKSLVAGFVQKLKMSFNHKVIFRASDGGTTHKETLQLLMNLGTSVAQFTVEDGTTIDLIGAKSTILHYASYGGDMSPMLNQIMEQHGYSF >A10p023870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15529674:15530894:-1 gene:A10p023870.1_BraROA transcript:A10p023870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGCLPNMRNIYLWRRKSKKNEKAATKANEFSKPIPVKDEDKLKETEEFWLGEYVDSKSQIHDSSTSIKNESLNEKEVIHSEPGDELYKLIYDSSSANKNGTQAKQESIQDQAIQEYEGVQAFSCDGPTAERRGTVSDGFNKVKTFDLRGVQSSSSTAAREEKQLFGPDIFQSTNLILL >A03p018180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7415761:7416123:-1 gene:A03p018180.1_BraROA transcript:A03p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFESPSKKRWKLLSSSSSYRETIVLGRYSKSCREQKLQGRRSIEERRSPKWKVLLRKLKLLPSPRFTKVTAYELDDYSLNFDQGPGWHDHDEPENLARSFSSRFADPTKNIRATRLLLY >A03p054240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23274296:23275667:1 gene:A03p054240.1_BraROA transcript:A03p054240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPAMEPDAPDLVCQLDNVQGMVDALTCVRWKRHQDALVELSEHGIVLIVEESGCLQAKVYLQRELFTKYEYGAEGRPRFGISLGLLVDCLNTFSSPGHSNTIEIKYPGPDMELLLKSVDTLNSCIYSEIRTRIPETSEWDYNFEQAGTAPLTFTVKSAALKEAIDDLEWPGSSVQISLQKSPPCVTFRGEGHGDLQIDFMYYANTDLLLAFHCDTEVSYGYKYKFLKATTANIPGNVARENRGSKLTIGRGGMLKVQHLVSVAKALAPQVESAGYQPPSRIAYIEFFVKPEETA >A03g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18008367:18008907:1 gene:A03g505070.1_BraROA transcript:A03g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHKERHWFYVGCKSCCSTATPYFNPVTEEIEANKYSCYICEKNEITTSIWYKVQIKVADHTGSTSFVLFDREVILLYNSFTNLHMNLLEQQVQFNSENEIIQELMDLEGRKIA >A10p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20614345:20615434:-1 gene:A10p036110.1_BraROA transcript:A10p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 3 [Source:Projected from Arabidopsis thaliana (AT5G07220) UniProtKB/Swiss-Prot;Acc:Q9LYP4] MMKMNTGTTPSLSVGGGGVSGNEWESRPGGMVVQRRTDQTSDAPRVIRVRVKYLSVYHEININSQSSFGELKKVLSGQTGLHHEDMKILYKDKERDSKMFLDLCGVKDRSKLVLKEDPMAQEKRLLEKRRNAVVEKAMKSIADISLEVDRLAGQVSAFETVINKGGKVEEKSLVNLIEMLMNQLLRLDAITADGDVKLKRKMQVKRVQTYVETLDVLKVKNSTKKVDINKSVRHKPQTQTQYQQRDLLSFVDEEEVEEPRRDSGASSSGTPAVVTNDWEKMFDSTSAAKAVEPVKPVPPRFKWEFFD >A10p038330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21441714:21443415:1 gene:A10p038330.1_BraROA transcript:A10p038330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUN domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G04990) UniProtKB/Swiss-Prot;Acc:Q9FF75] MSASTMSLTATPSKRTPIVAGDKKPNFDFPPSESLANGEAKDPILPEAVTDRSKGQDLGPVTRRVSSTANTTSATQRRTRKVSAPKTEKARWKRVARIFAKQLVALLIIVGLIQAARKVLSPSSSSCPTSSFETEMAFSGLESRIAEVDGLVKATTSTMQVQVELLDKKIEKEAKALRQELEAKASAFRNEVKKIESKTESLEKSVEEVKAKPFVSRDEFERVYEELKKGNVDDSAFSEVSIDELRAYARDVMEREIERHAADGLGRVDYALASGGGFVMGHSDPFLVGKGSSWFATTGRKAHTNAVKMLSPSFGEPGQCFALKGSSGYVQIRLRGPIVPDAFTLEHVAKSVAYDRSSAPKDCVVSGWLQGNGQESSEESEKMQLLTEFTYDLERSNAQTFNVLDSSDSGLVDTVRLDFTSNHGSNSHTCIYRFRVHGRAPDPVPVVETEL >A09p025830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14413234:14415380:-1 gene:A09p025830.1_BraROA transcript:A09p025830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 5.2 [Source:Projected from Arabidopsis thaliana (AT5G46050) UniProtKB/Swiss-Prot;Acc:Q9FNL7] MLVLTLSVSIPGIKPPECSTANAEDCKKASVLQLAVFFGALYTLAIGTGGTKPNISTIGADQFDVFDPKEKTQKLSFFNWWMFSIFFGTLFANTVLVYVQDNVGWALGYGLPTIGLAISISIFLMGTPFYRHKLPTGSPFTKMARVIVASLRKAKEPMTHDVAHFHELPSLEYERKGAFPIQPTPSLRFLDRASLKSGTTHKWNLCTITEVEETKQMLRMLPVLFITFVPSMMIAQIKTLFVKQGTTLDRKITGNFSIPPASLGGFVTLSMLISIVIYDRVFVKLTRKFTGNPRGVTLLQRMGIGLVFHVVIMIVASGTERYRLNVAAEHGLIHQTKVELPLTIFALLPQFVLMGMADSFLEVAKLEFFYDQAPESMKSLGTSYSTTSLAVGNFMSSFLLSTVSEITKKRGRGWILNNLNESRLDYYYLFFAALNLVNFVLFLVVVRFYVYRAEVTDLVDVKEVQMKVKDVKENE >A03p057460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24917685:24919302:-1 gene:A03p057460.1_BraROA transcript:A03p057460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPESPTNKPRLASSFPSGRFMMKTRKKIPKLDDVRSNGWLEAMISSSPPRKRLVKDFNVEVAPEDDFAQRAWMLKYPSAITSFGHIAAQAKDKKIAMFLDYDGTLSPIVDDPDRAIMSDAMRAAVKDVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMTPANVNGSPEDTNSDQQGEEVNLFQPAKEFIPVIEEVYRSLVELTKGIKGAKVENHKFCASVHYRNVDENDWPLVAQRVHDHLKQYPRLRLTHGRKVLEVRPVIEWNKGKAVEFLLESLGLSNNDDFLPIFIGDDKTDEDAFKVLREKKQGFGILVSSVPKESNAFYSLRDPSEVKKFLKTLVKWRKMEDSTSQ >A06g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14787517:14787818:1 gene:A06g504990.1_BraROA transcript:A06g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGINVVIDKVDEMDKRLREVKAFVKEAKENIASTRDGEPPLVEQEGATTASTAGGEATRKRNASDDVWLKGLS >A08p036010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21218168:21220016:-1 gene:A08p036010.1_BraROA transcript:A08p036010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATSDAGEGPVMALINKRLRALRKKLNRISQMEESISQGKTLNKEQQEVLRSKPSVVVLIEELDKLRAPLSAAVSEEIALADQTTAAAAVVVVAPEEEEKEVQKLEDLVNLLYFGSLFEVKSQSELASIMMTRTHERGCCLVYDTVTDESTDLLCDKDLDLISRLWGMMVSRPADSSLSHKNALERCVEHAKLWLANSDQPIASNCDVSYAVLREKVKKIMGSNYFTITPEMVVAPVEAAAEAGSYGSFQVAADSEQKEEDASNFKEQESYVNDQSEQPKDESVVTEGEVVQGQQEQGYTQVEGGRSKRDYQQQQYVPRGSHQNQRGHRGARRGYSNAPRGGRGGGGGYSNGRYESYDNSGGNGYQRSHYNNRGRGRGGGGGGNGHSYNNNHQDSNVSVAS >A03p000260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:246119:248963:-1 gene:A03p000260.1_BraROA transcript:A03p000260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHX27 [Source:Projected from Arabidopsis thaliana (AT5G01690) UniProtKB/TrEMBL;Acc:A0A178UD66] MENITKTYNYGGVDWICETWIGASSMGIAHGENPIKFALPLLLLQISVVSLFSMFFQFLLRPFGKFAFLSQILAGICLGPSIIGRNKQYMSTFFYTRSMYIIESFEAICFLFICYVTTCQIDTHMIKRVGRLAFINGIVLFLVPFLWGQFTAVLISKRLGGRPARVPPAEFHHVAIVQSTMFFQVVYGVLSNLKMLNTEPGRLALASMMVHDCLSWCFFMLNIALKHNTHLADKNHAYYLSAAQMLMIVMIVYVFRPIMEWMMKRTPEGHSLKASYLTVICVLLFISSLWSEFVGLPYFFGAVALGLATPKRPPLGTGLSEKMGCFIWYFLMPCYIIGIGYNMDLSLFSLGDFIRYEILFGMVRFAKVVAVALPSLYYNVPLWHAILVGFIVNIQGIYDVQIYKQNFNYRKISKKTFGAMVLSAMINSTILIVVVKKVYQTMSKRNPYKRRTVQHCRIEAPLRILTCFRQREAVRPVLDLVELSRPAIGSPLSVFALNLEELNNHSLPLLIHHTQEISPFVVPSPRDQIVKAFHNYEKTNPETVLIECFTAVAPRKTMHEDVCTIAFDQETDLVVLTLDAGMESWERLLCRNLLQTCPCSVALFIDRGRLPDFRFVPIKKLCITICAVFLGGPDDREMLAYATRLANHPSVELHVFRLLDQNGASPLRDMVERNHDMRVINVFRKENSENNIIFREVRIEEAVELMELLRKEGDDFDVMMVGIRHEENLLMLEGLSEWSKMKELGELGDLLISKDLQLSVSVLALHQ >A09g518660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56886774:56887163:1 gene:A09g518660.1_BraROA transcript:A09g518660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMYTVFGSACISCKYRTKMRKQYNLKGSECGDYLKHFFCELCALTQTYRELTKRGFDVPLGWDGNVARHNAGVAMGAPVVEGGMRR >A10p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20891282:20892946:-1 gene:A10p036840.1_BraROA transcript:A10p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVKLTCRFYKARYPVVGSIVMAKVERIQDGAAFASLLEYNKIEAVIFFSNEDEVTVGRTSPFRVSKVTTDNRVIVLDRSPPSMQEIWSCERRFLKARHVHNVLFSVAEEQRINLKRVYKRLKWPSYEQMNDLYKEDWFLSSDIDSSFKKFLLDRIKLMTYNSWRIIEPSVLQACFLIQLIEICWAIALVRQLEAYLKLNHCLPVDSYLSVQDLVNKAVDRKLLGADGSILSLTRLSKIIQEGLVENEVCPLTNRLDGGSERYSGSVHKVDKMKVIEEEYHIPDEFVASEVLKHLKSAPVTCSVLLFPSYEKNLNRDIIYEPTTDEINAYKEDKHKGRNLDVHVMLCTGSGVDRRGKEYFEFQNSTGDVNAVDFGYVKFAQNPNTIIEYVVLKDYRARDLSHFDSDSD >A07p011290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8796749:8798345:1 gene:A07p011290.1_BraROA transcript:A07p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKRNFKEISIAAASSPMNNGYTSRKLTEEAYAQQKEVEAEENAENISMSAFFKLSLHELEDVTDDVDEIEIQSQLDYHVRFFLLNRLCLSDTIYVSHVRTRLYFTSVCVIESETALMEQEPHIHSLMNVLRYCNMDESLQGEESLISQNALFSFFATLEWALLGFYVFLNKEEWDDDTGCFMELQTDEDRRW >A05g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12273589:12274983:1 gene:A05g504430.1_BraROA transcript:A05g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSALDRGHPTFTHFPIEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >SC122g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:136805:139846:1 gene:SC122g500110.1_BraROA transcript:SC122g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYPNSSLLIQTPPGVFHSPNGCKVTSQVFYLSYHSNGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGTIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGSEALEYQEASHLSCVPHQSTNLDTDRKKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A09p081680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59376387:59380256:1 gene:A09p081680.1_BraROA transcript:A09p081680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPNMLLAAMNNEDSNNHNYNHEVNNNNNEGFLRDDEFDSANTKSGSENQEGGSGNDQDPLHPNKKKRYHRHTQLQIQEMEAFFKECPHPDDKQRKQLSRELGLEPLQVKFWFQNKRTQMKNHHERHENSHLRAENEKLRGDNLRYREALANASCPNCGGPTAIGEMSFDEHQLRLENARLREEIDRISAIAAKYVGKPVSNYPLMSPPPLPPRPLELAMGNFGGDVYGNNPTDQFKCITAPTESDKPVIIDLAVAAMEELIRMVQVDEPLWNSLVFDEEEYARTFPRGIGPKPAGFRSEASRESVVVIMNHINIVEILMDVNQWSMVFAGMVSRAMTLAVLSTGVAGNYNGALQVMTAEFQVPTPLVPTRETYFARYCKQQADGSWAVVDISLDSLQPNPPVRCRRRASGCLIQEMPNGYSKVTWVEHVEVDDRGVHDLYKHMVSTGHAFGAKRWVAILDRQCERLASVMATNISSGEVGVITNQEGRRSMLKLAERMVISFCAGVSASTAHTWTTLSGTGAEDVRVMTRKSVDDPGRPPGIVLSAATSFGIPVPPKRVFDFLRDENSRNEWDILSNGGVVQEMAHIANGRETGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNIVLNGGDPDYVALLPSGFAILPDGNANGRGEGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASMSCETA >A06p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:166313:168721:1 gene:A06p000710.1_BraROA transcript:A06p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase, insoluble isoenzyme CWINV3 [Source:Projected from Arabidopsis thaliana (AT1G55120) UniProtKB/Swiss-Prot;Acc:Q67XZ3] MGKTLLLLSLLLCSFIIDLEASRQDLNQPYRTGYHFQPPSNWMNGPMVYKGIYHLFYQYNPYGAVWDVRIVWGHSTSLDLVNWTPQPPAFSPSQPSDINGCWSGSVTILPNGTPVILYTGIDQNKSQVQNVAVPVNISDPYLREWSKSPANPLMAPNAVNGINPDRFRDPTTAWLGHDGEWRVIVGSSTDDRRGLAVLYKSRDFFNWTQATKPLHHEELTGMWECPDFFPVSITGTDGLETSSFGEVKHVLKVSLIETLHDYYTVGSYDREKDVYVPDHGFVQDGSAPRLDYGKFYASKTFYDDVKKRRILWGWVNESSPAKDDIEKGWSGLQSFPRKIWLGESGNELLQWPIEEIETLRETQVKWDNKVLKAGSTIQVHGVTAAQADVDVSFKVKDLEKADVIEPSWTDPQKICSEEDLSVKSGLGPFGLMVLTSNDMEEYTSVYFRIFKSNDTKYVVLMCSDQSRSSLNEENDKATFGAFVAIDPSQETLSLRTLIDHSIVESYGGGGKVCITSRVYPKLAIGENTNLFVFNKGTQSVDVLSLSAWSLKSAQINGETVAPFSECEDSHSLNQY >A03p016010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6363822:6365189:1 gene:A03p016010.1_BraROA transcript:A03p016010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFLAERIGTNTRRDVLYEQYVKGISETGMQSKNGLDGQLNACWLHKITKPEIERIRSAGFLVSVIHGRHDVIAQIRHARRLAQRLYPVARMVDLHGGHLVSHERTDEVNKSLMELIKASEMKKAPTDWTNLTPETPGYFTRRIALIRTSSEGKNAVSPPSFIMEKFHRCLLFLLGLLVFVFEHARRAFRVVKPVKVGPCLT >A06p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20682381:20698371:1 gene:A06p038400.1_BraROA transcript:A06p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNNRDKFKSGISIQVSNYFTAHSHGFFKSVSKSKQVVDKLHHLILWRFRSPSQYMGKYKVMKPAQEVDVSAVKYKEEDIKAPHLTGFLFKLFVNMLETPLIGSSIVDSLKKNNGMTEMFRNTVIPEEPMFRPEFPPQKAEHDVVIVGEDESPVDRLEIALKCLPQYDPSRSFHTDPSSSFRYWKIRDYAFAYRSKLTAPSVVAEQIISIIEEFKYDKSPTPYLITFDASEVRKQAEASTQRFEEDGIFVTIKDDIDCFPHTTKGGTTWLHEDRSVEKDSVVVSRLRSCGAILIGKSNMHEIGMGTTGNNSNYGTTRNPHAPERYTGGSSSGSAAIVASGICSAALGTDGGGSVRIPASLCGITGLKTTYGRTDMTGSLCEGGTVEIIGPLASSVEDALLVYAAILGSSSADRLNLNPTPPCFPKLSSHNGGNAIVSLRLGKYTRWFNDVNSSEISDKCEDILKLLSNNHGCEVVEIVVPELEEMRAAHVVSIGSAALRSLTPYCEAGKNRKLSYDTRTSFAIFRSFSASDYISSQCIRRRLMEYHMDIFKDVDVIVTPTTGMTAPVIPTDALKNGETNFQVTAYLMRFVLAANLLGFPAISIPVGYDKEGLPIGLQIMGRPWAEATVLSLAAAVEELAPVTKKPAVFHDLLNTNRMNRTNGLLTILTQIKALRHTQQLHAKVIVHGLEEEVVLGSSLTNSYIQSNRLDLATASFDRIPPRKRNRYSWNTILSGYSKSKNHCESLRLYNRMRRDCDGVVDSFNLVFAIKACVGLGVLENGVLIHGLAMKNGLDKDAYVAPSLVEMYGEFGRMEDAQKMFDEITLRSSVLWGVLMKGYLRYSRDSEVFRLFYLMREAGLGVDNALTLICLVKACGNVFAGKEGKSVHGLSIRRGFIDQSGYLQASVVDMYVKCRLLDNAREMFQTSVDKNVVMWTTLVSGFAKCERAVEAFDLFRQMLRESVLPNQCTLAALLVSCSSLGSQRHGRSVHGYMIRNEIEMDAVNFTSFIDMYARCGNIRMARKVFDMMPERNVISWSSMINAFGINGMLEEALDCFGKMKSWNLTPNSVTYVSLLSACSHSGNIEEGRKQFASMTSDYGIAPEEEHYACMVDMLGRAGEVGEAKSFIDNMPVKPMASAWGALLNACRIHKNVDLAEEIAEKLLSMRSNESSVYVLLSNIYADAGMWEMVNNVRSKMASIQVPNHFTAHPHGFFKSAWKQVDKLHHLILCRFRSPSQMPLCFPKLSSHNGGNAIGSLRLGKYTRWFNDINSSEISDKCENILKLLSNNHGCEVGYDKEGLPIGLQIMGRPWAEATVLSLAAAVEELAPVTKKPAVFHDLLNTNRVHTDLSEKLT >A02p057360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34494381:34495428:-1 gene:A02p057360.1_BraROA transcript:A02p057360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYYGNMPFGGEENEGTEEDENLFPVYSARSKHDMRVMVSALSQVIGNQQGSSHDSPSVYNPQDPSQPVAPTHQDQGNLRTRHYRGVRQRPWGKWAAEIRDPQKAARVWLGTFETAESAALAYDEAALKFKGSKAKLNFPERVQLGSNIINNQLSNSTCYSSNQSETQNIPYYNQYYQDGSNSDMLSFNLGGGYGSGNGNGVSMSHDHSLSTITTAATTSSSSGGSSRQQEEQDYARFWRFGDSSSSPHSGY >A10p002500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1311648:1312820:1 gene:A10p002500.1_BraROA transcript:A10p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT1G03790) UniProtKB/Swiss-Prot;Acc:Q9ZWA1] MDVVRPTVEIPPRKLLLSSKSFSGEASPRSSPRKHNWNNKSNKISTSEQGEDSFNEDNTNNNKDTKEYCYDSDTDDPYASDHFRMFEFKIRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFQYSGEVCPEFRRGGDCSRGDDCEFAHGVFECWLHPIRYRTEACKDGKHCKRKVCFFAHSPRQLRVLPPENDSGGSSASASPAKNQNPCCLFCSGSPTSTLLGNLSHLSRSPSSSPPLSPAHKAAAFSRLRSCAASAAAAGSVNYKDVLNDLVNSLDSITLAEALQVSSPSPSPVSAAAAAFANASSCGLSTQRLHIQQQQQSSPLQFALSPSTPSYLANSPRASFFSDDYTPRQREVNEFTPAAVRDKTRFEDGSCGDPDIGWVNDLLT >A07g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9526383:9527587:-1 gene:A07g504630.1_BraROA transcript:A07g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDEYPVRPGKEDCSFYLKTGRCDFGERCRFNHPKELPKELSLQKCKFFLGGKCKYGSTCKFKHSKEGDSTEAMRQDQKRQRTESSYGPSQEKRAKTIDKQRREAQNNLQEERLMIPENRNVNAQEQADMERQNREAQEKAQEERRRQIDNERRQARLRLERMKPRVLTSNVDQLREALRDIGIERKEGDGF >A07g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10454756:10456729:-1 gene:A07g504910.1_BraROA transcript:A07g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGSGNSEELRCVIVVIRHGDRTPKQKVKLNVTEEKLLNLVLKYNGGKPRAETKLKSAVQLQDLLDVTRMLVPRTRPGRESDSDPEDLEHAEKLRQVKAVIKKVL >A09p012580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6410440:6410908:1 gene:A09p012580.1_BraROA transcript:A09p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDSPRMDTLQRVSGTAVVQKDMRECINDIEKAGLDWVQARAVARDRMFKAKSKKIGQLLNDSRDSLIRSSHETVEADRKKKDADIEMKTALLNPAGSARQS >A05g500140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:555919:556548:-1 gene:A05g500140.1_BraROA transcript:A05g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILNTEEEHPPFHLRIAEYDVDERGEPSTEPWRDPSGEPLRDRSRDPSNKSGGEERRTVGRRSKRGDRWLEIRRWRRQENRRWRTVGGEPSVEIRRWRRQENRREEIEERRSMARDSSVEKRGDRREEIDGSTVRFVGRRSKRGDRREEIDGSRFVGREERRSKKGDRREAFRRSRRRKGKKIKSQHLPKSIIPTPVSSPNHIKPRIT >A04p007450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8881597:8883329:1 gene:A04p007450.1_BraROA transcript:A04p007450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLLSGFSSIHVGLQVGYLISILIEKGIPILLEQTSRRFPKRKVKSFGVEDRISALPDDLLVRILLLVPTKDAVATMILSKRWRSIWTMVPKLDYLEMISDDTNKVVIGGLLGRLLERFFVRSDQKRLWLLRFIDESLQAHKAPVLEALAIGVDRGGHVDVVDVGNWIKKAVHSRVRELGFILRWSAEPTRLPNNLYTCDTLVSLGLSNKILVDVPSPACLPSLKYLILDSVVYKDEDSLARFLSSCPLLKTLIVERHHQDNVKVFNIKAPCLVFLSYHYVKLEPHGEAIEGSLVIDSPALRKIFITDHSIDSYSIENEPRLEKANINFRCYPDDRFRTSISSVMCLELVLSFATFSWFSTIGYSYLMECKIILVHDLDWLQPLMFLLQNSPNLKVLLIDKTFIQVAEELPLSWNQPSSVPGCLSTHLEIFEWREYKGRNEEREFINYIFANSKCLKRAGFSLKSTGNHKDRKNMKDLESMYRVSTSSQLLFSTQVEYMSVSGETRE >A08p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13271397:13273088:1 gene:A08p019470.1_BraROA transcript:A08p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFRALFALISRRNAIRRSPITRCISNQFRRFGSLCGVERCNYSSSYGDARRVSFGNRSVFQRRHFLGCGDGEEGGGGELSKIYQERRVLGYSQEQLFNVVLAVDLYHGFVPWCQRSELLKEYPDGSFDAELEIGFKFLVESYISHVEFERPKWIKTTARDTGLFDHLINLWQFKPGPIPGTCDLSILVDFKFNSPLYRQVASMFLKEVATRLMGAFSDRCRLVYGPGVRVDENAFEHRA >A09g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24472823:24474017:-1 gene:A09g508800.1_BraROA transcript:A09g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDAVLAHSAFDALRLRRSAQFIVGRLLRFWDSKNIKKQGEFMGITLLLLDEKNSVIHVLIPAARSPYYRPLPKAVSVVRVCRFEVASGPPFAIWFIPQTIIDEDAPMINVEKFMLRKFDPLQALANTNLELPGLSSVNVCYLSIYVIICCSCKFAFLKLTNHDAAALLLDEVNGGDVTKLPQCFAELAGEEFVFHIHVTPCNFTANHCTFTLYEISSNLNLETFSTNEAPFVEEEGGQTSASASIKVRSKANEPYPFGKAKMVA >A05p026790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22411460:22411857:1 gene:A05p026790.1_BraROA transcript:A05p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDCGLASHTSHSNSLVTHPSFFPLQHAVFEREGDGCYNLVSEWGSVSALTRDGDLWDSDFSGFQ >A04p028270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17034316:17037816:-1 gene:A04p028270.1_BraROA transcript:A04p028270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESVSASSPIKKRRIDNSTIAAFVVQHMAFGNNSNRQEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMHGLGAEISKNLILAGVKSVTLHDERVVELWDLSSNFVFSEDDVGKNRADASVHKLQDLNNAVVVSSLTTCLTKEHLSSFQVVVFSDISMEKAIEFDDYCHSHQPPIAFVKADVRGLFGSVFCDFGPEFAVLDVDGEEPHTGIIASISNESEAFISCVDDERLEFEDGDLVVFSEVEGMTELNDGKPRKIKSARPYSFTLEEDTSGYGTYVKGGIVTQVKQPKLLNFKPLREALADPGDFLFSDFSKFDRPPLLHLAFQALDRFAYEAGRLPVAGSEEDAQKLISIATSINTGQGDLKVENVDHKLLRSFSFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSEPLDSSDVAPRNSRYDAQISVFGAKLQKKLEDARVFTVGSGALGCEFLKNMALMGVSCGSQGKLTVTDDDIIEKSNLSRQFLFRDWNIGQAKSTVAASAAAAINPKFKIEALQNRVGAETENVFDDAFWENLTVVVNALDNVNARLYVDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSSPVEYTNSMMSAGDAQARETLERIVECLEKEKCENFQDCLNWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLQYSSSDPSLLNFITATAILRAETFGIPVPEWTKNPKEAAEAVDSVIVPDFEPRKDAKIVTDEKATSLTTASVDDATVINDLIAKLERCRLNLSSDFRMKPVQFEKDDDTNYHMDVISGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEAYRNTFANLALPLFSMAEPVPPKVVKHRDMAWTVWDRWVLKGNPTLREVLQWLEDKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKVELPPYRRHLDVVVACEDQDDNDVDIPLVSIYFR >A02p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12699927:12700824:1 gene:A02p024640.1_BraROA transcript:A02p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIKATSPSYYDFLGVAVNSSAEQIRRAYYKLAMKWHPDRWTKDPLRAGEAKRRFQQIQEAYSVLSDQRKRSLYDVGLYDTEEDEGYFDFAEEMVSLMAQTRREEKQYSLEELQTMVNDMVYEFQDQSMCMNFDLDQPVDWASQMSLPVSSFEFCPQSSYCN >A03p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6058507:6058865:-1 gene:A03p015280.1_BraROA transcript:A03p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLLVAFALVITLSISYDHLSGIGINAIVLPPDCFNDKPCNITFNNQACNKMCRGMSYKDGLCTDPELIPPYFWRCCCHPK >A04p020190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12313708:12315166:-1 gene:A04p020190.1_BraROA transcript:A04p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSLAIVLHQSSNHDELFMQQTLQFSQTLKDLKNLRKQLYSAAEYFETSYGKEEHKETVIETLKEYAAKAVVNTVDHLGSVSDKFNSFLSDNSAHFSTTRLRLSSLEQRMKLCREYMGKSGSSQHRFQVQSPHHHKRYFFPRILFFPLQKEHGRGTSFAAGDDSHRFKSAVRSTILENHPNTARKTNKTGSFSFLHNNISNITPSKRANSPMRFPLIRSGSLLKRASSPSQPRLLALPEPQRAISMSTSREIVEIKQKSTLRKGKKSLMLKTLMSMSSKSRN >A05p001340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:194996:197216:1 gene:A05p001340.1_BraROA transcript:A05p001340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) UniProtKB/Swiss-Prot;Acc:O22252] MASILNQTQELQQSSKVLGHVRCESYFLFSGESGLSDGLRAVLYFLGLAYCFIGLSAITARFFKSMENVVKHSRKVVSVDPVTKAQVITYKKVWNFTIADISLLAFGTSFPQISLATIDAFRNMGERYAGGLGPGTLVGSAAFDLFPIHAVCVLMPKAGELKKISDLGVWLVELVWSFWAYIWLYIILEVWSPNVVTLVEALLTVLQYGLLLVHAYAQDKRWPYLSLPMSRGDRPEEWVPEENDTSIDDNDVPDVYSDAAQRAVEPGNGNIVDIFSIHSATNDAGITYHTVADTPVGSSTSKRMAKNATVFDIWKQQFVDAITLETSESKKIDSIYLRIAKSFWQLVLAPWKIVFAFVPPCNIAHGWIAFICSLLFISGVAFVVTRFTDLISCVTGVNPYVIAFTALAAGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGVPWLINTVYNYFAYREPLYIENAKGLSFSLLIFFATSVGCIVVLVLRRLIIGAELGGPRLWAWLTSVYFMLLWVIFVVLSSLKVSGII >A09p054790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47063776:47064588:-1 gene:A09p054790.1_BraROA transcript:A09p054790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein 6 [Source:Projected from Arabidopsis thaliana (AT1G22050) UniProtKB/Swiss-Prot;Acc:Q8GWJ6] MAGEDWIELKFRLADGTDIGPSKYNQSMTVSSLKEKIISQWPKDSENIPKTVNDVKLINAGKILDNNRTLAESRLPVGELPGMVITMHVVLRPPTLDKKSEKLQNDPPMKNRCACTIL >A05p003420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1252203:1252692:1 gene:A05p003420.1_BraROA transcript:A05p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESHNSLSSDAMINTWEVMNGHDDEDWIHLHSKPKHPLWKHLAEESFLSGLDLRILKESVIFPTTWQKHQTSHMQPLKSNDFNQLTRFRVAVEERDILMDSHYRTELQISLGEEKPIWLP >A04p010490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7178805:7180793:-1 gene:A04p010490.1_BraROA transcript:A04p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVSAWGNTPLVTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDQIENLCQSRALEAFRLDSASWGVNVQPYSGSPANFAAYTALLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNFTTGYIDYDKLEEKAMDFRPKLLICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDFEDKINFAVFPALQGGPHNHQIGALAVALKQANTPGFKVYAKQVKANAVALANYLMGKGYSIVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEMIGEFLSRSVTLTLNIQKEHGKLLKDFNKGLVNNKEIEELKADVEKFSASYEMPGFLMSAMKYKD >A03p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15398808:15402689:-1 gene:A03p036780.1_BraROA transcript:A03p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 40 [Source:Projected from Arabidopsis thaliana (AT3G06480) UniProtKB/Swiss-Prot;Acc:Q9SQV1] MRISLIAEPLHMATAEAAPASAGPRYAPEDPTLPKPWKGLIDGSSGVLYYWNPETNVTQYERPSPPLPAGAPPKLAQIPVPSAGQQGHQAQLELGKPVGHVPQQHGLQQQPQQFPSQHGRPQMMQQQQHPGQQMPQQSGQQFSQPQGQSMAPQPHGHPSQQTYQPTPQQQQQQQAMQNQHSQMPQQLSHQYPHSQQQYMGYRPYMQQQGTQSSHQTPPQMGPQGQQFPSQQEYNSMPPKREGEEFHRGEKTGFSQPHLQNSEASPSQNTAFEAKASSQRPNATQQYSGPQGNFQHPAAVTQFQQPGANLAHQQLGPRPPHHMDQMLHQKSHASPFRSNNTYENNLQARPGNDSYGNTRMEGPMRGAQPRHPAAMPEDIRIGGGPPAHADPAMGQTGHGPYGHAGPAFPTVGRPHFATTSDGPHLSPVDSYRKQHEITVTGENIPAPFITFESSGLPPELLRELLSAGFPSPTPIQAQTWPIALQNRDIVAIAKTGSGKTLGYLIPAFTLLRHCRNDPRNGPTVLILAPTRELATQIQNEALRFGRSSRISCTCLYGGAPKGPQLQELERGADIVVATPGRLNDILEMRKIDFQQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPRRQTLMYTATWPNEVRKIANDLLVNPVQVNIGSVDELAANKAITQYVEVVPQMEKERRLEQILRSQERGSKVIIFCATKRLCDHLSRSVGRNFGAVVIHGDKSQGERDWVLNQFRSGKSCVLIATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAFTFFTEQDWKYAPDLIKVLEGANQQVPPQVRDIAMRGGGGGGPGFNQDRRGMVNRFDSGRGGSRWDSAGEFGGRGGGFGGRSGGFGMRDDSFGRGRGFPGPDGGRMNVGRGGFGRFGNNNMDGRGFGRGGGGGGRGFSRGGGRFDNRRGRSRSRSPDLVRPRRSPSYSRSRSRSYSRSRSRSWSRSRSRSPRRSGHKRSRSYSRSPSPVYERRDRRPRVSGFDIKPPAESVVNPDTVGTAASETVVPAPLSERQGNGVVESEVEAALVDKP >A09p051590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45455253:45459621:1 gene:A09p051590.1_BraROA transcript:A09p051590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRLIMVMGMVMVVVMMMDTRCVCAQDISRGSFPKGFVFGTASSAFQHEGAVKEEGRGPTIWDTFSHAFGKITDFSNADIAVDQYHRYEEDVQLMKNMGMDAYRFSISWARIFPNGVGQINEAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALHDRYLGWLNPQIINDFAAYAEVCFQRFGDRVKHWITFNEPHTFAIQGYDVGLQAPGRCTILFKLTCRSGNSSTEPYIVGHNVLLTHATVSDIYRKKYKAKQGGSLGIAFDVMWYEPESNKTEDIEAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGSRLPVFTGSQSALMKGSLDFVGINHYTTYYARNNDTNLIGTLLHDAVSDSGTVTLPFKGLNAIGDRASSIWLYIVPRGMRSLMNYVKQRYGNPPVFITENGMDDSNNVLISREEALKDAKRIKYHHDYLSSLQAAIKEDGCNVKGYFVWSLLDNWEWAAGYSSRFGLYFVDYRDKLKRYPKDSVRWFTSFLNSTS >A10p022260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14709308:14710469:-1 gene:A10p022260.1_BraROA transcript:A10p022260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILRPTTSHVSGGSWLMEDTKSNVATARESATWTAAENKAFENALAVYDDNTPDRWQNVAAVIPGKTVSDVIRQYNELEADVSNIEAGLIPVPGYITSPPFTLDWAGGGGGCNGLKPGHLAGNKRSPAGRSPELERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQHSGGKDKRRASIHDITTVNLEDEASLETNKNSLVGREQHSRLGGFPWSQMDNTGTHADAFNITIGNALSGVNSYGQAMLGPFNTTADSCYDAQSTMFQL >A09p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3353865:3354850:1 gene:A09p006400.1_BraROA transcript:A09p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSSSIRVMNDAETNLARSFSDDTHSGLDIPDLYLSDEWMDDDLASAVSGMNQSYPYQTSDAAAFFSCSSSSLSQPESSSTNASAATATASAGKNYYKCSTDGCPVKKRVERDRDDPSFVITTYEGFHNDSSMN >A01p050520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28460851:28461975:-1 gene:A01p050520.1_BraROA transcript:A01p050520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQELDPLAQLSLPPGFRFYPTDEELMVEYLCRKAAGHDFSLQLIAEIDLYKFDAWVLPSKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVISTEGRRVGIKKALVFYVGKAPKGTKTNWIMHEYRLIEPSRRYGSTKLDDWVLCRIYKKQSSAQKQVYSNPMTSGREYSNNDSSTSSSSHQYNDVLESLHEIDNRSLGFAAGSSNAPPHHSHRPSLIEQKTGFLNLAREPSFDWPSYGGHNSVPELTPSHNVPRLRYGDGGGYFQSVKTNEEDNKTQQQAEGFSADPVNGFGYSDEQHDAFGFI >A06p020370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9982877:9983071:1 gene:A06p020370.1_BraROA transcript:A06p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRRLVFLWMRFQMRRRRRRSCMLYQGGSVGGRSVNTPFTSCGGSDGGGAGGNDPLPGIMIL >A07p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:215739:216825:-1 gene:A07p001330.1_BraROA transcript:A07p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRLFREPKKKETDTLQTLEKLNETLDMLEKKESLLLKRAAQEVERAKEFTRAKNKRAAMQCLKRKRVYEQQVEQLGNCQLRIHDQMIMLEGSKATTETVDALRSGASAMKAMQKATNIHDVDKTMDEINEQTDNMRQIQDALSAPFGSDFDEDELEAELEELESAELEEELIEPVIRPVQNLPEGKQPARPATQKKQTAYEDELAALQAYMAL >A02p016930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7605751:7608662:-1 gene:A02p016930.1_BraROA transcript:A02p016930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEENEAESNERSLALSPTWSVAIVLSVFVLVSLIVERSIHRLSTWLRKTKRKPLFAALEKMKQELMLLGFISLLLTATSSTIANICVPSSFYNDRFVPCTRSEIKEEELGNESSVKRNLLTKSFFFSIFKRRRLEGIHHPTCSEGHEPFVSYEGLEQLHRFIFIMAVTHVTYSCLTILLAIVKIHSWRIWEDVARMDRHDCLTALMLSLFSDVIVHVSSELRSIICVLVAAVTREKVLRRQTTFVQYHTSAPLAKNRLLIWVTCFFRQFGHSVVRSDYLTLRKGFIVNHHLTLKYDFHSYMIRSMEEEFQRIVGVSGTLWGFVVAFMLFNIKGSNLYFWIAIIPVTLVLLVGTKLQHVIATLALENAGLTEYPSGVKLRPRDELFWFNKPELLLSLIHFILFQNSFELASFFWFWWQFGYNSCFLKNHLLVYFRLILRFAGQFLCSYSTLPLYALVAQMGTNYKAALIPQRIRDTIQGWGKATRKKRRHGYYGDDSTVRTETSTVASIEEYDHQVLDKKGIELELQPIQPRNTSASAANESSSRAGTPLLRPCVSISSATPRANSRTYGTTLEIIFIASEKRMIR >A09p079630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58549028:58552775:1 gene:A09p079630.1_BraROA transcript:A09p079630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTLANNRGNSAASAQLVTPTRFVWPYGGRRVFLSGSFTRWTEHVPMSPLEGCPSVFQVICNLTPGYHQYKFYVDGEWRHDEHQPFVNGNGGVMNTILITLPDMVPTGFSPSSMDVDDFSHRMADPSQDSIPRMSAVDLEMSRHRISVLLSTRTAYELLPESGKVIALDVNLPVKQAFHILYEQGIPLAPLWDFGKGQFVGVLGPLDFILILRELGTHGSNLTEEELETHTIAAWKEGKAHISRQYDGTGRPYPRPLVQVGPYDNLKDVAMKILQNKVAAVPVIYSSLQDESYPQLLHLASLSGILKCICRYFRHSSSSLPILQQPICSIPLGTWVPRIGESSSKPLATLRPHASLGSALSLLVQAEVSAIPVVDDNDSLIDIYSRSDITALAKDKAYAQIHLDDMTVHQALQLGQDASPPYGMFNGQRCQMCLRSDSLGKVIERLANPGVRRLVIVEAGSKRVEGIISLSDVFKFLLGL >A01p011180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5431917:5434473:1 gene:A01p011180.1_BraROA transcript:A01p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGSMNRSCLCSILITTSLICGVYFIGNAYIHQQFKVKLLRWEITDKMHNITDKMHNVTHKMQKTTTSGTCKNLNKPMGTESLPQGIIAKTSNLETQHLWNYDDNEKGNPNHRGMSLLAMAVGIKQKELVNKLIQKFPPRDFVVMLFHYDGVVDDWKQYPWNEHAIHVSVMNQTKWWFAKRFLHPDIVTEYEYIFLWDEDLGVSHFNPKRYLSIVKEEGLHISQPALDTTTSEVHHPITARRKNLKFHRRMYKNKGSGRCDDHSTNPPCIGWVEMMAPVFSREAWRCSWYMIQNDLIHAWGLDMQLGYCAQGDRKKNVGVVDAEYIVHYGLPTLGVVDATSSSSQNETNRKSPGKISEESTESHEVDNRPEVRMKSFLEMKRFKERWKKAVNDDICWVDPY >A01g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8803558:8804854:1 gene:A01g502610.1_BraROA transcript:A01g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISMKLAFFIFIAISSVMSITETGANRLLQDEASQTVLLHHEASSQEAINPNKIHCKKGCHIKCVPNPFIEELVGEIVMIPKMVEAAVQTCVWCVVPPSKMEFPPYDGTTNAIEWSFDLMMTVFMIKECSMTMQKYDKLHLY >A07p026650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15210108:15211837:1 gene:A07p026650.1_BraROA transcript:A07p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVTPPQRSDLGSNCNLRVYQTWKGSNIFCLQGRFIFGPDVRSLGLTITLIVVPVIVFCIFVASKLMVDFSDTWGLSIVSVAVVFTIYDLILLMLTSGRDPGIVPRNSHPPEPEVLDGTSQTPRLPRVKEIEVNGNIFKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIAQRNYRFFFMFVFSTTLLCVYVLAFCCVYIRKIKESEDITIWKAMLKTPASIALIIYTFICMWFVGGLTGFHLYLISTNQTTYENFRYSYDRRSNPHNKGIVDNFKEIFCSAIPPSKNDFRAMVTREAPTPSRSAVGGFVSPNMGRGNDEIEMRRKGVWAMAEHGDDKNGGNDERFHVNDDELGDIRTTTDDDERSGRPNIHPRHSSWEMSPEVVALAARRT >A04p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:601507:602468:1 gene:A04p001190.1_BraROA transcript:A04p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEEKLVVKELEQGKELANQLMNSLNNPSSSSKESNEVLISEILHCFENTISMMMNRDKKKLKRSHERSDQSNKKRRMLEKKKTEKVNICIGSGQEGTLLDDGHCWRKYGQKDIHGSKNPRGYYRCTHKFTRGCLAVKQVQKSDTNPLCYEVKYVESHTCDITRSATKHSLPVSEEAEQKLHDAKHSDDTVQHMKPEELMLSIEDLDYKKDIFRTFSFSNPEMDDDFLEWKDLMASLSPTTSESGITNVRVSCFANG >A03p029420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:12385071:12387131:-1 gene:A03p029420.1_BraROA transcript:A03p029420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g08210 [Source:Projected from Arabidopsis thaliana (AT4G08210) UniProtKB/Swiss-Prot;Acc:Q9SUF9] MAMDLKLIAAGLRHCGKLQAFKRGESIQAQLIKQGISNNVFLANNLISMHLDFRSLVDAQKVFDEMTERNIVTWTTMVSGYTSGGKPNKAIELYRSMLDSESEAPNEFLFSAVLKACGLVGDLQLGRLIHERTGEENIKGDVVLMNAVLDMYVKNRRLSEANKAFSEISQPNLTSWNTLISGYCKDGLVDEAVRLFHRIPQPNAVSWNCLISGFVDKGSPRALEFLVMMHREGLKLDGFALPCGLKACSFGGLLTMGKQLHCCVLKSGLQSSSFALSALIDMYSNCCSLSDAVDLFREAKLHSTVAVWNSMLSGFFINDENEAALWLLLQMYQSGLSFDSYTLSGALKICTNLINLRLGLQVHGLVVISGYELDYIVGSILVDLHANVGNVQDAYRLFHRLPNKDIIAFSGLIRGCVKAGFHSLAFDLFRELIKLGLHADQFVISSILKACSSLASLGWGKQIHGLCVKKGYESEPVTTTGLIDMYVKGGEIDNGVVLFDGMLERDVVSWTGIIVGCGQNGQAKEAIRYFREMIDSGVEPNEVTFYGVLSACRHSGMLEEARFVLESMRSEYGLEPCVEHYYCMVDLLGQAGMFQEAEEIIKGMPFEPDKTIWMSLLTACGTHKNAELVTVIAEKLLSSFSEDPSVYTCISNVYATMGMWDRLGEVREAAKKLGTKESGLSWIDIA >A03p036880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15450465:15454068:1 gene:A03p036880.1_BraROA transcript:A03p036880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIP1[III]-like protein [Source:Projected from Arabidopsis thaliana (AT3G66652) UniProtKB/Swiss-Prot;Acc:F4JC20] MDSTDDDFGELYVDDKAHATASLAGDDVCEESEHTPILPGKNKGFEVTEEPESRLEAKKLDDVDKDSSPCVDNNACAANRTEAKEEWEYSDSDDDDDLNIVLKEDDSVACGSNASNQRRSVGSWCTMPNSGMVVNGRMTMEAMNPSLGMPQCGYNNFSHTWSRANFHGFEKKPWRNPGVDVNDYFNFGFNEQSWKDYCNPGVKGRAIEVEGGTLGRTPSVDLRYPRDLDPDVVIQVPVTADVEELSSMTPVEARSLIKPSNGASRSEEFHSDIGADLHSSGDSMKEEVSVGCEDEYTGSFRGEQSPSKENCRSREVTPCDKEVIEEEKEETCWSSDKADSSSVESESSHRYRFRFSPTSSYSVGKTEESEDPGTESSKDGAIDDQREASTPPRRTRFAEHEANSTKCVERSDTGHSRHRRSHQDSSKRHYGRVDYEADRRTKHADASRTPDRDLGKKVCYGRGRSYRDSSRNWQNRPYFTLGKVGTEVRGLPHSDRGKRHGRSYSPVDLDRDRGQRLGWRNNKEPSHGRGFDPSNGYKYEAGLQEYNSRSSFNLNHRNSRVSLNKEEDRYGRQHNERRYGRERSPAIAYESNKEDRYGRQHCERKYSRERSPGLAYERNKERRPYYQDRIPISDMEYRYQIEYCSINGRHNPNQSREDEPYYGRRCDYDYDFPRGRHEDEVQRTENGIPFELAYREMHSFAEMGRRDFERYEENFSEIDRRHYYTLGWHHDRFGSDNDGHNKYRDQGAWPTPSLPFRDSWQTKGSRGDSWKDETRDFTKREANDRQNNLLYKDAPRDGWTRNLVRGDNVSIQDRLRYDDDDDNWVRRDKRRYQLGDSVREIAHSEHPSYTDEILVTNIGVSAHDRISIKQRPGYFMSHVHETVERHQRSKKLRRDGNAFIKCQDPIDSTGRQGKLANQSRIRFSNGRDTTEQQGRQKPRNVMGKGNEKAVVKIQSLIEKEEGEIIQEEERNVTGTGIDEERIQESIKKMERRRERFKEPELVAAKFHFQTEQEAKTDVTNQVRPVRKRRWCA >A04p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4528980:4531007:1 gene:A04p013630.1_BraROA transcript:A04p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFITRLLLVSTIFFLIFVSAISAQDSGVDNETQESEGSRKELGRRGMIGTERIGLDAAGDNLGLNLEATGPGVFDALFSSFSMILVTEIGDETFIIAALMAMRHPKATVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSSDSKSSQKKEMEEVEEKLESGQGKTPFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAIGVAIGASVGHTICTSLAVVGGSMLASRISQRTVATVGGLLFLGFSVSSYFYPPL >A02p048710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30287374:30291770:1 gene:A02p048710.1_BraROA transcript:A02p048710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNDDTLRPDSYLPNRLFATDRYLRKRLNIYSKPEIICRLTHILRGSRELEAIRASCFGGLFDIPAIQAPVSCKMIHSLLSRQLLCSQQYTLWPVISGNPFRFSIAEFQAVTGLPCAPLPEKYVSPDFKFRNPAKDPCWKRIIAPPNALTLADMTEGHLPQHSSLSLSDFLSAEADPLLQVTALIETPQPPTSFPNEPADERVQYMETLIEQKHKFHTWEWPGGDVSEPLTTVQTDEESQQNKVSISKQTRLLAKKATMKRKASTRKQRRLSSYFRRTNNTTPPTTEFLAEQVMELTEKQSKLESEIEILKKKLSRRQPRIRSTHSKFEKTLNTMKSKKTNQPSGQQPNPGPQGDERLQPDNLRTYHRQPRLKSARSNLNKTRFEASQQNNPTQELSDNPSDDHAVSDHNDHPVHVHIHPRLRSARSKLNRTRKEGNQQTDPKLHLSDHSSDDHAALGHNDPTIHALPQPRLSLLTQFLPSNLLKQCGELLSDHAAQNANLESADIDKSPIISQYDASRFANQTPTKPPAVEHDLYQAADTIIAGVITSINAVESSPKTPMNNNGLSLTSDHAFGDHNKNETDSGVDSPVYTDTLSLANIVPTDNHHNANSPVEPTETKLHALADHKSHISISPTTDAEPTPPNHTPHLNHHTLLSPVTKDTLSLSNIAHTHHHPNNNSALQMVTPTLRAPPIVCSAPPRFDTSPKSPPLLTTIPHRVSDVRNKDHSPPDQNLGFGKHANSPNAFAAKGPQLSTHAQPPYSLPIPDHMVSLPVSRPPEQPTIQEPLDSVLALNTKILKSTTVLGTKAIEELELPLWNIFHQTLTTKKILLTIKPLATQLSTDILLHLATPDRRTDTAHVAHMMFTVGEKYRDMLACEHSLFVSPWLTSYIQRKQRQFNAFFDKKKFHWDRSLSDMVLLPGQEWVTNVHTIYAPMIWSDSHWIGLAKNLPMRLVEILDPLPTLHSDTEVKQLLQPLLQMLPYAINKLATPTLSQFSGDHPFTYTRIPGLYQSTRDGDSGPVSVKFMEMHALGDPEPHMSGITDYMVDDSRKQYAMSIYKCAIPDHIDTQGTSYS >A01p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1355738:1358598:-1 gene:A01p002960.1_BraROA transcript:A01p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSDSTSSSTSENRQSRRPSPWSQIVRGESDPPTISSSAAAPSSPQHKAPIDPVPSASTAPLAPVAGDVRSEESGAQGNAGKKPVWKRPSNGASSEVGGPVMGASSWPALSETTKAPSSKSSSDSLKSLGDVPSSSSTVVVTVSQGNSNASAPAPKQGGRANPNPTPYNSRQRSFKRNNSASGSAANGTVSQGPVVETPSHNPSPRGQNQRNGSASQPHGGGSDNFSPRDSHRNQNGNHHHHHQGHGGRRNQEHGNQNWNFSRSFNGRDGNAHSQRGAPAFVRHQPPTMQSIPPQFMAAQPIQPFGGPVPFPPELASPYYPRMPFIGPLSPGPVYYQVQDPPLNVKLQKQIQYYFSEENLIRDTYLRGLMDDQGFVPLHVIAGFKKVAELTDSIQQIVEALQGSPFVEVQGDRIRKRYNWQHWLLPEDASLQFVDAVASGVRNLSIGQSSADPIGGPSSQLQPAGAENKAASDGQQQFSVVNPVNNLNGSNGANR >A04p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18483747:18484669:1 gene:A04p031290.1_BraROA transcript:A04p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSSIKNRGLRLPPGFRFHPTDEELVVQYLCRKVTGLPLPASVIPDIDICKADPWDLPGDCESERYFFSTREAKYPNGNRSNRSTGSGYWKATGIDKQIGGNKLVGMKKTLVFYKGKPPNGTRTNWVLHEYRLLDSQQESYGENTNWVLCRVFLKKTSNTSNKRKEDEKEINEEKENDEDDNKSACPIFYDFLRKDKKRKRCCDLNVTPTCCCCCSASASSSVCSSALTQTSTHNDSDYHEEITCREIKFCLFL >A06p020200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9906165:9907642:-1 gene:A06p020200.1_BraROA transcript:A06p020200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic import inner membrane translocase subunit HP30-1 [Source:Projected from Arabidopsis thaliana (AT3G49560) UniProtKB/Swiss-Prot;Acc:Q9SCK3] MGVGGGEGEQRRGEMMAISSLFNDQQNPIQQLQVKFKEVEVGLKTWLSKQSIPVEAAVVSTMSGVQGAFIGGLMGTLSPEMPQTDPQAMASMKQAQALVGGPWVQARNFAAITGVNAGIACVMKRIRGKEDLESAVVAALGSGFAYSLVSQGLQGQPINAITTAAGFAVFQGIFFKASDHFGILGERFSKPSPEDPFYTRSRTMLLKLGLQKYEKNFKKGLLTDPTLPLLTDSALRDVSIPPGPRLLILDHIQRDPEIKGKRGK >A01p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3712795:3713222:-1 gene:A01p007540.1_BraROA transcript:A01p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSRVLIGLAMILIISGELLVPGQGTCQGDIEGLMRECAVYVQRPGPKVNPSAACCKVVKRSDIPCACGRITPSVQKMIDMNKVVLVTSFCGRPLAHGTKCGSYIVP >A10g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:494554:504749:1 gene:A10g500150.1_BraROA transcript:A10g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQAIEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVLYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMSSFVKGLISGKITDDSEFMVVSKECSTVLQNKRIKKLEQNVQNIDADWYAKMLDSARTMERLKLMDLGKIERLECPIVSEQPLSMANLEIDFVLQMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPRESQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRTEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHLCVDPRAPIPDENAAGDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRALTGGCIRIGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSTRSDPELDDGTDQQRDHHHDSRVFQLSDPSSRKHCTALE >A06g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12172259:12174925:-1 gene:A06g503970.1_BraROA transcript:A06g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A10p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20350898:20351429:-1 gene:A10p035460.1_BraROA transcript:A10p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIIETVFRGARKGRGLVIAPKDYSTKYRY >A02p005110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2206907:2209550:1 gene:A02p005110.1_BraROA transcript:A02p005110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTLPLTTSPGHTSLTLSSVFDFFFSLSQLRLIDLLPSPRPFGSGNVVLSFGHLTFRFRQPLIFRQGHTFILSMCGLFKKLDTENNALSHSLSKPMNDAAECEHSFSALLEFAADNDVEGFKHQLSLVSSINQVSLWYRRQRLIKRMVLEQRTPLMVASLYGSLDVVKLILSFPETDLNLTCGPDKSTALHCAASGASVKALDVVKLLLSAGADPNITDTHGNTPVDVLVAPRGLRTVLEEILKKDEDLSSSLGSSFRSLSSSPDNGSSSLLSLDSVSSPTKAEKKEYPIDPSLPDIKSGVYSTDEFRMFSFKIRPCSRAYSHDWTECPFAHPGENARRRDPRKSHYTCVPCPDFKKGSCKQGDACEYAHGVFECWLHPAQYRTRLCKDGTGCNRRVCFFAHLKEELRPVYASTGSGLPSSPRGSMDMASVLNMLPGSPSGGAPSSPSNGVSSMCWPHQNVPPALHLPGSNVQLSRLRSSLNARDMLQEFEMSSSPRFMNHFSAAEVSSSSPRFSDQLAVSSVLSPSHKSALLNQLQRDKQQSMLSPIRTNLMSSPNNVEQRAMEPISPMNARMKQQQQLLHSRSLSSREFGSNLPRDMMMQSDSGSPLSPWSSWDQNHKVDWSVQSDELGRLRKSHSLANNKEADVTWVQQMVKETASPRNMNGARPLVQGGLSGDPHRDGRESDILDAWLDQLQLDR >A07g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6983015:6985110:1 gene:A07g503620.1_BraROA transcript:A07g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRKMATGSGHVKVGDRESQTQKQRHLHQLIDVRKTVYFFTFTVFLSPLIYHSASRITVLPLPQLSNIFAFQPLLIAAKDNTDKLEAEDGRDLARILRESSMIENKTVIVVMMNQAWAEPNSTFDVFFEGFHAGEGTEKLLRHVVLVCLDDEAYSRCNHIHPRRCFLLKTTGVDFSGEKLYMAPDYLKMMWLRTEFLGSLLKLGYNFLFTDMDTIWLRDPFPRLLAEVDFQVAGDYYNFNGNSSDLRNGANGGFNFVVSNCRTIAFYSYWYASRLRFPGKNERVVLERIKQDNFVKEIGLTMRFLDPVYFGNFCQPGWDISKVCLMHGTCCSGKGNKVNDLRQVLEDWRNYMLAEASGKYGSRKLGFRRLKSCRRRRGRRH >A01p021870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10651805:10653839:-1 gene:A01p021870.1_BraROA transcript:A01p021870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKNSTVAERRFIGKEAASYPPSSDLDPKPKIKHHRPLQVPETLTPEKPTYRSAIGANPYNQSQAQSHLTVEIPDSSPPQKRPAAAAAQSSHPFNDFRRGGGGSDRGSLGLLSFLSSSLPSNQKLLRQVIRVRLICFHLRFLLLLSVPPLYIFFLLISVRVVLLFVFSIIAFSFILSISLKFALPHLPSIRLFIARLLSLSPTRSSSSSSSSSQERTKQVVWSIGSKPVTEKKTNSGSWVQKYSSGDVYEGEFLRGKCSGSGVYYYSMKGKYEGDWVDGKYDGFGVETWAKGSRYRGQYRQGMRHGTGIYRFYTGDVYAGEWSNGQSHGCGVYTSEDGSRFVGEFKWGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYLFGNGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWENGVLSCPTEQTTRPDSSFSISHSKVLDTVQQARKAAEKAREVVKVEERVNRAVMVANRAANAARVAATKAVQTQTYYSSGGGDDPL >A06p024560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15396641:15405543:-1 gene:A06p024560.1_BraROA transcript:A06p024560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isovaleryl-CoA dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G45300) UniProtKB/Swiss-Prot;Acc:Q9SWG0] MDLVASCKEKLAYFRIKELKDVLTKLGLSKQGKKQDLVERIFAILSDEKAARLGSKKEAVAREKVAKLVNDAYRRMQASGEGDSPSKGQVSSDISNVKAKGELEDSFQPDVKVRCICGSSLETESMIQCEDPRCHVWEHVGCVIIPEKPMGGNPPLPDSFYCEICRLTRADPFWLTVAHPLFPVRLTATNIPTDGTNPMQSVDRTFQITRTDRDLLVKQEYDVQAWCMLLNDKVLFRMQWPQYADLQVNGVPFRAINRPGSQLLGANGRDDGPIITPCIRDGINKISLSGCDSRRFCLGVRLVKRRSLQQVLNMIPEEAKGEPFEVALARVRRCIGGAAGNDNADSDSDIEVVADFFGVNLRCPMRHLDEELTEIEMKPDGSWRGKFKNESERRDLGALSQWHKPDGSLFPMVDEIKPKMEMQTTVKQEGYSDGPAPFKLGIRKNRNGIWEVSKPNNNGLSSSNRQEKLGYQELNVIPMSSSATGSGKDGDDPSVNQDAVGSFDFGNNGMELDSLSMNVDSGYKFPDSNHQPPPASNNDVIVLSDSDEEDDVVITAGPAYNENQADCGVSFPLHPVGITNSYNEDHQVAAAGNSGLGLFTNDDDDDYDMRLWQLSSDAHGGPGFQLFGSDADVSDGLAGLQPGPLNCDPAINSGYSMAPGTSTPMVPESVGRSEADANDGLFENPMAFSRDDPSLQIFLPTRPETSAQSDFRNEAGMSNGVNNDDWISLRLGDHGETVGANGLNESNLVSTREGALDTLSETASLLLGMNDNKQEKASRQRSESPFSFPRQKRSVRPRLFLSIDSDSELLFGKEKTAAEISKMQRLFAARSVLGNAVKTRRRQHLSSLSSSLLFDETQLQFKESVSKFAQDVIAPHAERIDKTNSFPKDVNLWKLMGEFNLHGITAPEEYGGLGLGYLYHCIAMEEISRASGSVALSYGAHSNLCINQLVRNGNTSQKHKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADKVDGGFLINGNKMWCTNGPSAQTLIVYAKTDTKAGSKGITAFVIEKGMAGFSTAQKLDKLGMRGSDTCELVFENCFVPEENILGKEGKGVYVLMSGLDLERLVLAAGPLGIMQACLDIVLPYIRQREQFGRPVGEFQFIQGKVADMYTALQSSRSYVYSVARECDNGKVDPKDCAGTILCAAERATQVALQAIQCLGGNGYINEYATGRLLRDAKLYEIGAGTSEIRRMVIGRELFKE >A03p041240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:17242577:17243896:1 gene:A03p041240.1_BraROA transcript:A03p041240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKPLDVASRKGKLRRAFVKAINIKKLTGIVPEVERVKKRQEKVNLVKNAPNLSKSFDKLEEEYEKTLSMEALLAKLFATVSSIKSSYAQLQYAQSPYDPAGIQRADRLVVSELKTLSELKQSFLKNEFDTNPDRTLVLAEIQEMRSLSKTYEIMEKKMECQLKLKDSEIIFLKEKFQESKNQNKLMEKRLNKSNSLDQNHFVIYLNHTVKSIRGFVKTMVEQMKFSAWDVHVAAETIQPEVFYYKQEHACFAFEHFVCKIMFQGFHLPCFTSEPSSSSSRKTSKETFFERFTELRPMKARECLASRPKSRFSRFCRGKYLRLVHPKMEHAFFGHLHVRNQVSVGEFPETSFCTAFLEMAKRVWLLHCIAFSFDVEASIFQVSKGCRFSEMYMKSVAEESLSESEPRVAFTVVPGFRVGNTSVQCEVYLSRSSQRRT >A06g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2880190:2880983:-1 gene:A06g500670.1_BraROA transcript:A06g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENERGEDFIVGKSNLLTRYARNKFNTNSKAIIGVEFQTQSMLISGKKVKTQIWETVGQERFRAVTPAYYRRAVGAFIVYGITRISTFENFDGPVTDRDNIQAHPQPPMA >A04p038810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22038717:22041959:-1 gene:A04p038810.1_BraROA transcript:A04p038810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKMLLKNALIVLLFTLTILAETAFSQNCGKTGCKGNMCCSRWGYCGTTNAYCGTGCQNGPCKSKPKPTPTPSGSGGLNAGPRGTIASVVTPAFFNSIMSKVGNGCPAKGFYTRQAFIAAAQSFPAYKGTVAKREIAAMLAQFSHESGSFCYKEEIARGRYCSPSKTYPCQPGKNYYGRGPIQITWNYNYGAAGKFLGLPLLKDPDMVARSPTVAFKCAMWFWNLNVRPVLSRGFGATTRRINGGECDGGRPAAVQSRVNHYLDFCKKLGVTPGTNLNQHGYCDITNQHCGSGCQSGPCRTSREPVEKIVTQKFFDGIINQSRNGCAGKRFYTRESFLSAANTTLNFNSIVTRLEIAAMFAHFTHETEHFCYIEEVNGTSRDYCDENNMQYPCVPGKGYYGRGPIQLSSNFMYGECGDTLKLPLLSQPELVGSNSTVSFRTGLWFWMKSLRPVLKEGFGATIRAINGKECDGGNSGAVSARTGNYRDYCRQLGVDPGANINC >A04g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13040238:13045181:1 gene:A04g506170.1_BraROA transcript:A04g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPEIDIVFSLTLNCSSPRYYFTDRRIGTGKAFFSSYSGKGDSGTLTILLHICAVDRPSPSPELHSICIWNSLANSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILREFDKIKQLLKSGPRGADMGATYGFSPARHSSPFRGQNDDFNYTEVNPDRHTTPSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPGKQTESTHGAELRAQTGVHHGGDADTGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDSSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDDRGDATVANKGEDADEDDVTITKVQAGDKNTDAAVVKLMVADVLVDVAAEPSNPKPRSSRKTHLHRWLLPIYSANPSCKRGLHEDNGGGKSGNNELTVLPLSFRSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSMILVKDRHWVGIIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPHMVSRFCLTSRARELKYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPAV >A04p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7555661:7571443:1 gene:A04p010030.1_BraROA transcript:A04p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-phytoene desaturase, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G14210) UniProtKB/Swiss-Prot;Acc:Q07356] MVVFGNVSAANLPYQNGVLEALSSELMGHSSFRVPISSQGLKTRTRRRTAGPLQVVCVDIPRPELENTVNFLEAASLSASFRSAPRPSKPLKVVIAGAGLAGLSTAKYLADAGHQPLLLEARDVLGGKIAAWKDEDGDWYETVGAYPNVQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFPDVLPAPLNGIWAILRNNEMLTWPEKIKFAIGLLPAMVGGQAYVEAQDDLSGVPDRVTDEVFIAMSKALNFINPNELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVEHIRSLGGEVRLNSRIRKIELEDDGTVKNFLLTDGTTIQGDAYVFATPVDILKLLLPDSWKEIPYFKRLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSNLLSVYADMSLTCKEYYDPNRSMLELVFAPAEEWISRTDSDIIDATMKELEKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIQGFYLAGDYTKQKYLASMEGAVLSGKFCSQSIVQDYELLASSGRRNLSETTPGNKSPEVVQESCEVPPVEIPDNFPKSVEAASGNQRGGEPSIEGHSLAFVTLNDSSQVVEGGGDTISDVGLETDCKEDLPDESESKLASALGKRKDTFGSSWNDEVIYSDVEGPHGFAFEEISMMHPGEAEYTVSDDNVPVNTDQVISTKQYACDDVTFTENAAVSLDGTKHEDVESNLPETPKGEECETGTRSKAENLSIQTEGISIGTEVSSSDKLLLEHKAEPQGQTAVEISKVSPEDIKMKAEEGESSFGVSQDTVESEILEASLPEVVPIVADSNADLIRSYLTEHENELIQANVVAEENSPNSNLISVSACAVQHYVSDANDQKNSSPGTCIDSIPEIGAETCESNDEARREELVEESSFIKVADPISNFATDESAQTANNQKLVESGRTEFNRVVGGLGVIQANEIDSDVLKAHNLYAEVPVTIESNDLRDFGRLQNLSEAHVRSLVSSPLVTRNNNTSNAFASTSGLSENGSQSSSLAVALSENQEITMEKTAKEQHVPLKNLLSEARSPRLAAAEAKKDNIPRVSSILLDQETSPEEGGRWPERREVSEEWKSPAKYPVELKREERKVKGRPFWVPFVCCSSVK >A04p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6126149:6126923:1 gene:A04p012020.1_BraROA transcript:A04p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQSTVKKHIILYDVKTENETVNHAIFKCPPTIQKWYIAATPTPPLIFSSSTKGKIYQIPEVKQLYLQIIISEICMIYAHEHMTHSSMVVDGHGKIPKGKYNFWEPKNKEQGYNPYIQKSAIWSVQMSTCQVYGTDSRELITMIQDSGAWLRFSIELKEVLIQ >A09p000040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22229:24342:-1 gene:A09p000040.1_BraROA transcript:A09p000040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSWDNGSAVLDWIRRQLLEEDDIDMTASRSLATDSSSSSSSAPPRRGATYKGVRRRPWGKYAAEIRDPSKNGARMWLGTYETPEEAAVAYDQAAFKMRGSKAKLNFPHLISSAHEAQAVKVSNCCNKRQRPQESNSPVVPKRRNNINIINQTARLPSLGLKSAWFFFIVYHSTWFTKYLFVPSQFNDSLHTVTWRGDLHQETLSGFVAAIETIKILIEETKSTNSAKILRGFRDRMEFNIVSISRKANAVKTLIDAIEPENRRTAIGEDPSNEDMEKMISGNRGAL >A06p022830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12013489:12020100:1 gene:A06p022830.1_BraROA transcript:A06p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:Projected from Arabidopsis thaliana (AT3G47460) UniProtKB/TrEMBL;Acc:A0A1I9LLC4] MHIKEICLEGFKSYATRTVVPGFDPHFNAITGLNGSGKSNILDSVCFVLGITNLQQVRAANLQELVYKQGQAGITKATVSVTFDNSEVNRSPLGYEQHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYENKKEAALKTLEKKQTKVDEINKLLDQEISPALEKLRKEKAQYMQWANGNAELDRLKRFCVAFEYVQAEKVRDSSLHGVEQMKTKITSIDEETEKTLGEISELDKQVQALTRAREASMGGEVKTLSDKVDALSNEVTRELSKLNNMEDTLQGEEKNAEKIVHNIEDLKKSVEERASALKKSDEGAADLKLKFQELSTTLEECEREHQGVLAGKSSGDEEKCLEDQLRDAKISVGRAETELKQLNTKISHCEKELKEKKSQLMSKREEAVAVENELDARKNDVESVKRALDSVPYKEGQMEALEKDRGSELEIGQRLKDIVRDLSAQLANVQFTYRDPVKNFDRSKVKGVVAKLIKVNDRSSMTALEVTAGGKLFNVVVDTEDTGKQLLQKGDLRRRVTIIPLNKIQSHLVPSRVLQAAVRLVGKGNAELALSLVGYSEEIKNAMEFVFGSTFVCKTTDVAKEVAFNRDIRTPTVTLEGDIFQPSGLLTGGSRKGGGELLRQLHDLAEAETKLQVHQKRLYEIEAKINELKPLQKKFTDMKAQLELKMYDLSLFLKRAEQNEHHKLGEAVKKLEEEFEEMRSQIKEKEGRYKSCADTVSTLEKSIKDHDKNREGRLKDLEKNIKSIKARIQASSKDLKGHENERERLVMEQEAVVQEQSSLESQLASLRTQISTMASDVDKQRAKVDAIQKDHDQSLAELKLIHEKMKECDTQISGFVADQEKSLQKVSEMKLEKKKLQNEVTRMEMEQKDCSVKVDKLIEKHAWIITEKTLFGKGGTDYDFESRDAYKAREELERLQTDQSSLEKRVNKKVMAMFEKAEDEYNALMSKKNIIETDKSKIKKVIEELDEKKKETLKVTWVKVNQDFGSIFSTLLPGTMAKLEPPEGGSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKTHFPHSQFIVVSLKEGMFNNADVLFRTKFVDGVSTVQRTVTKQSK >A03p011700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4672807:4681066:1 gene:A03p011700.1_BraROA transcript:A03p011700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTALAYPKYILQESRRIDRELTPTLYTTIQISSMAPRWKWKGAEAKALAEPISKSVSELQLSLAKTESTGSLSSCNVLLAVEPEQAELLDRCCFGRLVLSAEKVKKWIQLSFEEAFYLLYILKCIKLSRQGRFLESEVEIWMYMRTKRPNFPVFFKAYSHLRSKNWVLRSGLQYGVDFVAYRHHPSLVHSEYSVLVQSGDSDRLRVWSDVHCAVRLSGSVAKTLLALHVSGNSNREDLNLLTCLGNYRVEEQTISRWSPELSREDDETTSPKPKVTNKKRPSRITELESLVSHLQEELKKAKDQATVSETSKKQAEEEAEESRKHLEELSSKLQETHNQECYLKSGASGDEIAGLAFVVEEIRQLKHQIENVASSEANHMKQAELRNSEIHLLRGNLMDTLFLVENFRNQLKDCEVSEAETEALATETLRQLENAKKAVEELKSDGAKAVESYKKMAGELEHSKSRMVLLEALVTKDKDYEEVSSLRSEVERLREALEASDRKGQEGSVEASSRLRIQAELQSELKIARSEIDELKARLVDKEAELEFVSEEKDNLYLKETDVEAELKQLREVVETFKADVMDKETELQIVSDENETLKSDINQRERDVQDVLVKLGIAMEEADKSSKRAVRVAEQLDATQASNSEMEIELRKLKVQSNQWRKAAEAATAMLSAGNNGKCDKTNSPYSEDVDDEVAKKKNGNVLKKIGVLWKKNQK >A05g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29172774:29174504:1 gene:A05g509560.1_BraROA transcript:A05g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDMKARKDYYNMLHYVADAQQGIPQLCPCGSITKEVVDEEDTYDYLPGKRYFICKDFENDGLHFRQPWVMGMQQEEKLLRECQSLKEQVRMLLKRVTELERAHSEVRSVTEPQERPMGVKAAKAAGKRKKLGKEEELGQLKEMMETKKQISNQSLLASLFAKTEPLSVMEEALKMKLLSEML >A02p009510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4017526:4018871:-1 gene:A02p009510.1_BraROA transcript:A02p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWQVYVVVISLFVHLVASQVETITEGRTGEITNKLFDGIRGKRTVEIINKLGGGLTLTLHCKSKDDDLGVQTLAPDSRWSFKFTPAFFGTTRFSCNFKWGGESHSFDIYDDEREVGDKQCYLCSWNIYNGSQGGFTCRFQESTGRYDICYVWNDEITFLAHKSLLQAHRSSNENDPPIIVSTNGSLSRKKIRIKILVFLDENREKMKEMLFLVHIK >A03p036250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15166259:15198470:-1 gene:A03p036250.1_BraROA transcript:A03p036250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSISSSFGTNPKNLKRRLNKKKASSAFALLLLLLCSASPSPSLSTIPSCVCVSGCSNAEASFCNPQAEKTGSDSLDMVRSEPCVLFAQTFVHPQLDEYVDEVIFAEPVIITACEFLEQNASSSSQAVSLLGATSPPSFALEVFVRCEGESKFKRLCNPFLYTPSAPYPLEVEAVVTNHLVVRGSYRSLSLIVYGNIVKDLGQYNIILEGRSVTDIVNSTEGNLEDLPLVLHSVNRTIEECLSSLDIVSLPLAAVDVPVEVKRLLQLLVKVFDQLATDDVLNKFVDTVVSGVSSYVTDNVDFFLKNKNCTAVASSVDSGIFHDITDKVKKDILDLNEIQESDVPLGSSELLSFLESETNLATSQQLVDMLSPYIQFESDSLCTAFPQLSKGKATLLGLSLAFLLCSGREGCLHFVNSGGMDQLVFLFGHDVQNSTTITLLLLGVVEQATRHAVGCEGFLGWWPREDGSIPSGKSEGYCLLLKLLMQKPCHEVASLAIYILHRLRIYEIVSRYEFAVLSALESLSNSHGAATHNLNMLSDAKSQLQKLQKLMNSLGSVEDPSPSAYAERSLVFDHSEGWLSYKATSKLTASWACPFSNSGTDSHMLSLLKERGFLPLSAALLSIPGLHSELGDILDVFTDIAMFIGNIILSLMFSRTGLSFLLHHSQLTATIIQSLKGSVDLNKEECVPLRYASVLISKGFTCSLLEIGINLEVHLRVVSAVDRLLKSSPRTEEFLGILWELRDVSRSDCGREALLTLGVFPEALAVLIEALNSVKDTDPAVENSGISPLNLAICHSAAEIFEVIVSDSTVSCLHAWIEHAPVLHKALHTLSPGGSNRKDAPSRLLKWIDAGVVYHKHGVVGLLRYAAVLASGGDAQLSSSSILALDLTSAENGVGESSNVSEMNGLDNLEKVILEKSFEGVNLSDSSISQLTTALRILALISDNTTVAAALYDEGAVTVVYAILVNCSFMFERSSNIYDYLVDDDHGCSSISDFLSERNREQSLVDLLIPSLVLLISVLQRLQDSKEQYRNTKLMKALLRLHREVSPKLAACAADLSSHYPDSALGFGAVCHLIVSALVCWPVYGWMPGLFHSLLTGFQTSSVPALGPKETCSFLCILSDILPEEGVWFWKSGMPLLSGLRKLAVGTLMSPQKEKQINWYLEPAPLEKLLNYLTPNLDKIAKIIQHHAVSALVVIQDMLRVFIVRIACQRVEHASILLRPIFASIREGILDESSTRETEAYKVYRYLNFLASLLEHPQSKGLLLEEGIVQLLVEVLQRCYDSTYPNEDRVQEFGIVSESSVIRWCIPVFRSISLLCHSQVPLSCFPKKELLASLSAKDCASIFPFVLKFCQVLPIGNELLSCLCAFKDLVSCSEGQDCLVSLLVHLFSGPENPAYDTNNLSLDQVEMKKNPPFLSCWIKLLNSVNSKDGLSVLAIKAVNVLSVSSIRLCIDGKSLDSKKVAAIKSLFGLPSDFSDTDTFRVENIGLIEQMVTLLSSMTSGSDTSATAEMKPCLHEVSQSLLSLLKDGNIDDITSCKIALVSTENFDMNDVDSENIEDDFLQRGLEDKFWWECPETLPERLPQSSLSAKRKLPTVESSSRRAKGENSSVDIPTQSSIQRVGSASLPPAPTRRDTFRQRKTNTSRPPSMHVDDYVARERSIDTAGNSNAITISRAGSSSGRPPSVHVDEYMARERRGQNPSTIVVGEATAQVKTPTPARETEKAAGKPKQFKADPDDDLQGIDIVFDGEECEGADDKLPFLQPDENLMQPAPVMVEQNSPHSIVEETESDANGSSQFSHMGTPLASNVDENAQSEYSSRISVSRPEMSLIREPSISSDRKFVEQADETNKMAPLKSEPGFVPGYNNIPGSSGQNLMDPRVGPQGFYSKSSQQQHSGHIQGGFSGRGVYDQKMLPNQPPLPLVPPPSSSHVMQHSSDSLSNQSSPFSRGTPSSGGGPIRHMPPHPSAIPQYSSNPYASLPPRTSTVQTFGYNQGGAGTTEQQQQSGPGIDPQPGTGMTSYPPPNLMQSGYSRPFYGNPMHQGGDKQQQNMMPVPQSLNPHSIPQQLPSMQLQRPMQPPQHVRQPMQISQPSEQGISLQNQYQIPLHPMQMMQQPQVQPYYHPPQQQEISHVQQPQPQQQAVQGQQGAGTSQRQESGMSLHDYLQSPETIQALLSNREKLCELLEQNPKLMQMLQDDQQAEASYTFGDRSTSDIVVRLRNEEGRDDWIYCHSKILTEKSRYFSDRLSDKWPTCKILDSRYCVEVICQESDYDHHINLLRLLYVVSDDVPEDQLCHNVKSALGILCVAKELDCPLVVTACVNYLEAVPWEEGEEEEMLRVVPMIGSEAEPVLARLQPVDPSAVAGIFSSGFRFATSSPPQPLCDIKASAQEQIEYMITEDDDAPLLIADEVIKLEVKECVKSLFARFFQCLEEVTSKNGLSLKMVVSDLSWAFQILTKMEMVRDFVVTWVDTSEKLVKVVEAMETAAETVEIRVKVTEVTSKVVEAIGYGTVILPTVKRLQMVKLWLPFVRETKPLVDSAGSNQEEDKEEGVRCKIDGEIWQALESSFVSIILALPSSDQAEILNEWLSKNGVYPDLTEAFEVWCYRSKVAKRRLGLVGGAEDGKDMTLFTISCVLSAKSPLPCLKIFDLSFSENLKYLPDLSKATSLEELRLKSCRSLLELTSSIGNATKLYRLDISECRNIRDFPNVSDSIVELELCDTGIKEVPARIDNLFRLRKLIMCGCEKLKTISPNISKLENLEFLSLSNKGYCLHDHYEDDEKIHKCYDLFEAIVEWGPDFKRSWILQSDLDVHYILPKCLPKKALTSPISLRLRSFDGIKTIPDCIRRLSRLIKLDVKECRRQLVALPPLPDSLLSLDAQGCASLKRIDSSSFQNPNICLKFARCFNLKQKARMLIQTSACKYAVLPAYLINKVASNVMAVLGFTPSKDFDDFVGIGARITEIKSKLIFQSENVKVIGVLGPGGIGKTTTARVLYNQLSHAFPFSTFLENIRGSYEKPCGNDYQLKLRFQKNLLCQIINQSDIEVRHLRGAQEMLSDKKVLVVLDEVDHWWQLEEMAKQPGWVGPGSMIIITTEDRKLLKALGLGSDHIYKMKFPTSDESLQIFCQYAFGQKSPDDGFESLAREVTWLVGDLPLGLRVMGSYLRGMSKDEWIEALPWLRSTLDREIESTLRFSYDALRDNEKTLFLHVACLFSVFYASIFKSYFANSSLEVNHGLEVLAQKSLITIDHKHGRVYMHRLMAQMGREIVKKQSTENPGKRQFLTDTKDISHVLDEDTATGNVLGIHLDTTWTGEEIQINKSAFQGMNNLQFLSLFSCTIHTPEGLDCLPDKLISLHWYSCPLRIWPSKFSGKFLGDLVMQNSKFEMLWEGVKPLPRLKRLDLSVSRNLKKLPDLSEATSLEELRLYKCKSLLEITSSIGNATKLYRLDISGCEKIKDFPNVPDSIVELNMSETLIKEVPPWIDNLFRLRELRMHLCKKLKTISPNISKLENLEFLALSNHAYHACDDEDYTKYEYENVIEALIEWGPDFKRSWILESDFDVHYILPICLPEKAFFTSPISLRLCSSGIKTIPDCTRYLSGLIKLDVKECRELVALPPLPHSLLSLDAQGCESLKTIDSSSFQINPNICLNFAKCYHLEKKARKLIYTSACKYAILPGEEVPAHFTHRASSGSLTISLTPRPLPSSFRFKACILLSKVHAHPGDTSDDDYNDEESESSWTGTSCSIRGKQNGITVGGGSNQLRMPALYGNKEHLYIFEDSFSLNQDSPEAEETTLTELTFVFRVDDETCKVEACGVRFIEANNESAGGEDEDEDDGDGNTEANNETEGEESRSDEDEETRSRKRMKLSSARFLDNDLPFMVIPYSCAEITSNSRERLLYVVSDHDDVPEDHHLCHNVKSALGFSVLLRSLIVL >A08p023690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15578598:15583340:-1 gene:A08p023690.1_BraROA transcript:A08p023690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFVKKDDDHDDEMEYSPFMGIEKGAVLQEARVFNDAQVDPRRCSQVITKLLYLLNQGESFTKVEATEVFFSVTKLFQSKDTGLRRMVYLIIKELSPSSDEVIIVTSSLMKDMNSKIDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVSSAALVSGLHLLRTNPEIVKRWSNEVQEGVQSRSALVQFHALALLHQIRQNDRLAVSKLVGSLTRGSVRSPLAQCLLIRYTSQVIRDMSNHGQSGERPFYEFLESCLRHKAEMVILEAARAITELDGVTSRELTPAITVLQLFLSSPKPVLRFAAVRTLNKVSMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVERLMKQITNFMSDIADEFKIVVVDAIRSLCVKFPLKYRSLMTFLSNILREEGGFEYKRAIVDSIVTIIRDIPDAKEGGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGFMVDSLKPRITVLLKRCIYDSDDEVRDRATLFLSVLGGDGTLDADKDSKEFLFGSLEVPLANMETSLKNYDPSEEAFDINSVPKEVKSQPLAEKKAQGKKPTGLGAPPAAPASGFEGYERLLSSIPEFASFGKLFKSSSPVELTEAETEYAVNVVKHIFDNHVVFQYNCTNTIPEQLLERVNVIVDASEAEEFSEITSKALNSLPYDSPGQAFVAFEKPAGVPAVGKFSNTLTFVVKEVDPSTGEAEDDGVEDEYQLEDLEVVSADYILKVSVSNFRNAWESMNEEDEHVDEYGLGQRESLGEAIKAVIDLLGMQPCEGTETVPSNARSHTCLLSGVYIGNVKVLVRAQFGMDSSKEIAMKLAVRAEDVSVAEAIHEIVANG >A08g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19889892:19891368:1 gene:A08g509620.1_BraROA transcript:A08g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCNDTERINFAKTTGLSRTFKSFRSFQSERKEENIRFLDFHQYQVVGRALPTENEVQPKIYRMKLWATNEVRAMSKFWYFLRKQVKIKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRFPCIQICKRESTKQFHNSKIKFPLVYRKVRPPTRKLKTTYKASKPNLFM >A06p049270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26051982:26054781:1 gene:A06p049270.1_BraROA transcript:A06p049270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFLAKEPPPPVVLVPPLFDFPPLSARNRMLEPSYNLLFGKLALKCLFEDYFEDARQFSAKFLLKPIDDPHVDLVASLSGALDRKAEGDLVGNALFRWQSDVDDPHTFVDLSVSTSNPVLLMRSSAYYPKYGVGAFAIYPLLSKKAYGSTNLSLGATVSPFTTKDEFPKSAWLVSKMGRLTVGVQYEPLCDENKDTAKYSDLRNWSCAAGYGVGSRSPLSPSFNFGLELARSSQFIASFYQHVVVQRRVKNPFEEDEVVGITNYIDLGFELQTRVDDSKASDNLPDSSLQMAASWQANKNFLLKGKVGALSSTFTLAFKSWWKPSFAFNISATTNHSTRETAYGFGLRVDNIREASYQRADPNFVMLTPNKEHLADGIVWKMGKRPMFQSDLDAENFSELPKELRPPQKIL >A05g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18453281:18457087:-1 gene:A05g506450.1_BraROA transcript:A05g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGFDGVLFWPDYTLMFITSDFKVLICLPFSPQESERTTVRERLRVGRNLRERGVFRRREREVAWLSTGGAGRKGERRRRKLSKVESTISESTNSQLHKRFPVYPTVLENERDIVGKVSLSAFQIILQIGKLRKVSYGPKTLILVSQLTVSSVVHFIQWLREVLLYRPICVEITGSTGNHSCTTYKLREYMEALLYYVAIVCAEIAGRTGKHFCTTHELRDYRKVHIYYIALCMRRMWEYILLSDSPVAHPSFPLKVSLPSSWISGRSNMSFLKEEETGVTI >A09p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1682531:1683699:1 gene:A09p002470.1_BraROA transcript:A09p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNSGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAYNQVGGVFNQHMLARPRLPMMPMPMGMRPPILPRPMMPGQGYMPPPGVPQMMAPPGAPLPPPPPQNGMLRPPGLAPIPGQGGPPPPNYNGLPPPPPYHTNPAAAPTSVGFNNPNPGAESPESNE >A08p028250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17736690:17738428:1 gene:A08p028250.1_BraROA transcript:A08p028250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKRVPTVVSNYQKDEASDESVGCGRNCLGACCLNGARLPLYACKKLENSGTGEKVVISHEAREPPVAFLESLVLREWEDRFQRGLFRYDVTACETKVIPGKYGFVAQLNEGRHLKKRPTEFRVDKVLQSFDGNKFNFTKVGQEELLFQFEAGEDCDVQFFPCMPLDAENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLALHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPLEKAPSKKMITTVSGVKISELVNYPVRSLLFEGGNSMQDLSDTVSDACVCLQENNIPFNILISDCGRQIFLMPQCYAEKQALGEVSPELLETQVNPAVWEISGHMVLKRKEDYEGASEENAWRLLAEASLSEERFKEVNALIFDAIGCSNQEEELEGILVQPSGSVNQTGNRTLGGPIANGAASECLVLQ >A01p001310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:496069:497214:-1 gene:A01p001310.1_BraROA transcript:A01p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSIKDAFDRVANKHKLSHTKTHEIVHMLSQELDKALSLMQDTQLDHKSILVDAKKLFTDKSPAAQLDSAEKELNVALTKYPKVLEKQLNPEIAKAYRTNAEFDTHVVNQIIANFFYRQGMFEIGDCFVAETGESECTTRQSFVEMYEILEAMERRDLAPALNWAGANSERLKQARSDLEMKLHSLQFLKISQGQNSQEALSYARKHFAVYADSCLYEIQKLVCSLLWDKNLEQSPYSEFLSPVLWDNAVRELTRQYCNLLGESSESPLSVTVTAGTQALPVLLKYINVMANKKLDWQSVEQLPVAVELPEEFQFHSVFVCPVSKEQSSEDNPPMMMSCGHVLCKQTITRMSKNGAKTSFKCPYCPTDIDSTRCKQLYF >A02p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2278158:2280552:1 gene:A02p005250.1_BraROA transcript:A02p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSSDDETLNPAAEESSKTQKQSQPTVSNTLSALPSGGEKLNSNSKSNGGAKTELLLPRDGLGQIAAHTFTFRELAAATMNFHPDTFLGEGGFGRVYKGRLDSTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMSLGSLEDHLHDLPPDKEALDWNMRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDTDMPHGEQNLVAWARPLFNDRRKFIKLADPKLKGRFPTRALYQALAVASMCIQGEAATRPLIADVVTALSYLANQGYDPNKNERGARLITRNDEGGGSGSKFDLEGSEKEDSPRETARMLNRDINRERAVAEAKMWGESLREKRRQSEQGTSESNSTG >A09p012090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6202504:6203206:-1 gene:A09p012090.1_BraROA transcript:A09p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLRPHHKDDNRETVSSSDDSLSSVSPQTPTKDAIGERVARFLRITSSASSPVTSPLMKIVLIANLDLGVADDNLRTVVYPRGVKSRYSLGIKIEGYVNSDEGDEEQCEDEDGEYEYDDEHYDEVISRTVKVKRDRIRKRGTKEFTKRGCIYCKYGLGIRFSLGKTDIGVDHDPLSVKNW >A09g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13009793:13011477:-1 gene:A09g504160.1_BraROA transcript:A09g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRLRSRSNPSLLTLNSSSATFPLTSIVLSSLSSSKAPETLRWLRGAASEVKAAAQQFNGYTCTTQHIMINRSTTVSLSVFDAQADQLKQNILAIGVAKMIVATIINPKFVGGNIQCGKGRLYLDATSGIHFYFDHEVAASQRLFQELYSKPEKDTTSAKQYHGVKKLEKVSLGELNNYVLESPPQAIEFLCKAKIASLETTNGWCYISCAKCSKKLQRGNS >A01p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10546152:10548615:1 gene:A01p021630.1_BraROA transcript:A01p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFNDHLLGDVELTLQTLLSMRRRGADLLRGLEVLSGIVRQPCLTARYLRLNLNQTEPWRARRTLSEEVSKGKDSLELEKKTRATMNAPLDSLQVMYENSDDAFCLRKPWQATSTLKLTVLTREKMSFRHWWKEYADCSQGPIPQVVPKNKSNKHLTETLLEAYVSSTLYEVEEERVGVPVKRRLYEVPSFFAVLNHNTVGGLGEEALFPVYWNGDSWPVLRVQKLLPITWNFHIITRSGAEEGTNPMGFLHLMRIWRFQEYVYFSLSLPEKMILGNLGLMRLNLKRELGQELKRS >A07p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14198115:14200556:1 gene:A07p024640.1_BraROA transcript:A07p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G28350) UniProtKB/TrEMBL;Acc:C0SV66] MEQERSLDPQLWHACAGSMVQIPSLNSTVFYFPQGHAEHAHAPPDFHAPRVPPLILCRVASVKFLADSETDEVYSKITLLPLPGNDLDLENDAVLGLTPSPDVNGNEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTVIAKDIHGETWKFRHIYRGTPRRHLLTTGWSTFVNQKKLIAGDSIVFLRSETGDLCVGIRRAKRGGLGSNGLGSDNNSNSNNPYPGFSGFLRDDEITTSKLMMMKRNATGGGGNANDANAPGGRVRVEAVAEAVARAACGQAFEVVYYPRASTPEFCVKASDVRSAMRIRWCSGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPRKKLRIPQPFDFPFDGTKFPMFSPGFAAGNNGGGESMCYLSNNDNNNNNAPAGIQGARQAQQLFGSPSPSLLSDLNLNTFHSGNKLQQSSSSPAMFLSGFNPRHHYDNIVAPRQTRDAEFNNNISCSLTIGNPGLVQDKKKSGSVKTHQFLLFGQPILTEQQVMNRKRALEEEAEKEEKGGLTWNYGLQGLETGHCKVFMESEDVGRTLDLSVIGSYQELYRKLAEMFGIEERSDLLTHVVYRDANGVTKRIGDEPFSDFMRATKRLTIKMDISGDNVRKTWITGIRNGENGIDSSTKTGQLSIFA >A05g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13925984:13930847:-1 gene:A05g505000.1_BraROA transcript:A05g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESLKQVVTQQPNVRRARSLRSDRALVPLGRYVGTGLELKSDRCVAIELFRTSTNINPCILVKPSNAISRRPYRSKRVESEDGPKGPKTRLEAHPTTSQLKAHKPQHGNFPFLLFRAATQLGLAVLGLLELGILPTALEPRLKPCYIRVLWEIRVFLVSLFKRKSTVRISVPTRLNSSEHRQTSIHAFSSNLQMLSPEDRSKLSPCFPLF >A09p058560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49299498:49300695:1 gene:A09p058560.1_BraROA transcript:A09p058560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFRTKVTKLTIMNHLSSELEASVVIGMDVAASEFYTSDKTYDLNFKEEQKISGDALKDLYKSFLAEYQIVSIEDPFDEDDWKHYAKITREVGEKYQIVGDDLLVTNPKMVEKTIKEKSCNALLLKVNQIGSVTESIEAVKMAKRAGWGVMASHRRGETEDTFLADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAEAVYAGVNFRKPVKPY >A06g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20517885:20518711:1 gene:A06g507390.1_BraROA transcript:A06g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIFLECETERDEAGMEENERDAERYEYERDTERDRSPCYEEARVERNVRDFMDEDVDLFATPCRNAKTRALEMIKEENDQQFSRLRDYRVELL >A02p011350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4909426:4910616:-1 gene:A02p011350.1_BraROA transcript:A02p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKRSLSPRLGREQDHADNHTTTIPFDLIIEILSFLPAKSLIRLQSGSKLWFSTIRTKNFIDLFLARSKTRPRLLLPYMHVESKKRFILSAPEHNKEEDKSASTVTLRHDMTILDPLYYVISRPVNGLICCVRDSSISVCNPATRQIVKLPDVTCNGGDMYARLGYDPVEDQYKVLCVMIAKLNSFGPYGQDIRQEHLVCTVTSSEKQEWRKIENSTIDSYRIFSGGICIDGVIYYEIGQSRIVRFDVRTETITIIQAPEESDFATTFPSTLLNYKGKLGGVDYKNVMRLWILEDAEKQEWSSMTCEFPSELKCLLGSYVVCKGDIHNGELMVFHPWSSSLKPFFVWYYDFKKESIIRKVEIKVDGEFRRIHGIGEKTCQMLCYPGYFENIRFL >A08p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18385423:18386338:-1 gene:A08p029830.1_BraROA transcript:A08p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRESQNAPSKREKAIIAGSFLVVPCIGFVSGKGGYHSTVLAGLCLPRVGLPFRLKRCFGSIYAESKSCRGRLLFPVLPVSGMSPVERRLVRPATTYLWVKLVTSLLKNTYVFLPSLELYTCELSWQNHDLPFAFFCYWALTLF >A09p077150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57279428:57283691:-1 gene:A09p077150.1_BraROA transcript:A09p077150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGTLKDHLHGSSSNVPLLPWKRRVEICIGAARGLHYLHTGASQTIVHRDVKSTNILLDQNFVAKVSDFGLAKYYISRDNTQVISNAKGTVGYMDPEYYGGERLTVKSDIYAFGIVLLEALCARPAVDVSSADQRVSLATWAQNFYKKGMLDQMVDPRLKGDINSQSLKKFAETAINCVRRSGVERPSTAAVLVNLELALKLQEDGLDRKKTICRESDMDSDVHEAGETPFPVWRSGPWNGQIFIGLPDSISLLFLDGFNVSNDNQGTFLISYATDSFMHHFNLDPDGALYMRSWNTSTRAWTVDAIIPSTTCDAYNRCGPFASCGLQEVPPCKCVKGYVPRNSTEWNRGIFTNECVRRVPLKCNVSNGGGGKEDGFFKMQKMKLPANVEKSVANEKDCPKVCLENCSCIAYAYDRGIGCMLWSGSLVDMQSLLGSGIDLYIRVSHSEFKTHSKRTVLITSSVLGVVFVAMVCVLLACRKFKKRPAPEGDRSAELLFQRMEELTSGNESSSNQVKLKDLPLFEFEVLATSTDNFSLINKLGQGGFGPVYKGILPNGQELAVKRLSRASGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERLLVYEYMSKKSLDAYLFDPMKQNILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARVFRANEDEANTTRVVGTYGYMSPEYAMEGFFSEKSDVFSLGVIFLEIISGKKNSHKEDNNLNLLAYAWKLWNDGKANSLADPTIFNECFEKEFTKCVQIGLLCVQEVASDRPNVSTMIWMLTTENTNLPEPKQPAFIATRRVFEAESSGQSSQKVSINDVSLTAVTGR >A03p005890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2460997:2463369:-1 gene:A03p005890.1_BraROA transcript:A03p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RETICULATA-RELATED 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12470) UniProtKB/Swiss-Prot;Acc:Q94CJ5] MAMTSCFFTVPISESNLTRPHLAFSPRLPSSSSSFAGVISAKSISFHRRLTISPVFSASIDNGGSDNNNNGGDGGGGSGDGDGGSGEDRDRNRSEAMMLLAESGTELESLPKDLAAAIESGRIPGSVITRFLELQRSAVMRWLMQFAGFRERLLADDLFLAKLAMECGVGVFTKTAAEYERRRENFFNELEVVFADVVMAIIADFMLVYLPAPTVSLRPPLALTAGGISKFFHNCPDNAFQIAISGTSYSLLQRLGAIARNGAKLFAVGTTSSLVGTAVTNAFIKAKGAVDKTSEGEVETVPIVSTSVAYGVYMAVSANLRYQVVAGVVEQRFLEPMLHQHKLALSAMCFAVRTGNTFLGSLLWVDYARLIGIQKSH >A07p043430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23759037:23759968:-1 gene:A07p043430.1_BraROA transcript:A07p043430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U12 [Source:Projected from Arabidopsis thaliana (AT1G69920) UniProtKB/Swiss-Prot;Acc:Q6NMS0] MAQSGLNSTVKLLGTWGSPFALRAQVALHLKSVEYEYMEEVLNSKSDLLLESNPIYKKVPVLIHGDFSVCESLNIVQYIDESWPSDPSILPSHPSDRAFARFWAHFVDGKCFETINEVAGAKDNDVRMAMAENLTDCLTSLEEAFQKSSKGGYLFGGENIGFLDIACGAMVGPLSVVEVFSGVKFLNEDTTPGMFQWAEKFRAHEAVEPYLPTVAEFLEFAKKKFNVQ >A03p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12215560:12217462:1 gene:A03p029050.1_BraROA transcript:A03p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDSLNGYRLEPKWEIDPQLLFVGPKIGEGAHAKVYEGKYKNQTVAIKIIHRGETPEEIAKRDSRFLREVEMLSRVQHKNLVKFIGACKEPVMVIVTELLQGGTLRKYLVNLRPACLETPVAIGFALDIARGMECLHSHGIIHRDLKPDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRLGEKKHYNNKVDAYSFAIVLWELLHNKLPFEGMSNLQAAYAAAFKNVRPSAESLPEDLGVIVTSCWNEDPNARPNFTQIIQLLLNYLSKVGSPALSAIPQRILASKNSLLPPDSPGTSSLMATKLDECGETPKAKTEDKRKEREKEKQFLFCSGLVPEMW >A02p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1622615:1623210:-1 gene:A02p003770.1_BraROA transcript:A02p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERRSEEERSHLWGPFQFCNEALKSFLKCLGLYTSPSNSSASSVHQVQEDAVTTRGILVGSKKRPREPHSSGKPGGHN >A05p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3201200:3202238:-1 gene:A05p007870.1_BraROA transcript:A05p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLPPPPLLSVNLPLVEKTTDSELTPTRLGFPNEFPYEFDSPAFSPGFTSPGNSTETEDESSDDEEDFLAGLTRRLAPSTHRLPPPSFKSEEKRQVAATSPLIPPSHAHTTSFRRDNAWEVISAAAGEVARLKLGSYEPHLPRESPSLTLRRQNAAFQTERYLQQQRLLDQMWLCSQARTKSSENHFPKRALNEDIAFQNMRRNASSSAATWIPQHAVAPVKRPSAGTGVFLPRRYPTTTSSEPIKKSVPVNRSVMSQSRVCPPQTLSFDEFTNVGSRLSQFDYECMLARSTLLARQGNYRAVGCLNQERRLPQDWMY >A06g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3563621:3565825:1 gene:A06g500900.1_BraROA transcript:A06g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDNSNRCSNGKKLNGGMKLIIATFIGVVIGFFLGISFPTLSLTKINFPSTILPSVDIAYVEDETPETSSETLLHTWSSRTPSHRANASHWKAIIWVPSNPRGAEMLTPGIVSPESDYYLRRLWGLPEEDIPVKPKYLIAFTVGLSQRVNVDACVKKFSEDLFTLVLFHYDGRTTEWDQYEWSKRAIHVSVPKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGLEHFNVEEYIRLIKKHGLEISQPGVESEKKITWEITKRKTKGEVHKDAKEKPGRCNDPHLPPCAAFIEIMAPVFSRDAWRCVWHMLQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIIHQTVPSLGSQGEEHDGVAAWQGVRDRCKREWTMFQSRMASSEKMYLKELAAASSNSTIP >A03p047800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22205204:22208283:-1 gene:A03p047800.1_BraROA transcript:A03p047800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSERRDERVVVAIDRGKGSQAALKWAVDNLVTSGESLTLVHVKLKQALVINANPNKSSNDVKELFLPFRCFCNRKDIRYEDAVLEDVDAAKGIIDYVKENAIDILVLGASKMTLLKRFKAADVTSTVMKGAANFCTVYAISGSKISSVRSATSSPPPLCAIRPQITARPSNNSMGQGETQDEIEIKYKSQRGFDQASRTDSDISFVSSDRPSVDLMFPTSRLSIYSEFEDNRCSFATSSCSSEKQSVDLGSSYSAFSPSSQESGRLSTWSLQDDVQAEMRRLKMELRYTMEMYSTACKEAITAKNMSKELHKWRVEKEHKLEEARQAKEAAMAMAENEKAKTRAAMEAIATANRIAEIEAQKRKQIETASLREAEDKNTSDRRYREYTIEEIEEATENFSINNKIGEGGYGPVYKGTLDYTKVAIKVLRPDARQGRSQFQQEVEVLTSIRHPNLVLLLGACTEYGCLVYEHLENGSVEDLLLKRGNNSPSLTWQLRFRIAAEIATSLNFLHQMKPEPVVHRDLKPANVLLDQHMVSKIADVGLARLVPPSVSDAVTQYRVTSAAGTLCYIDPEYQQTGMLGTKSDVYSFGITVLQLLTGKPPMSLTHQVQKAIEGGTFAEILDPDVHDWPLEEALVLAKIGLQCAELRRRDRPNLGKDVLPELKRLMDLAEESMSE >A08p029320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18181538:18183234:-1 gene:A08p029320.1_BraROA transcript:A08p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPYNLRHQPRKSSSTTTQAFTVIILLLFFIMIVLGLGILSLPSTSRNSSRPVDLTTVVRDSEERESNGNEDGNGDRWVEVISWEPRAFLYHNFLTNEECEHLISLAKPSMKKSKVVDVKTGGSKDSRVRTSSGTFLKRGQDEIVEEIENRISDFTFIPVDCQTEQKEVGRLSLNFGGDTLLVELMCDVTVENGEGLQVLHYEVGQKYDPHHDYFSDEYNVKRGGNRIATVLISDVEEGGETVFPAAKGNISDVPWWNELSQCGREGLSVLPKKRDALLFWSARPDATLDPSSLHGGCPVIKGNKWSSTKWFHFNEYTA >A07p002020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4059488:4059957:1 gene:A07p002020.1_BraROA transcript:A07p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYIRDNIESQIKCVATGHHAYAFREGFQNRGGRGEVIVVLRMWRVRRYIGYVDPIELWLETKGGLSDFRFNPLLLEVEEFMQSLLHSDPYVQRHGAIGPL >A03p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2651235:2653908:1 gene:A03p006260.1_BraROA transcript:A03p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTQQPTIFQKASGQILRSGVSQDIHGYTSGFQRRATYGNYSNAAFQYPLAASSRIVTTTSPVFVQAPGEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPLLPADLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDKLQLLLLGKKFGSGSDVQKGKRSNVDEYLFMLKE >A03p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21176518:21183751:-1 gene:A03p049460.1_BraROA transcript:A03p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRNSFKSHSTYKQIRSPGNQSEANPENRPILHDHDHHLGMAHRKTESSRSSLDDGRNAPLERDSSYKFWQENTGTSEEPARTSLKDPTTINRQSGTLSDSFNFGSGKPQPPMEEPKSGGEHRQWGGRGEITLDVDHDNDDVSHQTQPTPTSTARSSFDPARDLRVSFNVQKAGINFVGSVPSSSTTPRSTTPSLQDPPPEEDSSYSGWRSGQLKSGLLGDIDEEDDPLAEEDIPDEYKKGKLDAITLLEWLSLVAIIAALACSLSIPSWKKVRVWNLHLWKWEVFLLVLICGRLVSGWGIRIVVFFIERNFLLRKRVLYFVYGVRRAVQNCLWLSMVLIAWRYLFDKKVQRETDSKFLPYVTKILVCFLLSTILWLIKTLVVKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPMIELSRIEEEEERAQQEIFKLQNAGAKLPPDLCAAAFPPRKSGRVLNPKLSTVISKSAADGGISVKHLQSMNHKNISAWNMKRLMKIVRHVSLTTLDEQMQETTNEDESTRQIRSEKEAKAAARKIFTNVARRGSKHIYLDDLMRFLREDEAVKTMYLFEGAPETRKISKSALKNWLVNAFRERRALTLTLNDTKTAVNKLHHMINIVTAIVIAVISLVLLEIASSKILLFVSSQVVLLAFIFGNTVKTVFESIIFLFIVHPYDVGDRCEIDDVQLVVEEMNILTTVFLRYDNLKIMYPNSLLWQKSISNYYRSPDMTDTIEFCIHITTPHEKIATIRQRISNYIDNKPEYWHPSAKIVVKNVEQLNMVRLVIWPDHRFNFQDILERWARRSVLVEEIIKILLELDIEYRFYPMGINVKAMPTVVSSRAPASTATKQTWNVTPATRSGKTLEPTTTRKARRAVVSISRNTAMRLHSMWTTRKQRRISPTHQPRRSVRSRTSSTSFSSATMRMNLDPQDQDEEVVVRCSSMRKTELVSRAKARSRLIDPPQEEEQQYSSWMGTSEQLRSGLLARQSSLEEEDDHSLAEEDVPEEYRRTKMDAITLLQWLSLIAVVILLVLSLGLHSWRDTTLWKLHLWKWEVVFLVLICGRLVSGMGIRIIVFFIERNFLLRKRVLYFVYGVKTAVQNCLWLGLVLIAWHFLFDKKVERETQSDVLLLVTKILTCFLLSTILWLIKTLVVKVLASSFHVSTYFDRIQEALFHHYLIETLSGPPMLELSRIEEEEERAQEEIFKMQKGGADLSPDLYSAAFPPEKNGSVSNSVKTPIIPKTGTDSGITMNDLNRMNQKNVSAWNMKRLIKIVRHVSLTTLDEQALQNTSEDESIRQIRSEKEAKAAARKIFKNVAQRGTKHIYLEDLMRFLRADEAIKTMSLFEGALVTKKITKSALKNWLVNAFRERRALALTLNDTKTAVNKLHHMINFLTAIVIVIIWLVLLEIATSKSLLFLTSQVVLLAFMFGNSLKTVFESIIFLFIIHPYDVGDRLVIDTVEMVVEEMNILTTVFLRADNLKIVYPNILLWQKAIHNYNRSPDMGDEVQCCVHITTPPEKIVAIKQRISSYIDSKPEYWYPKADIIVKDVEDLNIVRLAIWPRHKINHQNMGEKFTRRALLVEEVIKILLELDIQYRFHPLDINVKTMPTVVSSRVPPGWSQNPDIQGSN >A05p050170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29019998:29021928:-1 gene:A05p050170.1_BraROA transcript:A05p050170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALCRTASRLRPVQLCHRFRAVSDLLSPSSPSPACISDHGDFSLPRSMFTLSCGIERLRMDQRRLLSTSASDSTSKPSSGESEAKSSGENEKSGGSEGSDGGGSDQKSDRASGKHARGAPVSWMSFFLLFATGAGLVYYYDREKKRHIEDINTNSKAVKEGPSAGKAAIGGPFSLIRDDGKRITEKDLMGKWTILYFGFTHCPDICPDELIKLAAAIDKIKEKAGVDVVPVFISVDPERDTVQQVHEYVKEFHPKLIGLTGTPEEIKSVARSYRVYYMKTEEEDSDYLVDHSIVMYLMSPEMNFVKFYGKNHDVDSLTDGVVKEIRQYRK >A06p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15041935:15042701:-1 gene:A06p024940.1_BraROA transcript:A06p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNLHMGVRSKDELLLWIIKCVAIIAAINSVPPPVEDAGGNRVLWKHGDDNYKPWFSSSRNLGSHHQIRVHRNVMEWSEIIWFSEAMPKFSFISWLAVRNRLSRADRTRAPHILCISYSYTLWTDLVGCFLPRPSPDWNITMNTLLSPRRNKVDSYMLRLAFQRSIYSL >A02p056240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33946037:33946507:-1 gene:A02p056240.1_BraROA transcript:A02p056240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIPRIKFPQRHSPSSSSAISSGPGPTSGSVSGGNKNITASSDVPAAPKNTAVGGKASLQPKRTPVSDKEIESIMLGGCI >A09p024650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13675989:13677655:-1 gene:A09p024650.1_BraROA transcript:A09p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLYSPFVSTGYSHCNHPNHIPPPSTTTTSALPPALRHRRRELRSVLTRSLNPMEVSGVDSEGKEFNSAQEMWREAIGEEGDETKKTQWYRDGVSYWEGVEASVDGVLGGYGHVNDADITGSEVFLKTLIQERLVNGGTNQHLVALDCGSGIGRITKNLLIRYFNEVDLLEPVAQFLDAARENLASIVSETHKATNFFCVPLQEFTPAAQRYDVIWVQWCIGHLTDDDFVSFFNRAKGCLKPGGFFVVKENLAKKGFVLDKEDRSITRSNPYFKELFRRCGLHLYQTKDQKGLPQELFAVKMYALTVDIPPKVHKTRLKTRSNRPQIIK >A03g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29830006:29830927:-1 gene:A03g509040.1_BraROA transcript:A03g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTTRLFWICLCLCLSVLVNASLEDEGPDYLAMAALRASLHRPDDIDWSSSDYCTWTELDCDKNSRVQAYGSRTKDSQVPEFKINKGTPVIDTKGNSEIGTDISPRVPSRKGNKNIKILVGSLTASLVVALLKDLVQSSEHNEIEMVEHNEINIAEHNEIEMAEHNEIIENKAIAIH >A09g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3714036:3716132:-1 gene:A09g501080.1_BraROA transcript:A09g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASGSKNSGPKHMLPEIDWLNSYHRTIVTSSLIQGVYLLQREKQKKVNSQSYLWWESFGFSLVKQLINEDDDSIYGAVFEYNTNNPHSGMPPRSVVAFRGTLLKSKTWLSDVEGGIQCFFNNLNKGSRFQQAIQAVQTVLEKNTTETTSVWLAGHSLGAGIALMVGKTLAKNGVPLKTFAFNPPILSIPLEKLPVIDMTQDDDPRVAAWTPYLYVNPSDGFCSECINILKYKIFMALIGLGKFESIGAAISFRCLTFGIESGEPIQLLSSAVMLVNKKKSDDETTNVLMKPWYKFKKAHGLEQWWEPNPAWDCHSFRSSEPRMD >A03p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4086290:4086992:1 gene:A03p010240.1_BraROA transcript:A03p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSSVKKLCEFCKTVKRRRRVYVICSSNPKHKQRQGFSSIAHDGIIPPPLFSEPVANQEVVRMPGQGVSVGLASLLHKRPEPAAVFGWRGGIASILFKQGN >A10g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19331365:19332947:1 gene:A10g506640.1_BraROA transcript:A10g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRWKRSSNERSDNSEMQHRRIVIENSHGEKLVGVLHDTGSTETVVICHGFRSSKDRIPMPTIANFFEKAMISSFRFDFAGNGESQGSFEYGNYHREAEDLRSILQHLRGENRGSVVLLYAAKYKDVQTVVNISGRFFLERGIEMRLGKDYLKRIKENGFIDVRNRKGKFEYRVTEESLMDRLTTNTHEACLSIHENCRVLTVHESNDMIVHHQLASTVLSFFELDLKKADDDVSTSNRDHIRSALMIKSRI >A05p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:274283:278757:-1 gene:A05p001500.1_BraROA transcript:A05p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGIKRGRWTAEEDQILSSYIQSNGEGSWRSLPKNAGLKRCGKSCRLRWVNYLRSDLRRGNITPEEEELVVKMHSTLGNRWSLIASHLPGRTDNEIKNYWNSHLSRKLHYIRKSHNVPAVTMNPPPTSQRLPEKRRPGRTSRSAMKPKTHKQKTRKTKKTSAQPEPDANVAINAVVGQEALMMELSGAEADLGPRDDYYYGACHERGDCCNTNNNNNNNLMSINGDNGVLSFDEHDIIDLLLDESDPGNVFTSSVGDGELSPLGDSTLARGSSETSNLGNLDCLQPCRSVESFLNYEHQVNDALTDEFIDWECVWQEGNKSNKLCDEKEAYDPVMSWLLNGDDEATIGQTNCEKFGEPLDHDEENALVAWLLS >A02g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18829453:18829742:-1 gene:A02g506730.1_BraROA transcript:A02g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSQFSQTSVPGVCHSTFESLRLGRSSHSIASGLLRFWDSLNFKKDMEFMESRFSSLMKRFRDSWVYSCQTY >A07p042940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23243627:23246047:1 gene:A07p042940.1_BraROA transcript:A07p042940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 704B1 [Source:Projected from Arabidopsis thaliana (AT1G69500) UniProtKB/Swiss-Prot;Acc:Q9C788] LKEANKKMSMWIVLACMLTSWIFLHRWGQRNKRGPKTWPLVGAAIEQLTNFDRMHDWLVEYLYDSRTVVVPMPFTTYTYIADPINVEHVLKTNFSNYPKGETYHSYMEVLLGDGIFNSDGELWRKQRKTASFEFASKNLRDFSTVVFKEYSLKLFSILCQASFKDQQVDMQELLMRMTLDSICKVGFGVEIGTLAPDLPENRFAKAFDTANIIVTLRFIDPLWKMKKYLNIGSEALLGKSIKVVDDFTYSMIRRRKTEILEAQKSPSNNIKMKHDILSRFIEISDDPDSKSTEKSLRDIVLNFAIAGRDTTATTLTWAIYMIMMNEHVAEKLCSELQELEREKAEETNTPLRQYDTEDFKSFNERVTQFAGMLSYDSLGKLHYLHAVVTETLRLYPAVPQDPKGVLEDDILPNGTKVKAGGMVTYVPYSMGRMEYNWGSDAATFKPERWLKDGMFQNASPFKFTAFQAGPRICLGKDSAYLQMKMAMAILCRFYKFHLVPNHPVKYRMMTILSMAHGLKVTVSRRS >A01p053490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30020730:30021908:1 gene:A01p053490.1_BraROA transcript:A01p053490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQEDEEKALVIWSIINCPIPDGLDPCLVVPRIQTALEKSRRGRPYGPLSITAISNNLTEIPGEDVMRKLSSAGISLKHAHGVSTDMYQWARRNPPPATIMVISGHVELECLASTFSGLKNKGYRILLTYPQRDPVLASLPKHCFWETLLSDTDNNLETTTRLVFPYRLDHGTGELPWSCSVCHYDAPTFEDFTKHLKCETHAYFEWDRYASKNNVDRTNPANLQLGRSPEWDLLAKESMLYRSAIRKLRGAFAPPKRSKMWVDATTFK >A02g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23454973:23456202:1 gene:A02g508710.1_BraROA transcript:A02g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSARVAKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLVRRGIQEATDALHAEFQARLAKISAFLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSEEAAGEEGA >A10p032410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19180094:19181790:1 gene:A10p032410.1_BraROA transcript:A10p032410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDQSKKRKQRYLPQNRPAKKKGAYPLKPGVQGFFISCDGGREYQASQEAINVIDSFFEELMHGTGLKVNSSGVLENPINKKVTFSYSEDEDEEEDDEGNHDKEEENKEVGDKEEVSVGGDNQVDEKELASEGSSEVKQVAETETEKDKQEEEEEKQKDVIEEPPKKKPCVEEATASAKVNGNAEKSIDKLIDAELKELGDKSKRRFMKLDPGCNGIVFIQMKRREGDPSPKDIVQHAMTSAAATKKHMSRFILRILPIEVACYPSEEEISRAIKPLVEQYFPVETDNPRKFAVLYGARANTGLDRMKIINTVAKSIPAPHKVDLNNPEMSIVVEIVKTVCLIGVVEKYKELAKYNLRQLTSTK >A09p082510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59733036:59734991:1 gene:A09p082510.1_BraROA transcript:A09p082510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin M1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03680) UniProtKB/Swiss-Prot;Acc:O48737] MAAAFTCTSCPPISLRSEMMIASSKTVSLSTRQMFSVGGLRTRVSLSSVSKNSRASRLRRRGGIIICEAQDTATGIPMVNDSTWESLVLKADEPVVVDFWAPWCGPCKMIDPIVNELAQQYTGKIKFFKLNTDDSPATPGKYGVRSIPTIMIFVKGEKKDTIIEIKSYLDMALGSAVSPNGSQPILLSVSPPYRRRPSRRKTETSKDGIKTLRWTATIVATLTFVIYYICTKLYISSAPDLSIAGFIKTAVFTVCMLCNTVPMIFSVEAIVHLTRPERPSGFGFKVEAKIGALALVTVSFVSMLVAFMVGVCLVVVLRIWHYFFYV >A05p001230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:125413:126229:-1 gene:A05p001230.1_BraROA transcript:A05p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIKVHGVPISTATMRVLATLYEKGLDFELVPVDMKAGAHKQEPLISLNPFGQIPALLDGDLTLFESRAITEYIADEYNNKGEKLLCQSCKKLKAITKVWLQVEGQQFDPIASKLAFERVFKGMLGMTTDPAAVEDLEAKLVKVLDIYEARLSKSPFLAGDCFTLADLHHLPIIYYLMGTDSKKLFESRPKVSEWIKKITARPSWVKVLNLQKQ >A10p019070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13148807:13152416:-1 gene:A10p019070.1_BraROA transcript:A10p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVKLLAFIFIFTISAVRSREIEEDVKFFKLNEKVIYDCVDIHKQPSLSHPLLHNHKIQIEPSFSIPNPKYKGKKGNKIKRTIDCPNGMVPIQRNTNEYVANGKYWAEKHSTPLTVESHETHFAGVRTQDKSPYHGLAAWMSVHDLNVSNDQISYTAIYVESGVNNKVNSIQTGWMVNPSLFGDNRIEVSNGRAGANGAGCYNTICPGFVQVSKTDLLSAPFPYPGKGQGERAVYTSILQDKITGNWWTTDVKYSGPDTHIGYWPKELFDLIANSVDMVGVTGAVRASSSGISPPMGNGLLPTEDEKASAHVKNLEILDSEFIVQESNKYNLEKVLDNNKCYGLKDGKKGISFKESILFTYGGPGGDSCGI >A04p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13189036:13190354:-1 gene:A04p021810.1_BraROA transcript:A04p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKNRTLLKVIVLGDSGYVYKKFNRQYKATIGADFVTKELHIEDKAVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNNLKSFETLNTWHMEFLKQANPVEPEKFPFVLIGNKTDVDGGNTRVVSDKRAVEWCGTKGNIPYYETSAKENINVDEAFLGVAHKALSNEHKQDYIYSRDLSASVTDILEEPSRPGCSC >A04p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11740275:11743867:-1 gene:A04p019600.1_BraROA transcript:A04p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTVRPVDGTGLPEKAAAALVNSFRLASVTQRLAFHIQAGSKSDVKEFQICCISLAKGIDFAIANNEIPKEVENLPSLLKQVCMYRNDVYTKTAVMVLMISVKHACKLGWFSDSEGQELIALADQMKNVFGTPENTSLAIQTPGGTLSQIMERFYPFVKLGHVLVSLEVKAGYTILAHDFHISKNMPHSPKERIRLFVVQTDNIYTSACLINPQEVSFMLNGKVVDKRVNISMDSGPQLPTNVTAILKYGTNLLQVMGDSKGHYIIVIAFTGTALPPEKPVLKEYIQSGAVESTPDSDIIEGPSRVSLRCPISHSRIKLPVKGQLCKHLQCFDFSNYVYINMRKPFWRCPHCNQPVSYPEIRLDQNMVKANIDRYNSSHIICSQILKEAGHKAADVIIHAGGTWKVGRENNGNEEPVRDVIHDLEDPNSLFNAGPVVLDLTGDDENDADIELFGNTNKVVDQKPHLADAQGQSNNNNASKDPSAEDYCSMFNFSDVISLDQVMLDQLSTGTGQESSQIPMPRDPTPVPVPFSQAPSPGEIPATTSTAFSSPQFSQVHASPVTPTGTYLNRISSQRPLVTSSSQSRRQPVQVTSQSPGNVSSLAQPPRIPRVLSGQPNSYFVRNLNSGHVTTQTQRPSSPPVQSVSRISDLMDVDSATPDTTNWRPRMRGSITPGSYSPALDHMIIRPTQQSQTRLHGSQPAQTPPVQTSQTQPPFSTAPPAFTRPSGPTAPWRT >A09g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13553626:13554769:1 gene:A09g504390.1_BraROA transcript:A09g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFGNGKVNLKPRARENNDSDFSSSPIQSILMRKSSISANPYISKAFTETLQRHGITNMLLSDLKTGCCKETVVTRLLRFWEARTVKKGGGAYGCGHGGLYISSLFSDVRYCLLQRLSVSSQKGRWCVIAGLNVMRIINEPTAAAIAYGLDKKVTVLERRTS >A01g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9454085:9455542:1 gene:A01g502870.1_BraROA transcript:A01g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADPQGLTESTTEEMANQIQQREIVGLGNVLEGSEVLSKVLEVGEQSKTEEMVAREQKQAEEKRLSNRTEGTGLEEGNQTGGKGLENLSRGSENGVMRARILRRGMWNIGNVPLKDGTEKSVKQIISEREDMQSNGDRRENEEERKKNEGKDAIIESNEEVLGEQKTNVEEDIEEGEMVGSWSDVTPENASKSSSSLKFGQEKLLTPSRFSALLEVDENGDRIKPIEMEKVLSIEEVIQKAGKESSNGGKNVTEEGGIEEQTGGGGGGGG >A08g510790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25272928:25273191:1 gene:A08g510790.1_BraROA transcript:A08g510790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGINQIGTSSAAWWRSDSGVRCVQSKANTRSPATLGELGYVEEPESRARAFGPE >A05p011710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5130023:5131387:1 gene:A05p011710.1_BraROA transcript:A05p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRESLRKQNEIVLSLFKHVIATTTAGKPSNRLFSPALMNVILSFIAAKSPGDIEEKILSLLQASSTDELNTVSSKIVTTVLADSTPSGGPTIAAANGFWSEKSVPVDPSFKDIIENSYKAAFNLVDFRNKVHEVVEEVNSWVEKETKGLITDLIPKNFASPATDLIFANALFFNGRWDQEFDPSLTKDSDFHRLDGTTVRVPFMSGYSLGYDLLVYEGFKVLNLPYRGGRGDYYRRRFSMQIYLPDENDGLHAMLERLASCRGFLSGEGDIPGHSAYIGELKVPRFKFEFGFEATEALEGLGLELPGDVIIHKSCIEVDEVGSKAAAAAAEISIGFCMPPKEKYDFVADHPFLFLVKEDFSGLVLFLGQVTDPSMH >SC140g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:334589:336386:1 gene:SC140g500140.1_BraROA transcript:SC140g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEQDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A03g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1728371:1729017:1 gene:A03g500610.1_BraROA transcript:A03g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEKVADVEKLTQAVTELEEAVLAGGSAANAEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKHAITDRAVKSEAQLKPSVAVQDAKPLVSR >A05g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8150790:8152338:1 gene:A05g502600.1_BraROA transcript:A05g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYQTRAVNSSPSSNVAFSTMLTYGKVSLKTSSHVRSSVSGVDCEGWSNGAGSAWRRVGVSPSVSSAVFGLSWSRRAMVVWCRFSVFSWASRSGGICSTCDLRASEVRLLEGVCSSCCRRVSKHAVVLREVLLRSGVGGVVHGISKAMLRPSSQSSSLFPSIRCRQAERVDLLLLGLTPVCVCSSFILATGCCFRRQELTSTRFSEEATFEGLERLCLSAESPHPSVAWSVEAALPSAVSLLSSWWCCFSNVFAGLGVSSASFSCDWIKSVFWRHRSLAQRRSPRASYFGNDMEVPGIRGNEENLTVPWSSFSVRTSIGS >A02p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14016423:14017328:-1 gene:A02p027810.1_BraROA transcript:A02p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPCETRNNNGEAYQMVRYQNHHNSRIPSSLLELRVFYVRISNFKVDESTPEVLTITHIPLDPDTLVEINGVRTSIVSSQLRRDRVDKNSEAATFISTDNIRLSGNVKLEVYDKDELVLSGTLEMSGGGSNSVKQRWGMNCEAEVTTGYGFLKELSSPLPIVEVYVTGCFSGTPIILTKTLQLGSRKKKSRRSGLDSIPEYEPRKDFEVTEYGSYKEEYDDMYLGREYEDGEMSWLNAGVRVGVGIGLGVCVGLGIGVGLLVRTYQSTTRNFRARLF >A08p020040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13641656:13641994:1 gene:A08p020040.1_BraROA transcript:A08p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKPPFLFISDDNDHNNLRYTSLKDVISSSDGFGSFFCHSVPSQDGVLLSEMDSSNIAIRNELVKRAASMYLQSSMIVSAPDTNWFQRFCLKAKHAVDCLRPVYRIFSWSS >A06p036390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19750737:19753499:-1 gene:A06p036390.1_BraROA transcript:A06p036390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) UniProtKB/TrEMBL;Acc:F4K7R6] MKTTKGGKVMNPTDAYRKHIRKREIKRNKKERQKVREVGILKKDPEQIKEQIRKLDMSKAEGALDKARKHKKRQLEDTLKMVEKKRKEYEEKRKEQGEATTSVMFSHLPPQRRIAGEEDLKPEDSVYYHPTLNPTGAPPPGKPPMYHSSIGTRISSDGASSSGAALSSNTESEDSTLVAPPPPPPLPDGTNALSASLPLPPPPPLPPTTGLALPHPQFPPPPPGPPPNEHDLALVRPPRPPLPQSSQLPPPGLNGSEGDGRFPESSVQTFDEGQNANIHSIPPPPPPALPSKLPSNESETGPPEPNSSSFQNSSLSQMVAPPPPPPLHQQHQPTFAGAPASMTNFQHDGLLPPGMMRFPPPPPPHDMHPPHPGMYGGHLIPRPPYGPPPGPPPMMRPPLPPGPPPSSFEDSQVMMRPYVPSKPSYVKSAAPTVVRRPLAQHTPALTSMVPASVRVRRESAAVTKPKPKTSVATSLSFKPRAMVASAAPVKVEPTKTAAASKPPSIDDSYSAFLEDMKALGALDG >A08p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2890639:2890903:1 gene:A08p004990.1_BraROA transcript:A08p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSRKQNYSRGNSITTLTCRKFAVMSKGQESGFGLAKKYSPQQLSSATKLLLDVTWNLHF >A01p016240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8041666:8044773:1 gene:A01p016240.1_BraROA transcript:A01p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGEHMYEELWKLSAGPLVDVPQAEERVYYFPQGHMEQLEASTQQDLNTMKPLFDLPPKILCRVMNVRLQAEKDTDEVYAQIMLMPEGTVDEPMSPDPPPPELQKPKFHSFTKVLTASDTSTHGGFSVLRKHATECLPPLDMTQQTPTQELVAEDVHGYKWKFKHIFRGQPRRHLLTTGWSTFVTSKKLVAGDTFVFLRGENGELRVGVRRANRQQSSMPSSVISSHSMHLGVLATACHATQTRSMFTVYYKPRTSQFIISLNKYLEAMNSKFSVGMRFKMRFEGDDSPERRFSGTVVGVQDCSPHWKDSKWRSLIVNWDEPASFTRPDKVSPWEMEPFAASENVPQSVNKRARHVNEISALDVGVPSSNFWSSALTQSHEFAQSCITSQRNPPQNSDWPVSPYSTLNGQMVFPVEQKKPETTTASCRLFGIDLMSSSLPAHEEKTAPMRPINITKPTLDSNADPKSEISKLSEEKKQEPAQASPKEVQSKQINSSRSRTKVQMQGVPVGRAVDLTLINGYVELIDDLEKLFDIEGELKSRNQWEIVFTDDEGDMMLVGDDPWPEFCNMVKRIFIWSKEEVKKMTPGNQLRILLTEVDTTISKTENYSN >A09p062790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51200717:51209076:1 gene:A09p062790.1_BraROA transcript:A09p062790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MSCQNHERKRIYQVWPGKNKFLCGGRLVFGPDASSLLLTTCMIGGPSITFCIRIAFLIGDRRPLFHSLIMIGAALLTIMDFTFFFLTSSRDPGIIPRNKEETSAVITQSLEWVSNKVKPPRTKDVMVNGFTVKVKFCDTCKLYRPPRASHCSTCNNCVQRFDHHCPWVGQCIALRNYPFFVCFISCSTLLCIYVFAFSLVSMLEVHGQFYVLIADDLILGVLALYCFVSVWFVGGLTTTSESFRYHYDKKENPYRKGVLKNFKELLLEIGCKKKMWRWVLLPQRWSEPLGLRDMKMSSSKPGHGSCNYAPSEAGGPGNLRRNSSVDKFLCGGRLIFGPDASSLYLTTILILAPSVTFLVKMYLKMEDPRTKHPKLSIPILAVSWILTLLDIFFLFMTSGRDPGIVPRSLKPPESDDAPDSTTPSMEWVSGRTPNIRLPRVKDVKVNGHTVKVKFCDTCLLYRPPRASHCSICNNCRNYRFFFMFISTSTTLCIYVFAFSWLNIFQRHMDERISIWKAISEDVLSDILIVYCFITVWFVGGLTIFHSYLICTNQTTYENFRYRYDKKENPYNKGVLGNIWEIFLSKIPPSMNKFRSFVKEEDYMMVETPTSNPGQSLVNSKEKIDIEMGGGRVVDEGRKSYSLPELLRNLNYEDLEDDCEEDDLKSKDHHHHHHHDQNEAIIPPFDPFFTSESGVNKDEREGQESRRSSSDDDGVEGKRVGVSSDDEEKNEGYEQKWSAGSVNTNARSEDGASSPQSTSPMLPSPSSSSTLLSLSTASETLTMAAEMMLVKPISKFASPKLSNSRTCLTNRRFSTVIRMSATSTPPPPATATSKSKKGTKKEIQESLLTPRFYTTDFEEMEQLFNTEINKNLNEEEFIALLQEFKTDYNQTHFVRNKEFKEAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKQNPEFQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWQAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVINEKLMAVGQTDDPSFVKNLKRIPLIAGLVSEILAAYLMPPVESGSVDFAEFEPNLVY >A07g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2842189:2844797:1 gene:A07g501380.1_BraROA transcript:A07g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGSLLTKSSVLPGSRLDFLEVFWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04g504050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8514624:8515433:1 gene:A04g504050.1_BraROA transcript:A04g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVIYRDLKPENILVREDGHIMLTDFDLSLRCAVNPTLLRSTSPPGNNPARTSGPYNTSSCIQPFCLIEPSCQQASCFSPRLSSNQQQVQKPKRAHQHLPQLVAEPTEARSNSFVGTHEYLAPEIIRGEGHGAAVDWWTFGVLLYELLYGKTPFKGYNNDETLANVVLQSLKFPDSPLVSFQAKDLIRGLLVKEPENRLGSEKGSAEIKRHPFFERLNWALIRCAIPPELPDFYELGGGQEAAGSPGGNDNRYLECKAIGDHLEFELF >A03p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12610890:12611466:-1 gene:A03p030050.1_BraROA transcript:A03p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRTTLLMLLILVCLTTYELHVHAAEGAEGSEGAVKIDCSGRCKGRCSKSSRPNLCLRACNSCCSRCNCVPPGTHGNHHLCPCYASITTRGGRLKCP >A07g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10708643:10714068:-1 gene:A07g504990.1_BraROA transcript:A07g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKGTKRTRTVRVRADAREVVDEQGAAGDVQGNGVQAEGVQPVVPPFDQAALMQMVQQAATQAAQVAIQQVTQEAARVAAQEAARVAAQEVARQLAAGQQIPAQQIPPQQIPAQQIPPQQIPPPQIPPQQIPPQQIPPQVPVQGVPEQQLPQGLQQPPLPPPPPLPVYRVYDERFYRLTTQMRNMDMEHFGGTVDATVAYDWKLGLQRKLEIIECPPEVSLRLAMQYLRGDALVWWEGEQKFLKSSQTKSGKTDETQKRTRDQSEAPYCARCRRHHGGECLKCFTCGRWGHLSTHCRMKPADATSASQIATPTAAIRICYSCYEPGHIARDCPKKGQPTLPPAKRQAVAPRVYALGEANGAEPTAGTYLCPTQIEPSLTSEIVPPPPPRPEPRSTSSPPIPAEDHHRDRDVETSPEQEDQPEIRPDAPSRASFVPRRSARVSHAPPQPAVVHRRR >A02g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9098924:9100200:1 gene:A02g502720.1_BraROA transcript:A02g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRSFYPGARLTQSNEGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A01g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16404746:16405176:-1 gene:A01g505500.1_BraROA transcript:A01g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPMSRRIKRDPRENEVAAAASRLTKPTKKKRCIKDIEIKIRSKSDGASLLPLQKTKIGARLFCPRSAELEDKKKVSEQRLSQQ >A10p004250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2085546:2095073:-1 gene:A10p004250.1_BraROA transcript:A10p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFRPLWKAYSLSSYSPIISTRMMNCILLSVLISFVYLIVSLSVSMLQPKDTINAYFSSSQDQPQSLTEIDHIVFGIGSSLNSWPARRDYVKLWWDTQRMRGCVFVDSPLSSLENNTDSHLLPPICVSEDTSRFRYTWAGGDRNAIRIARCVLETVRMFNNSAEEVRWYVFGDDDTIFIPENLARTLSKYDHMSWYYIGATSEIYHQNWLFGDDMAFGGGGVALSSSLANVLAKNFDSCIERYPHLYGGDARIHACVLELGVGLSHEPGFHQFDVNGNALGILTSHSTRPLVSLHHMSHIDPLFPNSTTFSAIQHLFSAVELDPLRIFQLSVCYDRRYSWTISVSWGYTVQIESRHMFLRDVLRTQETFRPWQNFGGLASVYTFNTREFNPDPCKRPVTFFMEHVSSTPDDGTIKSVYKQAYENCTYDPISSPRKTEEVRVFSTRFDPDIRKLKAPRRQCCDILPTSSTDGKEMCLFRPVWKAYSSSSYSPIFSTRMMNCMLLSVLFSFVYLLASISISMLQSKDNINSYFSSSQDQPQSLTEIDHIVFGIGSSLNSWPAHTLAQEATDARLESRGAF >A05p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30494114:30495664:-1 gene:A05p052910.1_BraROA transcript:A05p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GID1A [Source:Projected from Arabidopsis thaliana (AT3G05120) UniProtKB/TrEMBL;Acc:A0A178VM30] MPVLKLQLNPFDLKFITWFEFSLLDSKVSTFRSELFVSTVFVLTKGVSASMAASDEVNLIDSKTVVPLNTWVLISTFKLSYNLLRRSDGTFNRHLAEYLDRKVTANANPEDGVFSFDVVIDRATSLLSRVYRPAYADQEGGPVSVLDLEKPLDNEIVPVILFFHGGSFAHSSSNSKIYDTLCRRLVGACSCVVVSVNYRRAPESPYPCAYDDGWTALNWVNTRTWLKSKKDSKVRIFLAGDSSGGNIVHNVALKAGEAGIDVLGNVLLNPMFGGEERTESEKRLDGKYFVTVRDRDWYWKAFLPEGEDREHPACNPFGARARSLRGLRFPKSLVVVAGLDLIQDWQLAYAEGLERAGKEVKLVHLEKATIGFYLLPNNSYFHSVMDEIAAFVNAES >A07p029880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16718774:16720933:1 gene:A07p029880.1_BraROA transcript:A07p029880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYLAACNFGCRKKINSPENSDSFKVTALKVTAIKVSYTNFFHKSSASSPKSSHSSPLLHTSFRQRLYDASSVSNDCLMAADEKDQLSGPEDPKSESNDLSDDDKFKEELKKKKLEDLKRKAFYSVLLAFRAETLTIGNKRTLLMEKLMKELNISQETRISFDVNIQENLIAHQQRVISKFKEAEPKPFPISDKKPPLVPTYVATLGSSWGKVNPEALVGRRISIRMCFEEEFEDFVIKEYNAKDEMHHLKSVDPDAMEMDEVSSWIDFREVPTDDIIWRDGEAPIFKTPNTLGAGAGQ >A05p016250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7226107:7229174:1 gene:A05p016250.1_BraROA transcript:A05p016250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSISVPCDQTLSQVGRCLSQKASYIRNLQENVGTLQTATQELKDLRDDLLTRNPTLLSNVETIESQVNELLLASGTAEVSRSFRSRFEYGKKVFKKIKEVNNLKSRADFKVMAERVPRSKVEERLIYPVVGMTAMTEKVFSSLMEDEVGTLGNDFDVVIWVVVSKDQKIESVQEIILRRLGLCSEEWKHIKEEEKASEIKKMLKGKRYMLLLDDIWSKVEIQRIGFPSPTRMNRCKVVFTTRSKEVCSEMRVDVEMEVKCLASDEAWELFRMRVGDLTLESHPDIPEAARIIAEKCYGLPLALNVIGETMSSRKTIQEWSHAQDVLTSFAADFSCMQDKILPILKFSYDNLKDEMFRKCLQYCAFFLEDYEIEKEELVEYWICEGIIDGNKDRDKAKNHGYEIIGTLVRACLLMEYEHTEFLKMHDMVREMALWITADLGKKKESFIVKTGSGLSHVPVVQDWSVVQKMSLMGNEIEKINACPYGTKKLETLFLQNNKLVSISERFFQWMTELKVLDLSSNESLTQLPADIAKLVALQYLNLSSTGIEVLPFGIKYLTKLIHLNLEFTHKLKSVVGISNLLSLQVLMLFESNIPLNNGLVEEELKSLEHLKLLTLTLKDAFVMERLLSIHSLGNFTRHLSLDKCIPNAVRISLVAAGSSAAPSGHEDRPLQHMKSPNPMYFRSLTRVDIVNCEGLRDLTWLMYAPSLTNLHVEMSFQTEEIISREKVMKIDGEKFTTPFLKLESLSLVFLYAVKSIYWSPLPFPALKYLKIRRCPELRKLPLDSASAKGCDLVLDAYKECLRDVEWEDEATKNRFCPT >A03p025140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10554239:10566259:-1 gene:A03p025140.1_BraROA transcript:A03p025140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNATVENALMFFLLAFAVMAKTVFSQNCQSTGCPGLKECCSSWGSCGIKDDDQCGFWCFSGLCNLKNKSYGFNYNVSAGPRGPIESIVTPSLFKRIMSKVGNNCPAKGFYTHQAFISAVKSFQAYKGTVAKREIAAILTHFAHGSKGFCYKEDKARGRYCSPSKKYPCEPGKQYYGRGPLQSIRWNEYYGAAGIFLRLPMLKDPDMVAHSPEVAFKLALWFWTTNVRPALYLGFGETSKRVDGRLCDNLHPDDTKNLVKQYVDLCKILGVTPDEDFCYIEEINGASRDYCDENNRQYPCAPGKGYFGRGPIQLSWNYNYGACGQSLNLNLLGQPELVSSNPTVAFRTGLWFWMNSVRPVLNQGFGATIRAINGMECNGGNSGAVNARIRYYRDYCGQLGVDPGPNLSC >A03p020880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8584144:8585235:1 gene:A03p020880.1_BraROA transcript:A03p020880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCPREGGAMKRVILKVGVALVLSATGVIFARFVSWKEDNEVTSSARKPESSPSPSRRKDGQEEEEKESLVDHQKQEILSLNLRLEELQKKEHEMELRFACYCFLKDKEVRLVERKSMLVLERSQLDFFHREVSAMEEELKRGQDLVIVYSKLVGEIQELRSKNRLLEGEAKKLRIRVKQLHRVVNQKSRKSVKKLLKCIHELGKKNNFVKELEGQVKGLKANLDLLQEEKEVYMKSSEMVSVEEHRRVLEENEDLNTEVSYLRWINSCLRKELMRNGTKYDGALALTVVADGHHEWGKKLMKNLKRCVGGHSGTVEPDEEGMFHSRRSCSSV >A05p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5957420:5958869:1 gene:A05p013690.1_BraROA transcript:A05p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLGLGANVADGDGNVNGGGENNNTTTTTPSNEAGDDGVKTARLPRWTRQEILVLIQGKRVAENRVRRGRAAGMALGSGQMEPKWASVSSYCRRHGVNRGPVQCRKRWSNLAGDYKKIKEWESQVKEEAESYWVMRNDVRRERKLPGFFDKEVYDIVDGGVVPPANPVLALGLAPASTSAEEPARSVEKLSLASAPKSLIDVIDKEKQAACEAADQGGMKERHPEAANPEAASTSQEERKRKRTSSGEEEGEATKSRQDQLIEILERNGQLLAAQLEVQNTNLKLDREQRKDHGDNLVAVLNKLADAVAKIADKL >A07p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9201796:9203790:-1 gene:A07p014770.1_BraROA transcript:A07p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVYRTQMHKAFFEREEERGGLILKINSGRNQRCPGHPSSCFSTGLALVSLSFSLSFCKFPSFPVLRMCIVGNKKKGNRSLKEIGTFMMTTCFIANYQSVQVCQCNRAAQSLTKTDLVVKNQAARSLGLVFCFHILALMQNNQYPYFSDQMGDGNMNSVPYASASSFDDLFPPCAKLPFHGVELQPSSVCPKNFVVFDQTYDRSQVMFHPELTPRLVNSGLASSFQNEYVAGGYGNYGQQEVSSSSSHQEDPNEIDALLSTDEDDDDDESEDGGDSEEVSSTARNSSSGYNSSRRKQSVSGSASSSNNDGKGRRKMKKMMGVLRRIVPGGEEMNTASILDEAVQYLKSLKLEAQKLGVGHFSNQS >A02g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18892928:18898598:-1 gene:A02g506750.1_BraROA transcript:A02g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDSDEDGDRHPRRTRSRSAREGSPFEKPMTEEEEISKGRITMRSVRIKAGPRATHGLAIKGEVSMTLGSIQLPVMAKEITKIVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKIDRSSAKSTPHKDEVKSSVNANASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATPTAYTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFFFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLPLQHLALHTGEIPLRFLRLETKKGPFLRRSLTAIISSGNGARGLMKGRLFGNLRNSGMQLSSTDAASKRTKKKNFFHELKFEMNFLTTDINFRGTNLCLSVSLTNQDPNKVLNGKGCQLTYRDFKTVQHSDENFGYGEPEATTHYEHLITSKVTLRGVVSTLPAAGNPELHNIRDAVERPHRREKLVSVTSLLIRHEDLLFKLGLSHINSIHHA >A04g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10251460:10252722:-1 gene:A04g504800.1_BraROA transcript:A04g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTVLSCPKMFGRRRSVAVEMRTIRWGVKCQLSPVKPSKYSSKITTDVQLHESPLALFDEYLEDKSRVFKAMFPDKPKSYRLNEEEWRIQMLPIKFLFLTAWPVVVMRIRCKSNGQDYPPDVPLDITKVLELNMMKWELQGLDRVMEPSDFTLVVQGALYPDRGGRHTRLKGRLEMNISFVLPTVLMLVPEDVRRNVANAVLNGLVDNMKHKVIESLLADYNRFKNERRTHH >A03p067040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28694189:28696415:1 gene:A03p067040.1_BraROA transcript:A03p067040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRHYYSNAILHRIIPRFVSQSLMSASSDPFLLRSIGNRLGGGFVHRLDPVRFYNNRTGCNGLFKVCKGGKHNGFTLRSCFDSSHNKADQKARALALLHRRLLHVPREERGGGGNTDLADGRGVGNTTRLQGNDKIVVAVDIDEVLGNFVSALNRFIADRYLSNHSVSEYHVYEFFKIWNCSRNEADIRVHEFFKTSYFKKGIHPLPGAHNTLHKLSRHCELSVVTSRQNAIKDHTLEWLDMHFPGLFKQIHFGNHFALHGESKPKSEICRSFGAEVLIDDNPRYAEECANIGMKVLLFDYENSYPWSKSESVDRHPLVTRVHNWEEVEQQILSLVVSKC >A02p023650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11805911:11806680:-1 gene:A02p023650.1_BraROA transcript:A02p023650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKGSNSTVKLLGTWSSPFALRGRVALHLKSVEYEYIEEADVLNSKSDLLLKSNPIHKKVPVLIHGDVSICESLNIVQYVDESWPSKPSILPSDPKDRAAARFWAHFVDGNCFESIDAAAGAKDEAGRMTAAGSLIECLAALEEGFQKSNKGGDFFGGQNIGYVDIACGAILGPLSVIEGFQWAEIFKAHEAVKPYMPTVAEFIEFAKQKFNV >A06p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5494473:5496282:-1 gene:A06p012000.1_BraROA transcript:A06p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TOM THREE HOMOLOG 1 [Source:Projected from Arabidopsis thaliana (AT1G14530) UniProtKB/Swiss-Prot;Acc:Q948R8] MRTRGLFLMHSLSSSSSASSLNLKEATNWWWDVNESPVWQDRIFHILAFLYAVVSVIAVIQLVRIQLRVPEYGWTTQKVFHFLNFLVNGVRALVFVFRRDAQNMQPEILQHILLDIPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINALVYVVQIALWLVLWWKPVHVTVIISKMFFACVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTSICFTCFLIRCIMMCFNAFDDAADLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIQ >A04g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5888335:5890205:1 gene:A04g502450.1_BraROA transcript:A04g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LARPRVTARLTSSVILNWGNNIENIADPSLPMMPPPMNLLDEQCHLCEIDFSKLRAGGCRQRVATRLLRFWKARNVKKGGKLMGVDLLLLDRKIMNLGLHFALITRYNQCPSAQNFQDGWLYNPNEYRQTELCRCFTLIQVMPFPKFFLLRLWFSLYGGRFHHSSMVLRCASAASSSSNAATAEAPKPSGCNIRAASSSNSTSDREAIRSIRLKKMIPLQVEELRGQGVERYAYKWEKATVQIGSKRSIDSTAILYHQPSCNRTTNLHAIEPPTFM >A08p043440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24174833:24177618:-1 gene:A08p043440.1_BraROA transcript:A08p043440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPSTRAELRKKIYKTGVDADEARRRREDNLVEIRKNKREDSLLKKRREGMMLQQQQQPTGAGLDALQSAAAVEKRLEGIPMMVQGVYSDDPQAQLEATTQFRKLLSIERSPPIDEVIKAGVIPRFVEFLGRQDHPQLQFEAAWALTNVASGTSDHTRVVIEHGAVPIFVELLSSASDDVREQAVWALGNVAGDSPNCRNLVLSCGALVPLLSQLNENSKLSMLRNATWTLSNFCRGKPPTPFEEVKPALPVLRQLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIQAGVCPRLVELLSHPSPTVLIPALRTVGNIVTGDDSQTQFIIDSGVLPHLYNLLTQNHKKSIKKEACWTISNITAGNQAQIEAVVGAGLVLPLVHLLQNAEFDIKKEAAWAISNATSGGSHEQIQYLVNQGCIKPLCDLLICPDPRIVTVCLEGLENILKISEADKEMGLNGGVNLYAQIIEESDGLDKIENLQSHDNNEIYEKAVKILERYWAEEEDEEQILTDGGNENPQQGFSFGNNQPAAPPGGFKFG >A03g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27067200:27068305:-1 gene:A03g507660.1_BraROA transcript:A03g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRVFFSDLKSGKCSGVVEARLLQFWEARNVKRGGELMWVDMLLVDVNVSSSFHVFRSVKRDLTTELQSQISIPPQHPRPTSQHRRQIPGPIQFHGGRKRRRRQRLELTGYSIDRRRWYRIEDVTEDLCFEYAITLKRMIRKGIPPVLRPKVWFSLSGAEKKKFTVPESYYSDLTKAVDGMVTPATRQIDHVSLGSV >A09p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20852294:20853103:1 gene:A09p034450.1_BraROA transcript:A09p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTSCTVYIGNVDERVSDRVLYDILIQAGRVIDLHIPRDKETEKPKGFAFAEYETEEIADYAVKLFSGLVSLYNRTLKFAISGQDKAQSGSGSGHRTRPQSLSFDHHSERFSSQLMSSPSPMPLDYTQEPPPPGVSNGAGLEYSRRVLSSALDINHSRPGRY >A08p045000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24746325:24748872:-1 gene:A08p045000.1_BraROA transcript:A08p045000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESTRTGDKSASGKANDVNNAKIIKAHMDKVYTRTSTRRQIKAYMDKVELEHSNIRVDSLCWMSISHSLCFRGSINHLLQQDIVTTAAEVKLPPTHPIRLALALNFSVFYYEIMNSLTRKGFRDCLVTKYLRRLFCSSYVSTCVQMSISLKFLLWSSLALLLLQTGFGEKCDSKSSEPTVRQTQVKLGEGKKFRVQVMNKCPMCPIINLRLKCQGFPQSLVDPTLLRVLSSSAGNCVVNDGLPLSPMETLSFNYSSSNQFALSPLSWSFQCE >A08g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1842996:1846854:-1 gene:A08g500700.1_BraROA transcript:A08g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFEFFLSRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYVTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNLLRRLAVIIFTIFGPDEAADERKLKLVAWASDLCRASTSDAFALTDDFTSLVSLRRFFGGRISVCLWRPIRIEDEILDASYFRKLSSEQLRGQSCSQDFAIGRGVSSGLVELAEGVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDLGLPFGQLLLFVPIGDFFFFRHWFFERGALPSGSASGPSWMSVDILVGVVGDITRIQVNVFGFVILRVFCRERKTFRVPLLDGRLPAGVLTGRSFPRGSCSIEWGGEIEPLPADFGGSAGTDSLGPCRGEHLFKLLESRGVGLRVGRRYVRYRSVEIGAAASVKGSLHVIRVRQTAGTEIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMNVTTRYKPGLESCRRDS >A10p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17140660:17146213:-1 gene:A10p027190.1_BraROA transcript:A10p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSPPTCKSLWFFLIIVGFLGQNLHCVLSSPHRILVDTDVDTDDLFGLLYLLKLNKSEFDLVGITVSANAWTNAGHGVNQVYDLLHMMGRDDVAVGVGGEGAILDDGTILSDVGGYFPIIEQGMTTTGKCRYRQAVPKGSGGLLDIDSNYGFRKQFLPQGNRRYTPIRQPTAQKVIIDKVSEGPITVILIGSHTNFALFLMSNPHLKHNIQHIYVMGGGVRSRNPTGCCPANSTAEECQPRQCGNRGNLFTDYTSNPYAEFNMFLDPFAAYQVFHSGVPVTLVPLDATNTIPINKQFFETFENNQRTYEAQYVFLSLKIARDTWFDAEFYQSYFMWDSFTAGVAVSIMRNSVKNNNTNGQNDFAEMEYMNITVVTSNKPYGKSDGSNPFFDKRKTPKFNLTVGGVHSGHVQNGLRDPICIPKSGKGRCKDGYTQETSGPDSVRVLVAKRAKPNVNIESKLDKEFYVDFLEVLNKPGETGRFNFSSQFPYFKEELFRPDLSKTQLGKPVVFDMDMSAGDFLSLFYLLKVPVEKIDLKAIIVSPTGWANAATIDVVYDLLHMMGRDDIPVGMGDLLALNQSDPIFPPVGDCKYVKAIPQGCGGFLDSDTLYGFARDLPRSPRRYTAENSMTDGAPRDTDRPELRQPLALEVWQNVTKTINGASKITEVYIMGGHVNREKPDKGNIFTVPKNAYAEFNMFLDPLAAKTVLESGLNITLIPLATQRKLSSFQTMLDRLYSSAKTPESLFVKRLLARLQALHHKHRRYSHVDMFLGEVLGAVYLGGDDTSLKPRLRAEHIKVIAEGDESKDGQVLIDTLRGKQVNILERVDVRGCYEGFASRLGDKNQSAVVGSFEEQRKKWNTPPS >A10p004370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2137354:2139832:-1 gene:A10p004370.1_BraROA transcript:A10p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSHVSSDSDEKFISFKDVSLGPHEAQLRFRLIHFWEARNPVKKTLISLEMLLIDEQGTVIQGFIPPGRIKKYLPEMKRGSVYKIINFYGLKNKPVYRVADHFATVSFAWNSEMSVLHEIPIFLMKTVSGFIHTKILKPTVISKVTSTSFISHITYSFRVNVIDVIGHMKLVDGQTLIVRPSLDDMKIATTRHIMIHVQSHDGPVMKLYLWDQAATDFCKKFNSCENTPTVLLVTIVNTKRLGGTLALSSMSSTRVFMDYDVQQTRDYFTWLGSNPKIANQVSADVITKRETLTIADIFSYMTQESAKAAFFEYTATIDDVVHGSPWYYIACSECHSKATNGPSSLICTNTKCGKVNTAGVPQYRAKISVYDNSEQAFFVLLGDAGANKSEGADHEMPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTPPIVDD >A06p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19880998:19882458:1 gene:A06p036710.1_BraROA transcript:A06p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLSFSLVSRASYENVFKKWIPELQHFAPGVPLVLIPLIHDSYNIHVDLREDKHYLADHPGLSPVTTAQGEELRKLIGATYYIECSSKTQQNVKAVFDSAIKEVIKLVVKQKEKTNNKKKQKSNHGCLSNVLCGRIVTRQ >A06p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13601641:13603567:-1 gene:A06p026940.1_BraROA transcript:A06p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTYRDPLRALGIDYSVDIEASEDGKTLETVRPGYCGAYNSHFQDGGHLSFPLPRFLLEALAELGMAFAQMAPNFWCYFLTSWIRAREEGIRFGLEELKQLFSVKRNSGFPGTMILSPRPVRSVIDEPFAYAPMSPELHGLIATLQRGENRATPVGSVVPVRPGKGRRDKRAREKEVLPDRPDESSEVGSLERAQKTRRGPTLRSRSQTQSPGLLARPVSIAIPVGGARRAPNTSSGSLGDQALDDEIDSPLTDADETSSWRFSYNDEVPILENPEGLALIWRKIIEKGCELPPLDDMRERDAYVRMVVANVKAMEASNEYTALMEKRLADFPSKEEVGGHLLTIQQLRGELETIRVTEKQREVEVEGLKGKLENHRREIEGCKAKTLKERSLARRSLAQEYDMVLAVVKDNLRKKKEETAAEFDPMYTKPQDKQS >A06p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17222229:17228623:1 gene:A06p032270.1_BraROA transcript:A06p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease Dicer homolog 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) UniProtKB/Swiss-Prot;Acc:Q9LXW7] MEGDEPRGGDSLSVKRKFYEIDEDSSPMNGSSELYEVAQTRNTIALLETGVDKFGHCCEIRAHLNLKVEDYYGAKGVDKWTSHRWEDELSKNEAIMTPQIFLDALRSGFLKVEMVRLLVIDECHRTTGNHPYAMIMKIFNPQGQKGVDKFVTKVKEGPVFYDPAPLCRLELKDKLRTSHLKFDASLLSLLQELEKGNCQDVEENKFKAYQKRLSADYHDILHCLDRLGLICAHLAAEICLEKISETETYNECSMVCKEFLSDVLSTLGLFLQQEEKNSVDLPQDNPSAVISGLVSPKLQELFHLLDSLRGEMQKPCLIIVERIITAEVIERYVKKQASLGYLYVLSLTGNNASTQALAQKMQDSFHVGKVNLVFITDVVEEGFHMPDCSCMNLLQCSAPSSKSKSSASAAGSKKRKELHGTTRANALSGIWGENLDGAIFQAYKLDFWSNISGDAYSSFSLLIESTLADDVGNVEMSLYLVRKYIKASVSHCGQIRLSHEEIVKAKCFQQFFFNGMFGKLFVGSKSLGTKREFLLQTDTSSLWHPSFMFLMLPVETGDLVSSATVDWSAINSCACVVDFLKKNPLLELEVGEENHCNTSSGQEGTQKKETETNLIHFANALSDKNSIEEIVVIAIHTGRIYSIVEAVKDSSAMSPFEDEASLEYATYAEYFNKKYGIVLAHPNQPLLKLKQSHHAHNLLVNFSDEVIEKKEPNVSNVRKTKPNIHAHLPPELLVRIDVPRSVTKSIYLLPSVMHRLESLMLASQLREEIDCSIDNFSISSTSVLEALTTLTSAEAFSMERLELLGDSVLKYVVSCSLYLKYPNKDEGQLSRERQSIISNSNLHRLATDRKLQGYIRNGAFEPRRWTAPGQCSLFPVPCKCGIDSREVPLEPRFFTENMTIKIGKSCDMGHRWTVSKSVSDCAEALIGAYYVSGGLTAALHMMKWLGFDVEFDRELVNEAINRVSLRCYIPKDDELTELETKIRREFSSKFLLKEAITHSSVHESYSYERLEFLGDSVLDFLITRHLFNTYEKTGPGEMTDLRSACVNNENFAQVAVKNNLHTHLQRCATVLETQIKEYLMSFSKPDETGRTIPSIQGPKALGDVVESIAGALLIDTRLDLEEVWRVFEPLLSPLVTPDKLQLPPYRELNELCDSLGYFFRVKCANDGVKAQATIQLQLDDVLLTGDGSEQTNKLALGKAASHLLKQLEMRNISRKTGNGDDQSSMDIKLACNLSDRETPSSDSVEMQGTVVPVIGPINMKKGGPRGTLHEFCKKHLWPMPTFDTLEDKSRTPFEFTDGNEKRTSFSSFISTITLRIPNREAVMYSGEARPDKKSSFDSAVVELLYELERRMILTIKK >A03p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21500109:21503947:1 gene:A03p050060.1_BraROA transcript:A03p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component EXO70E2 [Source:Projected from Arabidopsis thaliana (AT5G61010) UniProtKB/Swiss-Prot;Acc:Q9FNR3] MAGVESKLPVVPGVKNHVLEACHHVVKALRASDNNLDPNLTKLLSDLESHLSTLEIVDTKVVEVDTGFSEIKKRFKEAKKTILAWEQNQSMIFEAGVSKADQFFQALHDVQTLLLGFKALPIRTNKKEKDVYNQAAVVLDIAMFRLEKELCDVLNQYKQHVQPQYLSVSSRGKDIVYDESFVSLDDVVVEATDDQQILGSNNVVLLDPLVIPHIKAIAKAMFACDYGQAFREAFITVRRDALDEYMSTTLEMERYSCVDVLGMQWEDLNCEMRKWTKVLKIVTQVYLASEKQLCDKILGDFEPSSAACFVEISKDTVLSLLAFGEAVSLRSCQPEMLERFLGMYEVSAELLLDVDNLFGDETGSFLRMAFHELAKTLAERTVATFLKFKNAIASDESTRAFSGGGIHHLTRYVMNYLKLLPEYKDALNTLLENIQVDDSIPEKTGQDILPSTFSPMARHLRSIVTALESTLERKAQLYAGEPLKYIFLMNNCHYMVQKVKTSELRHFFGDEWIRKHIASYQHNVTNYERATWSSVLSLLKENNKDSVSTLRERCRLFCVAFDDVYKNQTRWSVPDPELRDDLHISTSVKVVQSYRGFLARNAAKIGEKHVRYTCEDIESLLLDLFECLPSPRSLRTSQAKHHRGSKAKQSVERERERYQKAMAFLSMEYGMNEDSLREAFSKYGEVVETRVILDRETGRSRGFGFVTFTSTEAASSAIQALDGQDLHGRIVKVNYAHDRTSGGGYGGGGGGYGGGGYGGGGYGGGGGGYGGGGGGYGGGAGGYGSGTGGYGGGASGGYGGRYGASGGYASSGSGYGEGASAGAVGGYNGSNGYGEGSTASAGDVGGYNGSGGYATGNTYGSNNGGFVGESQVGGNPVGNSSHFGGDNTQFGVGGEAQFGISMEKPEMINGPVGDFEDDTDVAKRA >A08p033870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20239038:20241539:-1 gene:A08p033870.1_BraROA transcript:A08p033870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNISRLDAQSAAEKAVSVIGLGYDLSSDVRLSACKSTPGGSRLVEIDPNRNRDLVFPGGVVVSNVSSSIKCDKGERTRFRSDILSFNQIPSGMFNTMFELKEGWQKDASSVKTLSYDGWFISLYSVELVRSQVTLRDEVKREVPSSWDSVALAGFIEKYGTHIVVGVTMGGKDVVHMKQLRNSNHEPGEFGSSVSRPVIFRSKNEDIVSICIRRGGVDMGQSHDRWLSTISQSPNAISMCFVPITSLLSGLPGTGFLSHAVNLYLRYKPPIEELQQFLEFQLPRQWAPVYGDLPLGLRRRKQSSPSLQFSLMGPKLYVNTSKVDSGERPVTGLRLFLEGKKGDHLAIHLQHLSTCPPSLQLSHDDTYEPIDEPSEKGFYEAVKWGIFSHVCTFPVQYNGARSDEAASIVTKAWLEVKGIGMRKVLFLRLGFSLVASAVTRKSTWDNLSSISRKSGVFSMISTRLSTGLGPNQETAKPVSKIDINSAVYPKGPSPPVKPKLLSLVDMKEVGRGPEVPPGYWVVTGAKLCIEAGKISIKAKYSLLTVKSEDSLV >A10p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9862469:9865045:1 gene:A10p006700.1_BraROA transcript:A10p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVATTSTFHHLVSQTLVSSAVSSPSKRRSFGHDGRSLSCRSLMQSPPPSSPLFGSLRHSKSSCELLKSSTPTKTQLIRRAFTGSVDPFSEEEFSKKMQELSLKFQSPNEEENSFGLDRSSTSVEPPWPEMVQMSSIERKANSVDLPISLRIIKRKLRMEEGVVMTQVGESAVKRAFSSMVFMIRELQSFTLHMRELLLFEDLQGILLRVRKEMHASFVWLFQQVFSATPTLMVSVMILLANFTVYSIGSNSALAAAATPPMATVSFRFDQTEISTSHEKFDSSAIKTFSVSSPNGKTSFVGGGGNGGNNVPPVQSGTDGDGSDQFRTPSQFSSSSLGATADTEVSVSGQEESRLWNSIMEESEKMEKLDHETMKQMVAPVEARLEAEESMDYFKTELLYQTGLSQQPDNVLLLANYAQFLYLIIHDYDRAEKYFKRAAKAEPADAEALSKYATFLWKARNDIWRAEETFLEAISADPTNSFYSANYAHFLWNTGGDETCFPLDAPPQQNTT >A03g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3771463:3771896:1 gene:A03g501300.1_BraROA transcript:A03g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDVVGRVVDEDIIVSGCVDVVDVTGRVADGALGGGYESACLVNVCVDVVYVVGRVVDGDLMVVCVYVVDVFGRIVDMDLMVSGCVYVVDVSGRVVDRGLGGGYKSGKRDGRDSGISDSNGGGN >A05p008750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3629638:3631212:-1 gene:A05p008750.1_BraROA transcript:A05p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKTCQATESYNLQPETRFGQEHVKSLGPEMMMMKKRTKPKRKVIDSSVSQSGKPQTSPKKHDLVAVKGTGMSPNYMKGTSSSEARKENKSRLNQKKNQTGPKHDSRHGVIKEKSIKKPSSRMGRGLTKAPSFKRCSQRATCSSTLKDSKFPDYLMLHDDDEAVSGTSVLKVCPYTYCSLNGHLHKQYPPLKSFISSRRRSLKSQKKASKEEVVEMYVEEKKETIEIDVVTQISEAISEGAPRSETDSDDYSDTQEMVKLSESDDDMELKESGMEETFVADFVKEIQETHEADDYYSEGAEEMVKTSEGEHGTETRQYDLEESLVKDSVKETSCEVNDDSDSEDMVRFLEGDHDLEETLLDDSVKEIQENSEADDASEDHKEELQDQTEETTKIPYNRKQKPCNQEESDATISWTITKGKKPLAETEDLREFNPREPNYLPVVADADTEKVDLKHQDIDERRNSEDWMFDCALQRAVTKLSSARKRKVALLVEAFETVKPVMPRGREPAPVMSYGRHLQTCN >A07p048270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25810471:25815720:1 gene:A07p048270.1_BraROA transcript:A07p048270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLAEKYPLIVSDVVEEEAVEIEGIKIPVDTSKPNPNNLEYDNLYLDMNGIIHPCFHPEDRPSPTTFEEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDASDAAAEEEKLREEFEREGRRLPPKIDSQVFDSNVITPGTEFMGVLSIALQYYVHMRLNYDAGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLGLATHEVHFSILREVVFTPGQQDKCFLCGQMGHMASDCEGKAKKRAGEFDEKGDGFVKKPYQFLHIWILREYLEFEMRIPNPPFEIDLERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSLDGYLTDGCKPNLRRVEQFIQAVGSFEDKIFQKRARLHQRQAERIKGDKARKKRMDDEAPTVQPESLVPIERFSGSRLASAPAPSPFQSNGGGRSAPPPQKVRRLSSGSSIGAAIVDVENSLEPDENENKEELKTKLKEVIREKSDAFNSDNHEEDKVKLGEPGWRERYYEEKFSVTTIEEMERLRKDVVLKYTEGLCWVMHYYMEGVCSWQWFYPYHYAPFASDLKDLGELDIKFELGTPFKPFNQLLGVFPAASAHALPERYRTLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEKRLLEAVSEVEFTLTDEEKRRNSMMCDMLFIATSHRLAELIFSLDNHCRQLSEKERLYYKAKIRPELSDGMNGYLTPCSGETHPPVFRSPVEGKEDILDNQVICCIYRLPDAHEHITRPPPGVIFPKKIVNIGDLKPPPALWHEDNGRRPMHNHNNNYYNNNNNNSNHQGRHVGDAAHRLVTNSLDRRTDRDRYQRGDVHSQHHNHPGYGYNQPPPPYVPPVPYQHGGGYMAPPPGVQGYAQQQGPYQSRGGYQPRGTSGRFPSDPYPVQPRGGGHRDNRGGGYSGGYNQHHHQQYEQHGHGEGGSEHYNPREYGGQQHHRQHQQGGDRRGSHHRGRY >A02g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10215744:10216431:-1 gene:A02g503090.1_BraROA transcript:A02g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYKKLEPASLFPIHGYLLEKGTLCAGTSSNANCLARVGLPLSSSRNGFEAKPLTNGHIGSQQDVKDVKRVLPPYLTRPPMPLRPDIVGNNGNFGGGYGGFHDGMGMGRVINGDRLFPPSGAHGTAASTSHFNGGSDPLHRNGMGEDRSSENDERLIYQAALHVFISIPALV >A06p053660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28252864:28254688:1 gene:A06p053660.1_BraROA transcript:A06p053660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPSDLVRQTRDLFLYISLPDSKVVMAELSRNIRDMKSILYGNSEAEPVAEACAQLTQEFFREDTLRLLITCLPKLNLETRKDATQVVANLQRQQVNSKLIASDYLEANLDLMDVLIEGFENTDLALHYGAMFRECIRHQIVAKYVLESEHVKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLTNNEDWFFADYNSKLLESSNYITRRQAIKLLGDILLDRSNSAVMTKYVSSRDNLRILMNLLRESSKSIQIEAFHVFKIITTVAQLFVANQNKPADIVNILVANRSKLLRLLADLKPDKEDERFEADKSQVLREIAALEPQDLA >A06p037140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20109941:20111906:-1 gene:A06p037140.1_BraROA transcript:A06p037140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMLNSSHASFALIFLYCIFFFALALSSPVSDPELIVEEVHRKINESISRRNLGYFSCETGNPIDDCWRCDKDWEKNRKRLADCGIGFGKNAIGGRDGEIYVVTDPGNDDPVNPKPGTLRYAVIQDEPLWIIFSRDMTIQLKEELIMNSFKTLDGRGASVHISGGPCITIQYVTNIIIHGLHIHDCKQGGNTDVRDSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIRGSTAITISNNYLTHHNKVMLLGHSDTYEQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPDNSFSKEVTKHEDAPESEWSHWNWRSEGDLMLNGAFFTLSGAGPTKSSSYSRASSLAARPSSNVGEITISSGALSCKKGSHC >A03p070960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31201131:31205094:1 gene:A03p070960.1_BraROA transcript:A03p070960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MES9 [Source:Projected from Arabidopsis thaliana (AT4G37150) UniProtKB/TrEMBL;Acc:A0A178V387] MKKQYVLVHGGCHGAWCWYKVKPMLEHSGHHVTVVDLMASGVNMTKVEEIQTLEDYAKPLLEVLESFGSEDKVILVAHSMGGISAAFAADIFPRTISVAVFVTSFMPDTTNPPSYVFEKLIGSHSDSEEMDLKLETYGTNDHPLMTVFIGPKYLKNMYLLSPIEDFELAKMLMRVAPALTSNLTGEKCLTEEGYGSVTRVYIVCGQDKGISEEYQRWMIENFPVKEVMEIEDADHMPMLSKLQELCDRLLKIADKYA >A01p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3339466:3340764:1 gene:A01p006580.1_BraROA transcript:A01p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVMLDEAKLWLDERKVESSSPPAPPVKEDSGYDYYYSSAHDEMDPVEKAKYLQQVRDSDGTNLQFRSVEKVNAEAVSFVNYYITLEAVDPDNNNSLVAFGILPPRTKSEKRYRSRTLPMAMGPDAVDEFYTGKMPNWLQDDDDALIGVNKLQFHVVKDSELQDNEWLNLYAEIALSSERPDMETYLPLEVKKVVVRTKEDVEPSMKLKSSNAIFYTIFKTHRGHECKAIIRQTMDGIQGHMCLEVTCMLGK >A07p009810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6068355:6070564:1 gene:A07p009810.1_BraROA transcript:A07p009810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNANMASMIPQIKGRIWDQWATIPVSHDWEEVRSGIHAEADEVNQLTAPINDCSFGQSIISTFPFQPNTNFFDICFGYRFYSKLKFFLISVIDFERSLSMGSRANRVGERWNLMGYEEKSSVIQEEIKRVSKLPSNSVYAVHRLKVLNKINELLSVQRTLSQEKELELLFTQLSL >A03p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14568277:14570334:1 gene:A03p034540.1_BraROA transcript:A03p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNAKPETLPMDPIRFQSDAQVVSVSSENSNPNVSRARIVESPSSKSSESAQKVTRSKLNPSQTAFSPRNRIRERRFVVVKKKEDDSASVVAGVDCKCGAKNMKKCVCVAYETLRASHEEFFKKRGDSEVSSQNVEEEDEIDSLDSSASMGSRISKKKQVKVTSLKPFKLRTEERGRMKEEELAKKIQEMTMENEKMRIPIAQGLPWTTDEPESLVKPHVKGITTPVDLKLHSDIRAMERAEFDYQVAEKMSLIEQYKAERKEQQLVAEEEELRRLRKELIPKAQPMPYFDRPFIPRRSSKHPTIPRDPKFHKTQHKRCCSTSSWSETGSYMSDIFYQQDL >A08p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16830916:16832016:1 gene:A08p026430.1_BraROA transcript:A08p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHALLVHAPLKAVFCGAHGVFCVWSQELLGHDNEAFPAEEDVEALSQLFITIGKQLDESPKSRGINDTYFVRLKELTMHPKLAPRLRFMVGNVIDLRANNWVPRREEVGFNISREEHLGLRPGAMANMRSSNNRGGADVEAIGSEGFFGRSGTGGMMPGMPGARKIPGTDDDVWEMARSRSVPRVNRQSPQPSGHVQSSSIMDKSLSVNSRLLHQGSGGILNGRPSALSQKALSRKTKSLLEEYFSVRLLDEALQCVEELKSPSYHPELVREAISLGFEKNPPCVEPVAKLLNHFLKT >A03p056670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24551235:24553928:1 gene:A03p056670.1_BraROA transcript:A03p056670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISVCPLWILCFITITLVSSSSSTIWYEDHVSLREINTQENFSFPKDFLFGTASSAYQYEGAYLTDGKTLSNWDVFTSIPGKISDGTHGKVAVDHYHRYPEDLDLMQNLGVNSYRFSLSWARILPKGRLGDVNMEGIDHYNRMINAVLKRGMEPFVTLTHYDIPQELEHRYKSWLSPQIREDFEHYAAICFRHFGNRVKFWSTFNEPNVQVILGYRKGTYPPSRCSKTFANCTRGGSDIEPLVAAHNIIRSHLAAVNLYRTKFEEDQRGKIGIVMNTIWFEPVSDSLADRLAAERAQAFYLTWFLDPVVFGRYPREMKDILGEDLPEFTKDDLKRSKNGLDFIGINQYTSRYAKDCLHTACEPGQGGSRAEGFVYSNALKDGLPLGEPTGVNWFNVYPQGMEEMLMYATERYRNIPLYVTENGFGENNTGVLLNDYRRVEFMRNYLDALKTAMRKGADVRGYFTWSLLDNFEWISGYTIRFGMYHVDFDTLERTPRLSASWYKNFIFKNVGQRRNDDDA >A06p019160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9298506:9299111:1 gene:A06p019160.1_BraROA transcript:A06p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHNVNNSLNIDMEKDQEKAFDYSKRAQWLRAAVLGANDGLVTTASLMMGVGAIKPDVKTMVLTGFAGLVAGACSMAIGEFVSVYSQYDIEVAQMKRDNGGVIDKEKLPSPIKAATASALAFSIGAIVPLLAAAFVKTYNVRIGVIVSAVTLALVMFGWLGAVLGKAPVVKSSARVLIGGWLAMAVTYGLTKLVGSHGMS >A09p062410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51058831:51060520:1 gene:A09p062410.1_BraROA transcript:A09p062410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKDLDPNHPAEDGTFLCPVKKRAIAERSLTGFGRAHEPEFKKQRLEQLQRKAYHSVLLASKSESSGTSHCARVVLPLQKSHIIQKLMNEWNIDQETHISVAHKIDNSDKNATWMIPNSLPNPESLVGKRVHARSPDDEDDDSPTNKKIKLHKQAYDHVLHAFNAESPALSHSRTLIMQHLLDECNNKAHINKIVEPRSRLLPEGLHFRPTDVEMAIFLKQKALGQPIEACIIPEERHDIFSIPPRDLPGYPEETHWYYYCWKSTGQQDPRSLWTRFREDTAVFDEEENCVAVKRRFTLVEREEECNDVFLPDEEEPPVEEWFIKEISLPPSVADRRRRKKEEEEYYDEEEEEED >A08p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3476758:3477159:1 gene:A08p006070.1_BraROA transcript:A08p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRKTFVMFFLVVVLATSSLSNSNVLASPVINHVGGYTHCIERYCTKDWLDAECEAECRTRTYSTGACLGPFPKLQCCCKK >A01p046540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26174475:26179459:1 gene:A01p046540.1_BraROA transcript:A01p046540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin-like protein ARC5 [Source:Projected from Arabidopsis thaliana (AT3G19720) UniProtKB/Swiss-Prot;Acc:Q84N64] MSSDSSAPKSVTVEEMAAEEDAAIEERYSLYEAYNELHALAQELETPFEAPAVLVVGQQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYDPQCQFPLCHLGSDDDPSVALPKSLSQIQAYIEAENMRLEQEPSPFSAKEIIVKVQYKYCPNLTIIDTPGLIAPAPGLKNRALQVQARAVEALVRAKMQHKEFIILCLEDSSDWSIATTRRIVMQVDPELSRTIVVSTKLDTKIPQFSCSSDVEVFLSPPASALDSSLLGDSPFFTSVPSGRVGYGHDSVYKSNDEFKQAVSLREIEDIASLEKKLGRVLTKQEKNRIGVSKLRLFLEELLWKRYKESVPLIIPLLGKEYRSTVRKLDTVSKELSSLDEAKLKERGRTFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERTQGGSFVGTDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFLVGAIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGARLLHILKRLLPISKEGEYLSGHEVFLKRVASAFNSFVESTEKSCRDKCMEDLASTTRYVTWSLHNKNRAGLRQFLDSFGGTEQVATSGNPLGFSLPQDAPGGTTDTKARSDVKLSQLASNIDSGSSLQTTETRLADLLDSTLWNRKLAPSSERIVYALVQQIFQGIREYFLASAELKFNCFLLMPIVDKLPALLREELENAFEDDLDSIFDITNLRQSLDQKKRSTEIELRRIKRIKEKFRVMNEKLNSHEFAQNLDPSSLQTCSSDSKSS >A04p041160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23221816:23226555:1 gene:A04p041160.1_BraROA transcript:A04p041160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEDGVGKATVFPKVFSVEAMWFIYTVGIHCTITEEPSASIFLDAGEAPCRRRRVLCSVQCSSPSSACSLSCSALLRLRSFHFSVLTTRPVVWGSSHFAIMPVSFNHLRISRSMSRRHGLPPAPPSLPHQVGRDTFSPCVAGTPPCCRVSVPIAHFLLKTSSPGPISPDPARQTHVLTVLFSGIPRRPDVPLFHCVLLKNPFTPEVPPHHALKCTVFPLAAADPSPACVSSHFRHTHSSGCLGISGGFIGTFNLPIMVYHLFKKILSVDSLRRDLFRSFFSLEESTFLPYILLVKEDVSSALLSIGFNFTTGLLSCVAVCMGPEDATTKITMCYLEGEGWPSTSHYVTKFQLSDFVGNALSTHSSFVLNSQSSFFEDLYFLIWFVIVVYAFDLRGWIIPSYDYIKNSRGVELFACRWIPSSSPKALVFLCHGYGMECSDSMRECGVRLASAGYAVFGMDYEGHGRSMGARCYIKKFENIVNDCYEYYTSICAQEEYMEKGRFLYGESMGGAVTLLLHKKDPSFWNGAILVAPMCKISEKVKPHPVVINLLTRVEEMIPKWKIVPTKNVINAAFKDLAKREEVKKNKLIYQDKPRLKTALEMLRTSMNLEDSLDEITMPFFVLHGEADIVTDPEISKALYEKASSRDKTLKLYPGMWHALTSGEPDCNVDLVFADITNWLDRRTADPASLTVTPVRTTATASVERDIVTSNGKRRAKRRSYLNLLCGLNGGRLVPRSGGAM >A06p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2561640:2563266:-1 gene:A06p007390.1_BraROA transcript:A06p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAGFAAMEERILPGSFFQFPLPGFSASPNRSPCPPPPPPTGRERYLAELLQERQKLSPFSQLMPHCCRILNQEIRKISSLSEFDRYEHGSPFRSPGLPPPTNGKLDFDGWSMMEAEESFHHQRASPFRSPAPVGWVGMPGLHSPPIVKRVIRLDVPVDKFPTYNFVGRILGPRGNSLKRVEHATQCRVFIRGRGSVKDTVKEEKLKGKQGYEHLSEPLHLLIEAELPEDIINSRLEHAVHFLESLLKPMDESMDHYKREQLKELAAINGTLREESPSPSLSPCLSPSMSPFNSKRAKTGQ >A10p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19870126:19871331:-1 gene:A10p034130.1_BraROA transcript:A10p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKSLIIGKVKDKASICKASLFYSFSSKSVKYIHLALLKSTTHTSHKPPDSNYISDVVSYSNGRHAPVAFGAVMWRLQVTKNAFVAIKSLIVFHILIKSSRYKFEGLDRGRNSLKLNDFSDLSSNLTIELSPWIIWYGRYLDSLSWILKVAGSFPNLMESSKEKSKEKDCVSSYQTGYIMRQTDSLVTFLEHICTRPDTPLLFQNKIVDEIRELVIQDYFTVVILVMIRLQVLNERLTKPEPVGDSSLNDLRLVLMRLEECEESLRGFFWRYRRLAEDFWCLVETLKADMVHSDKEMVNLTGLVQTTVKDDEEMVELASSVQTEWVTFDDSEIAMSELLKRESEWETFDD >A06p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2352928:2358303:1 gene:A06p003140.1_BraROA transcript:A06p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAITKYPPGPVESLSSDEAIDQDECAPSCEDSPASGALSAQASPSVDVSSDLSDPAESETLASVTVISVTTPGQSNLDASTVKTTSASDLPAESTVPAKETDKEECGGSRSNLTRRDGTPVGLITSDKSSNGVQTDSSDLDSSEAEAERSENEVILHAHLTTVDPPVATSSADKFFWTVDETRDHILLTCGYSSEIWRYILPRLESPDVCFMNWTELLSWIKAPARGNFCTLKKIVTQSTLYHIWRQRNNILHNQVLIPPDTVFRIIDRDVRNILLGRRGRRAYNTLLSSWLKFE >A09g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28144049:28145197:-1 gene:A09g509610.1_BraROA transcript:A09g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPRRNTRGSSTTSGGIRVRAPGIPIKCWCAESIKELISKTNLNPYRRYYRCRYAAQRKLENENHIFKWVDEAFTDEIQQLDYHVRMLEEEVQVLKATITSGPKAFWVSVS >A06p018330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8900700:8901887:1 gene:A06p018330.1_BraROA transcript:A06p018330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMIECEDNWNCQLEDITFTAQDGKVSQLEHVFIRGSKVRFMVIPDILKHAPMFKRLDARIKGKSGSLGVGRGRAAMRGKAPATGRGTGGRGVAPPVRR >A02p055140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33408834:33410082:1 gene:A02p055140.1_BraROA transcript:A02p055140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein-interacting protein 6 [Source:Projected from Arabidopsis thaliana (AT5G25540) UniProtKB/Swiss-Prot;Acc:Q6NQH9] MVYLRRSHGPVDLRLVKGEPEKDVKILFPFCSDRDTPSQPFMSGRPRHQTNNRYFELAMKPGGSGLNPNAAAYVPIAKRDGDSAKPAASEKQMSDEDLEMDVDIEFLLATFSDLSYESISDVYLANNGDLDATIEMLTQLEIFSNEAEEYLPDTLDIGDVPETIKPSTSSAPKQTNASTSTSSRAPNAPSS >A09p079620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58546443:58547344:-1 gene:A09p079620.1_BraROA transcript:A09p079620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEYTTTSVISPYVFILCLKDFVLKDRPVLVSKSFAEGNITLEKYVTTVKGLVGLRLLVEAVAIGGAAAYTSLTSAEIVNQLKGRSSRLSKARCNDIFWEAVWPRLLARGWRSEQRKDHRGYTIVFIAIITNLRREEDGSKETGSKPLVQVEDSEPSFLLNGENTESKASDRRQDSKPVVLTEHPKLPPIVWKLSFQRSRGASET >A05p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:933743:934371:-1 gene:A05p002650.1_BraROA transcript:A05p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERGKCFSVIFVFSIFLMVGLDVGAGFVAMLAEVAQQKAHTVTEVETGVWLLECKSPSKKAFVLGLVALGCLLAAHFIAVMIGCIISDSHTIVTVFPIVTVFSAPKITQRVTMACIYLT >A09g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22239774:22242682:1 gene:A09g507690.1_BraROA transcript:A09g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATAEEQGDEPSRKKGEHTRASPSTLLSWSSATSLSGCGAGGGSGVAAFEESSCAVKLSEAVRSKVTLHLFKWVRPCSLVYLEHFQWKLSVTGLSEGLILLLETTVCEHKCRLFSPEAACEVVEKNKDTGAIWRIGALRGMLKEALSLFVMFHGGLAPKTAAVRLSLFRADLFVGKKLQLTQRGTVQMLTLSKDTALEVESLVQVLDSYGGSLRCHSMIVFQHLLVSTTLSRDDTV >A09p067820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53347082:53347897:1 gene:A09p067820.1_BraROA transcript:A09p067820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLTRFLTAVAFLAAGVIFSPETFGSLSKGENPAKVLIFVKLAHLLSFATAWGAALWATFIGGIIMFKNLPRHQFGNLQSKMFPAYFTLVGSCCAISLSAFGYLHPWKSSSTVEKYQLGFLVSAFAFNLTNLLVFTPMTIDMMKQRHKVERENNIGDEVGWSKNREKAKTIPKLAAMNKKFGMIHGLSSLANIFSFGSLAMHSWYLAGKLDL >A07g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5426201:5426713:-1 gene:A07g502690.1_BraROA transcript:A07g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSSGTHNVLKFKPMNLVRVVTIYDIATVYYSSSDQTGIGSRLSRRAQMLIRSSKTTQSPSVDETSGKDCEDRVTNIIYKEIFKDVQTTQVHEDT >A08p038930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22477345:22478226:-1 gene:A08p038930.1_BraROA transcript:A08p038930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFCGHASGLFCLRRMPISKEAGDEKEEYTQHVICNPSTGQYGFLPRVRTGSKSFLGFDPIDKVFKVVSSNSTYSSRTNVVNVFTLGTGEVEWRKIYSPWSKGICINGVLYYLALGLHATTFYIVCFDVRSEKFKFMDTYKFTHYTTRLINYEGKLGLVRWTAYSESIMTMWVLEDVEKHDWSEHLFTLPGDKFSGFVSVVGVTATGEIVLMNNSYHSNPFYVFYFHPGRNTVKRLEVQGFENHGGSRVYAFVDHVDDLTFNMNSWQLHQDVLPRFESFNKSRALSLLDDV >A02p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14273355:14274017:-1 gene:A02p028190.1_BraROA transcript:A02p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRFLDLELSDLFRIRSRLDRESEYEVSLVVFTVLSGFNNGVSGVCSGCSSDSLVPRWLLVKQYKEVGGLDLPMKVPLEEGALVSGAGLFSLWSFVLGVLVLAIGTVNGGFRYVWSCVVVLATSSLTLFCAWLVLGKSAGSSRIV >A09p069480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54141486:54144490:1 gene:A09p069480.1_BraROA transcript:A09p069480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDIDTRAAKLGIDWSQVNLDSIQLPPGENFGIESDDEGVYHDDQLEFDTGFGNIIVVDNLPVVPKTKFEKLENVLKKIYSQLGVIKEKGLWMPVDPNTGMTLGYCFIEFNTPQEAQNAKEKTHGYKLDKSHIFAVNMFDDFDRLMNVKEEWEAPQTKPYVPGENLQKWLTDEKARDQLVTRSGPDTEVFWNDARQKNTELVHKRPYWTESYVQWSPLGTYLVTLHKQGAAVWGGADTFTRLMRYQHNMVKLVDFSPGEKYLVTYHSQEPSNPRDASKVEIKVFDVRTGRMMRDFKGSADEFSIGGPGGVAGASWPVFRWAGGKDDKYFAKLSKNTISVYETETFGLIDKKSIKVDNVVDICWSPTDSILSLFVPEQGGGNQPAKVALVQIPSKVELRQKNLFSVSDCKMYWQSSGEYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDQPRPDVSFYTMKTTQHGGRVTKLGTLKAKQANALFWSPTGKYIILAGLKNFNGQLEFFNVDELETMATTEHFMATDIEWDPTGRYVATAVTSVHEMENGFTIWSFNGKLLYRNLKDHFFQLAWRPRPPSFLSPEKEEEIAKNLKKYSKKYELEDQDVSLLLSEQDREKRKALKEEWEKWVKQWKSLHEEEKLARQSLRDGEISDEEEEEDEAKEVKEVEFEDVIEVIEEVVQE >A10g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5304921:5306310:-1 gene:A10g502030.1_BraROA transcript:A10g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLLIKRKTRSIDTVHQSSIDTVHLPSTDTVHPPFNTTCVEAEKVEVLILKVDEDGILRDEEGRPRNNTCQLINAKGDVIHDVIDVADINDFDLNREWYDWGSVDPFRGLPHEDPRNLIKDLEKLASASKQNEVSVDHIICKIFPYSLSGEAFTWFSQLQPIYLTCWEDIKTTFFNKFLYDAAATRQRKFDDMLDKMIKCQEKELMSRFSQMLGINYTEQYEESKTMNTQIEKPDIEVQWTDENARREEADISDTTSTSTDGTTSTPTDGTISMSTHGTTLTSTNGTTSTSIDSTTSTSTNVTTSATIDSTTSTSTKATTSTSINDVEKEITMEDFLELEEFLELEEWLEDMDQNSEKKLDDDQHTSRGDLETSPKASIDQPQRDEIDQHPPCIIANHPPYIIVRHPPDSIDLHPPESIDRHPPSCIDRPYPK >A03p037320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15620659:15623740:-1 gene:A03p037320.1_BraROA transcript:A03p037320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLHLLTLFLLVAVSGAKFSGRPGVNYGQLGDNLPSPSDSVKLIQSLNAKRVKLYDANPAILAALNATDITVSVMVPNELLVNISKSSSLSDEWIRSNILPFHPTTKIRYLLVGNEILSSTDSELKSALVPAMRKIQRSLKKLGVKKVKVGTTLAVDVLETSFPPSSGEFRSDISGSVMKPMLQFLNRTKSFLFVDVYPYFPWAQDPAHVDLAYALFESTNVTVTDPATNLTYHNLFDQMIDAFVFATSRLGYPDLRIWVAETGWPNNGDYDQIGANVYNAATYNRNVVKKLSAVPPVGTPARPGKVLPSFIFALFNENQKTGPGTERHFGLLHPNGSRVYEIELSGETTEFKEKLPAPENNEVYKGKIWCVVAKGANWTQLGEALSYACSQGNNTCDPIKSGGPCHKPDLTVLHASYAFSSYWASFRKTGGTCSFNGLATQTIKDPSKLWTLRVSERDTVTTNELRQCREDIGLGGKTAHDVIIGAHAVMANDGVIGPVGLCPLYPPNPEVLLNELRSPSELLDFGEFSDCLDFGLGSGSPLLQVVNPTFDSRGGHNPHYMYRLIAH >A07g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18930823:18933227:1 gene:A07g507230.1_BraROA transcript:A07g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSHLSASSNRTSDLQTDVSSAIKPKSNFQIDGSSTPMESKGQAPTSIDRMSATEMDGYSVIERNSTTQTDGESPIRMKPNGKSPAPSTIALKYTGKIVTSSAMQMKTGGKAVGSSVIATKPHGKAAVVSGNDVEVMGFDEVTLGPHEAELRFRLIHFWEARNPHMKTLIGEEMLLIDEQGNVIKGFIPASRVQTYLRKMTSGTVYRLNKFFGSRNKAQYRVADHNVTVTFSWNTALSALQNSPVLFPEDRFRFHDHEEFEANCDLGGDLYDYVGHMRLINGQAMTEHLIIDESDIAEKRHLVVHVQTHGGPVMKLYLWDQAAAAFCQKFRSYGSTPRVLLVATVNPKRIGGTLALTSMTSSRVFMDIDVQPTRDYLNWLGSNSGVADEVIADVVTKPETVTLGELFSYIKQETAKVAWFECTATIDDVFHGYPWYYLSCGGCNTKATKGPTSLVCTNEKCANSEVVGVPQYLTKISVYDKSEQAVFVLLGDAGRELTGKHASELVARYFEFNEDVGADQTVQVPQTLIDTIGQTHKFVVKVSKHNLTGKTQTITVTKVLPEPAPQNAIEDPADERIRKASGSLESQEAKRAKSG >SC132g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:130394:131096:1 gene:SC132g500110.1_BraROA transcript:SC132g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRNFKAFSVAAAFSPMNGCKSRKLTEKILKEAYAQQKEVEDEENAPMSAFSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDAITSSLEGKHIAATGAAFVSVTDYYRK >A08g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6801132:6803301:-1 gene:A08g504200.1_BraROA transcript:A08g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A01p052260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29228152:29233784:1 gene:A01p052260.1_BraROA transcript:A01p052260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQFNKSKSPDNKYMLGDEIGKGAYARVYKGLDLKNGDFVAIKQVSLENVVQDDDLNTIMNLNHKNIVKYLGSWKTKTHLHIILEYVENGSLANNVKPNKFGPFPESLVAVYIAQGLVKLADFGVATKLNESEFDTNSVVGSPYWMAPEVIELIGVCAASDIWSVGCTVIELLTCVPPYFDMQPSAALYRIVQDDSPPIPDSLSPDITDFLRQCFKKDSRQRPDAKTLLSHPWIRNSRRALQSSLRNSEAIKYMKEVAASSEKDDEGSQNVTESLSAEKFGMSKTQKASEHYEAPGNPETEASIQVDQPSHSLVQKDEDHMLRKAVETPSRIGGNELSGFSDTPGNEASTSMPPSHAIKGYSPVADGGDPFLLMMGVLKDDVVGIDGLVFNENVPAENLYPLQAVEFSRLVSFLRPDESEDAIVSSSEKLVVMFRQRPEQKTVFVTQHGFLPLMDLLDAPKSPVTCAVLQLINEVVKDNTEFQENACLVGLIPVVMSFAGSGPERDDHSQEIRMEAAYFLLQLCQSSSTLQMFIACGGIPVLVGFIEADYAKHRKMVHLAIDGMWQVFKLKKSTPINDFCRIAAKSGVLPRLINTFVSLNEATRLAYTSGGLLSVDGQAPQAHSGQLDQPESSLIVIDQPDVLTTSHGCGEEPSHASTLNSQRTDIHQPDGDRARLICVASHVTEKVRPGSITSSCSLAHMVSGDVASEYLEKVADLLLVFARADTTVKSHMCSQSLLSLLFQMFNRIESPILLKILECINHLSTDPDCLETLQRANAIKHLIPNLDLKEGNLVYQIHHEVLSALFNLCKINKRRQEQAAEHGIIPHLMHFIMAASSLKQYALPLLCDMAHASHNSREQLRAHGGLDVYMSLLDDESWSVIALDSLAVCLAHDNDSNHKVEQALLKKDAVQKLVRFFKSCPERHFVHILEPFLKIITKSYRINKTLAVNGLTSLLVSRLDHQDAIVRLNLLKLIKAVYEHHPKPKQLIVENNLPQKLQNLIGERRAGQSSGGQVLVKQMATSLLKALYINTVL >A01p047350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26700310:26701673:1 gene:A01p047350.1_BraROA transcript:A01p047350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPEVKITKATTNKSKDYAAFSSEGDLQEIRFLGSRLHQVTAPAPPICLRQRVWPPRIHMRMDCSLEGGKDTDVGKEKSSSETDQETKVMAVTEVQEIAEKVAETKERIGGEEVNESEAITGTESDLQEGKDWLTPTKVSKTPEKKKDQDLGEGSIISNSQFALLAPEDAKDGDILQQET >A05p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13847886:13849250:1 gene:A05p026110.1_BraROA transcript:A05p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLAVDDLPGSRLVNAEMMRQLHVVYGEWLLKHGCWNFVVDHFKGARMLFLSEGSTHADLVAMAQENYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRARKGMKIMWEMRLRRGIVRPDPGSYAQTDPRP >A08p045090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24781312:24788447:1 gene:A08p045090.1_BraROA transcript:A08p045090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSNITLPLFFTLLFALLTFIDVSTGAANVFNVVSFGAKPDGVTDSTGAFLKAWQAACGSVAAATVMVPIGTFMVKGITFGGPCKSRLKFQLAGTVVAPPDYRAFGNSGYWILFNKVSKISLIGGTFDARANGFWACRKSGQSCPPGVRSITFNSGKDVIISGVKSMNSQVTHMTLNGCTNVAVRNVKLVAPGNSPNTDGFHVQFSTGVTFTGSTVQTGDDCVAIGAGTRNFLISKLACGPGHGVSIGSLAKELNEDGVENVTLSSSVFTGTQNGVRIKSWARPSNGFVKNVFFQNLIMKNVQNPIIIDQNYCPSHKGCPTEVYIHTRGWPALRAVTYKNIQGTSATQQAMKLVCSQSNPCTGITLQDIKLTYKKGTPATSYCFNALGTSLGVIQPTSCLNR >A06p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:312589:315975:1 gene:A06p000510.1_BraROA transcript:A06p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRSKPVKNLPETIHSLLGTKSHLTSAWVKSVCNIVKNVSSSEIASTSKEEDDSAFIQVQSIRDQLSALTVEANEQNKRRRQILNEFLDLKGNIRVFCRVKPLDSKNLRAPVASDTRNVIIKLTESKRKTYNFDRVFQPDSSQDDVFLEIEPVIKSVIDGYNACIFAYGQTGTGKTFTMEGLPESPGVVPRAIKGLFKQVEQSNHKFVIKFSMLEIYMGNLRDLLVTQGTKPISPIPPSLVIHTDAKGEIDIEHLVTLKVNDFDEVFKLYKVGCRYRATASTNSNSASSRSHCMIRVSITCAGAPDRRRETNKIWLVDLGGSERVLKTKATGRRFDEGKAINLSLSALGDVINSLQRKNPHIPYRNSKLTQVLKDSLGQDSKTLMLVHVSRKEDDLCETICSLNFATRAKNIHLGQDESKEEQEKKEAVMLNLQKMMEKIEQERETTLKEIRSLNETLEKLTGKPHVTEEAEVEEIREEVQVTPKLKRNKSRRASDVCPSFMRPTASSSSRRLSGTDFSVISHGPGLKSRRNSMISVRAESVCLPMKKNGFDSVCDSSERSVSKSTCVMRADDAATVDSQDISECDIKLVVSEHKPKGQQMAPGSANKPSSSKMGGTEFSRVNSWLHSQSANRSYLLDKKQLPATPSPVKARSLSRNRSLENSSAKLEDIEESRTEETVIKPTPMLKDLFELQCLCSSETEDQILSKYPNPDNDGDNNEWEQGSLKPRSQRGLAFPEDIAPPLRRPQVILGERGRAQTFMLKLHALCLCIVMALGFIDVGYGNDFFNGLTK >A02p024350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12846680:12847527:-1 gene:A02p024350.1_BraROA transcript:A02p024350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQKRYRTQPDSISVVKVLLNSISSPTPSTILHSILRHPGGKTIVGNKCSTVRGGKRRRRERRRMKEVKDKEKAIDDGEEDREKRTLMESGTKLTPVRRISMETPRTLSSRIGTPSSSKLSIIEFDITGLLNPTCKTVADMMIGKTPEEMRTHFNINNNMGL >A07p016690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10265671:10267565:-1 gene:A07p016690.1_BraROA transcript:A07p016690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin-cholesterol acyltransferase-like 1 [Source:Projected from Arabidopsis thaliana (AT1G27480) UniProtKB/Swiss-Prot;Acc:Q9FZI8] MNKPSSLHLVLITMLTTATMTLMSQATGNNVHPLILIPGNGGNQLEARLDRDYKPSSVWCSRWLYPTRKKSDGWFRLWFDAAVLFSPFTKCFNERMMLYYDADLDDYQNAPGVQTRVSHFCSTKSLLYLNPRLRDATSYMSHLVKALEKGCGYVNDQTILGAPYDFRYGLAGSGHSTRVASQYLQDLKQLVEKASSENNGKPVILLSHSLGGLFVLHFLNRSSPSWRRKYIKHFVALAAPWGGTVEQMRTFASGNTLGVPFVNPLLVRPQQRRSESNQWLLPHSKVFHDRTKPLVVTPRVNYTAYEMDRFLADIGFSEGVVLYKTRVLPLTEEMVTPGVPVTCIYGKGVDTPEVLVYGEGGFDEQPEIKYGDGDGTVNLASLAALELVHEVDSLKTVEIGGVSHTSILKDEMALKEIVEQIKIINSGLANSRRGQSISQVESK >A08p034100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20339308:20344971:-1 gene:A08p034100.1_BraROA transcript:A08p034100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKKTREIHRVTQDDEEDRCQVDNIPLDLTLDMLSRLPPKSIARFLCVSKLWSSFTTLPSFINSFASRSSSQPPRLLLTFTHLEAHTSREALRFSPFLKTRMKTGHILRTTLPKPQETISVKGSPCSGYRSYLGYDPLEGKHKVLFMSRNEYTDQPRVLTLGAQESWRIITKGRCPMHFSSIGGYGRCFNGILYYEAFDTDGHRIIMSFDVKYENFNLIKILEGFYKMPCHMILYEGRLALVHKRTYDPNVDLYILNDSTGDEWLHEECCLHILAGLCLNGVTAAGELVFTSTENESLYILYLNLRRNMMREALFEGIVGGDFRSRYGLCSNIGQSAMEEQGDKKTREIHMDTQDDDNDISQPDHIPLDLTSEILSRLPAKSFARFLCVSKLWTSFTTLSSFINSFAFRSSSQTPRLLITFTL >A01p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5704028:5706377:-1 gene:A01p011770.1_BraROA transcript:A01p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDEKYEKAEKGSLKVLPKTVLLILLCGLSFYLGGLYYGKNIVEVSNVAKTGSSLDVDNSLQVKSVSFSECSSDYQDYTPCTDPRKWKKYGTHRLTFMERHCPPVFDRKQCLVPPPNGYKPPIRWPKSKEECWYRNVPYDWINKQKSNQHWLKKEGEKFIFPGGGTMFPNGVSAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPVNYENRWKGWDTTVEEQRSNYEKLQDLLTSMCFKLYAKKDDIAVWQKSPDNMCYNKLSNDPDAYPPKCDDSLEPDSAWYTPLRPCVVVPSPKLKKTDLESTPKWPERLHSTPERISDVPGGNGGLFKRDDSKWKTRAKHYKKLLPAVGSDKIRNVMDMNTAYGGLAAALVDDPLWVMNVVSSYAANTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHVDGLFTSENQRCEMKYVMLEMDRILRPNGYAIIRESSYFADTIASVAKELRWSCRKEKTESESESEKLLICQKKLWYSSNSTSETK >A10g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3013578:3016457:1 gene:A10g501050.1_BraROA transcript:A10g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGDMDEQCLVLSGDWVCGDGGKWDFVIEKHQMGRMVAVYEGIGFQELKRNVLREFRKDEAQFGVTLSYWPPTSMELATGIRTPPVLVTSDGGVKYFCQHLKVKGAMNLFARFEALKKVVDTDVVDDSCMGFVSPEAAKFLSPDTAKFRNGSSKKGFWPSAASKTKVINLADDVDFVREVEKVEEKLMGESHEGESSKDVGESSYSAETETEGTLEEDVEEIEVRPRGYDREFWEPLLGGDYGGSDAVNVVFNEDEIVEGLKKKTGPRSYFCDTGSAFDHYVEVGGEGGGEREAHMMKPEDCNPWNGGVISPEEDPRDVDIPEEVSSMVVYPPITKRQAGRRRKTRIPSTGEIRVPKKKLVHNRCGRCGEYGHNRTNCANHI >A03g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19104900:19106620:-1 gene:A03g505330.1_BraROA transcript:A03g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPYISPPPIGYPTRDAAGGDPVVAAVETKSKGDDEFSYRRWSAVVSLSSKPAASDDTLELTVSCKLLV >A03g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12118230:12120000:-1 gene:A03g503610.1_BraROA transcript:A03g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNPRSDRKGKGIYKHNLGAQSIATFADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A06p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5381704:5382820:-1 gene:A06p001930.1_BraROA transcript:A06p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKTFAHPPEELNSPASNLSGKTPKLPGETLSDFLSNHPDSAFSMSFSDSAVLAFARPENSHRPRMFSGVDGIYCVFLGTLNNLWDLNKQYGLSGKSSNEAMFVIEAYRTLRDRGPYPADQVLRGLEGSFAFVVYDAQTSSVFAALGSDGAESLYWGIAADGSVVMSDDLKVIKQGCAKSFAPFPTGCMFHSESGLMSFEHPKNKMKAMQRIDSEGVICGANFKVDACSKINSIPRRGSEANWSLANSS >A07g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:322443:323278:1 gene:A07g500190.1_BraROA transcript:A07g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGIGPEAGWVWCISRDPRGSAKNWSLSSSSYDHRTSLTKTLCIHHPYQTGLFSLKSKDTKPIKSGYTLASYLSILILLCLPTKDSVRTSILSTRFRNIWLQVPRLELHSHDFSDPAAIKNFIDRFLEINRDSRLQKFKIKYDEYNVYLFRISELIAEAINRGVHDLDIGTLKSPLTEHLMHVLMKIGVPRTCTAKACASRGSGLGSSFRNRIPRGHVPRRSALTVYRSGAGQGGSVQPNCHL >A05p051520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31445561:31447339:1 gene:A05p051520.1_BraROA transcript:A05p051520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRSGEEGSRSDPSGQTGVQASVWRGGGESYPERPDEPDCIYYLRTGACGYGSRCRFNHPRDRGAVVGGVRGGGGGDGALPERMGQPVCQHFMRTGTCKFGATCKYHHPRQGGGSVAPVSLSYLGYPLRPGEKECSYYLRTGQCKFGLTCRFNHPVPQQQQQPQPQPQLHTIYPTLQSQPSQQYGLVLARPSLLPGSYLPSHYGPPMVLPPGMVTYPGWNPYQPSLTAMPSPGTQPSIGPSSVYGMAPLSPSGTAYTGTYQSGGPSSLTISKEEPFPQRPDQPECQYFMRTGDCKFGSSCRYHHPLDAAQPKTGVLFSSIGLPLRPGVAQCTHFAQHGICKFGPACKFDHSMSSSLSYSPSASSLTDMPVAPYPIGSSSLSGATAPVSLSNEPTTEAVTAVSSPMVSGQEPAETSGDSASVSGSIEAKTSSSSSK >A07p019290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11477717:11479034:1 gene:A07p019290.1_BraROA transcript:A07p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPNGLQENSIHSGSVSFGRFEKESLSWEKRSSFSHNRYLEEAERFSKPGSVTQMRAHFEAHFKKKGIKLPSSVEAQTWGEVAQHHQQTASEKEENLWESMSQCSHYSYELDKCDKEKSSFGDSCLSYESYDDHSSLSVASEKIGIGCSERSVEDKAEMSFPSATALKSLKNDRKATPSYAKTTKTTTKKDVVIAKGSSSCNTKTSFDTKRGKEMKPALIVKSNASQAPVTKKTESLTPLAANKFRSKNTFGSAMKERTATNGFSSRSSERVEKRKEENVEAIVQKSLNFKARPVLLARPQDTSIGQEKVRKDAQAHSSKASSNRSLANGAAKSKLNINKQKVDTQRSLTGIRPNSRDQTAKNNANGRSLAVRRAAVEVAL >A02g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17656771:17657824:-1 gene:A02g506190.1_BraROA transcript:A02g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSLWDGAATSFSGNLCSGYTIHSVMVVITVNPKIFGGIGGTEEVLPRVEIFTVPFQSPTTRPIRLIFYVRLGSSVSSSKMVGRQKRSSVACTGCSRRLDKSKTSLQCNRCVSPNVTGVIRYRIIILTRRLQAAAKHHRCTTNRNNHQHLATSHVMHTRKKWTVRQQNHQALETMRTPASACE >A09p074680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56573856:56575161:-1 gene:A09p074680.1_BraROA transcript:A09p074680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLFRAEMSMLSSTLARSFSIPFRKTLSSFDSRIATQRNSLPRTRHSRVASLSSSSPSHPRNFPLPGIEDVFVGYLFGRKKATEVAHVVWEQVIQKGDMVIDATCGNGNDTLAMLKMVTDDSDGCGGCVYAMDIQKDAIESTSCLLDQTLGSEEKERVKLFNMCHSKMEEIVPENSSVRMVAFNLGYLPGGNKSIITASNTTVLALKAAESVLMPGGLISLVVYIGHPGGREELEVVEAFGSSLPVSDWVCCKLQMLNRPLAPVLVFMFKREK >A02p028970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14596798:14599418:1 gene:A02p028970.1_BraROA transcript:A02p028970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLSSSTLITPPHHPPSRFGYSFQWISDGGLLNRRGGRRLDLERGVAHPPPSPIVAKSPIPDPTLPEEDEEIVKSVIHGAIPSYSLESRLGDCKRAATIRREALQRITGRSIEGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGYEYSVPMATTEGCLVASTNRGCKAMYVSGGATSTVVKDGMTRAPVVRFASARRASELKFFLEDPENFDTLAVVFNRSSRFARLQSVKCTLAGKNAYVRFSCSTGDAMGMNMVSKGVQNVLEFLTDDFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKGEIVNKVLKTSVAALVELNMLKNLTGSAVAGSLGGFNAHASNIVSAVFIATGQDPAQNVESSQCITMMEAINDGKDIHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASTESPGMNSRRLATIVAGAVLAGELSLMSAIAAGQLVKSHMKYNRSSRDISGATTTT >A05p050200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29031239:29034401:-1 gene:A05p050200.1_BraROA transcript:A05p050200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVIVFISSIYLLVNYQHPDDANQAYFPKFVVVFGLSIAMISILMLPADVANRHACRHAIYNGACNLTLPMKELWLAVYIVDAILVFFVIPFAMFFYEGDQDKSLGKRIKSSLLWVVSTAVVCALVLGILYGVIGKVDFNVRHLASGTTSFPTSWQFSNNQPCIGNTARQCSAFTASVASEKTWTMRTTFPEYVVALATIVGSVLFTIFGGVGIASLPLGLITAFIRRPKAVITRSQYIKEATELGKKARELKKAADGLRQEEKDGAKGRKWRKNVKAVEKELLQLEEDVNLLEEAYPQGEKAETAWAFTVLGYLAKFILGIIGLIVSIAWVAHIIIYLLVDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIGFVILAGLTFLYYITFGWRRKKPSARFQLSS >A01p021170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10320910:10321791:-1 gene:A01p021170.1_BraROA transcript:A01p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF054 [Source:Projected from Arabidopsis thaliana (AT4G28140) UniProtKB/Swiss-Prot;Acc:Q9M0J3] MDFDEELNLCTKGKSIVPSSGEASSTTSPRSIKKMRNPDHRSKPYFPFSSPYSNSLPAFPFSFDPTTQNQQQQQLGYVPINQQNNPTIQGQNQMISFSPQQPQQQQQYMFKYWSDTLNLSPRGRMMMMNQESVLPYSSTKLYRGVRQRQWGKWVAEIRKPRSRARLWLGTFDTAEEAAMAYDLQAFKLRGHNATLNFPERFVNKEIEPNDSTDASSSLHPKQSETPQPNEPNEGNLKSKEVAVSDGGVEEGMAEAWFNAVAAGWGPESPLWDDLDNSQFSQNSTSSCPMKPFI >A05p051850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29133604:29134019:1 gene:A05p051850.1_BraROA transcript:A05p051850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLALFLVIAFHRGEAAVSCNSVVGDLYPCLSYVVQGGNVPANCCNGIRTLNSQAQTPVDRQGVCRCIKNAIGGVSFSSNNLNNAQSLPAKCGVNLPYSISPSTNCDSIN >A04p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22992677:22994134:1 gene:A04p040710.1_BraROA transcript:A04p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRQFQMEGGNNDTKLTKIFVGGLAWETQKDTMRRYFEQFGEIVEAVVITDKNTGRSKGYGFVTFMEAEAAMRACQNMNPVIDGRRANCNLAFLGAHKPRPPTSPRHGTGRFRSPGGAGLVAPSPQFRGSSSSSALAHHHQQQQHIGQFPFPYSSYGFSGYSQEGMYPMNYYNHHLYGGQQYSPYMGPPSSGSTGMFHGYYPYYPQYNAAQSSNQAQAQTHHHGFSFQYTATTPPPPLLQYPYLPHQQFSSQPPPPPILSLPTSLALSLASSASSSSSLSTSTSAATSATKTVVITTTAPKAAAEASNKDGHEAITSSSIKIED >A09p055980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47763720:47764243:1 gene:A09p055980.1_BraROA transcript:A09p055980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQYQLNQIDHVSLILSKVSISFVLQGTDIFYFHFFVFSKMPRNKKCYLLTPKRQELVLERFASSGSIPSTYIEGNGDWHRVSKRRLQRRFKIFATQKLIKGKRPLNKKTSTLCVD >A06p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22019798:22022313:-1 gene:A06p040720.1_BraROA transcript:A06p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSIISTSRSSTSSWTAKQDKQFEMALAKFDKDTPDRWQNVARVVGGKSAEEVKRRYELLVRDVNDIESGRYDPQPRLIKRAKPWVPRNISRNEAVQAQNDIERQRKLAEDQRNLLQQQAQAKAQNFRYEDELARKRLQTDHESQRRHNVELVKMQEESSIRKGQARLATEEQIQAQQRQTEKERAALERETIRVKAMAETEGRAHEAKLTEEQNRRMLLDKINGEMEKWLAAINTTFGHIEGGVKVLLTYRNKLTGGDVAPLGAQAVTKIHEIFDWAKKSNKVYCFSSMKLMLSYAKLCLMVYQILDRKRNSTYMSEAQHSALNALLFRTGDQSRDIVLVLATNRPGDLDGAVTDRIDEVIEFPLPGEDERFKLLNKYLTGEDQKDDKDMKTKWSHLFKKQAQKITFEGDLTDQVIREAAKKTEGFSGREIAKLVAGVQAAVYGRPDCVLDAQLFEEIVDYKIQEHHQRARLATEGGQSFP >A09g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22286341:22288244:-1 gene:A09g507750.1_BraROA transcript:A09g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A05p002340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:776200:777222:-1 gene:A05p002340.1_BraROA transcript:A05p002340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLNRERVLVEGGLREASVNGYISLKGLSLVSRSTTQNACVSPNGNNNVGIEPVLQPPKPVLQLLLEDGALAILTDEEERRYDCLVLKTDLCFCIFFLYIPGMLVTLKLNNMVLVHVSSLVFLKKIESCIHQNTQTLVSDDYTGGFENEMPSIAKAHIDYWYSHSGFYKISQGSLFMFIILK >A03p012300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4893629:4894703:-1 gene:A03p012300.1_BraROA transcript:A03p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKFLVAFVLTILCVISFLRCHKTSDRTSGTDLLNSLLPFFLYYFCECVYTGVMIIISIGFGVESDYNCYTVAPCEGGRERGCTAFCKRMKLIPMPGRGVCNAENTFPLYSFYLSSNDDYATIERHPFSLLRSVTGNYTPSQIVTSHGLSFDGFAPWLTEEESNKLSCKKVTNFINNQTRSKRDFNQKKIKEGLTDEYGVNGKSMFGTLSH >A08g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10870453:10879424:-1 gene:A08g506380.1_BraROA transcript:A08g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFQKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A02p059240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35406393:35406851:1 gene:A02p059240.1_BraROA transcript:A02p059240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSQSPSPNFTFLSDENSIYSVMDNYDFSNLMFSVGEGGSNGLIQEETSSPTTIVTGESGGSGSAFTTLRKKESTSLDCKNRGSKDGETKEMGHRVAIRTRSKIDVMDDGYKWRKYGKKSVKNNTNKR >A08p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20642202:20643275:-1 gene:A08p034830.1_BraROA transcript:A08p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTATTTTTHHYFTIFTNYPLISSLTAFTIAQFIKLFTSWYRERRWDLKQLIGSGGMPSSHSATVTALAVAIGLQEGFGGSHFAIALILASVVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESRPLRELLGHTPPQVIAGGMLGSVTAVTGYLFTRVATS >A09g512170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35676018:35677234:-1 gene:A09g512170.1_BraROA transcript:A09g512170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRPGRESDSDPEDLEHAEKLRQVKAVIEEVI >A07g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21791451:21794683:-1 gene:A07g507870.1_BraROA transcript:A07g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGRLSREEKGKDIAAPSSPARDVNGSPLDDFDLIHRDTLRDTENMSLSQRLLVADAHRQFREEAEERVRDKGGDASGSEAPSQVVRPPRRARRRVRFDPHLRWPDLSREWIRCQQARISRVDWESKLPCVLGLCKSRLSLFTRKQQRLLNKAREVEGIPDLNARPDPVEGDVNSEPPAQNPLRKKTGRAKKQAKKGQSSSLEGNIPLEETPSSVDASEVTKKKKKKKDSKKRSREEASVERLETSMAVGEDDAGTHDPTDSTRESPEERPKKKAKKKVVEEGGQRSVDGDRPDGILVPEGPSRSGGRASETGDVFIRELRGVFTVFGFMQSDGSMNYLVEKYDSTLKHTKIQLGASEKLAQTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELENKLKSDRLAKKEALCEKTRLERLVASLEKEKAELEEERDAIVGTLVKERQHLRDSRIHEVTRERVKVQTAMADKSTRCFGRVKDYLARLNALEKAKSLYGQASGTRKCLEIIRDNGTEIPQDMIDIFSEWEKVHEAEVARLHLDPLSEADFTLSPLNLPSRFVNEEFMATLDSYGSNVGLIGHESPSQVITSREATEEPTEEPMVDITSALSERVDVTEGISFEECSDKNNPGIDDNLVREEETRDLAVEDPVLVSSSEE >A07p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1247566:1248558:1 gene:A07p007080.1_BraROA transcript:A07p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHGGAPPIDLSNTASVAAATGHVWTRRPSFSLYTTNHESHQAYVSFSERNVRNKSYGVDNDEKLVGAAKEAKDRLDERLRNPRRRQNGKDKATKLEQGKGKSLKDLLTEVVGLKKSRGRLVKWFGWRVREQQECAICLDQFKTGETLVHLPCTHKFHSICLLPWLDTNVYCPYCRMDIWN >A06p042300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22726883:22727778:-1 gene:A06p042300.1_BraROA transcript:A06p042300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVVLKVLTMTDDKTKQKAIEAAADIFGVDSIAADMKEQKLTVIGMMDAVAVVKKLKKVGKVDLISVGPAKEEKKEEKKEEKKEEKKEEKKEEKKPEEPKK >A09g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11658042:11658417:1 gene:A09g503730.1_BraROA transcript:A09g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVKVILIQKILIEHAEKLRQVKAVLEEGGNFSGIYKKVQLKPLKWDGEGEE >A04p038600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21932866:21933472:1 gene:A04p038600.1_BraROA transcript:A04p038600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSNFLSRCSSYEADVDSDSDVSSTSSYSVSEEEIDNGFGGESMKKTKKLEKKKSNVLLEGYVVDDLKRTKSLTDDDLEELKGCVDLGFGFNYEEIPELCNTLPALELCYSMSQKFMDQDHSPEKKPPMMLESHVSPLASWKISSPGDNPDDVKARLKFWAQAVACTVRLCT >A01p010830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5287435:5289216:-1 gene:A01p010830.1_BraROA transcript:A01p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTFTRLPNLINVLLLLSLVFSGNILQSVTSLGINYGQVGNNLPSPDKVINLLRSLRITKTRIYDSNPQILSAFANSNIEIIVTIENQVLTLLQDPQQALQWVDSHIKPYIPATRITGIMVGNELFTDEDSSLIGYMMPAMINIHKALVQLGLDRYVQVSSPSSLAVLAESYPPSAGSFKPEVSSVMQQLLQFLEATRSPFWINAYPYFAYKDNPDKIPIDYVLFNRNVGMTDPNTRLHYDNMMYAQVDALAFAAAKLGYRNIEVRVAETGWPSKGDAGEVGASPANAATYNRNLMMRQFAGEGTPARRNSRLDVYIFALFNEDMKPGPTSEKNYGIFRPDGSLAYNLGFSTMSTTTANSESVTYSSSATEAMNRATTTLECWTILILAMIQVVISRLF >A04g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21400277:21401263:1 gene:A04g508220.1_BraROA transcript:A04g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVLCSLHHHRHYRLHTGKVDNTETSHEWCFISCSKSSWKLQRGLSSFVCGSYHDEKAVGIYRVEMAVSDASDSALFVAFDAEMNKLINGTSMEDAHNIAFPQCLRDILGRALTFQQKLSRFNFSSKHQSFTLIRASPSRFCRAGAPVNNGGPITHVMVEGKKQPLNLPKTMFAMVKLQITGA >A03g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22742548:22743951:-1 gene:A03g506350.1_BraROA transcript:A03g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILIGETDNYDGVTVTMNEPMDAEVFTHRLRASLSHWRQEGKKGIWIKLPLGFANLIESAVTEGFRYHHAEPEYLMLVAWISNTPDTIPANASHIVGVGALVLNKSTREVLVVQEKSGYFRDKNVWKLPTGVVHEGEDICDGVAREVEEETGIIADFVEVLSFRQSHKAFLKQKTDLFFLCVLTPRSYDITEQKSEILEAK >A05g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19872439:19873037:1 gene:A05g507030.1_BraROA transcript:A05g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTAARESHQQLHEEIMTDLHEVTRQYVNVADPVEREARRQRVLEGESNNMMAERAAAMLAQALKDKGQEQEARQGAATNQALPEADSHLEQNELLLGPQPKKRGRPPGKANTAGKNILQGASSRKRNVQAIQNSPRSASTPPDPITNATDQSSRTTASPNRTDSTYGTE >A03p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4743918:4744838:-1 gene:A03p011870.1_BraROA transcript:A03p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-depolymerizing factor 4 [Source:Projected from Arabidopsis thaliana (AT5G59890) UniProtKB/Swiss-Prot;Acc:Q9ZSK3] MANAASGMAVHDDCKLRFLELKAKRTHRFIVYKIEEKQKQVVVEKVGEPILSYEDFAASLPAEECRYAIYDFDFVTTENCQKSKIFFIAWCPDVAKVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRVN >A03p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6754631:6757096:-1 gene:A03p016910.1_BraROA transcript:A03p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFSKPTSPSSPNNSPLSRASSEADLRLREAEERLRDAMAELQRRQRSAARGSHADLCDHADVSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLARGHSYSSLLDLKQLVSETDLIVREEACRIGLLFGGFTGSYHLLRCCLRKWRKKETPLNSVLAGSIAGLSILALDDSTQRRTLALYLLARLGQAAYNSAKSKNKFHLWGSHWRHGDSLLFSVACAQVMYAFIMRPETLPKSYREFIQKTGPVARPVYQAVRECCRGGPIDVASLSAYISSKNEASDVEVEEFASIIPCSAIHPNTNSCLAQNANAMSATFKKTFPLYFSLTFVPYVVLNLQRFVASPYRTSWHSIRDSVRSTSFLSAFVGIFQAFICAHRKVASKDHKIVYWFAGGVAALSVMLEKKTRRSELALYVLPRAGDSLWEILVNRHVLPDIKNAEVAMFCACMGGIMYYLEHEPDTLAPFLRGLIRRFLASQISNSSSKTRQSSSYVYRQSLDALEKPNPPPESREGEAQKAEEKYNLEAIPGL >A01g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17725000:17728345:-1 gene:A01g506050.1_BraROA transcript:A01g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHRQPEAKTVIKQWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPAVRLEDPIRTDRFEYRYATEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDADVCSASGDDVYYGHIHEILEIKYLSMVGLRCTVFHCDWHDNTPDRGVRTSAFGVTSVNSRRKLQYYDPFIFASQADQVCYIKYPRVRNRDDPWVTVTRLNPRCRVQGSSELEDPLQPSTSGNLSAAEELGGVGLVVDLTDFGEEAAVHIEDEPVIGEFHQDPDSDSSGDDDSETD >A02p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:249929:251904:-1 gene:A02p038250.1_BraROA transcript:A02p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSLVVQLFSLRLAPACLPSFFSNWHLTITSCLLALFLRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALSLLLPTFRILQKNLENFRERERERRTEKSVRKIRKINHEKIGGDLIFNLSSFYYLEKDQKPQAYLGEEDQLRPSSPFVRLGKLWFSSLSKYLIRTVHGYHRSWSDQFFVQEELKSCPSQFQDCSLGNP >A07p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000163.1:1:1490:-1 gene:A07p039930.1_BraROA transcript:A07p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAEIICGLLIYKFVRRFFKDDEISDETSSDSTALFSVAHRLEKLYGGKAYVGLRIPDADTSSRQDIDLVLLTKGQVVVIGVKNLSGIVTVASDGSWVCEAGKHDTTQTYPDPLVEVKKQASVLESYLEQRGVTLLEENLSCKVVIPNPNFRTMHAFPSEVITYEEWKHLKPVSRKTLSGWVKGALWTGKEMQESSHQKLNFILGTAPMWDRVELKSSKIVLGEFLEFKGKQEDTLALKQIKRSKVDRISIQQTSMLGF >A07p030990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17184736:17190987:-1 gene:A07p030990.1_BraROA transcript:A07p030990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNPPVSGQKTSIVVVTLDSGEVYVISSRSDTRVIYIDPTTGVLRYNGKPGLDIFKSEREAVDYITNGSRGGYRSLVYARAILGYAALGSFGMLLVATKLNPSVPDLPGGGCVYTVVESQWVKIPLHNPQAQGKGEIKNVQELTELDIDGKHFFCETRDITRPFPSRMPVQNPDDEFVWNRWLSVPFKNIGLSEHCIILLQGFAEYRTFGSSGQLEGIVALMARRSRLHPGTRYLARGINSCSGTGNEVECEQLVWTPKRQGQSVAFSSYIWRRGTIPIWWGAELKMTAAEAEIYVADRDPYKGSTEYYQRLSKRYDTRNLDAPVGESQKKKAFVPIVCINLLRNGEGKSESILVQHFEESMNFIKSSGKLPYTRVHLINYDWHASVKLKGEQQTIEGLWMYLKAPTMAIGISEGDYLPSRQRLKDCRGEVICVDDVEGAFCLRSHQNGVIRFNCADSLDRTNAASFFGGLQVFVEQCRRLGISLDTDLGYGYNSANSHGGYNAPLPPGWEKRADAVTGKSYYIDHNTKTTTWSHPSPDKPWKRFDMRFEEFKRSTILSPVSELADLFLQQGDIHATLYTGSKAMHSQVLNIFSEESGAFKQFSAAQKNMKITLQRRYKNAMVDSSRQKQLEMFLGMRLVKHLPSIPVQPLHVLSRPGGFFLKPVPNMSESSSDGSSLLSIKRKDITWLCPQAADVMELFIYLSEPCHVCQLLLTISHGADDLTSPSTVDVRTGRHIEDLKLVVEGASIPRCANGTNILIPLPGPISSEDMAVTGAGARLYEKDTSSLSLLYDFEELEGQLDFLTRVVSVTFYPAGSVRIPMTLGQIEVLGISLPWKGMFTCERTGGRLAEIARKTKEDDIPSSSCSDMNPFAAKSLQTETVSRPVQQKDPFPSNLIDLLTGEVSPSDPLTQPAVECIASGGNDMLDFLDQAVVEHSGSETAPGVSVPQDKSPRESASHLYLNCLKSVVGPNMGKKLEFVEAMKLEIERLRLNLSAAERDRALLSIGIDPATINPNSSQDELYIARLCRIANALAVLGQASLEDKIIASIGLGKLENNVIDFWNITGIGEGCDGGICQVRAEVKKSSVGSSNKSLGGESGSVFLCFQCMKKACKTCCAGKGALLLSKSYSRDTANGGGSLADVSATSIGSDHYMCKKCCSQIVLEALIVDYVRVLVSSRRSGRVDKAGREALNEVFGSNITNHLAVRGQPSPNQEDFSFLRQILGQEESLAEFPYASFLHKVETGTDSAPFLSLLNPLNLASSNSYWKAPPSSNSVEAVIILNSLSDVNSVILLVSPCGYSDADAPTVQIWASNDIYREARTLMGKWDVQSFVRSSPELYGPEKPGREGRAPRHINFAFKKPIRCRIIWVTLRLPGVGSSSVSLDRNINLLSLDENPFAAIPRRASFGATIESEPCLHAKRILVSGNTVSNKTLASLQSVDSMSVKNWLDRPPRLKRFLIPLEAERPMNNDLVLELYLQPGSPLAAGFRLDAFNAIKPRVTHSPSSDVVDIWDPMSIIMEDRHVSPAVLYIQVSVLQDQYKMVTLAEYRLPEARVGTQMYFDFPKQVQARRLSFKLLGDVAAFVDDPAETDDLSGKASPFAAGLSLASRIKLYYYADPYEVGKWASLSSV >A03p011230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4505895:4510790:-1 gene:A03p011230.1_BraROA transcript:A03p011230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5A [Source:Projected from Arabidopsis thaliana (AT5G22750) UniProtKB/Swiss-Prot;Acc:Q9FNI6] MGTKVSDHLLSTVRSVVGSDYSDMDIIRALHMANLDPTAAINIIFDTPSFSKPNDIAAAAAAANSTPRGVSGGKRVEDGLKVCPLSEGAANHHRVEEEVDESVSGDECWWFVGCSELSGLSTCKGRRLKAGDELLFTFPSSKALKSEATMPGKRFGRGRPSARNVSDIVRFSTKDSGEIGRIPNEWAKCLLPLVRDKKVRIQGRCKSAPESLGIMDTILLSVSVYINSSMFQKHSATSLKVATNTAEESTFHPLPNLLRLLGLTPFKKAEFTPEDLSTRKRPLSSKDPVSTSLLQLNKVKKLNQDAEGDENEHCISDGDLDNIVGVGDSSDLQEMETPHKLLCELRAYQKQALHWMTQLEKGHCTDEAATMLHPCWEAYYLADKRELIIYLNSFTGDATIHFPSTLQMARGGILADAMGLGKTVMTISLMLAHSWKAALTGVQCLINEGDKGISSSLDESTSPSVKATKFPGFDKKLLEQQSALENGGNLIICPMTLLAQWKSEIEMHAKPGSLSVCLHYGPDRPKDVKLLTQSDVVITTYGVLISEFSAENAAKSEGLYAVRWFRIVLDEAHTIKNSKTQVSLAAAALVSDRRWCLTGTPIQMIWWNKLVQKPFEEGDERGLKLVQSILKPIMLRRTKSSTDREGRPILVLPPADARVIYCELSESERDFYDALFKRSKFSYFFITVPCFSHAFSRGDTAQYSDLNKLAKRFLGGKSSGLEKEGKDVLPSVAFVQEVVEELSKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNSSSGLCPVCRKTVSKQELITAPTESRFQVDVEKNWVESSKITALLQELESFRSSGSKSIIFSQWTAFLDLLQIPLSRNNISFVRLDGTLNQHQREKVLKEFSEDGSIMVMLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKEVKIRRFIIKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFT >A09g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10932253:10935925:-1 gene:A09g503440.1_BraROA transcript:A09g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFAGKTNKEGAPEKKKKNESAAEKKKAAAEKKKAAAVKKKAAAVKKKAAAVKRRRVAVKKKRDAAKKKTETAEKKRKQDSSSSESSSNPTKRRRTASSPEQHSDPDHSPAPSAELLSQDDREGTPSPSFPIEPQKAPTQTPSEAENPLQAPITSNNREDPNRESHSPEAAINNEAQRTIGSNNSDSNPPEAAIGSATIDNDAPRTAGEENMAVEPMRPVISSTLKPQGDELDLLYEIMDEGSVEDVELQDDSDKADIAVDGWNRILIEPEGKIFWEDLFEMDVRTRPTTQQQSEPHGIFEGQEEERVCEEPEAGGEAGRESVKELELRLNKRMDDGFALRDETIRLLAARVKELEQDKIQRENWSFQFGEYETCEASGGKGRDNMGNGNEDGEAVAEKDGEKQVEEEAEKNGTKEAEKDGAKEAETTPEDVEGEEEAAKEAYEVAGNEDEVGQKEGETEADKEGETEEGKTDVEDSPSTLQVMAEAAEKLEKEVDDKAAAEKAAAEKAAADLAAAEKAASDKEKVGDQKETRPKRTHKPSRPLRSPYQKN >A07p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2602594:2606647:1 gene:A07p004820.1_BraROA transcript:A07p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGVVFSGGAAKTLFRVSLVEMENITEMETDRVGQETENEEQSLDNVMETDKQIETEQQSLDNATDDKNPKETVGQDDPESEHKDSPSPMVTEEGTNDDDPPKIDDEKNSQLEANRHPSPPPSVEDTLEQSIASNKVSSDVLRNDRDSVMVDEDTAVVHEETTTVPLSEDKGSPRHHANNVMEEEKPAEEDDMTSSGEQNEITVTPDTKLSEDKGSPLHTADTVMEQQDRTEEEHDMTSSGDHTEIALSPDPKLSEDKGSPLHHAQDKTVEEHDMTSSGEHKEFPVIPDTKLSEDKGSPLHLADTVTEQDKTAEEHAIISSGEQKEFLVNPDTKVFEENNDKIDEGEANNMNLADDGTKPVDHDQGTTTEVEKGLEVPGSETVSNLEDNKPSEPLIEPPANLEKEPVMPATENDKLSDILAPGVSGDSDKGLSLLPATQTSSDHNEGVATLEAEPIEDMELDVPDSKLVTDAGIDSTNNNDVNVDAPNAEKKDYSIVLVPERSDADENASVRLDPGPPCVVSSDTKGLLSGGLNNGVHKIGQPPSGLDGAMSANQAAPGCDGTMSAKRSFLLDDASDGNESGTEEDQSAFMKELYQFFRERSMDFKPPKFYGEGLNCLKLFRAVIRLGGYDKVTGCKLWRQVGESFRPPKTCTTVSWTFRGFYEKALLEYERHKVMMGELQIPLATEPEPMNIDNQASGSGRAKRDSAARAMQGWNTQRLNGNGEVNDPAVKDKNLVLHQKREKQMGNTPGVLKRKRPSSTEHAIQVSRPMLDVTVVDVGPPADWVKINVQRTEDCFEVYALVPGLVREEVRVQSDPAGRLVISGEPENPMNPWGATPFKKVVSLPTRIDPHHTSAVVTLNGQLFVRVPLEQSD >A05p045300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27107579:27108720:-1 gene:A05p045300.1_BraROA transcript:A05p045300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAGPEDIYLSTSLASYLDRKILVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGEQYCDIPLGLYVIRGENVVLIGDLDTEREELPPNMIRVSETEIKRAQKVEREASELRGTMRKRMEFLDFD >A09g519240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59429578:59431917:1 gene:A09g519240.1_BraROA transcript:A09g519240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPHFHVSSVTSFLCYLLVSSFSVNSLVSLPFPRPDQIELLLAFKNEFPILKCNFGERPDSEPKTKSWTSKDVKSFHGVIFDNETGVVMELNLFGACLSGSLNANSSLFRLHHLRYLDLSFNYFDSFSFLPEFGKLTNLEILHLSNLGLAGEIPSSIISLNRLWHLTLSGNELTGSFAPLLNLSKLSSLFLSDNLFSGNFPCSILTMPFLSTLDLRHNHLTDSLEIMNCSSSSKLEWLDLRNNRLSGRIIEPLSKLTHLKLLGLSFQNTTDPINFVSLGFESLEILDLSGNSISKLNTSFPNLKKLALNNCSISEFPTFIKTLQKLEVLEISNNRLRGEVPRWLWNMSSLNLLELSHNFLNSFEGSPKMITNSSVKALESRSNGFQGPRLEFMLASNNSFTGNIPLWLCNQSYLRVLDLSHNNFSGSIPGCLIKSVGYMDLKNNNLIGRLPEILNKSGSLTTLDVSHNQITGKLPRSLTNCKNLEVLKVEGNRIADTFPFWLKDLPNLKVIVLRSNKFHGPIYFPQHPLSFPQLRMVDISRNQFTGSLPHDYFVNWSIPLISISQEEREPQYMVYNYSYAFYPSMFLRNKGIIMELEKILLTYTSIDFSENKFGGQIPESIGLLKSLIVLNLSNNDFTGHIPSSWANLTRLESLDLSRNQLSGKIPQELATLSFLEYINVSHNKLTGQIPQATQFGGQPKSSFEGNLNLCGLPLEKSCFGDKAPSTPEAQEPESSEQEQVVNWKAAAMGYGPGVLFGLAIGQVFYSYKPVLFYKLFRF >A02p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1016526:1017103:-1 gene:A02p002430.1_BraROA transcript:A02p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVSTGLRSKTKPCKDFFSINGCPLGENCRFLHYVPGGYKAVAKMLNLEPQIAESSNKTQTSSSASVAAKIIVTAIIGKDGNRTKQLCRETGVKLPIVDHERGPNLKNVEIDGNYDQINEANGRMRELIRRFGSDPANSAPRSSVRNLLLGSVLMGIDAILHMV >A05g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12636543:12646884:1 gene:A05g504620.1_BraROA transcript:A05g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFSTHRTFVCVRQHTQEVCGCPYVSVCVRQHRQNVCGCTSVHISARWSLDSPRWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVCGCLNTHRTSVAVRVCPCVSVCRQHTQDVHGCPSVHISARWSLDSARWPFPWTVRVILAHVGCQFSTHRMPVVVRNHTQDVRGCPSAHTGHPWLSVCVSVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDSSGDFGPRGLSVQYTQDVCGCPSAHRGRLCVSVSTHRTSVVVRVCLWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDISGCPPAHTGRLCVSPQQDVHGCQCGVRVCPCVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLFGRFWPTWAICSEHTGRLWVSVSTQRTFVCVRQHTQDVRGCPWLSMCVRVCLWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVRGVRQHTQDVRVCPSAHTGCPWLSVCVRVSVSTHRMSVAVLQDTYQHVGPWTQHADPSRGLFGQNVCGCTSVHISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTKDVRGCPPAHTGRPWLSVAVRQHTQDVRGCPCVSVCVLVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCSGDAVLLRQQTQAIHAVVGVSCVVPSAHTGRLWLVHQYTYSATLVLDSARWPSRGQFGTHRTAVVVQCGCLWLSLSTHISTLVLGLSTLALPVDCLGDFGRLGLSVQYTQDVSGCPPAHTGRLWLSVSTTGWSMSAIVFRCVCVCPEQHTTRRLWRSISNTESAQHTGRLWVSVSTQRTFVCVRQHTQDVRGCPWLSMCVRVCLWLSISTHISKLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPCVSVSTHRMSVAVRVCPCVGQHTQDVCGCPSGHISGLHWTSVGVRQHTQDVRGSLCVCVCVRLCPSAHTGHPWLSISTHISTLVPGLSRLALPVDFLGDFGPRGLSVQFTQDVRGCPSAHTGRCLFSTHRTSVGVRQHTDDVRVCPSAHTGRPWLSVCVRVCPCVSISTHRKSVAVHQYTYQHYTHDVRGCPPAHTGRPWLSVCVRLCPSAHTGRPRLSISTPISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGRLWLSVSTHGTSVGVCQHTQDVRGCPCVSVCVRQHTHDVRGCSSVHISAHWSLDSARWPFPWTVRVILAHVGCQFSTHRTSVGVRNHTQDVRGCPSAHTGHPWLSVCVSVCPSAHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPSAHRGCLCVSVSTHRTSVVVRVCLWLSISTHISKLVLGLSTLTLPVDFLVHTGRLWLSVCVRVCPSAHTGCLWLSISAHISTLVLGLSTLTLPVDFLGDFGPRGLSVQYIQDVHGCPPAHTGRWWGSVSTHRTSGLSVVVHSVSGVSVAVHQYTQTSVGGPPAHTGRPCVSRQHTQDVRGCPCVSVCRSAHTGRPWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVQFTQDVRGCPSAHTGRPWLSVCVHVCLSTHTGRPWLSISTHISTLVLGLSTLTLPVDCSAHTGRPWLSVCVRVCPSAHTGSLWLSISTHISTLVLGLSTLTLPVDCSGDFWPTWAVCSVHTGRSWLSASTHRTSVAVRVCPSVSVSTHRTSVAVHQYTYQHVGPWTLHAGPFPWTVWEIDFLGPRGLSVQSTHDSGAHKGRSWLSISTHITTVVLGLNTLTLPVDCSGDFGPRGLSVQYTHDVCGCPPAHIRRLLLSECVRLCPSAHTSRPWLSISTHISTLVLGLSMLALPLDCLGDFGPRGLSVQYTHDDVRCCPGVSVSTHRTSVGVRQHTKDVRVFPPAHTGLPWLTLAFPVDSLGDFGPRGLSVQYTQDVCGCPPAHTGRLWQSVAVRQHTQDVCSCLCVSVCVRQHKQDVHGCPSVHISARWPFSWTVRVILAHVCCLFSTHRTSVGVRQHTQNICACPSAHTGRSWLSVCFRVCPSAHTGRPWLSISTHITTLVLGLSMLTLPVDCSGDFGPRGLSVQYTQVVRGCPPAHTGRPWLSVCVRVCPYVSVSTHRTFVAVCVCPCVSVSTHRMSVAVHQYTYQHVGLWTHNAGLSRGLFGTHRTFVGVRQHTQDVRVCLSAHTGRPWLSVCVCGCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCSGDFSHVGCLFRTHRTSVGVRQHTEDVCVCPPAHTGRPWLSVCVCVCPSAHKERPWLSITTHITTLVLGLMTSVGIRQHTQDVCGSLWLYVSTHRTSVAVRVCPSAHTGRPWLSISTHISTLVLGLSMLTLPVDCSGDFGPRGLSVQYTHDVRGCPPAHTGRPWLSVCVRVCPYVSVSTHRTFVAVCVCPCVSVSTHRMSVAVHQYTYQHVVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVRGCQPAHTGRPWLSVCVRVCPSVSVSTHRTSLAVHQYTYQHVVPWTQHADPSRGLFGTLALPVDCLGDSGPRGLSVQYTQDIRGCPPEHTGRPWLSVAVCQHTQDIRGCPCVSVSVRQHTQDVCGCPSAHTGRHTGRPWVSASTHRTSVAVCGCPSAHTGHPWLSVCVRVCLSAHTGRLWVSVSTHRTSVAVCVSSSAHTRRPWLSISTHISTMVLGLSTLALPMDYLGDFSPRGLSVQYKQDVCGCPSAHTGRSWLSVYVCVCPSAHTGRSWLSISTHISTLVLALSTLSLPMDCSDDFGRCGLSVQYTQDVRGCPSAHTGRPCVSVSTQRTSVAVRVCRVCLSTHRTFRGCPSVHISALWSLDSAR >A09p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35551471:35553089:-1 gene:A09p043680.1_BraROA transcript:A09p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCLKKRDVVREDRISSLPEPLILQILSLLPTKLVIATSVLSKRWRSVWKKVPILRFESKGNIQKFSENVSMSLLSHKSPVLETLHLKVNDRCEDVYIGIWAGVAVTRHVRELELDLGYLCGNPVRFPSCLLCFDTLETLKLKHYILLDIPSMVSLKSLRTLHLHSVVYKDSESVRNLFASCLNLEHLVVHRGFHYNDGVRFVIESPSLKTLSISGGETYGKQNGGYVINVPSLQHLTIQMLKGYEYCLIENAPELVDANIINVSHTTNETIMGSLKSAKRLSLDLSPLEIAYPTGLVFYQLVSLEMYTHKVAWWNLLALILNNSPKLQVLKLIDRSSHQPKLVSDKKDMAPGKWNQPESVPECLLSHLETFVWTRPDWIREEEKEVARYILRNAQQLKKATFIIDPIEPKRLFRLAKRREMLNELPAVIMASKSCNLVVESE >A01g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26402507:26402969:-1 gene:A01g509690.1_BraROA transcript:A01g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVESDEQLHLSKTHYVKHQLEIWNSSIFLDDLKVGSCSTTIENIVISSPPHPFNPSSITGIYSHGGAVKVEFTFMAEEDWVIVKLDPAQFEKVVADSVELLCPGLGRCDGG >A03p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1126167:1128832:-1 gene:A03p002450.1_BraROA transcript:A03p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMNVATVKPLSFSRRLVPSAVSRGLASSVTVSGYSGKTSAYAPSLRSIKCVSVSPEASIVSDTKKLADASKSTNLVPIFRCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSQMSSVGRYSVVGAQPAMEIVAKEDKVIVMDHKSGSLTEEYVEDPMEIPRKISESWNPDPQLVQDLPDAFCGGWVGFFSYDTVRYVEKRKLPFSKAPEDDRNLPDMHLGLYDDVVVFDHVEKKAYVIHWIRLDATVPYETAYSNGLQHLENLVSKLHDIAPPKLAAGNVNLQTRQFGPALENSNVTREEYKEAVVNAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMGYLQARGCILVASSPEILTKVKQNKIVNRPLAGTSRRGKTEVEDKRLEKELLENEKQCAEHIMLVDLGRNDVGKVAKYGSVKVEKLMNIERYSHVMHISSTVTGELQDDLSCWDTLRAALPVGTVSGAPKVKAMELIDELEPTRRGPYSGGFGGVSFTGDMDIALSLRTIVFPTASQYNTMYSYKDANKRREWVAYLQAGAGVVADSDPEDEHRECQNKAAGLARAIDLAESAFVKK >A02p033790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18172276:18175770:-1 gene:A02p033790.1_BraROA transcript:A02p033790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSKITSFFFYQTPSDDFFDQILGLSNFPASSATGLSGGLGGGGGAPPMMLQLGSGEEGSGFHSQMFPLGLSLEQGKGPGVLRPEGGGGLGSGKQFSDDVLDHPSSSMKPVFPGQPMQQPAPSAPPHQPTSIRPRVRARHGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKFLNSWCDSPMVEYEELAEKFQIEQKLEVETKSLNKVTVPTLHQRRHDRDVEFEKVWSMSRLGGAGAVAPLVTDMPLPSSVEDEGSEGGRTPQAAWEKGSNDGTERQVAKLMEKNVWAAMQLLQSKTLCMMPISLAMAIYHSQPPDTSSSVVKPETNPPRP >A03p021690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9027762:9030705:-1 gene:A03p021690.1_BraROA transcript:A03p021690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSHSSIALCGASASASDHLRSSTNGVSLRTLGRAMVASTKRSNLYVTARLKKGKKFDHPWPSNPDPNVKGGVLSYLSTFKPLGDTQKPVTLDFEKPLVELEKKIVDVRKMAAETGLDFTDQIITLETKYRQALKDLYTHLTPIQRVNIARHPNRPTFLDHIHNITDKFMELHGDRAGYDDPAIVTGIGTIDGKRYMFIGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIANNLRTMFGLKVPILSIVIGEGGSGGALAIGCANKMLMLENAVFYVASPEACAAILWNSSKAAPEAAEKLRITSRELVKLNVADGIIPEPLGGAHADPSWTSQQIKIAINENMNEFGKMNGDELLKHRMAKYRKIGVFIENAPVEPEIKVNMKRRDAVISNSRKLEGEVEKLRDQILKAKETSSEEAPSSEVLNEMIKKLKSEIDDEYTEAARAMGLEERLTAMRGEFSKASAEEHLMHPILIEKIEKLKEEFNTRLSEAPNYESLKSKLDMLKDFSRAKAASEAASVKNEINKRFQEAVDRPEVREKVEAIKAEVASSGASSFEELSDELKEKVLKTKGEVEAEMAGVLKSMGLELEAVKPNVAEQIFVPSENIQEKVEKLNREISEKIEEVVRTPEIKSMVELLRVENAKASQTPGDTKVSSQKIETLEQQIKQKIADALSMSGLQEKQEELEKELAVARELAAEKSQESLKEDDDDDDDDEDGSESEKPEIINPSFA >A01g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14023969:14025974:1 gene:A01g504670.1_BraROA transcript:A01g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEKNKRRESWRFGVLKLRITHVLQPLILIGKDCSDQPDPYGGFKSRIFQKPSVISLSSSIVFLSQSHGLKVLLYTHSPDNSRIPVNCSCDTKQGYEDTMMGSHPGCRVTACSIRCSILEYLMEMMVIFISPLGSVSLGGFPGGRPYSRTGRNIISQRFIDRKPRGALVFFGCWSKAIRSIQRTSDRPSKNINRVISGQLRSGCHSAGNINLWALLAQMEGLLLAASYMRDMRIPSIRFKTDCSDPVDMTTSQMDWPTFSSEIQTF >A09p060840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50317515:50319758:-1 gene:A09p060840.1_BraROA transcript:A09p060840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSAESQEISFDGGNEPTLGELLKDFDGGDRKKNSGEDASTHHILDLTSPETRPVPFLLSFNNLSYDIVHRRRFDFSRGKPASVKPLLNDVSGEACDGDILAVLGASGAGKSTLIDALAGRVGSLRGTVTLNGEKILQTRLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVQTLIDQLGLRDAADTIIGDEGHRGVSGGERRRVSIGIDIIHDPILLFLDEPTSGLDSTNAFMVVQVLKRIARSGSIVIMTIHQPSGRVLDLLDRLIILSRGENVFSGSPTSLPQFLSDFGHPIPEKENITEFALDLVRQLEGAGEGTRELVEFNEKWQQNQSARATPMTTPYQALSLKESITASVSRGKLVSGSTSSDPISMDSVSSYANPPLVETFILAKRYMKNWIRTPELIGTRIATVMVTGLLLATIYWRLDNTPRGAQERMAFFAFGMSTMFYVCADNVPVFLKERFIFLRESTRNAYRISSYVISHSLVSLPQLLALSIAFAATTFWTVGLSGGLESFLYYCLIIYAGFWSGSSFVTFVSGLVPNVMISFMITIAYLSYCLLLGGFYINRDRIPVYWIWFHYISLLKYPYEAVLINEFDDPSRCFVRGVQVFDGTLLAKVPDAMKVKLLDTLSSSLGTTITESTCLRTGPDLLLQQGISQLSKWDCLWITLAWGLFFRILFYFSLLFGSKNKRT >A06g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20265885:20266797:1 gene:A06g507320.1_BraROA transcript:A06g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAHKLNGATTVHTSSQTLAYPRVEPLASRRLASADHHIGTPNAKNQGSEEETETGKAPEKNPTSSPEEKVRLGARSQRIHRSCWCTVGAKSRPIDSEEDVVVGTGARSTGDLSR >A03g501210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3645786:3646895:1 gene:A03g501210.1_BraROA transcript:A03g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLLFRSSESLLLLPPPPEPPPFVFPLDLLVGVSAPDSPDPPDASVTLVTLDLSSFPCHCFTLAAARSPLRCTTTSDSVCLLIVSLGVNLVKHLLLPTHGYRFQIYNFIWGKIRFFFLFLGITWKGMTLVIRLDVSIWDGTYLRFGFLVLRIRNHSRPLPQYEDLMLLGSHCLTQYEAVRHLFCLPLPQYEVHLPQYEVHLPRHEVVVRNIFLGSLQYICVLVVFSDVSGLQLKKINDGFKKANLGFIVSDLFVWPWWFLQLYTSLWLRSSSQFQGSSRWCLITSVAKFLAALYAFVAAAYSGNSSLNVFSNSRGFISLSSIHGVSLLSCLCVMFAFIYVCVIRFAFAAVYLANMAVLLFLLNNSFI >A10p037070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20985459:20987621:-1 gene:A10p037070.1_BraROA transcript:A10p037070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIYGGSAAINLILSLLFFSSTSALSKKNQIPSSGSGQINSNSVLVALLDSRYTELSELVEKALLLQTLEEAVGRHNITIFAPRNEALERELDPEFKRFLLEPGNLKSLQTLLMFHIIPNRVGSNQWPKEESGRVKHDTLGNDHVHLINGDGKKMVDSAEVIRPDDLTRPDGLIHGIERLLIPRSVQEDFNRRRSLQSISAVLPEGAPEVDPRTNRLKKKPAPAPAGAPPALPIQSAMAPGPSLAPAPAPGPGGKHHHFDGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTADQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVKFDTLRFPHKVAAKEADGSVKFGDGERSAYLFDPDIYTDGRISVQGIDGVLFPEVEEVIESVKKPVKKVVQQRRGQLPQQVPVNSQTRQRHTFKKLTQDLALVE >A10p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2627102:2628687:-1 gene:A10p017100.1_BraROA transcript:A10p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC15 [Source:Projected from Arabidopsis thaliana (AT5G54180) UniProtKB/TrEMBL;Acc:A0A178U8Y7] MVILSLVSCSVSLFSPPISLRLHFPLFSHVSFPASTFKPELRPLLVCCLQRRETAHIHRCNCLTCTIDGETQIESLFSLFRDIGFKEEETEMILAKNPDVKSTPLDKISARVASLQSLKLDGFALQGLIAKCPSLLTSEELDLVITFLVDELEGRLDPELVERILAASDTSFLLSFNQKVRLLIHHGIPKEKISYVLSKVYLNKLMYQKSVEDIERLISYLEPFGGIGIIARRPVILNSDLDTQLIPRVEFIRNLSGEDDFATGTVLRRLPAILSYSVDHMTSHVEFLKSFAGLTSEQVFKIVHVFPNVISTSKERKLRPRLEFLKDCGFDSPGMFKFLSKAPLFLALSEDNLSHKLGFLVKIGYKHRTRELAFAMGAVTRTSSDNMQRVIGLYLSYGLSLEDILAMSTKHPQVLQYSHTSLEEKLEYLIEYMGREVEELLAFPAFLGYKLDSRIKHRYEEKLKSRGENMSLNKLLTVSAERFSKTADNIEVICL >A06p006210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2080290:2082368:-1 gene:A06p006210.1_BraROA transcript:A06p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNLHEMGLGFESPSFIEWLKAPSSSSSSLLFRIKTKDVISRSNHQSMLERSLFSYQPQEPLNTSIRCLPLLNKLMENESQALDDIKEEKDEDDVVTLQIGLPNYRRGSSVEDDSDTTSDHHQKSPIKREIMDDGVVMMKKRRKMIIDEEMNDLDMEVCGKRFWIPSPAQIHVGPMQFACTICSKTFNRYNNMQMHMWGHGSEFRKGADSLKGTTQPAAILRLPCYCCAEGCKNNINHPRAKPLKDFRTLQTHYKRKHGSKPFSCGKCGKALAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKSFGNGHSPHPSLSFGGFEEDTECVTTE >A08p007750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5162255:5163534:-1 gene:A08p007750.1_BraROA transcript:A08p007750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETKKNLNFPEVPDLCESQRQVTKYMRKSLRKSVAIITRDHKSFGRKGCHLKFLSAKNSESKKFSPMLLDEDSLYTSSKHKNGDILFFPIFTIIFKTSVFIRGNLTFILPCGPNVNRDVVYGLLVKKSQDGPQVVFDENAWTGVVSVVPMFGRARSLRSDQTLARAWSPRIDRAWLVRGLISILELVRGRFGYMSVAFGQSVFSGSIEIWTRFYCKALRKDIFTKITFRKNVYADFYGLSDIDSVVTDFDPNIN >A01p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1107730:1112269:1 gene:A01p002440.1_BraROA transcript:A01p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWVEAQKPLLNGLMKIAGVVPYTLEIEPGTKMNFWVPKETLRKKSRTGKPAKPDKPTKPVVLLIHGFAAEGIVTWQFQVGALSKKYSVYIPDLLFFGGSSSDNSDRSPAFQANCLVKGLRILGVDKFVPVGFSYGGMVAFKIAEAYPEMVRAMVVSGSILAMTDSISESSLNRLGFSSSKELLLPTSVKELKALFTIAVHKPLWFPKRLFKDFLEVMFNNRKERAELLEAVEVSNKDVTIPHFPWKIHLLWGESDQIFDFELAKNMKSELGENATIESIKKAGHLVQLERPCVYNRRLKKFLASLYNGELGGCTKILDVHDRKISRHCSIHRRNRTRNQFHFKLPKKTADKPAVLLIHGFSGDGVMTWALQVRSLSKRYSVYIPDLLFFGKSYTDKPDRSPEFQAECLVKAMRILGVKTFVPVGFSYGGVVAFKIAELHGDMVKALVVSGSPPVMTDSNVNRFGFSSISDVLLPKTVNGLKFLLSVAMHKRIWLPSWPLKDYLKTMFTNRKERAELLEALVLSDGNTFPSFPQKIHLLWGENDQFFSHEFAKNLRIKLGEMTSMEIIKNGGHLVQLERPFVYNKLLNKFLAPVENL >SC176g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:69142:72670:-1 gene:SC176g500050.1_BraROA transcript:SC176g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHGRPACAERTHGRPGVLTDVLCVLNRQPRGPKSPEQSTEPGRPVCADGRPRTSCVVLTDGHRRPVCADGHTRTSVCTEQTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLNKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPENSFNHPYEACEKSDSNSKYFFFYIKNTPRNTTNVYCLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLAPVNFPGTFPANFPVDRFAPNFKFSRMHGLGLFWLDRTHSFRISPNPGTKSVKENATKQPAFANPETVFVRKQCCN >A08p036140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21257682:21265742:1 gene:A08p036140.1_BraROA transcript:A08p036140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMQVGVATIDDKGFYGLMEDKPVASSNPSLQVSVSFGRFENDILSWEKFSAFSPNKYLEEVGKFATPGSVAQKKAYFEAHYKKIAERKGEVMVHEKLMDNNMSFRSVVTDQGSMEGEIDEECNGQFTCEEDKHVTDIATEVKEVNEETIIVKECQSSVDQVKEEVENSLDSPKLEIVEEVALMEEKPEEVLQIGEKVVSNETNETPMKEMEIEKPSKLTKKDVNVVINLTRNSPKPNQVRTKQTTNKIATSKKTPPSKEFKNMMKLTKKPPAPISNASTPRVYKPASKTTPLSTVKKENVSSSLLRNKQTASKSLHMSMSLGPSTSDPSSLTSTRKSLIMERMGDKDIVKRAFKTFQKSYVFTSSVDEQKQLLQHQSPAKATSIPSCQKENGRPTKSSCLEKRSGSSAIRSSSYGLKSNNTAENKQTKGEKTRLLKNSKAGVIDAKTRRDSLKPKAKPMQVSLPVRSLPKGSSKKEAQTDEVGAQGKQPRQQRPPEIQHMNREDKITIHTDAAWRNESLMAGLAWTASNETGTTILQGTATEDCVSSALLAEGLAIREALLQAQAHRLSNIIIKSDAQIIIRAINKRESIKEICGILQDIHSLSCDLDPDQVRTKPTTNKIATSKTPSKEIKNMMKPTKKPAAPISKPSPGAFNSKSVQASKTTSLSTSQSLVKKENVSSSLLRNKLTAPKSLHYAYVYESWSICI >A08g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6303796:6304371:-1 gene:A08g503440.1_BraROA transcript:A08g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLLHEPLDFNGRVVYPFGFGMLELEYRHTEFGDEFHSSGGELDLERLGNPSRQASLFLFLLLLSRLSLSPSPLSFRWISYSLLAASPPRASRAAGGGGGGRPSGGGVIVRV >A09g516650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48976767:48981098:-1 gene:A09g516650.1_BraROA transcript:A09g516650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSKTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSTDKFLRKSRTNQQIERLIRTTYETTTLKVPGQRSTKRIRRTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSVHRIITKKFPKKN >A09p075230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56770942:56772598:-1 gene:A09p075230.1_BraROA transcript:A09p075230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLVCHGHSRPVVDVSYSPITPDGFFLISASKDSVPMLRNGETGDWIGTFEGHKGAVWSCSLDKHAMRAASASADFTAKIWNALTGNELHSFEHKHIVRACAFSQDTHRLLTGGMEKILRIFDMNRPDAPPKEVGTPPGSIRTVEWLHSDNTILSSCTDTGDIRLWDIRNDKIVQTLETKFPVTSAEVSQDGRYITTADGSSVKFWDANNFGLVKSYEMPCNVESASLEPKTGNTFIAGGEDMWVHRFDFHTGEEIGCNKGHHGPVHCVRYAPGGDSYTSGSEDGTVRIWEVNTVHHEERENNNLSGHVKLVAEEVVRKAESLRITEKATEAAK >A08g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10571362:10571937:-1 gene:A08g506170.1_BraROA transcript:A08g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYSYTQPSESEDYGLRAARHQYPPQPEVEFGFPKECYCGGEPLVATSYTRTDPGRRFYTCKNKQDGDCHVYKWWDVAATEEIKALGAQVTLLTDKVDSLSFVGYEETELRELKEVQFDMEQKLVRLESIVCDLGRKKSRFGYGFELVVGVLVVVLVIIAIGVAARM >A05p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21557270:21557890:-1 gene:A05p037920.1_BraROA transcript:A05p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSCTAPSASLFSSTTKPINSSSSSSSSVRLSSRFLGTRVVKLRIGLGPSNGSRATCWFKFGKNGVDAENAGIYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQNIHPVDILLMLAASEGDKPKIEELLRAGADYTVKDADGRTALDRASSEEIRDLILGSLTQKA >A01g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9493656:9495489:1 gene:A01g502930.1_BraROA transcript:A01g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYFSIHLSHRSLGVLTEAESYITLIIQTSIAEMLSRPGQLEEGKELLVTCGRTGLNKAFPIELLLLLVFFLAFSVAFIIVTVSTVKYYGITSVVTSVTSSFVPCPEKPNVLDKWIKPPLALMHNMSDEELLWLASFMPQRKGYPFTRVPKIAFMFLTLGPLPFAPLWERLLKGHEKHYSVYIHSQVSSSAEFPASSVFYRRQIPSQVAEWGRMSICDAERRLLANALLDISNECTYGRGRYNGNMSPEVSIEQWRKGSQWFEVNRELAVSIVKDTLYYPKFKECKDTTQVTPQDFYFQGLATAGAASNTSTGAIVTGATVEKLPGLNTIGLSMSRIDYAPNGLNPPASAQGQLYVGFVTTAGKLVAKHINKGEVFVFPKGLLHFQKNVAKSAPASVIAAFDSQLPGTQSLVSSLFGALPEVLSLSSSNPNRLRRSSPDTNPRNETRTEN >A07g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1734407:1736103:1 gene:A07g500910.1_BraROA transcript:A07g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGIEKGVVTTEVEAPRGNRSRFAFACAILASMTSIILGYDIGVMSGAAIFIKEDLKLSDVQLEILMGILNIYSLVGSGAAGRTSDWIGRRYTIVLAGAFFFCGALLMGFATNYPFIMVGRFVAGIGVGYAMMIAPVYTAEVAPATSRGFLSSFPEIFINIGILLGYVSNYFFSKLPVHLGWRFMLGVGSIPSVCLAIGVLAMPESPRWLVLQGRIGDAFKVLDKTSNTKEEAISRLNDIKRAAGIPEDMTDDVIVVPNRKSAGKGVWKDLLVRPTPAVRHILIACLGIHFAQQASGIDAVVLYSPTIFQKAGLKSKNDQLLATVAVGVVKTLFIVVGTCVVDRFGRRALLLTSMGGMFLSLTALGTSLTVIDRNPGHTLKWAIGLSVTTVMTFVATFSIGAGPVTWVYCSEIFPVRLRAQGASLGVMLNRLMSGIIGMTFLSLSKGLTIGGAFLLFAGVAAAAWVFFFTFLPETRGMPLEEMESLFGSYTANKKKNVTNEGTEVVEEH >A09p041330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23321564:23322730:-1 gene:A09p041330.1_BraROA transcript:A09p041330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFKFVSRSLLVFFLDLITGDDLSFRVFGGSTYPFIFRSGSWKALSFRSASSIFFIRRSMANVRTVELLLCCVVNVGFGSRCWIFVKDILKY >A07p001000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:339963:344298:-1 gene:A07p001000.1_BraROA transcript:A07p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division cycle protein 27 homolog B [Source:Projected from Arabidopsis thaliana (AT2G20000) UniProtKB/Swiss-Prot;Acc:Q8LGU6] MEAMLVDCVRNSLSHFVYKNAIFMCERLCAEFPSEVNLQLLATSYLQNNQAYSAYHLLKGTHMAQSRYLFALSCYQMDLLNEAESALSPLNEPGAEIPNGAAGHYLLGLIYKYTDRRKNAAQQFKQSLTLDPLLWAAYEELCILGAAEEPSAVFGEAAALSIQKQYMQQLPSSLGLNTYNDERNTTSAKNTSSEDYSPRQPKHTQGHGLKDISGNFHSHGLNGGVSNMSFYNTPSPVAAQLSGMAPPPLFRNFQPAVPNQNSLTTDNSPKSTVNSTIQAPAPRRKFVDEGKLRKISGRLFSDSGPRRSSRLSADSGANTNASVATVSGNGNNTSKYLGGSKLGSLGLRSVTLRKGNSWANENMDEGVRGESFDDSRPNTASTTASMASSDAKSCDQEDEIMSQRITIGISEILSLLRTLGEGCRLSYMYKCQEALDTYMKLPHKHYNTGWVLSQVGKAHFELIDYLEAEKAFRLARQASPYSLEGMDIYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFLRAVQLNPRFAYAHTLCGHEYATLEDFENGMKSYQNALRVDTRHYNAWYGLGMIYLRQEKLEFSEHHFRMAFLINPSSSVIMSYLGTALHALKRSEEALEIMEQAILADRKNPLPMYQKANILVCLERLDEALEVLEELKEYAPSESSVYALMGRIYKRRNMHDKAMLHFGLALDMKPPATDVAAIKAAMEKLHVPDEIDESP >A06p018670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9046174:9046782:1 gene:A06p018670.1_BraROA transcript:A06p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILFRLLGESNTPSPVTDTSTVSLNSDLVVILAALICALICVLGLIAVSRCVWLRRLAAGTRTSSGSQDGSGQSPPQQAAAANKGLKKKVLQSLPKLTFSPESPSSEKFAECAICLTEFSAGDELRVMPQCGHGFHLSCIDTWLGSHSSCPSCRQILVVARCHKCGGLPGSSSSGSEPEPEPEIVIRIKQGEDDPSSYLP >A09p010670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5420258:5422408:1 gene:A09p010670.1_BraROA transcript:A09p010670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKVIIDLCSSDEEEDNFADQNRFEEEIDLTEDADTSSSEEDSDWNHDDTTTDSDVDDLMKSLNVTECKAYLRKHGLRLSGTKPVFVERILEHWRIKDGSGESLYPISSFPINCKGDVCKGDTVLFTQKVKGSGKVMGRRTVAGQVVKESYGTVKQQHTFTRWANEDDRVKVLSEKHSRGAAARKVMRERKIKLGYVMKDGRLQKPGHVKKPCQVKTRKNEKDENQTQRSSHSLVASQGGHKNPTQLRNINPPFHSHTYAPRPHGPPPRAPLTYAPRPYAPFSVPQSHLPRPYAPFNVPHSHLPRPQQNQSIQRPPLAFFNGRPTSNPLQGQASFNPHAMPVTHQRRPYQNHASSNSGYSYGVRDLDHFSDMTISHRRQGNLYRQSEAPHRPYNSHTYHSNLNNHGESHMIREGDTHKQSRDTYRPNHRW >A08g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9161118:9162901:-1 gene:A08g505420.1_BraROA transcript:A08g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAQLVQQPGREHLPYLTPFNRSGNGISAWINRMMYSNLSKGHPTFTNFPRENQETWFRQFASSTWNSDHTEFIREHFVRKVMDNYGKQIYDWKQKWLIDKADENDGEPVDDFVLMKMAHTNKKTGEIDDGVVKDVLSLIETQKEDEETRLSQFQTDPDATSTASTSLSRIRINEIVESSVPKKKGRLVGLGRRARSVPPSPPQPYVDPEGLMDQLKDKDDRIAALEPKIADQEAGWEATRKQNEQMMEMMRRMYPNEHFPFGNIPRKCIPRDIPTTASSDISRDASPRNFLTDKVPRNIPTALVSRKILTAVVRRNFPIPLFSRNVSED >A03p027460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11496089:11496384:-1 gene:A03p027460.1_BraROA transcript:A03p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENSSLNNFSSCCPCRERIFNEVSPKPKYSTDFDIFMGVDTSPAKTSRTMTSTFVNHGLSVSRKRFTNKEAYE >A03p015160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6005843:6008507:1 gene:A03p015160.1_BraROA transcript:A03p015160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYIQKFNNFFNYSWSIFSTTPTCRSSFIVSFGLKEASICLLILYSLIFSFLHYWRMRPWRRKKVSEQREWFLKNGSTFLQELIADSNGISNPIRFFSSDQILKATDRFHPNCFISRHRFFTWYKGVIEDRPYAIKKFTDSWFSEESEREVYNDIVLSARVSNHSSFLKLLGCSLEFPLPVIVVEYPENGVLNEQGACEDGTLLPWNVRLKIAKVVAVALTDFTFSVSLPVGKSWIKDKVVGTFGYIDPVYFSRGLVTEYTDVFSFGILMLVLLLGKPADFPGSDGYHCNILDYVKGLQERGEPVEFVGGVNDMMPGQMNMFLDLALRCCQGRSEDRPKMILVAKEIKLLEKGSHDCSEDVI >A08p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1302264:1304133:1 gene:A08p002320.1_BraROA transcript:A08p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSMSHGHKFSSSMGYANMEKKWVFSLLIASLVCGFLIVTYFNMSLLFSSLRPPIIETSSHSKVDNLPRFAYLVSGSKGDLESLWRTLRALYHPRNQYIVHMDLESPFEERLELASRVSNDSMYSKIGNVYMITKANLVSYTGPTMVANTLHACAILLKRSPDWDWFINLSASDYPLVTQDDLLYTFSTLDRNLNFIELTADLGWKNKYRAMPLIIDPALYMLNKSNIIWVRPDRSLPNAFKLHTGSAWMVLSRPFVEYIIWGWDNLPRTLLMYYTNFVSSPEFYFHTIICNVPEFSKTALNHDLHYIAWHRPPRQHPRLLSLTNMRPMIASGAAFARKFSRNDSALDRIDKELLMRTNQEGFTPGGWCGGKPECSVVEDVARINPGSGAERLKGLVDRLVSEAKSGKSCGNVNLLQWDKGYL >A02p043880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27645941:27647780:1 gene:A02p043880.1_BraROA transcript:A02p043880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFPRGEKVIKAEPKSTYHKYNCTNTHLSIFLFFFSSDLKLLHFVSLFKRQKTIIAWKFKFSDDVKSGVTEIVLVRHGETTWNAAGRIQGQMESDLNEIGQKQAVAIADRLGKEERSVAVYSSDLKRAKDTALMIAETCFCSEVIEIPDLKERHVGSLQGLYWKEGAEKEPEAYSAFFSSQNHLEIPGGGESFDQLCERSMNALEQIAKKHKGERVIVVTHGGVLRAIYLMITKASSAGKLLNASVNVVHYREEKWIIDSWSDVSHLSSVGFLQRGFDGDSKP >A03p022050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9282216:9283195:-1 gene:A03p022050.1_BraROA transcript:A03p022050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPQPFDMVMVEGTTDPRFTEMMSDPQFTYKPVPTPVVIYENAKTSVFWDMAGYPIPNGVDPVLFCGVMKNALVKQGYKGELSIYLYVDTGELLPNGLETCVEFDFLPEGDDYARISSILVDISFWALSYPYSNIIVLSRNIVKGTIVAFESLYNTHGLLLSKTEPDWLVPGESSTLFLTSLFEDPTGGQLSASSQKQSKILGGGGTSSQGAKRVG >A09p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6329411:6332511:1 gene:A09p012380.1_BraROA transcript:A09p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILAKKQKKKENYTLKELKSLGSDLLSSRAHINNLPLLLSFISPDSPPQFVVESLLSLQSFFTPLLPQLPSSSSSPASSTKRPRSDEQDDDDSSNEVNGDPEVVFKAWLRSKFDEFVKVLLDVLVSQQSEDALRGIVLGTLMEFVKLLNAGRFHSSIYNRILNAIIHSAVDVDMFLDILDSKYFKYIDVRYFTYISMEKFVKTLEASAVSADKTVMENSETENESKDSLELSIRRIYQVLSRTPPPHKQAEKSDLEMWSGSDESSSSEKPKDKKKKSKKDQDSNLLSPTTISKRMKLKFTKAWISFLRLPLPLDVYKEVLASIHQTVIPHLSNPAMLCDFLTKSYDIGGVVSVMALSSLFILMTEHGLEYPNFYEKLYALLVPSVFVAKHRARFLQLLDACLRSSLLPAYLAASFAKKLSRLSLSVPPSGSLVITALIYNLLRRHPTINHLVHQEPVDNANEANSEAEEDNESSRPKTNKKLGMDYFNNQETDLKKTGAMRSSLWEIDTLRHHYCPPVSRFVSSLETDLTIRAKTTEMKIEDFSSGSYATIFGDEIRRRVKQVPLAFYKAVPTSLFEDSDFPGWTFTIPQEEGKC >A06p018060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8796491:8797561:-1 gene:A06p018060.1_BraROA transcript:A06p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVALFFTGALVAGGIYWFLCVLGPAERKGKRALDLSGGSISAEKVQDKYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIRGKSHRDATRLHEEMAVDLIQVKPGQKILDVGCGVGGPMRAIASHSRANVVGITINEYQVKRARDHNRKAGLDALCEVVCGNFLQMPFDDNTFDGAYSIEATCHAPNLEEVYAEIYRVLKPGSLYVSYEWVTTDKFNAEDEEHVEVIQGIERGDALPGLRAYSDIAQAAKKVGFQVVKEKDLAAPPAEPWWTRLKMGRLAYWRNHVVVQILSAVGVAPKGTVDVHEMLFKTADFLSRGGETEIFSPMHMILCRKPMS >A03g501950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6470046:6470435:-1 gene:A03g501950.1_BraROA transcript:A03g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQPNNKHDLLNPRSTFNNIEEHERDLGRTPHLSLDLVLDLRCEAREVRVENTDTTRPPPPLAVAHGEERESRPRERKRRGEEREREEPRRRERKRRGGAEERESRRLGLLISGSSLQGFASKFLMRD >A07p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1199119:1200172:-1 gene:A07p007120.1_BraROA transcript:A07p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15570) UniProtKB/TrEMBL;Acc:F4IIH6] MAVSSSSMCLNAGRLPPARSISSPSRLFPVTSFSPRSLLLSDRRSLVSSSGSRFRFSALCVRDSKAAEVTQSSWENSVLKSETPVLVEFYTSWCGPCRMVHRIINEIAGDYAGKLNCYVLDADSDALVAEEYEIKAVPVVLLFKNGEKRESIMGTMPKKFYISAIERVLNS >A03p054070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:23204672:23206612:1 gene:A03p054070.1_BraROA transcript:A03p054070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRNFVLESLRGIVSASSKSSLFCSTSVQPARLSWEASSQVILKKKLETALKHHRVDDAWDVFKDYKRLYGFPDSSTMNRFVTVLSYSSDPAWLCKADDLSRLALKQNSGLVNSDALTKLLLSLARAQMSESACNILRTMLEKGFVVTSDVLRLVVMHMVKTEVGTCLASNYLVQFCNRFMELKRNSNVVKPDTVVFNLVLGSCVRFGFSLKGQELIELMAKVDVVADAHSIVIMSCVYEMNGMRDELKKLKEGIGRVPSQLLCHYRRLYDNLLSVEFKFDDVCSAGRLVLDICKSKDLPSVQNLEKVMVLPVGSHHIKSGLRIRISPELLQRDSSLGVDSEATFVSYADSKLGFTNKTLAKLVYGYKRRENLPELSKLLFSVGGTKLCADVIDACVAVGWLEAAHDVLDDMVSAGNPMDLVTYRKVLSGYHKSKMLRNAEVLARQMTKAGLVTDPSDEIKDCEKNTELRGLLAEEINAGEQTKVPSMLYELNSSLYYFCKAKMQGDAVTTYRKIQKMKTISPTVQSFWILVDMYSSLGMYREITVTWGDIKRNVASRDLEVTQDLLEKLVVNFLRGGYFERVMEVISCMKEKGMYNDVATYKNEYLKVHKNLYRTLKAADAVTEAQAQRVEHVKAFRKLVGII >A01p051670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28968357:28969691:-1 gene:A01p051670.1_BraROA transcript:A01p051670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit A-6 [Source:Projected from Arabidopsis thaliana (AT3G14020) UniProtKB/Swiss-Prot;Acc:Q9LVJ7] MQESHTKDSLSSPTTSWGNSVFSNSNVQGSSSSAMTDNNNNNNNTLSLTMEMMSAHFPGMKQTGFQLQGHDDSSSTLSTGGGESSGDVASLGGHTSNNRYGHNFVIHLSGHKEIAVSPSGSHPKSILSKVSQNSAAPPTIEASWPLHGNCFMSFPYASQHMVQQHPQIGGLMIPSRILLPHNIPENEPIFVNAKQYQAILRRRQHRAKLEAQKKLIKARKPYLHESRHLHALKRARGSGGRFLNTKKLQESKSPPFLATSVKFRQREILGVAVGSSGPATSCLDLTGNDNEMFQQDPQLRFSGYSSNHHVSVLM >A09g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10094525:10094949:1 gene:A09g503100.1_BraROA transcript:A09g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKNHKEDKGVISLGPGDSFDFRFRVNLRKTTVYTCNFAWPANTATFDIFRADRDDNPRSKVGVCSECIWSIYEPAPCRDRRDEGQPNCF >A07g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23450759:23453223:1 gene:A07g508560.1_BraROA transcript:A07g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEHPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKKLNEQMMEMMKRMYPNEVFPNIQDP >A09p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3069894:3072035:1 gene:A09p005610.1_BraROA transcript:A09p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLVPSCFHGYLTSSSFSSTIHFLPTSLSCGGVSVSPVSKLRTCAKFDKFQGDSPLEQTTSASASQEVVPLEAEDPQEEEEDSCLPNDLVGAVRQSGEASASFVNSGGTRAIVELLIPQLQFLDDEGAQAELWELSRVFLETLIKETGCERVKAVFPDAGAAALLKYKWKDAAFGFASLSDRKPVEKEDEIIVMVVPDYQMLEYVEKIAKGLADDPPRPLVMWNPRLISEEVGVGFNVRKLRRYFLSSFTTVYSMRPLAAGAVFRCYPGKWKVFYDNKDRPGRYLLAKELIGRPDAEDLEIIYGNVEEKPDEEPSLLTQAAGIFSSINRFMKAM >A01p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2096196:2097233:1 gene:A01p004850.1_BraROA transcript:A01p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAPISSPSSLALLSTKTRSPLSFFLLTPPKPLIFSSTTRTPDLPSSLFASRRPRDFINGRDEFVDGARSWNRKIRPEYGFDDEDYEDEHEEEEEEDRSLDLLLRFVENVFRKVSKRARRAVRTILPVSISTKLVGFSVNGVLILAFLWILKAFLEVACTLGTIVFTSILLIRGLWAGVAYVQESRNNRINELADDPRAWNGVQPAS >A02p009090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3786167:3788790:1 gene:A02p009090.1_BraROA transcript:A02p009090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLPCGTYVFVRHFHTRTPSPKTTGATLVNKFVQKNPSAVSVQVGDYVQLAYTHHKESPLRPRSFGAKDEIFCLFQGSLDNLGSLKQQYGLAKNVNEVLLVIEAYKTLRDRAPYPANHVVSHLSGDFAFVVFDKSTSTLFVASDQVGKVPLYWGITADGYVAFADDLDLLKGACGKSLASFPQGCFYSTALGGLRSFENPKNKITAIPAKEEEIWGATFKVEGATVLAD >A06p057880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30040486:30043171:-1 gene:A06p057880.1_BraROA transcript:A06p057880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase 26 [Source:Projected from Arabidopsis thaliana (AT4G38830) UniProtKB/Swiss-Prot;Acc:Q9T0J1] MIQIFSLFLLPLISVLIQIQCLTVQSQPVPLKQICSNVTGNFTVNTPYAVNLDRLVSSLSSLRHNDNGFYNISLGDSDGKVNSILHCRGDVKPEDCTNCLAMAGKRLVTLCPVQKEAVIWYDKCTVRYSNRTLFKILEIYPQTSISGTRNFTGDRDGWEKSLRGLLEGLKDRVSVIGRRRKNFVVGETNGPSFQTLYGMVQCTADISEQDCTYCLSQGIAKIPSCCDMKMGSYVLSPSCMVAYAPWRFYDPVDTTNEPSSVPATPPTGTQRNETTRDKNRGVPHKALIFAAVSAAVFVLFIAFLVVYLRSRKKKLKRRTTSEERNLEADISTDSMRFDFTMLQEATSHFSVENKLGEGGFGAVYKGVLSDGQEIAVKRLSENAQQGEIEFKNEFLLVAKLQHRNLVKLLGYSIDGTERLLVYEYLPHTSLDKFIFGTKFIHYHQFLGCLFLFFLTCLSMFTDPIHGKELDWEVRYKIIGGVARGLLYLHQDSRLRIIHRDLKASNILLDEEMTPKIADFGLARLFDIDHTTQRYTNRVVGTFGYMAPEYVMHGQFSFKTDVYSFGVLVLEIISGKKNSCFSDEDSMEGLLTFAWKNWKEGIALNLVDKVLMTMSSHSSNMILRCINIGLLCVQDKVSERPSMASVLLMLDGHTLALSEPSRPTFFTHSTMVSDSSSSVGHNAKTSNYNSNTELYP >A01p011420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5543604:5546210:1 gene:A01p011420.1_BraROA transcript:A01p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein DOT4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18750) UniProtKB/Swiss-Prot;Acc:Q9SN39] MAMLSANLSSSSFTFVPSRRSQTHKETASVTRVRKDSIFNKASLRTASIEHRDPPQTLTDANTRLRRLCESGDLENIAKLLRVSQKYDIDPRTLCSVLQLCADTRSLKHGKEVDSFIRRNGVVVDSNMGSKLALMYTNCGDLREARRVFDQVRIEKALFWNILMNELAKAGDFSGSIELFEKMMGSGVEMDSYTFSCVSKSFSSLRSVDGGEQLHGYVLKLGFGECSSVGNSLLAFYLKNGRVESARKVFDEMTERDVISWNSMINGYVSTGLTEQGLYLFVEMLCSGIEFDLATVVSVFAGCADSCLVSLGRAVHGIGLKACMSREDRFCNTLLDMYSKCSDLDSAKAVFTKMSDRSVVSYTSMIAGYAREGLAGEAVKLFAEMEEEGISPDVYTVTAVLNCCARNRLLEEGKRVHEWIKENDMGFDIFLSNALMDMYAKCGSMGEAEIVFSEMPVRDIISWNTIIGGYSKNCYANEALSLFNLLLEEKRFVPDERTVVCVLPACASLSAFDKGREIHGYIMRNGFFRDRHVANSLVDMYAKCGALLLARLLFDEIASKDLVSWTVMIAGYGMHGFGKEAIALFDQKRREGIEPDEISFVSVLYACSHSGLVDEGWRFFNIMRHECKIEPTLEHYACVVDMLARTGELSKAYRFIESMPIPPDATIWGALLCGCRIHHDVKLAERVAERVFELEPENTGYYVLMANIYAEAEKWEEVKRLRKRIGQRGLRKNPGCSWIEIKGRVNIFVAGDSSHPETENIEALLRRVRARMREEGYSPQTKYALIDAEEMEKEEALCGHSEKLAMGLGILTSGHGKIIRVTKNLRVCGDCHEMAKFMSKLTGREIVLRDSNRFHHFKDGHCSCRGFW >A01p010510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5137402:5138559:1 gene:A01p010510.1_BraROA transcript:A01p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKKLAGVFGFGQEAVKNEEDDTVIDSGDGDKRRENNQPRFRETGQPRKGFGVPVQVAVERSQLGPVLQPCSAGDGGIQGLRWYTKRSRVDEDGDVADEFLEEGDNPTNAEDDHSSKTMPRLEAKRKTKPAKVRRLVVSSDGKLKQCIEHQGRLFIV >A06p052060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27540495:27542389:1 gene:A06p052060.1_BraROA transcript:A06p052060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSGIGLTRILILAGAEWKNGGSFGGELQSLVKRFEGSGDHSDDDSDDMATQMQRLAMEVRQMSSSRQITVMNGGAQGADFTPFIVPAATLGAIGYGYMWYKGMSFSDIMCVTKRSMEEAVSNLTKHLDTVSEAISNAKKHLTERLKRTDDKMELHKDLLKGVQDNVGLALEDLANIGDDFDSMHSIFGGTGGKLDSIEYKQNIANMGLMYLCDSMGGESHKMPDILMQASILFEDKYMNEKLRLSGKLNTCIVITNEETSTTEGLKESDKIELLEG >A01p012470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6121310:6124533:1 gene:A01p012470.1_BraROA transcript:A01p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVETSIPEGENTIEERHVGAMWELEQKLDQPMDEEATKLNNTPRDKLSMLMLLRLSFQSLGIVYGDLGTSPLYVFYNTFPDGIEDSEDVIGALSLIIYSLLLVPLIKYVFIVCKANDNGQGGTLAIYSLLCRHAKVSLIPNHQRSDENLTTYSRTLSTEGSFSAKTKKWLEGKHWRKRALLVIVLLGTCMTIGDGILTPAISVLSATGGIKVNNPKMSSDIVVLVAIVILIGLFSMQHYGTDKVGWLFAPIVFIWFLFIGATGIYNICKHDTSVLKAFSPTYIYLYFKRRGRDGWISLGGILLSITGTEALYADIAYFPLLAIQLAFTFFVFPCLLLAYCGQAAYLVNNKDHYQDAFYASIPGTAVVLVMLMTTLLMVLIMLLVWRCHWSLVLIFAVLSLVVELSYFSAVIFKFIEGGWVPLIIAAISLLVMSVWHYATVKKYEFEVHSKVSMSWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKTFRMFRCVARYGYKDLHRKDDDFETKLFNKLLSFVHIETMMEPGANSSTYSSAYSVNQTPDALVHNNNNNNHNSNNNNENNENNNMDMFSSMVDYTVSTLDTIVPVDSPHSRMSFRHSNTIEEEEEDELEFLKTCKESGVVHIMGNTVVKARSGAGLPKKIAIDYVYAFLAKVCRENSVILHVPHETLLNVGQVFYV >A04p036680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21043013:21044721:1 gene:A04p036680.1_BraROA transcript:A04p036680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILQLSSSSLSFLSPRKPLPSSKSSRSIRCSLEGTTVTERKVSATTEPLLLRAVKGEVIDRPPVWLMRQAGRYMKSYQTLCEKYPSFRDRSENADLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPIIFNPPQSAADVSQVREFVPEESVPYVGEALKRLRNEVGNEAAVLGFVGAPFTLSSYVIEGGSSKNFTQIKRLAFSQPKVLHALLQKFTTSMITYIRYQADSGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVEAVKQTHPNLPLILYASGSGGLLERLARTGVDVVSLDWTVDMAEGRDRLGRDIAVQGNVDPGVLFGSKEFITSRIEDTVKKAGRDKHILNLGHGIKVGTPEENVAHFFEVAQGIRY >A03p051930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20319313:20321510:1 gene:A03p051930.1_BraROA transcript:A03p051930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDERDEKELDLTSPEVVTKYKSAAEIVNKALQVVLAECKPGAKIVDICEKGDAFIKEQTGSMYKNAKKKIDRGVAFPTCVSVNNVVGHFSPLAGDESVLEEGDMVKIDMGCHIDGFIALVGHTHVLQEGPVTGRKADVIAAANTAAEVALRLVRPGKKNHAVTEAIQKVSEAYDCKIVEGVISHQMKQNVIDGSKSFLSVSTPETRVDDAEFEENEVYAIDIVASTGDGKPKLLDEKQTTIYRKDESIHYQLKMKASRSLISEVKENFPHMPFTSRSLEEKRARLGLVECVNHGHLQPYPVLYEKPGDFVAQIKFTVLLMPNGSDKITSHTLQELQPTKTVDDPEIKGWLALGIKKKKGGGKKNKAKKAGEKGEASTEAEPMEASSNAEA >A08p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20658588:20661602:-1 gene:A08p034870.1_BraROA transcript:A08p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQDQKTRLRGIDAQKANIAAGKAVARILRSSLGPKGMDKMLQGPDGDVTISNDGATILEQMDVDNQIAKLMVDLSRSQDYEIGDGTTGVVVMAGALLEQAERQLDRGIHPIRIAEGYEMASRVAVEHLERIAQKFEFDVNSYEPLVQTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERRDVNLDLIKVEGKVGGKLEDTELIYGILVDKDMSHPQMPKHIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQEQQYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGMVREKSFGTTKERMLYIEHCANSKAVTIFIRGGYGLGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGAAEITCSLAVDAAADKYPGVEQYAIRAFAEALDSVPMALAENSGLQPIETLSAVKSQQIKENIPFYGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISNSEY >A09p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7836899:7842829:-1 gene:A09p015000.1_BraROA transcript:A09p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKCEELEVPMGTLKSISFSISNNKDRKNMSVMEVEAANQVTDSRLGLPNRDDICKSCGSKDRKVCEGHFGVINLRYPVINPHLLKEVATLLNKFCPGCKYTKKKQSQSQNPEDRPDRCRYCISNTDYPLMKFRVTTKEAFRRSGIVCEVSEDNVLKLSKRGLSALPPDYWDFMPKDPNIDESCLKPSRRILTHAQVYALLSGIDERLIRKEIPMFDSLPLASFPVTPNGHRVSEMVTQFTGPRLVFDERTRIYKKLVGFEGNGLELSSRVMECMQYSRLFSENVSPSQESANPYQKKSDTPELRGLRFMKDVLLGKRSDHTFRTVVVGDPSLKLNEIGIPRSIAERLQVSENLNDWNRDRLVTSCFHKLLEKGETHVRRGGRLVGIRAIDDLQTGDSFLRTLKDGDTVLMNRPPSIHQHSLIAMSVKVLPTTSVVSLNPICCLPFRGDFDGDCLHGYVPQSIQAKAELEELVALDKQLVNRQNGRNLLSLGQDSLTAAYLVNVETNCFLNRAEMQQLQMYCPFELPRPAIIRGSSTSSEPQWTGKQLFGMLFPPGFEYAYPLNNVVVTNGELLSSSDGSGWLRDGEGNFIQGLMKHDKEKVLDIIFSAQEMLSQWLLNRGLSVSLSDLYFASDTHSRRNLTEEISYGLQEAEQVCNKQQLMVESRRDFLAVNGEEDTVVADDLELFCYERQRSATLSKLAVSAFKDAYRDVQALAYRYGDQSNAFLVMSKAGSKGNMGKLAQHSMCIGLQNSSVALSFGFPRKLTCASWNDPNSPLRGARGEDRTAHESFVPFGVVESSFLTGLNPLESFVHSVTSRDSSFSGNADLPGTLSRKLMFFMRDIYAAYDGTVRNSFGNHLVQFRYESSDDAEEDMTGEAVGSLSACAITEAAYSALDQPISLLETSPLLNLKNVLECGSKKGLKEQTMSLYLSETLSKKKHGFEYGALDIKRHLEKLCFSEIVSTFSPRTNTRMPMSSWVCHFHISKKVLKQNQLDLESVVSSLNKQYANRKKELKLDVIDLDIQSKNHCSWDDKAMEDDRVCITVTVLEASRHDSLELDAIRLVLIPFLLDSPVKGYREIKKVDILWVDRPKAPQRNKKGLAGELFLKVTMHGVRGKRSFWSALLETCLPIMDMIDWTRSHPDNIRQCCSVYGIDAGRSIFLADLESAVSDTGKAMLREHLLLVADALSVTGEFVALNPKGWSRQRQAESAPAPFAQACFSSPSQCFLKAAKEGVTDELEGSIDALAWGKVPSFGTGDQFEIIISPKNHGFSTPVVDVYGFLSRTATLPKRKAVRATSSSLPKSDEFTVQPFPLLDTAISKAVKTLDGKGLTRSQLRMIFTWDDMEKLSRSLKRILYNYEIDATLNELDGRLLMMALLFHPNRDEKIGPGFKGIKVANSKHGNARCFEVVRTDGTTEDFSYHKCVLGATEIIAPKRVNFYKAKYLRNGTVQPGAV >A09p046500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40519415:40520976:1 gene:A09p046500.1_BraROA transcript:A09p046500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAGPDHLFNLRNSFYLGAYQAAINNSEIPNLSQEDIVERDCLVHRSYIALGSYQLVINEIDEASATPLQAVKLLAMYLSTPENKESTIASLREWLADPTAGSNATVRLIAGTIFMHEEDYSEALKHTHSGGTMDLHALNVQIFIKMHRSDYAEKQLRVMQQFDEDHTLTQLASAWLNLAVDAKDPETLANLVVCSIHVGKPSPRYLSQLKLSHPEHVLVKRAASAEDNFERALQSFA >A03p005810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2425916:2430802:-1 gene:A03p005810.1_BraROA transcript:A03p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component SEC10a [Source:Projected from Arabidopsis thaliana (AT5G12370) UniProtKB/Swiss-Prot;Acc:X5JA13] MTEGTRGARGPRSSSSAPVILDIEDFKGDFSFDALFGNLVNDLLPSFLEEEADSGDGHGNIDGLANGHLRGGQSDASRFSQTSSAPFFPEVDGLLSLFKDSCKELIDLRKQVDGRLNTLKKEVSTQDAKHRKTLTEIEKGVDGLFESFARLDGRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNGSPGDLMELSALFSDDSRVAEAASIAQKLRSFAEEDIGRQGAAGNATPGRGLEVAVANLQDYCNELENRLLSRFDAASQRRDLSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDIRLVLGDDGSQPSPSNVARGLASLYKQITDTVRKEAATITAVFPAPNEVMAILVQRVLEQRVTGILDKILVKPSLSSPPPVQEGGLLLYLRMFAVAYEKTQELAKDLRAVGCGDLDVEDLTESLFSSHKDEYPEHERASLKQLYQAKMEELRAESQGSESSGTIGRSKGASISSSQQQIAVTVVTEFVRWSEEAISRCTLLSSQPATLAANVKAIFTCLLDQVSVYITEGLERARDGLSEAAGLRERFVLGTLSRRVAAAAASAAEAAAAAGESSFKAFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMSTALSKAEVAAYKGLQQCIETVISEVERLLSAEQMATDYKSPDDGFSPDHRPTNACIRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLDKLLLTHWQKFTFNPSGGLRLKRDINEYGDFVKRFSVPSVEEKFELLGIMANVFIVAPESLATLFEGSPSIRKDAQRFIQLREDYKSAKLATRLSSLWPSLS >A02p054880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33250785:33251926:-1 gene:A02p054880.1_BraROA transcript:A02p054880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNEAGEVTSHLQGMFNGTVRLLEAGIKPVYSKRADATADLTGAIEDVTTVTASETALKLIRQHGSIETILENINKERYQIPEEWPYNEARKLFKEPDVLTDEEQLDIKWTSPDEEGIVQFLVNENGFNIDRVTKAVEKIKSAKNKSSQGRLESFFKPVAN >A08p031310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19118011:19119219:1 gene:A08p031310.1_BraROA transcript:A08p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDSSPSMDPASPESETRPEINPSSPATNPAAVQPIEATPEPTAFESAPSPVADPPPERFNSLDELTHDLSSLHDLSTRGQWEAILDKISQSRALFLLTKPHEHLTYLTYQVIALTKLRRSDEASHELNSLHDFDGEHYRYESFPEIYPGRKGSMVPFSLRWMYALVPTRLGNRQEGLDRLYTLLDFVRERVKEKEEANDSVELWKRREIFVMSCLLGFHLGHKEFGVSLELIKELIKRDPLDPVLVSKLGSVQMQFGDIEGAKVTFDRVEKMSDHNGLVSETQFKNLVGRNKALVHVVAKDYAAAVREYEECIERDGSDVVAVNNKALCLMYSRDLSDAIKVMESALERVPTAALNESLVGNLCSMYELAYVNHTDVKKTLNNWIARVAPDDFDSSCTRV >A10p030740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18545426:18548366:-1 gene:A10p030740.1_BraROA transcript:A10p030740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14760) UniProtKB/Swiss-Prot;Acc:Q94AY1] MAAYVSVGNIHDLFLSGQGYKGHDSWSSGFTFRANSFKDLSWSSGVSKALKAERCGCYSRVVSTFNESSKINTSIRAVSSYTKYYDFTVIGSGVAGLRYALEVAKQGTVAVITKDEPHESNTNYAQGGVSAVLCPLDSVESHMQDTMVAGAHLCDEETVRVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIERALLEAVLNDPNISVFKHHFAIDLLTSQDGLDTVCHGVDTLNIKTNEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPQTARENAFLITEAVRGDGGILYNLAMERFMPAYDERAELAPRDVVARSIDDQLKKRKEKYVLLDISHKPREKILAHFPNIASECLKHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVLGLFVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSTELMKSTRLDVSASEKWTRPVVATGRSLGEDVISKILALTREVRRELQGVMWKYVGIVRSTTRLNTAERKIAELEAKWETFLFEHGWEQTVVALEACEMRNLFCCAKLVVSSALARHESRGLHYTTDFPFVEESKRIPTIILPSSPTTASWSSRQLQNISSSTSLI >A03p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5959165:5961424:-1 gene:A03p015070.1_BraROA transcript:A03p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESFGLIVGISLGVVIGVLLAISAFFCFRYHRKTSQIVNSGGGSSSRRSATLPIRENGANSCNIMSDSTLGPDSPVRSSSNGRSGWLDGFSKKTSVISASGILEYSYRDLQKATCNFTSLIGQGAFGPVFKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYSEKHEPLSWDLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISTRTFTKKSDVYGFGVLLFELIAARNPQQGLMEYVELAAMNAEEKVGWEEIVDSRLDGRFDLQEVNEVAAFAYKCISRAPRKRPNMRDVVQVLTRVIKVRHSRKRQKKSASPSPLPPTVESGGEQTGNRSVRSENHRRDNSMDSTLEDYC >A04p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15224758:15229244:1 gene:A04p025160.1_BraROA transcript:A04p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLFLGQFLIWVVLLLGQLHGCKSCIEKERKALFIKNWAPYLSLHGGTRARTRGEWGYDTVQPTWTNDTKSDCCLWEGLECNRTSGRVIGISIGDMMFENFSSPLNISLLQPFEDIRRLSLSVEQNGFDGFFDDVEGYKSLRRLRNLEILDLSSNRFNDSIFPFLNGASSLKTIFLHNNLIEGPFPAEEQKNLTNLELLDLSLNMLKGSLSELKDWTNLELLDLSRNFLNGSMLELKDLINLELLSLAQNSFSGPIPVEVFCEMKNLRELNLRENQFVGQLPLCLGSLKKLRVLDLSSNQFSGNIPSSFISLESLEYISLSDNNFSGIFSLNPLTNLTNLKVLKLSTTSSDMLQVVESESTWQSKLQLSVAVLRSCSLKKIPSFFLYQKNLRLLDLSSNKLSGNVPTWLLENNTQLEVLLLQSNSFTTFQMPTTTIVHHNLQLLDFSKNDIGGLFPDNIGRLLPYLVHMNGSNNGLQGNFPSSMGEMKNISFLDLSNNNFSGKLPRSFFTGCFSLKYLKLSHNKFTDHVPPRVTNFTSLDVLRLDNNLFTGEIGVGLLSSNATLSILDMSNNLLTGAIPSWISNLSNLEFLLLSDNNIQGPVPPSLGNMSSVSFLDLSGNLFSGAIPSYVGHYVRYLFLRDNNFTGTIPDTLLARRVRILDLRNNKLSGSIPEFVSTDLEDPPELSILLLRGNSLIGSIPRQLCDLRTIRILDLSHNKLSGSIPSCLYNLSFDLGVAEEDTNIYTGEDYNPTSFQLEYYKSTLVTEKLVVKYTTYHEIAINFATKERYDSYTGGTEFSEGVLGYMYGIDLSSNELSGVIPEELGNLSRVRALNLSHNFLSGSIPSSFYNLKDIESLDLSYNELHGSIPQQLTSLISLAVFDVSYNNLSGMVPQGRQFNTFDKSSYVGNPLLCGLPTNISCDQANERSEEEDNGGGEEEDEEAVVDMLVFYYSTGSTYLTALICILLLMCFDCPWRRSLLRLIDAFIASIKTMFL >A02p033980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18384067:18390223:-1 gene:A02p033980.1_BraROA transcript:A02p033980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VELILSNLLFPYWDVVLSFKLEPRKQLTKRFLLLLLLLLLLLLLLLLPLSVQIMAKKKKGVKYLVVDAFTDSAFKGNPAAVCFLNNEIRDDAWLQSLAAEFNISQSSFLTPITGFEARFGLRWFTPLAEVDLCGHATLASAHCLFSNGLVYSDNVEFVTRSGVLTAKRVDDGEAKGGSFLIELNFPVVPTCDINLTDASSSMITKALNGATILDIKATATNNILVVLPSLESVTELQPIMDDISNCPCDGIIVTAAASPGSAYDFHSRYFAPKLGVDEDPVCGSAHCALAHYWSLKMNKCDFLAHQASRRSGTLEIHLDKEKQRVLLRGKAVTVMEGHVLIDAFTDSAFTGNPAVVCILYGKNERDDSWLQSLATEFNIPLTCFVIPITGSHPPHFLLRWFTPTVEVLQTSAHTLFSNGLVGSSDTVEFSTHSGILTTDDCEAKLRIFLHRTEIPCDYNLRVQLK >A05p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15702013:15707175:1 gene:A05p030380.1_BraROA transcript:A05p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFQSREWMDQRIDPESNQVSEIFLGGVDAFIQFACNQEDYKERETLLCPCARCKNVKQREARFVARHLFLYGFKENYYFWTSHGEKFNDVGESSGANHSTGEEEMLETPIWNAYEDHHQNIPEDDRRDQVAESSLLRVETHVVDDVSDYDLAPVNPPNDEYVSDVERKKRQTLSLDSQWNGSSPLRFLCRRLRFNLAVSDSIRRLRFHLPPLMMNSTRAPGTQAASPPTPPGATGPAVYHAGSPLMPPGATGAAPNHAASSSRSNSYPQMTLNAMLNSPARLSQPHLHPDKPNGALWFGIDPCIHAFIRATWQGYYIM >A04g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12526874:12527134:-1 gene:A04g506000.1_BraROA transcript:A04g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSVRRSGQVALGFLRDEYGERLHRVALASDFVVSLREVAPMLGSSNGHLFTSFELQITSCGTPVPNRDSCMQNAT >A09p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3260417:3261145:1 gene:A09p006120.1_BraROA transcript:A09p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATHLVVVFTMLVSAMAVAESNMLQDFCVADLSNAVKVNGYTCKDSTQVTPEDFYFQGLATAKAAANSSTGAIVTGATVEKLPGLNTLGLSMSRIDYAPNGLNPPHVHPRASEVIFVLEGQLYVGFVTTAGKLVAKYINKGEVFVFPKGLLHFQKNIAGSAPASVLAAFDSQLPGTQSLVASLFGALPDNILVESFKIKPKQVKTIKSRYQPKK >A03p061280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26649845:26651299:1 gene:A03p061280.1_BraROA transcript:A03p061280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTASFYMDNLSHLPDDLLLRILSLNTTKEAMATSLLSKRWRSLWTLVPGLSYDHSNHNGDYKSFTQFVYRSFLSNKAPILEHLHLSLGPDCPSVDIGLWINLALSRRVGELHIHIVIPYPKKVSVTLPSSLYTSENLQRLSLTNCVFLDGPVHVLLPSLKTLSLKTVTYTDNTSLQIFLSGCPNLEVLSVEDRYDGPPMDVIVVVPSLQRLHMSHGNIETRGTYVLDVPSLKSLEIRDSARCNFRQIENMPELVNARVCFGASSTHEFLKALTSVRRLTLTQPLTLKSEVVNPCGIIFNQLVHLDLRTFAVGWWDLLICMLQYSPNLRFLKLIDVAIYFSDMDNPSGWKPPSSVPECLLHSVEAFEWFQDKGRQVDREMATYVLKNALRLKTATFSTISTDMGEKCQMLKELESVATASPSAQILFD >SC236g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:38918:39313:-1 gene:SC236g500070.1_BraROA transcript:SC236g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLWVFYGLPLVQKDSILVTTSNGVGLVIEAIYLAVFLFYCDKDLQLERIQATGACLIVEIGVLCLFYGHTLLFLENVSARRKLVGVVCTVYCSEPDW >A03g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14665266:14665843:1 gene:A03g504100.1_BraROA transcript:A03g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLQAVLSGFILTFCLRYSVYVSFIITGAFFGELRLFSIYKRGLETRIDCFRVCEVSTSMNQIQIDKAVATHSQ >A09p004200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2420432:2421784:-1 gene:A09p004200.1_BraROA transcript:A09p004200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA:anthocyanidin 5-O-glucoside-6''-O-malonyltransferase [Source:Projected from Arabidopsis thaliana (AT3G29590) UniProtKB/Swiss-Prot;Acc:Q9LJB4] MVQSSPTVNILEVVRVSPPPSDSITLPLTFFDLGWLKLHPVDRLLFYRVPDLSRSVLISKLKSSLSATLHHYLPLAGRLVWNPTDTKPSIVYSPDEKDAVYVTVAESNGDISHLTADEPRTATEFHPLVPELPVSDESARALAVQITFFPNQGFSLGVTAHHAVLDGKTTAMFLKAWAHNCKQEQDPLPHDLTPSIDRTVVRDPTGLETKLLNRWMSASNNKPSLKLFPSKEIGADIVRVTYRLTRENIQKLRERVETESKVRAELRLSTFVITYAYVITCLVKARGGDPTRRVCIGFASDFRSRLNPPLPPTYFGNCIVGAGDFDAKSEPILEEGEGFVSAVESLSGWVNGLCPENIEKSMLSPFEAFKRMEPGRQMISVAGSTRLGIYGSDFGWGKPVKVEIVTIDKDMSVSLSESGDGTGGVEIGLCLRKDDVERFGSLFSRGLKPQ >A10p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8455704:8463172:1 gene:A10p008510.1_BraROA transcript:A10p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNMKFCNSYFLVDPTKASLFDLLLLLFFPNLISKSFIDSTSDTLKTVRTSFASRWIIALAVLVQKILIFVRKPMAFIGRLLTYWPNLLSENGGFFKLILHLVTGKLVKPEESSTTYTSFIGCSDRRVELDEKINVATIEYKSMLSIMASKIAYENKSFITSVVRNTWKMEFVDFYDFYNAYQERNLTQAFVFKASSTNPNLIVVSFRGTEPFDADDWCTDLDVSWYLLIPPYEMKNVGKIHAGFSRALGLQKNGWPKENISLIHQYAYYTIRQKLRDMLAIDKNSKFILTGHSLGGAIAALFPAILAVHGEDELLDKLEGVYTFGQPRIGDEQFGEYMKEVVRKHGIKYERFVYNNDIVPRVPFDDKILFSYKHYGSCNYFNSLYKGKVKEDAPNANYINLLWLIPTILTGAWEFIRSFIIQFWKGKEYKENWMMRSLRIVGIVLPGMSNHFPFDYVNSTRLGGLARPCTTPEDKIALIA >A06p047400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25175929:25178583:-1 gene:A06p047400.1_BraROA transcript:A06p047400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 9 [Source:Projected from Arabidopsis thaliana (AT5G48360) UniProtKB/Swiss-Prot;Acc:Q8GX37] MQTFCFSFLLLLSCAPSSLSYASSALNLGRRHLSDDAGSALLTPAPPISPPFFPLESSAPPLPPPPSPPTFVAFPTTFPANISALVLPRSPKPHSTSPALLVPAISAVLVVAAVLGVALFLYGRWKGQNRHFKDTNTTLGGGSSSSSHTSEERHVITNNFSVSASSPTSEVLYLGGEEEPDRVVTSFVKPPESPEIRPLPPLPRSFQPSYEAEIHSERNEEEEDEEEEEEFFSPLASLASSANSSPSRSGFEQSSCSSSSSGWVSPARSFSMTLSPVQQQRSFSRLSDVSLEQSLQSLSPERLRVRNNNGHVSSSLRMFSFFNQNLSFPRISSASTSPDRGGFVRTPLSSLYSSVSNSPDGLFRKFINSSPPIWNDFSRNVKSVLLSSESVSSRRDFVINIGGQAGAVAAPPPTRPPPLVPPSQSFVVQNDVKKPSFSDKVNQGSCQNTAWDCLKANSFKLNKEIVETLFISNSSSPNINQRGLTYDLPTQNEVSYQNIATRLQLLNVTTKDVCNALLEGDSGALGAELLDCLSRLAPSKEEERKLRNASDDSVIIKLGPAERFLKELLQVPFVFKRVDALLSVANFYPEVEYLRRSFGVVQAACEELRNNKTFSRLLEAILKTGNKMSVGTNAHAFKFDTLLKLAEVKGLDGRSSVLHFAVQEMIKSEGSVKALDRVRNLSSEMETVKKSADIEYGVLTNGVLKLYQGIRSIKELLILSEESGCSGDQWMKFEAKMGRFLETAGEEIVRIKAQESSTLSALEEVTELFHGGSFKEEGHTLRVFMAVRDFLSTLDEVCNDMGERFSA >A08p028700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17874905:17878822:-1 gene:A08p028700.1_BraROA transcript:A08p028700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQVVNTYPLSNYSFGTKEPKLEKDTSVADRLARMKINYMKEGMRTSVDAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEVEGLKRKLTSKLGGNSAALVPDWKVGECVATWWRPNFETMMYPYCPPHITKPKECKRLYIVHLSEKEYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFHFNMISSGGSVKCLAPRSFPRLPQKTFGCRETKKKENLKLMDSQAQLQRAHGHHQAEEQIRIHHPEEEEHHEKGAAKVLKKAKEKAKKIKNALTKHGHGHEQELHGGAPARGRGHHVSDPVEEEFFPDPMKEEMVPPGKKFFPVVSSSHSTKPSGRVKGAEASISRDGYGNKVISMVTPVYEKVKGTGAIVMKKLPFSSGGTHTERENKQGQDKGISAKEYLTKKLRPGEGDKALSEVVTEKLHLGGDKSGSVPVQNRFQ >A01p014760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7149477:7150112:-1 gene:A01p014760.1_BraROA transcript:A01p014760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid phosphate phosphatase beta [Source:Projected from Arabidopsis thaliana (AT4G22550) UniProtKB/Swiss-Prot;Acc:Q9SUW4] MSPSTASSFIGPILAVDAAVSTAIHTAAKPFLPPFILLLLEISADFRFSFPVSLSLLLSPPLRPFLIPFLLGLLLDLIFVGIVKLIFRRPRPAYNHPSMSAAVSADHYSFPSGHASRVFFVAASVHFFTSEAQVVSPSYSFLDGWVRDRGEGVVKGEVLVGVWIWATVTAVSRILLGRHYVLDVAAGACLGVLEALFALRFLRFEQILGWW >A07p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17365548:17366657:1 gene:A07p031350.1_BraROA transcript:A07p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSGKYFCFIVALFAISLKPCSCHNNTRWNSAGITWYGDREGPGTTGGACGFGDAVAKHPYQCMVSAGGPSLFKDGTGCGACYRLVCDHPLCTKKPIKVMIADECAGCTKEAFHFDLSGKAFGALAKRGKGDELRNLGELKVRYKRACCKHPKSKIAIHVDAGANPYYMSFAVKFANGDGNFACVEIQPAGGKYLKMEEMRSAVWKLNPGCALKGPFNVRLTSAVTKKVIVAKAVIPENWSPGAIYHSLVNFATPKKNK >A07g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5792247:5794045:-1 gene:A07g502840.1_BraROA transcript:A07g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRSTDEFLATMRSFYRIPDVVEFRVPYRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDRSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQIEYGNLKNAFNSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A07g502860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5820818:5821051:1 gene:A07g502860.1_BraROA transcript:A07g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELWNRVQGADCNGKEIPEVAKLHDRIGEDRDATNLFPGLQDLHVTRVRNQFSDFLAKTARTFRRELFFIGCSIFI >A09p003340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1977589:1978737:-1 gene:A09p003340.1_BraROA transcript:A09p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSANRPPTPDEDVRDSPERDELTLGEIINIKLVESGEKENLMELVRDRLVESGWKDEMRIACRVPWDFKNKLVERAGFSGRGEHVKKKGRKDVTVDELIRVITPKGRASVPDAVKEELLDRIHNFIRSAAL >A07p015810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9768642:9770811:-1 gene:A07p015810.1_BraROA transcript:A07p015810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPHRGGAAPYRSRDGLSTRSGAGSEEIQLRIDPMHSDLDDEITGLHGQVRQLKNIAQEIGSEAKFQRDFLDELSASGGEKQHKETELEHHTEWQQPYHARGLSIAFDLSTGTNGQKRRGLVVRAGKAALCQTKRSRSRKSLARTHGFRLRMRTISGRATIKRRRAKGRWNLCSLP >A05p010810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4585867:4588169:1 gene:A05p010810.1_BraROA transcript:A05p010810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISHSLTPMLLAVAVAFAAAITTFASPLKILPLQRAFPLDEPVELSDLRARDSVRHARILLSKGGRQSSIGGVVNFPVQGSSDPFLIGSKTTMLYFTKVKLGSPPTEFNVQIDTGSDILWVTCGSCSNCPRSSGLGIELHFFDAPGSLTASSLTCSDPVCSSAFQTTAAQCSENSNQCGYSFRYGDGSGTSGYYMTDTFHFDAVLGESLVANSSAPIVFGCSTYQSGDLTKSDKAVDGIFGFGKGKLSVVSQLSSRGITPPVFSHCLKGDGSGGGVFVLGEILVPGMVYSPLVPSQPHYNLNLLSISVNGEMLPIDAVAFETSNTRGTIVDTGTTLTYLVKEAYDPFLSAISSSVSQLVTPIMSNGEQCYLVSTSINDMFPPVSLNFAGGASMMLRPQDYLFHYGFYDGSSMWCIGFSQALEEQNILGDLVLKDKVFVYDLARQRIGWANYDCSMSVNVSVTSGKDIVNSGQPCLMISRKDMVLKLFSSILLALLLCTFFSLT >A08p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1441823:1444460:1 gene:A08p002520.1_BraROA transcript:A08p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTCSYRGEVLSTEVLYQMVGCRAVQTRTFRNTIKRLVNEAGETLTKLSDIKKEAVQHFQRFLQERNTRRHNTGIRTAEQVVRIIDKGIRNRITSLHYKVGHKLEGLRRHWFEVTL >A01p014150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6904240:6904707:1 gene:A01p014150.1_BraROA transcript:A01p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEEFQEEEVWSVLRENETPGPEMKMSKSNNLFSAATSSSATARYIPKGKEVSKAKQSSAPMNVPDWSKIYGNTRSNHLHSWTTHDEDDDEDSMVPPHELVAKMLARTQISSFSMCEGIGRTLKGRDLSKTRNDVLTKTGFLESNVTSTSPQP >A03g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19142091:19143725:-1 gene:A03g505380.1_BraROA transcript:A03g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSYRKFSISWKGARFQGPNSGFLLAGSGRGITCALKSTGVAHSQQAPLRQDPVPLILLSWVPLKPELILNPDDGVGTLMFAFMPFPGFPPTWCATVISRSDYPSGRDTVEQLLELPLERREVSFLVSDEALDRCSIRGVMSGSRGDEVLAEYKKAHEAMLARKAAAKRTVPVEDEEVQFVGSSRRQAATITAPSSSKKKSKVSVSALKSSLPVSDNWSKVLANLNAKVFPLTSEYLASDGDSSMAIRSLQGDLIQAAFQLFHLGERMEDKTTAQAKVDALTAKMREKKDTVLAKEREIKALKLEVHNQEEALERVATENASLQKQLEDKEEDICELRYAAEVFNDEKAMAVNGAKVVARWELMREWIHRQTDSWEPAAAQEQYKTVKTTEAEFLGLHVPCFDDEPQVFERDGAPEPADDPPTN >A08p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19833930:19836067:1 gene:A08p032820.1_BraROA transcript:A08p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIHGGAGAGAATALSTFNPKKLVAPSRTNLPAARSSKRCIVAGGGSDASKSLSVRHSQKLIASAAVATKAETSATTGTGHELLLFEALQEGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLADKFGDLRVLDTPICENAFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKEKIPDEEYICNLEEAEMVRPGEHITILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAINENFHDYLDAPVMCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >A08p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3930709:3932639:1 gene:A08p006800.1_BraROA transcript:A08p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTRSNKKKSLLFSDPTLLEHTIRKEKRSASIDNNISSSTDTSQQTSTDTPNPSTDIFERDENGDLHDQEGHMRNAAGHSGTGFLNQKPGNQSVYKNSYENGQRINFNQSSQFEKSYRNNFNNNNNINYGNSSYQNVPPQTRESKIEAIIEQVLKGHQKLMVNINGNIDIVYTEINAKFEALNTHVKKLETHVVQTREAVKKQDTFIKSKGDEALKYHMNAIIEDDLWQVVKEEKLQEGDVEVESSMSFGSSHWCPSTTPGKVHRSMESDEHRSISVVHHRSTESVPSCETVKIMTHEKFTAKNLYPLKPFIANIDWQNEQVTD >A01p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8427610:8430645:-1 gene:A01p017010.1_BraROA transcript:A01p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan aminotransferase-related protein 2 [Source:Projected from Arabidopsis thaliana (AT4G24670) UniProtKB/Swiss-Prot;Acc:Q94A02] MGKVPRILSWRNMLVLSLAVNFSLILRILKGTDGGNSSYVVSIWPVVSNSLDRTVYGDSKWPVVSTTASGSSSLSSASCNYNETQEDDDRIINLKLGDPMVYERYWQEMGHMTTMVIAGWQSLSYFSDNNNELCWFLEPELAKEIVRVHKVVGNAVTQDRYIVVGTGSTQLYQAALYALSPHDDSGPINVVSAAPYYCSYPLITDCLKSGLYRWGGDAKTYKEEGPYIELVTSPNNPDGILRESMVNRSEGILIHDLAYYWPQYTPITSIADHDVMLFTASKSTGHAGMRIGWALVKDKETAKKMTEYIELNTIGVSKVSQLRVAKVLKAVSDSCGNETAKSFFEHSYDAMFERWKLLKQASKTSKGFTVPDFASQRCNFLGEVFEPQPAFAWLKCEEGIVDCEKFLREKKNILTKSGKYFGDDLSYVRYGNLNNAMIETFNHLR >A05p046570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27640886:27642093:-1 gene:A05p046570.1_BraROA transcript:A05p046570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVAGAPLTGGSRFPCWSSQIPINLSSSSLLCLNNGDLSAPYNFPLRLSRGGRVQTTLSNSKSFAVGKEAEDGFLSDVSEDTDEMFDELFNKYGKVVYKSDDLKSPAAEVDDDAESLAFAVEMAKVASEVKAGDIKVLFVKPLVYWARFFIITTAFSRPQIDAIGSRMRDLAEKKYGRVANGDVKPNAWTLLDFGDVVIHIFLPPQRTFYNLEDFYGNAMSVPLPFQDEPPPRS >A01g501420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5363454:5364146:-1 gene:A01g501420.1_BraROA transcript:A01g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPINVNFMGQREVMVNPQSKRYIAYLSRKFLRLRRRLFQVFFVGVCLWFDFTHNERSISVKDLFVLCDTRFPKFQLANGINIQSQSVTLRFDERFDERIWDPGIAYSWRIKESMEGKIWLYRFQSKRISMPLIVTTKHVISWYGSVWDELRCNRWIAFNNFLRGKRHLVTRIESHKKDLKRPRTKWKKVSESACPTNALGSIVSLLSLLKVIFNNMFDVIYVLCNMLH >A05p019860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9299190:9300137:-1 gene:A05p019860.1_BraROA transcript:A05p019860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCIDTFRTCKPQLSHEDDSRFCYMNLFRPVHFPSRAPITNSLDGGDVWLKMLEEAKSDVEQEPILSSYYHASITSHPSLGSALANILSVKLSTLTLPSNTLFEMFISVLEESPEIMESVKQDLVAAKERDPACLSYVHCFLSFKGFLACQAHRIAHKLWSQDRKILALLIQNRVSEAFAVDIHPGAKIGKGILLDHATAVVIGETAVVGDNVSILHGVTLGGTGKQCGDRHPKIGDGVLIGAGTCILGNITIGEGAKIGSGSVVLKDVPPRRTAVGNPARLIGGKENRRRVDKVPGLSMDQTSYLTEWSDYVI >A07g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23423304:23424330:-1 gene:A07g508540.1_BraROA transcript:A07g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSSEVVKSRLLGFVTVERSRSRGGCLCFSSKLGRCLRFVLGLCPWRLGEVLLPLPARKVTVGLILQMVAPPESGRFRVSPPSHPVSSVWTVGWCCLLTSSMALLTVPFMPSRDSGRLRSCGTESRFSVSAFGKFSSSLAVVSRILLGSCLRASSVFAGFVLRISVVSLCWVRAESMLRSCSKRRQETRVRTLKRRRKTRVRVLWRRRIS >A02p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4287919:4291194:1 gene:A02p009970.1_BraROA transcript:A02p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGCFNGTHGIKRVHPLFHSLTYRLSSLPLITKPQALILSSQLRLFPSSSSSSGIASFHQASSYKRSREVSPRYREKEEEEMTIKPAVCISNGNLIIKNRMVLTGLPDNVITTSSSEAGPVEGVFIGAVFDKEESNHIVPIGSLNESRFMACFRFKLWWMAQRMGELGRDIPLETQFLLVESNDGSHLETNQKLYTVFLPLIEGSFRSCLQGNVNDDVELCLESGDGDIKTSSFTHSLYIHAGTDPFKTITDAVHTVKLHLKSFRQRHEKKLPGIVDFFGWCTWDAFYQEVTQEGVEAGLQSLTAGDTPPKFVIIDDGWQTVENDVNPVEENKPVFRLTGVKENVKFKNKDEGIKNIVDIAKEKYGLKYVYVWHAITGYWGGVRPGEEYGSSMKYPVVSKGVVENEPTWKTDAMAVQGLGLVNPKNVYRFYNELHSYLADAGVDGVKVDVQCILETLGGGLGGRVELTSQYHQALDSSVAKNFPDNGCIACMSHNTDALYCSKQAAVIRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMVPDWDMFHSVHPAAEYHASARAISGGPIYVSDAPGKHNFDLLRKLVLPDGSILRARLPGRPTQDCLFADPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSSTERKNIFHQTQTDCITGSIRGRDVHLISEASTDPKTWNGDCAVYSQSRGELSVMPYNVSLPISLKIREHEIFTVSPISHLATDGGVSFAPLGLVNMYNSGGAIEGLKYDAEKMKVVMEVKGCGRFGAYSSVKPKRCVVESNEVAFEYDASSGLVTFELDKMPSETKRVHVMEIEL >A05g500420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1605541:1605735:1 gene:A05g500420.1_BraROA transcript:A05g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTVADGSCDEHVAKDVGLGKAIEEMIPSGEGDKLFWAHWMDVLGYSLNAFRFSNLSFVDGR >A07p048760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25993235:25995527:1 gene:A07p048760.1_BraROA transcript:A07p048760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha-amylase 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) UniProtKB/Swiss-Prot;Acc:Q8LFG1] MGYYNNVFDGCNDQTDIGAVMRNGREVILQAYNWESHKHNWYKNLDSKVPDISKSGFTSAWLPPPSQSLAPEGYLPQDLYSLNSAYGSEHLLKSLLGKMKLYKVRAMADIVINHRIGTTRGHGGMYNRYDGSSLPWDEHAVTSCTGGKGNRSTGDNFNGVPNVDHTQHFVRKDIIGWLRWLRNTVGFQDFRFDFARGYSAQYVKEYIGAAKPLFSVGECWDSCNYNGHGLDYNQDSHRQRIINWIDATGQRSAAFDFTTKGILQEAVMGQYWRLRDAQGKPPGVMGWWPSRSVTFLDNHDTGSTQAHWPFPSHHIMEGYAYILTHPGIPCVFYDHFYDWGSSIHDQIVKLIDVRRRQDIHSRSTIRILEAKSNLYAAIVGEKLCMKLGDASWCPSGREWTLATSGHRYAVWHK >A09p069420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54099138:54103857:-1 gene:A09p069420.1_BraROA transcript:A09p069420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTIFSMPSLTPPFTSTDASIIGTKPYQNNSYPQNQLSNHRPWALQRFSPSSRWRLSLLSSVSDQTFMGEKLSDDSILSVNPKPSKCFSSKLIDLLEALVVKLMHDASLPLHYLSGNFAPLRDETPPVKDLHVHGFLPECLNGIFLRVGPNPKMIHGVRIKDGKATYVSRFVKTSRLQQEEFFGDAKLMKIGDLKGFFGLVMVIMQQLRIKLKVLDDSYGNGTANTSLIYHHGKLLALQETDKPYVIKVLEDGDLQTLGMTDYDKRLTHSFTAHPKVDPVTGEMFTFGCSPMPPYLTYRVISKDGIMHDPVPITISKPVMMHDFAITETYAIFMDLPMHFRPEEMVKEKKMIYSFDPTKNARFGVLPRYAKDDLMIRWFELPNCFIFHNANAWEEEDEVVLITCRLENPNLDMVSGNVEEILESFSNELYEMRFNMKTGSASQKKLSASSNYFPRINECYTGKKSCVNVIDARTMSAEPVAIVELPHRVPYGFHALFVTERQLQEQTLI >SC124g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:95913:97321:-1 gene:SC124g500050.1_BraROA transcript:SC124g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEAIGGLIRKSLEQEEYQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQAS >A08g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11026160:11030554:1 gene:A08g506470.1_BraROA transcript:A08g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTRSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRRLSKASINIGKGRKRVVGECSYSAYMGESGEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNVTESHEQVHNQEDSGQHNQEVTHEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSRIIR >A05p012170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5275544:5276509:1 gene:A05p012170.1_BraROA transcript:A05p012170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXL7 [Source:Projected from Arabidopsis thaliana (AT2G35150) UniProtKB/TrEMBL;Acc:A0A178VYH7] MISLIFLFFLSLSFRSDGQLYDESKNYEGSSDLVNLEYHMGPVISSPETSLYIIWYGRWTPTHQSTIRDFIYSVSSPARYPSVYDWWKTVMLYRDQTGSNITRTLVLSGEFHDSTYSHGSHLSRFSVQSIIRTAVANKLPLNSLNGLYLVLTSDDVEMQEFCRAICGFHYFTFSTVVGATVPYAWVGNSRKQCPEMCAYPFAQPKPFPGSGFVSREKMKPPNGEVGVDGMISVIAHELAEVSSNPMLNGWYGGEDAMAPTEIADLCLGVYGSGGGGGYMGIVYKDRWRSVYNVNGVRGRKYLIQWVWDLTRNRCFGPNAMN >A03p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6384108:6384858:1 gene:A03p016070.1_BraROA transcript:A03p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTPLRFCTQRTVRDSDSLPPPHENTSDHEPKRCTQDLQQLSGNQTDDIVSEPQMSYEGVGSEAMDTEMKRINSLSLKDKLSLSVPQFASEACAASKTAVAVSTTSSKVSNAGGSTSTTLSLELFQNEIQRSGSEDEKSTKDAVTRGMDDKPVSHVGDVPEELQLELGDKKMSASALASETNHDHSASSKCEFV >A05p023680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11458462:11463103:1 gene:A05p023680.1_BraROA transcript:A05p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT1G03445) UniProtKB/TrEMBL;Acc:A0A178WIX8] MADLNLRQFPPPPYATSTTQTLFNPQEDLPGPRCGHTLTAVYHRLILFGGVTLVPSGGSDNSLQCLTNSVYCLNSLTKKWTRVYPEGEPPSPRTNHAAVSCGDGVIIQGGIGPSGICNGDLHVLDMSSDTFKWKKVVVQEGMAPCPRYGHVMGLAGEKLVIFGGINEGNLVLADTWALNTTRHPNVWEILYPYGDLPCGRVYASASSTRDHRYFMLNGGRDQHGMPLGDTYKLEPFSSSGFWVWTRSPHLDLSKRYQHAAVFVNLRLHVFGGALSNTHLVDAEEAVSVLDTSTGVWVDTYNEVMRRSLHAAASIGSRIYVYGGIREGVLLDDLLISGELLSSGPTVPSFLWRSQNTPRPPASDMNDGYKPNFSREKLHDLVNKVISTLLRPQTWEPPVDRKFFLSFPELAELCFAAKQIIEQEPTVLQLYAPIKVFGDLHGQFGDLMRLFYEYGYPSRQGDIACVYVSFVQVEYPKNIHLIRGNHEAEATNTVYGFLDECIERIDCNDGNRAFKLINDFFSHLPLAALIEKKIFCVHGGIGSSVFTMEQITNIKRPVDMDCEYNYKVVKDLLWSDPTAHDSILGIGVNERGSHIVSFGPDRVNAFCERNDIDMIIRGHECVLDGFERFAQGKLITVFSATNYCGKFKNAGAILVIGRNLDVVPKLIHPLPPPISPSENVPDKAWIEVDRERPPTPIRGQRKPDFEQGSTS >A01g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2900938:2901230:-1 gene:A01g500770.1_BraROA transcript:A01g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDVACVLKKMCLDAKAPHLSSILPPTLPWKYYMLLDESTLPPTFIDSPIHFNIYKL >A05p049770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28894748:28897126:1 gene:A05p049770.1_BraROA transcript:A05p049770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGNGCGGCKMYPDLGFSGESTTTETFVFGVAPAMKNQYEASGEGVAENDACKCGSDCKCDPCTYIKDPEHSELTLEDLRVITKESFEVDDAKSYVRVTLTPTLPHCHVPHLIGLCIYAKLLKSLPTRFKVDVRVAPGSHATEAAVNKQLGDKERIAAAIESPYFVDVRVAPGSHATEAAVNKQLGDKERIAAALESPFFVALH >A09g512880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38655899:38657530:-1 gene:A09g512880.1_BraROA transcript:A09g512880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSLLQFVKNRHNVSFLARSYRALVLYSAADKLEYGNRTADKPSSIDTRDRASVPLGHYVTTELFRNVDTTPVHALSSILQCYLPKTVANSVHVFRHSKSSIKLCVTINVSSRNSAQRDLRHNSRPILRFLNQKPVNRRTVYAWFAREDKCQNISILCYDGLRAEENRQCEFWFPQFGARRRGGYGLLLLMATKRLIETMSGYMNDKLAALTAPMVNAYANTVLFNKIENLVATFRHRKSTKTSSRFLFLNIKGNDKSYQTP >A03p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6568992:6571026:-1 gene:A03p016480.1_BraROA transcript:A03p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G52040) TAIR;Acc:AT5G52040] MRPVFCGNFEYDAREGDLERLFRRYGKVDRVDMKAGFAFVYMENERDAEDAIRALDRYEYGRKGRRLRVEWTKNERGAPTRSSGSRRSSSLRPSKTLFVINFDAESTRTRDLERHFEPYGKIVNVRIRRNFAFIQYELQEDATRALEATNSSKLMDKVISVEYAMKDDDARGNGYSPERRRDRSPDRRRRSPSPYRRERGSPDYGRGASPVGHRKERTSPDYGRGRRSPSPYRRARVSPDYKRDDRRREREASPDYKRDDRRREKEASPDYKRDDRRREKEASPDYKRDDRRREKEASPDYKRDDRRREKEASPENGAVRDSSPRNGRGESRSPPPYEKRRERSRSKSSPENGQVESPGPIMEEEEAGRGYDGAESPIRESHSRSPPAEE >A03p050360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21625439:21627083:-1 gene:A03p050360.1_BraROA transcript:A03p050360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPE [Source:Projected from Arabidopsis thaliana (AT5G61410) UniProtKB/TrEMBL;Acc:A0A178UPG9] MSTSLSTSLCCSSTQVNGFALGPQRSLLYQPSSFSFSRRRSHGVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVECAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPDLRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPGTPLSAIEYVLEEVDLVLIMSVNPGFGGQSFIESQVKKISDLRRMCVEKGVNPWIEVDGGVTPKNAYKVIEAGANALVAGSAVFGAKDYEEAIKGIKNSKRPEAVAV >A07p016980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10356871:10357422:-1 gene:A07p016980.1_BraROA transcript:A07p016980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRDEESSRAFYDLSALVLSLLRSPPMPISLPDHFPDSPVVRSRRPRFSSSLSMAHISPSGFASLLLGVSVALMLCGSVTFFIGFLLLPWVLALFVVFYVVGIVSAISMAGRSILCYLLAPPSPPRKEVSEWKLL >A06g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10094480:10100523:1 gene:A06g503200.1_BraROA transcript:A06g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESKESLQEVTPDAFFRVSARQNASDLSWSLWLVALAGTTELSQARSLRSDQAIVPLGRYVATELEPKLGRYVATSDVATPPRSLRSDRAIVPLGRYVRPSSGQSSVATDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNCEDRENGISPFLCYDGLRAEDDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKIYFALFSISYFYRCYSRFPYLNGNRQCEFRFPQFGARRRGIRINLTRKSHTESDMSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEITSDEGKSPVNANASDVEARHKSEAHATTQPEHPENNRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPQNDPLPRHHQPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A06g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7369434:7376268:-1 gene:A06g502090.1_BraROA transcript:A06g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTGMLRCPCSNCKNKKVIKQWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPAVRLEDPIRTDREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAASAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3154277:3156565:1 gene:A01p006130.1_BraROA transcript:A01p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMGVIVFLLLHSIFYTAFCFKDGLLPNGDFELGPHHTDMKGTQVMNKTAIPSWELSGFVEYIPSGHKQGDMILVVPKGAFAVRLGNEASIKQKINVKKGSYYSITFSAARTCAQDERLNVSVAPHHGVMPIQTVYSSSGWDLYSWAFKAQSDYAEVVIHNPGVEEDPACGPLIDGVAMRALYPPRPTNKNILKNGGFEEGPWVLPNTSSGVLIPPNAVDDHSPLPGWMVESLKAVKYIDSDHFSVPQGRRAVELVAGKESAVAQVVRTTPGKIYVLSFAVGDASNSCAGSMIVEAFAGKDTLKVPYESKGKGGFKRASLKFVAVSDRTRIMFYSTFYAMRNDDFSSLCGPVIDDVKLLSARRM >A05g510590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32856853:32857360:-1 gene:A05g510590.1_BraROA transcript:A05g510590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQSLVGKELPAYEEALKKKLIDELMSSGSISVVSRSSGTKKITRLLCQVVSRASKCNVSRV >A10g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21661882:21662353:-1 gene:A10g507080.1_BraROA transcript:A10g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERGIEIGRGMVAGGEKRGGAGEREGRSAEEGGRTRGRSRERRGEESGGRREGGGGREERGGERGGERDGSGGDGGGAERARGEEVRAGGARGERPTVEPDLAWVVKKLKTDMHSHPATQASLSLPRASICFG >A06p053840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28303332:28306999:-1 gene:A06p053840.1_BraROA transcript:A06p053840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRFGLAAAVVFLALVQVSVSVPFIVLHGIAAACSEGKEANFTQLLSNFSGSPGFCLEVGNGELDSWFMPLAKQAEIACEKVKQMKELRQGYNIVGRSQGNLVARGLIEFCDGGPPVYNYVSLAGPHAGISSVPMCGSGLWCEIADELIKSDIYSDFIQDHLAPSGYLKIPTEMKKYLESSKYLPKLNNEIPNQRNSTYKERFASLHNLVLIMFEDDKVIVPKDSSWFGFYPDGDFGPLLTVRETRLFKEDWIGLKPLVDTGKVEFVSIDGAHLKISNIDIVKYVVPHLQNRPSSEQKRFNRKTKEPLRCTNGKQFSNYVNERVGECIQRYMSKEETARHLHATALAKLAKETALAQLKEETGSCKTPNSPPKGESDKAPRLCSDTRAREQEQQVRESARSQVPEDAAYAHDGNPIAFVEQSSSKAGQSQALEKQTLQASHQQQPVYPTAEFWQNLSTTLGRIQCNTDQMVKLMTDGHGFAAHPSAVGSSKRQRVEQEKERGDTVEAEKLQDQNIQLPQQTHGESRGTKAAKKH >A05p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:375670:377233:1 gene:A05p001660.1_BraROA transcript:A05p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCCCSSRDRISDLPDEILGKILSLVPTKVAVSTSVLSKRWRMNNNNNLLALVDTLSFDESMVVYPNEEAVSGSSDRFLDFLDKTLRNCPTKTIKKFSLSHRGGRFTKSPAVYGWILSAMEEEESLLEEVHLECTRVEVSLERKLLRSNTLVKLTLSREYCLEVDRVYFPALKSLSLLTVGGLDYNNYRRLIKGCPLLEELFITDIGADAVDPYPPCCTASVKSASIKRLVVSVDMPYGGPRNEHSFRDLHYQSYVKAPSLVYLDYSSHVFMNYRFLDLDSLAEVRLNLKLWDRHKPIYGDVTNLAAGIRNITTLHLSPDSLEAFHFCCKSMPVFKNLLDLSIQSNKKKCWQVMPLLLNSCPNLHTLVFKGLVHRVTDKCGDACACTPNNKHNKKMKKKSKVISCLWTCPVKVLEIVEYGGSFQELKQMRHFLGKLECLETVRVGGIHADNTIFLRANLLSLPIVSSNCNNIHFI >A05p043140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26049870:26052009:1 gene:A05p043140.1_BraROA transcript:A05p043140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGMMNNNSSNGQSLWHSSSSQSPKTPTTMLDRALSSRRPHSDADLSDSGESGTDESKTKRPHVYLLASNFVSRIGHQWLPCVIVALLFLVLLFLTSLAFHSSRFVCVSRFDPAARIGFFGFDGLESDFGALGVPWCRSKHGKEVEWTSKDLLKALEEFVPIYETRPIKNNMHGMGFDHSFGLWFMARWLKPELMIESGAFKGHSTWVMRQAMPDTPIISLTPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWKNVLKKHGVKDLNRVLVFFDDHQNELKRIKQALKAGFQHLIFEDNYDTGTGDHYSLRQICDQSYIKGGGHSCFKDSDEARIRSNRKKFWEKAVDTEELCGPGETWWGVRGEMRDDFNHSNTQISYNQHFQNSRYVESILDVYWELPPVAGPSLTHQSRYDPARSTPPVVADGRRRLFQRIGLGRLDKSVFNGYTQMVYLQISKPGS >A05p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4264468:4266818:1 gene:A05p010180.1_BraROA transcript:A05p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQNGSKRSSPSIIARLMGLDGLPPPHREPKPLENQQRMTKARGKQTYGDHESLRKRSMDEHRFKDVFEVMDADEGKSSTSLYQKRRSVNANLTEAEMAFIRHKFMEAKRLSTDEKLRYSKEFNETLEALDSNKDLLFKFLHHPDSLFTKHFSDLQSIVTKPQCSHRSPQWHGDGVDLSKKQWKKRSGLKPTEIVVLKPNLGKPQTCAQSSSCDEAREDLKLSKPFGSSLGNEDVFPLRDSREIARIVSRQLKASCGNESFTNFDMSRFRGYAGDESSSGSDSSRLRTDFSRKNHSRYLSSRSPESASKEAMRRMSERWKLAHNSEREIEIRRRNTLADMLATSDREARLASFNGRSKRFESNVGESELLDPLGISSRDGWKGTGRSRPTMHKERACSHTIVLPKKLTTRDGLVKESSFLNRPSSSKSLYMDGELSKEKLPALKTQRSLSVHADSDTESCSEDYEDAKSNPSLEQIDLSAVTSLTHHMFYSSVLQPQAQESAEEGDQPSPQASLHDEFSSNSECFESLSADLQGLRMKLQLLKRESDTYNEGEMLVSSEEEEEESSSLVTDETMIIHEWKSLYLADILANSRFSDLNPASFMASWHSSESPLDPSLFDDLEKKYSGLKTSTRLERKFVFDRVNSEILEFFEQFTDLKPTKVSPTWDISRIHETLSELITRKHRRDTKEKKIQLPSLEDGIEVIGKEIEELLTHELIAEVFDCSRKVT >A08p032420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19621990:19625301:-1 gene:A08p032420.1_BraROA transcript:A08p032420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYNPENDLLEQEFLLKGRWYQRKDLEVKNSRGDTLKCSHYMPVECSEDRRPLPCVVYCHGNSGCRADASEAAIVLLPSNITIFTLDFSGSGLSGGDYVTLGSNEKDDLKAVVEYLRTDVNVSLIGLWGRSMGAVTSLMYGAEDPSIAAMVLDSPFSDLVDLMMELVDTYKFPLPKFTIKFAIQYMRRAVQKKAKFDITDLNTIKVVAKSCFVPVLFGHAIDDDFIHPHHSERIYEAYVGDKNIIKFEGDHNSQRPQFYFDSINIFFHNVLQPPEVAGPTTLFDPLDDYFSKGSWNTMKEVNSPPSSAQKSIAAGSTSDANNEVRMKRPMSRTEVPSNVPSNQSSSDTKVYDQFSSSLSEKEGSSSSSCDMISFDLSNGDPYPPNLAVALDDDQYVEFQVEDLADFPSNAEEEERMLMEAVMKSLEAETHQNKESSRTNREKIEEKESNAAAASTTGPVSEKDSASGPTLMNHHDAQADDATLKISDSLRGTNDESIDVSARTKATVTVVGRSSTSGNGLLRRWDLNFFKSR >A03p056630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24519824:24520255:1 gene:A03p056630.1_BraROA transcript:A03p056630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLNRVRSSSIFTFTVSFVFIFAGKSFSQGIRPSDHGLQYQFSSPPTESHSPPGIVMSFFGDSHSPPPQLLPKASEADGDDDSWWRDGAANRRDHVMRHVFLAASIICGVSGVALLVVFTLVYFFRYRKKNPSILPCNDLK >A06p054350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28504394:28506292:-1 gene:A06p054350.1_BraROA transcript:A06p054350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTTKEAKAEESQTQSGIFTTLFSGEAAEAGESSGFSTLFSDNNPFRRKKPDPEPNVDNDKEEEATVSPVKAKKSKKVKTLASDSDAEGDVEGSGLESKRKKRKRDEIESEYETKKYGTVEETTKKVGEKRKKADEVADTMVSKEGFDDESKLLRTVFVGNLPLKVKKKLILKEFSKFGEVESVRIRSVPIVDSKRTRKGAIMLKQINEKASSVHAYVVFVTEQSAEASLALNMSLIDGNHIRVDRACPPRKKLKGEGQDSLLYDSKRTVFIGNLPFDVKDEEVYQLFTGKSNLENNLEAVRVIRDPHLNIGKGIAYVLFKTKEAANLVIKKGYLKLRERELRISRAKPDATPSKRKTNPSEAYSPAEKRQHKDKVVTPTATGKANLSYQGVRASKSGDDDKKKPYQKSPAQSKMRPRSSSSEGNKGADSKSAVKQRSQKRPAVAARKAKANAKGSKESGGKKFAGTKRKQESRTPESFSKKKKTKRV >A03g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6973775:6974430:-1 gene:A03g502050.1_BraROA transcript:A03g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A08g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2896606:2900677:1 gene:A08g501180.1_BraROA transcript:A08g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFPKRILQEGAEMQMDKINNTCRRTLLKVVKVALKDENWKGSGKVSYQDITSLESHFDKGELFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPSDVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDPGAESRKKQLLCQRAAEHNSGVSSEMKTFIEGLFTASFNSFKEVVQKDIHERFDNVANEVSQLKEQVSQLKGLSETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGKGKGKASANVDPPPVRRSPRPVREPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLNKRKKENHLFKWVDEALLDEIERMSEHQARVAEEIEDLRISMKKTVQEEIMNHKHSLDVGCVGTLFSLLCLWSKCD >A03p010820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4324982:4327737:-1 gene:A03p010820.1_BraROA transcript:A03p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMDRIESYVLNVSGDHLYDRSSSESFSSPSSSIGRNSDDDDDGEDGVGENEAESPYKCPLDLMESLEEALPVRKGISKYYNGKSKSFTNLAAGAASPLASSSSMKDVAKPENPYGRRRRNLLCHQIWENNKTTPRGRISKKQMMSSSRSALALAMAVAAGVMAGEGSSSGGDSSTGTSPTISGSLPRKMMLPPLHPRSQGSSGNLKSSGFCAWRSYSVADIPRCFPTTANGIGSTDSFPLDEKSQSSAAMEASANEPAMKILKSSPSGPIPNFFVSLSSAFTQTPLVRPNKPSLLLPPPASDSVKLIQDLHRSLVSVTEKFSGFFHSLASRNPLFQEAVRLSSEFRCLCDEIRLRNTTRVSFAMANHGFAAVLPGDSVAGLVVANGLINFLNIYSNVLVVRLVLTWFPTAPPAIVSPLSTLCDPYLNIFRGVIPPLGGLDLSPILAFLVLNAFTSSAMALPCELPPAEGAASPSSLETKWMRRRRLTSNKDHRPSSASIN >A09p079200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58370770:58374589:1 gene:A09p079200.1_BraROA transcript:A09p079200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLGWLIGLSQRSRQTKTLDAHPYVATRVVKPVLMVDTVQEIAVYIHRFHNLDLFQQGWYQIKISMRWEDGDNNKSCGIPSRVVQYEALDSSSNDSSGVWKIDDKDNSFLTQPFRIKYARQDVRLCMMVSFTMPLQRYEGPATSAVILRFELLYSPIVENISLAHSDDSPASVHDFRIPPKALSGVHSYCPVHFDTFHAVLIDVSVHVSVMKSASYKRPALLSSDASSGKSLASGNSQSSKKAFAQIAPADKLVSFVKALLGARDTLLEEMQKLSKAIDQTIDLSEFVSTMDKTLLSDSASTEKTVDAEGSGQGKQQNNLELKTSLDLESDDWLHNFSKEHLSRTFHLLGTQLHYLWNTFLTFHRDNNTKVLEYLRDTWTKDRRAEWSIWMVYSKVEMPHHFISGVDDTSNHSSHKRVTSALKLSDPTQVAATRAELHRRSIAQMRINNRAVQDMHIFGDPMRVPIVIIERVWNAPRRTFSENSYMRHVDKIDSSLLNGHDDESGTRKHNNSQHSGRELKIVVFGHHLDLRLIRNQWLLIDPKIECLMSEANEDKTHGDFREMGQRLAQEVVSFFKRKMDKHSRYGRLKKVKLSFVGHSIGNVIIRTALADSLMDPYRKYLYTYISLSGPHLGYLYSSNSLFNSGLWLLKKLKSTQVIHQLTLTDDPDLQNTFFYKLCKQKTLDGFKNIILLSSPQDGYVPYHSARIQSCQPASFDNSKRGVVFLEMLNNCMDQIRGPSPETPHHQRVFMRCDVNFDTTLYGRNLNSFIGRAAHIEFLESDIFARFIMWSFQDLFR >A02p003210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1327844:1330862:1 gene:A02p003210.1_BraROA transcript:A02p003210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCSKSLGINFGSEYSGSSVTDDIREHDFGYQQQQPPGQNCLMGPPGTRQCMVKDAKEQPIQLKDMFSFREREAEDIYDGIPRLPSMTDLSSGGFTSGVAIKGNELGILAFEVANTIVKSSNLIDSLSKQNIKHLKETVIYSEGVQNLVSNDFDELLRLVASDKRQELQVFSGEVVRFGNRSKDFQWHNLQRYFDKIIKELTPQRQLNEDADLVVRQLMGLVQYTSELYQELQVLDRLEKDYDQKRREEENSASSSKGDGLAILKTELKSQRKVVKSLKKKSLWSRGHEEVMEKLVDIVHFLLLEIHNIFGGADDQPAKKGATDHDRRLGPAGLALHYANIIMQIDTLVARASSITSNARDSLYQSLPPDIKLALRSKIKSFNVDKELSVTQIKDEMERTLHWLVPIAANTTKAHHGFGWVGEWANTGSDFTSKANGGEILRIETLYHASKEKTEIYILGQIIWLQHLVTKAKSEARGGPKLSSIKSRNQQLVSEPLSVPLVTEEEQKMLEEASMRRKRGTPCVSKSHDFDSEYSRVRKCDPLSRSSEYFRGVRRSKSAAVKRFSSGFPFLDFVIDKEKALDVIDRVDVPRDYRALLKEGSLSF >A03p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20374440:20375452:1 gene:A03p051830.1_BraROA transcript:A03p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYITTNVNLDGFKTDPFYSEVTKAVASIVGRPENLVMVVLKGSIEIVFGGNKEAAAYAEIVSMGGITKQVKRQLISTVGSILHTHFSIHPTRFIFKVFDINSLPLPSKL >A05p008030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3275905:3277233:1 gene:A05p008030.1_BraROA transcript:A05p008030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLSNLSLQISILLLIFPLTFCKISSPNQEPLVFSLKTKNVPQSSSDKLSFRHNVTLTVSLSVGSPPQNISMVLDTGSELSWLHCKKAPNLGSVFNPVSSSSYSPIPCSSPICRTRTRDLPIPASCDSKTHLCHVAVSYADATSLEGSLAHETFVIGSSARPGTIFGCMDSGLSSDSEEDAKTTGLMGMNRGSLSFVNQLGFSKFSYCISGSDSSGILLLGDASSSWPGPIQYTPLVSETTPLPYFDRVAYTVQLEGIRVGSKLLSLPKSMFVPDHTGAGQTMVDSGTQFTFLMGPVYSALKTEFMAQTKAVLKVVEDPNFVFQGTMDLCYRVGPLTRPNFSRLPVVSLMFRGAEMSVSGQKLLYRVNGAGLRGKDQVYCFTFGNSDLLGVEAFVIGHHHQQNVWMEFDLAKSRVGFGADVRCDQASQRLRSRN >A02g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22727051:22727470:-1 gene:A02g508340.1_BraROA transcript:A02g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLFHVLNTGNDNMVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGENFSGIYRKVQLKPLKWDGEGEE >A05p031260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18588342:18590921:-1 gene:A05p031260.1_BraROA transcript:A05p031260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSNGRRNGNDETSAAVNRRDPILQFLDKIRLSGDAMEDNEGEESPTELNAINSAGGFVIVSPDKLSVKYTNANLHGYDVGVAQANKPAPFKCLTYYIEIFVKDAGVKGKVAIGFTKDGFIMRRQPGWEVNSCGYHGDDGNIYRGKGTGEAFGPTYTTGDTVGGGINYASQEFFFTKNGALVGKIPKDIKGHLFPTVAVHSQNEEVSVNFGKQKFVFDVKGYETSARNKQQMAIEKIFIPPNIGYGLVKSYLLHYGYEETLNAFNLATKTTVPPILIAQENAIDEDDLHQRKTLRKLVRNGDIDAALANLQDWYPQIVQDDKSVVCFLLHCQRFIEFVRVGKLAEGVKYGRLELAKFVGLPEFQDIIEDCFALLVYPKPVESPVGYFLEDSQRELVADAVNAAILSNKKDGCHLHSHLEMLLRQLTVCCLERRSMNGDQGETFRLHHVLNNNSTRR >A03p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7530081:7531904:-1 gene:A03p018440.1_BraROA transcript:A03p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CNX2 [Source:Projected from Arabidopsis thaliana (AT2G31955) UniProtKB/TrEMBL;Acc:A0A178W0P6] MRRCLSKLTPRQMGFTNSNSFLVGSKNITTTSNAAQVDQTKPSSVSDMLVDSFGRFHTYLRISLTERCNLRCQYCMPSEGVELTPKPQLLSQSEIVRLAGLFVSSGVNKIRLTGGEPTVRKDIEEICMQLSSLKGLKNLAITTNGITLARKLPKLKECGLDSINISLDTLVPAKFEFLTRRKGHERVMQSIDSAIALGYNPVKVNCVVMRGLNDDEICDFVELTRDKPINVRFIEFMPFDGNVWNVKKLVSYAEMMDKVVKRFPSIKRVQDHPTETAKNFTIDGHCGSVSFITSMTEHFCSGCNRLRLLADGNFKVCLFGPSEVSLRDPIRSGADDETLREIIGAAVKRKKAAHAGMLDIAKTANRPMIHIGG >A07p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16925303:16935149:-1 gene:A07p030390.1_BraROA transcript:A07p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTLDDDAGTSEQETWWPSEFVERFGSVELGSQEETSTAKDSPRNLGQDGLPSNSASNILWTTGSLSEPIPNGFYSVIPDSRLKQLFNSIPTLEDLHALGDEGLKADVILVDFQKDIKLFRQKQLITKLVSGFNSKPAAVIKKIAGLVESVYKQSILCIARQKLHNLLKIIKNGSCRPRAIFFKVLADTVGLQSRLVVGLSSDGAAESVDSYNHISVTVLLNFVEMLVDLMRFPGQLIPLSTKAIYMSHISPAGESDSAENDSCDSPLEPNSPMFGYPELENVEKDESLLFRRKLEGYPNRSGPPSRNMLLRSASVIERKLSYSQSESNIANEFWRQNRRKVIADQRTAGSSPEHLSFRTRTRFMLSGDRNLAQDFTGDVATSRAKLETKRIRRRSISITPEIGDDIVRAVRAMNEALKQNRLSKEQCDDGSSPNSPNDRLEGPHLQKNVSGFHLDAHDQVSGGRLILSREPLDPQKAISLPSSPKNYRGQSYERNGPSHRNISHIWDKVLGSPMFQNKPLLPYEEWNIDFSELTVGIRVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSDLQLLVVILFLGACTKPPRLSLITEYMEIGSLYNLLHLSGQNKKLSWRRKLKMLRDICRGLMCIHRMGIVHRDIKSANCLLSSKLTVKICDFGLSRIMTGTTMRDAVSAGTPEWMAPELIRNEPFSEKCDIFSLGVIMWELCTLTRPWEGVPPKRVVYAVAYEGARLEVPEGPLGKLIADCWTSEPEQRPSCSDILSRLLDCEYALC >A08p016700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11810176:11811518:1 gene:A08p016700.1_BraROA transcript:A08p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLPYSSPLSYYSTSKGRHISLTSRNGLLLLVALLVILGVFLPWARSPLFLFPNRTSSSSLPTNWRNYSLAEAAKFVAKDGTVIVCTVSYPFLPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNNKWPGHAVLVPPALSSQTAHKFGSKGFFNFTSRRPQHLLQLLELGYNVMYNDVDMVWLQDPFQYLQGSHDAYFTDDRTRIKPLDHSHCLPPPDRNGVTYICSCMIFLRPNNGAKLLMKKWIDELHAGSKAYEGNDQPAFNWALNKTAHQLDLYLLPQAAFPTGGLYFKNKTWVEETKGKHVIVHNNYIIGYNNKMKRFHDFGLWLVDDHAFESPLGKLE >A03p039660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16516805:16518613:1 gene:A03p039660.1_BraROA transcript:A03p039660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLMATQILEIWGLAHNLSLIILLFHFLYSVTRGRLEPGSLGRARSTGLIPDVNYMQSERGQGMLAVASDSSGRSKDKLDQKVITFISADQQTVRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTGGNGALAFDAEHSRWLEEKNKQMNELRSALNAHAGDTELRIIVEGVMSHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLIANQLEPMTERQVMGLNSLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSDNVASYMGQMAMAMGQLGTLEGFIRQADNLRLQTLQQMIRVLTTRQSARAFLAIHDYSSRLRALSSLWLARPRE >A08p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16668161:16669394:1 gene:A08p026100.1_BraROA transcript:A08p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMKHMSLIVSLFGVLSFLLGVIAENKKPASGTPINGKGVVICKYPSDPTVALGYLSAAFLLACTIAGYKSLFMSYKGRSVPNSVLFKSTTFSVFFNIALITSGLALSLLLWPTITEQLHLTRNVHRNLETSCPTAKTGLLGGGAFVSLDSCLFWLVALMLADNAREDHFDETENRNVNGNSSSRDVNLKIDA >A02p057350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34488758:34491207:-1 gene:A02p057350.1_BraROA transcript:A02p057350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHCELRVWESKKSLDPCEDRVRGLKSFWVGLDVKIKRDFMKVSIAKLMKGRPGVIRYWLGCEPVDAVAAVGMIKTQLAYVKAFTSKSRKKGWSNEWPLAAVEERKLLKSVITQKVLLIDAKILLIDNSRIRLLDKLIRLSRTSTSMSSPVDKNVERTPSVNLEDTIASEKGRLEISSNADIQEEATKVNHGDSVSGNLESALGEAAARYNSALDMTALMNINVLKEDIKYNKQPFHGNLEEQVPCELQNLFSAVVSGEIKTEGVYCFILRDLLASLEEAKSLTKSRCDIKSGGCGTTNFVHHVISRCPSIFIIVLEWEKSETEKEIFETTKALEWEIDISRLYYKGLEQNTNYRLVSMVGYGEEEREHICMAYEKSRWVNLRRESLAGEVVGNNWKNVVRFCGEMKVRPEILFYEELPDP >A02p017390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7867658:7871988:1 gene:A02p017390.1_BraROA transcript:A02p017390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLQASLLLRPPPPPPPHRPLSSSSLRFNPQSLSSFHRLSSTLLTSRFHPLPCSLRQDNVASDSGEVTDSAESRLVPELATSDGYEGTISGGEDTEEESKKRSKFKIVVLMMGVWAAVKRAMEKVMEWEWLSWWPFSRQEKRLEKLIAEADANPKDAALQGALLAELNKHIPEAVVQRFEQREHAVDSRGVAEYIRALVVTNAIAEYLPDEQTGKPSTLPTLLQELKHRASGDMDESFVNPGISERQPLHVTMVNPKVSNKSRFAQELVSTILFTVAVGVVWLMGAAALQKYIGSLGGIGTSGVGSSSSYSTKEVNKEITPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLADILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQGKPMSDDVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLSAEQLEFAKDRIVMGTERKTMFVSEDSKKLTAYHESGHAIVALNTKGAHPIHKATIMPRGSALGMVTQLPSNDETSVSKRQLLARLDVCMGGRVAEELIFGQDHITTGASSDLSQATELAQYMVSSCGMSEAIGPVHIKERPSSEMQSRIDAEVVKLLREAYERVKSLLKRHEKQLHTLANALLEYETLTSEDIKRILLPKQEIDKLQEKQEEEGDLVLA >A04p019170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11476481:11479634:-1 gene:A04p019170.1_BraROA transcript:A04p019170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRITDGICPIFSSGSSRRAHNLHFSHLKSTRRLTSVATERRRYRAKVTATVNPYSNTEAARPEERKSLRDFLSEAENFYTSDSGDGGPPRWFSPLQCSSHALGSPLLLYIPGMDGTGLGLIRQHRRLGEIFDVWCLHFPAMDRTPARDIVKLIERTVRSEYYRVPNRPIYIVGESVGACLALDVAASNPDIDIVLILANPVTRVNNFMLQPLSSLLETLPDEVPSLIEDNFGFREGKVSSPCIIFQTLIRIFPKNTLLWKLQLLKSASASAKSHMYTVKAQTLILLSGRDQWLPNNGDIERLRFALPKCEVREFYNNGQFLFLEDGVDLATIIKISYYYRRGKILDYISDYTLPTPYELKEFEQSQRLLTAATAPVFLSTLDNGTVVRSLEGIPSEGPVLYVGNHMLFGLELRPAAIHFLKERNILLRGLAHPVMFTKKIGSKLPDMQMFDSARIIGAVPVSNMNFYKLLRSKAHVVLYPGGVREALHRKGEEYKLFWPEHSEFVRIASKFGARIIPFGAVGEDDLCQMVLDYNDQMKIPFLKNLIEEITHDTIKLRNDEEGELRNQDLHMPGFIPKIPGRYYVYFGKPIETKGREKELHDKEKAHEVYLEVKSEVERCMTYLKTKRETDPYRNILPRLLYYLSHGFSSQVPTFDLPNH >A09p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36188545:36190494:1 gene:A09p044610.1_BraROA transcript:A09p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKTREIFTNMEEEMKLIKKVLKTCGFIAVDTEFPGCLKETPIGATDETRYKNLKFNVERTKPIQLGFTLFERDGSIGGTWEVNFKDFDARTDACNEKSIEFLRRNGLNFKKIRQEGVGMEEFFKEFGQILKDVDNKLKWVSFDGSYDLAYLVQGLTGRKPLPETLEAFNKTIEETLGLTFDVKKIAVDCKGVSARYGLQRIADDLHIKRVGDAHHAGSDSELTARVFTDLIFSISKEQKRELEEEKQNEERVRRAMHIMHESEQKRKREEEEETEERMKRAMHIMHEAEEAFVMERRGYVTVQPRCGYLPVLHPPPRPVVFHPYPPQFAGYFVQPQRHIHPLLPAQLHSKSLLVPAQLLYSADCYISDNLLDSIKWDDKELAVTIAQTLTL >A06p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2574053:2576653:1 gene:A06p007440.1_BraROA transcript:A06p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSSSNKRRKITISEGDIASLLQRYDAKTILRLLQEMAFYSDVEKMDWNEMVRKTTTGITNAREYQMLWRHLSYRDPLLHVVEDDAHPLDDDSDMECELEASPEVSVEASVEAVAHVKVIAASYVPRNSDILDEATSEAPLTINIPYALPEGTQEPSESPWLSRGMNITFPVCLQKVTSTEGIINGNVSASSSSMPSQRKTRQKWSAEEDADLIAAVKQFGEGNWAHIARGEFRGRRTASQLSQRWPHLRRRYDPSTSASQSQIAVNHALSLALGNRPPSKKVAVGTQGSGGRSSQGQQQSKPGFVQTLSRAETSGPASKSQVGVNKTTARSTSRSDLMVTANSAAAAACMGVVVTAPSAPKVEVCVPRPSGSLVMPKVEPGKTVAASSITKAVGPASTRPLANGNLKPVTPSPSSIKPPPPLVGSRSEGFTMFSASTQLATASKIVSNQRVVSASVPATVLPLKPTAETVICKPDGGHKEQARGDGASSVVAIQSNKMTSTNSEISRGKQAATHTQTAVLGAANQSLVDKTAVPSNSGAGSESKSKCEVNNKVGGSVVTVSKACGKPAEVAATVRGTGQGV >A01p004470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1914650:1915727:1 gene:A01p004470.1_BraROA transcript:A01p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPIQEILEKQLLTVAKAMEDKLDEEIASLEKPDEDDLEVLRERRLQQMKKMAEKRKRWMSHGHGEYTEIPSEKDFFAAVKASERVVCHFYRENWPCKVMDKHLGILAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNTKVDDYVVGFNELGGKDDFSTEDLEERLARAQVIHYEGESSHKPKSTTQTKRSVRQSARSDSDSE >A04p005310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2761506:2761781:1 gene:A04p005310.1_BraROA transcript:A04p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRNRKNDEVSVFQMPLHYPRYSKEDYQVMPEWKLDRVLADYGLSTYGDLAHKREFAIGAFLWISTTQSKDLSRQAINSKLKKETTSAMA >A01g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22810999:22812423:1 gene:A01g508050.1_BraROA transcript:A01g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGTGSSPPPGDPGLPQSPVKSYSQVASQSSRDPEGKFSNVVRYSPVADLQGGVASVDLPEELLSDSTPLWSAYIVGHFMGDVPHIAHLATVEASAQQSSTKDKEQEVQDMGLTSRDSVSDKAEKEIEIPENEEPWLTIPQSSPSGRRNNGSSGRGTEVEVPSTSSPSRFHLLSTELEEGEVEAEDESSSSEEESSVESKVVLEKRKQMEKQKLGKNKKNQKSNPNVNVGNKKDQTKGAKNKQTNHVSSRRH >A04p003330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1604578:1605109:1 gene:A04p003330.1_BraROA transcript:A04p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHCHYQGYILLLVLLYSSMFDVASNIDISSDARGSKTDSNPKQYVNCGNYEVKRRQMTVSCSKSAEYIITKINFADYGNPTGCSEDHKVSRHGNCGAPDTLRIVKKNCLGKQKCELYVSDEMFGPSHCKKDIKLIVVFTCTKA >A09g505160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15594219:15595040:-1 gene:A09g505160.1_BraROA transcript:A09g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTRRSSRLMKLKNVESTPMNPLDFSSGSSSGKRSRRRVSAGDTAPLPPNIALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPTRRPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLIDFSPSLINSMYCVPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNRFCISSSARIGFPPGTTPQ >A06p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4950528:4951395:1 gene:A06p002850.1_BraROA transcript:A06p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCRIIYLACLVLSLAGKGGLAYLHSDLRVLHRYVKPTNILLDESFEAKLADFGMSRSFPTDPNTQASNKIYVKPGREPYVDSEYFSSNRLTEASDIYSFGIVLLEMITNQPVVDVKRESPHIAMWFNLEVAKGDALEVVDSRLNTDFEPNSVRKAMQIARACAELNECLTLEMARAR >A06g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6030634:6031513:1 gene:A06g501570.1_BraROA transcript:A06g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKASIRDNPRRPPWVSLSAGVWVESINSGLSLGSLVWFYLWFTANAMRKPNHFSLSYWQTTYATCGKHCVCFRDGYSWTTSFARVRKATSLQVITQFFLLLKIGIMASVSVTRVKFLLMLYCRVRAYTFGSHYFVEVDIVLPENMRLQEAHNIGETQEMLEQLVEVERAFVHIDFGFTHRPEHKYS >SC270g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000117.1:4282:10632:-1 gene:SC270g500010.1_BraROA transcript:SC270g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLVSDKEFKSLRVIQEAMGSEENDETFMRRNKMLQEAITKQVMDAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSQSSAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLDLVHVQGSLYLSVSQTLILI >A03p010890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4347883:4349055:1 gene:A03p010890.1_BraROA transcript:A03p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQPSLVHAGNSTTTTTIPFDLIIEILSLLPAKSLFRFQSVSKQWFSTIRSKFFVDLFQTRSKSRPRLLLSLYLRDAEEQFIFSAPEHTYDDDKSSSTSVMARYDMSISAPGYNVLYGAVNGFVCFKKFSCNTIAVYNPTTRQIVKLPDFTPNGRYMHARLGYDPVEDQYKVLCVKMFDHKRQQQEHFVCTVSSSQKQEWRKIENLTGDTYGYVCGETCIDGVLYYGAEHSRIVRFDVRSEKIEFIKIPKLESYISHTYMSIFINYKGKLAGVDYSLTKNLMTLRVLEDAEKQEWSSMKCVLPSKWEDLRETHLMCRGVIHTGELMVDSGLLDSSKPFYVCYYDFNKKIIRKVEILGMKDGDFRHIHGTKYWIWMLCFPGHIENIRFL >A03p046210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19473493:19475142:-1 gene:A03p046210.1_BraROA transcript:A03p046210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKHIVNSKLQSESVNGAKAGQKEAKEPSLTTEPSSSRELYLVRPADDLPSDDLSVLILDKQVSTASDLFLEEARRQTKKETAMTDSRLRTRLSPKLERLNSSPIGLGRHVDFVFTDVPLQNDDHVSYGKATLSLADDGRNTVMCIKFNPNSSTAAAVSA >A02p056330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34034437:34037955:1 gene:A02p056330.1_BraROA transcript:A02p056330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRLLDLMAAMVLLVSVASSLPPLVSGDPGRRVSMTLVGGAAALGAFCLDGSLPAYHLDIGFGAGSNNWLLQFEGGGWCNDIASCVDRSKTRRGSTRFMSKTAVFTGILSNNASLNPDFYNWNKVRLRYCDGASFAGDAQFRNGTSMLYFRGQRIWKAIILDLLPKGLAKARKALLTGCSAGGLSTFLHCDNFKNYLPRTANVKCMSDAGFFLDAIDVAANRTMRSFYTKLVSLQGIQKNLDPNCTRAFYPEPSLCFFPQYALRFIRTPMFILNSAYDVFQFHHGLVPPSADLTGRWKRCKLNVTACNPHQLDALQGFRTDMLGALMNFFRNSTRGGMFINSCFDHCQSALQETWLSPTSPRIHNKTIARTVGDWYFGRRNGAKEIGCPYPCDKTCHNLIPTSTTADSLVNLDI >A08g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7592754:7593019:-1 gene:A08g504590.1_BraROA transcript:A08g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLCFRSGFGWDSTTKWFTALKEVWTEYLKAHPNFKKFSDETFEEYDDLKVILQEYSDGCYWIR >A04p022600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13628471:13631567:1 gene:A04p022600.1_BraROA transcript:A04p022600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT2G22660) UniProtKB/Swiss-Prot;Acc:Q9ZQ47] MDHEVEWVEAQKIETSVDLIAAAKQQLLFLAAVDRNRWLYDGPALERAIYRYNACWLPLLAKHSLSEGPLVPPFDCEWIWHCHRLNPVRYKTDCEQFYGRLLDVSGVVSSVNGNCKLETEDLWKRLYPEEPYHLDLDKVVSDKSAALENCTKYDLVSAVKRQSPFYYQVSRSFVSNDVFLQEAVARYKGFLYLIKKNRERSLKRFCVPTYDVDLIWHTHQLHPVAYCNDLEKLIGKVLEHDDTDSDRGKGKKLDTGFSNTTAQWEETFVRRYWKAGAMYRGSTPAPVTTSPYAFDVLAKEPTPEDDLIRVPEVEVVEVLLEIIEVRNIPDGHKGKLSVVFSKTQRDSLFDAERRLTILSEAGEKQVAFFQCEPTGELRFQLVSTSPSKIPVSREPKSLGFASLSLEEFFSPAITQLSVEKWLELIPSKGSRTDPKPISLRVAVSFTPPTRCPSVLHMVQSRPSWKGYCFFPIIRKSRHSKSSTYVVDEAQTEVISLQMSDGATFKGDHTAQRQVIGEMDSGETRVLAEYTGIFWSLLDSKWSLKQTNVDNQFELLGTRVVKIFSGRKLDYEPKHCAKRRSDVDFMTLVEFSKQHPYGKAVALLDLKFGSIEASAEENWLVLPGILSAFILNTLLKKGGSNGFDATTKVAQQESLKSNGLKEESKDTELVATVENKVKANSTNGQTAAAVIAPEKGSGCGGGGCSGECGNMVKAAMASGCGSGCSGECGDMMKATNASGCGSGCSGECGEMMKAANASGCGSGCSGECGEMMKATKASGCGGGCSGECGDMVKAAKASGCGGGCSGQCGDMVKASKASGCGGGCSGECGDMVKASKASGCGGGCSGECGDMVKAA >A02p011590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5037702:5041389:1 gene:A02p011590.1_BraROA transcript:A02p011590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKIALGVNLCLYVPKTLEEESRRSNDAVSLSPVTVPRPTTPVPSSSGLRLPRSFSKSSSKKTCAICLTAMKAGQGHAIFTAECSHSFHFHCITTNVKHGNQVCPVCRAKWNEVPLQSPNAKSKSPVKPIIRPRDDAWMTLPPRRSAQNQPSPRPRPVSSIFNTEPAVFNDDEALEHQKIQNRTAETETGVTGKLEVKTYTEVSEVLSSVSFKDFAVLINLKAPSAAKSSSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPFDRLSVISFSSTSRRSFPLRLMNETGKQEALQAVNSLVSNGGTNIAEGLKKGAKVLIDRRFKNSVSSIVLLSDGQDTYTMSGGSPKGGADYKTLLPKEVNRIPVHAFGFGADHDASLMHSIAENSGGTFSFIESETVIQDAFAQCIGGLLSVVVQELRVEIECVRPVLRIGSVKAGSYRFDSSTGTIGVGDLYAEEERNFLVNLDVPVVNGVVSDPMSLLKVRCVYRDPVTKETVDLSDASEVKILRPVVMLEGSAVVSVEVDRQRIRLRAAEAISEARVLAERGDLTEAVLVLETCRGVLSESVSGKAGDPLCVSLCAELKETQERMASRQVYETSGRAYVLAGLSSHSWQRATARGDMSDTTTMSYQTRSMVDMVNLSQTMNFGSPLASSKANSSSSPNPPARRKLRQALTFPARPRAR >A09p061110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50463086:50465107:-1 gene:A09p061110.1_BraROA transcript:A09p061110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAPPLISLLLLSAAVFLTLPAAISSIGVNYGTLGNLPPPTQVANFLKTQTSIDSVKIFNVNPDIIRAFAGTGISLVVTVPNGDIPALANGVQARRWVAANIQPFHPQTKIKYISVGNEILLSGDDNMIKNLLPAMKNLNAALFHAGVKDIKVTTAHSLNIIAYELNGAPSSGRFRPGWDKGVLAPILAFHRETKSPFVVNPYPYFGFDPKNVNFAIFRSPYKEVRDPLTGKVYTNMYDTLMDSTYSAMKALGYGDVDIVVGETGWPSACDAPWCSPENAAWFNLNIIKRAQGQGTPLMPNRRFETYIFGLFNEEGKPGPTAERNWGLFWPDFSPVYDVGLLRNKQAGGGGGRPAPALPAPSGGGKWCVAKSEATDAQLQGNIDWVCNQGGVDCKPIQTGGSCSNPNSLRTQASFVMNAYFQKNGRTDQSCYFSGSGVIVGEQPKQWCV >A01p049470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27781517:27784502:1 gene:A01p049470.1_BraROA transcript:A01p049470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGVERIHRVQPERDLVANWEVDLSEKLEEYLLKICSGEITGTEEDSPVNFAEAALLLQGSVQVYSKKVEYLYNLVLRTLEFLSNQREQEESKGTSHEAEASSSRQADEEENDLFWNVDDIPVDAKNSLDNSAGVDSCSSQFVKPPANLVVLEGDCLDTSGDGGELESYLLATTHLYRDFILLDPCDAVAVNEFLGGSYASASKGKTSSHRGSSGRKSFHSPLGRSGGSARRSSLGKSQSTKGPDAQNCDQGSHPLPPVFGDDDHGFDMDNDDHGGTMDLCDTDADDEDDPWKPLNPYEQGKLKVKPFKKVKSLRKFGGSLTKDHVTSMFPLAKPNGPISTELTGVWEKRRPASKDHRQPQDIPSYEKLRALLVNGGNLPTDANGNHKDSHDEANDGDFHDFGDDHEPAFMDEDGPVMNDDGGPPDFPNYDGFGNEEDSHCQESLEDLCRSHLDALLANIAKNEKQTDLAARVSSWKQKIEKNLEEQELHPPFDIREYGERILNKLTVEDSEHVEAFTDLMKDQEKHDVARAFSALLQLVNNGEVDLEKPGNSIGEPVCYTADNPFSVRLLNRKTEKRGMELPRKRAKSPIGKGKTHESPPPKKANTTSSVSSQRRKVPLKINSVGARCAPKGKKRGKGRSDEVVVVGEGSEVSSVKSTVGS >A09g513180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40132481:40132829:1 gene:A09g513180.1_BraROA transcript:A09g513180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLNLQRNPVSNVELSLVIFNHHGSFVVAWYKGVAGQEMLIFSCIGQTSIQFAGGGFSEAATAEGLPEALMVGNFILNHL >A03p073280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:6889:8473:-1 gene:A03p073280.1_BraROA transcript:A03p073280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A04p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22682446:22684900:-1 gene:A04p040210.1_BraROA transcript:A04p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIKISFDSDRLFFDCTHSFGISSFFSLSLYNYCSVENSEEKVLMEKEICRRHLSREGIGCVWMFMNNMFDFRHGGSIQKLLMDKKRGSKRIIGVETKVEKHLTCDCDFEESEAGVQSVKNLTEEETIECRGKTKERNGKKRSRTCRKASEDVDDHGEKKSDDQCPRISQSDDDSEEKFSELIKRLIAQTQKDTEVDASQVFESKEGSFREIGTSPVSGDSKRIKERSSQCTQTIVILKPEPNGLDLGSSPGTQATCNKGKKGSSFILSRIIRRRRLKSSAKKNPCNDPDALSSDIMSQNSCLGEEIETSSRRHVSPEESTINGSEDSKKSTCGIYIAARKHLSEMLAAEGDADVDSPDKEAPRILGKILSLPEFSTPDNSPRVTLAHDFVGHEITEEPNIQQCSSEDSTKHGETASTSSGAGVLDTEDEEKTVLDSLSEAISSSIIHQDAYVDEEKLETREQTQPLEKVMSECQDNATDVPGKSSPVSVLEPFFTDDETSPNSSRLSSVERRLQPRCIRFNEPDSPRSEKDSEVKTRMDDKDLALAYIQEVVKSSELNWEELLVRSFYLEHVLEEALVDDIEFYPTNFCSDKKLLFDCINEVLMEFCGHGPWISFAKPEVRFGPDMENVVEVVQEEVYWHLLPLPSPHTLDQIVRKDLARTGNWMDLSFESGCIGSQTGEIILDELLEEIISSSCTDLFQ >A05p046800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27734387:27736019:-1 gene:A05p046800.1_BraROA transcript:A05p046800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNTASSSSAPAGSSKSKRPKYSKFTQQELPACKPILTPGWVILTFLVISVIFIPLGVVSLFASQDVVEIVDRYDNDCVPTPARANKVAYIQGGSDKSCNRTLVVPKKMKQPIYVYYQLENFYQNHRRYVKSRSDSQLRSVKDENQIDACKPEDDVNGKPIVPCGLIAWSLFNDTYALSKNNQPLTVNKRGIAWKSDREHKFGKNVFPKNFQEGNITGGGRLDANKSLSEQEDLIVWMRTAALPTFRKLYGKIESDLEKGDTIHVTLLNNYNTYSFDGKKKLVLSTTSWLGGKNDFLGIAYLTVGGICFFLALVFTIMYLVKPRRLGDPTYLSWNRVPGGGR >A03p041220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17230449:17232307:1 gene:A03p041220.1_BraROA transcript:A03p041220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FACKKNGRPDLDYPTFRWQPQDCKLARFNGVEFLEKNKGKKIMFVGDSLSLNQWQSLTCMLHSSVPNSPYNLTTQGTISTFTFQEYGVELKLDRNVYLVDIVREKIGRVLKLDSINDGHNWSEMDTLIFNTWHWWSRRGPSQPWDYIQLGTNVTKDMDRVAAFEIALGTWGKWVDTVVDTQKTKVFFQGISPSHYKGALWGEPTAKSCAGQTEPLLGTTYPGGLPTEVGVLKRALGKISKPVTLLDITMLSLLRKDGHPSSYGLGGHTANDCSHWCLSGVPDTWNEILYNYMVY >A01p047900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27000517:27002935:-1 gene:A01p047900.1_BraROA transcript:A01p047900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLPPMSHLASNLTSTTVVSTTIDGSPRAVAIDTGGNMEPLAAVPDAKLRLMCSFGGHIMPRPHDKALTYSSGETRLVVIDRRASLASLRSRLSSMLLNGRSFTLKYQLPSEDLDSLVTITTDEDLENMIEEYDRATSSATAAATQRIRLFLFANKLETAATMGSLLDSAKSETWFVNALNQSGLLPRGLSDSAAVNNNLVNLDEVGEGEAEVQKVGADEGCVNNKQGGYVTNGVISHQEMHMSSMPDSPMMEAAGGSSIGSSSSSPSTANLPPIRVRVSEDQRMEQQFAQMSFSNVDGQRNLEDGAGLVANRPMMNDSAMVYNNNTPIDGATVAAAAMSNGQVSPHDDRSDPGMMAGYRKPPLPMQPVAIPQRGAGGYGLTSPDSVASDTSISSATSFSKPVYYQDQAPTMLRAPVTQPEITPVQTSHGIPQHEATSAQTTSHVLSQPSTYTTLDQQHQPPVQQPFLHQGVQYIPHPSQYIPVYPHQQQNYPVYVMSVPQSQQYVPAGTPPLYPNSAPATNPRPEVAQNVYRSPISQNPQVQQQQQQTQHHYMGYAGGSQHNTNANPNYSTGAYEYTHPPNETMYYHAQRLPTSNAIPLASPYQSMTPAAAAAALADMSKQMSLASDKEQQQHMASSQPL >A01p052590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29501644:29502389:1 gene:A01p052590.1_BraROA transcript:A01p052590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHGFPPMDFSMDVSLEMQVNNNCDLCDQKVTEIMHSIIAVYSVTYGNIMKLEARANPNLFMAVVYKYRDHGKIIRLHFEGAPIAPQPGEGRGGGAYYNAPQVNYPYHSPYAPPQQQLLAGNFGYPMLKPPPPQQKEAPEGVYKQHTVPPPFAMQPPPPMPLSSYSYIEPPYWPVATASGGKCVIM >A08p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13940596:13942104:1 gene:A08p020700.1_BraROA transcript:A08p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYVRLLLLVLAPLLFLPALYAVDFGYCNKNGYDYGNFSRVEISPNPVGPEDNYLNITVSGYASKQLNNVTIEVYAKSKKTTDLLGGYSICKVGNACVIRSGLCFSPECPIEAGTKFVLPIPKVQVDDLEDDFKYVVSLLEDDLVNSSDYDEKFIERMCVDFVVPMSDSTLDSA >A09p016070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8381604:8382059:1 gene:A09p016070.1_BraROA transcript:A09p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYETRAKEYYDVAQGQSRQSYGQNHQGYGQSQSRPVYGHSPTLNYRSHGGFLDGLFKGKNGQKGQNGLGSFIGKHKNQDTNKDHEHGKLLGQHEKKTQETNKGVNGLGMFINCGEKKLKKQNEHKKKKKNKDGHASGNESGSSSGSDSD >A01p018130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8830455:8831441:1 gene:A01p018130.1_BraROA transcript:A01p018130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKSGEKLKHRQRKGFWSPEEDEKLSRFILSHGHSCWTTVPIKAGLQRNGKSCRLRWINYLRPGLKRDMISTEEEEIILSFHSSLGNKWSMIAKYLPGRTDNEIKNYWHSHLKKRWLKSPSISSSSESRVSCVERNPQTVVSNHVISFQGPPENTSSSPSHEINGNNKYPCSSGPEIPRLFFSDWFSSSDLTDSSHNQAPSIERYVLDYQESCDVDQFHYNERMINNSNWTLDDVVFGSKCQKQEHKVDREASDCNSYGFFFSSSTMT >A01g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13725100:13732225:1 gene:A01g504570.1_BraROA transcript:A01g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQADKRDSDVEMGEATSPAPVPTSPAEASACVAGHLSFREKLVRRQAEKELVQDGSEFPSSSERVVAMGHGTKVVAPLPQALPAGSSTTPILVEDKERAADSMQPPPARKEIVLALRAPSAVPVAQPKSRNRKLAKSGDGESSQQGGSSLASGLRGKFSSLIDGIISECGSETKRLSGELVELQGRRSETEAMLTAVKDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSENSGKHEPSSLRSSGDSIEGSTRMHGLVLYRRFGRSRSFHSDRAFVPSWAELGRCVATERD >A01p045220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25570696:25572596:1 gene:A01p045220.1_BraROA transcript:A01p045220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYYDSLQEKAQGDAHDIEFEGSRVSVKDSLVGALEGNKGPWMDDNNDLNPCWPTLLSDEAVSSKGYVTFSLTNGPEYHISQITDAVMVAKHLGATLVLPDIRGSKPGDERNFEDIYDADKLIKSLENVIKVVKQLPEEVSLRDIAIVKVPTRVTEDYIKEHIEPIFKSKGNIRVATYFPSVNLRKSSQDGETDPVACLAMFGSLELQPELNAVVESMIERLRTHSRKSDGRFIAVDLRIEALEKKNCHSTGVGGSKTCYNAQEIAVFLRKLGFAGDTTIYLTQPRWDSSLNILKDIFPKTFTKEAVMPASKRSKYLESESSEYENVIDFYISSRSDVFVPAISGLFYANTVGKRIALGKPQVLVPAEISETSSLATDFISPYISKKNHLAYSCFC >A02p044200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27793067:27793594:-1 gene:A02p044200.1_BraROA transcript:A02p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVSVALFFLFLNCFATAQTLIQDSCKTAAAKDPTLNYDFCVQSLEQDPQSKTATTLKGLVLASTTNAESKTTNVKGIVETILKNKTYPPGSESALSTCVELYDDANNSLNEALMNVKSGDYKSANIDLSAALDEPGTCEDGFKETHEKSPVTNENNDLFQKILIPLAFTNML >A09g510710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32969196:32975478:-1 gene:A09g510710.1_BraROA transcript:A09g510710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVTKDDIRKLLERASLFEERHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQENLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLRQQLEKKATTSTSIDAPHAPSINVSLPTAQIPAEPQCSAEHKDEWEVSYINTRINDVYYPLNKNVDWLSTKIELLQQDLASFARTNNQPHRSTCVPSHRSTLRSQPWMIGCRLTRTCMTASYHQQTHLRSTGYEGHGSMARIMWSYFLTQQQRLIRSHPRSTLIGGLDSHAEWLQKEVKAIQRQLAAQHHISESIDRKRAKSLDGKSRRSTDEHLIASIDAEATPAGEQLIHKRIESMHEELTELSAYAYDNIGWHHVSIDNVQDRLQNISNALKKMDDKWTRNDEATRIFIASWSRMCRDEVDACFPTSSSDETSTSLDIDKHTTIDATFSHRSISNPVRCIFLTCYISYIMNYSIITPAELHRDNSTIDAKADQPINYTLALTTPIKELKRDLEAAIFKARFHKEFLDIGQKEVNRASWLPPLSFDSWKPVQSWSLILQWKQTLTQERKLEREKLGTNFYLQFQILRSTRGRTIDRCTSSAVDRHHEMPRQMKINIDRCTQVPSIDVETLDMRHFGSSGLESQVQAKLRKCPAEERFLLQFYFERLRERERERERERERERESFGEKITYLQVSCPLSSLPEDLHVSRPEGHPVSRTGCFLPEDLQVSRPVFKDLQVSRLVFSLPKDLQVSHPEDLRAKLMRVRKLLKYIHRHIIPHSLPVGLSKVFLVNSIPRA >A06p047940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25415466:25416148:1 gene:A06p047940.1_BraROA transcript:A06p047940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFTTIILSFLIIIQALGEDRILVYAHEGGYAGQKSPDTSILHPKESYDFANISAPRKLMYGRTMRSALARAKKEQVRAINNDEWISKMSGGSKHLMMERKVGFHKRSKSSSFKWKPNKKKASGRFVAFYDDYRGPASHPPRHNL >A07p042620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23081815:23083985:1 gene:A07p042620.1_BraROA transcript:A07p042620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQRQLRSRFCNRMSTKRCSANGIMNEFIVVDDDEVIILDFPESSKKGKAPETSRARGEPVLQRVISIDDDDEDDDDTENVHKDGSSSSRMHTSVEVDGDDDDCQFVQEKCASFRFTKCNQATMPSSGTRFGLDSDSESDLSESDCSDCEILEGSQGEVREQWEKAFLEKMKKAGKAGLSEEAGPSNLHCDTSFRPGFESRTEQHEQTPSFFTARNARRGKRTSSAFFGTEKSGKAGLSEEAGPSNFRPGCESGTYQHDQTASFFSAKNFDGGKGTSSTFFGTEESGKAGLSGLHCESRTEQHDQATSFFTARNPDGRKETSSKTSLFAEPSSLSPGIQVEHERSKSPTTYSTSSKEQRKQNSVKEAEEQPDTEPVQCETSQWPGESQTKKAAKENQKKKAAEHSYTKEVVQEEDASKSSPLHTSDGDSDTPPVLGVSNGARCERGIGESREPITDPIPSTSEQVEGLQGTAPEVDVMLNREMLKETDEYKKAQKEEWESRQRQLHLQAEEAQRQRKRRKLANTRQLEMERRQKERVEEVRETQKKEEESMNMKEKVRAEITKTLKVLELGCFNMAALLRGLGIPVKGGISPPPQEVHAAYKRAVLKFHPDRASGGDIKQQVEAEETFKLIARMKDKFLS >A08p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23047258:23053896:-1 gene:A08p040460.1_BraROA transcript:A08p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLFKKMLSSMQILPLEAPPTDGNLGPLPPSQLTDQEIEERELQSEQKGSNQAPESVATHTRTIGIIHPPPDIRSIVEKTAQFVSKNRLEFEKRIMASNAKNAKFNFLTSSDPYHAFYQHKLAEYRAQDEDGAQGSDADGADLQVDGGGDEGEAGEAQPDLQAQFRVPPKPLEPPEPEKYTVRLPEGITGEELEYIKLTAQFVARNGKSFLTGLQSRENNNPQFYFMKPTHSMFPLFTALVDAYFDVLKPPEDLKEKLRKSAADLTTVLERCLHRLEWDRSQEQQRKKEEDEKEQERVQMAMIDWHDFVVVESIDFADDEDEELPPPMTLEEVIRRSKVSAAMEEDDVVEPGKEVEMEMDEEEMKLVADGMRAANLEENGGYVKIDNMNEEAPMRIVKNWKRPEDRIPTERDPTKVVISPITGELIPINEMSEHMRISLIDPKFKEQKDRMFAKIRETTLAQDDEIAKNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPSQVIWDGHTGSIGRTANQALAQNANGEEQGNGVYGDPNSFPGPAALPPPRLGVPVVRPLPPPPNLALNLPRPPPSVQYPGPPRPLGVPMMQGMHPQHQLSMPGQPGHPSMMMMNRPPQMQAGMHVPPPPGSQFAHLQVPRPYGSLKEKIAGETQIPANKQKLSGKAGFLKDNMSLAHYNVGAGEILTLSLREREGVKEKKEALGEVLNGDRLVSAPYKLNFREEKDSEVYCKKKLSKEEVRKFRQAVEKDYYFQMYYDDLPIWGFIGKVDKEIKSDPSEYKYFLYKHIEFEILYNNDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMDKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFTKYAQDEEAADDLEETGWKYIHGDVFRFPTRNSLFAASLGSGTQLFTLTIFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTSASFYCQLEGKSWFQAPCRTTKYPREIPPLPWYRSAIPQMAMAEPETVFICRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >A04p028940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17334510:17336195:1 gene:A04p028940.1_BraROA transcript:A04p028940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFTKLSGQFIGKFTRVTALPAHHTDMVQRVSILKNELLATGNSKERFESVLDQKGQWLFRSYRDGAGIVELMDQLFTLPYLALQVLEWRRRQFDCCIPLTTEEYAKGIKIAGRARDISFAVFLFNEAAKKRSQTTSVYNALMSAYMYNGLAEECQSVFRDFRRQTHCAPTVVTYNILISVYGRLLMVKNMEAAFEEMQKLKISPNASTYNCLIAGYVTAWNWEKMESTVREMKKGPVEPDTETCLLMLRGYANSGDLNKMEEMYESVKDQVGVNRGALVRAMICAYCKKAVEGRVEKIENLLSLLSGEEYYPWLNVLLIRLYAQEDILESMERRINEAFEHNTCVNKSSIMRAITAAYFRSNEVDSLVSFVKRAESAGWKLCRSLYHCKIVMYGSQRRFEEMEGVVNEMGETNYGLVTKTFVIMVKAYKSHGMELNAERVIGKMLKHGCLHIPRTHLTKSASDATQVA >A10p036930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20947537:20952708:1 gene:A10p036930.1_BraROA transcript:A10p036930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVKLLLNLRLQKVSQVSSLYIASSVKTNIFQTVETLLLSFSFRKANMSTEKPPLASGLARTRSEQLYETLAAAIKSPFGSMDANGVPATAPASIGGGRETLSRKSSRRLMMSASPGRSGGAGTHIRKSRSAQLKLELEEVSSGAALSRASSASLGLSFSFTGFAMPPEEISDSKPLSDDEMIPEDNEAGNKKPRFQAEPTLPIFLKFKEVTYKVSIRKLMTSAPVDKEILSGINGSVSPGEVLALMGPSGSGKTTLLSLLGGRISQSASGGSVTYNDKPYSKYLKSKIGFVTQDDVLFPHLTVKETLTYAARLRLPKTLTREQKEQRAIEVIQELGLERCQDTMIGGAFVRGVSGGERKRVSIGNEIIINPSLLLLDEPTSGLDSTTALRTIQMLHDIAEAGKTVITTIHQPSSRLFHRFDKLILLGRGNLLYFGKSSEALVYFSSIGCSPLITMNPAEFLLDLANGNINDISVPSDLEDRVQVGNSGREPQTGKPSPAAVHEYLVEAYETRVAEQEKKKLSDPVPLDEEAKAKVLRLKRQWGASWWEQYCILFSRGLKERRHEYFSWLRVTQVLSTAVILGLLWWQSDIRTPRGLQDQAGLLFFIAVFWGFFPVFTAIFAFPQERAMLNKERAADMYRLSAYFLARTTSDLPLDFILPSLFLLVVYFMTGLRLSPYPFFLSMLTVFLCIIASQGLGLAIGAILMDLKKATTLASVTVMTFMLAGGFFVKKVPVFISWIRYLSFNYHTYKLLLKVQYKDFAQSINGMRIDNGLTEVVALVAMIFGYRLLAYLSLRQMKITT >A09p004150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2405577:2406557:-1 gene:A09p004150.1_BraROA transcript:A09p004150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCLDCKKATETVIDLRTGDTICTECSLVISDHYIDDCQEWRTFANDDNSDQDPNRVGAPTNPLLKSGGIGTIIKENTFSSVSKNDLLGLSRAHNLVRNKEEDLFKKACDAIKRMTEDLDLISGVEFRACEIVSKFDVDSRKKLRRGKQLTALCAASVSTACRELKLSRTLKEISTVASGVSLKDINKASMAIKRLLRSDQEEAVSDAAPQVIMKTGELVRRFCSKLDISERERKAIREAVEIAENFDIRRNPKSVLAAIIFMICQLSQTKRRPIAEIALTSEVVENTIKKSANDMYPYASKIIPKWYASEEDIIKSLGGGLIGT >A01p022710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11176913:11178726:-1 gene:A01p022710.1_BraROA transcript:A01p022710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGIAKADKTEFTECWRTTWKTPYIMRLALSAGIGGLLFGYDTGVISGALLFIKEDFDEVDRKTWLQSTIVSMAVAGAIVGAAVGGWINDRFGRRMSILIADVLFLIGAIVMAFAPAPWVIIVGRIFVGFGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFFSYLINLAFVHTPGTWRWMLGVAGVPAIIQFVLMWSLPESPRWLYRKDRVAESRAILERIYPEEEVEAEMEALKESVEAEKADEAIIGDSFGAKLKGAFANPVVRRGLAAGITVQVAQQFVGINTVMYYSPSIVQFAGYASNSTAMALSLVTSGLNAIGSIVSMMFVDRYGRRKLMIISMFGIITCLIILAIVFAQAAIHAPKIDAVESTTFAPNATCPAFAPLAVPNAPPSRWNCMKCLRSECGFCASGVQPYAPGACVVLSDDMKATCHSRGRTYFKDGCPSKFGFLAIVFLGLYIVVYAPGMGTVPWIVNSEIYPLRYRGLGGGIAAVSNWVSNLIVSESFLSLTHALGSSGTFLLFAGFSTVGLFFIWLLVPETKGLQFEEVEKLLEVGYKPSLLRRKNKAKDVDTA >A06p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5142704:5143665:-1 gene:A06p002480.1_BraROA transcript:A06p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CURT1C [Source:Projected from Arabidopsis thaliana (AT1G52220) UniProtKB/TrEMBL;Acc:A0A178WBD4] MASVSATLPPPLLLTQRKPNLTSVQKLPFSPIRDRRSHSMSLMVKASGKSSESSDLDVISSIQNVWDKSEDRLGLIGLSFAAIVALWASLNIITAIDKLPVISSGFELVGILFSTWFTYRYLLFKPDREELSQIVRKSVADILGQ >A02p023720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11854204:11854982:-1 gene:A02p023720.1_BraROA transcript:A02p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSQCGNNGHNSRTCPTETPPAAAGGEKGIMLFGVRVTEASPSSFRKSVSMNNLSQYDHKAHDSDPVDDGGYASDDVVHASVRNRERKRGTPWTEEEHRLFLTGLHTVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNQNRRRRRSSLFDITPDIPLASVDASSSKLVCPIPPSRKMADLNLDKAAPEMFPLSMNLPSSSNEQKARGSRASVFETMSNSGDSIMGVA >A06p056440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29380249:29384682:-1 gene:A06p056440.1_BraROA transcript:A06p056440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYSFSRVLTRRRDTLPPPTALPLQPFSFLFHRPRFSTKQSKPSLYQLPLNPNPLHISDLLTMAPNAHIKKAITAMKALGIEEAQIKPVLKNLLTLYDKKWDLIAEDNYRALADAIFEAQDTQAVEEKKGKEKKANDEAEGSAAEVDRGKRKAHETVEDDEDALDEPERPLKRLRRRGGEVVSASASNSPSLGSPCLNETHDQETAPVSLPFHPIPTENDPDAGALVIPKGEPSTDIPFTSTHQDSLENGNSSAPMPETEETNGRVEEPHGSTAATDDVPTTTIERASEHTVATTPEGISALELASSATGEVKINLSFAPATGGSSLHAPSMDELRRAMEDVCLRQYKILDPNFSVSRFLNDIASSYLELATKAEQPANQSPENFPSLTTNVDVLKKSAAAMAFAAEGRNDLAAKVMSSANQSPESLQTTKVVDVLSKSAAATTANTSTDRNGHVRDDENSGVGESMGLVVVPECHISADELRMISSVSNITFGKETVEIPWVNEVNTKVPSPFRYMTQSLVYLDAELKFSLGNVKDQCCSSCCGDCLSPAMACSCATALGGFAYSKDGLLQKDFLEECISEARNPQKQVVQYCKECPLEIAKNEETLEPCKGHLKRKAIRECWINCGCTKKCGNQVVQHGLHTKLQVFFTSNGRGWGLRTVEKLPKGAFVCELAGEILTISELVQRSSSEKLTSPVILDAHWGSEEVSRVNRALCLDGTHYGNISRFINHRCVDANLIEIPVHVENMDLHYYHLGLFTTRDIEAMEELTWDYGVEFNDQVYPTRPFHCRCGSEVCRNVKRSSKSKKSKKRA >A09p053230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46317951:46319762:1 gene:A09p053230.1_BraROA transcript:A09p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRLVVTALQLAELSVSSVVHMMYGLYIFSSAVAGDLTQTLSESIFKSETTGEVKRSTTQVNDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSVHDRARELFYYLKGGRVDYGEDHSKACGHSQFGRFYEKGEYQEWDEDHPIHFVGHSAGAQVVRVLQQMLADKMFDGYENTNENWVLSLTSLSGALNGTTRTYLDGISPEDGKSLKLISLLQICKLGVIMYDWLDIPWLKSYYNFGFDHFNMSWKKTGLRGLVDCLLGNAGPFASSGDWILPDLSIQGSMKLNANLKTFPNTFYFSYATKRTRKPLGMMTVPSGVMGIHPLLFIRVLQMSQWRFPRDIPLPYKGYRDEDWQDNDGALNTISMTHPRIPVEHSNLIVHSDSDCLPLQPGIWYYKIVEADHILFIVNRERAGVEFDLIYDSIFERCRKHVFRKSPQTLPNEAQQQLGGDQED >A01g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16250970:16255156:1 gene:A01g505450.1_BraROA transcript:A01g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSQISLLSYMNVTLMDYFPILELPEEIQALVVERVAGNSFTDLYGLRASCKSMKALAERSRVNHFYDVLSVPRKLNMPSELFKTCYAERNPSTLYMKGIQFFFTFNLQEEVLAFMKLAADAGYERIVYTYAMTNNIFWRDEEYFARFTRESVDRIGKLVQSLKWAWGLSHSDEFLAKRDEFISTIVPSFYSCQCVPVMERDWVMCSNYKGRGEIIILKGSYKLDQVSRDLRSGPTLAKSGTTTVARPQNQNGLRRTGVPRVPM >A06p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21361486:21363661:-1 gene:A06p039620.1_BraROA transcript:A06p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLESESSFFPQTSSRLPGDTVFFSIFPDASLSSAALQSLHLQIIDFLSPFTSPYIWQHEPFSLSISLPSSASCPCTDTPLPHLHGKLKYGDNLEDEWFAVFLLFRISAAFPSTSIRVWDTDGEFLLIEAAFHLPRWLNPETSRNRVFIRGGDLHIVPRSRLPDPSLITSLRFLLERGGSESRASDPVQTALKNRVSGYPDSAWRSMHRVRVRVPVSVAHVLRHVPVLISLAVEGFYDRDVDSMKHAAKMEKFLSKEKELVVVNVKMSRAMYAQLVQQKFQAPSCYPMPSVSDREAYAEAEIGMKIACGMEMMYQERKKEGEDGRGSGWSKYKENLEKSGYFEGLLCGSKEYKRLMENAEEYYQKSSSVSRTREIMSAPVRRIDEILALSYSEDDFKGPEVAVSDSDSWLYDGEDELNSVLQERQKEMEFYNEKKERKQKGKEKEEGGSSSDANVNNFDLGDISKSMEQFIQKVSSYKGAEVPQNRDFKEVNLDADRFMKDIESMLGSQGGQEEADDDSDGSEGSSMDMDFEDDSEGEDPNDDEKEAFMESYSGAMNEELKNSTLDKSFEHVNQLSSKQNGESSKTTEEKEEDDDDEFTPIDADFNLVKNLLESYSSQQGLPGPASNLLGLMGLQLPKDSNDK >A05g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11846355:11847758:-1 gene:A05g504310.1_BraROA transcript:A05g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARVFLPDLKSGRCSEVRLLRFWEARNIKRGDELMSVDMLLLDSNVTSSQQTLTFSRPYITGELTAVKSHVNDRPKDKIRVMATIKIDSDVSLALSVFPLSSCVISQKLESFRVDTRVFVATNYNLKIVGGTRYDKETDARESYFYKNVLLHDLSHTLSRDTHYYYSFFTNDTGNISAASLLRGFAKVEPMKIAELNQFIITPQPQSIKFICTGKVTVSSQKKDGATSWTLEITCLGSVSLSAKMFGGGNEKENNSGSKTSTGLILKKAQTTSAKVKSMLELVTLIRV >A06p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2539993:2540969:-1 gene:A06p007310.1_BraROA transcript:A06p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSMGLIRRTAMSAAIRGSSQRTLMLGVGGLRSFTVKTSPEKEEEMKKDKEITMAEAKKLMRLVNVEDMRKKLVGMSERDVVPYSALVEASQGMGLARSPDEAHVFARVLDDAGVVLIFRDKVYLHPDKVVDLIRRAMPLDETPEEDQIKDEFNKLRNMKEEIDVLAHRQVRRILWGGLATAIVQIGLFVRLTFWEFSWDVMEPITFFATATSIIVGYAYFLITSRDPTYQDFMKRLFLSRQRKLLKSQHFDVERFKELGRMCKTTTSSSCHAAATIRNRVGLELDLEDSLQSRKD >A03p017870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7293474:7294342:-1 gene:A03p017870.1_BraROA transcript:A03p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFTVWALMKGILLQLLTVGIGGVGLVSAYISYNTTPEITLISFGAGLMGSLAYMRMFGNTVDAMADGARGVMKGAAGQPRLLVLLLLVMIFIRWNAILVPQYEFMHLKLIPMLVGFFTYKVATFFQAIEEDISISTIKKAMSKSTYFSLYNKLVLFCVKSSG >A01p049550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27808297:27809250:-1 gene:A01p049550.1_BraROA transcript:A01p049550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLKNKRITFSLIFVCLVMVSPMAKAQLGGLGGLLGGGGGGGGGLGGLLGGGGLGGLLGNGGLGGLLGGGGGGGVLGGILGLINIQGVLRCSANGNVSAPAFVNAGVQLQCGGQNNVVSTSTTNAAGLFSMLVNPIQLVLSTLLSDCQVAVTTPLSTCNAALPTGQLLSSSLALVGETVSGLLRVANLRPTGFTLA >A03p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5671842:5674115:-1 gene:A03p014260.1_BraROA transcript:A03p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G55990) UniProtKB/Swiss-Prot;Acc:Q8LAS7] MRRVTKSALTLLPISTTFQPPRAALRLRNTIRSIEKRSSQSVLPFLKTKGMESYDLHKLSIMTQCIDGVKHLCTSVLGCFDLDLFKQSGGGLGDPELLSRDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIQFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLKDTVIEDIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT >A07p021820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12834832:12836191:-1 gene:A07p021820.1_BraROA transcript:A07p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLEELNANFNKLIRLPDSIGFELTNLTKLDISTQTSSLASQSPLLTEKKSWGFGKLVKYGTFNGGSRSWNREEREGFIMPEYRSIHSLASPRVFRDVHPSPSLFSKDLF >A04p036020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20667164:20667922:1 gene:A04p036020.1_BraROA transcript:A04p036020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNPIHLTVDTGFTNGEGTIKTFISSNLSLGQLIRDKFQEFHVDLCMVEAERVGCEYSKFCIDQLLLMYLPTIKHNKDTVESS >A02g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13491845:13492754:1 gene:A02g504080.1_BraROA transcript:A02g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVATPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLVKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVEIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEAMDALPLRGFMV >A04g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7694313:7694742:-1 gene:A04g503560.1_BraROA transcript:A04g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSSLSLKVKNSSGHFGNLAWPWVLSPLDPKCRVSDVSTSTDGTCVHRSILIFICRVIFWYRSTALMRIDRLFFLSSTSTWSARVKCLLSSKMLQSHSFTPKCT >A01p042080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22678795:22680597:1 gene:A01p042080.1_BraROA transcript:A01p042080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLVSCVTEFGPSQPLKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFAKTGQLPDPTSTDNPEFQIVLSIIKDGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFCTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMQGLETYPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKDQSDYVSIPVEGPYKPVSYRY >A07p045090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24489639:24490881:-1 gene:A07p045090.1_BraROA transcript:A07p045090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWEGYVSDETMGTIAPIIVYWVYAGVYQFLSPSLDKFRLHTLDEENEKNVIPIITVVKGVLLQQLLQILITQLGFFITSYAEETSRPTVQPSVPIQILQILIAMFIFDTCQYFVHRYMHHNKFLYRHVHSHHHRLVVPYAVGALYNHPVEVISDMLGGAAAFFGSGMTPRTSVWLFCLITVKAVDDHSGLRLPGNLFHVLFKNNGAYHDVHHQVNGVKYNYSQPFFTFWDRVMGTYMPYNVMKRPGGGFEVRMTKKRS >A02p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20697339:20700323:-1 gene:A02p036180.1_BraROA transcript:A02p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLGKKGIETSFKRGLVLPRVPASTGRHISSTFSKSSSGSVAFSFARYINGFGFSSPNHESFGTLTSISRCFHASRETLARRKEDPDRQLSHRELKKQTVKTKGKFSKREKKTDKPPVEAPYVPPRLQRLAKGLAEKTVDVFEGMTLLEFSKRTGESLAVLQSILLNVGETVGSEFDAISIDVAELLAMEIGINVRRQHTTEGSQILPRPPVVTVMGHVDHGKTSLLDALRNTSVAAKEAGGITQHVGAFVVGMPDSGTSITFLDTPGHAAFSEMRARGAAVTDIVVLVVAADDGVMPQTLEAIAHARSANVPIVVAINKCDKPGANPERVKNQLAAEGIELEDIGGNVQVVEVSAMKSTGLDKLEEALLLEAVDMDLKARVEGPAQAYVVEARLDKGRGPLATIIVKAGTLVSGHHVVIGSQWGRLRAIRDMAGKQTDRATPAMPVEIEGLKGLPMAGDDVIVVESEERAKMLSEGRKRKYERDRLLKAEEARVAEAEKKEAESEEGFVRVELPIIVKSDVQGTAQAVADALRTLNSPQVFVNIVHSGVGAVSHSDLERAQACGACIVAFNVKGGGSGNLSAAQASVRVFHHRVIYHLLEDIGNLIVEKAPGVSELEVAGEAEVLSIFKVLGKRRSEEDGVSIAGCKVMDGRVCRSGMMRLLRSGEVLFEGSCASLKREKQDVEQVGKGNECGLVMGEWNDFRVGDVIQCMEAVIRKPKFVSSESGAVRIEC >A06p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:793692:794530:-1 gene:A06p001750.1_BraROA transcript:A06p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQILYRYGYVAADHRQREESMASELKRLQLAVERAKGKELEGMSFSDLISLESQLNDSLLRVKDQKTILLNQLERSRLQEKRTLEENRLLRKQIESMVGRGSSGPQVEPEKNDNEEHLSDTSLQLGWGKRKKLKIERPAITLSSD >A01p039910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:16148354:16148647:-1 gene:A01p039910.1_BraROA transcript:A01p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRNCIRQESALHSEVGVLRLAMESIAMGNGEHASIFDMSKLWNGLQRFDLHDKGVSCLAKLCNIMKEDSDSEDMLPRLQNHSYSTSAKSDFRFFS >A03p049000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20940807:20942388:-1 gene:A03p049000.1_BraROA transcript:A03p049000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEL1-like homeodomain protein 7 [Source:Projected from Arabidopsis thaliana (AT2G16400) UniProtKB/Swiss-Prot;Acc:Q9SIW1] MATYYKSSGSSGIYSEFVPGNAMIYTNPAVSYFPGEANNVSASKEIQVLSSYGEEASHVVEIQDSRSWRDQEDRACFPVMMRPTTGQGLSLGISSQIETQVVSGFHNSKYLEAAQELLDEAVNVKKALKQFQPEGDKTEEDKEKYLQESITNPDLPQAERQELQNKLSKLLSILDEVDRRYKQYYHQMQIVVSSFDVIAGSEAAKPYTALALQTISRHFRCLRDAISGQILLIRRSLGGEHDGLDGRGVGISRLRNVDQKVRQQRALQRLGVVQPHAWRPQRGLPDSSVLILRAWLFEHFLHPYPKDSDKIMLARQTGLSRGQVSNWFINARVRLWKPMVEEMYKEEFTDALEENVTNLSSGNNRPETTESQEQQLVSSSNNGGASTRTVARGGEDRLMMVTEMTRNGSGGMSLTLGIPDNYENSFQYLNSGNGQLRLGSSQLLQDFVA >A07g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8869485:8872294:-1 gene:A07g504380.1_BraROA transcript:A07g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRREISLKKNFISFSPSFPSIFPKEEYKMSSKKKIARKGSSSTSGHEELLVLKIEFVPHSVDPTKNEAWWVAHYGSITPPKEKSFPVLTHHAVEEGAPSSSTDEFLEIMRSFYRIPSTVEFRIPRRGECADNPPEGYFTCYEAFVVRCHLWFPIPKIVVRVLERFEVAISQLNPLAIQHLVGILILSYEHGLSHSVDHFDALLRLQLVKDKDKYRLVPQSFILPNDRPFINPLAPFPEDIIETFFTPKRVQKALRFVCPDFAEMKNDSEPDGQSPDATPTAATGWKSSKGKDIDLSDIEFSMDDSMLPGWDPDLAYGDGSGSSEVPIPDLDDFFAGLPSGLMLLRLRMNQGGRRSSRKGLASSTALDFFENLGLNLFGTAIEASHREAMVYRFKAKKPERDLARVQGEMLERDVQLARDHAPAVRKAERKGKREIVEVMKTRASQFQVEYGNLKDSFTSVGNFRECRGSVGSLWRTQADDYVFEKEMSLMKSGMNEVTLRRSFSRSTGGSKGSGIPSRFPLILRRLRPGFPMVARKWIVPRMRSVLRCLETFTLDHERWSDY >A01p057110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31938808:31940358:1 gene:A01p057110.1_BraROA transcript:A01p057110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMKTTKAGEELVQAKMRREITKLDDEELWAEFEPLEIDRIESGEIKPKTLITNCGWFHSPQFRERGPLIVKEMLSVFPNSTYIKWKRRWIPNSLKDLIRIAKERECTSLVLVDTNHLGHDEICIVSLLNGAAAYFRIFNLIPREDIPDQATPPTRLDPYVRMARFASQAGLGLSRLIQSLFPKATHSRTNKPCQKNRAFFQYQDGFVFYRHHWLHSEKAALSEERPKHTRKEVGPRFQLLFKGVKKVSLDTGSLKLICMPPASHYDYPERQLMMPPL >A06p046540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24892255:24894183:-1 gene:A06p046540.1_BraROA transcript:A06p046540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSTCSYYVYFFKSLVLLPRDPCLVRCAHLIYVLLVFCQVALVLLLALSCLVQSNGAGNVCDLGRRPSSRPHSVSILEFGAVGDGKTLNTLAFQNAVFYLKSFADKGGAQLYVPPGRWLTGSFNLTSHLTLFLEKDAVILASQDPSHWQVADPLPSYGRGIDLTGKRYMSLINGYMLHDVVVTGDNGTIDGQGLFWWDRFNSHSLKYSRPHLVEFVSSENVIVSNLTFLNAPEYTIHSVYCSHVYIHRVTANTSPESPYTVGIVPDSSDNVCIQDSTINMGYDAISLKSGWDEYGISYARPTANVQIRGVHLRAASGSSISFGSEMSGGISDVVVLDAYMHNSLSGIAFRTTKGRGGYIKEIDVSNIHMVNVGTAFLANGSFGTHPDSGFDENAYPLVSHIRLHDIVGENISIAGDFFGTEESPFTSVVLSNISLSMTNSGSPDDDSWRCLYVEGSSESVVPEPCYELRSYESSYGRAEAL >A05g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8590036:8592732:1 gene:A05g502820.1_BraROA transcript:A05g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDLAAKVDQLLKGNQSQVFIMEEATPEKSAGDKAFQAEQAGDDQQEVSYVNGQGWQLKNYHPNPNDKQVDPAQNNQDQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKESEQPPADQADEGNTEPVVETASPRSEQPAEAVRPIPEAVPPREYIPKVPYPVPAKVTPEQSMVNIDADGYAKMFDSARSMGRMVASLSLGEDIN >A07g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3443316:3443871:1 gene:A07g501670.1_BraROA transcript:A07g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARESQRQEEEERLEREADVAQVLVRFPNGERKGRRFESNTLYDCVDSFGVLETEEYSLITNFPRTVYRRDKESMSLKDAGLHPQASVFIEIH >A09p007830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4080647:4084226:-1 gene:A09p007830.1_BraROA transcript:A09p007830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT5G61060) UniProtKB/TrEMBL;Acc:F4K1Z5] MNMPEKPSESNGKVHRKVGLVYDETMCKHDTPDGKAHPERPDRIRVIWDNLQLAGVTQRCVVLGGTKAEDAHLGLVHTKDHVNLVKSSTNKKDYQSDRVASLVNSIYLNGSTSEAAYLAAGSVVAVTEKVAKGELDSGFAIVRPPGHHAEADEAMGFCFFNNVAVAASYLLDERPDLGVKKILVVDWDVHHGNGTQKMFWEDPRVLVFSVHRHEDGGFNPGGDDGDYNMVGEGPGEGFNINVPWEQGRCGDADYLAAWDHILIPVVCNLVNFLLTAIGDPLGGCRVTPYGYSVMLKKLMEFAQGKIVMALEGGYNLDSIAKSSLACVQVLLEDKPIQGSSEAMPFMSTWRVIQAVRKRLCAYWPSLADELPSKLTNQKTPTPIVLTSNSNSEAKDNAHELLDQMSKLNIENHQADTKVSRSWRSDLSKVDVWYASFGSNMWKPRFLCYIQGGQVEGMTKPCVGSMDKSPPKGITWGTYPNRLFFGRESTNVWGKGGVAFTNPLTNPNDQTHMCLYRITLEQFNDVLFQENGLNVDFASPIFGLTALQLVEKNGSTPLEADLAPWYGNVVCLGREGDVTILTMTCTLSVIEKFASGEVPLSPPAKAYANTLIRGLVEGGIFSEEEAEAYIDNAASKPLYINGASRF >A10p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20222805:20224067:-1 gene:A10p035050.1_BraROA transcript:A10p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESPLEITNSGVSDHDSPPLKNDEAPPPPPPPRYSSDKDTGLPTCRVCQCAESDRRGDAALGFLGITPPVSEPRRSSNAKNQETADHKTGFIELISPDGEVFVCANEDIEMGAWQHRDTLLELGCSCKNDLALVHYACALKWFVNHGSTVCEICGNVAVNIRTVDLNKVVVALKDYAALRERTAGGDPNPVAVSNNSYTSSSGIDPDAVAAIRRQRLSEISLWFGPHCPNNNNGSSNSAAAGVAASSQVTSEQPLGVVSFDILPMESRATKWAVEGTGILLATGLLTVTLAWLIAPRVGKRTAKSGLHILLGGLCALTVVIFFRFVVLTRIRYGPARYWAILFVFWFLVFGIWASRSHAHAST >A09g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6653800:6661163:1 gene:A09g501850.1_BraROA transcript:A09g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKSNMGHDYSYSQPSESEDLFCNSVSSGFSETNDLIRRDQAKISLQARSSVQYPPQPEVEFGFPQICYCGAQPLLATSTGRNNPGRRYYTCVNADDGECHIWKWWDVAVMEEMRARDRHVIQLADKVDDIWYFIHLVYMLLNWFSSLYRVFLVLFESLQVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKHQPISGFALISLFSLPAACGLDISSFSVFPYHTTLVYREEPDPKTPLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHVVPANGNSQLDELKGLGMMMQQLLQGQQVQAKALNQVTKEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPRRTDKNPRTEHCNAIEQPFAETASGAEDRAEQSASPGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGENRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKHQPISGFALISLFSLPAACGLDISSFSVFPYHTTLVYREEPDPKTPLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRLKMVRLEKLVTDITTKKSFFTGRFEYFVGATVLVL >A09p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3311426:3312194:1 gene:A09p006260.1_BraROA transcript:A09p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVMALACMVVMLGLSKAAVYKVGDSAGWTTITNVDYKLWASTKTFHIGDTVLFEYNPKFHNVMRVTHAMYRSCNNSNPISTFTTGNDSVTLTNHGHHFFFCGVPGHCMAGQKLDLNVIHPISTPLSDPPISSSSSPPSTTTIPAAGVPGPSPSHSASLPSAAAAVVYLLVSLIFANFAS >A03p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15719093:15728381:-1 gene:A03p037570.1_BraROA transcript:A03p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRRAKTLLFIVLVVMATTIANGAKMLTENDKDEPSKGSGETIDVKASGAKGDGKTDDSKAFADAWKKACESKSPSKIKVRKGKYLVKTLEFKGPCKSAVTFEMDGHIMAPSKATPGKPHCGWINFEKLENFNLNGHGAIFDGQGAHAWKINDCAKTGKCNTLPINIWITGLTNSKIKGIKSTNSKLFHMNIINCKNLTLEDIGIDAPPESLNTDGIHIGRSDGVRLVRAKIKTGDDCISIGDGTENFLVENVECGPGHGIAIGSLGKYPNEQPVRGVTIRRSLIKNTDNGVRIKTWPGSPPGIVSNILFQDITMDNVSLPILIDQVYCPHGHCKKGGPSKVKLSDITYRDIKGTSASKVAVKLLCSPGVPCTNVTLSNIDFVYTGKKGPAVSACSDALLKAFTSACQAPAPSQVLIPKGDFKLGEIAMTGPCKSPVEFTLQGNVKADGGSTQGKDRWVVFEKINGFKLNGGGTFDGEGNAAWKANNCHKTFECKKLPISVRFDFVDNAEIKDVTSLDAKNFHFNVISGKNMTFDNIKIIAPAESPNTDGIHLGRCEGVKILNTKISTGDDCISVGDGMKNLLIEKVVCGPGHGISVGSLGRYGWEQDVNDIKVINCTLEGTDNGLRIKTWPSAACTTTAAGIHFENIILNKVSNPILIDQEYCPWNQCNKSKPSTIKLVDITFRNIRGTSGNKDAVKLLCSKGHPCENVEIGDINIEYTGPDGPPTFECTNVTPKLVGTQNPKACVGPVIKAPGQAMGAYFGAFTVFIFCLLGFSANAVYITIGSSPGSDITQALLKAFTTACQSPTPSRVVIPKGEFKLGEIQMRGPCKAPVEITIQGTVKADGNAIQGKDTWIVFGNINGFKLNGGGAFDGEGNAAWRVNNCHQTFNCKKLPISIRFDFVENAEIRDISSIDAKNFHINVLGAKNMTMDHINIIAPKDSPNTDGIHLGRSDGVKILNTFISTGDDCISVGDGMKNLHVEKVTCGPGHGISVGSLGRYGNEQDVSGIRVINCTLQQTDNGLRIKTWPSAACSTTASDIHFENIILKNVMNPILIDQEYCPWNQCNKQKPSTIKLANISFKQIRGTSGNKDAVKLLCSRGYPCQNVEIGDIDIRYSGADGPATFQCSNVSPKLMGTQSPKACSGPVTNLPQ >A09p003570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2056471:2057373:1 gene:A09p003570.1_BraROA transcript:A09p003570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVERRVQVDPTDKRIHLQPQYEGDVGYGYGYGGRADYKSSGPSSNQIVALIVGVPVGGSLLALAGLTLAGSVIGLMLSVPLFLLFSPVIVPAAITIGLAVTAILASGLFGLTGLSSVSWVLNYLRGTSDTVPEQLDYAKRRMADAVGYAGQKGKEMGQYVQDKAHEAHDTSLTTETTEPGKTRRHT >A01g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20109891:20111301:-1 gene:A01g506870.1_BraROA transcript:A01g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVVGASGLGCKLLKDLALSGFRNLDVIDMDRIEVTNLNRQFFLRLEDVGKPKAEVAVRCVMERVSGVEIVPHFSPIEDKELDFYNDFNIIVLGLDSIEARRYINGVACGFFEYDEDDNPRSEKQEGHVVMSLLCKCELEIRQFLGMETLEQTIMYPSIQIIFMNLDESLGRQMSESSICATEEEEEDDDSKLQLGPQYTIKEHLEKDKDDESLRKWKEQLLGSVDVTNIGDSTFVSFMEFIELGSFICAETLDPEVNIISLAILSPGRPDIVLMVPENGNPKGMWFTLKEGSKYCLKFTFHVNNNIVNKIRDGGTGANQVF >A09p059920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49883776:49884500:-1 gene:A09p059920.1_BraROA transcript:A09p059920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPMATATPTDSMSRVWSMSSLKSSLPSAVTCRLPSPSSRRPVLVSSPSPRLPSFSGLSPVNPLISTGLPGWQSFEDGFKIIDGGGRVYAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAMRKFVDKMITLAKDGSLHKRRQALGYIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >A06p048420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25644812:25646411:-1 gene:A06p048420.1_BraROA transcript:A06p048420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVVLVAQDGTGDYRSVQDAIDSVPLGNTCRTVIRISPGIYRQPVYVPKRKNFITFAGISPEITVLTWNNTASKIEHHQASRVIGTGTFGCGSVIVEGEDFIAENVTFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHHGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSQGFITAQSRKTSQESTGYVFLRCVITGNGQCGYMYLGRPWGPFGRVVLAYTYMDACIRNVGWHNWGNAENERSACFYEYRCFGPGSCSSGRVTWSRELMDEEAGHFLHHSFVDPSQDRPWLCLRMGVKTPYSA >A07p047640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25548509:25551012:-1 gene:A07p047640.1_BraROA transcript:A07p047640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLSSSSSTSELHVLAVDDSIVDRKVIERLLRISACKVTTVESGTRALQYLGLDGDKGSSGLKDLKVNLIVTDYSMPGLTGYELLKKIKESSAFREIPVVIMSSENIQPRIEQCMTEGAEDFLLKPVKLADVKRLKELIMRGEATAVLSQTLNNNTQRNFLGGLSTPMAFSATLSQLSSLSTISSSLPLSSRSFPLRSQTQFRVKAEAEKQSTETKSEGEASPAATKTPKTLPKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDMRVFETGEYALVGWVGIPTAPAWLPTDMLIKSEKLVYERL >A02p016230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7232346:7233993:-1 gene:A02p016230.1_BraROA transcript:A02p016230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGRVMATAAKVAGIGVAKGGFRGGLGVPAAAANDQFNVRTTSVSKPVSASLSSAFHPSAEADAMVMQRTSWEDDWEFAEVETTTTIPRFVFSKPPSLEEAKEATDDLKDAINMVYTEGSNEAGSVSRMLSSFHLSDKRAVESAVPQVALNAFAFLSESSAAQSVVASIASDPKVWDAVMENSDLMKFLDTNTTAASTQVEAADNDDKAEDSSETESEEDSEAKPIQLLEILEDMKLKAVQLMENVSSYFGGLFRSESFTENGEERKRTLLNDPTSLFGLAVCVIFMVVMKRA >A01p003380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1502828:1505055:1 gene:A01p003380.1_BraROA transcript:A01p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSVMVPFPLLPVPIESNYRACTIPYRFPSDNPRKATPTEISWIDLFSNSIPSFKERAESDTSVPDAPARAEKFAQRYGEILEDLKKDPESHGGPPDCILLCRIRELILRELGFRDIFKKVKDEENAKAITLFPEVVRLSDAIEDEGKRIENLVRGIFAGNIFDLGSAQLAEVFSKDGMSFLASCQNLVPRPWVIDDLDNFQARWLKKPWKKAVIFVDNSGADIILGILPFAREMLRQGMQVVLAANELPSINDVTYTELAEILSKLKDENGQLMGVDISNLLIANSGNDLPVIDLARVSQEVAYLSTDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVIKYNEVQS >A06g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10040998:10041555:1 gene:A06g503170.1_BraROA transcript:A06g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEKDDEVTQVSQHSSDKATFSVERMIDYPGPFNPTPIIVRPPHGPLTDPPPPPPPRPTKTD >A09p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20126513:20127316:1 gene:A09p033260.1_BraROA transcript:A09p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLAPFRRNHCVSLSLLDDSAGDFFICQRSDEGFGFSLVGLVVSAGGLHVCLSGIIVSLSLLDDSASLSLSSTTPRLSRSPDDSTSLSVSSTTLRLSRSPRRLTSLKNHSTSLSGPWLFSKVGWIKRHEGSSKKRTVVWTDQETMTIS >A06g508560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24197922:24198149:1 gene:A06g508560.1_BraROA transcript:A06g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGTSEIRLRHIRLQLLGCVLGLVNARTPRFLKRLASHAATYNTRTEKTVCDIIMGKTQKKTFKNLMQSWLRYK >A09g516350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48225534:48226120:-1 gene:A09g516350.1_BraROA transcript:A09g516350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPDESLQSSPGASKETRRITIDGEDELETNRSTRTVKAKARAAKSDVADLRIDEEFNRLQSIFKPPTYLHGTTDIFIDLSKLEDATTQTIGDEETIRIEISGEPRKRAGESDVEKTITSRRQKPAKTVLWKPPLPRSKAGRSSEELMQRRSPRPVGNTETGTQKQRTLSPLNQLITF >A08p038420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:3974:5200:-1 gene:A08p038420.1_BraROA transcript:A08p038420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKDSFYNSSSSSSSDRAHKLQQRSVTEKKKMTSDGATSTSAAAAMAATRRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPPSLPGDVAGSSSRATPYSSYNQSPFESPILSYQVSPSSSSFPSPSRGGGDTHNNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPSSKHPKALPTWGECFTNQSAKQSMSSFNYPFYAVSAPASPTHHRQFNAPPATIPECDESDASTVDSGHWISFQKFSQQQPFLGVSAVPASPTFNLVRPPVPQQLSPNTGATQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNAKGRM >A02p054710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33210438:33212910:1 gene:A02p054710.1_BraROA transcript:A02p054710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVDAANKEVNGTSSAKKPNVIFVLGGPGSGKGTQCAYIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAIQENGNDKFLIDGFPRNEENRAAFEKVTEIEPKFVLFFDCPEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIQYYEAKGKVRKIHAAKPIEDVFQEVKAVFSPEAEKILELTNLLTFYLGCSLGSSVSKEISRLIDQECVLCSTGIETHHHLFFECEYSSSIWKHFVQAILPATPLNVHSAAAMISHFRHPSQAPPVIKLIFQSVIYLIWKERNARVFTSASTSAAGLRSALDRLIRDRLLSFPSPDPTPSLLQFYFSGPRPP >A05p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9614528:9615760:-1 gene:A05p020380.1_BraROA transcript:A05p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDETRSPKSPGDSLSPAPSSPPPILPTSDVTVASATKPPQSLALVVHTPSVVGGSRNGRSGGGGGRDDCWSEEATKVLIEAWGERFSEPGKGTLKQQQWKEVAEVVNESRQCKYLKTDVQCKNRIDTVKKKYKQEKAKIASGDGPSKWLFFKKLESLIGAAGGNNTKAPMGRRQGNKGTVLKRGSDSMRWHFRKRSASETESESDPEPEHSPPDSSESLPPPPPPSQLVSKRLKMDELGGSGVGDVAKAILGFTEAYEKAETAKLKLMMELEKERMKFVKEMELQRMQFLKTQMEMTQNDREEERSKPRINDDDVKNNGDNSDHHHALVVVVVVMLSCLKQLANKKQNFELECPETYVFLETR >A02g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24667185:24668980:1 gene:A02g509210.1_BraROA transcript:A02g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNKIARKGSSSASAHEELLVLKIEFVPHSVDPAENEAWWVAHYGLITPPKEKSFPVLTHHAVEEGAPSRSTDEFLEIMRSFYRIPSTVEFRVPRRGERTDTPPPEGYFTCYEAFVVRCRLWFPIPEIVVRVFDRFEVPISQLNPLAIQHLIGILILSYKHGLSLSVDHFEALLRLQLVKDKDKYRLVPRNFMSVVKRFISNFNSWKTFFFFVRIDDASVEESCIPLLRRLPNDLPFINPLAPFPEDIIEVRDLLRNGPFFWTSFTPKRVRKALRFVCPDPAEMGNDSEPDDQSPDAAPTAATGWNSSKGKDIDLGDIEFSMDDSMLPGWDPDIAYGDGSGSSEVPIPDFDDFFAGLPSGFDAPPPTNESGRPKVIAEGSRIIHGGLNLLDSAIEASHREAMVYRFKAEKAERDLARVQGKMLERDAQLACDHAQAVRKAERKGKREVVEVMKTRASQFQVEYGNLKDAFTSVGDFRECRGSVGSLWRTQADDYVFEKEMSLMKSGMNEHAHAEALIPSINGRIQGFWDPIQVSPNTEEVATGFPDGGEEVDRHADAFGASLSGDFYFRP >A02g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23077898:23084281:-1 gene:A02g508540.1_BraROA transcript:A02g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLIQKIVINFNLKIYIRSNDTTSPNTKENQTTIINFSETVLPLNPNCFRNRVHYNSSRQSGFSEILSVIFFSSLQEEESAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFGDFSRGLLDTIGDTVKTTVDESLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQPEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGCGCRGLGKRNNLAADLERNEAELKKKQKQEEAELKRKKKQEEAELKKKQKKEEADQPIRLHKTAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAIKEIITDDVGIWKEERRKSVGVVHHLTFLHLEAKQKSHLFKWADECLVEEVEDIKAVINGMNRDISELRVNVARLANGLKTESERK >A02p049290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30576926:30579005:-1 gene:A02p049290.1_BraROA transcript:A02p049290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDISLLSLLFLIFLLLASIEYKNRRLHQRRPPSPPGFPIIGNLHQIGELPHQSLWRLSKKYGPVMLLKLGKVPTVIVSTSETARQALKIHDLHCCSRPGLAGPRDLSYNYLDIAFSPYDDYWKELRKLAAQELFSTKQVHAIQPIKEEEVKKLIDSITESASLKTPINLNKTCLALTVSVICKAAFSVSFEGTVLNSDSFNKLVREALEMLGSFSASDFIPCFGRIIDWFTGLQGRREKSVRDLDAFYEQMIDLHKQENKQGSEDFVDLLLKLEKEEAVLGNDKLTRNHIKAILMNVLLAGIDTSAITMTWAMTELARNPRVMKKVQSEIRNHMGSRSTITLDDTDHFKYLKMVIKETWRLHPTTPILLPREVMSEFEINGYTIPVKTRLHVNVWAIGRDPDTWKDPEVFLPERFVDSDIDAKGQHFELLPFGGGRRICPAIYMGTTMVEFGLANMLHRFDWELPEGMKVEDIDIEEAPGLTVNKKNELLLVPVAVKYIDH >A05p033970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19133062:19136718:1 gene:A05p033970.1_BraROA transcript:A05p033970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPKEVIRRIVFFLSAKEAVFTSILSKKWQNLFTIIPKLQFDDTDESQGSFSDFVDGVISLPSSTRVNKFYLRCRDRVDPAQHDLINRCLCDVLKRGILDLKLDVGIGRHYYLPFEFFTCKTVVKVELEGRYAAGFSSGKGFVIDVLPENAFLPALDTLTLSVIQFNDLRGCAFKKLLSACPVLKELTIFGMRWQRPKWSGKLCIPTLQRLIIQDFHPSQFTRVTLDTPSLTYFECSDAMPDEYSIVNLDSLVEAKLHLMFTDGQYQYYIPYDGSVSHDHNNSSSNPTNLIKGLGNVEIMEILFQNTFVALYYFREAIPVFENLYHLTIRCDDEEDCMAFLPYLLKKSPNLETLVIDGPLHYNEEQPESVCNCLLGYSFLLSCPIKVLQITDYRGTIGEVDQLKHFIEKLSCLKLVKLHSCDRSDVDKQHLMIPKASSKCKIKVTFSNSNESSPLLATARSFKEWLPLNQTYLPDDLLLNFFVRVSVLYYPILSLVYKRFRCLLASRALYETRKLLNRTESCIYVCLQYGTEESRWFTLCRRPTRVRRLPTPKPQWFSPCFRPFRKEERKLGDNLLISVTTSNFSPCGNFLWNLSTVGSNIYMIGGYIGYKPTSRVFCMDCRSHIWQEAPSMLIARTVPRVSVLDGKIYVLESFKKFDSSNLMETFDPKTQTWEHVPTPGAEIRGEYILESGAIKGNLYFFGDKNMVYKPKENRWEAVGWEINYIGTAICVIDNVMYCYGRNKVIEWYDDEENCWKILKGLEELPKLPSGVTLVNYGGRIAVSWDTRTCFKMMIWCAEITLDKRLNEHEIYGKVEWCDVVLTIPRKLHLHKKLIVAISV >A03p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12394401:12399452:1 gene:A03p029450.1_BraROA transcript:A03p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative transcription elongation factor SPT5 homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G08350) UniProtKB/Swiss-Prot;Acc:Q9STN3] MPRHRGEEDDVDEDYDGMELEEEEEEDEGRSRGGGSRQKRGRSSFFDDYAEEDSEEEEDDDDDEDYGSRRGKGGGGGGGAAKRKKSSAAMFVDDIADQVDDDDEEGDEEDGEDGFIVDTGTDLPDERVDRRRYHDRGFDENDEDVDDLERRIQERFSRPQEDYDEEATDVEQQALLPSVRDPKLWMVKCAIGREREVAVCLMQKYIDRGSDLQIRSVVALDHLKNYIYVEADKEAHVKEAIKGMRNIYANQKILLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLDGREVAKKKAFVPPPRFMNIDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFMYKTVSLKSIIAQNVTPTFDELEKFNKPSENGESGDFGGLSTLFANRKKGHFMKGDAVIVIKGDLKNLKGWVEKVDEDNVLIRSGLKGLPDPLAVNEKELCKYFEPGNHVKVVSGTHEGATGMVVKVDQHVLILLSDTTKEHVRVFADHVVESSEVTTGVTKIGQYELHDLVLLDNLTFGVTIRLENEAFQVLKGNPDRPEVALVKLREIKCKLDRKISVQDRYKNVISVSDDVRIVEGPSKGKQGPVRHIYKGVLFIYDRHHLEHAGFICAKCTSCVVVGGSRSGANRSGGDSLSRFSNFKAPAPVPPSPRRFQRGGMGYNARGRGRGGRGGRDDSLLGTTVKIRLGPFKGYRGPVVEVKGDSVRVELEMKIVTGKLLYSLLLSDTSRYSMGSETPMHPSRTPLHPYMTPMRDSGATPIHDGMRTPMRDRAWNPYTPMSPPRDNWEEGNPGSWGTSPNYQPGSPPSRAYEAPTPGSGWASTPGGSYSDAGTPRDSGSAYANAPSPYLPSTPGQPMTPSSASYLPGTPGGQPMTPGTGLDVMSPVIGGDAEAWFMPDILVEIHKAGEDSDVGVIRDVSDGTCKVSLGSSGEGDTIMALPGELEIVPPRKNDRVKIVGGQYRGSIGKLIGIDGSDGIVKIEDNLDVKILDLAILAKFVQP >A01g511410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32934452:32936087:-1 gene:A01g511410.1_BraROA transcript:A01g511410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILDGRTNGSLKKTKIVCTLGPVSRSVEMIEKLLKAGMNVARFNFSHGTHEYHQGTLDNLRIAMKNTGILCAVMLDTKGPEIRTGFLKEGKPVQLVHGQEITISADYTLEGDSNTISMSYKKLAEDLKSEDRILCSDGTISMTVLSCDKVNGLVRCRCENSATLGEKKNVNLPGVVVDLPTLTEKDQEDILKWGVPNKIDIIALSFVRKGSDLDLVRELLGDHAKSIVLMSKVENQEGVRNFDKILKNSDAFMVARGDLGMEIPIERIFQAQKMMIERANAVGKPVVTATQMLESMTKSPLPTRAEATDVANAVLDGTDCVMLSGETAAGAHPEAAVKIMARICKVAEETLDYDAVHKKIQEAVPLPLSTVEDLAASAVSKAMSHGAKAIVVLTKGGYTAGLVAKHRPSVPILSVAVSDDLESRCSLSVAKRGLIYRGIIPVVANSGSAEEATRFAVEFAKEKGICKVGDSLVLVHYIDGSSVIKTLLVD >A10p038790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21605282:21607707:1 gene:A10p038790.1_BraROA transcript:A10p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKMVSETWFRNLWKFPKKQHEGHKEKEVLGVLAFEVASLLSKLVHLWQSLSDKNVARLRDEITRSTGIKKLVSEDDDFVVRLIRDEMMESVENVAKAVARLASKCNDPKLKSFESCFGEMMVTGADPYGWQFGWKKMDSKVKRMERFISSNASLYQETEILADLEQSFRRMLTSESATDNLLEWQRKVAWKRHEVKTLQDASLWNRTYDYTVLLLVRSVFTILTRTKHVFGISYRVEASDVSSADSDFIGRSQSVSTILTQMSHQAESTGPPRFASGPLGRFTGPASGSAATRSTKMGDFLSGSLSTESPKSGPLVAEKNKRFKFYSGQLGKITSKSGPLLGMGKHNKKMGQTQTPERPSVSSAKKQTKSNRLTQVGPFKGCMVSQDGVNPLSTRTQNGARSSSGEHHHHHENLTFPSRPKLSDAAPNTLGGACLALHYANVIIVIERFVASPHLIGDDARDDLYSMLPASVRTSLRERLKPYSKNLSSSTVYDPGLAKDWTDAMAGILEWLGPLAHNMIKWQSERSYEHQSLVSRTHIVLAQTLFFANQQKTETIITELLVGLNYVWRFGRELNAKALQECTTSKTLEKCLDTDKK >A02p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17322585:17326071:1 gene:A02p032910.1_BraROA transcript:A02p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLTRIVASYILFPLQKQYTIHLRFLHFSYHYNILFNLFLWPVMANYRWPSKLSKLSPRAKQTNLYGVIIVTVLCAASYSVGIWKNSRGGISRASLNSLPCTFPNITSPILPFTPRHTSPSETTTARLVQIPSCGVEFSEYTPCESVSRSLSFPRDRLIYRERHCPSKDEILRCRIPAPYGYSVPFRWPESRDVAWFANVPHTELTVEKKNQNWVRYEKDRFLFPGGGTMFPRGADAYIGEIGRLINLKDGSVRTAIDTGCGVASFGAYLISRNIVTMSFAPRDTHEAQVQFALERGVPAILGVLASIRLPFPARAFDIAHCSRCLIPWGQYNGTYLIEVDRVLRPGGYWILSGPPINWQRQWRGWERTKDDLNSEQSQIERVARSLCWKKLAQREDLAVWQKPTNHIHCKRNRIALRRPPFCHRTQPDHAWYTKLEQCLTALPEVTGTEIKEVAGGKLARWPERLNAVPPRIKSGSLQGITVDDFVSNTETWQTRVSYYKIFDQQLAETGRYRNLLDMNAYLGGFASALVDDPVWVMNVVPVEASVNTLGVIYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFSLYKHRCDMEDILLEMDRILRPKGSVIIRDDIDVLTKVKKVTDGMQWEGRIGDHEKGPLEREKILFLVKEYWTAPAPDQ >A08p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1583063:1583743:-1 gene:A08p002890.1_BraROA transcript:A08p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLPKMFFNGSTETCEETPLKIRSRILEYEMPFFCRVCTFHFSSFDVFISHLKSRQHKKELLLSVVPFYYIYNYPDNTCTLCEYPYYNDANRKLHLESDEHKAAATASVSHVTEAVGTLFYYHRTTDICKE >A01p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3408290:3410066:-1 gene:A01p006730.1_BraROA transcript:A01p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFTKLFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEEELKGAMVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGTG >A09g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3706706:3708804:-1 gene:A09g501070.1_BraROA transcript:A09g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASGSKNSGPKHMLPEIDWLNSYHRTIVTSSLIQGVYLLQREKQKKVNSQSYLWWESFGFSLVKQLINEDDDSIYGAVFEYNTNNPHSGMPPRSVVAFRGTLLKSKTWLSDVEGGIQCFFNNLNKGSRFQQAIQAVQTVLEKNTTETTSVWLAGHSLGAGIALMVGKTLAKNGVPLKTFAFNPPILSIPLEKLPVIDMTQDDDPRVAAWTPYLYVNPSDGFCSECINILKYKIFMALIGLGKFESIGAAVSFRCLTFGIESGEPIQLLSSAVMLVNKKKSDDETTNVLMKPWYKFKKAHGLEQWWEPNPAWDCHRFRSSEPRMD >A06p042180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22671910:22674939:1 gene:A06p042180.1_BraROA transcript:A06p042180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVGQTAAVAEAAGGGDAQPPQQQQRGFGSTVSGIIRIAVFWYFASKFFSPKQKPMDPAQPHHLMTNLFHKGESLDMWFYLSEQEKFSDFSNEGALYWHETNIPYAVWTPESIRTRSLKYYPSQTLQNNGSLYAHVFFARSGFPIDPSDPEYQPLNSFGRTHPVATYFPKRKANKKKSLLGNAKDSDESQPEPEKLGDKNSEVKEEVPVEWVSLWKPNVTINLVDDFTHYSQSGVPPNIAPHLLVEPSTGNYYPTIYFNEFWLLRDKMIPMNETVSEVPLELEISPISMMKWQLFQQVDQSFQMQRSYGSMLDGESDELKRVFLEGNPYLLGITMFVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQFVIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGMIPRLRFHDRESYASNKTKEYDDIAIKFLSYVLLLLVVGLSIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDETAEKKLITEKEEEDNKKTN >A06p012390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5671437:5672042:1 gene:A06p012390.1_BraROA transcript:A06p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLYTSAFLHFASLLFIFRTISAVRFPPGPTTTYDLDFIRTSCNATLYPDVCFTSLAGYASSVQYNPARLARVAVGVSLSRAKHTATYLSKLSRASASAAVHDCVSNVGDAMEKMRGSLRQLREMNHRRPGAPTFRFQMSNVQTWMSAALTDEETCTDGITEEMEEGETKTAVCEKVADVKRFTSNALAIVNTYANSGA >A09g514300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42899859:42900334:1 gene:A09g514300.1_BraROA transcript:A09g514300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRPIIPDNACILCITAAAGTELADAYSPDTVIASSLGKEESGPCLSPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYGVLAAVSSCCSPPKGRFLRVTPPSATGNTTSRPTCMC >SC150g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:15388:38916:-1 gene:SC150g500010.1_BraROA transcript:SC150g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRTWRKDSESEHLSDRMSVILKRINDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGRTYCQGESRPEGVESDRRERWAQSGWVKVTAGSSPYAHEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPASEEGRVTASPWWHMQAIQITEEGIKTTSGDQTLTPSSKCLRKTVTLMVIPLVHQ >A01p056150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33015105:33021580:1 gene:A01p056150.1_BraROA transcript:A01p056150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENQGYPSFPARPPPPPSSSSSPFASAPPPGGAQTVGFRPPSSQPTRPFTPSGPPMAPPPVGVMRPGQSPFVSQIPGSRPPPPAYGGLPGGGGSFQRFPAPPPPFPGSQNPPLSGPPATQTLAGHLSPPMSLRPQQPMGPGGYTSPPGPGFQQSAPPVNPSYPGVGPSFPGYPSNQAPPVSFQSSSQGPRPTYPPQTGGFGQHPGQQNLHPSYAPPTSNVQGLAEDFNSLSLSNIPGSLEPGLDPSSFPRPLDGDVEPNSFAEMYPMNCHSRYLRLTTSAIPSSQSLASRWHLPLGAVVCPLAEAPEGEEVPLVDFGSSGIIRCRRCRTYMNPYVTFTDSGRKWRCNICSMLNDVPGEYFSHLDATGRRMDMDQRPELTQGSVDFIAPTEYMVRPPMPPTYFFLIDVSFSATKSGMLEVAAQTIKSCLDNLPGYPRTQIGFITYDSTLHFYNLKSSLSQPQMMVVSDLDDIFIPLPDDLLVNLSESRNVVEAFLDGLPLMFQDNVNVESAFGPAVKAAFMVMNQLGGKLLIFQNSLPSLGAGRLKLRGDDPRVYGTDKEYALRVAEDAFYKQMAADCTKFQIAINVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSIHGDKLRHELARDLTRETAWESVLRIRCGKGIRCSSYHGNFMLRSADLLALPAVDCDKAYAMQLALEETLLTTPTVYFQVALLYPLNELRYTASCGERRIRVHTAIAPVVTDLGEMYRQADTGSIVSVYARLAIEKTLSAKLDDARNAIQQKIVKALREYRNLHSVQHRLGSRLIYPESLKFLPLYGLAICKSTPLHGGPADASLDERSAAGFTMMALPVKKLLKLLYPSLFRVDEWLLKPSADHDDLKDVLRRMPLAAESLDSRGLYIYDDGFRLVLWFGRMLSPDIAKCLLGADFAAELSRVTLQEQENGMSKKLMRLIKKVRENDPSYHPMCFLVRQGEQPREGFLLLRNLIDDQMGGSTGYVDWMLLLHRQVQQNALRLSLKETPELQIFFLSRVRCRRVASINRLSEPLLSNKVFRFELTDKKNNSVSRIFRDMAEEAHKVTLNVYDLSQLSASLLGKVIEGVWHTGIVVYGNEYFFGGGIQHLPAGTTPYGAPLRTVEMGETHVPKDVFEMYLEEISPRYTAESYNLITHNCNNFSNEVSQFLVGKGIPDYILQLPSEVMNSPMGGLLMPMIQNLEATLRAGAVPNAPQFKPQSQPVGPNPSEKASKSPVVVQPSASKEKVKEDPLGDARTKIQEEITREFASLMAQGTLRASEAATIATKRVMQKYGHLNVSA >A09p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14943347:14950301:1 gene:A09p026020.1_BraROA transcript:A09p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPNKRSTCPAAASSASTTDSSYSSPPPMKKSKNGLHSPFPMEDEDPTPSAAANLSRKKATLPHPTKKLVIKLNKAKPTLPTNFEDTTWANLQSAIRAIFLKKPFSFDLERLYQAVDDLCLHKLEGKLYERMQKECEEHISAALHSLVGQDTDLSVFLSLVEKCWQDFCDQMLMIRSIALSLDRKYVIQNPNVRSLWEMGLQLFRKHLSLSPDVEQRTVTALLRMIEKERLAEAVNRTLLSHLLKMFTALGIYTEGFEKPFLECTSEFYAAEGMKYMQQYDVPEYLKHVEGRLHEENEKCILYIDASTRKPLISTVEKQLLERHIVAILDKGFTPLMDGRRTEDLQRMYTLFSRVSALESMRQALSSYTRKTGQKIVMDEEKDKDMVQSLLDFKACLDIVWEDSFCKNESFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFSKGKKELAVSLFQAVVLMLFNDAMKLSFEDIKDSTGIEDKELRRTLQSLACGKVRVLQKYPKGRDVQDGDEFDFNDTFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDRDHETVASTCVHRIFNRGSTAELWRFAAIKHKICIFFSVIDGVGIRIRAHNYHPVPVVFSRATGTIWDSEGKRYINFLAAYSAVNQGQGHCHPKIIKALQEQVEKLTLSSRAFYNDQFPVFAERLRNMLAVEEASLWTELNILQPTPTTVNGLNEETKKWDPPLPGYVKFNIHANWRNAKLHSGVSFIVRDHSGNVLHHARDANTFSPNRATAELRCLIFESSLKKKPLDWPLFRMLLQKIDTFCGLFRSVAFELESVSSNQIAREIAKSVLRDGRFQSYLALGGPVWLHQRILRDALLISS >A03g508940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29643082:29643651:-1 gene:A03g508940.1_BraROA transcript:A03g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLTPYTLVLSDKKNPACSSPKRTLTMKIKRPNTQQTTIRISIALRISSNGHLDDGTISDMEYMLQYHEINFDSVTEIIDETTNYVAGVILTLDDVTVTDLDVIVKVTDFNPQAWSRIDLDVYTINLRSNRRESIPSKENDICAIFHNELGASGDLNTLVCNHSYHHQCILGWIKMNLTCPVCRTMLA >A10g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13977746:13978940:-1 gene:A10g505510.1_BraROA transcript:A10g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAEVDDIQQMRNTHDYFNNFVGSMAPTFLDQHKLASIISLRISTFNVFSELTWDKVLSRVTKHFSDEFSQFCDRKMSDFVWMLCWNRVWPEPLLLGFGGASKSVWLVHLLANSMNPGLQIFRVEKDYWLDLV >A08p035950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21189226:21190387:1 gene:A08p035950.1_BraROA transcript:A08p035950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLLSIFFSLFIILHYKNTSTLLLHLQNNLLRLLHSYSLSLSDTSTTYPCCIPLSLSPHTHTQTHTHTLLKICRAEDHHVHDSQEAQESLTIRFPILLLSSNISSLSSAAAVLTRCQHLKYYKRLATTSGTYTERLMISVTVCRNSWLQRTTTVPRQPSLGACLIIKILTN >A03p010970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4396206:4397943:-1 gene:A03p010970.1_BraROA transcript:A03p010970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRENEDEPNWSERVEDLVASGDVTAAISFLESLTTNLQSRLGSSSSSSSSTELGLQLAAALTQLANLYSSQGLSLKSDELLTRSSLVKQRALDRDLASSRGSCDAENQTKTSDSNVDGCSSSECPLNSTKDDASDDDWEALADREPNTLLSVDELPQISNLSVEEPKIEGPKRRGRGNFTYNKDVMYSESRFEDSGDSDMSRGGLEKTDESLKAKYGTRHVLVLADFSPNLRTTDLERLFVDFKDSGFLIRWVNDTTALAVFKTPSTAQEACKSVQCSFTIRVLDDHDSLLGSISGKDLEPPSQRPKTSARTAQRLIAHSMGLKLPASGFGSKELRDQEAARKSRIVSRQKQRDDAWGDD >A07p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3275452:3277931:1 gene:A07p003480.1_BraROA transcript:A07p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTDPHISLFSPHEGDHGRFVPHIQTQMPLWLAVALKRRGKCTHDKPEADADADTRRSSRQPREASNEPRGDHGRFVPHIQTQMPLWLAVALKRRGKCTHDKPEADADADTRRSSRQPREASNEPRVLPSKNQDKRSTRRNRKEKKKMILEFLISPVTRSRKKIWRDLDIELDRFIGDLQRLGSSLQQTANIKERERERHRRERTREKRKEKP >A07p043920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24014419:24021517:-1 gene:A07p043920.1_BraROA transcript:A07p043920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDYAEVSSQFGAVAGSTRLCVYESDFGWGRPVKVDAVSIDGNKISMAERRDESGGIEIGMCMNKAELDIVLALFKNVEVSRVTPAPNSANSLAIPLTFFDIPWLVFNPVKRVFFYRLTESSREHFHSSILPKLKLSLSLVLGSYLPLSGRITSDPNEPKPNIIVSQNDAVSVTVSETDADFSLLSSYGQRPAFELHALIPELPVSDDSAKILSLQITLFPDHGFSIGVSAHHGVLDGKMSTMFVKAWAHLCKQHLEETTVLFSLPETLTPSLDRSLIKDTTGLDEQMINIVRSLKQGKLIGSRSLNSTPASERGDDVVFATLVLSRGDVERLRERVKNESPDPSQLHLSTFVISYAYMWTCLVKARGGNMERSVSFLFVGDFRERLDPPLPATYFGNCMFPAGSYDNTAAEFAGDGGFVAAVEILSGLVKGLSSRKLETIAEEFKISFDCVGVTSQFGTLAGSTRLGVYDSDFGWGRPVKVDVVSIEGNTISMAERRDESGGIELGLCMEKADLDIVLAFVSFHLDLLFRHHQMTIHVVEVSRVTPAPDSDSVLNSANSLTIPLTFFDLPWLVINPAKRLFFYRLTESTREHFHSSILPKLKLSLSLVLRSYLPLAGRLISHPNEPKPSIVVSQNDAVSLTTAETDSDFSLLSSYGQRPAWELHTLIPDLPVSDDSATVLSLQITLFPGQGFSIGVAAHHAVLDGKTSTMFVKAWAHTCKRDNTVVAPLPETLTPSLDRSLIKDTTGLDEQMIKIVRSLNDDGRIVGRRRNLSSIPAWERGDDGVFATLVLSRGDVERLRERVENESSSPSELLHLSTLVVAYAYVWTCLVKARGGDMERPVSLLFVADFRERLDPPLPATYFGNCMFPAGCFNSKTAGDFAGEGGFVAAVEMLSGLVKGLSSRKIETIAEEFKIGFDCVGVTSQLGSLAGSTRLGVYESDFGWGRPVKVDVVSIERNTISMAERRDESGGIELGLCMKKAEMDIVIGLFNSGLQN >A06g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10301421:10304227:-1 gene:A06g503380.1_BraROA transcript:A06g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATEYGIVYAVHIIIVFYKDVPARTSFLARDLSSSVNHSKVKDIFYLLIHHNCVQAFSVEPPAKLLYIVLFNNILHRVRLNKFSNVVNEELGPQCGLVFDGLLTSGRLTLGQLIQKDSSKKPKGSEEMRDSLQKLAAARFVERVPSPEPVLGNKEGPAQKKRGANASKVLKEPETLEEPVLEASTPVESMRFPLIFEQGSSSSLADEDSNISEGKRKERDVDSSSDAKNEVVWRPNFEELIRRLRHRLVTCACVEIVKERRDEGCATVLKAMLKVGRSQEKKVKTGDKSDMTSILSSVPMSMGSIYEEVTKTEEGRDMLKGKVEECLGKLSATSSYLLAFVIKTDDSYIVDYKSIISVAQKDEMESVVTKSYGKEAFRMFRYLSQEDRFVETDKVANASLTEKKDTSQILMKMWKDSYMQMQKLAVTGVYVPFLLWKVDKVIVWRRMLDEMYHASLNLSLRLTHELDSERELLLLPEGKLEEHP >A10p036700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20847414:20851164:1 gene:A10p036700.1_BraROA transcript:A10p036700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLKSTSSMSSSQCSSSSSQKSSSVKLKSLLQIFIISQVCRLIRKISRASSILVRVLRKKQYNLLSMSSSFYPKRVSKKQKNNIFFGSFRLHYNFCSSHVVPVSAPVRLPEELYLSHLQYDSTWESMHSTESMDDDDELPESSQLSSYLKQLEDDKAKDGKEEEEGKEMKMMNEIDKLADMFIAYSHEKFMLEKDPFDLLCPITRTPDPTGPLYRLSVAASQFHIFGQHSQEGVEIHILEQEAYCAVLRAFKAQSDAISWEKESMITELRKELRVSDDEHRELLTSVHNDDIIQRIREWRQGGGNQVVRHANNQSLDVLPSPAYSASRKKQKTFQSYPSMGSTRSRSFNNHLVSGAISANEPAEALIGRKVWTKWPEDNSFYEAVITQYNAVEGRHALVYDLNTANETREWVDLKEIPPQDIRWGGEENGVSLRTLSNGGLGRVPMTQSRRDHLVAQNGGGRKLFDEIELFNTDSLVKEVERVFDSHLPDPHELDKAKKLLKEHEQALVSAIARLADASDFESDGEEAYMHELPMHEG >SC312g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000157.1:7:850:-1 gene:SC312g500010.1_BraROA transcript:SC312g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACAQVSAKSILTGALKPKRVNSSLQYACPSVRLPISTLGLDHTKEKFQSIKTRAYVQISTRISPGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVNQLSKISTRTVHGKGQHAD >A04p030090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17876755:17880714:-1 gene:A04p030090.1_BraROA transcript:A04p030090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly(ADP-ribose) glycohydrolase 1 [Source:Projected from Arabidopsis thaliana (AT2G31870) UniProtKB/Swiss-Prot;Acc:Q9SKB3] MENREDLNSILPYLPLLIRSSSLYWPPRVVEALKAMSEGPSHSRVDSGEVLWQAISDMRRSLSLSARLLSPSAPQGYALLFDELIHGRDSKRWFDEIIPALARLLLQLPSLLEMHFQKADGAVSGVETGLRLLEPQQAGIVFLSQELIGALLACAFFCLFPVSNRGAKDLPGINFDNLFASLYESYSESHESKIRCIMNYFERVCSCMPTGTVSFERKILPAEYHNSSTTAPDADFWSKSDISLCAFKVHSSGLIEDQSDNALEVDFANKYLGGGSLNRGCVQEEIRFMINPELIAGMLFLPRMDDNEAIEIVGAERFSCYTGYASSFRFAGDYIDKRTVDHFKRRRTRIVAIDALCAPKMRHFKDVCLLREINKALCGFLIQRKSWQHQNKGDIDIQLASNDEDSGLLHTETSTSHGAALDDAETNRQKQDSNFVRDVEGSDCMDHEDVGVATGNWGCGVFGGDPELKAMIQWLAASQARRPFISYYTFGAQALENLDQVTKWILSHDWTVGDLWNMMLEYSAQRLYKQTRLGFFSWLLPSLPTNNEAISLLSL >A09p033160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000201.1:3690:4197:-1 gene:A09p033160.1_BraROA transcript:A09p033160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWWKYASIGGTTLYVVMLLMLHFPTNIRIHKEHEPPLPATRTLLSLIPMTAIYYISFNYPTVDVLVYIYSMFAFGFDMFQLNSPMQFQHARCTMIFGFYIGFSGRMIGQFCSTVSCPVAASLFWIYFHAKALSVVNGFYI >A01p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3825017:3829980:-1 gene:A01p007880.1_BraROA transcript:A01p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANNLTYTKALVRRAEAHEKLEHFEEAVTGKPMNYVFYCFLLYSSELTTVIFFDRFYLAGELCCSPTRDRNIRGARRIEDTVMANQGAKKRKDENARHMAKLRRIIIACNVVYVIVRMVVFYSSFTWRHWIGLVVTSLGYAVPYKFLDGMAKPSVSDDGELIDGGFDMSSGGICGYLHDVLYITCFVQLASIISGKFWYAYLVIPAFGACKASGLIRGFMSQGSEGGVEDEKTRKKREKMERKASRGQAVRTRTQCYQNNNYSYKLLRHMPSNEKGTFEISEINSDLWRRIPHDFILQFDHSSVHLKGKTYWCASDSREYGAVLYLLSFDYTTKRFARMCLPDPYRRCQTVSLSVVREEKLAMLLRPRDRLGKEIEIWISSAIDDETKLYFFFKQDNAERGRADHGIHHWLFWGKR >A08p032100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19480973:19482347:-1 gene:A08p032100.1_BraROA transcript:A08p032100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPIHTVWSWVRRQPPKVKAFLAVITGMAALVLLRFIVHDHDNLFVAAEAVHSIGICVLIYKLMKEKTCAGLSLKSQELTAIFLAVRLYCSFVMEYDIHTVLDLATLGTTLWVIFMIRFKLRASYMEDKDNFALYYVLVPCVVLAVLIHPSTSHNILNRISWALCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVVDTRGRLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >A01g510880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30147793:30150747:-1 gene:A01g510880.1_BraROA transcript:A01g510880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMA-related kinase 7 [Source:Projected from Arabidopsis thaliana (AT3G12200) UniProtKB/TrEMBL;Acc:F4J8P0] MQMDFEEEEEAHKFTSDNYLVVEQVRRGKSTSDFVVLHRIDDKKYAMKKVSLAKHTEKLKQIACQELKSLSKLNNPYIVECEDSWVDEDNNACIFTAYCEGGSMANAIKKARGKLFPEERIFKWLAQLLLAVNYLHSNRVLHMDLTCSNIFLPKHDHVQLGNYGLAKLINPEKPASLVPGIANSMCPEVLEDEPYGYKSDIWSLGCCMYEITSHQPAFKAPDKAGLINKINRSLMSPLPIVYSSTLKQMIKLMLRKKPEHRPTACELLRNPCLQPYLLKCQNLSPMYLPVFPINSPKDKARRSSLPGKFVKEREEREKSEVSRSLENLYPFWTKAETGSSSSSQPASSTNETDDKPETKRIDPSCDALKVSEPTTEKQKEENDVPKEWENMISGEAQLLDVDVEIVSAQEGLCFIQEAEAIPEANDQRKVAEVVAESECVVEEANDQRKLSEVVVESECEVEEAKTVKLTASEMSSVLSKLTNLGPPQSKERADALECLLEKCAGLVKQEKYEELAGLLTPFGEDGVSARDTAIWFAKTLLSSDKLNQGT >A07p048510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25890498:25892321:-1 gene:A07p048510.1_BraROA transcript:A07p048510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVLRVSNAKLRKSPPSGPTLPESADLSISHVFKYTTSLPQIHIELGFFSVSSSSFKLQDCLGCLVLAGLDLNPFESIHPYPNPSLLPHPPLPHPRFENMMPSILIARNQASGQNLVDSTKIARIVNDSKTALPKEKKDKKERRKHKEKREKSHKHSSKKSSKSPGVSEKSGVTEELDGPQNHLGYLSDGSQNSNKRKRDCSPPAVESVVKVVPVAGKPLRIRLTFKKPKVESSLPREDVVTCSTSGAESLNQAPQATVFSSLCEPELNVPSTSETKKICEPEVNVPSTSEAAVAGEANKRKKHKPTKEDRYNALSDDWTPISTIAMEVDCSSKNDDDWLFGSRTKERTSCKAANKIDEGVRASSDSSWPKAQFLSLVGIYSLPYTVPF >A06p056200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29281121:29283507:-1 gene:A06p056200.1_BraROA transcript:A06p056200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHLQGHLTTCANPVLTKDEQEVDEKTVSLQAESIVNTMAFPMVFKAALELGVIDTVAAADNGAWLSPFEISRNLPTKPANPEAPELLDRMLRLLVSHSILKCRVIESKENGRTGKMERVYAAEPVCKYFLKDSDGSGSLVSLFMLLQSDVFFKTWTNLKDVILEGRDAFSFAHGMPLFEYINSDGQFAKVFDRAMSEPSTMIMKKVLEVYRGFEDVNTLVDVGGGCGTTLGLVTSKYPHIKGVNFDLPQVLTNAPFYPGVEHVSGDMFIEVPKGDAVFMKLILHDWTDEHCIKLLKNCWKSLPEKGKVIVVDMIIPTEPKSSDLFSNTVFGMDMLMLTQCSGGKERSFSQLENLAYGSGFLRCEIMCLAYSYCVIEFQK >A02p008830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3643896:3644204:-1 gene:A02p008830.1_BraROA transcript:A02p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITKMVLERPVVIYSKSSCCMSHTVKTLLCDFGANPAVHELDEVPRGREIEQALLRLGCSPAVPAIFIGGELVGGANEVMSLHLNGSLIPMLKHAGALWV >A06p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6320891:6324856:1 gene:A06p014000.1_BraROA transcript:A06p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRGGGRKEERGRNPPSRHLWVGNLPLGISERELADRFLRFGELESVAFQPARSYAFLNFKHDEDAFAAIDSLQGFPLNGNPLKIEFAKPEKSSTGPRTENTFRHDEQRSGARGSPFFQKDSRMRYESPDTYSRSNKMSDRDAEPSEVLYIGFPASLKVDEELLMKVFTPFGDITKVTIFPGRSYAFVQFRNLMAACKAKETLQGKLFGNPRVHISFAKSEPSSSSSGRGPSGRSFSPPYRSVDRLGSSEGYFHQDRNYGSISRTSNVRDPHYRDLEESEDYMFNRKRSSRNEYDGGGPPYRRSRSPHKLPQDMHEYHRKMGSTFRDDPHRFPPRSSMYEEPRGLSEEDYYYQDTKRVKTRSFQAERQLPGHLVSGVGQERRSFSRASADFSPNKAFERNYEAGQVRYKQTVENPLNAIRNGEKSSFREPHDELVGEFSLPEGRRHTLEQSRPSLKDWNWEGTIAKGGNPICRAKCFPVGKAMDMMLPEFLDCTARTGLDMLANHYYQSSQAWVVFFVPGSDADIVFYNEFMHYLEEKQRAAVSKLDDTTTLFLVPPSDFSEKVLKVPGKLSISGVILRSEYEGSGYGSIQQQSERRYGETSYPEDSGAFPDVGNPRNPGPPVQHSPMDPYNKRRDDQDSGRVWPPGDVSVNNTRTSQMQPPLTDLQEHSRFVAQQNADPSRFRETEIPSGFQPEQLTHLASSMSRQQYQVDNTSNQPERYAADARSSFHPLQHEQTPSAPPGYQNVQLQGSSNAQEEEENEANPQKRLQATLQLAAALLQQIQQSKN >A05p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11633211:11636595:1 gene:A05p024010.1_BraROA transcript:A05p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVHSPIVAFASMLSLLALCPPFVILLWFTRMVLLFRLQTFGFLWENGVKGLINIWPRPTAIAWKIIFCYGAFEAALQLLLPGKRVETDQFTRFGIFNPAIVYDHLGAIFSALIFGCFIFCVLLYVKGHVAPSSSDSGSCGNLIIAFYWGMELYPRISKNFDIKIFTNCRFGMMSWAVLAITYCIKQYEINGRVSDSMLVNTILMLVMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVPLGTQLAIYILVAGILCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVATYKTTASETKTSLLLTSGWWGLARHFHYVPEILSSFFWTVPALFSNFLPYFCVIYLTILLFDRAKRDDQSMGNIGSCTAKKCDTGLFLVVSVVFSVFVSFIMTGTSERLKTTS >A02p043040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27000361:27000675:1 gene:A02p043040.1_BraROA transcript:A02p043040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVDEEAGVNGAGGTIKEEKIPLLKAQEFLDIENKNLYTSQALSPIFTNGLHLRSFTDFDRDLNGTVCYGLATTSGF >SC163g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:136677:138488:1 gene:SC163g500110.1_BraROA transcript:SC163g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLANNQECKDKDLVPLHIITRTLTSLCKGFYKDHQPDQDSGVSRQEAVQSSLGEYHCLSLTKGVPGHFLASLSFSKMAEKSVERGRLQTGSMKREKMEVWCKDRAKSRRDLEEYLGECANLGTGCTDRTDPYGPRHPESSPVNHLSTFEVEKKTKERLASDRLERRVSCCKVKAKGDHVSSKRSGQIHGSL >A02g502000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6203056:6203770:-1 gene:A02g502000.1_BraROA transcript:A02g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSLFSLLYLSQREKAIITGSFPVIPCIGFVSGKGGYHSTVLAGLCLPRVGLPFRSKRCFGSIYAESKSCRGRLVAGFSFPCFLSPVCLRWNAGLSVRQRLGEVGDVSAQKHVRVPPEFGALHV >A10p036010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20568108:20570085:-1 gene:A10p036010.1_BraROA transcript:A10p036010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENDDEAHLHASTEEDMENLFLRDDADKSNSPPPITVTPADSDPLSATPQSKPNGGDSRSYIEPPSYADVIFSPFDDTSEINGSDDTHSSDYSLSRSPSSSASSSDYIKITVSSPQKEQETSTSMLSGGSTYITYLITTRTNLPDYGGGSEFSVRRRFRDVVTLADRLAESYRGFCIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLVAHPVIRSSDEVKVFLRVEGKLPLQASADVASRVLDGAVKLPRQLFGEGGGGGGVETARGGRDLLRLFKELRQSVSNDWGGSKPLVVEEDRDFLEKKEKMVDLEQQIINASQQAETLVKAQQEMGETMGELGLAFIKLTKFENEEAVFNSQRARANDMKNLATAAVKASRFYRELNSQTVKHLDTLHDYLGLMMAVQGAFADRSSALLTVQTLLSELSSLEGRAEKLEAASSKVFGGDKSRIKKIEEIKETIRNTEDAKNVAIREYEQIKENNWSEVERLDRERRADFLSMMKGFVVNQVGYAEKIASVWTKAAEETSQYDRESS >A09p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15176116:15177183:-1 gene:A09p026870.1_BraROA transcript:A09p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISMALFSPPISSSLHNPSLTPKISFPLLSTKRFSLLSLTRASSDNGASTPDSAAVSATTVEIPKPASVSVEEVPAKSPPESSSDETDLPTTATGIKFEDAKWVKGTWDLKQFEKDGKTDWDSVIVAEAKRRKWLEDNPETTTNAEPVLFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLEKLKGLIEETTLYDKQWQAAWKEPESSSSSTVSSKK >SC152g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:4686:9718:-1 gene:SC152g500020.1_BraROA transcript:SC152g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRAITAKVTQLRQGGRHNGPRPPGRNQPDPHDTDSDEDSTDDTRSQDEERPNRGGRRNARGPRVQELLAQEQTGFKQLLIQELSDLKLEDTGEPLEVPDPVHSSQFSSIGLNEAGLTISVTPDPGRLGRGRCLTSPQLLVSKPICQLAPRSNLNLDQVIQSEVLFP >A01p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2014374:2020452:-1 gene:A01p004700.1_BraROA transcript:A01p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:demeter-like protein 3 [Source:Projected from Arabidopsis thaliana (AT4G34060) TAIR;Acc:AT4G34060] MKKSKDLDNFSGLVVQGTPVKPERPLKFYVRRKPPKLLNKLQNIDDSASSVSSDFNTNNTTKEESVKKSEEPETFKVDLQSLHGTQGKENSKEETEEKNAKSTILQGSYELSCIDLVCDCFTWWKDDSQHVNGKKKYSEKVSKKRFHRPMIMEDGKKPRNRANLQMRTISNKRSKKEKCDEEEYIVELQTPEKQSSPKRRKNMAKMSVARTLPFDEEVISGCLEFSRSFWPSFPKGKRRMTTLRRIDFHVLISPISFPMPIWKKQSKRSSRKKNLTRWTMIALSYECIEETLSLVEIHPDDIDIKKMVPNLSLMHSNQKITDGSNEHLKKAKKIRRQDASVQTKDLHTEEKKVMNNLTLQLNYQREHNLPSLADVPLHKEDILMRSVTNITPEQSMKKTTKGVAKLIKEMEKLNINRRVTTLGKAKKKLVIAKVNLDPETIKEWELLMENDFPHQSYSNEENTESKWKGEREIFQSRIELFINRMHLLQGNRKFKQWKGSVVDSVVGVFLTQNVSDYLSSNAFMSVAAKFPVDAKESLESLAYFIEEPQEVNNLVANGQIPIQNEKDDAKSSIGSFSLQENLEQHEKDAKRKNKKTGIMEDESVDWESLRKIYTKEGFRDTIHMDTVDWNAVRLSDQQVLADTIMKRGQHNGLARKILKFLNDEAKQNGTVDLEWLRDAPSDLVKRYLLEIEGIGLKSAECVRLLGLKHSAFPVDTNVGRIAVRLGWVPLEPLPEGVQLHQLFQYPSMDSIQKYLWPRLCKLPQETLYELHYQMITFGKVFCTKVIPNCNACPMKSECKYFASAYVSSKVLLEGPEQKTQESQESQEFQESQEFQESQESQTSYCHDNDAKMTSKINSIEECVSTECSNQTNCCEPIVEFPTSPAREIPELPDIEDTPCRSSCRSNAAIPGMDIDIDAFKQNVVDVFKKIGTMFNGSDDEISKALAVMTQENACIPMKLPRKTKYYDRLRTEHVVYVLPDKHELLNDFERRECDDPSPYLLALWQPGETSNSFMPPKKKCDSDGTNLCVIKTCSYCWNIREESSNTYRGTILIPCRTAMQGGFPLNGTYFQTNEVFADHETSLEPIVFSRELCNGLEKRALYCGSSVSSIFRLLEETRTRLCFWTGFVCMRGFDRKQRTPEGLVRRLHTPPDERGEKHMRD >A07p041950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22610730:22614600:1 gene:A07p041950.1_BraROA transcript:A07p041950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMVNLTKNIIPESTGVILLIDHDAASVASLIPMLKQRSHKDVMTVNGASEAILVIEKQKDIGLVIANVELSDSNDFLTAMHHKEIPLILIGTEIHIKETSDLLTKIACYCLKKPISENDIDNMFQLVLPNKKQEWEKINVAEKRENIVEERMKQMKAFRDHIKRQGTSQSSLLGRRPLNKTFTFSQMYQKGKSIVDVETRMNVWTRDRHMKFLAAISFLGEKKSRPKAILEIMNDSNLSQRQVGSYLQKYKFQVEIINKTLTRNEWKSTDKTYEYPSDYVYPFKASNLAKNFIESNSMWCSLRKKKSSSLSTVQYSFKRSAAEKKDKMPKFHIGEALGLHHTLSGPSVGASNLDAFQKESAEICISQSNPNPSQPSSYVLETNKNPLDMNQMGRVSFGENHGLSQDMVFNGTKSNHLGLVSGETSHIETPLETDINQMDWDWFFSDEAYAILDEDLTVSETNINQVGLVPGETSFAALDNVAPPENNTNEIGLVPNQEGNGDIPIEDLISFDTDIINDMDDLDAWLENYDSFQGDVPLPAICNDHDLAFTSTTSQHQNIEAANPREGTEGGNHMDDDSDENLDWIDDIFT >A03p005230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2184455:2187008:-1 gene:A03p005230.1_BraROA transcript:A03p005230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G11520) UniProtKB/Swiss-Prot;Acc:P46644] MKTNDFSSSSSSSPSDRRIGALLRHLTAGTDADRVSSVFASPTSGGAGGSVFAHLVQAPEDAILGVTIAYNKDPSPIKLNLGVGAYRTEEGKPLVLNVVRKAEQQLINDRSRIKEYLPIVGLVEFNKLSAKLILGADSPAIRENRVTTVECLSGTGSLRVGGEFLARHYHQKTIYIPQPTWGNHPKIFTLAGLSVKTYRYYDPSTRGLNFQGLLEDLGAAPQGSIVLLHACAHNPTGVDPTLEQWEQIRKLMRSKGLMPFFDSAYQGFASGSLDTDAKPIRMFVADGGELLVAQSYAKNMGLYGERVGALSIVCKAADVAGRVESQLKLVIRPMYSNPPIHGASIVAVILRDKNLFNEWTLELKAMADRIISMRKQLFEALRARGTPGDWTHIIKQIGMFTFTGLNPAQVSYMTKEYHIYMTSDGRISMAGLSSKTVPHLADAIHAVVTKAL >A08p044400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24552799:24555888:1 gene:A08p044400.1_BraROA transcript:A08p044400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERQSLIRLWSSFFYISTLFWMQVHSHSEYLIGLGSYDITGPAADVNMMGYADMAQVASGIHFRLRARTFIVSDPQGKRVVFVNIDACMASQIVTLKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIENSIIQAHENLRPGSIFLNNGELLDAGVNRSPSAYLNNPSGERSEYKYDTDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARLMEDWFEQREELVSDEIPRRVSSIIENHQDSRKLAAGELLEIASYFESQPGRPATRVSSAARRVRSALRKADKPGFVSAFCQTNCGDVSPNVLGAFCLDTGLPCDFNHSTCGGRNELCYGRGPGYPDEFESTRVIGERQFKKALDLFNKASEELQGKVDYRQVYVDFSQLNVTLSKKDGNSEVVRTCPAAMGFAFAAGTTDGPGAFDFTQGDDKGNPFWRMVRNFLKTPHKKQMDCHYPKPILLDTGEMTKPYAWAPSILSLQILRIGKLFILSVPGEFTTMAGRRLRDAVKTQLKRSGDKGLSGEIHVVIAGLANGYSQYVTTFEEYQVQRYEGASTLFGPHTLSGYIQEFKKLSKSLVLGRNVQPGPQPPNLLDKQVSFLTPVVMDSTPGGDSFGDVISDVPKNLSVKRGSNLVTVVFRSACPRNDLMTEGTFALVERLEREDKSWTPVYDDDDLCLRFKWSRHKKFSSRSQATIEWRIPESASPGVYRITHFGAAKKLFGSVQHFTGSSSAFVVT >A03p004900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2049014:2049244:1 gene:A03p004900.1_BraROA transcript:A03p004900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQILRPWFLDLVPALVILLAAAHVIALGYWIYRLATDRRAQSQRGKFH >A09p060830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:277629:277911:1 gene:A09p060830.1_BraROA transcript:A09p060830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVFHHVMSRSPFTTTPPRRSLMVDETRQRWSQWLLCGGGKARHGGWRRQGEARMAAYFF >A06g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9102170:9105934:-1 gene:A06g502790.1_BraROA transcript:A06g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKENGGGTKRLEEERGFRFLEVEGNPEWIVTEATELFEPTGTTGQGSGRDREGTDWIRFHKPSFGVLLETHIKDQNITNLLSILCRGWRFASNHASDDDGRIIIVWRDDVNVRILHQSRQSITCEVTLPATAPFIYTAVYASNFRAERVDLWVELLDVCQTYQLHLQPWIIGGDFNEIMHPSEHSLMEVNVTTLQMQEFKDCLQQLEVFDLRFQGPRFTWSNHCPEGPIAKKLDRLLVNSNIISIFPNCVATFYPTLFSDHSPCVLDLAHHLPLAGTMPFRFFNYLTRHPSYHQLVLETWSQAGSLALNLTKLSWKQKSVKGVLKQLNRENFSNIQVRVLEANRVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A05p010380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4365989:4366861:-1 gene:A05p010380.1_BraROA transcript:A05p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSRPASSPGRTENPALLMRFLRTSAGSRSRHRSRSRPRSRRPIFFRRKNATETQEPSSPKVTCMGQVRIKRSKKPKPGTSRVNGGHLHGGATESRRSRRRCGWVKNAFSCHPFSGKLKPTCFSPAWRKWKSFTNVSFSRKSEKRSSSSRSEPIFSRSTVEPEETEEKRKKERKQEEDEADSDKSFPATPPRNAFLLTRCRSAPYRSPSLGDNFLEKEEETKESHFQRHGNDVIASPENVSVSSVTEEVKQCVLGTPRRRCMVLTRCKSEPARLGEKLVPENRRLGYT >A01p017380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8569004:8570208:1 gene:A01p017380.1_BraROA transcript:A01p017380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCPGDSTQTHLDILRCPFLRNINEPTNLSFSSSSLPFPFPVRTGQGPIFEDGPNFDTAFRLFHGQDGVVPLSDSPRAGADKPSLSSPGFNPLAAKAATISLSSFGHGGPFGFDAFSDMFKNQKRKSDSSKNKDSSSSKGGNHESMSDDWLQTGNCPIAKSYRAVSGVAPLVAKILQPPPGMQYKCPKAIVAARAAISKTAFAKNLRPQPLSSKVLVIGMLGMALNVPLGVWREHTEKFSASWFVALHAAVPFIGILRKSVLMPKMAMVFTIAASVMGQVIGSRAERYRLKSVAQKKMTLTGPDHVDGRCGDKVVIKWNPMLLEVASPVSTGAASVVC >A03p029750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12510930:12512700:-1 gene:A03p029750.1_BraROA transcript:A03p029750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MGGVSFLSTVPSFTNINNRQQHLTLSSSHRSVLIRCCKTDPQVSDNTFSFHRRDVLKLAGTAVGMELIGNGFINHVDDAKAADLNQRRQRSEFQSKIKLTLSKAVKAKPELATKSGGANGSIRFSSEISRAENAGLSDGLALIEEAKKEIDSFSKGGPISYADLIQLAGQAAVKATFLASAIRKCGGNEEKGNLLYTAYGSSGQWSLFDRQFGRSDATEADPEGRIPLWGKATVQEMKDKFIDIGLGPRQLAVMSAFLGPDQAATEELLASDPQVAPWVQKYQRSRETVSQTDYEVDLITALTKLSGLGQQINYEAYTYPVERINLSKLKL >A10p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:980301:981706:-1 gene:A10p001980.1_BraROA transcript:A10p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNVLLRDESLLMSPSPLDPRRGDASASRGLSLEKKIEALESLTGQLMSFIDLMQHQVSNRRSRRWLNDRILMELVPRLDAQEIRGLFAPPPWGDDVPPSAFSLTNVGEWDKFRNIDMDKEANIMDSLNQSSVRQKGHVDADKTAVLTAWRRIECRTREALRRSFLPDLIDGYENCISHFIEEGDEGDVLELKVQDPFHRLLLHGVCEYHNLVSTTETEQIGKKAMKTTKIKWKNNDEKLSISLAHFLRMSKEGAW >A06p029010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:427734:428714:-1 gene:A06p029010.1_BraROA transcript:A06p029010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDSEEELDETNTTIGYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQVKEALKIFNCSIFNTTYGAASHGLRSSQSGSSTDVSLTEPVADLAHEELEESDSEEELDETNTTIGYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQVKEALKIFNCSIFNTT >A03p065000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28322526:28325329:-1 gene:A03p065000.1_BraROA transcript:A03p065000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNQLHLLYLFCFLCFSTPTLSSEPRNPEVEALINIKNGLHDPRGALNNWDESLQNNNISGKIPPELGLLPKLQTLDLSNNRFSGEIPLSVQQLSTLQYLDLSYNNLSGPVPKFPARTFNVAGNPLICRSSPPEICSGSITPSPLSVSLSSSSGDRSNRLAIALGVSLGFVVLLTLALGFFCWYRKKQRRLLILNLNDKQEEGIQGLGNLRSFTFRELHVATDGFSSKNILGAGGFGNVYRGKLGDGTMVAVKRLKDVNGTTGDSQFRTELEMISLAVHRNLLRLIGYCKTSSERLLVYPYMPNGSVALKLKSKPALDWNMRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDECFEAVVGDFGLAKLLNHEDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGLRALEFGKTASQKGAMLEWARKLHEEMKVEELVDRELGTNYDKIEVGEMLQVALLCTQYLPAHRPKMSEVVLMLEGDGLAERWAASHNHSHFYHANISFKTNSSLSTTSHCYDPTYQMFGSSAFDDDDDQQPLDLLAMELSGPR >A03p060790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26384830:26386787:-1 gene:A03p060790.1_BraROA transcript:A03p060790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLSTTVTFNPLHRSFSSSSSVHLHHPRSLTGLPSSLRFRGFSVCYVVEEQRQSSPVDNDERPERTNVIDPELLALRLAEKLERKKSERFTYLIAAVMSSFGITSMAVMAVYYRFSWQMEGGVIPMSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWNMHESHHKPREGPFELNDVFAIINAVPAIGLLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYLRKVAAAHQLHHTDKFDGVPYGLFLGPKELEEVGGDEELDKEISRRIKLYKKSSSS >SC178g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:120798:123557:-1 gene:SC178g500070.1_BraROA transcript:SC178g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRNESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMPSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTHLDHERGNGTESHEQVHNQEDSGQHNQEMTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A06g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14721444:14723215:-1 gene:A06g504950.1_BraROA transcript:A06g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDQISERRTLKKRKEKVPKHLKRRVKEKEMDSFTKRVLRIPLDKPFEEAYFTHGLWMFFRETKETEQNIHRIFDQIREKMKQRITLKEKSDPGKFAVPFLVKGIEFPCAICDTGSSISILPKVMADHLGLKIKCSHDSFTFVDHFTRNSGGIIRDLEVHIGNALVPVDSHVLENKRNKNHSLLLGRAFMATVGPVCNMQTNQLSCHCKYEYEYETEYSGSIVSRTPPSIDIDIHLPIDNASRESIDINPENKTFTLPSHCYPRFDVATQPQTAIDYHYSDTISRQRNYSIGSCAEESFHESFAVNTELPETRSDEYDEDYHKEKNIEYHGLAMGDRGLLHTSSADVTSTSIDSKPTPSIDVCGNRNSHLSKEKSHSEFAYEHLQQGITLGSRAVGEIPSSSNPKTAKLYCEKFLSPCLSPRAPYILPPRSVYAFPLLSLSRHSQKWRYSISPDLHNYLQNFIFIRGNLTFIFPCKPNVNRPMVYGLLVKKS >A03p004620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1942658:1943899:1 gene:A03p004620.1_BraROA transcript:A03p004620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKREAGNQILEIEGGENKRDRYKRSANMRRSPCSDDSGLKKGPWTPEEDEKLVNYVQKHGHSSWTALPKRAGLNRCGKSCRLRWTNYLRPDIKRGRFSPEEEQTILNLHAVVGNKWSTIANNLPGRTDNEIKNFWNTHLKKKLIQMGVDPMTHRPRPDIFSSLSQLVSMSSNLRGFVDMQLQFQTDQEETILKLQTEMAKLKLLQYLLQPSSMNNNINPNDFDTLSLLNPTATSSNLDLGSYLQDYNSIPSLETLNSNMGTSSVLPQNPEDNHFNFFTQKENRNVWLSDPSSLNQSLVPSLDPSSVVSGDLTRNQYIIEDVNNNLMTSSSGQESGASASPVWPDHLLDDSIFSNIL >A01p042700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25305188:25305570:-1 gene:A01p042700.1_BraROA transcript:A01p042700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTPREEPIVVRFLSYQFSRTINVIFNSLNGSVHIIQASSFGKILEITDTPPLSENSQKAWFRFAGKPIRFSLREFAIVIGLPCRNFPTKSKSKMKKKTSPRNPIGVLSLEK >A02p004320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1867604:1868635:-1 gene:A02p004320.1_BraROA transcript:A02p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPSRSPIVSGDPNLTTCLYQTDHGVFYLTWSRTFLGGHSLNLFLHSQDYYNSHSSPLSFPSLSSLASFHLSLNTLTFWRKRGSKFISSNIQVLWDLTRAKFESGSEPRSGFYVAVIVDGEVGLLVGDCGDARVKSPANRQALVMRKEHVFGGSVFATKARFGGKNRAIKIDCCVDEDARLCFCVDSKMVLEVKRLRWKFRGNERVEIDGVAVQISWDVYNWLFQGKSLGHGVFMFRFESDPEEREGSGNDVVLWKPRKCGSGFKGMVEWRKMRRRFVKSKRSSSSSSLSMSMSSASSACSSSVLEWASSADESEYGGGGSSGLGSGNGLGFSLLVYAWIK >A05p047220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27860359:27861684:1 gene:A05p047220.1_BraROA transcript:A05p047220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFGCLRAQYESETLRVDLFEERRSLLGFVIWNIIYVYQMSNLQGDYNQKIDYVFKVVLIGDSAVGKTQLLARFARNEFSVDSKATIGVEFQTKTLVIDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMAKWLEELRGHADKNIVIMLIGNKCDLGSLRAVPTEDAQEFAQRENLFFMETSALEATNVETAFLTILTEIYRIISKKTLTADDDDADGNSSLLKGTRIIIPSEQETGKRGGCCGKS >A05p045870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27368542:27374788:1 gene:A05p045870.1_BraROA transcript:A05p045870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILECTNHLSTDPNCLENLQHADAIKHLIPNLVLKDENLFYQISALSNQCKINKRRQEQAAENGIIPHLMIFIMSDSSLKQYALPLLCDMAHASRNSGEQLRAHGGLDMYLSFLDDEYWSVIALGSIAVCLAQDNDNSHKVEQVLLENKTIQKLVNFFQSCPERHFVHILEPFLKIITYWSAPIIYPLIQIVWRIFSMQMQSNILIPNLVLKDGNLVYQIHHEVLSALFNLCKINKRRQEQAAENGIIPHLMIFIMSDSPQKKYALPLLCDMAHASRNSGEQLRAHGGLDMYLNFLDDEYWFVIDLDSNFVCLAQDNDNSHKVEQALLENDAIQKLVNFFQNCPERHFVNTLELFLKIITVQMSDSPLKQYALPLLCDMAHVSRNLGEQLRAHGGLDIHKVEQALLENEAIQKLVNFFQNCPERHFVHILEPFLKII >A02p040800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25762013:25763075:-1 gene:A02p040800.1_BraROA transcript:A02p040800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSVNSQLHQRFTVDTERTRERARCHLKRLDSSFSDHLSDRQIDVRCVYDQPGDEATLVKQIVSDGILPEYYIDLISESFGVACWSRQGQFGVSSGFFRTRGKGVQRRLDLRCYWCGRAVQRLLYHGRYLRGRGYRDILY >A07p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15037626:15039023:1 gene:A07p026320.1_BraROA transcript:A07p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRKRFPGLHDHLLLSGCISNFWTLKENNNHSWLVRRLLRFVFPWLCIEIGNGQTCRFWSDNWSPFGCLTDFLNLPHSTRLGIPRTATLASLNVQGNWILPAARSEKQVQVQIFLSTITLTDAEDQYSWVVDGSKSTTFSTGAVYKAIKHHNPIVEWRKTIWCSRGTPKHSFLAWLFTLNRCPTRDRLLSWGLNTPSTCLLCNNCDESRNHLFFECVYSSQVWHNMGSRSGITTSTSWELTLTALHHLSGPRHAKLLPLFVWHSTIYYIWSERNARLHRNIYRPPDSIANSIRSYIKAKIAAIRPTSPSLASSLFRLWNR >A04p005150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2679578:2679763:-1 gene:A04p005150.1_BraROA transcript:A04p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAEYTSAFSMLELWNGQQEFDLHDQGTSYLAKHYNKIGKGINSSDMLPGLQGLPNSKST >A01p058420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33117600:33119127:1 gene:A01p058420.1_BraROA transcript:A01p058420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLRRRLHHGDVDGRKYERYDATTDSDTLSEPLLGSSSSNDSKAVYNEERTLEDIWEEERKKQQSHWTLIFSQLIAQWAQWIAKVVFGSGSLFGRFLSLPHIGSGGRLLPPPLSLLQEERLRNIKRRIEIPFDGSRMEHQDALRQLWRLAYPQRELPPLKSELWKEMGWQGTDPSTDFRGGGYVSLENLIFFAKTYPESFQRLLHKQDGTRAEWEYPFAVAGINISFMLAQMLDLQSGKPSTVAGIRFLEFLEEDEMAFDNLYCIAFQMMDAQWLAKRASYMEFNEVLKSTRTQLERELALDDVSSIRDLPAFNLLYKK >A08p024070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15721282:15724158:-1 gene:A08p024070.1_BraROA transcript:A08p024070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQTANGSSAAAQAPAQIGTTSLYVGDLDLNVTDSQLFEAFSQMGQVVSVRVCRDLATRKSLGYGYVNFANPQDAARAIQEMNFMPLYGKPIRVMYSHRDPSVRRSGAGNIFIKNLDLAIDHKALHDTFSTFGNIVSCKVAVDASGQSKGYGFVQYADEESAQKAIEKLNGMLLNDKQVYVGPFLRRQERDSSANKTKFTNVYVKNLGESTTDDDLKKAFSEYGKITSAVVMKDGEGKSKGFGFVNFENADDAARAVEALNGHKFDDKEWYVGRAQKKSERETELRVRYEQNLKEAAEKFQSSNLYVKNLDPSISDEKLKEIFSPFGTVTSCKVMRDPSGISKGSGFVAFSTPEEATEAMSQLSGKMVESKPLYVAIAQKKEDRRVRLQAQFSQPRPVAMPPSTGPRMPMFPPGGPGIGQQMFYGQAPPTMIPPQPGYGYQQQLVPGMRPGGGHGPNYFMPMVQPHQQRPGGGGRRPGGIQQFQQQPPMMQHQMHPRGRNIRYSQGRGVSGGDVPPYDMGNNNMPLPIGTLASNLANASPEQQRTMLGENLYPLVEKLEAESAAKVTGMLLEMDQTEVLHLLESPEALEAKVAEAMYVLRSVAAGGAAEQLASLNLS >A02g511090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29407608:29409244:1 gene:A02g511090.1_BraROA transcript:A02g511090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYKKEPKIVRSTSINPKIVGGRLFLNASSGAYILTGDGTDHTTSSSKLVHAQKVEPLTVAELNQYVIIADPQTYPFPYNRYRVILTVSDATDTAAFLGFDMEVAKLRNIQASEAAQIGIGVNAQLDTDLPRSLAEIFWEFLYLPAQVKGLQFYFKAPNLHCFLRFSFARACTCASLCCQCWCTPETAQPEVVATGSDAKVDNTCCVTEAPSTSDGSLAGRKASAKEQIALDENPP >A03p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8459211:8459541:1 gene:A03p020590.1_BraROA transcript:A03p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLHGSKPPTVNIKTSLHCISLARASMMASDGTTPPTVMYDNSSPTKKKKRGTKEKKNEIKRKERKDDKYNNKTI >A02g510510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27712141:27713795:1 gene:A02g510510.1_BraROA transcript:A02g510510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLLLSELKADRCKETVVTRLFRFLEARNVKKSGELMEINMLVEFSCTEVYSIDTENGWCYTYRKLQRVFSSFTYGTDSGEFVAFDGEMTKLTNAHASEVAQLMDPGGEDPEQRSLLQCLKDMVGCTFTFQLKLSPFKFSPKHQSFTISRIFDRQSASITSKLCSTCRLYHFLNLLSNYSSVVHPIIWVYAQGDDSNLGDGRPRVVSSKPSSNVTKVSLANEALLASCGVLGKATAASSVVYYEHVMWLVKAQPRDQPSRT >A06p044980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24143622:24146118:1 gene:A06p044980.1_BraROA transcript:A06p044980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRDRPFHGASGYSGLKAHKLTFKTAVKKVMKHMSNNQIMVHMENMFRKIVREELERLIQQHHLSSSWSQIERPRSETPSSRSRFKLRFINSPPPSIFTGAKIEPKNGFPLAIELVEAATNARVVSGPLSSSRVDFVPLNADFTEESWTVDLFKRYILKPREGKRPLLTGDVTLTLKNGVGVVSIAFTDNSIWSRCRKFRLGARLTGDGAVEARSEAFKCKDQRGECKYTRDYCVLKMRFKPYKKHHPPYPGDEVWRLEKIGKDGASALRLAEREIYTVKDFRRCYAKDPNELYNILAGVGGGISKKIWESIVSHAMCCVLDETEYYIYDATGHDVSLVFNSVYEVTKVFIGGVLRNVDQLPSYQLDQLKREAYQNISRFRDSRTFADHPQRSLQCPQNPGFGPGFQQHMDFQGPSDTSMHAFFTGACSTSQPEMLMGFENSPSQTFHIDPTFIPTFRNSFRVNQHDMVHDELQSVVSRGYNKNNEDENGFAYHHHHEMPSNWSPGAAVWEQQEYNNLCVSVSGTEEAGYDVRIANIGGSPRARWCKVKAAFKLRQVWRHTSARKRGKACKKPCLLY >A02g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21055331:21055973:-1 gene:A02g507550.1_BraROA transcript:A02g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHRIDEKKWKQGVPITSDLLSKTLSSLSKGASLQLSHQTPTKRSPARLESCRRHQPAVEAPPSTTARAAQVADRGYQAAGFSFLWSVTACTSKSQIRNRNCFLRCPRIRSTTN >A03p013700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5409207:5413216:-1 gene:A03p013700.1_BraROA transcript:A03p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFRDKAWIFVAILCYSSLICSVKATVSYDRKAVIINGQRRILLSGSIHYPRSTPEMWPGLIQKAKEGGLDVIETYVFWNGHEPSPGNYYFGDRYDLVKFIKLVHQAGLYVNLRIGPYVCAEWNFGGFPVWLKFVPGMAFRTDNEPFKAAMKKFTEKIVWMMKAEKLFQTQGGPIILAQIENEYGPVEWEIGAPGKAYTKWVAQMALGLSTGVPWIMCKQEDAPSPIIDTCNGYYCENFKPNSNNKPKMWTENWTGWYTEFGGAVPYRPVEDIAYSVARFIQNGGSFVNYYMYHGGTNFDRTAGEFMASSYDYDAPLDEYGLTREPKYSHLKALHKVIKLSEPALVSADATVTYLGAKQEAHVFWSKSSCAAFLSNNDANSAARVMFRGFPYDLPPWSVSILPDCKTEYYNTAKVNAPRIHRNMVPTGTRFSWESFNEATPSANERDTFARNGLVEQISMTWDKSDYFWYLTDITIGAGERFLKTGDYPLLTIWSAGHALHVFVNGQLAGSAYGGLSHPKLTFSQKIKLHAGVNKLALLSVAVGLPNVGQHFETWNKGVLGPVTLKGVNSGTWDMSKWKWSYKIGVKGEAMSLHTDSSSVSWNQGSYVIKKQPLTWYKSTFAAPGGNEPLAIDMNTMGKGHVWINGRNIGRHWPAYKAQGNCGRCNYAGTFDAKKCLSNCGEASQRWYHVPRSWLKSQNLIVVFEEWGGDPSGISLVKRT >A02p060310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35997709:35998763:1 gene:A02p060310.1_BraROA transcript:A02p060310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKTLVRNLFNISKTYSRISGLTRMRPPTKPGITPDAGDSGIRRRFLHKRAFSSPDFVPRGGNLMEKLRELTLSNNRLRLDEMLPPPTPEKTSPENFPAVTVDDVKKLMRAAEMEMVKSKLREIGKNWVPLSEFVRVCGENSSDPEQGNRVANMLDQAGNVIVLGNFVCLKPEELTSAVAGLIPTNEPTRDAATIQEFEQLEIIKSDIDKRADDMVRRELMAGLGFAVAQTIGFFRLTFWELSWDVMEPICYYVSSTYFMAGYAFFIRTAKEPTFQGFYKSRFQTKQKRLIKMLDFDIDRFTKLQKMHRPDFTKSGRC >A02p049480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30665895:30667593:-1 gene:A02p049480.1_BraROA transcript:A02p049480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLDKFPLLSYVLHQYDSNHHAPPSTAVQQTLAPSFPHLSDPQIMSSLTQSIPTTITQTLTVLASLGPRPDPSAVSSARSKIAQILQMDSLSTEEAAKEAEIYAGAVRLEEVYDSYEKELSDLEEKLSRVYATEAESLLRSREEMNEEVVKVLKAAESGKVLERVDLSGQELKLLPEAICKIVGLVSLNISGNNLMFIPDAISKLKKLQELDVSSNSLESLPDSIGMLLNLRILNVSANNLTSLPESIAHCRSLVELDASYNNLTSLPTNIGYGLQNLERLLIHLNKLRYFPGSISEMISLKYLDAHMNEIHGLPSSMGRLKKLEVLNLSSNFNNLMRVPDAITDLINLRELDLSNNQIQAIPDSFYMLKKLEKLNLEHNPLEIPSQEVAKQGAEAVREFMRKRWDTIMGEEQQRIGVEAERHGDGTGWVSWGTSMVTNLVSGVSQTVGFGGGPGDGGDKKPGESHFYYQI >A08p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16489129:16490621:1 gene:A08p025680.1_BraROA transcript:A08p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPPLQSPTNPHFFQPLLPGFDTHLTMPVAFFSKHIQGKTMKKTVKLRSYTSDRTWEVKIDGRRLTRGWKDFAKAHDLRIGDIIIFKHEGDMAFSVTPFGPSCCEIQYTQSHIIKKEADTDDDDEDDNERQYKIRNGLKPKTEPMSSYSFDYCFVSEVTASNLKLDTLYLPVGARSSSALNKRCHEMILVNKEGNSWTASLKFRESGGMYYIRRGWRRFCLDNRRKVGDLFVFNLVGDGKTTPMMCVCPEEECSELVSKHLSRGRRSRKTKKRSKWVASSSSRRNRFLTITLTRYNFISSKLILPIAFTNINGLNKYKEIILMDKHGVKRLTKLVQDGPHNNRRGLGKGWKLFCEANDVFKIGESFVLELVWEDTVPVLKFCSKVKVETVDV >A04p000600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:319849:326359:1 gene:A04p000600.1_BraROA transcript:A04p000600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFNKEKHIPEKVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATQLFKVLMKYRPEDKAAKKDRLLKKAQAEAEGKPSESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGTVVHQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERECIRNSRDVELFACRWVPSTSPPKALIFLCHECGIRLASAGYAVFGMDYEGHGRSQGARCYIKKFSNIVNDCYDYYTSISAQEEYKEKGRFLYGESMGGAVALLLHKKDPSFWNGALLVAPMCKISEKAKPHPVVINLLTRVEEIIPKWKIVPTKDVIDAAFKDPVKREEIRNNKLIYQDKPRLKTALEMLRTSMNLEDSLHEITLPFIVLHGEADILTDPEISKALFEKASSRDKTIKLYPGMWHGLTSGEPDANVDLVFADIITWLDDRTGDSASLTVTPVRDVTAKKVLEKVVEGACNDQGKPKRQYASLLCGLNGGGRRLVHRSSIRPMEEDTTNGKTEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNRDRFISKMFLRGDSVIIVLRNPK >A04p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18002076:18003323:-1 gene:A04p030310.1_BraROA transcript:A04p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREMLIILLVFASTLIGLAVPTDHTIGGPSGWTVGTNLGTWAAGQTFAVGDNLVFAYPSAFHDVVEVTKPEFDSCQAHQPHRFQTLLIPYINAPSPSSVLPIQPLNPVPALSPSSSTPLPSSSLPLIPAQSPGLSPTAAAGTSLPLFPGSPASSSSTTTKTVGSFPSSGTDTTADLSGAGAPPVDSSSSSSTKSLVLGFGFMIAMMLHLF >A05p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24145462:24146750:1 gene:A05p039480.1_BraROA transcript:A05p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMKNENNLQVTFSKRRNGLFKKASELCTLCGVEIVVIVFSPGKKVFSFGHPNVDCVIDRFTNINPPHPRQHTDTQLSEARRNAIVQDLNSHLTQVTEEFEIEKKRAEDLKKKRKNSYISDNWWEEPIKELNLSQLTEFKCGLEKLRKTVTTEASKNFQTIVPRHNFDGGSSNNATFGICDDHAGNIDTNLDLYNHQRMVATNTFACNHHNMMVPYHITTPFGNIGNGNIIEGFAAEYNQNPNHLCFKKEHISGCDHHSVHPPRFGHGYY >A01p006660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3386515:3389843:1 gene:A01p006660.1_BraROA transcript:A01p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY1 [Source:Projected from Arabidopsis thaliana (AT4G31820) UniProtKB/Swiss-Prot;Acc:Q8H1D3] MKFMKLGSKPDTFESDDKFHKYAVSDLDSDVTVIVGEFTFYLHKFPLLSKSNRMQRLVSEASEENTNDITISDIPGGHKAFEICAKFCYGITVTLNAYNITAVRCAAEYLEMTEDVDRGNLVYKIEVFLNSGIFRSWKDSIIMLQTTKSLLPWSEDLKLVTRCIDSVSAKILVNPETITWSYTHNRKLSEQDKIVEYHREKRNENVVPKDWWVEDVCELEIDMFKQVMSAVKSSGRMNNGVIGEALRYYVARWLPESMESEAASSNKHLVETVVSLLPRVNGAMSYSTCSFLLKLLKVSFLVGADETVKKDLVENVSLKLHEASVKDLLIHEVELVHRIVDRFVGDEKRVSEDDRYKEFVLGNGVLLSVGRLIDAYLASNRELTLSSFVELSELIPEAARPIHDGLYKAIDTFLKEHPELTKSEKKRLCGLMDVRKLTSEASAHAAQNERLPLRVVVQVLYFEQLRANHSPVGSVAASSHSPIQPVKKAEESIVVVQEEAEKKVGLSKKSRGSKSTRSGGGAGGPQLMPSRSRRIFEKIWPGKGESNKSSEVSSGSSQSPQAKSSSSSSRRRRHSIS >A06p056170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29273590:29274989:-1 gene:A06p056170.1_BraROA transcript:A06p056170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLNFKPSVFRNVIRHTTNSVRMFSSSTPTFPFMLIDYLTNFSSVEDDGPVTKWYGSSPPNCYNQKKILIRDLKLREEVLEAMTSGFSRNQSIGFYDYYQSDSGDNPPILLKHKPSNPELTEVIAQLPPLPCGTKIQNIAMSSFSNRRKDWAVCVKLPSSQLSLCRPFALGQFKWINIKPMPESISSFSSIMFSKKDQRFYIPSPGGNHLCSLDLNFKEGDMPRFLRIGFEDYPKSVVTELEELNSCSRTDHIVESPTGELFYSKWYGEEYEGEDLDRDENYNDVVRSLTHKTKKFMVFREKETGYGEEKKNEVIIYTEEDDKTMTYTEDIGDLCIFVGHSQAYCAPASSSPGLKPNCIYFVGYSFGVYDLTTKTCTTFFTRDADEEDNEIVPLRRLDFPYWPPPFPISC >A05p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10750246:10750612:-1 gene:A05p022470.1_BraROA transcript:A05p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHIIIHNNSRASMAFHSEKSILVKIIVFSLLLLLPLSQSNAARVPSTPRVPIGPRRPICPACVCCEPAPIGRCCRCCASPIVTQTHHHSQSP >A06p052750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27853457:27857471:1 gene:A06p052750.1_BraROA transcript:A06p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALSLIPAAVQRNLSDKLYEKRKYAALEIENTVKNLASAGDHEKLSKVIDILVKEFAKSPQPNHRKGGVLALAAVTVGLSSAAPQYLEQIVPPVIDSFLDQDSRVRYYACEALYNIAKIVRGEFIFFFNQIFDALCKLSADSDPSVQSAAHVLDGLVKDIVTESDEFSVEEFIPLLKERMNVLNPFVRRFLVEWITVLDSVPDFDMLGFLPDFLDGLFNMLSDSSHEIRQHAVSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRLTAITWINEFVKLGGDQLVRYYADILGAILPCISDKNEKIREVARETNEELRSIHVEPSDGFDVGAILSVAKRQLSSDHEATRIEALNWISALLNKHRAEVMCFLYDIFDTLLKALSDSSDDVVLLVLEVHAGIAKDPQHFRHLIVFLIHNFRSDSSLLERRGALIIRRLCVLLDAERVYRELSTILEGEDNLDFASTMVQALNLILLTSSELSKLRNLLRGSLVNREGKELLVALYASWCHSPMAIISLCLLSQAYQHASVVIQSLVEEDMNVKFFVQLDKLIRLLETPIFAYLRLQLLEPGRYPWLLKTLYGLLMLLPQQSGAFRILQTRLKTVPTYSFSGGGDQISRAFSGVPFSQYMMHHHEDGDAEDYNINSSHQGINFAARLQQFENVQNQHRGQARSKENYSNSTSSSSTSKEVRTSKEQQPPSLTSLSLAENNRPPARSSRKGSGH >A06p055860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29122468:29124039:-1 gene:A06p055860.1_BraROA transcript:A06p055860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALKIQSLFIYPIKSCRGISVTQATVTQTGFQWDRYWLVVNYKGRAYTQRVEPKLALVESELPKEAFFEDWEPTKDSFLVVRAPGMSPLKIPLTKPSSIAEGVSMWEWSGSAFDEGEEAAKWFSDYLGKQSRLVRFNKETETRPSPPEFAAGYSTTFADMFPFLFASQASLDQLNTLLPEPVPINRFRPNFLVDNCDPFGEDLWDEIKINELVFQGVRLCSRCKVPLVNQETGVPGAAEPTETLMKFRSDNVLMPDKKARGKVYFGKEMVWNWNIGNSEGKGSKTIKVGDTISVLRKMPSIAEAAV >A06p046680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24954042:24954550:-1 gene:A06p046680.1_BraROA transcript:A06p046680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLKLRNSFKSTSKKKYQRTNSSGQHSQSNQQKLLESSPEIQSGSWFYVVCGDLAMVLSCCFCCFCCGACIDEEDN >A10p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14665085:14666688:-1 gene:A10p022150.1_BraROA transcript:A10p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFSVVSTFIAIVLIPGLFISRRLSVPFSIRNILRFIKLAASQNDNDEERNEKRGTNTMGEKEKRGGLPKHVAIILDGNRRWAQKRGLGTSDGHKAGARRVIENAKDCFAMGINTDEVNGLMSLFEENFRSEMPFFQRDKIKISVIGNRTKIPQSLLGLIRETEEATKSYEGKHLILAIDYSGRFDIMQACKSLAEKAKNGLIQVEDIDENVIDKELMTNCSEFPNPDLLIRTSGEQRISNFFLWQSAYTELYFPNVLWPDFGEAEYLEALTWYQQRQRRFGRRV >A09g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12302326:12303367:1 gene:A09g503930.1_BraROA transcript:A09g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLLWLALVFIAVETNAAKQGKNATIPALIVFGDSIMDTGNNNRLPTLLKCNFPPYGKDFPGGLATGRFSDGRVPSDLIAEKLGIAKSLPAFMNPNLKPQDLLKGVTFASGGTGYDPLTAKIMSVISVWDQLTYFKRYISTIKKHFGEKKAQDILDHSFFVVCSSSNDLAHTFMAQSHKYDRTSYPNFLADSAVKFVRELHKLGARKIGVFSAVPVGCVPLQRTVFGGMFTRGCVKPLNDMAKQFNARLSPAL >A07p049960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26648486:26650831:-1 gene:A07p049960.1_BraROA transcript:A07p049960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAAP3 [Source:Projected from Arabidopsis thaliana (AT1G77380) UniProtKB/TrEMBL;Acc:A0A178W3U1] MVQNQQEAFAVDMPQSGGSKCFDDDGRIKRTGSVWTASAHIITAVIGSGVLSLAWATAQLGWLAGPVVMLLFSIVTYFTSTLLAACYRSGDPISGKRNYTYMDAVRSNLGGVKVKLCGIVQYLNLFGVAIGYTIASAISMMAIRRSNCFHKSGGKDPCHMNSNPYMIAFGLVQIIFSQIPDFDQLWWLSILAAVMSFTYSSAGLALGIAQVLLRRKLSLFVWVSLANGKVKGSLTGISIGAVTETQKIWRSFQALGDIAFAYSYSIILIEIQDTVKSPPSEEKTMKKATLVSVGVTTMFYMLCGCMGYAAFGDMSPGNLLTGFGFYNPYWLLDIANAAIVVHLVGAYQVYCQPLFAFIERQASTRFPDSDFIAKEIKIPVPGFKPFRLNFFRLIWRTVFVIITTLISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIEQKKIPRWSTQWVCLQVFSSACLVVSIAAAAGSIAGVVLDLKSYKPFQSNY >SC287g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000134.1:19753:21809:1 gene:SC287g500020.1_BraROA transcript:SC287g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQHTQDVCGCLWLSVSTHRTSMAVRVCPCISVSTHRTYVAIYQYTYQHAGPWTQHAALPVDCSGDFGPRVLSVQYTQDVRGCQSAHTGRPLLSVCVRQHTQDFRGCLSVHISARWSLDSARCPSRGLFMTSVAIRVCPSAHTGRPWLSISTHISTLVLGLSTLALPWTVRVILAHVGYLFSRHRTSVEVRQHTQDVRVCPSAHTGRPWLSVCVRVCPSAHRRRLWLSVSTHISTVLGLSMLNLPVECLGDFGPRGLSVQYTQDVRGCPPAHTRCLWLSVAVRQHTQDVHGCPCMSVSTHRTYVAVYQYTYQHAGPWTQHAALPVDCSGDFGPRGLSGQYTQDVRGCQSAHTGRPWLSVCVRACPAAHTGLPWLSISTHISTLVLGLSTLPFPWRVQVILVHVGCLFSTHRTSVGFRQHTQDVRCSHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPCGLSVQYTQDVRGCLLAHKGRPWLSVAVRQHTQDVSGCPTLALPVDSSRDFGPRGLSVKYTQDVCGCLSAHTGRPCVSVSTHSTSMAVRVCPCVSISTHRMSVTVHQYTYQHVNPWTQHADPSRGLFG >A07p049920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26632744:26638447:1 gene:A07p049920.1_BraROA transcript:A07p049920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MKTATQLFKGANVFMSRNLVPPEVFDTLLDTFKLNGAEIFLCCDPSRNGPSDFHVIASPQHEKFEDLKAKGCNLIGPQCALSCAKEGRPLPQGGFTCCLAMDGLKVLASGFLIDEKVKIEKLVTSMGGVLVSRTSSDVNFVIVKNVLAAKYKWALYVQKKPVVALSWLHQCWNEHRVVPQEPYKIPPFSGLRICVTKIPADQRKGMEKLISENGGRYSGELTKAFLDIAYAAEGDKYKVARKWGHIQIVTRKWFEQSIARKVCLNEELYPVLGSISSSRGVRGLGNHDNQEKFPECPVSLSHSTAVAEDSCASYAQSRESDIEPCASQNRLSTSMNPSSHVKEPSKDPTTEPQEQNIDGCTAKDSVTEDNDLYLSDCKIFLLGFEASEMRRLVKLVRRGGGSRYMMLSERMTHIVVGTPSERILIYSEKKEARSVAASGVVHVVTPNWLEDCDREKKEIPIHKVYTAHNLILPRGLLLAPFTIFFFNYLGHIPSIQDASRHVNALYYTSLTDTFSVTDSECLTKGSRAGMPGMEKGKTFPQTMAYDSPSRSISISDEAATLRGKNKEAVLDVGRKDEIHVERKIVSPKKKETLSSVTTIKSKEQKIQCESSGQSKQERKSSVFKGKIFCFSDSFPQNTRPEIVEWVNQGGGEVVNDSFMKNRDFTIECHGLFRSAAGTTQTTYVSSHWVRSCLEDGCLFDISSHILYSPLPCQTPLPGFESLRFCVSHYEEKDRLLLKNLCFVLGAKFVIRQTKKVTHLLCKFAHGPKYDAASKWGIVPVTSDWVYECVKQNQVVCPDNYHPKEMSTQDREAGIGLASQFHTQSVPVASRETESLLGSHSEDRVKSQSFTGKNGCGKSEVNNRLGETGSEQSFPSKKAKLLRDGQENDAFPMDESSSNFARPLKCGDGIGFGNNVASGRVVPDVADTIEDLLEQTSKIQDQNSPGSGRISEKNLFSASEQYSSGNHSVTGLSRHWINRVQENDDAGNPRGDVTTGTYGNFSETQTESQVVGYEEDLSGRQMLIDRVRTRSSLT >A09p050050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44086194:44087278:-1 gene:A09p050050.1_BraROA transcript:A09p050050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEDVEVLSRAIEKLLDEKRKREAAGDSFIEDEDDQLLLTRLISQLESPNPIQKTVVTAKDEEGESSPVSSPSKGKQEEDKRRLEESIEEIAKDIKEVKRQNKVTHILLSALIILTLTWQLSEYSMIYMMKERLTHPIRSIGGMLSGVYKGKLLPIKNRLQGTSNDKEENNLHNGNGTNNGVHIQVPDLLREFGFDDDDQ >A05p011350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4820652:4821527:-1 gene:A05p011350.1_BraROA transcript:A05p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHKNLFFLCFLIGLGLCSARRALLSSYEPEDEVAGYGEKSSLHAGYGIGVDAGVGVGGGGGEGGGAGYGGAEGIGGGGGGGHGGGAGGGGGGGPGGGSGYGGGSGEGGGAGYGGGGAGGHGGGGGSGGGGGGGAGGAHGGGYGGGEGAGAGGGYGGGGAGGHGGGGGGGNGGGGGGGGAHGGGYGGGEGAGAGGGYGGGGAGGHGGGGGGGKGGGGGGGSGAGGAHGGGYGAGGGAGEGYGGGGGEGGHGGGGGGGGGAGGGGGGGGGYAAAGSGHGGGAGRGEGGGGY >A05p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29545522:29550215:1 gene:A05p050760.1_BraROA transcript:A05p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSFATVAYSPMPDVQSTVLEFVNKLRKRKIEGSQATAKCTVELLRSVISQQRVPHSNQALALIDAVKAVGEQLVAANPVELAVGNVVRRVLHIIREEDLSLATAAMAGLDLLDGSDDDGEDDNCKGVGYSAMSAAVAAAAARSTLRPPSLQTLLEGTPESAAVPYTSSSGADSESKTADKSSITRKLKHDVIEGVNQLILEIAGCHEQIAEQAIEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTVITDSAVFAMISRVNMVIIGAHAVMANGGVIGPVGVNMAALAAKKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGTGSGSPLLQVVNPTFDYVPPSLVSLFITDTGGHNPSYMYRLIADYYSADDLVICRERRELGFTTMIQGSLPLCNKMRTNVVVPLDLQIEILSRLPSKSLVRFMLVSKSWQEIISSKSFIRLRSLTWPLRFLLVLKEFDYQKGRLTFNFFSSSSLSLSSTSISTTFLSKITFPLRQAGHPIYYVNGLINIGDIICNPCTGKTVSLPKLAASGGSIGRRFFGYDPVNNQYKVLCITHHNLGGHATLQFNRYHVFTLGAKPKKWRFIDCGIPHTDWSSCLCIDGFVYYIASTDAGLMCLMKFDLSSEKLNIFARASEEMKASFSHFNSYKTLINYHGKVAIAIQRSHLVPSIDLFVFEEGKQEYKAKSFNNLPRLNLRTKCVINHMDDTIFAPVYSESEATIIHHDFKGHSFKKMKFEVDVKKDWFHETNYFVGYVESLMMI >A01p043930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24629986:24630798:1 gene:A01p043930.1_BraROA transcript:A01p043930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISGITIVLVALIAVLAFPVRSQQPPLSQCTPSMMTTVGPCMSILTNSSTNGTSPSSDCCNSLKSLTTGGMGCLCLIVTGSVPFNIPINRTTAVSLPRASNIAPAAAPGPASTFGPAMSPGPATTPLVPEPTPAAQTPQSVTTRPFTPTADGAAPTADNGGSTSRPSLTPSSAYALSPSLLFFGISLVALKFY >A09p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4903125:4904751:1 gene:A09p009520.1_BraROA transcript:A09p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFHISYIYLSFLHTFSGSTSSSLYIYSPYLIFFYTHTQPHVYVHVKQILRSISLSMNLPPGFRFFPTDEELVVHFLHRRASLLPCHPDVIPDLDLYPYDPWDLPGKALGEGRQWYFYSRKTQERVTSNGYWESMGIDEPVFTSSTHKRVGIKKYLTFYLGDSQTNWVMQEYSLPDSSSSSGRSSKRSSRGSTSSSHKPDYSKWVICRVYEQNSSEEEDDDGAELSCLDEVFLSLDDLDEVSLP >A01p008280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4022167:4025339:1 gene:A01p008280.1_BraROA transcript:A01p008280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative inactive cadmium/zinc-transporting ATPase HMA3 [Source:Projected from Arabidopsis thaliana (AT4G30120) UniProtKB/Swiss-Prot;Acc:P0CW77] MADGEDTTKKNLQTSYFDVVGICCSMEVPLVGDVLRPLNGVKEFSVIVPSRTVIVVHDTFLISPLQIVKALNEARLEASVRPYGETSFKSQWPSPFALVSGVLLALSFLKYLYGLLEWFAVVAVVAGVYPILAKAVASVTRFRLDINALTLIAVIATVCMEDYTEAATIVFLFSVADWLESSAAHKASTVMSSLMSLAPRKAVIAETGIEVDVDEVGLNTVVSVKAGESIPIDGVVVDGSCDVDEKTLTGESFPVSKQRDSPVLAATINLNGYIKVKTTVLARDCVVAKMTKLVEEAQKSQTKTQRFIDKCSRYYTPAVVVVAACFALIPVLLKVHNLSHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGFLIKTGDCLETLAKIKIAAFDKTGTITKAEFTVSYFQSLSHNINLQSLVYWVSSIESKSSHPMAEALIDYARSVSIEPKPDMVENFQNFPGEGVYGRIDGQDIYIGNKRIAKRAGCLTVPDSEADMKGGKTIGYIYIGEELTGSFSLLDGCRHGVAQALKELKSLGITTAMLTGDNTDSAMSIQEQLGNALDIVHTELLPQDKARIIDEFKSQGPTMMVGDGLNDAPALAKADIGISMGISGSALATETGDIILMSNDLRKIPKGMRLARRCHKKVIENVVLSVSIKGAIMVLGFAGYPLIWAAVLADAGTCLLVILNSMMLLRDEREAVSACYRASPSSCTPSLLKLEEDLEVGLLQKSEETSNKSCCSGCCGGPKGTQQK >A04p019160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11460031:11465979:1 gene:A04p019160.1_BraROA transcript:A04p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASLGRLRRFALPKVDAIDIGEIFHTPQIDGLARAAKDMQEMRESYDRLLSVAAATANSAYEFSESLGEMGSCLEQISPHNDEESGRILLKLGQVQFELQKLVDTYRSQIFKTITKPSESLLNELRTVEDMKQQCEEKRDVVKHMMKDKVHLKGGKGERLVRRQLETARDELQDEATLCIFRLKSLKEGQARSLLTQAARHHTAQMHMFLAGLKSLKAVEKQVRIAAKKQHIDCELSANENETECSEDDVNRDGELSFDYITSEQRVEALPTPQGSMKIDETDLSFPRPSPAGSATVNADHREENSIYRDRRRSSHSAPLFPVKQTRQMTPSANAYVLPTPVDSKSFTKPVTKANHSAHLWHSSPLEPIKTVESNLYSRLPRPSETPHQEAAPRHAFSGPVKPSSTRLPVPAQTHQSSSPRMSPTASPPLASSSPRINELHELPRPPGQLAPPPRRSKSPGLTGHSAPITTWNQERSSVVASTTIVASPLPVPPLVVPRSYSIPSRGMGQRHLPETNQNRVVSPPPLPLTPASLMNLRSLSRSRVGEVAHSGQIRARPRTRPERERERERRGLIHHHHHHHLLHSSVNDRRFWISFPFLPSVLGFTLVSVDRETESLRRLRRVTVTDEEALEALSSASSCSFSQSVPVSAFLHDSDLVNRGSEGSLCSSVDNVHWSRNEVRRIVGFKSNESTSSSNELVSGPCEVDITGGSSLVRKRVSSPLKTLFPEKFRGDQMDISCSNQHLTSTGLSNAQNHKKANISGRLHRSSKLSSIVFTDGPLLDDSSDLHPTNTKGDACLVPAKPLPCHKNLISVSPTLTPSPLGPRFSERMKALQNGNIFDDGVCLRNTDEEAELTTVHRSLFDDANTIKRAFSMERGVESVVNTSPCKRFGRSLSGRTIQRSLIGSFEESLLSGRYSYGHTNQIDGFLAILSIAGGNISPKAQKLPFSVTSVGDDCFLLYYASIDLSGGSSSNKLWAQKLKKNQKSSDSQTTNSRLRIPIKGRIQLVLSNPEKTPLHTFLCNYDLTDMPQGTKTFLRQKVTLASSVPTKAKSDSVDEFHSLSECENTKCRQTYRETGQCCSKSGVLRYALHLKFICPSRKKASKLGQKKSLDDEERRFYLYNDLRVVFPQRHTDSDEGKLNVEYHYPENPRYFDVTN >A06p052350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27676057:27678906:1 gene:A06p052350.1_BraROA transcript:A06p052350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYNTSVVPSSSSTQPFFITGSSAGDNAFDRKDTFMSMVQQPNSSAPQPKKRRNQPGNPSNLIDQTDPDAEVVALSPTTLMATNRFICDVCKKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYICPEPTCVHHDPARALGDLTGVKKHYYRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQETARNPTVSFTSMTAASSGAGFRGFHGRLEGGNALSHHHLSDHPNSGFSSFGGYNLNIASSENSRDFAPQTSNPNFLVQSQGMLTQPNNNNNDQSFMNQQGLIQFDPVNNINLKSSTTNNSFFNLGFFQENTKNSETAIPSLYSTDSLVHHREESLNAGSNVSATALLQKATQLGSITSNDPSALFRGLASSSNSSSVVVNDFGGGQIMGNDNNGNLQGLMNSLVAVNGGGAGGSGGNIFNVDFGNNNVNMSGSDNLTLDFLGVGGMVRNVNRGGAGRGRVRGDVSLNGELKFPE >A04p022120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13350759:13351273:1 gene:A04p022120.1_BraROA transcript:A04p022120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITTIERYLKCHIHEFEKVLRQKPHVCSIEAKRQYTMALDSVNTYCEKMMEGRSSIMVLIMGVNVSSGAFIGICEALELMDGGKEYLGKGVSIR >A01p043600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24816803:24817698:-1 gene:A01p043600.1_BraROA transcript:A01p043600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVNMQSVFACGLATRKINTNKLFFAGNFPNLKRNYPVGVRCMAEGMKDESTPSTSAAQPLSSSSSPPPPPPPTKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVLAQISDGGVSWFLGTTAILTLASLVPLFKGITAESKSKGFMTSDAELWNGRFAMLGLVALAFTEFVKGGTLV >A09g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27590065:27591782:1 gene:A09g509370.1_BraROA transcript:A09g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDQMERHHNLEAGQELSMTGSKHDGDEARRKNSKLPKTLILVLCNSSRKPEAQREQKNISDLSRAESMSEGSRSRKSTEKLKVSQCMSSGRNVNHLISCLSAQARGVGTHGSSTCGLTHRRTRCRMWSTRSRRACNRSHASRHTVCHRPELDWLMSYINRHAHLHISTHPDHFRSLFKGGHSRFGTSLRSFAIGGYLRCSRKGFSRGFGQGSKPQRTKHCESRQLIADLFSCRFLLLGSWIMAGGRYRALSIACLISNAKMLCGKEGYVSMSLRGLAGSLRQERLLEYVPTRLGREGA >A09g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9050770:9051050:1 gene:A09g502700.1_BraROA transcript:A09g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAEAMEIPEIGIDDDEGWEAGSIKWMGDGSILSVRMKTGRKDGEDGRLDLPFNPI >A08g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5689982:5690503:1 gene:A08g502760.1_BraROA transcript:A08g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRKGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITVRHRGGGHKRLYRKIDFRLNTKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRVAIIGDTIVSGTEVPIKMGNALPLSADRPQQKTEE >A07p038630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20554164:20554842:1 gene:A07p038630.1_BraROA transcript:A07p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFRKKEKKKAQKRMRQQRFAFMTKSDVDNLEDGYRWRKYGQKAVKNSSFPRSYYRCTNSRCTVKKRVERSSEDPSIVITTYEGRHCHQTVGFPRGGIFPAHDPHNFTSHHHLPPPLPNPYYYQELLHQLHSENTSSLQLPQSTTEDGHVAVSSINQPEEGLLGDIVPQTMRNP >A02p016210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7226758:7227282:1 gene:A02p016210.1_BraROA transcript:A02p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVVASFANRGRSLFSGGSGHGVEAFTSKANKILQQIAEAEDAEKKRQREEKIAKDKEIEAVFRARKARDKEEKRRVKEMRKEKEWRKTVMMSKLTGKATSTVAVTTKEVDIWTVTNTVAISTEDTEEVESLETSTTVTKKKVAISTEDTEEVETSMTTVVKEVATVEKTTSE >A06p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:169800:170879:1 gene:A06p000700.1_BraROA transcript:A06p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDRYHREVQDPYHDRRRFTPERPFLRAPPPPSSLLEDLQMQESEIRRLLNDNHRLADDRVLLERELGAAKEELHRLNLMISDLRAEQDLQAREFSEKRHKLEGDIRAMESYKKEASQLRGEVQKLSEIKRELSGDVQILRKDLVKLQSDNKQIPGLRAEVEDMQKELMHARGAIDYEKKEKFELIEQRQIMEKNMVSMAREVEKLRAELATVDSRPWGFGGSYGMNLGTMDGAYRGSYGETDGFLGSSERSQYYSHGSGSQKKPRLDRH >A08g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14039597:14055340:1 gene:A08g507950.1_BraROA transcript:A08g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTGRSLTFSTTTTSKSPTQTTSKEDTSRGKTLSKGTISLGKTPLLVSIIPTISLLKLKEVLHKLQLQIQVWMQCVMRFKTLTAANHGGLSIPLRPNEKMRNTLQVSQRSLGAVSSNRCENTLLPARFVLVAAVFEVLWQEAAVRGAAVLWSGLCCYLRDFTSTFHFSTLAYLKQAKQDMGKDRGPETDRNVDVGTSSRAGTRTNPPRAGRPTPHPPAQVYRRKAPQQKEKSPAEKATLEAEIEEMIEEDLRAETEDEEEETPAPKPAKKRKRVPPTSKPTSAQLYERLYDDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKEVSCQFLSTFFVQYHCDAHRTEGFGRISFEINEKTYKVGFKKLSSILGFSDNRGSFLPARSAIVDDIWAVITGWSRTAGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIGSFITPILEAFGIDLGPRDQAPASIDLAYLKKTHYLTGQSGDRYGYPFWSTDLEPAQLQIFLPCERLTTLSDPRHVLFAPAAHELIPADFGELETITKVRKKKTRASSSRAARPSDADDEGPTTPAPVYGMEKYHFQPYGGITPNIALRQALSQNAKLLRWNKMQDSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVSAGAGPSTLPYPVFYGPPRSPEYRLRQGQEELCFINNNGSWYRKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPASDTSVVRFKTLTAANHGGLSIPLRPNEKMRNTLQVSQRSLGAVSSNRCENTLLPARFVLVAAVFEVLWQEAAVRGAAVLWSESGLLSYIR >A09p078650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58109849:58113267:-1 gene:A09p078650.1_BraROA transcript:A09p078650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTGAVLLLLVSLLAIALASDSDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRPSQNDVHKWSGLGEVLGGNELIDSEIPIKFLKNVDRNVICKLELDEAKVKHFIDAIESSYWFEFFIGFVGELHSDKNGENAKHVLYTHKNIVVKYNKDQIIHVNLTQDNPRPLEAGRTVDLTYSVQWIPTDITFPRRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLVCFLNFYLRGAIVTTFIVCYALTSFISGYVSGGMYSRSGGKHWIKCMVLTASLFPFLCFGIGFLLNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A01p011600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5610739:5613515:1 gene:A01p011600.1_BraROA transcript:A01p011600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSFDNLRPHLVSASSFITHQKRRTINLKQNKQTKSLREKSAKLVFSLRITRRSKTEHSGDRKMTSGTRTPTWKERENNKRRERRRRAIAAKIFAGLRIHGNFKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPMDRMELMNGSTSASPCSSYQPSPRGSYNPSPSSSSFPSPTNIFGDANSLIPWLKNLSSNSPSKLPFFHGNSISAPVTPPLARSPTRDQVTIPESGWLSGMQTPQSGPSSPTFSLVSRNRFFDKAAFTMGDCSSPMWTPGQSGNCSPAVPAGVDQTSDVPMSDGMAAEFAFGMVKPWEGERIHGECVSDDLELTLGNSRTR >A09p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1062742:1065343:1 gene:A09p001390.1_BraROA transcript:A09p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPNGKSPVSSASDEKVMFFRDVSLGPHETQLRFRLIHFWEAWNPIKKTLIGLEMLLIDEQGTVIQGFISPSRIEKYLGKMERGKVYKLDNFYGSSNKSVYRVSDHAVTVSFSWNSELSELQNITTPFDEDRFRFHSYEVFEANCDLKGDLYDVVGHMKLVNGHTLTESPVLDEVQIAAARHLLVHVQLHGGPVIKLYLWDQVATEFCRKFKSCETTPTVLLVTTVNTKRLGGTLALTSMSSSRVFMDYDVQPTIDYFGWLSSNPAIAEQVDAEVVTKRETMTIGELFSYIKQKSAKEAFFECTATIDDVVHGYAWYYISCSGCHSKVTKGPTSLICTNNKCGKVNVDGVPQYMARLSVYDNSEQAVFVLLGDAGRALTGKHASELVSNYFEANANEGVDQEVPVPEALINTIGQSHKFCVKVTKHNLSGKTRALTVTKILPLDTPTETETSAGTNISATSNETSQTGNDVCESSKSRVGAADAGSKRSCDNAKVEKAKRPKSGK >A01p020740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10149990:10150757:-1 gene:A01p020740.1_BraROA transcript:A01p020740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP21 [Source:Projected from Arabidopsis thaliana (AT4G27670) UniProtKB/TrEMBL;Acc:A0A178UVU5] MASTLSFTSPLRSPLAFPPSSKPTSSFSVSFPRKIPGKIRAQDQRSDSLDVVHQGQKGNQGSSVEKKPQRLAMDVSPFGLLDPLSPMRKMRQMLDTMDRMFDDAAMVVPGRNRGGGSGVSEVRAPWDMKEEEHEIKMRFDMPGLSKEDVKVSVEDNVLVIKGEQKKEDENDSWSGRSFSSYGTRLQLPDNCEKDKIKAELKNGVLFITIPKTKVERKVVDVQIQ >A03p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6713367:6716673:1 gene:A03p016870.1_BraROA transcript:A03p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide kinase [Source:Projected from Arabidopsis thaliana (AT5G51290) UniProtKB/Swiss-Prot;Acc:Q6USK2] MDGSNCELSVMSGCFYLDHVGDVLLTRNQHGLSWKCLDSSDCEGTTCLGIGSWENTETEIKLSDIYAVEFDSYGLVHSPKSGLGHAKECFRKRLLTTQQMYRFTVHGFQTSPKEPCLWKLAAFTFGHMDLQTCQSWMDQLNYTLIKDVERPRNLLVFVHPKSGKASGLKIWETVSKIFICAKVNTKVIVTERAGHAFDVMASIQNKELHSYDGIIAVGGDGFFNEVLNGYLLSRLKVPLPPSPSDSFTTVQSRGSSSVPESGDGVHESDQKEHFPLLHDSVQEVMNFRTVNGSCQGTEDPDHPFSGERPRFGLIPAGSTDAIVICTTGARDPVTSALHIILGRKLFLDAMQVVRWKTTPTSAIEPFIRYAASFAGYGFYGDVISESEKYRWMGPKRYDYVGTKVFLKHRSYEAEVMFEEAENAKASPLTRSKTWPFRSTTRSEKILCRAKCSVCSSKAEDGNSIRASCQEKTRWCRTRGRFLSIGAAVMSNRNERAPDGLVVDAHLSDGFLHLILIKDCSRPKYLWHLTELAKKGGEPLNFEFVEYHKTRAFTFTSIGEAESVWNLDGEIFEAHHLSAQVLRGLIPLFASGPEV >A01p008140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3920007:3921575:1 gene:A01p008140.1_BraROA transcript:A01p008140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSDYWTTMASLVGMLAFCQTILQLVFPPELRLALIHLLTRMRHVFSSHTYFDITEIDGVNTNELYNAVSLYLSSSVTVNGAVSSTSNNTRLSLTRVPNSSSVTFGLSNNDRITDVFNGVTVLWEHVVVQRQVQSFSWRPMPEEKRGFTLQISKRDKALVLDSYLDHIVGKAEEIRRRNEERLLYTNSRGVSLDSRTHPWDSVRFKHPSTFETLAMDPERKKRIMEDLREFANGQGFYQKTGRAWKRGYLLYGPPGTGKSSLIAAMANYLGYDIYDLELTEVQNNSELRKLLMKTSSKSIIVIEDIDCSISLTKREKVKRKNNNNGCYDPDLTNGSGLMDEPGSSVTLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDSALLRSGRMDMHIHMGFCRFQALKILLKNYLRMEEGEVDGVVLKEMEECVEDAEITPADVSEVLIRNRSDAEKAVRELLCVLKERVVRRRKSGGVKKKKEEGEEDEEEGEEEQEKRALDSPNGNREFFGFEDEKDGDEDADK >A04p035080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20279825:20282069:1 gene:A04p035080.1_BraROA transcript:A04p035080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRLPFDFRRTTETELRRVEDNHRERSRQREPPTDTSPLEATEQTSPRGVTEETKKTPSSKRDIFSCISAFEFVCFAWIPRERNMFADALAKDALTASGQFVVDGAVTALN >A08p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2179409:2183133:-1 gene:A08p003830.1_BraROA transcript:A08p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRSPPVAKKVEHAMHMFSDVRVDNYYWLRDDSRCDPDMLSYLRAENEYADSVMSGTKPFENQLFAEIRGRIKEDDISAPLRKGPYYYYKKNLLGKEYVQHCRRLIADNKAEPSVHDTMPTGPDAPPEHIILDENIKAQEHGYYRIGTFKVSPDHKLVAYAEDIKGDEIYAVHVIDSESLKPVGQPLKGVTCYLQWAGNDALVYVTMDEILRPDKAWLHKLGTEQSSDVCLYHEKDDMFSLDLYASESHKYIFVASESKTTRFVFSLDVSKPQDGLKVLTPRVDGIDSSVSHRGNHFFIQRRSSEFYNSEVVACLVDDPSKTTVLIPHRESVKIQEIQLFRDHLAVFEREQGLQKITVLRLPSEGQPLNSLQAGRSVSFVDPVYKVDTTESEFSSSVLMFCYSSLKTPPSVYDYDMDSGTSVIKKIDTVLGGFDASNYVTERKWVSAADGTQIPMSIVYNKNLAKLDGSDPCMLYGYGSYEISVDPYFKTSRLSLLDRGFIYVIAHVRGGGEMGRQWYENGKLLKKKNTFTDFIACAESLIELKYCSKEKLCVEGRSAGGLLMGAVLNMRPDLFKVVVAGVPFVDALTTMLDPTIPLTTSEWEEWGDPRKEEYYFYMKSYSPVDNVTAQNYPNILVTAGLNDPRVMYSEPAKFVAKLREMKTDNNLLLFKCELGAGHFSKSGRFEKLQEDAFKFAFMMKVLDMIPEYSQERL >A02g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19231510:19231802:1 gene:A02g506850.1_BraROA transcript:A02g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISSLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLPPTFIDSATHFTLEVL >A05p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9076339:9076678:-1 gene:A05p019280.1_BraROA transcript:A05p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQILSVMLTFALIQTVLNLNFSFLHRQGMLPLLLVLKSFVGIWNFFSVGNRLENMHLNNVGKYRAFKSVLLSFNYGEDENN >A09p056910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48206191:48207530:1 gene:A09p056910.1_BraROA transcript:A09p056910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSFSRRFLIFSTVIPFLASIALYRLDTFDPALLPPNALDYSTTSLPPLSNDKLLTGAELIGVGLLNSPEDIAYHRESNFIYTGCVDGWVKRVKVTESVNDSVVEDWVNTGGRPLGVAFGLHGEVIVADAYKGLLNISGDGKKTELLTDEADGVKFKLADAVAVADNGVLYFTDASYKYNMRQFAFDILEGKPHGRLMSFDPTTRTTRVLLKDLYFANGVSKSPDQTHLIFCQTPMRRCSKYYINEERVEVFTQGLPGYPDNIRFDGEGHYWIAMPSGVTVLWKLAFRYPFLRKLTAIAANYGLDPLIFMANAGVLGVDLDGKPIALYHDHKLSHMTTGVKIGRYLYCGSLLHSHIVRLDLLKYPAQKRL >A04p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16822476:16823766:1 gene:A04p027870.1_BraROA transcript:A04p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGEKSRDISRWSLRGMTALVTGGSKGLGEAVVEELSMLGARVHTCARDETQLQESLLEWQAKGFQVTTSVCDVSSRVQREKLMETVSTLFQGKLNILVNNAGTCITKPTTEFSAEDFSFLMATNLESAFHLSQLAHPFLKASGSGSIVFMSSAAGVVHINVGSIYGATKGAMNQLARNLACEWASDSIRVNSVCPWFIATPLANKYIDHEEYNKEVEKKTPMGRVGEANEVSSLVAFLCFPAASYITGQVICVDGGATINGLSFKSLP >A07p004660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2737872:2738159:-1 gene:A07p004660.1_BraROA transcript:A07p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIFTNREGLELKRGSIYQDHISSAVMAEAIAIRNALLQAVDLNITHIWLRSDSQVLIGALSSGRHPTELYGMLSDISMILLFSFISCSFSFKV >A03p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16534427:16535669:1 gene:A03p039720.1_BraROA transcript:A03p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYNISIMDCYTGRNFEDFVVPTYQETSPSNGMWGGGWSMNSPEAAEKCFDYDRFNSQMGMRTSEEEEEEEESKRSKPFYGASSLHEFEGIEQMDDMFLSSILEDVPGDVHRAASSNNSVGSSSMYGGAEVPMFHCQAVPLKEEAPFTISDLSEENMLDSQYVDDELSSEELVLQDLQRASEKLTDETRKCFRDTFYRLARSSQEKLDSDNNINSGEFHMQASRYDDYGDNTTRLSREEEIESETNSIDRAVANLTYNKMESNISNFPLPERVQ >A03p046150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19442614:19443367:1 gene:A03p046150.1_BraROA transcript:A03p046150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMKGLLKGLRYIARIFEDEKEPEMQIGQPTDVKHVAHIGWEGPSATTPSWMHDYKPPEDEAKGSSEKKPASSRERQKNKGRRKSSTSTNSPAESPARPRRSTGKQREQSTGSGSESGSGLDIPQQNDQSVVQKTPRQKKPKGTTAVRGGGGEPPLPVPPVESKETDISVRAVYPCVGLESSTGR >A09g518870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57730886:57731597:1 gene:A09g518870.1_BraROA transcript:A09g518870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVAEPNSVENAIRCGGLAPKKTVFIKNILSRLQRERGSLCLEYLRGLTVEEVKTELSHFKGIGPKTVSCVLMFNLQHNDFPVDTHVFEISKALGWVPKTADRNRTYVHLNHRIPDELKFDLNCLLYTHGKLCSNCKKNAAKPKAKAKAKVASPDDCPLLGFLV >A06p050590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26601787:26603591:1 gene:A06p050590.1_BraROA transcript:A06p050590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLCVFYHRLLDFRKPEVEALAELFGEDESLQWRLPEHHHNDTPFHFVQLSSEEIAQNIAKRSILVKGMYELWGEGTCYEELKESIQSFPDSRKLPFLTSDSTFRISVETFGKALTFDEQKERIHSLTYIPFEGKVNLKNPDHNFFLMEMDESEESNGLPPIVQRRIFFGREVGFADRKLLPTFQLKSRTYLGPTAMDAEMAFLMANQANAASGKLVYDPFVGTGSILVSAARFGAMTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPAPVALLRMDNNVPPWRSGLKEIFDAIICDPPYGVRAGGRKSGGRKILRGTVDPYTVPEDKRTGHIPSTGAYSLVECVHDLLHLAARMLVMKGRLVFFFPVLRDECGSEVKFPEHPCFKLVAVSEQILSSRYSRVLLTMVKVEPYSDEVEEAARLMHLEFRENHLKWLEEGNIHSSVFKPSTDSSQIQTESKTFKDPKPKYRGKYV >A07g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6826202:6827880:1 gene:A07g503500.1_BraROA transcript:A07g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGFVFAQSGDTDKAKKIRKPPSSPSPSSLAIPLPAISYYGRSLRLYARFAPTKRLLLQPPFPTPPSTLSALEGPLSPLLVGSSDSRIPGTLTRMEILLNYFTQGADLLRRRATRHDASSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRYEEDEEKCYLEYPNVEDVD >A07p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14041543:14043473:1 gene:A07p024390.1_BraROA transcript:A07p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMKKYREGVSPERAKVWTEKSPKYHQKIKKVQIVYYLSKNRQLEHPHFMEVLLSSPNGLYLRDVIERLNVLRGKGMASMYSWSSKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSEIIDQSTTDHFSSPIENSATQNMKQIVVEPPPSSRSMDDSSSSSSMKGTNKHSHSQEDDELSPPALRSVSSPDSRDAKNSSSSWCLAEYKVYKSEGLADASTQTDETVNKPVETLSRGVSTDEALSSESESSEPSCEEEGKEIEESGETSRNSVSPPPVSSRTDTLESLIRADVTKMNSFRILEQEDVRMPRLRASNVLMQLISCGSISVKDNKFGLVPTYKPKFSHSKFPSPFFSSSAFMMGGDVDRLSETPSLMSLRLEEKEYFSGSLVETKLQKKDAADGNSSLKRSSSYNGDRASKQMGAAENGDSKPGCSKHIPRSRKASSSSVMRKQQPRSESMRSPVLVKTTKSIPSPSKTSDVCSKRMTESLVKPDSFKEEESEKVIKIEERLASGARVIIESKVPPSSS >A04p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21331686:21334178:1 gene:A04p037370.1_BraROA transcript:A04p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLYSSSKPSLSSSSSSFSWLFLLLTLLPLSLACFAFLLQWPGGINDWFTDNYPFPGMSPISKKSSDSGCVSLLGQSHATSFPYLKDLNLDHKIDLKPKICITTSTSAGLEQTLPWIFYHKVIGVSTFYLFVEGTAASPNVSRVLETIPGVNVIYRTRELEEEQAKSRIWNETWLHKFFYKPCNYELFVKQNLNMEMAITMARDDGMDWILHLDTDELVHPSGASEYSLRSLFREVPADVDAVIFESSVERDDIKEPFTEVSMFKKNYEHVPRDVYFENFKEATHGNKNYFLTYGNGKSAARIQDHLRPNGAHRWYNYKKIPNFIYLDEAAVLHYTYSRFSDLTSRHDRCGCKPTREDVKRCFMLDITRESSSSQATVRKVLEFDDTDDLVCESKVDSAVPPQSPPSV >A08p017860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12399173:12400519:-1 gene:A08p017860.1_BraROA transcript:A08p017860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSELQRAIENPVLELKIVSASDLSHVDATDKMDVYAVVSIHGEGTHKTQTAKTPIDYDAGLNPTWNHTVKFPCNEEEGREGQLTLKVELFSYLLERKEDLYLGEVNVSVQELFASDPRPFGNGNVHKMKSMTCPIKVTEEGSTNARLCLLYRFKPLPVDDSCPPVPQDHSLSIGQPVYPNPEPAIPGQPVVFSPRFQTTTTKLILEIVIKFAKDIEDVNAFSAMDVYASVAILKDRKVKDRINTPVAFSANTNPKWNQTIKFSLDEKLAQEGRLMLLVELMSHRPFLGDKEIGFVRLPMKQLLGSNPPASGDANGMKLETHALTGPYGKKGVVSFTYRFLAEQLRVSTVPTPSTTSQPYIMYLPVSPHSYASSDPIQLTSSYVTVQQGKNAGQGNGLVPIYMSPQYQSHGYQQYSPRNPQPPPQHSQLKPLTREPFSQSMPDTQEA >A08p033150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19980184:19980611:-1 gene:A08p033150.1_BraROA transcript:A08p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCLDFVHPCTRFVGGVIRFWSWIGWCLFVACLFLIRCRGLLVVQVHDLCHSWILVYQLLDLGFFRCLVAERIIGQANFYRVLSLGFTVVSPSAASGLLRLGEAGG >A01g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19883925:19884832:1 gene:A01g506770.1_BraROA transcript:A01g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWKLLHGKERTVVLNSSPAASHVFKPTEKPREFQREREKEEQKNQSEVQPQAYLGEEDQLRPSSPLVCLGKLWSPSLSQYLIRTLRCGALLVPSCPFSLVQEELKSCPSQFQDCSLGESRQKMR >A09p072550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55571889:55574635:1 gene:A09p072550.1_BraROA transcript:A09p072550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKGWFQKRQMRGGSRYKGGGGSGGGGGSNGSADEQPNVQTDEEAVSNTTKQKVAAAKQYIENHYKEQMKILQERKERRSLLEQKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKLGVADFDLLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKAEMLRRGQVEHVRSERNLLAEVDSNYIVKLYCSFQDDDHLYLVMEYLPGGDMMTLLMRKDTLTEDEAKFYVAETVLAIESIHMHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSVIGENEFLNNSSGSTEQEDGSAAPKRTQQEQLEHWHRNRKRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDAMSTCRKIVNWKSHLKFPEEAVLSREAKDLINSLLCSVRRRLGSKGADEIKAHPWFETVDWDSIFDMDAAFVPEVNDDLDTQNFEKFDEVSESQTQTSSKSGPWRKANATPCKDSRLPLFYVYSIPVLFLQMLSSKDVNFVGYTYKNFEIVNDYQVPGMAELKKKNKSKRPPMVKSLFDSGSSDSPETTTRSACDRPPPTPTPPVAKGSFLKLLPPEHEVRSKHEAC >A06g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12763729:12776060:1 gene:A06g504110.1_BraROA transcript:A06g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKQVYYTKPYKQHLMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESLTVGHAMSSGGGGFWAVPARQDFGQVWSFAAGATPEMLFTQQQQAATLFVRQQQQQQASAAVAAMGEASAARVGNYLPGHHLNLLASLSGGSPGSDDKGETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISLYHSRASFSEYRTGQSSTNSETESVNQNTKQDELIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRIYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKR >A01p022140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10765064:10766524:-1 gene:A01p022140.1_BraROA transcript:A01p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRSSKKTTQLGNKEDQNPRKFYSRFLFKALILAVFCSIVPVFLSQTPELANQTRLLELLHLVFVGLAVSYGLFSRRNYDGGGSSNNDHKPDHNNTNPHAYVPKLLEVSSVFNVGHESESDPSDDSSGDPRKIQTWRNKYHMKSPEVVLSRENKTRFVDRVGSGVREKPLLLPVRSLNYSRVPDDSGGPWEKVRSKRELLKSLGDDDNSDVLPSPIPWRSRSSSSTEVESQTSIATVESQPLIKTPANLTSSSSSSRPLPKLTTESGVETDEDTVRKQRFRPSPSSPPSPPPPPPLPAFYNSASRKENSLGVNRMESRESSVQKKKFSGGEFHPPPPPPPPPPMDYYKSPPTKLRASSERRKSSEQKMKRNSPKKVWWSDPIAESKEHRVTREEDTEKNNDGRGFLGSKAGVESEDEERRRREDDEHGEIEEKKIEEDEGACGNNSDVDKKADEFIAKFREQIRLQRIESIKRSTNKISANSSK >A09p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13808260:13816754:-1 gene:A09p024880.1_BraROA transcript:A09p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKR1 [Source:Projected from Arabidopsis thaliana (AT5G44800) UniProtKB/TrEMBL;Acc:A0A178UKW2] MTDSGSEMLDRDWVMKQKRRKLPSILDSLDQKEDTSAAAIDSPDKPTKHQLKAGPTPERTSKRKGQSLPENNSLAFGSPEHTSSDKLTNQQPSVDLTPEGNSSKRKGHDGNYFECVICDLGGDLLCCDSCPRTYHTDCLTPPLKRIPNGKWICPKCSQDSGALKPTTRLDAISKRARTKTSKRNSQDRPKSERASQIYCSSLVSGEPSSEKGKSISAGESKSIGKEVMDGCSAELGHVSADDQPDSPVIPTAYPPSSEDLPESKLSNTGKSHEAPVERVEHACSEMVVNDTIGEAETGKGKRKKRKREPNDGETVKECKADKKRTKKSLSKVGSPKTKTSESSKKKKKKKNRVTLKSLSKTQSKVEVPEKVKKLSKEERRAIRDADKSSSYLDVKNSIPPTNLQVDRVLGCRVQDPNKTSLYGALSDDLCSDNLQDNDQRDSTVKDTNADTVVTEDRTDSSSETGKSSRNSRLKDRDMDESAVGTECLVDEKEDMVSEDTFDATVSRHVDNEDKKASEAPVSVDAHHEMGGKIPVAGEEVEEPVAAKAADLIGETVSYEFLVKWVGKSNIHNSWISEADLKSLARRKLENYKSKYGTAVINICEDKWKQPQRIIALRVSKEGHQEAYVKWTGLAYDECTWESLEEPILKESPHLMDLFQKYERKTLERDISKGISPRTRGECQQSEVITLTEQPSELRGGALFPHQLEALNWLRRCWHKSKNVILADEMGLGKTVSASAFLSSLYFEFGVARPCLVLVPLSTMPNWLSEFSLWAPLLNVVEYHGGAKARAIIREYEWHAKSSTETTKKMKPYKFNVLLTTYEMVLADSSHLRGVPWEVLVVDEGHRLKNSESKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPSSFPSLSSFEEKFHDLTSAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSLEFLHDMRIKASAKLTLLHSMLKVLHKEGHRVLIFSQMTKLLDILEDYLNVEFGPKTFERVDGSVAVADRQAAIARFNQDKNRFVFLLSTRACGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKQLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEFEDILRWGTEELFNDSAGANKKDTSESNGNLDVIMDLESKSRKKGGGLGDVYQDKCTDGNGKIVWDETAIMKLLDRTNIQSPSTDGADTELENDMLGSVKPVEWNEETAEEQVGAESPPLVADDTDEHSSERKDDDVVTFTEENDWDRLLRMRWKRYQSEEEATLGRGKRLRKAVSYREAYAPNTSGAVVEDGGEDEKEPELKKEYTPAGRALKDKFTKLRERQKNRLAKRNAVEDSIPNGNVDQVTEAANQDEESPVMMDVDDSQQCDAQKRKSRPLRLDGSSDPTPDLPSQHLNGAECPPSLPPNNLPVLGLCAPNFTQPEPSRRNYSRPSSRQNRTIPGPHFPFSLPQRSGSVEREVNNQEPSMGNLKPHNVKEEPSQQPLSNMDGWLPVRPFPPSGDFERPRSSGAALAEFQEKFPLLNLPFDDKLLPRFPFQQRNMGTSHQEIMANLSLRKRFEGSGHSMQDLFSMPPMPFLPNMKVPPVDPPVFSQQEELPPLGLDQFSSALSSIPENHRKVLENIMLRTGSGLGHLQKKKTRVDAWSEDELDSLWIGIRRHGYGNWETILRDPRLKFSKFKSPEYLAARWEEEQRKFLDSLSSLPSKSSRTDKSTKTPLFPGLPQGIMNRALHGTKYATPPRFQSHLTDIKLGFNDLASTLPLFESSNHLGLRSEPFPPLDLSGDPSAGPSNTPSDKPFPLNSLGMGNLGSLGLDSLSSLNTQRTDERRDAIKRGKLPLFLDMQLPPMLDSSNNVFLGRSANPSLLDPNRVMNLSNPMGKDVLGGTSSSENKLPHWLRDAVTVPAAKSPEPPTLPPTVSAIAQSVRVLYGKDSTTIPPFVIPEPPPPVPRDPRHSLRKKKKRKSRPSSQMTTGIASSSHNVAESSSQGNPPTPPLLPPSLSGEPSGSSQANLPHQNLNITEPSSSEPIRVQAPQEDYVVAAEPSEVPKPSLEEVTGTSRSVSPEHKISEPKSTNEDGDSDLETCEKNEADQLMIHSDDKHLDVKQENQQSENASNKQCEPTEAETPNTDTEQEDNEESVKMVIDISPGDD >A04p001970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:943473:945023:-1 gene:A04p001970.1_BraROA transcript:A04p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTCKYFCFIVALFAISLKLCYCHNKTHWNDAGITWYGEREGFGSSGGACGYGDAVAKPPYNCMISAGGPSIYQDGKGCGTCYEVVCHHPFCTKRPVKVMISDECPGCTKSAVHFDLSGRAFGALAKPGQGDQLRNLGELKVKYKRVFCEHPNSKIAIHIDPGANPYYMSFAVKFVNGDGNFECVEVKPAGETYIKMEAMRSAVWKLNAGRALKGPFDVRLTSAVTKTVLVAKSVIPEKWNPGAIYHSHVNFAVPKFVHKKPVHKKPVHHKPIHRKPIHHKPIHHHKPIHHKPIHRRPIHRKPIHRKPIHHRKPIHRKPIHRKPILRKPIHHRKPIHRKPIHRKPIHRKPCHH >A04p003790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1881335:1881706:-1 gene:A04p003790.1_BraROA transcript:A04p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVTTRLDDLMGTTTTPTTIYVDPPPQDQPSHSSDHRSIETLVVVLAVITILSVLASIFARLCGGRHLSNAGDHDIEGWVERKCRSCIDAGVPTVAAPPPPATAATVEEQGKAAAAEEQSKK >A09p009950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5106074:5107922:-1 gene:A09p009950.1_BraROA transcript:A09p009950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHVEQSLLCTETTNVGDEDNGMIVDKVLISQIGFPSESEEIIREMMEKEKQHLPSDDYIKRLRSGDLDLNVRRTEALNWIWKACEEHQFGPLCVCLSVNYLDRFLSVHDHPNIDKAWTMQLLAVACLSLAAKIEETEVPLLIDLQVGDPRFVFEPKSIQRMELLVLNRLEWRLRVITPCSYIRYFLRKMSKCDQEPSSTLISRSLQMIASKTQEGIGFLDFRPSEVAAAVALSVSGEMHTVHFDNSPLFSLLQKERVKKIGDMIGSDGSGLCSQTPNGVLEVSASCFSFKTHDSSSSSHTTHLS >A03p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8729209:8730357:-1 gene:A03p021140.1_BraROA transcript:A03p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEGAEGATARDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKISSDTKAGGDDCGGVGILGISWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGVGFVKAFQSAYYVRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNESKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAA >A08p037180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21704639:21706187:-1 gene:A08p037180.1_BraROA transcript:A08p037180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter GONST5 [Source:Projected from Arabidopsis thaliana (AT1G21870) UniProtKB/Swiss-Prot;Acc:Q9SFE9] MMEEGSLWHQWSMIRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKVLKIKPLIVVDPEDRWKRIFPMSFVFCINIVLGNISLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNVFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPAFLLEGNGILDWFEAHPSPWSALIIILSSGVVAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISPMNAVGCGITLVGCTFYGYVSHLLSQQQPGTPRTPCTPRNKMELMIPLVNDKVEGKV >A02p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13061970:13065034:-1 gene:A02p026910.1_BraROA transcript:A02p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase TTM1 [Source:Projected from Arabidopsis thaliana (AT1G73980) UniProtKB/Swiss-Prot;Acc:Q9C9B9] MVLESSVALSPRRRLGLLRDQVQLIKRKDSGRYEIVPIEDPLSFEKGFYAVIRACQLLAQKNDGLILVGLAGPSGAGKTVFTEKILNFMPSIAIINMDNYNDGTRVIDGNFDDPRLTDYDTLLDNLHGLKDGKSVQVPIYDFKSSSRIGYRTLEVPSSRIVILEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLKTAHIKIQNKFNPFSGFQNPTYILKSTKAVTPEQMKAVLSEDFKERTEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDRPFIISPRITFEVSVRLLGGLMALGYTIATILKRKSHIFDDEKVIVKTDWLEQLNRTYVQVVSASLSVKAYAVVSVVQGRDRSFVENVANELGLEGSYCPHTYIEQIQLERLVNDVMALPDDLKTKLSLDDDTVSSPKEALSRASADSRMKYLHGESSDLCIDARINSGVSKSYTNQRHKVLPNLTRLAVNNRMLDARAPASPATLPNQGVITQLSDQISTLNERMDDFTSRIEELNSKIPNRIAASGSQHNLALPMENGNGSLLSLSSSQSQLVRESPLMEEVILVARGQRQIMHQMDTLSNLLREYVGERSRIERLDSNRTNSTTQNLEASTLPVLLALVVGCLGVFAYSRLK >A03p055830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24102776:24120491:1 gene:A03p055830.1_BraROA transcript:A03p055830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCKATSVLWQQFRWKGFDTEPRVVVATYVNPKMVGGRLFANATSETHIYLDSETAAKQCYYEILATDGSAAPTKPTKLRHGKKIETLTVSELNEYVTTTQFHAIDFLCIGKVMGIQTDKGWCYIGCSKKLLQGESSFTRTVCTNPNVVASLRCRVELTILDETEEAIFAAFSEMIKLTTFTLLKLGNTWVEQGREDGPKDNMPEAISLASASAKLGNNNAKETPIQSSRQLSLLLRSPVRNRLQKMAERVEASVTDGESTIEERDKELMREFEEGLDQPMDEEANMLKDMNKEKSFSMLMLLRLSFQSLGIVYGDLGTSPLYVFYNTFPDGIDDSEDVIGALSLIIYSLLLIPLIKYVFIVCKANDNGQGGTLAIYSLLCRHAKVNLIPNQQRSDEDLTTYSRTLVAEGSFAAKTKKWLESRHSKKRALLVIVLLGTCMMIGDGILTPAISVLSATGGIRVNNPKMSSDIVVLVSVILLIGLFSMQHYGTDKVGWLFAPIVLIWFLFIGATGIYNICKHDTSVLKAFSPKYIYLYFKRRGRDGWISLGGILLSITGTEALFADISYFPLQAIQLAFTLFVFPCLLLAYCGQAAFLVNHKEHYKDAFYASIPGTAVVVVMLVTTLLMVLTMLLVWRRHWIFVLVFTILSLLVELSYFSAVILKVNEGGWVPLMIAAISLLVMFVWNYVTVKKYEFEVHSRVSMSWILGLGPSLGLVRVPGIGLVHSELASGVPRIFSHFITNLPAIHSVVVFVCVKNLPVYTVPEEERFLVKRIGPKTFRMFRCVARYGYKDLHRKDDDFENKLFDNLFSFVQTETMMESDSNYSPYSFNHRQESRDELIRNNNNHGRKDKNMVMFSSMVDYTESAIVPADSPHSAMSFSQNYPVEEEEEEEDELEFLKICKETGVVHIMGNTVVKARKGSLLPKKIAIDYVYRLQKMAGRVEASLTEGESTIEERDREAMREFEEGLDQPMDEEANMLKNMNKEKGLSMLMLLRLSFQSLGIVYGELGTSPLYVFYNTFPDGIDDSEDVIGALSLIIYSLLLIPLIKYVFIVCKANDNGQGGTLAIYSLLCRHANVNLIPNQQRRDEDLSTYSRTLVAEGSFASKTKKWLESRHSKKRSLLVIVLLGTCMMIGDSILTPAISVLSATGGIKVNNPKMSSDISAFVSVVILIGLFSMQHYGTDKVGWLFAPIVLIWFLFIGATGIYNICKHDTRVLKAFSPTYIYLYFKRRGQDAIQLAFTLFVFPCLLLAYCGQAAYLVNHKEHYQDAFYASIPESVYWPMFIAATGAAIVGSQATISGTYSLIKQAVSHGCFPPFLAQIYCPDINWILMIGCIAVTARTAVVIVMLVTTLLMVLTMLVVWRCHWILVLIFPLLSLVVELSYFSAVLLKINEGGWVPLIIAAFSLLVMFVWNYVTVKKYEFEVHSRVSMSWILGLGPSLGLVRVPGIGLVHTELASGIPHIFSHFITNLPAIHSVVVFVCVKNLLVYTVPEEERFLVKRIGPKTFRMFRCVARYGYKDLHRKDDRFEDKLFENLFSFIQNETMMESDSNYSNSVYPVNYTQESRDELISNNNNNHDSIDNNMVMFSSMVDYMDTMSFSQNNTVEEEEEEEEEDELEFLKTCKESGVVHIMENTVVKARKGSLLPKKIAIDLE >A07g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5249289:5252445:1 gene:A07g502510.1_BraROA transcript:A07g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMKEMARAPVDDGGGRRWPDNAVERRERKGRSANPKHTGISHPSFSTDVNSRLWCLSNFSPAMSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A10g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2317245:2318628:1 gene:A10g500800.1_BraROA transcript:A10g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRQTLRAKSSPRLLLHLHLQWSLFPQNRLRLRHQRDSTLSPPSSTINHLRLFLLDKPETDFTAVTSGFNYKKLQQFQDNFSWKRNNCFLVKQFGKGNAKVLFSKESNNLCKLNSYKHSGLAYKKTMTIQVADKEQGVVLGTTKTKTKKQNNLKLYVNKSIGYKDFSWTVEFLACNTSIVITCQIQEPIRKYKDFDVRLSAEECHYAIYDFDFVTVENCQKSKIFFNCMVRNSFL >A05p012180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5276936:5279288:-1 gene:A05p012180.1_BraROA transcript:A05p012180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYRRRKFLEESNGASGEFPEHGAIFMSNSSTRRECLRRELFGLPMGQAGFVKHVKAGMFLFLFEFESRELHGVFQACSDGAINIEPGAFCSTGKQFPAQVKFTEKWRCRPLGEMEFRHAISDNYFTAKKFNFGLSKSQVQRLLKLFSLKKLERSLSTRKLESRLGNADGGRGFRDRRAEETEGDVDRKLPFRVTSAGDARGRRLSEKYGSRDESVSGVKKEESDYSRDASGVFRRLGDPKSRGFEDRNDPSMKNSSRADDDSDYSLANGRRVPKNLSHPAVGWLENEYHERDGFTHESNHEEHPTFEEDSAVPAQSSVPPESAYGTNTEHYDPCNPGIMGGAAMESSRHDIDEEPDYYIPMPTEHPQYQTSTGMAGASRSEYESRYGHLGHSQLPGFLASAEATENMMMNSERPSYPSHSIYPSFAYPLSTDLSPNDGVNYKTSAYQQQEELGGHASYSDDRAARDPRIYPSFAYPSEPGDGVDLYQENRAQNKVQDHQQHEEFGSEAFDSDNRVIRMKDGVSPAEPERKRARKSVFSRLVMPPKEPDAEKDSSPVAEPVNEVMAFLNECQKHLMEQKRPDTADPVRFVKPKKKKEKNHSKEALDNGAMIPFTGTSPDDMSDCEEGVEHKQPFIDFKRRSQAEKSNPTQECKESLEDSLPQDKKRKLLRPRLIEDDSEKDRGNNDNPTETDMAPKPASEVSLLDLLARFDQ >A02p010220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4399187:4401484:1 gene:A02p010220.1_BraROA transcript:A02p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLHRNRMKRGALRRKARDISVVLVVLVCATVVIWTWDTTPTSTFLPPESHFLKLETEEEVKRVPTALNTETKDSYSSATPLVNKEETKEVKREVAIREDETTKTTHIKETHSDPERTEQFVAKKDDVSTSTARIPNKACNYAKGKWVVDNHHRPLYSGSHCKQWLASMWACRLMQRTDFAFERLRWQPKDCSMEEFEGSKFLKRMQNKTLAFVGDSLGRQQFQSMMCMITGGKERPDVLDVGPEFGFITPEGGGRPNGWAYRFPETNTTVLYHWSSTLCDIQPLNISDPLTEHAMHLDRPPAFLRQYLHKISVLVMNTGHHWNRGKLNGNRWVMHLNGVPNANKKLAALGNAKNFTIHSTVGWVSSQLPRHPGLKAFYRSLSPRHFVGGEWNTGGSCNNTTPMSIGKEVLQEESSDYSAGHAVKGTGVKLLDITALSHIRDEGHISRFSLSASKGVQDCLHWCLPGVPDTWNEILFAMI >A09p081870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59471256:59474863:1 gene:A09p081870.1_BraROA transcript:A09p081870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPTWILAMICLLFFVGAMDNSTHDKISSLSRSVEIEWNKHAVTNPDEVADEVIALAEMSVRNHTERRKLGYFTCGTGNPIDDCWRCDRNWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPRDDNPVNPRPGTLRHAVTQDRPLWIVFKRDMVIQLKQELIVNSFKTIDGRGANVHIANGGCITIQYVTNVIVHGLHIHDCRPTGNAMVRSSETHFGWRTMADGDAISIFGSSHVWIDHNSLSHCADGLVDAIMGSTAITISNNHMTHHNEVMLLGHSDSYTRDKAMQVTIAYNHFGVGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPKNPFAKEVTKRVNTPTGHWKGWNWRTEGDLLQNGAYFTASGVAASGSYARASSLAAKSSSLVDTITSDAGALPCRRGRQCTS >A03p065300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19042167:19042814:-1 gene:A03p065300.1_BraROA transcript:A03p065300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDLHSHLTTITLPLSHDIDDEYEWIVELLSWIQVPNSKRLSLLRKLATQTVVFHLWKQRNNLIHNQISFPAIAVFRSIDKELRNVISARRKYKKFRDLMAIWLR >A09g517590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52656525:52658224:-1 gene:A09g517590.1_BraROA transcript:A09g517590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKPPKNRSPRRSPTGSGSTSPPPRSSPSASIAVDSPPGSLDLLLVEDFTRSDPSDAKTVVSPTAQASEAKSPKSSDLATVNFSVPREESHVSKDCSLTASKAAETAPTTHLSASASQGQNVIASASTQGQVVAVSASAQDQNNETHVSTQSQNVAGSAIQSQHNTAPTQGQIAADIWKAKDISVKKNLPLSNNQPQAVEKGECSKSSINNALPANPFSASGEDLEEGEICVDLTGFGGSHHSHTSSSSEDSLPDSLTGSGDEDEDKSNDGHDKYIEVISK >A07p013800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7279890:7280790:1 gene:A07p013800.1_BraROA transcript:A07p013800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMHLFMVVELITIGTGSGKALLPGQLSLCSTLSCLVIFANHIHLRFEELLRHLPFVHLQHHFTASTSIKKALLVREEPLTHRPDPKVSSGEDNPLATIVFYPPPPASPPSPTTIFASPLLVLLGRMSTNKIQKILLVNTPEPLLSSPPFPLPMERDDSLALLPSVCFNFLIGLLSCGVVSSRPEDATESTLVFFVDEVWISRSHYVTIFLLPDVVVKASPTHSSIVSNLLSFFVEDLSCLVYLCIVFSVYGQREWIILSFYCKEEV >A09p023390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12811798:12812836:-1 gene:A09p023390.1_BraROA transcript:A09p023390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYRGNYIRSSDHASSVEEHTPSSMYSSGKECMPTEWTDEKHSLYLKSMEASFVHQFYSSLSSNSSKENVGGNPSDEQVLRDGGFWQKMNVRQPEYRLKGRHGGGSPHEFLRSPWIKHYKSLPKTQVVSSKALVICSSGSASNLKNMLREGCSHLHDRDQISIGEEEEEASDQNFVNEVTKGGNGSSKKMKTVMSGSSTTDQVVPLRKTRADMT >A05p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27170258:27173823:-1 gene:A05p045420.1_BraROA transcript:A05p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASRIISHSKKLKHVSTLLRREHAVAVRGFSNTTQPSLTGREDMLKTRLNYSPAERISKCSASNVPIPSGISAMRTKPMTGPTFFREYISSQMRSVRGFSSSSGMDFRLLTNLFTVNYFICILNLSFQIQGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKIVKEEGAKEIQVGEVIAITVEEEEDIQKFKDYTPSSGTPPAPEEKPAPSPPKEEKVEKPASAPEAKTSKPSSAPSEDRIFASPLAKKLAEDSNVPLSSIKGTGPEGRIVKADVEEFLGNISPCLFSLLYIFLRVFLVYINSIYTIIGPNTSSYGTASRGKETTAKPSKPTDSKVPALDYVTASRLAFSKQTIPHYYLTVDTCVDKLMGLRSQLNSFQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDEYIRQFSNVNINVAVQTENGLYVPVVKDADKKGLSKIGEEVRFLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVINPPQAAILAIGSAEKRVVAGSGPDQFNVASYMSVTLSCDHRVIDGAIGAEWLKAFKGYIETPESMLL >A09g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11237406:11238200:1 gene:A09g503590.1_BraROA transcript:A09g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAHGSFLLLPLKLFALSIFASDQFDELLVFRSVETLVDLWAWSGYFGDVQGFTCVRCPSASLIKVRFQALWFSCDVVAVPQPQRYSSVVIVCGEQEKVTVLEMKMVSGYLFISCNITRLRRRDIQMKVRSRWSLSLVVSLHGLCLGVGVVFRICSVALRAISPSSWQEIRLIIDY >A09p078240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57950536:57953105:-1 gene:A09p078240.1_BraROA transcript:A09p078240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISIFLFVFTLCMIDNAYGANLLKGEKTTLYFRNGLSYKKWLKVHCKSGNDDMGVHYLKPGASDYTFSFHDNVLGGTLIWCTLSKGPDYKVSSRFDAYKQNKDKPHGKSYNYLAKDDGIYHSNLVEFKLHKNTSFFLFVLTLCMIGNVGANIFQRANTTLLFVNRLRYRKWLKVHCKSGNNNMGFHYLKPEGFYYFSFHDNFMGNTLFWCTLSKGPDYKISSTFDAYVEDNREPHGHTYSYIAKDDGIYHQNREYPKLHKKFDWNRRY >A01p016820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8319584:8321469:1 gene:A01p016820.1_BraROA transcript:A01p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHTQPEINPNQIGSSSATVGEDHVSASAASAGHIPYDDIDDIPHPDSIYAASDLIPDGSHLVPHRSEGSELLGSRPMEGANQLTISFRGQVYVFDAVGPEKVDAVLSLLGGSTELSSVQPGMELAPQNHMPAVVEYQNRYSHPQRAQSLDRFRKKRNARCFEKKVRYGVRQEVALRMARNKGQFTSAKMTEGAYNSGTDQDSAQDDSRPEILCTHCGISSSCTPMMRRGPSGPRTLCNACGLFWTNRGTLRDLSKRTEENQMAIIKPVEGGSDAGANNSNSEPATVEGHTVLVSLANGDQSNLLGNH >A05p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8219862:8220781:1 gene:A05p018020.1_BraROA transcript:A05p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCAEHGIDQTGHYQGDSDLQLERVNVYYNEASCGRCNHESIYFA >A05g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12495247:12497010:1 gene:A05g504570.1_BraROA transcript:A05g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIEKEFKDMTSKDSLYIIGRDSNDLFKWNAMIQGPDGSPYAGGMFSIDINFLKNYPFSAPKFTFKTLIYHPNISSEGSICLDILKDNWDPPLIVEKLYDLSSSKPAGFRSPALHRSIGLMVLPLLLWFSVSFSPYRLVYVNLDFTTATVTLDVSVSPAPSLIVTISPVPALCIMIGQFLNFSNLSFLSLVISGRHYPLLKRKPKSESPSVMQIALIPRLYH >A06p036150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19599153:19600629:1 gene:A06p036150.1_BraROA transcript:A06p036150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLSSCTDRLVTSDHLNSDRGSNESSGESSGTTSLSSSTTTKAIDDKTVQVEERDDVADEEEEPLIQSVECRICQDEDSVKNLESPCSCSGSLKYAHRKCVQRWCNEKGDTTCEICHKSYQPGYTAPPPPPADDTVIDIGEDWANGVPLDFNDPRIFAMAAAERRFFDPDYDEYADSNSSGAAFLRSAALILMALLLLRHAMNLSNNNSDDEEDDPSAFFFLFMLRAAAFLLPCYIMAWAISILQRRRQRQEAAALAAAEVAFMLHSGAGGVQRRGGLHFAVAPELISNPQHQSEAAPQ >A07p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8211266:8212807:-1 gene:A07p012320.1_BraROA transcript:A07p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APO RNA-binding protein (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) UniProtKB/TrEMBL;Acc:F4I896] MLLVSPPCRGVVYPHSIASNPIDFSARGSYALAFQIPRPILKKECSMRFVCFNQKPKQHPNFKKRHVSTQNVDLPPVLPKNKKKPYPIPFKQIQEAAKKDKKLAQMGIEKHLDPPKNGLLVPTLVPVAYEVIDNWKLLIKGLAQLLHVVPVFACSECAAVHVASAGHNIRDCSGPTSSQRRGSHLWVKGTINDVLVPVESYHMYDPFGRRIKHESRFDFERIPAVVELCVQAGVEIPEYPSRRRTQPVRMMGKRVIDRGGYVKEPDTPCSSTSPLADLDTLGACERYPPPTPEKVPKIAQETMDAYEKVRWGVTKLMREYTVKACGYCSEVHVGPWGHSVKLCGEFKHQWRDGKHGWQDALVEEVLPPNYVWHVRDLKGTPLTGNLKRFYGKAPALVEVCMHSGARVPQCYKAMMRLDIIVPDSQEADMVA >A08g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5872911:5876241:-1 gene:A08g503010.1_BraROA transcript:A08g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKSLFPRRVKSEITGELLEILERWLLYQLIISSSHSDHFEKQDRYFEGRSKQEERSGQGSKLIWLIRVVNAKGDSKSTAQTLLSFLRIPAIELCRESASSRRRRMSSATHQLVYRMHTR >A04p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13381095:13382610:1 gene:A04p022180.1_BraROA transcript:A04p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEISAKEKQSISDRISNLPDSLLCKILSNLSTEESVCTSVLSKRWRNLWPHVPALDLDSNKFSDDDVFVGFVDKFMCSDSEQHLKRFKLIYEVYDHDASRFKSWIDAVVRRRVCHLNLHNEVDEDELVKMPLSLYSCETLVNIHLYRVFLDHPESVSLPCVKIMHLEMVKYDGDSTLETLISSCPVLEELTIARDPNDSLEAVCVRSHSLTRFKIECERWESEGHVVAIDAPRLQSMTLSDHRSDSFVIHNIGPSAKVDIDVIFNVEYCEPLEPDDSSKISMLGKFLTGLSTVSEMIISAATLEVIHDFCKMEQLPQFPNLTRLHASFQETSWEMLPTFLQSCPNVHSAVLEFDCLPETEQVDLSTVPQCFQSSLEFVHLKTPYVVNIQKEGTPLTGTSSKMKLAKYFLENGSSLKKLTLSASFCNIINEIKLIPRRSMRCQVVMD >A01g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17718910:17719598:1 gene:A01g506030.1_BraROA transcript:A01g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSVPRFFFTTWVIVVSLQMHGYRSCIEKERKGLLELNAYVNSEFPYDWPNDTDSDCCQWDRVRCNFTTGSVVGLFLNSTYTVQLKTLNLDYFNSTGWFDNIHGYKSLGKLKKLEILDLSHNFVNNSVFLNAASPLKTLILHGNNNMKGLFPIKVRPVLVFPNGKTSVLKSDPDP >A08p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15878564:15885454:1 gene:A08p024390.1_BraROA transcript:A08p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLASGELETARLRRDLGFSCGGIRMEGEQTQKLVVGYALTPKKKKSFLQPKLEVLARRKGICFVPIDLNRPLSEQGPFDVVLHKLLGKEWQDVIEDYQQKHPEVTVLDPPGAIQRIHNRQSMLEGLADLNLSDCSGSIFVPKQMVVLKDSASSADRAVEAGLKFPLVAKPLWIDGTAKSHQLFLAYDRRSLAELDPPLVLQEFVNHGGVMFKVFVVGDTIKVVRRFSLPNISNCEKGKVDGVFQFPRVSSAAASADNADLDPSVAELPPKPFLEALAKELRILLRLRLFNIDMIREHGSKNVFYVIDINYFPGYAKMPDYEQVFVDFFHDMAQAKQKKRHSTLLLPTMSLLLRTLPLRPSLFLSATANAAVLFLLPKLRNPLPRTRRTFSNSTAAATSIDSVVKPPPPPLPPSLLRWVSRTELCGELSVEDVGKRVHLCGWVALHRVHGGLTFLNLRDHTGIVQVRTLPDEFPEAHGLINDMRLEYVVSVEGTVRARPNESINKKMKTGVVEVVAEHVEILNPVRSKLPFLVTTSDETKDSIKEDIRLRFRCLDLRRQQMTNNIVLRHNVVKLIRRYLEDLHGFIEIETPILSRSTPEGARDYLVPSRIQSGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFMPMEDMLKLNEDLIRKVFAEIKGIQLPDPFPRLTYADAMDRYGSDRPDTRFDLELKDVSNAFTGSSFRVFTETLESGGIIKVLCVPLGAKKYSNSALKKGDVYNEAMKSGAKGLPFLKVLDNGEVEGIAALVSSLDSEGKANIVRQCGAKPGDLILFGVGPVTSVNKTLDRLRLFVAHDMDLIDHSKHSILWVTDFPMFEWNEPEQRLEALHHPFTAPRPEDIDDLPSARALAYDMVYNGVEIGGGSLRIYKRDVQEKVLEIIGISAEEAEAKFGYLLEALDMGAPPHGGIAYGLDRMVMMLGGASSIRDVIAFPKTTTAQCALTRTPSEVDPKQLQDLSIRTK >A03p064040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27858807:27861371:1 gene:A03p064040.1_BraROA transcript:A03p064040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTKPILPLLVNKKPLELIKPSKHTPSETLSLSTLDNDLFNEVMYATIYVFKANEKNQNDPVPLLRKALSELLVYYYPLSGKLMRRESDRKLQLVFGGEGVPFEVATAALNLSSLNYIENLDDQVALRLVPDIEIDYDSNISYHPLALQVTKFACGGFTIGTALTHAVCDGFGVAQIIHALTELAAGKSEPTVKPVWQRERLVGQFDDEAAKMPGGHITSLLATSPYIPASEMVTETINIRAGNIKRLKDSLMRECEYPKEGFTTYELISSCIWKARSRALKLNLDGITVLGVAVGIRHVLDPPLPQGFYGNAYIDVYIELTVRELQEASISDIAKHVKKAKKTAYDKGYLEEELRNTERLMRDDAKFEGVSDGLLFLTDWRNIGWFGSMDFGWSEPVNLRPLTEQKSAVHIGMILKPSQLDPSMEGGVKVIMTLPRVAMVDFKREMDAMNKLYS >A10p021290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14342268:14343253:1 gene:A10p021290.1_BraROA transcript:A10p021290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIGYGCCWVWAKYGCGCFEPRKKNTQLDIPIWVWSNPTDRPIPSRRQSYRLRVRFVLLHFSVENLIWLGNVEVNDFTRDILHHSRVLDSVARHGESKEREREIYKIKLKGDTNCLFSLSNQLAVSSQRLVLMSTEEVLEDSKSLAEQQVENDAVMALT >A01p045610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25752666:25754633:1 gene:A01p045610.1_BraROA transcript:A01p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSMGTPFGGPSNSAGAPANKDRNLASAEQLVLDLSNPELRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYSVLAPPNLTPAQSNRVCNSLALLQCVASHSDTRMLFLKAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGMDYICTTAERFFAVGRVLGNMVLSLVEQPSPRLLKHIIRCYLRLSDNPRACAALGSCLPDSLRDGTFSNCLREDQIARRWLQQLVHNVGVGRVPSHQGGGFEHML >A05p006470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2582581:2601827:1 gene:A05p006470.1_BraROA transcript:A05p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative expansin-B2 [Source:Projected from Arabidopsis thaliana (AT1G65680) UniProtKB/Swiss-Prot;Acc:Q9SHY6] MTILVLERCHIIMNLFFGLITFILLNSAHCFYPKRLNISAATGDSDWSLAGATWYGSPTGYGSNGGACGYENAVAQAPFSSMVSAGGPSLYKSGKGCGACYQIKCTSKPACSTNPVTVVITDECKGCVTESVHFDLSGTAFGALASSGQDSQLRDVGVLQILYRKVECNYIGETVTFHVENGSNPYSFAALIEYEDGDGEIGLVELKQALDSDTWLPMSQSWGAVWKLDVTSPLRAPLSLRLTYLDSGETVMASDVIPAGWEPELLPKTHYKYPLSYYESNSHLAIDITEISLNDTKLDHKMTSFHRCFALLALFAASFKFCYCQNETKNASGWADADAGATWYGEPEGAGSTGGACGYGVAVANPPLNAMVSAGGPSLFNNGKGCGTCYQIMCTVNPACSGSPITVTITDECPGGPCASEPVHFDLTKPGQAAQLRSAGPVSVSYRRAACLYQGTEIAFHVDAGSTPFYVAFVVEYENGEGDLASVEIQPASGGFMPMQEMRSAEWKLNSGGPLSGPFNIRLTSGESRKVVVAQAVIPADWKPDQTYRVECNYIGKTVVFQVEEGSNAYYFAALAEYVNGDGEIGLVELKQALASDTWLPMSHSPSAVWKLEDTSPLRAPLSLRLTYLDSGETVVASDVIPAGWQPGAKYESNNETINAAGWADADAGATWYGEPEGAGSTGGACGYGVAVANPPLNAMVSAGGP >A05p048970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28565123:28574844:1 gene:A05p048970.1_BraROA transcript:A05p048970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT3G10410) UniProtKB/TrEMBL;Acc:A0A178VKH6] MEKLTFLSLLLHFAVFIASTSQSSSILLNDRSFEIPNLPSSRAEKLIRELNLFPNLEVNVIDVGDSTLTSDEELPSIVERRFIFPNILPDGGPPSLEDLGHHAGYYKLPKSQGARMFYFFFESRSKKDAPLVIWLTGGPGCSSELAMFYENGPFKLDKNMSLAWNEYGWDQASNLLYVDQPVGTGFSYTSDKTDIRHDQTGVSDDLYDFLQAFFAEHPKLANKDFYITGESYAGHYIPAFASRVHRGNKANEGIHGFAIGNGLTDPAYQYPAYPDYAFEMGLITQAEHDRLTKIVPLCELSIKICGTDGTTSCLASYLVCNTLFSGVINHAHGVNYYDVRKKCEGSLCYDFSDMEKFLNLNSVRKSLGVGEIEFVSCSTSVYQAMLQDLMRNLEVGIPELLEDGINLLVYAGEYDLICNWLGNSRWVHAMEWSGKENFKAAKEVPFVVDGKEAGKVKSYEQLSFLKVHDAGHMVPMDQPKSALKMLEGWMKKSLGGGAAVSTTTTEEDDMVAQIPVMMATTPACLRPPPDPPPSTCMYPPLKALWPVIPPEPPDPPDGPLLLVLQTVLSSVVSPSSLAAVVHLTLLLGAALLCTNETTGSTQPELWLARSVPFCIYEAPTPLHAASDPLIYAENTFCYASALSISAVCPSCSDTASFTSAVTSFGHKVSVCCTFMSSLTESYEEQSWVISVKLLRYLRSFLLSNPFEIHIVSLGSFFGGSECPALCMAKSFEFSSLKVFSDNSTLIRAISGNIQSKEIIGIVSDIRSISSGFATIVFSCFFRSENLFVYNLAKQALQPFLLCTELFGEGTLIWTLHMGIFDGLPVPSDKTYLREELARIDESWVAARFDSLPHVVHIITSKDRETDILLLREQSDVVEEVVDEVVHAYHGGFNKAIQNYSQILRLFSESTDKIGDLKHDLAEAKKSLGTRNKQLHQLWYRSVTLRHIIALLDQIEGIAKVPSRIEKLIADKQFYAAIQVYLQSSLMLEREGLQTVGALQDVRSELAKLRGALFFKILDDLHAHLYNRGEYSSVASSIYERDDEVPTTTAVAASRMSSQPLSRRTRTLKGDSQFGVRGLTNGSHRTASTEEGSSFDGHDEEDSVEHDEATGDGQTIRNGTDSKLLSYQLPPWLSDSSPDEFIEAVRKSDDPLHVKYLQTLVQCLCMLGKVAAAAKDFDPRYMRS >A03p006410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2715742:2716539:1 gene:A03p006410.1_BraROA transcript:A03p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEKNAELKKTSFFSRSMTTHHGRPVASSLNPSLNRTTSITKYYTPVESVGSSLKGKVKDLCRLFEGSKSVKPSSTDPPPQKHQKLTKSVLSESRLSPFLSLSNSVTRRLPGTEDRIVVFFTSLRGIRRTYEDCYSVRMIFRGFRVWIDERDVSMDAAYRKELQIAMGEKSSVSLPQVFIMGKYVGGADVIKSLFEIGELAKILKAFPVREPGFVCRCCGDVRFIPCLNCSGSKKLYDEDEDRVRRCPECNENGLIRCPDCSS >A07g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:470866:471736:1 gene:A07g500220.1_BraROA transcript:A07g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAYHYLKVDIRDGRSCHFWFDDWLGRDRLIDITGAAGTIYLGVQRHAMVSDAVTTAGWNIRERNARRHQQPRNSIEQMRRLIDKAMRNRIYSLKYQPEHKLGGMLTRWFEITL >A09p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4078932:4080281:-1 gene:A09p007820.1_BraROA transcript:A09p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGDSETASWRSDLSKVDVWYASFGSNMWKPRFLCYIQGGQAAGMKKACVGAMDKTPPKETTWGTFPNRLFFGRESTVTWGEGGSAFLNPLTNLSDQSHMCFYRITLSVTTTQLEQFNDVLFQENGMKVDSDTPLFDLAAMQLVQNNGSIPLAKAGWYGNVVCVGKESDIPILTMTCTLSVLEKFTSGEVPLRPPAKAYANTLVMGLVEGGRLSEEAAWAYIDNAASKPL >A05p017570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8017089:8022179:-1 gene:A05p017570.1_BraROA transcript:A05p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQCLTFLFLFLLLQNATSASPLPLRRRPVHNNSTHSNFAKHPRRTVVFPVNRSSCDLFAGEWVRDATYPLYRVEECGRGMIDPGFDCQTYGRPDSDYLKFRWKPFNCDVPRFNGVKFLQKMRNKTVMFVGDSLGRNQWESLMCMISSSAPFIRTNLIHEDPLSTFKILDYNVKVSFYRAPYLVDIDKIHGKTTLKLDEISVDASDAWRTADVLLFNTGHWWSHTGSLRGWEQMETGGRYYGDMDRLVALRKGLRTWSNWVLRYINSPLTRVFFLSVSPTHYNPNEWTSRAKASTIAQGGKSCYGQTTPYSGTTYPTSSYVNQKKVIDEVVKEMDSHVSLMDITMLSALRIDGHPSIYSGDLNPSLKRFPDRSSDCSHWCLPGLPDTWNQLFYAALMF >A06p041450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:22328381:22329172:-1 gene:A06p041450.1_BraROA transcript:A06p041450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVRQLLEQIHRFSRRCLFRVLSMGPLPTHLAFIMDGNRRYAKKRGLEDGSGHKAGFSTLMSMLQYCYELGIKYVTIYAFSIDNFRRKPEEVQSLMDLMLEKIKSLLDEESIVHEYGIRVYFIGNLGLLNDQVRAAAEEVMKATAKNSRVVLLVCVAYNSTDEIVQAVKRSCVDKADRDTERIQLVDIEENMQMSVAPEPDILIRSSGETRLSNFLLWQTGNSQLFSLDALWPEIGLRHLVWAILNFQRSHSYLEKRKKQL >A02p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13414728:13418664:1 gene:A02p027610.1_BraROA transcript:A02p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative boron transporter 5 [Source:Projected from Arabidopsis thaliana (AT1G74810) UniProtKB/Swiss-Prot;Acc:Q9SSG5] MEEERVGSSKRPFRGIIADLKGRALCYKHDWVAGLRSGFGILAPTTYVFFASALPVIAFGEQLSRDTDGVLSPVETLTSTALCGVIHSILGGQPLLILGVAEPTVLMYVYLYHFAKGRPELGKELFLAWAAWVCVWTALLLVVIAIFNVADIINRFTRIAGELFGMLIAVLFIQQTIKGMLSEFKMPDHEDSTLEKYQFQWLYTNGLLGLIFTFGLLYTALKSRKARSWRYGTGWYRNFIADYGVPLMVVVWTALSFSTPSTLPSGVPRRLSSPLPWDSASLGHWTVIKDMGKVPPPYIFAGFIPALMIAGLYFFDHSVVAQLAQQKEFNLKNPSAYHYDVLLLGFMVLVCGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVMTAKESMRKRASSSQVYENMQEVFIEMDKSPLVQTNSTHIMELQDLKDAVMKKTDEEGDSSEEGGFDPEKHLEAFLPVRVNEQRVSNLLQSLLVAGAVLAIPAIKLIPTSLLWGYFAYMAIDSLPGNQFFERTVLLFVPPSRRFKVLEGAHASFVEKVPYKSMAAFTMLQIFYFGLCYGVTWIPVAGIMFPVPFFLLIAIRQYLLPKLFKPAHLRELDASEYEEIPGTPRNPLELSFRSTGSMKDVLECDAEILDELTTSRGELRVRPASLSPDKGHQIYPNEIVEAGDGDISTPRE >A03g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26105976:26106672:-1 gene:A03g507340.1_BraROA transcript:A03g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDALMVNNREDKDATVCVSIFDSLAELLHKRLDAGVVHPKVMIETNINPKFIGGCQPDNDSTSGKQYRGVKKLKQVSLGELKNYVLESPPRAIEFLCKSKIA >A07p010670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6703391:6704803:1 gene:A07p010670.1_BraROA transcript:A07p010670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGVSAVNVVFGWLRHQSKMVKIPLGIILSLILVVFLRFTVKNHNHFFVASELIHAAGIIILIYKLTRQKTCSGLSLKSQEVTAVFLAVRLICSISMEGDIHTVLDFATLVSTLWVIYMIRFKLKASYVKTLDNCHHYYVLVPSAFLAFIIHPSTRYTYINRVMWAFCVYLESVSVLPQLRLMQNAQIIEPFTAHYVFALGIARFLACAHWLIQVFESRGHYLWLIGAGYFWFPMALLAEAVQTFILADFCYYYVKSAMEGQLVLKMPV >A04p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21342708:21344317:-1 gene:A04p037410.1_BraROA transcript:A04p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYFGNYCYVMIIVLVLGAEVRSQSLKNGYYSTSCPKAESIVRSTVESHFDSDPTISPGLLRLHFHDCFVQGCDGSVLIKGKSAEQAALASTGLRGFEVIDDAKARLESVCPGVVSCADILALAARDAVDLSSGPSWTVPTGRKDGRISLASEASNLPSPLDSVAVQKQKFQAKGLDTHDLVTLLGAHTIGQTDCQFFRYRLYNFTVTGNSDPTISPSFLTQLKTLCPPNGDGSKRVALDIGSPSNFDVSFFKNLRDGNGILESDQRLWSETNDMVKKYVSTIRGLLGFRFDNEFGKAMIKMSSIDVKTDVDGEVRKICSQVN >A09p015710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8183153:8184560:-1 gene:A09p015710.1_BraROA transcript:A09p015710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTTTTISQHDFYGDDDGDSETSISVSVIENMKEEYGLFVWPCSVILAEYVWQQRSRFRGSTILELGAGTSLPGLVAAKVGANVTLTDDSSKAEVLENMTRVCELNNLNCNVMGLTWGVWDATIFDLRPNIILGADVLYDSSAFDDLFATVSFLLQNSPDAVFITTYHNRSGHHLIEFLMVKWGLKCVKLVDGFSFLPSRKASLLSGNIQLVEIVLSCGKSAA >A03p062920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27312201:27313471:1 gene:A03p062920.1_BraROA transcript:A03p062920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVDRSATDDDLKKAYRKLAMKWHPDKNPTNKKDAEAKFKQFSEAYDVLSDPQKRAVYDQYGEEGLKGNVPPPNAASGASYFSTGFNPRSADDIFAEFFGFSTPFGGGGGGGAGGSMHHHHHHHPHHHHQAAARKVAPIENKLPCSLEDLYKGTTKKMKISREILDASGKATQTEEILTIGVKPGWKKGTKITFPEKGNEHPGVIPADLVFIIDEKPHPVFTRDGNDLIVTQKISLAEALTGYTVNLTTLDGRTLTIPVTNVIHPEYEEVVPKEGMPLQKDQTKKGNLRIKFNIKFPARLTSEQKSGFKKLLG >A02p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25782200:25784437:-1 gene:A02p040830.1_BraROA transcript:A02p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 13 [Source:Projected from Arabidopsis thaliana (AT5G44265) UniProtKB/Swiss-Prot;Acc:A8MQA2] MNRHTTTLVVLSLLLVVSDHTRLMIRVHSYVPFCAYTYDYFSYCLEFLTGNYYKPGKKCCVHIAKLNIIAKHKKENPRLLCNCVEMMTRGYTPPMLADKIQELPPLCNTHLSFPISSSMDCSTLTLEDFQKSLLRSILTYNELEDFLNVFHEVFKNLKQEDYQSLRCVCQVESKLIYVEE >A10g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20881488:20883289:-1 gene:A10g506930.1_BraROA transcript:A10g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKDPENLRDIAFREYKILVKEENEVTEEVLVRDVLYACQGIDGKFVKFNSEIDGYAVVDYINTPRATKVMIRTLSELGWLFKKVKTFVSESMDRLETVGQAFCAARAPLDTVFTDSALSKYLRVFNFLWKLKRVEHALIGIWKTMKPNSFVKLQSSVKLQLLSALRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWCNFSKEMEAAKDLDDLLAAHEKYLSSIVGKSLLGEQSQTIRKSLFVLFELILRFRSHADRLYEGIYELQIRTKESGRGRNKTQESSSWISESRKAITQRAGEFLQSMSRDMDSIAKEYTTSLDAFLSLLPLQQTVDLKFLFFRLDFTEFYSRFLFQVSCINVWDWETDSVVVRWGRCHVVSLFTSNGSKLSKSFCGHGLRSSISISSLRFQTARLSHESQKKRFEGHSSSLSHTTFFKVYKQHEFDICSETFKVIV >A03p033510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14186543:14191442:1 gene:A03p033510.1_BraROA transcript:A03p033510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRREKRSSATESSERRHNRDESKPRDGEPDSDRRLITIFVVFFIVIPAVSMAVYKVKFADRVIEAEPSIRQKGIIKTDIHFQEILTEHSKASENSSDRHYDYPVLAYITPWNSKGYDMAKIYNSKFTHLSPVWYDLKSQGNGLVLEGRHNADKGWIQELRSKGNAMILPRVVLEAVPEEMLKKKKLRAKAINLIVTECKEMEFDGIVLESWSRWAAYGVLHDPDMRKMALQFVKQLGDALHEQQMQFMYVIGPPRSDTLQMYDFGPDDLKFLKDSVDGFSLMTYDFSNSQNPGPNAPLKWIDITLKLLLGSSSNVDSSLAKKVLLGLNFYGNDFAISGGDGGAITGRDYLALLQKHKPTLHWDKESGEHLFMYRDDKNIKHAVFYPSLMSILLRLENARLWGIGISIWEIVYSVLTPKTSHPKMSRVKQAVAKIDNTVHKEKDKDKDRERAMEALKTASFSPTSVLSDKRSETRKPFSLPSLFPPKPPKPISQESLFRSFNGGLALLTSVLSSATAPAKSLTYEEALQQSTTSPSSFDSDGLIDGISSFVTDNPLVIAGGVAAFAVPFVLSQVLNKKPKSFGVESAKNAYTKLGTDENAQLLDIRAAADLRQVGSPNIKGLGKKTVSAVYNGEDKPGFLKKLSLKFKDPENTTLFILDKFDGNSELVAELVALNGFKSAYAIKDGAEGPRGWVNSGLPWIEPKKNLSLDLSSLTDSISGVFGESSDGVSVAIGVAAAAGLSVLAFTEIETILQLLGSAALVQLAGNKLLFAEDRKQTLKQVDEFLNTKVAPKELVDELKDIGKAFLPLSTSSKALPPPAAEAATTITVDEKEPEPAIKAATAQVTIDPATEAATEQVITEPTKTEAKPKPYPRPLSPYASYPDLKPPTSPTPVSSKGLPAPAPVAAEAATTTIVDEPEPELEPAIKAATVQVNSEPAAEAATEQVITEAKPKSHSRPLSPYASYPDLKPPTSPTPSHP >A07p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23084710:23085610:-1 gene:A07p042630.1_BraROA transcript:A07p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEGFFLNRLRAHVRVQPPTTTSHHSCSQEPPNASAETKGRRIIVVVDSCSESKNALLWTLSHCAQPQDSILLLHFLKAKPSQSGALATGGEETLDKHTASKAYQKVSTLRNICELKRPEVKTEMVVVQGEEKGPTIVKEARERGASLLVLGQKKQHATWRLLMIWASQTRPLTKTDTVEYCINNAPCMAIAVRKRGKKVGGYTLTTKRHKDFWLLA >A04g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18064548:18066707:-1 gene:A04g507350.1_BraROA transcript:A04g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQATMMQATIYANRLSRFRSKLAAGTMYTISGYDVARCAQNFKLTDSPLMIRFNDSTAFDELAEPVSPLPEEGFRFRDQSELIGLANTSTQLPDIVGEIIAVKSNVSDTPGEKSRLMETIKLDKDSTVTLSLFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKPGEDYFYKLVARDNGVPSAAPLLKGYAKVETLTISELISFVASAQPQDIDFVCTGRVVRLDVDKGWCYVACAKCSKKLQRTVSALECVRCSNPNAVGVLRYRLELAIADSTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDMIVPPFINDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNNEDDNNLGGGGHVPVRNDSREGSSGPDKKADGPPADTAVEKSSRSSTSAAKKARVV >A02p028320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14329298:14330077:-1 gene:A02p028320.1_BraROA transcript:A02p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVSSPPRLHSPFIHSPVSYTQSSSRLRSPATCYPRIKAEVDTNTVVAISVGVASVALGIGIPVFYETQIDNAAKRENTQPCFPCNGTGARMRLLFQQKCRLCVGSGNVTVELGGGEKEVSNCINCDGAGSLTCTTCQGSGLQPRYLDRREFKDDD >A03p074470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32410156:32423333:1 gene:A03p074470.1_BraROA transcript:A03p074470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFDKVMNLSPAKTTWKIKVKIIRLWRQYSAGDIDSIEMVLIDSNGDTIQATVNEVLVPIFEPFLEQDDSKILINFSLSQACGSYRLTKHPYKISFKPPLGFDFVTICHGTLSLEYLIDIMGQIVEVTHVEIVSPNGKDTEKISLLLKNEADVRLPLVVWGKVALDLSEAIQLLSDRTLICVMKFGKIKVWKDERSVCNAYNVSAVSLNPFIDEVEAFAKLLPKENISLAIVQSKPLSMVSMMSEEEDYFVKTPQKTISDILETRKVERCYVRCTIAAIDNDMGWYYISCKVCGTKLDMLHNNVHPGGTYELDVRCMLYCTKCKMINPKLKLRYKLHLVVLDNTGHTKLLVLDKIALQLLHQPCFNPTTHIATEIMEPNVLRSALKNLVGKKYLFKIIIDTVNYQCEDDTFKVQKIITSPYMLNEFDVSSYPKGSSNTFYPDFSHRFEEQEGCVLVTESSSVDSPSKVKAPVKHEGSPIEKIEPAFYKISANNQSPSGVKIHASVKKDLVNQFDPQLSEGSSKIFINFSVGQSCGSYRTTNHQYKISFLETTHVRDCDFPNEVSGFDPANYRDILDGSLNSDYLVDVIGQIVEVSPIDVVSVNGKDTNKMALELRNSADKRLQTVLWGSFATDVMEAIQMRREQAIICVIRFGKIKVWKGKFRFILFICNLYCQNERSISNAYNVSAVELTPPMAEVDSFLALLPKDELALAIVDPKPLSIVSDVSENDDFFKNTPRKTLSQLSETRQIAKCIVMCTVAAIDSDMGWYYLSCKVCAKKVLHVPNDTIDDEDDENSIMFSYYCPKCKVSNPKLLPRYKLHLIVVDSSGKSKFLLFDNLAVELIHQPCISLVGPNADELEEPADIPLALKNLVGKTYLFKVGIERENFLYNHDTYKVTKIITNDEIISEFDTKVYPKLPSLTYTGDNTILSDALEGSLMLSAESSQEVERTDLTPAKRRGTSIVNLEEADDQNSVTRIPCTTRIKKEKIEKIMASFSSVTDLKPFKSMWKIRVRIIRLWKQFSAAGGLTIEMVVVDANGVKIHASVMKDLVTKFDPFLSQGSSKIFINFSVGHSYGSYRTTKHPYKISFLETTRVRSCESPIEVSGFDPATYRDILDGSLNSDYLVDVIGQIVKVSPIEVVTVNGKDTNKISLELRNSNDERLQTVLWGVFPTDIMEAIQMHEHSISNAYNVSDVSLHPPMVEVDDFIAVLPKDELVLAIVDPKPLSAVCGVSQNDDFFCPHTKENHISVEKCIVMCTIESIDSDMGWYYLSCKVCAKKVLNVPNDFDEDGNDDDPTMFTYYCPNCKVSNPNLLPRYKLHLIVLDSTGSSKFVLFDNLIVRTWKASIGSNRPRTCLVIGDEHGTTIEATIPWDVTLPCGVNLNEDLCGALFHVGPLEEIIQVQPNQINGGHQRRILFDEINCVAYGPVAEKLYENWFPSTEKIFVCVLKLWRIEWGEVICVMVNFISLSDLHSKIPFPAIRVKIIKKWNTKIGRDHHSVMLLGDANGVTIQGSLNYALSLPREIELKEDDWVEILNFDIRYVFELHRTTKHKYTIKFNESSLFRKIQPVNASNFLCCANFRGIKRGFYHPMYCVDLCGALVRVGDLIATKLAQPANIYNSIMYSLEFSLINLGYIHIQYVAYGSLAHRLNAFWRANIADVVVTFLRLWKIEWGEGGFNYVTNMEGGSDILFDSDIPEIQFFKSQIPNIDFKSLE >A10p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14413714:14415849:-1 gene:A10p021460.1_BraROA transcript:A10p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSFVAVIVLTVAAVVCPKASSIGANWGTQASHPLPPDIVVRMLRENGIQKVKLFDAEYDTLRALGRSGIEVMVGIPNEMLASLASSLKAAEKWVAKNVSTHIKTDNVNIRYVAVGNEPFLSTYNGSYLTTTFPALRNIQIALIRAGLQNQVKVTCPLNADVYESSNTFPSGGDFRANIRDLMITIVKFLSDNGGPFTVNIYPFISLYNDANFPVDYAFFDGNSQPVSDGGTFYYNMFDANYDTLVHALEKNGFGNMPIIVGEIGWPTDGDKNANVEFAKKFNQGFMAHISGGKGTPRRPGPIDAYLFSLIDEDAKSVQPGYFERHWGIFTFDGLPKYVLNLGTTNTGALIQAKGVRYLQRKWCVMKPNVRLDDPQVAPSVSYACSLGDCTSLGVGTSCGNLDGKENISYAFNSYYQINDQLDMACKFPNISEVTKTDPSTGTCRFPIMIEPYYGGAVQGQVFFFPLLVAVAIAMLSIF >A02p001340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:610607:612334:-1 gene:A02p001340.1_BraROA transcript:A02p001340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSAISIRQCIVMLLSIMLMSRFFIQVIIDQLNKRLYHLSPGNFIVMAKRRSWFGWIKRLFTCEAKAKAEKKSRRLRWVFRRLKLKHQISTRVQETRTLNQATEDQRKHAMNVAIATAAAAEAAVAAAKAAAEVVRMAGNAFTSQHFVKKRDTNLAAIKIQSAFRAYLARKALRALKALVKLQAIVRGRAVRRSVSTLLKTKPSNKASESSLITRTTEGKHWSKIKEELKVKCNGQNVWDSSALTKEDIKAIWLRKQEGVVMRERMLKYSRSHRERRSPHMLLESLHTKDMRMRSCRLEHWGESKSLIPSEILVPTKVKLRTLQRQDSNDGQESPFSFPRRSFSRLEQSLLEDESWHQSFKGFQPYMSVTESAKEKFRSLSTPRQRIGVMDSWLDKKDGDKVSLWSSFVSETSKMSSSKKSSLAHS >A01p018480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8966786:8970552:1 gene:A01p018480.1_BraROA transcript:A01p018480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNTQFYFYFAFVAIIRKKSNIYKKIPLTKPKKSNQEKQQPFKQMATENPIRMSGSNERWSNSVPNRSGSAPPSMEGSFRAVDNLLSRQGSSGYTNLNRTPSPPVYYPAQYHQFVDNRVGSRLYKVKSPVHLSQGALSTHKEVSEDESSQQLSVISFSDMTNAVEEELRQDDSSESNSSTGEMNIADESGNVSETSDDAAAVTRASVVTEKTPDESTIISKMKNVNISGPGTPRYPREPRNARPERQVFQQQNNLTWVQGGGKMSYHAVNGTGQFPYGQHVLQSPGFKPPPQLYLPTQTAYVTSPAQVYNMQSPPVYSPQYGYGPYTNMIPHPQFVPGGYPSHGSVPLIVGPSAGGEMQYAQKFYAPQGQPSFPDPMYMQYSQQPFGQMEPPRGYHKNGPESQKFVRGIRGPPSNSNMGRMGLSYHGVQPNMGIMVQYLPAQPGAPLSPGSLPYVEASYPGWQPHGNGPRLCNFLEELKSGKGRSADQHGSRFIQQKLEHCNPEEKAAVFREILPHACKLMTDVFGNYVIQKFFEYGNPSQRKELADQLMGQIVPLSLQMYGCRVIQKALDVIDPDQRVRLARELDGQVMRCVRDQNGNHVIQKCIENISADRVGFMLAAFRGQVSSLSMHPYGCRVIQRLLERCANEHQCQFITEEILESVCVLSKDQYGNYVTQHVLEKGTSKERERIVRKLSGHIVQLSLHKFASNVIEKCLEHGGRIERDLIIEEIAGPDESYDSLLVMMKDQYGNYVVQKIFETCTVEQRAALSSRVRMHASALKKYTYGKHIVTRLEQPFAEGSRESRR >A01p011800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5712555:5713805:-1 gene:A01p011800.1_BraROA transcript:A01p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSGGGTSGASADLHSAARSGDLAAVQLIVSSNPLAVNSRDKHSRTPNRLHLAAWAGHNEVVSYLCKNKADVGAAAVDDMGAIHFASQKGHLEVVRTLLSVGVSLKSITRKGLTPLHYAAQGSHLDLVKYLVKKGANVRATTKAGKNPADVAGNPETQTFLEECEEQARKDKVKNSQKKTEEVKPESYDVEGADKGLKRKDSEDGNEEGELEEASSKAKKPKVVLRHLQESDDTEADQEEETEEPTNGTST >A08p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17740302:17742709:1 gene:A08p028280.1_BraROA transcript:A08p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPAAFQTRGFSNVIALQHSLLRCKSFISFALTCCFRVSFTNYRLIEIKMQPQRLKKAIVDNPKKLGNLIDLVNLPSTLRDFLGQSQSSRLGCFMRVWSYIKTNNLQDPKNKNVVNCDEKLKSILLGKPQVELVDLPSLIKLHFTTKAPNSNSQEKRMPSVFKILSRVSRSAGLRSSLSAAAALPARNQAPIFTSRYHSLVNNFSQKLVAAQVSLDSFSLQRFSLSSTSTTPESHEKESSNTEASKTAEANESGPDSESKASAGKGAKRAAVSESDSESGDDEEEMSKDDLVKLVAEKEELLSVKEEEIKQMKDKVLRTYAEMENVMDRTRRDAENTKKYALQNFAKSLLDVADNLGRASSVVKESFSKLDETSKDSAAGAAPLLKTLLEGVEMTEKQLAEVFKKFGMEKYDPINEPFDPNTHNAMFQVPDASKPEGTVAHVLKAGYTLYDRVIRPAEVGVTQGGESEENRKETDA >A10p033160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19420843:19423148:1 gene:A10p033160.1_BraROA transcript:A10p033160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIRGFRFLGQAETCRVLLLMTLVVAFILGLQYFELTPISTGTLGNGTVSGFIESNNITKGDENEMFLAPQEATSEFRPGNSTTEVLKSYEHKFLNNSPKAYGQSSGNESASSHHPLQPKIPHSNKKHERSTKKPPLVVISITQMNQMIVKRHSDPNNSLTPRWESNVDRELKDARNKIKNAALVKKDNTLYAPLYHNLSIFKRSYELMEQTLKVYVYSDGDKPIFHQPEAIMEGVYASEGWFMKLMESSHRFLTKDPTKAHLFYLAFSSRILQQKLYVRDSHSRRNLVKYLRDYIDLIVSRYPFWNRTRGFDHFFTACHDWAPAETRGPYMNCIRSLCNADVGVDFVVGKDVSLPETKISTAQNPNGNIGGNRPSKRTILAFFAGNMHGYVRPILLNHWSSSSEPDMKIFNRINHKSYIRFMKQSRFCVCAKGYEVNSPRVVESVLYGCVPVIISDNFVPPFLEVLDWESFAVFVPEKEIPNLRKILISIPLRRYVEMHKRVLKVQKHFMWHDGEPVRYDMFHMILHSVWYNRVFQTF >A01p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1087012:1088073:1 gene:A01p002370.1_BraROA transcript:A01p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDVLPAATANQKKDSSDSVLFGRGRYKFFAFAALMLLAFWSMFTGTVTLRLSTEDLNRLSEDIGIPTNHESLDVLEMEEREKVVKHMWDVYTNSRRIKLPQFWQQAFVAAYEELTSDVPGVRDAAIGEIAKMSVARSISLDPTTPSRSMSARVLGRSLKKILHKPAASS >A01p008650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4179955:4184645:1 gene:A01p008650.1_BraROA transcript:A01p008650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G29810) TAIR;Acc:AT4G29810] MGEEAPILDIESSEENATRRNEDSMVDLYPLSSYYFGSRDAVRVKDEMIADRVVRLKSDYAAHGLRTCVEAVLLVELFKHPHVLLLQYRNSIFKLPGGRLRPGESDVDGLKRKLASKLSVNENVLLPGLEVGECIGKWWRPNFETLMYPFLPPNVKHPKECLKLFLGRLALHQQFVVPKNFKLLAVPLCQIHENEKTYGPIISQIPKLLSKESDEKKKEEEDEMKKGGFSNNLKLSIPPAGEQSITKFLTQSGTFKDGDLRVNKDGVRIVSQSEPEALSPIKPADDKLGLSDLDMVKFIGKGSSGVVQLVQHKWTGQFFALKVIQLNVDEAIRKSIAQELKINQSSQCPYLVTSYQSFYDNGAISLILEYMDGGSLEDFLKSVKTIPESYLSAIFKQVLQGLIYLHHDKHIIHRDLKPSNLLVNHRGEVKITDFGVSTVMTNTAGLANTFVGTYNYMSPERIVGNKYGNKSDIWSLGLVVLECATGKFPYLPPDEEETWSSVFELMEAIVDQPPPTLPSESFSPELSSFISTCLQKDPNSRSSARELMEHPFVKKYDNNSEINLASYFTNAGSPLATLKNLSGTFSV >SC268g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000116.1:23903:25416:-1 gene:SC268g500030.1_BraROA transcript:SC268g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDMVHQLSKISTRTVHGKGQHADMCGQHADICSVHGSVHGQSTGRASMLICVLTWCISCPKSVHGQSTGRASMLICTDVLRVLTDVLCVLNRQLTWAKSPEQSTGRASMLICVLTDDHGRPVCADGRPVCTDGRPVCADGRPVCTDGHTDTHGRPACADGRPVCADGRPRTSSVTATDVLCVLMDVLCVLTDVLRVLTDTRTHTDSHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTSCVPREPKSPEQSTERADMCTDGQLDVLCVLTDGHGRPVCADGHTRTSVCTEQTADVGQNHPRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVFIKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPDNSFNHPYEACKKIRFKFERFVYIRGRHSLEPPTPQYPNGSGT >A03p010590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4243833:4244216:-1 gene:A03p010590.1_BraROA transcript:A03p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKKLMKLKSVLKKLNSFNTKPNQPPDPANHGRSSALSAFSSEERHTVYVGSTRRLYHVSSDVVSHPLFQQLAAVDGGCGGENGSIAVSCEVVLFEHMLWMLENANADESGLESVHELVEFYSC >A05p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:637906:639331:-1 gene:A05p002130.1_BraROA transcript:A05p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRQQFQMGDTKLTKIFVGGLAWETQKDTMRRYFEQFGEIVEAVVISDKNTGRSKGYGFVTFKEGEAAMRACQNMNPVIDGRRANCNLAFLGAHKPRPPTSPRHGTGRFRSPGGAGLVAPSPQFRGSSSSSAFVQHTGQFPIPYSAYGFSGYSQEGMYPMNYYNHHLYGGQQFSPYMGPPTSGSTGMFHGYYPYYPQYNPAQSSNQAQAPAQTHHQGFSFQYTTPPAPPVLHYPYLPHQQFSSQPPPPPILSLPTSLALSLASSAPSSSSSASTSAATTATKTVVITTTAEAEASSNKDGHEAVTSSTIKIED >A08g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3275454:3277466:-1 gene:A08g501270.1_BraROA transcript:A08g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQANLIQRGGSSSATNRHLDLEVEDEIIQERDQKIKELREQNELGLQAQQNRNMGLIHNRNNNLNNKRPRSPSDDGLNKSPGRPHYPGYARGEKRRKESPNYRSSRYYEDHRVFTKISDRRREEKHERIPSGNATVWNRLESHSTRRSVEAFALQYKNQDKVREHERNRGRAKYLSHHSRYSQQVWRPKSQVNESKSNNQNKSVGASETPAPPSRALTDSQRTISEVRQGRGRDTQGTGVMVVHRNETSEERLRRIKGKAPMFTEALEKTPMSAAKLSPAGLLTRDRGVLKIRDGESPLCPEETRYVSSLAKPSTEPEIVNLDLDRLMESHHIDNLVMTREDEAEVDKLVEDFGDVVMDDNMMQNDDLLVDEPGFDAEKIDAISQLSPAYAEDIDKQREDYQEAQMSDANPRAMEKGALLPSAGRDKKIADAHVPDTKGISKQSNLAGTVSKKCNPQSPGGGGGKVLALPRN >A09g512790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38458052:38466706:-1 gene:A09g512790.1_BraROA transcript:A09g512790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKRKLTVRISVPTKTETLSQFELVLSWLGDELVSLEKKDDQQHKPALPPEKLESEPEKWPHPSRCRNLSYHLGRKTFTYPPPRVTTLTGWGANCWALRKKESRCPTKARNRSLRSDRAIVPLGRYVATELEPKLGRYVATERSDRARAKAQSLRSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDRAIVPLGHYVATELEPKLGRYVATERSSRSVATDRAIVPLGRYVATELSQARSLRSDRFVPLGRYVATELSQARSLRSDRAIVPLGRYVATELKPKLGRYVATEQSFRSRLNLSEHLYDTSPCIRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKARLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNCNCPFLLFRAATQLGLAVLGLLELGISSTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTVQIL >A08g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11808315:11808964:1 gene:A08g506860.1_BraROA transcript:A08g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGERPARERACRRRSLSFRWQAIGLGSLHFLPGFPPCPSSGQPPSLESLFSGGKTRSPESRWLVSFPGGGGYDSSAAAGFSRREAEVPLTSPSSAQAFGRAMSFPTSVQKGEEESWLWRRLEGMMSSGEMRASVVLHGASVRRRRSGRGGETRGVLMA >A03p000450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:305271:306200:1 gene:A03p000450.1_BraROA transcript:A03p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSVVAVYGNGAITEAKKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQMIKDIKQAVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIVEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKLAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEMLVEVSCGLGEAMVGINLNDDKVERFANRSE >A07p023200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13468694:13470189:-1 gene:A07p023200.1_BraROA transcript:A07p023200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SHORT INTERNODES [Source:Projected from Arabidopsis thaliana (AT5G66350) UniProtKB/Swiss-Prot;Acc:Q9XGX0] MAGFFSLGNGTGVDGGGSRQDATTTNTNNNSSPSGNESWLWCRNPNSNANAGCGDIAPSYKGTLELWQHSNNQQIIFQQQQQQRLDLYTSAAGLGVGPSNRSLIEASGGEASGLMMIRSGGGGGGPSCQDCGNQAKKDCAHMRCRTCCKSRGLECPTHVKSTWVPAAKRRERQQQLASSQHPQGENVPKRPREHFPVPAKSTSLVCTHNTLGLQVGNFPPEISSSATFQCVRVSSVDEGEDEYAYKTAVSIGGHVFKGILYDQGPAERSSSGGGSQPLNLITAGPSASSSSAKVSCNNGVVGSTSDHYIDPASLNYPTSNNSFITGTHFFSNPRS >A08p039070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22538727:22539707:-1 gene:A08p039070.1_BraROA transcript:A08p039070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKFAVIFVLCSISSSNAATTPPSGGAGDAHSMPCIQKLMPCQPYLRSVTPPPPASCCMPLKEIVETDVNCLCSVFNNVDMLKSLNLTKENALVLPKACGANADVSQCKASTGEIRRRTTTPSTSPGTTKAPPASPAESGSTGGSASSTAKPSDSAPAINFSGISFASAFVALATIFF >A04p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13003668:13006315:-1 gene:A04p021490.1_BraROA transcript:A04p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLTIILFLTGLASASGGPTSAFKPADNILIDCGAKSSAKTPEGRVFKSDSETVQFIEAKDDIQISAPPSDKLPSPLYLTARIFRQEAIYKFHMTQPGWHWVRLHFFAFPNDKFDLQQATFSVLTEKYVLIHNFKLSNNNNDSQAVLQKEYLVNITDAQFSLRVNVGGPLITPQNDTLGRTWTPDKGYLKDENFAKDVKTTSSATLKYPPGVTPLIAPQTVYATAAEMADSHTMDPNFNVTWNFPSNPSFHYLIRLHFCDIVSKSLNDLYFNVYINGKTAISGLDLSTLAGGLSSPYYKDIVVNSTLMKPELQVQIGPLGEDTGTKNAILNGVEVMKMSNSVDSLDGEFGVDGRTTGAGRHGMVATAGFMMMFGAFVGLGAMVYKWKKRPQDWQKRNSFSSWLLPIHSGDTTFSSSHKSNLYNTTLGLGRSFSFSELQEVTKNFDASEIIGVGGFGNVYIGTIDDGTQVAIKRGNPQSEQGITEFHTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMSNGPFRDHLYGKEILSPLTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKSTNILLDEALVAKVADFGLSKDVGYGQNYVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMQWKQKGLLEKIIDPHLVGSVCPESMKKFAEAAEKCFAEYGVDRPSMGDVLWNLEYALQLQEAFSQGKTESDQEVEAGKTVAATVAAPPVSAAATTSEGPVSQTGEKDDSAVDQHSGTTRFTQFASLNGR >A01p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6873162:6874022:1 gene:A01p014100.1_BraROA transcript:A01p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFHKFKGFFRSGFDMQEVVLTSWKSSGFHGSLLTKSPFHNRSECFGFSDLEDFWDDLPVSRLEVVWTSWKSSDKVVWTSWKSSGLPGSRLDFLKVVWTSCKVIWKSSELPKSLLTKSSGLPESRLNFLKVVWTSWKSSDKVFFHIK >A03p007190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3001892:3003144:-1 gene:A03p007190.1_BraROA transcript:A03p007190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVCYYRNYGKTFKGPRRPFEKERLDSELKLVGEYGLRNKRELWRVQYSLSRIRNAARDLLTLDDKNPKRIFEGEALLRKMNRYGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHSRVLIRQRHIRVGKQLVNIPSFMVRLDSQKHIDFALTSPFGGGRPGRVKRRNEKSASKKASGGDADGDDEE >A07p045040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24476693:24477740:-1 gene:A07p045040.1_BraROA transcript:A07p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) UniProtKB/TrEMBL;Acc:F4IA25] MSLDKHIEKMLYSAEVIADRVAQLGSAVTTEFSGDSEPPVFVGVATGACLFLADLVRRIDLPISIDFIRADSYGSGTVSNGSPRISFDLKLDVTDKHVILVEDIVDTGNTVSCLIEHLKLKKASSVSVCTLLDKPSRRKVHFKLVGEGKFYSGFECYAFACLILVLDKHSVQMSLLWAMAWTSQSSTATYLTLAY >A03p063050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27380393:27384406:-1 gene:A03p063050.1_BraROA transcript:A03p063050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEEDEDMFLSSLGVTSANPADIEQTILDEATKKLDNDESVEERLEGINLLPSSQSEILNKLRAVKFEIDAVASTVEQAEEEDGLQSGSVLQNALAKDRLRSLRKRKNELEKELSGLHGQGGTSGGADRGDILRDLVKGEPSSRKRKLKEVRKPSKREGKKVKVVSFHEDTDFDAVFDAASAGFVETERDELVRKGILTPFHKLEGFERRLQQPGPSNTRNLPEGEDDNEDSSSIDRAVQSMSLAAKARPTTKLLDAQDLPKLEATPVPFRRLRKLYKTNDSSDSDAKKSKGGKSKKKRPLPKRKWTKRISNEDSSLQENEDGRRISATSSCEEEELDDFDEVDDSEKSSVPLEGGLNIPEGIFIKLFDYQRVGVQWLWELHCQKAGGIIGDEMGLGKTVQVLSFLGSLHFSKMYKPSIVICPVTLLRQWRREARTWYPDFHVEILHDSAKDSNGKGRVDASESDYDSEVSVDGDHEQKSKHTKKWSSLINRVLNSDSGLLITTYEQLRLHGEKLLNIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLTELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAHLTKKTEHVLFCSLTVEQRSTYRAFLASSEVEDIFDGNKNSLYGIDVMRKICNHPDLLEREHSHQNPDYGNPERSGKMKVVAEVLKVWKQQGHRVLLFSQTQQMLDILESFLVANEYSYRRMDGLTPVKQRMALIDEFNNSDDVFVFVLTTKVGGLGTNLTGANRVIIFDPDWNPSNDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHFLTNRILKNPQQRRFFKARDMKDLFILNDDGDSNASTETSNIFSQLAEDINIIGAQTENTSTTDSTTQLDTHDAAEELSGEKDAETNGEPVDEETNILKSLFDAHGIHSAVNHDAIINANDEEEKMRLEHQASQVAQRAAEALRQSRMLRSRESISVPTWTGRSGCAGAPSSVRRRFGSTVNSRLTTADKSSAVKNGISAGLSSGKAPSSAELLNKIRGSREQAIGVGLEQTQTSSSSSSRVGSLQPEVLIRQICSFVQRKGGSTDTSSIVNHFSDRVPAKDVPLFKSLLKEIATLRKDPNGSVWVLKSEYKD >A08p002390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1351999:1354139:1 gene:A08p002390.1_BraROA transcript:A08p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSSSQKTWIVHGIFAATAIAAAIGARAYLGRSRKFRSRVVGIIPARYASSRFEGKPLVQILGKPMIQRTWERSKLASTLDHVVVATDDERIADCCRGFGADVIMTSESCRNGTERCNEALEKLEKKYDVVVNIQGDEPLIEPEIIDGVVKALQVAPDAVFSTAVTSLKPEDGLDPNRVKCVVDNRGYAIYFSRGLIPYNKSGKVNPDFPYMLHLGIQSFDSKFLKVYSELQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPDDVEKIESLMRERNLL >A04p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6486227:6488035:1 gene:A04p011720.1_BraROA transcript:A04p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleoyl-acyl carrier protein thioesterase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13050) UniProtKB/Swiss-Prot;Acc:Q9SV64] MLKLSCNVTNHLHTFSFFSDSSLFIPVNRRTLAVSSSQPRKPALDPLRAVMSADQGSISPVNSCTPADRFRAGRLMEDGYSYKEKFIVRSYEVGINKTATVETIANLLQEVACNHVQKCGFSTDGFATTLTMRKLHLIWVTARMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILRDSATNEVIGRATSKWVMMNQDTRRLQRVTDEVRDEYLVFCPREPRLAFPEENNSSLKKIPKLEDPAQYSMLELKPRRADLDMNQHVNNVTYIGWVLESIPQEIIDTHELQVITLDYRRECQQDDIVDSLTTSEIPDDPISKLTGTNGSATSSIQGHNESQFLHMLRLSENGQEINRGRTQWRKKSSR >A08p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19400570:19406884:-1 gene:A08p031860.1_BraROA transcript:A08p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSNRRPPSPASSTSSSHLSSRLIPRSSSTSASSFTNSGSRSMTTLTRTFSDSGLIGGSGSFGTASPVPYPSEELLGEPVEETVSSERDSISVTVRFRPLSDREYQRGDEVAWYPDGDTMVRHEYNPLTAYAFDKVFGPQANTMDVYDVAARPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQESPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDSQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLMSSRSHTLFTLMIESSATGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSSNEETHNTLKFASRAKSIEIYASRNQIIDEKSLIKKYQREISILKLELDQYRKGMLVGVNHEELMSLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTRNSIPGYLGDVPTLQRSLSAGKDDKLASPLLDNDNPGSPSSTLALVSDASLGFSHQRSSSKLNGETSPGAESTQGVMTPDEIDLLVEQVKMLAGEIAFSTSTLKRLVDHSVDDPENSQTQIQNLEHEIQEKQRQMRALEQLIIESGEASIANASLVEMQQKVMSLMSQCHEKSFELEIKSADNCILQEQLQKKCTENKEIHEKVNLLEQRLNAVSSEKSSPSCSNNAVSGEYADELKRKIQSQEIENEKLKLEHVQIVEENSGLRVQNQKLAEEASYAKELASAAAVELKNLASEVTKLSLQNTKLGKELAAARDLAQTRNPVNGVNNRKYSDGVRSGRKGRISSGRSSGDEFDSWNLDPENLKMELQARKQREAALESALAEKEFIEDEYRKKAEEAKRREEALENDLANMWVLVAKLKKDNGALSEINGADSARELEKNQSNVVLKERQVASAPRQPEVVVVAKTEETPKEEPLVARLKARMQEMKEKEMKSQANGDANSHICKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKISDRLFAFPS >A06p041430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22321796:22325000:-1 gene:A06p041430.1_BraROA transcript:A06p041430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLIKRRGSAFFRSQTLAAIYSKLQRSNCTLAEGVMEKCSVIEEDISSVDTSKWKKVRAIDCGIRNSMVPESSLNVLRLLRRQGFDAYLVGGCVRDLILHRPPKDYDVITTANLKQIRRLFHRAQVVGRRFPICHVWMKGSVIEVSSFNTVAQNDTEHENDTRESKESSNLFKMYSGWDAKDCNLWRNSLQRDFTVNSLFFDPFELKIYDYNNGMEDLKDLKLRTLVPAHVSFSEDCARVLRGLRLSARLGLSLSKDIKTALPESISSIANLSPVRIFMEMNYMLGYGAAAPSILLLMKYKLLHVLLPFQAAYLEQATKTSQQSSLMLMKLFSNMDKLVSCKQPADSRLWIALLAFHITLVRNPQEAIVLHAFASLLYHRNWREALRFARENENSVAGYVPEVSKKSSRKRSDEDLAEAVSEFASLLRDTQYVLTDMESLHEALYHFPSFKRSGLVFVSKNKGKQLADLFTGMSDVESYESEKYGFSIDYCSLGKGVTCEVGFVLGKIILDTIIEQPTPVEKKQSAIDQIVPSACMEEKKEAELVISKEDNKGLGQIHDSKASSVFKAHQKILKRMRENSEQKNEQESEVCPDSTLSGQAKNQDQSVVQKPKRLRPHKEALVPEAPKQKASKRSKSDEQESLACPAKNQHQTVDQKNNKGGTKPLVSDPPKQKSRLKETQKVKHNDIPVREIQDAKLGFVSDKSMSDLLKVLVKPSQQASSKEESNSLPSSEKTKKPRKLSSLFR >A09p006670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3502784:3504778:1 gene:A09p006670.1_BraROA transcript:A09p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFSFSGDEEFFNGGSMYLSTGSCSGVYLPPRKRLRVAAPSLYSSFNQKQTSIEVLPDECLFEILRRLPSGKERSACACVSKHWLNTLTSIKANESLQEVESEGFLSRSLEGNKATDLRLAAISVGTSSRGGLGKLQIRGSGFESRVTDAGIESIAYGCPSLKSLSLWNLPAVSDKGLSEIARCCPMLERLDLSRCPGVTDKGLVAVAESCRNLNDLTIDSCSGVGNEGLRAVARGCSSLRSISLRSCPRVGDQGVAFLLAQAGSYLTKVKLQMVNVTGLSLAVLGHYGVAVTELVLSGLQGVNEKGFWVMGNAKGMKKLKSLSVTSCRGMTDVGVEAVGSGCPDLKHVSLNKCLLVSGKGLVGLAKSALALESLKLEECHRINHFGFLGFLMNCGEKLKAFSLVNCLGIQDLNSESHLTSTSSLRSLSVRCCPGFGDASLTFLGKFCHQLQDVELCGLNGVTDAGVLSLLQSNNVGLVKLNLNGCVNVSDNAVSAVSFSHGSTLESLSLDGCKNITDASLVTVSKNCYSVNDLDVSNTLVSDHGIKALASSPNHLNLQVLSLGGCSGITDKSKACIQKLGRTLLGLNIQRCGRISSSTVDSLLEQLWRCDILY >A09g519310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59857530:59858088:1 gene:A09g519310.1_BraROA transcript:A09g519310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVKSSAKKKGVAFVIDCSKPVDDEIMEIATLEKFLQERIKVGGKPGALGDSVSITKNKGKITVTADPTSPNDRNVYELRYFNIEDDAAGDE >A06p003180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4807495:4809475:-1 gene:A06p003180.1_BraROA transcript:A06p003180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTRTVKRESEGSGGRDGDESARFRAVKKEKNKGVASSVRIDEPPSQEAEEEREQGVSDRRVLRSQYLALINKISDSKDDLTNVDSDKFSRIFNEFENLHQKVQKPREQIADAEAFLDIANTMLSSVKSQSVNGVSPAEFVNALVNGFGQPSQRIDTDESAPVSIKWKDLGLAVCSTVLVSCGCSTMLGPMDTELKERKKAVYRKRTKPGEGVRPDEVDDTQSEEKTDTDKNMAIMFNILRQKKRVRLENLVLNRRSFAQTVENLFALSFLSKDGRVEIIVDKNGSHFALPRNAPAANLVASGEVTYNHFVFRFDFKDWKLMSEMVPMGEELMPHRETAVASSSGPSDFPQDSQTTPIRKLSRNRGLVVQEDTVVEDSPDVEGDGTRRRCKRKLT >A03g500060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:145048:145317:1 gene:A03g500060.1_BraROA transcript:A03g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCWTYVSLNKMPGSVGKIDMDPALAGRMSLSRFGQGMEWIDGRHKEQWISSLICHTAALNGFAQLKDLGFLC >A06p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5659625:5660466:1 gene:A06p012340.1_BraROA transcript:A06p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVVSRTGRQFQRYNKGRRLVVGCIPYRLKISTDGTISEEFEVLVISSQKGHALMFPKGGWELDESVEEAASRESLEEAGVIGNVERQLGKWDFLSKSRGTFYEGLMFPMLVKEELELWPEQHLRQRMWMKVDEAREACRDWWMKEALDALVDRLSSSPSMKPMEEDTKIQLISTC >A01p015180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7369320:7379503:-1 gene:A01p015180.1_BraROA transcript:A01p015180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDDVRLLSLIESSHAGDVFASVSDYLRPFSTLQSTSRKQDPALNLRSLGKQFLPFLNKSISLLPKRLSNSDEESRDSAKDLFRAYELCLDCLESFSAQLACKPHTVQLQRLRMVYCLDAWGFHESVSTQAFKVLEKLRGSDASSRLLPEVKDGEAELAMVVVEAVTAIFKAVAMSQQRDDKMYRKVVLLLGEVRAWLRVLDAKAYEKLLRLLVTNLGKCAVSLVREAERFDLDFVRSFCDTTVKEHYDFAVSKDRVFKFAREMLSVLFGVKERRMSVTIDISMSLLRSLSCQLEAETGEDIVDFVELVSYCAHKFRSAEDMYCVKVSKKLNEMAAIFSEAIPQLTLILRLYSSGLSITVYDSKFGDIKVKDAPDDWKIQALLEDETRCHSLVSLLGMVDSYSGNEGNQTDLSLVGGHRNYTKKTNGRCTDINKKAYSVQFVDAMKFLCQPLANLINSVKRKIVLNSEMSYASAHLSTIHDAFLQFCDGCLFLQRCTADKGGREIDNNKALLNVAMAAFIVSLRTQLKLEISVHLLEGVIDSPWIRSQELKYLLASLYNVGVVLYRNKELKKACEALKLCSKASWSCVELDCQMFVNQSSSSKNDLAEDAIVDFVGETCNRSAFYLDVLQQCSRCKIRQTIVQILENWLSAEHLMRRLPGPAAVVKQWVKIERECHTNMDAVDSCTTLYSLLSSSKRRSNRAFGKILQQELLAYDEMFSLSSNLGQQMRIEIADILLKNVYVTEDMHIERATVLIWKARMTRASGTEHQADCIRFLSEAITILSEVHHGPNKGGDPSSHQLPIAYCLRAFCTHEAEPNSKTVFQDISTSLNLWLGMPSLEDSGDSLPTEDIIPLLYNIIDLMSLKGCTELHHHIYLLIFRLFKQKHVKLETCLAMLWECRRLSHALCPSPISNAFILSLSENCGDKSTCMDFWIDCLKDSKAKLIGFQQNFHDLYNDFLRASVKDKGPFQSDITIDDITDAASELISSASLSGHSSFVAAYLYYDLCERLISFGKLSEALSYAKEAYRIRTLLFQEKYKYTAEKQLEKHNDAGKISEIRTYSITNFQVYRSLATDFWPCGNFSWDINRCYLSCWKVLQCYLESTLQVGIVNELIGNGLEAESLLSWGKAISCSQSLFPFVVAFSSALGTFYHKKQSLDLAEKELQNAKEILNANKRDFSCGKCKLKLEVTLDKQLGEISRKKLERVSQTDGFLHAEGFFTAALGKVCCPAWKSCIRSHGEEILEGIAIEENGGEVSGKTKLGINKEPTESKGSRRGRRAKSSQTRVSKDHDLISEPTSRLTRSMRQSLKEQCQTHILVPEVGSRKAGFCDRSDGSGCERVFLDTKNTGHGFCICYKGKCMQCLSEKVTETGSLNTLVSLKWELCHRRLASSILVDLGKCLADSGRVHIAHEALLHSICVLFKSNRFSHNQPSVSQLLEFIGKEATRDVFAIDRAVILYNLCWLNLRNYHCRESRSICCDLSHVPFTKLVSWLKLAFILSREVPIVFQKVSRLLASLYMLSSSSDEFSFECDGKELSASHWVSYFHQASLGTHISYQFISNLSRRHKSQCLSDKECTEATCSSCIVPGALDLPRLAPERTQDLVHFAEEFFNNLPSSTIVCISLLGGAFCQLLQELMQIRSPVCAWVLISRLNLKSQPVATLLPVDSVIEVDMSDDSGNLSSTEATQVENLESRWLCPWGSSVVDDVAPVFRSILEESHASSGSLVEDTRVHRHSWWEKRKKLDHHLRKFLRTLEDSWLGPWRCLLLGGLSNFKLPDSVQKKLIKDLKSKCKMEINEMLLKVILGGGMEDIKGEACVAQLSLRNGCYVGRGGYLYEEDSCKTPTAAPNISESRHVLALQLIHEAATKLEQQDGHENRDPIILVLDPEVQMLPWENIPILRKQEVYRMPSVGSISAVLKKRFLQEEPERVHAASFPLIDPLDSFYLLNPGGDLSETQGEFESWFRDQNFEGKAGSVPSAEELTEALQNHDLFLYFGHGSGAQYLSSREIEKLDNCSATFLMGCSSGSLWLKGCYIPQGIPLSYLLAGSPAIVANLWDVTDRDIDRFGKALLEAWLRERSDSSSSPSEDGCSQCELLANELAAMNLKGNNTKRTRKPSSRNKAAQSNVDRSGKTECNHKHGRKIGSFMAAAREVCTLPYLIGAAPVCYGVPTGITRKKGVEALLPSSSSS >A05g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18221716:18222371:1 gene:A05g506370.1_BraROA transcript:A05g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPIYDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A07p027390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15641110:15644640:1 gene:A07p027390.1_BraROA transcript:A07p027390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKSSFFPALTKIVGTLGPKSRSVETLSGCLKSGMSVARFDFSWGDAEYHQETLDNLKIAVKSTKKLCAVMLDTVGPELQVINKSGKAITLKADGLVTLTPSQDQEASSEVLPINFNGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDEVKGDDVICLSRNAATLAGSLFTLHASQVHIDLPTLTEKDKEVISTWGVQNKIDFLSLSYCRHAEDVRQTREMLKKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRVKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKQAGVIKSHDRVVVCQKVGDASVVKIIELED >A08g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7587775:7590727:1 gene:A08g504570.1_BraROA transcript:A08g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNKHIKRGSLIGVDLLMIDEQLFKNSSHQIGFARYQGNLNCGTIYRLMNFFTTKANTSTGETGSGSAHSKSLLLIVIFEVICMTVAALNEHAVLDGVDGGSSRRVLVHLHPKGGPLINVYLLDQAAKEFYIKFNECLASPSVLLVATVNTKHKMEQRQMQDLKSKSTEELKAFIEISCTTSPNHLVSVRKVYCLLLDSSLPEYTVDSEEAQLHKYDGIFGFTKETIKRKGDEDRKKTYRRVKERTFDKSCALSWLISAENIRKGDTGPSQKSMENEMVVEAFKKLQNPYEVSTLLCLGAARARSIVAQTCALIFFHNSVATGGGWRNNRGPNYFEIDLDMHKFNLYRKIENVSGIGSRMRSYFLV >A08p032540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19662064:19667866:-1 gene:A08p032540.1_BraROA transcript:A08p032540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVYNKNLGVLFVAHIPSHLRSNSVQSIHRFYGWGRNLPQGFEDRNLCGRRSNSTFSSAGKMFWRMAGLSTASAVEAILDKDGFTLEELLDEDEIIQECKSLNGRLLNFLRERVQVEQLIRYIIEEPLDDVEKKRTFKFPFVACEIFTCEIGMILKTLVEDEELMLLLFSFLEAKETHNSLLVGYFSKVVICLLVRKTIPFMQFIKDHQEILKQLVDLIGITSIMEVIKRLLGTDEHLYSNYASSMQWVEDTDVLEMIVDKFGSSESPEVHANAAEILCTVARYAPPGLATKLSSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYHIYGRQLTQGSMVTNPETVEGMLGSLGDLLMLLNVSSTEGVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKEIIRLGVVKRVLDLFFEYPYNNFVHHHVENVILSCLESKNSQLLDHLLNECNLIGSMLEAEKDPILTAADSDKLQPTVPAEGRKPLRIGSIGHLTRISNKLLQLANSNVEIQSHLQENSEWVDWQTDVLSKRNTLENVYSWACGRPTSLHDRNRDSDDDDYHDRDYDVAALANNLSQAFRYGVYNNDDMDETQGSMERGDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFDDEKVANEQSMASPSPSADEDGDVVIGEADDDFKDSVASSSPDDMETEDSTSKNPSENPSEPEAEKSPAWVEWRETSESTEPSSNTDEASILPNGQVQNEKEDKVDDTNKEGAKDSSPGACGDETIEKLSDAGDVATTESSPDASEAEITEKLKDSSDDASKPGAESDENAQSSEPAISEDSDKRQEADVAAKADDKETEEAVKEPEKVV >A10p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14483438:14483775:1 gene:A10p021630.1_BraROA transcript:A10p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLKKLNTSYDDPLADPLAEADPVDADSDSDSKFSKVGMAMKSGFWTCVDMASGRYLWNHLCSNPDKSS >A03p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:350671:351365:1 gene:A03p000550.1_BraROA transcript:A03p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFEISHLDLENGSGDQRHYRQSDVSEFGEDSSSCDYDYDFHSAVRSFCGEFEFPDLEDLSESESETSRSSPEEKDCRICHLGLESSRRECGDPMVLGCSCKDDLGYVHKQCAETWFKIKGDKTCEICRSIALNFSKAGNDIDQTTTIETNVSDVEAGNSSTVVATIDSDDRRFWRGNRFLNFLLTCMVSAFVISWFFHFNLPSQQ >A06p034030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18394483:18396901:-1 gene:A06p034030.1_BraROA transcript:A06p034030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGVEISKSSVVVDRVRDLYPRQGCRDGERRQTKRPSDSDRWLKDGRRDLYQRGEAREGDVGQKRRKCSPVSGERKDQVFPPPLGEKTIVDVGKISSEGVVKSTEYVSAVSVTSVKHPFDDLEEGQLEDEQVVQAPNIATSKWCLESPKDEAVHVVRNSRWNRSSLTPESGEFHVDSSDERRSNSSESRSLEPLSSHENSEHELDGRINMDVGEEEFCASDLNDSEVDEAEGGLSMLSGSRDVNEYQKLSKINEGTYGVVYKAKDRKTEEIVALKMIKMNMEDEYGFPLTSLREINILLSCNHPSIVNVKEVVVGNGDSVFMVMEHLEHDLRGVMDRMKQPFSTSEVKCLMIQLLEGLKYLHSNWIIHRDLKPSNLLLNNSGELKICDFGMARQYGSPIKPYTQLVVTQWYRSPELLLGTKEYSTAVDMWSIGCIMGELLSLKPLFRGKSQNDIDQLQQIFAVLGTPSETTWPGFTSLPGSKAKFRKQPYNLLRKKFPAASFTGGPVLSELGFDLLNRLLNVDPEKRLTVDEALNHAWFNEVPLQKSKEFMPTFPSKQRERRALDTAVVIYYYADVYKASHLYTTTNTTD >A09p075730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56955340:56957000:1 gene:A09p075730.1_BraROA transcript:A09p075730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G14720) UniProtKB/TrEMBL;Acc:A0A178W9E1] MGFVARFLVFMSLFTGLFSGFALQKLPLIQFDEGYTHLFGDQNMIVNRDGKSVRLILDERTGSGFVSNDIYLHGFFSSSIKLPADYSAGVVIAFYLSNGDIYEKNHDEIDFEFLGNIRGKEWRIQTNIYGNGSTHLGREERYNLWFDPTEDFHQYSIMWSHSHIIFYVDNIPIREVKRTASMGGDFPAKPMSLYATIWDGSKWATNGGKYGVNYKFAPYVAQFTDMILHGCAVDPIEKVLSCQDGAEADLRLASEITESQRKKMDSLRRKHMTYSYCYDRTRYKVALPECVVNPEEAKRLRVYDPVTFGGIPHRHRHGKHRSKSRQSI >A01p015950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7831042:7831513:1 gene:A01p015950.1_BraROA transcript:A01p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYKVYRMIHLTDENCRVTTEGTLTRRFWSVNTRVVVKVTLAARILTLSVTVERREEVSQTKRVDPPTNVVRKKSGTNMMNKEQVGRRGMNLMLPSLNLRMRNNSCAANDDKIRKEMWTMWSRVF >A03p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1678397:1680053:-1 gene:A03p003870.1_BraROA transcript:A03p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMSLISENGADFILNLSLISYRNEHRSKKEAEMYKSPLLSLSACTISLNLPFLVSQSQTLSLYKRNLPSLFAVSANSDNISGENDGGMSEANKGSGTTARGRRLLKVREEKRKRDYDRLHDYPSWAKVLESACKDDEELRAVLGDSIGNPDLMRKKVEERVRKKGKDFQKQKTGSVLSFKVSFRDFNPVDSFIWFELYGSPSDRDVDLIGSIIQAWYVMGRLGAFNTSNLQLANSSMEYDPLYDAEKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTSDIFALDVLLNSLTVMSSEYLGIQQVVFGGKRMGDWEEGMTNPDFGYKYFKI >A07p017470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:10586934:10587449:-1 gene:A07p017470.1_BraROA transcript:A07p017470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNEWADAVCTVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCFDQYKKTKANLKCPLCRGQVKGWTIVQPARDGLNLKKRSCMQEKCSFAGTFKELRKHMKKDHPCAQPREIDPAVEMEWLRLEIEEDRNDVMSAYGLESDEEIVRIRSRRNLRPRRENIFLDDIG >A07g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4132979:4133375:1 gene:A07g501960.1_BraROA transcript:A07g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMLKDIPRSLTKFSTRSDMSQTLEVFSEDSWKTLRNSRKTLGKTSNIFYARRLHTKSSRSLPKSYAQSGYKEMMSS >A04p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10381321:10382502:-1 gene:A04p016880.1_BraROA transcript:A04p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 89 [Source:Projected from Arabidopsis thaliana (AT5G39700) UniProtKB/TrEMBL;Acc:Q9SPG4] MVYVHGFKENNLKKTRMEFPKYPCDVSHCRVPFNGLGDNNASSLRELCNKRKMMHQENKKKQLSKVCTRGHWKPSEDFKLKELVGVFGPKKWNHIARKMQGRTGKSCRLRWFNQLDPRIIKSAFSEEEEEKLLAVHRTFGNKWSLIAKLFPGRTDNAVKNHWHILMARKLRKQSISYSKSRKRQTPSPDEITPLHLLPSFNLFPGSVGDESTEFNNYSWKMPKEESANLRDQFLLEEYCSMRMSMQYLGHHHHHQHGFSTFPADSVELLTRYLSNSQPSSSPPTSALSTSGAENTMTTTPPRFIDFLGVGAS >A05g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:993870:1015551:1 gene:A05g500220.1_BraROA transcript:A05g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVIIGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFYGNYNHYGICPNYLYFLSQPLVALIYHIFCFSLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVLFRIDLCIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTGTFSAWNNLRPGIFRTRTSDNRRAIHMLFQLPGTGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKLKVVSKKEHGDKGESRLMGSETELSKVQGPVLQNPQNPPLERSIVLLSGLVDDDPGGYHGGFRARRQASPDLRARHRGGAEPGDGVRGESEHDLGFGGGAERGEEFVVACGGSWLRETTKKMKRPRFEIGLAAVSGSDVTTPLGTKRARAQRRDEPAREKVHVPVQYRLGGAGCDGRCGCDFEMLTGLFRFDPPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDFDSLVLINECLDLICETRKLDELRIEKLASDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTFMGSETELSKVQGPVLQNPQNPPLERSIVLLSGLVDDDPGGYHGGFRARRQASPDLRARHRGGAEPGDGVRGESEHDLGFGGGAERGEEFVVACGGSWLRETTKKMKRPRFEIGLAAVSGSDVTTPLGTKRARAQRRDEPAREKVHVPVQYRLGGAGCDGRCGCDFEMLTGLFRFDPKRNNLPLERAGWRTRMARNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEPPVALIYHIFCFSLSYYAVFGRRNQTLELETCDLILCKGRRLLLSHHREEPPEPLFYFIYTSKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRLVFNRT >A05p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2153880:2159333:1 gene:A05p005610.1_BraROA transcript:A05p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASKKISDRNHIGQVFNKLLKQIGEAEEEFELPDWLNKGKPTPYIFIKRTSLKDTYLTKKIKKRVEDDGIFCSCSLSPDSSSSSSVCGSNCHCGMLFSSCSSSCKCGIECKNKPFQHRYVKKLKLIQTDKCGSGIVAEEEIQQGEFIIEYVGEVIDDKTCEERLWKMKHRGETNFYLCEINRDMVIDATHKGNKSRYINHSCCPNTQMQKWIIDGETRIGIFATCDIKKGEHLTYDYQFVQFGADQDCHCGATGCRRKLGVKPKPKLPSDEALKVVVSEVAQTLPEVHQNGAIHEHDEGKPWNKLEQGQPCPHNCIGVVLRLSRTRSDRCFCIIRNFDAVTRKHAVMFEDGATEFIDIKCYCVGADRSLIRRFEKSNQFGFLRLKPDDLLCVVGGEVSGSATTPTLKQGLAKDSDTSTSKKIMKVIDKIQSLADEGKTAFSFEFFPPKTEDGVDNLFERMDRMVAHGPTFCDITWGAGGSTADLTLDIASRMQNVVCVESMMHLTCTNMPVEKIDHALETIRANGIQNVLALRGDPPHGHDKFVKVEGGFGCALDLVNHVRGKYGDYFGITVAGYPEAHPDVIGENGLASDEAYQSDLEYLKRKVDAGADLIVTQLFYDTDVFLKFVNDCRQIGIKCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVMAALEPIQDNEEAVKAYGIHLGTEMCRKILAHGTKSLHLYTLNMEKSALAILMNLGMIDESKISRSLPWRRPANVFRTKEDVRPIFWANRPKSYISRTKGWEDFPQGRWGDSRSASYGALTDHQFSRPRARDKKLQQEWVVPLKSVEDIQEKFKELCLGNLKSSPWSELDGLQPETKIINEQLVKINSKGFLTINSQPSVNAERSDSSTVGWGGPGGYVYQKAYLEFFCSKEKLDAIVEKCKALPSITYIAVNKGDNWVSNTAQSDVNAVTWGVFPAKEIIQPTIVDPASFKVWKDEAFETWSRSWANLYPEAADPSRNLLEEVKNSYYLVSLVENDYINGDIFSVFVDL >A05g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24296291:24297238:-1 gene:A05g508360.1_BraROA transcript:A05g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLVYLFCRFLIKWYSSSTNLKVFQIWKISGTTYLLVVWKSSGLLRSLLTKSSGLPGSRLDFQEVVRIFLSVLYAGFSDLEDFWDDLPVSRLEVVWKSSGSRLDFLKVVCTSCKVVWQSSELPKSLLTKSSELPGSRLDFLEVVWTS >A05g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20721754:20722534:-1 gene:A05g507310.1_BraROA transcript:A05g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFVCLHSCRLAFYFTQPRRSESQPSPSYSIHRHEARSDIDYELCLCKADLPTLMLENTVFDVLKILINIYFFCICKKTSDSHTLQSRKRNIHLIAFHLLRVENQQKKIVTEILPAKKFYKAEEYHQHYLSKGGNSGHAQSPSKSCKDPISCFG >A04p033230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19343738:19345648:-1 gene:A04p033230.1_BraROA transcript:A04p033230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDLICSFDPSGFLGEKKNKSIHSSSDVQESIRGRDLPNVFDLLQQDIILDLVEDVGRFHHQAPCVCPALPYLLILGYTYPAFECYKTVEKNKVDIEELRFWCQYWILLALISSFERVGDIFISWLPLYGEMKVVFFVYLWWPKTKGTRLVYETLLKPVIAQHETEIDRKIMELRARAWDFFIFYFHNFAQAGQSTFIQAFQYVLAQSVRFSAAAAANQPPMEPSVKTRSPVETESDTNTPPGPRPLNKSLSALRSLEKQTSRGRKWPPPTPPPTPGRDSAGTFNGEDGVNIPDTLPGSPITDARAKLRRSNSRSQAAA >A01p048300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27210937:27212185:-1 gene:A01p048300.1_BraROA transcript:A01p048300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRTTLISATAASLCFLLCICMTNGQLQRFIEPAKSDGSVSFITIGDWGRRGDYNQSVVAYQMGRVGEKIGLDFVVSTGDNFYDNGLYSEHDPNFKESFSNIYTAPSLQKQWYSVLGNHDYRGDAEAQLSYVLREIDSRWICLRSFIVDAELVEIFFVDTTPFVKEYYTEEDGHTYDWRAVPSRNSYVKYLLRDVEASLKRSKATWKIVVGHHAMRSIGHHGNTVELVEELLPIMKENGVDLYMNGHDHCLEHISDEDSPIQFLTSGAGSKAWRGDVDPTTNNPKSVRFYYDGQGFMSARFTHSDAEIVFYNVFGEVLHKWVTSKELLHSSV >A03p002750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1224799:1225886:-1 gene:A03p002750.1_BraROA transcript:A03p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIDRSSLKPGDHIYSWRTAYIYAHHGIYVGDDRVVHFTRRGQEVGTGTVLDLILVSSGPSRAHTHCPTCVPPNEGHGVVSSCLKCFLAGGVLYRFEYSVNAAHFLAKARGGTCTLAVSDPNEITVHRANHLLRNGFGCYDVFKNNCEDFAIYCKTGLLVLEGRRTMGQSGQAVSIIGGPIAAVLSTPMRLVTTNIYGMAATAVSVYCASRYATDIGMRADVAKVEVEDLTRRLSVGLFQVVEPPVAAVALPNTS >A05g505520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:15284241:15284936:-1 gene:A05g505520.1_BraROA transcript:A05g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLFELPEEIQAGVVERAARNSIQDLYGLKASSRSMTALAERRGVYHFLDVLSVLWGLNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLIKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDRIGKLVHSVKWGWGLWHGDYFRDHKVLFICFFMSSFYRCQYANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKW >A01p053030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30307051:30309175:1 gene:A01p053030.1_BraROA transcript:A01p053030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAALLCLLTTLLLISAADSTVMRLEMTHRDTLFPTSVRRIGDIIGSDQKRHSLISHKRTTATNGGARLTLRSGFDYGAAQYFAEIRVGTPAKRFRVVVDTGSELTWVNCRFRGKGKTENRRVFRAEESSSFSRIGCLTQTCKVDLMNLFSLSSCPTPSTPCSYDYRYVDGSAAQGVFGKETFTVRLTNGRVARLPGVLVGCTNSFSGDSFRGADGVLGLALSDYSFTSKATNVFGGKFSYCLVDHLSNKNVSNYLIFGSSSFSSATKLNATRTTPLDLNVIPPFYAVNIIGLSLGETMLDIPMQVWDATQGGGTIMDSGTSLTLLADAAYKAVVSGLQRYLVGLKRVKPEGLPIEYCFDTTSGFNQSKLPQLTFHFKGGARFEPHRRSYLIDAASGVKCLGFVSAGEPGTNVIGNIMQQNYLWEFDIVASTLTFAPSTCL >A01g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6430790:6433499:-1 gene:A01g501770.1_BraROA transcript:A01g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKNPNPGSSEIPRRLPRVFRGILPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAASAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSAIDKGHPTFTHFPVEKQHMWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p057380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29847817:29853573:1 gene:A06p057380.1_BraROA transcript:A06p057380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g39530 [Source:Projected from Arabidopsis thaliana (AT4G39530) UniProtKB/Swiss-Prot;Acc:Q9SVA5] MGKYTSLEAIMVWLTDLRKVDGKGWDGRWIQIGHGGFRWYDTNARVWKGLKGVKGLPKFPRYIARLADYGGKMAVFWERVLASTGFKDKMILCAVIALERRNSEEIWGKVEWHDTVLTVSKRNTFASMNNEEAPPHEQNKMVSPPTTTSLSLPNDILLSFLSRVSRLYYPTFSLVSKSFRSLIASAELYQTRVVTVDSNIYAIGGPINDAPSSRVSFLDCRSDTWRMAPPMRVARNYPTASVLDGKIYVAGGCEDCDSLNCIEVFDPNTQSWESVASHGRCERLAYKSVGIEGKFHLLGGASHVAYNYRDGRWDSMGTEMDMGRPWVSYCVIKNILVYYHEKDREFKWYDYKGRFWRKLMGLERLVKFLCYSRVNLVDYGGKMAVLWDTFVPGSGSKNKMIWCAEVNLESHEVYDICGEIEWFDVVLRVSKSEMRNHGCCLRKVQEAIRVYSSSSSSASRLLEFINIDFPSTLGIRGRREFARLLQLPASDDPFLHHNVVHGQIIVSGFDSDTYLNNILMKSYSKGGDMVYARKMFDKMSERNLVTWSTMVSACNHHGLYEESLAVFLEYWRSRKNSPNEYILSSFIQACLHVNSGRSMVFQLQSFIFKSGFDRDVYVGTLLIGFYLKEGDIDYARLVFDALPEKSTVTWTTMIKGYAKMGRSYVSLQLFYQLMESNVVPDGYILSTVLSACSILSFLEGGKQIHANILRHGHEMDASLMNVLIDFYVKCARVSSARKLFDGMWNADITSWTTVLSGYKQNSLHKEAMELFSGISKSGLKPDMYACSSILTSCASLHALEYGRHVHAYTIKANLGDDSYVTNSLIDMYAKCDCLNDARKVFDLFGGDDVVLYNAMIEGYSRLGTQGELHDAFNIFGDMRSRLIRPSLLTFVSLLRASASLSSLELSRQIHGLMFKYGVNLDIFAASALIDGYSNCYSIKDSRLVFDEMEEKDLVVWNSMFSGYVQQSENEEALNLFSELQLSRERPDAFTFADMVTAAGNLASLQLGQEFHCQIMKRGLERNWYITNALLDMYSKCGSPEDAYKAFSSASSRDVVCWNSVISSYANHGEGQKALQMLERMMNEGIEPNYITFVGVLSACSHGGLVEDGLEQFEVMLRLGIEPETEHYVCMVSLLSRAGRLKEARELIEKMPEKPPAIVWRSLLSGCAKTGNVELAEHAAEMAIACDPTDSGSFTLLSNIYASKGMWGDAKKVRERMKFDGVVKEPGRSWIQIDNDVHVFLSKDISHRMAKQIYESEPEVEKPRKQVIQYKSNPKKKEAAMANPKKKLKDSRPVLVTFGSKKTLGGFVCFLLVRPSVVVTLDI >A04p026490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15944914:15946921:-1 gene:A04p026490.1_BraROA transcript:A04p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFARRKIIPLVERKRLIADQKKRKRIGEINKKVNLEKKNRCVLGSISDIPRHPNRLSILINFCGIGLVELNKKKRICAHRVGMGSRDLISSLPDEVLGKILSFLPTHIAASTSVLSKRWRNLLALVDKLDLSDASVGCPLGFPEFVDKTLALLKNSSLIKTFHLNCEHRHEDSRVDGWIHTALELRFLEELRLETVGMYSIETEFFTSNTLVDLTICDGFYPDGRLPPAGGVFFPALKRLSLFSVAFADCAMYDDLVLGCPVLEELFLHYPDDNNPPAWTGDVSSSSIERLTIIHHYPDYREAYEFVSFATPSLLYLDYSGYVADQYDVFHFYCKSMPVFHNLLTLSFESDKEKGWQVVPLLLNSSPNLETLVIKGLVHKVTNRCGDACICIPKKKKKEEGVPCCLSTCRVKMLTISGYLGTCRERKQISHFLGNMKCLETVKVGVEVDNQQENHVHKRYMGIINALIKLPRVSPNCQIQFF >A04p023860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14504540:14512630:-1 gene:A04p023860.1_BraROA transcript:A04p023860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGRTPRSFISIFLLFDLLLLRVAGNAEVDALTALRLSLSDPKNVLQSWTWNATDVTPCSWFHVTCNNETKVVRVDLGNANLSGQLVPQLGQLPNLQYLELFSNNITGGIPEELGVLTELVSLDLYMNNLSGSIPSSLGNLKKLIYLRLNNNSLSGELPRSLTDVFSLQVLDVSNNRLTGDVLLTGSFSQFTAVSFANNNNLRLLPAPPSGKRTVTAIGIGAAVVIFSGGAIALAWWLRSRPHDSFFDVIGEEDLEVHLGQLRRFSLRELLVATNDFSYKNLLGRGGFGKVYKGRLADGSLVAVKRLKEERTKGVELQFQTDVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPRGNAALDWAKRKHIALGAAKGLAYLHDHCDQKIIHRDVKAANILLDEQFEAVVGDFGLAKLMNYNDSHVTTDVRGTIGHIAPEYLSTGKSSVKTDVFGYGVMLLELITGQKALDLARLATDDDNMLLDWVKEVLKEEKLESLVDAELEGNYVDKEVEELIEMVLLCTQSFSLKRPSMAEVVRMLEGDGLAEKWEEWQKKEMLTTDFNYPHAYINWFVPESISHVDNDYLSDGHHYEEVSLGHLRRFGFRELQVVTNNFTCKNQLGKGGYGNVYRGILGDSTVVAVKSLKDENALGGEIHFQSEVEIDQFICSASHKLKSFWFILTCLMQASSLE >SC122g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:590360:601639:1 gene:SC122g500280.1_BraROA transcript:SC122g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYEKKISLRRVYEVKKVISGGKPGREEFNNDVRRLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRPTDGDDLALPKGPMTRSRSRKLTQVIGVLVPMGRESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTERTERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSITTWEVI >A06p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:106246:111484:1 gene:A06p028920.1_BraROA transcript:A06p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLWLFSTFSCPPLKKEGLGSKGYEAVQASLMVILMKKGGLFDMVGSRGWFMEEEGVGVDTNSSKLAMYHGDSRNKQLCKDRAKSRRDLEVCLGANGRVCKVRARPYGLVRTCTDLYGPGQPESAQLDHLRCFGIVQSPGQTQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVK >A09g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24222572:24225266:1 gene:A09g508630.1_BraROA transcript:A09g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDRTASPSSQRRDGGTRGFGGIWREESKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A10p039690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21968830:21969658:-1 gene:A10p039690.1_BraROA transcript:A10p039690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIGIVLFLFVLLLLQFSELRTAQRPSQVDKEVEEKGVNNNNWAWTTQADKASDMAQELSHLMGEEKCEESDEECMKRRMITESHLDYIYTQSHNKP >A04p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15324474:15333957:-1 gene:A04p025320.1_BraROA transcript:A04p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) UniProtKB/TrEMBL;Acc:F4ISL7] MSLRLQSPFLGTPLPLPLPRRKINAFDGGRRAFRSKRGSISSENKNDWLAKFSRFCGRNVELLSKSRMVMEVKCLKEPFVRSRGLVKSLAPVWEEGLFFLRCSVFFAVISGVCLLVWYGQNKARAFVETKLLPSVCSMVSESIQREVDFGKVRRVSPLCITLEACSAGPHGEEFSCGEVPTMKLCVRPFASLRRGKIVVDAILNNPTVLVAQKKDFTWLGIPFSEASLQSRLSSEEGIDSRTKTRRVSREEAGVRWDSERDSDARKAAEMGYVVPCKDSSSQGKDDALKHNRRLSEIANLNSFTCNDENVMHSADQHCMDTGADYDVKHSELEKSFGVKIPGSGLKFLSKMLKGPRKYKFKWNSRSHNSSMSDVSAKKRILERSASAALSYFHGLSQQRSDELSLDMLLAKGERETSNQYDYHVPYGEHSLSNGLDGRGEGPKATTLDRFTVSRDPFLMTVDRLCGLLQTERSSTESETSRSQRGDISMNVVNQNANRSGNQPRDFTFKKHEHHHLRPTWPWNMKLKEMVFNFFNGSSKKLTGDAADKASQLSDVSDEKTLPFMLDSVQFKSGTLILLAYGDTEPREMRNIHGHVKFQNHYGRVYVQLGGNCSMWRSEVTSEDGGLLSVDVFVDTVEQNWHANLKVANFFIPIFERILEIPIEWSKGRATGEVHLCMSRGEIFPNLHGQLDVTGLGFHIYDAPSSFSDVSASLSFRGQRIFLHNTSGWFGKVPLEASGDFGIHPDDGEFHLMCQVPYVEINALMKTFKMKPLSFPLAGSVTAVFNCQGPLDAPVFVGSCMVSRKIAYLSPDLPASVAYESMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRATLVDGGEIRGAGNAWICPEGEVDDTALDVNFSGNISFDKVLHRYMPEYLNPGMLKLGDLTGETKLSGALLKPRFDIKWAAPKADGSLTDARGDIVISHDNIIVNSSSVAFDLYTKLDTSYRDQCLSHDDFTQGETVMPFVVEGLDLDLRMRNFEFFSLVPSYPFDSPRPTHLKATGRIKFMGKIKRHSTTDDGDAESEKSEDAAAVSSLVGEISISSLKLNQLILAPQLAGRLSVSRDHVKLDAVGRPDESLTLDFVGPLQPNSEENVQSGKLLSFSLQKGQLRANACYQPQQSATLEIRHFPLDEMELASLRGVIQRAEIQLNLQKRRGHGLLSVIRPKFSGVLGEALDVAVRWSGDVITVEKTILEQSNSRYELQGEYVLPGSRDRDLGQKEAGSFLMRAMTGHLGSVISSVGRWRMRLEVPKAEVAEMLPLARLLSRSTDPAVLSRSKDLFIQSVQKLCLQADNLRDLLEEIRGYYTPASEVILEDLSLPGLAELKGHWHGSLDASGGGNGDTLAEFDFHGDDWEWGTYKTQRVLATGSYSNDDGLRLKEMLIQKGNATLHADGTLLGPKTNLHFAVLNFPVSLIPTLVEVVESSASDLVHSLRQLLSPIKGILHMEGDLRGSLEKPECDVQVRLLDGAVGGIDLGRAEVFASLTSNSRFLFNSNFEPFVQNGHVHIQGSVPVSFSQKDISEGEDRETDKGGAVKVPSWAKEKEDDEKRTSRERSEEGWDSQLAESLKGLNWNILDAGEVRLEADIKDGGMTLLTAISPYANWLQGNADIRLQVGGTVGNPILDGSASFHRASISSPVLRKPLTNFGGTLHVKSNRLCITSLESRVSRRGKLVVKGNLPLRSNEASSGDSIELKCEVLEVRAKNFLSGQVDTQLQISGSMLQPTISGNIKLSHGEAYLPHDKGGGAAPLNRLAANQSRIPGASINQAVASRYFARFFGTEPASSRMKFSQTTGESSSVEKEIEEVRMKPNMDIRLSDLKLVLGPELRIVYPLILNFAISGELELDGMAHPKYLKPKGILTFENGDVNLVATQVRLKREHLNVAKFEPEHGLDPLLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDALSPSEAAKVFESQLAESILEGDGQLAFKKLATATLDALMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYKLTSRLRVLLQSAPSKRLLFEYSATSQD >A04p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19645842:19646882:-1 gene:A04p033820.1_BraROA transcript:A04p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSALLFSHLTISRVFDTTASSSRLSLLSRAPPSRSARFTAKASHYGSFSDDDAFFPWSDGNNAIEWVHEERITLFTSDGLIQIGGNMVPRRIKSSNKKHGRSKKHPKFQESSYMDPAQELCLGALFDIAATNGLDMGRRLCIYGFCRSVEMLSDVVEDTVLEYGGEVVAAEKESKGGLQEKLKMSVAVPYLWGVPPTAERLHLAVKTGGGIVDKVYWQWDFL >A02p006970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2965459:2966406:-1 gene:A02p006970.1_BraROA transcript:A02p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQDRNWTQVCNTCRSAACTVYCRADSAYLCSTCDAQVHAANLLASRHERVRVCEACERAPAAFFCKADAASLCIACDSQIHLVNPLARRHQRVPILSISSMATNHSSEKTAMTGPENIVVMGQEEEDETEVASWLLPSSEKNSGNNNNSLDLVDYQQDYNVPRSRYARDGVVPLQVEESKAHVHHEQHNFQFGFTNVSSGCHMVSLVPESTTSDTTVVLHSPSPKAATDQLPDPSTQILSPGEREARVMRYREKKKTRKFEKRVRYASRKAYAETRPRIKGRFVKREEVDAEADGGFSTMMMFNSGYGIVPSL >A03p054650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23488118:23489240:1 gene:A03p054650.1_BraROA transcript:A03p054650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor [Source:Projected from Arabidopsis thaliana (AT4G18170) UniProtKB/TrEMBL;Acc:A0A178V3M3] MSNETKDFYNYQFPSSFSLYDMMNLPTSAPSSYGNNGFDLSSYSFTDCLQSSPGAYDSLLQKTFGLSPSSSEVFNSSIDQESKRDVSNDVTGETPTRVSAPSSSSEADHPGEDSGKSQIRKRELAEDGGEENQNSKKVSYYRCTTQKCNVKKRVERSFQDPTVVITTYEGQHNHPIPTNLRGNSAAAAMYSDFMTPRSFTHDMFRTAAYTSGGSVEGALDYGYGQSGYGSVNANPNSSHQNYHQGGEYELLKEILPSIFFKQEH >A01p020920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10207343:10209645:1 gene:A01p020920.1_BraROA transcript:A01p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTATNQTPSPSSTVDDDNGDGVNTEEFTKLPPDSPHSSEDEDSVDFSHEQDSSLVPIGFELHDAIDTGSASRSVRGKDSQTERDFLDSDVEIVIKNQHEYYFYCPCCGEDITKTVKLVKKSDIQPAKITDNANKPIDTKNGSRSEDKKTKASSWFPVDLQKLFLSVYGHIKDKDSGKIEVDSKSTINDLGTNSEEPSIDVKTEKGRPSFPKWYLDVFAWLFLCIIIALSFLFTSPQQSSPFIAPPHLELPSIPPLTLPSLSILWLLPAFSVLSLVIMAIRSGYIPIHHKEKGDKEVGSKSTDTTSEEQIKKTKIEDGQAADSCQDSDKKTDNQKVHPVLVDPPPPQEQPSMQIANKETPPKTQAEPGVQPDTQPEIPKSVETPKGGNKLEILKSIVYGGLTQSITSLCTVTSAAASGASTLNVLALGVANLSSGLLLIVHSLQELINEKPKTRTNTDDQKESDADVEEEEEEDRYVEALGRREKWWFHRLIAISSFVVFGLIPPLVYGFSFRRRVEKRQEYKTLAVYAVSLLCIVLLSVAKAYVSKRREYVKTLFRYTSMATTASGFSTFMGYFVNQWLEKSGFYDESTETPRV >A09p061610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50724637:50726008:1 gene:A09p061610.1_BraROA transcript:A09p061610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 64 protein C4 [Source:Projected from Arabidopsis thaliana (AT3G55830) UniProtKB/Swiss-Prot;Acc:Q9LY62] MGGGDVSKEMGAYRRGDHKLRKFVTARSTKFLLLSCIAFALITLACRSSRPWFNTSIAVADQISRSRKGYTLLMNTWKRYDLLKKSVSHYASCSRLDSIHIVWSEPKPPSESLKEYLQKAIKKKARDGHEVELVFDVNKEDSLNNRFKEIRDLKTDAVFSIDDDIIFPCHTVDFAFNVWESAPETMVGFVPRVHWPEQSSLQSGKAEYYTYSGWWSVWWSGTYSMVLSKAAFFHKKYLRLYTNDMPASIREFTTKNRNCEDIAMSFLIANATNAPPIWVKGKIYEIGSTGISSIGGHTEKRTHCVNRFVAEFGRMPLVYTSMKAVDSRSLWFW >A10g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6400396:6403834:-1 gene:A10g502320.1_BraROA transcript:A10g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLALPWTVRVILAHVDYLFSRHRTSVEVRHYTQEVRVYPSAHRGRPCLSVCVRVCPSAHRGHPRLSVSTHISTVLGLSTLTLHVDCSGDFGPHGLSVQYTQDVRGCPPAHTGRPWLSVNTHRTFVAVRVCPSAHTGRLWLSISTYISALVLGLNTLVLGLSTLALPVDCLGDFGPRGLSVQYTRDVRGCPPAHTRCSWLSVSTHRTSMAVLVCPCVSVSTHRTYVAVYQYTYQKAGPWTQHAALPVDCSCDFGPRGLSVQYTQDVLGFPSAHTGRSWLSVCFCVCPCVSVSTHRTSMAVYQYTYQPAGPWTQHAALPVDCSGDFGPSGLSVQYTQDVCGFPPAHIGRPLLSVAVSQHTQNTSVAVHQYTYQHAGPWTSTLARPVDCLGDYGPCGLSIQYIKDVHWCPPAHTGRPWLSVAVRQHTQDVSGCPCVSVCVCVCPCVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRRPWVSASTLRTYVAVCGCPSAHTGRPWLSVCVHVCLCVSVSTHKTSVAIHQYTYQHAGPWTQHAGPPVDCSDDFGPRGLSVQTSVCVRQHTEDARGCQCVFVCVRQHTEDVRGCPSVHISARSLDLARWPFPWTVRVILAQVGCLFSTHRTSVGVHQHTQDVRGCLWLSVSTHRTSMAVRVCSCMSVSTHRTYVAVYQYTYLHAGPRTQHAALPVDCSGDFGPRGLSVQYTQDVRGCQSAHTGRPWLSVCVRVCPSAHSGLPWLSISTHISTLVLGLSTLPFLWTVQVILVHVGFLFSTYRTSVGFRQHTKDVCGCLWLSFSTLRTSVAIRVCPSSHTGGPWLSISTHISTLVLGLARWPFPWTVWVILAYVGCLFSTQRMSVGVRQHTQDVRGYLWLSVSTHMTLVAVPHTGRRWLSISTHISTLVLGLSMLALPVDCLGDFGPRGLSVQYTGRPWVSASTLRTSVAVRQHTQDVRGYPCVSMCVCVYPSAHTGRPWLSISTHISTLALPWTVRVILAHVGYLFSRHMTSVEVRHYTQDVRVCPSAHRGRTWLSVCVRVCPSAHRGRPWLSVSTHISTVLGLSTLTLPVTGWKSGWARWMMEAWMGRPKR >A03p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2295079:2302281:-1 gene:A03p005500.1_BraROA transcript:A03p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESTTPTHQNSGWSSSCAILMIRSGEGFAKFLLRPVAMSLLMLLVFLMLHLLFETVMMLLDKTPLSSLPFLKSPDHQLLLYAEILVSLQPPSCLRRSLRVVIFLSQCFFIELCHPFLIPFLQFLHLELKIPMPRLSFPQVTESQGSFQYGNYRREAEDLRSVLQHLRGENRVISAIIGHSKGGNVVLLYAAKYKDVEIVVNISGRFFLERGIEGRLGKDYMKKIKEDGFIDVRNRKGKFEYRVTEESLMDRLATNTHEACLSIHENCRVLTVHGSDDRIVSVTEASEFAKYIKNHKLCVIEGADHEFTSHQHQLASTVLSFFKKDDDDDGVISTSNQDSMGSVVPITSRI >A05p053250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30778758:30779702:-1 gene:A05p053250.1_BraROA transcript:A05p053250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 19 [Source:Projected from Arabidopsis thaliana (AT3G04570) UniProtKB/Swiss-Prot;Acc:Q9SR17] MANPWWTGQVNLSGLEATPPSSSQLKKPDLHISMNMAMDSGHNNHHHHQEVDTNNNNNEDDRDNLSGDDHEPREGAVEAPTRRPRGRPAGSKNKPKPPIFVTRDSPNALKSHVMEIASGTDVIETLATFARRRQRGICILSGNGTVANVTLRQPSAATVPGPPGGAAVLALQGRFEILSLTASGQGQIVGGSVVGPLMAAGPVMLIAATFSNATYERLPLDEEEAAEGGGGGVVPGQLGGVGSPLSSGGGRGDGNQGLPVYSMPENLVSSGGGSGGGGQMSGQEAYGWAQARSGF >A05p003650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1358959:1362789:-1 gene:A05p003650.1_BraROA transcript:A05p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB2654 [Source:Projected from Arabidopsis thaliana (AT2G41720) UniProtKB/TrEMBL;Acc:A0A178VXZ9] MATVTHLVTPPESSRAYRPRAAAKTSEALQEKKSVYVNYDRGEHEVSVRVSGFRKADIARRFRVRVENDRFQKDWSVTEVAERLVALNRWEEVDGVLNSWVGRFARKNFPILISELSRRGCIDLCVNVFNWMKNQKNYCARNDIYNTMIRLHARCNRVDQARGLFFEMQKWSCKPDAETFNALINAHGRAGQWRWAMNLMDDMLRAAIAPSRTTYNNLINACGSSGNWREALEVCKKMTDNGVGPDLVTHNIVLSAYKSGRQYSKALSYFELMKGAKVRPDTTTFNIIIYCLSKLGQTSQALDVFNSMREKRAECRPDVVTFTSIMHLYSVRGEVESCRAVFEAMVAEGLRPNIVSYNALMGAYAVHGMSENALSVFQEIKRNGMFPDVVSYTCLLNSYGRSGQPGKAKEVFLMMRKERRKPNVVTYNALIDAYGSNGLLAEAVGVFRQMEQDGVKPNVVSVCTLLSACSRSGKKVNVETVLSAAEARGIKLNTAAYNSAIGSFINGAELEKAVALYQTMRRKKVKADSVTFTVLISGSCRMSKYSEAVSYLKDMEELGVPMTKEGQVTEAESIFKQMKMDGCKPDVIAYTSMLHAYNASEKWEKACELFLEMEENGVEPDTIACSALMRAFNKGGQPSNVFILMDLMREKEVPFTGAVFFEIFSACNTLQEWKRAIDLIQMMEPYLPSLSIGLTNQMLHLFGKSGKVEAMMKLFYKIIASGVEINLKTYAVLLEHLLAVGNWRKYIEVLEWMNDGGIQPSTQMYRDIISFGERSAGIEFEPLIRQKLASLRNKGVGNLSKAH >A05p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5664612:5670999:-1 gene:A05p013030.1_BraROA transcript:A05p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGLNLKPWNPKRLLVSLPPTSDIQTSVLTGLRAATNSTKGLRLRHIQSSKRNLVMDSLCLGIETGLCLTPSEPDLPVSGDFGEFMRSRLSQSKRPDHNHLCAVIDELSKALAEGNHSRTPVAYFAATCSSLDSLLSTDAEPPMDVVQPHVVILSLVFPKVSAGVLRRNGLALRLVLSVLRLRSVTPECLISALKCLVHLLTMGNEVSEAHSVLLNLATHSDGKVRKLANSCLRDVLEKTRGTKACQSVSGTIAESFQKHLDLAHNSEARSAEGAQQVLYLLSTLKECVALMSKKHVATVIEGFKMLMVTRDAFVARPVIDSLNALCLNPTSEVPVEALVEVLYHAAVLFSAPETSADAMTSTARLFKVGMVRAFSLNRDVCVVKLPGVFNGLKDIIASEHEEAIFAATDALKSLICSCIDESLIREGVNGIQNSKLDVKKSSPTVIEKLCATVESLLDYKYHAVWDMAFQVVSTMFNKLGEESSYFMRKTLEDLSDMQDLPDEGFPYRKQLHECVGSALGAMGPETFLNIVRLNLEASDLSEVNVWLFPILKQYTVGGRLSFFTETIFRMVETMSHKAQQLKLQGLTAASRSVDSLVYSLWALLPSFCNYPVDTAESFEDLGRILCGALQTQAETRGVICTSLNILIQQNKDVVEGKEVPVNDAASPALVPAITRYDSHHAAANLEVMRSCAPKLLDVLSKIFHESGKDDGGSLQSAIGNLASIAEKKTVSKLLFKTLRELLMATKTAIAEDESFVSGMDVDNAADKNSSSNLRARLFDLLVSLLPGLDGQEVDTVFSSLKPAMQDPKGQIQKKAYKVLSVILKSSDGFVSKNLEELLELMHNICHVSAKRHKLDCLYFLLAYASKTDDLKARKDIVSSFLPEVILALKEVNKKTRSRAYDVLVQIGHAYADEENGGDNEKLHGYFNMVVGCLAGEKPQMISAAVKGVARLTYEFPDLIASAYNLLPSTFLLLQRKNKEITKANLGLLKVLVAKSPVEGLHANLKSIVEGLLKWPEGTKNLFKAKVRLLLEMLIKKCGTEAVKSVMPEEHMKLLTNIRKVKERKERKYAAGSEMSRSQHSKETSSKASRWNDTKIFSDFADEDEDSDGDYMDGESNGRSKTLKSKASALRSKKHPRQSHLEVDESDDEPLDLMDRYKTRSALRSSELGRKRKADSDEEAEFDAEGRLIIYEGERAKRKEISDADSDAKSRFSVNTSRKNQKRLKISESGYAYTGKEYASKKASGDLKRKDKLEPYAYWPLDRKMMSRRPDQRAVAVRGMSSVVKLTKRLEGKSAAEALATTKFQKFRSGQKKPAGKKKSK >A01g510460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28743029:28743991:-1 gene:A01g510460.1_BraROA transcript:A01g510460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCSLCAVDEVNLRSRLRFWVLVVCLGGGGELLPADSLCRWLRLVLVARRLDRQTYVESSVLEGCPLCECAGPWCCRPGLLSRWRLGSRWLWRAYHPLASPNKSGFLACCYGVCGLVGLLSSIGPMLRQVFGWSAGATSIVVCCNFLPHDASVLDAHRRTSVVGEFFGGELGEANICRLVTHGVQYRLNTVFRNACRTLQVALLSPSTFRAGSWFW >A09p000870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:536034:537575:1 gene:A09p000870.1_BraROA transcript:A09p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WTF1 [Source:Projected from Arabidopsis thaliana (AT4G01037) UniProtKB/TrEMBL;Acc:A0A178UW54] MDPKLLLSPHKPLLISFNERPHLSIKSCFPTSTIQSAATSQFMGEALILGNKYTFRTPPPRKTLEPVRAAVKRRKELTFDNVVQRDKKLKLVLNIRKILVSQPDRTMSLRGLGKYRRDLGLKKRRRFIALLRKYPGVFEIVEEGAYSLRFKMTPEAERLYLEEMKIKNELEDVLVVKLRKLVMMSVDKRILLEKISHLRTDLGLPLEFRDTICQRYPQYFRVVPTPRGPALELTHWDPELAVSAAELSEDDKMARESEERNLIIDRPAKFNRVNLPRGLNLSKSETRKISQFRDMAYISPYKDFSHLRSGTLEKEKHACGVIHELLSLTTEKRTLVDHLTHFREEFRFSQQLRGMIIRHPDLFYVSLKGERDSVFLREAYRNSELIDKDPLTLVKEKMRGLVSVPRFPRRGGPRKVNEEVDGSDATEGEEEEDSDGDDDDEEWSDVDGYLEGADGGGDDEEGDWTDEEGEDDVPPNFDDDEDSVKIGLSPSSSPRKKKDLTPVFPDGTPREKW >A09g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18024852:18026016:-1 gene:A09g505740.1_BraROA transcript:A09g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTKQAIQQGDSEMAEAWFAQAAEYWKQAITLTPGNYIEAQNWLTITRRFE >A04g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19101640:19103456:1 gene:A04g507600.1_BraROA transcript:A04g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPFVSHCFEALRGLWLQKELLIRQLLHQPLLEGWIVYLEKISVTRIFLRALRVSDWKVRYESNRSCQENSGRGYKRIQPTGTAMGPRGQEGEQGQISKAAQGYISEILRVSGQETVEYERYPRPALALVLEKVRPGFVQESFESSKAMAGAVSKGRALQTKGSRPDQIPFVHVIGIQSQSLLNTKDSNKDDKRLIFVCLICREDDRERMVVRRIKFEELRPGQIHDLEMAEQSGDVSQIVPGHLFPRQNYGISEQIMKRNEALDIIMFGSPEQVYGVSGLIL >A06p039170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21011203:21011659:-1 gene:A06p039170.1_BraROA transcript:A06p039170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGTRRGSLIKRTTSMRTITDDTATTYHIASQPSDHPTIHNPTVMISTKNDNDFLRTCSLCNQNLCHRRDIYMYRGDNAFCSLECRGKQIKLDERKVNNDVASNKPH >A04p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1863145:1863775:-1 gene:A04p003730.1_BraROA transcript:A04p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILISACQKLPESANKTLARKDLLPFIPSRYIGLLALISDSLVSTHEVRADCIQVLVSTMYHLKSTLLPFASYLLKLALRFLEQGSVKIPEYTSHRNDETLAGAKLMASLMASEDQLSDPSQNVREVCDKLLACITLRNIFSEKYPMIAVK >A09p008460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4356366:4358362:1 gene:A09p008460.1_BraROA transcript:A09p008460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKQKMSELLTKLGDRDTFTMAAKELDLMARNIDPTSGNLQSFISVVLSADAGDKPAVRKHCIHLLAVLSVSLPPNALSPHLSKIISRVTRRLRDPDSSVRSACVAAVSAIASRTTKPPFGSAFVKPLSEALFTEQEVNAQIGAGLCLAAAIDAAEDPDPVRLGTTLLPRLEKLVKCNAFKAKPAGVVVIGSVIGAGGVISGGLKGLVDCLVNFLSSEDWAARKAAAEALGRLATVERNGLGEFKAKCLKIFESKRYDKVKAVREVMNEMMEAWTQVPDLSEEASPPRSNASSKGSGVASAPAKPRTRQVNRSTPPGSSIARRRTSDQKKTSSVPSHTKSNVRRRLELKAGGGGASVLCGEPLEEEHYHHNENTNEASHSNHEKIQKLGSVASSLTGDHVLSENKNSSNNCKGLEDMSLIRSQLIQIEQQQSNLMDLLQRFVGSSQHGMLSLETRVQGLESALDEISYDLAVSNGRMSSSRNNCCLIPPGSFITSKFWKKPDSASRLVTYRNRNAQTMGMQDSRQRFNGPAGFIVNPLAEIRPDNGSAGMPHN >A06p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5285223:5286753:1 gene:A06p002190.1_BraROA transcript:A06p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVAVPLVCHGHSRPVVDLFYSPITPDGFFLISASKDSHPMLRNGETADWIGTFEGHKGAVWSSCLDNNALRAASASADFSAKLWDALTGDVLHSFEHKHIVRACAFSEDTKRLLTGGFEKILRVFDLNRLDAPPTEVDKSPGSVRTLTWLHSDQTILSSCADIGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYEMPCNIESASLEPKSGEKFVAGGEDMWVRVFDFFTGEEIGCNKGHHGPVHCVRFSPTGESYASGSEDGTIRIWQTTPNQEENEKRVKHGVDEVAKKIEGFHINNKEAKTSEKP >A02p009020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3729873:3732299:1 gene:A02p009020.1_BraROA transcript:A02p009020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKLTVKPETFFLLLLCFIQLYLLLSFEYITDSNIFLVFNCADQNFKNQSSCCRSSEIKFNQLKEKMSKEEFMKIQTCVLKVNIHCDGCKQKVKKILQKIEGVFTTKIDAEQGKVTVSGNVDPSVLIKKLLKSGKHAEIWGAPKGNNTIQNQPNLSNQFKGMQIDHGGKGGGGNGGGNNNKVQKGGGGGGGPPPKMGGGGGGGGPPMKMGGGPPMKMGGGGGGGGGAPPMKMGGGGGGGGPPMKMGLPQLTPQQMQQLNPQQLQQLQQLQQMKGFQDLKLPPQMKGPGPGSVPVNKNPQNPNQKAVKFDVPEDDDDDDFSDDEFDDDEFDEDDEFDDDDLEDDEFDDHPPPPNKMKPMMGGGLGGNMMMPNNMMPNMMMPNAQQMLNAHKNGGGPGPAGGKIVGKGGPGGVPFPVQMTSGGGGNGGKKGGPGGGGGGNVGNPNQNGGKSGGGGGGQFDGKNGGGGGGPNGNKGGGGGQMIGGPNGGKKGGAGGGGGGGGGPMSGGLPPGFRPMGGGNGGGPPTMSMPMSGAMGGPIGNLPSMGGGGPGAMGNNNMQAVQGLPAMGPGGGGGPSVGAPPGYFQGHGSNGGGQDSVPGNPYLQQQQQQQQQQYLAAVMNQQRAMGNERFQPMMYARPPPAVNYLPPEPYQQHPYPYPYPYQYPPHGGDQYSHYFNDENTSSCNIM >A09p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:191311:194385:-1 gene:A09p000380.1_BraROA transcript:A09p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGLISNRNFGSFIGSGNGFHNQRGIHGAEISSRVMFRNALCRNHHQPMKLVSLQASLQHDLVVHRTSFGCFLQPGNLEHRSLRFKNSNKNTSRPYYKSSEESDITEEVVDSLSSADGSAEAILVQGNQQNASPWWKQFPRRWVIVLLCFASFLLCNMDRVNMSIAILPMSQQYNWNSATVGLIQSSFFWGYLLTQILGGIWADKYGGKVVLGFGVVWWSIATVMTPIAAKLGLPFLLVVRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLGFSPMLIQKFGWPSVFYSFGSLGSIWFLLWLKYAYSSPKDDPELSEEEKKIILGGSKPREPVTVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQACTPNFTNGLLCVLPWFTMAVLANVGGWIADTLIMQSIGFLGPAFFLTQLSRVKTPAMAVLCMACSQGADAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVGLYLIGTLVWNLFATGEKVLD >A02p015180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6777202:6777597:-1 gene:A02p015180.1_BraROA transcript:A02p015180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPEGRSRDVARTDGEKTTGSSVVFATVAAVAVVGPLLGLMSFSLVATVTLFIVVSPLMLIFAPVLMATVAILVAAMVGVGVAAVMWLVGIAALVCCGREIGVGTGVAGRMVESVVRELGYGRNRYLRDK >A09p052800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46039789:46044744:1 gene:A09p052800.1_BraROA transcript:A09p052800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKTVSPNIRALFPLPSLNPNTSSPRRKTSIFRVYVGNLDPRVTERELEDEFRIFGVLRNVWVARRPPGYAFLEFDDERDALDAIRALDGKNGWRVELSHKDKGGRGGGGRRGGIEDSKCYECGEPGHFARECRRGRGGYGRRRSPSPRRRSPDYGYGRRSISPRGRRSPPRRRSVSPPPPRRYSRSPPPYRSSRRDSPRRRDSPYGRRSPYANGLHCSSLIILTLICNQASNERDERESQKEMKIQENLEFIDVLKRARKLLCGNINLLLFLFICSLPLFCFLIFFELSLQTTVLVASEYFSREVKFWGYYYTAPRDHALLKNLISLLLQTFLFYLFPYSLLDLLTTTTIVSASSLVYTSEEEPLGLNQLVRRTVGICRKRIEGCLITSLYILLISTSVFFGFFFAATNYLYIVSLIRVGDYSYYYYISIGEDGGSYTTRSSFEEDLSLSRILFDSVMALFHGAVFIVCLPSSASGVQEEEEDGQGIHGADALSLSSYYGRGHEKSGLWVMLVFLLFALAMRIPCLCLKFSESSSENGVLYTSFYVGLICVGNIIKWVASVVLYCDCRTRVLEKKCDVETGSKAKALAKKGAPKTREMKIDEKLSVMELLKRAVKLIFSNINLAFFLFLCSLPLFCFLIFLELSLQTTISLAYQFPSHKLNLGGYFLLQDQINLPENDLIPWLIQTSMLYFFPYSLLDLFTTTMKS >A01p014490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7041195:7042228:-1 gene:A01p014490.1_BraROA transcript:A01p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G22240) UniProtKB/Swiss-Prot;Acc:O49629] MATVQFFNQFPCKTRVQNPSNSKPLSKPPSSLVPMSALTRRPSFPPGEFAVSRSDFRVRVIDAEDELDPETSEGGGSALLMAEEAIESVEETEVLKRSLVDSLYGTDRGLSASSETRAEIGDLITQLESKNPTPAPTDALFLLNGKWILAYTSFVGLFPLLSRGIVPLVKVDEISQTIDSDNFTVENSVLFAGPLATTSISTNAKFEIRSPKRVQIKFEEGVIGTPQLTDSIEIPEYVEFLGQKIDLTPIRGLLTSVQDTATSVARTISSQPPLKFSLPGDSAQSWLLTTYLDKDIRISRGDGGSVFVLIKEGSPLLNP >A03g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6783266:6783747:1 gene:A03g502020.1_BraROA transcript:A03g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKFVSYYIDTCQEGKPHRFINEIMQYVWVSFVVSDLLKFVWAFIKTHKNTEALSSDSPPDTLCLSFLFVHPPQALRPLLPCSGSPTIKEINLPPNTSVYADDKESKWRRVMWWQSGL >A08p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20219495:20220502:1 gene:A08p033820.1_BraROA transcript:A08p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPTGGYGRCFSWIQYYLAHLLGDDHDIIMSFDIQMDTNGTSNRASWTTRVFKKKVLLRNQPWKNKKRRRRVRSRDYRSQPNHIPLDLTLEILQDFLPNQSSGLLSSHFRVLSTPSSRSSSQLTRDGPHLLLTFEFHKKQLVFSFPQNQNPDGSYHMKNSYYDSYLR >A08g510230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22460189:22461557:1 gene:A08g510230.1_BraROA transcript:A08g510230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLASMODESMATA CALLOSE-BINDING PROTEIN 3 [Source:Projected from Arabidopsis thaliana (AT1G18650) UniProtKB/Swiss-Prot;Acc:Q9FZ86] MAVVVLAVILLAMVGHSMRLCVGGTWCVCREGLSEAMLQKTLDYACGAGADCGPIHQNGPCFNPNTVKSHCSYAVNSFFQKKRQSQGTCDFAGTATVSASDPSYTSCAFPASASGSGTMTPVTTTPSTRVPTTTNTRPYTVTPSTGGGLGIPAGGFNPDYTDPSYSFGSKLQSPRATTLFFLFMLFYLLI >A06p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27056818:27057418:-1 gene:A06p051440.1_BraROA transcript:A06p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKNQDFLVSELLSRVTKKWNETFIGDLLPDLRDHILSIKPIVLDIHDTYIWPKQKNGLYTAKFGDNLQKIGFILDTICLRSGAPKIVPHILFQCPFAIETWNICPWVHSFSLNQDTDFKTTFQASYKWHNLPLLGFTTNPFLWF >A08g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19749207:19749934:1 gene:A08g509600.1_BraROA transcript:A08g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPQSQSLHHVLINRRHKKGARQSKPDGAFAQSRRGFHVDLGTREKDVGIEPDRRVKDGLIAIEVVEDGYEFFAKRRLVTIFSAPNYGWEFDNAGALSSVDQSLVCSFEILKPAPSSSGIALKKVCFSLHQEALLLITQFA >A04p001390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:676018:678624:1 gene:A04p001390.1_BraROA transcript:A04p001390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNCFMEKNCGRRESTNQIGCMWVFISIFGSNQKRFLMDMKHGSKRLADPGDDEKMQLTCSSESSQDVSIAGDEHVETKACEEKFSEMIKRLIAQKEGEIQTCKDLLEAFQVLSSEEESLLKKVSHEDAQSMGGDSKRVIIEEERPEAVSKQEAVVVVPKRKYTFFSRKWRSEERRNKTSQIVVLKPAPNSLDVDSRDNKSKTGRTFSRFLIGLIKRRLHSAVGKKSCDVLVDRSQNRCVQEEIQSNEEEDTVQTSEDSKKTMSGLYVAARKHLSEMLANGDIDVSLPDKEVPIILGKILSLPEFSSPVDSPRLIPPHDLVNQTTEKSKILQCGPCTDGLTDEDSDKDDETLFTIDVSAPKGFIFFFVLQEMFNQVHSSPPESPPSCSVMMTECKEPATDVQGKLSPVSVLEPLLTDDESSPTTTSTRFNSGEVRIQPLCIRFDEADDSPKPDESNNLKTSIDDKELTLAYIEAVVKSSGLTWEELLTRPFYSEQLLEPELADDIAFSPTQLCDDKSLLQDCINEVLMDFCGNELNPGPWVSFLKPEVQLISDMEIAAKVAQEGVYWHLLPLPSPHTLDQMVKKDMARTGSWMDIRFDVGWIGSGASELILDDLVEEIIRDMVQAEPMQEQNSNNNL >A03p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4792897:4796192:-1 gene:A03p012000.1_BraROA transcript:A03p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHSSLNGSASNLPDGSGRSFASSYSGQSGAPSPGFHGNLQGLHNLHGSYNLQGTLSSRNSSMNSLPSPGVQQPNGSFSSGRFASSNLPAPLSQLSHNSSHGHSGIPNRGGINIGNPGFSSNANGGAGSIPGILSTSPGLGNRNSVPMGMSQLLGNAGPRITTNSMGNMVGGGNLRNISSGGLSMPGLSTSRLNLGANSGSGLGVQGQNRMMGGLPQGSQVMSMLGNSYHTGGGQLSQNHLQSVNNMMLGDHSNDSSLFDINNDFPQLTSRPGSAGGTQGQLGSLRKQGLGVPLAQQNQEFRIQNEDFPALPGYKGGSSDYPMDMHQKEQLHDNAMSMMHSQNFPMARSGGFNLGESYSSHRPQQQPQHTSSTGGLQGLGLRPLNSPNPVSSNGYDQLIQQYQQQRQNQSQFPVQQMSSMNQFRDSELKAAQAEADPFCLLGLLEVLNGSNPELTSLALGIDLTTLGLDLNSTGKLYKTFASPWTNEPAKTEVEFTVPSCYYATPPPPLTRASFKKFSYELLFYTFYSMPKDEAQLYAADELYERCWFYHKELRLWFFRVGEPLVRTAAYERGTYECLDPNSFKTVRKEHFVVQYEHMEKRPSLLQH >A02p044340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27938106:27940857:-1 gene:A02p044340.1_BraROA transcript:A02p044340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSFALVIILLSFLLLLVLQNLEVVNAVGCTGSFYNENSTYVQNRDDIFSTLPDKVIANGGFYNSSLGNSPNRVYALVLCQRGYEQQDCFNCVLSATRGIKKDCPTRMNSYTWDKDVEDNVSCLVRSSIHSTFGSLELEPAVRYPSPDGIDPSKNMTLFEQEWDGMVNRTVEAATGAETSSVLKYHGAIEAEFTEFENVYMLMQCTPDITSQDCNRCLRACVTLFKNQFWGRRGGEVNRPSCFFRWDFYTFHGAFGNVTRLPSPPAQEKGSSATDKKGRSTRSWVTIAIFVVLTFINVFVSISLIKFFARRRKSNNGINVDREEHSESDGQHMLRFNLGMILTATNNFSSENKLGQGGFGTVHKGILPNGQEIAVKRLSKGSGQGDTEFKNEVSLLTRLQHRNLVKLLGFCSEGDEEILVYELVHNSSLDHFIFDEERRSLLSWELRFRIIEGIARGLLYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFEAEETRAETRRIAGTRGYMAPEYLNHGKISAKSDVYSFGVMLLEMISGERNNSFEGEGLAAFAWKRWVEGKAEAVIDPLLMKDPINEIMKLIQIGLLCVQENAAKRPTMRLVIVWFGSDTIIIPLPKAPAMSGSQSQSEDGTMSISNDSTELSCR >A05p049670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28874399:28875184:-1 gene:A05p049670.1_BraROA transcript:A05p049670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRDKSKSDLQNQLQDLKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVTSQKQKSALREAYKNKKFIPLDLRPKKTRAIRRRLTKHQLSLKTEREKKKEMYFPIRKYAIKV >A09g513660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41597469:41601079:1 gene:A09g513660.1_BraROA transcript:A09g513660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPNEFASRHSEDSIRSIASRSDDQMSFLLSTIDQIDEVKFIDTLGIYRFFLWIRIHASVGEQLIKKFDDKLRERDAIVVQLFKVYDAIGAYSISSGYNSTHILLNPTLEFIEEFKASLHDDSLALTNNDSSQWSVGTATSIRARSFFLNERLSIREIIDSTLNEDEDFLPEAVSDLFGKRVLFEISVDADNIKGKSSQCVVRLATDDREMVEEFADLPPKPVLMLESADDISSGSGGFTATPLSKRKSEQDEDSCLEDQHSVNKKLSQKKLKGE >A09p076530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57222614:57224009:-1 gene:A09p076530.1_BraROA transcript:A09p076530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative SNAP25 homologous protein SNAP30 [Source:Projected from Arabidopsis thaliana (AT1G13890) UniProtKB/Swiss-Prot;Acc:Q9LMG8] MFGFLKSPGNNKVPNESSNNKGGGTINAGRRTSSEPVLITPDFDEDDDKYKKGFSNSGDLQSQTTEDLEKYAVYKAEETTKGVNNCLKIAEDIRSDATRTLDMLHAQGEQINRTHVMAVEMDKDLGRGEKLLNSLGGMFSKPWKPKKGKEIAGPMITPDKASKKSANNKEEREKLGLAAKGRSSSQPIPSDQPTNAMQKVEQEKAKQDDGLSDLSDILGDLKGMALDMGSELDKQNKALDHLDGDIDELNNRVQGANQRARHLLSK >A09p029810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17745717:17747365:1 gene:A09p029810.1_BraROA transcript:A09p029810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEINEMQQELAPFDPTKKKKKKKVVLQDHAESSSPELQMEKADDPMPAGVNDGLESAVSGMKNSKKPVDSSSLNEESVEAVEDSDGGHEEEKGVIQQENRYPWEGSDRLYLYEEMLGRVFNILRENNPDLTGNRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLSELGTSGSLDGQQRLVVKGRFAPKSFEANLRKYVNNYVICHGCKSPDTNLTKENRLFFMRCEQCGSERSVEQIKAGYVALVGKRKT >A08p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2753544:2754442:-1 gene:A08p004690.1_BraROA transcript:A08p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLGHFVPGLSLALLGLWHLFNIIRSYCLKGPETFSAKFWFPFPKLKHLELVLILFFSFFAIILLTIDFPDFNFSSFKPDNLEHASMFLHLIIFACFALFCELTLSSDLFSGLEGHYHFLLQLIAFVSFSSALASASFPKSFSAALVLSVSVMFQGCWFLNMGFMLWVPRYVPRGCVSNTTASDNRSFVHSSAVACESPGAEVRAKALANLQFSWILSAILIITCALCFKFSCKVMLPKNRSSSEYERLCRQGSDRSPALTVEVSPNSDQK >A04g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4934124:4935911:1 gene:A04g502020.1_BraROA transcript:A04g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIARKGSSSASAHEELIVPKMEFVPHPVDPAENEAWWVAHYGSMTPPNGKSSPVLPHRAIEEGAPSRSTGEFLEIMRSFYRIPSTVEFRVPRRGERADNPAEGYFTCYDVFVVRRLWLPIPEIVVRVLDRFEVAINQLNPLAIQQLIGILILSYEHGLSLSVDHFEALLRLQLVKDMEKYRLVPRSFMSVVKRFSSNFNLWKKFFFFVRIDAASVEESCIPLLWRLPNDRPFINPLAPFPEDIIEVRDLLRNGPFFWTSFTPKRVRKALRFVCPDHVKAGNDSVPMIRVPTLPPLRRRGWDPDLAHGDGSGSSEVPIPDFDDFFAVLPSGFDAPPPTNETGRPKVVAEGSRIIKGELNLLGSAIEAIHREAMVYRFKVEKAERDLARVQGEMLEREAQLTRDHARAVRKTKRKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRERHGSVESLWRTQADDFVFEKEMSLMKSGMNERAHAELIIPSIDQQIQGFWDSIPVSPDTEKVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A06p004830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1341397:1342381:-1 gene:A06p004830.1_BraROA transcript:A06p004830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQRIVVVVEDKEAARTALQWALHNLFRHGDVIVLLHVFSPPPRKKKSTAARLLRRHGYHLALSFRELCDDFFNTNTEIIVREGDEDGRTIAEVVKETGASTLLVGLHQQSFLYRWAVSGIDVARNFNCKVMAIKQPSPEESPLPGKAKGRKTSQTTVTLDSLTNFDFSQIDISGLQVPEMPTPKVPYRLCPSPHAILWRTRPRRSNDRYAAVL >A03p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8661026:8661988:-1 gene:A03p020980.1_BraROA transcript:A03p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCPTRKVKKRRLSHKTARRDKFEVKGDDLVYTELRKPETETKPLELNEDLPGMGQFYCLHCDRYFSKASVRDDHFKTKKHKKRVKIMNGPAPHSQLDADLAAGMGMPDNGPKLMAA >A10p026970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17000174:17013818:1 gene:A10p026970.1_BraROA transcript:A10p026970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERVLVEARCSFLNSLIAHGFMQSESHDTTSNKILRFAPCLLLPAPVISILGSNSFSGPVTITPFRIVGSDHLISVASIYVDEVPLPLHPSLLEGGAKLILLNLLRLKQRKWECLIPGSAPFKHCFEESGSERNMKKFMNVRDWVAREGRREKVETVVCLAFIDGERSLIESMVIGTHQLQEYKIEFHFSTTLKTVSDSLLLHKVSCSIHLHLKQTITKQKNLENTKMGGLTHLIVFVSMCAAITLISEAQYLIPVTKDEPTKQFYTTVNIGAGGMSSPVNLLLDLGTNLTWLNCRKIRLLSSLRAWPRPTGRVVQDNTTIFTTQSGKPISIAPSRRFTFSCAVEKYRQGMAPRIAGVVALSPGEFPFWRQIASAYNIIPKFALCLPSYGRGLGYFYIGTHSFGGSNPVPMTLTPLKIDSGKYLVSVTSIYVDGVSLTLDPSLLEGGAQLSTVVPYTVLQTDIYNALAQSFTLKAKKIGMSETTGHAPFKHCFEEGASGKNMDVSVMEIGLPGNGREVKWRFQGANMVVRVSETVICLAFVDGGKKPNESMVVGTHQLENYLIEFDLSTTRMAFSDPLFDTQRVNVMHVDANEAVQLVLQPVVQANIPGIAQVIRLGNICLLDGSWTSSAHFSGCGWVWMDSVGNIQLMGTKNFTRRESALHSEVEALRWAMENMLQHSTCQNFGTDCKELIAMLKDPQDWPSFATELERIETLQICFPDFSIIHVPRARNQISDFLAKTARSFHRELLFIGCSIPVWLPRPPQKTKMGGLTRLIVFISMFASITLMSEAQYLLPIVKHEPSKQYYTAFDIGSAEKSYATLVLDLETNLTWLNCRELKSLSSLRLITCQSSTCKSIPGSGCDGKYCLYRQPNPLGKPVTGRVVQDKATFSTTDGGRQLSEVSLPRFTFSCATQGLSLPIAGVLGLSPAGEFPFWRQVTRAFNVIPKFALCLPSSVFDVGHFYVGGVNGYIIPPFTGSSNPIPMNLTPLKNIESGKYIISPTSIYVDGVPLSLNPSLLEGGAKLSTVVTYTVLQTDIYNALASAFTLKAKEIGMSEVPGMPEFPGFTPFKTCFEEGSSRRDVEEFMNVPVIEIGLPGRAGEVKWKFHGANTVVRVLETVICLAFADGGKKPTEPMVIGTHQLQDYMIEFDLSTTRMAFSDSLLSHKTSCSAWPSRRQDHSHMML >A03p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7952402:7954498:-1 gene:A03p019490.1_BraROA transcript:A03p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSRNSLVSFFILGLFTVLISPAISSRVLSSTKRPVNGESRSSVASYCESWRLAVETNNAGTWKVVPSKCVSSLETYYNGGQFDKDYNVVARYALAFAKTVKIGGDGKDAWVFDVDETLLSNLEYYKANGYGSEPYNSKKFHEWVVQGTAPGFDASLKLYTGLKNIGFTIILLTGRDEAERRITEKNLHDAGYFGWEHLLLRGHEDQGKAAVKYKSEQRSRMVKKGYILHGNTGDQWSDLQGFAVADRSFKILGSIISATISEQTSYIFTVMCRLFGFPLRDDTTAVASKMGVPCVEGQNGILLKDNSQGKELNGMRDMLLSSVL >A06p039200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21027982:21030593:1 gene:A06p039200.1_BraROA transcript:A06p039200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKDSDSENSHRSEKRKKVPSHPPSIVFLSTSILPKIFGSRRCGKQQEHEEDASNNMNNRGSYDHHEDNNKIFNDLERRLASRKKSILDNTSPMIWKTLSERKSSPGIEGLNLSSFDRPMAPTTEIRELRVFLATWNVGGRTPNNDLNLEDFLLVEGTADLYICGFQEIVPLSAGNVLVVEDNEPAAKWLALISQALNKPKQDSVYSNAAFSASKTTTCSSSSSGCCTEESRTPSSLSFFQRPNLKVLSRNYRVDSSLLKTCNCSVVNDTSSVGWEGRRSKRYSDPIADSTNAEPENFRVHENFLFDDVVPAATTMIMPGQMSYRLIASKQMVGLFLSVWARKELIPHISHLRLDSVGRGIMGRLGNKGCIAISMSLHQTSFCFVCSHLASGEKEGDEMRRNADVAEILKHTQFPKLTKSPSCRAPERIVDHDRVLWLGDLNYRVALTYEETRVLLEDNDWDTLLEKDQLNMERGAGRVFSGFQEGQIFFAPTYKYTQNSDAYAGETTKSKKKRRTPAWCDRILWRGEGIEQLSYIRGESRFSDHRPVCAIFAVEVDVKSLNKGRFRKGYSSAAARLVEDDTSKRHSFYD >A02p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19368021:19370734:-1 gene:A02p035500.1_BraROA transcript:A02p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTHQPESTTRPGNTVVLSIDCLKGSSKSDEWSGDMLQTGDIVEEIRIGSGPGSAIFKAPFKGGKAWLQKVLHNSYRNKDTSIVVRVRRGSHDLSDLSACIVPNDSAGKKQYMLRSIDDPNYTVGFSDRTESDCLGIQASRGSRMVEALVRAKLQDGYVSYPWERRMQEALPISGSSNFLSILFLPKASAYGRAGSRYNDLEDTLARANAWLSCSQANGVPIVFMNIQTESLLTKISGETASATVNTTSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYAPLGVELPIEIKLRDDDTKLGFSISRTEEGFIYVSSVTDHDDESAPAARSGLSSLYRDAAKASRRLVVSRVGSQKVLPWMVSSTGAIRCYDTVSLSQKLSLHRHAKVSIVLHVILWDSSATAAFSSPPRSSTHLLFSNETLDSMEFSQLREALPPRQVGDRQVMPLADDEDVFRFERENAGNASFKFQEIPFTNESL >A09g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22244280:22249941:1 gene:A09g507700.1_BraROA transcript:A09g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGCVRRESLGLPSSSSSFNRGLFLFPFCHYSINYDRSAIPLPVTYSFVPIYQDRAPVANAAVPNLAGAYSTFNNLRLGRSAQTIVARVIRFWDSRNIHKNGEFMGITILLLDEQDSVIHGFIPANRASQFRSCLKAGSIVRLDGFEVARVPHMYKITEHQFVIRFIPSTRIVEVLANAPVIRSDKFLVRRIDHLQVLANTNLELPDVVGEIRSVQGSDLQNVTATTRIVVRLLIEPTVTVNVSLWDEAASAFRGLLRDGDKSQSVMLVTSVNPKLFGGNLYLNSTQGTRFYFDTTLPEIAEFVSRVGATSAQVYSCVDTLEGIKKKELVSIKDLNSFISNSNEQTQEADFLCKARIVCVIPENGWSFVSCTGCHKKLERLGTSLNCTRCVTSDVTGVVRFRVELAVDDGNDSATFVVFDKEMSKLTKQEASVLALDAVSNGGEEYLPSCLEELEGKEFVFQIRVTPFNFTPNHRTFTVSTITDEDSTIATQLKAHSEGIIPSGSGDVGLTTSSSGPSVLEVEHGEKCSSSAPPENADTLKKRKRSRVPVPTTAVSTAAVANAAVAYSTFNSLRLGRSAQSVVGRLIRFWDTQNVNQNGEFMGITILLLDEQMLIDSVINGFIPANLTSQLRSSLKAGSIVRLDGFEVDRIPHMYKITEHQFVIRFISSTRIDEVFANAPVIKSDKFMVRRIDHLQVLANTNLELSDVVGEIRSVKGFDLQNKAATSRIVVRLLIEPTVTVNVYLWDEAASTFRGLLKAGGKSQSVVLLTSVNPKLFGGELYLNSTQGTRFFFDTAVPEIAEFVGRVGPTTAQGYTCIDTMEGIRRKDLVSIGELDNLASQIKEHSEVIVPNESGDVGLTSSSPGPSDLGAKDREKCASAIPHENADTRRELKRGHE >A07g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4914125:4915313:1 gene:A07g502300.1_BraROA transcript:A07g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLCIVKLNLLHNILDAFPERPSSSFDYRNDDRSCQIGNGEALADGDEGQRAPVNTRVMTGT >A03p068290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29914886:29916602:-1 gene:A03p068290.1_BraROA transcript:A03p068290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPATDTPPPPLKRNSNDVGWEYGLLCDPRVPDKVRCRLCGKEFSGGVYRMKEHIGHLQGNVSACPRSSKEDQEKCKNAIMEAKEKKNKKRKHEEAIRAEVNINKSSNVEELEKELGTLKAPHFQDCYH >A05g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2590126:2590535:-1 gene:A05g500690.1_BraROA transcript:A05g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVVGGEEHRWLLNRRLRRWRLSRFVLMKMMKVVVFAVCKHGMVIGETVKKLPCGHCYHAERVGRLANKV >A02p013640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5959254:5960955:-1 gene:A02p013640.1_BraROA transcript:A02p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb1629 [Source:Projected from Arabidopsis thaliana (AT5G57930) UniProtKB/TrEMBL;Acc:A0A178UP75] MSITYSTLSWSDAGSLSAFSPKIVPFCIPMNQFLNPNSSSSSCFGFSASLQGSSRVQLDSRLVLSKRSKALPFIVRSDHPQNADAPKQYSKREKKPFPVPIVDLRRAARERVKNNKDKPKRPLPPPKNGMVVKSLVPLAYKVYNARIRLINNLHRLMKVVRVNACGWCNEIHVGPYGHPFKSCKGPSASQRKGHHEWTNSVLEDVIVPLEAYHLYDRLGKRIRHDERFTIPRVPAVVELCIQGGVEIPEFPTKRRRKPIIRIGKSEFVDADETELPAPEPHLPPEPLLTELPPSEITPPSSEEETVSLAEETLQAWEEMRAGAKKLMRMYRVRVCGYCPEVHVGPTGHKAQNCGAFKHQQRNGQHGWQSAVLDDLIPQRYVWHVPDVNGPPMQRELRSFYGQAPAVVEICAQAGAEVPEQYRATMRLEVGIPSSVQEAEMVV >A07p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21312413:21314979:1 gene:A07p040130.1_BraROA transcript:A07p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGSARILILRCSNTSSQSVNTTFSRRFSSVPAAANAKDSVIDLALNSVVKVFCSSSKSNVLQPWQKNLPRQCSGSGFVISNNKILTNAHVVADHTFVQVRKHGSPTKFKAEVESVGHACDLAILKIKSKTFWKDLKPLDFGDVPFPKETVFVVGYPRGGDNICITKGVVSRIEVTRYSHSKTSLMTIQTDAAVNHGNSGGPAFMDNKVVGVAFQGFKNTGYIIPTPVVKHFISGVEENGKFPGFCSLGISCQHMQNTRMRNYFKMTPKMTGILIKRINPLSSSYGILKKDDILLSIDGVSIGNDETVVFRKTESINFSHLVSMKKPRETTTLKVLRDGKTHEFNINITPSTDLLHFVLCMLPSYYIFAGLVFLPSTPQPVTVPKKAGEQIVLLSQVLEDETTVGYTFLNNSRVKKVNGVQVENLKHLRQLIEKCCTGDLRIDLENDNTIIIGYKSGKRATPKILKRYGIPSTMSKDLQSL >A09g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28103450:28103839:1 gene:A09g509580.1_BraROA transcript:A09g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWLKRCGDYFRRRPLRILTASNLGLTQDWYFMKSQDVWIFGVYIGLRGVVTLRTNKESLEHCISDSIG >SC163g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:68751:71261:1 gene:SC163g500040.1_BraROA transcript:SC163g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRVYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGVQKR >A07p024280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13978620:13979050:-1 gene:A07p024280.1_BraROA transcript:A07p024280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit beta-3 [Source:Projected from Arabidopsis thaliana (AT2G28060) UniProtKB/Swiss-Prot;Acc:Q9ZUU8] MNNPHPDDDHEDTTVDGFEVPVSPVSSYNNVYAATEDETRDPPAVPPHLQHSLLGNTGSTELPCAPQSVVLNHLYIENRDPPRSVVALGFSHRFRSKYVTVVIYKPVQRRGNANV >A05p052430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30683734:30685048:-1 gene:A05p052430.1_BraROA transcript:A05p052430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WVD2-like 1 [Source:Projected from Arabidopsis thaliana (AT3G04630) TAIR;Acc:AT3G04630] MGREVVEVLMDRNAGVSSGRVHVAPKIAAAAESETEEEIEVKECTEENKPKVETAERTGAQSSPKTPKVSKRDAPLLPARKPLQPENKKHVDEDDNVSIASSVATSLRRAKFGVTHGSAPTFRSAQRAEQRKEYYQKLEEKNQALEAERNELEQRQKEEQEAALKKLRKNLKFKAKPVPNFYYEAPPAKPELKKVPLTRPKSPKLILSRRKSCSDAVSSSSSREEIPKTASNRNRHSTGTVQNKNTNDVHDSPRSRSGKGKSGLKPVNESVEEACEA >A01p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14020924:14021948:1 gene:A01p030390.1_BraROA transcript:A01p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLMVVATKSCSDSTKLTPICFTFQTCLKNPIPCIPSPKTSNCVRFSVGGQLWFLQTISASSSSVVSSVVSSESPLRWHDGPAADGELARTLLLLKQRHLDTLA >A03p017230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6931429:6933366:1 gene:A03p017230.1_BraROA transcript:A03p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDKKKSEEKMEKKAEEPQVKKPDDKKEEEKKKEPQEIVLKIFMHCEGCAKKIHRCLKGFEGVEDVTTDCKNSKVVVKGEKADPLKVLQRLQRKSHRPVELLSPIPEPKPVSDEPEKKEEKPKPQEKKEEVVTVVLRVHMHCEACAMEIQKRIMRMKGVESVEPDFKASQVSVKGVFIPEKLVEYVNKKIGKHAAIVKQDPSPKPPEKEKETKDKDEKKREEEQYKESKEAKEDGGGVAKSAVGDGGAAAEGGDKVVDLKKNEYQYQPPRYPVEMFAYPPQIFSDENPNACTMM >A09p003290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1945583:1948365:-1 gene:A09p003290.1_BraROA transcript:A09p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLMEQQVKDIVVGETCAELRHQLDINYPVHNGIVQNWEDMEHVWDHAFYNELKINPSDCKILLTDPPLNPSKNREKMIETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITAYLVDLLSRRGYAMNKTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPLYQHIVLSGGSTMYPGLPSRLEKEIQDRYLDTVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYMEEGIGCLNKMSQA >A07g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14454367:14458774:1 gene:A07g506110.1_BraROA transcript:A07g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASPLYSGRGPLYHRSVLIVLDLFLLDLNLIICYHIISDRVLIDILKPNPCSASLIDFVLIFLTIYWLSLSLVSGTMAGDQKGELSKKEKLFLEEFTANSIRKAISQAFRDVEKQFKQSKTISPSLEVQNQAPSSTVSELKDAKPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKRDVIEEEAPMASKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIDFGDLLPSEAKGMHVSAQQEFHYETNWRMLHTLSWIQQTRKRSKWPPDHQDIINSAKHIGLAKFCELLISDWGGRIQFYLWKLGAYASILIILGECSARGRTSWGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGQTVLSAILFERRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANSDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNVSECRTSQSYLWRPGEHAKVTNHVFKSSFIDYTDMMHLFLPKESCADYMEALKNAKRKNKREEDKRFKPPDLSQERHQDEYQGAFPQPLVSPFDPHTLRNLSLLIRFCKDYPICSRASS >A10g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14182794:14185743:-1 gene:A10g505580.1_BraROA transcript:A10g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYASGALYEGLRGTKTFVLAAHRHRVRPTGRSDYYRIGALGMPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAAAQQVPPVQVQGHQQPPIQPVPPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTCEDFLIAFDKKYFSREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLLDLVEKTAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKGNERVEPADGMYLFTLSLVNFPVGFPGELPARFLDELSPVAKVRAIPLNPELV >A03p053070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22778121:22778785:-1 gene:A03p053070.1_BraROA transcript:A03p053070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEETKTTKLESAGDSSDVENGNCSSSGSGGDTKKTCVDCGTSKTPLWRGGPAGPKSLCNACGIKSRKKRQAAHGIKHEDNNNKIKKNKSSNDLALDDQTVKSKIKTGTEDCNNSKKSVKGASRFLDFGFKVPVMKRSVVEKKRLWMKMGEEERAAVLLMALSCG >A05g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26706112:26707202:-1 gene:A05g508940.1_BraROA transcript:A05g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWKMSSAAILHVLADFLFLNISRREMRVKNGYIDGNGKKFSCGDWCQEYREYTCLILTNLFHRTALLAS >A09p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9031985:9032301:1 gene:A09p017410.1_BraROA transcript:A09p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFIYGTEVPKRPTKPRKRKNPTTVSSLQPVSCAESTSTYPTTSSALEQISLPVQHVKKVKHRLPLKINKVDQFQKVLAYYIVYIHGSRF >SC179g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:89892:99250:1 gene:SC179g500040.1_BraROA transcript:SC179g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVRDKSRIDLEVYLGANGRVCKDRVRQYGRVRTGEADVPGKLVQCLGKLPQKLTRSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEHGVDTNSSLSCHVLWSFKELTLVPWLIDPNTIVSYLCWSLSDVSGSQDVFLVHHPSELKVGDFCPLSVQWRVEKEVVMRHWCEVSLKLTCKLGLIISNPSLRRGVYGVSRQESVQSTLGCEKMKTLSVRLLDEEAITNAASRVSNMVVTHEEEAAKTQLGEADVPGKLVQCLGKLPQKLTRSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEWGRHQQLTVLPCSMEFQGTNTSSQDVFLVHHPSELKVGDFCPLSVQWRVEKEVVMRHWCEVSLKLTCKLGLIISNPSLRRGVYGVSRQESVQSTLGCEKMKTLSVRLLDEEAITNAASRVRDKSRIDLEVYLGANGRVCKDRVRQYGRVRTGEADVPGKLVQCLGKLPQKLTRSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEHGVDTNSSLSCHVLWSFKELTLVPWLIDPNTIVSYLCWSLSDVSGSQDVFLVHHPSELKVGDFCPLSVQWRVEKEVVMRHWCEVSLKLTCKLGLIISNPSLRRGVYGVSRQESVQSTLGCEKMKTLSVRLLVDKSRIDLEVYLGANGRVCKDRVRQYGRVRTVRIRTDQGDPDLHNSAI >A08p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7975704:7977145:1 gene:A08p009910.1_BraROA transcript:A08p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAASPGLPKSLTEVRDNREMQSEISDPENLLRGSGLKINEEGSRSGNKKGGNDVTGSEGQEKGAEVKTIEETTKKAEPKEGNTEAAKENQIAGTVKEVEEGELVENWEDVTPGKGSGSPNLKYGQVKILTPDRFSAFLEVDNKGDAVNQVEKILSIEEEIIVEKANEEVRKEDTIKVSEDGEEGKNETIGGKSGMKETKEDHKNDQIGKSIAGIQHWPDLAN >A05p045320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27116178:27120722:1 gene:A05p045320.1_BraROA transcript:A05p045320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVHFLFSSKNPKFRGIFNGLCALILFVFFFDRSEILNNPLLKKASFVSKGKSINNGFTQFTLVQRHLIEEDVSKGNDSWSSTSTVLCSGLHNHIGYADQCDFLRANPSCSPDGFFDYLTFFYCSCRDFKILGYMILGVWLVALFYLLGNTAADYFCCSLEKLSKLLRLPPTLAGVTLLPLGNGAPDVFASIAAFVGSDKGEVGLNSVLGGAVFVTSVVVGIVSLCVADMEVKLDKKCFIRDLSFFLFSLVSLMVILMVGEVTVRIAVAFVSIYVVYAFLVAANVILRKHAKRFKIEAITPLLPMQGSVFSPSVGEDVPIHSPLIESDSEDGPPRLLNSLPQWMWASNVAIYSNHFARGSSVQDDDRPPWGWIEDGAEVESSLCNKFSSLLEIPLTVPRKLTIPSVEEDTWSKTYAVASVTLAPLLLASLWSSQENVSPQACGVAYFISIAIGSALGFFAYKNTEPDHPPRRFLIPWVLGGFIMSIVWFYMIANELVALLVTFGEIYGINPSILALTVLAWGNSMGDLVSNIALSMNGGDGVQIALSGCYAGPMFNTLVGLGMSMLLGAWSKSPDTYMVPEDKSLFYTLGFLTKHKIQKLRESNKYITNESSSIVMAKLSLSSVFFVFPLFLCFFSSLSSWDGLESYIVHVQSSHKPSLFSSHDHWHNSLLRSLPSSPQPATLLYSYSRAVQGFSARLSPTQTAALRRHTSVISVIPDQAREIHTTHTPSFLGFSDNSGLWSNSNYGEDVIVGVLDTGIWPEHPSFSDSGLDPVPSTWKGACEIGPDFPASSCNRKLIGARAFYKGYLTHRNGTVKAAKESRSPRDTEGHGTHTASTAAGSVVANASLYQYARGVARGMASKARIAAYKICWTGGCYDSDILAAMDQAVADGVHVISLSVGANGYAPEYHMDSIAIGAFGATRHGIVVSCSAGNSGPGPQTATNIAPWILTVGASTIDREFSANAITGNGKVFTGTSLYAGEPLPDSQLSLVYSGDCGSRLCYPGKLNASLVEGKIVLCDRGGNARVEKGSAVKIAGGAGMILANTAESGEELTADSHLVPATMVGAKAGDQIREYIQKSDSPTATISFLGTLIGPSPPSPRVAAFSSRGPNHITPVILKPDVIAPGVNILAGWTGMVGPTDLDIDPRRVQFNIISGTSMSCPHVSGLAALLRKAHPDWSPAAIKSALVTTAYDTENSGEPIEDLATGKSSNSFIHGAGHVDPNKALNPGLVYDIDVKDYVAFLCAVGYEFPGILVFLQDPTLYNACETSKLRTAGDLNYPSFSVVFGSSVDVVKYRRVVKNVGTNVEAVYEVGVKSPANVEIDVSPRRLAFSKGESELEYEVTFRSVVLGGGVGSVPGHEFGSIEWTDGEHVVKSPVAVQWGQGSSVQSF >A04p020510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12464360:12465129:1 gene:A04p020510.1_BraROA transcript:A04p020510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNLSFLAVLSLLALTLPLAIASDPSPLQDFCVGVNTPSDGVFVNGKFCKDPKLVTVDDFFMAGLQNARPVANVVGSNVTAVNVNNLPGLNTLGISLVRIDYGVNGQNPPHTHPRATEILYVGLGKLLVGFVTSNGDGNRLFTKTLNEGDVFLFPEGLIHFQFNVGRFPAVAFAALSSQNPGVVTIANSVFGSNPAIDPNVLARAFQLDPKVIMDLQAKF >A06g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13599487:13601789:1 gene:A06g504320.1_BraROA transcript:A06g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTATLHTEEYDEDYEKERAINYRAILAEEDKLLHHSSWKWNTTSIDKTVPISIDICLRHTSCRRASTDSAYYPSIDTGVDHAREGDYSIGSWADAHYHESFAVEIAISQPHAEELHKGFTPEKLLNMQERDEEEKDEYGVYKDDHGHAKDVGGHIIRVSKDDIRCLLERASIDEHSYLCLPGHARSFTQTKLVPEIYAKDEINEMFYGEMRQDIAMIQTQRATEATTPTSIDKHLSTSIDDDLKHSNTMKSQPHSYTRAEIDHLIEAIQMELVEIQRYIARRPEASTSIDRHNNISTDNHRHASIDGATNRGRLVPKMTSDMSDTNNHGQEISDDAYATLLRNEFQLEKCLKEPKLTSNLIELNSACLGAWYTWDQILQPSLPSSFGDYPSPRQEPCRTLGQENDEQDCVP >A07p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2761548:2762123:-1 gene:A07p004600.1_BraROA transcript:A07p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLFVAPPQQTGQNVESCRLDTCPLNQHAHSLTPKSKHILIVVLLLGMNLCTPFEIEAKPVFQEKWLMLQSFRFDLRTGQCSSTVQVRLLRYWEARYARLGGELMGVDMLLSF >A09p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13415912:13419511:-1 gene:A09p024230.1_BraROA transcript:A09p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSQKIHGAFKGAVERMTGPRTVSDFKEKGVLSVSEFILADDNLVSKYPTWKAGDPSKRKPYLPSQKQFLITKNGRCQIRDRQREMARQAGKQNCGRLVLVSCLALLAVGLVADFLWASSHRFSPAGTYLPSSFTTIIGQLPPESNEKDTKQKEEDKAEERKLSATFQDLDAPQLQWEKMAAAPVPRLDGAAIQIMNLLYVFSGYGNINLLISQRFIYIVTGQYGPQCRGPTAKTFVLDTDTNTWSDFIPLPVPRYAPATQLWRDGKALEKEWRSEIPIPRGGPHRACVVVDDRIFVIGGQEGDFMVKPGSPIFKCSCRLEVKKSEREEHWEWKDHESL >A06p056410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29372158:29372473:1 gene:A06p056410.1_BraROA transcript:A06p056410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWVKVLRRLPFSAESDDGIFLEKIELLLQGRLASRWTQLIEGVMLVLTNLSLCQNNTRIYKSFYLKDRKA >A08p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17422889:17426245:-1 gene:A08p027610.1_BraROA transcript:A08p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane E3 ubiquitin-protein ligase FLY1 [Source:Projected from Arabidopsis thaliana (AT4G28370) UniProtKB/Swiss-Prot;Acc:Q5PP23] MKKRQHLGVLGLGFVEFQIIFLLSIWLAFPQQAAGLRPIREKARTWSDEWLFGRKQVPEGGRFSAWNITGTYRGNWKFLDSLNSSSKFQDFQKSNGNSVVELVAVPTKITGVHYVQGVVLFHDVFDNEQNLGGAQISLEGVYIWPFRQLRLVANSGKESDAGQDENNLLSNPYHLLGIFSSQVFQESTRDRILQRKISPRNEMDKHCNIEIAAQISRVASSDNNGDKSYYHMEGLMESPGVGDDGDCFSPLFLNATSVNVEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHGNTQSGAAKVSIVMIGHQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKATRPSISGEGWETMRRELSFLYSRFYGILLGGILIMYELHNYMRPILLLMYSFWIPQIVTNVIRDSRKPLHPYYIVGMTATRLAIPLYVFGCPHNFMRIVPSKAWCVCLCTFMGLQAVILLLQHYFGSRCFVPRQMLPEKYNYHRRFNQDISRNTDCVICMTAINLRQRTSDFMVTPCEHFFHTGCLQRWMDIKMECPTCRRSLPPA >A08p032960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19900865:19901668:-1 gene:A08p032960.1_BraROA transcript:A08p032960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAFAGKAVKLSPAASEVLGSGRVTMRKTVAKPKGPSGSPWYGSERVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGDGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >A09g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10483835:10484343:-1 gene:A09g503250.1_BraROA transcript:A09g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIHHFTLLSDQALVDKTFDPATIEDLMRLFEVDSYKAWAALEAEQQQELEAAEESIREAEAELDRDMEWGMEEYRRTLEEMERMEAAELKELEEKAETARRTGSLLEKAATIAAKRHIAAAMGSAAASMRSAWKTASGNKVHPS >A06g500550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2335585:2335767:-1 gene:A06g500550.1_BraROA transcript:A06g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKTNIYITLLRFFAPQILHLPKTPITDPTQCLPKSGFRSSASVILSRLPEFKISAANF >A01p055010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30800443:30801957:1 gene:A01p055010.1_BraROA transcript:A01p055010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTLAHVPPPRPKRKAAHPYPQKASKNADIGPKGILNVSSPSTSGLGSSSRTFSGSEIVAKQPPVLHVVPDFSEVYNFIGSVFDPETRLDHVAKLKEMDPINFETVLLLMRNLTFNLSNPEFESARKVLSSHEVNTELPSVTTGKSPSSPHAFGIQYMKTLQHYAESPESVARARYLLSCKSSRSPPILWFALRDRVLTGTLSYTYWYSESVARSR >A05p005820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2247431:2249153:1 gene:A05p005820.1_BraROA transcript:A05p005820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVDGVPFPDENSSSSLSSSSQGSSLLLDVMTHPVIISASDSFKNLEEQRVTESCSRKDRYVYIFQREYAVVNPTLVDFVGMDEATTCVGLVIRNRKSGVTSIAHMDSPKVVDLGISQMLSLVMEDDSDAAELDVVHMVGGYEDVDLKNANGGGDCAKPEGYSFPLCCKLVETLQKRRENFHIQTLFILGHNTKLDAQGNTCPIFNGCLVNTSTGAIVPASFNRSSRCPDELVRRIRVSASFDDPSWNGKLLDTYDTKTDRFIIAPCSWTMRLVEYVWELNQLPDEEILVNCSTSPSAEGPDFIDNERRIWKYLLKYPEWSKTFQKRQPRVFERTANGSWNSVF >A01p005040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2161254:2166114:-1 gene:A01p005040.1_BraROA transcript:A01p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase PAA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G33520) UniProtKB/Swiss-Prot;Acc:Q9SZC9] MESTFAAFSTITAMAPSLPLLTISKALHRHFSGARHLRPLLLARSSPASRSLGCFRASRIVSSSSLCYRSLGAAVLPVIRRRLQCLSSSSPSFRSISSGGGGIGGYNGGSGGGGGGGGGSESGDLKAKLGGGVSVPSSDIIILDVGGMTCGGCSASVKKILESQPQVATASVNLTTETAIVWPVPEAKSVPDWQKTLGEALANHLTNCGFESTPRDLVTENFFKVFESKTKDKQARLKQSGRELAVSWALCAVCLVGHLTHFLGVKAPWLHAVHSTGFHVSLCLLTLLGPGRQLILDGFKSLLKGSPNMNTLVGLGAMSSFSVSSLAALIPKLGWKTFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPSKARLLLDGDSTVEVPCSSLSVGDLVVILPGDRVPADGIVKSGRSAIDESSFTGEPLPVTKEAGSQVAAGSINLNGTLTVEVHRSGGETAVGDIVRMVEEAQSREAPVQQLVDKVAGRFTYGVMAISAATFTFWNLFGGHILPSALHNGSPISLALQLSCSVLVVACPCALGLATPTAMLVGTSLGARRGLLLRGGDILEKFSSVDTVVFDKTGTLTKGHPVVTEVIITEDSRRNLNDTWSEVDVLTLAAAVESNTTHPVGKAIVKAARAHNCQTMKAEDGTFTEEPGSGAVAIVNNKRVAVGTLEWVQRHGATGNLLNASEENESNNQSVVYIGVDNTLAAVIRFEDKIREDAAQVVENLTRQGIDVYMLSGDKKSAANYVASVVGIPQDRVISGVKPAEKKKFINELQKNKNIVAMVGDGINDAAALASSDVGVAMGGGAGAASEVSPVVLMGNRLTQLLDAMELSRQTMKTVKQNLWWAFGYNIVGIPVAAGVLLPLTGTMLTPSMAGALMGVSSLGVMTNSLLLRYRFFSNREDKNVKWEPKEGTKQPHENTRLKESS >A09p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:453670:456693:-1 gene:A09p000670.1_BraROA transcript:A09p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYMETVVGFMIVMYIFETYLDMRQHSALKLPSLPKTLVGVISQEKFEKSRAYSLDKSHFHFVHEFVTILMDSAMLFFGILPWFWKLSGGFLPMVGLDPENEILHTLSFLAGVMIWSQITDLPFSLYSTFVIETRHGFNKQTIWMFIRDMFKGILLSVVLAPPIVAAIIVIVQKGGPYLAIYLWAFMFILSLVMMTIYPVLIAPLFNKFTPLPDGDLREKIEKLASSLKFPLTKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNEDEIVAVIAHELGHWKLNHTTYSFIAVQHTVIPLQHLVSFGLNLVSRAFEFQADAFAVKLGYAKDLRPALVKLQEENLSAMNTDPLYSAYHYSHPPLVERLRAIDGQDKKTD >A01p052190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29207065:29214878:1 gene:A01p052190.1_BraROA transcript:A01p052190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMFNLPDELAEEILSRVQLTSLSSVRVFGRSASAGRKQFLGLMLKDYKVCSMKFDLQGIGNERDFIDSSIKQAYCYASPRTSSRFWCGILIWGKQGGSSQETLTEVKTDIRYAIGYEKNRNYKILRIFGEYDTKGELGSIDEHLGHTYFLTGERWTVDRRNRPEEIISFDFTTERFGKRLPPPSKSHSLDKFVSLSLVLERRSLRSCIWEFLHHEEKQVAVGHDLDIFRQPWTETCSNQRANIIGQDGYSKPVNLGEVPNHGKGNCNCKREHGKGAMLKSWCVSERNTHLLAKKRMRVGRINNIEDIISFDFTTKRFGKRLPPPFNAHSVDIFVSLSFVREEQLAVLQESWVNGPALEIWITNKINQDAVSWSKLLRSISIFNVDGLAGSFFIDEESCCDCRSRHWTRTEIRCNQRANIIGQDEYFKSVNMGEALNHRNV >A02p043950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27694515:27695868:-1 gene:A02p043950.1_BraROA transcript:A02p043950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPDYYRAIVEECIENNLTKDETERYVEEVHQIPIQDTNRVWDHLERTNPDLFIAYHARIPEHNARIPQRVARRPSSPPELTSARRRKMRGTKKEYRLSPNTTRALLTAINVPDASPQEAASSANALENQNLQASQQQPAYPNDFWQQLLSTLGQIKSNTQYLNTLGQIQSNTDQMVKLLTDGHGFGPHLSAARSSKRQRVGEAEERGKNEEAEKLQKKEEGDDNEAEKPQENERAGDNEAEKQQENERGGNEEAEKLQEKEEGNDGEAEKLQD >A05g502450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7703056:7703277:1 gene:A05g502450.1_BraROA transcript:A05g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVFSSRAPNSDRTGLHNIVLNLMKASNFTVMIQTTIGPLPEHSWVVVDDVLCFARWPKPEHRFSRNVHALI >A02p004520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1965785:1966362:-1 gene:A02p004520.1_BraROA transcript:A02p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGTFALNPHAASYVPLSKRVDDMNGLATRSMQGREQIFMPKTSSEMAYKQIRDDDDLDMEMDIDMDIEYLLVTFSGLSQESITDVYLANSGDLEATIEMLNQLEIYSTEAQEHLPETLDIGDLCESGPSSSKASTQKKAATEIVVSSPSVVIPNATVSA >A06g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29183134:29184201:-1 gene:A06g509820.1_BraROA transcript:A06g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTNRNPAFRHVITALYFSLHHKPLISSDSSRLELINKRLCELGRGGIGVVYKTSLQEGRPVAVKKLTDSGLIKSQEEFEREMRKLGKLMHKNIIEIKGYYWTQSLQLLSYEFVSGEAYTDISMGTSALFASALDRCVLSGKLKSTLGYTALKFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYAEDDVMVLSETVREGLEEGRVEEFVDGRLRANFPAEEAVPVLKLGLVCGS >A09p074120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56296166:56298937:1 gene:A09p074120.1_BraROA transcript:A09p074120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligouridylate-binding protein 1B [Source:Projected from Arabidopsis thaliana (AT1G17370) UniProtKB/Swiss-Prot;Acc:Q9LQI9] MQRLKQQQQQQAMMQQALMQQQSLYHPGLLTAPQIEPIPSGNLPPGFDPTSCRSVYVGNVHIQVTEPLLQEVFASTGPVESCKLIRKEQSSYGFVHYFDRRSAGLAILSLNGRHLFGQPIKVNWAYASGQREDTSAHFNIFVGDLSPEVTDAMLFSCFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQTAIDEAGGKWLGTRQIRCNWATKGATSGEDKISSDSKSVVELTSGVSEDGKDTCNGDAPENNAQYTTVYVGNLGPEVSQVDLHRHFYSLGAGVIEEVRIQRDKGFGFVRYSTHVEAALAIQLGNTHSYLGGRQMKCSWGSKPTPPGTASNPLPPPGPAPIPGFSASDLLAYERQLAMSKMAGMNPMMHHPQGQHALKQAAMGATGSNQAIYDGGFQNAQQLMYYQ >A09p013390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6869818:6872361:1 gene:A09p013390.1_BraROA transcript:A09p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPTEKFVALEADETTSMRGCGLANLTWVGVDKEELRQRLMMPEYIRLAMRDCIKRKDTTAIPDHLLLPGGAVADMAPHAPMVVFINPKSGGRHGPVLKERLQQLMSEEQVFDLTEVKPNEFVRYGLACLEKVAGEGDECAKECRARLRVMVAGGDGTVGWVLGCLGELNKDGTLPIPPVGVIPLGTGNDLSRSFGWGGSFPFAWRIAVKRTLHRASMGPVARLDSWKILVSMPSGEVVNPPYSLKPAAENELDQGLDVGVDAPLVAKSYEGVFYNYLSIGMDAQVAYGFHHLRNTKPYLAQGPISNKLIYSGFSCTQGWFCTPFASDPGLRGLRNILKIHIKKVYCSEWEEIAVPKNVRSVVALNLESYGSGSHPWGNLKPDYLEKRGFVEAHCDDGLIEIFAFKHGWHASFVMTELISAKHIAQAAAVRFELRGGDWKDAFLQMDGEPWKQPMSTDYSTFVEIKKVPFQSLMINGV >A03p025280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10630900:10633732:1 gene:A03p025280.1_BraROA transcript:A03p025280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPFSLRFGVIVFFMISTSYSSGDQRLNSRHLLHQPFYPIVTAAPPPLSSKPPSPSPDKHHHHKKHPAAAPPPQDKHLFSSVTPPPPPPAPHSNPFFPSNAVHPSPPPPPPASIPTFPANISSLFFRPHNSSKPHNNNHHVAKLVSITVSVVSSSVLLSLLVVLILYLRRTRRRRRLPAYNTKSTRSDSLQLFNASPSDGARKHKQPHKHTSSNTSSDFLYLGTLVNSRSEGFAPQKSPVSGNVAGVLELPPVPASSSSSSSYSRNQRPGSPELRPLPPLPKLPAFSPTYLSPEHLFPKRQDFDGDDDEFFSPRGSSGRKQSPPRAVEDSGVVQSVNDSNSCSPTSFNDSPATSLKPTSLSPLSLHSETSSNGSVLKKTGPARPPPPPPPPPPPQFSEIPAATSPSPPFDTDKKEETLKPKLKALHWDKVRASSSRVMVWDQIKSNSFQVNEEMIETLFRANDPSSRTRDVGNAGLVQSASQENQFLDPRKSHNIEILLRALNVTADEVCEALVEGNADMLGPELLECLLKMAPTKEEEDKLKELKEDDESTTSKIGPAEKFLRALLNIPLAFKRIDAMLYIVNFDSETEYLKRSFHTLEVACSELKNTRMFLKLLEAVLKTGNRMNIGTNRGDAHAFKLDTLLKLVDIKGADGKTTLLHFVVQEIIKSEGARVSSTPIQSPIGDDDIAEQSAFQDDIELKKLGLQVVSGLSSQLINVKKAAAMDASSLSKEIAEISSGITKVKEVIMELKQETGVERFVESMNSFLDKGEKVITEIQSHGLNVMKMVKEVTEYFHGNLESHPFRIFTVVRDFLTILDQVCKEVGRVNERTVYGSRPSPSNQTVTPLFPVVNINHAELSHDDDSF >A05p042220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25563209:25563582:-1 gene:A05p042220.1_BraROA transcript:A05p042220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMETLVMFVFTTFFIISFVDSLDCHPPTAVTIPANSPSYGLSMGTIICFRLTVSCYSGGFVQCKRICEVRNYYYKQCSQDECYCETISKRRR >A10g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20754929:20755770:-1 gene:A10g506910.1_BraROA transcript:A10g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDECDSAAWFTNLTGRFVRLVRNLDVLERVYTIETQIMQIMDAIGIQNRVVVVNVSVDDEQRPKSSESTESMAVLGNIPGQEQFL >A09p002290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1622252:1626677:1 gene:A09p002290.1_BraROA transcript:A09p002290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA demethylase ALKBH10B [Source:Projected from Arabidopsis thaliana (AT4G02940) UniProtKB/Swiss-Prot;Acc:Q9ZT92] MCIDPTRPDATRPAFLTRFVSPAPAAVKTTEIPRMPMTIAVTPSRQTDRSASPFATAAKTVPPVAVVSDGQGKDALISWFRGEFAAANAIIDAVCSHLREAVPGSEYDAVFAAIHRRRLNWIPVLQMQKYHSIAEVAIELQKLEAKKAEDLVEEMKTETAEDLKQSRTETAEMKSVCFNGEKLTENELNGDVEDDSPSSDITDSVVATIFVVVFCCFSVDVTVKRIKGSHQDVHHTAAADTPQIICQNHEDCDARSSEIKPIKGFQAKEQVKGHTVNVVKGLKMYEELLKEEELAKLIDLVADLREAGQNGKLSGETFILFNKQIKGNKRELVQLGVPIFGHARDENNNDSNNSVNIEPIPPLLESVIDHFITWRLIPEYKRPNGCVINFFEEGEHSQPFLKPPHLEQPISTLVLSESTMAFGRILSSDNEGNFRGPLTLPLKQGSFLVMRGNSADMARHVMCPSQTKRVSITFFRVRPEINNHNSPHNDGVMTMWQQQQPCHYPMAPTPYYDHSLEMMPKLGVLRPPMVIMAPPPVQPMVLSSPGVMGTGNGTGVFLPWASTSSRKHVKHLPPRAQKKRLLPPPPPAASSPAGESTSEPVISVGGA >A04p000650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:335345:336166:1 gene:A04p000650.1_BraROA transcript:A04p000650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRSLEINVTSAKGLEKVSKMDVFVAVKLSGDPKCSDHREQRTQVARDSGTSPRWVDGLMKFTIDQTLAEANRLVLTFKIKCEQRGGGGGDKDIGEVHVPVKELLDHLGKDKAGQRYVTYKIKKSNGKPGGDISFTYSFTGPVAVASGVGCSRYIAHEPVRPPAATYRPVNSNGPVLSQLLPSVGSFSYGHVPSHQPPVYPPLAQPEVLPPTCFPGLYPPHGYPMSYTPESVQTMYTSIFPGLSCPPEGYPNAAPPQTQPALYPPMKPYRF >A04p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22661200:22663203:1 gene:A04p040120.1_BraROA transcript:A04p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAHLSFLTGRLSPPFSSERVGPPPQRVEFRIPKSRFRCSAGQSGFFTRLGRLIKEKAKSDVEKVFSGFSKTRENLAVIDELLLFWNLAETDRVLDELEEALLVSDFGPKITVRIVERLRDDIMSGKLKSGTEIKEALKASVLEMLAKKNSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAHRLKNEGTKVLMAAGDTFRAAASDQLEIWAERTGCDIVVAEGEKAKAATVLTKAVKRGKEEGYDIVLCDTSGRLHTNFSLMEELIACKKAVGKVVSGAPNEILLVLDGNTGLNMLPQAREFNEIVGITGLILTKLDGSARGGCVVSVVEELGIPVKFIGVGEAVEDLQPFDAEAFVNAIFS >A05p034990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19775805:19776251:-1 gene:A05p034990.1_BraROA transcript:A05p034990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAISKKRQRPIDQGTRNVVTVEDYDDDDGGARGGYGRYGNDAGSSSAYMKQETYGDLSGFEMSELDSLAMHIQGLGNQFTREEVLDVEKRDEEGEQEGYQKVNNEIYGEGFWEDMLNEGQNFDFEGDEENVDVLIEQLGYLGSSSN >A06p021620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10713383:10715211:-1 gene:A06p021620.1_BraROA transcript:A06p021620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLSLSSPSSIQSKLSAASFIPNAARAFNATPLSAKASRSRFMGKCLRSTPMITHRGSRSGGIKCSASSSPATLPSALLFDCDGVLVDTEKDGHRISFNDTFRERDLGVTWDVDLYGELLKIGGGKERMTAYFNKVGWPEKAPKDEAERKAFIAGLHKQKTELFMVLIEKKLLPLRPGVAKLVDQALTNGVKVAVCSTSNEKAVSAIVSCLLGPERAENIKIFAGDVVPKKKPDPAIYNLAAETLGVDPSKCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFVNADAVFDCIGDPPEERFDLSFCGSLLQKQFVS >A01g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14886942:14888016:1 gene:A01g504980.1_BraROA transcript:A01g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDKQNARPGNPKHSHRSCVEKKSLTLKRLNETVRFKKELEQKEGIRQSSCVLHKTNKFFQGRHIGNKRDVKVAIKTILEVDLIEVKGDRRKSVLFNAGRVAKGLRHLDEKYCKETWEILSSVVVM >A09p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:944091:946491:-1 gene:A09p001210.1_BraROA transcript:A09p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLVGYRFSPTGEEVINYYLKNKILDKPWLVNEAIHEINICAHDPKFLPALSKLESKDLVWYFFTPREYHASEKKKGTKRTTPSGFWKATGKDRIIRDKRGHGVEIGIKKTLVYHHGKAANGVGTPWVMHEYHTTSLPLNQRNYVICQVMYKGADGDSLYGNNSNALSHSMVSDLNTVREINTAPQVEQPRQDLYLSVDDLANPLNEQDDPSLFNPDTLFNDSYWEDEYINDLLGFNGGNYDDVLRAPDITMQEDRNDHRPKKALTGIIVDCSSDSDAESISATSYQETSSPDSFHSLSAQFHTSGDEIPSLRKDSCTDINPHAETSINRKTRKAHLTRRTVPSKQEVNEGKSKAVNASVDKKKSSSSMVKTEKKGWFITEEAMDRRNRKKPRYIYLMNMIIGLILLVAVIGNITSVSLSVKT >A04p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19914896:19919123:-1 gene:A04p034380.1_BraROA transcript:A04p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQEDVTLGEVSETREEAFSDNKLVLETCRKRKRTDEEGVEEDNDGFKTPTRPENRIPEVRECPPAPRKGENKYSTMHRGVTMSCQKMAAPQVKTGLFVGLNKGHVTTRRELAPRPNSRKGKTSKRTLFIRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGGVTEKKK >A01p016140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7987971:7992415:1 gene:A01p016140.1_BraROA transcript:A01p016140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKTARGGAIPKQTQTRIPVTQRLGPSSPLAPQVEVVNEQLRIPAKKRLGRPSTKKPLGVNTAGTRTSTKRRVAPVRTSPIRKQSWKAVHTGLCLPPTGVNAGSLAAWILWTLWISRNYRIFQGKIFSSQEVVTKAIIDAKEWNTAQVKNIPPETAKRGPRMETRFDIICQSDAAWKKESSTAGTAWKFSGSDEDRSRSNTKIFTLVKSPLVAEGLALRSAMEQAILLDYKQISFETDSKILVTAILEGSHISDLHGILSDIKTLATAFTSISFHWIARNSVSAVDMLAKQALNAFVSNLV >A09p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3571352:3572250:1 gene:A09p006820.1_BraROA transcript:A09p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSYREDRFETRIDGIVGEIVGLFGVFDADAYNHTDCKLLKSENSHTRDAGSTASTAILVGAFTCCKRWRFQSFVRFLESKTANIKASNISSTHASSSQKQIKGKPQLAMTQTTRS >A02g511160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29601940:29602370:-1 gene:A02g511160.1_BraROA transcript:A02g511160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFPFSTAVSLLSSMVRLCCSLLGCWCFLACLSSFVMAVPPTRVGDVNPAFWPSRGDGAVERPVDTSLTGKDLKK >A07p052260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27595554:27601853:1 gene:A07p052260.1_BraROA transcript:A07p052260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKMGASLPTKEANLFKLIVKSYETKQYKKGLKAADAILKKFPSHGETLSMKGLTLNCMDRKTEAYELVRLGVKNDIKSHVCWHVLGLLYRSDSEYREAIKCYRNALRIDPDNLEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSQHLNSNASKAVEILEAFEGTLEDDYPPENELCEHTEMILYKVSLLEESGAFDKALEELHKKESKIVDKLSYKEQEVSLLSKLGRLEEAKKLYRVLLSMNPDNYRYYEGLQKCLELYSENGQYSSDQIEKLSALYQSLSEQYTRSSAVKRIPLDFLQDESFKEAVGKYIKPLLTKGVPSLFSDLSSLYDHPRKPDILEQLLIEMENSIKTTGSYPGSDVKEPPSTLLWILFFLAQHYDKRGQYDVALGKIDEAIAHTPTVIDLYSVKSRIMKHAGDLTAAAALADEARCMDLADRYINSECVKRMLQADQVTLAEKTAVLFTKEGDQINNLHDMQCMWYDLASGDSYFRQRDLGRALKKFLAVEKHYSDIHEDQFDFHSYCLRKMTLRSYVDMLKFQDRLHSFPYFHKAAVKAIRCYLKLHDSPKSTAEEDEMSKLAPAQKKKIKKQKKAEARAKKEAEGKSEESTASVVSKSGKRNVKPVDPDPHGEKLIQVEEPMAEASKYLRLLQKHSSNSLETHLLSFEVNMRKQKFLLAFQAVKQLLKLDAENPDSHRSLIKFSLKTGSTSAPTTEAEKLRWSVLEAERPSISQLQNKSLVEANKEFLARHEDSLVHRAAYAEMLYLLDPSKKTESIKVIEDSTKKVVQPNGALGLAREWKLKDCIAVHKLLDTVLLDSEAASRWKTRCAEYFPCSTYFEGKHSSVIPDSVYNSSRKSKENGDTPSHPMGQTEFSDGQLEAIKSLSVST >A03g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:737236:738522:1 gene:A03g500220.1_BraROA transcript:A03g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLDTMIMKESFKVMLGEIVPELFMSYIQYLLSTLGVVYAAAQLSRPVVPRLQRSINRLRACVTEHIFGETDEYMIFGWIGATGLYFGFLFGLREVTGVTIWYQSGVPSRPRPGMAIRGFGFLRFLFKGSTQMFSSRCVMSQFISCLRSVISSFGHDSEIIQEWICDVSASTLLQAIVPRVLSREITWYEV >A08p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9212577:9221295:1 gene:A08p013630.1_BraROA transcript:A08p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC011 [Source:Projected from Arabidopsis thaliana (AT1G32510) UniProtKB/TrEMBL;Acc:A0A178WL27] MGRKNDVSYSQAEGWHITITLTESDTCSSDTITIPKEQVEAKLFPWWSVKRSEGLLEPNSFEMVHLYEYESQVTTAVAMKRDENGNFKLHGWSCVQGKRKFRTGDVVVGDGALLDGAGALLDSDRAFLDGDKALLDGDGSLLDGDTALSRWLMLFHDRKAAWIHGTRGRNLKAEECRAEKYSLVKDSVQLSSSQIPVFGIQGAKASSYLQDTLAERRKHRMWTTEKNIVFIISWLNTSEDPEMENEQLSEKSFLPNRDMEWFFFCHRDRKYQNGSRINRATKSGYWKATGKDRKIVCQSSSSSSTSSIIGCRKTLVFYLGRAPFGGRTEWAMHEYRLFDNDTSQGSLNFKGDFALCRVVKRNHEVTLKKCETSSLEVPVPGEPQTNNVDIPCEARYIGKGSCDTSNTLRASPDFILGSSTKGHSQSQTEDDSGFQEFSLPEIGYPPEFFADLNFDWGVENPFPFVQYQEAHVNNEVINYDVLR >A03p043040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17973033:17975311:-1 gene:A03p043040.1_BraROA transcript:A03p043040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYNEGGADSFNGGRKLRPLIPRLSSCPTATANTNSDHRFNMAVVTTMTAEQNKRELMMLNSEPQHPPAMVSSRWNPTPDQLKALEELYQQGTRTPSADHIQQITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMENGHDESVVTTTSLVSNQGFDKKDSKGYKVEQTKNWICSVGCDTQQEKPHEHHHQEEPVSIAMVAPKRNGRHGGDEIQSFPVRNARWHMMQLPPGLYPSSQQHHNHHQLNLNSTTVSLNLSTSNSNVPAAKDTVTFSPSFLRTRGARNTEACDDNKDQEQHEDCSNGELEHQEQTLELFPLRKEGFCSNGGDGGKEKESGIQCFYEFLPLKN >A06p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19201913:19205844:-1 gene:A06p035520.1_BraROA transcript:A06p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLDSLESYTVEEMTTTSNIVVQSQGTFADRKSIKKIASVKRHDSEADNDGIKKSKKRKKHKRASDGDKILNTESSKVSEGSRRHEKSLLLYYKRTGKSWKRGYMLNEVKTDEDKGDETDEETSDTEEEIRVVKVCEWVDPLAKLWNRDPEYLDRGQNDDVKKEKKEKSSKKVKLSVEDVKIDNPNAVSKFRINPLREKLQATIFDMVLGGATNITTHGLDINDIQLIIQCESPRDIVCTHGRMIDILCTSSEKITNLQRATFLVMYEADRMFDMGFEPQIICIIQTIRHDQHTVLFSATFPRQDETLARKVLNKPIEIQVGGRSVVNKDITQLVEVRPKSERFLRLLEILGEWYEKRKILVFVQSQKKCDALLKDLFKKIYHCISLHGGNEQSDQESTISDFKSNVCNILIATSVAARGIDVKELELVVNYDAPNHYEYYVHRIGRTGRAEQKGCAVTFISEDDAKYAPYLVKALELSLQPIPDDLKEIADSFIAKVRQGTEQAHETGYVDSGLKFTEEEEKVTKAAKKAQAKEYGDDASDKESDTKEPLRTRKKASPAAGSSDVEEGKTEKNVRQRTVKKDKDVEDGLVTTSSTYDEVSDAEKALAVKATDADNEGEEIDLSKHESEDISHTYGWPPLVCCFGSAKNVFVPSGRPANRFLDCKFKTVEARVEAFHRKEEELKKELEIVKNQHASDSAVLLLVTRELEKVSLKLAAVNDAKNLPLSQEENISKMISIHAEKETISNKEIDVLKSELEKARSFEAEVKERDEIIEKIDAAKEVFKMAKSHAYGFADQWCTKAIELEEQLEETNMMKKYASVSLVSLIKQLEGSNTRLQVMECEVIALKDKAKLMATVGLKQSQVLKKSEHLLEPAEELLSKVEKEDIKLKTKLEIFKDEKSKTLSELERSKEEEEKSEPDMQSLASVFHQASSERVLKNRLLSLGGQNYKTREEDKKLVIKSTNEKYEKMLHEVEQTNKPS >A03p011930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4761543:4762022:-1 gene:A03p011930.1_BraROA transcript:A03p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZAT12 [Source:Projected from Arabidopsis thaliana (AT5G59820) UniProtKB/Swiss-Prot;Acc:Q42410] MVAISEINPTVEAKAANCLMLLSRVGQKGGDQKRVFTCKTCLKEFHSFQALGGHRASHKKPNNNESLSGLVKKAKAPSSHPCPICGVEFPMGQALGGHMRRHRNEIGGGAALVTRALLPEPTMTTLKKSSSGKRVACLDLSLGMVENLNLKLELGRTVC >A02g511440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30437071:30437594:-1 gene:A02g511440.1_BraROA transcript:A02g511440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEDREASGSEAPSLVVIPRRRAHRRGRIDQSDRLPAPRSVPFYEVDCRPVIYHPGGIFEELPSLPPEALRDPRVQSWGNVFSSCSSNETVKRLLRESGGAGVTFLIPSTEQLLTNLILLTRVTQENFESENKEIHDGEDEAMKERPLWSL >A06p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:805757:807358:1 gene:A06p001780.1_BraROA transcript:A06p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTMSHGYKSSSMGYAYMDKKWVFSFVITSLVCVVLIATSFNMGLMTSFRPPFNETIPHFATNDPKVEEDKLPRLAYLVSGSKGDLASLWRTLRALYHPRNQYIVHLDLESSLDERLELASRISDDSMYSKIGNVYMITKANLVTYTGPTMVANTLHACAILLKRSPDWDWFINLSASDYPLVTQDDLLYTFSTLDRNLNFIDHTSDLGWKNEKRAMPLMVDPGLYMLNKADILWVRAGRSLPTAFKLHTGSAWMALSRSFVEYIIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNAPEFSKTVVNHDLHYIAWDRPPRQHPRTLSLGDMEPMIASGAPFARKFSRDDSALDKIDKELLMRTNKGGFTPGGWCGGKKECTVVEDVAKIRPGLGAERLKGLVDRLVAEAKSGQNQCE >A08g503150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5957794:5958870:1 gene:A08g503150.1_BraROA transcript:A08g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSLDCFVYFVLSIALAFMAVSTTLPPPRDSNITKTNRPITHHDPLSLNASKALRQSNFKAIATLLLVSPEIFLSSSSSSSPNTTLFAIDDSSLFNTSSLPPLFLKQLLQYHTLPLKLPMEELLKKPQGTCLPTLLRHQSVQISTVDKESRTAEVNHVMISHPDMFLGDSLVIHGVLGPFSPLQPRMHHIPQSSLCQSDSNKTIIEEEEEEAVPVKIEWTRIIQLLSSNGFVPFAIGLHSVLNRIIADQHKNFTGVTILATPNLVSLSSASPFLYEVMRRHILAQRLTNKDLASMPDKALIKTLDPYQGLIFTRRAIVNSSQCFMISGVEIVDPDMFSSNNFVIHGISHTLELPRA >A10g505120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:12806253:12806657:1 gene:A10g505120.1_BraROA transcript:A10g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNMTTIMALYLTFNLVFLGLTTAQTPPPPANQPQCPVDLVDLQVCLNVFTNVVNLRDPANIVNPQDQAQCCTLLTKFGGPVVALCSCELARTRVPGVANASIITASANQFLNVCPGVTSLITFIKQGFIEI >A02p000220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:141683:144924:1 gene:A02p000220.1_BraROA transcript:A02p000220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVHGGVLVTSGTHNNFIVQLLINISLFSGDNKSVRHLFLSASNSCLPIYLSGFLLSSPDLLLIPTFNSSTRSICVRGLDPFCLLVLDLFFFFFQVAAKGQRSKEAMMSFEMNDRKKIGLGLTAFGVFFSFLGIMFVFDKGLLAMGNILFISGVSLTIGLKSTMQFFTKRQNYKGTISFGSGFFFVLLGWPIFGMMLETYGFFVLFSGFWPTLAVFVQKIPVLGWIIQLPYIRSGLFEVAMELMKRALNSNVALSVHPTALSQEMKSSRMKFAKKLKSIRAAGEYLNQDRILQVISAADELLPKISNPIPQITAASSMMWRSPQIADTTELVENENKGEEASGNEENIRPPVNQIPRDSKSAVMGRKSLGSGFRRPELNSITLFDPKLLEAFELAVGCFRRINDLSKNPNLDEDEDVIAFPADESEMEKVSIEDTLPPVDDEQEEEEDRGQDLEIVAEEEPLMGFEERCPPGGEESVVFYTTSLRGIRKTFDDCNMIRFLLDSFKVKYYERDVSMHKHYRDELRSISAAAEETEVLPPVLFVKGSCIGGAQRVLGLHEQGKFRALFEGVPVAGDTPCGRCDGFRFLMCDGCRGSRRIVSTDGSRIQCLLCNENGLIVCLDCS >A01p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17683830:17685235:-1 gene:A01p037710.1_BraROA transcript:A01p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKGSESVRSLMNPGKNALLPPKIPFPSGLMGSRHGHKVSVEKAHHHQRTSSESHLVEEELPFWLDDLLNEPESPSRKSGHRRSASDSYAYLDVANAATTISDFSYRNPVASIQRGIQELDPSQDASFYADGSFLKQRNRPSGARPSWTRESVGGGKHMGASSYMSQDATTETKRLSSEENNSNPQPGAYEADNTKRAKQQFAQRSRVRKLQYISELERNVQTLQAEGSKVSAELDFLNQRNLILSLENKALKHRLASIAQEKLIKQLEQEVLEREIGRLRALYQQQQHTRQQSASHKRASSKDLDSQFSTLSLNAKDSNCRRDSLSVMGQFHF >A03p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9188873:9195209:1 gene:A03p021560.1_BraROA transcript:A03p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTARSGRVVGDYLVGRQIGSGSFSVVSEARHRVHGTHVAIKEIAMGRLNKKLQESLMSEIYILRRINHPNIIRLIDMIESPGKVHLVLEYCRGGDLSVFIQSRGSVPETIAKHFMVQLAAGLQVLRDNNIIHSDLKPQNLLLSTDGNDADLKIADFGFARSLQPRRLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGTTPFTGNSQIQLLQNILRSTGLQFPADCRDLSLDCVDLCQKLLRIDPVERLTFEEFFNHPFLSDRQSYDFSRSRLGSRTMDGFLSSGSSPSRNMEESSQEDCLPFLLDDDSSGPEGSPSHLKRTSSMKSSSGFNIDARVEREEMESSPLNYRINQGADNTRFRNETHINSDRRNHGEPTRLTDSRPLIPKGRVDDSQDSMDQDFVLVSGPPVDLPSSSSSSSKAYNFPFKSQSPPVELTNRSISSTAPMPIIGATGNNVGRFGSLDSQSTSHGSLDLGDAFEQPSTNSFTRISSLKKCAATIAELVHERIKSDKYLEAFSIQLAILAIWKQALHICHTQAISGLEGSPSQDIYKPRESSSSLKNEHITDLIHDGSEEISSQIQSQFIREIELAEELAKSIEPGNAMMPDAMETIFEAALDLGKLGGVKEVMGEIEKAGNQYSKAVRLMVFLLVEAPMLILNPPLSLTNSDRYRLRKYIDILSRRLKHLQSHRRSSGSHMQGSSSSAMMNKQPYAAKKNYNVERFYLSTYPPFEEMSGCAMNFQLSSFVKFRNDISSLRICNRDFVFGVLVKEMKVPVLRIKGTQRSRLLVVNMSQSPVEPQSITEVESDVNLWKGDNVRNLGADEMEKFESGGNVGNGFNGGDGNGGGGDGDGDGNGGGGGDDGEEDYEEKEFGPVLKFEEVMRETEARGATLPSDMLEAAKTFGIRKLLLLRYLDLQSSPGLLGFAIRSWSMLRNRMLADPSFLFKIGTEIVIDSCCATVAEVQKRGKDFWAEFELYVADLLVGVVVNVALVGMLAPFVRFGQPSASTGSLGRMLNAYNALPSSVFEAERPGCSFSAQQRLATYFYKGIMYGAVGFGCGIVGQGIANLIMTAKRSINKSEEDIPVPPLIKSAALWGVFLSVSSNTRYQIINGLERVVEASPFAKKVPPAALAFTVGVRFANNIYGGMQFVDWARLSGCQ >A05p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5089539:5091391:-1 gene:A05p011650.1_BraROA transcript:A05p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYDGLEACFNNNQSLQEESGRSRGDECLTDSLDDDAFSSCSSSKDTSESFSSKWLPKKNGKNISNEWDYERSPKHFYSKEERPGYTLCSSDVEAMKEKFSKLLLGGDLTGGRKGVQTALALSNAISHLANSVFGELWKLEPLSEDNKQRWRREMDWLLSPCNHMIELVPSKLDDKNGRSLEIMTPKPRADIHMNLPALRKLDSMLIETLDSMVSTEFWYSEVGRRAEGKNEISRESRRWWLPSPKVPKPGLSSLVRKNLLEKGNVVYQSFKAAKSINEEVLLEMPVPTIIKDSLHKSGKTSLGDELFRMLASESASVDEIFVSLRLRTEHAALETVNRLEAAIYAWKEKFTEQRSSGKWSLVRDSLSEISRIEPLINKAERLNGQIKSKYSNLPQSFLDATKIQYGKDIGHAILEAYSRILASLAFRILSRIREILQEDALSNASSTATPSCFSGSNDMFRTPERLLVSSRLRHSLIHDINKADDGTRNSLE >A08g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1644108:1645310:1 gene:A08g500620.1_BraROA transcript:A08g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEARGGNGGNQWDDGAEHEGVSKIYIREGRNGIESIKFDYVKNGEPKDGPIHGGSGQSFTESFDLNHTIDEHIVSIKCYYDEGVIQEGKKKISCPRAPNRVEPALVIKTNIRTSALMGYNLGTKFKLGVKGKKIIGFHGSSDKNLNSLGAYFTTLSPDNLDV >A08p009110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7231410:7231651:-1 gene:A08p009110.1_BraROA transcript:A08p009110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVDTVYRIPTRFLERVDEKDEDNVGRIRNAYLAEIARDPYLSLQKFTAIIERLPNYARM >A09g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:754809:757214:-1 gene:A09g500150.1_BraROA transcript:A09g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEHRSIQRNSSDVFPHPPNLKPPLRKTVTNLLLLVGERKKKHRKHMAAPAMKIVFGLLTFVTVGMIIGALLQLAFINRLEDSYGNGLPSLRGLRGQSSRHLRDVSRWANDKDAEALRLGYVKPEVVSWSPRIIVLHNFLSSEECEHLKAIARPRLQVSTVVDVKTGKGVKSDVRTSSGMFLTHVERSYPIIQAIEKRISVFSQVPAENGELIQVLRYEPNQFYRPHHDYFSDTFNLKRGGQRVATMLMYLTDDVEGGETYFPLAGDGECTCGGKIMKGISVKPTKGDAVLFWSMGLDGQSDPKSIHGGCEVLSGEKWSATKWMRQKATS >A05p044920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26937978:26938575:1 gene:A05p044920.1_BraROA transcript:A05p044920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTDQNVNRTERTVESVLRVASMALSIASLVIMIKNSIANDFGSLSYSTLGAFKYLVTANGVCAAYSVLSAIFVIAIPCPISKPRLWTLFLLDQVVTYVVLAAGAVSAETVYLAYKGNLNITWSSACDYYGIFCHKALVSVILTFLVSVLYVSLSFISSYRLFSRFEAPKH >A03p046800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19797531:19800351:1 gene:A03p046800.1_BraROA transcript:A03p046800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor ABI3 [Source:Projected from Arabidopsis thaliana (AT3G24650) UniProtKB/Swiss-Prot;Acc:Q01593] MKTLHVAANGGDLAEGCGILAGDADEAVLMDGMGEVGREIWSLDDHGGDGHGHREDDDIIVHHDPSMFYGDLPTLPDFPCMSSSSSSSTSPAPLNAIVSSTSSSSAASSSTSSAASWAILKSDGEDPTPNQNQYASGNCDVESSAALQSTASMEIQLDNTQGFGCGEGGGDCIDMMETFGYMDLLDSNEFFDTSAIFNQDEDTQNLNLMDQTLEREDKIVVPMLENNNNNNSGGDMQVMNPSLEQEDDLAAVFLEWLKNNKETVSADDLRKVKIKKATIESAAKRLGGGKEAMKQLLKLILEWVQTNHLQRRRTTNNNNNLSYQQDPFQNPNLIPPSDQTCFSPSTWVPPPTQPPPPQQPAFVSDPGYGYMPAPNYPPQEYLPLLESPPTWPPPQSGPMPLQQFTMPNPQYTPFQDPGGGFTGYNMNPYQYPYLPSSGQMRDQGLLRLCSSATKEARKKRMARQRRFLSHHHRHNNNNNQQNQTQIGEVCGAVDPQLNHVPTTATGGTWMYWPNVPAMPPPVSSSQLPAMETQLPTMDRAGSSSVMPRQQVVPDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISLAMEDIGTSRVWNLRYRFWPNNKSRMYLLENTGDFVKTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQPAGQKPEAPSSSAAVTKRQSKSQRSINNNSPSANIVASPTSQAVK >A08g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5569288:5569990:1 gene:A08g502670.1_BraROA transcript:A08g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYNSIQDTELKKAEGCFAYRNGSTAKGLWENMLQHSTCQSPRTDCKDLIAMLKDPYVRPSFTTELDAIKSLLICFPDFKISHIPRAQNISCKRRSYSGSEASFTN >A06p057660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29967499:29970617:1 gene:A06p057660.1_BraROA transcript:A06p057660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTKHWSHLNGSEKLSLPSMALLMAILLFLSGTVASAAGPATGFKPADDILIDCGSKSSSKTPDGKIFKSDQDTVQYIEAKDDIQVSAPPSDKVASPIYLTARIFREEAIYKFHLTRPGWHWVRLHFLAFPNDKFDLQQATFSVLTEKYVLLHNFKITNNNNDSQAVLQKEYLVNITDAQFSLRFRPMKTSAAFINAIEVVSAPDELISDSGTALFPVNGFSGLSDYAYQSVYRVNVGGPLIMPQNDTLGRTWIPDKEFLKDENLAKDVKTTPSAIKYPPGITPLIAPQTVYATAAEMADSHTIAPNFNVSWNFPSNPSFNYLIRLHFCDIVSKSLNDLYFNVYINGKTAISGLDLSTVAGDLSAPYYKDIVVNATLMSPELLVQISPMGEDTGTPNAILNGVEVLKMSNSVNSLDGEFGVDGRTTGMGKHGMVATAGFVMMFGAFIGLGAMVYKWKKRPQDWQKRNSFSSWLLPIHAGDSTFMSSKGGSQKSNFYNSTMGLGRSFSLSELQEATKNFEASQIIGVGGFGNVYIATLDDGTKVAVKRGNPQSEQGITEFETEIQMLSKLRHRHLVSLIGYCDENSEMILVYEFMSNGPFRDHLYGKNLAPLTWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKSTNILLDDALVAKVADFGLSKDVAFGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMQWKRKGMLEKIMDPHLAGTINPESMKKFAEAAEKCLEDYGVDRPSMGDVLWNLEYALQLQEAFTQGKAEENENGEPGVVVPPADTTPITPAVTTNATAAASVPVASKVEENNGAAEPQAVDEHSGTTMFTQFASLNGR >A04g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4453297:4459528:1 gene:A04g501850.1_BraROA transcript:A04g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIGGGAREMGSRGASTPWQPIQLVFKRYFPQTLRAAVKKKAVVERLTRGLVETYKTCNPQFKYRGELNPKRYLTTPSVGVLNDGFDNVNSDLILAVNDDFCSSDSRHRYIVKDLLGHGTFGQVAKCWVPETNSFVAVKVIKNKPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFLHQRHLCICFELLDMNLYELIKINQFRGLSLSIVQLFSKQVFRFLEIVKPTEIKIIDFGSACMEVKTIYSYIQSRYYRSPEVLLGYRYTTAIDMWSFGCIVAELFLGLPLFPGASEFDILKRMIEILGKQPPDYVLKEAEHTNKFFKCVGSVHNLGNGGTYGGLKSAYMALTEEEFEAREKKKPEIGKEYFRHKNLEEIVKGYPYKINLPEDDVAKETQIRLALIDFLRGLVEFDPTKRWSPSQAAKHPFITGEPFTCPYNPPPETPYVHVAQNIKVDHHPGGGHWFAAGLSPHVSGITRMPMQNSPHFQMFPYSHANSYGSIGSYGSYNDGTIQGNSYGENGNMFAYYSPVNHTGLYMQNQGGVPMLGTSPDARRRVMQYPHGHGLGTSPSAGNFAPLPLVTSPSQFTPPNTNNQFFAGSPGHHGPTSPVRNSCHGSPLGKMAAFSQFNRRKGVGYSGGSQSQDFSLSRAQGHAIDNFNQSEGYSTHNISSSSLRSNTYNPSSTGPQLENPDNTLSVPDPGDWDPNYRQFEQYWNMHVTALDFSPLDELLLQEDSADESVIANAFSRSMQLGSADASSSRRFNSNAPASSSNLATQRRYGVNQAFSQVENGSPPSNDPRARFGQLMPGSQFTPHVSQNSPSRLGQQPQRVYHGRPNAGRPMDRNHINAQLPPSNSNYGGQRSPRSSSYTNGVPWGGRRTNNHHVPNVPSTSHGRMDYGSIA >A09p066950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52946858:52950712:-1 gene:A09p066950.1_BraROA transcript:A09p066950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIENLKENLNKIALDVHDDDEDEEDLHSYGSSNGFGDVSDSNRRNSNGFRSSRSVSRYPISNGIESPAHHEIERYKAEIKKLQESEADIKALSVNYAALLREKEDQISRLNQENGSLKQNLKEARTDISNAAKGNNVQSPTRLQKSLSNLKTRSHMSNGKGKDIDSQINEKDLADMLEDRTKSLAAVQAKELAKEREKLRDLQLSLQVFSLTLRSSEERKRSESFKEELESLRLDKNKTSLEISKVRTELDAKLLEIKQLQMKLIGQESHAVGTAMEHLKEVNKALEKENNELKLKRSELEAALEESRKPTSSRVFPDATESLTRHPSNLDKEKQESFPRKELEQSLQRLEKDLKETQRERDKARQQLNRLKQHLLEKETEESEKMDEDSQLIEELRQTNEYQRSQISQLERTLKQAMANQEDNRLSNDNQNRKLKETIDDLNLKLTNCLRTIESKNVELLNLQTALGQYYAEIEAKEHFERELLVAKDELMKLNGRLRDADERLKSSNKEKEDLTAKLVQAEKVAAEWKNRVSKVEEDNAKVRRVLEQSMTRLNRMSVESDNLVDRRIVIKLLVTYFQKNHSKEVLDLMVRMLGFSEEDKERIGVAQQGGGGKGVVRGVLGLPGRFVGGILGGKSAGSHANAAASDNQSFADLWVDFLLKDAEERERREAEEAAAATKAKQDSEKTRQDASLSSESEFSTVPLRSPESNQRLSRLLQ >A01p039020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14876127:14882754:-1 gene:A01p039020.1_BraROA transcript:A01p039020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMRQAAALLADEDPDESSSSSRRPATSLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIIIDLSREESLSSKAIILQIDNKSQQVSASALRHSLQDRLSKGATGRGRDEIYLKLRTSTAPPLKLIDLPGLDQRIVDDSMIGEHAQHNDAILLVVVPASQASEISSSRALKIAKEYDSDSTRTVGIISKIDQAAENPKAIAAVQALLSNQGPPKTTDIPWVALIGQSVAIASAQSGGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDTLASQIRSRMKLRLPNILSGLQGKSQIVQDELSRLGEQLVSSAEGTRAIALELCREFEDKFLLHLAGGEGSGWKVVASFEGNFPNRIKQLPLDRYFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKGRSSRKGQDAEQSLLNRASSPQPEGSSTGGSLKSLKDKFLPQDKDKDKDKETPEVSGLKTAGPEGEITAGYLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTVTLEECSIEEISDDEGEKSKSSKDKKSNGPDSKGPGLVFKITCRVPYKTVLKAHNALVLKAESMVDKNEWINKLQKVIQARGGQVGGASMRQSFSEGSLDKMVRKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRKRDRYEKQSSLLSKLTRQLSIHDNRAAAASSWSDSGTESSPKTNGGSTGEDWMNAFNAAASGQDSLKRYGSGGHSRRYSDPAQNGEDDSSGSGGSNRRTTPNRLPPAPPQSGGSSYRY >A05g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1249073:1250052:1 gene:A05g500320.1_BraROA transcript:A05g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR8 [Source:Projected from Arabidopsis thaliana (AT2G41310) UniProtKB/Swiss-Prot;Acc:O80365] MGMVRESQFHVLAVDDSQLDRKMIERLLQKSSCQVTTVDSGSKALEFLGLRESNDPNSLETHQVLCLEEGAEEFFLKPVKLADVTKLKPHMMKTKLKKEGEKVAEEENATSKPEESLVVEMILPLNQELELEQQEPMLSSNKRKAMEEVISADRSRPKYNDITTS >A06g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9886455:9888406:-1 gene:A06g503140.1_BraROA transcript:A06g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGGSRRARSSSSLTLKKSDKWYNLIMGDRPWGLHSWHRNILVDSMIILVTVMERWVVHYENPLIFSPQLAAEFVLIYKVSSFRDDVLSGDVKEFRLLLLVYLLVVFAPLLLDLSEFNLGSSLLQAQPLLFKVELVVHIAAFTDLQDITSHRTSRFHDGFGGDSHQLSPRFSPLGSPSTPRYVSGTMVDRNPLPPSWGVVESNLLSSSGSPRFGFSSRLSSADDLDDPDCSCPFDFDDVDESGLQYSKSLDRRKISSSISQSLPIGRKSQDAAVGVLVQMLKTAPDLSAYMASMSGVQGEGSVSGTESEFSMARSNIKCTGGTEKLQAAQRLASLFIQECWWSNSCPLSQKSKQDMS >A09g511630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34737988:34753909:1 gene:A09g511630.1_BraROA transcript:A09g511630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDSGDMESDDAADMDSEEDIEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSKARSDLSERPTEVAPEGRSDLSKRHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKFYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPERRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRPPEADYLLIFGSIEKYTRTLLRMSTCILSALISLRTGSTIFYTTTFVLGALKTPNINNAMVQLSPGGVHSSCCTTPEATCTLLRSITEEEKLAHTLSVLENKIF >A02p050760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31286570:31296217:-1 gene:A02p050760.1_BraROA transcript:A02p050760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAKHFSYNNDHEVVASCSLATRILKHPNNRFSLSTLSLGDDFLRSDSPGAQTACDGAYRRPEGPLSIALLVLLPPLHQSSYLRYARSRGLGFDAGECSAAEALLLRWSLRLSMVSASGLRVGGSAWLLRVNGGSPLQVQGVARLGIEFLGSRFFVELGFSFYWCGVCRWILLPRRRVVVAVCSSSSRRTSSSRFMICSPGLILSKVGTSCSVVEFSGFRLGISLVLHFMLAGASDGFSSSSFSSSVLDGYGRVMVLVSLTVTACVRSSLTSQHYMGLLELLVVVREAIVCRLGLGYGVQRLRPVFLGSAPCDLTLSASCSQCTVLEVKVIEGHGTTIDVVLVNCVLHEGDQIVGPIVTTIRALLTPHPMKELRVKGLEHAIAGTALHVTEPDDDIEAMKEQAMEDMESIMGNCLAKPPPVVLVPPLFDYPPLSARNRMLESSYDLLFGKLALKCLFEDYFEVKDADHFSAKFMLKPTDDPHVDLVASVSSAVDGKVEGDASFRWQRDLSLSKIKNLQPLSTSSSPICFGGCQPGAWSPEASPSPFPLFILLRLCPLSPTRRDAWSSGRSSAAGSLICSGEVRSVVDPVVAFGLEACGGVVDSLPVVVVFVVSKRVLRCGEEYRASLSFRLVVLSIGQSDSFLLREAAVCSLESVVYGCAPLILVAALPLLNHPHFTYQKTGTGSLYRRLVSLVRFWLGQSGLAGVGGSNLKFPSSQCVVVRARCFKLSGSVAAVASACSFRVAFHALCGVNYKPGFGGFARSRGKYLDLPSIARLALHDSEGYMLRGVHRELATPETTQEFPASEATRRTSHFCDSSSMVRTTTDLSVSTTNPVLRVRSSGFYPKYGIGAFVVSPLISKKNDKLSDEHGIVGLRYASTNLSLGAIVSPFSSNADPLNPHSSKGRMAKECMAGKKDGKTYSGSAIWPLCDKSKDTEKYRDLRNWSCAASYGLGSRSPLSPSFNVGLELARNSQFIASFYQHLVVQRQVKNPFEENKVVGITNYIDLGFELQTRVDDSNTSNSLSDSSLQMAASWQANKNFLLKGKVGALSSTLTLAFKSWWNPSFTFNITATNNHRTGRTACGFGLRVDNLREASYQRADPNFVMLTPNKEHLADGIVWKMGQRPMLQSDLDAENFKTTLNAFQPPQQFDAEGILVDEAAKERLKQVLALKQVLVSLKAFTFLLQDN >A03p056600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24491485:24493488:-1 gene:A03p056600.1_BraROA transcript:A03p056600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKIRHTEVCTQDGSVDRHGNPAIRAKTGKWLTAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQASFVAGLVMLSLSTGALLLEPSGCGVEESPCKPHSTVKTVIFYLSVYLIALGYGGYQPNIATFGADQFDADDSVEGHSKIAFFSYFYLALNLGSLLSNTVLGYFEDQGAWPLGFWASAGSAFAGLVLFLAGTPKYRHFKPRESPWSRFCQVLVASTRKAKIDVNYEDMNLYDSETQRTGDKKILHTKGFRFLDRAAIVTPDDEAEKVESGSAYDPWRLCSVTQVEEVKCVLRLLPIWLCTILYSVVFTQMASLFVVQGAAMKTNIKDFRIPASSMSTFDILSVAFFIFAYRRFLDPLFARLNKTEPNKGLTELQRMGIGLVIAITAMISAGIVEIYRLKHKETASNSSSLSIFWQVPQYMMIGASEVFMYVGQLEFFNSQAPTGLKSFASALCMASISLGNYVSSLLVSIVMKISTRDDLPGWIPGNLNKGHLDRFYFLLAGLTAADFVVYLVCAKWYKYIKSEASFSESIAEEEV >A05p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2143881:2144947:-1 gene:A05p005570.1_BraROA transcript:A05p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKVVVPESVLKKIKREEEWALAKKQEAAAAKKKSVENRKLIFKRAEQYAKEYAEKDNELIRLKREAKLKGGFYVDPEAKLLFIIRIRGYVVDLLLQKYVPKRINAIDPKTKKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVTYGYPNLKSVKELIYKRGFGKLNHQRIALTDNSIVNEALGKHNIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELVRRMN >A09p004330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2490137:2492168:-1 gene:A09p004330.1_BraROA transcript:A09p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQDVAPIPRRCDDDVLAPLLPTTQGDELAAHDEFNGASFSGAVFNLATTIIGAGIMALPATMKILGLVLGITMIVVMAFLSDASIEFLLRFSKVKESKSYGGLMGDSFGKPGKVLLQVAVLVNNIGVLIVYMIIIGDVLAGKTEDGIHHYGVLEGWFGHHWWNGRLAILIITTLGVFAPLACFKRIDSLRFTSALSVALAVVFLIITAGISIMKFINGGVAMPRLLPDVSDLTSFLNLFTVVPVLVTAFICHYNVHSIQNELDDPSQIKPVVRSALVLCSSVYIMISIFGFLLFGDDTLDDVLSNFDTDLGIPLGSVLNDAVRVSYALHLMLVFPIVFYPLRINIDGLLFPSAPSLTSSNVRFGCLSAGLISVIFLGANCIPSIWDAFQFTGATAAVCLGFIFPASIILKDRHGKASSRDTILAVFMVVLAVLANAIAIYSDAYALFKRNAPRE >A04p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19877074:19879044:-1 gene:A04p034260.1_BraROA transcript:A04p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQRLRALQSQPENKVCVDCSQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLKKMDSGGNHKLNTFLSLHGISKETEIVAKYSSDAASVYRDRIQTLAEGRQWRDPPVAASKKPPLSSKGDKNGGWDDWGSDDSVMRRNQSESDFRGVGTRGGALAKTRSSGDIYSRSELEASAASKESFFARRMAENECKPEGLPPSRGGKYVGFGSSPGPVTRRGDGGDVFSVVSEGFGRLSLVAASAANVVQTGTMEFTSKVKEGGLDNTVSETVNVVASKTTEIGQRTWGIMKGVMAIASQKVEEFTKEEASTWNQQNNNGSSDNKAATNSSSSYQNNYSNSSSWDDWGEESNNTKKEAASKVSQSEMVKEVVKLRKKQSMCVIRALSQRDRESREWLLQVCLFVYTLSIDWRFLN >A10p032640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19244799:19247063:-1 gene:A10p032640.1_BraROA transcript:A10p032640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSQMGQLVADKHVRYILMSEKKKESFESVVMDHLRMNGAYWGLTTLDLLDKLGSVSVDEVVSWLMTCQHESGGFAGNTGHDPHVLYTLSAVQILALFDKLDILDVGKNEDGSFSGDMWGEVDTRFSYIAICCLSILKCLDKINVVKAVDYIVSCKNLDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVGVCYSWWVLSSLIMIDRVHWIDKAKLVKFILDCQDLENGGISDRPDDAVDIFHTYFGVAGLSLLEYPGVKAIDPAYALPVDVINRILLTK >A06p049980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26335779:26337836:1 gene:A06p049980.1_BraROA transcript:A06p049980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILKRQYVPFSQQKNVLLEIRRSISLKFVNLCFRVCAWLRNLTLLKLCKRSEKKVDGMCNSHILSPANLNDSKDPFISKSIQISVLFVNLSSAEHEKTVEICCAGLVKYSAGKVLFHAYNVLSSRSQREASATRDMKLKVYSAVLSVFMLSVEAECVAWKAGSKPEITQSGI >A03p029620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12459480:12460935:-1 gene:A03p029620.1_BraROA transcript:A03p029620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYLCLSILVLLSPLFAPIHARNKSHSAMSPSPVATPAPGPSNSDCSSVIYGMMDCLSYITPGSNDTIPTKVCCGGILSVLQYNPKCVCVGLASSKDMGIEINSTRAHSMPTTCKLPIAAPHCAIPGASAPGASTPVSPSAGTPMTSPSSDESPTSPSSDESPTSPSSDESPTSSPSLAESPGMTAPSPSSSGTNQLSVSTLTLFAIIVSSITYIL >A07p000330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:748201:748818:1 gene:A07p000330.1_BraROA transcript:A07p000330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g48920 [Source:Projected from Arabidopsis thaliana (AT5G48920) UniProtKB/TrEMBL;Acc:Q9FI79] MADCVQFPFYPPPSDQHPHPSPFPAPPHHPLQPPPPSSHFVPPPPPTPHFPPPPYPRPHHPPPPPHVLPPPSPHFPPPPPHVLPPPPPPPPGHHSTVIVVVVVSLGGLFFLAFLAAALFCCVKKRRRSSQKTEITKFDEHLKVEEVIVPGPHGEPTRVVMLEEDIHLEADILKSEKLSRAPHLHTTGGHAIDISEPNHHLTEHKS >A09g514880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44290503:44293189:-1 gene:A09g514880.1_BraROA transcript:A09g514880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSLHSDRALARARSLRSDRAGRSLGRYVATELVRYVATELWLELGRYVATERDDRSRPSGTNARSLRSDRAGRSLGRYASSDRAWLSSVGLRSDRAGANDSVATILLRKNGTITSYSIGHFYENYIPSFTNSFGNTISEDFRVVIPSSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDFDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A10p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:723598:728547:1 gene:A10p001410.1_BraROA transcript:A10p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEARESDSVSHEPSTSKTPREGEKEDTKKEKNDEKTKTVPFYKLFAFADSIDVFLMICGSVGAMGNGVCLPLMTLLFGDLIDSFGQNQNNKDIVDVISKVCLKFVYLGLGTLGAAFIQVASWMITGERQAARIRSMYLKTILRQDIGFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFVGGFALAFVKGWLLTLVMLTSIPLLAMAGAAMAIIVTRASSRGQAAYAKAATVVEQTIGSIRTMIVEKGYTGGAVINVIIIVVAGSMSLGQTSPCLTAFAAGQAAAYKMFETIKRKPLIDAYDENGKVLEDIRGDIELKDVHFSYPARPDEDIFDGFSLFIPSGATAALVGESGSGKSTVISLIERFYDPKSGEVLIDGVNLKEFQLKWIRSKIGLVSQEPVLFSSSIRENIAYGKDNATVEEIKAATELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMVNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLRDPEGAYSQLIRLQEINKGHDAKTSPGSSFRASNLKKSMEGGSVISGGTSSVGNSSRHHSLNVLGLAAGLDLGGGSVSQRVGQEETSQEPVPKVSLTRIAALNKTEIPVLLLGTVAAAINGAIFPLFGILISRVIEAFFKPADQLKKDSRFWAIIFVALGVTSLIVSPVQTYLFSVAGGKLIRRIRSMCFEKAVHMEVGWFDEPQNSSGTMGARLSADAALIRALVGDALSLAVQNAASAASGLIIAFTASWELAFIILVMLPLIGINGYIQVKFMKGFTADAKTKYEDASQVANDAVGSIRTVASFCAEEKVMQMYKKQCEGPIKDGIKQGFISGLGFGVSFFILFSVYATSFYAGARLVEAGRTTFNNVFQVFFALTMAAIGISQSSTFAPDSSKAKVAAASIFGIIDRKSKIDSSDESGTVLENVKGDIELRHISFTYPARPDIQIFRDLCLSIRAGKTVALVGESGSGKSTVISLLQRFYDPDSGNITLDGVELKSLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGSEEAATESEIIAAAELANAHKFISSIQQGYDTVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIAEKGTHEKLIKIEGGVYASLVQLHMTASN >A02p009250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3909151:3909503:1 gene:A02p009250.1_BraROA transcript:A02p009250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMKIMFFAMLLILTFSIYVEGSDNSLCCNTHAKFGACKTQQERKRCKTWCLKGCKNKKGGFCKRLPRGAARCHCYC >A04g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2626337:2627218:-1 gene:A04g500980.1_BraROA transcript:A04g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIFGMRLRTKLKECLLLHYKVDIRVSPGSHADEHSVQIRAEGLRLNRRVGIEYLAVWLTRSGSVPRYNLMEDAAPAEISRVQNWQWIRYEVELDGDGLGVRVNKELFERVVEEEMERIEKEVGKDKFKKGMYKDNCKKFTKQCTASELDDFLTLAVYDHIVAHYPNNVSRP >A08g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6610300:6614935:1 gene:A08g504000.1_BraROA transcript:A08g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSDESLPPLPLPPRMFALGEEPEGVRKQLLHLHESCKVGVPPVPNVIVKTTMTLWTMIPRERNVSIRCVYTHVVSIISDGVELDNVEEETHIPGDEEDILVANLEKCIHDGFSFRKSHFLGGATLADVIQMGEEAAKENNTRKKNKRSVNANSADAADPDYVVSILKSSLSADLCRMEEEIKNLGQMFTKSQSQMRSYIQDMFDTFQRNISNMILTPSSGRHADPPHAHQAETTFSREKTTTEPNPGAPPNVPVATASHKRNKSTGRPGHFDPCGSIQDAIHFADHVAPLSRDVNMGDASLNEEGSPEKCNDGNNDLNPREEQVHPAYSSADGEAREVEEEDPEDAVDMINSPPLTQPAPLDLTEHANITTAGTGGSHAESAKVTSSTSSDSNPPTPNVIPQPSSCLDNAQSNLAFPKPTFSLGLTQEERYLSKTDLVDADESLEEGASISLNDDQEPFPANRKSKRQKVVPRSLVGDYQCDKRFLTRAWEAHVNAIHRGPVIDYAAKAGALAEKLQKEFVIDVSGQSLDSSDLSAILARSSHLTAKVMDVLIHHTRSLIEALSEERQPSSVVLLDTRTDGMINKEIRPISEMFPFLLRRAARQVFSKNPKALTIERPRIVPQNHTHFDSGFTSILLIQAHAVAGLDLCKCITPDVLDVEAQKTAVIVYEENVGVIGIHYCCVVTFEDLFSRSTSTTVTVVSTFQAAGMVVVVDGRSRGAYRNSAVAVKKQLSRLPGQQRTPGGSSTVVPTDLK >A03p028380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11916819:11919140:1 gene:A03p028380.1_BraROA transcript:A03p028380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 711A1 [Source:Projected from Arabidopsis thaliana (AT2G26170) UniProtKB/Swiss-Prot;Acc:B9DFU2] MKTQHLWWDVLNLFLVQNKAVVPFLSFIAVVVIVLYLYRPSWSVLNVPGPTAMPLVGHLPMLAKYGPDVFSVLAKHYGPIFRFQMGRQPLIVVAEAELCREVGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDKRWSKMRNTILSLYQPSHLTSLIPTMQNFITRATHNLDSEPEDVVISNLFLKLTTDIIGQAAFGVDFGLSGKKTIKEDSADSSNNVEVTDFINQHVYSTTQLKMDLSGSVSIILGLLIPILQEPFRQILKRIPGTMDWRVEKANTRLSGQLNEIVSKRAKERDTDSKDFLSLILKARELDSFAKSIFTPDYISAVTYEHLLAGSATTAFTLSSVLYLVSGHLEVEKHLLQEIDEFGGRDLIPTSHDLKYKFPYLDQVIKEAMRFYMVSPLVARETAKEVEIGGYLLPKRTWVWLALGVLAKDPKNFPDPEKFKPERFYPNGEEEKLRHPYAFIPFGIGPRACVGQRFALQEIKLTLLHLYRNYIFRHSPDMEKPLQLDYGVILSFKNGVKLRAIKRF >A08p035770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21080663:21081665:1 gene:A08p035770.1_BraROA transcript:A08p035770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESSDAGASKTYPQQAGNIRKGGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRIDYQLIDISEDGFVSLLTDSGGTKDDLKLPTDDNLSALMKSGFEEGKDVVVSVMSSMGEEQICAVKEVGGGK >A07p016360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10079039:10082096:1 gene:A07p016360.1_BraROA transcript:A07p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGVRSSSYGSLDKTGLNGVVLPIQTSTTTTIRTKPSKMHKEREREGVVHWICKFAGRKKVGMLLLFLISAVVFLRVLFVGKGEDGQEVQVPPSLHFNGSSVVNHSSMFNEEQNINIRNISFNVVFSPPPLHFLGYTLPQGHPCHTFTLPPPPADRKRTGPRPCPVCYLPVEEAIALMPSAPSFSPVLKNLTYIYEEPLNRDTEFGGSDFGGYPTLKHRNDSFDIKETMNVHCGFVKGPQPGRNTGFDMDEADLLEMKQCRGVVVASAVFGSASHAFDDVKAPQNISKYSEETVCFYMFVDEETESILKRERGLNDTKKVGIWRVVVVHNLPYSDGRRNGKVPKLLVHRMFPNARYSLWIDGKLELVVDPYQILERFLWRKNATFAISKHYRRFDVFVEAEANKAAGKYDNASIDFQVDFYKNEGLTPYSVAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVSMFLDCERRNFVIQRYHRAEQERFARQKPPVPSFSPPPPSLPTPVLISSDLPRKVSSGRAGRTSPPRRRGRDRRSGARGHRKANLPVRLPDSA >A03g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17321041:17322028:-1 gene:A03g504820.1_BraROA transcript:A03g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEEVVWTSRKSSGLLGSRLDFSERFGKFLIRWYSSSTNLKVDLLFLDMFSRSRFNMQVFQIWKTSGTTYLLVVWKSSGSRLDFIKIVRTSCKVVWKSSELPKSLLAKSSELPRSRLDFLEVVWTS >A08g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13169924:13178467:-1 gene:A08g507460.1_BraROA transcript:A08g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDFYTCSLIYVRNSPMDYDDSDFQNQNLHLAGEANTKFPPVSLPRFDFDEHLRFDSLVETEAFLGIEGNEDSNWIEDFSRGSSGVVFSSAATESCAISRHTNVWSEATSSESVEMLLNSVGQDDQVIVREKDNSIRKSGELGCTMDQFEPGLETILSKEETPTNPSVDDTPGDSCKTDAAQEQVPLKDDSPTLVEEEPEDNAILASNTAAVPVEVVDTACHDKIGTETTHSLLDQTVAENNAVLAHVSSAGLDSVGTETTDSVHNQTLTEEASMEENSVVLPSDTGTVEAVDTGGHANIRTETTDSLLDQTEDEANTESRMEIDCSHGTVQTGVSASGELNNHNQTTLLPEVFNDENDISDHTAKSDLKDMELSDVTVLERGDQALSALEVAEPDVSGTQCQDLPVSSANTSATVEASLELTGVLPNTTSSEHESTFQTQTHTEILRVETSESVNVSLMDSMVESTYGDVSTKGDNKEGSARISYLKQSMELAVNANDRDQDAKSSQVLSESFVSESVGYVSRDSASKLVESNSQSDTIPKEIPGTMIDIKECEAFPLKPEESQHLSQDGASAVSLTSSVDLHMVTTSSEANEQVNFFETEKVLSGEPENCQTVSPVEASNSGIHIAQQPSKHTEDTQQSTQFLHGCPTSEGSKDAVDADAAGQVLPQQCEETILEKNLTEVVNVPETRSILDKDALNENSKASSLANLRSEAVADCQEEDKTAASGRIMTSATSVSYPAGTMIDGKECEAFPLKPEESQHLSQDGAPAVSLTSSVDLHMVTTSSEANEQVNFSVTEKVLSGEPENCQTVPPVEASNSGSPIVQQPRKQTEDTHQSTQFVEGCPASEGPKDAVDADAAGQVLPQQCEERSLEENLTEVINVPDSQSVLDNDAINENPRASSLAKTATGGIKTVATPVSHPTDSWNKRMCTHPKYIPVLADIAGGVIEVGVSCASTSSEPFVKSHVTGTENAATDLGSHVISSPARKMTELQLNKTEDQNTLSLMATESPVLDRNPTSSSGLNLTSDTRKAVEISETTLVSPMVVGSLSKSSLEKTAAKSSKTKSERKPRRTPKSAGKETSRKGNSVKGAAPFQHFQSAGQANAVNQSSGSSIQITHSTEKQQSLQTPVLNSFGTLSAPTTSLPDMNSTAPSSIFRRPFTDSQQVQLRAQIFVYGGLIQGTAPDEAYMISAFGGADGGRGTWEKAWRACAVRAQRMRVSSPETPLQSRAGKTETPSMSHTSSKVSSATKPIIPLSSPLWSLSTPLETLQSRSIQRGSAAAPLPSSSHAHQAASVTNIGHNTAWMSPLPYPNPWLASPQTSGFDVGSRFPVFPITESVKLTPTKESSLPYSGGKHVLSGTSGNVFKGTQTIEPASTVVAPAQHSTGTKSRKRKKMPVSVESDPSILNSLQQTEVVVSPLVSISTPVPITAAPGSLTSNAGTLPSVDSISAVPMNLVSTFPGKKMKSSLQSPIFGGNLVSEVKQRSVLPADTIDKLNEVKMHAEDASALATAAVSHSEYVWKQIEQQRHAGLQPETQGRLASAAVAIAAAAAVAKAAAAAANVAANAAFQAKLMAEEVHKSNDVLTQGQGTPASVLKGEGAVVSSSPFLSAAREAAKKRVEAATAATKRAENVESIVKAAELASEAVSQAGILVSMGHPPSLNKLVEVGPSNYWRQAQESEKVQPCKVGVLEKETETTSDRGFASPSTAHTELDGSVRAADGLGLVSATGKKTNGQKGHISADVAKHTAVVFEPEVGSKSSIDTQTESEQIMKKTNDECIKEGSHVEVFKEGPELRTAWYSANVLSLEDGKAYVLFSDLSVEQGTDKLKEWVALKGEGDEAPKIRTARSITALPYEGTRKRRRAAIGDPVWKIGDRVDSWVHDSWLEGVITEKNKNDENTVTVHFPAQGETLTIKAWNLRPSLVWKYGRWIECSTSGENICSSHEGDTPKEKRPRLGAPSPVAEGKDTKMETVVDPDLGKPPQTGVLDLGVSETTFNIGRKEGNPGPLRMKRTGLQTQGAKVIYGVPKPGKTRKFMDVSKHYISEASNQTRKQKEPAKAVKPIVPQNPGPGSWRLPSKPREKQTTTTTKPKTFKPAPKTKEKPVAAPRIIPRKDSRSTTSSNMESEDAVGQSGENKGPASTSRDPAKGTGEEQITSSSQQGQDTCSQSSTTGKGKVAPTAGRLAKIEEAKALDDNSSKTSDGMEPRRSVRRIQPTSRLLEGLQTSMMTSKIPSMSHSRSHQSQRKK >A02p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9206369:9207357:-1 gene:A02p019770.1_BraROA transcript:A02p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G65690) UniProtKB/Swiss-Prot;Acc:Q8LD98] MSRHPKIHPDASSARPSAPLVPRAASRSEQGDPSKRTLTQRSTYAPLAPPRKRGRSCCCRCVCYTLCLLFLLVVAVGATIGILYLVLKPKLPDYSIDRLQLTQFALNQDSSLSTAFNVTITAKNPNEKIGIYYEDGIEITVWYNETKLSEGSLPKFYQGHENTTVIDVQMSGQSQDATGIMSTLEEQQQTTGNIPLRLRVDQPVRIKFGKLKLMEVRFLVRCGVFVDSLAANNVIKIQSSSCKFRLRL >A05p035310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20032390:20033343:1 gene:A05p035310.1_BraROA transcript:A05p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 31 [Source:Projected from Arabidopsis thaliana (AT3G22490) UniProtKB/Swiss-Prot;Acc:Q9LJ97] MSQQEQPRRPQEPVKYGDVFEVSGELADKTVAPEDANMMQAAETRVFGHTQKGGTAAVMQSAATANKRGGFVQQGDATDVAAEHGVTVAQTDVPGARVTTEFVGGQVVGQYVEPMPVGTTAATDAETLGLNLQSAITIGEALEAAVQTAGNKPVDQSDAAAIQAAEVRASGTSVIAPGGIAASAQSAAIHNATVDRDEEKIKLVDVLAGATGKLQADKAVTRQDAEGVVSAELRNNPNLTTHPGGVAASVTAAARLNEKADI >A01p012570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6168069:6172320:-1 gene:A01p012570.1_BraROA transcript:A01p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20060) UniProtKB/TrEMBL;Acc:O49433] MEKVSAACAMDWSIKLEKALRSKNPVRAVEAILETGEKLQQWSKEPEPGTAVYSLFGLVPEEDRLFYNTILLRLVDAFCYGDKLVKVAVVRVFMSVFKLSRGKSKSECGTWFLSKAKVYNHLEMLKRVKSVYDKGDTEAKALALILFGCWRDFASEFAPVRYLIFTSMVSSHDLEVRSSLFAAGCFCEVADDFALVVLGMLNDMVKFPELMPKTRLAAVRVFAKMGSSHAIANRAFKICITLMMESSKDDSLVPFLVSLTKLASRYSHLASELAKVIMPFLGEDKSSHVPAAVLRCLQFLIKRGMCFSLVHVTDTAKFSSLLKQAELSPDMQLKALQIFQKILVYKLCLADASELNQLVALVENASQSQIFSSSCLAISILVGIWKEIVRTAEIRSIDVSSTSLPLQLVVLIMDRLTLLARSCSDPCQVDFALVTEVQDLFNVLHLLVGKQSELRLLVLDKVRLFLVNLTDGVRKPDGAHELLLGVINYKSKRVVVVRSELLASVHKFLIMFLENLEGDKTVLSQVYEKVKRITECVRSCTFFDCHTQMIFTLLLHSPVLWGSNNDADGDSCVSLVDDMFNYGIVSLECSTQILEERNYWPAYRAGVYAARLGAWVTSALIFDKLKTNVQSDINSFWLKSLTYLSHAEGKLQLLLMPKLVSWLRSNSYLPELSKDASGGFAHCVALHEAYMNLQSSLGMLGNIKASSEVFCFQTWFLVLKTRVMETVIDLVECLGLLNQDVCNKKQVTGCNSLKQLPRISIQLQKLAKEFDMLETCFVDIDSSSSSIISTLSLSCSVLAFAAGVVIFIPRFSCHETLPPFTSQSGVCSRLVQDLVQRLWKVDPKICEMLSMLVKENESQSCSHVQPRNQVLKVCNKVKMLLSVCRDVLACIHGLQNWPNSMQEEDIMSEISKSCRNLLSQAVMKWMQIPFGIPKYFFNIRPCVGAELFALSSDRSKSTTETISVEQGFQLSLDLCLQLKNIQQPRVPVRLTKLYCLLYTKLAYHTPIQHGETTRNQKSYSPWRDEDLVEMSGKLFHHAVTKSGKKPEVSGRFDWSIGVSAVVQFQPNERGQGFSSCLLDVSRFPIGSYQIKWLSGCIDQHGSYWNLLPLSGKLVFTVKKAS >A09p057380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48439182:48440528:-1 gene:A09p057380.1_BraROA transcript:A09p057380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWNNRSRFNRHRSPSRWYSERPSSSSGYGEDDGIPVWEKRFCEVIGSVPWRKVVEATNFKSWYTGNVITWDDSACQETFHNEKKRFWSKVNGVHCDLSLPDPDLYVSDVDWDTTVDPELISDLEGAYFAPPDDEVKSGLKRGRRDRSWSGCSNLVPIEEARMLKIPWEGSEEVRDVDGFVKKGNGWNVAESSSDHPSDRTRPWEAKPSFGNEKANDTMSGDCLWKEIEWKTEDKGNDRWGQGNDGWDTSGQQNKKAKGSESVPAREDEMLDNPWEAKLSCRKETAEDTNWGGWSGKGWDDRGCGSGGWEKRDLEMNEWRRTRCSQDYREPRSYNPWKAGFGPDNTARREYGANAGGWQTRRVSDTNRREWDVKRSSDGWGRRNRERDELYGYNSNYRNSRPIRDDYQNRKVNFSSK >A09g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:772292:774399:-1 gene:A09g500190.1_BraROA transcript:A09g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAISNHRITKTLMTLLIINFLYQIQTTSAVTNSNSYSHFSRFTRHQSSSSRTKQGFLASIQESMNHALRARSLAFNLTLSHRTAELHIVDPIHDCLELLDDTLEMLSRITSSDDEEDVHTWLSATLTNQDTCEQSLQEKSNSYKHGVAMDFVARNLSGLLTNALELFVSVKSKPQRLLSEHEHFPRFVTSSEDWRLLEAPVEELKIDAVVAADGSGTHKTVGEALLATSLSSSGGRTVIHLKAGTYKENINIPTKQKNVMLVGDGKGRTVIVGSRSNRDGYTTYKTATVAAMGDGFIARDITIVNSAGPSSEQAVALRVGADKSVVYRCSIEGYQDSLYTHSKRQFYRDTDITGTVDYIFGNSAAVFQSCNIVARKPLSGQTNFVTAQGRSNPGQNTGISIQNCKITAQSTTYLGRPWKEYSRTVVMQSFLDGSIHPSGWSPWSGGFGLKTLFYGEFGNSGPGSSVSGRVKWAGYHSSLTVKEAEGFTVANFIGGTMWLPSTGVSFDSGLVK >A01p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1042946:1044265:1 gene:A01p002270.1_BraROA transcript:A01p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGSSSAGRTPTWKERENNKKRERRRRAITAKIYSGLRAQGNYKLPKHCDNNEVLKALCLEAGWIVEDDGTTYRKGFKPTPTNFSTNSSIQPSPQSSAFPSPAPSYHGSPVSSSFPSPSRYDQNPSSYLLLPFLHNIASSIPSNLPPLRISNSAPVTPPLSSPTSRGSKRKLITEQLPNGGSLLRHPLFAISAPSSPTRRGGHQTPPTIPECDESEEDSVEDSGRWISFQSTAPPSPTFNLVQQTSMEIDMKRPEWGMNARGSEFEFENGTVKPWEGEMIHEVGVEDLELTLGGTKTRC >A09g511930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35200594:35201757:-1 gene:A09g511930.1_BraROA transcript:A09g511930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PIVGHTADEREDSMSIAKPVTPTLKKKKKNKNKKTRCHTADEQEDSMSIAKPVTPTLKTNLKKQTNKNKKTVSLLSRTVLHLCSSSKTNLKRQKILKESKANLKKQKKTERERKKKEDSCCFGCEEAYQNNDETIFVKGFQHLRPRDEIKNELSNIFGSCGKIISVFVPMHCGTCVPLGFAFINLLNGKEKALKLNGSYMGGRKLKVMIATDSDEYFGFDDFDGCDLCGGPYRPRSRRPIDIDKVSFELYY >A07p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17966643:17975342:1 gene:A07p032860.1_BraROA transcript:A07p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGTAVWDPWLIVGQIICLQCSFYLSLGVFMILFLGLRVPRLSLVYFFDYATLTTSTVTGWCVIASFLFTSLAGAVYMIFVVERARKCLDFSATLYIIHLYLCILNGGWPSSMAWWVVNGTGLAVMALLAEYLCIKREQREIPMDRFHSIIWKKQTNTIRLSNECFNVASCVNHPTRKFLQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTMVKSFEVTELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKIKVFEAHADYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKDWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRSIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKTCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGHMKGSHRVVIGYDEGSTMVKLGREIPVASMDNSGKIIWAKHNEIHTVNIKSVGTDEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSEGEHAVRESSSKIKIFSKNFQEKKTVRPTFSAERIFGGTLLAICSSDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAITSDSSFYILKLNRDIVSSYMDGGKEIDEEGIEDAFELLNETNERVRTGLWVGDCFIYTNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNIIGYTLLLTLIEYKTLVMRGDLEQANQVLPSIPKEHHNSVAHFLESRGMTEDALEVATDPDYRFELAIQLGRLEVAKDIAVEAQSESKWKQLGELAMSTGKLGMAEECMRHAVDLSGLLLLYSSLGDAEGLTKLAAIAKEQGKNNVAFVCLFMLGQVEDCLHLLVESNRIPEAALIARSYLPSRVSEIVALWRKDLTKISPKAAESLADPEEYPNLFEDWQVALSLEERAAETRGVHPPAGDYGSHTHRDYTTLVEAFRIMQIEEEERLEHGDVLEEVGGDGEEENQEAEEESGDGKEENAEEEGVVVDADSTDSAVLVNGNESEEQWGIFNTTAGVGFVKTYILGSEEGNEEQQRERREDKGEFHCHCQKLISAII >A09p006660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3493480:3494160:-1 gene:A09p006660.1_BraROA transcript:A09p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTKDLSQKEKKSTRKMFYMFLWKRRVWLGTFNTAEEAARVYDQAAVLMNGQNAKTNFPVIKSNGSVSPDVNTPLSELLNAKLRKNCKDQTPYLTCLRLDNNSSHIGVWQKRAGSSSSQNWVKLVELGDGVSASAGDTGTNKLKKGNDDVEEEDQMSMQMIEELLNWTCPASASVSGLS >A03p021840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8930654:8933066:-1 gene:A03p021840.1_BraROA transcript:A03p021840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQLLMLGIRASFHTLSAVLVAGVIFTTVFLSRNVLPKEHPQSDGAPNVEDGRDCNLFEGKWVFDNESNPIYKEEECKFMSDQLACEKFGRQDSSYKFWRWQPHTCNLPRFNATKLLESLRNKRMVYVGDSLNRGQWVSVVCMASSVIKNPKLMHMHNNGSNLISFKSLEYNATIDFYWAPLLVESNSDDPTNHRLPERIVRIQSIERHARHWTESDIIVLNSYLWWRMPHIKTLWGSFEKLDGIYKEVEMVRVYEMALQTLSQWLEVHVNSNRTKLFFMSMSPTHERAEEWGGKTNQNCYGETSLIDKEGYNGRGSDPKMMRVVENVLDGLKRRGLNMHMINITQLSEYRKDGHPSMYRKQWEQLKEDLDPSSYSDCIHWCLPGVPDVWNHLLYAYIVDNHHST >A07g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4275634:4276956:-1 gene:A07g502050.1_BraROA transcript:A07g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEKRVQRSAYESINEESSKKENVTEKTLDQITDVWQGIQLLGSDSVAAMELLRRSVIGDELTENEKKSLCRNMIDLASVVPIGVLMILHVTPVCHAAILATIQRYVPGLPLIQRNGAKCKDVESMRKTTFIYDSRLRVNTLMQRQQI >A05g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5413226:5414385:1 gene:A05g501520.1_BraROA transcript:A05g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRLIPSIEAPHYEFFNALFASARSVRSSINSPDSSVVEARLLLFWEATFLHAFPNFQSRLLQSSISQPPLQVSPVDIRFIDLTKFVEIPLGPSPLPLELFRFMNNSWHWQTQIPNFQASQLHQKLDDVGVKPKVIIAMSTNQMLKVCMSINSIALAEMEFPQAYLEALPENGRPCLGDFLIETSRLITSQQITCLESRDISSGHPQYF >A09p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8717873:8718979:1 gene:A09p016620.1_BraROA transcript:A09p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMKERSSNTLASQPKPAIILPKTVEQARLTYLERIGLVSDLKHIDLLFLANQASVAFFGEVVVAPEESLTGRVEKHAWKQREAEEAKHPSNGCREEKRFEKKYVRRKKMVSSKEHMEPTSTSNLVQKSSSLITMDDDAQREQGLYNNGETHSKSEQAEAAEGQGRCSGIIKNKFKGDDDQEEENIISEAGLNQAVLESDILGEEMIASESEEFLPLLEEAETEEEDVSNASRCNQWWNFKTRPRTSRGVRRHVQWSNKRKRNCMSFEETQRNRKILILAVEAITHQLDLLDVGMEEKSSYK >A01p049930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28035601:28037902:-1 gene:A01p049930.1_BraROA transcript:A01p049930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPPKNSSLLQEENTERLKNREPLLRFKKIVERVRAGEEEEEEESNKFRMFDIESMKDQNSSSRQVKKWNSDYALRLEDPDIDDETVFKKTAALSVMPILQPLVKDKTTQMSEATDEELQEEAGKEDNICIHVYNHYDSVIFEVSCVVPLIRENSCASSYTFVTEKEQMKEKFAKLLLGEDMSGGGEGVSSALALSNAITNLSASAFGEQRRLEPISEDRKERWRREIGWLLSVTDHIVEFSPTQQTNKDGSSIEVMTTRQRGDLVSNIPALKKLDMMLTDCLDSFKDQDEFYYITTDSPESLTSNSTTNDDKWWLPTVKVPPTGLSETSKTFLLSQKECVSQVLESAMAINAEVLSQMEIPESYIDSLPKKGRVSLGDTIHRMLTLDMFDAEQFLLEMDLSSEHKILELKNKIEASVVIWKRKIVQKDNKSSSPFSTNLSMEKRQLLEERAATILFLLKQVFPGISQSTLDISKIQFNKDIGLAILESYSRVLESLAHTVLSRIEDVLEADQLTQESEVAVCKRDIVKEAESPKKEEGKDFCLLEERPKKSKSTISLSQVMQWNVEDHEQPKKEKNETPRKKLLTRVSSMINKKTSSYLESLGTTKSPKAWRYS >A01p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2021949:2023926:1 gene:A01p004710.1_BraROA transcript:A01p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLSPTLTEPMLISSMCSISATVAPPSLYSISLIHSPIKQRKAQSLRLRASSASLSIDVDVVTIPKKVLVPIGYGTEEIEAVVLVDVLRRAGADVTLASVEQKLEVEGSSGTKLLADVLISKCSEQVFDLVALPGGMPGAVRLRDCGVLEKIMKRQAEEKRLYGAISMAPAITLLPWGLLTRKKTTGHPAFFGKLPTFWAVKTNIQVSGELTTSRGPGTSFQFALSLANQLFGETTAKSVGELLLLRDGYQNPKIEEVNIIDWSLNHTPRVLMPVANGSEEVEVVTISDVLRRAKVDVTVASVERSLRITASQGTKIVTDKLIGEAAESAYDLILLPGGRAGSERLQKSKFLKKLLKEQQEAGRIYGATNSASTVLHKHGLLKEKRTAVYVSDTDGPASDQMIEGAEVVIDGNVITSLGLATVTNFSLAIVSKLFGHGRARSVSEGLVHEYRGNLKAS >A07p046210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24974366:24977039:-1 gene:A07p046210.1_BraROA transcript:A07p046210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAGFAFVTGFLLGLLAVVAAEVAAFLYLVKRLNRKQESSSDPTSKGSDPPPLEPIDFNLNKQGVIWVLELDESLKEKLPKETKKRNDHVEVHPVRKFARIKDHKLILTDTDSNKTVITLKGCSVEAVSGSQLPTRKWAKRFPIQVESKTSDLYKGNRVFYIYLETSWEKESWCKALRLAASNDQERFIWSTKLKDEFRSYMASLNAAYPSFMKPSAGFSFESLDKGVKIDAPSSKVRLFWKKFSKKCSTKVTASTRPYQDSHSNGSSGKSNPARKIRDTIPEETDVGAFSRSWSHASDVDSEEKFFADEGTLAWNLLISRLFFDVKENTGLKNAVHERIQRVLSNMRIPSYIGDLICCDVKIGSLPPYIHGTRILPMEMNGVWAFELDVEYTGGAGLEVQTRVDAREEDLQIAEGKLQPNSSGDVPPEFLEGLADFEKQLSVPGGTGDGQDVKVDEPKGSKGTKAGPNNGSKWKSILKNIAEQVSQVPITLSIGVSSLRGTLRVHMKPPPSDQLWFGFTSMPEIEFDLVSSVGEHKITNSHVAMFLINRFKNGIREVMVLPNCESVTIPGMIAEKDDWVQRSVAPFMWLNQDSTSDHDSFEAKGEKPTNGEQTKKATNVPQKPRVEEEPVGAPPPASSSAGLIVESDKSLEELKTPLLESNEKVETVARGGNDGEIVPVSVQPPSRSIVPNEEVDSSFKGKKMGTRERMFDFRKKVGEKFEEKKRHMEERSRQIVEKMRGP >A09g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12939364:12939609:-1 gene:A09g504120.1_BraROA transcript:A09g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVTFNLVHLSVREGRKLGGLCKLFLTNPIFKKCSPGKPISTGLSSRYHCGFNFAASVF >A03p005410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2256905:2258621:-1 gene:A03p005410.1_BraROA transcript:A03p005410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITRNTVTRLPLLLQSQRAAAAVSHIHTSLPALSPSTSPTSYTRPGPPSTSSPPPPGLSKTAELVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWNK >A09p071530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55168869:55169675:1 gene:A09p071530.1_BraROA transcript:A09p071530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEP2 [Source:Projected from Arabidopsis thaliana (AT2G21970) UniProtKB/TrEMBL;Acc:A0A178VQ84] MAMATRAIRCQLPSLLTRCESSEPIKQIQIQQRPRGGDLAENGKIVLQPRLCTLRSYGSEMIVAKKDGGGGGDEGSEVELASPFFETLTDYIESSKKSQDFETISGRLAMIVFAATVAEEVVTGNSLFKKLDVEGLSEAIGAGLGAMGCAAVFAWLTISRKRVGRIFTVSCNSFIDSLVDQIVDGLFYDTKPSDWSDEI >A09p075370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56802657:56804420:1 gene:A09p075370.1_BraROA transcript:A09p075370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKREIEREEKMTHADEMMFIELPAPSSWTKLYYPELAGSPRKTKIVFVAPTGERISSRKQLEKYLKAHPGSPVISEFDWTNGESPRRSSRISQMVKATPTPTPDEKEPPKKRRRSSVSKKGSKGAAAAEQNEEAQEGEVVAEENVEADKNGEAEESAVKAEAEKEGEVVADEKEPMEVDTSEVVKKAEGEGTAEEPPKVEVLKDTEMKEPVEAVAAEVNGEEKAAEEETENKELTFGEPKLAADAEADKGNETKEAEEKKEDGEPKLDADAEANKVNGTEEAEEKKTEAVATITEGDEAGTRKEFVVV >A04p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10607111:10608849:1 gene:A04p017310.1_BraROA transcript:A04p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRSYYSNVRHHQKHHHPERTRWWWLLLPSLFFLLFLTTGPRFLPFTRPVLLTGSEPPSFVESKIKPKPVSSLPAPPRFAYLISGSAGDGKSLRRTLLALYHPSNRYVVHLDRASSEEEREDLNGYIRSSSLFRRFRNVDMIEKANLVTYRGPTMVANTLHAAAILLREGAEWDWFINLSSSDYPLMSQDDLLHVFSHLPRDLNFIDHTSNIGWKASQRAKPVIIDPGLYLNKKSDVFWVKQRRSIPTAFKLFTGSAWMALSRPFIDYCIWGWDNLPRTVLMYYSNFLSSPEGYFHTVLCNAEEFKNTTVNSDLHFIAWDNPPKQHPHHLTLADMDRMVNSNAPFARKFRREDPVLDTIDEELLNRGPGMPTPGGWCIGSHENGTDPCAVIGDTDVIRLGLGARRLETLVTSLLSPENFRPKQCK >A10p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22469036:22471150:1 gene:A10p040860.1_BraROA transcript:A10p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSDLRGFLKQSQKAAGDGRSSSYRLLNSGSRKECDEVVKYMSKLPRYLQGESNVLNVGVLDWSRLEKWQKHGRAKGAADTCEERRVSSSTTLGTLPNASSIGDHHQVLAGSNLRKKVKASRDLQLGVSCTLEPPEFASSSRDSPNKLEMPTSIYNKSRRSRSSSGGSLAGAKGNLMVRDKQTEKRAQEEAGESGKQCVDELIGEEEDKTVVGSNVHDIVLLRSRRSTLSKVAAASEALDFSSLDLGSRAPPPPSSPLSFDFGRDSEDIMVLPLLAKKEPSRGTTRHSKTASSTIFDQQDKIRDISPSKRFSFSFGRLSRSFTFREDSSATESMRFDGLACPSHQSSNTEEEKHNTHAGGSRVSPLRRLLDPLLKPKGSTSSNQDEKKKQSRTRALLQLTIRNGIPLFQFVVDDDNHNNKSRSILGATMKSSASSYKDEDSAKFVYNVIGEMRLCNSNITELKPENISYATRESVLVDETEEQVKGRKEVAAVVIKKGETTVIIPGGVHSIPEKGEPTPLIRRWRSGGCCDCGGWDVGCKLHVLSTNNTLFLHGFDQTFTLFDQEESDKNTSPVLAMRELKTGMYRVEFGSFLSHLQAFFVCVTVVTCASEEEKVSKTTGRSSSPFAPPLSPVGRA >A07p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:650565:657109:1 gene:A07p000520.1_BraROA transcript:A07p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLKNSENGSSNNALKPMDSEQLREYGHRMVDFIADYYKTIETFPVLSQVQPGYLHNLLPESAPDHPETVEQVLDDVKAKILPGVTHWQSPSFFAYFPINGSIAGFLGEMLSAGLNTMSFSWVASPAATELEIVVVDWVAKLLNLPEQFLSKGNGGGVIQGSACEAILVVMIAARDKVLRSVGKKALEKLVVYSSDQTHSSLQKACQLAGIHLENCRVLKTDSSTNYALRPESLQEAVSKDLEAGLIPFFLCGTVGTTSSTAVDPLAALGKIAKSNEMWFHIDAAYAGSACICPEYRQYIDGVETADSFDMNAHKWLLTNFECSLLWVKDQSALTEALSTNPEYLKNKASQANLVVDYKDWQIPLGRRFRALKLWMVLRLYGAENLKSYIRNHIKLAKIFEQLVSKDPNFEVVTPRIFSLVCFRIAAVDNDEKKCNNLNRSLLDAVNSSGKLLFSHTILSEKFVLRFAIGAPLTEEKHVKEAWKVIQEEASFLLSK >A07p009830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6114828:6115094:-1 gene:A07p009830.1_BraROA transcript:A07p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDRPGDQLGHPPSWTSPVRRMAELDRPRDHLGHPSSWIDQVTSSAIRRAGLVQFGRWPSWNERDVLVPSAKLLPRDLIKFALVSL >A02p058580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35125641:35127604:1 gene:A02p058580.1_BraROA transcript:A02p058580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRPAFKCFDDDGRLKRSGTVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMFLFSFVTYFSSTLLSDCYRTGDPVSGKRNYTYMDAVQSILGGFRFKICGLIQFLNLFGITVGYTIAASISMMAIKRSNCFHESGGKNPCHMSSNPYMIMFGVTEILLSQIKDFDQIWWLSIVAAIMSFTYSAIGLALGIIQVAANGVFKGSLTGISIGTVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVRSPPAESKTMKQATRISIAVMTTFYMLCGCMGYAAFGDAAPGNLLTGFGFYNPFWLLDVANVAIVIHLVGAYQVFAQPIFAFVDKQAAARFPDSDLVSKEFEIRIPGVRAPYKVNVFRAVFRSCFVVLTTVISMLMPFFNDVVGILGALGFWPLTVYFPVEMYIKQRKVEKWSMKWVCLKMLSGGCLVITVVAGVGSVAGVMLDLKVYKPFKTTY >A03p022300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9368595:9370255:1 gene:A03p022300.1_BraROA transcript:A03p022300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKTTCQAIKSYNLQPETRFGPEMMMMMKRTKPKRKLKDSSVSQSGKTQSTPSKHDLVVKGTGRSPNYMKGTSSSEARIENKRIFNQKNQTGKKERSNNKPGSRIVRGLTKTPSFNRCSQRATCSSTLKDSKFPEYLMVNHDGTDDGEVSGTSVLRVCPYTYCSLNGHLHKQYPPLKSFVSSRRQSLTSQKSVKKEDVVEMYVEEKKECESVDRGTFETQISETVSEGAPRSETDSDEYSDTAEIVKFSEGDDDIESQESDLEETLVQKTCEVDDDSDSAEMVRFLEGDHDIELKENVLEETMADDSVKEIEKKENIEEDVDQSCSFNSEAIGMMMNSEADDADEETLKDSKEESQDQTEAVPTLEETAKIPYNRKQRPCTQEEESDSTVSWTIIKCKKPVAKTEDLREFNPREPSYLPVSVDADAEKVDLKHQDMDERKNSEDWMFDYALQRAVTKISLARKRKVALLVEAFETVKPIKQHGREPDPVLSYGRHLQTCSQRMIEGEEKWLLINNLYVKNQ >A08p013880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9318023:9319437:-1 gene:A08p013880.1_BraROA transcript:A08p013880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSYAERREKRTKRRHDEAGTSIQHRDPWPRDDKTPIDTFEEFADPKKALCRIYGLHEDAAAIRFPPFLGLDRLWEIIGTGAWNSNSATQTDIRHPTLRYFLRALANTLVCKMEPNKIDKLRFITDPQLLRDLTAIPRRPLGGDFQRVVLDVLRAIWARVSCTSRRTIRAHSPAAGRPSRQRMDPSSGSDDETGEDTN >A01p000180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:78895:79212:1 gene:A01p000180.1_BraROA transcript:A01p000180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRSSKVTQTAMLKQILKRCSSLGKKQCYDEEGLPLDVPKGHFPVYVGEKRTRYIVPITFLSHPEFLILLQQAEEEFGFHHDMGGLTIPCEEVVFLSLTSMIR >A09g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:668301:670220:1 gene:A09g500080.1_BraROA transcript:A09g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLSRPVPSRPSAGFSSGGTRRDRPARDAKTRSGGGSVKQRTSGGGLVKNRSYNGGSVKKRRSGGGSVIIYGMQW >A03p012970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5113791:5117251:1 gene:A03p012970.1_BraROA transcript:A03p012970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFIIFSLFLHSCVAAPQTPTAAAAVPAKKWLTLNGQEPGVVARGGFSGLFPESSASANAFAISTSSPGLTMLCNLQMTKDGVGICLPDIRLDNSTTISTLFPKAQKTYKVNGQDLKGWFALDYSADTIFSNVSLVQNIFSRPSIFDGQMPISAVEDVLGTKPPKFCAAEYLKSLRFRGITFISSPEIAFLKSIGMDAGMSKTKLIFEFKDPEAIEPTTNKKYSELLLDLAAIKAFASGVLVPKDYIWPLDSANYLKPASTFVSDAHKAGLEVYASGFSNDLRTSFNYSYDPSAEYLQFVDNGQFSVDGFITDFPPTASQAISCLSHQQGNLPKANHALVITHNGASGDYPGCTDLAYQKAVDDGADVIDCSVQMSKDGIAFCHDSADLTASTTAMTTFMARATSVPEIQPTNGIFSFDLTWAEIQSLKPQILSPFLAKGGFQRNPSNKNAGKFMTLADFLEFSKEKAVTGVLINIEANTTFQKYTFQDNFTDDGLFCLQNAAYLASKKGLGIVDTVQSAITKSTLDKQLTQKVLIQSDDSSVLSSFAAVPPYTRVLTIDKEIGDAPKPSIDEIKKHAEAVNLKRSSLVTTSKNFATGKTNVVEEMHKGNVSVYVSVLRNEYLSIAFDYFSDPTVEIATFIAGNSVDGVVTEFPVTATRYLRSPCSDLNKEQPYAILPVEAGALLSVAAKEAQPPASAPNPPLDARDVIDPPLPPIANIVSANASGEAPGHSGTIATTADLGLSWLAVLVLGLLFAAG >A07p025590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14710813:14711615:-1 gene:A07p025590.1_BraROA transcript:A07p025590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSSATVVSSPAQAAMVAPFTGLKSSAAFPVTRKTNTDITSIASNGGRVNCMKVWPPVGKKKFETLSYLPDLTDVELAKEVDYLLRNKWIPCVEFELEHGFVYREHGNTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKTEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTGA >A02p038180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24877999:24878733:-1 gene:A02p038180.1_BraROA transcript:A02p038180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKFGVLMFKEIIERLKSFRVRNITFFFVFFLQSFEGKKENVRLLGKSSKRRLLEKTFKRRLLGKSKRRHLGKWEP >A03p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2823343:2828901:1 gene:A03p006740.1_BraROA transcript:A03p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEAVITSIQGLSASSSDLYALHDLLKGAVDSLRTDSELQLSTLDQLDPSTHSLGYLYLLDVLTYGPVSKEKVLLIARFINACDAVQIRLASEKFVSLCKRFKDKVLELRDPLLGVAPLLAAVRKVQVSTKRLTALHPDCLQLCLLAKCYKAGFSILSDDILEVDQPREFYLYCYYGGMICIGLKRFQKALELLYNVVTAPMHIVNAIALEAYKKYILVTLIHSGQFSISLPKCASTTAKSSFKTWCTPYIEVGMRYNDGKISELEAVLVANSSDFEKDNNLGLVKQAVASLYKRNILRLTQKYLTLSLQDIANMVQLANAKEAEMRVLQMIQDGQIHALINQKDGMVRFLEDPEQYKTSEMIEIMDSVIQRTIGLSKNLIAMDESLSCDPLYLGKVGRERQRYDFGEDFDTVPQKFSIHRTRNWASSILNLSNKFDLSLRSISTRRRDLRSIPMPSVAVKLYSVFFKLLLKHRLQSLISISPDHAPPDSFGVSTRSDESVAAANPSFTDGVATKDIHIDPMTSLTVRIFLPESALSPTEPRSDRRHSLTPLNHSSPAPEPRRNSYGSTNSENLESYGGYAPSPKRSSRKLPVMLQFHGGGWVSGGSDSAANDFFCRRIAKVCDVIVLAVGYRLAPENRYPAAFEDGVKVLHWLGKQANLADCCKSLGNNNRRVNGVELKKVNVQGHIVDAFGASMVEPWLAAHADPSRCVLLGVSCGGNIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGNNPTQSEIKLANSYFYDKPVSVLAWKLFLPEKEFDFDHPAANPLAHNRSGPPLKLMPPTLTVVAEHDWMRDRAIAYAEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >A07p022640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13198111:13199415:1 gene:A07p022640.1_BraROA transcript:A07p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWWRGVRSAAEAANLPAAYSFGRSIRCLHQYETIQAIPREATGRRVSARDRTIGRIPAVVFTQSLLETDASKRGVSRKQLLTADRKQIKSIVDSVGLPFFCSTTFKLQVRAGQGSSSLVESGRVLPLKIHRDEESGKILNLVFVWANDGEQLKVDVPIVFKGLDDCPGLKKGGNLRSMRSTLKLLGPAEHIPSKIEVDVSKLDIADKVLMQEVEFHPSLKLLSKNETLPVCKIVATSPVKEPEAVQA >A06p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18884700:18886431:-1 gene:A06p034830.1_BraROA transcript:A06p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNIKELSLDSLPVGLRLRPTDEELVRYYLRRKINGHDDDVKAIREIDICKCEPWDLPDFSAIKTKDSEWLFFSPLDRKYPTGSRQNRSTIAGHWKATGKDRKIKSGKNNVIGLKRTLVFHSGRAPKGTRTNWVMHEYRATEHDLSGTNPGQNPFVICKLFKKQDPSLVDEAVSSPTSSPDETKVVVEAQGVKPESSLVISGDSHNGAWNEATTSKLGDLDWLSFPELESLTVFSPLHELGSSSSSFNAFLQPFSGNHDNTFQIQTQYGTNEEDTDVSRFLNSVLDFQDDLEDQDFVFPSEFDGAVPDQTAPAYQQQRSAGDMSDDVSRTGIKLQPRREQPSGCSTDYIIHGNASRRLRLQTIKREVEDTDGEAIKKGKLMRSKNRTGFLFKKIISVRCSYGGLLRAAVIAVLFLISVCSLTADFRASLMI >A04p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19446012:19450893:1 gene:A04p033520.1_BraROA transcript:A04p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein FBL11 [Source:Projected from Arabidopsis thaliana (AT2G36370) UniProtKB/Swiss-Prot;Acc:Q8S8F2] MAQLRSLHDDFVILVVDNNQPQEEDESSSSPCQEISISALDISSWDLSEILCYRRVKLQAHRTRLIQESSYFHGLLSGSFSESGHDHISIEWNIETFLNLMRCIYDCALDVTSTSFLPLFDAALYFGVERLLSKCKRWLLLFASSNDSASPMLELPDLVQMWSFGLERDVDFVPDLCGAYLAKNLMLVKSDEYFGNVPYELLMCCIRHPHLTVDSEMHLADTLLVWLDANKRLFDLSETSKDNIVNLMEQVRFSLLPLWFIAERRKSHGFSTFADHSIELVTKLTKMSSKCLVDSLRDGPPTDLRVRLTQYTEKLDLSGCTQLNEAILLLSVLPETYFTNPMWRKSLKSFLKNPEDDARNQEQSSQRTLPISSFETVQEVDISKCQRLDYIVTIKCISKSFPSLRKLRAAYLLNIKVSTMLELLQNFHKLTEVDLTVDIVPIIPCQASVFYTSPGLAQTPPIISLTYGSHIFDSGQVHRSLSSITRLTLEGRSDLYDKDLRSISRSCGSLCYLNIKGCALLSDACIAYVIERCKSLHSLIVCYTSFSANSVLALCADTSVTNSLASNLQMLHMSKCEGISETSLINLITRTKKLKSLCLRDTKVSDIVLCELSGSSLEALDISNTTISSMVLTCVISKNSNLKSLKARGCKNLIQSETVFNSLSKGNGLEELEIGWGFTYFSLVSLRPSVSSLRAISVGLGASLGEDGLNLLPSACPLLESIVLYFQEISDSALTSIVTSLKNLEELALSYCFGDITLQSFKFSIPNLWKLRLERVTRWMTNEDLFVLTQSCPNLTELKLVGCLHLNSDSQPIISSGWPGMISLHLEECGRITENGVASLYGCVALEDLLLRHNGSGIEKSFLLDASLKFPMLRLVSLDMCDAKEGNFDVPEEKEEVRFLSIVKISRCKSQRCSLGRELAPVHRETLVMFWNGQNFTNTLLKQRL >A01p047260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26635925:26641117:-1 gene:A01p047260.1_BraROA transcript:A01p047260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLPPVLPSAHYANTFPAAHTGVFWDLNDFPIPCLDPHVVYENIKSTLLNKGYSGPVSIWLYANHESQIQKDYKEDYESAGFRFCFEPADTRDYRMSVDMLICALDHPSSNLMVLAEDFKEEDAVCNIYLLHHRRQNIFLAYQQQVEPSLCTESPRWLYESVLQAVDQQGGQHVDKKNKTTIRIINTPMPTLDVPGSFVRSRVHTTHAIWDAVDSAALQDLEPVISAANIDAFFMLRGLPPPNFTKVFADEDALPEHLITEYLGSSLHVDIIPKGNMRVRIQKIAKFILFNALTHRSKPTTLLVLSEDILEDPLFMTVYEAVKSKGFTLIFQPPKSILASELYLDPRLGSCLFQCSLNTCLLPPVWTLANYVDIFREEDAVDNIYLLHHRKQNFFLAYKQQVKPRLHSTENPGWLYESLLQDKKPKTTKVSCKTKSRRPQISSDFSIAL >A05p053310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30809623:30818056:-1 gene:A05p053310.1_BraROA transcript:A05p053310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGSAGGGAEDLPQLQSTMRAIELACSYIQINTNPAAAEATILSLHQSPQPYKACRFILENSQVASARFQAAAAIKEAAIREWSFLSTEDKGGLISFCLGYVIQHANSSDAYVFSKVSSVAAQLMKRGWLEFTPAEKEVFFYQINQAILGSRGLDVQFIGIHFLESLVSEFSPSTSSAMSLPREFHENCRKSLEQNYLKTFYSWAQDAALSVTNKIVESNSDVPEVKVCNAALRLMHQILNWEFRYSKGGTKGSINVFSDGMRSDTASSRKTECVIVQPGASWCDVLLSSSHVGWLINLYSSLRQKFAFEGYWLDCPVAVSARKLIVQLCSLAGENDGCPSSLPYQDIYFSVSDLFNNLDNAQMREQHLLLLLSGVLPWIDPPDVISKEIEEGRSGSEMIDGCRALLSIATVTTAVDFDRLLRSIRPFGTLTLLSLLMGEVVKVLLANSNDKETWSYEARDILLDTWTTLLASMDGSGGNAWLPPEGMHAAASLFSLIAESELKLASASATTDEDDADCLASVSAMDERLGSYALIARAAVDATVPFLTKLFSDRVARLHQGRGTVDPTETLEEVYSLLLIIGHVLADEGEGETALVPDALQTHFVDVVEAGNHPVVLLSSSIVKFAEQCLDANMRSSIFSPRLMEAVIWFLARWSFTYLMLVEDCNLGSNQLQSLPSRACLFTFFNEHNQGKFVLDIIVRISLTSLMSYPGEKDLQELTCHQLLHALVRRRNICFHLLSLDSWRNLANAFANDKSLFLLNGVSQRSLAQTLVLSAYGMRSSDASNQYVKDLMAHMTSSLVDLANNSDLKNLAQQPDIIMLVSCVLERLRGAASATEPRTQRAIYEMGLSVMNPVLRLLEVYKHESAVIYLLLKFVVDWVDGQISYLEAHETAGVVNFCMSLLQIYSSHNIGKISLSLSSTLLNEAKTEKYKDLRALLQLLSHLCSKDMVDFSSDSIETQSTNISQVVFFGLHIITPLITLELLKYPKLCFDYFSLISHMLEVYPETLAQLNSDAFNHVLTTVDFGLHQQDVDIVTMCLRALKALASYHYKETKAGNTGLGSHAAGQTDPNGVFHEGILSRFLRKLLQFLLFEDYSTDLVSTAADALFPLILCEPNLYQGLGNELIEKQGNPNFKTRLANALQVLTTSNQLSSSLDRLNYQRFRKNLNNFLIEVRGFLKTK >A02p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5256676:5258791:-1 gene:A02p012040.1_BraROA transcript:A02p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDLNLDVVSAESTHMDESVTSNSSVVNAEASSCIDGEEELCSTRAAVKFQFEILKGRGGEEEEEEDRKTKEFFPVAQSSRSSVDISFQRGTQGGDFVQPPPAQPVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGLEADINFIISDYEEDLKQMANLSKEEVVQVLRRQSSGFSRSNSRYQGVALQKIGGWGAQMKQFNGNMAVASLIEPHASRIIPEAANVKLDLSLGISLSLGDGPKQKDKAPRLHHSPNSMMDNHMAAAACDSPFNLLKRASDHLINRHVLHPSAFFSPMERTPEKGFMSPSPQSFLARTWQAQDQFSGGTATAAIASPLYSNAASSGFSPSATRPPPSSTASATLHPSQPFLNLNMPSLYVIHPSDYASQQQHHHLMNRSQPPP >A06p041290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22258007:22258690:-1 gene:A06p041290.1_BraROA transcript:A06p041290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQIMDESENLCFKKSLMSPPPPPPLPPSPGYGSRKIDGDSITNIQIKKFWRQKQIIEEEHLFAAIKAAARVRARNLSDEDYKRFEESLDIQDPEIEAHEGIKDWSLWMKSKFAYLNQPALGSSDSLKRKRFSSYVPNYFSFKPCIPLYATSLNVF >A09g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29056520:29058125:1 gene:A09g510040.1_BraROA transcript:A09g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLREFGCEWYGRPYKAVHGRTVHSRLVCTRSKIQVLSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGQITTGEAPKLITQGGDQEEVSNEAAVEKWQQEDMLVMTVLHASLDPAILDAYSYCELRKLPWITLVRRNTPKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPFSQVAQRGECVCL >A03p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1981426:1984875:-1 gene:A03p004710.1_BraROA transcript:A03p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:Projected from Arabidopsis thaliana (AT5G10480) UniProtKB/TrEMBL;Acc:F4KGW0] MLFTSDLSAIKSLTLLLSLVSPKNLFFLAAQVLYFAVKTLKETGHEHVYDAVEKPLQLAQTAAVLEILHGLVGLVRSPVSATLPQIGSRLFLTWGILYSFPEVQSHFLVASLVISWSITEIIRYSFFGLKEALGFAPSWHLWLRYSSFLVLYPTGITSEVGLIYLALPHIKTSEMYSVRMPNTLNFSFDFFYATLLVLAIYVPGSPHMYRWNWEVSGFEPRKSSSEETGHRTTGPLLRRYSISAAHAPELSKQALASKFLGLKEKVKLAKDDYLELRQEATDLQEYSNAKLERVTRYLGVLASKSRKLDQAVLETEARISPLINEKKKLFNDLLTAKGTNLS >A03p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3739239:3740983:-1 gene:A03p011150.1_BraROA transcript:A03p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNFALSLFVQNVYEVLLLFILARSITSLRLLSLTYTRTDETIAWDHNMAAHRSTLLSGAGGARARRRRDSPLHLRVTTVSPSIFIVCVFACCLASVLLCAFSVTTHLARRLIVISSARICVAVSHRRAWVRAGSVQSVSKLTGSVRLFVTMATPSLIRAKSLPPPSDLSLTSPTLVSRRRASPTGIFRRRDRPITTVTTTPCALRLCFSPVLILKPGSPSVTPSQPPQDSKYSVLSPFSTRLTGLTTTAPSDDASCSDGALGAIICQRKTLILVGLMGLGLATRSSATNLFSKRYICFHSWTWAVCITKITESMLSTGSPSRPLPLVYRPSPNPKVLSCGLLPQFPPSVSIRFVVSISIMELEMMLDLSGSPGVSLNLLSDVYEILIVFSEITDGDRAVCLLNYLASSRCSLGCSALSQTHSLGCINVVYDNGKLVGAFISGIQVKIIERFLHIELASPTNISILCFSVLFVVHLTIEINSGFIVLPSSTVVTT >A07p027020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15429494:15433701:1 gene:A07p027020.1_BraROA transcript:A07p027020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGRSRDKRMSEGEDEITRVFKVRRTVLQMLKGRGYNIEESDIDLKREDFVQNFCKAMNKVNKEALFVTTDKGPNPEDKIYVFYPEGPKVGVPIIKKDVVMKMRDDKVTRGIIVVPQPITGAAKNAIIELNKILTIEVFEEAELVTNITEHKLINKYYVLDNQAKKELLQEYTVQDTQLPHILVSDPVARYYGLKRGQVVKIRRNKRKSCRKEYENLRRQCREIHKRNENGSDSKQTSQRSNTEDSQVLDSHDIEQVSSSTRSIQVEESEKLNSESILEDGDCEKSGVTSEDDANDSDSTNSEETETSPLPANEEGESHDTTVNSDATNSEETETLPLVAKEEEAERHGKVNQEKDTQTPSSKPKSQAEEEFNTIWQRIIRLDAVRANNEWVPYSPSQAAVSDTKSRGIATQVGLTDYEDLEPCRILHAARLVAILEAYAVYDPEIGYCQGMSDLLSPLLAVIEDDAFAFWCFVGFMSKARHNFRLDEVGIRRQLSMVSKIIQFKDIRLYRHLENLEAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAAIRTGIAKATWGRIRLRAPPTEDLLLYAIAASVLQRRKTIIEKYNGMDEIMKECNSMAGRLD >A02p042190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:26481790:26482236:1 gene:A02p042190.1_BraROA transcript:A02p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQEGVELNDPTTPANFSIYSKVTVATSSNFQSTSSPLAGTLPAATQNSIMEGIPSPIIVAETNLVSRNNSLAFMYSPIHDSLSHNQTDHQTEQDDRDEFLSDTTANLSMSRGGRPIKPLQKYQDMDWKTIRGKGKRGRRGRGYHTS >A04g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20702174:20702548:1 gene:A04g508110.1_BraROA transcript:A04g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERDRLLPSSAMDSEHQIQRFITDLKRLEEQARDGPFDPNALAKCCRIKMRPCTDVLYGQLHFLSLSQVEKTCLFDSNPCLEAKSNVFLALKIGVE >A10p036950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20954715:20957119:1 gene:A10p036950.1_BraROA transcript:A10p036950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNTVMCLLTDAEGTPLGSAMYIPHNAGHLQLTNLVNTFLNNVYSSILSNLILIILTEPNIEMTSWEIDNIQEEKLSYSFYVSDEELLVSVGTCMERNSVSVENVLKIVYRQQAVFRIRPVYRCSQTISDVHEGEILCVSFSPDGKQLASGSGDKTVRLWDLNTETPMFTCKGHKEHVLAVAWSPDAKCLVSGDRDGKVCCWDPSKGELQGNALSGHKKWICGIAWEPAHLSYPSRRFVTCGKDGDARIWDFTLKRTLIVLSGHTRAVTCVKWGGDGTIYTGSQDSTIRMWEITQQGQGILKHILKDHAGWVNSLSLSTEYVLRTGAFDNTRQVTGSDEEMKKIALERYIKAKGKVDSPERLVSGSDDFTIILWEPSVSLQPKQKMVTTARLLVNHVYFSPNGQWIASASFDKSVKLWDGVTGKFITAFRGTLTVSIRSVGHLTVWEIGTKKLKHDLPGHKDEVWAVDWSPDGEKVASGGLDKALKLWKG >A01g500510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2237460:2237753:1 gene:A01g500510.1_BraROA transcript:A01g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGMNEDSLREAFRKYDDVVESKNTGRGGLGLLHSPLQRRPLVLSRIMESDEFKASSKLETITFSEMKHEEIEALIEFMYCVDGSISLESLKKHVG >A04g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4336579:4339802:1 gene:A04g501800.1_BraROA transcript:A04g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFHATRHTEARKVRVSRCMNTRHTEGQLDVDVSSGMARQHAEGHVDAHVLPRMRSEACRTTHMRSGGSFLLVGNFYIYPATLVQFYSFRHTKDTPKRGLEREREREREREREREREREREKIPKNCPEEKEGYFQVLISPDQFIQDMEVGFWGLTSQYQDEDLEGIKRFVDLRLETMSGLKPRGGSSSSLQVITSSNGTTKPPPASPIEDRGTAIPIEDRDRAIPERLRLCGVIVKGLPVSLMWRKNDSIGNPGLLSETSSVTPHILLQVTSRKDHSACGTVGAGVDWTYFGKESFSRYMNSEGRVQTLAHTNQGSSEENVGKNNNLFGHDQEIPPKEKFPPNRTIRGRPKTDDSESSVQGPRPIRRKNPIEPEVHDQPQQGERTEHALKTLHDVIARSLQQPQGKDNEKESGGPSRLSLFTGKCFNCGKTDHKSSECFGKKPGSFQSNSYNPTCYTCGKKGHISTQCS >A06g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17937762:17940551:-1 gene:A06g506340.1_BraROA transcript:A06g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRRLRGRLPDDFVWTSWKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPVSSLKYNALATSRKCSRLLPLQSSVLPESRLDFLKVSSRLPGSLLTKSSSISSGVQACLCRGMIYNSFVCGLRLNFQSSQKTHFKVNCKNNLCVDRTASSEITSLAHIRFLQAHRISNETQCGEKVRDKLCLIHKNGKRRRGIDDNDNLVIT >A09g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9447753:9450661:-1 gene:A09g502790.1_BraROA transcript:A09g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSRTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRQDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFRRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTIAIL >A05g501350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5027959:5029305:-1 gene:A05g501350.1_BraROA transcript:A05g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVLFVTIFIFFSMTLSSSTNPKHFTLELIHRDSPHSPLYNPKTTLTDRLHSAFLRSISRSRRFNHQTQTDLQSGLIGAGGEFFMSITIGTPPTNVLAIADTGSDLTWVQCKPCQQCYKENGPIFDKEKSSSYKSEPCESRNCNALSTTERGCDEAKGVCKYRYSYGDRSFTRGDVATETISIGSASGSPVSFPGTVFGCGYNNGGNFDETGSGIIGLGGGNLSLISQLGSSISNKFSYCLSHKSSTMNGTSVINLGTSSIPSGASKVSSVISTPLVDKEPQTYYYLTLEAISVGNTKIPYTSSMYYPNDDGVSSAATKGNIIIDSGTTLTLLESGFYDKFGAAVEESVTGAKRVSDPQGLLSHCFKSGSAEIGLPEITVHFSGADVRLSALNAFVKMSEDMVCLSMIPTNEVAIYGNFAQMDFLVGYDLESRRVSFQRMDCSADL >A06p044890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24092187:24094127:-1 gene:A06p044890.1_BraROA transcript:A06p044890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFKTAVDPLLRDLDGKKESFRRNVVSMAAELNQVKGRLVSQEQFFVKESLSRKEAETKAKNMEREICKLQKTLEDRNCQLEASTSAATKFLEEVDDLRSQLALTKETAEASAASAQSAQLQCTMLAEQLDDKTRLLREHEDRVTQLGHQLDNLQRDLRTRECSQKQLRDEVTRIEREITEAVAKSGKDRESELRKRVEEVSPKKFERMNKMLGVKDEEIAKLKDEIRLMSGHWKLKTKELESQLEKQRRTDQELRKKVLKLEFCLQEARSQTRKLQRMGEKRDKAIKELRDQVTGKQLNESVSGEKQNFWDTSGFKIVVSMSMLILVVVSKR >A04p010860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6992133:6994114:-1 gene:A04p010860.1_BraROA transcript:A04p010860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKAAVVLPRPVSFVTGNAKKLEEVKAIIGSSIPFKSLKLDLPELQGEPEDISKEKARLAALQVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKLGHEGLNNLLMAYEDKSAYALCAFSFSHGPGAEPLTFLGKTPGKIVPARGPTDFGWDPVFQPDGYDQTYAEMAKEEKNKISHRYKSLAMVKSHFKEAGYVFQTTDDDTN >A01p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10261689:10266228:-1 gene:A01p021010.1_BraROA transcript:A01p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTRSASSSVSPATPDLLDNHRQSSCGEFSRLEKRMGPRNMTFHSKSMPRGSMFLDQEAFRSSHDKRYDLFRTMSGKLERQISNLRGKPIDSSLQEEDKEITESLTADRFFEALQGPELETLKEKEKIVLPEDKTWPFLLRFPITSYGMCLGVSSQAIMWKTLATTNAERFLHLTQVVNHVLWWISLVLLLAVSITYLFKTIFFFQAVRREFKHPIRVNFFFAPLISVLFIALGIPHSITSNLPSMLWYFLMAPVLFLEMKIYGQWMSGGQRRLSKVANPTNHLAIIGNFAGALLGASMGLEEGPMFFFAVGLAYYLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPAVASMAWTQLCGSFDLGSRFYYFISLFLYFSLVVRINFFRGFKFSLAWWAYTFPMTAVATATIKYSGEVTGVATQVLSIVMSGAATLMVIGVLVLTVVHAFVKCDLFPNDVAIAISAEQPKQKKWFKQLKNGSFGLKVSDKEDNQIDVEAPPLLNAHTKAATKKNSLGREDTGLLVFEMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARTWTQKYGMG >A06p008780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3066723:3068117:1 gene:A06p008780.1_BraROA transcript:A06p008780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVVHQLQLLLTALFWVLYRRRSRSISPRRHRSRSVTPKRRSPTPKRYKRQKSRSSSPSPARRSPATTFESAKNRNGEKLIREEEERKRRQQEAELKLIEEETTKRVEEAILKKVEESLQSEKIKMEILTLLEEGRKRLNEEVAAQLEKEKAASLIEAKEKEGVMRCLSQEREQQGREEMSRIEEENRKKLEEAQLKEAMERQRKEEERYRELEERQRQKEEAMRKKKAEEEEERLKQMKLLGKNKSRPKLSFALSSK >A08g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10959016:10960186:-1 gene:A08g506410.1_BraROA transcript:A08g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELGLGQVQERLRRVFGQVCKLRDWPYGFGTDVVRTVRIRTDQDISKVHQSTIGAHLRRQKKEAGAKDRLEQAGQELCIDHVSSLIYGKVQGSLTATNQAPLPLSSKLTPMPFPNQEEASWSFGCSTSSRRRCAHQWRPEAVLGRSPLSHGRPEERRPLEACPSHSHSLSKKKGESFQLVPGMATQK >A06p005770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1859623:1861041:1 gene:A06p005770.1_BraROA transcript:A06p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILCILSSLLILYLIFKIWKHIDSKRDQNCYILDYQCHKPSDDRMLSTQISGEIIRRNKHLRLNEFKFLLKAIVSSGIGEQTYAPCIYFEGREESPTIQDALSEMEEFYIDTIEKLLKRNKLKPKDIDVLVVNVSMLNSTPSLSARIINHYKMREDVKVFNLTAMGCSASVISVDIVKNIFKTYKNKLALVVTSESLSPNWYSGNNRSMILANCLFRSGGCAVLLTNKRCLSPRAMFKLKCLVRTHHGAREDSFNACVQKEDELGRVGIHLDKTLPKAATRAFVDNLKVITPKILPVTVLFRFMLSLLLKKLRRSPSKGSTSNVAQAAPKAGINFKTGIDHFCIHTGGKAVIDAIGYSLDLTEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKRGDRVFMISFGAGFKCNSCVWEVVRDLSVGECLGNVWNHCIDQYPPKSLLNPFLEKYGWIREEEDADTFKFRT >A09p066180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52620328:52621552:-1 gene:A09p066180.1_BraROA transcript:A09p066180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLALILQMETREFPAWLEVLLKEKFFNACLDHEEEKKNEKNILCIDCCLSICPHCLPSHTSHRLLRIRRYMYNDVLRVEDGSNLMDCSLIQPYIVNSSKVVFINERPHSRQFRGSGNFCNTCDRSLQSPYLFCSLSCKISDVIMRQRGLSGFLRVCISLNLTDDEGGVDMFLCQALACTAATEIVRKKRSSLSSTCRRVTTAVSSANTEAPANFFNRRKNTPPQRAPLY >A04p019030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11397289:11397495:-1 gene:A04p019030.1_BraROA transcript:A04p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWAAPLIASALFAFLSPGLILQFPGKESPVGFMNMKTTVASIFVHTVLYGLFLILFLVVLNVHVYA >A09p023680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12973045:12974577:-1 gene:A09p023680.1_BraROA transcript:A09p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQEHSSILEALDVKKVTWYHVTTVIISGMGFFTDSYDLFVISLVTKLLGRIYYHHPGSSSPGSLPDGISAAVSGVAFAGTFIGQIFFGCLGDKLGRKRVYGLTLVIMTVCSICSGLSLGSDPKTVMVTLCFFRFWLGFGIGGDYPLSATIMSEYANKSTRGAFIAAVFAMQGFGILAAGCVSLLVSAIFNHQFPSPAYMVDPAASTVPQADYVWRIILMLGAVPALLTYYWRAKMPETARYTSLVAKNPEKAATDMSKVLDVDIEAGFAKNDQARVSSDEFGLFSKKFLRRHGLHLLGTATTWFLLDIAFYSQNLFQKDIFTTIGWLPSAKTMNAIQELYQIAKAQTLIALCGTVPGYWFTVGLIDWMGRFKIQVLGFSFMTACLIGLGIPYHHWTLPHNRIGFVVLYSLTFFFCNFGPNATTFIVPAEIFPARLRATCHGISAASGKAGAMVGSFGFAALVKAVGMKKTLLIMAGISFLGLLFSFLVPEPNGKSLEELSGEAEPEKI >A03p034270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14481928:14485214:1 gene:A03p034270.1_BraROA transcript:A03p034270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSF1 [Source:Projected from Arabidopsis thaliana (AT3G01510) UniProtKB/TrEMBL;Acc:A0A178V9M4] MAHLLQQISGFGALERSPPSLLIGSGNGNGRVFGGRGKVGFSRRKLVLKVVAMSSSSTFKMNLNEYMVTLEKPLGIRFALSADGKIFVHAIKKGSNAEKARIIMVGDTLKKASSGGSLVEINDFGDTEKMLVERSGSFSLVLERPFSPFPIQYLVHLSDLDLLYNRGRVSFVTWNQNLLSSNLRAEASQGSGNTGYAAFSSKFFTPHGWKLLTKNSSSFQSGTQKNILSPPISPIVCVFSEQDPGDGEWGYGNFPLEEYIKALDRSKGELHYNHALGMRYSKITEQIYVGSCIQTEDDVENLSDAGITAILNFQGGTEAQNWGIDSQKINHACQKSEILMINYPIKDADSFDLRKKLPLCVGLLLRLLKKNHRVFVTCTTGFDRSSACVIAYLHWMTDTSLHAAYSFVTGLHACKPDRPAIAWATWDLIAMVDDGKHDEPPTHAVTFVWNGHEGEDVLLVGDFTGNWKEPIKATHKGGPRFETEVRLSQGKYYYKYIINGDWRHSTTSPTERDDRGNTNNIIVVGDVANVRPTIQQPRKDANIIKVIERVLTESERFRLAKAARCIAFSVCPIRLCPK >A02g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23199943:23201617:1 gene:A02g508600.1_BraROA transcript:A02g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRMENIECDQPSIDRDTFPSSNDESEETTDTELPTSIDTAQPEAGKFSLTKPTNEKVVQTELNGQTSIETSQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYHMPRSTTTLCLTSMIIRMKKRTAYSVLHVESFTQAYDTALKSRTGRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGELIQKLEGQVAEIATAIKRDAGCLPGRTDLKPRRQVSAVMLRSGKNLTADTRNNSAVGKPDDADKTGKSNSHPILLNDLDPNPSQENRKTTAEKAKEKAIDLELEEDMEIEDEIDRQYGTDVDRPKTPTIDRQPEKPIDR >A05p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5906974:5912880:1 gene:A05p013540.1_BraROA transcript:A05p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 2 [Source:Projected from Arabidopsis thaliana (AT2G33750) UniProtKB/Swiss-Prot;Acc:Q94GB1] MKMKTVLVIINCIILAIGNCGGPLMTRLYFRNGGKRIWFSSFLQTSGCPIILLPLLFSFLTRHRQQQEQEDTKTALFLIKPPLFLASVVVGLLIGFDNYLYAYGLAYLPVSTSSLIISSQLAFTAFFAFFMVKQRFTPFTINAVVLLTLGAGSLALHADGDKLPKETRKEYIVGFVMTVAAAVLYAFVLPLVELAYKKASQRISYTLVLEMQLVLCFVATCFCLVGMLADGDFKELGREAREFKLGGSKHYCVVVAFTAPKLYGQIQICLFRICLLLKLGLFINSSFLLLHSNIIWQGFFLGSIGMIFCASSLVSGVLISALLPLTEVLAVLFFKEKFQAEKGVSLFLSLWGFVSYFYGKIQSEKEKKKTQEAELSQLPATDSVA >A03p065120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28419591:28419912:1 gene:A03p065120.1_BraROA transcript:A03p065120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKCEILCEILIAILLPPLGVCLRRGCCTVEFLICLVLTLLGYVPGIIYALYVIVFQNRDEYFDEARRPLYYSA >A01p038760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14756933:14762090:-1 gene:A01p038760.1_BraROA transcript:A01p038760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVREEVYVEAQTRGQTNGSRPLTIGGGGGGNMGGLTTNDALSYLKAVKDMFQDKKDKYDTFLALMKDFKAQRVNTDGVIASVKELFKGYDDLLLGFNTFLPKGYRITLPEKKPVAFGEAIDFVNKIKARFGDDNRAYKRFLDILNMYRKEGKSISSVYQEVTLLFQGHEDLLAEFVNFLPNNSGSGSGSNAVPHDRSSSLHPMHFNKKIKIGSRLDEYTAHSDQREDGDENLVASGNSRGKSLNQGQWRQVEDNEDVVFGQKNNMVKGINELDLTDCVQCTPSYRHLPDDYPIPISSYRNSLGEKVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAAIKRVETLLEKINNNTISTPICIKEHLSVLNLRCIERLYGDYGLDVMEILKKNSHIALPVILTRLKQKQEEWARCRFDFRKVWADVYAKNHHKSLDHRSFYFKQQDSKNLSTKGLVAEIKDISDSKHKEDPLHAIAVGTKPSFTPDLEFSYSDTQVHADLYQLIKYYCEEICATEQSDKVMKLWVAFLEPIFGVPSRSQSGEAMIDVAKSKDNQEQHDACEAVKESTCDVSLVSNLKLITPPTTPNKENPTVQGSSVAQETIQQDKLHVGAAMNIKDTQPVAPPRKDLLMEGVENRTDVIMGDQKVEREEGELSPTESFEQDNHEVYRDNGVESLQKLPDNVGSNKEQEHKGGAVCTEAKAKSSTLPKDDENKITHASGSKCGGQVSSDEEHKGAMNCDRLGSVIESENEAVGMINANETEDGSLTFSERYLQPVKPLAKHVPGALQVSESNSPNDSRVFYGNDSFYVLFRLHQMLYERIQSAKIHSERKWKAPDPDNTSPDSYTRFMDALYNLLDGSSDNTKFEDECRALIGAQSYVLFTLDKLVQKFVKHLHSVAADETDTKLLQLYTYENYRKPGRFFDIVYHENARALLHEQNIYRIGYSSAQTRLAIQLMNNGNDHQPEVTAVTVEPGFANYLQNDFLSLVPDEEIPGLYLKRNMAKMSGPDESSGMLRAMEGLKIINEVECKMACSSSKVKYEPNTSDLLYRVKQKKPKVNPNGLDNDKTPGSSETSRKRKISRFHMCLNRRLAALP >A06g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21243879:21244428:-1 gene:A06g507600.1_BraROA transcript:A06g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVAIVTISCLNHQPPLLTTIARRRSYHSPPESCDVTAESMFYRHSCRCVVQSCAKRKVLSKEMMSLLGYDGAISHTLSDRTTIINREPCCEVKCSLSSYLCCK >A09p031610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19121092:19121451:-1 gene:A09p031610.1_BraROA transcript:A09p031610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKTLVLFVFTTIFIISFVDCHTTTMATTPASTPGYGINWATVLCFKISTPCDLAGRYGCAKFCDQWDYFYDRCEPGKCCCHR >A06p045400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24331882:24336162:-1 gene:A06p045400.1_BraROA transcript:A06p045400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAAGTVDCPGSPKSVRIVVVGDKGTGKSSLIVAAASDSFPPNVPPVLPDTNLPLEFFPDGVPVTIVDTSSSFVDLLNVSFDISARGFRPEDREMVAEELRNADAVVLTYDCGRPETLEDLSTYWLPELRRLEVKVPIIVAGCKLDLRDDNSQVSLEQVMSPIMHQFREIETCIECSALKQLQAQEVFYYAQKTVLHPTGPLFDQESQSLKPRCVRALKRIFILCDHDRDGALSEAELNDFQVKCFHAPLQPSEIEGVKRVVQEKLPEGVNERGLTVTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIRLADELLPPSLFKRAPDQVKMHKRNPFPWTEAPYVDAAEKTALGGLSFDAFLSLWSLMTLLEPARSVEHLIYIGFPGDPSSAIRLTRRRRLDRKKQQCERKVFQCFVFGPNNAGKSALLNCFLGRSYADNPGSTADERYAVNVVDESGSAKKTLVMREIPEGGAPGLFSSKESLAACDIAVFVYDSSDESSWKRATELLVEVATHGEATGYEVPCLMVSAKDDLDSFPISIQESTRVTQDMGIEPPVSISSKLGDFNNLFRKILTAAQHPHLSIPETEAGKSRKHYNMLINRSLMAVSSTWSCCCGRRAGCIPCVCSKKEHFCLRRLRMKNLNGLKVRTLLFRVCLSFPGFLPEYCCFEVMVEDL >A07p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21456930:21459884:-1 gene:A07p040490.1_BraROA transcript:A07p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLTVSNNRKHIVVVFISLVFILKIALISCANQESQIYTVHLGKRQHDDPKLVTDSHHDILGSLLGSKEASRESMIYSYRHGFSGFSAKLTSSQAREFSENPHVVHVTRSKNMKLTTTRVSDYLGLTSTAPTGLVHDTDMGSDAIIGIVDSGIWPGSKSFNDNGLGPIPTRWKGKCVSGEGFNASMCNRKLIGATYYANGIARKYKGAFNAAEKDEAMSPLDKVGHGTHCASTAAGSFVQNANYLGLGQGTARGSAPRARVAAYKVCWNNEECFTPDILKAMDHAMRDGVDVLSLSLGSEIPLDFEVDRSDFAIAAFHAVMKGITVVCAGGNDGPETQTISNVAPWIITVAATTMDREFFTPITLGNNVTVLGQEGLYIGEEVGFTDLIYFDDLTKADFEAGKAKGKILFTFLTDRSTDQIAEFAKSKGVVGIIIATKPIDLIEPGTAGIASARVDYEIGMDILLYLQTTKFPKAKISPTKTFVGRPSATKVARFSSRGPNSISPAILKPDIAAPGVGILAAVPTGVGYEFMSGTSMATPVVTGIVALLKQKRPDWSPAAIRSALVTTAFQTDPSGEPIAAEGSPRKIADPFDYGGGLVNPGKVADPGLVYDMGHDEYVHYLCSAGYEDISISKLLGKVYTCPSPTPSMLDVNLPSITIPYLSQEITITRTVTNVGPVGSVYKAVIEPPLGINLQVSPKTLEFGPNTKKITFTVKVSTTHRVNTDYHFGSLTWTDNGAHNVRIPLSVRTRVLNFKI >A01p046590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26226890:26228152:-1 gene:A01p046590.1_BraROA transcript:A01p046590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKNKGRQKIEMVKMKNENNLQVTFSKRRSGLFKKASELCTLCGAEIVVIVFSPGRKVFSFGHPNVDVVIDRFLKINPHHPHQNNNLQLNEACLNAVVQDLNNHLTQVTEQLEEEKKRNEDLKQKRKDDKKSENWWEDPIEGFNLRQLTEFKCGLENFKKTVTTEASKYLQATGHNFYFGSSSNATFGIFDNDGNTNSELDLFNHRRLVDMNTFSGNHQNMIIPHPSTTPYGNNVIERFAPEHNQNQSQYCFKQERASECDRQPDHPPHYRHEYC >A03p032900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13923987:13930951:-1 gene:A03p032900.1_BraROA transcript:A03p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYGEKKHWWLRNKKIVDKYMKEAKNLIASKDPNDVESALSLLESALSVSPRYELALELKARSLLFLRRFKDVADMLHDYIPSLKFSGEDSGIGSSELSSTHSSRESVNLLNDLPSHGVDSSFKCFSVSDLKKKVMAGLSKNCNEQGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLATAAFRRQSISLSDDSFILFSPAHGGSSSPPPSSSVVVSSSSQPRSLTESESVAHMLSHIKLLLRRRAAALAALDAGLYSESIRHFSKILDSRRAAPQGFLAECFMHRASAYRSAGRIAESIADCNKTLALEPSCLQALETRAALLESVRCFPDSLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCVLTSKTQQLKEKIASGETGNVDYYALMGIRRDCSRSELDRAYLLLNLKHKPERSMSFIDRFELTEGEEELDSVKDRARMSTLLLYRLIQRGYSVAEKQRKAAAVAATETHRSNNIETPIRASVVKGVFCRDLTVVGNLIARTGFNQPIPVKYEALSC >SC138g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:8799:9440:1 gene:SC138g500010.1_BraROA transcript:SC138g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVDSSGVINGGFRQVQSFCGVSSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLTPEWISQNWEHGR >A03p039360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16345601:16348761:1 gene:A03p039360.1_BraROA transcript:A03p039360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLEAEEEYRVWRIEPPIGGREQRRDGVTNDNIVYTTSFRVERERQVAMASVASSTTLISSSASVLSATKSSLLPSPSLSFLPTLSSPSPSASLRFARPSPLTSIRSTSRRSFAVKAQTDDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYAEFEKLNTEVLGVSVDSVFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYIQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >A10p037560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21182258:21188992:-1 gene:A10p037560.1_BraROA transcript:A10p037560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 76C1 [Source:Projected from Arabidopsis thaliana (AT5G05870) UniProtKB/Swiss-Prot;Acc:Q9FI99] MEKRNKTRVILFPLPLQGCINPMLQLAKILFSKGFSITIIHTRFNAPKPSDHPLFTFLQIPDGLSESQTQSRDVLLQLTLLNNNCENPLRECLTKLIKGSETEEGGEISCLIDDSGWVFTQSVAESFNLPRFVLCAYKFTFFLRHLLVPQLRREGFLPFPDSEAEDSVPTFQPLRKKDLARIMGNKDQAEPLDDYLVKILETTKPASGLIVMSCEELDRDSLSESHKVFTFPIYPIGPPHIHDVPASSSSLFEPDQSCIPWLDTRETRSVIYVSLGSIVTITESQFLEIACGLRQSNLSFLWVVRPGSVQGRDWIESLPSGFMDGKGKIVKWAPQLDVLAHRATGGFLTHNGWNSTLESICEGVPMISLPFVWDQLLNARFVSDVWRIGIHLEGRIERREIERAVIRLMVEPEGEQIRERVNALRDEVRRSVQRGGSSSRNGLRVVLFPLPIQGCINPMFQLAKILHSRGFSITVIHTRFNAPKASNHPLYTFLEIPDGLSEAEASTQDVTLLLTLLNRSCESPFRDCLAKLLRSSEEKKQRISCLIDDAGWIFTQPLAWSLNLPRLVTNTYKVSFFVGHFVIPELSRERYLPLQGPSHIYFPGSSSSLFTVDETCIQWLDKQEDNSVVYVSFGSVVNITESELLEIAWGLRNSDQPFLWVVRVGMVNGSKWVEAIPEELMESLKEKGKIVKWAPQQEVLKHRATGGFLTHNGWNSTVESVCEGVPMICVPSVWDQLLNARFVSDVWMVGLHLEGKIERNEIERVVRNLLLEPEGEVIRERMKLLAEKVGRSVKQNGSAYRSLEPVEYIISEEIAFTELRSRKKNREKASMEKSNGRRVIMFPLPLQGCINPMIQLAKILHSRGFSITVIHTRFNAPKATSHPIFTFLEIQDGLSETETRTDDNTWLFTLLNRRCETPFRDCLTNLLGSSSDSETERISCLIHDSGWTFFTRSVAKSSKLPRLVLNTYTVSFFLNHFALPKLRREVSLLSQDSEQDDLVQDFPPLRKKDLLRILKEKREYLEPYLNMILETTKSSSGIIFMSCEELEQDSLCKAREDFKVPIFAIGPSYSNFPASSSSLFTQDETCIPWLDKQEDKSVIYVSFGSLISMTGPELTEIACGLRNSGQPFLLVVRVGLVKGTEWIEAIPEELMAKVNEKGKIVKWAPQQEVLKHQAVGGFLTHNGWNSTVESVREGVPMICLPCIWDQFVDARLVSDVWRVGLHLEHRIERNEIESSIRSLFYGDEGESIRERMRLLQEKVGRSVKENGWAYRSLESLIDHISSF >A06p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19910568:19911725:1 gene:A06p036760.1_BraROA transcript:A06p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSITNASATSAPSARDFAKKKRNNKSAAKLKQNKLGLRREQWLSQVAVTNKVCKEEKSAKPDQRDKPVERREEDSNGGNVDVRHESFMESPSNSSMGGTDISTNFSGSSSTSSDFCSGNITEEDNADHNDGEECVDDWEALADALAAEEENLLHESVKEKESVGQSASNADDSINRDAEQDCLRMPSRKQKSNRAWRPDDNLRPQGLPNLGKQLSFPELDKRYSTVSIPSSCPICYEDLDLTDSSFLPCPCGFRLCLFCHKTICDGDGRCPGCRKPYEEQNAIKAETSVQGGGFTIRLARSSSMFCRF >A10p027080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17091070:17093522:1 gene:A10p027080.1_BraROA transcript:A10p027080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKSSVELDFFTEYGEGTRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDMLGTPSAEAIGRVRNEKARRYLSSMRKKKPIPFTHKFPHADPLALRLLEKMLSFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKLEFEFERRRVTKEDVRELIYRESLEYHPKMLKEYLDGSEPTNFMYPSAVEHFKKQFAYLEEHYKNGTSHTPPERQQHASLPRACVLYSDNKNGAGGQQSSVEVTDGLSKCSIRDERPHRNAQRIPVNVPQTIQGAAVARPGKVVGSVLRYNNCGAATGVEALEQQQRRMVRNPVGVAQYPKRSSQPCKSSRGDEDCDSAEGSSRLKQNPQYIPQKVAAAQDTAMSRWY >A07p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6811452:6820231:1 gene:A07p010880.1_BraROA transcript:A07p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYLLVNAELDSMGGVIDSGGGIALKTSPRRTAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGIATSHSVQSTSLTDQQAEHFVNSGLKDSFALASSPHGDSVESSGRPAVPTVSEPNTADNLLLFDSGNKSVEGENNLRYPNRQHRTSESERSYKANTNQNIKETEDSAIFRPYARRNRTKINRDPARSSSTDLVQNRGGLATSISVRRGSVDGKVCNSETANQKDRQTTSVSCPVFANSNRTVVPTNVAPSNTLNTKVDGGPVVRESAAGSKTSLVLKDEADITVRKKSAGLHLEEAGEKAQLVLTSTQIGSPKAATIAGQENNSTQMDELGDSTGEKKSLTDRAAAGTESSHANHLEVDVDTERDLYRADKLDSDEISMPKASRVEGLLNQTVGELKIEDETGRSTTIISECSPAREILMNSVKVENENYRSVAELQNEEECSDTEKKLQHGLVVPENDKKVSSVLADDPSSFVHPGKPQASVDASSCMVGDNVLSGTDVEALKHQPSSDDGSKVLDTVKEDSLLEEARIIQAKRKRIAELSCGTAPVEVREKCQWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVALTSQLRFEEKNQHRKLRNIASILSSAILKFWSSVEVPGELEETGLGIDKETCQESNCESGRKCLAVGVREYARRFLKYNNSSIPDHSAAPSTPDYMCDPEILDTALVDQLSEESLFYSVPAGAMKVYQISIETHLARCEKFGNSMKEEVDTSAYDAVGDSDYDVTAFDEDEVETSTYYLPGALECSKSFKLSHKKRKNLMKSHSARSYDLGADSPYMNYTDGFNSSNLMAKRAANNINVGSVPTRRVRTASRPRIVSLPVPSKTDASSGDTSSFHDEQSSLHGGSAVQKGTEVESSGNFEKQLSYDMAETSGKPKKKKKTHLGSAYDQTWHPDSSVHAEQKDHWKKRVENHFDMNGIYGPHAKKQKTTKQLVENNFDGAIALTGSIPSPAASQMSNMSNPNKSIKFIGGRDRARKIKGLKISPGEHGSGTTWSLFEDQALVVLVHDMGPNWELISDAMNSTLKIKCIYRNPSECKERHKILMDKTASDGADSAEDSGTSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKICLIGKKLHYRKSQNDGRDPKQIVPVHNSQVMALSQVFPNNLNGGVLTPLDLCDASTSGQDLFSLENPGSHLGLPMLNQGTPVLPTSGPNPSTPGSSGVVPGNSLPTTLGLHHSSARDGRFNVSRGPLPLDEQHRLQQSNQMSPSRNLQQPSSSTPGAISGSGHRMVPGANTMGVSGLNRGAPMSRPGFQAIGSAAMPNTGSMLSSGMVGIPKTGNIHSGGGASQGNPMSRPPREAVQHMMRAAQGNSQGIPAFGSLSSGFTNNQTTPVPAYPGHLSQQHQMPHQSHVLGNSQHPHLQSPSPSHATRAQQDGFALRQRLMHQRFVQQQQQFASSGTMMPHGQQQQQPQGTSVSSSTQNNQQTQPPVSPQPLPPPVSTSPNTNALTQQNPQKSQLPLHGLARNPQSGAPGVNNQFGKQRQRQLQQQSGRQHPHQRQPSQGQQQNKQSKGAGRGNMVHQNITLDQSHLNGLTMSPGNQATEKGEAMVAVRPDRESNVGTATSTHLPSKPFVSPQSSNHSQQLPKSFSGATSSSQEQQIQLPSDNRIQGQSSPAASCNILSTSSPSVGPSNPQHLLLHQKQRNQVQAQRIAHQNHMENSDLSRKSQAERVPRVQQSVPNTTQTASMSTSKGMPQVTNDSNNIKAVGSTVVPSPNGLEPPASAASVQSAAPNVVNSSNTDSAGSDPVTTLKQGLAPKHFPGGLPCQELKGPIERQESLPTVEKRPKLPEQLTVQNQKRLASDQQSPQIEEAQELSSPKPPDTKVE >A06p038810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23542585:23543242:-1 gene:A06p038810.1_BraROA transcript:A06p038810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGKSYNGNSTYRKGLALAFHRKLESYESEPNVVLATSIISKTVGDWEKGFMQNLGLQETISISKQERCTEPDASTEIDGSNNILELARAAIPRTTNTVTKKETTVLRRSFATKREEDDGERVEIQPNDDFRASLL >A10g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9005174:9006475:-1 gene:A10g503420.1_BraROA transcript:A10g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INFFLTQGLEKETINHLCCYSETNDHKFQRESVSSQTEIVGKEVSMRPAYPSSKKVKDYDKLEAEVESIGTVLSIDWKDVGAKKIKSTLMMIWRSRHEKYDLI >A04p018910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11272550:11277476:1 gene:A04p018910.1_BraROA transcript:A04p018910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLYQSLTKSWSFATIWMLFVIPSYVLSDDEHHKKCAPSFGCANQRNLTYPFWIPERKECGHPEFKVNCSGDIAEFSRSSVKFQILENKFYSIRLAIKDYQSNLCPRHPENVEINQYVLPFSQDTMLSIFYYNCSAPRVDVPPGFYIRKLDCGNDNGRRSYFVSSALSSWDSAILENSSASCERNVTIPVSRYALSIEDGNPTLEAIEKALKYGFEVMFTTECWECKQSQGSCGYNDSSRSFVCYCVDGPHKRTCPHRTTKSELTKIHYSNKFESPSFTRSDLLPSLTSGYYYQCEQLTTQKKLGIGFAGGFFGSILLAGATLCLIYRRRKKLAAQYTSKQLTTSSIYPTMEMGTGVACGFLGFRKSETSHHPRDHQLKALPCPSNRPPMNRVVEIMEGSLDAIEVPPKHSLQLSAAPLAESSWLSEENSDYSEVLL >A01p054280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30522498:30525946:-1 gene:A01p054280.1_BraROA transcript:A01p054280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 25 [Source:Projected from Arabidopsis thaliana (AT3G10720) UniProtKB/Swiss-Prot;Acc:Q94CB1] MKTLHFSSSLLFLSVLFLSCAFLISPQSPSPSPSELPSQPPSLPPSQSPSLPPSQPPSLPPSKPPSLPPSQSTSDACKSTPYPKLCRTILSAVKSSPSDPYSYGKFTIKQCLKQASRLSKVINGYVRRVRNKPGSMTAEEIGAVADCGELAELSVSYLETVAAELKMADMMTAALVEHVNSLLSGVVTNQQTCLDGLVEAKSGFAAAIGSPMGNLTRLYSVSLGLVSHALNRNLKRFKASKGKILGGRNSTYREPLETLIKVLRKTCDNDKDCRKAARKLGELGVTSGGSILVSQAVIVGPYKSDNFTTITDAIAAAPNNARPEDGYFVIYAREGVYEEYIVVPINKKNLLLMGDGINKTIITGNHNVVDGWTTYNCSSFAVTGERFMAVDVTFRNTAGPEKHQAVALRNNAEGSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTIDFIFGNAAAIFQNCNIYARKPMAKQKNAITAHGRTEPNQNTGISIINCTIKAAPDLAADPTSTMTFLGRPWKPYSRTVFMQSYISDIVQPVGWLEWNGTTGLDTIYYGEYDNFGPGAKTDRRVQWLGYNLLDMAQAMNFTVYNFTLGDTWLPQTDIPFYGGLVRKD >A05g508050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23561540:23563143:-1 gene:A05g508050.1_BraROA transcript:A05g508050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEWVSTRVGFGLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTFSFSCNAFRALLYIESLEVKAPSFFRSLYFLTSVAKMTSPAPSAAFAAVPYSTFNSLHLGRSTQSIVGWLIRFWDSRNINKNGEFMGITILLFDELDSVIHSFIPANRASQYRSSLKSRSIVRLDRFEVARVAHMYKLDAPVIKSNRFLVRRFDHLQFPSPDVVGEIRSVQGLISKTSQPRAETVIVYLSLWDEAASTFRGLLKSGYRSQSVLLVTLVNPKLFGGNLYLNSTQGTRFFFDTSLPEVTEFVSRQ >A01p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8507962:8511406:1 gene:A01p017200.1_BraROA transcript:A01p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSEKYGPLMSLKFGNVPTVVASSPETVKDVLKTFDADCCSRPYLTYAARISYNLNDLAFSPYSKYWREVRRMTVLELYTAKRVQSFRHIREEEVASLVEFVKGAALLGNTVNLSNTLMKLSGSVICRVGFGINLKGSKLEDTYEEIIRETMEVLGSFAAADYLPIIGRIIDRVTGLHSKYDIIALLLKMERGEAGLGEYQLTRNHTKGILLNVLIAGIDTSGHTITWAMTHDQKPKSFEESASRETVRINPLVPLLIPREASKDIKIAGYDIPKKTWIHVNIWGVHRNPNVWNDPETFIPESGRRMCPGMGMCMALAHLTLINLLYRFDWKLPVGMEIEDVDLEESYGLVCPKKVLLQLVPIPVASLELLLTSDLHVETDEGEKAKSVKRASVSDGETKANCFQRIWSEEDEIALLQGIVDYKETSGLDPFNGERKALYDLLKPHISVSVTSVQFFQKIRTLKKKFKKEKNKGSKPNNQKLFHLSKVIWGDEEISSAEKDELKTGEGKEEECVSVVEWRH >A04p004820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2483005:2483660:1 gene:A04p004820.1_BraROA transcript:A04p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMTTEFMALWDGISTDPNVRVMVLAATNRPSELDEAILRRLPQAFDIGMPDRKEKYEILKVDIFELCEKATYFPIREILEKEGKGRPCPVPRPLSQLDLEKVLDWIWRKFLLCRRRRKLQQASTVG >A06p038290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20638858:20642597:1 gene:A06p038290.1_BraROA transcript:A06p038290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESDSGVVGGGGREYGNGVLSSNKHAGVKTDGFELRGQSWFVATDIPSDLLVKIGDMNFHLHKYPLLSRSGKMNRLIYESRDPDPTILLLDDLPGGPEAFELASKFCYGVPVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACSNPKGIKWAYTGKSPSPSTANNFASSSPKWKDPKDSGFYSSPSRNNNNNNQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELLGASITHYAGKWLPGLIKEGTPDEMSVSHGSNSSGGSSGPDWRGGLHMVLSGKPNGQHQQDSAPYLAGHGISPKDQRMIVESLISIIPPQKDSVTCTFLLRLLRAANMLKVAPALITELEKRVGMQFEQATLQDLLIPSYSNKGETVYDVDLVQRLLEHFLVQEQTEGSSPSRMSPYADAGIPRANSISGGGGNGGSNQNAKMRVARLVDSYLTEVARDRNLPLTKFQVLAEALPESARSCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTSLKESTTLGEAMGTTYQPMIPNRKTLIEATPQSFQARWAAAKKDINTLKFELETVKTKYVELQNEMEVMQRQFEKTGKVKNTSSSAWTSGWKKLSKLTKMSGHETQDMVGGEQVGVDHQPTRKPRRWRNSIS >A06p007340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2544804:2550920:1 gene:A06p007340.1_BraROA transcript:A06p007340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIRKRLHVRVEHVQQSRCAEEFKLRIKKNDELKAAAKARGETISTKRQPKGPKPGFMVEGSCGKTMGCNVSKGTRTNDTVKKSVKEKKKPVPSSKANVGGGSEERASFINSNEATLELLIPSDDGAEKKVRKSSRSVFQRRLTTDTSKVGALLQQPNGERGAQVVAGWPSWLASVAGEAINGWIPRKADSFERMEKIGQGTYSSVYKARDLVTNKIVALKKVRFANMDPESVRFMAREIITLRRLDHPNVMKLEGLITSRVSGSMYLIFEYMEHDLSGLASTPGVKFSEAQIKCYMKQLLHGLEHCHSRGVLHRDIKGSNLLLDSNNNLKIADFGLANFYGDQHQKQPLTSRVVTLWYRPPELLLGSMDYGVTVDLWSTGCILAELFNGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLSQATVFKPQNPYKRCVAETFKSLPSSALALVEVLLAVEPDARGTTALALQSEFFTTKPYASDPSSLPKYKPKKEIDVKLREEEARRKKGTSSKQNESKQVSRESKAVPAPDANAELLASLQKRQGQSNQTSMSEKFNPNEDAAGFLIEPLKSGTGQNGYARNGVSSGSSRSTPRKELRGQRSFVQRGAAQLTKFSNSVAARDASHFAIANPRWLEDRLNNNNDGRQDDGDWSQRLLVKPKDCTKDKESILGYGEKTEKMNYSGPLVSAGGNLDEMLKEHERQIQVRLWLALKDLDDEIYETSWDLIMVNALTGYYDDSPGRMTAIYTAGIMARNRKQAGETDVFVHDVNSEVEDKFSKSFSSEGYMKKQEGRLGHFIIPSHRDGSESESDRPFCP >A08p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24571561:24572931:1 gene:A08p044460.1_BraROA transcript:A08p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEPEHEPRDVEEAGANEDEDTGAQVAPIVRLEEVAVTTGEEDEDAVLDLKSKLYRFDKEANQWKERGAGTVKLLKHKSTGKIRLVMRQSKTLKICANHFVTQGMNVQEHVGNEKSCVWHARDFADGELKDELFCIRFASIENCKAFMQKFNEVAESEVEKEESKDASDTAGLLEKLTVEETKTEEKPVEKEKTEVEAEEKKKSEPEKADEEKKTEEAVPST >A02p034420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18694610:18695697:-1 gene:A02p034420.1_BraROA transcript:A02p034420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLLRLWIKPSRHSGTILPSQLEDVALIEYAHLNARPYRVFSLDTWSLNPETYDEVERHYGISIECMFPDSVEVQGLVRNKGRKDQSPGTWSEIPVVQVDPVFQGLDGGAGSLVKWNPVANVERNHVWSFLRAMDVPVNTLHAREGRWWWEDAKAKECGLHKGNNLDGESEAVVADIFKSESCDFEQTRSLESDEVGEQKGAMDCCAVCSLVSLLSYAELADKLAGSGVKVVKFRADGDQKEFAKQELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFFNLVR >A05p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5914683:5915536:1 gene:A05p013560.1_BraROA transcript:A05p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSGWGDGHGSFLDFDFDFDNYKDHYKVLELNSDASDDEIRSNFIRLALKWHPDKLKEEDSATSRFQEINEAYQVLSDPFTREEYDKKRMRRIYEHNLELFDRYKELIFTCNGLGMKNFLW >A03p056990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24714433:24716288:1 gene:A03p056990.1_BraROA transcript:A03p056990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFKSFFLTVTIIFCALQKSDSSASFTTKNINVGVVSLRNFGDLIFYGEVSVGTPPQKFNVVFDTGSSNFWVPSTRWPTKTMFRHQKFNAKASKTYFPVPDRHDESIEYEAGALKGNLSRHNLMLGGVMLEAQDFFVGFKPDSHLIEVKFDGILGLALPSLKIAGTKTVLENLVEKNLISQRIFSIRMKTSRKRKRAGDEVQNAGQITFGGLNKRHFRGEHVYVPVLSGTGFWKISMSQIYVGAHDVDVCIPQCFAFVDSGTTDIYGPKEQIKKIYEELGTDKKDFACSEFKKLPAMISFLIGGKRLFINRNNYAYEYTDTKDAKRCALRLVTSDTGTDTWILGMAFMQAIHTVFDFQDFNRPKIGFAEAVP >A02p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25661385:25664770:1 gene:A02p040590.1_BraROA transcript:A02p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLAQLLARPIKRRIRIKEWGFQQTSSGTESLKSPRFSSTSKWRSTCRTVIACSHAPTQYSPVDADIGSPALSLDFVGHDSTAKQSKPLHSFDLSLNPNPHHSLSFVSIKSMAPNTHVKRAFKAMNDLGITDAQVKPVLKNLLTLYDKNWELIAEDNYRALADAIFDSQESHATEEVKGKEKKGDEAESSAVEVDRGKKKALESLEEDEDELEPPLKRLRRRGEGVSASGDLGSPSLEEHTTHDEDTTISLPFHPQPTENNADAETNGHGDDPRTSIKRYSEQRLATTLEESSTLELASSETGEVKINLSFAPATGGSNLRVPTMEELRRAMEDKCLRSYKILDPDFSVGRFMGDIVTCFLELSKNAANQPPESLPTNVEEKGEAGESKGLVVVPECQISAEDFRLISSINDVTLGKETLEIPWVNEVNSKVPPPFRYIPQSYVYLDAAVKFSVGNMRDDDQRCSSCCGDCLAPSVACSCATALSGLTSYTKDGLLQNDFLEECVSEARDPQKHVLQFCKECPLERAKNIEILEPCKGHLKRKAIKECWINCGCINKCGNRVVQHGIHNKLQVFFTPNGRGWGLRTLEKLPKGAFVCEFAGEILTISELIKRSSEKLTFPVILDAQWGSEEASGVDKALCLDGMHYGNISRFINHRCSDANLIDIPVHVENMDFNYYHLAFFTTRDIEALEELTWDYGVEFNDHVYPTLPFHCQCGSEFCRNVKRISKSKKTKKRA >A03p030630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12882596:12885789:-1 gene:A03p030630.1_BraROA transcript:A03p030630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 19 [Source:Projected from Arabidopsis thaliana (AT4G11050) UniProtKB/Swiss-Prot;Acc:Q8L7I0] MSSSTSTISVLLFLGLVQFAVSGHDYKQALSKSILFFEAQRSGHLPPNQRVSWRSHSGLNDGKSSGVDLVGGYYDAGDNVKFGLPMAFTVTTMCWSIIEYGGQLESNGELGNAIDAVKWGTDYFIKAHPEPNVLYGEVGDGKSDHYCWQRPEEMTTDRRAYKIDRNNPGSDLAGETAAAMAAASIVFRRSDPSYSAELLCHAHELFEFADKYRGKYDSSITVAQKYYGSVSGYNDELLWAAAWLYQATNDKYYLDYLGKNGDSMGGTGWSMREFGWDVKYAGVQTLVAKILMQGKAGEHTAVFERYQEKAEQFMCSMLGKSTKNIQKTPGGLIFRQRWNNMQFVTSASFLAAVYSDYLSSSKRNLRCSQGNVSPSQLLDFSKSQVDYILGDNPRGTSYMVGYGHNYPRQVHHRGSSIVSYKVDQKFVTCRGGYATWYSRKASDPNVLTGALVGGPDAYDNFADNRDNYEQTEPTTYNNAPLLGVLARLISGPTGFDQRLPGVSPTPSPVIIKPAPIPKRKPTTPPAPASSPSPITISQKMTSSWINEGKVYYRYSTKLTNRSTKRLKNLKISITKLYGPIWGVTKTGNSYGFPSWMKSLPAGKSMEFVYIHSAAPANVSVSNYSLE >A06p022670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12110760:12113316:-1 gene:A06p022670.1_BraROA transcript:A06p022670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 8 [Source:Projected from Arabidopsis thaliana (AT1G16260) UniProtKB/Swiss-Prot;Acc:Q9SA25] MNCDLKHFFFAFFLFKIYGYIVASAFHHEFPVALPNCIDQCNRAGVRVPYPFGIGKGCYKSKWFEVVCNHSLHLSFPFLPSIGLDVISFSLTDDFIYEDRSHKSNGFQIQSPRKTSGCSYGKKDVQSLNLTGSPFFISDNNKFTAVGCNIKAMMVGTGPQIVGCEARCGKETRYYKDADKSCVGYKCCQTKIPPGLQVYDSTVEKLEPGKNVCQEAYLSREDFSTYTLTSPDLTENVIVTMDLEWRLEVPPNIVPKSSHCEISTSVIRTDDQYKYQCRCRSGYEGNPYLPGGCQGGTLSSGLFLIAVGTWWVCKVNKKQKAAKQKRKFFKRNGGLLLEQQMFSLHGNVNKTKLLSSNDLEKATDRFNASRILGQGGQGTVYKGMLEDGMIVAVKKSKALKEENLEEFINEIILLSQINHRNVVGILGCCLETEVPILVYEFIPNRSLFDHIQNPSEDFPMTWKVRLCIACEVADALSYLHSAASVPIYHRDVKSTNILLDEKHRAKVSDFGISRSVAMDDTHLTTVVQGTVGYVDPEYLQSSHFTGKSDVYSFGVVLIELLTGGKPVSILRPQEVRMLGAYFLEAMRNDRLHEIIDARIKEECDQEEVLEVAKLARRCLSLNSEHRPTMRDVFIKLY >A05p007450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2988017:2989668:1 gene:A05p007450.1_BraROA transcript:A05p007450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSQSSENSKTCPSNNFKETTKKEDNKDDENKDEEARSVDQIPSNNSYVEESGSHHHNNDQIKKNGGSVRPYNRSKTPRLRWTPELHLRFLQAVEKFGGPDTGATPKLVLQLMNVKGLCIAHVKSHLQMYRSKKTDDPNQGNQGFSFEHGAGYTYNLGQLPMLQSFDQRPSTSLGYDGGSWTDRRRQVYLSPWRGLTARNSTRTRPTLFSSQSGERFRGVSNGILDDKNKTISFRINSHEAAQASNGVGESVPSIHRSFLEGTKTLDKSWGQSLSSNPNYSTASGPQDHIATTLSSNQRENPRVAEETENVLKRKRLLLSDDCNKSVQDLDLSLSLKVHRTHNNLGDCLLEDEEKKLDDHEDIKGLSLSLASSSSSKLGRDIRKEVQNDTKKRKISVLASPLDLTL >A10g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8732403:8743043:1 gene:A10g503380.1_BraROA transcript:A10g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRGAYPNYTEDQLSALKENEFVRFFLSRGDPIQPWLEELALGDNEVFSDSESEKGEFNEDSSESVIYKSRNALTLIRILPLSTKSPPCFIPVDLPLHSASLSPAVLRRSPPPFSVALPRRSPSISPSLHSHLSPSLSLENLSRKLSYADFLAGSFPSSSSAGRGSPAAHGTSVPQPQPASIIEDRLQNELLVAPGRELLPKLSPNGEPNTSWRRNRNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPAFTNDVRTPFNLQARKQYTSNVTEWKKKWRLKKDKPICLNQDVWDGFKAYWQLDATAHNAATNSVNRRSKRGGKGEAVHNGGAKTREEREIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTASNMLSREEINQLVLENVPIKKGRRYGIGRTSEAISTSSSQLSVSSSSIVQYMERMKTELDEERSCHVKGISQLRLNQDTMETRLTGAGGLAHSAGNSWRSAQSGERCVLVRISVDESGTVTGRAVGPGAGRFDQIGLRLGTGSGQASRVMDLRQKYKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMIADQKWTVVREKPHEDRGHGKMCGDWVDSENCGTRRYKSRKGKDATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGDDTGSSNVGAGSGQNVDANNVRVTGAEEVTEPTIRGLVEAMQIMGAQIASLTHAFTPLVNSSVGQANPPVRVAAGVADVKGISQLRLNQNTMETRLTGAGGLADSAGNSWRSVQSGERCVLVRISVDESGTVTGRADGPGAGRFDQIGLRLGTGSGQGSRV >A04g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11700826:11701761:-1 gene:A04g505520.1_BraROA transcript:A04g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFPARSDDAEKFSGKADRLDKLRRTRRSLSQRLRNTNKENYKCVLLAFGFKGCCGEYILKIYEVGELFEEARETLKKSVVCAIKELRIYNAL >A01p016760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8263386:8264409:1 gene:A01p016760.1_BraROA transcript:A01p016760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGTIVRKPRFLCLHGFRTSGEIMKIQLHKWPQSVLDRLDLVFLDAPFPCQGKSDVEGIFDPPYYEWFQFNKEFNEYTNFEKCLEYLEDRMIELGPFDGLIGFSQGAILSGGLPGLQAKGIALQKVPKIKFIIIIGGAMFKSTKVAKDAYSSTMDIPSLHFLGETDFLKPYGTELIDSFKNPVVVHHPKGHTVPRLDEKSLEKVTAFIDTLEHLLMEDEVKIGEDLIM >A09p024260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13440541:13442187:-1 gene:A09p024260.1_BraROA transcript:A09p024260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 21 [Source:Projected from Arabidopsis thaliana (AT5G44110) UniProtKB/Swiss-Prot;Acc:Q9XF19] MARSDGAIRVSGMQFSYDVQDPIFFDFSLDLPAGSRCLLVGANGSGKTTLLKILAGKHMVGGKNIVQVLNRSAFHDTDLVCSGDLSYLGGSWSKTAGSAGEIPLQGDFSAEHMIFGVEGIDPVRREKLIDLLDINLKWRMHKVSDGQRRRVQICMGLLQPFKVLLLDEVTVDLDVVARMDLLEFFKEECEQRGATIVYATHIFDGLETWASHLAYIHGGELKLSAKLKEIKDLETSPNLLSVVENWLRSETKVEKKTKKKPVATSPFMSSRQMAYYR >A08p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9293162:9293709:1 gene:A08p013830.1_BraROA transcript:A08p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQMDPHDKMRSRDLSKVARGQQAPRPTHAPSKVSPPPQNEATFRAKRGEDGGEETDSARDELFQVTKETRHCFNRYMQYHRCLEGKGRDANDCNSLRDYVRTMCPETLVEKWEEQRKAGTLPSSI >A08p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23843574:23844073:1 gene:A08p042550.1_BraROA transcript:A08p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASPALRKPVFTKVSELRPGTNGLSLNVKVISTKMVMQRGGGGRPSGPQARQMRIAECLVGDETGIIIFTARNDQVDLMKEGKIVTLRNAKIDMYKGSMRLAVDRWGRVEVSEEAADITVKEDNNLSLIEYELVSVEA >A03p002350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1086518:1088344:-1 gene:A03p002350.1_BraROA transcript:A03p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMNQNGVVKFFTALAFLTATTVSLPSSPDRFLKSALTSLRSPALPQEYQELTLPSPSDHLTPSCSHLLLRHSFANTINKPPFTAPYTPPPPDCASPPWSRVVLDLRAASSGDQYDRISGLWLGGVELLRTSTAEPSPTGIFWKVRKDVTRYSSLFTRSDLNVTMMLENIVNDVYTGIINRFNVGLVILPGLHKIRFSSYYNRLCNDLIGIYHINVTLDFYEFNPTEIISRHFPADLIVPVSNDGVWFMIENAEESYSNRIALPLNTRKIVLELYVSSHGNDEFWYSNPPDLYIETNKLAITRGNGAYREVVVKIDGRNVGSEVPFPVIFTGGINPLFWEPVVGIGAFNLPSYDIDLTPFLGMLLDGKAHEFALSVNNGISYWLVDANLHLWLDHGASSVEAGSGCYESPKRHMVRRELLEELDGSFKVEAEVRSEFDGWVKSSEGNLTTMVKSVFKVGSLVKFEKDGAYKRVEQRVESKRVVEVTTESGKRVDRVVHQRSYPRTVITSTLRGLSNDKDMYVLVTNVSQALNERYSVGEALTEVYNRQDSDGWMQVEDHNVLAGEATTRQSLRYIDEFGCYSRTVVAANGEIDQDSSSDKCPSSSSS >A10p039420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21832179:21833872:-1 gene:A10p039420.1_BraROA transcript:A10p039420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRRQKPRNNNNNNNNYNNHHHQQRGTTMTQSPKPPLANSKQSVPAWEKDFCAKVGSVPWSKVLEAKRFMHLYERVVQWDDSAGEDAFNKAKSHYWAEINGVSCDLPLPDPDVYIDDVDWDAQVDNELVLDLERGGPDPRTREGEGEGEERVVILDALFSSGQYSGQGWGTGWGDAEGVKEKNVGWDDQGCDGWNQDSWGRKEEPVGWGHEDENNNSFRRETWEYKNRNSFNYKKVGNWNGNDHHHQGREWRKRGAVPREGEQVDDCRWRNGRGRSRGGFQQHSNVYYTKRLEGDDRDKLGNLYSYAFVVCHLLEPLERNRESPEYSSKLIISLVYFRGA >A01p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3095703:3097195:-1 gene:A01p006010.1_BraROA transcript:A01p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSDHVIDIPSTASSSHDRISNVLEPLQHESPSTPPPLPVSQPVATVSSASSSTRSNPRTNRRRRSPLNSGLWISIELFLTLGQIVAAIVVLSLSKHEHPRAPLFAWIVGYACGCVATLPLLYWRYYHSNQASEQESGQHRPNLNVAAGPFAFSISRTAEGDGRQGSNTPSRSSYHAFISAARLKVIVEYFKMALDCFFAVWFVVGNVWIFGGHSYAAEAPNLYRLCLVFLTFSCIGYAMPFILCTTICCCLPCIISILGYREDLTQPRGATPESINALPTHKFKLKKSRSSGGDENGSSTGEGGVVAAGTENERAISGEDAVCCICLAKYANNEELRELPCSHFFHKECVDKWLKINASCPLCKSEVGEKNSDLTSQGVLNSLSSGENETNQQPQQQQMRNEHRADNGLANSVV >A04p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6736829:6737102:-1 gene:A04p011400.1_BraROA transcript:A04p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLEREFGDVVHLEREFGDMEVRESECGNMEGGECSNMEMMESECGDMEVRERVWLDGVERGSVA >A05g501700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5940664:5941427:-1 gene:A05g501700.1_BraROA transcript:A05g501700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRFEEGKIYHIRYFNLLSNNQRYMLTVQLYIININETTIITQIAENIPPIPSCILRPQNYPQLISLTSATNFLPGKKKPLPMLLAEYASSKEVIYITRTQIQRSSLHCIQNGTSNYLEQQGRKFQGAKSHIYQEKLNRNHHKYHSTGYYHLQSHLDRAFTSTPTLISYNASKRGINFYLKPDN >A08p040330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23006251:23008943:-1 gene:A08p040330.1_BraROA transcript:A08p040330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRGLDLIVFLLAVIEGGRGRGLNIWDGFTHRYPEKGGSDLGNGDTTCESYTMWQKDIDIMDEMNATGYRFSFAWSRIIPKGKVSRGVNKGGLEYYHRLIDGLIAKNITPFVTLYHWDLPQTLQDEYDGFLNRQVIEDFRDFADLCFKEFGGKVKNWLTINQLYSVPTRGYSTGADAPGRCSPKVDERCYGGNSSTEPYIVAHNQLLAHAAVVNLYRTKYRASTCPFQFQRGRIGPVMITRWFLPFDETNKASIDAAERMKEFFLGWYMEPLTRGRYPDIMRRMVGNRLPNFTEAEARLVAGSYDFLGLNYYATQYVQPTHNPLPVTSERYTAMMDPGTRLTFVNSRGEKTGPLFEELKGGNSYYYPKGIYYVMDYFKTKYRNPLIYITENGFSTSGDQTRQEAVADSKRIDYLCSHLCFLRKVIMEKRVNIKGYFAWALGDNYEFGKGFTVRFGLSYVNWTDVSDRNLKDSGKWYQRFINVTTKITAHQDFLRSGLSLENKMKTLADA >SC320g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000165.1:5948:7244:-1 gene:SC320g500010.1_BraROA transcript:SC320g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDRAEHTARAVYRLDPRSSGLELQHNPRPDGQINRTEVRLSRPVRHAKSFGQARSEVVRVESKSDHGLSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLAIFWPGTVHESHPSVHEERTGRVLLLTAGRAISYIESGQESGLLSIFYVSFSHILLIIFDYKLYKYNILVPLVRLSPSDSLSLSRTCVSNQAAIESSSSIIGTSASLCVTKQSISSLSLQIEFISSEPVECSFLRVLQVVSEPLFRTLRKTLLRDYQIE >A09p038310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000244.1:11:1410:-1 gene:A09p038310.1_BraROA transcript:A09p038310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLGNKHPDSDQSSPSKTRVYVRQYTDPVQGRASMLLRTRISTRISTRTVHGKGQNADITRISPRISTRTVHGKGQHADMCVQHADMSSVHGSVHGSVHGQSTGRASMLICVYTDQCMDQYTGQSRKGQILIVPMVLMLLCVSVHGSVPREGPACDMCTDMVGVKLQHKPKNGLERSYGVGRIRGLKGGQHADMCGQHAEMSTRISTQISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADICGQHADMSSVHGSVHGQSTGRASMLICVVSMLL >A02p033910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18221947:18222896:-1 gene:A02p033910.1_BraROA transcript:A02p033910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDHLWDDTVAGPLPENGLGKLQKHHTFSFRPSSANDQSDVRSYGGDSPEEAVKVTRSIMIIKPPGYQSGSAPVSPAGSTTPVSPSPFSGGKEPFRFRRRSASDAFDKAAGSENGPRKSPPTYGV >A06p008120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2812356:2814973:-1 gene:A06p008120.1_BraROA transcript:A06p008120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSVANERGAPTKQLGVGALHYQLYTDEEAERCYEEFYEDVHTEFQKYGELINFKAASHTWYPLTKLVFSQKETLYVHFRLATRGSACNFIHGFLNPGGDYEWADHDKPPPRFWIRKMTALFGYSDEYLKHVEREHSGSLSDFRTDQPTDSYRQLSRRSRSRDHDHVNVGSKPCHRNSKNHCDSTRGHKLSRHEEKRHGGDESPTSTRDGIPLMILLLEMTMAGERRVTDGKAQSVMVEEAQIQKLKNGWRMKRTREPIGLVLTGEQEEKSIEKDHQSDQEESHAHDRVVHADKSHLERWKHGHERSTAQDFHTRSERILTEAGKETMP >A02p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8159393:8163222:-1 gene:A02p017930.1_BraROA transcript:A02p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASELHDLSDDADYAASQLQVCSSLTPPLPLTFYFAILCKSILIAIAIAIGFLLYKPNRYESLREFVFLGLIMFLSLQGSASMMRCDSDKRSRSSDPDAAELIYLKDNVAIHPTQFASERISGRLKLTKQDALLFLSWIPYKGQTSNAKLSEKDRNLYTITAVPFTEVRSIRRHTPPLGWQYVIVVLSSGLAFPPLYFYNGGVKEFLATVKQHVLLARSAEDANVFIVNDFQSRLQKTLSSLELPSSLPVASGQSTYPLDEVYSNENQGGTSAGVDNRVSNLSQYGLRKQKSHDPTRDLSIHLLEKFSLVTKFARETTTQLFSENNGFGSAGKRWNNQPVHSYPEKLSNIVEEKHDQNPHSYPEKEHLNDEETYNDIDVPADPLEFNKLSLVWGKPRQPPMGSQEFTAFLDSEGRVVESKALRERVFYGGIEHHLRKEVWPFLLGYHAYESTYAEREYLRSVKQMEYATLKQQWQSISREQAKRFTKYRERKGLIDKDVVRTDRSFEYYEGDDNLNVNIMRDILLTYSFYNFDLGYCQGMSDYLSPILFVMEDESEAFWCFVALMERLGPNFNRDQNGMHTQLFALSKLVELLDSPLHNYFKQNDCLNYFFCFRWILIQFKREFEYEKTMQLWEVLWTQYLTEHLHLYVCVAILKRCRSTIMGEQMDFDTLLKFINELSGHIDLDSTVRDAEALCICAGENGAASIPPGTPPSLPLDDGIVYSLDDDVL >A10p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4870979:4872501:1 gene:A10p009520.1_BraROA transcript:A10p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPRLARAVSQISKLRSFSSNASPFFSRCGYATVAQADDAPSQNDFPSKSPVNLDKLFWSKPCSLALPKDSPLRVDEPDYQGVRRFILKMLLFYSKQSTSIRGANVIYKRIISQVDTPAIYDVFNLEKTFKITYSLLVLHMWLVLRRLKQEGKEGVDLGQYVYEIYNHNVEVRVSKAGVNLLLLTWMKELERIFFGNVVAYDEALLPDAKPNDLQIKLWRNVFSDDGTTTPDETDLKAAKAMARYVRREVGSLSLTDKESIFSGNFSFTPLENKASVT >A01p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1704743:1706669:1 gene:A01p003920.1_BraROA transcript:A01p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/N-InvB [Source:Projected from Arabidopsis thaliana (AT4G34860) UniProtKB/TrEMBL;Acc:A0A178V2X0] MSSLNLNVDVNQNGDIKKADTLDDIDDIDFSKLLEKPRPLNIERLRSLDERSLTELSGSPQLRNADNASRVHDHADYVASPSVGRRSGFNTPRSVHGFESHPMVGEAWDALRRSMVYFRGQPVGTIAAVDNSEEKLNYDQVFVRDFVPSALAFLMNGEPDIVRNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVFHDPVRNHETLIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLADMPECQKGIRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLVLKHDGEGKEMVEQIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPSHGGFFIGNVSPARMDFRWFALGNCIAILSSMATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIEVAEARLHKDNWPEYYDGKLGRYVGKQSRKCQTWSIAGYLVAKMMLEDPSHVGMVALEEDKQMKPVMRRSNSWTC >A04p005540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2921218:2921505:-1 gene:A04p005540.1_BraROA transcript:A04p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVISWLVWKCKRRSCPKKSGFFNLVEKGIRLNGENIHLTERRTELRECIVGDSSFPLLPWLLTPYQRKLLPLPQTQFNRRHSETTKPGQMAL >A09p079870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58656197:58661359:1 gene:A09p079870.1_BraROA transcript:A09p079870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNKIEIKMVMRTVDLRSDTVTRPTDAMREAMGSAEVDDDVLGYDPTARRLEEEIAKMMGKEAALFVPSGTMGNLICVTVHCDVRGSEVILGDNCHIHVYENGGISTIGGVHPKTIKNEEDGTMDLGAIEAAIRDPKGSTFYPSTRLICLENTHANSGGRCLSVEYTDRVGEIAKRHGLKLHIDGARLFNASIALGVPVHRLVQAADSVSVCLSKGLGAPIGSVVVGSQSFIEKAKTLRKTLGGGMRQIGVLCAAALVALQENLPKLQFDHKKTKLLAEGLNQMKGIRVNVAAVETNMIFMDMEDGSKLTAEKLRKSLAEHGILVIPENSSRIRMVIHHQITTSDVHYTLSCFKDKPYLSYQTPGRRKTRTAFVPKSKKKKTKLLMTSSNLLVEAHFLHIPKQAILSNGFRLVNWKKETVSRCGSSLCIDHVADLSERASELHNNNKVKPPRMVVFSSDGPITENKQDANNNILHSLCSYGKLTDACKLVEVMARHNQLPHFPSCTNLVRGLARIDQTDKAMCILKIMVMSGGVPDTITYNMVIGNLCKKGDLRSALSLLEDMSLSGTSPDVITYNTLIRFMCDNGNAEDAVEFWKNQLRSGCPPYMITFTVLVELVCRYCGSGRAIKVVEDMAVEGCYPDIVTYNSLVNFNCRRGTLEDVALVIKHILSHGVELNTVTYNTLLHSLCSHGYWDEVDEILSIMYETSCTPTVITYNILINGLCKAGMLSRAIDFFYQMLEQGCSPDIVTYNTVLGAMSKEGMVNEAMVLLALLRKTRCCSPGLITYNSVIDGLARKGMMEKALALYNQMVEDGVCPDDITRRSLIYGFCRANLVEEASQVLKETSNRGNGIRGSTYRLVVQGLCKKGEMEMAVQVVEIMLTSGCKPDERIYTAIVKGVEEMGMGSEAGELRKKLRQWKLLREV >A01p052580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29499416:29500738:1 gene:A01p052580.1_BraROA transcript:A01p052580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVGSSLYSRLHGIFKTQNPNQISTVKTTAKPRTQTKSKKFVNKSKKPTASSASVAGGDAVTSSNDSKPTKDSNLTKKVEKFKRSCESQSFRQVHGLYSAFIRRLREANKFSIIDEVLQHQKKYDDIKSEDFVIRMMLLYGYSGMADHAHKLFDEMPELNCERTNKSFNALLSAYVNSRKVDEAMKVFKELPEKLGITPDLVTYNTMIKAVCRKGSMEDILSILEEVERNGLEPDMITFNTLLEEFYRRDLFAEGDRIWDLMKSKDLVPNIRSYNSRVRGLTRNKKFADAVELTGVMRAEGISPDVHTYNAFITGYRGDNNIEEALKWYDEMKENGLVPDTVTYCLLIPLVCKKGDLDRAVEMSEEAIRHKVLSRPNMYKPVIDGLVGEGKIDKAMQLVKDGKLQSYFRYLPGLSTGKKDTATVPVSSSSPVEASVGDE >A03p009860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3929447:3930685:1 gene:A03p009860.1_BraROA transcript:A03p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTAIVDEQQVVEAIQDLALQDQGKEKIQEETHELNFGNHGGCCAICLNAIPLQETAMVKGCEHTYCVTCILRWASCKESPTCPQCKHPFDFLSVHRTLDGSIEDFLFEESVCLLLRASWFIPLDVMVEQASYSYGYHHDDFDIPCDYEDEDADLDESGRQEARPVKKHSGSGSSSSSSSGSSSCEPKDKQVKTTNTTGRRAKRAMKREAANKAAEVASAAKHEAHLVRLGRK >A02g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:96143:96699:1 gene:A02g500030.1_BraROA transcript:A02g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPCGGGGAEGAVPGGSLYSFNTVQKWRRVNTETNDSANTGYLYLHVSVSFAITLSASIITTIPDPTIID >A07p028060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15932923:15933801:-1 gene:A07p028060.1_BraROA transcript:A07p028060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCSDKLFKRERTVHEILGGGIIADVILWRNKNVSVGIVTVTISSWMVFESFAYTILTLLSSVLLLLLSILFLWSKSASILNRPSPPLPEFQISEEMAEEASKLLRFHVNKLLQVTYDIALGRDSELFIKVAVYLFLISLVGSLMDFQTLCHTGVLVVMTVPAFYERYEDCIDGTLAFIYNKAKELYHRFEIRSYLIKKKLS >A07p047580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25529573:25531356:-1 gene:A07p047580.1_BraROA transcript:A07p047580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable myosin-binding protein 6 [Source:Projected from Arabidopsis thaliana (AT1G74830) UniProtKB/Swiss-Prot;Acc:F4HVS6] MITQLLCFFLFLFLLLQATMIFKRSFKNFVEQELGSFPRFLIYTVLEWILITALFVDGVLAFLSNQYARFFDLDAPCLLCTRIDHVLVPRDPHFYYNDSICDSHKKKVSSLAYCHVHKKLSEIKHMCEGCLLSFATEKESDCDTYKSLIGILHKDLEVLIEDERDLPLGLKKQDDLVQTTTTHLIDYKTDSLKQHCSCCGELLKTKSEKFLKKNNSFLAPAPSPRVSYNKLSEINESEFKDFDVEERTPSFVRGGNKFFGVPLSDSAQNSPRWSVRSLRKPPSLDKIEIPDSNGESILNQLKKEVRLDKKSLIDLYMELDEERSASAVAANNAMAMITKLQAEKSAIQMEALQYQRMMDEQAEYDQEALQSMSSDLAKKEEEFKELEAEFEAYRERYGCLTDDEASGDEFLEEEGNARADDDASRDEFLEEHGDARADDDCQETKPDSDFEDCSSNQEEDVENVDQNRSCKSEESGLLVQMKSSEESNTKNDASAEEEKGGVSKEGIVKELSAITERLSALQSDGELSKHIADVSEGEAMLLQISQNLHMLRSSFAAMPSES >A03p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9836836:9839350:1 gene:A03p023320.1_BraROA transcript:A03p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSYDSAAAAKWSDSTSPYNMVSWSLLQPGSSDSDLSRFNLGSSDELVGGNDKAESVSRSHRLAEKRRRDRINSHLSALRKLVPDSDKLDKAALLASVIEQVKELKQEAKESPIFQDLPTEADEVTVLPETISNDFNQDTTIFKASFCCPDQTEAISEIVSVLTKFKLETIQAEVICVGGRMRINFMLKETATTTSAKALKQSLCAALNRIISLTTSSTSSVCRIRSKRQRWFLSSNYSQ >A02p051080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31440500:31442549:1 gene:A02p051080.1_BraROA transcript:A02p051080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKDSVKVVGVEKAEKGKTLSANKRDGEESESGFWFRIKLIFSCITSRSKVDSSLNATTTVIAAPKHVVEKREDHTPPSKDASRPESGSSTPLISGELKYSSKLRIFMFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLVHPSLVKLVGYCMEEDQRLLVYEFMPRGSLENHLFRRTLPLPWSVRMKIALGAAKGLAFLHEEAEKPVIYRDFKTSNILLDAEYNSKLSDFGLAKDAPDEKKSHVSTRVMGTYGHLTTKSDVYSFGVVLLEILTGRRAVDKSRPNGEQNLVEWVKPHLLDKKKFYRLLDPRLEGHFSIKGAQKATQVAAQCLNRDSKARPKMSEVVEALKPLPSLKDFASSSTSFQTMQPVAKNGVRTQGGGFVARNGQPLRSLSSLNLPQASPYRNARQSPKPKGKEQ >A06p008940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3125375:3126205:1 gene:A06p008940.1_BraROA transcript:A06p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISATATATATTDKKNEPVSRKNTTALSPVAVVEEETVVKEVLSETTLVTSLNDNSAMETTRNKVPEEEVEEEKKPGAVDADPDPVLAEKGSVEPGKGSEVSEVCSLSESLLSIVSGCDEEEVKQRKLHGVRQRSPAKYRDRVVANNYPTRRTDMSPRKRNIEGGGEGAGSVRMVPSGTGQRDPTERSERRRSRSPAINRPVMVGPSRNHYVSTDNGGVMMKKGQSPGRVRPYPNKNGSEQDCHRQWPSQNDNSTSNDSFENPLVSLECFIFL >A10p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16975509:16977703:-1 gene:A10p026890.1_BraROA transcript:A10p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 11 [Source:Projected from Arabidopsis thaliana (AT5G19160) UniProtKB/Swiss-Prot;Acc:Q5BPJ0] MSKYPSLEDDEATPIPEAIKRFKRLRLVFEPSLGVLGFFLVGLCLVFSFFYLDYTNVAQSKSRDFSNQSDRFLWLKELDAGSGDNNSNNNNGNRVEFLDENGSGCDVFHGRWVWDEAYPLYHSKDCSFLDEGFRCTEFGRLDVFYTKWRWQPTHCDLPRFDAKLMLEKLRNKRLVFVGDSIGRNQWESLLCMLSSGVENKGSVYEVNNRTITKHMGFFVFKFHDYNCTVEYYRAPFLVLQSRPPKGYPEKVKTTLKLETMDWYADKWRDADVLVFNTGHWWNYEKTIRGGCYFQEGAKVRMKMKIEHAYRRAMKTVVKWIQEEVDSSKTQVFFRTFAPVHFRGGDWRSGGTCHMETLPDFGASLVPPETWEHLKLLGDVLSPLYHSNTSSQSAAKLKLLNITAMAAQRNDGHPSLYYLGSASPAPVHRQDCSHWCLPGVPDAWNEILFALFLKRGGYSRSNISIRVVSQERFRSSPWQCVSPPWIVRVGALLRHHQFL >A06p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22203303:22206977:1 gene:A06p041230.1_BraROA transcript:A06p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSLGRDPLFLSSSLSKSDRAAAIKIRFADIIVKSSSNKSEAMMTIRREKRLLQERQLEEKARIEERMKLRQEERLAVLKMEEEEKAMMEERMKVRQEERLAIIKKEEEEEARSNYMGHVADLPEHLSLTILLLYLLPMAQAKRFQDLIESLYTSRLINFEMITKKTALFLSSSLSKSDRAAAIKIRFADIIVKSSSNKSEAMMTITREKELLQLQEKAMIEERMKVRQEERLAVLKMEEEEKAMMEARMEVRQEERLAIIKMEEEEEARSSNCKFEQDHPLFAQIAEKELLKFIGGSRKARDRSLLKKFGLVLKTDHIDDALLYDLEEGEIF >A02g511370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30232435:30232935:-1 gene:A02g511370.1_BraROA transcript:A02g511370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTAKEEPKTTQQKSDDPENSYASFQGLLALARITGSNNDEARGSWRRLGGAWGEVRLRRRRVVRRRRRVRVRILMLILRLRRLLLRGMGRRRRGVAVVVVLRRRRRRGVEIGRGGGGRRSGGRIREGV >A06p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27799484:27804537:1 gene:A06p052510.1_BraROA transcript:A06p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G01830) UniProtKB/Swiss-Prot;Acc:Q9C5U0] MQCSILSQLITDMNWALNNPNPEEKEPRTTTQSSDFYHLGTKDSPQKPRKIDFWRSGLMGFAKMQHSVAVKMNNGNNNDQVGNKKGSTFIQEHRALLPKGLILWTIIVGFISRGIYQWMNDTSKVRREEVLVSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYHKNPSAIDQGTFADYTARTAFERPLLSGVAYAEKVVNAEREMFESQHNWVIKTMDTGEPSPVRDEYAPVIFSQDSVSYLESLDMMSGEEDRENILRARETGKAVLTSPFRLLASHHLGVVLTFPVYKASLPKNPTVQERIAATAGYLGGAFDVESLVENLLGQLAGNQAIVVHVYDITNASDPLVMYGNQDEEGDTSLYHESKLDFGDPFRKHKMICRYLQKAPIPLNVLTTVPLFFAIGFLVGYILYGAAVHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGILGMLAMLLDTELSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELESVPFDIRSILDDVLSLFSEESRNKGIELAVFVSDKVPEIVKGDSGRFRQIIINLFTEKGHIFVKVHLAEQSKDGAESKPALNGGVASEDITAASKPSSYNTLSGYEAADGRNSWDSFKHLLSSEELLTSSEFEASSNVRLMVSIEDTGIGIPLTAQGRVFMPFMQADSSTSRTYGGTGIGLSISKCLVELMRGQISFVSRPRVGSTFWFTAVFERCDKCSLKKPTVENLPSSFRGMRAIVVDAKPVRAAVTRYHMKRLGISVDVMTSLRTAVSTASGRNGSPLPSGTTKLDMILVEKDSWISTEDIDAEIRQMNSRTNGNVRHKTPKLALFATNITNSEFDRAKSAGFADTVIMKPLRASMIGACLQQVLELRKARQQHPEGSSPATLKSLLTGKKILVVDDNMVNRRVAAGALKKFGAEVVFEATRQIRMMEKEAKEKTKLEWHLPILAMTADVIHATYEECLKSGMDGYVSKPFEEENLYKSVAKSFKANPISDSLCSQS >A03p066580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29413196:29414894:-1 gene:A03p066580.1_BraROA transcript:A03p066580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFITGESKFEQNLMRLQNPMSNWNIILILVGKKWSFVNVQELQSFQKSFVNVQDILDQNRLLINEINQNHESNQPENLQSKGKFITLKTPFFWSAKIPNRKKEVVSTDKAPPAVGPYSQAIKANGFVFVSGVLGLVPEKSDAQKAAEKKEREARGKAKAK >A08p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3208036:3209976:-1 gene:A08p005600.1_BraROA transcript:A08p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGHRKEEVESFIRKGIKYIESFQRPDGSWYGNWGVCFIFGTFNAVRGLVAAGKTYSNCDAIRRATQISWSFLVESVMAKFCVYLLVASHRISSLARRCESFQDRRRTREGGAVKRCNQAKKEVDGSRSGGFPAKPEPFSSDPETSIATQGCTCRSSVKPLCYGIATAMTVANSNDIARNHSIGL >A06p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17211072:17213475:1 gene:A06p032210.1_BraROA transcript:A06p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGDKARRNVVIRAILLGLISIVCVNGTSINYKEALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKLANVDLAGGYYDAGDNVKYGLPMAFTITTLAWSTINYEKELHAAGELENTRAAIRWGTDYLLKCASRENRLYVQVGDPNADHKCWARPENMQTPRTVLEISDKDPGTEIAAETAAALAASSIVFRHIDHMYSRQLLNKAKLLFKLAKSHKGTYDGACPFYCSNSGYNDELLWAATWLYKATRIEVYLSYLKFEAISAYVAEFSWDLKYAGAQILILEMIFEGAKGLDLYKQQADSFICSNIPESQYHQVFTTPGGLIHLRDGANTQYVTATAFLFSAYADILQKHNQKITCGSKQFDSTNLMAFAKKQIDYILGHNPKGRSYMVGFGPNPPMQAHHRGASVPVAEGNEPLSCPMSFVKWFNKNQPNANELTGAIVGGPDRQDNFQDFRWTSAYTEPCTYINSIAVGVLAKLAATA >A09p053940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46633850:46635904:-1 gene:A09p053940.1_BraROA transcript:A09p053940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRINSVYGRVEILKRVLEKSTKQSHAFSASRSFSTEGAYGGGGESQQNSSRVKIFDRDLKRRDRAAWLSRNKNDTFVDAVAENLLDRLEDCKKTFPSALCLGGSLGAVQRLLRGRGGIEKLIMMDTSHDMIKSCRDAQDDNSIETSYLVGDEEFLPIKESSVDLIISSLGLHWTNDLPGSMIQCKLALKPDGLFLAAILGGETLKELRIACTLAHMEREGGISPRLSPLAQVRDAGNLLTRAGFSLPGVDVDEYVVKYKSALDLIEHLRAMGETNALLQRNKAKFSIFLSRIFSILNRETALATAAIYDSMFATEDGTIPATFQVIYMTGWKEHSSHPQAKRRGSATVSFTDIHKQFGGQS >A04g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14230501:14232132:1 gene:A04g506420.1_BraROA transcript:A04g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRDMDVSLFFFFSFLLTLTALVESAGRGVTNNDNKNGGLGASFIFGDSLVDAGNNNYLPTLSRANMKPNGIDFKASGGNPTGRFTNGRTIGDIVGEELGSANYAIPFLAPNATGKALLAGVNYASGGGGIMNATGRIFVNRLGMDVQVDFFNTTRKQFDDLLGKEKAKEYISKKSIFSITIGANDFLNNYLFPLLSVGTRLTQTPDNFIDDMLDHLRDQLTVSNNKKNGPKNRLYQLDARKFVIGNVGPIGCIPYQKTINQLNENECVDLANKLANQYNVRLKILLEDLNKKLPGAMFVHANVYDLVMELITNYDKYGFKTATKACCGNGGQFAGIIPCGPTSSMCEERDKYVFWDPYHPSEAANVIIAKQLLYGDVKVISPVNLSKLRDM >A08p024350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15864116:15870282:1 gene:A08p024350.1_BraROA transcript:A08p024350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEMEAVSVLQYLDNKSILVIGAAGFLANIFVEKILRVAPNVKKLYLLLRASNEKSATQRFKDEILGKDLYKVVKEKYGPNLTQLTSEKVTVVNGDICLADLGIQDSLAHEMIHQVDAIINLAATTKFDERYDVALGINTLGPLNVLDFAKKCENAKIFVHVSTAYVSGEKSGLIMETPYRMGETLNGTTGLDINHERKLVQEKLDQLLVIKTPPETITQAMKDMGLTRAKMYGWPNTYVFTKAMGEMMVGEKRDNISLVLIRPSIITSTFKEPFPGWTEGIRTIDSLAVGYGKGRLTCFLGDLNAISDVMPADMVVNSILVSMAAQAGKQKEIIYHLGSSQKNPLKNEKFPEVAYQYFTTKPWTNKDGKPVHVRKIEILSSMPSFHRYMAIHYSIPLKGLAVLNMVLCKLLDKSVKDFHRKINLALRLVDLYQPYLFFYGVFDDSNTEKLQRMVLKTGVETEMFYFDPKIINWDDYFVKTHIPGLVKYVF >A04p028980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17362723:17374822:-1 gene:A04p028980.1_BraROA transcript:A04p028980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTFDRADEVKAFDEMKIGVKATVTNPKPPSTLTIPTIDMGGGVFESTVKRKEVTEKVKDAMEKFGFFQAINHGIPLEVMEKMKDGIRAFHAQDPEARKRFYSREKTKAIKYNSNSDLYDSPAASWRDTLSCFMFPDVPKTDDLPDICREIMLDYSKRVMMFGELIFELISESLGLKPNHLKKMDCAKGLLMLCHCYPPCPEPDLTLGATQHTDRSFITILLQDHIGGLQVLHDGYWIDVPPNPNALILNLITNDKFVSVEHRVLANGGKEPRTSVASFFVHPPSTSPRVYGPIKELLSEENPPKYRETTPEASNHYVARKQNSKKDTMAGLYDRDSEVKAFDEMKIGVKGLVDAGITHIPRIFHHSPHVTVENPIKPSSTVVIPTIDLGGGMFESTVTRENVVAEVRDAAEKFGFFQVIKHGIPLDVMEKMKEGTRGFHEKDTEVKRGFYSRDITKKVKYNTNFDLYSSQAANWRDTLTTVMAPDVPRAEDLPKICGNIMLEYSKQMMKLGELIFGLLSEALGLEPNHLRELDCAKSLSLLSHYYPPCPEPDRTFGISSHTDISFITVLLQDHIGGLQVLHDGCWIDVPPNPKALILISNDKFVSVEHRVLANRSEEPRISIASFFMHTIPNEQVYGPIKELVSTQNPPKYINTTTTELARHYLARGLDGASPLLHFRI >A07p041680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22480349:22482519:1 gene:A07p041680.1_BraROA transcript:A07p041680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F12A21.10 [Source:Projected from Arabidopsis thaliana (AT1G67770) UniProtKB/TrEMBL;Acc:Q9FXE0] MSQTGYPGHLNPTAPMFLPANLNPVPFFIPTRIYLPLPPHPPPPPPPPYPRFFSCFAGPLPPAPPPHLPPSLSIPTRTLVLLPVPADVSESSIRRDLEVFGEVRGVQMERAHEGIVTVHFYDLRHSRTALREIRERHMHQQQVRFGRGYTAARGLVSGRTVWAHFVFPHFRAVPEGNNQGSLVIMNLEPTVSSAALRQIFEAYGEVKELRDTPFKREQRFVEFYDVRDAALARREMDGKAIDGKPIIVQFSRPGGFNKKLILASRFNKSFLSNIHHPLPPPPPPHFRRQVPSPASQLRRGGPVKGKPVKPNFSSGDTDRYEQNKRRPKMHTTTKNSIIDTRFTIHVDAIAESRDGRTTVMIKNIPNKYTQKLLLDMLDAHCNDCNEEIVSEGNQTPMSSYDFVYLPIDFGNKCNVGYGFVNMTTPEAVWRLYKAFHNNHWRLFQSSKICEVTYAQVQGLESLKEHFKHLKLPCVEMEYKPVIFSPARDGRLMTKPIAIVVDLGTRPVEDSCPSRAETVTSCSFSIERSDGWLGERTEDGGVSDDEGETVVKI >A02p002560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1072626:1074170:1 gene:A02p002560.1_BraROA transcript:A02p002560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 26 [Source:Projected from Arabidopsis thaliana (AT5G07100) UniProtKB/Swiss-Prot;Acc:Q9C5T3] MASFNQQRSVPKFKSATPSPLPLSSYFSMPPGLTQADLLDSPLLFTSSNVLPSPTTGTFPLESLNWKNNGLLTNRNEIKAEDGKEEHFDFAFTTIQTSPPLFLSHFQTEDQRSTQVDVPKFESSGNKTSEDGYNWRKYGQKQVKGSENPRSYFRCTYPNCLTKKKVETSLVKGHVTEIVYKGSHNHPKPQFTKRKREEAVKEPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRTLCNK >A01p004480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1916438:1918130:1 gene:A01p004480.1_BraROA transcript:A01p004480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein [Source:Projected from Arabidopsis thaliana (AT4G34270) UniProtKB/Swiss-Prot;Acc:Q8VXY4] METEVDKEILKSAGAELLPDGRKGLRIHDWEIETIRGTILTSLAHEQWEEKLKTSHLPEMVFGENALVLKHLSSNTKIHFNAFDALAGWKQEGLPPVEVPAAAKWKFRSKPSQQVILDYDYTFTTPYCGSGVVEKDQETRVEAKAKPEGEASLKWENCEEQIDLAALSLKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRETRMHYVFGKGETPTVLRECCWRETTFKSLSAKGYPVDLAVYSDPGSISQRLPVIKQITQKLMIPHKV >SC152g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:31847:47033:1 gene:SC152g500040.1_BraROA transcript:SC152g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDGRPRTSYPGQDGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYLGELVELNQSDTYISDLDELSELSDTSLELNELSDTEDGAGLVAGRNGPFSVQRKIHNKFNLGRFYTKFDQAFAYVPEVPFAFSDHIQHPAKVILPILGFFSWYQSHFGWMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNGFDFVQKQKKRQNRCDDKKWVRSATMVEPEANFGRAGRSDTYLGELVELNRSDTYLGELVELNQSDTYISDLDELSELSDTSLELNELSDTEDGAGLVAGRNGPFSFQKCRLPSRIISNPAKLILPILGFFTVCADGRPVCTDGHTDTHGRPACADGRPVCADGPPRTSSTATDVLCVLTDVLCVLTDVLRVLTNVLCVLTDVLCALTDTRTHTDSHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTSCVPREPKSPEQSTERADMCTDGQPDVLCVLTDGHGRPVCADGRPRTSCTSVCTEQTAHVGQNHPQTAKITREAKNAKINIIEESFLKGNIKNMSTKSLGCQVLIKSCCRHPVRPRNSDLCSMQKTWLEAKENYENLPENSFNHPYEACKKSDSNSKYFFFYIKNTPRNTTNVYCLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNFPGTFPANFPVDRFAPNFKFSRLHGLGLFWLDCTHSFRISPNPGTKSVKENATKQPAFANPETVLADEMQPAYAQVSAKSILTGALKPKRVNSSFQYACPSVRLASIIPRKNVNT >A03p049350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21112678:21113208:1 gene:A03p049350.1_BraROA transcript:A03p049350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGNETQCLWQKASLMEACYIMHSQLDKIRQLKAVNNEFLRERNYVHEGSNASGDAPRAFDDNKKLQFEVLKAAEKQNLIRQFIAIS >A04g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12553017:12557095:1 gene:A04g506030.1_BraROA transcript:A04g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPNFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRDKVSMEGSSKKMMKRPIEEVYGCDAAEGFKKGKKETVEHYRALLRLSNEYRLSENDWNLASSKANSIAVQIELLEDIIKADGKFDLTAELEKLKEEHSEAEGMLADVKVKVPDWDKLGESWLHHE >A09p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3485478:3491549:1 gene:A09p006630.1_BraROA transcript:A09p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAEKARVIQTMLFVSGLTTLFQSFFGTRLPVIAAPSYAYIIPITSIISSTRFAYYTDPFERFVETLRSIQGALIIAGCFQVLVCFLGLWRNIARFLSPLSIAPLTTFAGLGLYQIGFPWLARCVEVGLPGLILLVLVTQYLPRFLKMKVIWDGSRCDRYGMMMCIPLAWLLALLLTSSGVYNHKSQTTQISCRTDRNGLITNTPWIYLPYPFQWGSPTFHFTDSFAMMAASFVTLFESTGLFYASARYGSATPIPPSVISRGTGWLGVGVLLNGMLGGVSGITTSTENVGLLAMTKIGSRRVIQISAAFMIFFSIFGKFGAFFASIPLPIMASVYCIVLCFVCSAGISFLQFCNLNSFNTKFILGFSFFMAISIPQYFREYYNGGWRSDHHSSWFVDVIRVIFMSHTTVAGMIAIVLDCTLSRESDEAKKDCGLKWYLHFSTLSEVYGSVRLFCFMIGLSMFSDSDRSPAFSFLLVIFVEMLRNTMINRLGKPVESFFNYKTMNRGSSRQPKANKETISTAKTRQGNVRVTRSRAKALGTSISPSKPVFKQQPKLKKRMASDDTRVCQHKRRAVLKDVTNTLACLDGNNVKASKSEQDVDAEKSKLAEDLSKIRMVESATNSKDGDQKENGYDVTGYLKPVDIDSSDQDPKFCSLYAVNMYDSFHVAELDQRPSTSYMVQVQRDISPSMRGILIDWLVEVSEEYKLASDTLYLAVNLIDRFLSNNYIEKRRLQLLGVTCMLIASKYEEICAPRLEEFCFITDNTYTRLEVVAMETQVLNFLHFRLSVPTTKTFLRRFIQAAQASDQVLHTEMESMKSLANYLAELTLVEYSFLRFLPSLIAASAVFLARWTLDQSKHPWNSTLQHYTRYETPALKNTVLAMEDLQLNTSGSILVAIRNKYNQEKFKRVATLTSPESVTTLFSR >A05g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8745842:8747046:-1 gene:A05g502880.1_BraROA transcript:A05g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKEVVWTSRKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPVSRLEVVWKSSGLLRSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSELSKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKSSPSLSL >A05g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21116273:21118021:-1 gene:A05g507460.1_BraROA transcript:A05g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPACHLLSSTHAPLQVIQGETAIPGLHQVVSEPLECLGILKRNHEEKAECKATRELQRKKNRSRFHPSMETMIQIHT >A02p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30696627:30697896:-1 gene:A02p049520.1_BraROA transcript:A02p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRNIAIGGVQEEVTHPSALRAALAEFISTLIFVFAGSGSGIAFNKLTDNGATTPSGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGAFLGGNITLLRGLLYWIAQLLGSVVACFLLQFATGGLAVPAFGLSAGVGTLNGLVFEIVMTFGLVYTVYATAIDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWSWSNHWIYWVGPLVGGGLAGIIYDFVYIIENGHEQLPTTDY >A09p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1542428:1543338:-1 gene:A09p002160.1_BraROA transcript:A09p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILDHLWDDTVAGPRPENGLGKLRKQHTFSFRPSSGNDQSDGGDSPEEALKVTRSILIIKPPGYQGGSAPVSPAGSTPPVSPFSGGKEPFRFRRRSTSDALEKEPGSENGPRNSPPTYGV >A05p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1863737:1877635:1 gene:A05p004840.1_BraROA transcript:A05p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRSVNPTLPRTLASPTRSPPFQSPFLRFAPSSTTSFNLKPLATPPSPFVPRSAAASPQERKTFHGLCYVVGDNIDTDQIIPAEFLTLVPSNPEEYQKLGSHALVGLPASYEDRFVEPGETKTKYSIIIGGENFGCGSSREHAPVCLGAAGAKAVVAQSYARIFFRNSVATGEIYPLDAEVRVCDECSTGDVATVELREGDSVLINRTTGKEYRLKAIGDAGPVIDAGGIFAYARKAGMIPDRTMHVDETLPSSSSKPSSPLVPFRSSSLKFTTPTPFIPSSISLSSRAASFVIPRATPASHGSGSTETLAKTTFHGLCFVLKDNIDTDQIIPADKATIFPSNQQERDEIASYALSGLPDSHTTRFVVPGEIKSKYSIIIAGENFGCGSSREHAPVCLGAAGAKAVVAQTYARIFFRNSVATGEVFPLESEVRVCDECTTGDTVTIELRDSGGLLTNHTTGKQYKLKAIGDAGPVIDAGGIFAYARKVGMIPSPSVKPSAAKTPKKARNPSLAPKKDLKKNKKKKTKKSKAPAFDDNTVERNDGEEQQPASGSEQLTFFLKQLESAVGVRVSALELDPIKDKCVVELSEKLDQNVSNLGEHIKMCYGSSWRETLCEGEAVEGKVDPGSPAVLVVSSSALRSLELIRGLNSLTKQCPALKLFSKHLRVEEQVSLLKKRVNIGSGTPSRIKKLIDIEALGLSRLDMIVLDMHADVKGFSLFTLPQVRDEFWDLYKTCFHQRVLEGSLRICLYGPMPAAPKLMNNKKQRQNQH >A05p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26587070:26591415:-1 gene:A05p044260.1_BraROA transcript:A05p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLKRKQKKKNPKPVQINPNWSLLQQKLKSDSNNSGNRKSSNNDDSDDPRSILGKRKERPDSEVDGPKINPLAPVNDDTSLTDEVAMDCEMVGVSQGTKSALGRVTLVNKWGNVLYDEFVRPVERVVDFRTHISGIRPRDLRKAKDFRVAQTKVAELIKGKILVGHALHNDLKVLLLTHPKKDIRDTAEYQPFLKDKTRKSLKHLASEFLGADIQNGEHCPIDDARAAMLLYQKNRREWERNVKDQTRMRLKQKKRKPKKKVKEANHTSTHQVPTMYHLVVYKFITTLKIEEADLRIDEGEMRVMRSYRGNSIAGLVSHPARLSPLRSIFTGCRAVMLPRFGGPEVLELRENVPVPNLNPNEVLVRARAVSINPLDCRIRAGYGRSVLQPHLPVVIGRDISGEVAAVGNSVKAFKVGQEVFGALHPTALRGTYTDYGILSEEELTEKPSSVSHVEASAIPFAALTAWRALKSNARILEGQRLLVFGGGAVGFAAIQLGVAFGCHVTASCVGQTKERILAAGAEQAVDYLTEDIEVAVKGKFDAVLDTIGRPETERIGINFLRKGGNYMTLQGEAAALTDRYGFLIGLPLATSLLAKKKIQYLYSHGIDYWWTYMRADPEGLAEIQRLVGGGKLKIPVEKTFPITEVVAAHEAKEKKLVPGKVVLEL >A08g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9568232:9569169:1 gene:A08g505700.1_BraROA transcript:A08g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHPRVKTYQDTNLEFIRALQSQLSKAEIGDSSMPHISDIPNTPTSKIQINTIANDSDISE >A10p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:267027:269576:-1 gene:A10p000490.1_BraROA transcript:A10p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal binding protein AN [Source:Projected from Arabidopsis thaliana (AT1G01510) UniProtKB/Swiss-Prot;Acc:O23702] MCYTLIWSSEKMSKIRSSATMPHREQPSPLVVTLNCVEDCALEQDSLAGVAGVEYVPLSRIADGKIESATAVLLHSLAYLPRAAQRRLRPHQLILCLGSADRAVDSTLAADLGLRLVHVDTSRAEEIADTVMALILGLLRRTHLLSRHALSASGWLGSLQPLCRGMRRCRGMVLGIIGRSVSARYLASRSLAFKMSVLYFDVPEGDEERIRPSRFPRAARRMDTLNDLLAASDVISLHCALTDNTVQILNAECLQHIKPGAFLVNTGSCQLLDDCAVKQLLIDGTIAGCAIDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQSFFLDGVIPTNTVSDEEVEESEASDEEEQSPIRHGKLALVESTSRQQQGESTLTSTDIVPIEASEFKESLSPGQNTAIKPEVRRSRSGKKAKKRHSQQKHMQRAEGSSGLHEESSTSRREDIAMSDSEEVLSSSSRCVSPEDSRSRKTPLEVMQQQSSQNQLVRSSKKFIGKSSELLKDGYVIAMYAKDLSGLHVSRQRTKNGGWFLDTLSNVSKRDPAAQFIIAYRNKDTVGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWSLEGSLDECRLVNCRNSSASLEVRVEILAIVGDDGVTRWID >A08p037440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21847176:21850161:1 gene:A08p037440.1_BraROA transcript:A08p037440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLTRTKKSQLILCKAKAADDDDLIQTFIQNSDRVRVTTYKFRVKIFARSLSSVLKFRVHTQRARNFRILIERAISQMANNKQVSERIHVLFFPFMAHGHMIPVLDMVKLFSSRGAKSTILTTPSNSKILEKTIEAFKNHNTDLEIGIKIFDFPCVDLGLPQGCDHVDFISSYKKPGAGDLLLKLFLSTKYMKQQLESFIETTKPSCLVADMFFPWSTESAEKFGVPRLVFHGTSFFSLCCFYNMNTHKPHEKVATSCTPFVIPGLPGGIVMTAEQANVAADDETPMGKIMKEIGESESTSFGVLVNSFYELESAYADFYSKNVAKRAWHIGPLSLCNRRGQKANNIHEEEECLKWLESKTPGSVIYISFGSGNNFTNEQMLEIAAGLEGSGQSFVWVVGEKEEWLPEGYEERMKGKGLIIRGWAPQVLILDHKAVGGFLTHCGWNSAIEGIAAGLPMVTWPKGAEQFYNEKLLTKVLGIGVNVGATELVKKGRLISREEVDKAVREVMMSGEEAEERRIRAKKLGEMAKVAVWEGGSSYGDLNRLMEELNSRNSDPRVMRIMVFDLYLILIERSTSQMANNAAACAERATNDMLIGPDWAINIELCDIINLDPRHSTTDLAGYNMYLTQAIEWMKRNVIPRFCKSSFPETPGPRPGQRGGRR >A02p019050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8820872:8826031:-1 gene:A02p019050.1_BraROA transcript:A02p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSILLAGGTSALALAVTVASNLLGILTGITDANMVGLTHFYQIPFWVSRYIAGGVGVSFPTDQLFRSLIVTLLIPLIIGKVIRETFKGFTNFVDNNRKLFSRMNATCLSLVSTISSRNLNVDTLSGGSDSEDESDIEEEIQEVQNNDINNRYLQDNSDDDDDTDTKRVIKPTKDKRFEEMTHTVEQMKNAMKINDWVSLQENFDKVNKQLEKVMRITEAVKPPSLYIKTLVMLEDFLNEALANKEAKKKMSPSNSKALNAMRQKLKKNNKLYEEDINKYREAPEVEEENELEDEDDEDEEDEDEEDDDSKEAVTDEEVDETTDTRWETMLNKKDKQMEKLLSKDPKEITWDWVNQKFKEIMAARGRKGTARFDLVDQLTHLTKIAKTPAQKLEILFSVISAQFDVNPGLSGHMPIKVWKKCVLNMLTILDILVKYNNIVVDDTIEPDENETSKPADYDGTIRVWGNLVAFIERIDTEFFKSLQSIDPHTREYVERLRDEPMFLALAQNIQDYFERMGDFKAAAKVALRRVESIYYKPQEVYDAMRKLAELVEEDEEAEDAEEESGPISSFIVVPEVVPRTPTFPESGRAMMDVLVSLIYRNGDERTKARAMLCDIYHHALMDNFGTARDLLLMSHLQDNIQHMDISTQILFNRTMAQLGLCAFRVGMITESHSCLSELYSGQRVRELLAQGVSQSRYHEKTPEQERLERRRQMPYHMHINLELLEAVHLIGAMLLEVPNMAANSLEARRRVISKNFRRLLEISERQAFNAPPENVRDHVMAATRALTKGDFQTAFEVLNSLEVWRMLKNRDSILEMVKARIKEEALRTYLFTYSSSYESLSLEQLAKMFDVTEAQVHSIVSKMMINEELHASWDQPTRCIVFHEVQHSRLQSLAFQLTEKLSVLAESNERAMEARTGGGGLDLSSRRRDNNQDYAGAASGGGRWQDNNMNSGYGGRQGNNRSGYGGRPGQPRDWSGQNRGGGYAARAGSGNKGGMQMDGSTRMVSLNRGVRA >A04p015990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9856471:9857263:-1 gene:A04p015990.1_BraROA transcript:A04p015990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKVEIYEDLTSLKQPLEKKPTKREEGLTNVPTIRHHPKEAEVRSSPTSSASTVRPGPLAISDPLATRLMASAVRPGQIRNISAMHSRSVLVALSSEPWLHCPLFSPGLELYLKTYDKNHED >A09p054280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46844057:46844999:1 gene:A09p054280.1_BraROA transcript:A09p054280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFRCLVLILFCLISAATSATLTVNWSLGTDYTPLATGNTLSVGDTIGNSITSDSSGTTTIDLKTTGPRYFICGIPGHCSTGMKLAVTVASSTNVGGGTTTPTPLTGGGSTTTPTPLTGGGGYVPATTQAIPCGGWAVSSPVWVMIVTWAVCFMPWICLS >A09p020830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11065569:11067315:-1 gene:A09p020830.1_BraROA transcript:A09p020830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U16 [Source:Projected from Arabidopsis thaliana (AT1G59700) UniProtKB/Swiss-Prot;Acc:Q9XIF8] MGDTEEVKLLGVWFSPYAIRPKIALRIKSVDYDYFEEDLFGSKSELLLKSNPVHKKVPVLIHNNKPILESLNIVEYIDETWNASGPSILPSHPHDRAQARFWSAFVDDKWFPALKAAAITKSEEAKEKGMKEVEGGLLQLEEAFVSISKGKPFFGGEAIGFMDICLGSFVGILKAREKLKGEKLLDESKIPFLCKWANEFLSDDTVKNVVPEIDKVVEFLGELEVRAQSAVSKT >A06p035940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19475991:19476949:-1 gene:A06p035940.1_BraROA transcript:A06p035940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT5G62320) UniProtKB/TrEMBL;Acc:Q9SNW9] MGGRKPCCDEVGLRKGPWTADEDGKLVDFLRTRGASGGWCWRDVPKLAGLKRCGKSCRLRWTNYLRPDLKRGLFSEEEIQLVIDLHAQLGNRWSKIAAELPGRTDNDIKNYWNTHIKRKLIRMGIDPNTHSPFDQQKVNHEKEETTLVNGQDPLHQAEAPVGSQNDPSAGNLTHLADADGDNIQPWSFLMENNGGGCSSVGELTMLLSGDITSSCSSSSSLWLKYGELGYEDLELGCFDD >A08p028910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17985987:17987350:1 gene:A08p028910.1_BraROA transcript:A08p028910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSTESIQDVSNMESDFHITKQMDEVGSMTRGGRLIKPTQKYQNMGWMTVRGKGKRGCRAQRSKTVEEETRQPAPRVRTSGAQWTRVRLRVSLLLPEAERRYDGISADGGDRLMKKAHAEQSSQISKPHTGSTLQG >A01p055630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31757833:31759941:1 gene:A01p055630.1_BraROA transcript:A01p055630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGITSMLTNFTKLCKGLALVLVVGHLLVQFVPATVPYLALIPARTIPFGWNLITSGYFELSVHGVVISTVSLLFMGKFLEPVWGSKEFLKFIFVVNFLTYLCVFVTAIALYYITRLEIYLYMPFAGFHGVLAGLLVGIKQIIPDQEVFLVKIKAKWLPSIMLVLSIASSFFTLNSAAYLPTLIFGTYMGWLYLRYFQRRPETKLRGDPSDDFAFSTFFPEFLRPIIDPIASIFHRMLCGRTNATSEDHGYTTSGAPLPGSDSAEASRRRERGARALEERLATERLVPPRNKEESQSDALDSV >A09p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11279440:11280665:-1 gene:A09p021140.1_BraROA transcript:A09p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G58470) UniProtKB/Swiss-Prot;Acc:Q9C652] MDCDRNKLFVGGIPRETSEESLKQHFSRYGAVLGALVAKERATGQPRGFGFVRFANALDVDKALADSHFILGRAVDVKKAIPIHDMRYQQQNMQPYVSQVQQNNGGGVHDILSNGNNNNNHRTKKLFVGGLSSETTEEEFKTYFEKFGKTTDVVVMHDSVTNRPRGFGFVTYDSENSVEIVMQSHFHELSNKRVEVKRAIPKEGIQSNNGGGNHHNLPPTYSSFKATPYVPESNGYGMFLQYPPPVFGYHQGLPGFHYPYGYPVTAQGPNLPWNNQIMPPTTGFYGHPPPPPTNTPWCLPYMNRFDPAGMSVTVFNAMPWPVAGDGVGVIIPRLEDLKLEVPSQAHERMNGGIMGKTLPNGTYR >A02p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2974296:2976289:-1 gene:A02p007000.1_BraROA transcript:A02p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSGETKVYLRLVIDEEKNKVVLAEAGKDFVDVLFSFLQLPMGTIARLFKKHNKDHPVSVGCFNNLYTSVVDMGIDNFQTEACKRMLLCPRSVSDVQCQRLKVNINPTEGEKYFKCPSFSHCRLCSNFSTTRCRCGNLMKEGIHYSQLKVADNMQNGVFISGGGASTTFIITDDLEVAVKSTGLVLERLKSLGCADVSKLGEKFVGIGSKEVLTLLQCVFSSNAPLTETFLNKGSAQGLTKSYETLRPCMEEKKDEAEPEKVLTINAVVRNQDMKILFVECGEDFVELLLSFLAVSLESVLEISGNSITFGCLANLCRSFKDLSVVNEETKAASADSKGVLPCFYSFQVQLPGIITLEPPVYYRFIYSFVNKPVPIYALTRDSNKIPYYRNDKLVPVTLVDPKSHGNDHQTHCSGFLKKETQFTVSDDLVITPMSSCSTVCLLKKLQTNAEDIDVQEIDISKAEAVDLLRASLLTSCALSSALGDFIAKKPRKEPLCQTQLRRSQRKKQKVNDCQAS >A09g517020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50051931:50052724:1 gene:A09g517020.1_BraROA transcript:A09g517020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDEPPSPPPFPPRMFALGDEPVGVTPYHKPTCIRKILNALEPDEAQTIRETQFGKLVEIADKPSFSGRFGRFLLSRQLKVAKKHEVRFLFAGKPIPVTDNREAEEIREGDGTDNTGGEDMERHPR >A02p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5943153:5945424:-1 gene:A02p013600.1_BraROA transcript:A02p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSISRRRRTGVGGAVTAVTRMQSDDEEQVISNKSIVKTIYLHAAEEDKTPNKQMSLKEATLVGVNGQRRPLKLTRTRSIGSTPPMTPRRSISSDVNDKALTVSVAAKKKVRSDSVEGVEKKTPGRVRKTRSELCTAVVSSSEFDSVPLRKVSSLPAHGSEKANEKTEDVHVKTEETVDEKPQITEEVKEFDVCQEIVVDHEEEEEEEEEVEKEEDKEVEKQSIDVKEMNFAKESSENRDESKQYRQFQNGTVTSPSAVRKVPPPAVIKRATSVYSVPSSKDTYTEEEDNFTHPHSKLQSLEDLVMWRDASRSTLVFGFGTFLIISSSYANDLNFSFISVVAYMGLIYLGVTFVFHSLMRRGVVEEMHKGVGVREEDVKRVLRLIMPYVNESLLQLRALFSGDPSTTLKMGVVLFILARWGPSITLWNLAKFGFLGAFTVPKIFISYSTHFSAYGIFWLRRFKDAWETCNHKKAVALALFTLVWNLSSVVARVWAAFMLFVAFRYYQQNMIWTTDQDDDEDEEEDVVDNEEEEEEQVLKLKRDSYMMMMPNKLKKIS >A08g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6524121:6526265:1 gene:A08g503860.1_BraROA transcript:A08g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYQQVFTPISSITEEDYESFTSLSEDSQRLFIRLYTRKECYVDCYFDSLLKTLLAYSFYFFLQDSDPLKLRPSQAHSLPLFLSLFKMSTSKDNWKPEETRYFFQLYAEERRKGNKVGQQMNKVGKKNIMDAFELRFKKGFSDWKRDYKKKYDSSRKKYIMIRMLTQNRTGLGYDWWKERERVSFSFSLLRKEKDVAVEACEKRTAALEVKNMLAKQLMEREQPFSVETVLEMLYALPEVREWSPLYEASIELLIDSEGSRRGFITMKTDEAKTKFLELRTKIKRDE >A03g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:740890:741420:1 gene:A03g500230.1_BraROA transcript:A03g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLDTMIMKESFKVMLGEIVPELFMSYIQYLLSTLGVVYAAAQLSRPVVPRLQRSINRLRACVTEHIFGGVFGLLVGSECAPR >A04g507850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20042525:20043849:1 gene:A04g507850.1_BraROA transcript:A04g507850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCMLDEAKLWLDEPPHGKRKLEPPPPLPIVDYSLPTTFSDSDEEEIDPVERERYRKQIVESGGFDVDFFPVYGSLDQSGSTPSTVMLSKVGLHCYNFDKGTNLQFTSVQKANEEFASFTMYYITVEAMDPFSGSPVTFQTCVWDAATKSNESSRFITKVCRIKGTEKETSRWDHGAVDEFYKDDISWLEDDALTGSDKLQYYEVKESDLRDNEWLQLYAEVVMFSKWETDLVSEEKKKQLSIENSAYLPVKMKKVVVRTREDVETSKKLRSKNATFYMSFTACGGLECRGIIRRTTDGRPQHMSLQVNCWIDK >A04p002240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1069983:1070864:-1 gene:A04p002240.1_BraROA transcript:A04p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRHTVDFTSNLGGVCASCLRERLLSLAAYAAAEDNNNSNRQPRKSNKPPPLLIFPRSVSPYVTGRKSDAGGGDRRFLAAPQVDLGFSCKDFESNRSSKSKEGKASRISNLFKARSEDYGSARKPVSINYGSDRKPVSRFSCDGSESSWFSSFLSTVRCKKQPATACYIEDVVSGHRRQQRVFCRGMSPARDESEPPSETIEETPGSSRRTPAVKTPGRRKIAAGIGFCLSPLVRAKPHCPFKRKTRFPSEVVGSAGEVTVLEKPHIAEAASFCGNRSKKLVDLGRVHHRR >A08p002830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1561134:1564110:-1 gene:A08p002830.1_BraROA transcript:A08p002830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNPKLSVSTFILLLLLTFLLIPSHSSSSDEESDDDLEQLLAVDEQSQEDRPQHQQSEAETVSKAQRIVLELTGDNAKRVVDGNEFVLVLGYAPWCARSADLMPRFSEAATGLKEIGSSVLMAKIDGDRYGKVASELEIKGFPTLLLFVNGTSQPYSGGFSAEDIVIWVQKKTGSPIITVNTLDEAQIFLNKYHTFVVGLFHKFEGSEYNEFVKAAKSDNEIQFVETSDNDVAKLLFPQLKTNTVFIGLVKPEAERYTAYDGPFKMEKLLEFLGNNKFPLITRLTESNTVWVYSSPVKLQVMLFSKAYVFQSLAQPLEDLARKFKSKLMFIYVDIANENLAMPFLTLFGIEHANKTVVAAFDNNLNSKYLLESDPSPSNIEDFCSGLADGTVSRYYRSEPVPDNENASIVTVVGKTFDELVLHSPENVLLEVHTPWCVNCEAMSKQVLKLAKHFKGFENLVFARIDASVNEHGKLQVDDFPTILLYKSGEKEKPLKLSTKLSAKDMAVFINEELKPKEGSARDEL >A05p011170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4752858:4753073:1 gene:A05p011170.1_BraROA transcript:A05p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNSKLYLQNCFIIKENERLRKKAQILNQENQQLLLELKQKLSKTKNSNGSNQGSNSNLSSSSSASGQS >A03p047420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22425099:22429278:-1 gene:A03p047420.1_BraROA transcript:A03p047420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNSFQIFWFVLVGFVVVSAQECGRTGSFIPGDRYDTNRGLMLSSLASNVSARGGFFNGSIGQGPDRVYALGMCIQGAEPEVCSKCIEQASNEVLDRCPNQTEGLNWPQVRILCMVRYSNSSFFGSLKAEPHFHIANQADITYNSTGFDQVWEDLASRMLASATSPSSKSKYYAAEIAHLTSFQIIYALMQCTPDLSLEDCTICLRQSVADYESCCHGKQGGIVYRASCVFRWELFPFSEAFSGITLDPQPEAPTFLTPPAGNVTNKKGRETVSMGMFRAIVIPTAIIVIFLVLFALGFFIYKWRKSYQALGSQGSSTEITVTHSLQFDFKAIETATNKFSESNLIGQGGFGDVFKAVFNGKEVAVKRLSKTSGQGAREFKNEAVLVAKLQHRNLVRLIGFCVEGEEKILVYEFVPNKSLDYFLFDPSSIQGQLNWKTRYNIIGGIARGVLYLHQDSRLRVIHRDLKASNILLSANMNPKISDFGLATIFGMEQTRGNTNRIAGTYAYMSPEYAMHGQFSMKSDIYSFGVLVLEIISGKKNSGIYQMDETSTAGNLVTYACRLWINGSPLELVDPAIGRNYQSNEVTRCIHIALLCIQENPDDRPLLSNIILMLGSNTSTLPAPCLPGSFPRSRQELVHVSEGLESNESTGRPTTYSFNDVSITDLEPR >A07g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7109566:7113365:1 gene:A07g503650.1_BraROA transcript:A07g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQHVIAKERKGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGEDGPADVCVIELGGTIGDIESAPFIEALGQFSYRVGQGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTKALEENVNEKLAQFCHVPLENIFTLYDVPNIWHIPRLLKDQKAHLVISKVLNLASIVKEPSLEEWTSRAELCANLHVPVRIAVVGKYTSLSDAYLSVLKALLHAAVACRKKLVVDWVPACDLEKETEKENPNAYKAAWKLLKGVDGVLVPGGFGDRGVEGKILAAKYARESKIPFLGICLGMQVAVIEFARSVLCLHDADSTEFKPETKHPCIVFMPEVLKLSATLYLKFIFVYCLPYSSCSVGLGFKNSYGWHYAVRIKKIHLQCQRQQIRQTIVELPSHPFFIGAQFHPEYKSRPGKASPLFLGLIAASCGELDSVMNPSSAHQHLISNCPKNVFVNGNSKKASNGLADVRHNNGYCNGLYTR >A02p011920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5203376:5205209:-1 gene:A02p011920.1_BraROA transcript:A02p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESHSESTSDSLTTTPDQSATIPISTPRSHHVPEIPIEIITEEEMAILDAAFAATRSFLPSAIRSAASSSSPSRVIAGEISKTARSVAMFSKRKLSACSSVDIEDSLLHRFRKNQALGVTDLTGTVRFKSLPFEWCEKQMENVLCLGRRKVSKAMKLGQARHLELEEEVVKRVRVKVESNEDKWALKLLSSIAGVNQFLFEGRTRELLLVGFVGGQWIVGVIDEVRKASAEDSSDTGPLLIDTKTRGRDTLPAEPQRRNGRLQVMLYKLLWDTVVREEFPATRFFNYFALNRHEVLSQDVRDNIADAGIPAQTLEEIVRYYESTFKMLPVANDQLLLRYEFQKDRSIIAEIRFTHDPEWVMSKYKEVIEFWRSEREAEYTPEEERWKCRYCQFAKSCPGNPSLQSPATSSPPREPRPLES >A10p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4926134:4928162:-1 gene:A10p009430.1_BraROA transcript:A10p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNGSLIPPHDPSTRENQSSSPTIQRGTVPTNTEITIEKSNHPQFLKTIDDLTAFSAAVDAFKRHYDDLQSHMDYIKNAIDSSLKSKGITAESPSSRSQSPRNDASGETVAATQSPPVAEKVERLCELMCSKGLRRYMYSNISDRAKLIEELPAALKLAKEPANFVLECIGKFYLQGRKAYASDSHMIPARQVSLLILESYLLMLDPKKPFDRVSIKDQAEAAAVAWKKRMMSEGRLAAAEAMDARGLLLLIACFGIPSSFTSMDLFDLVRKSGAAEIAAALKRSPFLVPMMSGIVDSSIKRGKHIEALGMIYTFGIEDRFSASSLLTSFLRMSKESFERAKQKAQAPIAFNEANQKFLAALLSVMKCLEAHNLDPEREVQGWQIKEQMIKLEKDIIQLDKQMEGEARSISLMEEVALTKRFYNQQMKRPRLSDMEMPPAASSSYSSTYPDRSFPSHRDNEISALVSSYLGPSSGFPHRSSLRRSPEYLAPSSGLGRSVPAYEHLPPNSYLPLPGRHSPVQGQRLPGEYTPPIHGQQQIPYGLQRVYRHSPSVERYLALPKIRSPRNS >A03p036580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15327490:15329113:-1 gene:A03p036580.1_BraROA transcript:A03p036580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEFMNIQTCVLKVNIHCEGCKQKVKKILQKIEGVFTTKIDADQGKVTVSVSGSVDPSVLIEKLAKSGKHAEVWGAPKGNNNPNQSHDSHLVNQLKEMQIGNKKGSGGKNNNDDKGPKNVGSGGGGNNPHQIQKMKGFQDMKIPQQLKDLKGSVPESKNQNQPGAKFNVPEEEEDDYFSDDDDEFNDAGDEDSDDDEFDDLPSQSNKMMMPNNQQMMMNANLGGGPTKNGGKGVYGGGKGEPNGGGGGKGDSGGAGNQNQGGGKNGGQNANGGHPQDDKNGGGPNAGKKVNGGGGGLPAGFLPMGGGVPPNMSMPIGVLMGMGDPMGNIPAGLCPMGGAGPSNVTMPIGGLMGMGGLMGNIPAVHGLPATGASGIPPGYFQRAGPNPIQMQHQQQQQQQQYLAAVLNQQRAMENERFQPMMYARPPLAVNYMPPHIHLHQYPNPYDPYPYPPHGNDQYFHVSNEENTSSCDIM >A10p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2025487:2028564:1 gene:A10p004130.1_BraROA transcript:A10p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGVSMVKAMRIVLLCVSVLWIFPEECAGKSNFSRNSSSSTASPPLSQTPNSVNVGALFTYDSFIGRAAKPAFKAAMDDVNADQTVLKGTKLNIVYQDSNCSGFIGTMGALQLMETQVVAAIGPQSSSIAHMISYVANELHVPLLSFAATDPTLSSLQYPYFLRTTQNDHFQMHAVADLVSYSGWRQVIAIFVDDECGRNGVSVLGDALAKKRARISHKAAITPGADATSIKDLLVSVNLMASRVYVVHVNPDSGLNVFSVAKSLGMMGSGYVWISTDWLPTVLDSMGAVDMETMGLLQGVVAFRHYTAESDAKRRFMERWRNLRPKEGLNSYALYAYDSVWLIARALDVFFRENNKVTFSNDPNLHKTNSSSLRLSALSVFNEGERFLEIILGMNHTGVTGPIRFDSERNRVNPAYEVLNIEGTGPRRVGYWSNHSGLSVVPPETLYSKPPNTSTANQRLYGIIWPGEVTKPPRGWVFPNNGKPLKIAVPNRVSYKDYVSKDKNPPGVRGYCIDVFEAAIELLPYPVPRNYILYGDGKKNPSYDNLINEVVADNFDVAVGDITIVTNRTRFVDFTQPFIESGLVVVAPVKEAKSSPWSFLKPFTIEMWAVTGAFFLFVGAIVWILEHRFNHEFRGPPRRQLITIFWFSFSTMFFSHRENTVSSLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLITSNEPIGVQDGTFARNYLVNELNISPHRIVPLRDEEHYLSALQLGPKAGGVAAIVDELPYIEVLLTNSNCKYRTVGQEFTRTGWGFAFQRDSPLAVDMSTAILQLSEEGELEKIHRKWLNYKHECSMQIQNSETSQLSLKSFWGLFLICGITCFIALTLFFWRVFWQYQRLLPDTGDEERASEVTEGSRSGRGLRAPSFKELVKIVDKREAEIKEILKQKSSNKLKSSQSGAGSSHSQHSEIP >A08g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19496331:19497723:-1 gene:A08g509550.1_BraROA transcript:A08g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLLVSLRATPLRVLNRFMGSSFEAFWIKINEKLGLIWRPAYYLGHALERGERIISLIFARKLGFALCGDFICDAELSPIFSPFLDRFGAGLSPPGPEMDPADERTDCKRKLEHITPECACGGRMIHEVRVKDEFDTQPGKRFFSCVNYEADGLHYRQPWVCGVQEEIEMLRKRVEEADEVIKSVPMLVESVEAQVKRLSLLLDKLTGDVYNLTVQVAALERLN >A04p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:159658:161285:1 gene:A04p000310.1_BraROA transcript:A04p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast stem-loop binding protein of 41 kDa a, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63140) UniProtKB/Swiss-Prot;Acc:Q9LYA9] MAALSSSSLFFSSKTAIPINNLLFSPSVHRFSLPSSSSSFSSLSSSSASLFTAHSLKSSRRSSTQGFTVKAEKKNVLIVNTNSGGHAVIGFYFAKELLSAGHGVTIMTVGDESSDKMKKPPFSRFSEIVSGGGKTVWGNPADVATVVGGETFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKSTEEPPHVEGDAVKADAGHVGVEKYLAETFGNWASFRPQYMIGSGNNKDCEEWFFDRIVRDRPVPIPGSGLQLTNISHVRDLSSMLTSAVSNPEAACCNIFNCVSDRAVTLDGMAKLCAAAAGKTVEIVHYDPKAVGVDAKKAFPFRNMHFYAEPRAAKDILGWESKTNLPEDLKERYEEYVKIGRDKKEMKFELDDKILEALKTPVAA >A09g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27797807:27801209:-1 gene:A09g509470.1_BraROA transcript:A09g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSYQMETIVMICATPPSEGMKGLKRKRLFTGGGVSSRTRARKAVSNRNEPVREESNPVRGTTVVSLSLDTESEGMSAVSSKQKKIWWKELFESDVAARKFTKTKDKEKVTIVEGSSSNSSLESMLKGVEERIVKAMEEGFSEINLTVETKLEAMNLRMGKLEKNQRILKKKTKKIEDKLTSIESKGNEDEEYRQWNDFDYGRDHGKDREMAEAEKAETGKKISEKGEEDEENSGKDEEDEKNSEKGEEEKDQEPEKDKENSDSVEKGEEYVEESDEENSLLRLHERVRVQAEEFWRTVDDESEAEKETEKEAEKEAEEEGEKEGEEEAEKEVQEEKESEEEGEKEAEKEAEKEVQEEKEAEKEVQEEKEDEKEAEKESEKEVQEEKEAEKEESKGTPTSTEVIVITPRGRTKAAAARKAISISPEIIVVTGIAELAEKEVEVEATQTEQEAIQTEIVEKEAEVTEKDAELAEKEDQDVDEEEEKAEESDKNPDVDQDVEEEEEKAEESDSYPDVDQDVEEEEEKTEENEDNPVESPSEKHAELAEKSVESDVDLDVEEVEKKAEEIEDNLVESPAKKQTELAEKSVEVELKTMRKPRVKVIAVPYGIPRAERLAKMRAEAEKKKARAEKKKAKADGAPKKKGRPKKTEATLKPCTPLPEKRKSEPSRWVQSPFTEGKTDELEVPKKKLKTKT >A06p037930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20493265:20500167:1 gene:A06p037930.1_BraROA transcript:A06p037930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGKSKKRPLPSTTLPPGKHLATGTPSPSPVVGTLEDEFVDEDVFVDEIDEESLILRDMEEREALSARSSTWARPPLSPAYLANSQSIIFQQLEIDYVIKEIHKELLPGSSGQAAVIRIFGVTREGHSVCCFVHGFEPYFYIACPHGMGPDDISRFHQSLEGRMRESNKNANVPKFVRHIEMVQKKSIMYYQQQKSQTFLKITVALPTMVASCRGILDRGIQIDGLGMKSFQTYESNIVFALRFMVDRDIVGGNWIEVPPGKYKKDARTLSYCQLEFHCLYSDLISHAPEGEYSKMAPFRVLSFDIECAGRKGHFPEAKHDPVIQIANLVTLQGEDQPFIRNVMTLNSCAPIVGVDVMSFETERKVLLAWKDFVRDVDPDIIIGYNICKFDLPYLIEHSMYYVDMFYCAEWYMNITNLYLENMLFSDSQRAAALGIEEFPLLGRVKNSRVRMRDSTFSSRQQGTRESKETTIEGRFQFDLFQAIHRDHKLSSYSLNSVSAHFLSEQKEDVHHSIITDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMCIYNYVEMARVTGVPISFLLARGQSIKVLSQLLRKAKQKNMVLPNAKQSGSEQGTFEGATVLEARTGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPEHINKTPSGETFVKQSLQKGILPEILEELITARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEQTKKFVEDKFTTLGGYEYNAEVIYGDTDSVMVQFGVPDVEAAMTLGKEAADYISGTFIKPIKLEFEKVYFPYLLINKKRYAGLVWTNPQKFDKMDTKGIETVRRDNCLLVKNLVTESLNKILIDRDVQGAAEYVKNTIADLLMNRIDLSLLVITKGLTKTGDDYEVKSAHGELAERMRKRDAATAPNVGDRVPYVIITAAKGAKGYEKSEDPIYVLENNIPIDPKYYLENQISKPLLRIFDPVLKNASSELLHGDHMRSISITTPSNSGIMRFAKKQLSCVGCKVPISSFVPLVSSLSDCICGIFLSSFLLLTSTSTGTLCARCKGREAELYCKNVSQVSDLEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRMKAQKDMATAKQQLDRWSF >A10p022640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14903308:14906084:1 gene:A10p022640.1_BraROA transcript:A10p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELQDIDFFSSFSDHTSPTFFTPTTSSLRSDSVSEDPDSPKPQNEEEDEYVEELTRQMTNYMLQDDEKHQKSCSGGSGSGSGSGSPQSTLWSPFASGYSSPIGPSREPSPPLTPVTAVETQPVMIPFQSKQALIDDQIRSIQANFHKIKKEKDKQRKDDVLGHKARSYHQQQRPRSGVKAVFVDGSGSKTGSGGTGVFLPRSHGTVVETRKKTGCSTVIIPARVVEALKVHFEKLGVPSTFSSDIPPFHDALLVSMKNKNNKSSSSTRGQSGAPYMAETSAETHQEPPADLPHEWTY >A10p008740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8273984:8281195:1 gene:A10p008740.1_BraROA transcript:A10p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40A [Source:Projected from Arabidopsis thaliana (AT1G44910) UniProtKB/Swiss-Prot;Acc:B6EUA9] MSNNPPQSSGAQFRAMVPGQQGQQFVPAASQQPFHHPYGHVPPNVPSQFSQQLFPVRPGQPGHIASSSPPVVGFGTSGPPPFSSSYSFTPSSYGQQQPTPQMHASGSVPPAANSWPAPPVIQSTTLVSPVQQTPATPTDPGNLTPQSASDWMEHTSADGRKYYYNKQAKQSSWEKPLELMTPLERADASTVWKEFTTAEGRKYYYNKVTKESKWTIPEDLKLAREQAELASAKRSHSEDESTSLSRITASSSDLAVSTPVTAVVPSTSSTIPGHSTSPIPAGLAVPVTRPPPVASVTPTFAATSDTEATAMYHFVWEVDYKEISVNGKSNMTPAGDKANVEEPMMYATKQEAKAAFKSLLESVNVQSDWTWEQTTKEIVHDKRYGALRTLGERKQAFNEYLGQRKKVEAEERRWRQKKAREEFVKMLEECEELSSSMKWSKALSLFENDERFKAVDRPRDREDLFDNYIVELERKEREKAVVEHRQKMAEYRKFLETCDYIKASTQWRKIQDRLEDDERCSCLEKIDRLIGFEDYINDLEKEEEELKRVEKEHVRRAERKNRDAFRTLLEEHVAAGILTAKTYWLEYCIEVRDLPQYQAVASNLSGSTPKDLFEDITEELDKQYHEDKSRVKDAMKSRKVSMVSSWMFEDFKSVLSEDLSSQPVSDINLKLIYNDLVERMKEKEEKEARKFQRLAEEFTNLLRTFKEINAASNWEDVKQLVEESQEYRSIGDDNVSRGLFEEYITSLQEKAKEKERKRDEEKSRKEKDKEEKEKRKDKEKERREKEREREKERSSKREESDGDVDVSEGQKEEKRKGKDRDRKHRRRHHNSDDDVSSDRDDREESKKSSSRKHGNDRKKSRKHANTPESDSESRHKRQKKEQRESSRRGGNDELEDGEVGEDGEIRL >A02g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23290572:23294356:-1 gene:A02g508620.1_BraROA transcript:A02g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEHGEPTEAAQTTAELQKQIDGLQGQITDMHRARETTGENPDLSSEVQNLKEKLDEHSKQLEQSAEKLSKLQSENTVLRDQNQALNATGNKKRHFNTRIRPMGNLNTPNFGEGTTDTPPTSGVAGSTREGTENPQIHVSEESDFELDSEKEAPERAAATERQTPNHSKNQRNPLRTYVDRSAWSPPKPFEVASARKTWSSQDPRPPPHIDKITKSLIRHLPVVSRIKKWGMTTSRRPPQRVHRTDTEQRAPHRRRRETLSQAGGLQTWSLRRMNVTTRFMEVLKSFINRRQWSLNTKGRGMKRVSTTVPRPKKEAEPCRRRRNPPARTLVPKASPRDLRSWPTLNDKVHPLSLIVAFCRKQHAARELDSDQLPNGRMRYPNRYRERPHLGWQNMTSGSKDHMSNLRPQGPDPTGSLKMTSGS >A03p028410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11929813:11936078:-1 gene:A03p028410.1_BraROA transcript:A03p028410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) UniProtKB/TrEMBL;Acc:F4JH01] MEKRHLSSKFSSSMAQNQLIDSLTSHIALYHSNSSSSSSSIANNPRSAILRWFSSLSVHQRLSHLTFVDPKFVKILLQMLGYIRTKGHGSFIILPDLPSLDLPSLCFKKSRGLISRVALSNASERSLFDSTRLFGSKQGEDCSCSLDSVVMAEELLANVDHFVETMDALSSGSFLRGEETDLGSSDWVELEWLKAKGYYTMEAFIANRLEVSLRLAWLNTSNGKKRGMKLKEKLNAAAAAANAYWRTKACVDWWQNLEAATHKKIWTCLLGKSAKSVIYEILREANQASQGEMWLFSFGARECSSDMLVESRRPNAIASNLSALHVLQEFASLLVLCQNGLLSVQSVFFSSLASLPTLVDCILRKLRGFLMVISVDSVKRELLEENTPKCSKSSSSKQSSGSTSRKHKGKSGNMKKPTPEAKSDRNINLSAKKDQAKLESRKNKAAVECKKAPTSSTMINCSKASAANMEAVPGLVADKGRAKKKKRDKNKNKNKKCTSLENTREVNESVLNSSAIEKAPECESNRTSANQLPQEHIDAKSIGVSCDRNGSREGASGNDAVKCENSGEEELNGKAGTCVTSSVLTSTNSAGTSSCDNVNSQKSCCPGERKDILSSSNGRSRTLEEGESQRIHHQRREAAGYGIASSSSEFVSYEWPAIAPMYFPHLNSHLPTATDRLHLDVGHNLHAYVRQPFVSTVHHARNPSIEGTHKQVLPRPMPMSLDWPPMVHSNCGLTTAFACNYDSGILVDIPEQKHKPELGNECENNWMLEEDFEMHTVSGVDYNQYFGGGVMYWNPSEHLGTGFSRPPSLSSDDSSWAWHEAEMKRSVDDMVAFSSSYSANGLASPTSASFCSPFDPLGPANQPLGYVVPGNEISTKVLQAPPTTSEAAAGEEEVSGTLTSLSGDVEGNSGDSFPYPILRPIIIPNMSKSEYKRSTRREHPRIKRPPSPVVLCVPRAPRPPPPSPVSNSRARRGFPTVRSGSSSPRHWGMRGWFHDGVNWEEPCGAEVVLPWRNKSLAVRPIIQPLPGALLQDHLIAMSQLGRDQEHPDVAFPLQPSELLNCPTQGESQSLIHGLLNDEIDSFCKQVAAENMSRKPYINWAIKRVTRSLQVLWPRSRTNIFGSSATGLALPSSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKTDSLKAVENTAIPIIMLVVEVPCDLVCSIQSPKDGPDCITVDQDSNSNTDMVGFEDSAAANSLPTKAGNFANAKCVRLDISFKTPSHTGLQTTQLVKDLTEQFPAATPLALVLKQFLADRTLDQSYSGGLSSYCLVLLITRFLQHEHHLGRSINQVLSFIVCLFCNTIMFLILGRCVSQCKEVVSIGIGRGVIVLIRYTSMTLFFQQIMSGEIASVYINVLRHFLKRTLFWRMSSHALLVLVIRAKNSYTSYFQKSSQVSFQHRNRHGVLCTWCTMSGSCKMLIDNGDDRNCLG >A02p056890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34280322:34281387:-1 gene:A02p056890.1_BraROA transcript:A02p056890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP5 [Source:Projected from Arabidopsis thaliana (AT5G60970) UniProtKB/Swiss-Prot;Acc:Q9FME3] MRARYCEEDEIQANQERDHNHQLNLNSMFQPQNQPSSVPTSRQWTSAFRNPRIVRVSRTFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQVYDLQDRLGLSQPSKVIDWLLEAAKYDVDELPPLQFPQGTRTRIADTTTTPRESFDLDKGKWIKQDENSNHQDHGFDTSHQNFSLTNPYNNSNASSYYNLGHLQNSLDQSGNNVTVAIPNVPYNNNLNLPPPPTMSSLFPMYPSFLGASHHVVDGAGNLQLFSSNANRNNR >A06g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20987018:20987336:1 gene:A06g507470.1_BraROA transcript:A06g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVLFLDEKVNFVIHEIISAGRANHYMPSLKAGSIVKVDRFEVVRCSSMYKIIDHSFFIRFISPTIIDEVITGAPEIKLHS >A06g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18770950:18778670:1 gene:A06g506750.1_BraROA transcript:A06g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKGFPQGGCGWKSYFFYVRLDQASVAVECLPSFRRLWGAGVHNPIPPFSEDLCIVRDLLRGGPLFWGHFSPERVRAAVEAHRSRFSSSIDNVMEASFEDTSLSAAYAAGRSRGRGSLDAEEDGEPTISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMVRIEHLLRRAFVLVLDVLKIKRVIELRLFKTASVFVGANRRTGCKFLVVAFGQFMIIFTIFGPEGAADKILNVFRRVLKRGLRTCVELRRPMRRSESRMRSLTLVTSESSPASNFAASLAPKTLQLIADIRCLVSRFPSLSAFTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDRRFLARVLTGRSFPCDSCSIEWGGEVEPQPADFGGSAGTDSLGSCRGEHLFKLLDRRGVGLRVGRGYVRYWSLEIGAAASVKRSLHVICVRQTVGTEIHIVDFRLNKETRKTLISQRTRISANYHTSSNQNTRITTIKIRTQKKRAKKILFRICLSARFLVLVTLRRLNLIESQLEITKTEKCLNCSNSPPCSSPRTPYILAPRLVYVFTLLPLSRHSIKWRYSIFPDLHNYLQNFRIYPRKLDIYLSLWAKRKPCCGLRALGPFGPFSESRRLLRIFRGLSMSWNSGKRGLSLLWSSGDSVRRFDENAWIDVCRCPEEFSRYTATELRLEPGRYVATERDDCLRPNGTEARSLRSDQAWLGFGCSIATGRRLCVVIELGLFGLNLKGYFFVKRSWQWTSLTRLQRKQSSGSWHNNMRRKWRRRRDRWRLSRRGNGCLLIAGGLKEILGLMWTERNREVEVRGY >A07p028530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16116778:16118473:1 gene:A07p028530.1_BraROA transcript:A07p028530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRILQSSPSSSCCFSSGSINRHRFSSTSPSPNPLSVSFPQKTRTTRVLSMSKKDDDTESLSYKGSGVDIDAGTELVRRIAKMAPGIGGFGGLFPLAGDSYLVAGTDGVGTKLKLAFETGIHHTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIKGIVDGCGQSDCALLGGETAEMPDFYAEGEYDLSGFAVGIVKKDSVINGKNIVAGDVLIGLPSSGVHSNGFSLVRKVVARSGLSLKDELPGGSTTLGEALMAPTTIYVKQVLDIISRGGVKGIAHITGGGFTDNIPRVFPDGLGAVIHTDAWELPPLFKWIQQSGRIEDSEMRRTFNLGIGMVLVVSPEAASRILGEAKNGDYVAYRIGEVVDGEGVTYH >A09p077220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57259135:57264052:1 gene:A09p077220.1_BraROA transcript:A09p077220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLYFLYLLPIFSVSFFIISKKLKPSKWKLPPGPKTLPIIGNLHNLKGLPHACFRNLSQTYGQVMLLRFGFVPVVVISSREGAEEALKTQDLECCSRPETVATRMISYNFKDIGFAPYGEEWKALRKLVVVELLNMKKFQSFSYIREEENNLLVKKLMESALTHSPVNLKKTLFTLVASIVCRLAFGIDIHKCEFVDEDNVADLVHKFELVVDGIAFSDFFPGVGWLIDRVSGQNKTLNNVFSELDTFFQNILDDHLKPGRTVSENPDVVDVMVELMKKQERDGDSFKLTTDHFKGIISDIFLAGVNTSVITLIWAMTELIRNPRVMEKVQREIRTTLGDKKKSLTADDLNHLHYFKLVVKETFRLHPAAPLLLPRETMSQVKIQGYDIPEKSQMMINIYSIARDPKLWTNPDEFNPDRFLDSSVDYRGLNFELLPFGSGRRICPGMNMGIATVELGLLNLLYFFNWGLPEGKTVKDIDLEETEYVKRPKRELPSGQDNLPPGPKWLPFIGVFPSVNKMFHLFFRDLPQKYGPVVLITFGIDIVVISSKEAAVEYLEGCDPPETVLTSSGEEWETSKYLALIKLFNTKTHSFRYIREQENDLFVKKLSEYASRRSPVNLTKTLFTLVSNTTCRVMFGKNLGECKFIDDDTIGELVKRFDMFTEYYSFPEMLPYGFGRLIDRMFGPSKRSKKAFSEFNTFFQNILDERLEYGGMVLENQDIIIDLMIDMVKEQEEDIDSINLTTDYLKTIITDMFLAQVNTSVTTLVWAMTELIRNPRVMKQVQDEIRTKLGDKKERVTEDDLDQLHYIRLVVKETFRLHPSFPLLPSSGQWSGDKIQGYDIPKKALIMINVHGIVRDPKVWTDPDEFNLDRFANSSIADKRLEFELLPVGSGKRICPETRMATVELGLLNLLYFFDWELPQGKRVKDIDLEETGIITLSKRTALELLPVLHH >A09p073820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56153959:56155110:-1 gene:A09p073820.1_BraROA transcript:A09p073820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYARRTYGFGRADEASHPDSIRATLAEFVSTFVFVFAGEGSILALDKLYWDTAAHTGTDTPGGLVLVALAHALALFAAISAAINVSGGHVNPAVTFAALVGGRLSVIRAIYYWIAQLLGAILACLLLRLATNGSRPIGFHVASGVSELHGLLMEIILTFALVYVFYSTVIDPKRGSIGIIAPLAIGLIVGANMLVGGPFEGASMNPARAFGPSLVGWRWHNHWIYWVGPFIGGALAALIYEYMIIPSVNEPPRHSTHQPLAPEDY >A09p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9102648:9105209:1 gene:A09p017580.1_BraROA transcript:A09p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFWKSISSSYSNLVLTFDCCRNQSRMSSLSTLSSVCLEQGCWFKGERKIRVVADKRVKRPSSMSFRVSGNSAEKDIRIGLLGASGYTGAEIVRLLANHPHFGVTLMTADRKAGQSMDSVFPHLRAQKLPRLISVKDADFSTVDAVFCCLPHGTTQEIIKGLPTALKIVDLSADFRLRDISEYEEWYGQPHKAVELQKEVVYGLTELLRDDIRKARLVANPGCYPTSVQLPLVPLLKANLIKHENIIIDAKSGVSGAGRGAKEANLYSEIAEGISSYGVTRHRHVPEIEQGLSDVTQSKVTVSFTPHLMPMIRGMQSTIYVEMAPGVRTEDLHQQLKSSYEDEEFVKVLEEGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNIMLGLPETTGLLHQPLFP >A01p050810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28582457:28583999:-1 gene:A01p050810.1_BraROA transcript:A01p050810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVFSGWERSSRYEDETIMPPGFRFHPTDEELITYYLLKKVLDSSFTCAAISQVDLNKSEPWELPEKAKLGEKEWYFFTLRDRKYPTGLRTNRATEAGYWKATGKDREIKSSKTKSLLGMKKTLVFYKGRAPKGEKSCWVMHEYRLDGKFSYQYLTSSVKDEWVLSKVSLKSSVVVGREQTKLLSSSTASVAGEVSSSAPIIDAFATEHVSCFSNTSATAHADASFPTYLPAPPLSLPRQPRRIVDDVAFGQFMDMGSSGQFNADEAATFLPSLPPTVLHPPQTFELYGGLALSCWPFAL >A02p035110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19179274:19180822:-1 gene:A02p035110.1_BraROA transcript:A02p035110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKGTLYSSTVDVKGNGFLERKKVSKEAANPSFADLPLCLLEVIISQLDLKDNIRASAACKIWREAGLYVRLVDKPPWLMYLPKRGNSFEMYDPLQKKMYTLNLPELSKSTVCYSRDGWLLMRKTASHQIFFFNPFTRKLINLPKLELSYDAIAFSCAPTSGTCVVLAFKNVEYGTVTTSTCHPEATEWVTEGLVCYLRYRSDSLKHSNIVYANRRFYCLDDKGRLYYFEPSSREWSFSYTYSPPCPYISARYERKKKRVFLAVRKGVFFTIYTCGGEKPMVYKLDKFSDWEEINSTTLDGLTIFTSLYSYEMRVNLPWMRHSVYFPKVRLSNKCCVSYSFDEERYFPRKQWQEQEDLCPVENLWIRPHKKAIEYM >A05p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2227049:2227849:1 gene:A05p005760.1_BraROA transcript:A05p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 18 [Source:Projected from Arabidopsis thaliana (AT2G44340) UniProtKB/Swiss-Prot;Acc:O64868] MEVTKYHQSFNEGSSSRVSMNKNSQVISKIKPKIRIIHIFAPEVIKTDVKNFRSLVQSLTGKPTAGEVKTDKKRANSRVSTSQEPVCRDHQPVNRLTGFTGLLANGGNHQVKEEWGSGDHKRTSNTNTYFDLEGLIQDVGEDYFSSFPVRSSSSSQVEGFIFNNNHNTNNNFDTKALPGEVTVSN >A05p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4419133:4427944:-1 gene:A05p010470.1_BraROA transcript:A05p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MSVLFRLTSKVASLAKSGRIATARQVFDEMPERDTVAWNTMLTSYSRLGLHQEAISMFTHLRFSDAKPDGYSFTAILSTCASLRDVRFGTQIHSLVIRSSYGASLEVNNSLIDMYGKCSDTLSANKVFRDMGNDSRNEVTWCSLLSAYMSSGEFESSVDVFDEMPKRVVYAWNIMISGHAQCGKVESCLSLFREMLLESECEPDCYTFSSLMNAACGGDSSNLVYGRMVHAVMVKSGWDSAVEAKNSVLSFYAKVGCKDDAMREFESIEVLTQVSWNSVIDACMKTGDTERALEGFKLAHERNIITWTTMIAGYGKNGDGEQALRFFVEMMRSDVGSDHFAYGSVLHACSGLALLGQGRMIHGCLIHRGFQGYAYVGNALVNLYAKCGDINGSNRAFGDIGNKDLVSWNTMIFAFGVHGLADEALKLYEDMIASGTKPDKVTFIGLLTTCSHSGLVEEGCAVFKSMVKDYGIPLEVDHVTCMIDMFGRSGHLAEAKELATAYNSSWEALLGACSTHFETELGKEVSKVLKIAEPSEEMSFVLLSNLYCSSGRWKEAEDVRREMVERGMKKTPGCSWIEVGNQVSAFVVGDCTSHPRINELSETLRCLQYDMINPHHLGCLNQKACRKKDIESLRHHDEIFHGCSTTAISIICGRSTGSHCLLLQLDATWVDVSGVFANFLRSPAYRFLGFHPDPVLGRNLAIPNYYRVINHGFAVPLDATWVDVSGVFANFLRNPAYRFLGFHPDPVLGRNLAIPNYYRVINHGFAVPMENDEDGGGGPNQLPLNLRNQIVQDEEEFQIINTQLIPVETEGIYRVDNEWNRDRNVWLLSSLQRQRSIAGVRLCIRLSVGYPFLVDGVLVAMFRRVIKLAPDTDVVSLAKSGRISAARQMFDEMPNRDTVAWNTMLTSYSRLGLHQEAISMFAHLRFSDAKPDGYSFTAILSTCASLRDVRFGRQVHFLAVRSSYVASLEVDNSLIDMYGKCSDTLSAKKTFRDMGNDVRNEVTWCSLLFAYMNTGEFESALDVFVEMPKRVLYAWNIMISGHAQCGKVESCLRLFKEMLVESECEPDCYTFSSLMNACVESLNLVYGRMVHAVMAILGCKDDAMKEIESIEVLTQVSWNFVIDACMKTGDTEKALEVFKLAPERNIITWTTMIAGYGKNGDGEQAVRFFVEMMRSEVCSDHFAYGAVLHACSGLALLEQGRMVHGSLIHRGFQGYAYVGNALVNLYAKCGDIDESNRAFGDISSKDLVSWNTMLFAFGVHGHSGLVEKGCQIFKSMVKDYGVPLEVDHVTCMIDMFGRSGHLAEAKELATAYNSLVSNASSNINSSWEALSGACSTHWHTELGREVSKVLKIAEPSEEMSFVLLSNLYCSSGRWKEAEDVRREMAERGMKKTPGCSWIEVGNQVSAFVVGDCTSHPRIDELSETLRCLQYDMRNPETFGP >A10p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6513010:6513427:1 gene:A10p011190.1_BraROA transcript:A10p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGMWTPMCRHACDRTHVGRHIGDRVAHSYWPTTSICIQLPWFTFTHSDTPSTKENVAREGEKKEASFEGRPEVKECSFRVLISPDQFIQDIEVGFWDLTSRYQDEDLEGIKGFGQHPESK >A08p031800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:19375585:19378158:1 gene:A08p031800.1_BraROA transcript:A08p031800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLAILLLLSGLDVSTVTAAVGPATGFKPADDILIDCGSKSSSKTPDGRVFKSDQETVQYIEAKDDIQVSAPPSDKVASPIYLTARIFREEATYKFHLTRPGWHWVRLHFLAFTNDKFDLQQATFSVMTEKYVLLHNFKISNNNNDSQAVLQKEYLVNITDAQFSLRFRPMKGSAAFINAIEVVSAPDELISDSGTALFPVNGFSGLSDYAYQSVYRVNVGGPLIVPQNDTLGRTWIPDKEFLKEENMAKDVKTTPSAIKYPPGVTPLIAPQTVYATAAEMADSHTIAPNFNVSWNFLSNPSFNYLIRLHFCDIVSKSLNDLYFNVYINGKIAISGLDLSTVAGDLATPYYKDIVVNATLMTPELQVQIGPMGEDTGSQNAILNGVEVLKMSNSVNSLDGEFGVDGRTTGMGKHGMVATAGFVMMFGAFIGLGAMVYRWKKRPQDWQKRNSFSSWLLPIHAGDTTFMTSKGGSQKSNFYNSTMGLGRYFSLSELQEATKNFEASQIIGVGGFGNVYIATLDDGTKVAVKRGNPQSEQGITEFETEIQMLSKLRHRHLVSLIGYCDENSEMILVYEFMSNGPFRDHLYGKNLAPLTWKQRLEICIGSARGLHYLHTGTAQGIIHRDVKSTNILLDDALVAKVADFGLSKDVAFGQNHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEALCARPAINPQLPREQVNLAEWAMQWKRKGLLEKIIDPHLAGTINPESMKKFAEAAEKCLEDYGVDRPTMGDVLWNLEYALQLQEAFTQGKAEETEIDKPVTPGSVLPTATTPITPSATTNAEASVPVVSKVEETDGSVHSQTVDEHSGTAMFTQFANLNGR >A01p048730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27436364:27438819:1 gene:A01p048730.1_BraROA transcript:A01p048730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSCRFSDTSHVFTLMFICFITLFSSSVLVQGKIRRFKWEVKYELKSPDCFEKLVITINGQLPGPTIKAQQGDTIIVELKNSFMTENVAVHWHGIRQVGKPWFDGVEGVTQCPILPGEIFTYQFVVDRPGTYMYHSHYGMQRESGLIGMIRVSPPSTEPEPFTYDYDRSLLLTDWYHKGMSEKATGLASIPFKWVGEPQSLMIQGRGRFNCTNNMMTPQRSEAEVCNASHADCSRFVLMVIPGKTYRLRIGSLTSLSALSFQIEGHNLTVVEADGHYVEPFTVRNLFIYSGETYSVLLKADQNPSRNYWITTSIVSRPEKTPPATAVLNYHPNHPRKHPPTPASSNFRPEWNDTRHRLAQSVAIKARKGFAHAPPENSDKVIVLLNTQNKVNGYMRWSVNNVSYQHPTTPYLIALKHNLTNAFDWRFTPPERYDSKSYDIFAVPSNANATMSDGIYRLKFNSTVDVVLQNANTMSVNNSETHPWHLHGHDFWVLGYGEGKFNEMEDPKRYNLVDPIMKNTVAVQPYGWTALRFRADNPGVWAFHCHIESHFFMGMKIVFASGIDRVANLPSSIMGCGQTKRLATGGGVSRQNPNPPPDIPKP >A10g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6046790:6047346:1 gene:A10g502250.1_BraROA transcript:A10g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAVEECILAKPAHFGTSPFTSMKPKLTSTLTWLTTTKLLVSKHNCQLAPRSNLNLDQVIQSEVLFP >A09p027030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15272238:15274833:1 gene:A09p027030.1_BraROA transcript:A09p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLRTFHLGEVSSTNGKEANFTQLLTNLSGSPGYCIEVGNGKRDSWFMPLMKQTEIVCEKVKQMKELRQGYNIVGRSQGNLVARGLIEFCDGGPPVFNYISLAGPHAGISSVPLCGNGSLCEIADKLIKSEIYSDFIQDHLAPSNYLKIPTEINKYLEKSKYLPKLNNEIPNQRNSTYKDRFTSLHNLVLVKFQDDKVIVPQDSSWFGFYPDGAFKPLLSAQQTKLYTEDWIGLKTLDDAGKVKFVSVKGAHIIMADLDVVKYVVPYLQNQPSFQQKRFNRKTKETLRP >A01p050540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28474653:28476235:1 gene:A01p050540.1_BraROA transcript:A01p050540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFCPKTLKYNLAAVYANRKEQKKQMGRKRKHSETETAAPAKKDDSAPERPKRTLLGWKDKNEDAEESKAKAASGFRNKEKVLVTCSRRISFRYRHLMLNMVSLLPHCKKDSKVEAKSSKGATLNELVELKGSSSCLFFECRKHKDLYMWMVKSPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFDKDVHWKLLKEMLTQVFGIPKEHRKSKPYHDHVFAFSIVDDHIWFRNYQISVPHNEADKVARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRNKAGKFAKKIKAKTRRKRHELSNPLEPDEFADMWKDDE >A09g512440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36510420:36512051:1 gene:A09g512440.1_BraROA transcript:A09g512440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEDEEDMSHVEAPVQPNLASDHMDLLNSLLNQPGRAKNTIVLSRNLEPGTTCTSPPQTRDSWTSSPKKQLHLIPIMFRSFPSPM >SC223g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:69301:71183:1 gene:SC223g500010.1_BraROA transcript:SC223g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTTDINFRGTNLCLSVSLTNHNKVLNGKGCQLTYRDFETVQHSDENFGYGEPEATTHYEHLITSKVTLRGVVSTFPVAGNPELHNIQDAVERPHRREKLVSATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATELEPKLGRYVVTERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATERSSHSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVYVSRYSNSSIKLRGLETAEKLVVNVSSRKTAQRDLKHDSRPILRFFLTKSP >A06p048830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25856840:25859414:-1 gene:A06p048830.1_BraROA transcript:A06p048830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAVVGEEARLTSAEDRLSRSAIPAEVGLVIGKLSSVLDRGFVFDLIPTPSNDAGEPACSVLETRDDKKRPSKSKAQSSESSSLSIDCDWVAEHARQVSRMLMGGVKVVGIYVWASDSSFKNSTMILCQAIKAVSDAIRHLDPALDEALLVHICYSPRRWNCRTCLLSSSITSSSLRPCDFKLGKVLSSLQRFKCNYNFNFRLPIYSKGESTRQTFTEILRQELAVHAKELKSANAMIDGDLVHNDEPCNTEGEHEIELLFPFMKDIRAEALTAKNVTGILQFGGSIYSYAYLNVKEPVSQAVADIKADITRSLHSRLDIICDEAEQDLNPPDVGDDEEAEILTIPISKSILNSSTKACHLRLPKRVLVPWLAGTHICDYLQPYESLEVVKERCMELMSMEHSSLDASKISEVETETSLMVAESMWDVITPASSAASCRLEGNVVKTSREDGSKGTGNASNASNVPMMVAIFVLLLSIILGFMLYRKD >A08g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5889289:5892068:-1 gene:A08g503040.1_BraROA transcript:A08g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQCLVLSGDWVCVEGGKWDFVIEKHHMGRMVQIYEGIGCSKLEGNVLHFELATGIRTPPVLITSDGAIRYFCQHLKVKEWMNLFAKFEPNRWLWTLMLWMILGWDLYHRMLRSYSSSAASNRKVISLSDDNDFVREVEKVEDIIKGNTSKGESSNDGVKIGCSVEIYDGCIVAADLNEIGLSPKGYCKNFWDPLIAGDFGGSNVVNVVFNEDKIVEGLSKKKGPHTYFCNTGSYFNYFVEERRNKNPKSDLRDVNILEKVSSMIMYPPNTKRQVIRDHKTRIPFTGEIWVMAPKKKVVANRCG >A09p044090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36022552:36023401:1 gene:A09p044090.1_BraROA transcript:A09p044090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDTRPGSQTGYVGGVKFSNNSPTSPPKFGVTQVVRQVVGVDSDVGDVFETGGLCVSRSSSRFWFGELITRFECKLYVTLYCAALFLSGFLLDFLFDFTSYVIIVVFKFHLWYLALICQNRRLLPEPVESSYFVSVFVAMRTTVTISNLVPKAAESAKEREREGKR >A09p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14238454:14239662:-1 gene:A09p025540.1_BraROA transcript:A09p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESSKCPFVAESIIQKCPFLRNINKPTTLSLSSLNFQLPVVQGDSKGPIFEDGPGFDTAFKLFHGKDGIVPLSGHSSFRDDLEDETPQFNPLAGKVATISLSAFGPGGPFSFGPFSDKYKKQQKKPNKQESGDSSKHEAVGDEWLKTGNCPIAKSYRAASKVMPLVAKALQPPSGMKFKCPAPIVAARAALSKTPLVKSLRPQPLPEKMLAIALMGMAANVPLGVWREHTIKFSPSWFVAVHAAVPFIAMLRKSVLMPKAAMALTIGASILGQVIGSRAERYRLRAVAANTVAETSTVTAGECCSQVSDDSGFGKGHCGAGEGVKEVYYNVNVGESAKSTGLCY >A01p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17702312:17703853:1 gene:A01p037750.1_BraROA transcript:A01p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRMRQLMSLWCNLKSSRVSLTGGNHTAAREHSSSSLGSADSIFKASRSEVLTLFMRSMLLALLFLSFTWLSLLKCETDSTAASKLVESDQHELLLPLLLNDLEKEGLFKLGDKALLLSGGDDEVTVTSYSQTVIETEVLFVSASDEETQSMVPSETFDFAFAHSRHIDSAEFLDRALKVGGILTVQLNLQDLPPNFLEHLNYKIVYMKSSEYTVMAMRKTEGKQEGTVAPGRKLLAITEEEAKKKALSKLEDVLLEPPRAASRKSRTYLKRTRYLPDLMGDTMDLGSYSRRVFIDVGNGKGSSGTEWFVKNYPTRNLKFEMYKIKTVNDEMSLESENMGMTEWLKENVKEEEYVVMKAEAEVVEEMMRSKSIKMVDELFLECKPKGLGLRGRKMQSKSGKAYWECLALYGKLRDEGVAVHQWWG >A04g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1498493:1500844:-1 gene:A04g500460.1_BraROA transcript:A04g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSERISETGCVTRSEKTKKRFQDVLTGLIRCFEKPQEEEDHIEDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDLDSLVLINECLDLICETRKLDELRIEKLARDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTLFEPVVDCVFPPYAFDSHDHLNLKEHFIIHTLAFSASAHVYK >A05p009400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3914155:3915369:1 gene:A05p009400.1_BraROA transcript:A05p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKLAMLVIFAVFALLNLLYMEFPTCTLSDDKPPFKPNVPLPGTTVPMSTSSSSVSVVTSDQQEKEEIDPLLPPPKATKSERISWFRRKLPELEILKSTTKSKRFHGRVLELYNNNCSAQFFMVWLSPAKSFGPREMLAVDTLFTTNPNACLVILSNSLDSPRGYTILKPFLDQSFNLVAVTLDIPFLVKNTPAEAWLKKLKSGKMDPGSIPLFMHLSDLTRLAVLYKYGGIYLDTDIIFLNSVTGLRNAIGAQSLDPETKRWTRLNNAVMVFDIYHPLMHEFLQEYSTTFDGNRWGYNSPYLVSRVIQRLGHKPEYNLTIFPPNAFYPVNWLKIPRLFKKPTTTGEVKWVEKTVQDMTKGSYMIHLWNKVTRKMKIEEGSVMHKLISTHCTVCGNITDSHT >A06p045670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24474919:24481920:-1 gene:A06p045670.1_BraROA transcript:A06p045670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNNSTASNVAQAILAVLDYNSTPDARRAAVAFLESVKSGDIRVLAHVSLLLVKKECSSEIRLHAFKMLQHLVRLRWEELSPSERRDFAKVSVDLMSEIASPSEEWSLKSQSAALVAEIVRREGPDLWQELFPSLTSLSAQGPLQAEVVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEILPLLYNLLERHFGAAMNEASRQQVDLAKQHGAVVIACLNAINAYAEWAPVLDLSRYGIINGCGALLSSPDFRLHACDFFKLVCSRKRPSDVSGTEFDAAISSLFQSLMNVSTEFLYRSASCTSGIDESDYEFAECICESLVSLGSTNLQCIATDGGVLALYLQQMLGFFQHFKLGLHFEAMIFWLALLRDLLTKPKAAANPGAEGVAVGGMQSSSQVDNEKKKILGLISDDVASTILDVSFQRMLKKEKVPPRIAPSLGPLELWSDEFEGKGDFGQYRSRLLDLVKFIASHKPLVASTKISERIITLIKDLLASPVPLQDVAVLDSQQLAFDCIVATVFDGFSEFAGGSSDVHFSLRGIFEGLLQQLLSLKLTEPELIKMHGHYLDAMGPFLKYFPDAVGNVISKLFEMLTSLPHIVKDPATSTSRIARLQICTSFIRIAKTADKSVLPHMKSIADTMAYMQREGTLLRGEHNILGEAFLVMASAAGAQQQQEVLAWLLEPLSQQWIQSEWQNCYLSDPMGLVRLCSNTPFMWSLFHTVTFFEKALKRSGHRKSNLNTTSVTSQDLHPMAHHLSWMLPPLLKLLRVIHSLWSPSVYQTLPPEMRAAMTMADVERYSLLGEAIPKFSKASSVYADGSFDGGKEGQSEANESDVRNWLKGIRDSGYCVLGLSATIGDTFFKCLDANYVAVALMENLQSMEFRHMRQLIHSFVVYVVKSCPADMWETWLEVLLHPLFIHCQKAISSSWASLMREGRAQVPDSFGVQNGPDMKLEVMEEKLLRDQTREIANLLSTMASPGLNPGLPVLEHSGPVGRIDVSSPKDLLAFKSNSMVGFLLNHKNVALPALQICLEVFTWTDGETTTKVCCFCGVVVLLAILTNNVELREFVSKDLFSAVIRGLAMESNAVNSADLVNLCREIVIYLSDRDPAPRQVLLSLPCLTPNDLRAFEEAMGKTPSPKEQKQLMRSLLLLGTGNNLRALAAQKTMNVITNVTLRTRGPASTSEAKEDEGETIGLASVL >A09g514500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43257141:43264639:-1 gene:A09g514500.1_BraROA transcript:A09g514500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSRESKLPEKQSSYHRFRSNFPGAAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPCQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDMPRSLRAYYLLDFYFT >SC182g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:21688:23121:1 gene:SC182g500010.1_BraROA transcript:SC182g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQHHPWPRPENTSFDVLGYALRCMPDEAFLRNPRNMSRRPTSIRRTRTRDAQAPPLPDFPNIPDIPMQDQGDFQRFVVDALQAIWARVSCHSRRATGAQAPAPAARRDPSPEDDEATDEDTD >A10g507180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:22028600:22028896:-1 gene:A10g507180.1_BraROA transcript:A10g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIGRKYRLGRKIGSGSFGEIYIGTDTQTNEEVAIKLESVKTPHPQLSHESKIYSILHLQGGGMIPNMRWYGVEMDQSPVQRSRDGFARSEPRRTI >A03p023080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9730275:9731948:-1 gene:A03p023080.1_BraROA transcript:A03p023080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNEHTVLQFVTPSSTASTTTQVLTARIHPLVIFNVCDCFVRRPDSAERVIGTLLGSILPDGTVDIRNSYAVPHNESSDQVAVDIDYHHNMLASHLKVNPKEVIVGWYSTGSGVNGGSALIHDFFAREATNPIHLTVDTGFTNGEGTIKAYVSSNLSLGDRQLAAQFQEIPVDLRMVEAERVGYDVLKATAVDKLPNDMEGMELTMERLLTLINDVYKYVDSVVEGKTSPDNNIGRFIAEAVASLPKLPPQVFDNLVNDSLQDQLLLLYLSSITRTQLSLAEKLNTAAQML >A02p039520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23516221:23518219:-1 gene:A02p039520.1_BraROA transcript:A02p039520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSPSVKIVSKCFVKPKTILEESKKPYYLSPWDFAMLSVHYIQKGLLFQKPLHSTDTLLDKLRESLAIALVHFYPLAGRLSAQINENTKSYSVSVDCSNSPGAGFIYATSDLCLADIVGPKYVPLIVHSFFDHNRAVNHDGLTMSLLTVQVTELVDGIFVGLSINHAMGDGTSFWNFFSAWSEIFQAQECNKTDELYLKNPPVLKRYIPQGYGPLFSLPYSHPDEFIRTYESPILKERIFCFSSETIRLLKAKINQVCGTTSISSFQSLTAVIWRCITRARRLPIERETSCRLAADNRGRMYPPLPRDYFGNCLSALRTAAKAGELLENDLGWAALKVHQAVADHTSEKVTQMIDQWLKSPFIYHIDRLFEPMSVMMGSSPRFDKYGCEFGMGKAMTLRSGYAHKFDGKVSAYPGREGGGSIDLEVCLVPQFMEALESDEEFMSLVYF >A01p005620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2930746:2931237:-1 gene:A01p005620.1_BraROA transcript:A01p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPETVVFKWEGKQAAQVNGVTAEQAWLVVSDFCNVHKWFPTVDTCSRVEGTDGQTGLVRYCASTATKEDEETKWAKERLVEIDPIGRCLSYEVLENNVGFRTYVATVKVMKVDGADESDGGKVCRIEWSFVSDPVDGWKKEDLESYVGFCLKHMANKMEMNL >A05p035510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20124189:20124444:-1 gene:A05p035510.1_BraROA transcript:A05p035510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMHDGCTHGKLLEITQEDYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVPSMRQKH >A07p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1705203:1707500:-1 gene:A07p006400.1_BraROA transcript:A07p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI2 [Source:Projected from Arabidopsis thaliana (AT2G16090) UniProtKB/Swiss-Prot;Acc:Q84RR2] MDDYLSGEEEDDYYYTSDHESLEGLDHDQSNLHPLSSSGNTAKVITKESLLAAQREDLRRVMELLSLKEHHARTLLIHHRWDVEKLFAVLVEKGKDFLFSGAGLALAEDDPTFSPSSSMMLTCDICVEDVAGHHHMTRMDCGHCFCNNCWAAHFTVKINEGQSKRIRCMAYKCNAICDEDVVRSLVSKTQPDLAEKFDRFLIESYIEDNKMVKWCPSTPHCGNAIRVEDDELCEVECSCGFQFCFSCSYQAHSPCSCSMWELWRKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVTCICGQSFCWLCGGATGRDHTWSSISGHSCGRYQEDKEKQLERAKRDLYRYMHYHNRYKAHIDSSKLEDRLSDTILEKVSILEKRQLQFKDFSWVTSGLHRLFRSRRVLSYSYPFAFYMFGEELFKDEMSAEEREIKQNLFEDQQQQLEANVEKLSKFLEEPFDQFADDKVTQIRIQVINLSVAVDTLCKTMYECIENDLLGSLQVGIHNIAPYSSNGIERASDFISSREAGGEKCQASNSGWSSEDTSCSPRKRPRKEGLYRNNQTTLLDLNLPADVIERK >A07p044090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24087250:24091172:-1 gene:A07p044090.1_BraROA transcript:A07p044090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKQSKLMFFLLALIFTALSEAAAKVEYCSTAAIDKVPGCYDSLKLAAENDYRWLRKDCCKVVYSFPHHCLLPVMNRRHKDINSFKKICVNKPSNLMFFLSALIFTALVFQQSEVAAANYCSAIDTVPGCHDSLKLAAENDFRWLGKDCCKVVYAISYPCFLDVLHGRNLDINFFKSICVNVIGPITFV >A06p043560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23437940:23439657:1 gene:A06p043560.1_BraROA transcript:A06p043560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEDQNLESGGSVRVVIKGESHLRNVLWGPVRWIKMLAKELHWSFVFGVVSLYGINQGLGGSLGRVATEYYMKDVQKVQPSESQALTAITKIPWIIKPLWGILTDVLPIYGFHRRPYFVLAGVLGVVSMLFISVLGNLHLYLALLWMTIASAAMAIADVTIDACTAYNSIKHPSLASDMQSLCSLSSSIGALLGFFMSGILVHLVGSKGVFGLLTLPFALVSVVGMVFSESHVPGFSYKQVNQKFIDAGRAMWRTMKCSDVWRPSLYMYISLALSLNIHEGLFYWFTDSKDGPLFAQETVGFILSIGSVGSILGATLYQLVLKDHAFRGICLWTQLLFALAGMLDLILVLRLNLKLGLPDYLFIVVDEVVSQMIGRLKWMPLLVLTSKLCPHGIEGTFFALLMSIDNAGFMTSSWLGGVLLHVLNVTRTEFGNLWLAVLIRNVLRVSPLFVLFLVPKGDQNTFKLPGEIIGEDSDSDEEKEEARNLELASLVHSADRR >A09g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29041688:29043696:1 gene:A09g510020.1_BraROA transcript:A09g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHETDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSASGLRGKFISLIDGMISECGSESSRLSGESVELQGRWSETEAMLTAVGDSHSAKVSNLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVQSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGAPVSRVLPRDVNSAGVLKVSNVNIEAWFRNLLSFDIMPLRCYRPVRWDCAVTNRLSFFLSRFLPDSYRCKVREYFSAYMTSWDLVACAVVLIILRKINVNVCMYVSFCGDFVCSDETNFEASFATSRDAKG >A08p041540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23425292:23426059:-1 gene:A08p041540.1_BraROA transcript:A08p041540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYVDTVKYCENLGTPDAAELISAMAAGWNAKLIVETWSYGDAIASSVGLNVASQHANAKHICIVQNTLSESSYLQAIQEASSPLNLPETIVAEEPQSAMKEIQGIDFLVVDWRNKEFAAGALRNAAFGSRGAVVVCRNGYSRSASGFSWTRALRDRTIVRKVTLPVTGGIEIAHVAARNSGKTESKKRRWIKHVHQSSGEEHVFSI >A09g513780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41899325:41902381:1 gene:A09g513780.1_BraROA transcript:A09g513780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPTTKHDWIEPKHDDNIPNHDGRKLNHDCRNLDLDRIKIGKYTPLRRACLDASRGLFVQYTQHVCGCPSAHTGRLCVSVSTHRTPVAVRVCPSAHTGRLWQSISTHIRMLVLGLSTLTLLVDCSGDFGLLGLSVQYTQDVRGCPPAHTGRPWLSVCVHLCRSEHTERPWLSISTHISTLVLRFSTLALPVECSCDFGPRGLSVQYTHDVRGCPPAHTGRPWVSTSTHRTSVAVCGCPSAHTESLWLSVCVRVCPSAYTGPHTGRPWLSICTHISTLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDIRGCPPAHTGPHTGLPWLSISTHIRTLVLGLSTLALPMDCLGRPWLSVCVRVCQCGSVSTHRTSVGVRQYTQDIRVCLSSHTGCLWLSVCVRVCPSAHTARPWLSTSTHISTLVLGLSTLALPMEFLGDFGLLALSVQYAQDVRGCPPAHTGHPWLSISTNRTSVAVRVCPCVSVSTHRTSVGVRQYTQDVRVCLSAHTGCPWLSVCVRQHTQHVRGCPQVHISARWPFPWTVWVILAYMGCLFSTHRTSVRVRQHTQDVRGCPWLSVSTHRTSVAVRVCLCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPCGLSVQYTQDVCGCRSAHTRRLWVSVSAHRTSVAVRVCPCVSVNTQDVCGCPSYTHDVRGCPSANTGRLCVSISTHISTLVLGLSTLALLVDCLDDFGPRGLSVQYAQDVWGCPPAHTGRPWLSVAVRQHTHDVCGCPCVSVGVLQHAQDVRGCLSAHTGCPWPSVCVRVCPSAHTGRPWLSISTHISTLVLGISTLAFPVDCLDIRGYLSLSVSTHRTSMAVHQYTYQHVGPWTEHANPSRGLFG >A08g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20307115:20307601:-1 gene:A08g509690.1_BraROA transcript:A08g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLFIPVSQYKPSLETSIQIFLLLQKKIHCMMLKDMRHSRTKSLQYTDKSRTKGLLSCLIP >A01p048900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27513366:27514059:-1 gene:A01p048900.1_BraROA transcript:A01p048900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFMKLFSIFLFIQIQIALSQPNLIQQLCKRNRYQPLCVSTLNLDPRSKTSDLQGLASISIDATTKKTNDTLTYLISELRRTGGDRTAFEKYGTCVDQDYGASTGRYLPGALANLQAKKYSAAIANLQDVVRASGDCENQFAGSSPLPVSQHNKAVHDIADMTTDIIKTFV >A09g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26856857:26870857:-1 gene:A09g509330.1_BraROA transcript:A09g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVRVCPCVSVSTHRTSVAVHQHPHDVRGCLWLSISTHISTLVLGLSTLTLPLDCLGDFGPLRLSVQYTQDVSVCPSAHTGRPWLFVCVRQDTIDHADLPVDCSGDFGPRGLSVQYTQDVCGCQPAHTERLWLSVRTHRTSVAVRVCPSVAVYQYTYQHIVLGLSTLTLPVECSGDFGPLGLSVQYTQNVRGCPSAHTGHQCVSVSTLMTTLTLPVDCSGDLGPRGLSVQYKQEVRGCPSAHIGRQWVSVSSHRTLVAVRVCLCVSVSTHRTSVAVHQYTYQHVGPWIQHADPSHGLFGTLTLPVDSSGEFGSRGLSVKYTQDVCGCQPAHKGRSWLSVSTHRTSVAVRVCPCVSVSTHRTSMAVHQYTYQHVVPWTQLADPSRGLFRTSMGVQDVSVCLSAHTGRPWLSVSTHMTSVAVHVCPCVSVSTHRTFVAVHQYTYQHAGPTTQHAGPSSGLFGTQRTSVGVRQHTQDVPVCPSAHTGRMWLFVRFCVCPCVSVSTHRTSVAVRVCLLAHTGRPWLSISTHISTLVLRLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPSAHTGRPWVSISTHRTSVCVCQHTKDVCGCPSAHTGRPRVSVSTHRTYVAFRACLCVSVCVRQHTQDVRGCPSVHISARWSFDSARWPFRWTVWVILAHVGCLFSTHRTSVGVRQHTEDVSGCPSAHTERQWLSGCVCVCPSAHTGRLWLSVAVRQHPHGVRGCPCVSVCVRVCVSAHTGLPLLSISTHISTLVLGLSTLTLPLDCLGDFRPLGLSVQYTQDVRGCPSAHTGRQCVSVSTHTTSMAVRHADLPVDCSGDFGPHGVFVQYTQDHTQDVRSCPWLPVSTHRTSVAVRVCLWVSASTHMTSVAVHQYTYQHAGPLSQNAGPSRGLFGPWLSASTHRTSVCICQHTQDIRVCPCVSVSTHRTSVAVHQYTDQHAGLWTQHAGPSRGLFGTSVAVRVCLCVFVCFRQHTHDVCGCPSVNISACWSLDSARWPFPWTFLGYFGPRWLSVQYTQDVRGCPPAHTGRPWLSMAVRQHTHDVRGCPCVSVCVRQHTQDVCGCPSAHTGPHTGRPWLSNSTHITTMVLGLSTLALPVDCLVDFGPRGQSVQYTQDVRGCPPAHPRTSVAVRQRTQDVRGCTCVSVCVRQHTQDVRGCPSVHISARWSFDSARWPFPWTVWVILAHVGYLFSTHRTSVGVRQHTEDVSGCPSAHTGRQWLSGCVCVCPSAHTGRLWLSVSTHMTSVAVRVCPCVSVSTHRTSVAIHQYTYQHVGPWTQHADPSLGLTQRTSVGVRQHTLNVCGGPGVSVCVRQYTQDVRGLHTGRPWVSTSTHMTSVAVCQHTHDVRGCPCVSMCDCHHTQDVRGCPSVHISARWTSVGVHHHTQDVRSCLWLSVSTHMMSVAVRVYPCVSVSRHRTSVAVHQYTYQHAGPSTQHAGPSRGLFGTSVAVHQYTYQHAGPSTQHAGPSCGLFGTHRTSVAVRQHPHDVCGCPCVSVCVCQHTQDFRCCPSVHISALHTGRLWVSVSTHRTSVCVCQHTQDVHGCSCVSVRTQLTYVAVHQYTYHNVVSWTQHADLPVDCSGDFGPRGLSVQYTQDVCGCQPAHTERLWLSVSTHRTTLTLPVECSGDYGPLGLSVQYTQDVRGCPPPHTGRLWLSLAVRQYTHDVRGCPCVSVCVCQQTQDVRGCPSVHISAIGSFDSARWPFPWTVWVILALVDCLFSTHGTSVGVRQHTQDDVRGCPSVHISARWSFDSARWPFPWTVWVILAHVGYLFSTHRTSVGVRQHTEDVSGCPSAHTGRQWLSWCVYVCPSAHTGRLWLFVSTHMTSVAVRVCPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSLGLFGTLTFPWTARVILAHVGCLFSTHRTSVGVSQHTQNVCGCPSAHTGRQWLSVCVHPWLSISTHISTLVLGLSTLTLPVECSGDYGPLGLSVQYTQDVRGCPPPHTGRLWLSLAVRQYTHDVRGWDKEQDVRARHSLHISARGVFDSDDGHFRGCLGGFWPSWESVRKKRTTCTIRGSRVCPLCVCYVHTQDVPWRPSVHNTALWSFGLSTLALPWTVGDSAKWAICSVHKDSVGCPVQLHKDVRAVRQHNNADGSGWMVLCVVSTTDSGVSSVHISAVVLGLSTLTLPLDCLGDFGPLGLSVQYTQDVCGCPSAHTERQCVSVSTHRTTLTFPWTARVILAHVGCLFSTHRTSVGVSQHTQNVCGCPSAHTGRQWLSVCVHPWLSISTHISTLVLGLSKLTLPVECSGDYGPLGLSVQYTQDVRGCPPPHTGRPWLSLAVRQQTHDVRGCPCVSACVCQQTQDVRGCPSVHISARGSFDSARWPFPWTVWVILALVGCLFSTHGTSVGVRQHTQDVSGCLWLSVSTHRTSVAVCVCLSAHTGRLWLSVCVRLCQCVSVAVRDVRGCPSVHISARWSFDSARWPFPWTVWVILAHVGYLFSTHRTSVGVRQHTEDVSGCPSAHTGRQWLSWCVYVCPSAHTGRLWLFVSTHMTSVAVRVCPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSLGLFGTKLTYVAVHQYTYQNVVSWTQHADLPVDCSGDFGPRGLSVQYTQDVCGCQPAHTERLWLSVSTHRTSVAVRVCPSVAVHQYTYQHIGPWTPPADPPVECSGTLTLPVDCSGDLGPRGLSVHYTQDIRGCPTSVAVHQYTYQHVGPWIQHADPSHGLFGTLTLPVDSSGEFGSRGLSVKYTQDVCGCQPAHKGRSWLSVSTHRTSVAVRVCPCVSVSTHRTSMAVHQYTYQHVVPWTQLADPSRGLFRTSMGVQDVSVCLSAHTGRPWLSVSTHMTSVAVHVCPCVSVSTHRTFVAVHQYTYQHAGPTTQHAGPSSGLFGTQRTSVGVRQHTQDVPVCPSAHTGRMWLFVRFCVCPCVSVSTHRTSVAVRVCLLAHTGRPWLSISTHISTLVLRLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPSAHTGRPWVSISTHRTSVCVCQHTKDVCGCPSAHTGRPRVSVSTHRTYVAFRACLCVSVCVRQHTQDVRGCPSVHISARWSFDSARWPFRWTVWVILAHVGCLFSTHRTSVGVRQHTEDVSGCPSAHTERQWLSGCVCVCPSAHTGRLWLSVAVRQHPHGVRGCPCVSVCVRVCVSAHTGLPLLSISTHISTLVLGLSTLTLPLDCLGDFRPLGLSVQYTQDVRGCPSAHTGRQCVSVSTHTTSMAVRVCPSGHN >A04p028710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17236085:17238778:1 gene:A04p028710.1_BraROA transcript:A04p028710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPSHNLLTKNYHSSPSFKTTSSSEPSFIHLPEKRSRVAAKASLSRTNEASLSAVISRLERERQGLTDGGGEQWRTAEDFRLRDKKTEEERRLRDTWRKIQGEDDWAGLTDPMDPVLRSELIRYGEMAQACYDAFDFDPSSRYCGSSRFSRHEFFDSLGMKGSGYEVARYLYATSNINLPNFFSKSRWSKVWSKNANWMGYVAVSDDEAATRHRLGRRDIAIAWRGTVTRLEWIADLKDYLKPVYRNNLRCPDPAVKVESGFLDLYTDKDTSCKFSRFSAREQVLAEVKRLVERYGDDEDEELSITVTGHSLGGALAILSAYDVAEMGLNRSRSGKMIPVTVLTYGGPRVGNVRFKERLKELGVKVMRVVNVHDVVPKSPGLFLNERAPHAVMKIAEGLPWCYCHVGEELALDHQNSPFLKSSVDPSNAHNLEALLHLLDGYHGKGERFVLSSGRDPALVNKASDFLKEHFMVPPFWRQDANKGMVRNSEGRWIQAERLRSEDHHSPDIHHHLSQLRLLPS >A09p041840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23635208:23636658:1 gene:A09p041840.1_BraROA transcript:A09p041840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKMGGDLMEDDWEFASSSNPTRTLVLVGRTGNGKSATGNSILGKKAFRSRVSTLGVTTTCESHRVEQEDGQVINVVDTPGLFDVSMAAAFICKEIVRCMTLAEDGIHAVLLVFSVRGRLSEEEKSALYHLQTLFGSKIADYMIIVFTGGDELEENEETLEEYLAQACPEFLKEMLELCDNRMVLFDNKTKDKRKKAAQVQKLLSHVDSISRKNNGKPFTDELFHELQEEAIKLRDQKKEVESLKGYSKDEISEFKKQIDISYDQQLNRITEMVETKLRETAKRLEKQLGEEQAARLEAEKRANEAQKRSSDEIKKLRENLEKAEKETKELQKKLGKCINL >A01g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24309727:24315382:1 gene:A01g508990.1_BraROA transcript:A01g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGLSKVMQAIGMSSSDKGKEVMVPPVIHRKHHTDLVDTLMPLLSQSVPPGFAPRSVVAPEVFEEMQLYMNCTDPEERRIREFRMKEVLRVLSSNPGAQSSYLRMEEQPRISAVQNSMLGRVFDFHTVEIESEKPLTEEGDINNTHDGGESTEVTKTNQHVGDVRKENPQEHRTSMVSSQNLLIGHHRETVEDSGDYQPPKTGALFNMGHDHRSIFGASGRSHSSTRKGSSWKRFKQTSNRTRSIRMGRFVYDKRWSKKPEMMELVRKGWNESHNNNPASVSDRIASCRKVIAKWKRGEVSNSKKMIDKLRVELEEEEKKQSLEENFTMVFSLMDDSRNPQLVYRAIPWVMWMIWKYRNSLLYAETHESIVRLMQTMVDEVDQWFTLNVTQTQANAYRTRGGQEDKWCPPAGGVIKCNVHANWMNAYLHCGAAWISRDQGGIVRHHARDAFVNMPNRLVAELKCVIWALRSLRDLNITRVIIASDYYDVLDAIKTPLQWPRYRVWLEQIRSLKEDFESLKFECAKATSNGIARDIAKSVLRDGRYQSYLALGGPSWLHDRIWRETVGSDV >A10p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20694261:20699139:1 gene:A10p036300.1_BraROA transcript:A10p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 49 [Source:Projected from Arabidopsis thaliana (AT5G07420) UniProtKB/Swiss-Prot;Acc:Q9LY18] MGYTNVSILLALVVVFVTPMVLADDATPIPEAKVQVEQWFEANVAPLPARKGLDPALVAAEAEPRTITVDPKGGEFKTLMDAIKSVPAANTKRVIIKIAPGEYREKVTIDRNKPFITLMGDPKAMPVITFDGTAAKYGTVDSASLIILSDYFMAVNIVVKNTAPAPDGKMKGAQALSMRISGNMAAFYNCKFYGFQDTICDDTGNHFFKDCYVEGTFDFIFGSGTSLYLGTQLHVVGDGIRVITAHAGKSADEKSGYSFVHCKVTGVGEGIYLGRAWMSHPKVVYAYTEMTSVVNPAGWQENKIAAHDKTVFYGEYKCQGEGSQTEKRVPFTQKIDPTVANHMGYIFLTMIAFLVIFALPAVVADDITPIPEDKAQVEAWFKANVQPYSARRGKLDPVLDAAEASPQIINVNQEGGGDFKTINEAIKSIPIGNTKRVIIKLAPGVYHEKVTVDVGRPFVTLMGKPGAETNLTFDGTASKFGTMESATLIIWAKYFVAANLHVKNTAPMPKAGTQGQALAMRINGDKAAFYNCRFYGFQDTLCDDIGNHFFKDCYIEGTYDFIFGRGASLYLNTQLHAVGDGLRVITAHNRQSENQQNGYSFVHCKITGVGTGIYLGRAWMSHPKVVYAYTEMSSVVNPTGWQENRNRENDKTVFYGEYMCTGPGSHKEKRVAHTQDIDKNEANGFLTLGYIKGSLWLLPPPAY >A09p071270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55061759:55062981:-1 gene:A09p071270.1_BraROA transcript:A09p071270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABH1d [Source:Projected from Arabidopsis thaliana (AT2G22290) UniProtKB/Swiss-Prot;Acc:Q9SID8] MASVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRLSFLNTSKWIEEVRTERAGDVIIVLVGNKTDLVDKRQVSIEEGDSKGREYGVIFIETSAKAGFNIKPLFRKIAAALPGMDSYSNMKTEDMVDVNLKATSNSSQGDQQGGGCSC >A08p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12472980:12473599:-1 gene:A08p017920.1_BraROA transcript:A08p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRGIECYDSWWFTFICSRRRLMSLLSVDDLPGSRLVKGEMTSMEVVQDFIPRFWSNLAYLGRLPCKSSVG >A06p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12522504:12524578:1 gene:A06p021930.1_BraROA transcript:A06p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGEGAARFKQGLGFSSGNDAVPERSSAIASSSSFLNQFVKASSNPKPTENDSDIRSIRDKLKKEKNKAEDQHSEKSSSDRRRYRSGERDERDRRRSRSAERRSGYGDRERRRRRSRSRSSSPRRERRRREDDRRGRRREDANEKKKKIDYSRLIKGYDDMSAAEKVKAKMKLQLDETAEKDTSKGAGWERFDFDKDAPLDDEEVEEGTDDDAALVKRMGQSFRFNAIESRREEQLKDAHDEAMFGAPTGQTLIGNNEDDVTETANVNDIEGENNSGAVSLVSEKVLAKQQGSWRDRARKS >A01p025850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19913553:19914609:1 gene:A01p025850.1_BraROA transcript:A01p025850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILLFKGSFFVLVRLSIIYDRPCHECFLCCMNNVPCYFPLIQLFSLGSIPHKRLGISKMASEDEIQGARNFLIQQYAGHRPSVDAIESAHDKIIMQKFHERKHPKIDITKKVLQVRQSKAVNFVFERFQTPPTAFLVKTAVTFAVLGALTVLFPTEEGPTLQVLLSVIATFYFIHQRLKKNLWSFPLRVISKATGSSIFSWLIGTFLMVSVIPPFIKGPRGFEVMSSLLSYVLLWVASLGSNATVAF >A02p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16648371:16649811:-1 gene:A02p031700.1_BraROA transcript:A02p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKVMAVEEPEVMAVEEPKGLDYIPEVILKKRKNRDELAFIRKKQLELGNFGKKKKKVADIKRPEDFVLEFRAKEVDLIRMKQRVKRPKSSPAPINSNLVFIIRIQGKNDMHPKTKKVLNSMQLRSTFTGVFAKATDGLSQKLLKVQPYVTYGYPSDKSVKDLIFKKGYTIIEGNPVPLTDNNIIEQALGEHGIFCIEDLVNEISRVGVHFREVMRFLGPLKLNKPETGVLRGKKQVFSDGGDTGNREDKINDLIIKMN >A05g502310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7283513:7284244:1 gene:A05g502310.1_BraROA transcript:A05g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAYQTENNFTYDWIVRTRVDGYWSDSLDPEYFKPGQYLVPPGSSYGGLNDRFGVGDLNTSTVALSRLSLIPDLDSAGKTNLNSESAFKAQLTTHRVPYVTKPLPFRIMSDRTYEFPPSKYGVPVAAISSRGPLNGAKCRPCTVACKGSCVAGVMGKLRRGWSWTEWKHGTMELCDARGEWEEGWEKVFDGVAGEKFARARKRVGGLDMRRCVEEFEEMRGLAVKWEAPASEHICKLGLRPN >A10g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14246477:14248188:-1 gene:A10g505620.1_BraROA transcript:A10g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGFVSVQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALCTFCAYQTLSFSSNAFRALIYIESLELLQPLQPPLPPFPTPPSTLSALEGPLSPLLVGSSDSRIQGADLLRRGATHHDASSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVDNV >A06p046030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24668373:24670138:-1 gene:A06p046030.1_BraROA transcript:A06p046030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSVEETSCNNHLWSEPAKESDGTSGMNRSDSEWAFHRFIQESSAGEDGVSVSGPSSPVDSHEYREILKSKLNLACAAVAMKRGAFIKPQDTSGRSENGGAAYTSSASDQGSLASSTKATPVMSSTITSGSEFSGDDEEADGETNMHPTNVKRVKRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSNLMKGLTDVTQTFNDASVENRVLKANLETLRAKVKMAEETVKRITGFNPMFHTMPQVSSVSNPLETSNSLDTSSQVTTPEISSSSNKSKALIGCKMNRTESMRRVASLEHLQKRIRSVGDK >A04g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17301455:17301888:1 gene:A04g507160.1_BraROA transcript:A04g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLFLIVLMLLIRGAVYSISVVFSDPDVASDSLAARSWYGVVVTANVKTEKLATDLRDPFLAQLKTSKDQLAKDGYSAYSQA >A07p016550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10181340:10183637:-1 gene:A07p016550.1_BraROA transcript:A07p016550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMATKLSITFAETQRKNARVDLFDSDDDTSSISSSSTMQSERPGMDEVQVHKDVMLDQSLDALYEKRFATLLHQCLHCIKKGFTKETSLVSHVIAIITVGLGDQAQEILEESVTPLSQALKSSREALKITSILECLAVITFVGGANPEQTERSMQIIWQMIHPKLGSNVIATKPSPAVITTVVSSWVFLLTTVDRWTLGPKLWQEIVTYLSSLLEKDDRSVRIAAGEALALIFELGTLEKFAAEAKGSTANGSMREGSVSQEALMNMHGLKSKVTNQVRDLAAEAGGKGSAKKDLNTQRSLFKDLVEFLENGVAPETSTKVGGDSLQTSTWYQMIQLNFLKHFLGGGFIKHMQENEFLHDVFSFTPKKIGGHSTMSSEEKRLFKSPNSALNKARTLFLNKQRIESVFCDLQNLNDGHYAAMVENE >A01g509630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26236399:26236719:1 gene:A01g509630.1_BraROA transcript:A01g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVPRGPNPITSPPTPVFPVANVGFKREIPRKGPSNVKSPSFSLFSITDIGVNRKVPNGPNHDTSPPTSQILVTDIGVKREIPRNDPNKITSRSIPTVSIADNNF >A10p040350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22239240:22240462:1 gene:A10p040350.1_BraROA transcript:A10p040350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCASQASTRNICSTMDQPSQPSSSSSSSPTVRLGGRAIDRHNPIIRDGRRFTPPPSPNHNSSSAPPSSSSTYHTPLKTRLGLESSETTRVSKRKSKKSQCDAGKSSISCFTSDTPQGSSRYLLSNPVFFDGFVDSDPIPVPIEPEITMDEDLDKTHEDRLVINASKHLSSSSFLEKKQPDFFDGFLDYDPVMSPNNPFYESTKASPIASQSSHEDKDVSSPDNPLSEHTKASPTASQSSLEDKDVSSPDFKFSPPQPPPPPPPPSPPPTEKDPSSDQVVVLRVSLHCKGCAGKVKKHLSKLKGVTSFNIDFAAKKVTVTGDVTPLAVLASISKVKNAQVWPETIQK >A04p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12861371:12876268:1 gene:A04p021080.1_BraROA transcript:A04p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTSQDGVRIRRLQKQREAERRKIQELKSKTASGQEQSGLLQFGSSSCEILDTAFKKETVGLVTREEYVEKRVNIRNKFEEEEKEKLQKLLQEEEELQQQKRSKKRKIKGSSRLSFSEDLDDDSDADDGENTNLRFGKLGKDPSVETNFLPDSEREAEEQAERERLKKQWTREQEQIKNEPLQITYSYWDGTGHRRVRKGDAIGNFLRAVQQQLAPDFREIRTTSVENLLYVKEDLIIPHQGWEKIRFKVNGREYNMNFKDIGRVMRFQDLEDHSLPKCENLPTELWKLITGNKHSTGADKNSHIRHPSVYYLHRMLVHAFYPRKQAGSVTEEDMRLLCPAICPYALPGVLPLPSTDIYATFGMVGICGMITPLLQFLNVPLGKDAAGPKFIDDTYLRIATYFSGMYGKDYGKPVEVVLPNRNLTSLERPEAISFNISQENFLGEHRSLDPIAPPRKRSVLTRHDSPLQRKWRNPLNPQPPVTSPHPSLLLIVWSRLRANHGMEATVQHLANGPDAFCFLLLSRFTVARSQVDDDHSSLVDDVVGEHSDSATDEDDQDLDLNLTSAPGVETVCVFPQNSARVVPAGEETELLVALKNDGKPTVGVMGIRASVHLPYDHKLLVQNLTLQRYNNASIPTSVQATFPYVFAVSQYLQPGAFDLVGYVIYDVEGKPYQSVFYNGTIEVVESGGLLSGESVFLITLGIALLLLLGLWAYSQVQRLTKKTKKVSKVEVGTRSTDASMDEWLEGTHLAKSLSGKSKSKKN >A09p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2999849:3001612:-1 gene:A09p005500.1_BraROA transcript:A09p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFFFFLENGAREEASNRIFFLSESTLIRFHRLFSSFRSGNEFSWIQSGDELRLGCWRRAIILRFVTFFPDTHGLSVYSRLYERYKTHLLQTTTSIVSLLELSLYYHFLLNCRCFKRSGWKTTKEDFIRELRSAYAIAKLTKTGYSKNKFYIEALKLYKETNIMMANGDQKTIMKNLTERMQALKNEIRQREAMWGTVYGKMVEAVIKIKTLQARLVSVYTIQLYRTDLNKAFIQLTLEFLTKQKFEAYDSKGSVVAVDKKKEAITNWCLYVTSESLRSLYSIQELADDSGWIELPTKDKIQPAL >A09p037340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25036964:25038006:-1 gene:A09p037340.1_BraROA transcript:A09p037340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKEFQSQVIFSPKLSLSLSFKVKNSSGHFRNLAWTWDSSMLDPKYRVSNVSTSINGTCVHRSILIFICRGISWCRSTTLDAHQSIVLPLVHLYMVSSDEMSFKLQNAPNECFTLGVSIIGCKDFRQVSGTAGSVTKIGHASMNQNLMVVATKLCSLLFDLYPRVLCESEP >A03g502730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8599259:8599474:-1 gene:A03g502730.1_BraROA transcript:A03g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGFTLVEAGVVILQGQKCTHRAQSPLKTEAEALISALSEMKNRGWDGVTVESDCQQLINITRAGRDYSA >A03p051390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20517855:20519041:-1 gene:A03p051390.1_BraROA transcript:A03p051390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPKLQSQIFTMEHGGNCNAITSTTITLKAKPNTNPDPKTKPGSDSFLIKKENQKPRTRSNQAAKYEECQKNHAALTGGHVVDGCCEFMPGGEEGTSGALKCAACNCHRSFHRKEVYGHRNSTQEELISPTFYRSSNSYKAIQPRGVYPTGEIGRRTSSSSEDMKKILNQNSDGKGLMMITRKKKRVRTKINEGQKKKMKEFAERLGWSIQKKDEEEIDKFCRTVNLRRQVFKVWMHNNKQAMKRNGNICDLQN >A08p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18634851:18636703:-1 gene:A08p030380.1_BraROA transcript:A08p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNPFSTPQRHQQTPQSPFQTPQQTPQPQSISFFSPPQSTPFFSQPQPQPPSFQPQQFQQQQLYLFTNDEAPASYSTEWTDLHPDSQKLLLEIEKKILEYSSESQRLDQCNRLDVSSLSTEGFGFVASRIVQELGGINTTMDRQKAVLHELMLAVKDMFRNSEVAVGSFMMLQPRFTRSKPVGRAVVVSGGDSQQPQAQGQGVNSAPASSGEQQAVQVSYFYRGIPKKPTAFLLQTVVRFEKYLGQCRQWVEELEQLLALDSDKYNRHVLVLESLPNVMSNVHAFFVHVAAKVENVHQYIESMRTAYLTDQRRRGEYNDPFLEADRRETAKQEAAAKRVHPTLHLPVATSTRTQVTGFITNSATPGSSNAPQTPAALPRSGAGLFPDTPASAPSSSLFATPVRLVFGAPPASGSLFGPPTPSSPATPPQFAGPSPGSGAKFSSLTRPSRFKSRTSRR >A05p020130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9443282:9443461:1 gene:A05p020130.1_BraROA transcript:A05p020130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMMVVFMVVAVAFSAIGQATAATVEAPAPSPTSDAAMFVPALFASVVALASGLLF >A03p062860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27293828:27296949:1 gene:A03p062860.1_BraROA transcript:A03p062860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHTNLLLPLFETDQDKEVERKTEKLTLNGGGSVWRFKGNRAAKEAASVSIKSVLSRLFENCSKDVKKTILPLGHGDPSVYPCFKTSVDAEEAVSESLRSGAANSYAPGVGILPARRAVANYLNRDLPHELKSDDIFITVGCCQGIETIIHVLSCPKSNILLPSLIYPLYYSHAIYSQVEIRKYDLLPDQDWEIDLQGIEAIADDNTIAMVIANPHNPCGNVYTYQHLKKVAEMAKKLGIMVISDEVYKHTIYGENPFVPMGIFASIVPVVTLGSVSKGWLVPGWRIGWMAMHDPNNVFKTTGVVESIKDLLNISPDPSTILQVALPNILEKTKKDFFDKKNLTLRQNVDMMFDALKEVPCLVCPKKPESCAYLVTKLDLSLLEDITDDVDFCMKLAREENLVLLPGEALGKKNWVRISIGVERSMLEDAFARLKSFVARHVKSQTT >A06p013700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6196191:6196994:1 gene:A06p013700.1_BraROA transcript:A06p013700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVVDNKKRVPISSPGSGSESEKKDNACYVCDGKDDWVLVCHGEQCLISIHQSCTCDEPDFDEFGNFFCPYCWYKRLVLKSLKLRDKLLGIDKSGVSENVAEVNVSQGRRRESYEKFMAMEKDQRMKEVAAETQSQELGGGFSENNHISEEDEQREHHPISTDNVQELALVIHQPIVAQPFRSVPPPKNGTALHDPKQRKRKRVFWTQAEEQMLRVGVEKFPGIRNIPWRKILEFGRDVFHEDRVPSDLKDKWKMINKMCGKPSLN >A08p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3654086:3657432:1 gene:A08p006400.1_BraROA transcript:A08p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Filament-like plant protein 6 [Source:Projected from Arabidopsis thaliana (AT1G47900) UniProtKB/Swiss-Prot;Acc:Q9C698] MDRRSWPWKKKSSDKTTLVVESADTSHPQVEKDVVKKPKYVQISVEQYTHLTSLEEQIKTYDVQIKSYESQVEAYEERVKSFEEQIEAYDDKVQSYAEQVETLNEEKEDLSEKLTAANEEIDTKEALVKQHCKVAEDAVAGWEKADAEALTLKNTLESVTLSKLTAEDRAAHLDGALKECMRQIRSLKKDHEANLHDLALSRSKEIEKLTMEFEKRISEYEQELLRSGADSDALSRTLQERSNMLVKISEEKARADAEIETLKSNLEMCEREIKSLKYEVHVVTRELEIRNEEKNMCIRSAEVANKQHLEGVKKIAKLEGECQRLRSLVRKKLPGPAALAQMKLEVESLGGGGDTRVKRSPSKASSPGKSPRGYSSSGSEFSVDSSQKVQKENEFLTERLLAMEEETKMLKEALAKRNNELLESRNVCAQSNSKLQSLEAQLQQINSQKRSNPSSSISVSEDGNDDSGSCSGTLSQQQSNKEKEMAALVRVESVSSHVELMDDFLEMEKLACLPNQSSMDSKDSSGDQELEVANVEAHTEVKDSDKDSPAVMEFRSRLSKVLESVSADTDLGKIVEDVKRILQDVNACMDQDKPSDVQVHPEEEAVHQDLKTAVSRIHEFVLLLRKEVRAGEDTVTEGNDFVELIDGFSITYNHVLSGHQNLDDFVSDLANVFTEAMELKVTFKGLAPSEVEVVSPDCIDKVALPESKAVAKEIYQNGCVNNEPEVPCDENRVLRYESESTLEEIEELKSEKEKMAADIEELKCQLQGSEKMLGEIRSQLDSAQRSNSLADTQLRCMTESYRSLETRAADLEIDVNQLKEKVRSLEDELEDEKRNHQGAIMKCHELEEHIQRSKDTSLVAVEDEEADNKTKQERKLTAAAEKLAECQETIFVLGKQLKSLRPPERQSESYSEDELGTKNYAVDEEDLADNWVNEVPRSMESPNCPSDSETSELMTSPSRVGSRLSRSGSSGNPTPEKASRGISRFFSTKSGY >A04p005660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2971959:2972671:-1 gene:A04p005660.1_BraROA transcript:A04p005660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACLCESHWVYVDVTKKADPKAKALNAVKAVKSGKIIKKKAKKIRTKVTFHRPKTLTKARDPKYPRISTTPRNKLDHSEILKYPLTTESAMKKIEGNKTLVFIVDIRADKKKIKDAVKKMYDIQTKKFNTLIRPDGTKKAYVRLTPDYDALEVANKIGII >A06p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9934887:9945427:1 gene:A06p020290.1_BraROA transcript:A06p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKKRKQSVSKEEVRIISSTTSTKASGEKSCLPMDTILEVLSRLPAKSIARCRVVSKDWGSTLCRPYFTDLFLKMSSLSPCLLFTFHAEGKWSFFSSPESMLISDQKSSSVAVDSLSHVPIDYPIRVCVPACGLLCTKDEWVLSRKKDARMMICNPSTGGFKLLPKVKTGRGRVMTYLGYDPIEEVYKVLCMTASERPYIQKAEQHQVLTLGTGKLNWRMIECPISHYPRPRDNEICINGVLYYSAVEGGSWRTSTSSMIVCFDIRSEKLKFIVDEAIKDFKSPSTLINYKGKLGILTPHTSGAIDGISIGFELWVIDDIEKPTLWRHAHIFPPLWDTLVAQTSVNIVGLTGDSEIVFSPTVVSNPFYIFCYNMETKSIRRVEIKGFGPVTGQNIYTFLNHMENQCVSKEETRIISSITSTKASGENYGQIPMDIILKILSRVPAKSIARFRCVSKDWGVTLCRPYFTDLFLKMSSLSPCLLFTFHAEGKWSFFSLPESMLISDQKSSRVVDSLSHVPIDYPIRVCVPVGGLLCTKDEWNLSGKKDARMMICNPSTGGFKLLPKVKTRRRRVLTYLGYDPVEKVYKVLCMTSCERPYSPKTEQHQVLTLGTGKMNWRMIECSVSHYPQSHHNETCIDGVLYYLAVGSGCWKTSMIVCFDIRSEKLKFIVDEAFKDIKSPSTLINYKGKLGIIHHNAPGLMDGKSSGFALWVIDDMEKHIWCKNIVMFPSLWWNLVAGTRVRIIGTTGNGEILFSPCVVSIPFYIFCYNMETNAIRRVEIKGFGPVMGQKIYTFLNHMENLKLVP >A04p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:794617:806989:1 gene:A04p001660.1_BraROA transcript:A04p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDEEKKLSKDSDVENIINASDKGNPSFIPDEHADDEDLQGISLVDAVLDDDNFEQVSLKEETSLSSTSLDPLVQSPHRPKPKDTMQNVSPELLHLVDSAIMGKPESLDKLKNIVTGVESFGSGDDDAETIAFLVIDSLLATMGGVESFEEDEDSNPPSVMLNSRAAVVSGELIPNLPCLGDSVVFMSPRTRMVRGLLAILRSCTRNRAMCSMAGLLSVLLRSVEEILAKDGDMKWNATPLLQCIQHLAGHSLSVEDLHRWLHVIKTSLQSVWSSPLMDALEKAMSGNESRGPSCSFEFVGESSGLLGPGETRWPFTNGYAFATWIYIESFADTLNASTAAAAIAAALAAKSGKTSAANVHAGEGTGLFSFLTPDNNQGIEVYFYAQFLVVESSSGKGNKASLHFTHAFKPQCWYFIGLEHTCNQGLLGNSESELRLYIDGSLYETLPFEFPRISKPLSSCCIGSNPPPPASADRRRRQCALFAEMGPVYIFKESIGPERITRLASRGGDVLPCFGNGAGLPWLATNDHVRNAAEESCLLDSELGVYIHLLYHPCLLSGRFCPDASLPGAAGTLRRPAEVIGQVHVATRMKAVESFWALAYGGPMSLLPLTVSNVCKDTLEPSSSNLPLSLTTYSLAAPIFRIISVAIQHPGNNEELSRTRGPEILATILGYLLHSLSSLDLNHDRVEDEELVPSIVSVCHSQKINHALKVQLFRTLLLDLKIWSVCHYRLQKKLLSSLQDMVFTEAEAMRNADAIQILLDGCRRCYWMVQEKDSVNTFSLDGDVRPMGEVNALVDELLVIIELLMGAASSSFASADVHRLLGFIVDSPQPNQVARVLHLMFRLVVQPNAAKAQTFAEVFITSSGIETLLVLLQREAKMGDGNIVESVSKDGTRMTTDHSEQTQNNESGLVKQLDSVPQDTHAHDSDSVTISNSMNADRTSSVSETPCSNNTRNNTRNSVDDSDRVVVGIIRLIGALVSKGHLKFSLGSKSDVMSNLMGHGFHESGGTMFDDKVSLLLFALLKAFQAAPNRLMTENVYTTLLGTSINASSTEDGVNIYDSGHRFEHPQLLLILLRSLPFASKALQKRALQDLLFLACSHPENRSSLTKMEEWPEWILETLISNYERDAGKQSASPGSAEVEDLIHNFLIIMLEHSMRQKDGWKDIEATTHCAEWLSIVGGSSTGEKRIRREESLPIFKRRLFGRLLDFAATELQAQTQVIAEASAGVAAESLAPKEAKAGVENAAQFSVFLVENTIVILMLVEDHLRLQSKQNCAANAVDVSPSPLSLVYPINNRPHMLTTVGESSEVSSSRASVSSDSGGVHLDILASMADASGQISTAVMERLAAAAAAEPYESVSCAFVSYGSCTMDLAEGWKYRSRLWYGVGLPPKTNCFGGGGSGWESWKGSLQKDAHGKWIELPLVKKSVSMLQALLLDESGLGGGLGIGGGSGTGMGGVSALYQLLDSDQPFLCMLRMVLLSLREEDHGEDSLLMKNLSSEDGSSVYNLSREVKVSCLLIFSVLSPVLNMPISDSKRQRVLVTTCVLYSEVWHAVSRDKRPLRKQYLEAILPPFVAILRRWRPLLAGIHELSTADGLNPLVVDDRALAADALPIEAALSMISPEWAAAFASPPSAMALAMIAAGAAGWEAPPPPAPPAPPSLRRDTSLLERKSAKLQSFSSFQKPLQAPKDDTPGTPRGKAAAKAAALAAARDLERSAKIGTGRGLSAVAMATSGQRRTISDMERLQRWNISEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNMQRSEIDRRKRDDKIVRNRLCMGVRGWRKLVRYLVEMRCFFGPFGDHLCSPTHVSHNVFGLNLNHVEDFLSDCLRRNYTGCDHPEAAVNLDSALDAPFLAVEEIPKEIMYEDDEYKDANDLEHEGKNEERMSGSLEDAIELSSGISDPRPLSDQDVVQNSREVVLKELDERIILEVSSSMVRPLWVVKGTFQITTRRINFLVDMSECQDVDGKSEGSEDQERDRSWLMSSLHQLYTRRYQLRKSALELFMVDRSNFLFDFGNTEGKTNAYRTIVQARPPHLNNIYLTTQRPEQLLRRTQLMERWSRWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYTSEVLDLSNPSSFRDLSKVPIGALNPERLKKFQEQYTNFEDPVIPKFHYGSHYSSAGAVARVEPFTTVLSIQLHGGKFDRADRMFSDIAATWKGVLQDMENVKELVPELFYLPEVLTNENHTKLGTVNLPSWAKTPVDFVHKQRMALESEHVSAHLHEWIDLIFGYKQRGKESITSNNVFFYTTYEGTVDIDKIKDPVQQRSTQDKIAYLGQTPSQLLTVPHIKRMPLKDVLHMQTIFRNPKEIKPYPVTAPEHCNLPAAAIKASSDNVVVVDMNGPAAHIAQHKWQPNTPDGQSTPFIFHHAKEASTGVTLIRMFKGDSEYPQAQAYGSSGIRSSSVTAITSDGEIITGGHVDNSIKLVSSDGAKTLETAFGHCAPVTCLALSPDSNFLVTGSRDTTLLMWRFHKGLTSQTSESQQTKTSETPSSASNTLANKAKKRRIEGPIQVLHGHLREVTCCCVSSDHGIVVSSSDSRDVLVHSIRKGRLIRRLVGVKANALCISSGGVIVVWSRSESTISSFTINGVLISKAKLPSSCTISCMELSMDGQNVVVGVNSLSYTGEEDSSSGDNAINILDVPSPSICFLNLYTLKVFHVMKLGKGQNITAMALNIDNTNLLISTEDKQLIIFTSPSVVDQTVRLGSE >A02p032540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17040362:17042285:-1 gene:A02p032540.1_BraROA transcript:A02p032540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGLFKPKFYTKCKYLVKITKTRVETVKRKKNSVCKYLKKDIVDLLNNNLDYNAYGRAEGLIEEKRRLSCYELLEQFCVCVASNVSLLQKSSKCPEECCEAISSLVYAAARVSEVPELRDLRSLFAERYGTNSLEQFVNPEFVERFKAEPPSKEMKVKLLQEIAVEYSIKWDAKSLEQRLYTPPAGEAPQKTKTKQNTPGKTMENRHGLSFHGRKDSLDSKSMSRRSEDESMGTSESCVSGPDEEEEKEDDPENKPFYYRFIMPTSYNNKPKIEKQESLPEKMTKSDLLLDDTDSPIAGRPKPRSVRRRLANPPPPAAPGEDLVSSSSSKNMRSESMEKASGEGLRRMSRRTASWQANPSNNVPDFDEVAARVDALTRN >A03p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:848169:853129:-1 gene:A03p001690.1_BraROA transcript:A03p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHKRVHNPLRPTCICIIWFCLLVSLPHHGRASSFNLSLPHQHPFPEHVVLNVQRKLNDSLSRRNLLTYQQDDATASSPPIPSCLTGNPIDDCWRCDPNWSQNRQRLADCSIGFGQGTLGGKGGRFYLVTDSSDDDAANPLPGTLRHAVIQPEPLWIIFSGDMGITLRHELIVGSFKTIDGRGTNVQITGHGCLTIQQVSHVIIHNVHIHHCKPSGNTLVASSPTHVGFRGVSDGDGISVSASQHIWVDHCSLGYCSDGLIDVILASTAVTVSNNYFHHHDEVMLLGHDDKYTADSGMQVTIAFNHFGEGLVQRMPRCRHGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPIDPNAKEVTKRVDSNEKHWGKWNWRTEGDVMVNGAFFVPSGDGVSPAYARATSLQAKTADVIDQLTVNAGVFGDPSGRNGQGGGFPGITGGGGTVTRGYSKGGPGGGSSDSDDGIFTMIFGSNSSAAAVALRPRQVWSIVFTIILLWYFPHHRR >A05p033780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18992486:18997133:1 gene:A05p033780.1_BraROA transcript:A05p033780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSKPSSTWQRRRSTPDQEEHGELDLGFWRRALDSRTSSLVSSNSSSKTTNNHHHPLGDLSLSNNSHQHQQQHQHPLLLPNCNGSNILTSFQFQTQQQQGFLAHDLNTHLRPIRGIPLYQNHHPHHHHRPPPPYFPFDPSSLIPSSSVTPTATNNSFGTSSVSNSGLITNPNYHNHQHHHHQTLNRARFMPRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAASSGQSDVYENGSSGDNNSDDWMFDINRKSRDSDELMNPLEKSNGLWTNSSGEARLHGKLIDNVAEIMLPSEKEIDGKCSSYERISSEERSLSSISGTSPFKPNLEFTLGRYH >A02g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17425524:17425951:-1 gene:A02g506050.1_BraROA transcript:A02g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIVNATATMGEIVIDTLAENIVRKGTVRETLWMIVIITKVETVAGRGLSIEKERRGTGQGHALARAAALNNSGGQKDGWSINSWSIVVT >A09p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11631489:11633992:1 gene:A09p021560.1_BraROA transcript:A09p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISN1A [Source:Projected from Arabidopsis thaliana (AT1G58080) UniProtKB/TrEMBL;Acc:A0A178WM39] MSILLPTNLQQYPSPSLSPSTPLLSPSPSSAFSFLGTRRRCLRFVTSSVASLQSSVAIGSAPARAAVVVERDQIRLGLPSKGRMAADAIDLLKDCQLFVKQVNPRQYVAQIPQLPNTEVWFQRPKDIVRKLLSGDLDLGIVGLDTLSEYGQGDEDLIVVHEALNFGDCHLSIAIPNYGIFENINSLKELAEMPQWSEERPLRVATGFTYLGPKFMKENGIKHVAFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAVLVASRRALTDRKGALNTVHEILERLEAHLKADGQFTVVANMRGNSAEEVAERVLSQPSLSGLQGPTISPVYCKQDGKVSIDYYAIVICVPKKALYESVKQLRAVGGSGVLVSPLTYIFDEDTPRWGQLLTNLKL >A07g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26562029:26563363:-1 gene:A07g509120.1_BraROA transcript:A07g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGWDCRCKHFLQRFPALFFSLLKIPAAKMMMRLLLFVKITAVGARKQSATSLFARSLSNIFVTTCPVETTCSVDPRFTALQRTNPGTYGAGSSHGASDILHNYIVLTLYFLHVLEFPLEILEASKAPERGTGATCDTRSRRIEGWRDVLHRGDTPAPSPTSCRRLSLCGATYGSDVTHPRRMQSDLWSDVINPRAFWRGEACVSERPMGAT >A09g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23515808:23516130:1 gene:A09g508350.1_BraROA transcript:A09g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSATDSTRPRPHSRASVSVSVPTILGAILSSTPRSTIPSLQSIKNMNIFQNNKYNFSFLGIVLIPLSLSYNLDTQVHSSCLFSKILSFSPSLHDSSSSP >A07p025260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:14577702:14578685:-1 gene:A07p025260.1_BraROA transcript:A07p025260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPTAKEEPKTTQQKSDDPENSYASFQGLLALARITGSNNDEARGSCKKCGRVGHLTYQCRNFLSTKEDKEKDGGEIEAAVVAGLEKVRRCVGRGEVEEESSEEEEESESEDSDVDSEIEKIIAERYGKKKKGSGSSSSVKKKKKKRDESESESDSGDRKRRRRSKKRRTHKRRSVSESEEEEEDGRSKRRKERRGRKRDDDYSDESSDEDRRSVKRKSRKEKRRRRSRRNRSDDSDSSEDDASGRRQKRRNKVAASSDSDVSGDDEDSRVGRGSSKRYEKKSRKRHHRKD >A02g511100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29452238:29453933:-1 gene:A02g511100.1_BraROA transcript:A02g511100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLFVIDKAIDFTHQPFSADPTGLSFLQLWQKQTCQCCQSMNGIQVLKKVKEKHDRGEQHIPDDHDLDDEDAEEYDVGQIDQQGHGGAPGKAFHVMETSHTKVSEPIKAVEPVRGPNTSHGHEALPHHARPLEDVTRTFVPGEQLRVNIERDRGMEEGMAAQVFVGCRIIIPNWWRSWSSTALAKRKGTCDDVQLLLEERGDTIQEEPTQARLLQGYYVY >A08p003030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1726855:1727227:-1 gene:A08p003030.1_BraROA transcript:A08p003030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIWLQGCDGKQYGERAAFKKYVGPLKTGLSLQTGDISISVGNSDSVRALYDNSAPGLSHGREEIGEEN >SC342g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000185.1:60:7983:1 gene:SC342g500010.1_BraROA transcript:SC342g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGPRGLSVQYTQDVRQHTQDVCGRPCVSVCPSAHTGRPSAHAGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSIRRTSVSTRRTSVAVRVSVSTRRTSVSTHRTSVSTQRTSGSTRRTSLSTRRRPWLSVCVRVSDQHIRTSVSTTVRPQAPKEQTWPAVSTPEDVRKEPSVKKQSTTQGTDSIKQKTKKTKKENSPGRDRHTPTGRRSAMQGLPCVSVCPVQ >A03p043700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18282230:18283142:-1 gene:A03p043700.1_BraROA transcript:A03p043700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLRTMGCDRISDVLSKRWEFLWLNVSGLDLNDLDFLPYGFVYRFFEFNRGSCLQTFKLKYCRYTKLGYDSSNSLEWITQMVHRRVQHLDLENKYLCRLYIMPECVYVSKTLVSLKLVKIGLKDPKFDVSLPCLKIMHLANNYFKKPDRNGFCFMDYLCGDGHLIMKKLISGSPVLENITMEASICLPTGFSGYPLVNSFWTNVPQCLSSTLKYVTISVRIMDEETGIKLVNYFLENSAVLKKLTLSCKYYHKPKREAECYKKLLTSTKLSTRCQVLVS >A01p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11876335:11878362:-1 gene:A01p024050.1_BraROA transcript:A01p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFRANTPRTKPPPPSYGHQGAIYQQTVREEALLQIQGCRAHLIDGSEAVELAAGDFDLVQVSDNGVALAMVVRIGNDLQWPVIKDEPVVKLDARDYLFTLPVKDGDPLSYGVTFFPRDPNDVVFVNSLELLDDFLRENSCFSSSSSSSRVNNGIDWKEFAPRIEDYNNVVAKAIAGGTGHIIRGMFKCSNAYTNQVNKGGETMITKAEKKSGASSKRNATTNKNQINKNLQRVRKLSRATEKLSKTMLNGVGVVSGAVMAPIVKSKPGKAFFSMVPGEVLLASVDALNKILDAAEAAERQTFSATSKATTRMVSERLGESAGEATRDVLDTVGHAAGTAWNVLKIRKALYPSSSLTSAILKNASRK >A01p048130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27114732:27121032:1 gene:A01p048130.1_BraROA transcript:A01p048130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPVFVAPAMNTLMWNNPFTERHLCSLDELGVTLIPPIKKRLACGDYGNGAMAEPSLIYSTVRLFWESQRTIFTGFTRVTTVGIFKFIVSLFGVLSPHAFSRLTHSSVENLMDLHVSLPWELEEEILSRLPPQSLVRFRAVSKRWNSLLNNKSFINKHLSLSRPHFILLTKSKIYSIDIIDQRVTLRELHSSCRDSNLQYSRITTCDELLFCKYPPFHSKMETALWSPCLRQANLIKLYSVGKEFNAFGLGYDNSGPQKVHKLLLYHPPQVAAIYECASHVLRYINAPYEVRMPEIDRRSHVSLYGNLYWIDYNLQTGEYFIQSFEFTREIFKPFCLLPLQDNHCLNELRLAVWKGDRFSLLKQKFLRRKIEIWVTKNKIDDKEEVVWINFMTLTTTNLPNLFHKKCGVSYFIYDKTLFMCCGDDEASHPCIYIVKGDVCNKIQIGYGQLIQTCNKSVVATRWKKWIKDKFHTQIKNKLRFASIFVRLMSFEITLIPHIKKRLACGDYGNGAMAEPSLIYSTVKTLLGVGGASAKCWNKFKPTVSKRWNSLFNDKSFINNHLSLSRPQFIFVTKSKIFKSQIRNLH >A02p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:676845:678105:1 gene:A02p001510.1_BraROA transcript:A02p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESIREMHGKDFGDRVISVNRAELKMGRDDGKVTALELVAETVWLFICWQGKLWWPCLTAEKPTSPADRFAGGDRYSRGPDCYTPASYDKPRSFERDIVSSAGSDRYGGGRAGVHIRGGDEGRGFRSRAGGPYERPSRSVGGGGHMSSATFDRVCVEDTFGKNSFGIVNKFRRHTKLSVRCWSGNDKFGTIFLMNGQEKHWRFDDAFFHETVFHCELKHGYHFMHFQTFVAYTSKWNDSLKNRSNATWLAAEKGLYKIWEHRSPEFMYHWL >A06p028690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:448646:457128:-1 gene:A06p028690.1_BraROA transcript:A06p028690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDREVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERIEARNELGIGLSQDQEPGRTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPT >A04p027970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16859870:16860818:-1 gene:A04p027970.1_BraROA transcript:A04p027970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U3 [Source:Projected from Arabidopsis thaliana (AT2G29470) UniProtKB/Swiss-Prot;Acc:Q9ZW28] MAEKEEDVLLIGAWASPFSRRVEMALKLKGVPYDYSDEDYLVTKSPLLLQLNPIYKKVPVFVHKGKVLLESHLILEYIDQTWTRNPILPQDPYGKAMARFWAKFVDEQVTLIGFRSLVKSEEIDVAIKEAQELITYLEKEITGKMFFGGETIGFLDMVVGSMIPFCLVRGWEGMGIDMIPNEQFPELNRWIEKIKEIEIVRECIPNREKNIEHMMKIVGRIKAASKTA >A02g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26254108:26254954:1 gene:A02g509810.1_BraROA transcript:A02g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLKVFQIWKTFGTTYLLVVWKSSGLLGSVLTKSSGLPGSRLDFQEVIWTSWKSSGLHGSLLTKSLFHNRSERFGKFLIRWYSSSTNLKVFQIWKTSETTYLEVVWTS >A02p007960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3337691:3340373:-1 gene:A02p007960.1_BraROA transcript:A02p007960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGNRFLILPTPPPRASFRASPGGVTSSSAVNNCRVLSLTRWNPIASTRRRLVPVRAIDSDIPHPIKEYEKWDAWTAKFSGGANGMLTGLLGNLSLLSYFAKKREKEAAVVQTLGVISTYVVLVQLTMAGAMPVQYFVATSAVVTVGLVLNCLFYFGKLGTTVWGLWEDFITVGGLSVLPQIMWSTFVPLVPNSILPGATAFVIAVAAVIMARTGKLSEEGVRFVGSLSGWTATLMFMWMPVSQMWTNFLNPDNIKGLSPITMVLAMMGNGLMIPQALFIRDLMWFTGSMWATLFYGYGNILCLYMLNCTSKSFFAAATIGLISWIGLALWRDATAYGHNSPFRSLKELVFGP >A09g518250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55683820:55684381:-1 gene:A09g518250.1_BraROA transcript:A09g518250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEATFKFGCMQDPNMSAMRSYTSPNLKVVGSKDIQNPQENSKRHAERWGICDCHGGMDGGLFEHYTQFSECMESSLKDLLGEEASESLEVIHSNDGSGVGAALLAPSVLGELWGMKELL >A02p040170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25416417:25419276:1 gene:A02p040170.1_BraROA transcript:A02p040170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) UniProtKB/Swiss-Prot;Acc:Q9LSW2] MDYLKSVVPSQLVSERGSNLVIINPGSANVRIGLAKQDTPFNVPHCIARHTTITGKPNQMICTQVTTTSQQQVDRERAFNTTASLLKIPYLDESSSFGSRKTARIDGYNQPSTNTKKDTAFPWTNVFEDENVARAAPDVTDSGESKRKYRNMIFGEEAMRISPKEPYTIHRPIRRGHFNVSPQYSAQQVCEDLVAIWDWVLLDKLEIAHSERNKYSAVLVVPGTFDSREIKELLTIVLRDLCFSSAVVHQEGLSTIFGNGLSTACIVNMGAQTSTVVCIEDGVSLPNTEKILPFGGDDICRCLLWIQRHYQNWPQLRTDVLAKPIDMLMLNRLKDSYCEIREGEVERAATVYSYEDGMPAVAHKTNLTSLNVPPMGLFYPNLLVPELFPQPPRTWFQDHENMLEETWNMDFGGGGGGGNMGLPVWDSFAVSPLNPKKEEKIGLAEAITSSILSAGRIDLQRKLFSSIQLVGGVGLTKGLVSAVEERVLHAIPPTEAIDTVEVLPSRMDPTFVSWKGGAILGILDFGREAWIHRNEWMENGIRVGSAKKYRDSYYIQAQAFCFINS >A08p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11585810:11590336:1 gene:A08p012830.1_BraROA transcript:A08p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRVHVNGRLPLIKSSVLEFDNGDELTATLVYERLEKYCSQCDCLDNELKDCLEAKTLKKAMLASQENGQGDKMLTAPRVDRSFCERMSNVRATGDTDSWRGDSKRPNERNQPHFTFQASSRGDSGILSKSNHQPLVSPRREASRSKELPLPPDRRSPLRRSPDRLSPAAVEAAAEDIRDVMITYTSCTDPSESAARKERLRQAAALGHLEKSAIRAEGVRAARKETEEHPTDTEERASQERVPISTRLGVRDINEGSGSARVRDDIQGSEERVRVGEQDSQYRAPILSRLGPLNYDINDASNSGNLPSTSRLGPIANLESTLEAATVQSPEVVKKRKPARLPGRRTMASSPSQVPGSSPRKRKAQQTKPPLSCKKPTTGAGGAQKPPKARTVRELMVTGTPEWDRNKIQLCVPDYEEIIMCIKPSPTGAPDKLVWLGTKT >A06p046670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24950067:24953312:-1 gene:A06p046670.1_BraROA transcript:A06p046670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPCMNKLKQKEFTLASVTDLTSPSSSSPSSSPVVATFSCVDEVTELRFQESESADDFSFELSSTQLFKLGPLQFVCVSDKDNSLSRGVVIMFRDDKESKDFSDSFGEWTKDAVKKGSGLPNGTVSDSTSKFDNKIEAASAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVMENRSDFSGRVVVDVGAGSGILSMFAALAGAKHVYAVEASEMADYARKLIAGNPLLAERITVIKGKIEDVELPEKADVLISEPMGTLLVNERMLETYVIARDRFLSPNGKMFPTVGRIHMAPFADEFLFIEMANKALFWQQQNYYGVDLTPLYGSAHQGYFSQPVVDAFDPRLLIAPSMFHVIDFTKMKEEQFYEIDVPLKFTASVCTRVHGLACWFDVLFDGSTVQRWFTTAPGAPTTHWYQIRCVLSQPIHVMAGQEITGRLHLVAHSAQSCTINLTLSAKMWRPGASQGGILQTSSCKLDLKEPYYRMSQPQVYPVAQEPPAQPQDLQIQSEDLEEVELLQQNANAQL >A06p054360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28509101:28516788:-1 gene:A06p054360.1_BraROA transcript:A06p054360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFMDLELEVNHPSISVMNDLMIQQATVRVYKQEQLRDFQLPLAPTAINSSSDLWPYVPANFGQIQYEESNISASAVKLEDSAHFNIDAKTTPEKKRGRRPTHGREEPMNHVEAERLRREKLNQRFYALRALLPNVTKKEKASILEDTVTYINELKLNAENAETEKNAIENQLNELKEKIAGRRNGSSSVCSGGEKTPEIEVKIDVKVMDRDALIRLESSKNNHPGARLMNAFMDLEVEVNHASISVMNDLMIQQVTVKMGSRVYKQEQLRDLLLSKVN >A01p057050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31952061:31954498:-1 gene:A01p057050.1_BraROA transcript:A01p057050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNNATKFDFDANDLAADDSSDGDSIDEVNAFGSVLCSICIETVTKEGDRAWAKLHCGHEFHLDCIGSAFNTKGVMQCPNCRKVEKGQWLYANGCRSNPEFSAEEWVHEEDIYDIGTYSELAFGVHWCPFGSSARLPSFEDGEFSPSSYQELLGQQGYFTEPAAPTAGHPCPYVTYFGPVHSSSSSSGGSDTSSFPSHWNTSGSSEVPPPYGFPVDPHFHGWDYHSPPPPPPQHFSAASGAHVGSPTHPTPPPAAARTFRANGSDVIRPRPPHFIRPSYHGHSSGGRAGSSVASIPRSPLFPGSNVRTRDRMQALQAYHQQSSAQSHQPDSPIVSHGPVFSSGRRPSRASLMGGSTSSSSDQAGGSGFIRFNIWEREPYMQLQPAYPVNQMDREPPSLWTFNEGSGSLHQRHGAGGSS >A07g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14848257:14849647:-1 gene:A07g506230.1_BraROA transcript:A07g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTNVCVSLFDSLALAFHSKLDGNGRDPRFVLATGINPKTVAVFLIRLISEWVPLGFLKYRFFFGDFTTILFTSKLASFCVCRGIVLEPPCPRRVDGISIDPEPNWNFDSLLSEIESVEKKLNVFPKFPQPFTQTTLRMGRRGGGFVMHVSEDEIESDVDEESDEEEEEKDHSQICTKGKHFACDELYLSKMGLAESAPYEVINDDRTEVKEDIKSQVSVAETEMLQEIETFRSAIARTEKYKETRKEVEHKLDLQYQRKV >A02g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15112153:15114072:1 gene:A02g504710.1_BraROA transcript:A02g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDATFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQQIRRRLFNTNPTETGVDHISDDSEPNETLLADALPAAAKNANTRQEPRQHAPNDKNGRKDGYMYVVNENNVPISTLVVRGEGWNKWARELESPDQKVDTDNGEGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDILKRKFEPENEGDTTRRDLRTMLDARKSRRISTSDGNNNEGPISDLRDKLNAGACDLRVKLNRSKPTDLRRQLERVKGQPQLPPPDTS >A08p035710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21057666:21061037:1 gene:A08p035710.1_BraROA transcript:A08p035710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEIAPRRLTMLTMTIIMMMVTMDKTCICAEEISRGSFPKGFVFGTASSAFQHEGAVKEDGRGPTIWDTFSHTFGKITDFSNADVAVDQYHRYEEDVQLMKNMGMDAYRFSISWARIFPNGVGQINEAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALHDRYLGWLNPQIINDFAAYAEVCFQRFGDRVKHWITFNEPHTFSIQGYDVGLQAPGRCSILFKLTCRSGNSSTEPYIVGHNVILTHATVSDIYRKKYKATQGGSLGIAFDVMWYEPESNNREDMEAAQRAQDFQLGWFLDPLMFGDYPSSMRSRVGSRLPVFTGSQSNLIKGSLDFVGINHYTTYYARNNATNLIGTLLHDAISDSGTVTLPFKGLSAIGDRASSIWLYIVPRGMRSLMNYVKHRYGNPPVYITENGMDDSNSILIPRKETLKDAKRIRYHHDYLSSLQAAIKEDGCNVKGYFVWSLLDNWEWAAGYSSRFGLYFVDYRDKLKRYPKDSVHWFTSFLNSTS >A05p014350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6262091:6264433:-1 gene:A05p014350.1_BraROA transcript:A05p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPESLLRFHFLSLLLLCCCVSPASFAKIDGLYYLDDVVVGVVACLPRQMEAFTQFTNEFDTRSCNHSDYSNGAWCDNSTGAVTKIQLTGCLSGTLKANSSLFGFHQLRHLDLSNNNFISSTFPSKFGNLNRLEVLILSSSGLLGQVPSSISNLSQLSILNLSKNKLTGSFPLVSNLTKLSLLSLSHNLFTGNIPSSLFTMPSLSYLNVNENHLTSPIEVSNSSRLEYLYLANNQFEENILEPISKLTNLKYLDLSFLNTSYPVDLRLLSSLKSLLRLFLSGNSLLATSISADSDIPPNLETLIMRNCSVTKFPNILRKLKHLRTVSISSNRIKGKVPMWLWSLPHLSMVLLGNNYFNGFEGTRNVLVDSSVQLLDIQSNHFEGEIPLPPLSINTLYARNNTFTGDIPLSFCNRTSLTVLDLSYNNFTGPIPQCINDFMIVKLRKNNLEGSLPDRFNVGTSLRVIDVGYNRLTGKLPRSFLNCSSLKFLSVDHNRIEDTFPFWLKALPDLQVFKLRSNKFYGPISSPDQGPLAFPELHIFEISDNNFTGSLPPSYFVNWKSPSLKINGAGTMYMAEDIGASTYDDLIDLQYKGLSMEQKGILTFYSAIDLSGNRIEGQIPESIGLLKTLIALNLSNNAFTGRIPLSLTNVTELESLDLSRNQLSGTIPSGLKSLSFLAHVNVSHNQLKGEIPQGTQITGQSKSSFEGNAGLCGLPLEESCFAPPTQQPKEEEEEEKEEEEVLNWRGVAIGSGPGVLLGLAIAQVIAAYKPEWLIKIMCQ >A07p039420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20939941:20941836:1 gene:A07p039420.1_BraROA transcript:A07p039420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSEKLTNTFWVASASAPTLIIDKEKMEKVHQMVKDERIMKIEIPGDGSSTPEVCSGGLTRQTSITKTNCLCSPTTHPGSFRCRMHRSLSLQRTKSIEAAALQDAPAKPSDSLRATK >A01p012500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6138361:6139546:-1 gene:A01p012500.1_BraROA transcript:A01p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVCVGNASLVSMELRWVRRSKCDDAASALPRSIPIFISTLKKDINLEELRQLYSRCDHSCDRLSKNGSGIVDMKKLRTAISRSDVVVSVFCKPHHTALVEDDEQESLSSSLRKQNKDESSLGDMFQDVLPLTPSNGQLVGFGRAYSDYGLTASIHDLMVLPSLQRMGIGKLIVNRITRLLTSRDIYDIAALCFEHERPFFKACGFADDRMGSTTMMFTKSLEA >A09p070310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54537165:54542498:1 gene:A09p070310.1_BraROA transcript:A09p070310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQKSSSIPLMVEKKLTEMVKPLKRIPSHTLSLSTLDNAPYNEVIYKLCYVFKARNVVGDDNQPGYLVREALSLLLGYYYPLSGTMKRRETDRKIQLSCGGEGGGVPFTVATANVELSSLRYLENIDSDTALKFLPELRVDKDGYPPFALQVTNFECGGFILGIALSHSMCDGYGEGHIMCALTELARGKKKPLATPVWERERLVGKTKENDKHSFIPGGDTAASPYLPTDDWVTEKINIRAESIRKLKEATSKKCDFSNETLTTFEVVGAYLWKSRVKALSLDGDGVTSLGVAVGIRNAVNPPLPDEYYGNAYIDVYLPLTVKEVEEHTISDIVKLIKQAKRKAYDKDYLQEELANIESIINMNLTIKGRFCLTDWRNIGIFGSMDFGWGEPVNIVPVVPAEIARIVSMFMPASRLEPSMVGGVQIMITLPRAAMDPYTSVYYNGGIATGYYVPMSSAITMMSWSVHEYISSTKLLVNSAMLKDSLILVLLSIEKVFLVKSIFGYLPPDLGSLLKKRIKDYEKLESCDD >A06p008880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3096537:3100583:1 gene:A06p008880.1_BraROA transcript:A06p008880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERTYGRRKPGMPQRTLSDSLNDTVSQTEYLSSSSSPDIEPLDYSLLPFSSQDSWHSSSMSESREDYPRRAKRSRNGEGFTSTLLEAQEFGELMEHEDEVNFALDGLRNGQQVRIRRASLSSLLSICASQHQRRSLRAQGISQTIIDAILSLSLDDVPSNLAAATLFFLLTADGQEEHFMESPKCIKFLIKLLKPVVVTSTQGKPRSIGFKLLSLRKDVGPAQDAVKTNDPSSSVILSSVQELLVNCKEMRSADSYKAETTRPELCTKWVALLTMERACLSKISFDDTSGSVKKTGGNFKEKLRELGGLDAVLEVVMDCHTVMERWVECDTLSYQDKKDSLHKQTLMLLLKCLKIMENATFLSTDNQNHLLGFKKCLGSHESRMSFTELTISVIKMLSGLHLRGGVSSTHNVNPHCSIAGILVADRRVNNEVVTISSDTCSTVGSISTRNGSVSQRYQSIIDLDSPPSSTSGYQSSVSGNEPTKPTTRVGSSIAGSFAGRLASLGSDIARSTSRTSQVEEPSGKRNGKYSFLDENQDPFAFDLEDSKPSKWALASVKQKKPRAQKKKGCHKKSKDECLHQRFPSQEESSNQRLNSQEESSGRDGSPPLQVSLSTTNDIDEECLCLLSDCLLTAVKVLMNLTNDNAVGCRQVGGCKGLESMAELIARHFPSFTGSPLFNEMDVTGSSQQKKDKHLTDQELDFLVAILGLLVNLVEKDGVNRSRLASASVPITKPEGLQESEQEMIPLLCSIFLTNQGSADTKEETTPFTLDDEEAVLEGEKEAEKMIVEAYSALLLAFLSTESSRSIRNSIKDYLPKRNLAILVPVLERFVAFHTTLNMIPPETHKAVMEVIESCKLP >A04p005460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2869085:2869750:-1 gene:A04p005460.1_BraROA transcript:A04p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEKDKKIKKNKKKKDTKSSPDISFKPSSAVKGLKFGGQIIVKSFTIRRARTLELLKLLSLPSSSSPSPPLLSTAAYLPTNFTILAHQAWHTLTLGLGTRKSKVVVFVFETEAMKTAVTAAEGGLWPSEIPLGEVNKKMIRKLKNWEMARFKFRKGCLTFYVYAVRNAGKEGFAAAEDLKVILQAVVALKDFMDHTAMLVMPHQKAINYASCPPFAMAH >A04p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21551606:21553529:-1 gene:A04p037830.1_BraROA transcript:A04p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 712, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT2G42250) UniProtKB/TrEMBL;Acc:O48532] MDLQLSTNLLLLTSFVFAFMLNAFFKWFSSKKQSSTAATKLPQSPSALPLIGHLHLIGKVLPVSFQSLARKHGPLMEIRLGASKCVVVSSSSVAREIFKDQELNFSSRPEFASAEYFKYRGSRFVLAQYGDYWRFMKKLCMTKLLAVPQLEKFSDIREEEKLKLVESVSKCCREGLPCDLSSMFVSYTNNIICRMAMSTRCSGTDNEAEEIRKLVKTCLELAGKVSVGDVLGPLKVLDFSGNGKKLVAVMEKYDLLVEKIMKEREAMGMKKEGMRKDILDMLLETYRDPTAELKITRNDMKSFLLDVFMAGTDTSAAAMQWAMGELINNPQAFNKLREEIETVVGSKRLVKESDVPNLPYLRAVLRETLRLHPSAPLIIRECAADCQVNGCLIKSKTRVLVNVYAIMRDPELWKEAEQFIPERFLESSGEKIGEHQMEFKGQNFRYLPFGSGRRGCPGASLAMNVMHAGVGSLVQRFDWKCVDGQKVDLSQGSGFAAEMARPLVCNPVDCFNTF >A05p027820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14907355:14913944:1 gene:A05p027820.1_BraROA transcript:A05p027820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSQWAQEGMCYGLRIEIGTNGERQSSMINNDLTTREEWMLVTRSSRFHLGSEEHKAVGVDLLPFANIMFPRRTRSEEPMMTPPRYGQRNSENRRVYSNLEVTDDSAIAQGNNHCYEHEHESTGRNPTDSHMGYEQEERYEDLRERNQAPDMYGSRRNFATTHNPRQNESEFMHRERTPEPRCRQEQRTAGSSDPLIVLVQGLLDRLDHRTGESSERRPSSPPDYLKMGRKAPDYITCFSCGEKGHYANSCPHKRQVTLPAPPTRLAIEPAPKRQAVGKQVNALELGKPEPQQPHQGPITGTLCVGGVYVHVFFDSGATHSFVIPEVVSSFKGIFTRVKVEARHVLLLYWRILQAALAYVLLVELVAGHKTCFNWTDLWLHTNLSPIDCMGHPGQLLLVTHDLYLLLRSFSSL >A06g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14779443:14782185:-1 gene:A06g504980.1_BraROA transcript:A06g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEEFAEKHPHPPSPFYVKIDRPLEPAVDRQRETDIDRPPSPPIDRRAPVTYRVRLPSIDNDRINALRPPLKPSANPSELTTNPSDTTPEPMQVDEATEGRRLRKRNEKIPKNLKRKTNEKEMDGFTKRVLRIPVEKPFDEVYFTYRLWMFFRETKETEEDIRRMFHHVRKMMKLRITLKKKSNPGKFAIPCVVKGIEFPHALCDTGPSVNLVELGNDLGYIAACHCGAEYKTEYSKSIDPHTVSSIDSNESLMTDERYPTSLDGKQTVDHFALPDQCYPYFAFQQPNNRGRDDYSIDSWADSGFHESFAVNTVIPSSNEDPKEEYDEDCWKKRAIEIAMHDDRYSGHSFNNTSPPSIDRVHSASVDTHPHPAKRSYASIDTIPCTLIYIKAATFEKEKGIFQFQVDGHARAMDGRILKVSRENIADILQVANGPDNLFMQQRSIPDNIPKVRDELQGANTTAISSHQSYRPVSQALIDKVASTSFDRVTPMSLDKAPSPSINSRYEFGHRAYDIYGARKFTWEQKDEYGVYKDEFGYARNVAGEMIHITKDNIRKILERASLYEESHICLPEHATSFTPTRLVPEIYTKDEINEMVTGICGAQERLGDELKTLVDDTNQPLERSYNELFRSMAEMKTEIENMQHNLEKEATTSPSIDADKATSIDVKPQTSQIPAKPQSLAEKKDEWEIAYITRRLTTSATLSTTTWTG >A08g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3244095:3244474:-1 gene:A08g501250.1_BraROA transcript:A08g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILLSNLKDVCCSSMVSVQVSFWEARNVVRSDYTMASWEAVCSFVDAVNGRDSERLS >A04p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2947549:2948321:-1 gene:A04p005570.1_BraROA transcript:A04p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPSKKKLKQNIRRRRTSFVFVSEIVEAWDTKECDICKLFSGYVINSARLGKNKKKTGEFKGCAHVDFNDSLSVAMAALKLDQYVICGRTVLIVHLGHLATACRKKLEDAHDQANAKLDQETVNTSTAMLRYDLQKNNGGSYCMNETYTATNEAHIGRLASEVSFEKGHLAKACLKKLQNTGHTNAKVDHQTVEARPIQETSYNHQKISGDTDNNGGSYMDET >A02g511070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29381037:29382709:1 gene:A02g511070.1_BraROA transcript:A02g511070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAGGVFSRRRRDSSSALLLYITYFLCSQPVSEFVFVFSATATSSLPVMRTSPPLLEEVLFEPNEPTCFSGTYQNLGSNEALRQKPPPAASNSPFVKAVDRALSGIDEIRFSNAKPDSSWNCSTGVYDLSDETRASPSPPRDTLCLSTVDIYSGENGVRDTCLPPRAIAPRPALTQMIGLEQSWTPKGPSSKPSKPTHTSWPRCKCRPVREAVQTYILGLLTGSHLMVKLSLTPQATTTSLLAVVTFFTLQQEMVSTISGESFASGPRLFFVTLHQLSNADTTIVYVTSSHSCPAETLQGSDHSLSFGLFAESSIVKFSIKATTPPKICFSFDIVLFDCRNVSMIYCARVWTRQICSLLCHCCASITLRRLKHRRFFTESAFRSPTPFLVAGTTVQEYRLAIFTRYYITVASLLHYAVSSIDGSSHSRYCDPVTGSVILYGGSQTYCSQNSLVGFFNVDFDFFAFLRTRALGLQVKLLYGSLLSLATSIFRHVLVFFVYQFTVEDFCVCNRLSLLGF >A09p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20522938:20528474:1 gene:A09p033960.1_BraROA transcript:A09p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQISDLQGIVNGIRCGYAGFMRKLHVYRRAWERSSYIVEFMFKPYTADQIGHIYKRVNEDIFKHATVSLRGEHEGVLMDFISYPLGPIKIRPFVKVGVYAKKKENQAGPDTFHISTELPLKITIPFLFGHSFLSIALTLSHETSQELCFSRVKRCRVELTTFLVPLHQMADSRNGDTYVIDVMKFISRTRALYAHWNEHIADLWGSSDALAVATHPATNDLRYLKSSALHIWLLGYEFSDTIMVFASKQIHFLCGKKIATLLEVVKQPAHDELGIDVVMHAKAKGDDGNGQMDAVLRAIRDGKESQVVGHIAREAPEGKLLETWAARLKNAEFQFVDITAGLSDLFAAKDGTEIINVKKAAYLASSVMKNVVVPKLEKVIDEERDVTHSLLMRLTEKAILDRTKAGVKLRPECVDICYPPIFQSRGKFDLKPSAVSNDDLLAYDPGSIIICGVGARYNSYCSNVARTYLVDATNLQSKAYEVLLKAHEAAINAMRPGRKLSSVYQAALSVVEKEAPELVDKLTKSAGTGMGLEFRESGLNINARNDKVLRPQMAFNVSLGFQNMECESKKKTFSLFLADTVIVKEDEDPEILTGKCSKLVTDVSYDKEEKPRKKARTSGPESYFINKTSLRSYNNNNHVVSKDELGMRKQHQAELARRKNEETVRRLAGDGSSGSTAKTSTDSVAYKNVNDVPQPRDLMMMIQVDQKKEAVLLPIYGGMVPFHVSTIRRVSNQQDSIRIIFSVPCTTLKNQGAIYLKEVSFRSKDSKRSSEVVQAIKTLTRQVNARESERMERGTLVNQEKLQLAGNKFRPLRLSGLWIRPQFSGRKRVPGALEAHANGFRYSTTRPNEGVDVLFANVKHAFLQPAEKEMLTILHFHLHNHIMVGNKKTKDVQFYVEVMDAVQSLGGGGRRSSSSSAYDPDEIHEEQRERDRKNKINVDFNHFATRVSALEFEQPLRELGFSGVTDKARVFIVPTSSCLVMLTEAPFLVVSLSEVEIVNLERVVFGNTSFDMAIVFKDFKKDVLKINAIDTSSLEGIKKWLDAIDIKYYESKANLMWRPILKRITDDPQGFIDDGGWEFLNLERSDSEPEESDQGYEPPDAEDESESESESEDEDSESESEDQEEEEEEEDY >A03p057500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24955549:24956833:-1 gene:A03p057500.1_BraROA transcript:A03p057500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLEVKKGPWTTEEDKKLINFILTNGHCCWRALPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSHDEEQLVIDLHAHLGNKWSKIASRLPGRTDNEIKNHWNTHIKKKLVKMGIDPVTHQPLNQEPNNTDNPNSSSSTSDNILMEPKSRSPKNVQTNSTTTEDESSSTATGQNSSINNENQLLSNITNDEELFSYLWSDDTAKADASRSCSNYYGVGGTLYNEDNIPGARADFPIWSPERVGGKDLMFLDYCQDFGVHDFGF >A05p051370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29936701:29939942:1 gene:A05p051370.1_BraROA transcript:A05p051370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSVTPGLMSVVLGIVPVIVAWLYSEYLHYAKHSVSSAKAHSDVNLVEIVKDFVKEDDKALLIEDGGGLQSPSTKAKGSSVHSPLIRFVLLDESFLVENRLTLRAIIEFVVLMGYFYICDRTDVFSSSKKSYNRDLFLFLYFLLIIVSAITSFTIHNDKSALNGKSIMYLNRHQTEEWKGWMQVLFLMYHYFAAAEYYNAIRVFIACYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFLVIFSCIVLNNNYMLYYICPMHTLFTLMVYGALGIMNKYNENGSVIAAKIVACFVVVIIVWEIPGVFEWIWSPFTFLLGYNDPAKRQLPLLHEWHFRSGLDRYIWIIGMLYAYYHPTVESWMDKLEEAEIKIRMAIKTTVALIAITVGYFWFEYIYKLDKYTYNKYHPYTSWIPITVYICLRNITQSFRGYSLTLLAWLGKITLETYISQFHIWLRSGVPDGQPKLLLSLIPEYPLLNFMLTTSIYVAVSYRLFELTNTLKTAFIPTKDDKRLVYNTISAIIICTCLYFFSFVVVQIPQKLV >A08p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9634741:9637317:1 gene:A08p014410.1_BraROA transcript:A08p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLTLRILAAVFMVLIQYACGNFVFNVTHKFAGKDKQLSELKSHDSFRHARMLANIDLPLGGDSRADSIGLYFTKIKLGSPPKDYHVQVDTGSDILWVNCAPCSKCPVKTDLGIPLRLYDSKASSTWNKVGCEDDFCAFISQSDTCEPKTKPCSYHVVYGDGSTSDGDFVKDNITLDQVTGNLRTAPLSQQVVFGCGSNQSGQLGQTDSALDGIMGFGQANTSVISQLAAAGNVKRIFSHCLDNVNGGGIFAVGEVESPVVKTTPLVPNQVHYNVILKAIDVDGEPLDLPPSIASFGGNGGTIIDSGTTLAYLPQDLYNSLLKQITTRTPVKLHMVQETFACFSFTSNTDKAFPVVNLHFEDSLKLTVYPHDYLFSLREDMYCFGWQSGGMTTQDGSDVILLGDLVLSNKLVVYDLDNEVIGWADHNCSSSIKVKDGSGAAYSVEADNLIQSASSVIKGTFVPLLSILIWVFLSFTSQFC >A03p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8550726:8551582:-1 gene:A03p020770.1_BraROA transcript:A03p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF024 [Source:Projected from Arabidopsis thaliana (AT2G36450) UniProtKB/Swiss-Prot;Acc:Q9SJR0] MPGTSKENGGRHPLYKGVRQRKNSDKWVSEIREPRTPNRIWLGTFSTPEMAAIAYDVAALALKGTQTELNFPNSASSFPVPATMSPGDIQAAAASAAAAFGAARDAIVMTNNNSATSSVERSNVMMMNGSYEDTYGFMDEDFIFDMPNMLMNMAEGMLLSPPHG >A03p069680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30623421:30625684:1 gene:A03p069680.1_BraROA transcript:A03p069680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCISSLFSSSPSKTGLQHNHASTNNHSNGTEFSSSTTTTAATSNSSAGRRSQFSEAISESSGGIITDSGQLLESPNLKVYTFLELSTATKNFKPDSMLGQGGFGKVYRGWIDAKTLLPSKAASGMIVAVKRLNSESVQGYSEWRSEINFLGTLSHPNLVKLLGYCREDKELLLVYEFMPKGSLENHLFRRGEPFPWDLRIKIVIGAARGLAFLHGLPREVIYRDFKASNILLDSNYDARLSDFGLAKLGPSQEKSHVTTRIMGTYGYAAPEYMATGHLYVKSDVYAFGVVLLEIMTGLRAHNTKRPNGQESLVDWLRPDLLSKHGVKHIMDKGIKGQYSSKIAAEMARITLSCIELDPKNRPPMKEVVDVLENIQRINVVPDRSSTKPAVASSSRSSPHHYQYGYRAGAAGAERRKSPRASPGRVGVQKEMAA >A04p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17923998:17926425:1 gene:A04p030180.1_BraROA transcript:A04p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CVL1 [Source:Projected from Arabidopsis thaliana (AT2G32010) UniProtKB/TrEMBL;Acc:A0A178VNT8] MRDDKSKKSKLSWSKKMVRKWFNIKSKTEEFQADVSLPQVVEVEHRSSISEKEPSTIKKSKAEKLNKNWEQQARQRRMNYENPRIIDVQNYSIFVATWNVAGRSPTEDLNLDEWLHSSAPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWHSLIRKTLNYLPGTSSLSHTPSPIPVPIAEIDADFSGSSRQTFFNRRSFQTPSIWRTDENDSSATQPPLERRLSVCDRVFFSHRPSDFDPSFRCSHRPSDYSRASDYYSRPSDYYSRASDYSRQSDVSRCGYSDDDYAPGDSPSTGLYSPGSNENGSRISWNSSQYCLVASKQMVGIFLTVWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDELRRNSDVMEILKKTRFPRVQSSAGEKSPENILQHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWDEGKIYFPPTYKYSTNSDRYAGDDLHPKEKRRTPAWLVCDRILWYGEGLHQLCYVRGESRFSDHRPVYGIFSAEVESNHKRLKRATSYSTSRVQAEELLPYPRGYTELTFF >A03p015220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6030907:6031845:1 gene:A03p015220.1_BraROA transcript:A03p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPTSSFKTTATVVIAGVFSVAAAVSLAVPSVSHFAASCFPIIYDSTIFLLKPPYLYLVINCIILSIVATSKLTHESCSSTDDPDTVVPVPTYIDAGYLNVAHVAGSDYTGFVENDATVKDVHEVIDNDKVIGEDVKTETEKPRTSNGLPEPKTDKPRLKDGSLEIPVLKNTRKPPRFGRQKSLKASQESKKSALGVTKPPRRQDTLETTWKKITEGRSTPLIKHLSKSDKWQERSHVRSSKEKTTKSENSTEEETLRKTRLKREPSPGQEELNRRVEAFIKKFNEEMRLQRLESLAKYNELVLNGGTRL >A01p019010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9240607:9240924:-1 gene:A01p019010.1_BraROA transcript:A01p019010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNGGSVMSVALLSADIWQRARMIPPSDRISSREMLDLVCLFPLQELGRFAVWFLTVLCLPPPGLLYPEVDEEEEDDRDDHAFAYRSSSASIATYQNHFHLHFE >A01p038710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14731346:14733869:1 gene:A01p038710.1_BraROA transcript:A01p038710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFRKNGSSSRRRIKDKLRGRNSDRGKRGGEEEEERVRYDSVPAPPSPWGFLFPEDFERIDGNLKAVIVDEEGLDVIYWKKLLELESSGKTPKPRRRGKPDGGSRRTGADEEEEEGGGSGTNRKGDESIEEAFSFHVKKNKCEIEDQSKRNNSVEGGGGRYSYTPSSSSSTGGFSDYGKQSQSKFQAPGGGGGGGSGRYPPLPLPPGQLGLATASSSTMPPPLTVNHGSFPSSPSQIPLPPGQFPAAIGSSSTSPLPLTVNQTVPHVQANQPPPSSGAPPPPPPPIPAKKAPPPPPPPAKKAPPPPPPPGKKAPGPPPPPPMSKTGPPKPPGNGKGPTKAAESSSALTKDDPAQPKLKPLHWDKVNPDASHSMVWHRIDGGSFNFDGDLMEALFGYVGARKPGEANTVPQKPTVSTTQTYILDPRKSQNKAIVLKSLGMTKEEIIDLLTEGHDADTDTLEKLSGIAPTPEEQTEIIEFSGDPTKLADAESLLFHILRAVPSAFNRFNVMLFKINYGSEVSQQKGSLQTLESACNELRARGLFMKLLEAILKAGNRMNAGTARGNAQAFNLTALRKLSDVKSVDGKTTLLHFVVEEVVRSEGKRAAMSKISGGDIADASREEQEIEFIKLGLPIIGGLSSEFTNVKKAAGIDYDSFLATTLALGTRLKETKRLLDQSKGKEDGCLTKLRSFFESAEEELRVITEEQLRIMDLVKKTTNYYQAGGALKERNLFQLFVIIRDFLGMVDTACSEIARIQRKQRPAATVAGASSSTAATTPSAAAPQRNAVRFPILPPNFMSEHSRYSSSDSDSDS >A02g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17718226:17719886:1 gene:A02g506250.1_BraROA transcript:A02g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAEMICISSRSTTRGQSEALSDGVRCGLSLVAFKLALCVVELAIVYSSCELCVCLGDQAFWCHWCALGADVLGEPSCIARVSFVCAWVIKRFGVIGVRWVLTYLVKYFRGGGRLKFSLRGSLVEAVLLKRSVKIAAVEDSVLR >A06p023310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11778554:11779626:1 gene:A06p023310.1_BraROA transcript:A06p023310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLKVAQFHQNLREMAAIRVLSRKLPTFASIFFQTLTRNPSIHRISFLNLKPNTPPKPSSVFVAQFHDGRPRGPLWRGKKLIGKEALFVILGLKRLKEDDEKLEKFIKTHVFRLLKLDMLAVIGELERQEETALAIKMFEVIQKQEWYQPDVFMYKDLIVSLAKSKRMDEAMGLWEKMKKENLFPDSQTYTEVIRGFLRDGCPADAMNVYEDMLKSPDPPEELPFRVLLKGLLPHPLLRNKVKKDFEELFPEKHAYDPPEEIFGRC >A10p001300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:672641:674010:1 gene:A10p001300.1_BraROA transcript:A10p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSKACRGTRIPSHLHNIHRTSLPKRTVPIAPCSRYYTHGAYKGNQHSLRSKIGLWGSPSSLFSLNSHSSMIHGGAHREYSTHSITETKSKKMLYYLTAVVFGMVGLTYAAVPLYRTFCQATGYGGTVQRKETVEEKIARHSESGTVTEREIVVQFNADVADGMQWKFTPTQREVRVKPGESVLAFYTAENKSSASITGISTYNVTPMKAGVYFNKIQCFCYEEQRLLPGEKIDVPVLFYIDPEFETDPRMDGINNLILSYTFFKVSEETNSSVPVQETS >A06g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16021203:16022204:-1 gene:A06g505500.1_BraROA transcript:A06g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKPPTISICYYDFDQIHSKLCRDLERLGNSSRQASLFPFISSSLTPISLSSSLFSFRWISSSLLAASSPRASRAAGGGGGDRPSVVMWWLCSGGGDQISISSPLSLVSRSRSRSKLRWSVLNPSCSHSHEWFVIKARMLEKNECYADK >A09p027460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15499127:15499552:-1 gene:A09p027460.1_BraROA transcript:A09p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSLQSLKLSSSPFTHGSTPLSSLSKPISLPNTKKPGLVPAIRAMKTMQGRVVCATSDKTVAVEVTRLAPHPKYKRRVRMKKKYQAHDPENEFKVGDVVRLEKSRPISKTKSFIALPVLKRNDLGIPMESQQRMVVY >A03p046670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19741283:19741644:1 gene:A03p046670.1_BraROA transcript:A03p046670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSLKLPLLIFILVITSNFGAEARELTELEVMAGSTSDAAIGKTLDPTHPPCKRDADCSFECPKGGFCNYPYGLCDCL >A01p012030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5844823:5845633:-1 gene:A01p012030.1_BraROA transcript:A01p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFVDRAWDKWVKGNLGSSGSPLKAAVLINYDPTGPSRLLSTIAEQEGIDLFPVELKQFIDFMRRGNLPTETFVLGSNQYIITSVHENWFAARCLNTTQPAGEGAIVMQTAVYVLVALYDGSIGSASRAMAAADHFASQLGRKNL >A06p048160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25560722:25562031:-1 gene:A06p048160.1_BraROA transcript:A06p048160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLALAFVVLLAILVSVADAEWDDAHATFYGDINGGETMQGACGYGDLFQEGYGLETAALSTALFNNGKTCGACFELVCANSKWCKPNAGSITVTATNFCPPNYSPPLNTRWCNPPNKHFDLSMKMFVSIAEYSGGIVPVKFRRVKCQKRGGVRFEIKGNPYFIMVLVYNVGGAGDVTSLAIRGNNSPWIPMQRNWGQNWNTGVRLVGQRLSFLVQTSDGMRMTFVDVASENWVFGQTFEASRATLNFH >A01g510510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:28901704:28901991:-1 gene:A01g510510.1_BraROA transcript:A01g510510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRLRQIKETNRSQIEAERQRGGEKRRGGDKRRGGERWREPTRRREEERRRERRREAERWREAERRREAERGGERRRVAERRRGGERSFGGFRR >A02p016000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7145836:7147784:1 gene:A02p016000.1_BraROA transcript:A02p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMNNAFIMSKCETLKFSSDQTPEESSWSMYFEDFFEASSSAVHIGDFSSSSVPDAMSFVATKKTVDMSKQEGPNYYNNLNFKRTRNREIPFGRHCDLEDTASSPSRSLNVNSIMNLLENNTRHGGGVGEDTNNVKGESAVQNEGELSVDLKKKGLCLVPMSMPLITCKKKTFKLKGRERGKGKEKENLQQWRGAGKDSRRSLGLLQLQSQKQGKKTPGISESSTPARKYTMTFIRSLAKVQKPEEEESCG >A09p012200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6233093:6234289:1 gene:A09p012200.1_BraROA transcript:A09p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNHTILFVAFLILCLTVNGVTGYATVTGTVFCDQCKDGEKSLFDFPVSGIKVSVTCLDENGQVYMSREETTNWLGGYVMRFDGTPDLSNCYAQVSDNGAQQGSSSSCSIASGPAQKLKLLFSFFGIETFVVDALLAQPVQPMSSCPKPPPAPVMPPPQVPVMPPPQVKLPPLPPIAQVPVLPSPKAPAMSPPPDTSPPQFKLPPLPPIPPVPFVEPSACCHKLWIRPEYRCYWKVIGPDTKVAVAFGLVAGRRYGTDMTVGEALKGRGEAYKTLLREATTALLNSYNSLGFPYNSVAVITYTNMALLGNSQHDVLMTAIRFIKANSGTCKFTDCK >A09g511720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34811388:34814733:-1 gene:A09g511720.1_BraROA transcript:A09g511720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNNKPDHLLPPRLFATDRAPSGQPHLPVYPKISYLDILRVEAVENLAVTSLIPIQSQPQPGWRVWHDVVADERLTYMENLIANHHPFKKHLWPGGDTSTPILIHKPPLEEPETRRQVSKNALRPRKPLNKPPPCRKQRRISNYFLRTGSTSNSNDQMMEMLSKVSSEVSKLRKEFRLMRQLNKRKKSRTHSKRSAFHSLIGSPHKPQLSHRGCQTDPTEHSTDDVPNETFPTPMEEDHPECTSPVVSQYAAQLYGQPSSESTPVHTTHLPTEPEQTTPVHTTTFYTLPKHTPIEPNPIIHNFPVHNSPVHNSSVHNSPVHISPVHNSPVDTSPVQTSPIHTSPIHLSRPHLSRPHLLRPHLPHPPPHTPPSTPPPSTPPPSITKPNPLHPSTILVTNFDSKENLSDEEVVELSDSSPVKPTPRHQPSDEECNLAEELFKCPSIPALALIAPLPQQHWDLFHATLTANTQAFHITPSQFDFSNRFILEIAQPQKWVTTFHMEILMYMLAGRHRELLDREKLAFTTPYLASGIQEVFK >A05p048230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28278863:28281177:1 gene:A05p048230.1_BraROA transcript:A05p048230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase 2 [Source:Projected from Arabidopsis thaliana (AT3G11240) UniProtKB/Swiss-Prot;Acc:Q9C776] MSSKASSSRGGESIVADCGRNTSSCGYCKSPTSSSISHGLWTERLTVHDYQALLDRGWRRSGCYLYKPEMAKTCCPSYTIRLRANDFVPSKEQQRVRRRLERFLDGELDLKPRERTEDQDVEVSERVRKLLGSGKREQNNEVEPVMKDLSELIDNAVQRCIQSGEFPSNIQVPKSSVKKVLSAKRKKLAEGSEELLYTSNIAFPIVAALKQTSQKGDGSNNAEDNRLSPETVSAKLSSEMKKVGEFPSLAIKVCKGHINFFSATQVTSSERDQGESLPSATTTTKSSSNSLQVRKKKLEIHLKRSSFDPEEYELYKRYQLRVHNDEPESISKTSYKRFLVDTPLIEVLPSSDGDDDDENVPPCGFGSYHQQYRVDGRLIAVGVIDILPKCLSSKYLFWDPDLASLSLGNYSALQEIDWVKQNQARCSSLEYYYLGYYIHSCNKMRYKAAYRPSQLLCPLRYQWVPFEVAKPLLDKKPYSVLSDFTKASETLEMNNSDEDTDSDSSRNGIDIANILISLNGARLRYKDLLRIINMAVRKQLEPMFISYRKVVGAELSERMVYELQ >A07g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24201703:24202115:1 gene:A07g508750.1_BraROA transcript:A07g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATLQRLRRVSDLRSDPDPSADGDYREIQGVQGSGGRAPSELEAPCKEERKKGEQLGCETRRTSSPPPAVTGDRESPTTAREIRICGGARVRGSKRGETLERNFSHLSLGQITSR >A09p071120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54994164:54996243:-1 gene:A09p071120.1_BraROA transcript:A09p071120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monofunctional riboflavin biosynthesis protein RIBA 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G22450) UniProtKB/Swiss-Prot;Acc:Q6NLQ7] MASLNLRCDSTHLLPSRHVTRTRPFKKTWIIPKRLNNVRMGVMMSEEGDVFSSSSSKSNNGSMGIELQPDLVSFGTLAAEMIPTTMVSSEVEDEEFDLDRPTEGFASIPQAIEDIRQGKLVIVVDDEDRENEGDLIMAASLVTPEAMAFVVKHGTGIVCVSMKGEDLERLELPLMVTRKDNEEKLRTAFTVSVDAKNGTSTGVSARDRAQTILALASKDSKPQDFNRPGHIFPLRYREGGVLKRAGHTEASVDLTVLAGLEPVSVLCEIVDDDGSMARLPRLRQFAQENSLKIISIADLIRYRRKRERLVEFTAVAPIPTMWGPFKAYCFKSLLDGVEHIAMVKGEVGDGKDVLVRVHAECITDDIFGNGSGGKQLAIAMRQIEVTGRGVFVYLRGPEAKGIDLTHKPRTYDNTSDQTEGVSFPVASREYGIGAQILRDLGVRTMKVMTNNPAHYVGLKGYGLSITGKVPLISPSS >A04g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:209299:210663:-1 gene:A04g500030.1_BraROA transcript:A04g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVDLLILNMYFCVYYKVFSDLEDFWDDLHVSCLKYNALDDFQDVFQTTSWKSSGLFGSHLDVMEVFNQMVLIFHSFKGFSDLEDFWNDLPVSRLKYNALDDFQEVFQTTSISVVWTSWKSSGLPGSLLTKSSSISSGVQAYLYRGMIYNSFNVGSFILIQHFKKHFNHSSNS >A06p052270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27647643:27649386:1 gene:A06p052270.1_BraROA transcript:A06p052270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYERIGKTQVTTSGGGGLSPRKLRSMLLLGADRKKKEDMIEPTPIMRSGSNQSDDLVASGSDDCKDVDVVCEITTDYDNVCEEVKSVSASLFEFQKDKAAPRLPTRSFSKPAPSKWDDAQKWIASPTSSNRPKTAAGQVHVSKKGPSFGRQSSMKIPLAAEEPDTKRIDVSQVKKETGEKFVSWEVDSYVKPVLTVDNSIATEVNLSRHDSSIATAFAQPPSTARSVSMRDMGTEMTPIASQEPSRNGTPIRATTPIRSPITSEPSSPGRQASSASQMSKKELSEKELQMKTRREIMELGTQLGKLNIAAWASKEDEDKDASTSLKNKAASRQTSKSVSEARASAWEEAEKAKHMARFRREEMKIQAWENHQKAKSEAEMRKTEVEVERIKGRAQDRLMNKLAEIERKAEGKRAAAEAKKNRQAAKTEKQAEQIRRTGKVPSLMFSCFSFCS >A03p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1494448:1496230:1 gene:A03p003410.1_BraROA transcript:A03p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPRTRTKSLRSNCTFSSSLAKIVADLDDVLLHILSFLPIKSLIKCKRVSKRWRYLITNPDFSNRIISSKHPLPISGFYLQGKREKEYRFVPTQEIASLLISKERDIKREAIKTLPLPYLDHQDDEDPPPDVGTLRFMDESRGNLYFIEVNDQSSSDLSVYEMERNGSSWSLKYHVDLEPVAAAFPEMIITEYYTYRWIYAFSVIGFVKEEIDAESYIVLHIPNKAVKYNFINKTFKKLCSFEPSQDDGVDNFYGSRRSFNLAPARLQIQSPSQRLSSNSILIFFNLHLDSHHPTIPIFNCQRNPKST >A10p020430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13843451:13847140:1 gene:A10p020430.1_BraROA transcript:A10p020430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGKDIYDVLAAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMDYQFLAADSLQKVALSRRGSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDYSSNLMVQIVVLQSIIWYTLMLFLFEYRGAKLLISEQFPKTAGSITSFRVDSDVISLTSHDSLETDEEIGVDGKLHVVVRRSNAASSMISFNKSPGGGINSSMNTPRASNLSDVEIYSVSFNQTDFYNMFNASKAPSRRHGYSKSYGGAGSGRGGDVYSLQSSIGVAPRASSFNEEVFKTKKGGRSMSVPYPPPNSMFTGSMSGASGVKKNEIGGGGGQNKEMDMCVWSSSASPVSEAIARNAITRGASTDSFIDLRASLPPNDNLASKAMKSLIQNKTPGRKRHVEMDQEGKKGNDVEDGGVGGPTKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSFKWNIKMPTIMSGSISILSDAGLGMAMFSLGLFMALQPKIISCGKSVAVFAMAVRFLSGPAVIAATSTAIGLRGNLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVSLPVTVLYYVLLGI >A08p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:515493:518386:1 gene:A08p000820.1_BraROA transcript:A08p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTATSSIGSMVAPNAHKTDKKLMNKLSSSSFGRRQNMFPKLRRSSPAVVCAAKELHFNKDGTTIRKLQAGVNKLADLVGVTLGPKGRNVVLESKYGSPRIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGFIAEGVKVVAAGANPVLITRGIEKTAKALVAELKKMSKEVEDSELADVAAVSAGNNAEIGSMIAEAMSRVGRKGVVTLEEGKSAENALYVVEGMQFDRGYVSPYFVTDSEKMSVEFDNCKLLLVDKKITNARDLVGVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGTLKIAALKAPGFGERKSQYLDDIAILTGATVIREEVGLSLDKAGKEVLGHAAKVVLTKETSTIVGDGSTQDAVQKRVTQIKNLIEQAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKAALDNDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSNDNVKFGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPVPVGNPMDNSGYGY >A09g516560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48816524:48817240:1 gene:A09g516560.1_BraROA transcript:A09g516560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIKLDIFNNHTLSSGFSINTHHTNQAITQHKLIIKKVLRIAYTRNQVGSLSLQRQSGHDMVGFKSLGRHPTPSPSVHGLLLVSHTQRPLLSESFRRLRRD >A08p008270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4928352:4930007:1 gene:A08p008270.1_BraROA transcript:A08p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LCV2 [Source:Projected from Arabidopsis thaliana (AT1G43130) UniProtKB/TrEMBL;Acc:A0A178WDP4] MTYIKSKVSLIEVPKSKIWSDTDSSFQCGEMAEDKEATTSSLSQGLAPQDPEDPPKSPPTSPNSSTRKACYAVLQSWVSKKFMTGFVVLFPVAVTFLITWWFIQFVDGFFSPIYESLGVDIFGLGFITSVLFTFFVGIFASSWLGSTVFWLGEQFIKRMPFVRHLYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSSVTLQTDQGEEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSVGMTMPQVISHVDRTKSRTAHQHSHRIPLNRL >A05g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14220418:14221614:1 gene:A05g505110.1_BraROA transcript:A05g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQVREKIRQRITLKKKSDTKKFLVPCLIGCIDYPSALCDIGSSVSTLSKVMADHLGLKIELSKDSFTFIGNALVPVDFHVLDINLNWNSSLLLRRAFMAIVGAVCDMQTNKLCLTLIDPTTGYKEIGDNPEFIAAFHCNHEADEESKIEASIATKHELSINEKFVGTIDNDHANEINDFLEGSINSWENDYYQPCFAVNTATPSKMKMSAMERDEYDDGYKEEATIEYSGFAMEETRVLRGSHDTRGETSIDGDITISIDTHHGTEADARAKDSASIDRRGQPSIDKCYKFGQRPTIPKEKDYSIGKRKMNVMYTETSMDLHEHQMEESFMCQEKTSIFWRELQCMRRLVFVYQSMQRNSPESCQV >A07g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10860863:10863465:1 gene:A07g505030.1_BraROA transcript:A07g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRHRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMTEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQTRLNEQMMEMMKRMYPNEVFPNIPDQ >A06p007210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2490538:2495023:1 gene:A06p007210.1_BraROA transcript:A06p007210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CQIKKREMNVGGKVVCVTGASGYIASWIVKLLLLRGYTVRATVRNPTDAAKTEHLLALEGAKERLKLFKADLLEECSFEQAIECCDAVFHTASPVKFIVTNPQTELIDPALKGTMNVLNTCKKTSSVKRVIVTSSMAAVIVRQPPLEPNDVVDESFFSDPSVCMETEYWYPLSKTLAENVAWQFSKENGMDMVFINPGFIIGPLLQPTLNFSVEMIVDMINGKNPFNSIYYRFVDVRDVALAHVKALETPSANGRYIMDGASMTIYEIKEIMRELFPDMCVADTKEEGEIKEIIYKVCVEKVKNLGVEFTPLKSSLRDTIISLKEKCLV >A07g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2609761:2610668:-1 gene:A07g501240.1_BraROA transcript:A07g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSHASITEWVLLSVLTTTIIGFPHNSRYSVRRRFPEVFSIKSILLSLLDALRSVSSRFTMDPAEERRHSKRQNDYINRLGSVADSEYGIPRRCPCGGRIIDEVRRKDDYDTFPGKRFFTCKNYEADGFHYRQPWVIGVQEEIERLTKRVEEAEQVMLGTLNLSKQIETLEVSQLSLLFHSIYIIV >A05g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22161455:22163484:1 gene:A05g507740.1_BraROA transcript:A05g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCIHRTSVAVRVCPSAHTGRLWLSISSHISTLVLGLCTLALPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGRPWRSVCARQHTQDVCGCPSAHTGRPCVSISTHMTFVAVRVCLCVSVSTHMTFVAVHQYTYQHVGPLTPHADPSCGLFGTSVGVRQHTQDVRGCLWLSVRTHRTSVCVRVCLSAHTEHPWVSISTHRTSVGVRQHTQDIRVGPCVSVSTHRTFVAVRVWTCVSVSTHMTSVAVHQYTYKHVGPRTPHADPSLHTGRPWVSASTHRTSVAVRVCPSLSVNTHMTSVAVTQYTYQHVGPWTQHAGPSHGLFGTSMGVRQHTQDVRGCLWLSVSTHRTSVCVCQHTQDVRGCRSAHTGRSCVSVSIHRTSVAVCVCPSAHTGRLWLSISTHISTLVLGLCTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPWRSVCVRQHTHDVRGCPSVYISARWSLDSTRWPFPWTVWGILAHDVCGCPSEHTGRSCVSVSTHRTFVAVRVCPCVSVSTHMTSVALHQYTYQHVCPLTPHADPSLHTGRAWVSASTHRTSVAVRVCPSVSVSTHRTSVAVPQYTNQHVGPWTQHTGPSRGLFG >A07p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16714224:16715619:-1 gene:A07p029860.1_BraROA transcript:A07p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQVKPAEPHFLSFLLICPFYFQALFSSYIERERLHLFLCLSKRKPKTLTMELLTGSGQNQPDSAGSSSSTLSGGLRFGQKIYFEDGSGAGSSKNRVNNTGRKLMTARCQVEGCRMDLSNAKTYYSRHKVCCIHSKSSKVIVSGLYQRFHQLSEFDLEKRSCRRRLACHNERRRKPQATTTLLTSHYSPSLYGNAIRSVLGDPTRWSTTRGSAPWQSHQLMNVFSYGSSGFTNSTDSSCALSLLSNYTNPNQQEQQPLQTPTNTWRPSSIAADRVTMAQTPPVSNQNQYLNQTLEFMSGEKGNAHYTTPVLGLSQISEPVVDFQIGNGATMGGFELSLHQQVLRQYMEPDNTRAYDSSPQHFNWSL >A09p063830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51663662:51664770:1 gene:A09p063830.1_BraROA transcript:A09p063830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein GIGAS CELL1 [Source:Projected from Arabidopsis thaliana (AT3G57860) UniProtKB/Swiss-Prot;Acc:Q9M2R1] MAEARDRLEKPVDYAAIFANRRSHGVLLDEPASRLGVFEHPVRRLPSGSRVYPQPGGNYSSWRPGHGNGSGQSPLRFSQGRENVTMASARRGRGGASGSLLPSWYPRTPLRDITHIMRAIERKRRAGMGVESALGGETPSHQQVRFLETPVALAEDEHNCVMVTPAPAVGLKRSCPPSTAKVHKMLLDITKEISEEEEEAGFITPEKKLLNSIDVVEKIVMAEIQKLKSTPLAKRQEREKRVKTLMSMR >A07p051790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27444969:27448530:1 gene:A07p051790.1_BraROA transcript:A07p051790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHDSSSEEEQRARRRRRDRSPDVRRSRTETEEVGRRVRVSDDDDDHKSSRRDLEIGRVADVKERERSRGDKVRAYSSSDEESARLSRKNRREVDSDSDDGRERRVSKGRSKGRVRADTSSDEEEEKHLSKVAEDGKTRRGLDDEGGDNERRGRRRSPKGRENHDRERGSRVIADKPSDDEEDDRQKSRRGGRERERRRRDHQASDDDEEGEIRSGGRGKERTDRGNESLLKRDRRERDWSDGHRRDRYRRDDGGRDEKERRHNDRYDDSQRDKSRREEKIEVAKPKLAELNPSDSNAVALGKTGGVYIPPFKLARMMKEVDDKSSVEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVINAKFPEVAELLLKRVVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAEELIALELVSVLLEVPTDDSVEVAVGFVTECGAMLQDVTPKGLHGIFERFRGILHEGDIDKRVQYLIEGLFAIRKAKFQGHPAVRPELDLVEEKYSHDLSIDDEVNPETSLDVFKPDPDFVENEKKYEALKKELLGEDESEDEDGSDASSDDNDEEEDESDEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIKLLNERLQDPTMQESLESIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRLIMQQQKQVAESESESSSGSDSSSSESESESSSSSSDESDREKKRKRRRS >A02g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16214288:16224834:1 gene:A02g505320.1_BraROA transcript:A02g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQASTTLDHHRVWREGLVLYCVFSHFLPIYRIRFNKMRFVVLFLSYIFTVLENISSSIFALVAKKSAHHADESQGSYERRPRVAERAEEARGGHYRKVDQLIPPKTRCDCLGSSHSSSLRRKPTGLLVADLHTWKLTPRETIVTKVSASEGVQNQIVQDFEEISSVADFCGRYVLLLWMPKRDFSNVYNYLVKYGFITLFILYNFGFESTFQKFPPLSTSSSPKNRSTTVPKTLKFGVKVPPSSTPAKENQLGDSASLQAQLDAKATTEKTVPENGSTQQTDSTPNSIPLTVEESPLLQKSKTIDPQLFSSINTNKASTPTSNHKPALNPPVLNQNTPVLPTPTNHLPEKTLVERLRISEDKTLKRLAPVTISETGRPRVLIPDSVFEKGAEIHKDFIVCYFNGKPPPFHQIQSVLAHMWGKEKPLEIHNNPRNRSALVRIRSEYLRLKILDKCIWYVGDSVFHTALWTSEHSMLTPPLKAIKIWAHLTGVPLDLRHQQGMSMVAGLVGEPKETDEFTRNLVSLTVSHVKVEVDLTVPLPSIVEFQRQSGEVVEVLVHYPWTPPTCSHCHELGHIVRNCLLYVPPPADPPPPKTSFSAAKLVSKKPNHTTSSKKPSTKSASSSKTSIFPTLAQTYVKKSVDQSLNPPATLNISTDPHSTSLPPTPNHSVTNTLLSSSVHQMEVDLPPTSSKDHSSPDPPLDPL >A02p010130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4358639:4359661:1 gene:A02p010130.1_BraROA transcript:A02p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLKKTLLFLLSCTAILLSNNIAAAANVGLNYGLLGDNLPPPPQVINLYKSIGITKIRIFDPNVEVLNALRGHQDIGVTVGVKDQDLAALAASEEAVKGWFATNIEPYLTDVNITFITVGNEVIPGPLGSQVLPVVKSLTNLVESRSLQISITTVVSMANLGESYPPSSGTFTPLAREQLTPVLKFLSEKSVPILVNMYPYFPYASDPVNIHLDYATFNTEALVVQDGPLGYSNMFDAMYDAFLWAMEKEGVEDLPLVVSETGWPSAGNGDLTTPLIASTYNRNFVKHIESGKGTPKRSNCSIDGYIFATFNENLKPAGTEQHFGLFEPTDMKPVYKLF >A01g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11083921:11085860:-1 gene:A01g503560.1_BraROA transcript:A01g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDFMLLNEKCRRHSKITGKKVTVGLISATPLNATLLFPTAALKPSSSMGGGIVKCIDLVMFRAVYMPYLHGQLQPHTWCEAGSSQGVKREKTLESSFVGSKRKHGNGGETHFNELGNGDTGKTAMVSRGELTFQNIYGAEALLNAEDEDGYSGWEPLQQKMPVEFVKWCCFNCTMANPASAITWLRHGYFASLLFKRHWSLTEVEEKCGGNSSAASSTAVGFEEIMLLHSEVYTLEHVNFTSQLLYSYSPPTHTNKY >A06g506200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17661533:17661868:1 gene:A06g506200.1_BraROA transcript:A06g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYQPCQKKTLTIYKAEVDELVADINGAMRTTYDYHSKRLDDVYYPFNNSISWLTTHTDEMKHDIAMIQEQHGVGAGASKSITDHIQPSIDASTRTSSNARLASFNSKID >A06p057740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29999734:30002914:1 gene:A06p057740.1_BraROA transcript:A06p057740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 22 [Source:Projected from Arabidopsis thaliana (AT4G38990) UniProtKB/Swiss-Prot;Acc:Q9SVJ4] MKPSICSSIYLLFVLLPTVISHNYSDALKKSILFFEGQRSGYLPREQRMTWRRDSALNDGKNLNADLVGGYYDAGDNVKFHFPMAFSATMLAWSAVDFGSYMSPADLRENLVALRWGTDYLLKTVSQLRNRIFVHEELLWGAAWLRRATGKASYSKYLVDNRHSLGADFNYYEFGWDNKVGGVNVLVAKEAFEKNVPEIAPYRDTAEQMMCAYFPETAGPHMTYTPGGLLYKPGSNILQNTVALSFLLLTYAGYLSKSSQQLHCGSVKIHPESLRHLAKRQVTDYRVLEQSMFHVLDTRRIDGYRKHRRRRYEGDRRSLLRL >A02p031150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14591726:14592866:-1 gene:A02p031150.1_BraROA transcript:A02p031150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRFTFRCGFSHSSPASLPGGEDSGAVVRRGWESGAWWLFRGFPSRHRLDLARSAFNGGRFCSGGGAPALSRLFRRQFAVVDDKCPRSRFGGVDFESQASSQTVVVILCWQLSLQVGVVLLVARRCLCLACEIGLSSSSWLNQKWRFGEAGCLSFSLRIKVRLWFSGSVARAGYCWLRYVVVDLGLQSRLVVVAGYQPVVYPNKSGLCSKLGCWWFQWGSLGFFEFSGACEFQEGGVEVAVLRCLVSGASTGSGSSVPPFRYGPIVVYSEFQALVGICAVAGGRTFVVRPFFLEVSAWGSQLYRLVTHVLTGAFLWSSILRAVRS >A02p012090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5284209:5284766:1 gene:A02p012090.1_BraROA transcript:A02p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTGRVTSEKPISLSKAATLLSSFVSSETEASQDVAAYLRRASAAFTELKSFHREIRSTKAKDEHNNPSVELEDKKKKSREEEDVVEEKVMVKVEEEQGKKERKKKKKKGDEDVVEEKVIVKLEEERKEKKKRKKNRGEDVVEENVNEERKEKKKKRKSDDGEIGSEERKSKKKKRKSKEIDA >A02g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4271744:4272109:1 gene:A02g501430.1_BraROA transcript:A02g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLRRGDKVWVEDKDSAWAAGEVLDSPGNKVHVETSTGKQVFVSSEKVFRRDPDEEDRNGVDDMTKLTYLHEAGVLYNLQRRYALNDIY >A03p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7901705:7903565:1 gene:A03p019380.1_BraROA transcript:A03p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGLMAASRESSMPFTMALHHQQQHNQPPSPPQTQQQQQQSSPPPPQQYQHNSAGGGNPGLNMNMPVAMAGSEPVKKRRGRPRKYGPELGLVPGAPSFTQAQTSGGGSGEGGSSTQKRMRGRPRGSSNRKKLQALGSTGVGFVPHVLTVGTGEDVSSKIMAFSQNGPRTMCVLSANGAISNVTLRQFATSGGTVTYEGRFEILSLSGSFLLVENNGHRSRTGGLSVTLSAPDGHVLGGCVAGLLIAASPVQIVVGSFIPEGQKELASPTLLPRVAPSQVLMNPSSPQSRGAMSESSIGGHGSPLHQSNTGGPYNNTNNPSMPWK >A02p006460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2726786:2729496:1 gene:A02p006460.1_BraROA transcript:A02p006460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIMKEVEGKVKFSMADSMMMLLVQQAMDKAHEKIKTKHGLLLRLNAISLFYELAVLQLQSCLNIVQQETDNLESNHEEVVRDLRKIKDRLHHRLLETELAILEKDRELLERSENEASLRNVLESKETELVHLQDLERKRSSSCNEIGEEFSELKSSVDHQVMNLRQKIETEYDGLGKEADDPSGVDIDVLKGTMDLAFNKMHHAIFLSELGPIEQSWRWSVERETMGLLIKGFMSGLEEEKKRVMMVVRDYELGFKDRVCLIRREVECLESQIIIHRSSSSSPRAITTTSSSVDCEVVNDDKEEEDEGEGEEDSSNYPVSKLTKSHESIIRRKSEELVSPKIESIKRQRSCNSSSLKRAVDDIVVGLDSLVCLNTKMFEYFCYDEDDDRCDEHDEVVMDDGLDDVWKKMQKHRSVARENEVEEKEDVEMKLMILEDTYLTLLRGLLTDNKRLLVEQGKKACLDTSLVFDDFEILRKDEEFRQEVDWIIVRELLREVSETVDNLERVEADDKRLLEKQGKWTCLDTFPIFNDLEILREDEEFRQEVDWIIVRELLREFSETVENHERIEADNKSLFEEQGKRACLDRSIVSDDFEILHEGEEFRQEMDSTTVTELLREVSETLDNQERIQANNKRLLEKEGKMACLDTSLAFDDYEILCEDGVFRQEVDWIVVRELLREVSETVENLERIEAENKRLLEEQGMRACMEISLVFDDFNFKIQERLNMATLGLQNLGKNIDSTMDYMAELRRKESVYKTAFVLRCENLIKAETEVDLLGDQVDSLVKLLQKTLWTFHQHPLLLCNHSDISEICKMIKEVLCEDYKAN >A02p000160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:124177:128333:1 gene:A02p000160.1_BraROA transcript:A02p000160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLLPATPPSSSSEAFGELCAAFHVIIRKMEGKAAGSSSSLLRNMMVRVLLLGVLLFLLRFAYVITLTGESCNRGDFCFFSLPPDLVSVIGSTSPGADDDHLIKSVQFYSSVFRDLISDGFLSPHSKSLCVEATPAAREVFSLREIGVKDSIGISKKASRPLVVKGEGHSIPFQDNTFDFIFSGGGRLGKSLKPLEFAEEITRTLKPQGIAVVHVAATDTYSFNSFLDLFNSCSLVKMRDLDSPHIREFVIQKYSGGHHKKSSGGGKCWIPGYKTDLIRDAEPLIQEEPLKPWITLKRNIQNVKYIPSMVDIRFKSRYVYVDVGARSYGSSIGSWFKKQYPKQNKTFDVFAIEADKAFHEEYKIKKKVQLLPYAAWVRNETLSFEINHDPGKEVEAKAMGRGMGRIQPVKKSSSSLAGEVNLIQGFDFADWLKKSVRERDFVVMKMDVEGTEFDLIPRLIKTGAICLIDELFLECHYNRWQRCCPGQRSQKYNKTYNQCLQLFTSLRQSGVLVHQWCNHSYEVSFMLVPPSSHPPFPFMGVCCSKGAGIIVENGTDDGNEHGDAEADVRDTNDGAIIRSSGSSKHVSMAIKQGKKGINQDAMTVWENFGGEEDMIFCGVFDGHGPMGHKISRHICDSLPSRVHSRIRCGGNVNIENDNNSKSQEGFLEKVLVTLFKRIDSELGLDSPYDSFCSGTTAVTVLKQGDCLVIANLGDSRAVLGTRGSKNNLKAVQLTVDLKPCVQREAERIVACKGRVFAMEEEPDVYRVWMPDDDCPGLAMSRAFGDFCLKDYGLLCVPEVFFRKVGREDEFVVLATDGIWDVLSNEEVVKIVGSCKDRSVAADTLVQRAARAWRTKFPTSKADDCAVVVLYLNHPREGNVSRAVSTVSWRSGKSNRV >A08p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20485992:20489927:-1 gene:A08p034410.1_BraROA transcript:A08p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH110 [Source:Projected from Arabidopsis thaliana (AT1G27660) UniProtKB/Swiss-Prot;Acc:Q9SFZ3] MDSANLHQLQDQLQLVGSSSSSSSLDNNSHPSCYEASSVHQWSPGGISLNCVSLSHNFNNEMLNTRDENNNNSSTSECMSLSNIHNHSLIQQQDFPLQWTHNESSYHHHEGLHKIKEELSSATTSDHQQGMPRFTDMLNSPVITNYLKINEHKDYTEKLLLNTISSGFPINGDYSNSLPSSSSSSSPSSQSHRGSFSQIYPSVNISSLSESQRISNTPRPFDMNMQVLDGRLFEGNVFVPPLNSQEIRGNFTPFGLPFHHHLQQTLHHPSSSPSHQMEIYSNEPQASEGKRHNLMAPKAGETASKKPRVESRSSCPPFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQSQIETLSVPYMRASRNRPGKTSQLGSIPQEGGEEETRDLRSRGLCLVPLSCMTYVTGDGGDGGGGVGSGFWPTPPGFGGGT >A09g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8290026:8291675:1 gene:A09g502480.1_BraROA transcript:A09g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQRDNRKQKQVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRTYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLKTDDDDSTASTNLSWVRINEIVESSVPKKKGCLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPD >A03g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18468793:18471039:1 gene:A03g505180.1_BraROA transcript:A03g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWISKVKDGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLIKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARHPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTISAIIDSTVLCVHGGLSPDVRTIDQIRLVDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILIFDENMERDVKYFNETEENNQMRGPRTGVPYFL >A10p007700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:876345:876714:1 gene:A10p007700.1_BraROA transcript:A10p007700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVYRHLSCPQFMQDQNGSGWLSKKKPERLQQQRFRATTCVVVLSFLGARRVKLCAFSSEDDDDGANVLSNEDSRGC >A06g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8027419:8029559:1 gene:A06g502320.1_BraROA transcript:A06g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSKSHSGCLAHNKAVHLTTREVHCLSSILVSPPLVSLDLPESWALDTDTPSSVLFGMELVLLNLKSIIQRRVRQIEKSQLWILCSLICSGCEIVTLLSVAKFMPPPIITEEVTASLEDMIKSRIIEWIPELRHYAPGVPIVLVGTKLGDQTLSFYKITLAIVLTMRSLTFRDDKQFFIDHAGAVSITTRQFKDTAGRHYKTACPGYETDVF >A10p011720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11678847:11681675:1 gene:A10p011720.1_BraROA transcript:A10p011720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQDDEDLELALKMSMQYNPPEAKRSKPIEEPGSGSGESPEAKTRRLQREIMAAAAEKRMLSLPKTPPKPRALASPITVADSCKGIGSGGGLELSPEESNQLFTMVFGNEVSKSILAQWTNQGIRFSPDPETTIGLVQHEGGPCGVLAALQAFVLKYLLYFPDDIGKDSPSLGVKTSKNPYVASHSFSSIPEEAKTRALVRSMCEILFMCGNNNRAVVASFLNSEDLNTNQKDEVMAAGLPIESASDLQKILIFETFTTQSSALSKIEGTITAFQSRVGALLFLISALLSRGLDTVQNDRDDPNLPLVTAPFGHASQEIVNLLLCGEAVPNVFDGRMDFGGGMFLKGISKNVEVGFLTLLESLNFCKVGQNLKSPKWPIWVVGSESHYTVLFALDPAVQEENELELRECQIRRAFDARDQSGGGGFISVEAFHQVVQETNIKLPTEKMNEICAMGFIVWSELWQVILELDRDLGGIKDSSGMMGKKVFDVYHFNGIAKSDINGGVQAMAVEGGTVPMQRPRLTKLNVSVPPKWTPEEYMTCAASSSSDKDSEVNQPKSAQHAPLVDCIRTRWSRATCSWAGDPPSIV >A10p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3073197:3075147:1 gene:A10p016400.1_BraROA transcript:A10p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLGAFKPACNVSITFTDGKNRKQVPIKKDNGQTVMNPLFQSQETIAGKVIIEPYQGKKVEHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYEKKAYPFEFSTVEMPHETYNGVNVRLRYVLKVTVTRGYGGSIVEYQDFVVRNYSPLPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVILGKIYFLLVRIKIKNMDLEIRRRESTGAGANTHVETETLAKFELMDGAPVRGESIPVRLFLTPYDLTPTLTNINNKFSVKYYLNLVLVDEEDRRYFKQQEITLYRLKEETS >A05p055030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32504604:32505783:-1 gene:A05p055030.1_BraROA transcript:A05p055030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKDGLKKGPWTPEEDQKLLAYIEEHGHGSWRSLPEKAGLQRCGKSCRLRWTNYLRPDIKRGKFTVQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKHKNETLLSSTGQSKNLATLSHMAQWESARLEAEARLARESKLLHVQHHRNNKPAAPDYCLAHKSSSTNWTKPNQGNGDQQLESPTSTVTFSENLPLMIMHLGIPTENRNSRVAVSSSIKDSDHDWMKQINCPKEGIEEGFTSLLLRDSVDRSLSAGKNDESEYSYYEDNKNYWNSILSLVDSSPSHSPTMF >A01p057440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32487421:32489402:-1 gene:A01p057440.1_BraROA transcript:A01p057440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQDVMKLQTCVLKVNVHCEGCKHKVKKQLQKIEGVYSVKADVEQGKVTVTGNVDPALLVKKLSKSGKHAEIIGGGGGGGGGKGFANLSMNGNGKGKGGKESNQVKGKGNGGGGGQNQGHGQPMQLTPQQIQQMMMMRAAQGGGGGGKDMKMMTPPVGSKDQKKSVKFAEEDDEFSDDDYDDEFSEDDYDDDEFDEEDGEMGGHGHGAGGGNHHMPQNKMMMMPNKMPQMGQHGGGPKGPNEIMMMMNGFKPGGGGGGAGKKGGGGGGGFEIPVQMKGMGEGKMGKDGKKGGEKGKKEGKDKKGGGGKTGKTDAKSGGGGLLGFFKNVKSGKGDEKKGGGKKEGGGGGGDKVKSSGGGGGGGVHHYDSGPKKGGGKSKGGAHGAHDIEELIKHNKAGGGGGNKGNHNHSAKGMGGPMGPGGPMGMMGQGGPMGMMGQGGPMGHQGGGSYPAVQGLPMSGGGGYYPQPPQANHQMNQQQYMQMMMHQQQQQQQQAAAAHGGYVGGHGGDMYHPMMYARPYPAVNYAHPPPMPPPHSGPYTDMFSDENPAGCSIM >A07g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26471728:26474343:1 gene:A07g509070.1_BraROA transcript:A07g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPMIPHSFNIHHPSQAITQTHTCQRQAYTNEIHIKPNLVPKPHLGLNIVQPPAMKLSLKITGTCTQPYQHARIENMMHPSPSPSQTRSTLNSKWNSNKPTHSVLGEKLLVDRRGLGQNPRDALTGLDFDRPRQADLDSDRTFSSFWTNMTGLDELNWTELPRHNRRESPIGRNWPSRNELGSWGIYRRHQPISFRLVAARVSLRMAPDACTATPRAPHGWLHVQDTCRTPPFLPDVRLHDWSSCKAPQHHTHVDQHASVACVATSRAWLIHLVLLHVKLNVQLPCTATPRASLDTQLPPASSKNFVIPKLGFSPNFGFSRRASIPSCLLPVLLNSHIPRKLRDEETSVFKNVELLNCRASKNVMLPKHPSDQSNTFLTMVQQLM >A09p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7224016:7224370:1 gene:A09p014020.1_BraROA transcript:A09p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCEWNSTLESIVEGVPLICRPFSKRAEDKRGILTLESTYMRALALKEKLKASQRSGDSSYHALDDAELHAFVKTIIILSYMYHT >A08p041490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23394293:23396457:1 gene:A08p041490.1_BraROA transcript:A08p041490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGYLDEYEKLVIRMNTPRVVIDNGVCSSATIVKVDSPRGHGILLEAVQILTDLNLSIKKAYISSDGRWNMDVFHVTDINGNKLNDQSVLSYIEQSIETVYYGEDIEVNGLTALELTGTDRIGLLSEMFAVLSDLNCDVVDAKLWTHNGRVASIIYLKDCTTGSPILDPHRISNIEGRLKNVLNGDNDGNSAAKACVALDVVTHVERRLHQLMFEDRDYEKRSKRHERDPMVVVTVQNWAERGYSVVNVHCRDRTKLLFDVVCTLTDMEYAVFHATINTSSDQAHLEFYIRHKDGSPISSEAERQRVIQCLEAAVERRASEGVRLELRHPDKQGLLAEVTRTFRENGLNVTRTEISTSCDMATNIFYVTDANGNGADSKLIESVREKIGLEWLRVKEIPSVIHKKGDGEEEQQTKAVLVSFGSLVWRNLFSFGLIKSCS >A05g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14480394:14480748:1 gene:A05g505220.1_BraROA transcript:A05g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCISMELGCLNHHRESHKTHLSLHNDQCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKTFVFTFLVVGELHVSHSLCT >A06p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2238462:2246035:1 gene:A06p006630.1_BraROA transcript:A06p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSHVWVEDSDVAWIDGEVEKINGEEVVIQATTGKKVTAKLSKIYPKDEEAPAAGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRDMISEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSRTKQEIEKYKLGHPKTFHYLNQSKCYELVGISDAHDYLATRRAMDIVGISEKEQEAIFRVVAAILHIGNIDFTKGKEVDSSVPKDDKSKFHLKTAAELLMCDLKALEDALCKRVMVTPEEVIKRSLDPQSAVTSRDGFAKTVYSRLFDWLVDKINKSIGQDANSRSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKAHKRFIKPKLSRTDFTVAHYAGEVLYQSDLFLDKNKDYVIPEHQDLLGASKCPFVVGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFINRFGLLCPQALQGSYEEKVACKKILDSMGLKGYQIGKTKVFLRAGQMAELDARRTEVLSGAAKKIQRRTRTHQAQKRFIVLRKATISLQALCRGESTDLYINRRLSGKLFENLRREAAAVKIQKNGRRYYSRKSYKKLYVSALSVQTGLRAMAAHKQFRFRKQTKAATIVQAQWRCHRAKTYYKKLKNGVIISQTRWRGRLAKRELRKLKMASRETGALKEAKDMLEKKVEELTYRAQLEKRLRGDLEEAKTQEITKLQNSLEEMRKKVDETNALLVKEREAAKKAAEEAPPVIQETQVLVEDTKKIELMTEELESVKATLENEKQRADDAVRKFEEAQESLDDNMKKLEETEKKGQQLQESLTRMEEKCTNLESENKVLRQQAVSMAPNKFLSGRSRSILQRGSESGHLAVDTRSNLSQDNNNTLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLAMINGGAGGGADTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVANTPAHEALIAHWQGIVKSLTNFLDTLKSNNVPSFLVCKVFTQIFSFVNVQLFNSLLLRRECCSFSNGEYVRAGLCELENWCFKATDEYAGTSWEELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERFDIADIEPPPLIRENSGFSFLFPVTD >A04g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18148816:18150607:-1 gene:A04g507410.1_BraROA transcript:A04g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFFHSCDLNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKTDHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEVQTTLPEDF >A09p036380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:24277392:24277664:-1 gene:A09p036380.1_BraROA transcript:A09p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFPILEMPEEIQALVVERVAGNSFQDLYGLRASCKLMKALADRRRVCVTGWEAGSMRWLGDGAILSVRMKTGWKDGEDGRLAVPFNPI >A06p034280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18533442:18534279:1 gene:A06p034280.1_BraROA transcript:A06p034280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKNIQIPRIAAILGVQLTFAVLYDQSECYSRKRVLGEELQGRGEDGFRSIQLGRSPNWTGPARRTSELNLVVDPAQPFAGILRKIMSSKRKSSTKSHHDRSNPDGSCSQHVDVVPKVEFSADSIDPEENDAYWAARGELNPPVPGLWVPSPFKANHVVGCPSRSCPNELAAIRRFC >A06p011800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4418091:4419918:-1 gene:A06p011800.1_BraROA transcript:A06p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g14380 [Source:Projected from Arabidopsis thaliana (AT1G14380) UniProtKB/TrEMBL;Acc:Q8GZ87] MKARRAIRTLKGIIRLQAVIRGHLVRRQAVATYSCIWGIVKFQALVRGRIARSSSQNVIHCQKPLKEVNDSEPLQVSMHSWMNDPSKFIIVNKLLASSPTALPLKIQYGAEEPNSANVWLERWTQLHIWSPPPRAAKILVPQTKTKKRNYQAVVDSEKARPKQVVKKQSGPTSGKVSNRSSTTESEKLKRSTVRKASALSKDPLRTESDKAKHKPRKSKSAASKEEVKEEKPRPSLKRTSLSNTSLKVTRKSAEKKKDPVDSVQIEPEVKVSDVVEVGDAVESAEKEKDAADSVQMEPGEKVLDVLEGGGDAIESSVKEIETVESVQIEPEVKVSDVLGGGDAVESAEKEKDSADSVQMEPEVKVSDDLQGGEKEKDTTDEVPKELDVEEEEKSPEEDELRTSETIDKGEEDLKCSDVKVSSENGNIASDNSKPSERRVSPHNQDEGRTHSGRKIPSYMAPTASTLRRHSLPSPANGKPGVTTTISPRAHKLLLASAKGSMNGDKCASSLKNKSDKSNKTEWKR >A08g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3994102:3994791:-1 gene:A08g501520.1_BraROA transcript:A08g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATMNIRDFGSARIAFPGFNEVGREDHFYRSANPIYLNDWVDEVSAVRTFRLKCYRSGNPEAIYLRGMYEFFILHLVDEGWEKIHLAGERGCELAHWQLSGHWDYDKPGMFLSMAERIDPNVLRDCWCSHIDPPEFEVSLDGSRSRWKCDRCFWNCAAYDFCFQIHLTARTWPIED >A04p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4027174:4029861:-1 gene:A04p012840.1_BraROA transcript:A04p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 35 [Source:Projected from Arabidopsis thaliana (AT5G35570) UniProtKB/Swiss-Prot;Acc:Q94BY4] MGHHHHDGGDGVPQHVNSPRFSGPMTRRAQSFKRGGSGGNSSSSTHIGGGDNSNNNSSSSTLRVHHEIDLPLNSPRSEIASGSGIDPSGGFESAMSRKHQTYGQLRERVVRGLLRKPMVSEFSLRERKKLGHWMFFAFCGLCLFMGVFKICATGWFGSAIDAAASDLDLSDSIPRVNLLDHDYIYKSDDGNSVVEFSGVWAKPESGNYSQCIDSLRRRKKLAANTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHSSYWADDSGFKDLFDWQHFIEELKDDIHIVETLPSELAGVEPFVKTPISWSKVGYYKKEVLPLLKQHIVMYLTHTDSRLANNDLPDSVQKLRCRVNYRALKYSAPIEELGNVLVSRMRQNRGPYLALHLRQVTLFILSNLYEKDMLAFTGCSHSLTAEEDEELRQMRYEVAHWKEKEINGTERRLQGGCPLTPRETSLLLRALEFPSSSRIYLVAGEAYGNGSMDPLNTDYPNIFSHSTLATKEELSPFNNHQNMLAGLDYIVALQSEVFLYTYDGNMAKAVQGHRRFENFKKTINPDKMSFVKLVDALDEGRISWKKFSSKVKKLHKDRNGAPYNRESGEFPKLEESFYANPLPGCICETTDEEEESMMRRT >A09g513050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39664645:39665633:-1 gene:A09g513050.1_BraROA transcript:A09g513050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIHGDQCGNQIGAKFWEVICDEHDFCHSLGGGTRSGMGTLLISKIREEYPECELINLVFPNPPWLYDDDAFYSSCLAV >A02p041240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26026704:26027208:1 gene:A02p041240.1_BraROA transcript:A02p041240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSLKSLVTSAVTIGVTEARARIFGHMLNPTGQRSSHKILRKKLFGDKVAEWYPYDIKNEDPNVLAREEKERLSKLEMLKRRDKGPPKKGHGRRAAKRNK >A05g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25238426:25243594:1 gene:A05g508630.1_BraROA transcript:A05g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLRCGIYVWASSTEISKIYASASSSSPIQSVKSSDRSPSLQTKPCSSPSLSPSTPLFSPSPSTAFSFGGTRLRCLRLVTSCVASVQNTVANGSAPAPVVVEREQIRNSLPIKGRMAADTIDLLKVNGVSVPMKICILIIIWYGKDSVVWMDSDSNSDTDTDSEKDDENEARRYLSRGKKQPVPFSHKFSKADPLALRLLERLLAFDPKDRASAEDVLSDPYFSGLSNSEREPSTQPISKLEFDFERKKLTNDDVRELIYREILEYHPQMLEEYKHGGDQLSFMYPRCYTKLVSGRSLIDHPILDEVKIARTRHVMGHLQSQELGSNLEVSKRVNVAMVTKAETMTTGEIYAYIKQESAKVKLELYIPYSIRNA >A02g510420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27558560:27559492:1 gene:A02g510420.1_BraROA transcript:A02g510420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRDLCLLPINVILTNPQNCDSESPSSSVDRKKLQAKPLNSAVDDWVGRLLALVSSDMPDKCWMGVDLMGVTCQECSSDRFFSLYFVWFNSLLSHIKNPESSRIVRVVSCTSISDLLTRLSRFTNTKKDAVSHASKVIFPSLNYWRKNLQRHY >A05p040430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24723841:24730597:1 gene:A05p040430.1_BraROA transcript:A05p040430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONSOKU [Source:Projected from Arabidopsis thaliana (AT3G18730) UniProtKB/Swiss-Prot;Acc:Q6Q4D0] MGRLDLAAAKRAYRTATEVGNRSEEARWANNVGDILKNEGEYVEALKWFRIDYDISVKYLPGKDLLPTCQSLGEIYLRLQDFEEALIYQKKHLQLAEENNDTVEKQRACTQLGRTYHEIFLKSEDDCDAIQSAKKYFKKAMELAQALKEKPPPGESGSFLEEYINAHNNIGMLDLDLDNPDAACKILMKGLKICDEEEVKEYDAIRSRLHHNLGNVYLELRSWKEAKEHIKMDIKICHQISHCQGEAKGYINLAELHNRTQNYGDALMCYGKASTLAKSMQDESALVEQIEHNIKIVKKAVKVMEEMREEERRLRKLSAEMADAKGTSEERKSMLQVHACLERLIEKSSIVFAWDKHLEYSKRKKKISAELCDKEKMSDAYLVVGESYQKLRKFRKSLKWVGRSYEGYKAISNFEGQALAKINIGDGLDCIGEWTQALKEFEKGYRIALKANLPSVQLSALEHMHYSHMIRFGNAKEARELKEKIQNLESEHGERAEYGTEDECSETDSEGHGNISNDRPNACSSPDLQTSNSPESESLADLDETNDDVPLISFLQPGRRLSKRKQFSGKQDVEPDQAKKDFSAPADSQQTVSGRKRIRVILSDDESETEYELGCPRGSFHKVTSQRKEVSDESMYFDGAVNCTDNPAIQDHVEEGSCSFTSLRPTKVAPDANNCRPLTNTIAVEPTGCGIKGSQCEAGESNSTQCKHGSALVNFHTYSKTDDQKIQIEIENVHMALDSCSGNDESVKVELTCLYYLQLPDDEKSKGLLPIIHHLEYGGRVLKPSDLYEVLSGSSEHVVIEASVSGWVHKRLMKLYMDCCQNLSEKPSIKLLKKLYISEVEDDISVSECELQDVSAAPLLRALDVHNTVAMLDLSHNMLGNGTMEKLKQLFASSNQMYGALTLDLHCNRFGPTALFQICECPVLFTRLEVLNVSSNRLTDACGSYLSTILKNCRALYSLNVEHCSLTSRTIQKIADALDPESGLSQLYIGYNNPISGSAIQNLLAKIATLSSFTELSMNGIKLSSQVVSSLSALVKTPSLSKLLVGSSGIGTDGAIKITESLCYQKEETVKLDLSCCGLASPFFLKLIQDVTLTSCILELNVGGTLITEEGVSALGMLLANPCSNIKVLVLNKCHLKLSGILCIIQALSDNKNLEELNISENAKMDETLFGEPVSGSSEMEQKEHGTCESITVMDKTHSEHWQNQDKEQELCEANMECGDGLEVADSEDEQIEEQTATSSSLSLPRKTHIIKELSTALAVANQLQILDLSHNGLSVEALETLYMAWSSSESRTGIAQRHVKDEIVHFYVEGKMCCGVKPCCRKD >A04p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6334166:6336085:-1 gene:A04p011930.1_BraROA transcript:A04p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSEKRSELARPFLTLLRLLSLCSGVFRAEGLASKSLELPNLSSSLVFHRQSHKFKVLLYTHSPESFRITVNCSCDTEQDQEDTMMVSHPGGRVTACSVRCSILEYLMEMMVIFISPLGSVSLGGGQKYFENLGSTIEEHQPCHFWSTTIGGVTWAIWEVQDIEVTVNYPCVTSCVHG >A02p054100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32925911:32926465:-1 gene:A02p054100.1_BraROA transcript:A02p054100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAATKPARGGRRGGDRKKSVSKSVKAGLQFPVGRIARYLKKGRYAIRYGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLCLAIRNDEELGKLLHGVTIASGGVLPNINPVLLPKRTAGSSQGEKVKAEKVKASSPAKKLSPKKG >A03p061720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26800984:26802970:1 gene:A03p061720.1_BraROA transcript:A03p061720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:Projected from Arabidopsis thaliana (AT4G27070) UniProtKB/TrEMBL;Acc:Q0WS13] MATSGTASTFRPSISASSRLTHLRSPPSKVPNFTPLPSPRSRSFSVSCTIAKDPTFLMAEAENTKTAGSDPTLWKRPDSFGRFGKFGGKYVPETLMHALSELETAFYSLATDDDFQRELAGILKDYVGRESPLYFAERLTEHYRRENGEGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRGVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVDDTEVRMIGVEAAGFGLDSGKHAATLTKGDVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDMGRAEYYSVTDEEALEAFKRVSRLEGIIPALETSHALAHLEKLCPTLPDGARVVLNFSGRGDKDVQTAIKYLEV >A02p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27528068:27528982:-1 gene:A02p043680.1_BraROA transcript:A02p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHEVSDISKPRPRRDHREREEEEEEEEEEEMAEARLLDMSRVPFIPACQMSGIHATNNLYQRYGPKGFMEIKILQNDNLYVRVDLPGVPDDGVRHRVDSVRQKVVFFSGEETLSDGDDKKNARVDAKIKDGVLRMIVSRVKVKDHDNKCTHFLPPNTGSKPLK >A10p030460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18402872:18404063:-1 gene:A10p030460.1_BraROA transcript:A10p030460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPTDTGRHLGSRGGHTVGNGHCPLITNRLYNFTGKGDSDPNLDTEYAANLRGRCKPTDTTTALEMDPGSFKTFDESYFKLVSQRRGFFQSDAALLDNQETKSYVLKQTKSYGSTFFKDFGVSMVKMGRIGVLTGRAGEVRKKCRMVN >A06g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14591415:14592394:1 gene:A06g504880.1_BraROA transcript:A06g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLGLKMSELSHCVRKKHIELQGLMRVKARKEIVEPQMLLLEQWITLEEEYSTSLSETSKALLNASLRLPLDVDIKVETKELGEVLAVASKSMEGIVQSIGHFLPKTKEIESLLSELARVSSREKVSVEDCGVALLKTHSSHVEDCNLRSQLIQQHHKQCFGKVTVFKKADETDAVEANKNKGNAFRR >A08g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8346564:8358220:1 gene:A08g505070.1_BraROA transcript:A08g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFFFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLPSKIDGSYNELNNNRAWERGLTATPQGRSSWERGFESDTPRSLAFSSSDQEKSPQSEVSERGRKVAPAGSDIMGATPRSRSRFRRNGPQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDLGATFPSDTLTSLPNRFSLFLTTHSPFPFIQNKPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTTHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDLRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKEYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMGAASPERRHRVDLITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGRSRSLERLVGATG >A07g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6221180:6221591:-1 gene:A07g503090.1_BraROA transcript:A07g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLKNHKFEQKQNTERPQPVAPTCRSRLRERPRCVAARGRSGLVLASPGDEDASDFSLSLWYGRSELRERLQHVALEGRSERPHAVAPRGRSGSARPKITLITSF >A07p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17643266:17645382:-1 gene:A07p032020.1_BraROA transcript:A07p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRDDGGGKVIGLIEKATKSSAQEVDPRLLKAIKLTLRYSDSEVRLGAKTLMELMKHNHSQVRLLTLLIIDELFMRSKLFRTLIVDKFDQLLSLSVGFRSSLPLPAPPAVATTLRTKAIEFLEKWNLSFGLHYKEIRLGFDYLKNTLKLKFPDLQATAARRQRERLEREERTKEILRSKFESLRDGFGVLKGEIEETIKEIKEGLEIVQWRGDDGVPLAILDEEDYEEIRCSHLRQIRMDSLKQSDKVEETSENRVVFDVLREQGKLLMKKHLVSVQEGISLLIRVDVSDNRTRDSMLKELIDIRNSILATEKKCEQAGFIISRMIDTGEKEEDEDDIWEEDDGKVGTDPVKKVAPLPRVQNGEGSSLQSSSEAKRSTLESSSNKASSTKTARRSGESGDPLRDKLISEAPVMNWGAQLSNWDSTTEVRANHRGLEVESHWGRVDQDAVIPADKIAELNLQATVYREERTEIPPCHAPLKKGGLCHRRDLRACPFHGPIVPRDDEGNPIINESSLEESQNQTSSSTTGISQEDDAPMDETTSDSDPNQLARQIAKQALKNIREKDREELRKIAKRAKHAKVKEHNFSVLRDAALASTSKSAVIDEEFDRVFAERKNKKQTFSLARRKKTTAKDRISERLSSNRAKGTKPKQLPQGNDDEKYRETSANHK >A07p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4137073:4140698:-1 gene:A07p001830.1_BraROA transcript:A07p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMLTQKGREMSSGGGGGPKADEGELYVAVAVKGIIGDKLGGAGSRRAVRWAVDNLLPKADRFVMIHVIPPISTIPTPNGERLPLEEVEERLVEMYVRDVKQEFETVFVPFLKMCKSNRTKCQVETLLIEYDDPAKALLRFIYKSGVNSLVMGSINSNIFTRRAKSPGVPLTVLTYAPETCEVYIVCRDRITTKSMDPLINAAPCTSPKAAATARRFLKDGAASFHTVPTQTSSVPGESIEVGTRRSASAKELRLEALSLAIREPETPQSSKASSATVQDVIRRRGGSDIPQLNYSDFDETSEQKSSIENIVKEQRDSSPPPATCRKSKKVEIEAEVERLKKELQNTVVKYKQACEELFSTQNKVQVMSSECSKDARRVNNAVEKEVLHRKTAALEKERHMKAIKEVEAAKALLAREYCQRQIAEVNALKNYLEKKKVIDQLLGTDQRYRKYTIEEIFIATEGFSPEKVIGEGGYGKVYRCSLDSTPAAVKVVRLDTPEKKQEFLKEVEVLSQLRHPHVVLLLGACPENGCLVYEYLENGSLEEYIFHQKNKPPLPWFIRFRVIFEVACGLAFLHSSKPEPIVHRDLKPGNILLNRNYVSKIADVGLAKLVTDVAPDNVTMYRHSVLAGTLHYIDPEYHRTGTIRLKSDLYAFGIIILQLLTARQPNGLVHAVENAVNKGTLTEMLDKSVTDWPLAETEELARIGLKCAEFRCRDRPDLKEEVIPVLKRLVETANSKTKKERSNLRAPSHYFCPILREIMEEPEIAADGFTYEKKAILAWLEKHNISPVTRQKLDHFKLTPNNTLRSAIHDWKSRVRFSNAVVNITG >A03p055540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23977357:23977888:-1 gene:A03p055540.1_BraROA transcript:A03p055540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMAKRGFKPNNHTYSILIRGMFDLNKVEEAIQLWGSWKRNEGQKLFDEMMSKNVQPDSVVYNHLIKAYCRSGRLSMAFHLSEDMRIKGISPNCATYTSLIKGLSVISLVEEAKLLLEEMKEEGLEPNVFHYTALIDGYAFKESIVTSKH >A05g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15822378:15824134:1 gene:A05g505630.1_BraROA transcript:A05g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSRGSSSHVHDSVSPHSSYHTSAFSLPAPAASAPAAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGQGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKDLSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRVYTTKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDLTASTNLSRSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDQISALEAQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13672499:13673455:1 gene:A03p032320.1_BraROA transcript:A03p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKIFDLRDSSETSDDLAIPDMMFAQGEEPLGVRVLTYQSSRAINFILNALHEDEVEAIWASAFGKLHAEAIEDIDEFFRFTWGRMAFDMLMSSIKERDEIALSQKSIVVKGFVLAIQLVVVESVPSLTEVVVEHCSSSKSDSDNDADECRQKLRKKHTLSPGHARLVDSKSEVDIIYLSAYRFRI >A03g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6301405:6303296:-1 gene:A03g501930.1_BraROA transcript:A03g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFICSCLPFFRFQLPFSQYINISSDSMLHHHTRQIHHGERGMLTDSVRLLLVLTTVTFTEILPSTKAIVSFKVPDNSSGKQSPYRSFEAEPIDRCNSHSRYTSHLVLTLDMTHPPKLSPSTMLETLQSTLNHYSPLLTQSIIECIYHYFISLNPGDYLNYKKSQLFLNFVIFLTSTLSSSFCELYLSLYPNIECFYLHQEPKQNNLRQNTLALYCLHEITM >A03p023380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9873945:9876830:-1 gene:A03p023380.1_BraROA transcript:A03p023380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVQHVVVLLLTSLLIAITFFLFTSDNLRFPLPSLSSDYTPLPKSSNHDVSSDQTPQKMKMKLNASHEEVVVKWDLCKGAESVDYIPCLDNRDAIKQLKSRRHMEHRERHCPEPSPKCLVPLPDNYKPPVPWPKSRDMVWYNNVPHPKLVEYKKEQNWVKKEGEFLVFPGGGTQFKYGVTHYVEFIEKALPVIKWGKNIRVVLDVGCGVASFGGFLLDKDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQQLTFPTNAFDLIHCARCRVHWDADGGKPLLELNRVLRPGGFFIWSATPVYRDNDRDSKIWTAMVSLTKSICWKVVAKTVDSSGIGLVIYQKPTSESCYKKRSTQEPPLCATEEVNASWYAPLRQCISKLPSGGVQKWPELWPKRLVSVKPQSVSVDAETFKKDTEKWSEIVSDIYLERLAVNWSSVRNVMDMNAGFGGFAAALINRPLWVMNVVPVDKPDTLSVVFDRGLIGVYHDWCESLNTYPRTYDLLHSSSYLGDITQRCGIVQVVAEIDRVLRPGGYLVVQDTMETIKELESILGSLHWSTKIYQDRFLIGRKGFWRPIKPEL >A06p049510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:26154959:26155240:-1 gene:A06p049510.1_BraROA transcript:A06p049510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPPPEMHFRLRIRTIFSARGTYKVCERPPDIRGKQREQDPPGGGATSTRRRSELACLRSGSKAKRSGLWLRWGHLGAPKTGLGAAKGTRGD >A03p016950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6766524:6767910:-1 gene:A03p016950.1_BraROA transcript:A03p016950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNDEQEHEVYGGEIPEEEGEMETDEYEEHGGEEGGAAGGDEELEPGSSAKDLEDMKKRIKEIEEEAGALREMQAKAEKEMGADQDPSGAISSAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEEDAVQNSLILNESELHGRQIKVSAKRTNVPGMGQFRGRRPFRPMRGFMPGIPFYAPYAYGRVPRFRRPMRYRPY >A06p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20666210:20667206:1 gene:A06p038370.1_BraROA transcript:A06p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSGGRSAPRPRPAAARSPPPQTVNRAPPPAPAAQASGGGGMLSGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHEGVETASASASAAPAAGGMFSSSCDLHAKAFQDCVSSYGSDISKCQFYMDMLSECRKNSASTIGA >A10p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2588342:2592653:-1 gene:A10p017170.1_BraROA transcript:A10p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair and meiosis protein (Mre11) [Source:Projected from Arabidopsis thaliana (AT5G54260) TAIR;Acc:AT5G54260] MSREDLSDTLRILVATDCHLGYMEKDEIRRHDSFKAFQEICSIAEDKQVDFLLLGGDLFHENKPSRTTLVKAIEILRRHCLNDKPVHFQVVSDQTVNFQNAFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMNLGGSGVGQISLYPILMRKGSTTVALYGLGNIRDERLNRMFQTPHAVQWMRPEVQEGCDVSDWFNILVLHQNRVKSNPKNAISEHFLPRFLDFIVWGHEHECLIDPQEVSGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYTEIVLKDEDDIDPNDQNSILEHLDKVVRDLIEKASKKAVNRSDIQLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKKGQREGTIDDSERLRPEELNQQNIEALVAESNLKMEILPVNDLDVALQNFVNKDDKLAFYSCVQYNLQETRGKLAKDSDAQKFEEDDLILKERLKDRSTRPSGSSQFLSTGLTSENLTKRSSGIANASFSDDEDTTQISGSVPATRGRRGSSTGTSRGRAKAPTRGRGRGKAASAMKQTTLDGSLGLRQSQRSASASFKSASTIVEDDVDSPSSEEAEPEDLNQVDSSSEEEENTRGKGRKRQATTKRGRGRGTVTSKRGRKNESSSSLHRLLSSKDEDEDEDEEDIEKKLNRSQPRVTRNYGALRR >A06p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19188914:19189891:1 gene:A06p035460.1_BraROA transcript:A06p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BROTHER of FT and TFL 1 [Source:Projected from Arabidopsis thaliana (AT5G62040) UniProtKB/Swiss-Prot;Acc:Q9FIT4] MAHSKETSINHNTHYTIEKSCSNKRKKRSIMSREIEPLIVGRVIGDVIEMFNPSVTMRVTYNSNTVVSNGYELAPSLLLSRPRVEIGGHDLRSFFTLIMMDPDAPSPSNPYMREYLHWMVTDIPGTTDASFGREMVRYETPKPVIGIHRYAFVLFRQRGRQTVKAAPATRECFNTRDFSAFFGLSLPVAAVYFNAQRETAPRRRPSY >A06p036120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19580675:19581513:-1 gene:A06p036120.1_BraROA transcript:A06p036120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEIVNTVSAEANPDPKAEMEVEAAAPKADVTSEKREREQTEETEDGGEPKKQKVGEEEKSTGLVKLGPKEFGSSVAMFDYFTKFMHFWPTGLDVNKYEQMVLLDLIKKGHSEPDKKIGGGDQSLPS >A08p043880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24378789:24381567:-1 gene:A08p043880.1_BraROA transcript:A08p043880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTPase LSG1-2 [Source:Projected from Arabidopsis thaliana (AT1G08410) UniProtKB/Swiss-Prot;Acc:Q9SJF1] MVKSEKTTLGRSLVKHHNHMIQESKDKGKYYKNLQKKVLESVTEVSDIDAILEQAEEAERLFTINHSNTTPLPINVDTQSSSSTIAAEEWREQQKIEEALHASSLQVPRRPPWTPEMSVEELDANEKQAFLNWRRMLVRLIVMVVDARDPLFYRCPDLEAYAREIDEHKKMMLLVNKADLLPPEVRAKWAEYFRRNDILFVFWSAIAATAVLEGKVLKEQWRKSDNFQNTDDPEVVIYGRDELLARLQSEAQEIVRARNSRSPVDETQRENAVVGFVGYPNVGKSSTINALVGEKRTGVTSTPGKTKHFQTLIISEELMLCDCPGLVFPSFSSSRYEMITCGVLPIDRMTEHREAIQVVADKVPRRVIESVYNITLPKPKTYERQSRPPLAAELLRAYCASRGYVASSGLPDETKAARQILKDYIGGKLPHFAMPPGMIEEKMEDDTGGETGSDSEEGEGDEKESDEQVPGIDDVLEDLSSFDLANGLASTKKVTVKKETASHKQHKKPQRKKDRNWRVKNTEEGDGMPLVRVFQKPANTGPLTMR >A04g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15075829:15077638:-1 gene:A04g506700.1_BraROA transcript:A04g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNRSYVHKKRNRRMREKQELLRGVNAFAANTFTKNWVALRIELLAKSSHFFNGSITLELLDDHQFIACLVNIEKNSVIRSKFSFQNFVGDLLLHNLLNHSLHSLFAVFIAPESIEYALSSYVRRHYHKAVSETHRLPLRISYSTIV >A02p022460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10664994:10668613:-1 gene:A02p022460.1_BraROA transcript:A02p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTKQFEGQLVPEWKDAFVDYSQLKKDLKKIHLLTNGVENKHTETSLIKTIKSSLGNISLFGNKEREHSRAIKVHRKLASSGSNSDVYETELLEKVADDTHAAKEFFTCLDTQLNKVNQFYKTKEKEFLERGECLKKQMEILIELKDAFKQKQANGESSQESKEDDSISCTILCEEDSIRSRSEQTELQESCLEDLENNGTEALESSRSEEQIKINNENSKLRTVSGRVFSCQGKNLTIKIPLTNPSRTFSAISYMIKEDLINQSSSKKSGPDGVNKLRISKKKLSHAEKMIKGALTELYKGLNYLKTYRNLNMLAFMNILKKFDKVTGKQILPIYLKVVESSYFNSSDKVINLSDEVEEWFIKHFAGENRRKAMKYLKPHHRKESHSVTFFIGLFTGCFVALLAGYIIVAHLTGMYRKYPENTFYMETAYPVLSMFGLLFLHLFLYGCNIFMWRKARINYSFIFELESENELKFRDVFLICTASMSVITGVMFAHLSLLAKGYSFGQVQVIPGLLLLVFFLILICPINIFYKSSRYRLISVIRNIVFSPLYKVLMLDFFMADQLCSQVPMLRNLEYIACYYMTGSYATQDYGYCMRVKYYRDLAYAVSFLPYYWRAMQSLPQCARRWFDEGETSHLVNLGKYVSAMLAAGTKVAYEKERSIGWLCLVVAMSSIATIYQLYWDFVKDWGLLQRNSNNRWLRNQLMLRQKYIYYFSMVLNLVLRLAWLQTVLHSSFEHVDYRVTGLFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFREVDEED >A03p056740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24581011:24584459:-1 gene:A03p056740.1_BraROA transcript:A03p056740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIETAERTLRQPLVDPTDSERKPLRDVESLESILTESSLPSRRRLYLGARIEMKLLFRLALPAIFVYLLNSGMSISTRIFAGHIGSQELAAVSIGNSCFNLVYGLMLGMGSAVETLCGQAYGAHRYEMLGIYLQRATIVLALVGLPMTVLYTFSYPILILLGEPKTVSYMASLYIVGLIPQIFAFAVNFTAQKFLQAQSVVTPSAYISGAALLLQILLTWTTVYVIDLGLMGIAYVLTISWWFIVMSQTLYIITSPTFRHTWTGLSWRSFHGLWSFFKLSAGSAVMICLEMWYSQILVLLAGLLNDHALSLDSLSICMSISGLSFMVSVGFNAAVSVRTSNELGAGNPKSAMFSSWMATFVSFVISLAEALALMASRDYIGYIFTSDAEVAKAVSELCPFLAVTILLNGIQPVLSGVAVGCGWQTFVAYVNVGCYYVVGIPIGCVLGFTFNLQAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVKKARKRLDMWDDKNSRRSHY >A04p028070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16892447:16894757:-1 gene:A04p028070.1_BraROA transcript:A04p028070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVAYTSPFLQWAAHSSSPSKRRHNAPFPSCQRLNRSALFGTPTKLHRSKSCELWQPPTPTRTHSIRRVSTASLDPFSDEEFSKKIQELTLRFHDEIEMKANSVDLPLSIRIIKKKRKWEEGVKKSKAFSSMSKAFSSMVFMIRELQSFTLHMREVLFYEDLQGILLRVREEMHASFVWLFQQVFSATPTLMVYVMILLANFTVYSISSNSALAAATAPPQITTVTELTTDSSVVKTFFVSSPNGNSTSVGGNNNGGGGNVRPVLNGADGDDGSEQFKTIIPEGVSSTLGSTTTESEETSVSGQDELRLWNSVVEEAEEMQFSNTDSALLDHETRKRFVSPLDARVEEADGEIDYFRTELLYQTGLSQEPNNPLLLANYAQFLYIVSNDYDRAEEYFKRAVGVEPKDAEALSKYATFLWRARDDLWAAEETFLEAIDADPTNSFYAANYANFLWNTGGDDTCFPLDESQEDTI >A01g502190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7736145:7736396:-1 gene:A01g502190.1_BraROA transcript:A01g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIMSRIILIFPDISLLELQNNVVNKFFTVTAAASSVVLSYWMPNTKELVTGISPPPVMLIHHRFVLYFYGHFELSLETIT >A06p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7233683:7236374:1 gene:A06p016160.1_BraROA transcript:A06p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATWFDADGTKRGGWTDEEDQKLVAYINEYGIGDWRFLPRKAGLQRCGKSCRLRWLNYLRPGVKKGKFTPEEEEAIINFQSVLGNRWAAIAQQMPGRSDNDIKNHWNSCLKKRLERNGIDQMTHQPIINLAVKTPSFNIDCGNSSSSMVSPSPSFSSSGSASLLNRLATGISSREHGVDRIKNILSDPRTPIITTQEEEFEEPNKDHGKALAGDDQEDDFLMWDEENMRHFMDEIGQMDFETNGVYNPSSSSPYGVYETGLLDD >A03p003530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1560419:1561240:-1 gene:A03p003530.1_BraROA transcript:A03p003530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKQPKERLFKHKKPAGSGSNPFDSDDDTLKPSNRIAPKPSLAAKSFTLNPFDDDDCDEKRFTSSLKTSLDLDAKSRYRNGFRDSGGVENQTVQEIESYALYKSQETTKTVQGCLKVAQGIRSDATRTLVMLNEQGEKITRTHHKTVDIDHDLSRGEKLLGSLAGIFSPTWKPKKTRSITGPVITKGESPKRRVNHLETREKLGLNHLPKPQSIKNP >A03p015940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6353381:6354397:1 gene:A03p015940.1_BraROA transcript:A03p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEMKPSESPRTLSSFWSLPNDVALNILARISRIHHPSLSLVSKRCKSILSSRELDVTRTLMDKTEKHIYLYLKFRRHTKPCWFALTPGPDQKLKQLPSFPYEHHPDSPTVVSSGSEIYLIGGLVNHRRSRRVFFLDCRYQKWRKLPEMRVPRGSYAAAGFIDGKLYVLGGRESSGEVYDPKSGTWEPYMMNIQKDVMLGNFGYFVTNTCFIAEEKILCRVVNHLGSSFLTWCDLGDKMGWRQVKGLEGLFPVPPDFLSVASPDGGRRLTVWWVSYQKEDDLVLVSEIEIWCAEISFQIRSREEVYGVVEWSKVLHAMNRSETGGVISLLSSAIVNL >A01g511210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31431656:31434277:1 gene:A01g511210.1_BraROA transcript:A01g511210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRVCFCFNRRFRYTASEAPRDVKTLFDKYSENGVMTVDHLQRFLIDVQKQDKATKEDAQSIINAASSLLHRNGLHLDAFFKYLFGDSNPPLALHEVHQDMDAPISHYFIFTGHNSYLTGNQLSSDCSEVPIIDALKKGVRVIELDIWPNSNKNDIDVLHGRTLTSPVELIKCLRAIKTHAFEVSDYPVVVTLEDHLTPELQSKVAEMVTEIFGEILFTPPVGESLKEFPSPNSLKRRIIISTKPPKEYKEGKDEDVVQKGKALGDEEVWGREVPSFIERNKSGDKDDLDDEEDNDEDDDVEKFKKNAPPQYKHLIAIHAGKPKGGITACLKVDPDKVRRLSLSEEQLEKAAEKYAKQIVRFTQQNLLRIYPKGTRVTSSNYNPLVGWSHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGYIKKPDILLKGGSDSDIFDPKATLPVKTTLRVTIYMGEGWYFDFRHTHFDQYSPPDFYTRVGIAGVPADTVMKKTKTLEDNWVPSWDEVFEFPLTVPELALLRLEVHEYDMSEKDDFGGQTCLPVWELQEGIRSFPLHSRKGEKYKSVKLLVRCEFV >A01p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24152160:24153421:-1 gene:A01p044750.1_BraROA transcript:A01p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLLQGGLHAIASINRFEKKKKGTTMNSEQNNSTSFPPTEPELCDNRCGFFVSSNMNLCSKCYRILRAEEDLTAVAKSAVKNSLKLPSCTPIATGQEEAEPSKPARTNRCFSCNKKGGVMGFKCKCGSTFCRSHRCPEKHECSFNFKEVGRDVIAKANPVIKADKVIRI >A04p027800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16775070:16779151:-1 gene:A04p027800.1_BraROA transcript:A04p027800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEYLSLLVLIVLASSEVLAKNNSSTPKLRRSDFPEDFVFGSATSAYQIEGAAHEDGRRPSIWDTFSEKYPERINDGSNGSVADDSYHLYKEDVALLHQIGFNAYRFSISWSRILPRGNLKGGINQAGIDYYNNLINELLSKGIKPFVTIFHWDTPQSLEDAYGGFLGAKVVNDFRDYADICFKNFGDRVKHWMTLNEPLAVVQQGYVAGGLAPGRCSKFTNPNCTGGDGATEPYIVGHNLILAHGAAVKVYREKYKASQKGQVGIALNAGWYLPYTESAEDRLAVARVMAFTIDYFLEPLVTGKYPVDMVNNVKGGRLPTFTAKQSKMLKGSYDFIGINYYSSAYAKDVPCSNENVTLFSDPCASVTGEREGVPIGPKAASDWILIYPKGIRDLLLYAKYKFKDPVMYITENGRDEFNTGKIFLNDGDRIDYYARHLEMVRDAIS >A03p065670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29038387:29039277:-1 gene:A03p065670.1_BraROA transcript:A03p065670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 5 [Source:Projected from Arabidopsis thaliana (AT4G31370) UniProtKB/Swiss-Prot;Acc:O49586] MNLNASFSLLSLTLLLLFSPIVTADNITHAFEKYSNFSTMSELFTKTKLTTLISKYQTITLLAVNNNNISSITNKSAIELKNILMTHVILDYYDELKLKGMKEKSIMLTTLYQTTGLGEEMNGFLNCTKSKGKVYFGSGVKGSPLNAEYVTTLYHNPFKLSIIQISMPIVAPGLSLAVLPPPPPPVPLAPAPAPSPMDAAMAPSPSPMSGATAPAPAPAPAPGPGDEDNASDSNVPKLTPETETPEVDSPAPTPSADNEKIEAADKAGKTSSACKTRFSFEVVVLLIVFFVSFAWF >A05p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12046058:12046876:1 gene:A05p024680.1_BraROA transcript:A05p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDSAQNEFNKRARLFEDRQKEETRVTHPLIPKSNAPLNEGYEGSTTTQSLFTDSKPEVATPKVPKKRGRKKKNPNPEEINSSTPENSSKFYKSASDRKRTVTAEERERAISAAKTYEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGIAGFIKLQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNIGEGDVCVFELLRTRDFVLKVTAFRVTQYV >A10p000440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:244187:246576:-1 gene:A10p000440.1_BraROA transcript:A10p000440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKRDQKPYSYEKAKTFSCNSHSSSLFRLISKLVLTFAVIFCIQFVFYSYDFTSYTPPRSLMKIAVSPVGSGSGSYTSPKPEDTEIKHVVFGIAASAKLWKRRRDYVKLWWKPNGEMNGVVWLDKHIDDNDTVSAGLPPIKISSDTSRFEYTYPKGNRAALRLTRIVSETVRLLNGTESERNVRWIVMGDDDTVFFTENLVSVLRKYDHSQFYYIGSSSESHIQNLKFSYGMAYGGGGFAISYPLAKALEKMQDRCIQRYPELYGSDDRIHACMAELGVPLTREVGFHQFDIYGKLLGLLSAHPLAPIVSMHHLDIVDPVFPNMGRVNAMKRLMAPAKLDSASLTQQSVCYDTTHRWTVSVSWGYTVQIIRGLLSAREMEIPTRTFIDWYKHGDGKSYAFNTRLFSKSTCQRPRVYFLSNALPDSALHRTASEYVRWYDMWDLECDWEVSDPSDIERVIVYKKLVPDRWNKNKAPRRDCCRILPTKKNGTMVIDVGACEDDEIVEFSVK >A06p005840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1890194:1894891:1 gene:A06p005840.1_BraROA transcript:A06p005840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEIKKPDLVETIKREAPQLATVLREMKEGLDVVRSKVEALTAKVKADSYPTADGMSYLEAKHLLLLSYCQCLVYYLLRKAKGLSIDGHPVVRSLVEIRMFLEKIRPIDKKLQYQIQKLTTAGASVTEQTLSEGKEAEKSEDVSNYKPKPDLLADKMEDEPMDGVYRPPKFAPMSMDDKTSKQERDAARKEKHFLRQATENTYMKDVLDELEDRPEEIRDWHGAESKEQRKFIAQYERQQEVEEELFARAPRTKEDKKREKRLKSRSGLHGLTESFDDEFKFLDEDGEKQSSFGGSGRKSGGRSKRRKLRQSLFPFAMGNSSSSNTNLSNPKNRLLEEHHHHDKLKTHNPTSRVSFLRSPLPWLFMFLFFLPLILISTTGGGGRKTCSPTYPHLSIKDQTNSSSLVKDPEEEQEEVEDDDVPPRVPSLYPRRPRMFNTTLDHVVFGIAASSVLWETRKEYIKSWWRPGKTRGVVWIDKRVRTYRNEPLPQIRISQDTSRFRYTHPVGDRSAVRISRVVTETLRLGLKGVRWFVMGDDDTVFVVDNVVNVLSKYDHTQFYYVGSSSEAHVQNIFFSYSMAFGGGGFAISYALAVELSKMQDRCIQRYPGLYGSDDRIQACMTELGVPLTKEPGFHQYDVYGDLLGLLGAHPVAPLVTLHHIDVVQPIFPKMKRSRALRHLMSSAELDSASIFQQSICYDQSRFWSISVSWGFSVQIIRGIISPRELEMPSRTFLNWFRRADYIGYAFNTRPVSRHPCQRPFVFYVNSAKYDEGRRQVIGYYNLDKTRRFPGCRWRLDSPGKIDSVVVLKRPDPLRWHKSPRRDCCRVLPSRRNTTMYIWVGNCADGEISELEHPKQ >A01p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2209964:2212182:1 gene:A01p005180.1_BraROA transcript:A01p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHSQEDLEICTSDEEEYEEYEERRDGQEESNDEDKFEDSRDDTLAPSPSSGGRRRPKPPSSSLDDVDAKLKSLKLKYTPPPSTTENSARLFRYINGNTPKAKWITAEKFAAYSFVKTSQVNEEEEDDDDDDKNQDSENEWWVLKVGSKIHEKVSEEMQLKAYKDQRRVDFVAKGVWALKFGTVEGFADYVNSYNNCLFENNHGVELNEASKAKIFGKDFIGWANPEAADDSMWEDADDILLKSPQSATPLRDSQDLTEAFEEATSEGIHSLALGALDNSFLVGDSGIQVFKNMRQGIQGKGVCVNFEAGYGRAHSATPRKALLMRAETNMLLMSPMSQNRGIHQLDIETGKVISEWKFEKDGVDISMSDITNDGKGAQLDPSASTFLGLDNNRLCRWDMRDRYGMVQDLAAASAPVLNWANGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSSNTMRQAKTAFPGLGAPVTHVDATFDGKWIVGTTDTYLIVICTLFTDKAGKTKTGFEGRMGNKIAAPRLLKLRPLDAHLAGANNKFRNAQFSWVTEDGKQERHVVATVGKFSVIWNFQQVKNGSHECYHDQEGLKKCYCYKIVLRNESIVDSRFMNDNFAISGSPEAPLVIATPMKVSSFSLSSKR >A01g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17069068:17076795:-1 gene:A01g505730.1_BraROA transcript:A01g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEPDFASGSSSIGVMVRSRRKIGDETSPLPEEGGSSPVGPVSEIGVEEVAFWRQKFLLSENLVIRIPGPFDTVSDFKAGEVPVYEGFFESGFRDQVPSLIAKVSRAVNISPGQLNPPTMRILIGMQNLGDLEGLPIGVVEVLYCYFVSSLNGGEHSYHLHPRDRMLPVQELSRSEKKHHPVFEGNWVSKFAFMPLPGFSSTWRTTDISRADFSSGRHVIEKLLGLPVDHREISFLVSEEALDRCSIRGVISDPRDAEALEEYKKALEVMAARKAVIHRRSRASVFKPSLSASRSCSKSLASLNSEVFPMTPTHPSLDEDTSKVVCSLQGDVFQVASQLFHLKGRMKNRSATKAERDALAIRLREENDAILAKDEKIEAWKLRDKEEKICELKNAAEVFDAEKIMAVNDAKVVVRWELMREWLDDQTDRWDLITAFEQYKVVKISEAEFLGLPPPSFEKPLSVLEGAGVGENPSARLTLDLVWELFHAPALADLCVVDIRGPLSPIDGGFQRFHEACGRIHLLEQMCSEVSYLCLVPAGSSLTRGNMLNDTLTSRLLAAWVESMRRDTQPATFWSTCFGCRYGDFSCIYMSCCMIQLHARRHVTLDTTSLTHALRHLELLGSFARFRSFRIFSEPPASLKHFIAPKLGFLSDFGFSRRASIPSCLLPVLLNSCPASNL >A01g504440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:13568332:13569027:-1 gene:A01g504440.1_BraROA transcript:A01g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLFGLKASSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYAEGNPSTLYIKGVQFFYTFDLKEEGLSLMKRAADAGYERAVYTHAMTRAIFWGEGKYLSRIPIESLDRIGKLVRSVKWGWGLWHKPEFKERMALFKSHILPKFYSCQCGNPVERDCPCLWHIDVTKDDNMCLHCLWLKEIGLFLREFEPVSLYRDTRKW >A03g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29373264:29376162:-1 gene:A03g508710.1_BraROA transcript:A03g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGRLLDDLPVSRLEVVWTSWKSSDKVVWTSRKFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p004110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1814856:1818636:1 gene:A02p004110.1_BraROA transcript:A02p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMETDQQTEEMDVEVLSSMWPEDVGGTEPDNQFNVEKPAGDSDTLKEVEFAEKRTMADLKRLPDLLNTTDQGSSQLTNLVKQWEYMQDHAVRLLREELKILTKQREEAEAKELKIIEEHNFETEEPENVPVLDESSDLFRRFKEKKRDKLVGRKRIEIDEEFDTVAYWKQKALSLEKMLEASTERERRLIKKLNESLKTMESHSAPVEELTQNLKRAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKFPTLREHVRDKDILGKTDVEIFHGGGVKESEDFKREVLEKGKASKREITFETDLFGSKTFLIYVEPVYNKAREKIGINYMGMEVTDQVRKREKMAKLREDNAVRKAMESELTKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTKLDKEQRQLLNVMMSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKELTLEGNIADEVPILVVGDVLRIRQILTNLISNAIKFTHQGKVGIKLKVIPEPSFANGLELNADAEEQNGLTETETSVWIRCDVYDTGIGIPENALPCLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSQVDLGSTFTFILRYKVATSNDHSDDQDEFSDMVDHQPEPDDSTEGYFQFKPLLGSIYSNGGPVIGNNFLPHKAMLPTPVKLINGNTRQSESGYMDDTRLETGSGHCPESTHQYENGNGPCPSKESESCSSSQASSEMESEFTVSSPREDVKTETEIKETSKQPKILLVEDNKINIMVAKSMMKQLGYTFDIANNGVEAITAINGSSYDLVLMDVCMPVLDGLKATRLIRSYEQSGNWDAAVEAGVDIKTLEDKQLCVRSTNRLPIIAMTANTLSESSEECYANGMDSFISKPVTLQKLKECLKQYLH >A10p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3639749:3640533:-1 gene:A10p015430.1_BraROA transcript:A10p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLRAPTSLSSLSIYPSPSYLSLCDSHSFDDPQTLSRLILRKTHLQSKNLIDLNELLREFDFDYLQLPIAL >A10p012010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:155403:156898:-1 gene:A10p012010.1_BraROA transcript:A10p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVSYWKRSMIRDRMMGKDKHL >A09p053950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46636890:46637707:-1 gene:A09p053950.1_BraROA transcript:A09p053950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVPLNHYQLLLVDPLLQLDSIKNRVSRGCASFSCFSCGGASAGLDTTTTTTPCPLKVEPLKQPVVSTSPPESVSVPENGKDHDDANKAVDDDHASKEAGKDHNGNKADDDNTSKKEAFKLSLRSSLKRPPSVAEPPRSLEDIKEHETLSVDDASDLAGGDDTGRRKVQWPDACGSELTQVREFEPSEMGLSDEEWETGEQRTCSCVIM >A07p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12241222:12242806:1 gene:A07p020780.1_BraROA transcript:A07p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKSIVINSSSESNISTVAAAAVAMRRSASNASPVVHTNDLNREPRRPRSVKFPVSPQLIQGEEMVHFGHPQHVLVKVDLPDIYTCAGCKEEGAGIRYVCQECDYQLHEFCALAPPLLKSHPFHYQHQLLFFAKPAKGGIMKSKCDVCGRSPKGYTYRCKACSFQMHPGCAMLSPSLSSSSLHRHPLQLLPSSSPANTTGGFLCGECKRGKRVGRVYRCTVCDYHLHAVCAKDAAVNGLRENGHKGRDRSPAVLGTAARLASQVVIDFLGGMMEGLGEGVGEAILDGVSRGGGGGGRGGGNGGVTGVTPRVRGV >A02g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6564119:6564615:-1 gene:A02g502100.1_BraROA transcript:A02g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLVFSQICSNYLLVYVMFLILLDSEKKRLKNLVAKLLLSSAKLFSLRNYVSSKSLWTDWTWSMQARDAKPVPADESCQIPMAQQDVDGEIDSSDDGMPSPPLEAYTNRLRPFGVQFDAENGS >A02p059650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35573720:35575506:-1 gene:A02p059650.1_BraROA transcript:A02p059650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRGSSDSLSGFLPICHSTADKQLSPRPTATGFLYPGGAGDYSQMFDGLEEDGSLEDIGVGHASSTAAAEKKRRLSVVQVKALEKNFEIDNKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYGVLKSNFDSLKRSRDSLQRDNDSLLAEIKELRAKLDVEGTGGNNGNAVTEETGVVKPVETVAFQTVIANNEVLELSQCPPLPGEAPASELAYEMFSIFPRTESFREDPADSSDSSAVLNEEYSPTAAAATAVEMSTMGCFGQFVKMEEHEDLFSGEEACKLFADNEQWFCSGQWSS >A09p022690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12500027:12504292:1 gene:A09p022690.1_BraROA transcript:A09p022690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQATNQCSSSNNASVESTLELNIKTLDSQTYTFKADKNEMVSVFKEKIAGETGVPVAQQRLIFRGRVLKDDHPLSEYHLENGHTLHLIVRQQPAESMPSSGMPSEGAAANDGNGTNGGQPRGRGHISHSVVLGSFNSPDQTEGFGPDISRVIGAVLNSFGVGGQNLPNNSVNVTQPSMPSNLSGHPPPGNASGGTPATGGQSQAAGQSQPRPAFTSAAFRASMPHVVQIPITAATTIPIPSFQTPIPDSLDTLMEFINRMEQALSRNGYQPDTSSATSEGRPREELPRNRQGPSTPEALAIVLRNSQRLLSGLAVSSLSHIAGRLEQDGSSSDPALRAQIQSEAVQVGLAMQHLGALLLELGRTLLTLRMGQSQCDPQEFSYVNSGPAVYISPSGPNPIMVQPFPHQTSPLFTGAAGSSNPVTGQGGLGTPSRQINIHIHAGSSASPTMSSVGNHQSSGEQGEHNSNTSSVRVLPTRNFTAAPAPAPAPAPAPAPSHFTGENVSAEIQSGASSAMTEQATNTVATSTPEESSPLRDLPSERSDSIFFNQGQRGKEHCEDSGHPEVDTTSDAKLTKKATPEVVTPLGLGLGGLDRKKRSKQLKTLGKNEDGGTSASVEGVQQGSGTSSQQQLLQSLFSGSSRGRGSDDGVDVSSAMSQVLESPVLDGLLGGVSRQAGVDSPNMLRNMLQQFTQNPQIMNTVQQIAQQVDGQEIENMMSGGANSEGGGGFDLSRMVQQMMPLVSRAFSQGGPSFEPALQQADVHQPLQANVQPMMQMIEHSDPPEDVFRAMVENAAMSQEDLADVLCSDEALAHEYAELLRRDLEGRLQDNHGP >A03p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14466177:14471868:1 gene:A03p034250.1_BraROA transcript:A03p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITPYPRLVSPLLATKSTPESSSSRRARASSSSSSSSSSYHWPFLTPKRRLNGFKLKSATVPGDVESGSLVKGLKLGGMFGVWYLLNIYYNIFNKQVLRVFPYPATVTAFQLGCGTLMISIMWLLKLHPRPKVTPSQFPAILQLAAAHTLGNLLTNVSLGRVNVSFTHTIKALEPFFTVLFSVLLLGEWPSLWIVCSLLPIVAGVSLASSTEASFNWIGFCSAMASNVTNQSRNVLSKKFMVEKEALDNINLFSIITIISFVLLVPVAILIDGFKFTPSQLHLATSQGLTVKEFCLMSLLAGVCLHSYQQVSYMILEMVSPVTHSVGNCVKRVVVIASSILFFKTPVSPLNSIEPRESNQTQTQNLPEVSSLCSENLTDSTFVSSVAALEIRKLFDLLAMAATAPSAVRYAPEDHSLPKPWKGLVDDRTGYLYFWNPETNVTQYERPQPPSNLPVSSSASVQVPQASAVPNGSSYAPAKGGDDKYSRATTDGGPRRSRFSEIDDRSGAPYLIGAANGLGNSLPPSSAPVSDLSPEAYCRRHEITVSGGQVPAPLMSFETTGFPPELLRETHFITLGAMFAPGRSLCRTLYYYFSEVLAKYLEWDQIFWEGLPKSSKLVVGPVNGVVMFHFTTGSRLKKRRPPTAGFSAPTPIQAQSWPIAMQGRDIVAIAKTGSGKTLGYLLPGFMHLQRVRNDSRMGPTILVLSPTRELATQIQDEAVKFGRSSRISCTCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRISLRQVSYLVLDEADRMLDMGFEPQIRKIVKEIPTKRQTLMYTATWPKGVRKIAADLLVNPAQVNIGNVDELVANKSITQHIEVVAPMEKQRRLEQILRSQEPGSKVIIFCSTKRMCDQLTRNLTRQFGAAAIHGDKSQPERDNVLNQFRSGRTPVLVATDVAARGLDVKDIRAVINYDFPNGVEDYVHRIGRTGRAGATGQAFTFFGDQDSKHASDLIKILEGANQRVPPQIRDMAARGGGGMNKFSRWGPSSGGRGGSSGYGGRGGGSGYGGRGSDSGYGGRGGFGSRDSGMGSRSSNGWGRERERSRSPERFNRVPPPSSTGSPPRSFHEAMMKHR >A03p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14184657:14185390:1 gene:A03p033500.1_BraROA transcript:A03p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCAR2 [Source:Projected from Arabidopsis thaliana (AT4G01026) UniProtKB/TrEMBL;Acc:A0A178UTD1] MVEVIVDDSEMYGALVTAQYARLHHRHHCRENQCTSVLVKYIKAPVHLVWSLVRRFDEPQKYKPFVSRCTVHGHPEIGSLREVNVKSGLPATTSTERLEQLDDNERILGINIIGGDHRLKNYSSILTVHPEMIEGRAGTMVIESFVVDVPQGNTKDETCYFVESLIKCNLKSLACVSERLAAQDITNPTAPTF >A10p019080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13154201:13160422:1 gene:A10p019080.1_BraROA transcript:A10p019080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEYLRIRNELMGAGDELILSRYLKPMIDEGASWPQHFDEDSDVFNKNPSAISNTLFVIVKPRTETCGKTDGCEYGSWRTMAGDKLIKNEETGKLLGFKKILKFCLKKTTRGREYKRSWVMEEYRLASKWNPKQDHVICKIRLLFQAEIGFLLSKHFSYSSGPLPATRSLPCYGYRLRNGGEVGAYLQNLIGYGNEWPSYVTNDVYRMHPTALVDPHQDKLFKRFGLCFFANRTEDCGYTDGGCDSGRWRIMEGDKPISSIVVRGESTFGYKRVFKFCEEGDKPRYGYTDPNGQAVFLTWIMEEYRLAQEVMKDKVLCVIKLLPSFIMAAAGDYLGIRDDLMGAGDELILLRYLKPMIDDGASWPQHFGEDADVFNKNPSTVFNPENTVFLIVNPRTEACGKTDGCEYGSWRIMARDKLIKNEVTGKLLGFKKILKFCVKKTKRGREYKRSWVMEEYRLLNPKQDHVICKIRLLFHAEIGFLLSKHFSYSSGPLPATQSLPAYGYGFPNPEYEGAYYLRSLIDHENEWPSYVANDVFCMHPSALVDPHRDQMFAESGICIFANRTEACGYTDGCDGGCWRIMEGDKPIASIMEGETFGYRRVFKFCEADGTAKYSYTDPNGEVVFLTWIMEEYRLADEEMKDKVLCVIKLL >A02p054460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33080873:33083269:1 gene:A02p054460.1_BraROA transcript:A02p054460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAHIWVLSPVLSPIYKSPIQIKPHNLIIDFDSYLAALFSCLSQFAFPSSPFASPSSQEEDMKVKILRILLLHFLCISCIQSFDIFSVRILVINSQLVHIGWHAVASWTWDAQDETCGICRMPFDGCCPDCKLPGDDCPLIWGACNHSFHLHCILKWVNSQTSQAHCSMCRREWQARYVLITLGFMVVLV >A05g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8586257:8586945:-1 gene:A05g502810.1_BraROA transcript:A05g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEESKVAVGGGGGSSGKEEVLNLDGIEWVDLFNREMTNASDMKVAEDRFKSLRSFGEFTQTSCGDAAEAAERDDRRSESGVAGFEADGYSIPVTAENSGGEQVCSHASSETSTAEQQFHP >A10p032030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19038295:19040796:-1 gene:A10p032030.1_BraROA transcript:A10p032030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASLSSSVTSRPYRSGYSGSFSPVNGSNTNRHRSLSFLSAFPRGLSSHELCIRFQRNSTRTSVFMQDGAIVTNSSSTESTSSLKGLKEEVLSVLSQEAAKVGEGSDGQSQSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTIFGYSRSKMTDGELRNMVSNTLTCRIDKRANCGEKMEEFLKRCFYHSGQYDSQEHFTELDKKLKEHEAGRISNRLFYLSIPPNIFVDAVKCASSSASSVNGWTRVIVEKPFGRDSETSAALTKSLKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPIQVEDVVIGQYKSHTKGGVTYPGYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRHVPGNLYNRNNTGCDLDKATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKEIEEKKKIPEYYPYGSRGPVGAHYLAAKHKVQWGDISLDQ >A01p019040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9252041:9253743:-1 gene:A01p019040.1_BraROA transcript:A01p019040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIGSANNYCSFFRLPFLSSFLLLPPFLQRNERQSLVEKEEAMAARYWCHMCSQMVNPPVIEAEIKCPFCQSGFVEEMSGELNDGSSIREVQDSEIDFGTDRALSQWGPILLGMMSNPRRRRSSAAILQLLQGIREGINTEDESSERNQFNTAFNIIRSNTSPSGTGDNFVGTGLAHLLEHLADHDPTRHGSLPARKEAVESLPTVKICEPLQCSVCLDEFEKGSEAKEMPCKHKFHVGCIVPWLELHSSCPVCRYELPPDDEVKTDLLRPRTISVETNRSDVEEDARNTSGNERRFSFGWRFSGLFSSSASSSSGSAASGSSQLNENSNSG >A05p026490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13673685:13675936:-1 gene:A05p026490.1_BraROA transcript:A05p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCVLCFILFLAIFIVAQVEEVEAVNKLACRFTDRWYKGKCGNNGNSICTREVKEFIAKHPDVSKSVLATFFWFDNWLEVGKLLDIAGEQGTRYLGILRTAKACDATENSQWRMVGGRTRVFQDLIAKIQERPLPVSDLGPYIVLWKHDQGDYKGFFSASRTWDQLRERREKVDWSIVVWFTQAVPRFSFITWLAIKNRLSTGDRMRVWGLQQECLLSGEKNETRDHLFFACPYSYTVWIRVTGRLFGTRITPDWQDTVAKIQYVRRP >A02p057060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34356512:34358660:-1 gene:A02p057060.1_BraROA transcript:A02p057060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT3A [Source:Projected from Arabidopsis thaliana (AT5G65990) UniProtKB/Swiss-Prot;Acc:Q9FKY3] MGLEYDQDSGSSTHSLRSSSNSLYVPREDTPLLGPRTLSSQPKTFANVFIAIVGAGVLGLPYTFKKTGWLLGLLTLFFVSSLTFFCMMLLVRTRRKLESLSGFSSITSFGDLGEAVCGPAGRLVVDVMLVLSQAGFCVSYLIFVATTMANLLSRGTEVILGLDPSSVYMWACFPFQLGLNSIPTLTHLAPLSIFADVVDVAATLVVMVQDVFIFVKKRPPLRVFGGFSVFFYGLGVAVYAFEGIGMVLPLELEAKYKDKFGRALGLAMGLISIMYGAFGLLGYMAYGDETKDIITTNLGAGLVSTLVQLGLAINLFFTFPLMMHPVYEVIERRLCSSSYSIWVRWSTVLVVTLVALLVPNFADFLSLVGSSVCVVLGFVLPSLFHLQAFKEELSFARKVVDVVIFLIGVMIAVTGTWTAVIEIMTSKA >A05p036660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20801766:20803981:-1 gene:A05p036660.1_BraROA transcript:A05p036660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYLSNSRRIARALKPLSLFETDVRFASHVVDSTQWQNHRSPSVSVSPGLMMLRSSFSSEAKHVEDPTEAVKELHGKILDSVNVKRSMPPNALLWSLIENCRKEDDISFLFDALQNLRRFRLSNLRIHDNFNCNLCRQVAKTCVRVGAINHGKRALWKHNVHGLTPSVASAHHLLSYALEHKNANLMDEVMKLLKANDLPLQPGTADLVFRICHETDSWDLLTKYSKKFCKAGVKLRKTTFDVWMEFAAKRGDTESLWKVDKLRSETYTQHTLSAAFSCAKGFLLEHKPEEAAAVIQIICQAYPDEKKSALEAEFKKLVNEWPVDVLKHQNEEDKKAVAASLRSDIPAMVNALVKSGLRVSVDLDELNKNEALLS >A09p004980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2751450:2758329:-1 gene:A09p004980.1_BraROA transcript:A09p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMSCNNRELLIGNKVVVTLVFLLCLVHSSESLRPLFACDPANELTRTLRFCQVNIPVRVRVQDLIGRLTLQEKIRLLVNNAAAVPRLGIGGYEWWSEALHGVSDVGPGAKFGGAFPGATSFPQVITTAASFNQSLWEEIGRVVSDEARAMYNGGVAGLTYWSPNVNILRDPRWGRGQETPGEDPVVVGKYAASYVRGLQGNGPSRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVTQQDLEDTYNVPFRACVYDGNVASVMCSYNQVNGKPTCADENLLKNTIRGQWQLNGYIVSDCDSVDVFFNQQHYTATPEEAAAASIKAGLDLDCGPFLAIFTEGAVNKGLLTENDINLALTNTITVQMRLGMFDGNLGPYANLGPRDVCTPTHQHLALEAAHQGIVLLKNDGRSLPLSPRRHRTVAVIGPNSDVTETMIGNYAGKACAYTTPLQGISRYARTLHQAGCSGVACAGNQGFGAAEMAAREADATVLVMGLDQSIEAETRDRTGLLLPGYQQDLVTRVAQASKGPIVLVLMSGGPIDVSFAKNNPRVAAIIWAGYPGQAGGAAIADIIFGAVNPGGKLPMTWYPQDYVVKVPMTLMAMRASGDYPGRTYRFYKGPVVFPFGFGLSYTTFTHSLAQNPLAQLSVSPYKLNSAIFNSSSNSIKVSHANCETFPKMPIHVEVSNTGEFDGTHTVFVFAEAPRNGIKGLGVNKQLIAFEKVHVTAGAKRTVQVDVDACKHLGVVDEYGKRRIPMGEHNLHIEAYEKKATNKENILTKRDVSMADLKNLFLITKHQIFLTSLFLLSASLLPVLSPSLIVSSFTSRLLTAANFFSSPSPTSASSNTATLYSVSPRRIRVKNESNKIELTSCDIFDGSWVSDDTLPVYSPGYCPFVEDKFNCFKNGRPDSGFLRYRWQPHGCSIPRSDFGCSVDFIKSPFLVQESEVLDGYGKRKETLRLDVIQGSIKKIYKNADVIVFNTGHWWTHQKTYEGKDYFQEGNRVYEKLEVKEAYTKALHTWADWVDSNINMTETRVFFVGYSSSHFRKGAWNAGGQCDGETRPIQNKTYTGGYPWMMKVVESVISDMKTPVFYMNITKMTWYRTDGHPSVYRQPVEVRGSSPATGMFQDCSHWCLPGGPDSWNQLLYATLLVSRSSLPYKSLGTLL >A02p042320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26526703:26529353:-1 gene:A02p042320.1_BraROA transcript:A02p042320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERRRVAPSTGKQPSMAPEKASQQPDMETKLESEPKPNQEPEAGDDLDPAKSIKKIRTIVETLHTLFPPQLPNLTKSRSIPPPKSNNQNVSLTPAISAPIGTTSLNHDESENSIHKLKRNLRLLEEDVAKLRVLNEVVGEEVSRHIVPLDKLLQKVEKGTTKSQLTKGMKKDLEDVNKKIFNLMCQVPLLPNRRRKPGGLDSEDGEVENNGKGIECLPAIHVNDEDLKRLAVFRQVKKKFIELTTERKICMLSFAVFPENQEVNRTMLMYWWIGEGILPDGIKPEDAVKDILKEFMEKKLIEPVENKRKVEPNCYKMTPFVHSSVVLISEEIGLFSMYQKGKKPRMKHSDLKKVCLVEESSSQPEAKAKKMPAGDIETVFNVSERFPDFAFKWFSEDQSSGKKKFSPLSKTAYKMLKVFYLGRWERTANRHIEVENPELMKYLKHMTKLKLLSFQGISRIERLDDAVCKLRELIILDLRACYNLEKLPDKIDSLKALTYLDITDCYMIDRMPKRLSWLDNLEVLKGFVVSDATDVETFCLLSELKHLKKLRKLSITINKGGEFTVSQLFVDIQDFSNLEKLKVAWGGINEHNKAESTSGAVKEFIRSMTRLPPNSPVTGPKPHKAPKKAAVPSHLPEKLMKLDLQCFPDAELPSLLEPGKLRTLEKLYIKGGTKLTGFGKSVPEEPTECSVKVLRLKFLPRLKVEWRELRELYFPKLEFLDKYQCPQVSFCPCDGIGIWRDKSTQL >A09p055140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47235924:47238078:-1 gene:A09p055140.1_BraROA transcript:A09p055140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGISLGFVDTIYEEEEEDYVDHSSFFSSSSSSISPSPQPRINLSSSSMELESRLLKWSLVNNSKPDVLVHVGGTRFHLHKDLLSRRSGYLKRHLTNVNELTLSPPLNITAETFTLVTAFCYGAHLELTPFNVVSLRVAAELLLMTGAGRNDVRDNLRNLTESYLRRVVFVNVDYIKIVLRSCLTLLPDSETTAFLVGRCIEALTEVGDGECVNEFLEQAVILPAGDFIVVSGAVQQRFPRHDLLYRVVDAYVKEHDGEITEEEKVQICNSIECDKLSPPLLLHAVQNPKMPLRFIVRAMLQEQLNTRHSIITAAGAVAASAGHRNREITQAERDSSVTLGSLLQRDTAARQNCRLRAAMNSTSSRIKSLEKELDEMKKLISKESERITESKSRSVMDSARSASFHCVHSSSNVNKMQRGERGSVSSLSTTFRRGGASPPPQKSLGKRLIKGIKNAFSSKQEGKKNADTVEEIYDGLEDFVWIKDDDNVSEELHSHYVENK >A03g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28069428:28072222:-1 gene:A03g507960.1_BraROA transcript:A03g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSRSRLDFLEVVWTSRKSSGLPGSRLDFSGRFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVKSSGLLKSCLVLSTQVESKLVFKFDFLDDLHFSHDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIINQNLGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p029160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12265802:12268539:-1 gene:A03p029160.1_BraROA transcript:A03p029160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVLNRATTLAKSSESGDDSSYSTRRSIPRRHRSLSRFSHRTPDPDPDATPMRKGKFVNTVRGSGFGEISLDDLAVEFFESFSSESGLSSSERGRSGSRKSSGGGGGVGDAAAHSQRRGRSVSRAGSSGNGGLRRLDADTESSRRRRSLSRNPSTREITGKSGGLDPGRSNSSRRRSVSRQPPRERVNSGENAIRGRAIGGDRGKENSRRQRSLSVVRRRIDNSESDGDQVQHSSNSRDLKKSVMSGKSLNGGSGKPAASDHRQGPRRSLSQNPIKYHDGYSSHSSAVTDDEGKESSSIKHGAERIIRTVYAQNKVTPKKRESLGNSEYGSQRKSHDDDHHAAISTFTKGYATKLQESEERKRDLLAEIMLEERRGRELSTNLKELLIENSSEADEKPSRTRKRSKDRSRRSSMCLTDEAEQFIDEFISNIEDTDFSSFEDEKSESSSSFGLVKSQSSQSTTVPKSVPVEMDGVMLPWLQWETPDDTSAALTCLKKSPHTPNAKPFVWESDPTQDGSSGQGTSNGTMSSRGSWSPFESATKTVSPIRRLKIDVSEYLKRPNSADILNETWKLRHRISSGSLVLSSRSLI >A09p074230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56360535:56361272:-1 gene:A09p074230.1_BraROA transcript:A09p074230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLGYWRSTFGVRTRIALEEKDIKFGYREQDLFNKSALLLEMNPVHKKIPVLIHNGKPVCESFIQVEYIDETWAGKNPLLPSDPYQRAQAKFWGDFIDKMVPGVVRLMWGAKGEEQEAGKKEFVEMLKTVESELGDKTYFGGEAFGYVDIALIGYYCWFDAFEKYGNFSIEAECPKIIAWAKRCMKRDSVAKSLPDSEKVTELVPELKKKMGIE >A01p015820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7749746:7752227:-1 gene:A01p015820.1_BraROA transcript:A01p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLVIMLVCIFMAFQSLEALDYGDALNKSILFFEGQRSGKLPVNQRVTWRADSALSDGKPDNVNLIGGYYDAGDNVKFVWPMSFTTTLLSWAAIEYQNEISSLNQLSYLRSSIKWATDFIILAHAFPTTLYTQVGDGNSDHSCWERPEDMDTARTLYKINSSSPGSEAAGEAAAALSSAALVFKTVDSNYSSKLLSHAKSLFEFADQYRGSYQASCPFYCSYSGYQDELLWAAAWLYKATGENKYISYVISNQDWSQAVNEFSWDNKFAGAQALLASEFYKGKNDLGKFKNDVESFVCALMPGSSSQQIEPTPGGLLFTRDSSNLQYVTTAITVLFHYSKTITQARIGSIQCGSTQFTVSQIQNFAKSQVDYILGNNPMKMSYMVGYGNKYPTQPHHRGSSLPSIKSKPEKIDCNGGYSYYNSDQPNPNVHTGAIVGGPDSSDQFSDERSDYSHVEPTTYINAAFIGPVAALIGLNSN >A07p018880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11280563:11281109:-1 gene:A07p018880.1_BraROA transcript:A07p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKPGLRKPEFTKVDQLRPGTSGHNVTVKIVSTKMVLQKGRSDGPQARQMRISECIVGDETGVVVFTARNDQVDMMKEGTTLTLRNAKIDMYKGSMRLAVDKWGRVEVTEPASFKVKEDTNMSLVEYEVVNVVE >A02p054340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33015435:33018262:-1 gene:A02p054340.1_BraROA transcript:A02p054340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQHTHFHGASMYNGLKPHKLTFKKAVKKVMRNRLTNQFMVEMENRLRKIVREELDRVIQPHLSSSWSPTERSRSETPSSRSRYKLRFINAPPSTIFTGAKIEARDRSPVAFELVDTATNYRVVAGPLSSSRVEIVPLNADFTEESWTVDVFKGYIEKQREGKRPLLTGDLTVTLKDGVGVITGVVTFSDNSSWTRSKKFRLGAKLTGGGAVEARSEAFKVKDQRGESYKKHHPPYPGDEVWRLEKLAGVSAKRLAERKIFTVKDFRRWYTVDPEALYNLLGGGISKRTYEEVIVSHAMECVLDESECYIYDTTALGVSLIFNSVYEVIKVYFSDGTFGSPDQLPAYQLDELKREAYQNLSQFKSLQCTQNPGVQHNNFQGVSIPIDSLSSFYFTAPNSTVQPEMVMNFDNSPATTSFHMDGKFMQRNSFRAGEHDPVYSESQTVETRDCIENDGNIFAYHQHHDFPLNWSAGAADVEQQLKSFCVSVSGTEEAGTYDVNINVGSPRGRWCKVKAAFKIREVWKLTTARKRGKACKNPCLMY >A03p037340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15645124:15646882:1 gene:A03p037340.1_BraROA transcript:A03p037340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGFFDSDPTAIAKAKELKREMKKLLSNIEEEDGLSVQTIDQLQEALSAFRQATTMRKMAKSSSLEMLETAVSCPDEFRCPLSNELMRDPVVLASGQTYDKLFIQRWLSSGNRTCPKTEQVLPHTALTPNVLIRDMISKWCKTVGLETKNLHESKKAVTRSDREVFNSLLCKLSSSSNVQDQRSAAKELRLLTKKGTEFRALFGESSEGITRLVSPLLLNQDEDLEEDVVTTLLNISIHDDSNKKLVCENPNVIPLLIDALTRGTVATRSNAAAAIFTLSALDSNKALIGKSGVLKPLIDLLEEGNPLAIKDAAAAIFTLCIAHENRSRAVKDGAVRVLGKKISEGLYVDELLALLAMLVTHWKAVEELGELGGVSWLLEITRESECKRNKENAIVILHTICFSDRTKWKEIREEESGHGTITRLAREGTSRAQRKANGILDRLRKAMNLTHTA >A02p010300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4436610:4436858:-1 gene:A02p010300.1_BraROA transcript:A02p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIANETREKMSLFCIRYAFQAVLYAVWCERNKLKHGDKLLPLPVLKRLIDKGIKNKISLLRMKEVKGTEKLMQFWFQTRL >A09p038260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000028.1:65412:67899:1 gene:A09p038260.1_BraROA transcript:A09p038260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGDRVLHDDAVSDCPYRTFDNDGDANSLVSVSLSDSLSKLVAHDSFVCADSSPPSTPTPLPTASFDATPSGSSFETDPSEGSYNQTPVHMPLSPDPYFMDIEVDVVHDSPVHGDHYAAPASPAAHIPPAPAAPIPAAQPQPAPTDPAIIALLELMAEMVNLQHQALNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVQSQPQPHSPMPRGRGGRAFRGGRSGDPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGERGHYATSCPHTHLAQPVVTSAQPVVPVNPPLPLPPAKRQATAGRAYALELPGPSGPPQGPISGLFS >A05p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24245699:24246212:1 gene:A05p039640.1_BraROA transcript:A05p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELVDSLLYKACGVVGYHVDARYAEMEKDKLRRVNQEACGVVETMWTRDAELAWDKLEEQVYTVEKRQDINLGSNVLIDIEVVHIHVALETRFQEHGDHYKRAMESSVFHKTLAIIIEE >A01p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19851686:19855201:1 gene:A01p025950.1_BraROA transcript:A01p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGKGRGNKRSSSTSYASTITMVVFVALCVIGVWMLSSNSIIPPQISQANTRTVISETERADVSASSNGNDEPEPTRQESDEHPTFEDNPGKLPDDAIKSEYEQQKSAKEKNEKTSSKARDEVQRETQSQETQQDNEKIPEEKEKDNKMMQESDEGRVKQVVKEFEKEQKEQRDEDSGAQSGNNKGTQEQEQEQGQGKEFPDVEQGKKDQDSNAEVTYTDKTKEEQPMETEQGGTSENSKNEENGQQQQQQDDQNSGKEENMENNTTSEENEKEQKSTKDENGQQDEHNTTEDESGSKEEQSATKEDNVEQQQEEQKEEKRQESSEASGFGSGIPKESAESQKSWKSQATESKDEKQRQTTESNTIESIMVGKAWELCNATAGYDYIPCLDNEAAIKKLTSRRHFEHRERHCPEDPPTCLVSLPDGYKESIKWPESRNKIWYHNVPHTKLAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIDFLQQALKNIAWGKRTRVVLDVGCGVASFGGFLFERDVIAMSLAPKDEHEAQVQFALERKIPAISAVMGSKRLPFPSRVFDLIHCARCRVPWHNEGGMLLLELNRMLRPGGYFVWSATPVYQKLEEDVQIWKEMSALTKSMCWELVTINKDKLNGIGAAIYQKPTTNECYEKRKRNRPPMCKNNDDANAAWYVPLQACMHKVPTNVVERGSKWPVNWPRRLQTPPYWLNSSQMGIYGKPAPRDFTTDYEHWKHVVSKVYMNEIGLSWSNVRNVMDMRAVYGGFAAALKDLQVWVMNVVNINSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLRPRCNLVPVMAEVDRIVRPGGKLIVRDEANVIREIENMLKSLHWDVHLTFSKHQEGILSAQKGFWRPDTSP >A05p037490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21309700:21310877:-1 gene:A05p037490.1_BraROA transcript:A05p037490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRLMISRVSTCLTSWLLWNLWTARNLLVFENRQNPAATVVSKACSSGREWLQAQVPTPTQLRPALLEFEIPPTRMDVTLCNSDAAWSSANHRAGLGWCFTDLQATVIQEQSRALAHISSPLVAEALAMREAMLEAKRRPLTKVWFRTDSRELARAIYSKSYPVELFGVLMDIEILSSSFIFCFISFVGREHNAAADSLAKAALSCFSPALY >A03p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5493700:5495153:1 gene:A03p013940.1_BraROA transcript:A03p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRLPPLRLFIILFFFTDLLHTKIYSQAMNSISKSPVSGDLTSKTDVFFSGSFLEVSDVGSHGDYRAPTVNVIHGLHFDLHFNLNMKQMGNMIYEDSRRTEEEEENIVEGVSRSMLETETFSEEDSSSCSLSSMCSSSDLTDDDDDVSSSSSNGPLEDLSDLMSHLPIKRGLSKFYEGKSQSFTSLANVKSLEDLMKTGLRNRNYGARRKAKSTGGMIDQSYKRVYSPKATISKKATRRPSSVLSCLARR >A01g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6798252:6798965:-1 gene:A01g501940.1_BraROA transcript:A01g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPMLHGNESGYVEAEAYRSVEARFLKKLGSGYVLEAESFRSVLEARFRKLPQGSDSDSGSEAGSERPMKLPCNVGCYNIIRQNSH >A10p036270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20685853:20688977:1 gene:A10p036270.1_BraROA transcript:A10p036270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVCFVLDLRNISPYLIGDLKQSFLNLANLHAVSSPVDSLADRIGLCYIQKDRISGNDQLKFAYTPSGNFCLRDFHHAVNSLPLDAFVPEIDESGAVSCGDLKLSSVLCDRAMYSWGGRDIMRKVIVLSSCFPEDMDSEARNTLMAAADKCVSVEFLLLDKRASHLSYTQEKTNRFLRCLSDLDNCSFQTCIPDGKSLRGLENKWLQDLKDDTGESLQAHFIFKRNLVGSVNKIFCNLKAATIQIADGFKPCQTCRCHGIPVRDSVEITTEKMQCAVTNHDLGKHDVIDSSVKVGERTVLFLPSIDSLQKLHPISSQIDFTVIERTNLTSINEGIIIGRPYIVSPSTCHEAEDSSEEMDQPDLNTQIFQGLCGALYSMDQGLVCSSDCNLDTRQVVEFHCYYILQPSENGPMLLRRLAGSEEVLPVSSSVSQFAGSSIPREVEISVKGALLEIESTDYNPLTHNRGFHQKLNLIVKESLQFGSLHPNLDDATCEVSSVVSEPAVPMAQTFPCIINPPIEEETRQEIQITDEEDKSTASITKEWEQLVVTEVRMKSPSPVLTITKPNTNRPFLSPNNKQADMKTSMILERLEAPRQMRGKVGSPSIVVTSSQTSPDVSVLSQKKPLIPFQTSQVSNHQTASSSQLMKPSFQRLKRKHK >A01p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3539098:3540708:1 gene:A01p007020.1_BraROA transcript:A01p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACNMEFENEAERKLHYSSDWHRYNLKRKVAGVPGVTEELFEARQSALALEKGKSDEAPMLYTCGACGKGYRSSKAHEQHLKSRSHVLRVSQGETSNGDEDVAIVTPLTRRFKNKENEESDDEWVEADSDEELAADAEEEASEALSKLNVNESGAGGEDMDPTCCLMCDKKHKTLEICMVHMHKHHGFFVPDVEYLKDPEGLLTYLGLKVKRDFMCLYCSELCHAFSSLEAVRKHMEAKSHCKLHYGDGDDEEDAELEEFYDYSSSYVDETGDQIVVAGETDNAVELVGGSELVITERSENTTTSRTLGSREFMRYYRQKPRPSSQDSNQIVASLSSRYKSLGLKTVPSKEDRVKMKALKEMNKRGETMRTKMAMKSNVIRNLPNNVPY >A05p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8277563:8278991:1 gene:A05p018140.1_BraROA transcript:A05p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMAALVTGGASGIGHAIVDELAGFGARIHVCDISEILLNQSLNEWEKKGFQVSGSICDVSSRPARETLMQTVSMMFDGKLNILVNNVGGLRTKPTTEYLADDFSFHISTNLESAYHLSQLSHPLLKASGFGSIVFISSVGGVVSMEGGSIYCLTKGAINQLARNLACEWARDNIRANAVAPNFIQTAMAQPLLGNAGYKKSLFNRTPLGRAGEPKEVASLVAFLCLPAASYITGQTICVDGGLTVNGL >A01g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10239530:10240464:1 gene:A01g503190.1_BraROA transcript:A01g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRFSTHLTRSNGLKHEKQMMQLSFDEQKLQLKLHSLEKSLRGFQALQLNHERPFFHLPPLLNQMHVSPLQLLHNDMDKFLTINIDVTAMKELLRLTQTNEPLWIKTDGCLDVLSLKSYENAFPRLSSRGGKNHNLRVEVIDLLALFSLMQRHLWRCSWTLSKLESFFLQSLQHLKHLEWFHLDCVEITVMPYI >A01p010430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5105563:5107338:-1 gene:A01p010430.1_BraROA transcript:A01p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYC4 [Source:Projected from Arabidopsis thaliana (AT4G17880) UniProtKB/Swiss-Prot;Acc:O49687] MSSTNVQLTDHHHLNQSTNGTNLWSTTEDNASVMEPLIGSEHSSLWPQRPLTPPPPHVTEDTLQQRLQALIEGARESWTYAVFWQLSHDFAGEDISNTAALLSWGDGYYKGEEERKSRKRKPNPVSAAEQEHRKRVIRELNSLISGGGGGGGTVSSSGGGSSDEAGDEDVSDTEWFFLVSMTQSFANGSGLPGRAFSSSRTIWLSGSNALAGSSCERARQGQVYGLETMVCIPTQNGVVELGSLEIIHQSSDLVDKVNSFFSFNGGGGGGESGSWAFNLNPDQGENDPATWINEPNVTGIEPVLGAPATSNSDSQTASKLCNGSSVEHPKQQQNPQISSSGFVEGDSNKKKRCLVSDKEEEMLSFTSVLPLPTKSNDSNRSDLEASVVKEAESGRIAAEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYSLRAVVPNVSKMDKASLLGDAISYINELKAKLQKAEADKEELQKQIDGMSKEVGDGNVKSSVKDQKCLEQDSGVSIEVEIDVKIIGWDAMIRIQCGKKDHPGAKFMEALKELELEVNHASLSVVNEFMIQQATVKMGNQFFTQDQLKAALMERV >A08g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8951013:8953476:-1 gene:A08g505330.1_BraROA transcript:A08g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTDALLSDCSDTTISIDMSSSETNARIRELRSKRRFDETSSSSNPQRHPWPRPENTPFDGSSGASGSATSIAFYPYQIGGSLTLATTWSSFDACQTRHSSATRGTCHADLPAFAVPELGTHRHLLSPTSRTSRTSLCRTRETFKGSWWTLFRKSGPEYLVGAGVPQERRQQHQQLAGTHPQRTMRRLTRTPTSPPISILPPLIMNLANIWQGTSSLTPSTCEHICASPSIDMERITSIDSKRVTSIDMERITSIDKKLKLTSNTNLTSLLVLGLGIHGIGFFRQVWKDESEQDLVAKTIKA >A02p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2761466:2763643:1 gene:A02p006540.1_BraROA transcript:A02p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLKLPTSDSLLTVKDHGSAKIHEAIKGDGDHQELESAKAEMSEVKQENEKLKGMLERIESDYKSLKLRLFDVIQHEPSNNPTHQSVVDLRTDLSSLDQEGELVSLSLGRRSSSPSDNTSKKEEKADAITKEVNSDEELTKAGLTLGFNNGNDREPNKSLSIVDSLEEASKVTGKRSSPSPLASGGDADGEAGQQNNVKRARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCADDMSILITTYGGTHSHPLPLSATTMASTTSAAASMLLSGSSSSSAAKMIGNNLYDSSRFINNSKSFYAPTLHSPLHPTVTLDLTAPQHSSSSSLPSLNFNKISNSFQRFPSTNLNFSSSSSYTPYPYNNVQFGGSNLGKTAQNSQSLTETLTKVLTSDPSFHSVVAAAISSMVGSNGEQQIAGPRPSISNSIQPTAATNNNKGCGGYFSSLLTSNIITNNQMGASLGQPSSQLPPLSVFNHPSSSSSTATFVNKDEKS >A05p035100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19891742:19893618:1 gene:A05p035100.1_BraROA transcript:A05p035100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPNEIKASPGNNLRNRGAVGNDSKKDMIFRADRIDLKNLDIQLEKHLSRVWSRNIEKNPKPKEEWEIELAKLEMSNVIARGAYGIVYKGIYDGQDVAVKVLDWGEDGYATTAETSALRASFRQEVGVWHKLNHPNVTKFVGASMGTTNLKIPSSAEMENSLPQRACCVVVEYLPGGTLKQYLFRNRRRKLAIRVVVQLALDLSRGLSYLHSERIVHRDVKTENMLLDYQRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCAMPYPDLSFADVSSAVVRQNLRPDIPRCCPTSLSNIMKRCWDANPVKRPEMEEVVKMLEGVDTSKGGGMIPEDQRPGCFCFVSGRGP >A10p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3122353:3125062:-1 gene:A10p016320.1_BraROA transcript:A10p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit beta-1 [Source:Projected from Arabidopsis thaliana (AT5G53480) UniProtKB/Swiss-Prot;Acc:Q9FJD4] MEVTQLLLNAQSIDGTVRKHAEENLKLFQSQNLAGFLLSLAGELANDEKPVDSRKLAGLVLKNALDAKEQHRKYELVQRWLSLDMATKTQIRAFLLKTLSSPVPDVRSTASQVIAKVAGIELPQNQWPELVGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVEQEHVNKILTAVVQGMNSAEGNNDVRLAATRALYMALGFAQANFNNDMERDYIMRVVCEATLSPEVKIRQAAFECLVSIASTYYEKLAHYMQDIFNITAKAVREDDESVALQAIEFWSSICDEEIDILEEYGGEFTGDSDVPCFYFTKQALPALVPLLLETLLKQEEDQDLDEGAWNIAMAGGTCLGLVARAVGDDIVPHVMPFIEEKISKPDWREREAATYAFGSILEGPSPDKLMTIVNAALTFMLNALTKDPSNHVKDTTAWTLGRIFEFLHGSTIETPIITQANCQQIITVLIQTMKDAPNVAEKACGALYFLAQGYEDIGPNSPLTPFFQEIIQSLLAVAHREDATESRLRTAAYEALNEVVRCSTDETSTMVLQLVPVIMLELHNTLEGEKLSSDEREKQNELQGLLCGCLQVIIQKLGSEPTKYVFMQHADQMMGLFLRVFGCRSATAHEEAMLAIGALAYAAGPDFAKYIPEFYKYLEMGLQNFEEYQVCAVTVGVIGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYINYSMPMLQSAAELSAHASGADDEMTEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDEVVMKTAIGVLGDLADTLGSHVGGLIQQSVSSKEFLNECLSSDDHTIKEAAEWAKHAITRAISV >A09p024040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13308357:13319424:-1 gene:A09p024040.1_BraROA transcript:A09p024040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKRRSNVAWEASHDVMSREAGNFSPSVGSCVWVEDRDEAWIDGEVVEVNGEDIKVLCTSGKHVVTKASAVYPKDTEAPASGVDDMTRLAYLHEPGVLQNLHSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMAQYKGAALGELSPHPFAVADAAYRQMINDGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAASEGRSVEQKVLEDVERYKLGEPKTYHYLNQSKCLEVDSINDAEEYHATRRAMDVVGISTEEQDAIFSVVAAILHLGNVEFAKGAEIDSSVPKDEKSLFHLKTAAELLSCDEKALEDSLCKRIMVTRDETITKTLDPEAATLSRDALAKVMYSRLVDKINSSIGQDPHSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEAWSTHETFAQKLYQTFKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNSSSCSFVASLFPPTSDDSNKSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENENILQQLRCGGVMEAIRISCAGYPTRKHFDEFLNRFGILAPEVLVKNSDDPAACKKLLEKVGLEGYQIGKTKVFLRAGQMADLDTRRTEVLGRSASIIQRKVRSYLAKKCFILLRNSAKQIQSVCRGYLARNVYEGMRREAAALKIQRDLRMFLARKDYTELCSAAVSVQAGMRGMVARNELCFRRQTKAAIVIQTWCRGYLARLHYRKLKKATITTQCAWRSKVARGELRKLKMAARETGALQEAKNKLEKQVEELTWRLQLEKRIRTDLEEAKKQESAKAQSSLEELELRCKETEALLIKEREAAKKVTEIAPIIKEVPVVDQELMEKITNENEKLKGMVSSLEMKIDETEKKLQETTKISEDRLKQALDAESKLVKLKTAMQRLEEKIIDMEAEKNIMRQHQQTISSTPVRTTLGHPPTTPFKNLENGNQINLESEFNEAEFTTPVAGNAEKSAAERQIMDADALIDCVKDNIGFSNGKPVAAFTIYKCLLHWKCFESEKTNVFDRLIQMIGSAIENEDDNSHLAYWLTSTSALLFLLQKSLKTGGSGATQSKKPPTATSLFGRMAMSFRSSPASGNLAAAAEAAANAVIRPVEAKYPALLFKQQLAAYVEKMFGMVRDNLKKELSTLLSLCIQAPRTSKGGMLRSGRSFGKDSPATHWQSIIDGLNSLLVTLKENHVPLVLIQKIYSQTFSFINVQLFNSLLLRKECCTFSNGEFVKAGLAELELWCCQAKEYAGPSWEELKQIRQAVGFLVIHQKYRISYDDIAHDLCPCPAALPIPFSIDDISSSMQEKEFIGIKPAEELLENPAFVFLH >A09p067710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53307593:53314338:-1 gene:A09p067710.1_BraROA transcript:A09p067710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTKLLHSLIIFLIATSTVYNVSADSIKGCGGFVEASSSLVSSRKGADGKLDYSHITVELQTVDGLVKDSTQCAPNGYYFIPVYDKGSFTLKINGPEGWSWSPDKVPVVVDDSSCNNNEDINFRFTGFTLSGKVLGAVGGESCMIKNGGPADVNVELLSSDGDPIASVLTSSDGSYLFKNIIPGKYSIRASHPELQVEVRGSTEVELGFANGLVDDIFFVLGYDLKGSVVAQGNPILGVHIYLHSDDVSMVDCPQGLGDASGERKPLCHAVSDADGIFSFKSIPCGKYELIPHYKGENTVFDVSPPVMPVSVEHQHVTVPTKFQVTGFSIGGRVVDSNLKGVEGVKIVVDGNLRSVTDNEGYYKLDQVTSNQYTIDAVKEHYKFDKLKKFMVLPNMASLPDISAVSYDVCGVVRMFGSGHKANVALTHGPTNVKPQKKLTDESGKFCFEVPPGEYRLSAFAATPKSAPELLFLPGYMDVSVKSPLLNIEFSQARVNVHGSVTCKEKCGPSVSVALVGAAGDRDKKTVVLTNESSQFHFSDILPGKYRVEVKSISPEAISEEDTWCWDRSSIDVNVGTEDIKGIEFVQKGYWINIVSTHEVDAKIVHPSGSPTNLKIKKGLQKICVESPGAHELQLSDSCISFASNSIKIDVSNPQPIHLRAEKYLIKGLINVESSSTEIESELPESFIVDMQDEEGRVINSISAKLASVGSGVYEYYTWAKLGEKISFVPRDSRGNAEKKMLFYPKELRAVVANDGCQAAVSPFAGRPGLYIQGSVSPPLPGVNIKVSAGKDSHISSLKKGEVAVETSTSPDGSFVAGPLYDDIPYDTDASKPGYHIKRLGPYSFSCQKLGQISVRVSSKDNAETSIPPLLLSLSGDHGYRNNSISGAGGLFVFDSLFPGNFYLRPLLKEYSFKPSTLAIELGSGESSEAVFEATRVAYSAMGRVALLSGQPQEGVAIEARSDSKGYYEETTSDIDGNYRLRGLHPGATYVIKVSKRNGSGKNNIERASPESVSLQIGYEDIHGVDFLVFEQPETTILTCHVEGKQHEEINTNLLVEIKSASDVSKIENVFPLPLSNFFQVKGLSRGKHLVQLKSNRPLGSHKVVSEIIEVDFETNAQIHVGPLRYSIEADQQSQEVTPAAILPLLTGIAAIALFISIPRLKDIYQSTVGFSAPGFSASSTKREPRKAVARKKTF >A01p010960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5344567:5345424:-1 gene:A01p010960.1_BraROA transcript:A01p010960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKRTTPEPTRPSPPEPPSKPEDPPPETPTPPATFDPSRMVGIIKRKALIKDLAAAYQAECLAYCRELLDLQKRKDEPFLDTKATDDVRKETLRTSSKRAKKKR >A07p051770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27440033:27442237:1 gene:A07p051770.1_BraROA transcript:A07p051770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKDMNSNPPSSKEERPLLKSESDVSAAIEELDKKFAPYARTDLYGTMGLGPFPATEKVKLAVAMVTLVPVRFVLAMTILLLYYLICRVFTLFSSPHREEEEEEEVVQEDYAHMGGWRRTVIVRCGRFLSRVLLFVFGFYWIPERRPDPETICSEEGETDKEEPERPGVIVSNHVSYLDILYHMSASFPSFVAKRSVGKLPLVGLISKCLGCVYVQREAKSPDFKGVSGTVNERVREAHRNKSAPTIMLFPEGTTTNGDYLLTFKTGAFLAGTPVLPVILKYPYERFSAAWDTISGVSISFFNKLAFVSEVLALKARHVLFLLCQFVNHLEVIRLPVYYPSQEEKDNPKLYASNVRKLMASEGNMILSDLGLGDKRIYHATLNGNLSQLRVFHQKEE >A02p049210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30521541:30523131:-1 gene:A02p049210.1_BraROA transcript:A02p049210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLCFCFVTFLTLIFLVKKIKQSKWNLPPTPPTFPVIGNLHQIGELPHRSLQSLAQRFGPVMLIHFGFVPVVVITSKEAAEEVLRTHDLDCCSRPKLVGTRLLSRDFKDVGFTPYGEEWKERRKFAVRELFCLKKVQSFRHIREEECNILVKKLSESAVDRTPVDLSKTLFWLTASILFRVALGQDFHESKFIDKEEIEELVFEAETALASFTCSDFFPVAGLGWLVDWFSGQHKRLNDVYLKLDTLFQLVIDDHMNPGRTKNHEDIIDAMLDVIHKQGKNDSLKLTVDHIKGFLANIFLAGIDTGAITMIWAMTELAKKPKLMKKVQDEIRDCLGNNKETITEEDVDKVPYLKLVIKETFRLHPAAPLILPRETMSHMKVQGYDILPKTRILVNTWAIGRDPKLWTEPEEFNPERFIDSHVDYRGQHYELLPFGSGRRMCPGMPMGIATVELGLLNLLYFFDWRVPDGMTHKDIDTEEAGTLTTVKKVPLKLVPVRAM >A09p003420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1999790:2001007:-1 gene:A09p003420.1_BraROA transcript:A09p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISCGELGAFGDVDRLREDDLFKTVSFSGYQTVYDTVSWAWDGDDSSNALFNDLLSFGEEINSLPEDPFSMNIRSTLNSFSDWFHEIGEEAATLPNDPFSMKDHKASVLDGGGGEEPHSAFDLVLPYLEMRDTFAVESVCRSLRDSVRKESCFWRTVDLSDSPLKYKVTDDSLLKLTRRAQGHLRCLNLGGCVSITDDGLMQVLASNPRLTKLSVSGCHRLSTVGLLSILRDLKSSNQLGVKSLVTGGALYFTKEQFKELKLLLGADGEEGSKSRRRRLYTSCRSDFSLDDERGTDIEICPWCEKPSLVFDCASETCPLKDHPCPKQSCRACVVCIERCHDCGSCLNDCEHKPFCFAFSCVICYKKRSNQLGELL >A01p054420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30474081:30480373:-1 gene:A01p054420.1_BraROA transcript:A01p054420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSWTQEENEQFKDAVQRFSAFFPDRFECIAQRLQKSVVDVKEHYQEMADDLLEIRSSQIALSNGMFDAVEPSWCRIEKPIWDIEEHEWFLIGLEQFGRNCDKIAVLLVTKTPMQVAIYARNFFYWHNSKNNVLKRRRTMDITMGDLRVDSSGQQNRTMRGINRDSTGQEERPMGGVNVGLNWSTREPSSFAAARQQGLGALETVLLEKPLANINLIESGRCPITNYADGSPSQTKYMEKEKKKRGKSWTEEEHRLFLEGLAKYGRGDWKNISRKSVKTRTPTQVASHAQKYFLRQEAQKKAKKRSSIHDINSIDHAANNVSAPPSDLDSTMGQPPSDQQVPQDHHHSDEDYWTSNEQFKNAVQVFSAFSPNRLELIAQFLGKSVVDVKEHYQEMVDDLLEIRSSQLALSNGMFDAVELCQIEKPIWDKEEHEWFLIGLGRFGRNCNKIAVLVVTKTPMQVAIYAQNFFNWHNSQNNVVKRRRTVDITMGDIRVDSTGQQGRTFGGINVGLNWPTRHENLVPLQPQQQQGPWTRYEDKLFESLLLKFPENTPNRFEAIAEHLNVPLEEVKHYYKALVHDINLIESDQYPTTNYPDGIPSQTKHMEKEKKRGKPWTEEEHRLFLEGLDKYGKGDWKNISRKIVMTKTPMQVASHAQKYILRQEAKEKAKKRSSIHDITLIDLAANNVTAPRSDLDSTMGQPPSDQQVPQDHHHSDEDYLIEKMYT >A05p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4091:5163:-1 gene:A05p000950.1_BraROA transcript:A05p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVSVPERSVHQFTVKDSSGKDVNLSIYQGKVLLLVNVASKCGFTESNYTQLTELYRKYKDQGFEILAFPCNQFLYQEPGTSQEAHEFACTRFQAEYPVFQKVRVNGQNAAPLYKFLKASKPTFLGSRIKWNFTKFLVSKDGIVIDRYGTMATPLSIEKDIKKALEEA >A03p031210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13121328:13126296:1 gene:A03p031210.1_BraROA transcript:A03p031210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMLKDKIRASTVCKKWLEAAKSVRVVHKHQWFVSIPTFGNSINLFDPLERKKYTLNLPEKGVTDVAYSKDGWLLMRRSSFVEFFFFNPYSRELISLPDNELPYKAIAFSSAPTSETCTLVTLNRISEYIVAISTCYPGATEWITKKFHCYLAFGPNVHSNLLCVNDRFYCFTSGGVLFEFDPASRTLSHQAWDDVRFPEIHNNEWSYLPKELYLMEQKGELILMYTYGAEKPVVYKLVSSKWEEMSSTLDGLTIFASMYSSETRMDVLGMKNSVYFPKYYPGLPERRLLCPVDSLWIDPPPFLKSNRDVNNNNDKPERENIFSFDLPSCLLGVIMSLLMLKDKIRASTVCKKWLEAAKSVRVVHKHQWFVSIPTFGNSINLFDPLERKKYTLNLPEKGVTDVAYSKDGWLLMRRSSFVEFFFFNPYSRELISLPDNELPYKAIAFSSAPTSETCTLVTLNRISEYIVAISTCYPGATEWITKKFHCYLAFGPNVHSNLVCVNDRFYCFTSRGVLFEFDPASRTLSHQAWDDVRFPEIHNNEWSYLPKELYLMEQKGELILMYTYGAEKPVVYKLVSSKWEEMSSTLDGLTIFASMYSSETRMDVLGMKNSVYFPKYGLRNNMQCVYYSYNDARYYPGLPERRLLCPVDSLWIDPPPFLK >A07p033200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18160074:18162525:-1 gene:A07p033200.1_BraROA transcript:A07p033200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFGAAANTNPNKSFEVTPSPSDSISSLRFSPRADVLVATSWDNQVRCWEISRSGTSLSSAPKASISHDQPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAVHDAPIVDMAWIPGMNLLVTGSWDKTLKYWDTRQQTPVHTQQLPDKCYSLSVKYPLLVVGTADRNLIVFNLQNPQTEFKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDGSQQDKNFTFKCHRDGNDIYSVNALNFHPVHGTFATAGSDGAFHFWDKDSKQRLKVMSRCSQPITCSSFNHDGSIYAYASCYDWSKGAENHNPATAKSSIFLHLPEESEVKAKPRVTTSKK >A08p021170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14237292:14246976:1 gene:A08p021170.1_BraROA transcript:A08p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISKGLRGSNVCKSHEASPVIPFDLVIEILTRLPPKSLMRFKSVSNVWSSLICSQYFTNRYQTVSSPAPRLYMGLSFLDSSHLKCMLISVSPSSDSDITISSFDAHQDLTKRAMRGYITHCPIYNTTTRQLVVLPKIEESSIIDGDHVNKNIKYHIGYDPVQDRYKVVCTVTTTSNKVGESITYMSEQWVFLLGGDISSRWRKIPCQSSHFPLTQGLTIKGRMYYLAWIRDLDHVLVSLDTSSEEISMLQAPEDNFNPLTVTLIECCEKVAILHYIDLETEGMMKLWVMEDAEKHRWSCKTLVFQSSQVDLFKKIKLGVVGTTRNGEVIFAPLDTACFYIILYDLQKNHMRKVEIKETPNRHLTKFCEAAGFDDRRRMESISKGLRGSNVCKSHEASPVIPFDLVIEILTRLPPKSLMRFKSVSNVWSSLICSQYFTNRYQTVSSPAPRLYMGLSFLDSSHLKCMLISVSPSSDSDITISSFDAHQDLTKRAMRGYITHCPIYNTTTRQLVVLPKIEESSIIDGDHVNKNIKYHIGYDPVQDRYKVVCTVTTTSNKVGESITYMSEQWVFLLGGDISSRWRKIPCQSSHFPLTQGLTIKGRMYYLAWIRDLDHVLVSLDTSSEEISMLQAPEDNFNPLTVTLIECCEKVAILHYIDLETEGMMKLWVMEDAEKHRWSCKTLVFQSSQVDLFKKIKLGVVGTTRNGEVIFAPLDTACFYIILYDLQKNHMRKVEIKETPNRHLTKFCEAAGFDDVENLIYL >A07p021740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12755363:12757680:1 gene:A07p021740.1_BraROA transcript:A07p021740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMQILHMNKGNGETSYAKNSIVQSNIISLGRRAVDEALKKLMLSNSDISSLGIADLGCSSGPNSLLSISNIVDTIQNVCLDLDRPVPELKVSLNDLPSNDFNYIFASLPEFYDRLKKRDDNNNDCLGFDRRGEGPCFISAVPGSFYGRLFPRQSLHFVHSSSSLHWLSQVPCGEVSKEDGVIITADLDNKGKIYLSKTSPKSVHKAYALQFQSDFLVFLRSRSEEVVPGGRMVLSLLGRSSLDPTTEESCYQWELLAQALMSMANEGIIEEEKIDAFNAPYYAASSEELKMVIEKEGSFLIDRLEVSPVNWEGGSVTEENYDILRSKPEALASGRRVAKTIRAVIEPMLEPTFGHNVMDELFERYAKIVGEYSYVSSPRYAIVNISLVKMG >A05p055040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32509221:32511803:1 gene:A05p055040.1_BraROA transcript:A05p055040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTB1 [Source:Projected from Arabidopsis thaliana (AT3G01150) UniProtKB/TrEMBL;Acc:A0A384LJ51] MSSSQFRYTQTPSKVVHLRNLPWECVEEELIDLCKRFGKIVNTKTNVGANRNQAFVEFAELNQAISMVSYYASSSEPAQIRGKTVYIQYSNRHEIVNNQSPGDVPGNVLLVTFEGVESHDVSIDVIHLVFSAFGFVHKIATFEKAAGFQALVQFTDVETASAARNALDGRSIPKYLLPDHVASCNLRMSYSAHTDLNIKFQSHRSRDYTNPYLPVNHTAMDGSMQPALGADGKKVETQSNVLLALIENMQYAVTVDVLHTVFSAYGTVQKIAIFEKNGSTQALIQYSDIATAAIAKEALEGHCIYDGGYCKLRLSYSRHTDLNVKAFSDKSRDYTLPDLSLLVGQNVPGVADASAPTAGWQNGQVQTQYAGYGGSPYMYPSADHAGASPSSGHPPYYG >A06p042120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22639839:22641446:-1 gene:A06p042120.1_BraROA transcript:A06p042120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMKGKSSGWTAFDLKQRQKQGLESEIEDDPFPPVSTSVNPPPLDVRGKLIRRKHEPSDKSFSSVLLPPSKFPALTENNKDCRSKPSTLTPSHDSAFIKLKEMNSWADDNLIRDILLSTEDNFEMAFDFLQGMASTGDSADKGNNEVPTNRQSEHRVSGRTVTSSVNMSARSTYYENGHKYDLQENGGSSFLVNAYDGEKTPDDVSDLGSIIQRLQSIPIAPEWEEDDLYLTHRKDALKMMRSASNHSRVAQNAFMRNDHASAKHHSEKAREDWSTAEKLNAEAANKILGITNRNNDIWKLDLHGLHAAEAVQVLQERLQKIEGQFTVNRSVSPNRGRSKNAALRSPSQEPCARLDVEGLQRHRASSRELRNSLQVVTGIGKHSRGHASLPQAVKTFLEDNRYWFDETRPGVITVRPKFRHS >A05p043280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26107754:26110364:1 gene:A05p043280.1_BraROA transcript:A05p043280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVGATCFAPSPPPHPPLPSSSSSTSQFLLLKSNNVAISKRRRPLSASLRRQDANDGDVSVKRRDFVLMGVSVLPFLLFRSPAMADERGGNEIKTSSKLNQQTEVAVSEEGTSPNPFLSLLNGLGIFSAGVLGALYALARQDTQAAEEAIDSLKNQLKDRERALITKEKDFEARLQCEQEEWNKERKKAQEDQLSLISQLNSAKEVVTGLGREISSEKKLCEELRVQIEGLQSNLSKAGEDKKALETTLSEKLDLVKELQDRINSLSLELKDKEEETQRISTLLAAKEAELEKLSSAYTQTSRDLAGARLEIKQLKEEVTRSQTELDSKNSAIEELSTRIRTLEAEKESYIQKLDEVSKEYNALKLTSETRTASDAEVISRKEKEIQDLKEKLDHALKDLNESKDEVADLTEKYEDSKRMLDIELTSVKNLRHELEGTKKTLHASRERVSDLETLLDESRALCSKFESEVSVIHAEFEKAKERYEENLAEERRNNESLASKLAVEKDHLKKAGEELEELTREVEESSVKNQSLQKELVDVYKKAETTKKELEEEKRTVLALDKEVKAMEKQMLMEKEARKSLETELEEAVKSLDEMNRNTSTLSKELEKVNSHVSSLEDEKEVLQRSLEEAKNASKEAKENVEDAHNLVISLGKEREALEKKVKKLEEDLGSAKGEILRMRSQQSSVKAVNSTDDEEKSDDKVTVRKLLGGERAVPVLEETRL >A02p017160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7705360:7707198:-1 gene:A02p017160.1_BraROA transcript:A02p017160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G53470) UniProtKB/Swiss-Prot;Acc:Q9SM23] MGVDWFQLAQSLIFGLIFAYLLAKLISILVAFKDDNLSLTRSHDAARSENEYYRKVDSSAGETDSLVAEQGSLRGDEDDDDEEDWEGVESTELDEAFSAATAFVAAAASDRLSQKVSSELQLQLYGLYKIATEGACTAPQPSALKMTARAKWQAWQKMGAMPAEEAMEKYIDLVTHLYPAWVEGGSKGRNRSGDDAGSNTRAPMGPVFSSLVYEEESENELKIDAIHAFAREGEVENLLKCIENGIPVNARDSEGRTPLHWAIDRGHLNVAKALVDNKADVNAKDNEGQTALHYAVVCEREALAEFLVKQKADTSIKDEDGNSPLDLCESEWSWMREKKDSD >A05p022040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10505761:10507362:-1 gene:A05p022040.1_BraROA transcript:A05p022040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIYYSKTFCLGINISVAKISAGSSSNSIGTEDGYVLEPQGEHRVTIVWLHDVHENSYKSLQIVRSLNLRNIKWICLDSGTRVDVFSPGGGVPTNSWCNMGMITDTTQDCRDELDSAAVRVANILSTEPENVIKGVGGVGQGAQVALNFAKWNAVGDHTMNMRLVIGINSWCVYTLLVLMRIPTNRIDYDFGAAIRSSWQSILLIRGNLEPSIPLYFAKETAVSLIDDGFGEVSFVQFRMLGREITVNVLKKVEVWLNGKLPLDPN >A01g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4766117:4773494:1 gene:A01g501230.1_BraROA transcript:A01g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPIQFLILSFDEAIQVEVPIVRRGPTTRSGTRALREDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELGPDSKQERAAESYQASTTKLVAILHLHSIHRFVLRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFEPDRTTPSPSRPSGRPIAVRPSVRPVQSEFPNLRLSVRADCFPACIILVSELKAPTPSITFSSSSLVAGDMGDLNGASTQAEINAQLMANHAELQAALATVTEQLAHIAGRDRANVPRPRRRNQPVPEEQQSQSSEDNSDTDRTEPEEPRRERAGRFTKAVQHILDQDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELGPDSPHFRPACLRPFIFESPKGNKREQPNLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFEPDRTTPSPSRPSGRPIAVRPSVHPSCPVRVSEPQTVCPCRLFPGLFTF >A04p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6752188:6753095:1 gene:A04p011350.1_BraROA transcript:A04p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLSFLVSHFGIRAISNFRDFAMVETRYQEKTMIELIEEIHTSQERQPTKFCQRADYLYERYNKHERLFNDAGGSQMIDPTSAESNRPPNPPDLQGRFNGLFDDPLAYLVSLKHGSDTIEEFLEKFENARTRLSLHEAHALSIFLTNMNPHLSLHVHQFGVITLSPAARIAIFHKSSLATTAQRSHRASFNPSQQHK >A04p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2198729:2200696:1 gene:A04p004410.1_BraROA transcript:A04p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKQESLFSPSSSWREAHNPSRRTSNSSAVSSGCLPGFFNLFLSTFNFSSNRRKSITQGSKKQEQRTVIYASPPDDTSDRDGGGVVEPPLPRKEGVEGDAARVSLVGALEKCDRDLEELQRTINVIKTSYLLHKKLEVSPPMARDSFKFCSTGDVVEGTQTDKNMKATVHETDTDTTMLSKVLKNDHEYKDNRTYKVNHINLISRPEHYAIHDVISRTATIETRDTTPIMVRKVRRGLMESVNQVCNDVASGQRREVVKIGLAIHDHICRDLIAETVHELSSFSNYYDNECHKYTDCYGEGSGRRHIRRGSTNSLPLDACRRRLVF >A05p038290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23589659:23590673:-1 gene:A05p038290.1_BraROA transcript:A05p038290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDIIHSVGATAAHTCVDTLEGIKKKELVLIGDLNSFISNFHCSQPKRLISSTRPGLFVSIKKMGGHLCHALIATKKLERHGNSLNCTRCVTSDVTGVVRFRVELAVDDGKDSTTFSVFDKEMSKLTKLEAAVLAFDAVHEGEEERLPGFLEELEEKEFVFQIRVITFNFTPNHRTFTVSTITDDDTIANHVKEHFVGIPSNSEDNVGLAASSSGPPILGDKTGEECATETPPEHANSQKKCKCGSE >A07g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4837636:4841094:-1 gene:A07g502250.1_BraROA transcript:A07g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPELVGRKEHTSGASHLAVPENLRPPLCKEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQHHVEAYQRGLRFRDEEDNCPAEVPSSNINKTKLIDTNTSSSIDTDQIPSIDTRRESEQNEHELCGNIFYGDTTTHSDKSGGTKWRNWKKKKRINECSQISLIPHFSDDTRKSRVRLHKSVRKNGRNWKKQKRTKGGSQLPLTPYFSDSIRKSRVRSKCFSHPYAKLKALLIAEMIDKGEGSHSYADLIRSPEVQGKDPRKTSFHRNRRWLASIDRQSIKSIDRHLTVLLDTHIQADQSRRLLSTSTDDTSSISIDSASYPTIDCLFIVSNHCSSHRPMRPCHINRQHFINIDRLSIHCIVLKILKWINMSTMFTLAEIDFLDVDCNIVEVMILSFKSCELLFSKPLSERLPSVLLEDKQKGSGTFRRNMVILESFGAFGGVELHRRVRCLSMDGDLKTVNQHPVAEVMPVLLKSGQSASREEAAEKRKPRRSMQHSARRSVEIPDHPPVRFRVQIGLWD >A01g510640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29357605:29358782:-1 gene:A01g510640.1_BraROA transcript:A01g510640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRGSSLFGFNTNLKNIGRRIGHVDTIELTEGRMLIDVDTQRPLKFSRKVEYEGDEVTIEIKYDKLFKHCNICGLLSHEKGYCPSIEVTQPSLERSDVFNRVQLPVRQSARDTHGNDRNYHQSSLMKREMYTRNSQEYEARPDLRNRLGESNNNYSRSWGKDRRERGHAGRIIRRKDEFKRSDRYGGGRARTGPYDRNDGRSWRVKPKLNNATDSDQNGNGVANKRNDIVPYEHLLGAGSHGTRKLASAIVTPSRVRSSENMTVRGRVDEVADARLLTFSPQAKGTVDDQIIGALSDMDLVDQQDSGLMDTDANEDDLLGDELMEMEGDALLNAECDTIDEKKKAKHKRLGIRRSAPLGSSSRKFEILRRGSPSKRTARS >A01p047310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26677652:26680543:1 gene:A01p047310.1_BraROA transcript:A01p047310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PMT5 [Source:Projected from Arabidopsis thaliana (AT3G18830) UniProtKB/TrEMBL;Acc:A0A178V5Y2] MTGLTPENQTVPTTAPATKHVPELGPPVKPKRNKYAFACAILASMTSILLGYDIGVMSGALIYIKRDFRITDLQVSILAGILNIFSLIGSCAAGKTSDWIGRRNTIVFAGAIFFAGAILMGLAPNYAFLMFGRFVAGVGVGYALMIAPVYTAEVAPASSRGFLTSFPEVFINAGIMLGYVSNLAFSKFPLKLGWRFMLGVGSVPSVLLAIGVLAMPESPRWLVIQGRLGEAKRVLDRTSDSPSEAALRLEEIKEAAGIPADCHDEVVQVSRKTSHGSGVWKELLIRPTPAVCRVMIAAMGIHFFQQATGIDAVVLFSPRIFKTAGLKTDHQQLLATVAVGIVKTSFILVATFLIDRVGRRPLLLTSVGGMILSLAALGTSLTIIDHTEKKVTWALVLSITTVMTYVATFSIGAGPITWVYTSEIFPLRLRSQGSSMAVVVNRVTSGVISMTFLLLSEAMTTGGAFYLFGGIATVAWVFFYTFLPETQGRSLEDMDELFSGFRWRDSKSKPKNNKNSSSNPQVEIGPNKL >A10p035900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:20524925:20525680:1 gene:A10p035900.1_BraROA transcript:A10p035900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTPRMLIPTTLPPLGSPRSKESTVRPEITLEQPSGKTKSTGSKSNKLLRRVRSVFRSLPIMSPMCKFPGGGGTRVHENHVHHGGTRVTGTLFGYRKTRVNIAIQETPRSLPILVLELAIPTAKLLQDLGVGLVRIALECEKRPSERTTKIVDEPIWALYCNGKKSGYGVKRQPTEEELVVMQMLHAVSMGAGVLPVNSGGGGGEGDLTYMRAHFERVIGSRDSETYYMMNPDGNSGPELSIFFVRV >A10p033460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19582610:19585928:-1 gene:A10p033460.1_BraROA transcript:A10p033460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNIKDVLTSFSPALDYLALSTGDGRIKIWDTVKGQVQTEFADIASAEETNIYTSGGKGHLSVDYTCMKWLSLERKKKRKLGTSILVLGTGGGDVLALDVASGQLKWRISDCHPGGVNAVSSSTKASCIYSGGADGMVCEIDPHSGNVIRKFKASTKAVSSLSVSPDGKILATASAQLKTFKCSDLKKIQKFTGHPGGVRCVAFTEDGKFILSSAVGERYIAVWKTDGAKKQSASCVLALEHPPVFVDSWGETDVKGLYVLAISEVGVCYFWYGSNIEELSSAKPTKVALATEDSSLKNHKGSLPTIFAAKLQGVLKPGSANAFIASGLLVKPSFQKMVLQFGNDLVLNASKNGILLPITQSVSKPKKGQGVQNKVTTLDRAHADDALLPIARVADLHEKKSVHPHSSDKDTDMVDQSQADYVETFSMEDKLRSLGILKGTDEPSSLSYASVIDGIDLEAHLPPKKLKSTVLSMAPSTAFKTLEALVAMWQTRGCGGKYLLPWIYSIMVNHRHYIMSQEPKNQQLLNTLHKITKSRGAALQQLLQLSGRSQLVTAQINKAAGKETQTKVHEQETDESEDEEDDVEEHFYGEKDNDSELSSDDGKDEDDTLMEEI >A10g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14724128:14724383:-1 gene:A10g505740.1_BraROA transcript:A10g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMHDGCTHGKLLEMTQENYDLDNKIKKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A03p074120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32220577:32221417:1 gene:A03p074120.1_BraROA transcript:A03p074120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGTKLITIDSDLDRFYCRTKLISIETHHRSSTTDPPASFSSCRLLRDRGRIKTHHRFSTTDRPFSFSSCRLLRDRGRIETHHRSSTTDPPSVETSKPTTAPPPPLTPHVEASKPTTDRPSSLIISSVLSRLLRHKERNPPRTRTVSLITASPPSLLVFSGRRTMQTHSDDECLGLHGVAWSVQQLWLTISTIWRSLSKVEGVVNTLTHPILRQGNTTSDCR >A09p058920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49474227:49476322:1 gene:A09p058920.1_BraROA transcript:A09p058920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily B, polypeptide 38 [Source:Projected from Arabidopsis thaliana (AT3G44250) TAIR;Acc:AT3G44250] NFELVKNPITCIASVALYIAFENTLVTHHFKHIAEEICPPKMSIFLCFLLLFPLSLIFIKKLSSSKGKRPPGPMGLPIIGNLHQLGRFLHKSLHKISHEYGPVMSLRFGVVPVVVVSSKEGAEEVLKTHDLETCSRPKTVGTGLFTYNFKDIGFAPFGETWREMRKIAVLELFSQKKLKSFRYIREEESEVLVKKVSKAVDETQNSSSVNLRKVIFSFTASIICRLAFGQNFHECEFVDMERVEELVLESETSLGSLAFADFFPAGWVIDRISGQHSKVKKAFAKLTNFFQYVIDDHLKNGQPQDHSDIISVMLDMISKPSKGDSFKVTDDHLKGVMSDVFLAGVNAGAITMIWAMTELSRHPRVMKKLQENIRTTLGPNKEKITEEDLEKVEYLKLVIEETFRLHPPAPLLLPRLTISDVKIQGYNIPKNTMIQINTYAIGRDPKYWTKPDEFIPERFVDNPIEYKGKHFELLPFGAGRRICPGMGTGITIVELGLLNLLYFFDWSLPEGMTIKDIDMEEDGAFVIAKKVPLELVPTRHRW >A08p039990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22888553:22892669:-1 gene:A08p039990.1_BraROA transcript:A08p039990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVGETELTEALLQAGKDLLRPYYSTDSIFDLLNKVESLLLAVEQDPIAEVRNALKPSMQALVSADLLRHPDSDVRVYVVSCLTEIMRITAPEAPYNDDQMKEVFEVTVEAFGKLADASCESYKKAEAVLDTVAKVRSSLVMLDLECDELILEMFRQFLKIIRLSPDCPQTVLLSMETIMVTVIDESEEVSMDLLAILLGPVRKESLDVSPVASRLVEKVLISCAAKLRPDITEALKSTRTSLDMYSPVVSSICQSEAATTEAQIIVNPRETEGEEKISEEQVVPSGSLQEKLDLGLSPKGTRSKRTARGGARATGDDNVKNGDGLKQVLKQGPSESTEGETESGSTRRRRKPNSLLNPEEGYSFKTSSSIKKVHDKELGAAKKASLPTKVGQTNQSVVISLSPSSKARKGSRKRSRSKMEETDLDAGSVATPASKKQIVKKDEPEEKEDIMETSLEKPEDSTKTAKSSKKEKAQKGSASKTQIVKNDDAEEEEDFMETDLEKPEESTKTAKSSKKEREEKGSTKSTAKKPLAQSKKEKAQKGSAKTAAKKPPAESKKEIGENGLAKTSAKKPLEKSVHSGAKKKNSEGASMESSKSKKKNSRAMTPPTKECEPTLKSHPKRKRTAREEVESNKSELGEELVGKRVNIWWPLDKKFYDGVIESYNSLNKKHQVLYSDGDSEELNLKKERWDIISEEKEEIDLPDSTPLSDIMRRNKAKKRKTESMHVQLKSSSEVGSSKKKDLVTSSTRQGKVTKDAVKGGSNEPERREEINIQFPKDCDDKEESETKGEDSLKIKEESNAEPECKRDQQEPLEDSNAEAKSDGEELKSAETETDGEEQEIEKEATAEPQTDGEERQSVKVPNEAKSDGEELKSANKSTAASEIEGEEQEVEKEATAEPETDGGEGESVKEPNEEPETEVQVAKEPTAELEEDTDKAEGGIIPVSG >A05p016430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7309072:7309719:1 gene:A05p016430.1_BraROA transcript:A05p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIGHT-DEPENDENT SHORT HYPOCOTYLS 3 [Source:Projected from Arabidopsis thaliana (AT2G31160) UniProtKB/Swiss-Prot;Acc:O82268] MDMIPQLMEGSSAYLGTSNLSINANILSSSGTTATQPPSSSSPSANSSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTTICHFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPETNPFGARAVRLYLREVRDTQSKARGVSYEKKKRKRPVPTLSASSSSAVASHQQFQMLPGTSSTTQISNLEK >A09g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19473632:19476824:1 gene:A09g506610.1_BraROA transcript:A09g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPEAKFGRAGRSDTYLGELVELNQSDTYIYELDELSELSDTSLELNELSDTEDGAGLVAGRNGPFSAQRKIHNKFNLGRFYTKFDQDFADGLLPICIKKYQQKESKSWSYQGAFNNTLISIPEVPFAFSDHIQHPAKEILPILGMFGLLKKSKPQQDVYFPFKTVFEKDQLIFDKKQFASNEFDFVQKQKKRQNRCDDEKWVRSGDRPFTKAKRSNCVVPDQSELHTYASLEKMLHKAIHVVRQLKKKETNNTSSAPKQQSNSSSLSNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHRVGHYANKCQKQKSLVTLEKVETEPEKEDILPIFDDYAHEPKEGSGGEQNCGHQEEPSSIHKPYRTQGEQRSDYGSFAYNPFPFNVSDLRTNLFEERGNDVPWIVDPGQDGAQLDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNELSDTEEGACLVFGRNDLFQPKEKFITNSIWVGFTPNSTRPLLMVSCPFASRNINKRSQSRGHIKGHSTTHLFLPIIFVCRLVVNQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCLLHTTEVVVSFSLKIG >A05p003840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1491462:1492790:-1 gene:A05p003840.1_BraROA transcript:A05p003840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin 4.2 [Source:Projected from Arabidopsis thaliana (AT2G41870) UniProtKB/Swiss-Prot;Acc:P93758] MMLTLYGQESSPDRTSRDVTPEAVVRDIHALAPASTTVLPPPPALRGYFSPTRSTTTSISEGASSGENFTTISREFNALVIAGSSMGNNNEPTARDVTQGEELMRSIHEEREEEEMNPLAIVPEQYPDSSLDQGSGNESGQVQGRGGMTSVQRVKREEVEAKITAWQTAKLAKINNRFKREDAVINGRVNEQVHKANSWMKKIERKLEERKAKAMEKTQNQVARAQRKAEERRATAEAKRGTEVAKVVEVANLMRAVGRSPSKRSCFSFS >A08p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21997775:21998847:1 gene:A08p037790.1_BraROA transcript:A08p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEEIEICEEGEEPIEAGAKTERGDEKVVLQFLDSLDGYLTLMDSLNSKLREGWFDLASARHSMGTLRINTTLLDLKDHSASSTLQVTDQEVESLGSVHCFALSKWASKGGSGKGKDFSTVADSEMGSPRSPQLRHRGVSGEKPSDKGETTVLAADEEIKKERAKSLSVFGGLVSPKLRGAQLSFETALETLVEIANTRSSMLTAFERISKK >A02p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10384985:10388698:1 gene:A02p022020.1_BraROA transcript:A02p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKEQSSFLSSSSPSLVAKLLNAQYHHFLNLISFSLILCCGIIIGILLHSSLQDISSTSSLNIQRISQLFLVSSPPPPTIPSPPPPPSKPVRVGLKGFLRSPKKIMHDMEDEELLWRASMAPKIRSYPFYRTPKVAFMFLTKGHLPLAPLWEKFFKGHEELFNIYVHSYPSNNESYPEGSVFHGRQIPSKRVDWGYVNMVEAEQRLLANALLDISNERFVLLSESCIPLFNFTTVYSYLTTSTQTHVESYDQLGGVGRGRYSPEMKPRVQLRHWRKGSQWFELDRAMALEIISDTTYWPLFYRYCHHGCYADEHYIPTLLNIKSSLGGRNSNRTLTWVDWTNGGPHPTRFIRYEVTEELLVKLRNGDDKNRQCYHNGEKTNVCYLFARKFLPTALGRLLRLAPSVLYF >A02p026490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13915449:13916042:-1 gene:A02p026490.1_BraROA transcript:A02p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMFYFLLVSTAVLAATAKAGEPVVDTDGNLISNGSYYAVPVSHYEGALTLASGGANPCPLYVGPELSRRNKGLPLRFSNWGSGARFVPESENLNIKMDLPPTICGQSSYWWLTETEIKGWLFIAAGPKPETGKDSSKSFFQIKKAGGVLRGYKFVYCGGDKSCYEFGMVVDRYGYSRLAPSKSNLPFRFVFVKAD >A10p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12669793:12670697:1 gene:A10p018040.1_BraROA transcript:A10p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTNNTREGDRNGSSKHQPQEAHMSLKLIDSCLRLSVIPLSVATIWLTVTIHQSNPDYGNLDYNSIMGLKYMVGVSAICGIYALLSTISSWVTNLVSKAWLFFVPDQVLAYLMTASVAGATEIVYLLNKGDKTVTWSEVCSSYPHFCSKLTIALGLHVFVLFSFLFLSVISAYRAFSVFDPPCDSQTNGNA >A04p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15465076:15467323:-1 gene:A04p025540.1_BraROA transcript:A04p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTQRTHVPIPPEPGGGRSLTQDANEPPVPIHIVTEPLQLPADFLNPSPEKKLVIGFDCEGVDLCRHGKLCIMQIAFPNAIYLVDVIQGGEVLMKACKPALESAYITKVIHDCKRDSEALYFQFGIRLHNVVDTQIAFSLIEEQEGRRRPLDDYISFVSLLADPRYCGISYEEKEEVRVLMRQDPKFWTYRPMTELMIRAAADDVRFLLYLYHKMMGKLNQRSLWHLAVRGSLYCRCLCCMNDTDFANWPTVPPLPDNLKIGDQCPEEEILSVLDVPPGKMGRVIGRKGASILAIKEACNAEILIGGAKGPPDKIFVIGPVKEVRKAEAILRGRMIDY >A01p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:358938:361458:-1 gene:A01p000890.1_BraROA transcript:A01p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-3-phosphate synthase isozyme 1 [Source:Projected from Arabidopsis thaliana (AT4G39800) UniProtKB/Swiss-Prot;Acc:P42801] MFIESFKVESPNVKYTENEIQSVYDYETTEVIHENINGAYQWIVKPKVVKYDFKTDTRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLVPMVNPDDVVFGGWDISDMNLADAMGRAKVLDIDLQKQLRPYMENIVPLPGIYDPDFIAANQGSRANNVINGTKKEQVDQIIKDMREFKEKNKVDKVVVLWTANTERYSNVVVGLNDTMENLMNSVDRDESEISPSTLYAIACVLEGIPFINGSPQNTFVPGLIDLAIKNNVLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKSEKEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >A09g512380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36275561:36277283:1 gene:A09g512380.1_BraROA transcript:A09g512380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSTTKSQITTGKSPVTIYGHGIQRKVSRLHRKVSRLHRKVTSCESLGTESTMLCLFVSVSNEIKATDDEITLTDEEKHRNIRICDMLFTATSHCLADFIFSLGNHCLQLSDRERIDVKLNFTSDLRFVNQMKYNLLIVSDFMVENRFNRGDRYKDSYNIVEVFEESEGRKHGECSLLDLATVLEIKKKLCESVSESHIPDSLLERLISGTIEFPPACAIVGGILAQEVIKAVSGKGDPVKNFFYYDAQDGKEDSHEPLKQQMSELEREWDSQKLMFIKTLDNNLDSLNFSRRLKSEAEGKPVEIKEANCREVLS >A05p016280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7232305:7241262:1 gene:A05p016280.1_BraROA transcript:A05p016280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPHWSLFTATTSNHRQTRQQPNHRQWLPPRVSSSPRGSRSLSAAPPSSPSPVSHRKLPTFSPLVTPNSSPPLSSDFSGRRSTRFVSKMHFNRPKTTTSTRHSPAAANALHSLTAFSGDDGETTFQSLISTFEPTLHSSDDYTFLLRELGNRGECDKALLLYHFAVQRERRKNERGKLASAMIGTLGRLGKVSIAKTVFESALSGGYGNTVYAFSALISAYGRSGLHNEAITVFDSMKSYGLRPNLVTYNAVIDACGKGGMEFSKVAEFFEEMERNGVQPDRITFNSLLGVCSRGGLWEVARSLFHEMANRKIEQDVFSYNTLLDAVCKGGQMDLSFDVLAQMRANRITPNVVSYSTVIDGFAKAGRFDEALTLFDEMRCLGIALDRVSYNTLLSIYTKVGRDEEALDVLREMASVGIKKDVVTYNALLGGYGKRGKYVEVESVFDEMKREGVLPNLLTYSTLIDVYSKGGLYREAMEVFREFKSVGLRGDVVLYSALIDALCKSGLVGSAVSLIDEMSKEGISPNVVTYNSIIDAFGRSAAMECRGGSLSTLNGTDDHRVIEIFEQLTSESSNRKKKECEEGVNELFSILEVIRKMHQLDIKPNVVTFSAILNACSWCNSFEDASMLLGELKLFDNQVYGVVHGLLMGRRENVWLQARSLFDKVKEMDGSTASAFYNALTDLLWHFGQKRGAQIVALEGRSRQVWENVWSTSCLDLHLMSSGAARAMVHAWLLNIRSIVYEGHELPKVMSILTGWGKHSKVVGDGALRPAVEALFRGMDAPFHLSKCNMGRFTSSGSVVATWLRESATLKLLTLHDHLTTEANTTMRSSDQQGQASLTLQPLLLSRFQMASNGDKPLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVSRLGGRSAFVGKLGDDEFGHMLAGILRKNGVADQGINFDTGARTALAFVTLKADGDREFMFYRNPSADMLLRPDELNLELIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLREPLWPSKEEAKTQIMSIWDKAEIIKVSDVELEFLTGSNKIDDETAMSLWHPNLKLLLVTLGEKGCRYYATNFRGSVDPFHVNAVDTTGAGDSFVGALLNKIADDHSILEDEERLRKVLRFANACGAITTTKKGAIPALPSDAEVLSFLEGK >A09g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9814601:9814938:1 gene:A09g502950.1_BraROA transcript:A09g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFFRFESRLWKTSQKTLGRLSKDFLGSFLMYFRLDDFPRSLREVFEVFCPRWYK >A03p025600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10778164:10780734:-1 gene:A03p025600.1_BraROA transcript:A03p025600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDDDLPPSHRVVPRGGIVSSNGRPSTLAPSHMYDQVAADMEAQIHHVEKEAYFSLLRAFRAQADAITWEKDGLITEMRKELRVSHEEHRELLAPFIRFRSKTFLFSITREWRQSGGVQRHAAQVVHDTLPSPCVPASIKRHKPNQPIPSQPFASSSPPQADPTHQFASWTAKRGLVPNVKDKKHKPVLPGSSSLKPIPCHPLDQPPRGQVMNNRLPSVPTSSSEPAKGSGPESFVGRRVRTRWPEDNAFYEAAITKYDPVEGRHALVYDIGTRNETWEWVKLAEISPRDIEWIGEDPGVSNRYGLNRTTGPNNVPQRGSGLAKTTIKNDLRTSQNGAGKRKHVDIRIRPTNVLIREVERVLSSHNPDPQEVEMAKRVLEEQEHALVGAITKLGDISNGENGNFAKAQCNADHEELLKKKKTLVEMERQSLDFFDALVTSHQTHSLLLEDGAFCLEKLYMDVENQEWSRKTFKYPRQWEGFGWHLRSNGLIHTGELMVFQRSLEEAKPFCVYYYDFNKERSRKVEIRGVETDELQGSRLCYPGYVENIRFL >A08g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20562707:20564806:1 gene:A08g509720.1_BraROA transcript:A08g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSMRSDIFCTHHRKSHEIVEENGGQYHVLLIISDGQIKKAVLELELKFDETFPLWASQNVKKGGQMMGLEMVLFLKATSRRLEHISVLTKTLLKGVMDVATSTRPTKYAETGRATPPARNAQESFNVDPHHLHVQHAIKKTQYRVQFSVSDGSESAGGDNNIGDDMPGAETVSSNSVMHYEHVSGGFTAEGAQLEEEGHTGKQPRQA >A05g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20841884:20842203:-1 gene:A05g507370.1_BraROA transcript:A05g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLYVVVAALTKDGGEGSGCLSSKVTILDDNHMPRLIGKDMKNRISSLKYKLEHKLGGWVTSKMTMI >SC370g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000212.1:444:1050:1 gene:SC370g500020.1_BraROA transcript:SC370g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCSEQPWRVRRKALHQCGFNERYHCLSLTKDVPGQFRASLRWLRSLLRGGDPNHFSKMAVKSVERGRLQTGSMKR >A03g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7116835:7118333:-1 gene:A03g502120.1_BraROA transcript:A03g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPFL6 [Source:Projected from Arabidopsis thaliana (AT2G30370) UniProtKB/TrEMBL;Acc:A0A178VW02] MGSQTPSSSSSIPSSEKSRAKFSLFYIFLLCVVLYVLATSIPPSSLSSPYIRNSNSGKLGHFYAQEEEGKSTLVIKKMRRIGDWTQEAELRRILKGLGSSPPRCTSKCGRCTPCKPVHVPVSSGTPVTAEYYPEAWRCKCGNKLYMP >A02p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1885261:1886540:1 gene:A02p004360.1_BraROA transcript:A02p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSSNKSNTLLRYSKAVPSSSSSAFASSTSSTFSSPSSSFFHHRSASPTPQPMSQSFRYSLDTRSISPTNHRSISVSKKPQPKVSETSPSRRRCMCSPTTHPGSFRCSLHKNVANPHGQGTASYTGNSLNMRRSAMTNSLVRIGGVEGEWVRRALTTLIRPSSHHLKRRAAFQPRPSRLSDRSGREKLDRGRLWERESVNVEIERLSESRFSCFVKSTFALLVCVVRRVRLRLAMNWSLNYVTNRDMG >A09g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20228415:20231594:1 gene:A09g506880.1_BraROA transcript:A09g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRLVDLPDSPEGPTRKPVPEMMFAAGEEPVGVCVLTYQSSGAIKRILNALGDEEIDVIRDSAFGKIVDIAAKPVFSGRFARYIMSRQLKTKKKFEAWFRFAGKPIRFSLREFAIVTRLPCGPFPRRSKMKLKESIAEKPYWPVLFGKSEVVTVASVIKMLRRRTVTDTKIRIKYACLAILSSVLLPTSLKMKICREHAEAIEDLGEFFAYPWGRLALTCLCCSSSEADSEDDVFNPSDRFGKKQTLNTAHARFVDKTDNVLVHSLLLEDPERPIDESTLVWSDEEHDETVENMIDLINVNHQFSTSHFVGGVSRSEVDHLVIERLKPQLQNFNNKIEQFSTRAELIKGKVIGIVNCVLSNFKDEIGRSVEAMVPDLCKNYAEVRGVPAAPTVVTGNGQAISAHPDKHVLDGNANTIINIIQNISEYSTPPSSPQNNQAGNKTPSIEGVAKPGFASPDLATDCGAMSAHSQNHSRHTDNIIPLEDVNTVPPHFIETPSFSLGLTQEEHIRSAEPLTRQKVADVTTLSDINVGDNIAYPQSLRNSKRQKTLPPALVHDYLCGPHIDSRLHRPRESVFVCYERREIERKINVLSRKLSVNVVINVGGLAVSSKEMLLMAERSRLYPGKVVDILIRLVRCVVSLPTSSERSYYFLDTRFGTSIVRNYQKFSKAKKKESFKFPKGVVDFFGERDASPRLGIRYYFPLNLGKTHWIGVCFDTSRGKLYVLDCNVALFNETSMGSFLYPFLQMLPYLARQFGKEMGTQCVTPYKFDRPKSVFQSDNPADAGLIAVLLMVRHAVYGFEACRNLSPETVADEGKSAAIMALESTEKL >A03p053310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22865020:22865433:1 gene:A03p053310.1_BraROA transcript:A03p053310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITALEKPQYPVVDRNPPFTKVVGNFSVLDYLRFSTITGVSVTVGYLSGIKPGIKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVAASHQKRGGSFN >A10p034020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19792930:19796874:-1 gene:A10p034020.1_BraROA transcript:A10p034020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTNWLTFSLSPMEMLRSSDDQSQFVSYDASSAASSSPYLLDNFYGWTNQKPQELFFKEESQLAAAASMADSTILTTFVDQQTHSQSHIPKLEDFLGGDMRYSDNSQSETQDSSSLSQIYDPRHHQNQNQNQTGFYSDHNPDFNKTMAGFQTAFSTNSGSEVDDSASIARTHLAGEYLGHVVESSGPELGFHGGASTGGALSLGVNINNANHRTSNDTNQITEHHYNVERINNNSEKRDSEKEKPVVAVETSDCSNKKVADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDREDKAARAYDLAALKYWNAAATTNFPIANYSKELEEMKHMTKLEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIMKSALPIGGAAKRLKLSLESAASAEQKPNVGHHHQQQLHHLQQQQQQLQLQPSHINSSINFALCPNSDVQSQMIPCGIPFDAAALYHHQQQQQQQNFFQHFHAASDSTASNNNNSNVQGSMGLMAPNAAEFFLWPNQSY >A05p043720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26347266:26349629:1 gene:A05p043720.1_BraROA transcript:A05p043720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP37, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G15520) UniProtKB/Swiss-Prot;Acc:P82869] MASLLSLSTVVSHRILSLHPPPLNRSLLFVKPKLPFSRTVSRDLRMRLHSQTTNYGDKEHKPSSIDSKLNPLEGAGTKNLEKVVATILILAQVWSPLPLFGLDSAYISPAEAVLYSPDTKVPRSGELALRRAIPANPNMKTIQACHSTRLFTFWIPQRKPYGTMESNVKKALKVAIDDKDSILASIPADLRDKGSELYASLVDGKGGLQALIESIRYQDPDKVSIRLASSLDTVAEMELLQASGLSFLLPQQYLNYPRLAGRGTVEITIEKADGSTFQAESGGDQRKSATIQVVIDGYSAPLTAGNFAKLVTSGAYDGAKLNTVNQAVITEDGSGKVESVSVPLEVMPSGQFEPLYRTPLSVQDGELPVLPLSVYGAVAMAHSENSEEYSSPYQFFFYLYDKRNSGLGGLSFDEGQFSVFGYTTAGRDILGQIKTGDIIKSAKLIEGQDRLILPAQNNSSST >A03p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19708784:19709075:-1 gene:A03p046620.1_BraROA transcript:A03p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRWSCQRFKELSSMPLEWLSALPPHLRQEIEEATDDIPCSQIPGLDMAIDGSSISNFSSSVLGDDGCVVVLGSLTATS >A09p066720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52818731:52843302:1 gene:A09p066720.1_BraROA transcript:A09p066720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVAIILFVSICDSYRCYSPSDLNPSRYVVRSTRFQHQKQQSVVSLCSKLANSWVTTTIFIFIFFILLLGASHCRLDMVAINTSTLQVSSIFSKNINKTTIPKIITKIPLDCTLLNNNMTQTCPSNYPTKFEPAISSSETCPDYFRWIQQDLKVWQETGITRETLERAKSNAHFRLVIKSGRLYVDHYDKAYQTRDVFTIWGILQLLRMYPGQVPDLELLFLCHDRPGIWKTYFRKEDNATWPPPPLFHYCGHRDAYDIVFPDWSFWGWPEVNIKEWNKLSVAIKEGNKKVKWEDRVPYAYWKGNPMVSIARRNFMTCNVSDKYDPMVRLYVQDWKRETRAGFKGSNLEDQCTHRYKIYIEGNAWSVSEKYILACDSMTLLIKPEFYDFFVRSMIPMEHYWPIRPNNCVDLKFAVEWGNNNTDKAQVIGRQGSEYMMKNLEMKYVYDYMLYMLQGYGKLMKLDVTVPENATEVCSETMACPITDGALIRQCMDDSLVMSPSVKPACDLPQPYGDGELKRFLEKQENAEREVEKWTDEYWEFVLGESRFQVTSVFTRNTNKSATTTTNIPKIIIKIPLNCTLLNNNTTQTCPSNYPTKFEPAISSSETCPDYFRWIHRDFKVWQKTGITRDTLEKARPHAHFRVVIKSGRLYVHQYEKAFQTRDVFTIWGILQLLRMYPGQIPDLELLFLCHDKPAIWKRDFKKDTWPPPPLFHYCGHRDAYDIVPELNIKEWNKLSVALKEGNKRVKWEDRIPYAYWKGNPNVSPVRGELMRCNFSDKYDPMVRLYVQDWRSEIEKGFRGSNLEDQCTHRYKIYVEGNAWSVSEKYILSCDSMTLLVKPEYYDFFIRSMVPMKHYWPIRRNNKCRDLKFAVEWGNNNTEKAQVIGRQGSDYVMQNLEMKYVYDYMLYVLQGYGKLMKLDVTVPENATEVCSETMACPITDGGLIRQCMDDSLVMSPSIKAACKLPKPYGDNELKRILKKQESVKRRVRKWTDEYWNFVLGESRFQVISVFTRNTNKSATTITTIPKTIPLNCTLLNNATTQTCPSNYPTKFEPTISSSETCPDYFRWIQQDLKVWQETGITRETLERAKPNAHFRVVIKSGRLYVDQYVKSYQTRDVFTIWGILQLLRTYPGQIPDLELLFLCYDKPVIWKRDFNKTREDTWPPPPLFRYCGHRDAYDIVFPDWSFWGWPEVNIKEWKKLSVALQEGNKRVKWKDRIPYAYWKGNPHVSTPIRKELMRCNFSDKYDPMLRLYAQAQVIGRQGSDYIMKNLEMKYVYDYMFYVLQGYGKLMKLDVTVPENATEVCSETMACPITDGRLIRQCMDDSLVMSPSVKAACNLPKPYGDYELKRILKKRQSAERKVMKWTDEKTKTISLEQKSMSNLKLCVEVISARLKPREERTHHGDGYGGVNASVELRFDGQIVKTSTKIDDASPVWNEKFFFNISDTEDLSNLILEAYVYNKTSSITKSCLGKIRIFGTAFVPYSEAVGMHYPLEKEKRSVFFSAVRGELALKVYVTDSPSLKVPIINPTKKVTSHTRHKFHNIPTTEISKPSQQRDPEPPQPQPRPPKQQSPQPRPPRPRSPQLEPLQTLLPQQPPVIGASRFQASRYDSPLPQAAMGYDPTPPDYSIKETNPILGGGRQARNTRAHDLVEPMEFLYVRVVKARNLQTMDPTGSLDPYVEVKLGNFTAKTKHFEKNKNPIWNEVFAFSKSDQQSNFLEVIVMDKDVIKDDFVGSIRFDLDEVPTRVAPDSPLAPEWYTVNFERGGEIMLAVWFGTQADEAFSDATYSDALTALNKSSVRSKIYHSPRLWYLRVNVIEAQDLVIVPDRTRVPNPYVKIKLGNQMVRTKSNQLLNPRWNEEFTLVAAEPFEDLEISIEDRVAVNREETLGSAKIPFDIIERRVSDNRIVPNRWFSLKFENQRRARVATTRILLNVCLEGGYHVLDESTYYSSDFRPSMKELWTRQQPSLGVLELGILGVEGLNMSHDGKKETVDAYCVAKYGTKWVRTRTVTNCFNPRFNEQYTWEVYEPATVITIGVFDNNQINGGNNKDGKIGKVRVRISTLESGRLYTNSYPLLVLRPSGVKNMGELHLAIRFTCTSMFQMLVQYWKPLLPKMHYVRPLKVVHQEILRQHAVSLVAARLSRTEPPLRKEVIEYITGSDSHFWSVRKSRANFFRLTSVLSCLLGTGEWFQDICTWKKPVASAAVHVLYLAFVCLSEMILPITSLLLFMLGVWNYRLRPRQPPHMDTRLSFADNVHPEELNEEFDTFPYSSQDPGTVKMRYERLRGIASRAQTVVGDIAGQGERVQALLSWRDPRATSIFMVLCLVSSVVLYVVPFKVFVLLGGLYIMRHPRFRRKTPPGLVNFFKRLPAKTDCML >A04p032220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18824498:18828135:-1 gene:A04p032220.1_BraROA transcript:A04p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAISTRNAVAILVTLLLVSARPSSSTLQQDFIHCLVDNTDMAFPIRASFFSPDQNATLFKEELESTAQNLRYLTQSNPKPVFIFEPLYETHVQAAVVCAKKLQLHMRLRSGGHDYEGLSFVAENVTPFVVVDLSKLRQIDVDLDSNSAWAHAGATVGEVYYRIQEKSQTHGFPAGLCSSLGIGGHLVGGAYGSMMRKFGLGADNVLDARIIDANGKILDRAAMGEDVFWAIRGAGGGSFGVILAWKIKLVPVPATVTVFTVTKTLEQDGTNVLYKWQQVADKLDEDLFIRVIIQPAGKTTKTGNRTISTSYQGQFLGDSNRLMQVMQKSFPELGLTKKDCIEMSWIKSVMYIAGFPNSAPPEALLAGKSLFKNHFKAKSDFVEEPIPVEGLEGLWKKLLKEDSPLTIWNPYGGMMSRISESETPFPHRKGTLFKIQWLSTWSDGKASEARHIEWMRDMYSYMEQYVSKKPRRAYVNYRDLDLGVNEGESDAREWGAKYFKGNFERLVKIKGEFDPENFFRHEQSIPTKIG >A04g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2528995:2530068:1 gene:A04g500940.1_BraROA transcript:A04g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHNQTRGGPLVSWCSMEKLKMKKKRVHGIRSEVFERETWFGKESLGGFLVILMLVTYIASCSDRYGSGTVAEPA >A05g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21792861:21793875:-1 gene:A05g507660.1_BraROA transcript:A05g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDIIHSVGATAAHTCVDTLEGIKKKELVLIGDLNSFISNFHCSQPKRLISSTRPGLFVSIKKMGGHLCHALIATKKLERHGNSLNCTRCVTSDVTGVVRFRVELAIDDGKDSTTFSVFDKEMSKLTKLEAAVLAFDAVHEGEEERLPGFLEELEEKEFVFQIRVIPFNFTPNHRTFTVSTITDDDTIANHVKEHFVGIPSNSEDNVGLAASSSGPPILGDKTGEECATETPPEHANSQKKCKCGSE >A02g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18743588:18743965:1 gene:A02g506710.1_BraROA transcript:A02g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRLYEVEKTPIHNKSYLNDQEDEDVLFSRVASGRAGVSCSPLVTYADYNQERMENQIWIMRPRQEKETETCSRREMIKSVLRWGLTDLSFFLYCSCGACNT >A09g506050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18409541:18409765:1 gene:A09g506050.1_BraROA transcript:A09g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLSIEKDTKDLYLFINSPGGWVISGMAIYDTMQFVRPDVQTICMGLAASIASFILVGGEITKRIAFPHAWRQ >A08p034300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20432695:20436618:-1 gene:A08p034300.1_BraROA transcript:A08p034300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPALRCSPGKEHRRGHSIEYGTLFRDNDEEDLALFSELQEKERDDFLLQSSDDLEDAFSTKLKHFSEFTIPIQGESSRLLSAEEDKNDYDWLLTPPDTPLFPSLDDEPQATSVGTRGRPQSQTSLSRSSTMEKRRRSSKGSPSPNRLSTSPRADTMQQQIRGRPSSSRHPSPASGQRSVTPVRRISPSPGKPVSSRSSTPTSRRMSTGSTTTAAPPAGRGTSPVRSSRGNSASPKIKVWQSNIPGFSLDAPPNLRTSLGDRPASYVRGSSPASTRSRQSVSPSASRSVSSSHSHEDLLHPIQSIPVGSSERAVSKRASLSPNSRTSRSSKLQSPGSAPRRPFESALRQMDHPKSHHSMFRPLASSLPSTGIYSGKSSSSSYHHLMLRHSSATVGSNSSSSQVIGFMPDTKGSDSVAQSEAYPDKHGEIMDVLNEGSRHESHESSQSDMDQGYAVECESSVNEEVNHIGNDFLEGADLETMEVCGRCGSHYRATETTRSGINICPDCREEHSFMETDSPGTTRALDENSKSQEKFDEKEYIVEKTPAISVLESLPVAMVEIEQCDDSYEQEENHLQESSIFRALGEQDDEIESSTGCGLLSTETKYTQTQLSEKDHDVKIGSSEGRRGLPMLIKRSVSMKSPITQASNSSGFTRSYDGFSYLRDKSMSLRSSTETTSASSSWDYGSSIRKGSHVRHQSGSTLDMETHRYETNSKFLSSMSSNACQALNAVPADSFEVCAAQMTCTNDETHQESHYELKDPKCNETNVMNGSIGLSTNVVGVLAEHDPVITENGFSENRDDVDNTVMSKVEISESPAHVRNTSEVGASTATDDCSLYDHSKLQEKDVNETPHHGSSTTTSSEIEPESCKIPESECNVNAVDDDWSEKSMAHASVDHHNSLPPVNEISDESTVLVECPGQKEPKSLTLEEATDAILFCSSIVHDLVYQAASIAMDKAKDVAATEEEVLRPTVTVLGKSDANKSSYTSGGGTKTKKQSSKGAKASRKQTETEENIEVHIENDENAGEAARMIGNVGVPPSNKADNLKPPPKLENKCNCSIM >A06p056750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29525306:29529476:-1 gene:A06p056750.1_BraROA transcript:A06p056750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TNF receptor-associated factor homolog 1a [Source:Projected from Arabidopsis thaliana (AT5G43560) UniProtKB/Swiss-Prot;Acc:Q8RY18] MLETSNEDCGSGQSSEEENLNRQRRYLEALAEWRSSDQVENGFPSTWPPNWDIDDGSKHSELYGKHTWKIEKYSEINKPELRSDVFDAGGYKWYISIYPQGSDVCNYPALLLCLANHAEIPPGCSHFVQYTLALVNKDPKKSIYFDSLNRLKKLEHYWDWDKLIELPKLQEGYIDDLDSLIIKAQVQVIREKIDRPFRCLSSQYRRELLRVYLPYVENICWQFMEVERIKLFNLMQDNEKWESFSSFWLRLDQNTRRKMSREKMDVVLNIAAKFFFVLRQGTSTLMMDSLYSGWKTIEDETKKKKNRQRQMDNVELPAGPAPIVSVDQDMFVLVDDLLVLMKRTVMAPLSIKEENGSQNPSKVWDFGEEYSEEAIVRDESRLTEVGRRTMEMLVLTHIYGKVEVAYKEHTAWEMQEELIREEEEEWLAESKHKAKRGTTEKERKSKKKQAKKKKNNKKEKRKEEKARSQTEERDTEEEESVRVKTESPAEKPDTLGESMPVPCELDAPEIHNPPSENTSGGRGRSSSISIPSGGSSISIPSGVAERKYTSVYSDESVRSYKGNVSNRQNKIWRIKGKTQPRNVSGANSSATETENQPSRHASNPKSQSHSSETRRVGEAAVVISPIQEAQNPREHHPVTKDGGTVLTQEKSPAVFSPPKASPWNLPSARSPLSDQQASQSRDIEFQTVGSRAALQNTTSPIPPSMSTRPLRAPTFLRKPAAPRTSATSFARSMSSTGPVSAPTHTQTYIPQSYKNAVVGSDHSSSQSTGTSALLSISNHSGFPINVASAEVPWTGGSSSSRDTSATNISGNHRMNPVSTSMRQNDAQIRRPAQSLMTDEFPHLGIINDLLEEEPVLMDATSGYRLPQWLSDVYSYLADVGISGRYRSYSEHMSGSSSSSSSFSLYGNGQSANMATRSQNDAFYLPYL >A09g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18987055:18987895:-1 gene:A09g506330.1_BraROA transcript:A09g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEDTKHRLGGAHINLKVKGHIQNPLIFTKPEQSILLMIKSSTQLKKLMDAYDFREHKQCRQIKMFSSTTENERN >A04g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12074402:12078280:-1 gene:A04g505800.1_BraROA transcript:A04g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEIIRAPGFRNHLQGTAKTLELRHLRLESTIREDAARPGKTPASGSDEDLKSVAGPPTHEINHTSYIGASSDIEKTPTEDYSEEEAEEYNTSEVDWGEEADQDCWDNGDDHTEGHWCADSVPEYVPNDEQEYPEVEPELMDRYSTCCDPKSQLIYEDSSEGKYYSQAFPRREKTTVAAPSRSYHGRPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKTKHIQKMSTRRLIKNQVLQPTVQREVVFQRQSSRPVHPPQVKRNQGKHSNSLKPPEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMGKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNPTYQNTGMMHLHSVQNVDEGLGNEETRTEAQQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNFTQYYFFESSTSSMKHLLFPISDDSDIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPSIYQGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTCYIGASSDIGALKEAYLCNHKEFNPCTKLLKYYSAYKAQASGLIKGIFPQSFLATIDPFKLDNSCCLVI >A01p019280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9331452:9334812:-1 gene:A01p019280.1_BraROA transcript:A01p019280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase [Source:Projected from Arabidopsis thaliana (AT4G26540) UniProtKB/Swiss-Prot;Acc:C0LGR3] MPPHIYKLPFFTCLLCFLFIPCLSLDEQGQTLFSWKSQLNISGDTLSSWNVADASPCNWVGVKCNHEGEVSEIQLKGFDLQGFPPVTILRSLKSLTSLTLSSLNLTGVIPKEIGDFPELKVLDLSDNSLSGEIPVGIFRLKKLETLSLNTNNLEGEIPKEIGNLSSLVELMLFDNKLSGEIPRSIGELKSLESFRAGGNKNIRGEVPWEIGNCEHLKMLGLAETSLSGRLPASIGNLKRVQTIAIYTSLLSGPIPDEIGDCTELQNLYLYQNSISGSIPTTVGSLKKLQSLLLWENNLVGKIPTELGNCPDLWLIDLSENHLTGNIPRSFGSLTNLQELQLSVNQISGTIPEELANCTKLTHLEIDNNLITGEIPALMGNLKSLTMFFAWQNKLTGNIPGSLSECGELQAIDLSYNTLSGSIPKEIFELRNLTKLLLLSNEVSGVIPPEIGNCTNLSRLRLNGNRLAGSIPAEMGNLKNLNFIDLSENRLVGVIPPAISGCESLEFLDLHSNSLSGSFLGTLPKSLKFIDFSDNSLTGPLPPGIGLLTELTKLNLAKNRLSGAIPREISTCLSLQLLNLGDNAFTGKIPDELSQIPSLAISLNLSCNAFVGEIPARFSDLKNLGVLDVSHNRLTGKLTVLSDMQNLVSLNVSFNDFSGELPDTPFFRKLPLSDLASNKGLYISDGISTRPDGLTSSTRRSSAVKLGISVLIAVTAVLVLLAVYTLVRARAAGKQLLDEEIDSWDVTLYQKLDFSIDDIVKNLTSANVIGTGSSGVVYRVTIPSGETLAVKKMWAREQSGAFNSEINTLGSIRHRNIVRLLGWCSNRNLKLLFYDYLPNGSLSSRLHGAGKGGGVSWEARYDVVLGVAHALAYLHHDCLPAIIHGDVKAMNVLLGPQFEPYLADFGLARTVSGNQETGIDLSKPSSRPPLAGSYGYMAPEHGSMQRITEKSDVYSYGVVLLEVLTGKHPLDPDLPGGAHLVKWVRDHLAEKKDPSKLLDPRLGGRTDTIMHEMLQTLAVAFLCVTNKASERPMMKDVVAMLTEIRDIDVGRSEIDKIKDGSCGSKEPQVISHEKIIIAHGSSNCSFAFSDDSV >A06g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12421031:12421464:1 gene:A06g504030.1_BraROA transcript:A06g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLASRFFQTKWFLRGKEQDGHGAVRTIIRVRLMHQLGPSTTQINFDHQSSGTDHTSRLIHQYVRWDNWLGSDGPKGKGYGLARTPLDQSAFSLDHPSWLGEWDGEDGLWFGWFGRLDVVPALAPFRTLAG >A03p066780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28544012:28546134:1 gene:A03p066780.1_BraROA transcript:A03p066780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLGSDKEKKGSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAMRAHDVAALSIKGSSAILNFPELSDSLPRPASLSPRDVRAAATKAALMDFGSTVRSESETSEETAVSGQKSESESNETTTSSKRSESETSETASFSSFSVTSVDEDSTVSDDLDYIVELPSLGTSLDESSEFVFFDSLEDLVFLPSWSLSGTVDDFTYDNDSLLNSMLKNGVVAGRSRDLMMAAKRRRHRRIAWQDEKERRASTNPCS >A01p008940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4299983:4303318:1 gene:A01p008940.1_BraROA transcript:A01p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLHRSFKPAKCKTTLQMAASRLKILKNKKDTQIKQLRRELAQLLESGQTQTALIRVEHVVREEKTVAAYELVGIYCELIVVRLGVIDSQKTCPSDLKEAVASVLYASKRLSDVAELADVVKHFSAKYGKDFVSAAVGLHSDSGVSRLEIATEHNVTWEALVEPDPKETVLSQSGASSSQSVSGINNQPPSVQAPATANVAHSFYETDGRSSSRTASTDYYHQDPTPSERMRTTMQSSTESRNSSSYDDIRNEPSHRHSSSSSNVQSGGFVKEEMLRSSYKQEEDQSTTRAELSKKNVDQESENASWKRGHSRDNSLEMRPSDSFAKVGREKQQQPIKDEDVQLKKQSSLASSSSHTSYVSDDNNVTASDHINAPSIFEDTERQSHGHDVPPAFDDHSSFFDKPQFDTEDAYGDEQEHGLGFSLLGSSSNMPSETHSWSFKGDESLGQQPSSSTSQVLEKERPSSHIFDDCPTSPPPSLDEPKPSAKFDDYDRDSESEEDDMRNKGMLSGHVEEKPEVTASHKSPTAEAPDDLGRYFFPSDTEDQEDDSVPLEESDAETPKGLSYGMSPPREKTLSKSVKEHVQTEVDPPLQTVPSSSISQDLYTQKASNLDKRPSSIPPYSSSSDDETEMELRKRVSIRNQDKRTELSTRPTHPPSRITHDVSKEGIPTRASVRSQEKRTNRTTPAAVSYFHSVSSDDEDDEREFRRGASGVQTKPSVSISRRTKGQERRSSLVTAKTDKVSHDQEDSIPKLIPEAKPLAKKQESASSSSLPKTEKASQEAPPKLAYKAKPQADQERPASSSSSPIPETVTSPEQVTPAKEKASHVHPKLPDYDDIFAKLGALRR >A03p034210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14452433:14455637:-1 gene:A03p034210.1_BraROA transcript:A03p034210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGRGGGMGGGINRRYLSQVMDSCGKDLATAEEIVDDLRSRYGNFARLTRQVLLLNVRNVLTARNNNNKRAAKDEEEDDNNGGGDDSDAAPSKMKKPRRVDEKEEKLQRAEQSHLKRRNRDKSPSSSSSSSSEEEDSGDISTSEDAIYSQKLSPRFDLINDSLRDNYAKMNNSPAAKKPVVEKNVEVETVTNNKGRSKMGLKKEARVSLPMSGTTPTDNSNTKGGGGGPTFKDFGGIKKVLDDLENYVLFPLLNPPPFKTMGVKPPSGILFHGPPGCGKTQLANAIANEVGVPFYQISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIGSKRENQQREMEKRIVTQLLTCMDGPRNKGDKNDAADSTSSGYVLVIGATNRPDALDPALRRSGRFEREIALNVPDEDARAEILSVVAQRLRLEGSFDMKRIARLTPGFVGADLEGVANMAGSLGIKRVKDSRKLQLSGDSDNQDDRSWLRQPWSEEDLEKLFVRMSDFEEAVKLVKGSLTREGFSTVPNVTWGDVGGLDHLRRELNNYIVRPIKNPEIYKAFGASLETGFLLYGPPGCGKTLVAKAVANEAGANFIHIKGPELLNKYVGESELAIRTLFQRARTSSPCVLFFDEVDALTTSRGREGGSVVESVLNQFLTELDGGERRNVYVIGATNRPDVIDSALLRPGRFGNLVYVPLPNADERVLILKSIAKKRPIDPSVDLDAIAKNCEGFSGADLANLMDKAIHVAVKEKFGSSESSEDDNIDLSDCTIKMTHFEQGLSLVTPSVSKQQIKHYEELPKKLQRSTGRNNMEQINVGSTFALEENKGLS >A08p030710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18806811:18808845:-1 gene:A08p030710.1_BraROA transcript:A08p030710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSEGENVRYVPSDLATDVIVIIGDFKFYLHKFPLLSKSARLQKLIATTSSSNEDNEIHEEDEIEIAEFPGGPASFEICAKFCYGMTVTLNAYNVVAARCAAEFLEMHETVEKGNLVYKIEVFLNSSILQTWKDSIIVLQTTRALSPYAEELKLTGRCLDSIGSKASIDTSKVEWSYTYSKKKNLDNGLRKPHAVPRDWWVEDLCDLHIDLYKRVIITIEARGRVSSDVIGEALHAYATKRVPGFSKSSSSIQITDIAKYKALVGSIIELIPDEKQSVSSSFLAKLLRASIFLGCDDETGLMNRVGERLDEAGLGDVMLYDVDLMQSLAEVFLRCHHSEEDDIKAKASVAKLVDGYLAEKSRDSDSLTLQKFLALAEMVSSFPRQSIDGVYRAIDMFLKLHPEISKSEKKRLCRLMDCRKLSAEACAHAVQNERLPMRVVVQVLFFEQVRANSNGSSSTGDSTPEITPASRSTNTEDDRESWDTEDIKALRGELASLRLTKNQQQENSKGKVVKGGGLGVSRVFSKLWSGKERGGEMMSSSGTSSPGSVNDDSKSSSSTSKKH >A04p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7478871:7480621:-1 gene:A04p009870.1_BraROA transcript:A04p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLGRRRQRQRRKKTRHRKTKRAKDARGDFISSMPDEILHHILSFTVTISAIRTSALSRRWRHLWRELTSLYIHDFGPQARGINQILTHYTAPKIMSFHLGIYNDNHSTAQINSWIEFAMSRRVQDLSLTFFNHRKYTFPDFFNLSSSIEQLSVKLRDRHMIPGATVSWESLRNLSLRRCRIRDESIVKIISGCPKLEFLALYYCGLFNCLDLSKSLSLTRLEMKHYPWRSRSTKIIAPNIHYLRLKTSDTCNLVDVSSLTEAYLDIGIDGNFSFSVDYLLTMVLKMIAKLQNVERLTVSKTVLQILSVAEIRRFPFRVLRVQTLIVKIKFVRSAIPGTAWLLQNSPGLKKLIVHTTDKGRMMDDKYVGSYLNSQGLKLDKYWRLKYGAFPTSCETYSMRSKDSTWKLLASFIECLLRNTKTLETLVVWLGGSDFNAKLFKGLLRMVPTLSDNTNVSIMLKLSNC >A10g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11765088:11766548:-1 gene:A10g504790.1_BraROA transcript:A10g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILDRIGRTGHGAGRRTSQVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >SC150g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:171220:172938:-1 gene:SC150g500090.1_BraROA transcript:SC150g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFLSLQVTLRLYGQPCSPSSYLLALFFMSTEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A06p034610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18753798:18758316:1 gene:A06p034610.1_BraROA transcript:A06p034610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERLGTSKGSCWVRTTTILAPNINHVPMTTQPTRKKLLVSLTVVAFILILPAAVFGSQLKSSQHVPGQARKPSQAISKACDLARFPELCVDSLMNFPGTLAASSDNNLLHVTVNMTLHHFNHALYSSSSLSFLDMPPRVRSAYDACIELLDDSVDALSRALASVSGGQTKPQDVMTWLSSALTNHDTCAEGFDGVNDGGVKDQMTAALKNLSELVSNCLAIFAASSNGNDFDGVPIQNRRLLGVGGDENSKFPRWTKRREREILEMPVSQIQADIIVSKDGNGTCKTISAAIKKAPQYSPRRIIIYVKAGRYEENNLKVGRKKINLMFVGDGKGKTIISGGKSIFDNITTFHTATFAATGAGFIARDITFENYAGPAKHQAVALRVGADHAVIYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVLQNCSIYARKPMDLQKNTITAQNRKDPNQNTGMSIHASRILATPDLQATTGTFQTYLGRPWKQFSRTVYMLSYIDKHVHTRGWLEWNTSSFALDTLYYGEYLNTGPGSALAQRVNWPGYRVINSTAEANRFTVAEFIYGSSWLPSTGVSFLAGLNI >A04p015560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9654510:9655845:1 gene:A04p015560.1_BraROA transcript:A04p015560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transmembrane ascorbate ferrireductase 2 [Source:Projected from Arabidopsis thaliana (AT5G38630) UniProtKB/Swiss-Prot;Acc:Q9SWS1] MVVPMLGGFPIFLVVRVLGFTIAVLVLTWTVHYRGGLALSSDNKDLIFNVHPVLMVIGLVLFNGEAMLAYKSVQGTKNFKKLVHLTLQFAAFILSLVGVWAALKFHIDKGIENFYSLHSWLGLACLFLFAFQWASGFVTYWYPGGSRNSRASLMPWHVFLGVAIYALALVTVTTGILEKLTFLQVNKVITRYSTEAMLVNTMGVLILVLGGFVVLGVVTPLNAKDQVLTQ >A01p052050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29146509:29150539:-1 gene:A01p052050.1_BraROA transcript:A01p052050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRRGARRRGRVAEPPALNQQDKKQRRRNKAVAAAVGVTERPRTRLAARKLKEEDKAIEEVVVVVEEEEEEEEEEEKIVMAIGNDSGGSNKAAAAAQEEEGNTAPFPERVQVGGSPLYKVERKLGKGGFGQVFVGRRISGGNDRSAGASILEVALKFEHRSSKGCNYGPPHEWQVYNTLGGSHGVPRVHFKGRQGDYYIMVMDMLGPSLWDIWNTSGQAMSSEMVACIAVESLSILEKMHAKGYVHGDVKPENFLLGQPSTSQEKKLFLVDLGLATKWREGGSGQHVEYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPDMLCCFCPPPFKQFLEIVVNMKFDEEPNYGKLVSLFQDLLGENPAIRPINTEGAQKIIFQVGQKRGRLSTGEEEEDAPRKKVRLGVPATQWISIYNARQPMKQRYHYNVADIRLAQHIERGIADGLLISCVSSCSNLWALIMDAGTGFTNQVYELSPVFLHKEWIMEQWEKNYYISSIAGAANGSSLVVMSKGTAYTQQSYKVSDSFPFKWINKKWREGFHVTSMATAGSRWGVVMSRNSGYSEQVVELDFLYPSEGVHRRWDGGFRITSTAATTDQAALILSIPRRRLVDETQETLRTSQFPSTHVKEKWGKNLYLASLSYGRTVS >A05p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29131158:29132216:1 gene:A05p051830.1_BraROA transcript:A05p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSLERIEIPGPTLASLIQRASSSPGDADGLLFGQIHRIVSTTLSDDSPSEPPSSSSSSSSSDQIVATVTSFISSGKTVSFYDPLGRVDSLRIDSLRVDSPDRLLGWFSARRTTANRPSMRELAVSSSLSSRFHLPIENSESPNMASSSVFILLTTPSTDQLIHTHEYRAYQFRPLNRRLEPRSLGIVNIGPAFRGHYGSFNPKSGFPPLLCEVSSSGMMNEDRDEGSLSGKKQAVKDQKEVDALADGFGVGSLKRLVGAEAASYTGGVEEMYERMLAKVESLASEVEKSSAKVLELDNHNRKLRYRVARIER >A03p022340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9376097:9377300:1 gene:A03p022340.1_BraROA transcript:A03p022340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRRKCNNKVSTCLGIDTDNKVKKHDLEAEKRLKKVEKDYIHASQKYAEATLQRWNLRCERERAINLCCSAENFKNYCNQLVEKLQKILDKLPTSETKEVIDHGVQSSIFSDFRKINGEARYYQRKLPRINSRKAVNDLRKEVRKKKTCRDKAVSNFASVCNPTSHDSLRKSVEQEIVVVKKLIREIQKDFEEKLHIEQYAINVYECIERKVKHLEVKKEHLSGQRDILRLNISKMNTIVTVLPPKRGKKTHLDAQYLKKKHAYDLFAV >A09g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10121084:10126805:1 gene:A09g503120.1_BraROA transcript:A09g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVDEKTLQQTSTWAVAVVCFFLLLFSIVIEKLIHKLGTWFKIKNKKALYEALEKVKAELMLMGFISLLLTIGQNYISQICISESIAASMHPCSESEEAKKYPPKKKDTKNDEENSGRKLLELVQSYIPRRSLATKGYDKCAEKGKVAFVSSYGMHQLHIFIFVLAVCHVIYCIVTYALGKTKMRRWKRWEEETKTIEYQYSHDPERFRFARDTSFGRRHLNFWSKSTITLWIVCFFRQFFGSVTRVDYLTLRHGFIMAHLAPGSDGRFDFRKYIQRSLEEDFKTISCDLVCRRAISPDQHKRYTNVQNVSASDISCNNPRTNIFALIFLRTGLHSFLWQPFIPLVVILIVGTKLQVIITKLGLLIQEKGDIVKGMPLVQPGDHLFWFGRPRFILFLIHLVLFTNAFQLAFFAWTTYEFQLENCYHKTIVDVVIRISVGVIVQILCSYMGSKMKPTVFNERVATALKSWHHTAKKQIKHGRTSGSTTPFSSRPTTPTHGSSPIHLLRNVHKRSRSADESFVNTLSPRNSDFDSWRPEPQQEPSSSSANHHSRFREEDSEKMKPSSSSLELPLGPGQIRTHQHEISISLRDFSFKG >A01p057170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31912099:31913584:1 gene:A01p057170.1_BraROA transcript:A01p057170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTSPQISWWNAFGSQPLPPVSLAGDSDSFGSAVETEHGVDKQNNSATHLAFSLGDVKSSRVVAKPHGAAFSMQPPCLELGFTQQQMYPCVEQGYYGVVSAYGSQSRVMLPLNMETEDGTIFVNSKQYHAIIRRRQSRAKAAAKADGTKQTQSQAMSQQSNSQNSEVLHPESGTMNLSTGLNVSGSEVTSMNYFLSSPVHPLGGMVMPSKWTASVAAMDNGCCYFKT >SC244g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:146699:147068:1 gene:SC244g500070.1_BraROA transcript:SC244g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGHITTGEAPKLITC >A03p067340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29624331:29628229:1 gene:A03p067340.1_BraROA transcript:A03p067340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNTACESLTDCIEDLRESGSCSSTCLNYCLSICKIVVTPPPLIHHGLDDNIKKIIIITACSIITTLFLLTLLVLCFKWYNRRRRSTALSRSIEEARTWDFDGPSSPVIIDHPIWHIRTVGLNPTVISSIKVCKYSKRDGVVEGTDCSVCLSEFEEEEMLRLLPKCRHAFHLSCIDTWLRSHTNCPLCRAPIVNDDNSEDIEETLVSINGDIDEETERGDEEEADGFLGIDIRDGEADKSLERVRRSVSLDSICYVVFAEEKLRTCKRWHQEVANNSTYEAIVDGENNADVFDEMKQRFLAFKKLKYMDNLEHFKKLADAQAPKQQFLVIACADSRVCPSAILGFQPGEAFTVRNIANLVPSYESGPTETKAALQFSVNTLEVENILVIGHSRCGGIQALMSMEGEGDSRSFIHDWVIVGKKAKESTKAVASNLHFDHQCQHCEKTSINHSLERLLGYPWIEEKVRKGSLSLHGGYYDFVNCTFEKWTVDYGSKKEVSGIAVKNRSIW >A04p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4288242:4289097:1 gene:A04p013220.1_BraROA transcript:A04p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVQNVLNISTEVHVFHRTRLDLDHARLDKDHARLDVDHARLDLDHAKLDKDHVRLDLDHARLNLDHEVSQNDRDFTLLDRLARTPCTDDCADDLSTLFDPIMDFSFENLSKATILKLSEDLGFVGTQLVRSERPAALADRPTYVLILTALDLAGSDASGQKPNELVLSFLVIHIQVNTSSNRWSCESYQATTRDPALGGLVSHIKHHLESGISKAFPQPS >A06p054570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28601474:28604201:-1 gene:A06p054570.1_BraROA transcript:A06p054570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRTYRDDVGGNMVDAFRTHIMQTKELGNCPVRQIGGCSFVYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPKDKPVPNATLQVTGAVGWRREGLSYKKNEVFLDIVESVNLLMSSKGNVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESEMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTINELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFQVTTGRAKYNPSIDCLVWKIRKFPGQTESTLSAEIELISTMGEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >SC124g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:123549:128134:-1 gene:SC124g500070.1_BraROA transcript:SC124g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEICKLVEHMCDVWEINQKPDRWKRGTSCKKGKLRKLSKIWVMMSRLCRKDIKESMQVGECLYSAYIGESVESSGVMRKLESKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDELKLGKLPWLTLESKPRPRAVWIREEQSG >A05p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7490254:7491917:1 gene:A05p016780.1_BraROA transcript:A05p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPMGAETTDLSLMVSLTMEEKQLDFNRPLLSVRRPAQASEPEIKPRSSDPVTNKIPPPSAPPVYKSDIKSGPVRNPGTVPFQWEHQPGKPKDETKPGLQSFVEPQYVPKPPPGRGRERQVSSVWKPETRVEDAKSCDEQDLMMGRFLPAAKAKEPVKMKQNKAGDNQICLRSSFGVLNPVPSVRMQAQRAVSVRRMRSKYQDNSNEPKTLYVDTVHSVDKKVQEESMEQSLLTEEVKNLIRPGEESVIRDEAFTECTDQAIVALPKSNVVVEVTKEKIDLEVKFKRNTRNLDSSRLHHRSTYHIVPPPPLPKAPSDSWLKRTLPTIPPKNNSFTWLQSLGADDNKIHNQASPKWETMVKTSNAQQGFVCFSKETLNPIPEA >A06p053770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28282141:28283760:-1 gene:A06p053770.1_BraROA transcript:A06p053770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formyltetrahydrofolate deformylase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G47435) UniProtKB/Swiss-Prot;Acc:Q93YQ3] MMMMRRIISERATCVSKNFILRSSASRFHGESLDSSVSPVLIPGVHVFHCQDAVGIVAKLSDCIAAKGGNILGYDVFVPENNNVFYSRSEFIFDPVKWPREQMSEDFQAIAQRFGAMNSVVRVPSIDPKYKIALLLSKQDHCLVEMLHRWQDGKLPVDITCVISNHERASNTHVMRFLERHGIPYHYVATTKDNKREDEILDLVKDTDFLVLARYMQILSGNFLKGYGKDVINIHHGLLPSFKGGYPAKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVESVSHRDNLRSFVQKSEDLEKKCLTKAIKSYCELRVLPYGTNKTVVF >SC223g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000078.1:171361:180820:1 gene:SC223g500020.1_BraROA transcript:SC223g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREKMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQWLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESTWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDHDGSNESGAQSIKAWKVTLAHIGEFSVGNMDMKHESIGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQLKLGKLPWLTLESKPRPRAVWIREEQSG >A06p038670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20792825:20795646:1 gene:A06p038670.1_BraROA transcript:A06p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS2 [Source:Projected from Arabidopsis thaliana (AT5G64630) UniProtKB/Swiss-Prot;Acc:Q9SXY1] MKGGTIQISWHDGKPVLTLDFHPVSGLLATAGADYDIKLWLINSGQAEKKLPSVSYQSSLTYHGCAVNTIRFSRSGELLASGADGGELIIWKLHPSETNQSWKVHKSLSFHRKDVLDLQWSPDDAFLISGSVDNSCIIWDVNKGSVHQILDAHCHYVQGVAWDPLSKYVASLSSDRTCRIYVNKPQAKSRSVEKMNYVCQHVITKTDQQRGDDTKTVKTHLFHDETLPSFFRRLSWSPDGSFLLIPSGSFKLSPTSEAVNATYVFSRKDLSRPALQLPGANKPVVVVRFCPVVFKLRGSSSEEGGFFKLPYRIVFAIATFNSVYIYDTECVAPVAVLAGLHYAAITDITWSPTASYLALSSQDGYCTLVEFEDNELGEPVSISVGKKLVDGEEKKHVLEKTDELMTETVPDERSKQAEPNQDEEKQKPLQSKVTSEEEKQVMQTSDEVMTETKPDGEKQPLQSKVNTPVSSKPARRRITPMAIDP >A06p005290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1551027:1551395:1 gene:A06p005290.1_BraROA transcript:A06p005290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSRVVAFLELSIRTRRMSATATPMADFLTKSPYTPPPWASHLRPLPSHTFSLAHRPTPIHRWNLPNLPNGTELWIKVTTLISPPLIFFSFL >A07p051690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27405878:27410256:-1 gene:A07p051690.1_BraROA transcript:A07p051690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHTMEKKKYPIGPEHYTIYEVIGQGCSALVHRALCIPFDEVVAIKILDFERDNCDLNNISREAQTMMLVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEEVIIATMLREALKGLDYLHQHGHIHRDVKAGNILLGARGAIKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITGLELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDRKFSRSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSSDYIARKLLDGLPDLVNRVQAIKKKEEDMLAQEKMADGEKEELSQNEYKRGISGWNFNLDDMKAQASLIQDIDCGFSESSLSESTTSLQALDTHDMQPETQEDIGQLANKHLQPLIHRTLSIARDKSDDESLASPSYDNYVYSSPHHEDLSLNNTTVASTHASNGKSMDSTSVATNQPTEIPAGNCVNKGESDKTQDHLQNGAHPTVGGDEAPTEMAVKPPKAAASLDESDDKSKPPVVQQRGRFKVTSENLDIDKVVPSPILQKSHSMQVLSQHSAASLPPSVSGSDVALPNLTSSYVYPLVYPVLQTNILERENILHMMKVLSYRELTDVRSGEPGGLHQPSGAPTEKSMLEAAHEREKELLHDITDLQWRLICAEEELQKYKTEHAQV >A06p039030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20961477:20965895:1 gene:A06p039030.1_BraROA transcript:A06p039030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT3 [Source:Projected from Arabidopsis thaliana (AT1G69770) UniProtKB/Swiss-Prot;Acc:Q94F88] MAPKRKVPSTEVEISGPTSTRPKISPKAPVEVAAEPIRSRPKFKVELVVDSKKDPSWVLDEPIADSEARTTWPERYQVIQKKEVVPLPKKKKKKYVEEEEIFLARRHFRRAILDDSITYNLNDDAHVQSEEDEKPFICKIAEIFEGCDGKMYFTAQWFYRACDTVIQKHEEMIDDKRVFISDIKDVNSMGVLLNKLKILMIPLTENTEVTESCDYYCNMKYRLPFSTLEALQSSQCITPNQRTDATMLDLYCGCGAMSTGLCMGAQLSGLNLVTKWAVDTCEYAVQSIKYNHPETEVRNESAEDFLYLLKEWEKLCIHFSLIESADSEKYENLYGMSAVEEVKDGSDESDVKDGGEVFEVEKIVGIKKEEGGGLHLKVRWENYGPSHDTWEPIENLRNCRKKIKEFVVHGFKTSILPLPRGVDVVCGGPPCQGISGYNRFRNEKEPLKDKKNEQLLEYMKIVEFLKPKYVLMENVVDMLRFVDGFLARYAVGRLVQMNYQTRMGMMAAGSYGLAQFRRRFFLWGAMSSETLPQFPLPTHDAVNRGHVPVNFHSNVVAYEETDNVKLADKILLSDVLTDLPVVANNERRAEMPYDKDPKTPFQKFIRLTQEGMLASPKDPKSNSKNEVLYDHHPLNLNKDDYQRVCRIPKKKQANFRDLPGVTVNANNKVEWDPKIPRIYLESNHPLIPEYAMKFVEGKSKKPFGRLWWDETVPTVIGRAEPHNHVIIHPNQDRVLTVRENARLQGFPDYYRLFGPTKKKYTQVGNAVAVPVARALGYALGQAFQGLTNGSDPLFTLPEGFPKPTF >A05p055240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32634988:32639337:1 gene:A05p055240.1_BraROA transcript:A05p055240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G01370) UniProtKB/Swiss-Prot;Acc:Q8L7C2] MLLPHFYSVLAAASPVGEMLLPVCHQQPLLPPDRIFPPFLIPRTLISLRRASFVVCSSSSDRKTLPQSAIQRIADKLRTLGFAEETNHDSPTTSGQNSPGEIFVLLPDQLPIHRVGHTIDTSWSTPSYPVPKPGSGTAISRFHELKRVWKKEKQVESKNEEKVPSLAELTLPAGELRRLRSEGIRLTKKIKIGKAGVTEGIVNGIHERWRTTEVVKIFCEDISGMNMKRTHDVLETKTGGLVIWRSGSKILLYRGVNYHYPYFVADGNLPQASSLETSSEASSVGDSREKQTTAESSDTSVTNKMVNKPLLIQGVGSPDKVRFQLPGEVQLVEEADRLLEGLGPRFTDWWAYDPLPVDGDLLPAVVPEYRRPFRLLPYGVSPKLTDDEMTILRRLGRPLPCHFALGRNRNLQGLAVAIVKLWEKCEVAKIAVKRGAQNTNSELMAEELKWLTGGTLISRDKDFIVLYRGKDFLPSAVSSAIEERRRQTMIMDKLSVHGNNLTENEEEIKLQAVTKDHQMKPRQRKSPEAILERTSIKLSMALEKKANAEKILAELENRESPQQSDIDKEGITEDEKYMLRKIGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKIICHEHSIEAAYEVAEILEAESGGILVAVEMVSKGYAIIVYRGKNYERPSCLRPQTLLSKREALKRSVEAQRRRSLKLHVLKLSNNIDELNRQLVEDSTTNETCSDGEPSSRVVQEETENQNVEPDKSRGETELSYSSDSTVPSSGEENWGDDSEDEVNQSTTSSHAYQEDESGSGSSRRHEGNSLDSTANISVLTETGFAEASSFHDRSMPRNSFLNAERKVPTGQELGSSTRSGSALTESKRENHELVADLSNRERLILRKQALKMKKRPPFAVGRSNVVTGLAKTLKTHFQRNPLAIVNVKGRAKGTSVQEVIAKLKEETGALLVSQEPSKVILYRGWGAEEEMKSFYPNSNVKNSINLTTTSSQRSLVNDPPPVSPALIEAIRLECGLE >A08p010080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7723459:7723776:1 gene:A08p010080.1_BraROA transcript:A08p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVPIYRRQQPITFRLVAARVSLRMAPVACGTAPLAPHGGQHDQDVMQGDTTSSTCLIRGLVACRSTPHRPHVDQHASIVDTSTSRASAYQSACDASMCADTS >A09p021110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11255710:11257900:-1 gene:A09p021110.1_BraROA transcript:A09p021110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CF9 protein [Source:Projected from Arabidopsis thaliana (AT1G59510) UniProtKB/TrEMBL;Acc:Q9SLU2] MDILRLGSISPHRRRKWLILLSLLGVSSYGAYKAYNSPYIAAKRKRLTNLFEGIASFAELVTDSAETITLVSRDLKQFLESDSDEIPNSLKQIAKITKSKEFTESISRVSEALAVGVLRGYNVDNNVEADSNPSVLFSEAGTGFVSVLVGSFAKNLVLGFYEVESSVETTTNPRLMSLLCDDKFREVLADCIERFTSSAVTVYIEKTAGVNTYDQIFSGLTNPKHRDRARDVLVSVCNGALETFVRTSREVFTSSEEETVRDSSSFGGNGWAEMLTTTLAVPSNRKFMFDVTGRVTLETMRSILEFVVLKMSQSFRRSLDAVEEEVTDRGRQVVGYVGAKSSVIITLCLAVYLHVVNRFVRGSPEYLGRTLKLERGDFLECGVDVVGARVMNESDGDTGKSRGCGFVCYSSKAEMETALGSLDGLELEGWAIRVNLTQGRNPDIIHQHFLIILRFLEVMIQLN >A08p017670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12277776:12279187:1 gene:A08p017670.1_BraROA transcript:A08p017670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTTTTNTEMNENLITSFVEITSSTREEASFFLESHRWDLDAAVSSFLDSDAADLPAVPNPNVPPPSIPAAEPSPENQEAENPSGSRNTMSRGNIRTFADLNSSPPGGGGSDSDEGQEYYTGGQKSGMMVQDPNKAKDVDALFERARLSAVDRPVEPSRSASTSFTGASRMLSGEPVPSAPPPQQQQQDQPQVVMHTITFWRNGFTVDDGPLRRFDDPQNAAFMESIVKSECPRELEPVDRKIRVHVGLVRREDNYTEPPKPKNPFQGVGRTLGASGSGSAAEAHAPPAQMNTAPGPSRGLVVDQAVPTTSVQLRLADGTRLVSRFNNHHTVRDVRGFIDASRPGGSREYQLLTMGFPPKQLTDLDLTIEQAGIANSVVIQKL >A04g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13789174:13789837:-1 gene:A04g506380.1_BraROA transcript:A04g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCLLLRQEREYEKRRLRVKFITSTLYIVNVTCDKPMFCLNKQEQKKVQEQPHVEQDTAFSTRPSPARPVSAKKPVGARASNGGANGRPNRRLSLNANQNGSRSVAKEGGRSVCNFAFDDGNFILELDVGMKNGCIDLGFEDQPDTVTFSEGYQDISRSGIKQEGCESGVWHYNIRMRPNVTAPP >A02p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9758386:9762107:-1 gene:A02p020770.1_BraROA transcript:A02p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin hydroxylase [Source:Projected from Arabidopsis thaliana (AT1G67110) UniProtKB/Swiss-Prot;Acc:Q9ZW95] MMFVSVKYALVIVMTLILRVLYNFIWCYYLTPRRIKKLMERQGITGPNPRFLTGNIIDISKMVSYSVSDHWSSIHHNIVPRLLPHYVAWSKQYGTYPGPTFLDVRWKRFIVWNGTEPRLCLTETEMIKELLTKHNSVTGKSWLQQQGTKGFIGRGLLMANGEAWHHQRHLAAPAFTRDRLKGYAKHMVECTRVMAGKLRKEVKESGGDDKEVEIGEEMRRLTADIISRTEFGSSYDKGKELFSLLTVLQRLCAQSTRHLCFPGSRFLPSKYNREIKSLKTDVERLLMEIIESRKDNVEIGRSISYGDDLLGLLLNQMDRSKNNLNVQIIMDECKTFFFTGHETTSLLLTWTLMLLAHNPTWQEKVRREVRQVCGQDGIPSVEQLSSLTSLNKVINESLRLYPPATLLPRMAFEDIKLGDLIIPKGLSIWIPVLAIHHSKELWGEDATEFNPERFSSRNFASSRHFMPFAAGPRNCIGQNFAMMEAKLILAMLVSKFSFTISENYRHAPIVVLTIKPKYGVQLILKPLDS >A09p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4220794:4224426:1 gene:A09p008170.1_BraROA transcript:A09p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSEALNVAVSGEGQKGHSSRVVDAEAALYRELWHACAGPLVTVPRQDDRVFYFPQGHIEQVEASTNQAAEQQMPLYDLPSKILCRVINVDLKAEVDSDEVYAQITLLPEAIQDENAIEKEAPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVSSSVISSHSMHLGVLATAWHAISTGTMFSVYYKPRTSPSEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDPTRWAKSKWRSLKVRWDETSSIPRPDRVSPWKLEPALAPPALSPVPMPRPKRPRSNIAPSSPDSSVLIREGSAMGNKDPLPASGLSRVLQGQEHPTLRTKHTETVECDAPESSVVWQSSADDDKVDVVSASASRRYENWMSSGRHESAYTDLLSGFGANIDPSPGHQIPFYDHSSLPFVAANKFIGDRDGKFDYFANQWQMMHSGLSLTLHESPKIPAASDTSFQGGRGNAKYGEYPGLHSLTTENAGGNWPIRPRAVNYFEEAVQAHAQAQAREHVTKRPEMVEDTAKSRDGNCRLFGIPLVNNVNVTESTMSPRNNFNDTAGLTQMTSPKVQDLSDQSKGSKSTNDQREQGRPFQANHHPHPKDVHTKTHSSRSCTKVQKQGIALGRSVDLSKFQNYEELIAELDRMFEFNGELMAPKKDWLIVYTDDENDMMLVGDDPWQEFCGMVRKISIYTKEEVRKMNPGTLSCRSEEEAVVGEGSDPKDAKSASNPSLSSAGHS >A01p051420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28825132:28831914:1 gene:A01p051420.1_BraROA transcript:A01p051420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g14330 [Source:Projected from Arabidopsis thaliana (AT3G14330) UniProtKB/Swiss-Prot;Acc:Q9LUL5] MSENHRVGLALFILCIVGLKPSFILAATDASDTSALNYMFSAMNSPGQLSQWTSSSGGDPCGQNWKGVTCSDSRVTQIKLSGLGLSGSLGSALDKLTSVTEFDLSNNNLGGDLPYQLPPNLERLNLASNQFTGSAPYSVSQMTPLKYLNLAHNQLKQLSIDFTKLTSLSILDLSSNTITGSLPNSMSSLTSAKSIYLQNNQFSGTIDVLATLPLENLNIANNQFTGWIPDSLKGINLQKDGNPLSSGPAPPPPPGTPPIHRSPTPKSGKGGSSSNNGDSSSSSSNDSNKSGIGAGGIAGIVISLLVVTAVIAFFLIKKRRSKKTASTDIERTDNINQPPPFTLASNDLHQENKAIQNPPLVLAVKKIDSSALPTDTAADDFTEIVSKIACLDHENVTRLDGYCSEHGQHLVIYEFYRNGSLHDFLHLSEEESKPLIWNPRVKIALGTARALEDLHELLNQNDEGYGAPEVSMSGQYSLKSDVYSFGVVMLELLTGRKPFDSTRSRSEQSLVRWATPQLHDIDALGKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVVLVQRANMSKRTVGVGSGSSGANDYIHTQERTCPLLLLLFRILFVTWFESPLLLTPVLNESPMPPLGTFLKNYDTNCLSSKAADVATLQGYLSPLRSQSLIHFLRTPFRQHSTYTVLSKSANLDEAVVTLSSLSTPEPYTDALHACISAKSLHHGQKIHSLILSNPKLRRDPKLLTKLITLFSVCRRLDLARKLFHEAKDSTFLTEDVWAAMAIAFSRNGSPREALAAYVEMLCSFVAPPGDFSISAALKACVEVKDLRFGRGVHAQIVKRRRKVDQVVENALLRLYMERGCFEDARKVFDGMSERNIVTWNSLVSVLSKKVRVHEMFSLFRKMQEERIGFSWATLTTVLPACSRVAALLTGKEIHAQVVKSSERPDVPLLNSLMDMYGKCGEVVYARRIFDGMLNKDLTSWNIMLNSYAINGSIQEEIKLFDTMIESGVAPDGITFVALLSGCSDTGLTEYGVSLFERMKGEFRVSPALEHYACLVDILGRAGRIQEAVKVIETMPFKASGSVWGSLLNSCRLHGNVRVGEIAAQELFVLEPHNPGNYVMVSNLYADAKMWDKVDKIREVMKQRGIKKEAGCSWVQVKDKIQIFVAGGGYEFRNSDEYKKLWLELQEAMERLGYAPDTSLVLHDVDEATKVNWVCGHSERLATSYSLIHTGEGVPIRVTKNLRVCGDCHKWMKIVSQVTGRVIVLRDTKRFHHFADGVCSCKDYW >A05p053600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31022508:31025969:1 gene:A05p053600.1_BraROA transcript:A05p053600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNDICKQKLNSKQHSHSTADLDPSKDPPQTSASSATANKIRYRSPSASELLESGLATSPTSDSHQSLLSIDGAKMTAKRAIGRHESLSDKIHRHRSVLLLISIPVVLITLVILLMPGASTSVIEEYALKSSEGGGSDSRKYAVIFDAGSSGSRVHVYCFDENLDLVPLENELELFLQLKPGLSAYPNDPRQSANSLLSLLDKAEASVPHELRPKTPVRVGATAGLRALGHKASENILQAVRELLKDRSRLKTEADAVTVLDGTQEGSYQWVTINYLLKTLGKPYSETVGVVDLGGGSVQMAYAISEKDAATAPKPLQGEDSYVREMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEDSNNPCIVTGYDGTYKYGGKAFKAAASSSGASLDECRRVALNALKVNDSVCTHMKCTFGGVWNGGGGGGQKNMFVASFFFDRAAEAGFVDPTQPVATVRPADFEKAASQACNMKMGEGKSKFPRVEEDNIPYLCLDLVYQYTLLVDGFGLKPSQTITLVKKVKYGEHAVEAAWPLGSAIEAVSSL >A03g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17152362:17153190:1 gene:A03g504780.1_BraROA transcript:A03g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSNPTSSGFNPWLRRSTTCPATSPFVPMAFASSITAWIILSGAINPLDMTVICSNAKERSASPAKTAIASPNTLFSKRNGLPLRRSALSMHGRSSWIRELVWIISTAQAADMAIASSPPTSSHAAMQSRDLTRFPPASSEYRTASWIVRGFKSGKETSRAAFTDSALPIIYDLKSKVEL >A05p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4051778:4060073:-1 gene:A05p009700.1_BraROA transcript:A05p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIRFFELNTGAKIPSVGLGTWQASPGVVGDAIAAAVKIGYRHIDCAQLYGNEKEIGTALKKLCEKGVVKREELFVTSKLWCTDHDPQDVPEALNRTLQNLQLDYVDLISDHGNRSLMIERLFSNDFFMNFLKIHWPVRMKKGSVGVSPENLLPHIDIPTTWKAMEALHDSGKARAIGVSNFSTKKLGNLLDLARVPPAVNQVECHPSWQQAKLREFCKSKGVHLSAYFPLGAPGRFKSDVLKNPTLNMVAEKLGKSPAQVALRWGLQMGHSVLPKSTNEGRIRENLDVFDWSIPDDLFAKLFDIEQAKLLNASFFAHETLSPYKSVEELWDGELNTGAKIPSVGLGTWQSDPGLVGNAVEAAVKIGYRHIDCAQIYGNEKEIGLVLKKLFDDGVVKREEIFITSKLWCTDHDPQDVPVALNRTLQDLQLDYVDLYLIHWPVSLKKGSTDLKPENILPTDIPNTWKAMEALFDAGKARAIGVSNFSTKKLADLLEVARVPPAVNQVECHPSWQQTVLRDFCKSKGVHLSGYSPLGSPGTTWLTSDVLKNLILVTVAEKLGKTPAQVALRWGLQMGQSVLPKSTNEDRIRQNFDVFSWSIPDDMLSKFSEIEQARKVGERHVICS >A02g512710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34752425:34752728:-1 gene:A02g512710.1_BraROA transcript:A02g512710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIPAYAAIVRGWFGAWPMPLGWERPWQEWPICVCYGAIGGCIVGHILSLSLMVLLSKHKNLKVA >A04p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16945793:16951833:1 gene:A04p028120.1_BraROA transcript:A04p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWNGSEYFDIDVETGRQSFARPSNAETVEQDEEDLRWAAVGRLPSQRQGSHLSVLRRSQTSQAQTSGYADGNVVQTIDVRKLDRSDREMVVRQALATSDQDNYKLLSAIKERLDRVGMEVPKIEVRFEHLNVEADVQAGTRALPTLVNVSRDFIERLLSSLRIMKTRKHKLTILKDISGIIKPGRMTLLLGPPGSGKSTLLLALAGKLDKSLKKTGNITYNGENLDEFHVKRTSAYISQTDNHIAELTVRETLDFAARCQGASEGFAGYMKDLTRLEKERGIHPSSEIDAFMKAASVSGSKHSVSTDYVLRVLGLDVCSDTMVGNDMMRGVSGGQRKRVTTGEMTVGPRKTLFMDEISTGLDSSTTFQIVKCVRNFVHLMDGTVLMALLQPAPETFDLFDDLILLSEGYMVYQGPREDVVGFFESLGFRLPPRKGVADFLQEVTSKKDQAQYWADPSKPYQFIPVSDIAAAFRNSNYGHAADSKLATPFNKSSADPSALCRTQFAISGWENLKVCFEREILLINRHRFLYTFRTCQVAFVGFVTATVFLRTRLHPTNEAYGNEYLSCLFFGLVHMMFNGFSELPLMISRLPVFYKQRDNSFHPAWSWSIASWILRVPYSILEAVVWTCVVYYSVGLAPSAGRFFRYMLLLFSVHQMALGLFRMLASVARDMVIANTFGSASILAVFLLGGFVIPKDDIKPWWTWGFWISPLSYGQRAIAVNEFTATRWMQQPSAISNTTIGFNFLKLRSFPTNDNWYWIGVGVLICYALLFNNIVTLALAYLNPLKKARAVVLEDLNEETQTASVSNARQGRSEKKGMILPFKPLTMTFHNVNYYVDMPKEMRSQGVPETRLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYTEGDIRISGYQKEQQTFARISGYVEQNDIHSPQVTVEESLWFSARLRLPKEISKEKKKEFVEEVMRLVELDSLRYALVGLPGTTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYGGKLGEHSQVMVDYFQGINGVPGISSGYNPATWMLEVTTPALEEKYSMDFADLYKKSEQFREVEANIKQLSVPPEGSEPIKFDSIYSQNQLSQFLLCLWKQNLVYWRSPEYNLVRLIFTTVAAIILGTVFWDIGTKRTSTQDLVTIMGALYSACLFLGVSNASSVQPIVSIERTVFYREKAAGMYGPIPYAAAQGLVEIPYILTQTILYGVITYFTIGFERTLSKFVLYLVFMFLTFTYFTFYGMMAVGLTPNQHLAAVISSAFYSLWNLLSGFLVQKPLIPVWWIWFYYICPVAWTLQGVILSQLGDVESIIKEPMFHGTVKQFIEQYFGFKPDMIGVSAAVLVGFCALFFSGFALSVKFLNFQRR >A09p081030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59111931:59123057:-1 gene:A09p081030.1_BraROA transcript:A09p081030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGRGGEDGRPPQMQPVRSLSRRMTRAGTMMMIEPNEDESIIDSELVPSSLAAIAPILRVANDIEEDNPRVAYLCRFHAFEKAHKMDPTSSGRGVRQFKTYLLHKLQEEEPTSDPNEIQTYYQNFYVDNIENGEGKKTPEEMAKLYQMATVLYDVLKTVIHPARIDEKTHRYAKEVERKKDHYEPYNILPLDVGGAKTVIMELPEIKAAIRAVCNVQNLPQPRVPSASTKPNEVDREKARTFNDILEWLALVFGFQRGNVANQREHLILLLANVDVRKRNLENYEDVKPSTVNKLMEKYFKNYKSWCNYLRMDSYLRFPAGCNEQQLSLLYIGLYLLIWGEASNVRFMPECLCYIFHNMANEVHGILFSNVYPVTGETYEAGAPDDEAFLRNVITPIYQVLRKEVRRNKMGKASHSKWRNYDDLNEYFWDKRCFRLDWPMKPEADFFIHTDVISQRPNERHDPVSHGKRKPKTNFVEARTFWNLYRTFDRMWMFLALSLQVMIIVAWSPSGSILNIFSEDVFKNVLTIFITSAFLNLLQATLDVILSFGAWKSLKFTQILRYITKFLMAAMWAIILPITYSNSLQNPTGLIKFFSSWIGSWLHQSSYNYAIALYVLPNILAAVFFLLPPLRRIMERSNMRIVTFIMWWAQPKLYVGRGMHEEMFALFKYTFFWVMLLLSKLAFSYYVEILPLVKPTRLIWDMTGVNYQWHEFFPNATHNIGVIISIWGPIVLVYFMDTQIWYAIFSTLFGGISGAFSHLGEIRTLGMLRSRFRFVPSAFCGKLTPLPPGHPKRKHLEETVDERDIARFSQMWNKFVYTMRDEDLISDRERDLLLVPSSSKDVTVLQWPPFLLASKIPIALDMAKDFKGKEDIDLFKKIKSDYYMHYAVVEAYESVRDVIYGLLEDESDKRIVREICYEIDVSIQQHKFLSKFRMTGMPLLSDKLEKFLKILLSGDEEDDTYKSQIINVLQDIIEIITQDIMVNGHEILERAHFQSGDIDTDKKEQRFEKINLYKQDASWREKVVRLLLLVTVKESAINIPQNLEARRRMTFFANSLFMNMPDAPRVRDMLSFSVLTPYYKEDVLYSEEELNKENEDGISILFYLQRIYPEEWSNYVERVTDAKRNFSDKEKTDQLREWVSFRGQTLSRTVRGMMYYRMSLELQCYQEYTGEDDTNDGYLSSASNENFMNRARALADLKFTYVVSCQVYGNQKKSSEGRDRSCYNNILQLMLKYPSLRVAYIDEREETVNNKSQKVFYSVLLKGGNKLDEEIYRIKLPGNPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEESFKMRNVLQEFDEGRRGKRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNSTLRGGYITHHEYIQAGKGRDVGMNQISIFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVVTVYVFLYGRLYLVLSGLEKEILQSATVHQSKALEEALAAQTVFQLGFLMVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTVLHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELVILLVVYQVYGNSYRSSSLYLYITFSMWFLVSSWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGIVVEKSWESWWESEQEHLKHTNLRGRVLEILLALRFLLYQYGIVYHLNVAHRDTTLLVYGLSWAVLLSVLLVLKMVSMGRRKFGTDFQVMFRILKALLFLGFLSVMTVLFVVCGLTISDLCASFLAFLPTGWAILLIGQTLRGVLKGIGIWDSIKELGRAYEYIMGLLIFTPIAVLSWFPFVSEFQTRLLFNQAFSRVVTDPRKMQHGGNKSGKSSSTNVWANNANLAKTMGALDEFKSGFPSKGLATVSNKWWGTGGQRQDVTEDGGDVKDEEAASEKQISLLGIRKRIAEEGREALELGVSQGFEKRPDKRDQHLLSQIFGSSLPKEWVNDSS >A09p038800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000017.1:283494:285785:-1 gene:A09p038800.1_BraROA transcript:A09p038800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSYRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVDPTINRQNEFDVDRHNTPPIDRQAPLTYRVRSPKPVQEFLRKRKEKIPKYLKREANDKEMDGFTKRILRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMRHMITLSKKSDPGKFAIPCVVKGVEFPHSMCDTGASVSILPRIMADHLGLTIEPSTESFTFVDLSEKQSGGIIRDLEVQIGNALVPNSSLLLGRSFLATVGAVCDMSKNKLYLMLIDPNIHYDPIRPKRKIINFVDYGKELGFIGACHCGAEYESEYKTEYSESIDTHTFPSIDSNESTVTDDRNKTSLDVDQPVDHFALPNHCYPHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSIDICAGAKIQEQENIPSPTRFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSTSTGKSIKSNHLKNTSSAEIILPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPNNHVGVAATEINPYLSCQPKGQASIDGTTQTSIDRITLTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDVYGVYRDE >A01g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26721356:26723284:1 gene:A01g509770.1_BraROA transcript:A01g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLLLDQSSEIVSQQLCDGCGMLFRELSRFVLERCICSHKGLTDSIYPHGNQSYLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWQKLLDFGEVKISYISFFDIKKHETVNSRWDLELGQEQMRLVKLLHFQSRDLLLVITSHRLLFPHLCQKFDIGKEKEVKLVKKQASEVFLKDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQKCYIFGRRGSFNS >A10g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10675977:10677752:1 gene:A10g504360.1_BraROA transcript:A10g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKLISFAQTPEKERERDIKRSSHIESCKGVHGLGENGFNSATRVLRVTPYDTGSRQVSAIHWRRVIEFTRRKAERNHFLSFLKKTRVSVREAISRATPTFLQFSPSNRRRSVSSTSSGEYRFASLVQMDPAEERRDRKRQQEYFNMLGNVADSQYRIPRRFPCGGRIIDEVRVKEEYDTLPGKRFFTCINYEADGFHYRQPWVIGVQEEMERLRKPVEEAEQVIKWVPNLNKQIESVEAQVKRLTLLLDNLTSDVYNLTVQVDDLEKLETPPETQSKIVV >A02p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1956264:1957106:1 gene:A02p004500.1_BraROA transcript:A02p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGREASVSLDGVRDKNMMQLKKLNTILFPVRYNDKYYADAISSGEFTKLAYYSDICVGAIACRLETKGGTMRVYIMTLGVLAPYRGIGIGSKLLNHVLDMCTKQNVSEIYLHVQTNNNDAIKFYKKFGFEITDTIPDYYINIEPRDCYVVTKSFAQI >SC117g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:217507:220817:1 gene:SC117g500060.1_BraROA transcript:SC117g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPADEDVLVLSKGPLTRSRSRKLTQAIGGLVPMGKESEEEAELVRKNKMLREQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPIWQEHAGSQETDNYYSHHRTEHNQTERKRLCS >A09p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3184142:3185910:1 gene:A09p005930.1_BraROA transcript:A09p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFIRSISSSRCDGKSENEMNNGDEDSKYPLVSILSMRGGDGHNSYSTNSLLQRRVLSMTKPILVKNTKEMMKNLDFPKCLKLADLGCSSGQNTFTVMSDIVNTIIALCEESNKNPLEIDCCLNDLSGNDFNMTFKFLTFFNDKLTSKIPCFVSGVPGSFYSRLFPRKSLHFVHSNYSLHYLSKAPDGLEKNKMSVYITGSSLPSEHKAYLNQFQKDFTTFLRMRSEEMVSNGRMVLTLIGRKTLDDPLYRDCCHWLTLLSDSLRDLVFEGLVSASKVSSFKVPFYDPNGDEVKELIRNEGSFMVNDLETHIFDLGLSNEEYGLQSDRAKAGEKEANCIRAVTETMLVAHFGDAINIATLFEKYAHHVSQHASCKNKTSVSLVVSLIRK >A10p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21332606:21333361:-1 gene:A10p037990.1_BraROA transcript:A10p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSILSTVRFAELAIRLSSENPSRRPTTPPRNKVFVKKARETKLGDYSNLVKLEKAGSHSGSNPAPPGSDPTRVPLAQVVEDCVRRWFQDTLKEAKSGDVGMQVLVGQMYCSGYGIPKDEHKGRAWINKASRTRSSAWQVSDKPPGYNASDSDSNDKKE >A08p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21701790:21703695:-1 gene:A08p037170.1_BraROA transcript:A08p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPDKPIFHFFLILASSSLIFTATAKSTIEPCSSNDTCNSLLGYTLYTDLKVSEVASLFQVDPISILLANAIDISFPDVENHILPSHLFLKIPLTCSCVDGIRKSVSTRYKTRPSDTLASIAGSVYGGLVSAEQIQEANSVTDPSVLDVGTSLLVPLPCACFNGTDNSLPAVYLSYVVKGVDTLAGIARRYETTVTDLMNVNAMGAPDVSSGDILAVPLSACASNFPKYASDYGLIVPNGSYALAAGHCVQCSCALGSRSLYCEPASLAVSCSSMQCTNSNLMLGNITVQQSSAGCNVTTCDYNGFANGTILTMLTRSLQPRCPGPQQFAPLLAPPDTVPKDLMYAPAPSPDYDGPGSIAASPGSSVIPPGGGSLPGNPANGPAGSISTAATVYSFSYFFIMFLISISFVFSC >A05p010830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4593225:4594875:1 gene:A05p010830.1_BraROA transcript:A05p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TERAEVAARLAAEDLHDINKHHCDNVTMYKVTERTVEHPPEQERPGVIGSVFRAVQGTYEHARDAVVGKSHDVAESTREGAQIASEKAAGAKDATLEKAKDTADHTAEKAREAKDKTAEKMGEYKDYTVDKAKEAKDKTVDKMGEYKVYTVDKAVEARDKTAEKAKETANYTADKAGEYKDYTVEKAAEGKDAGVSKLGEFKDSAVDTAKRAMGFLSGKTEETKQKAVETKDSAKEKMVEAGEEARRKMEEMRLEAKDKAREGSQKTKETADSAAERAHETKDSDSVRGNEAKGTIFGAIGNVTEAIKSKLTMPSDIVEETRDRGSTGRTVVEVTVEDTKPGKVAATLKASDRMTSPTFNEIEVEDTKPGKVAATLKASDQMTGQTFNDVGRMDY >A06p024750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15311254:15314636:-1 gene:A06p024750.1_BraROA transcript:A06p024750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKLLYVEVVEGNGEDLFRYTRPVLQSTLQLMGCKARHAFKISRRVFELIRSEGSCNSSPEHGKEPEFSKKAGGSTYCLVADDVDKDKSKPFEMYKRRTTVFVTRQIFLDVVCDALAEYKYVGRDQRADLILACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFADEKQNPLLWASTYHAGEYLDPVAVAESKAKRKAKKLKGSRGVNSNTQKMDAGSNSSTTELLSDKQMAIEGYKAQSEMVIDSLDRLITTWEKRRESVVVEGVHLSLNFVMGLMKKHPSIVPFMVYISNEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVATIHATVFSCLRRREAGEKLYDVGTNTVAVIDEEHRNQCAANSLRSKGMFQLQRTGSSRRVMALLNTDGTVAKTWPVGTVDEIRKPVIRTEMNDGTEHPVNGYLEKGEAVNLQFGLFGISAWPSDGATSHAGSVDESRADFTETGSRHYSSCCSSPRISDGPSKELKEEQSVNGSDEDEEIDDEFPEPDSDEDNSDNNDERNREEVGSVDEESTKSDEEYDDLAMEDKSYWTDNEEEEETRDTISMASENNHKEATTKPKKDDEKYIQNLDLFLRTVNQPLTESLELTSEYRNRMLIAASDKAKMRKRSLSIPAVGKHGAIIDDQILDNHTDPFLLNGQ >A09g515480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45814267:45821459:1 gene:A09g515480.1_BraROA transcript:A09g515480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIELFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQETYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A06p057480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29893934:29895157:1 gene:A06p057480.1_BraROA transcript:A06p057480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDCLNPRSNQYPPKTKFLTWMDWIASYLFFLTTDLSSPLLHTQTHSLVSFELLRKRKRKMGTINQGISLFDEPQNIINPNNTNHLGLFFSFPNQTLSSSSSSSSSPPSLMSPFLSYHSLNSFLHNNSSSFVIHPQDPINLMANLPETLISISSLSSPKQRDVHDGIVNTDHHCLTGGISSQRPSLNPWAWSSQAGYGYSKQNNRVTEIDGDVGEGGGVNDNQHHETPPRHKHTTK >A01p043730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24728982:24729340:1 gene:A01p043730.1_BraROA transcript:A01p043730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQVTCISSTSTLCFQGSNSRRHIASALAAEALALYAGLSKETNLWWLLEEYSMTLVACNEPADSMAKSSLF >A10p036790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20879813:20881066:1 gene:A10p036790.1_BraROA transcript:A10p036790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) UniProtKB/TrEMBL;Acc:F4K3Y1] MAALASNPQMLTRQGHSNYKKEQFWRKESLNLEKKCGFCCVKVYNYEKLGRSQVEKEWRLKAFWSNVSQPETIEMVPINDVDELEAVLSDARNLSQPIIIEWMASWCRKCIYLKPKLEKLAAEYNNRTKFYYVDVNKVPQTLVKRGNISKMPTIQLWKEGEMQEEVIGGHKGWLVIEEVRELINKYV >A02p058170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34963521:34965560:-1 gene:A02p058170.1_BraROA transcript:A02p058170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENKGKPLPKFGEWDVNNPASAEGFTVIFSKASDEKKTKKASGAVPNSQRNQNSDQNNHHDSQNSKAKNPRIMKLNKVNPQEATHLLSMYISYNTSFYLLDEFASDRIRCFFKLFPKMSVTMKMSKTFKYLLGKLRSWAGNLTSFLRADIKWSKEFGGGPSIGHPELSLEKMTSRAVLMYLSMGNMKDANFMMDEVKRQAETINPELSESELIQFISYILGTVKFKSCLEREPLLNKGVQRRNPMQGMFGDIFKMRVKEGDRRVKNT >A02p041080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25928417:25929296:-1 gene:A02p041080.1_BraROA transcript:A02p041080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSPRQDSAFQHRVDRHGRPFGNRIPLPPARGQPLNNKIVPQAETVKHRGSSHPRDRPHPHHQSSSAASDIRRVDSSPRGTSPQRRLIGPPHASLSDAALESKHDQEESPPVLTMVDPPSRDAFYLKHMPHRQEEKHQLDMDFLMLPRSSLSLPEVGITKIHPQQ >A06g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7947:10399:-1 gene:A06g500010.1_BraROA transcript:A06g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNFSLASVLGVLLVFTLFHNPIIVAGQHIPAVALFTFGDSNFDAGNRKFITSGTLPQNFWPYGKSRDDPNGKLSDGKIVPDFIAKFMGISHDLPPALKPGADASRGASFAVDSATILGTPKDSLNLNQQVRKFDQMRSNWNDDYILKSLFMISMGMEDYLNFTKSNPAADGSAQEAFVTSVSSRLKYNIEMLYSFGASKFVVYTLPPLGCLPIVRQDFNTGNDCYEKLNDLAKLHNAKIGPMLNDLATAKPGFQFTVFDFYNVILRRTQRNMNFRFSLTNVSCCGTGTHKAYGCGLPNVHSKLCEYQRSYLYFDGRHNSEKAQESFAHLLFGADPNVIQPMNIRELITYPVNTNMSEFWKEPVERNLSLVHDNVVSASESM >A01g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5033454:5033807:1 gene:A01g501290.1_BraROA transcript:A01g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIHLSVLLSLSPNFLLSISFPNLILSRTLSLQQHNSVLISHNHSQPSSSPSTTTKFNNCRVLHHHHRHALHHHHRKVEEAAEIDGGGGSRGGDS >A01p029340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20833947:20834829:1 gene:A01p029340.1_BraROA transcript:A01p029340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKIILASFIVYLMIQFSHGATKERLFSDLEKDALDVTAKPSRQGVLDAGIDKLSITWKLSSTAKKQANFTTIKVKLCYAPVSQVDRPWRKTENELFKDKTCPHKIITRAYNKSSQSFKYTLDRDIPTGTYFVRTYAVDAKDHEVAFGQSTNEAKTTNLFSVQAISGRHKSLDIASVCFSVFSVLALLVFFVNEKRKAKLEQRK >A03p006650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2791174:2791386:-1 gene:A03p006650.1_BraROA transcript:A03p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNWGPVLMSVILFIVLTPGVLFQLPGKTKVVEFGGFQTSGAAIVIHTLIFFACITVSLLALHIHIYAA >SC258g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:6498:9400:-1 gene:SC258g500020.1_BraROA transcript:SC258g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGAVWIRSGHSWKGKVTLQPVQACEASQQPASLDFTCFESHFEIPFSQVGKEGSAVLELFFTVWVFGRLSSRFNQPQQEMKHRSSKPVELEEEMDGVCSRWIKGALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSEVDVVKAHTSNPRCCQERRKQDVIFRFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEQNQEDSGQHDHEETQEVENVVQSTGTEEAQSGGNEQGKPTGLGEETQDH >A08p032560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19673048:19674414:-1 gene:A08p032560.1_BraROA transcript:A08p032560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKESSELGNLPTSPMAKRARTRETTGERLRRPLPRSMDLRNPDLAYGFDMYYNDEPLKLVPSTWSKNYGIGLYGRIGLQCYNLQKGTNLKFKRLEKHSSKDTSFFSLYITLEATDPATGSVCSFQTQFGDAGRRISLGARITWFTLASRIKQIYNQPIDDVWDEDTPGINEFYKVPMPKWFSDEALERDSKKYYVVPVSELHDNDWLQLLMEVAFFSKSDRCLDAYLPLELKNVVVETFEDYTTEPSEKLKADNAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVALTKEQE >A02p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9620996:9624000:1 gene:A02p020500.1_BraROA transcript:A02p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTVLLSSVVLIQLFAAQIDAKSSRSPWQTLSGDAPLVIARGGFSGLFPDSSSVAYKFAKQTSVADLFPNRQNFYPVNGVTSQGWFTIDFSLRDLKNASLIRGIFSRSEKFDGNGYSILTVQDVSMQIKPESFWLNIQHDAFYAQHNLSISSFLISASRTVSIDYISSPKLNFFSKVKGRFWRNEPSFVFQFLGKEEFEPTTKRTYGSILSNLTYVKTFASGILVPKSYILPLDDKQYLLPPTSLVQDAHKAGLKLYVSGFANDVDIAYNYSFDPVSEYLSFVNNGYFSVDGVLSDFPITASTSIVDFLVITKNGASGDYPGCTDLAYEKAIQDGADVIDCSVKMSSDGKPFCSSSIDLTQSTMVIQSPFRNRSTIIPDISSDPGIYTFNLTWPEIQSLTPAIMNPYRSYNMFRNPNEKNSGRIISLSEFLHLANKSTSIYGVLISVEYVVYLREKQGLDVVKAVLDTLTETGYSNGTSTTKVMIQSTHSSVLVDFKKKSKYETVYKVEETIRDISDSAIEDIKKFANAVVIGKSSVFPDVGSFVTGQTNVVERLRKSKLPVYVELFQNEFVSQPYDFCSDATVEINTYITGAGINGTITEFPLTASRYKRNQCLGRKETPSYMSPIKPGILLSLANPRSLPPAEAPYPVFTEDDVTESPQPPGTEKSPSSSTNAQTHRPSGQTRLTLSLRLSVFASLLLL >A06p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10572309:10572639:1 gene:A06p021370.1_BraROA transcript:A06p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g48570 [Source:Projected from Arabidopsis thaliana (AT3G48570) UniProtKB/TrEMBL;Acc:Q2HIR4] MDAIDSAIDPLRDFAKSSVRLVQRCHKPDRKEFTKVAVRTAIGFVVMGFVGFFVKLVFIPINNIIVGSS >A02p007290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3072863:3073174:1 gene:A02p007290.1_BraROA transcript:A02p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKELQAKADAALTNRGGGKAGLADRTGKEKGGHAKYECPHCKITAPDLKTMQIHHESKHPKLPYEEPKNLHEALAAPESSKPKPGIRGSLKK >A05g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19797601:19799843:-1 gene:A05g507000.1_BraROA transcript:A05g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEHASTLAASCREEAYSSSHPATLVFVVIVSSPRSFLVVSWSSSPMYLRGGVHRPGPETFCFSDYCTEASLLGTFVGSYFSLVVLFRSGYPDHLKLE >A06p010830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4043809:4047114:-1 gene:A06p010830.1_BraROA transcript:A06p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGVAATINIITAIIFLLAFAILRIQPFNDRVYFPKWYLKGIRSSPLHSGALVSKFVNVNLGSYLRFLNWMPAALKMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIALLAWSILVPVNWTSDGLQLAKLRNVTSSDIDKLSISNIERGSERFWTHLVMAYTFTFWTCFVLMKEYEKVASMRLSFLQSEQRRPDQFTVLVRNVPSDPDESISESVEHYFLVNHPDHYLTHQVVYNANDLADLVEKKRSTQNWLDYYQLKYTRNQDQRPRIKTGFLGLWGEKVDAIDHYIAEIEKLNDQIMEERKKVKKDDKSVMPAAFVSFKTRWGAAVCAQTQQSRNPTEWLTEWAPEAREVFWSNLAIPYVSLTVRRLIMHVAFFFLTFFFMIPIAFVQSLASIEGIEKSAPFLKPIIEKDLVKSVIQGFLPGIVLKLFIIFLPSILMVMSKFEGFVSLSSLERRAAFRYYIFNLVNVFLGSIITGSAFEQLDSFLKQSANQIPRTVGVAIPIKATFFITYIMVDGWAGVAGEILRLKPLIFFHVKNFFLVKTEKDREEAMNPGQINFHATEPRIQLYFLLGLVYAPVTPVLLPFIIIFFALAYLVFRHQIINVYNQEYESAGRFWPDVHGRIISALIISQVLLLGLMSTKGAAQSTPFLIALPVLTFFFHRFCKGRYEPAFLRHPLQEAMIKDTLEQAREPNFNLKPYLKKAYIHPVFKDDEYEDVRSEVSGYYLGDTDEECVTVPTKRQSRITTPAASHASGGSMRSPS >A02g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18190024:18191943:-1 gene:A02g506420.1_BraROA transcript:A02g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQQIRRRLFNTNPTETGVDHISDDSEPNETLLADALPAGSDLTTIRELAELKLSLQQMGEKIYQSVLAATSRTPFTRALTSVQLGKIEKLRLPEYKPGGDPKKDQSLREYMEKFKAVVSKIEIPNGIAIDALHNTLWVHSKFRGDLYHNPTKSLQDAIARSDNFIRMEEDTNAILSKMSAPKAPAAKNANTRQEPRQHAPNDKNGRKDGYMYVVNENNVPISTLVVRGEGWNKWARELESPDQKVDTDNGEGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDILKRKFEPENEGDTTRRDLRTMLDARKSRRISTSDGNNNEGPISDLRDKLNAGACDLRVKLNRSKPTDLRRQLERVKGQPQLPPPDTS >A02p003960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1531262:1539260:1 gene:A02p003960.1_BraROA transcript:A02p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFTGLWEFAHLSASSGVMLCLESWYYKILILMTGNLEDTKIAVDSLSICMMINGLELMIPIAFLTGTGVRVANELGAGNGKGARFAMIISVTQSLVIGIVFSVLVVFLHDQIGWIFSSSEAVINAVKNLSILLAFTILLNSVQPVLSGVAVGSGWQSFVAYINLGCYYFIGLPLGFVMGWIYKFGVKGIWAGMIFGGTAIQTLILIFIVMRCDWEKEAIRNRRGGSAIAGDVSLGGSASRRRRSRWWFSSTGCIAGDRALSLRGDEAFLLCNRFCVSVATTLSSTATELSSTATTFGRGNKTKEAQSVGVHLVFPVQSSLSSTFFTSENMIERDDTSKGIEKAKIPLLRDQYAAEEEDGEIKRKIWIETKKLWRIVGPAIFSRVSTYSILVITQAFAGHLGELELAAISIVNNVIIGFNLGLLLGMASALETLCGQAFGAKKYDMLGVYMQRSWIVLFLFATFLLPMYLFASPILKFFGQPEDIAELSGVIAVWAIPTHFAFAFYFPLSRFFQCQLKNRVVAVVSGVALVVHIFVCWLFVYGLKLGVKGTMATINVSWWLTVLMLFAYATCGGCPLTWTGFSMEAFTRLWEFAKLSTSSGVMLCLEYWYYRILIVMTGNLKDTKIAVDSLSICMSINGLEMMIPLAFFAGTGVRVANELGAGSGKRARFAMITSVTQSLIIGIIFSVLVVFFHDQIGWVFSSSETVIEAVNDLSILLAFTILLNSIQPVLSGVAVGSGWQSFVAYINLGCYYLVGLPLGYVMGWIFKSGVKGIWAGMIFGGTAIQTLILISIVMRCDWEKEARKASMRIQNWSVSDATKKSEEDPRQKD >A02g512850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35213862:35214651:-1 gene:A02g512850.1_BraROA transcript:A02g512850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDLKANEAEKSMPSPQQEEAAVKSKYGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQVSELEHTFQLFFSFLRVVSNLRSCPHFCSQRSSRHVTGSLHVLHPVKMEEELLRANDFPATTRSREREEKIWKNSCVRTLL >A04g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3338555:3340343:1 gene:A04g501240.1_BraROA transcript:A04g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVKSGEWMCSCGDEWSFVVDKERRGRMVTLATTTTLKQLKIMVCEDYGVDHNAINAEFSYSLLNQRGNPPIMITNDRQAANFVGYAKRESSTTLCVMFSVSGVNQKERVNIDLNKEPWDTSNVEDEEVPEINRAEFVKPSNESFVRRTDHVAADGCGGLRRTPGGITSSVTTAKGKLIDDQLH >A02p038720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22170752:22172063:1 gene:A02p038720.1_BraROA transcript:A02p038720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTTINASQRFSPTLNSHRINSIFSHPKILLMALRRAITKRLIDGYKCVRPSNTKILRHYMTSSNLTDPIMAIRIESVSPPRREEATSVTGLSVSETKKLLRMYQMEKVKARLREIPKNSVSYWEFVQICCETCGNNDEQGSQMAQSLDHAGSVVVLGDIVFLHPYQIAKSMAAMIHQTSALPNDPRKEELAQLETTKKCIDIKARRIVQAELYCGLGLLAAQTIGFMRLTFWELSWDVMEPICFFVTSLHFLLGYYFFLRTSTEPSFEGFYQQRFKTKQKKLMESHGFDFLRYTELNSLFTPLACKSEVSTV >A01p059110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33884582:33887012:1 gene:A01p059110.1_BraROA transcript:A01p059110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEDPQRLKKIAAAAYDYDNDARWTDYWSNILIPPHMASRPEVVEHYKRKFYQRYMDPDLVVEPMSSTSSSQSARPSASTTNANEQQARSRSSGSVPRTTGPSGANPSSVRWDQQTIQFSVNAWVFVIAVLAVLPLVPKNLSNRAYRLSFMGTACSSLYSLYALYGRPRAWNMQGMQVYFQSIVGAKDFIYFIYCLTFVTSNLCLKFALIPILCRALEQVAKFLRRNFTRSTIYRKYLEDPCVWVESNTTTLNILSSQAEIAIGFLLIISLLSWQRNFIQTFMYWQLLKLMYQAPVTAGYHQSNWSKIGRTVNPMIQRYAPFLNTPVTAVQRWWFR >A01p002240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1034906:1035997:-1 gene:A01p002240.1_BraROA transcript:A01p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase large subunit 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G36810) UniProtKB/Swiss-Prot;Acc:P34802] MASSVTLGSCPWVLHHQSKTPRSRSPPFITLKPITLTPKRTVSSSSHTVSSSLVTKEDNNLKSTSSFDFMSYILRKADSVNRALDSAVPLREPLKIHEAMRYSLLAGGKRVRPVLCIAACELVGGEESLAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVYGEDVAVLAGDALLSFAFEHLASATSSDVSPARVVRAVGELAKAIGTEGLVAGQVADISSEGLDLNDVGLEHLEFIHLHKTAALLEASAVLGGIVGGGSDEEIERLRKFARCIGLLFQVVDDILDVTKSSQELGKTAGKDLIADKLTYPKLMGLEKSREFAEKLNREARDQLLGFDSDKVAPLLALANYIANRQN >A09g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17511451:17513400:1 gene:A09g505540.1_BraROA transcript:A09g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKLILSLLQSIVSFFSTVVILLLSPFGSTVSLSVGSTVSLSVRSVVSLSEIHRLSPCGDRSSLSVEICRHLRRDPSSSPLSSIVTLSHASSDKQLRESRFKTSKMLAPLHDSHWRALCLEPDKNKQCNLAICLMHSSAEIEFRDEPFTKSYDRAVEMLAEVESKDPEDGLSDKFYAGCSFANGTMKENKAPRNANRNHSHVPPSPASVRQTEMRQQRFVGILILLYIVAFLAKNKIKCLQEEWGVLEKSPETALDVDQTIFVAVL >A06p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:624804:626508:1 gene:A06p028520.1_BraROA transcript:A06p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVSGILDRDALAFPAVPACQGALELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAVPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLVQICMENKENMSGSFWIRLYLDLGEIRLFRLGSLIWCAISRSEALEYQEGDPVSVEQKKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRATPRGIRASWCSPGMAVSPWIT >A09p001880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1438767:1440195:-1 gene:A09p001880.1_BraROA transcript:A09p001880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWVDYDGLTNQIDVTMAPFNHDKPIKPLVSTVRDLSSILLQDMFVGFSASTGAVVSQHFILGWSFQVKGKAPPLDLQTLPKLPELQSKRKGIPPLTAFYFAVTLLALLFFLSLLLREFVKFIFRRKIKFVEEEEELEDWETEFTKNRVKFKDLYSATEGFKEKDVLGSGGFGSVYKGYCRREKELLLVYDYMPNGSLDKYLHNSPEVTLDWKQRIKVIKGVASALFYLHEDWEQVVIHRDIKSSNVLLDAEYNGRLGDFGLARLCGHGTDPQTSHVAGTWGYLAPDHMRTGKTTTATDVFSFGVLLLEVACGRRPIQVHKKSGETVLLVDWVFGFWSEGNILDAKDPNLGTEFDQREVEMVLKLGFWCSHFNPEARPTMRQVLHYLSGDSMLPDFSPLDLRGSEMMLGTHHGLSMTGIGMFTGESSMVDSVLSGGR >A10p029970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18209112:18210091:-1 gene:A10p029970.1_BraROA transcript:A10p029970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSCFRVEDYEDYTNPSSSINRNNPCPRCLVNSLLNLYITLFRRNETTRSLPSSLQATTTTTTVSITSSSSYDNFMSTTFHSTPRPLPYDADPGFFRSRLVSRRDKGSSHSREEAEPLRSHDAADVDSESFSVEGSKWASSKLMVSGEDSKDDFSKFTRRIVKSKSMDAGGNDGVYVMSDDEDVCPTCLEEYTSENPKIVTKCSHHFHLGCIYEWMERSEDCPVCGKVMEFNETP >A05p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7978983:7979734:1 gene:A05p017560.1_BraROA transcript:A05p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDGHGGVKAAEFAAKNLDKNVLEELAGQSDESEIADAVKRGYLTTDAAFLEEKDVRGGSCCVTALVRDGNLVVSNAGDCRAVMSVGGVAEALSSDHRPSRDDERERIETTGGYVDTFNGVWRIQGSLAVSRGIGDAHLKRWVIAEPETKMLRIDQDHEFLILASDGLWDKVSNQEAVDIARPFCVGTEMKPLLLACKKLVELSASRGSSDDISVMLIPLRQFI >SC140g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:96468:98906:-1 gene:SC140g500050.1_BraROA transcript:SC140g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEIYKLVEHMCDVWEINKKPDRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLESKGADEPVTMEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVD >A01p059360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33752566:33753444:1 gene:A01p059360.1_BraROA transcript:A01p059360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDDCSIRPCLGWIKSPEAQANATVFLAKFYGRAGLMNLINAGPDHLRPGIFRSLLHEACGRIVNPIYGSVGLLWSGNWQLCQAAVEAVMKGEPITEMATDAATSGQGPPLKMYDIRHISKGENSPAAAAAAGSTDRKRGKIRRAKRVAAVAKPAESGGGEASHHSSLSHQSEVVVAPHEGESKETESNNSEVMTFSPPAVQGSGEIKLDLTLGLEPVSRADHVVPVKKRKMGVFSTWQEESSCKTDLVL >A10g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16516465:16518167:-1 gene:A10g506070.1_BraROA transcript:A10g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNPRKLYIDNVDDETEDSEDDLDENFHGDDMPASHCNVDEGRERFGSTDAANIFFYPSFRFGLIHLLSIWKLNLLKKLQGISKVASSSGNKLQETLTPKKLLILALQEAERNGNSIYIICLIRVENSEDEDHTSQERNLLSGSSQWNSILYERSSIVPSSGFPSLIFLQVFTVSSFNSGHSTLGQLAFSYMPVKFKFLRARACTRTSPLNLAIEILKWSEERTNICVMYTLCPVLIKFFSNQCIHIKNKSN >A06p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5816046:5825995:-1 gene:A06p012770.1_BraROA transcript:A06p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPPEVVSSDSQQPAAKRRKRKSLVWDHFTTEATNSDTTKALCKHCNKSYAYINGAKASGTSHLKRHIELGICSKCPKTSLTPQAKEEDETTTSSCPPPPPPPPKKGSYLPLDQDHCNREMAKMIIMHDYPLYMVEHCGFAGFLKALRPQYSMASFDAIHGGCVSMFLYQREKLLEFIGEIPGGVNLTVDVWSSRQSVGYAFVTGHFVDKDWNLSSRLLNVAVVACPDSDFALNQPVASCLSDWKLEGKISSVTVSQSLVSKTCVESLRGFLSFRNQHVLNGQLLMGKCYARVISSMAQDALGDEQLRATIKKVRDSIKFVKTNDDCGDKFDELKRLFPTDVPYKDLLFDNQTRWDTSYNMLVGAYEHRQVFSCLETCYPEYKTSISPEEWRKVESLCSCLKILFEAGNVLTRPNRLTANELYDVMTKLQLELSHAAMGDDVDARNTVNSLREKFDLYWRGCFLVLAVAVVMDPRFKMKHIKETFTNAYGEDAEDWIKSVSDAVHDLFLNYSGHNLLDEYVEIEVAEEPDFQQDMPQDASTGDVQSVDETSPQVEQTIESRPIAEEEEHASITVEQTTVCKVEKQNVEGVCEVVSEEHQQLGDESEDHTLFMGDELLEEGSTLVTIGDFDIDMSDMKTEMDQYLDDTLVLMSDSFDVLGWWKLNSINYPTLSKIAADLLSVPLSTVSPDSVFDTEVKQMDSYKASLPRVTLEALLCTKDWLKNQTLIKMAPRSSKGKPNRGKGEKKKREDKVLVPSLVDITVTTPYETQVILKGVSTDKIIDVKRLLASHVDTCHLTNYSLSHQVKGRRLSDNIQVVTLKPCVLRMIPEDYVEESQALTQVRRVLDIVACTTRFSSASKSSSNKLVAVGNGNPAPEGLDMVAIHPTPKLSQFYEFFSVPNVSPPILQLKKVDGEEAGERRDGDYFELKVKICNGKVIHVVASVKGFFAVGKQLSHTHSIVDLLQNVSNAFAKAYESLMKAFTDRNKVKLMFQPATNGFSSSPIILSLLSFFQFGNLPYGLRTNTWLVPSSDSLSTFSPLPTEDENWGGNGGGQGRNGEHDLRPWAAEFSVLATLPCKTEEERVIRDKKAFLLHNLFVDASVRRAVRAISDVIGTNQRTSGASDFPAGSILLEDRVGDLSIIVKRDVAGLDPNPKGAFQNEAFPLSSKELSERNLLKGITADESVIVHDIRALSTVIVRQCGYTAVVNVKGETKKGMADLRDIVIDDLPDGGANALNLNSLRVQLHRSHSVGKSGGNQPPQFDLDDLESFRCLVHELVKNNLTKLEEERESSERSIRWELGSCWVQHLQKKETVTEDVCGKPAMNGETDLSVKGLGKQFKVLKIKNKKSENTSTVKEIEENISLRELDGEAELKELVSEEAFSRLQESGTGLHLKSKEELTKMVYGYYDEIALPRLVADFGSLELSPVDGRTMTDFMHIRGLQMRSLGHVAKLAEDLPHIQSLCIHEMITRTFKHLLRAVIASINKMAELPGAIAASLNFILGLHGLEGSDGISSEESSLRLQWLQKFLSTRFGWIQKDEFKHLNKVSILRGLCQKVGLELIPRDYDFDSPNPFKSSDVFGLIPVCKHVLCVSSDGRTLLESSKLALDKGKLDDAVNHGTKALAKMIAVCGPYHKNTACAYSLLAVVLYHTGDFNQATIYQQKALDINEKELALDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGVGNVHLALRYLHEALKCNKRLLGADHIQTAASYHAIAVALSLMEAYSLSVHHEQTTLQILTKKLGSDDLRTQDAAAWLEYFESRAIEQQEAARNGIPKPDASIASKGHLSVEDLMDYISSDPDTKGSVVHRKQRRLNVFPVNDNVASSDDATHSVASQHGMATLNDLVETNATESSRNEVNDPNAVVDEVHIETDETVVHRPSVDNQTVDESALDGGWQEAYSKGRSGNGAGKKFRQRQPYQRMSLNRNFNGRQDVHQQYIASPLQKASPRPSLSKPSSLRALKNGEIDVSTKTIKPQIKSPGYAAATSSTLASKSLSYKEVALAQPGFQVHQLEKKASLML >A05p020390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9627700:9629089:1 gene:A05p020390.1_BraROA transcript:A05p020390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEAVTPTLQGEWIKVEQKGGEGPGPRSSHGIAVVGDKLYSFGGELTPNISIDKDLYVFDFKTHTWSIETGSGDLPNVKALGTRMVPVGTKLYIFGGRDEHKQFDDFYSYDTVKKEWKFLTKLDEVGGPEARTFHSMAWDDNHVYVFGGVSKGGANKTPVRFGTIEAYNIAEGKWIQLPDPGEQLARFEKRGGAGFLVVQGKIWVVYGFATSPDPNGKNDYESDHVQFYDPVTQKWTEVETRGEKPSARSVFAHAVVGKYILIFGGETWPDPRAHLGPGTLSDEGYALDTETLVWERFGGGDEPSTRGWSAYTTATVYGKKGLLMHGGKLPTNNRTDELYFYAVNSA >A02p000470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:278778:278999:-1 gene:A02p000470.1_BraROA transcript:A02p000470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR4 [Source:Projected from Arabidopsis thaliana (AT5G02220) UniProtKB/Swiss-Prot;Acc:Q1JPP8] MDSKRDLHESIEEDECTTPRSGEYRIPVSSVCPPPPRKKSKVVRNRDPPRNGYFQPPDLEALFCAQLRREACT >A09p050620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44448999:44450965:1 gene:A09p050620.1_BraROA transcript:A09p050620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVQDLRDGLGSLSKRSFDFRLHHKGKSQGSCEYSSSRDLLSPLIVQTSRWANLPPELLLDVIKRLEESESNWPARKHVVACASVCRSWRAMCQEIVLCPEISGKLTFPVSLKQPGPRDTMIQCFIKRDKSKLTFHLYLCLSPALVVENGKFLLSAKRTRRTTKTEYIISMDADNISRSSNSYLGKLRSNFLGTKFVVYDTQPPQNTPSSSSALITDQTSRSMFHSKRVSPKLPSGSYNIAQITYELNVLGTRGPRRMHCVMNSIPTSSLEPGGSVPNQPDKLLPGPLDESFRSNISFSKSSLDYRSVDFSSSRFSELGVVAPCEEEQEETSFRPLVLKNKQPRWHEQLQCWCLNFRGRVTVASVKNFQLVAARQPPTQGAAAAAPSHPEQEKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >A07p030060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16794842:16796629:-1 gene:A07p030060.1_BraROA transcript:A07p030060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMTTTTPHWPDFSSQKLPSIAATAAATSGQQNPSWMDEFLDFSTTRRGTHRRSISDSIAFLETPSSGVGSHHFDRFDDEQFMSMFNDDVHQNHNNQHSNNVGPTRSSSNTSTPSSDDDNKEPLSTDHNHMDTTPIPSNVAGNDNNETDEVQSQCKTEPQDGPSLNQDTGGSSGNRIHDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQSLKKMENNVSDQSPADIKPSVEKEQLLNA >A05p010960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4658491:4658739:-1 gene:A05p010960.1_BraROA transcript:A05p010960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKTLVSKPSRPDNIPKLLDRKENQGMIQDDERSKLDSRINIHTTRSRGGSLIEEVIDKLERFKVRTVNILVGVEDDEQKK >A07g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13999164:14002002:1 gene:A07g505990.1_BraROA transcript:A07g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELEVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSCLRLQISKSIAKITSALTRRLPSKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p056340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34040767:34041533:1 gene:A02p056340.1_BraROA transcript:A02p056340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKNIGLGFKTPRDAIDGTYIDRKCPFTGTVSVRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFAKKAFTGM >A05p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15044184:15047285:-1 gene:A05p029850.1_BraROA transcript:A05p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFSWSSTLVHYVLSRQLYCKKRHELWFLIEKQPARFSIFEFQDITGLNCEPLPNTMIVEDVEKSNSFWALFNLRRTRSTPSAEDICTLCQSPDVCRFWSREDQIRLCYLAILTGGLLALDRREAISPAKAKLLMDLKIFEQNPWGRVAFIELVQQIKDATAKKIKENSSYVCKGSDGPCLVGFNGKCGKLALSAILEQEKVTCMCERSLDEVHPVWEDPGEDPEIDNLMEFLRQDNSLSTITWQALPEYPLTPIECNNRSLVASQRKSKKAKKVARNGQENIADVGEKRGDIFLQLLSAKVEGLEKQLNSEPVSALFQSAVANTVDNLASRITQLEEIMLLEKPANDRFSYTKLPAKPAKTEGSKGMTTVQGTYGPQDPGQGDKEEGKQGTDGPQDPEKEGDKEEVKQVREDHPDNPEEKNTIEDIEEEHPFEGQGINAGRSSYSTRTLFPFFSCRDSVYPIGSETRALNFFTVFMKPRAWLTDEVGDLTFDTYLGVPQAKSPYGDCGVYALKFLECLMLGVPFSRYYLKDTRMEQVRKNLAAAMYVETAGANESMHDPKFLAIAIDEATKDREERN >A07g503880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7627409:7628204:1 gene:A07g503880.1_BraROA transcript:A07g503880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRILKELRELQRDPPVLCSAGPIGEDMFHWQATIIGPIESPYTGGVFLVDINFTKDYPFKPPKVIFKTKVFHPNVNSNGSICLDILKDQWSPALTISKVLLSVCSLLTDPNPDDPLVPEIANIYKTDRVRYEATARSWTQKYASQ >A04p018420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11081018:11082584:1 gene:A04p018420.1_BraROA transcript:A04p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEATSRNGKRKRQRNPKTPKEEPNETPKNQNNDKKRQRDTKTHKSNQHGGSSALSKRPKPSNFLDTLREKLSGGNFRRLNEKLYTCSGKEALDYFNEDPTLFDMYHTGYQQQMTNWPELPVNSIISWLSSRSSSLVVADFGCGDARIAKSVKNKVFSFDLVSKDPSVIACDMSNTPLESSSVDVAVFCLSLMGTNYSSYIKEAHRVLRPSGWLLIAEVKSRFDPNNGGADPKDFVKAVSDLGFSSSLKDFSNKMFILLHFKKKEQLNSNKKKIEWPELKACLYKRR >A04p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12262752:12265324:1 gene:A04p020070.1_BraROA transcript:A04p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREEIESREDESMMDAPQINKPKPEEFFNVNYLRIYYGKSPFSFFLTIKTFDLLRKPNNKYIIDGKHPGCDEYYFGRREFSFTLENDVYLRYKSFKNASSMEDAIRSHFPYKIDIGAVYSVDPDKRHAYSQTGSNVFTPVERELVFDIDITDYDDVRYCCSGADVCSKCWPLMTVAIKVIHTSLTEDFGFKHILWVFSGRRGVHCWVCDPKARRMTNEQRSAVAEYFRVYKGNENNARKVALMGYSLHPFLARSYVDYLKGFFEGELQATQSIFSTKDKYEKILAMISDEDIQSELRGKWENSARSSLSEEAISLVRWEQLKNTLQSKKHKAPTLRMCVEEIVFTFTYPRIDLEVSKQMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPLAVPTLSQLIEEINSGGSRMDVDDDSDDSDTSLLGKSVKFFRSSFLEPLLKSCKEEIESSYKTKIGKSKDSLSW >A10p039650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21949313:21953578:1 gene:A10p039650.1_BraROA transcript:A10p039650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDSSAVIPDSFMGYRDDITMQISMILDQIRAPLIVPVLRLAVYICLTMSVMLFVERVYMGIVISLVKLFGRKPEKRFKWEPMKDDIELGNSVYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIVIQVLDDSTDPTIKDLVEMECSRWASKGVNIKYEIRDNRNGYKAGALKEGMKKSYVKSCDYVAIFDADFQPEPDYLWKTVPFLLHNPKLALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGIWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGSLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMAFEIMTNKNVTLWKKVHVIYSFFVVRKIVAHIVTFIFYCVVLPATVLVPEVIVPKWGAVYIPSIITLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEGGRVNEWIVTEKLGDLKAKSATKAPKKLRFRFGDRIHVLELGVGMYLFSIGCYDALFGKNHYYLYLFAQAIAFFIAGCGQIGTVVPNY >SC124g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:156167:161080:-1 gene:SC124g500090.1_BraROA transcript:SC124g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVMMSRLCRKDIKESMQVGECLYSAYIGESVESSGVMRKLESKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVGPADRAKSRRDLEVCLGANGRVCKVRARPYGLVRTCTDLYGPGQPESAQLDHLRCFGIVQSPGQSQVHLNLVPASAKLKAFSHVISKPRRSFMFSQL >A02p052770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32243718:32244419:1 gene:A02p052770.1_BraROA transcript:A02p052770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVASVVEKSVTFTAYKPQLIVESQRVGDAVAFYKAVFGAEETGHSLYPKRKADQELPHLVSAELNLAGTTVVVSDVSVNSNANKGSMPAILETDDVEAAVAKAVGAGAVNVEEEVEGGVKGKVTDPFGFTWIFVSPAKKSESDETKEV >A01g511340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32159911:32160794:-1 gene:A01g511340.1_BraROA transcript:A01g511340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYITRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A04p025030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15151376:15152545:-1 gene:A04p025030.1_BraROA transcript:A04p025030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator ARR12 [Source:Projected from Arabidopsis thaliana (AT2G25180) UniProtKB/Swiss-Prot;Acc:P62598] MTVEQQDCVALDQFPVGMRVLAVDDDQTCLRILESLLHRCQYHVTTTNQAQKALELLRENKNKFDLVISDVDMPDMDGFKLLELVGLEMDLPVIMLSAHSDPKYVMKGVTHGACDYLLKPVRIEELKNIWQHVVRKNRGSNNGDKKDGSGNEGVANSDQNNGRANRKRKDQYNEDEDEERDDNDDPSSQKKPRVVWTVELHKKFVAAVNQLGFESKFCLNVT >A04p007380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8912994:8913518:1 gene:A04p007380.1_BraROA transcript:A04p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRSDYEDFITHIDMIKTLTMSSHSFVVKQIESTGSKINGNRFECKTCNRKFDSFQALGGHRASHKKPKLMSVEQEQAKHRNNENDVHKCSICGQMFGTGQALGGHIRRHRASMINEQSVISSMVYTSPVNDRCQSSKRVMRLDLNLTPLENDLVYIFANNLAPHIDLKFVN >A07p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19109455:19110688:1 gene:A07p035300.1_BraROA transcript:A07p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKKPIHAVTTWVRRQPPKVKAFLGVVSAMTALVLLRVIVHDHDNLFVAAEAVHALGISVLIYKLTKEKTCAGLSLKSQELTALFLAVRLYCSFVMEFDIHTLLDSATLVTTLWVIYMIRFKLKASYMEDKDNFAIYYVVVPCAVLSVLIHPSTHHHIINKISWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGIARFLSCAHWVLQVLDTRGRLLTALGYGFWPIMVLFSEVVQTFILADFCYYYVKSLMGGQLVLRLPSGVV >A03p016320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6499688:6500716:-1 gene:A03p016320.1_BraROA transcript:A03p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNNIPRFDWDLSFSTVVSSPSTGTDAIGAIEFDPSGEILATGGIARKIRIYRLNSLLGTSRDDDDHVESCICTPAKLSSLKWRPDLTGRVIGSGDYDGVVTEYDVEKGVPVFERDEHGGRKIWSVDYTLRNGSVLGASGSDDGTVQMWDPRNGGLDETVRPGGGAAAICSVEFDPFGGNFLAVGCADRNAYVHDIRRLVDPIFVLDGHTKTVTYARFMDQHTIVTGSTDGSLKLWDIANGQRVVRTFRGHVNSRNFVGLSVWRHGGLVVSGSENNEVFVYDKRWGEPVWVRGLGGQTDRFGSDRRFVSSVCWRQADEDWCTLVAGGSDGVLEIFSGIRN >A06p032160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17144260:17144736:-1 gene:A06p032160.1_BraROA transcript:A06p032160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGDQLTSAWRSVPVRTSVVTERLPSRPDGPCGTMSPGAILAEPVNETKGRGSWFPCGNCQGSSWAVVGAVLSGFGRKGTRGQVASPCPILCNRSARAVTGPSLYKYIPWGLFLVIIFPRESSEEIVRQKRERVAGDLKSNRVWWCLICSDQTVTV >A05g501420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5097574:5097834:1 gene:A05g501420.1_BraROA transcript:A05g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSFSTKVLSGFLIILLVIQLHFETTTGARHAPVVSWSPPEPPNDDFVWYHKINRFKNIEQDAFRPTHQGPSQGIGHKSPPGAS >A07p021750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:12766428:12766700:1 gene:A07p021750.1_BraROA transcript:A07p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSSSWTSKQNKIFERALAVYDKDTPDRWQNVAKAVGNKSAEEVKRHYDILVEDLMNIEQDLVPLPKYKTVDVGNKSRGINDYDLR >A09g514470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43147832:43148543:1 gene:A09g514470.1_BraROA transcript:A09g514470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPCELLPKQPRDRDGDRKLGRKRGRETSNLKFVDTETFPERLLLCPSPYPTILDVPGSPHTYWGRFLCVPETSPSPRRTRYVRRHQVGVPVQPRLLP >A09p073470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56004990:56008213:-1 gene:A09p073470.1_BraROA transcript:A09p073470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEESLPAFTLFGLDEVENYGLVSEADNSLPLDIHNQVFQLVEKGNEAFKESHRFEEAISNYSKANSVKPLDPVVLTNRSAAYIRFGQYLKHRSASISEYRPLNGFDMSMLGELALKDADKVMNIQSTSVKSYITKACALMLLERYEAARETILSGLQIDPFSDPLRSNLQELEKVMMPTSTRKTHGKAERSDDFDCTVCLKLLYDPATTPCGHTFCRSCLFQSMDRGNKCPLCRTVIFMTPRTCAVSVTLNNIIQKNFPEEYAERKSEQDTLVHLGNESMPLFVMDVIIPCQKLSLHIFEPRYRLMVRRIMEGNHRMGMVALDSATGSPVDVACEVEITECDPLPDGRFVLELESHRRCRIVKAWDQDGYRVAEVEWVTDIPPQSDQEKADLRELTTSAASFARAWLERAKEAARQGDRRRLETLLIVESMIPTPQDPERFSFWLATLTDRRPSERLELLQLQDTGERIRRGLIYLRSVERGCRMQ >A06p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24338697:24340285:1 gene:A06p045420.1_BraROA transcript:A06p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLESISEATSGAIGSLLSTTILYPLDTCKSKFQAEISVRGQQKYRYLSDVFWEAISTGKVLSLYQGLGTKNLQSFVSSFIYFYSYSYFKRLHCEKFGSKSIGTTANLLLAAAAGVCTSVLTQPLDTASSRMQTSEFGKAKGLWKTLTEGAWGNAFDGLGISLLLTSNPAIQYTVFDQLKQNLLEKRKAKANKDSSPVVLSAFMAFLLGAVSKSAATVITYPAIRCKVMIQAADDPKDNEAKKIPKRIRKTIPYVISAIWKKEGALGFFKGLQAQILKTVLSSALLLMIKEKITATTWFLILAIRKTLFVTKGRLKSP >A02g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16017880:16022318:-1 gene:A02g505170.1_BraROA transcript:A02g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKGNSSDAQKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCAEERAEQSASSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQMVAKSEPPVALRTIMCYLLLRHITISVFKKKKKKKKKKKKKKEINVMEKGKKEKKHGATGKVEQEVGTKSGVALDGRWISWNKRGRKQCNLVVFTQNSLDDCSE >A09p033070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19993417:19996285:-1 gene:A09p033070.1_BraROA transcript:A09p033070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNQKDSSGVSMIRPGGKLMIWLILFISITYIIYTLKIVSTSRPCQYLTFSETILQQRPQKKAVAGEHEATDLNHVVFGIAASSKLWKKRKEYIKIWYKPKKMRGYVWLDKEVTDAGDQENLPPIRISGDTSSFPYANKKGSRSAIRLSRIISETLKHLDSDSRKSVRWFVMGDDDTVFVTDNLIRVLRKYDHGQMYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAVALSKIQDRCIQRYPALYGSDDRIQACMAELGVPLTKEQGFHQYDVHGNLFGLLAAHPVTPFVSMHHLDVVEPIFPNMTRVRSIKKLTTPMKLDSAGVLQQSICYDKYKSWTVSVSWGYAVQVFRGSFSPREMEMPSRTFLNWYKKADYTAYAFNTRPVSRNPCQKPFVFYMSGAKFDKQLNTTVSEYTRHRVPHPACRWEMTNPGEINTIVVYKKPDPHLWDRSPRRNCCRVLQTKRNNTLWINVGVCRAGEVTEVK >A01g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5896000:5898066:-1 gene:A01g501620.1_BraROA transcript:A01g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVSVATGAFSRRSLRRRVESAIRRCAFVFFISHLIRSKLISAMANVLALLSDLQTGRSSSTVEVRLLRFWEARNVRRGGELMGVDMLLIDSQSTMMPATVNVNRLTSHRPNLEEGGSVYYLTGFEVTRCNQNYRLSDSSLLIRFSDSTSFMKVTEPAEPIPLESFRFRNHSEMLGLANSNNQLPGNAPFTISYTSVIGYLIVSFTDLIGEITGVKSTVSDPPQDKNRVMPTIKMENGTSVTRKRYSSFGETPSIVSVNDDFDMVYVSCFGEDCMRLLSNFIQHFFLGIIIMARQSLLLANLNAGRCSSTVDVQLLRFWEARNTVAVAS >A03p046320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19530112:19533528:-1 gene:A03p046320.1_BraROA transcript:A03p046320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNFHANKERRHILEVLFNGLRRLEYRGYDSAGIAIDDSLSPLHSTSSPPPLVFRQAGNIESLVNSVNQEITNTDLNLDEVFYFHAGIAHTRWATHGEPAPRNSHPQSSGPGDDFLVVHNGVITNYEVLKETLVRHGFTFDSDTDTEVIPKLAKFVFDKANEEGEQTVTFCEVVFEVMRHLEGAYALIFKSWHYPNELVACKRGSPLLLGVKELDQDKSNNHVFKDAHFLSKNEHPKEFFLSSDPHALVEHTKKVLVIEDGEVVHLKDGGVSILKFERRNGSSRPASVERALSVLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGGSRKPKAVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALASRPILEELSGPIYREDTAVFVSQSGETADTLLALEYARENGALCVGITNTVGSSIARKTHCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGSDTISSQTRREAIVDGLLDLPNKVREVLKLDEEMKDLAQLLIDEQSLLVFGRGYNYATALEGALKVKEVSLMHSEGILAGEMKHGPLALVDENLPIAVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVSSSGSCRAIEVPQVEDCLQPVVNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >A07p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8648338:8650732:1 gene:A07p011520.1_BraROA transcript:A07p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFGANGTVVLAIIFFGCLFTFSTAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAPLNPERTVFDVKRLIGRKFEDKEVQKDRKLVPYQIVNKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHQKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNSLETYVYNMKNQVSDKDKLADKLEADEKEKIEAATKEALEWLDENQNSEKEEYDEKLKEVEAVCNPIITAVYQRTGGAPGAGGESATEEEEDESHDEL >A04p034920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20195801:20200589:-1 gene:A04p034920.1_BraROA transcript:A04p034920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIYQLALAALFGASFVAVSGFFMHFKALNLVLERGREKKDNTTDGGDSPQHQSQTLSRRRSQVKRKGHTPASLPDATPFTDGDTGRSNGHVCVDEIPPGLPRLHTPSEGRSSVHGSSGSIRKAGSFVRPISPKSPVASGSAFESMGESDDDDDNLTDAAELDASYLQTNGDLPADATEEQVSMAASSMIRSHSMSGDLHGVQPDPIAADILRKEPEQETFVRLDVPLEAPTSDEVDAYKCLQECLELRKRYVFQETVAPWEKEVISDPSTPKPNLEPFAHYPQGKTDHYFEMQDGVVHVFPNKDTKEELFPVADATTFFTDLHHVLKVTAAGNIRTLCHRRLVLLEQARALKFNLHLMLNADKEFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLMDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGEITKQVFSDLEASKYQMAEYRISIYGRKMSEWDQLASWIVNNDLYSENVVWLIQLPRLYNIYKDMGIVTSFQNILDNIFIPLFEATVDPDSHPQLHVFLKQVVGFDLVDDESKPERRPTKHMPTPAQWTNAFNPAFSYYVYYCYANLYVLNKLRESKGMTTITLRPHSGEAGDIDHLAATFLTCHSIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSACDLCEIARNSVYQSGFSHALKSHWIGKDYYKRGPDGNDIHKTNVPHIRVEFRDTIWKEEMQQVYLGKAAISDVVVP >A10p008310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11283143:11284556:1 gene:A10p008310.1_BraROA transcript:A10p008310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFTKQKFWGQIVNCLKFKDQLCNILEVGHVCVVSFEVVIISDALNETKSILTYYYEFWCTLVYSDTLHIDGQSGFIIQIYCFSLLQYDYGFGASREELSCPKTKISDSLILNGIAAARLDAESLSNGSPTQVKSTSGANGKVWELGGVSNWIINKKSRGSKLNFPNEYCDEGGIGLDNMDIVDNEGDVDNSM >A08p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16659604:16660454:1 gene:A08p026040.1_BraROA transcript:A08p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEKPPSPGETQPEPTRNPPPPRHSKRARLHSKIRPPRRSASGDGTDAHAPAALIYTKNWIKGYEAYKHEDEIDPEEETLPSFQSIANVEEDEDAEV >A07p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15737719:15738741:-1 gene:A07p027620.1_BraROA transcript:A07p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase LUL2 [Source:Projected from Arabidopsis thaliana (AT3G53410) UniProtKB/Swiss-Prot;Acc:Q9LFH6] MGNVTGGIRRDPPPYTNPNHPPPLQYNQYQGYYPYHNPQGARAPYPQVAYVEHQEAVTIKNDVNLNKDTLRFEPDESNPGKFLLSFTFDANVPGSITVMFFAKEGKDCDLIATKADLFPSTTVSFPKGLGQKFKQPCGTGIELSALSEAELVEANESDVYHVAVKAEGKTPNRQITHAVLEKEKGEYKAKVVKQVLWVNGSKYVLKEIYGIGNTADGSGGEDETESGKECVICLTEPRDTTVLPCRHMCMCSGCAKLLRFQTNLCPICRQPVNRLLEITVNTNVSNN >A03p063760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27722565:27728261:1 gene:A03p063760.1_BraROA transcript:A03p063760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNHAGSVTGSDIIDAKIEQHQLCGSKKCPSCGHKLEGKPDWVGLPAGVKFDPTDQELMEHLEAKVLVKDIKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDNNMQGSGSSGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGTHEEEKEGELVVSKIFYQTQPRQCNWSSTSSLNAIGGGGGEVNSGGGGGEYHMRKDSGNTSGGSCSSSREILNVNHPNQSDEVGGVMAVPAAATTVPPGLPSYAMDQLSFVPFMKSFDEIQQQHQEGEEEHNDGKMGARDHHQWGTNKKQNG >A08p023180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15260425:15262004:1 gene:A08p023180.1_BraROA transcript:A08p023180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSGGACSILVITGGKTIKQLLHIMSEDDTVPLTTLQCFLIFSILAIVMSQFPNMNSLFGLSLVGSVMAVAYSTAGTLPSDSKNPSSKTMWRAVMISHVIIAVCMFLVTIVVYWAYDSGWGGPIGNYLKLFEQDYSKRSACFIHLTFIFNCLCSYPINSMPACDNAEMVYITKTQKPCSFFVRMMLRVLLGLVCFFVAVGFSFLPYLAVLIGAVGLLVTSTRVSQRFACGCVGFAFG >A07p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11736247:11737470:-1 gene:A07p019710.1_BraROA transcript:A07p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSRDELVYMAKLAEQAERYEEMVQFMEKVSAATDGSELTIEERNLLSVAYKNLIGARRASWRIISSIEQKEDSRGNADRVKTIRDYKAKIESELSGICDGILKLLDSTLVPSADSEESKVFYLKMKGDYYRYLAEFKIGKERDAAADNTLAAYESAQENAKGLASTHPIRLGLALNFSVFYYEILESPDRACNLAKTVNPIFDITMLGLANVKRTTFEEYLLLFSGDVSLLLFNIVLSIQHFHGQKAFDAAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDESADEIKEAAAGPKPTEEQK >A01p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11219789:11220175:-1 gene:A01p022760.1_BraROA transcript:A01p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITALEKPQYPVVDRNPPFTKVVGNFSVLDYLRFSTITGVSVTVGYLSGIKPGIKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVASYQKRGGFSK >A06p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3272823:3274054:-1 gene:A06p009340.1_BraROA transcript:A06p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT1G11545) UniProtKB/TrEMBL;Acc:A0A178W0W2] MEKRYSSMAAVLFFVAALMVSSSITAVPTQSFEENFNIMWSENHFTTSEDGQIWNLALDNDTGCGFQTKHMYRFGWFSMKLKLVGGDSAGVVTAYYMCSENGAGPERDEIDFEFLGNRTGEPYIIQTNVFKNGTGNREMRHSLWFDPTKDYHTYSILWNNHQLVFFVDRVPIRVYKNSDKVPNNDFFPNQKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFAVEGCRWKDPFPACVSTTTENWWDQYDAWHLSKTQKMDYAWVQRNLVVYDYCQDRERFPKMPWECSISPWA >A07p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8824163:8826610:1 gene:A07p011240.1_BraROA transcript:A07p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGKLRSGVYRSFIMCDDPRGVVERGAIKKQRYNSICSGTNQRFDHPSKPKERSDTGPRKSTEDAPPPSSSLQLLRVSKGIQKLNVAIDSYSKGSSYETVRPEDIAKGLLRGALDLEESLAMLSSIQEADNKLKGRVSKDGRRDLRFQRSMSDRFGERIEKRMMVQENVASRDCYDELRNVIRESFHRQNLLPQTSNTETKKTRVARSGFVSSSGAASSSTSSSQSSMVSGSTKSSASSDVPRRPPSLIARLMGLDVSPQVQNISTVKHIEKPVIVNVSPERQEKLLKRKKKESPETVRCNSTRKPVLHSLPEEIPSENPSSIVLIKPVNVVQDEKPGNKRPVLPKKLRMQGEVHPRMIINQRKDHQAKGGSKTSSSNTMKLPSSPTKKDKMVRKVEENEGKVMKLLSPSNVTRQGKPKENIKKIYVKKEDISEGKDRRSALKPPANHSTHKKSNGSSEMSRKKNQRSRLSSSSSSSSDEQKSNSRLKKPGEASKRSPKKKLHQRDNYDLASENNSSSSQDTRVSINQLSAEETTSSELHIQGHCDSGEVTSCAPTIQQETSLRSFLSNSSDFISYAENLFDFNTNTNRSQEKTCQGRDSNVISDQRLALDFAKEVARRRSLLLITEPTCLLRSSLHIDELLMEVCDGFDSLRSYRDTFLNQSSFVKESIHMVLEKDLYSKKKEMTSGVWDLGWRSEFQIDETHQAVVDLEKLILSGLIQEIFS >A01p006590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3344188:3346067:1 gene:A01p006590.1_BraROA transcript:A01p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHRNNIGSLIHDPPPTTSSGYHFRLWSTFSRSAFRRKILNAVSCGGSSRYRHELREEEKEERSYVTVTTEKKSTVKANTIAAALNGAAFEEKGKKSEKLCDLLNLAEVEADVETKMKEEALDALKRVVGELQAAAARGDDEGGECRKKIAAASEVRLLAKEDPEARVTLAMLGAIPPLVSMIDDESRDADARIASLYALLNLGIGNDANKAAIVKAGAVHKMLKLIESPNTPDQSIAEAVVANFLGLSALDSNKPIIGSSGAIIFLVKTLQNLDETSSSQAREDALRALYNLSIYQPNVSFILETDLITYLLNTLGDMEVSERILAILSNIVAVPEGRKAISSVCDAFPVLVDVLNWTDSPGCQEKATYILMLMAHKGYGDRQAMIEAGIESSLLELTLLGSALSQKRASRILECLRVDKGKQVLDSTGSCGALSAPIYGTRSNGLDHEESDLMMSEERKAVKQLVQQSLQNNMKRIAKRANLPQEFVPSEHFKSLSISSTSKSLPF >A03p038280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15976917:15978711:-1 gene:A03p038280.1_BraROA transcript:A03p038280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMIVDFQNYFIFILLCLFSLLCYTLFFRKQKDSRLGFDLPPSPPSLPIIGHLHIILSLLIHKSLQKLASKHGPLLHLRIFSLPIVLVSSASVADEIFKAQDVNVSSRSSLPTSEGSLYFGSFGFVTAPHGDYWKFMKKLITTKLLGSQALERSRGIRADEAKLFYSNLLDKAMKDERVNIREEAMKLTNNSMCKILTGRICLEDAERVRGLVARTDSLSKKLLLASVLRRPLEKLGISLFKKELMCVSSRFDEVLERYLVEHEKKQEEQAETMLHGVKIRHSEQGVDVMDVLIEAYRDENAEYKITRNHIKSLLVDLFIAGSETTSNIIEWTMAELINNPKTVMKEGLRLHPPAPLVVRTFQEGCEVKGFYIPEKTTLVVNGYAVMRDPDVWEDPDEFKPERFLVSSTSGQQEDKIREKVPKYIPFGSGRRGCPGSNLAYLFLGIAVGVMVQCFDWRIKEEKVNMDEAAGAVSLRRAHPVHATPVARNRDLLT >A03p056750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24592710:24596390:-1 gene:A03p056750.1_BraROA transcript:A03p056750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSLPYRRRVYLGACIEMKLLFRLALPAILVYLVNSGMSISARIFAGHLGSQELAAASLGNSCFFLVYGLMLGMGSAVETLCGQAYGAQRYDMLGIYLQRATIVLALVGLPMTVLYTFSYPILLLLGEPKTVSYKGSLYIAGLIPQIFAYAVNFTAQKFLQAQSVVIPSAYISGAALLLQILLTWITVNVMGMGLMGIACVLTISWWVMVVAQALYIKNSQRFRHTWTGLSSRSFQGLWGFFKLSVGSAVMICLEMWYSQILVLLAGLLKDPALSLDSLSICMAVSALSFMVSVGFNAAASIRTSNELGAGNPKSALFSTWTATFVSFVISVAEAVILLASRDYISYIFTSDADVAKAVSDLCPFLAVTVILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPIGCVLGFTFNLQAKGIWTGMIGGTLMQTLILLYVTYRTDWDKEVEKARKRLDMWDDKKNSLQN >A01g510940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30202588:30205227:1 gene:A01g510940.1_BraROA transcript:A01g510940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFADGEKDMEKGGMVLQSSESQTAMLDPSPTPSPSATATAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQRGDLSAVQQILKDINSQMDGTLSGEEFDAEVAEIRASIVNEVNELGETALFTAADKGHLDVVKELLKYSSRESIAKKNRSGYDPLHIAAIQGHHAIVEVLLDHDSTLSHTFGPSNATPLVSAAMRGHTEVVNQLLSKAGNLLEISRSNHKNALHLAARQGHVDVIKALLAKDSHLARRVDKKGQTALHMAVKGQSSEVVKLLLDADPAIVMLPDKSCNTALHVATRKKRAEIVELLLSLPDTNANALTRERKTALDIAEGLPLSEESSYIKESLARCGALRANELNQPRDELRSTVTQIKNDVHIQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNNDGSAVVVGRASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASMCTSVAFLASSYIVVGRKNEWAAELVTVVGGVIMAGVLGTMTYYVVKSKRVRSMRKRIKSARRSGSNSWHHSDHSNSEVDPIFAI >A09p042060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23774079:23777001:1 gene:A09p042060.1_BraROA transcript:A09p042060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKVRLKAWFDKKITEPLVQILRRGAEPKQLSFSAALGVTIGVFPICGVTVLLCGVAIALLGSLCHAPTVMLFNFIATPIELSLMVPFLRLGEKLTGGPHFPLTSDALKKVFTGQASREVFFSIGNALLGWLIATPFVIVGLYILFLPCFKILVRKFSSVSPTAKSPISMHSDFKSNNSNPENYSSSSGVNSPELKLYQAFIFSVPICFTFIILFVFYVIYLRRGSADLSSLGMRTTFLPGNSISTAELGLSKELREMLPIVVFKESFFVMDSQCSVCLGDYQADDKLQQIPACGHTFHMDCIDLWLTSHTTCPLCRLTLIPTQSHQSQEDDPPVPSLRTPDGGVSSDPEPQPDGHGNDVQEQQCDLSENDRDAESSKETQEDERNIIGTSSGGGNCTLG >A07p043710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23870927:23872901:1 gene:A07p043710.1_BraROA transcript:A07p043710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTLTLLSFFPHSDPPEQSWRCNDNLIVIRFPISSNEDMDLYCGESSSGESTAEFSSEEVESWPDDSIASCIEDERHFVPGLDYLSRFHSQSLDASAREESVTWILKVQAYYSFQPLTAYLAVNYMDRFLYARRLPETSGWPMQLLAVACLSLAAKMEEVLVPSLFDFQVEGEKYIFEAKTIQRMELLVLDVLDWRLRSVTPFSFLSFFAYKIDPLGTSLGFFRSHATEIILSNIKEASFLEYKPSSIAAAAILCVANELPSLSSAANPHDSPETWCDGLSKEKIVRCYKLMKAMAIENNQRNSPRVIAKLRVSVRAASTLMRPSDESSSSSSSPSKRRKLSDYSWVGDDKSSSE >A02p042470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26675358:26676565:-1 gene:A02p042470.1_BraROA transcript:A02p042470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A01p036250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16553566:16558823:-1 gene:A01p036250.1_BraROA transcript:A01p036250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Linoleate 9S-lipoxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G55020) UniProtKB/Swiss-Prot;Acc:Q06327] MIGDLVDLLTGGGGNAAKVKGTVVLMKKNVLDFNDFNASFLDRLHEFLGNKVTLRLISSDVTDPENGSNGKLGKAAHLEDWITTITSLTAGESAFKVTFDYDQDFGYPGAFLIRNSHFSEFYLKSLTLEDVPGHGRVHYICNSWVYPAKRYTKDRVFFSNKTYLPHETPEALLKYREEELVSLRGTGEGELKEWDRVYDYAYYNDLGVPPKNPRPVLGGSQEYPYPRRGRTGRKPTTEDPETESRLPVTSSLDIYVPRDERFGHLKMSDFLAYALKAIAQIIQPALESVFDETPKEFDSFEDVLKIYEEGIDLPNQALIDSIVKNIPLEMLKEIFRTDGQKFLKYPVPQVIKEDKTAWRTDEEFAREMLAGLNPVVIQLLKEFPPKSKLERETYGDQNSTITKSHIEQSLDGLTVEEALEKERLFILDHHDTLMPYLGRINTTGNKVYASRTLLFLKDDGTLKPLVIELSLPHPDGDSFGAVSEVYTPGEGVYDSLWQLAKAYVGVNDSGNHQLISHWLQTHASIEPFVIATNRQLSVLHPVFKLLEPHYRDTMNINALARQILINGGGIFEITVFPSKYAMEMSSFIYKNHWTFPDQALPAELKKRGMAVEDPEAPHGLRLRIEDYPYAVDGLEVWYAIESWVQDYIPLYYKSDEDVQNDTELQAWWKEVREEGHGDKKSEPWWPKLQTRKELIDSCTIIIWVASALHAAVNFGQYPIAGYLPNRPTISRQFMPKENTPEFEQLEKNPDKVFLKTITAQLQTLLGISLIEILSTHSSDEVYLGQRDSKEWAAEKDALEAFEKFGDKVKEIEKKIDERNLDENLKNRTGPVKMPYTSLFPTSQGGVTGRGIPNSVSI >A03g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17704336:17705930:-1 gene:A03g504950.1_BraROA transcript:A03g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSFYVGVIGNVISVLLFLSPVETFWKIVKRRSTEKYECLPYICTLMGSSLWTYYGIVTPGEYLVSTVNGFGVLAESIYVLIFLFFVPKPKFLKTVALVLALNVIFPVITIVGTRTAFGYAKTRSNSMGFICAALNIIMYGSPLSAIKTVVTTRSVKYMPFLLSFFLFLNGAIWAVYALLLHDVFLLVPNGMGFVLGTIQLLIYAFYRNAKPNIVDEEEALAPSQPLLS >A07p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12227901:12229200:-1 gene:A07p020750.1_BraROA transcript:A07p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAFTYLFPFLLLPSSIHNHKLSFGAFKANYKKVMGPMIRIEEEEDYMSPPWLMPMLRGSYFVPCSIHADSNKNECNLFCLDCAGTAFCSYCLVKHKDHRVVQIRRSSYHNVVRVNEIQKYIDITCVQTYIINSAKIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDQSLTFSVRGKHGREYQGGGSESDEATTPTKLRKTNAFNRLMSGLSISTVRFDDYGLGSDHRCLSSGEEGGLSFSPGTPPIYNHRNSSRRKGIPHRAPF >A02g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11887500:11889086:-1 gene:A02g503500.1_BraROA transcript:A02g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGYNPHEPVLSNCAREQHINNEKNIAPSVPNKPLFNITRETSLQIYSTTRVMRSGRKRSTTLGVGRDWTHKLIKKISPSVPNKPRFNFTEDMFLITHRSHGIVNEEICCGVNPHEPVPSNCARAHHIMNGDKITPSVNKPRLKFIECKFLIAPGVSIKDWKFNAANLSSAMNEEDKDGSPRSNGIVMIFEFHIL >A02p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13694788:13696256:1 gene:A02p026100.1_BraROA transcript:A02p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDRLDVEEKFLSMAEDNEDLVKMALLYFIGYIIIRRKNKGKGLLDPFFLKLVNHLDLCQTFPWGTLSFKYCLDILADKMKEPSERSKPSLISWNLPCFITPLQIFPFECIANLKSKYRLPVRGAVNTCPRMCKMEFRKTGEKDFSLNDIYRSLGTDKDIESILVPTIDEHHLVAEVQEPETDHSTINNWKLVLMEQKKICWDHFYEKDQSNRVVEVKENTRERNGDNLETNLEVGEDEIIQREVELLKKKSDQQDKAIFDLRAQSEALKGQPRDDPQPQYSSPFQSDNETQPQYSSFHQNEDEPQPQNSSFQPGNEQQDSSFHQNEIEEQTIMDGNRCEPTGMNEEEERELQLHSELRSQTQYSPIQPQSSSFQPRDETQPLRERTRKKSYTVSYSFIRSQCRSM >A07p034760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18855720:18856117:-1 gene:A07p034760.1_BraROA transcript:A07p034760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPISSKLYVVMLLSFLALFIIAHAQTFSPDGKFFQDSPAQAYTGPSYAPVPPSCFNPPGCGPTDKRKRRFRHQSSNLAT >A06p055140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28860675:28863298:1 gene:A06p055140.1_BraROA transcript:A06p055140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVMMKFMFLGFWAFDSVYAMDSLLSPKGVNYEVAALMSVKNKMKDETEVLSGWDINSVDPCTWNMVGCSAEGFVVSLEMASKGLSGTISTNIGEFTHLHTLLLQNNQLTGPIPSELGQLSELKTLDLSGNRFSGKIPASLGFLTHLNYLRLSRNLLSGRIPQLVAGLSGLSFLDLSFNNLSGPTPRILAKDYRIVGNAFLCGSASLELCSDAATPLRNASGLSEKDHSKHHSLVLSFAFGIIVAFIISLMFFFFWVLWHRSRLSRSYVQQDYEFEIGHLKRFSFREIQSATSNFSPKNILGQGGFGMVYKGYLPNGTVVAVKRLKDPNYTGEVQFQTEVEMIGLAVHRNLLRLFGFCMTSEERMLVYPYMPNGSVADRLRDSYRDKPSLDWNRRICIALGAARGLVYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDQRDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILILELVTGHKMIDPVNGQIRKGMILSWVRTLKAEKRFAEMVDRDLKGKFDELVLEEVVELALLCTQPNPSLRPRMSEVLKVLEGLVEQYGYEQTQSGYEARGPSVSRNFSNGHEENSFIIEAIELSGPR >SC160g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:12191:16716:-1 gene:SC160g500020.1_BraROA transcript:SC160g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERLGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVIEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCSQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRVWRKDSESEHLSGRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPTNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESGAQSGGNEQGEPAGNEQGEPTGLREEAQENQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQ >A06p026500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:13872732:13874126:-1 gene:A06p026500.1_BraROA transcript:A06p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTRNPKALKASRGAAIHGRTKLRVNRLTSMTHYSDYNCEGWEKESAARYNRLLAAEILPTRFAHVETLADLGLESDVFETLDVMGLTPLCYQALVLYPDSVRQELATAQITYQNPTAPTYENCSFSFMADGKFCSISLHDLNDLLEIADTPREVSIENKFTPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKELTSKVTNGELQVLYTGLEDEIRRDRVIPIQAVKTNPGFLLISMLSERKDSLLRTEDKKDRCGSVLTPLFKRFNINLDSYKVVPELEYIDTAYLITCHILRDESTYKFADKEGDTLYCKLPLPGLTNFMTLENIVFLPDAEHLCDDPRAPVPNENADMDDVVDVTPSADSAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRAITRGCIGSQDEQTTQGTRRPGKEPAGTSTGEERLPRNRRTAGHSSSGDSD >A06p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3672487:3673176:-1 gene:A06p010260.1_BraROA transcript:A06p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKVTMLVTIMILMMMGNLLDQSKAQQSSLGQREAEKIHFFQCFPSCLKECKSTHKFPASLKCPIICLKACLHPPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSSEKTIDKTNDFCKFDCAVQQCASLSTMEIPNVKKVAACVDSCSIKCSSKNEKAIFPPAN >A05p018710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8722188:8722922:1 gene:A05p018710.1_BraROA transcript:A05p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCSIEQLHAFYVQERLLFVKLVQKFSRSLAESLLVMATWLWLEDFGFEHIFSVIMALNDPLIAALANEAVLCFRCLESEEPPNGFSQIPVTAEFMDISLQIIYQNRYSAITGIKNFLTTVCSRIFSDIVQQVLPSSYITSHNQPLIIPGFPHPTFGSINVMPSVASLDNFSSRNLFHIPSGIWGWNASCIATENDRTLFLTFSRGIPVSEAEVRLVFTEKYGETVLRAFTYLSTVETYPMRT >A05p037800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21504603:21509666:1 gene:A05p037800.1_BraROA transcript:A05p037800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRAQHRRRVTSQTWGKVEGAEDVFHDILSRLSVRSIRSFKTVNRYWHASISNKHFATKQLAQSRKKPSYIACPRADMAMKLYLLKPGKFNYRHHATVDPPGRSADHNMHMIASFNGLVCCINQLSDENEDHQIWICNPSTEETLLLPQGRPSVWTEPSIGVAYGPDISDYKIFRIFCVGRRNAGKGDYLYECEVYSSSSGAWRGIGPVLHLPMYVCFSPHRSAHVFAGGKIYWLVSLEDPAGIMLSVDMEENFEVMELPYYSTDLRYEDRITVTTYLINLGGSLSLVVLHVDYFDVWEWKEASWVLVIEDYLPFMNFCDIVLFMTSSEKEILFVTESHLWTYHLDTRKWRKRGRPPTRFTNPAIFPFTESLLPSRQWWGEASRKVKMSIYYLWLAGGDGQSLCVVTRAQLTSLTWDDVESKQDVFRDILSRLSVRSIRSVKTVNRYWRDSVRDKHFATIHLAQSRKKPSYIACPRVNNAMELYLLKPGEFDFRHHATVDPPGKSAEHHMLMIASFNGLVCCINQLSDENEEDYQIWICNPSTEQTLLLPQGRPSFWTEPSIGVAYGPDMSEYKIFRIVSDGENGAGLHIECEVYSSSTGAWRIIGAVPHLPMYVFLIPHRSSHVFVGGKIYWLVSLEDPGIILYVDMEERFGVMELPYYSPDLRDVDRITDCTYLINLGGSLSLVVLHVDYFDIWEWKEASWVLVIEDYLPFMNFSEIALFLTSSEKEILCVTEWQLWTYHVNTRKWEERGGLPTKFTNPAMFPFTESLLPSRQWWGEARRKVKMSIYHFWLAGGDGQRQPPA >A01g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19709140:19714195:-1 gene:A01g506700.1_BraROA transcript:A01g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VENNVIGPKFRYMPNQKVERNIQTSLIFISIYAVITTKTISGVALPRRCPSATARLATDGKLCASEIYGVADNVNGTAARIYEAVERRGHSVSTHSLRLPLLSLSPSKAITGGVTPRREPDGFTVQSKALPAHRISSSFRPPSILTDSRRISPSSSESNDTSATIGEQEVRPEGSKAAKARKNNTQGLKSIDEIKTVMELKKDDLMRKENLSKLAILDTLLTKPGTLSEAEEVVYLKMGRYSYSQPSCSSGYGGEYSSNNASEFSETEDLIRLDQEELSLRYGDTAPCPQQYPPQPEVEFGFPQVCYCGGAPQMATSYTRLDPGRRYYTCAHVDDGECHVHKWWDVAVMEEMRARDKHVLQLEEKVDCLKLMSDYDSDERVCRLEQLVCDLAKKKSSFINGFEVCIGVMVVVLVLLGVVIAFK >A04p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18468798:18469613:1 gene:A04p031250.1_BraROA transcript:A04p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRPL28 [Source:Projected from Arabidopsis thaliana (AT2G33450) UniProtKB/TrEMBL;Acc:A0A178VYG9] MTTMATQGAFLRLRMTSSSKSIAKPTELSFLNSQLSGLRISQGPSTDVINRISLPSFPGLQPIVARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRFVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKK >A05p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14251319:14251907:1 gene:A05p026610.1_BraROA transcript:A05p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQDSKRQSTSTPASFRRLEEQESRYSTEQGSDKLVTEDIETYTPERASPQDPRRIKLQNEDTRHTLKLAESKLSNRRSDLDLDLNDTEKACDTYEKMRGGRVAVESCYNGLVRDTWRPWSGAAIIRLETEYKLS >A08p006610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3796920:3798341:1 gene:A08p006610.1_BraROA transcript:A08p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLATALVKLICLATFLQVSETQVFDPYQEALKAMIGFIDVAGLYFALAQLTHRNISQNHKFQAVGLGWAFADAALHRLAPLWVGARGLEFTWDYVLQGLEANANLVFTISLAALGSLMWLRKNKPKTMIPIIYTCALIIATMPSITSYLKRVMGWHFPKIVGFEMLTSLVMAFISCQLFILCQRPSL >A05p010740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4579395:4580479:-1 gene:A05p010740.1_BraROA transcript:A05p010740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINSHQIINKKTLVPKLIVVAPNILSYTFFDPLKNVALGPEHGVQGAQAVAQVQETLFMVKENTSEISGIITAQGKDSESQPSGFSFVNCNISGTEKIWKVFDTSSHVGYL >A06g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23440864:23442544:-1 gene:A06g508340.1_BraROA transcript:A06g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQRQRQRLRNEQQLSSLTLPPPLTLQLPLTPKPAATKRTGLKSFVRGGTINPSDKHKVDSEEHTYYVWRLYSFAFDCLITKWIPPPLPVTKSLEHDKESTDTYAAGRSRREEVERTLTDPQRDEFEDMLRALTLERSQIKEAIGFALDNADAAEEVVEVLTESLTLKETSIPTKVARLLLVSDILHNSSVPVKNASAYRTKFEAAVPDIMESFNDLYRSITGRITAEALKVHSQTALAIGMKCCYKLIRTFLQERVLKVLQVWADWFLFLDAYIYGLRATFLRSGTSGITSFHSLCGDEPEIEKKGYIDNLSDIINPDTALAIGKGAARQELMDFTNF >A06g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16035656:16036283:-1 gene:A06g505510.1_BraROA transcript:A06g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCNTIGLKDVICFRPSEPLYYALTLICELKACVFFVVLSSAATVMIECPCCFYNGSRHGLYVFNPINSGVPYEFFNAMFPSARSVISGINRLVFLFWKSRSLHVSAAHLSYTYLSKQSIPLLTPYKMGRFNLSHRATPGGLLITEATGVSDTDQG >A04p036390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20917746:20923282:-1 gene:A04p036390.1_BraROA transcript:A04p036390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQNIYNNAYSVSSLLVFFVLILFRPALSISAANRLSSSESLTISSNRTLVSPGGAFELGFFKPSALPRWYLGIRYTEVSEKIYAWVANRDNPLLTSTGTLEISRNNLVLIGQFNKTVWSTNLTSRDAPVIAELLSNGNFVLRHSDNNDDPSSFLWQSFDFPTDTLLPEMKLGIDHKNKRNWILTSWRSSDDPASGNLTFNLETQWGLPEFILRSDGRVAARSGPWDGIEFSGIPEMQRSDNIISNFTDNSDEAAYSFRMTNYSIYSILTARDWMLERVTWTSTSSEWKRSEDNLFTGICDVYHVCYGPNTYCDINTSPHCNCLRGFVPQNATEWAERDEWIGNSISGCVRKTQLNCEEYHDFVLLNNTKLPDTKTATVDQGIIDEKICKERCLSDCSCTSFVFGKNGLGCVTWTGDLVDIRTYFEGGYALFVKVSADDPDFSSGEKRDRTGKTIGWSIGGVSVLLLLSVILFCFWKRRQKQAKADATPIEGNQVQLNEMVLRNINSSREDEIEDLDLPLMEFEAVVAATERFSHSNQVGKGGFGAVYKGRLSDGQEIAVKRLSAMSAQGTDEFLNEVRLIARLQHVNLVRLLGCCVQANEKILIYEYLENLSLDSHIFDKTRSSMLNWQMRFDIINGIARGLLYLHQDSRFRIIHRDLKASNVLLDKDMAPKISDFGLARMFGRDETEANTRKVVGTYGYMSPEYAMNGTFSMKSDVFSFGVLLLEIISGKRNKGFCDSDSNLNLLGHVWRNWNEGQGLEIVDTAVIVDSSSPTCRPREILRCLQIGLLCVQERVEDRPMMSSVVLMLGSEAVFIPQPKRPGYCVASGSSLDTRSEDESFTVVLYDTAKSPPTLTLPLLPSPPSKQAQQQLPHICPNTIVVGLSDSSKNGILVKAILPEALGQHVSGLLHPAQQLSVHLPLPYVLAPSPSTPSLLFSQVLLDPSYQKQAFEALSPLALTAQSTPPNQKIQGASIVLIQSIKLHPMQRSTLMVGGEQLPPPASSLQQPLRTGLTAILHARFCVWSLMVAATLILLCTKPIHVSVLGPVPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEVKLDHQHLSLLLPLRLTTWEVENRHADDSTNHTSRKPGQQRWMARSFRCWVALRISRTIRLVFSRLYEEDIESTTE >A10p022830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15029711:15033864:1 gene:A10p022830.1_BraROA transcript:A10p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere repeat-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G59430) UniProtKB/Swiss-Prot;Acc:Q8L7L8] MVSHKCVEEFSLQFPANARAPRSARKKRSFKKEEITENDKTCAIDLLATVAENLLSETGSSHMSIDKPVEDHCLVKEEFPVEDKPLKPATLPEFNPYQGSSMSPCGFSSVISGKVEIEAEGFSHSGGIDSCQAGQDLKPSVDGGAVVLDARPNGVGSLGGSSSRTKVPSMGAGVSLGALDDVHMFSRDDDENFSGYICPRVTKKSPRSVPRVGDRRIRKILASRHWKGGSRHSEAKSWRNYYLHHQRSYPIKKRKYFDHISDSVSDDYRLRSKMQRGSRAVSTMKGPGASFVSSDSHVRLRIKSFRVPELFVEIPETATVGSLKRMVMEAVSTLLSDGHRIGLMVQGKKVRDDNKTLHQTGISQDNTHLDSLDFSLEPSSEAPHLLSSNPSGHVCEELSMCRTSDMDTLFKTEPDLALFPSGSFDKETAADDSKALVHAALTELSPPQPPRHKSNKQQQQQQQTAQRRMRRPFSVTEVEALVQAVEKLGTGRWRDVKHSAFEDADHRTYVDLKDKWKTLVHTAKISPQQRRGEPVPQELLNRVLNAHGYWSQQHMETLALPKPEALALPPRSFNYLSRSSVRMENLTFSSEAGAKPRGALIVVEGLDRSGKSTQCAKLRSFLESSGHPTELWRFPDRETSVGQMISAYLSNKSQLDDRTIHLLFSANRWEKRSLMEEKLKGGTTLIVDRYSYSGVAFSSAKGLGIEWCKAPEVGLLAPDSVLYLDISPERAAERGGYGDERYERVDFQKKVSEFYQTLRDSSWKIIDAGDSMEEVEKKIQQVVLDKVKECALGKSLSLLWSS >A03p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1119744:1121108:-1 gene:A03p002430.1_BraROA transcript:A03p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLEDLKMMAEQWSNQGIEYLQKIPQDQLYAAVGVLLFTTVLLLLSIRLVRRTKSNTVLLSGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLHSENAKKGKVKPVHLVDVPGHSRLRPKLEEFLPQAAAIVFVVDALEFLPNCRAASEYLYDILTNANVVKKKIPVLLCCNKTDKLTAHTKEFIRKQMEKEIEKLRASRSAVSTADIANDYTIGIEGEVFSFSHCCNKVTVAEASGLNGETVQVQDFIREYIKP >A06p018740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9077681:9079939:-1 gene:A06p018740.1_BraROA transcript:A06p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHSIPGSGFHYSNSPFGDTTYTKVFVGGLAWETQSDTLRRHFEPFGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACVDATPIIDGRRANCNLASLGRPRLPMHYAMIPNLPGRIRPASPYVGSVQGPRGSLFGSHPYQQPSAYSYQQGMMYPYGPFHKGGITFDLDFKNSIRGFVAELNLFWTGKGYMVTPYGPEYMYSQSQGLYGPYTGQQYLQVYGVPGAVNSPGYQYGQLSQNIPGYTAIQGYSVPGSHIQSPYPSAIAGPSPTQSHVIVQTPQHMQSSGSDQTTGFQSLKIVEGEEVATLSSGRVVSKVVLVAEEVKKGKGQWKFQGWCFCCPSSYIYEWFYTGDMGSRWKLVEIRMVYKEKAYIYTSKKKKKKRIQMHIEWWNQNLILLGSFK >A06p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4899613:4901581:1 gene:A06p002970.1_BraROA transcript:A06p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRAUCO [Source:Projected from Arabidopsis thaliana (AT1G51450) UniProtKB/Swiss-Prot;Acc:Q9C8J7] MDPPHSTPIIEEPQVPISLPEEPIDPAPNNPDSEKPDPDQPGSDLKLESDSDAPTASSSDNPKSESAPVQNDAVIEEEDEVDEEEEDEPPIKKQKPLDSLNPLAVKNEEEPEPPSATPIVTAATTKKSKSKKKNNNVWVTKSTRKGKKKSKATTTPSSAAVDDKVLITPVPRFPDKGDDTPDSVIRLSKVYKAEKVEVSEDRLTAGSSKGYRMVRATRGVVEGAWYFEIRVVSLGETGHTRLGWSSEKGDLQAPVGYDGNSFGFRDVDGCKIHKAVRGEYAEGGYKEGDVIGFYINLPDGEAFAPKPPRYVWYKGQRYVCAPDTKEEPPKVVPGSEISFFKNGVCQGVAFKDLFGGRYYPAASMYTLPDQPNCVVKFNFGPDFEFYPEDFGERATPKPMWEVPYHGFNGKPENDGSDDMKS >A05g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24930305:24930599:1 gene:A05g508550.1_BraROA transcript:A05g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGNSLVFMILFFFLEDYKLRDLMRCTFSYSVDVEHRPIGLAKTDIHNPRERKRKASTVEDISREDQKKV >A05p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2052737:2054671:1 gene:A05p005240.1_BraROA transcript:A05p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase V.3 [Source:Projected from Arabidopsis thaliana (AT2G43690) UniProtKB/Swiss-Prot;Acc:O22834] MSHKIISQFVFIFVLFCCTDTSHGKLIMEESAGHMNGFTTLTNTKKNSYGQAFNDKPFPFKSSANGTLTSFSFTFFFAIVPEHKNKGSHGMAFVISPTRGIPGASSDQYLGIFNATNNGESSNQIIAVELDVHKDDEFGDINDNHVGININGMKSIISAPAGYYDQKGEFKTLSLISGKLLRITILYSHEERRLNVTLSPPAETYHPKQPLLSLNQDLSPYLKEDMYVGFTASTGYIGAIHYMLIWYAYTFIIVPQLDFAIPIFPPYPKIESHVKRIVLATSLTLTLFVALSASALSIFLYKKHKKVLEVLEEWETACGPHRFAYSELFKATNGFKQILGEGGFGKVFKGTLPGSDAAIAVKRVSRGSSQGMREFLAEVTTIGRLRHPNLVRLLGYCRSRTALLESTFQDHQRRCLCTLLLHHDWLQVVIHRDIKPANVLLNDKMNASLGDFGLAKLHERGHEAQTSRVAGTFGYMAPELFLAVEECLSHELSQNRLAVLADWVVNRWEQGDITEAVCERIRQDHDKGEVELVLKLGVLCSHQDEEVRPDITEKKEKWYEKYSEVLDSVTMMGVCK >A03g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14366685:14367946:1 gene:A03g504040.1_BraROA transcript:A03g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQPTPYQTLGGGANTVAPGYSKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPVRDLTFPVYPGFDDAQECLILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWIFWVGPFIGAALAALYHTIVIRAIPFKSKSKS >A03p013910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5485840:5486547:1 gene:A03p013910.1_BraROA transcript:A03p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRAKQTKMSWQTYVDDHLMCDLGDDQRLTAAAIIGQDGNVWAQSATFPQLKPEEIEGINKDFTEPGTLAPTGLFINGAKYMVIQGEPNAVIRGKKGAGGVTIKKTTQALVFGIYEDPVTPGQCNMVVERLGDYLIESGL >A03p007120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2967711:2968427:-1 gene:A03p007120.1_BraROA transcript:A03p007120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YACAQVVNFWNLNTKEVVCEVVEGDITQLVIQFQDELGNAVKGNTQVPVVPRELPKQLLQGYVFNIEAGKQALTNIKPLRLFSNIEVNPRPDEKNEGAIVIEIILKEFEHKSVDVSTAWNIVPGLGGAPSLVLSLIILDFPQQFGN >A01p017570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8585631:8590735:1 gene:A01p017570.1_BraROA transcript:A01p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWEGSDNVGSANSSPAMSPSHRMTNNGGGISGRGTLRKQNAAELLAKVMEQRDYDYDYGDEEEDLYQVHLPPLSRDRKRDDKRVGKGTVRGKPAPPKRPVLIPPKFDDESDGDEPVKIPQKNVRVPVTKAPAVKFDDESDGDEPVKIPQKNVRVPATRAPVVKFDDESDGDEPVKIPQKDVRVSAVRPVLLPPKFDDESDGDEVAADLPRNQVKIPEENASVQKEAPAGKLKVLSPPKFDGDEITANEVKNQVEEIPQKNASPALRVRVPAYSRRNPMEDSEQNGDRKVNVQFDVPAGKQSEAQLKYKKSFRFSSAETFAPYQEEDDREASALRDELDMLQEENDNILNKLQRAEERREAAEARARELEKQIVSLGEGANFDVKLLKRKEAALRQREAALRAAEQKRDGRNKETDAFCEELQSLKEETEKAVEQLQDAQAETKSLRTMIHRTILTHEEMEEVVLKRCWLARYWELAVQHGIYKDIAPSRHEHWSALAPLPFEVVISAAQKPEDSWQTDGSDRTWSKVVSKFSDANGDGNIESMLAVETGLREIASLKVEDAIMLVFAGFRQKHVVRQSDTDPRVQGEPKFAEAFELSHDEKQDILFKEAWLMYYWKRAKIHSVESDIAEDRLKFWISRIAEQPTSHDAVDVERGMRELRKLGIEQQLWEASRAQLTDSTFPPSLSVSDFCDVTQPALRWAPWKSKGRSSSLSYPDDNDNTCSLQLQGRQTEIGKTASRMTIAPSLLSSSSSVSQFLPRFPTAARFNVAASRSRAVTVVAASVTDLSSVDSTTIAVLGGGSVAALATIVSLTDPERRRKLQAEEVGGGDKEVVREYFNSTGFERWRKIYGETDEVNRVQKDIRVGHAKTVEKTMLMLTEEGSLAGVTVCDAGCGTGLLSIPLAKEGAIVSASDISAAMVAEAEMKAKQQLGSENLPRFEVNDLESLSGKYNTVVCLDVLIHYPQSKADGMIAHLASLAEKRVILSFAPKTFYYDILKRIGELFPGPSKATRAYLHSEADVENALRKVGWRISKRGLITTQFYFSRLIEAVPM >A06p017420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7817845:7818186:1 gene:A06p017420.1_BraROA transcript:A06p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTLTATMLTQTTMIKQILKRYSSLGKKQSSEFNDKHDGQSLPLDVPKSHFVVYVGENRVRYVLHISFLTRPEFKLLLQQAEEEFGFDHDMGLTIPCEEVAFKSIVTSMLI >A07p019540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11599612:11606394:1 gene:A07p019540.1_BraROA transcript:A07p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEHSRTPSFSSFAKVQPSDDADADPDSYALEKFKLYETRARFYLLGSDKNKRFFRVLKIDRSEQSELHISEDPVVYSPQEIKSLLQRIAEGNRSTGGLAFVAKVYGIAGCAKFMESYYLVLVTKRRQIGCICGHAIYAIDESQMISVPHATIQSDVANSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSSGEEGVPYDNIFVWNAYLTQPIRSRCNNTIWTLALVHGNFKQTRLSIYGRDFSVTLVSKRSRHFAGTRYLKRGVNDRGRVANDVETEQLVFDEEAGSRKGKMTSVVQMRGSIPLFWSQEASRFSPKPDIFLQRYDPTYESTKMHFEDLMNRYGNPIIVLNLIKTVEKRPREMVLRREFANAVGYLNSILDEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYFSGKPKIVKKKATQLSHASTGREASLRDLRAFSLELSRGESSNDILSALANREKEMKLSQQKEDDGTGISAPRYQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLSDTSKIDPDSSIAVALMDMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREYLNSIKRYYSNTYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDEIFPGRGVQSTEKPMSGIGVNLAPVPAFREDFSRKKLTSFDKLIEQTCSSIKNVRLCSETGQRPGGSAGGTGVAPDAAEIQLKSPNWLFGSRKPEESGSAAKSGADDSEKGVTSSERVHDFCNLDWLSEPNDHHEDIFQKYLSITSTTEANGWYGGTLLGDQDENSEIYKHYAQFCQCPAMELFENDHELEENYAEVLRMNTVDVMDNMGEEETEMEKALNEYAQIGSNLGIIPTQCKHFAGDPCWLARWLVGDDKIPKVLTTEGILLLNRGWRSMNSASSIAITWNESEGEIIVKDGHRVVVVEYDADGKTNTRVLISPPERGQEQIGDQREMFRNVKRKVIETPSSLPVSDQVEEERELHASPGELICDAIGKCKHKVASVLGRVDDPTAHDRKEKVARAARDVKETLAHEPHYAEHKAQETRRTMSKSQNVLVKAKLAVKRLGTAVTAALNPTKVGSVVSLIGIAAAFGMCVWVTVVTKYLLASVLGRHRFGVAQSKVYSVYFKAISVGLLVGLLGHVISRHRKVLTDAVEMWQAVNLLSSILMVEANASFVEPRVIKAMFERIKVEKEEGRGLDKSESHSSEAAARTCGKKVRYNMDEDAVNRRLKKLNERLSRLNAYSSRLNLLTLMSLTWHFVYLGHRLSLTY >A09g516210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47882177:47884648:1 gene:A09g516210.1_BraROA transcript:A09g516210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVEDSVSPNSSYHTSPSPLPAPAAPAPAATPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHDQTWFNRSGNGIIAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETFSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2028034:2028513:1 gene:A05g500500.1_BraROA transcript:A05g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATKSVSSFTLIFILVLVIFEVPEIKAQDSECLKEYGGNVGFNFCAPRIYPSFCYTRCREDKGAKGGICRWGDSPDSVKCLCEYCSDEISHQILSGGI >A01p022350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11012653:11013037:-1 gene:A01p022350.1_BraROA transcript:A01p022350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGICKNKNQKLNQKPPTINLDLASPLHREGETLYSDVIMPFNTVMEVEPPSLIRYLIGSAVMMIGVVLPVGYMMFRNKRVPSSSSYSKQT >A02p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5597542:5601359:-1 gene:A02p012770.1_BraROA transcript:A02p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELQDIDFFSSFYDHTNSTFFTPTTNSLLSDSVSEDPVPPKPPNDEEDEYVAELTRQMTNYMLQDDEKHQKSCSGGSGSPQSTLWSPFASGNSSPIGPSREPTPPLTPVTVETKPVMIPSQSKQALIDDQIRSIQANFHKIKKEKDKQRNDDVVGHKARNCQYQQRPRSGVKAVFVDGSGSRTGSGGTGVFLPRCHGTVVESRKKSDALLVSMKNKNSRSTKGSSLTQAQSGPPYTAETSAQSHQEPPADLPHEWTY >A07p029600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16578838:16579851:-1 gene:A07p029600.1_BraROA transcript:A07p029600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPDLGKHCSVDYCKQIDFLPFTCDRCIQVFCLDHRSYMKHSCPKGNRGDVTVVICPLCAKGVRLNPDEDPNITWEKHVNTDCDPSNYEKTVKKKKCPVPRCRELLTFSNTIKCRDCTIEHCLKHRFGPDHRCSGPKKLESSFSFMGFLSTTNTKEAPASSSSRWSSLLASAEASIRELGNDISQKLQFSSGGDGSLEKTKERNGKVTVDVCPKCSKGFREPGELLKHIDKDHRGTSIA >A06p013520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6123915:6124584:1 gene:A06p013520.1_BraROA transcript:A06p013520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTQLAFRIALNFIYHSRSSFWPSLLLLSLQLVNYATVLVSEAAHEMVVCETNCLHEGVNKRWTDAAKASSYNIFALHRDLEAKRKIRNIREPTDSRREYILNRLRRGRPGTSFPTISEAVTVTNTSSNIVFFLLNLLPSPRRTCTRGGDRPASPHSLKKPPRSKATTRKKRTHQRNMKQSSRLCSFGIH >A05g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12427123:12427415:1 gene:A05g504520.1_BraROA transcript:A05g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSIMPPTLPWKYYMLLVESTLPPTFIDSAIHFTLEVL >A04p029210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17478401:17480482:-1 gene:A04p029210.1_BraROA transcript:A04p029210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CONSERVED ONLY IN THE GREEN LINEAGE 160, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31040) UniProtKB/Swiss-Prot;Acc:O82279] MAIRTYISATSTTPPIPQDPSRSPLPTKIILPNKKPEKWSTGVAPGEYGGPPTTTKLRKYWGGEKEDPITSKDLIWNRDFMDQMKKLFDSPDDAPLDPSPSKEESSGFLSFSRVMSLDSMDVDLSKELASSSSKSVLRDLEASKSEARKQMSKARVSPKWKLAPTRREQDKWDRATKAATGGSDVMLRDVRRPRGDPEVLAAESREQYFKLKNKLQLLTVGIGGVGLVSAYISYTPEITLSFGAGLMGSLAYMRMLGNTVDAMADGARGVMKGASGQPRLLVPVVLVMIFNRWNAILVPEYGFMHLELIPMLVGFFTYKIATFFQAIEEAITISTQKD >A01g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17721880:17722929:-1 gene:A01g506040.1_BraROA transcript:A01g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSALDKGHPTFTDFPTEKQHLWFRQFLQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEINKIPNSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLDAQSIATLGDRMAEENEGWPVDDLALMKMAYTNKKTGQIDDGLVRDVVSLVQTRVYDEVSQLQTDDDDSAASTNLSRVRINEIVESV >A01p000870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8907928:8908888:1 gene:A01p000870.1_BraROA transcript:A01p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPLIALHFKRMYGFAASLAAGLLLMFLVMINFTYPVPYHELNWNDPVANLTVFLMGPEQQINMMFVSTLPLSMSDASFLHSFCALLVTSFSPSLSYNVYYPKLDLTLVKSARSATLLFPQIFLSLKLHHFLSHVDPQQDFDSDCDPMQDLCTYVVQPQLYSIYAKNGL >A06p058120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30188369:30195323:-1 gene:A06p058120.1_BraROA transcript:A06p058120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brefeldin A-inhibited guanine nucleotide-exchange protein 1 [Source:Projected from Arabidopsis thaliana (AT4G38200) UniProtKB/Swiss-Prot;Acc:F4JSZ5] MSSSQNLGGATRCGRVVGPTLDKIIKNAAWRKHTFLVSACKSVLDKLESLSDSPDPSSPLFGLSTSDSDAVLETLLLSLDTAYTKVVEPALDCSFNLFSLSLLRGEVCSSSPDSLLYKLIHAICKVYSLGEESVELAVLRVLLAAVRSPLIMIRGDCLLHLVRTCYNVYLGGFNGTNQICAKSVLAQIMIIVFTRSEANSMDVSSFKTVNVNDLLAITDKNVNEGNSVHICQGFINDVVTAGEAAPPPDFRLVIEPGENPEDGGTSKIREDGFLLFKNLCKLSMKFSSQENTDDQILVRGKTLSLELLKVIVDNGGPIWRSDERQVLSLILQSLCYMSILELTPKICRFLNAIKQYLCLSLLKNSALSVMSIFQLQCAIFTSLLRKYRSGMKSEVGIFFPMLVLRVLENVLQPSFLQKMTVLSLLDNICQDPYLIIDIFVNFDCDVESPNIFERIVNGLLKTALGPPPGSSTTLTAVQDITFRHESVKCLVSIIKAMGTWMDQQFSVGESLLPKSVENEAPGDNYSNPNEEDGTTADHDFHPDLSSESSEAATLEQRRAYKIELQKGVALFNRKPSKGIEFLISSKKVGSSPDEVVSFLRDTTSLNPTMIGDYLGEREEFPMKVMHAYVDSFDFKEMNFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERFCKCNPNSFSSADTAYVLAYSVIMLNTDAHNIMVKEKMTKADFIKNNRGIDDGKDLPEEYLGALYDQVVKNEIKMSSDSSAPESRQSNGLNKLLGLDGILNLVYWTQTEEKAVGANGLLIKHIQEKFRSKSGKSESAYHVVTDVAILRFMVDVSWGPMLAAFSVTLDQNDDRLAAVECLRGFRYAVHVTAVMGMQTQRDAFVTSMAKFTNLHCAGDMKQKNVDAVKAIISIAIEDGNHLQDAWEHILTCLSRIEHLQLLGEGAPSDASYFASSETEEKKALGFPNLKKKGALQNPVMMAVVRGGSYDSSAIGPNVSALVRQDQINNFIANLNLLDQIGSFQLSNVYAHSQRLKTEAIVAFVKALCKVSMSELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRIWSILSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLEREELANYNFQNEFLRPFVIVMQKSSSAEIRELIVRCISQMVLSRVSNVKSGWKSVFKVFTTAAADERKNIVVLAFETMEKIVREYFSYITETEATTFTDCVRCLITFTNSKFTNDVSLNAIAFLRFCALKLADGGLVWNEKSRSSSPSTPVTDDCAPNIQNFMEVDENISYWVPLLTGLSKLTSDSRLAIRKSSLEVLFNILKDHGHLFSQTFWIGVFSSVIYPIFNSLWGEKDLLSKDKDEHSSLPSTYGPHSNGVSWDSETSAMAAQSLVDLFVSFFTVIRSQLSSVVSLLAGLIRSPAQGPTVAGIGALLRLADELGGRFSEDEWMEIFLAVKEAASLTLSSFMKILRIIDDVQDEETLSDQDFSNEDNVDEDSLQTMSYVVSRTKSHITVQLQVIQVVTDLYRVHQQSLLASHVAVILEILSSITSHAHQLNSDLILQKKVRRACSILELSEPPMLHFENDTHQNYLEVLQDILTDNPGVSVELSIESQLITVCVKILKMYLKCTVFEGAELEETKQPKNWILPMGAAAKEEAAARSPLVVAVLKALRGLKGDSFKRYAPTFFQLLVELVRSEHINSQVPQVLSTVFHTCMGPMMGE >A03g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22902492:22905426:1 gene:A03g506410.1_BraROA transcript:A03g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTKFTRNGKEVVIWGAMRNFDYGSEEAVQQSKKGGKGGDESASEPKGAAKKGGKGGDKSASEPKGAAKKGGKRGASVSLSSPERSRKSKRVEGISMLASTEASKTRRGTQYGSPVSSPEKVTRRGTPYGGSTPSPRQSKKQKVTGVLTVSPPPGNSKNKQVDEEEEECPQKETNEEEEFGNIENDGRRELEDGLLELENENGIGGIEEENCRDLAEASQAHSSQAHSSQAQASPWEIPQSSQASQGQASQTGAWGRWF >A07p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24299112:24300423:1 gene:A07p044610.1_BraROA transcript:A07p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKRDELNQILSSYLNTINDTLQLFEHSPPQTQDKLNWDDVLNMSDHLSRQATIVGMLWTGEPPEAEALKETMESYYNTLQGFLLLCHGSMVGAGPTLSSSIQASVKQIVDSSFKLLHGSVSLYGGEKDRKTSIPQLTGAVWEACSNLKKIPTTNIKAIGRAMTQVAVSVKDVLREMQELKPASACSSPEHDVSVNSDEDDDDLGDDLSPEELDVAALVADVVSDTLIVVKELIRAIATMIKMENPEDKGEFVDSFEKLLKLCQGTGEQIDELGACVYPPQEQSLMKQILERINGYIGEIEADAIGFMNSSSSEAFLGACRRVQSVIEHMETELDTRTEAEVVCNMHNVTL >A01p056940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32318506:32318796:1 gene:A01p056940.1_BraROA transcript:A01p056940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATFIDILLAILLPPLGVFLRYGCEVEFWICLVLTLFGYLPGILYALYVLTK >A01p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3869839:3870414:-1 gene:A01p008010.1_BraROA transcript:A01p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCILVSSVVIVSLFSIAYATSGIGTFYTTYTPSACYKDTPEGVMIAAASDTLWDNGRVCGKMFHVTCTGPRNPVPHPCTGKTVTVKIVDHCPAGCASTIDLSFEAFSQIANPVAGIINIDYTP >A08p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23734844:23735899:-1 gene:A08p042250.1_BraROA transcript:A08p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSTLSPSEITVMGSVFCVLLSMHFTMQLISQHLFYWKNPKEQRAILIIVLMAPVYSISSFLGLLDAKGSKPFFMFLVAVKDCYEALVIAKFLALMYSYLNISMSGQIIPDEIKGREIHHSFPMTLFVPQTTHLDHSTLTQLKHWTWQFCIIRPVCSFLMISLQLLGVYPPWLSWIFTVVLNVSVYLALYSLVKFFHVFAKELEPHKPLTKFMCIQGIVFFCFWQGVVLEVLVGLGLIKSHHFWLEVEQLGEALQNVLVVLEMIVFSVMQQYAFHVAPYSGETEAKMRMNRRG >A05p017050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7627804:7628082:-1 gene:A05p017050.1_BraROA transcript:A05p017050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGSGGGGKGGGGGGGGGGKGGGCGGGGSGGGRSGGGGGGGGGKSGGGSGGGYMVAPGSNGSSYISRDNFESDPKGYFDNLHGSGQGSK >A09p073570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56042426:56045799:1 gene:A09p073570.1_BraROA transcript:A09p073570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRRVLKSIQALAAHTLLFSFTLLLVLKLDHTVSSSWWTVFLPLWAFHAVVARGRFSLPAPVAPRNRHWAPCHAVVATPLLVAFELLLCIYLESSYGSWPPAVSLKIASLPLLAFEVTILIDNLRMCRALMPGDEDSINDDAIWEALPMIVPCCLQHFWVAISMVFTLAATIFILLKLTGDVDALSWWDLFINIGIAECFAFLVSTKWSNPVIHRNSRARETGSSSTAVRYLDWNSGFVVTPEEDRLQDGMCCGLQDIGGHMLKIPVIVFQVVLCMHLEGTPERAKDISIPILFSPLFLLQGLGVLFAASKLTEKIVVLLRGEAGPGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYMDGESGYNTFSGHPPEIVKKMPKEDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEKEISLVLLPCRHHVLCRICSDKCTKCPICRIAIEERLPVYDV >A06p049400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26092909:26095801:-1 gene:A06p049400.1_BraROA transcript:A06p049400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAALEFTRRGVSEGELCIISEEPVAPYERPALSKGFLLPEDPARLPSFHTCVGANDEKLTPKWYKEHGIELVLGTRVKSVDVRRKTLLSSTGETISYKFLIIATGARALKLEEFGVEGSDAENVCYLRDLSDANRLATAIESSANGNAVVIGGGYIGMECAASLVINKINVTMVFPEAHCMARLFTPKIASLYEDYYSAKGVEFIKGTVLTSFEFDSNKKVTAVNLKNGNHLPADLVVVGIGIRPNTSLFEGQLTIEKGGIKVNSKMQSSDSSVYAIGDVATFPVKLFGEMRRLEHVDSARKSARHAVSAIMEPEKTGEFDYLPFFYSRVFAFSWQFYGDPVGEVVHFGEFEEGKTFGAYWIKKGHLVGSFLEGGTKEEYDTISKATQLKPAVTDLEELGRVGLGFAETVVSQHIVPEVKEVPSSALVRQASRVVMMEKPLHVWHAATGVLVAASVAAFAFWYGRRRRRW >A01p047780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26963294:26965388:1 gene:A01p047780.1_BraROA transcript:A01p047780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGSPAFRFNLAEVTEMEAVLKQHNTAMPGRDTLEALADKFSDTVERKGKTVVQFKQIWNWFQNRRYALRASRNKVPGKLNVSSMPRSVDPTNPMRNVLPPSTGPKPTHMIGNLAGMTPAPPAPGVMRSGSDNSYLEFEAKSARDGAWYDVQAFLAHRNLEIGDPEVQVRFAGFEVEEDEWINVKRHVRQRSLPCEASECVAVLAGDLVLCFQEGKDQALYFDATVLDAQRRRHDVRGCRCRFLVRYSHDQSEQEIVPLRKICRRPETDYRLQQLHSAANDFANSKPAPDAAAKTPLPPSTASVPIVPPDVKDPSLSAASATSAQPSSNAATVPAGSA >A02g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19068055:19075673:-1 gene:A02g506810.1_BraROA transcript:A02g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILDRIGRTGHGAGRRTSQVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMETRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPREEKGRNRQGRLWDGYGTVLGRTEGILVTVRPGGWGQEKHRENSREKERQKLWIGRFDPRDCS >A01p006650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3377894:3378196:1 gene:A01p006650.1_BraROA transcript:A01p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENDWRKTADTTKMSPEGVKAAGVESSKRPPGSNPGGVLHQRRNLPYSYTTMAIAGLAISGAVMYTVMYANKKPEASATDVAKVATGTAKPEDTHPRK >A05p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7243420:7244776:-1 gene:A05p016310.1_BraROA transcript:A05p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKSPAPSGGLPPPSPTPINHSSDANRLSHDMSRMLEHPPKKIGHRRAHSEILTLPDDLSFDSDLGVVGANAADGASFSDDTEEDLLSMYLDMDKFNSSTATSSAAAQVGEPSWRNESVGMHTGLTSNPPRVRHQHSHSMDGSLNMSEMLVSGEGDDSVVDAKKSMSAAKLAELALIDPKRAKRIWANRQSAARSKERKTRYIFELERKVQTLQTEATTLSAQLTLLQRDTNGLTVENNELKLRLQTMEQQVHLQDELNEALKEEIQHLKVLTGQAASNGASSAMNYGSFGQQQQQQFYSNNQSMQTILAAQQLQQLQLHSQKQQQHQQQQQQQQFQFQQQQIQQLMQHRLRQQEQQNGKQKPFNGQNES >A10p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11883223:11884445:1 gene:A10p011330.1_BraROA transcript:A10p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGVGQPSTKKRSFLLRDIFKICRSISSVLPREKPNHKHERKLHTESKRHEDHKSNVTNQPNAFNFQEAKATKVEGASLCKVPSYNRLDSVGHKKPLSLSRSYSHNTATAAVRKSSSCIGMSKSSSNRTDSAGFMSSLRRSTTTSPRSFANPILYSTSSEKVAKPQPTEKTLSCTLEELCNGCTKKIKIKRDLTTTSGQLSEEEETVEIKVKPGWKGGTKVTFEGKGNEAMGSCVPADLTFVIVEEEHEVFKRKGDDLEMVVEVSLLEALTGFELCVALPDGDNMSLKIEDVIHPGYVTVIHGKGMPKPKDKGKTRGDLRVLFRAKFPEQLTDAQRAEIQSILQNDS >A04p019080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11407181:11408820:1 gene:A04p019080.1_BraROA transcript:A04p019080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAENNNKEVTLSASVEKNNMKEPNIHLEVLQKEPAALVKPESETPKGLYFLSNLDQNIAVIVRTIYCFKSEERGNEEAVQVIKKALSQVLVHYYPLAGRLTISPEGKLTVDCTEEGVVFVEAEANCKMDEIGDITKPDPETLGKLVYDVVEAKNILEVPPVTAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGQAARGLPLTTPPFSDRTILTARNPPKIENLHQEFEEIEDKSNINSLYSKEPTLHRSFCFDPEKIKKLKLQATENSESLLGNSCTTFEALSAFVWRARTKSLKMLSDQKTKLLFAVDGRAKFEPPLPKGYFGNGIVLTNSICEAGELTEKPLSFAVGLVREAIKMVTDGYMRSAIDYFEVTRARPSLSSTLLITTWSRLGFHTTDFGWGEPVLSGPVALPEKEVTLFLSHGEERRSINVLLGLPASAMDVFQELFLQI >A10p000600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:325074:333640:1 gene:A10p000600.1_BraROA transcript:A10p000600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSNRGKTKGDKKKKEEKVLPVMVDVIVNLPDETEAILKGISTDRIIDVRRLLSVNFDTCHVTNYSLSHEVRGSQLKDTVDVSALKPCVLTLTEEDYNEGTAMAHVRRLLDVVACTTCFGPSPEKSDSVKNAQVKGGGKNPKQSETSPPPSPAPKDAVVDEAGETSHSFPKLGSFYEFFSLAHLTPPLQYVRLVAKRETEDIAAEDHLLSVDVKLCNGKLVHVEGCRKGFYSVGKQRIICHNLVDLLRQISRAFDNAYSDLLKAFSERNKFGNLPYGFRANTWLIPPSAAQSAAAFPPLPVEDERWGGDGGGQGRDGSYDLVPWANEFAFIASMPCKTAEERQLRDRKVFLLHNLFVDVATFRAIKAVQKVMADPVLAEDSQVLYSETVGDLSVTVTRDTSNASSKVDTKIDGIQATGLDKTKLMERNLLKGLTADENTAAHDVATLGTISLKYCGYISVVKIEKENEKLSPPSQIVDLLEQPEGGANALNINSLRFLLHKSCPEQNKKTHQQQDDELTSSREFVSIMLEESLVKLEEEEIDRDSIMRWELGACWIQHLQDQKNTEKDKKQTNEKSKNELKVEGLGKPLKSLNSNKKKTDASSPKAQQTAVSSQVDTASSEADNAAITATLQSDAEKNAQENVLILKNLLSEAAFTRLQESDTGLHDKSLQELVDLAQKYYTEVAIPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGYVVKLSDKLSHVQSLCVHEMVVRALKHILQAVISAVAADTDKIAAKVATALNMMLGIPKTEAENSWNLHPLIFRWLEKFLKKRYDYDLNAFSYKDLRKFAILRGLCHKVGIELIPRDFDMESPEPFQKTDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYHLSVQHEQTTLRILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTPKPDASIASKGHLSVSDLLDFINPSHNAKGKQSVAAKRKSYMKLKEKSNQNVVSEQLTETPRENQKEMSEEETEATGSEDGQSSDANQETILAPAEEPPSHPVIDEAIMDNSNPITSAEVSTETQHPDGSEDGWQPVHRPRSAGSYGRRLKQRRASVGKVYTYQKKNVEADNDNPVLQNATQPNATYYVVKKRTASYANYGDHHSPGMTTQGTKSGRKVKTLAYRVKSTPSSDNPKTSAETSEEDGLKTDASPAVPSGLSSNVQNEAYPKSSVVSLGKSPSYKEVALAPPGSIAKYQVWAPQAEASDKQEEKKSEEGTSVELTRDEQMITVSEKEVKNEISADAESSKPQGKEEIEVELQSSEVEFKGNNLDGNEESGGGIQVEEPVLDEANEGVTDMIHSTTEQEVKDQLAADSDDLKEKLGISATDSSDAPRELLLPNKKLSASAVPFNPSSPPSIIRPTPIGMNIGPSWPVNMTLHHGPGPFPSPPTTPNLMQSMSFVYPPPYTQSVPTSTYPVTSGPFHPNQFPWPHMSEFVPRTVWPGCHPVEFPPPHMIAAEPIASTVLEPTVILPTDIDTSGVEEGKQVAAATAGETMDSVNHVNAVASSEMENGNKKFEDGEKTFSILLRGRRNRKQTLRMPISLLNRPYDSQPFKIAYSRVIRGSEAPKSAA >A01g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9808045:9812945:1 gene:A01g503050.1_BraROA transcript:A01g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLATSVPPGFEPHSSIVAPEVFEEMRTYMNCVDPEERRRREIKMRKTLDELSRDPVAQRACLRLEKAPVLSIEGSRKVGHVFDFRSAMEVGIPDIVESSVREVPLRLRTQDMGNVVSQSSQEGSGAVGFETEKIKEIRGIAECSPNKKVRRNMREHDGVEVNLTELERGGFNIGCNTTRSVERDNRSRGSGRSKQSWSRRNQHKRQVLEVVRRGWNLNTEGNRGTVSERIQSCRKELSKWKQSSTSNSRRYSGAIFPGSVSNLWMQKCRYSIGRNDAESHLQDEHKAQELRREFRLPMDYVFQDVSLIELFGSCLKFMEASTFNQERRQAIPWILWTIWKNRNSLLYAETQESPSLLVQRTLEEAALWNELNKAESNGGQVQADMGMPKYWFPPTQGMIKCNLHASWRSDKQFIGVAWITRNHRGDVCMHARDALVPTSDKLAAEMECLLWVLRSLRDLRIEEASIGTESQKLIDAIKTPARWPRYRCLLRQIETVCLEFTVIEFEVESRESNKVARKISTSVLRDGRLRSYLALGGPAWLHDLIQTEVIGEL >A07p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1400961:1405777:-1 gene:A07p006860.1_BraROA transcript:A07p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPFLNLVLLFVTVASIFSTFAEANRGFGWGWGGGSNYSSSSGSSPGSGWGWGSSRNVSGWIWGAGTNHSSGSRSIPGSGWSWGWGMGSNHSSGSGSSPWSGWGPNNGQNTGSGGSVSGWGWGPKNTNNSGSGSSGSGWGWGWGSHSKGYNATYNAPRKFIVGGDKEWTYGFNYSDWASKTAPFFLNDILVFKYNPPAPFTHSVYLFSNPLSYEKCDVKKGKMIASPKQGAGNGFELVLTKMKPYYISCGEHDGAHCSNGTMKFTVMPILARW >A06p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10386937:10388224:-1 gene:A06p021050.1_BraROA transcript:A06p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT3G48920) UniProtKB/TrEMBL;Acc:Q9SMT1] MVCKSETSNRKLKSKEKQRKGLWSPEEDEKLRSYVLKYGHVCWSTIPIQAGLQRNGKSCRLRWVNYLRPGLKKSAFTKEEETTLLSLHSILGNKWAHISKYLPGRTDNEIKNYWHSYLKKSVPSTKHESTRTPQTHSITNSVKTLESTTGRSSSFINVGESFSAKLSNFSPSVVFSEWLDHSLVMDQLPQTHSYVQDHIVPEERGLAGTFGQRLYENSNSMVDYIPNSDFLLGDEIEFCTSFSDSFLIDALASEQRSM >A08p009440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7440166:7442961:-1 gene:A08p009440.1_BraROA transcript:A08p009440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVYKEEERDNEACSMEGTQVQTTAQENEGNKRGMAVRFKDQPRIFFTTMSGGVKNPSGYILFFDSKQQDETYVLIPFALKSGMEKLFVNVDVTKILPKSITFSKNGKEFTVEFIYPWLPSHCSLCDEWSQNSNVGNHFGEERSDSSSSQNDYEEMETHGKEKMEGKELVSPGKKGHSPLRTPVERQVDSLCFTYKILSTEYRG >A03p033760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14291446:14294147:1 gene:A03p033760.1_BraROA transcript:A03p033760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPGVDSSLKRPKLDQDNDYLSSGGDDPMQVDQTTDMVVVSQDSVAGTSNVPPPAHQLPEVMNDMRLREEEPPHANRRDNEDKDMEPPIVNGCGTETGQVITTTLGGRDGKPKQTISYMAQRVVGTGSFGVVFQAKCLETGEQVAIKKVLQDKRYKNRELQIMRLQDHPNVVRLRHSFFSTTDKDELYLNLVLEFVPETVYRALKHYTKMNQHMPIILVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNTHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSGGCVMAELLLGQPLFPGESGIDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNVSLPNGRAMPPLFNFTAQELAGASTELRQRLIPAHCQGTGSSS >A01p010010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4885668:4887075:-1 gene:A01p010010.1_BraROA transcript:A01p010010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDILHKMKAGFFGSAPDTGRGKSKMWKNITHGFHFVKGKSSHPMEDYVVSEFKKVNGHELGLFAIFDGHLGHDVAKYLQANLFDNILKEKEFWSETDNAIRNAYRSTDAVILQQSLKLGKGGSTAVTGILIDGQKLVVANVGDSRAVMSRNGVAHQLSADHEPSKERKDIEKRGGFVSNMPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDITHQAIDDQTEFIVFASDGIWKASQMTSVVMSNQEAVDAIKSVKDPQAAAKHLIEEAISRKSKDDISCIVVKFH >A02p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12180224:12181369:-1 gene:A02p025560.1_BraROA transcript:A02p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSTLVGCIAIIFFTVVAPASSATHSVEWSLGKDYSSLATGKPYAIGDTIVFNYGAGHTVDEVSESDYKSCTLGNSISSDSSGTTSIALKTAGSHYFICAIPGHCAGGMKLSVNVGAAASSDGGGDSGDGNTPKTTPSPTVEGRKAAPSASATAMLKPFKALVVTCVAALLYALALS >A06g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16041887:16044559:1 gene:A06g505520.1_BraROA transcript:A06g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICRQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDKKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCHLCVKVSTDPASCNTQASDTFASTVPLNANPALLSTVQSEKQSFLYEGVSTVPLNDLPDFSTDSASCNIQASDTFASTVPLNANPVILSTVQSEKQSLLYEGVSTVPLNALPDFSPVHIGLSPNTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITFQRPIG >A09g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23087115:23088002:-1 gene:A09g508110.1_BraROA transcript:A09g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGQYGVDDETVQPQAYLGEEDQLRPSSPLVCLGKLWSPSLTKVWSIASPILSFRACSIGTQVLSKPVSRLFF >A02g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16871160:16871518:-1 gene:A02g505800.1_BraROA transcript:A02g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRESTIGKSGVSPGLKIGDPNSKKAKGKAAVSSSSPTKLIGKTGVSSGLSIDNPHSKKLNGSTADEVAEEIRRLNDVPMLAIPPELQT >A01g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21192996:21200568:1 gene:A01g507300.1_BraROA transcript:A01g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTRKDKEEIKCRKMLEDLTVRLPLMDAIQMMPSMRSFMKGLISGKISEESEFMTVSKECSAEYGENGSESKSGGGYQQLGFCLFKASPFTFPFSPPYTDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIATNSMARTKQSAKRTRAMCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDVFETLHAIGIAPLCYTTHELYPDLHTPFSLSSFLL >A09p065460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52334880:52337544:-1 gene:A09p065460.1_BraROA transcript:A09p065460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat protein SKIP35 [Source:Projected from Arabidopsis thaliana (AT3G59910) UniProtKB/Swiss-Prot;Acc:Q9M1Y3] MEKEAVFDDIKLSDDEIYYPDEPYCSEKNEEGEGASNVVFSREAPLIGKDPAGTNSNECCGCSAKKLNFKGSEDLIDKENNSPQKKLSRQERIELGRVFQGAVTSMDWEHAERLIQLADPQTLNDLLCVGLDSVWFLTTKHEFQGVTGLIKEIVCHGAHDFTRATLRTSLQERLQECNGDEILKAEAGAKVQKFTEWALKCIGFHSRCQGARDRVSHSSATEIELQLSAFKMFLELAGNHLSGRDFTEAFDAACFPLTLFSNSFDPGWASGISATVIQGLLGMLVEGGADNVNQCFLEASRFDVDVDLALGFASHYCKLGTMKCLVEEGNAIAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVEVAAYLLPFVPSPVLTALSIEILKAAGERSGGSLQGVEFLLKSDFLGDPTATYSVADSIAKSSEDETVPSDLKSFLREHWSESAFEKGMRESHENFINFMRVLKRGECAISLRDLPAPLRVAIAYMPLYRECVNAGGRLLSQRLRGQLVEAVRQLQGCDVPVVEVSETPHLMAVLEHHLTAIFC >A08p035620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20980944:20983865:-1 gene:A08p035620.1_BraROA transcript:A08p035620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGELKSSVLNRKRNPKSPVASRSSKKQKIAEHRKSLPIASGAKEERLIEAVQKNDILIIVGETGSGKTTQLPQFLFNAGFCREGKMVGITQPRRIAALTIAKRVAEECDVPLGQKVGYSVRFDDTTSSSTRLKYMTDGFLLREALVDRLLSRYSVIIIDEAHERTVHTDVLLALLKKVQRERSEIGGVLRGCQGRKVSPLKLIIMSASLDARVFCDYYGGAKDFHVEGRQFDVDIFYTVHPQTDYVDAALNTIFQIHSEEEEGDILVFLTGQEEIESVERLVQEKFQHLPEDERKLLPLAIFSSLPSEQQMRVFAPAPIGFRKVVLATNIAETSITIPGIRYVVDSGVVKARTYDPNKGMETLDVVPVSKAQAIQRSGRAGREGYGKSFHLYPERDFWKLEDSTKPEIKRCNLSNVILQLKALGIDDILGFDFIDKPSRSAIVKALAELHLLGALTDDCKLAKPAGEQMARLPLEPVYSRALILANQFNCLEEMLITVAMLSVESIFYDPRQKREEARTSRNHFVSVEGDHLTYLSVYRELDEFLEERKAEKSEAKVEKIMRKWCKDNFVNSRSLKHARDIYRQIRGNVEQMGFNVSSCGNDMLEYRRCLAASFFLKAAQRQMDGTYRALESGEIVHIHPSSVLFRSKPECVIFDELMQTSQKYINNLTRIDPLWLAELAPHHYKTEE >A10p035280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20299497:20302329:-1 gene:A10p035280.1_BraROA transcript:A10p035280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAGETAAVVGGDAQPQQQQRQAGGGFGQTITGIIRIAVFCYFASKFFSPKQKPVDPSKPPPRLMSNLFQKGEPLDMWFYLSENVKFNDFGNDGKLVWHETNIPYAVWKPESTRTLSMKYYPSEALKNNGSLYAHVFFARSGFPIDPNDPEYQPLNCFGRTHPVATYLPKRKADKKKSLLGNAKDSAESNAEVEEVDGRDSELKDEGPVEWISYWKPNVTINLVDDFTRYPQHGVPPNIAPYIQVEPTTVNYYPIVFFNEFWLLRDKLIAINETVSELPLNIEVSPISMTKWQLFEQIEQSFQVHRSYGSMLDGESDELKRVFLEGNPYLLGITMCVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQFIIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGVIPRLRFHDRESYARNKTKEYDDMAIKFLSYVLLLLVAGFAIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDDSADPKKITDQEDDKKTN >A05p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1766983:1769770:1 gene:A05p004490.1_BraROA transcript:A05p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:Projected from Arabidopsis thaliana (AT2G42790) UniProtKB/TrEMBL;Acc:Q0WUX6] MEEISERVKARLAVISAHLTSADPLESGSPAIERWTTSADINPPPHGSLKGALTVVDERTGKKYQVPVSDDGTVKAVDLKKISMGKEDKGIKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEEMAENSTFLEVAYLLMYGNLPSESQLSDWEFAVSQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALRGQDIYDSKQVRDKQIIRIIGKAPTIAAAAYLRMAGRPPVLPSGSLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAVAGAVGALYGPLHGGANEAVLKMLSEIGSVDNIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLADEVFSIVGRDPLIEVAVTLEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAIPRMAGYLSHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVKERDEPKESDKLSQVSTSNASRRRLAGSSV >A06p037220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20135420:20138165:-1 gene:A06p037220.1_BraROA transcript:A06p037220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDPK2 [Source:Projected from Arabidopsis thaliana (AT5G63310) UniProtKB/TrEMBL;Acc:A0A384KNJ7] MVGMAVVGQWTLCAASPSPIVKSATCNSNSCPTTVNLRTELAAFRPQFRLFSRVSPTRRRRLCASSSADLGIFLPHLVASMEQVEETYIMVKPDGIQRGLVGEIISRFERKGFKLIGLKMFQCPKELAEEHYKDLSAKSFFPSLIEYITSGPVVCMAWEGVGVVASARKLIGKTDPLQAEPGTIRGDLAVQTGRNIVHGSDSPENGKREIALWFKEGELCGWDSALAKWLRETGQYMESQFPQEEEVPNIVFFNFSAGKPLPKFGEWDVNNPASAEGFTVIFSKASDEKKTKKASGSAGPSTLVSPQNTDQNNHQDSQNPKAKKKWLCF >A01p018630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9033937:9036071:1 gene:A01p018630.1_BraROA transcript:A01p018630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVADSVKNGPLKLPENENLTPSGVRATAFPNENSGAAERWPGFPGDCVFRLIIPAHKVGAITGRQGEFIRKLWQETRARIQVLDGPVTTHNRLVIISGKEKPEANMSPAMEAVVRVFRRIARLPDNDDDDQDAVSAYSSVRLLVPGTQALSLIGKQGSIIKSIVQNSGASVRILSQDATPWYAAEDERIVAFHGEALKIVKALEAVVGHLRKYLVDHFVIPFFEEEYLARVFQEDGALADKNPSLPAEPSNVTDSDSWLLARREALVLEGKNRVESRVQPSGVLFTQEDPIFSAIEVCQTVRAPISYSDDIIGSGGANINYIRWRSGAILIVEESLNPGEMILVIKGTFSQVEIAYQLIQELVSTQKDPVSVSGGNARIDTGNVPVNPPQLSNRQETLSSSYMGTETGPNASSQVTGPSPSRH >A07p005530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2075923:2086910:-1 gene:A07p005530.1_BraROA transcript:A07p005530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRREISQVTSIYTVYTPPFTSKPNIEDESWRGESIRIESSFELLPVRPFLFLLQSSLPRILGIGVGVMDFDEYEYLEKTVENPHLENNEVENGGGDEKPKSEVKERSRSSRHRSDEDEDGRRSKRSRSHHRSRSRDRERDRHRSSRDHRDRERGGRDREKDRDKEERNGREREGGKDKDRDGKVRDHEKDRERDRSRRSRSRSERRRSQETEKSQEIETKERDTKDRDRDRRRHKDKKEDKVEPEADPERDQRTVFAYQIALRATERDVYEFFSRAGKVRDVRIIMDRISRRSRGIGYVEFYETMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAAAGAGGMLGPYSGGARRLYVGNLHVNMSEDDLRKVFESFGSVELVQVPRDETGHCKGFGFVQFARLEDARNAVNLNGQLEIAGRAIKVSAVTDQTEVPDAGQAQNTGDLDDDDGAGLSLNAQSRAALMMKLDRSGTASSTGLTAVPSILGATSTVSPLVAPLVQGGFPAVAGLAGLAVNVPAVVDPVGVPSECLLLKNMFDPSTEVGTELGFDKDIEEDVRDECSKFGELNHIFVDKNSMGFVYLRFENAQAAMGAQRALHGRWFAGKMITATYMTTETYEAKFPQNLYKTLSTWMEHEQDDPGTAPHGGVDSLLDNSLASESMCDHPTVSNNSVHTDKAEDSGIQGVKDVTVVDRGFLHGDYVASASEPTGQVGVVVDANISVDLLAPDGSVHKDISTKKLKRVRDFAVGDYVVHGPWLGRVDDVLDNVTVLFDDGSMCKVLRAEPLQLKPITKNNLEEDANFPYHPGQRVKASSSSVLKTSRWLSGLWKPNRLEGTVTKVTAGSIFVYWIASAGVGPDSSVSPPEEQSPSDLTLLSSFTHANWQVGDWCLLPSVNQSATIPLHKHVSKLRLYDSQANQHQKEEVSEKNESAGIIAQALPKETSVSSLSKEPAHEPWPLHRKKIRKLVIKKDKKVKKKEESFERSLLIVNSRTRVDVAWQDGTVECGREGTTLIPIETPGDHEFVAEQYVVEKASDDDDNKTEAKRVGVVKSVNAKERTASVRWLKPLPRAEEPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPVTMALPASSSGNSLEEATEQDNGDQDMHQEATVHDKEENEVNTDFSELSWVGNITGLKDGDIQVTWADGVVSTVGPQAVYVVGRDDDDESTGAESDASDAASWETVDDDDKDAPEIPEERGTGLLLCITITRFCKLMRIFYLQDHGRSSFTEGNSGAETNAENDSGRNGALALPLAAIEFVTRLASGIFSRGRKTEDPSSSSPTGEKQAELTNPSSERDSFLDDPTSPNLSATDNCDSEGTVLENKALERSKSEKSDEPVTSEGDSCSFRRFDISQEPLDHHFLGADEQKTKERRWFKKVDQDWKILQNNLPDGIFVRVYEDRMDLLRAVIAGAYGTPYQDGLFFFDFHLPPDYPSVPPDFEELIKEHFKKRGYYILKACEAYMKGYLIGSLAKDASIIDEHSSANSTSVGFKLMLAKIAPKLFSALSEVGADCNEFKHLQQQ >A07g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18790168:18790717:1 gene:A07g507200.1_BraROA transcript:A07g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFLAQGRGQGEEGTVRLLVRLFGFVSGRRRLLQLRRRRFLSPRGRGYLSSDGVGLDLGGSRRRVIEARPRLTVLGRAKLLSRLVFTGVEGICGGDVWIEWFPILSGYSKVGLPGDVPKEGDV >A09p025860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15024195:15036691:1 gene:A09p025860.1_BraROA transcript:A09p025860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADAFLEVAKLEFFYDQAPESMKSLGTSYSLTSLGMGNFLSSFLLSTVSNITKERGRGWILNNLNESRLDYYYLFFALLNFFNFVFFLVVVKFYVYRAEVIHSLDVNEEEVKGMLVLTLSVSIPGIKPPECSMANAEDCEQTSILQLAVFFGALYTLAIGTGGTKANISTIGADQFDETDPKEKIQKMSFFNWWMFSIFFGTLFANTVLVYVQDNVGWGWGYGIPTLGLAISIFVFLLGTPFYRHKLPTGSPFMKMTRVIVASFRKANAPMARSLTQFHELPSMEYERKGTFPIQPTKSLRFLDRASLKTGITDQWNLCTITEVEETKQMLNMLPAMFATFVPSATVAQVSTLFVKQGTTLNARIAGNFSIPPASLTAFVTVSILVSIVLYDRVFVKRHYFASTNGSWYDLPHPPHDGRMYRLKVAADHGLVHQKGVKLPMSIFVLLPQFMLMGIADAFLEVAKLDFFYDQAPECMKSLGASYSLTSLGIGNFLSSFLLSTVSKITKKRGRGWILNNLNESRAEVTRPVDVKEEEANVMRVEENE >A03g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27806318:27807132:1 gene:A03g507920.1_BraROA transcript:A03g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGSKSHSGCLAHNKAVHLTTREVHCLSSILVSPPLVSLDLPESWALDTDTPSSVLFGMELVLLNLKSIIQRRVRQIEKSQVTATKRPKNSALEVDLDFEHNARPPLIITEEVTASLEDMIKSRIIEVTTQFVTKVSFFLSKMEQVSMSFALRY >A05p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:841033:841999:-1 gene:A05p002480.1_BraROA transcript:A05p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGQSTQEMQSSTQVSHDSQGEQKNNQSLEAPIQDSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIIVFNHLLEHQYHLMKYPVHPKVPLVPMQNGIHPMAPGKSDSLLSLTNIVLLLLLSLNESRLRLLHTN >A03p009510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3818911:3819276:1 gene:A03p009510.1_BraROA transcript:A03p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPTRISFRILILISLMVLLFYVGRPLYWKISATIHDIRHNKQSVREGISQIVQEAQRSVGWYHDESDSGFLEARSKKSRVSTSRRLLFAGDQ >A04p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7324661:7326314:-1 gene:A04p010140.1_BraROA transcript:A04p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVFSLFTILVFSSSCCSATRFQHQHRYMQKKITLDLPSKIGINYGRRGNNLPSPYQSINFIKSIKAGHVKLYDADPESLTLLSQTNLYVTITVPNHLITSLSTNQTTAEDWVQTNIIPYYPQTHIRFVLVGNEILSVEERRNITANVVPAMRKIVTSLRAHGIHNIKVGTALDMDSLRSSFPPSNSTFREDIAEPLMLPLLKFLNGTNSYFFINLQPYFRWSRNPMNTSLEYALFQGNSTYTDPQTGLVYRNLLDQMLDSVIFAMTKLGYPHIRVAISETGWPNSGDIDETGANVLNAATYNRNLIKKMTAIPPIGTPARPGLAIPTFVFSLFDEDQKSGSGTQRHYGILHPDGTPIYDIDFTGEKPLTGFNPLPKPTNNVPYKGIVWCVPVVGASEAQLEEALRMACGRSNTTCAALAPGRECYEPVSVYWHASYAVSSYWAEFRTENVRCYFNGLARMTTVNPGNDRCKFPGVTL >A05p023850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11567505:11571605:-1 gene:A05p023850.1_BraROA transcript:A05p023850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSALYRISNLQNHISPLEATNKLRSLVKISPQVSEALSNGRAVVALESTIISHGMPYPQNLQTAKEVESIVRENGAVPATIAILNGVPCIGLNEEELERLASLGKSVQKTAGRDIAYVVATRGNGATTVSATLFFASMVGIQVFVTGGIGGVHRHANQTMDISSDLTALGRTPIAVISAGVKSILDIPKTLEYLETQEVYVAAYKSDEFPAFFTEKSGCKPPSRVDSPEDYANKKLNRQAGILFAVPIPKQHSAAGNLIESATQRALTEAREQNVTGNAETPFLLARVNELTGGTSLAANCGSPFSANVSYLINLKLKLDSGLLKNIFLTTMAAFTVDFQLCFIAILVWLFSCFCLSAFFFKKRKEPELQDCNLPPSPPSVPVIGHLHLLLSVPVHKSFQKLSSKYGPLLYLRALTSPTVLATKNEAVDVGKEMINVTNNTICRMIMGRKCSEENGEAEQLRDLLTKSLSLVRKFLIASTVGPLLKKLGISLFEKEIMEVSQKYDELLEKIIKEREDNPNKKVDRYLIDVLLEGCADDNAEFEISRNQIKALLVELFLGGIETSGQTTSWIMAELINHPEMLKRLREEIISVVGKTKLIQETDRSNLPYLQAVVKELHPHSPIVVRNATEGCKIGGFYIPQNTTMIINTYAVMRDPDSWEEPDEFQPERFMVPPSKGNKEMREQLDLNYIPFGGGRRGCPGSNLGSIFVGLAIGTMVHCFDWSIDGEKVNMEEAGDVNLSMAHPL >A09g514400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42943980:42945444:-1 gene:A09g514400.1_BraROA transcript:A09g514400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSSNINRLIVSLLYFTKGKKISESCFRDPKESTRVLPITKKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPNKRRNIINLNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKRAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFLRKISRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILWDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFFWNSTRAIRSFFSDRWSELHLGSNPTERSTRDQKLLKKEQDIWDVIRFRRMNWIWTVPIRFHS >A10p030070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18240694:18242153:-1 gene:A10p030070.1_BraROA transcript:A10p030070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPANTVGIPVNPTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPTKKIHVPEGYDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPAGKAVNALEQHIKNLLCPSTPLFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNARYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVKLSKEATTVQQCYIELSKMVKEKLSSLDPYFDKLADAMVTWIKAWDELNPPATA >A01p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7718805:7721025:-1 gene:A01p015750.1_BraROA transcript:A01p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFARSGCMTILFLLIIFFLSPSSAVDIPVVSYSGRRSNVEVGFIFQTWLSTHGKSYVNALGERQRRFEIFKDNLRFVDQHNAKNLSYQLGLTRFADLTVEEYRDLLSGRHDNEPIQRARRVSHRYVSLPGDQLPESVDWRKEGAVTAVKDQGSCIAAVEGINKILTGELISLSEQQLVDCNTGNYGCDGRGYMDISFKFLINNNIGLVSQIDYPYKAVQGNCSHNEKSASKVVKIDGYEDLPLNDEMSLKKAVAHQPVSVGIDKKSREFMLYKSGVYNGPCGTQLDHAVVIVGYGSENGQDYWIVKNSWGTIWGEAGFGKMARNIQDPAGICGITLVASYPIKK >A07p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12058935:12067400:1 gene:A07p020460.1_BraROA transcript:A07p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRMVKKDTASVIPVERKLVKTMAVKTIISAFTRSGSTRGSEPTGGNGNGGRRMESGGRCGWGRNKGVLPKERKLVKTMILEAVFPSRPRLKPKQIVEPMKSKRMSSKGEGSSSTSERKVKKEIASVIPVKRKLVKTMAVEAIVSAFSPSAISRPTGDGNGKGNGGRVYPTRP >A06p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4273860:4277167:1 gene:A06p011490.1_BraROA transcript:A06p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQPLDSDDEEQTYYERSIQPKADQWKKISGDVIRALGLKMKILMTVIFGGLLIGSVILLSFSHNFNDQLLDATSNDQLLAATINGSRKSETPHDKLIGGLLTADFDEPSCVSRYYQSSLYSKPSPYKPSEYLVSKLRSYEKLHKRCGPGTEAYKEATKNLGHDDDNYANKSVGECKYAVWVAVYGLGNRILTLASVFLYALLTERVVLVDQSKDISDLFCEPFPGTSWLLPNDFPLVKQFDGYGRGDSHCYGTMLNNHAISANSTPRHIYLDILHDSRDEDKMFFCPKDQTMIDKVPWLVFKTNVYFVPSLWFSPTFRPELMKLFPQKEAVFHHLARYLFHPTNQVWGMVTRYYKAHLARADERLGIQIRVFADDAGYFQHVMDQILSCTQREKLLPQVVAQEESKVNASTNQKLKAVLVTSLYPEYADRLKSMFWERPSSTGEIIEVYQPSGERVQQTDKKIHDQKALAEMYLLSITDNIVTSARSTFGYVAHSLGGLKPWLLYQPKDLTAPDPPCIRSTSVDPCHLTPPSHGCDADWGTYSGNVVPFVRECEDREHDGIKLFDELISTKIS >A07p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17880207:17881389:-1 gene:A07p032650.1_BraROA transcript:A07p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methyl-6-phytyl-1,4-hydroquinone methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G63410) UniProtKB/Swiss-Prot;Acc:Q9LY74] MASLMLNGAITFPKGLGFPASNLHARPSPPLSLVSTTATRRLSVATRCSSSSSVSASRPSAQPRFIQHKKEAYWFYRFLSIVYDHIINPGHWTEDMRDDALEPADLSHPDMRVVDVGGGTGFTTLGIVKTVKAKNVTILDQSPHQLAKAKQKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIREAYRVLKIGGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFKNAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVNNPFSFLGRFLLGTLAAAWFVLIPIYMWIKDQIVPKDQPI >A09g515000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44676580:44683326:1 gene:A09g515000.1_BraROA transcript:A09g515000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAGSLRSITGMCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFTLVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPMGSGRAAGPKPDGEQKSPKRSPAENPRRSEALAVDSLSLRAVSLLLLSLRRFLIRNLEAKPCRELLEIRSPSRLSLSLPRAVSLLLLSLFAASLLSLSLRRASFSLSRPRLSLLAVNSREWWWRPRGVIDLRSRNDKKKEKLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQEKGRVGPLELLTSRFSFRIERTISGNIDDMEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGIRARLRSLNTNLRDQHFHYCHFPYGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSTGSGRVAGPKPEGEQKCPVRSG >A05p006380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2544725:2552485:-1 gene:A05p006380.1_BraROA transcript:A05p006380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable helicase CHR10 [Source:Projected from Arabidopsis thaliana (AT2G44980) UniProtKB/Swiss-Prot;Acc:F4IV45] MEYQRRLEAATNIVLTANTQATHAPPDCREFGVTATLKPHQVEGVSWLIHKYLLGVNVSNLRIEQMGLGKTLQAISFLSYLKIHQGLRGPFLVLCPLSVTDGWVSEINRFTPKLEVLRYVGDKDCRRDLRKVMHDHVNKSSKESLLPFDVLLTTYDIALVDQDFLSQIPWQYAVIDEAQRLKNPNSVLYNVLLEQFLIPRRLLITGTPIQNNLTELWALMHFCMPLVFGTLDQFLSAFKESGESLPGLDASNVKETYKSLKFILGAFMLRRTKSLLIESGNLVLPPLTELTVMVPLVSLQKKIYTSILRKELPGLLALSSGASTHTSLQNIVIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLLVLDQLLKRLHDIGHRVLLFSQMTSTLDILQDFMELRKYSYERLDGSVRAEERFAAIKSFSAKTERGLDSEADASNAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQISHVLSINLVSEHTVEEVILRRAERKLQLSLNVVGDTTEDKEEDRGDLRSLVFGLQRFDPEEETYDEESDNQKMVELSSLAEKVVAIRQNVEPDKEARKLEINTSDTLERDSSSAASVYSELDEASYLAWVEKLKEASRSSKDEKVMELGDRNNVTEERHVRVEAARKKAEEKKLATWEAHGYESLSVEEPIFPDDVDSNSDAGSVQFVFGDCTNPSTVSQEPAIIFSCVDDSGTWGRGGMFNALSKLSSTVPDAYHRASEFKDLHLGDVHLIKIDDNDEQQNTEESKPLWVALAVTQSYRPKRKVPRSSISIPDLERCLAKASFSASQKSASLHMPRIGYQDGSDRSQWYTVERLLRKYSSIFAVKIFVLLPSISLILSSSSLVLVSFTRGLGNDPKAIEGGWLLVRMFRFDPTFLKLQKLIRMGEAGRPREGESTAPLVGGGTGDNVSAPQLFTSLPALNEAASYINQATSYFGSCFSDYSVEYGGQDSCNSLSHPHELLQSTSGADGSSPVSVCVTPGQRFSTSSEASTSSASSPSRESTETISQASNAMVTSNGLGGFYGISMFQGLIERARRTVRGSADDIGWLQRDPEMPPVEDGTDRFNKILEDIGHGVHRLPNTLVYLLVPGLFSNHGPLYFVDTKTKFSKMGLACHIAKIHSESSVEKNAREIKEYIEELCWGSNKKVLLLGHSKGGIDAAAALSLYWPDLKDKVAGLVLAQSPYGGSPIATDILREGQLGDYVNLRKLMEIMISKVIKGDIQALEDLTYERRKEFLKNHPLPRELPTVSFRTEASISPAVLATLSHVAHAELPLTNQATKLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLNEVPLEADAAQVCEALLTLLVQVEEEKQRKAATKSD >A10p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10003674:10006688:-1 gene:A10p007010.1_BraROA transcript:A10p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGYLYGQSKVYYHKSKHHFLHYWPAELYTSVPCRSKLPWGQLSDEGFHQMLIWRWDFRITLDIVGHWLDLMNVEGWIPREQILGLEALRSKNGEEEIIPKKEQVEFKLVPKVCVYRTIVDPKSRVEIVCAEQVVGVYARQAMMMLRNVPAYAASEKLVEIATELELELVLISELAEESSSPPLMWPRSCRRNQMSSSELVILDTGTCPALLHHIHDNENGVEARALDERSVCLNASCSEDLLSLERVVKLLGWLLSCQVEIMNFTGRTFVKSSLVPNERLYRQGRELSSSHQRRNSKWTRQSRSLSIELVKVAALSPAKAQRLRLSVLCGRALSGRVSEPTSPADSRSFTGGSRLKQHHGFFTSEETRRQAL >A09g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15024709:15027066:-1 gene:A09g504930.1_BraROA transcript:A09g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRADEFASRHSEDSIRSMAARSDEQMSFLLSTIDQIDEVKFVDTLLSIELIATEEKYFCGLSPDGTRIHASVGEQLIKKFDDKLREGDAIVVQLFKVYDAIGEYRSYSISSGYNSTHILLNPTLEFIEEFNTSRSFFNL >A10p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13463154:13467149:-1 gene:A10p019680.1_BraROA transcript:A10p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISRFPDELLVKVLTFLPTKEAVSTSILSKRWEHLWMWLPKLEYDDQHYSESERKRLRCFIVRSLPLHKAHVIESLRLKLSNSHYFKPQVIKWIVATAVSRNVRELDISYSSYPEKLNTLPSNLYTSKSLVVLKLSDWILLAVPPMVCLPSLKTLQLQQVAYFNEESLQRLLSNCPALEELKVDIWKDDNTRKFTIVVPSLQRLSLFIPFDYGIDGLVIKTPSLKYFKLRIHSSISHYCLVEHMPNLIEAYIDVEFPNIRSLIESITSVKRLEICLEVLYEEGIVFSQLEHLKLCRCKDCTSNLLVRLLKDSPNLRVLDLYEMIDHYYCGIIPWNPPSTVPACMLSSLQIFNWSAYSGVPGERDLAIYMLKNASQLKTATILSDECDIPELEMLKELAFASRASTTCEFIVNESTASFASIVRELVIEIDASSTETQFILPRSLYTTGSRTLVTLKLQNAVLVDASEAVSFPLLKTLSLISMKYPRDAFIRRLLSSCPVLEDLFVVKCLGDNVACLVVRVPSLKFLTVRTTAEVGYHQGLVLDVPSLEFLDIVDYTDGFCLVENSMHKVVEAHLDVTYSHPQQLLASLTSLVQLSLCLTTSMDAHFDGTIFRQLEQLKVCTCETTEWLDLLMRLLKAAPNLRFIVLELSKFHGIRTGDPILGWNQPSRVPECLLSTLEYFDWRQYGGTEEEKQVARYILGNSGRLGLATFYPKSTNPVKNLQMLKGLSM >A09p074790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56613461:56615043:-1 gene:A09p074790.1_BraROA transcript:A09p074790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSSISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFVFFSILSVMMIGVKTQKDPRDGIHHGGWMMKVICWFILVILMFFVPNEVISFYESMSKFGAGFFLLVQVVLLLDFVHGWNDTWVGYDEQFWYAALLVVSLVCYLATFVFSGLLFHWFTPSGHDCGLNTFFIVMTLIFVFVFAVVVLHPAVGGSILPASVISFYCMYLCYSGLASEPRDYECNGLHKHSKAVSTGTMTIGLLTTVLSVVYSAVRAGSSTTLLSSPDSPRAGEKPLLPLDGKAEDKEEKEQKKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRVVTSWATAGLFIWSLVAPILFPDREF >A07p040950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22117479:22132243:1 gene:A07p040950.1_BraROA transcript:A07p040950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFKSSTNESPYINTLTLDKTYHLSCFAPFTFTSFSQPKITSLFPTKQTNLSVKIKRYLDGVRLCFKCGNVTTSLPFSVGVVSKFVAIHNWSFTCFRNNISLIISNYLYGVPLIFNSYKTKTFTNSFISSSSSPFFYLPLNTMIDIFLSLTKSMFHIVIWMLFVIPSFVSSTNELYDRCSQPFPCGNQSLLYPFWTSGREDCGHPEFEVDCSGGFAELSITSVKYRILEANYDSDSGIIRLARSDFIGGLCPKDLLNATFDERVVTLAPTTEFLTIYHNCSREFPMYVSTYVGDLRCGDGDGDDDDTISYYVTSDLSSPLLNGIRGQLEDFGLSCKTVSIRVSRPLLNALQGNRTRDNLQRTLAEGFQLELSQECSSCLASNGACGFNQNSGGFVCYCVDETNNRTCSSKKKGISPGAIAGIVAACVLLVVILIAAGLFCLIRRPKKIQAAQHMSKGLPITSSASSRETSSYPTSTTVSSSSNHSLLPSISYITNASTYFGVQVFSYEELEEATENFSRELGNGGFGTVYYGVLKDGRAVAVKRLYERSLKRVEQFKNEIDILKSLKHTNLVILYGCTSRHSTELLLVYEYISNGTLADHLHGDRAEARPICWPVRLNIAIETASALSFLHKSGIIHRDVKTTNILLDDKSTVKVADFGLSRLFSMDQTHVSTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLAELISSKEAVDITRHRHDINLANMAVSKIQNNAVHELLDPSLGFSKDPEVKRMMVSVAELAFRCLQQEREGRPSMDEIVEILKGIKGENRVTPPPDVVDIEVSGEDDVGLLRHSVPPPVSPDTDKWTSSSDTAANSVFTLMDEGELELAEAYNEELDKPEICNGDGAVWARNEKEKEDDAFELRRKVYRPQKRLVAPTTFIIHPLQIIQAEQLLQNRSFNSTLTKPKTLTNYFISSSSPYSYLSPDTMIDLFLSPTKSLFPIIIIWMLFVTPSFVFSADDELHSLCSQPFPCGNQSGLLYPFWISGREDCGHPEFKVNCSGGFAELSISSVKYRILEANYDSRIIRLARSDFIGNLCPTNPLNMPFNQSVLPLAPTTQLLRIYYDCHQDFSQYVPNYIGALACGDGDDDDDDDDDDDDGKRYYYVTSNQSSPLLQRIRNVFNSFRVFCDKNVTIPASGPALNTLELNSSTDNLKTALEEGFELGLHQDCSTCLTSGGACGFNRSSIAFTCYSSNRTRNNGIGIASVLVLLILVAGCALCLIRRRKIQASQYTSKGLSITSNSNKEASSHLTPKTISSHPTPKTISSRSNHALIPPISNITNASTYFGVQVFSYEELEEATENFSRELGDGGFGTVYYGVLKDGRAVAVKRLYEKSLQRVEQFKNEIDILKTMKHPNLVILYGCTSRHSSELLLVYEYISNGTLADHLHGDRAETRPLCWPIRLKIAIETASALSFLHKSANELHDRCSKPFRCGNQSGLLYPFWKSGREDCGHPEFKVDCSSGFAELSVSAVKFRVLEANYDSGIIRLARSEYIGNLCPTDPSHTPFTQNVLQHVPTTELLRIYYDCRHDFSKYASTYFGALACIGGDSDDGDGKNNYYVTRNLSSPLLQWISPVLLNLSVSCDKNVSIPASGPALNTLHLNSSTDNLQKALEEGFQLGLNQDCSTCLASRGACGFNRSSSGFTCYINEPNNRKRNNSNRTRNNGIGIASVLVLLFLIAGCALCLIRRRKKQAAQYTSKDLPVTSYSSKEASIQQPPLPSISNITNASTYFGVQVFSYEELEEATENFSRELGEGGFGTVYYVQKRDRHLENYEASKSRDTLRMHIETQQRAFACLRVYLKWNDLADHLHGDRAETRPLCWPVRLNIAIETASALSFLHKSGIIHRDVKTTNILLDENSTVKVADFGLSRLFSTDQTHVSTMPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLAELISSKEAVDITRHRHDINLANMVVSKIKNNAVHELVDPSLGFAMDQEVKRMMVSVAELAFRCLQQEREARPSMDEIMEILKGIKGEKGGKLPDVVDIKVGRGDDAGILSHSDPPPVSPDTEKCTSSSDTAACSF >A10p015070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3807103:3809658:1 gene:A10p015070.1_BraROA transcript:A10p015070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene 3-hydroxylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G52570) UniProtKB/Swiss-Prot;Acc:Q9LTG0] MSLSSFTNLPSMAAALSSIAVTLKPLNCSFSSSNSRLSHHPISAAVFPPSLRFNTFRRCKNITICFVIEDQKQSCPDILTTSRLEKEERKKSERFTYLIAAMMSSFGITSMAIMSVYYRFYWQMKGVEVPVSEMFGTFAFSIGAVVGMEFWARWAHEALWHASLWNMHESHHKPREGAFELNDVFAIINAVPAIGLIYYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRKVAAAHQEVEEVGGKEELEKEISRRIKSYNKGSTS >A03p059260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25779081:25780508:1 gene:A03p059260.1_BraROA transcript:A03p059260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAQWPQEIVVKPLEEIVTNTCPKPQPSQPQEPTPDGAAGGVERKARPEKDQAINCPRCNSTNTKFCYYNNYSLTQPRFFCKGCRRYWTEGGSLRNIPVGGGSRKNKRSHSSSISSSDIGNNHSVSTQPATKKHRSDQHHHHLMSMSQQGLTGQNPKFLETTQQDLNLGFPPPHGLIRTNFTDLIHNIGNNNKSTNNLLLSSSCSATSAMATSPLDFIRNNNSNNGNSSFMGFPVHNQDPTSGGFSMQDHYKPCNSNTTLLGFSLDHHRNNDFPGGFQGGEGGDDVNGRPLFPFEDLKLPVSSSSATSNVDINDHQKQASGGDAAATSGGYWTGMLSGGSWC >A05g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19953306:19954644:1 gene:A05g507060.1_BraROA transcript:A05g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVDGEIVKKHNECLGIDGLRYKGGFRQDKAVNRFTRLRVKRAKHETMASENNSNNKIMFFLDGSCTPANMKLLLPIEQGSSSMIAALHYTLCLHSELFMSITRWKLSSLPHDQRHGTVKGTMDVKELVLLLAKNSMNHQSLLLVKDCATPPALKKEVSAAGERDKEGREVGEKKTEGG >A06p013230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5992790:5995733:1 gene:A06p013230.1_BraROA transcript:A06p013230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAFLPELWTEILIPVCAVVGIVFSLFQWFIVSRVRVSADQGASSSSGGANNGKNGYGDYLIEEEEGVNDQSVVAKCAEIQTAISEGATSFLFTEYRYVGVFMVIFAAIIFVFLGSVEGFSTENKPCTYDDTKTCKPALATAAFSTIAFILGAVTSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAASGLLVLYITINVFKIYYGEDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKIERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALVVASISSFGINHDFTAMCYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKNQLIISTVIMTVGIAIVSYVGLPSSFTIFNFGAQKVVKNWQLFLCVCVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVHTVDVLTPKVIIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGCLVMLTPLIVGFFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKYL >A06p012740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5808607:5810061:1 gene:A06p012740.1_BraROA transcript:A06p012740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEDSFLAEIDELSDYEESGFEDNVPNYGEDISMDMSDLETLKSDDDLDTVSKLLRTQRYADVMNKVEDALGKDSCGALSEDDPLYKLIVDCNKLTVDIENEIVTVHGFIRSKYRPRFPELESLVHHAIEYASVVKQIGDEKDLTLVTLKGLSAKSTPLSVLLFASTTKGKPLPEDVLQKTLEACDRAFELDSALKKILAFVETKMGCIAPNLSAVVGSAVAAKLMATAGGLSGLAKMPSCNVQVLGQKRKNLDGFSAVTTQSRVGYLEQTEIFQKTPPGFRKQAIKLLAGKSTLAARVDTCRGDPLGTNGKALREEIQKKIDKLQEPGVARQPKPLPVPDMTAKKKRGGRRLRKMKERYAVTEMRKLSNRVAFGVASEESSLGDGLGVGYGMLGQGGSKRLRVSCVPSKLKLGAKLEKKLKERQYTSGVTTATTSGFMTSRLAFTPVQGIELCNPGAVKLGSGTQSTYFSESGTFSQIKKI >A07g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:169150:169762:1 gene:A07g500100.1_BraROA transcript:A07g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHIKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A09p067770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53321755:53323451:1 gene:A09p067770.1_BraROA transcript:A09p067770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFKPTVSPNKNIKTLHTWHHPLFVVTTPSLSPLFSFALHQQYQAMSCSQKLKTSFGNMSDLSGHQAENNDIMSEIFLRLPPETVYKLILVSKRWLQIISDPLFRRTYLTKWKPKSNLIGFFICKTKKSGSFKYELRRPPFEFYHPLRTILGDEIKSLKQLGHYIDSSNGFILCINQHPNPNDYYLWNPSTGRRHRIPHHEVHLEYPCTSLVIEEDGPFSYKVIRVECVNKPSAKLKVDTFSSKTNTWTYSEMTCPEPMILILGGGGTVIGGFVYWYATGGRVAFYDTNSNEKRIGLVKLPKIHDNEERVLTHSPDGCLQYGRSSKSVMEIWKLGKVNGLFQWKLQNKVNFKVVWRWYDVKAERLSSTHNEVKKLFAFPIRNESQTCSSRPGTITEEEEEQGVLYGTSAMSCHSFDDNGFPFFVSFIKGADVSKIIGKKLMRHYMSS >A09p054680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47017711:47018258:1 gene:A09p054680.1_BraROA transcript:A09p054680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFHLSIVTDVPREKAYHLKPRLLEKIIVVKCIYEVISTKIKKSLLKAEIITWASLEHGKQPAVLPDPNFLKRLSTSYFLII >A05g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22442480:22444274:1 gene:A05g507790.1_BraROA transcript:A05g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVMVHRGVKEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRIWFPIPEILIRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKGTDKHRLVPRKFMSVVKKFISKFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALKFVQPGPALDADTGSDSEPDDQNPAEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLRGWDPNLAYGDGSGSSEAPIPDFDDFFARLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGKMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASKFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADEYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDHPADAFGASLSGDFDFGL >A07p042860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23207062:23208132:1 gene:A07p042860.1_BraROA transcript:A07p042860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-3 [Source:Projected from Arabidopsis thaliana (AT1G69410) UniProtKB/Swiss-Prot;Acc:Q9C505] MSDEEHHFESSDAGASKTYPQQAGNIRKGGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTSKKLEDIVPSSHNCDVPHVNRVDYQLIDISEDGFVSLLTDNGSTKDDLKLPTDEALLTQRILSLSI >A08p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12489274:12490068:1 gene:A08p017950.1_BraROA transcript:A08p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLFARMDFSIFKFVGNNLKNIVENPSHEGPEPEPGRYCFRLQKSRVYYVSESLVKRATNISRKSLVSLGTCIGKYTHAGSFHLTIMSLNLLAANAKHKVWLKPTSEMSFLYGNHVLKGGLGRITDSIVPGDGVVVFSMSDVPLGFGIAAKSTQDCRKLDPNGIVVLHQADIGEYLRDEDEL >A05p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11606025:11607823:1 gene:A05p023930.1_BraROA transcript:A05p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGYQGNTPAASKQSAPPTKTVDSQSVLKRLQSELMGLMVSYHLVPRLWFKRRLQSELMGLMGIKCGSSVLTMIAHRCQLQPLIVWEDIILQLQRLSTDRDSRRLTLLAFQATVYWIWTERNTRLHQQLFKTPLTVFSTIDKQIRNRLQSFRHANPRASSAMTQLCSDDMCFLYDWARPITNLNLWMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLSFSNDYPFKPPKIKFHTGCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPPSA >A10p021620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14477823:14480105:1 gene:A10p021620.1_BraROA transcript:A10p021620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQKLKKRLKLSSLKTNSSYKGSNRSSTRRSDDDDTTPRNSSKAKVSYVKKKRVYATKAELTEHSHVNEVPRTMWAASKTKTKQRSNSSLKTTNDAAKASRGMLKTKSPRGDDGKHDTPRKQSYRKRDDLEKNGDVSRRKSSDVPSYKAKKQAFAEKKVLDDGLDSQEDQPRKRKRIRLDPYDTSNKRIDDDVILDVSHKEKKKNDKEKKSVEMSQNAQFRAIQPSQSILSYVEENLLGRRRLTELKKAGYNTELPAPLDNIPQSTSTERERIEESLFRNKLEFFAAANVSSSFPPPDVPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFDLGPKVRLVDLPGYGFAYAKDEVKEAWEDLVKEYVSTRSSLKRVCLLVDTKWGMKPRDQELINLMERSNTKYQIVLTKTDVVFPMDVARRAMQIEEKLKANRSIVQPLMMVSSRSGAGIGSLRTALAKIAKFAKF >A09p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36128892:36131310:-1 gene:A09p044550.1_BraROA transcript:A09p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCITCTKADGGEEVGARGSTTPNTKEAVKSLTTQIKDMAMKFSGAYKQCKPCTGSSTSPMKKVHRPFPDYDNASEGVPYPYMGGSAGSTPAWDFTNSSHHPAGRPEPKFTSIYGNDRESISAQSCDVVLDDEGPKEWMAQVEPGVHITFASLPSGGNDLKRIRFSREMFDKWQAQRWWGENYDKIVELYNVQKFNRQALQTPARSEDQSQRDSTYSKMESARESKDWTPRHNFRPPGVNVPHHFYGGSSNYGHHHGGPPMDAARTTTSSRDEPPSMSNASEMQAEWIEEDEPGVYITIRQLVDGTRELRRVRFSRERFGEVHAKTWWEQNRERIQSQYL >SC249g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000099.1:45815:46933:1 gene:SC249g500010.1_BraROA transcript:SC249g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHALSSRPQVTPVSSPQRSPQSQPLASSQPLSMPPSSNMTAIGHQQPLKPQLPVHGLGRNPQSGASKVNNQAGKQRQRQTQQQTGKQHPHQRQPTLGQQQNKPLKGGNIMHQSISVDDPSHLNGSTMSPGAQGTEKVEATVKAVPSQPSNLVTAVNTYTESKPLNPPAVVTPAVAKPNHQNLLLHQKQGNQPLLTSHRTVHQSHDLSKQPPRDPQAVINTTQTASIGVTKEVGPQASISSIPTVTVGSTAVNSPPKELDLPSCDSLEKNGVSKLSSSITNSSGSDPVPDMAKDLGISDHGDKAVTERQQEQLRQSPPLVQRTPQLSEQLLVQNQKHIPSEQQKQQPYLKTLELEAIHEKSTHRPPDTKVE >A01p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17689232:17692517:-1 gene:A01p037720.1_BraROA transcript:A01p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRFDHCNATELRCEKAEGKEPDQLFEVSAPDAPTKIPPMRVYLPKVPYPIPPRHLMDPINAEQLAWFRKMVRRLSQNISFQHAWEIRLLHMFFKNCRETQKEIKALFTESLTLTLRVLPKIDDPGKFYFPCSIAGVEFKEVLCDPGSSVNLISKAIVDELDIVDVEHSLAVPNKSQIRYASCISVVNGEHPKIVLKEFGDKSEIKEVLDGDPHTDTKKLRTTAPGGDLAMEHPEFSWSARSTQGSYEVSLRDGSNRSISKELRKSRGGEPEWLRNQNHQWHSEQSCITSFFVTSPYQSSKKKQNKMMEKGGRNQRNMSHWESRAKEKECNLEFFTQSSPIGCFRLSGATRVHVPAAEIFMETSNISGFTLIIPILSPAATRDLQYIFSRFLLLFYAILGEETRLESFVPWGFA >A09g516800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49405590:49407618:-1 gene:A09g516800.1_BraROA transcript:A09g516800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDTTFKSAPDLVGGSVVDACCAPESYYGKFVPSVDFSDLRCLALFLPPTLNFNIHLGFVGVTINGLLPLETRLEISLPSGENAMVELEYEKLEKHCFLCSSLSHDKDSCPSALNDGRRLTPVGINQVRTTERLVDRRRAEPRRERTDRQHLNSRLGPNNINQLRPSRERDDRVSRRDLPHHDHMRNQLSRQSSSYRSEPRSERWVPKRYANAGALPRPAPSISPQAPPSQVSHTPSPRPAREPMRSLSTAVSGSINGSSERRSALERIAPAERLSALERLSPAESLTGSHDRRSALARISLPSYKELNFPQCEDSRSGSRYLYVDEQLLLEETTQDAPYGPGTSGLSLPIADSSPIRSLSEDRRHVSLRLGPIPEKQLSDDLPPPLKRSERLAAATLSGKRKAPAQSSKPKGFTSSPRGVPMKKRRVTK >A10p023730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15471392:15479456:1 gene:A10p023730.1_BraROA transcript:A10p023730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase I.9 [Source:Projected from Arabidopsis thaliana (AT5G60300) UniProtKB/Swiss-Prot;Acc:Q9LSR8] MAQGLDLTWMVISFLLLIHLSSQKETSFIFNGFRQGDLHVAGVAQILPGGLLQLTNTSEQKMGQAFFKQPLEFNSSESLSFSTHFACAMVRKPGVTGGNGIAFFLSPTMNLSEADATQYLGLFNTTTNMSPSSRIFAVELDTVQSAEFDDINNNHVGVNVNSLTSTVSAPAAYFSDKEGRSKSINLLSGDSIQVWVDYDGIILNVSLAPLRVQKPSQSLISTSLDLSALLQGKMFVGLSAATGQLANNHYILGWSFSRSKQSLQSLDISKLPKVPHPKKKLSPVLILLLIVLIIIVLLLLGGAYLYRRNKYAEVREEWEKEYGPHRYSYKTIYKATKGFHKDGFLGKGGFGEVYKGTLPREGDIAVKRFSHDGQRGMKQFVAEIASMGRLDHRNLVPLLGYCRRKREFFLISKYMPNGSLDQFLFLGGEESPSLTWTKRYWIVKGIASALCYLHREAAQVVLHRDIKASNVMLDSEFNGKLGDFGMARYHDHGANPTMTGAVGTVGYMAPELTSMGASTRTDVYAFGAFLLEVACGRRPVEPTAAAERQFLVKWVCECWRKKDMLKARDPKLSGESYSSRSVELVMKVGLLCTNLVPEARPEMERVVQYLEELVPLPEFSPETPGIGVLSSVMVGGRSSVVVSNGSGPATESILRGYEMHRFVFREDLHIRSRLSVSSSAMARWLLHILIISSLHLVSLSSQQETRFVYEGFSSQEDLYLDASAKVLPKGLLQLTNDSDHQIGRAFYKKPIQFSSFSTHFVCALVPKPGKEAGHGLTFLVSSSLDFSHAQDSRFLGAFNASANGSQALAVELDTIWNPEYKDTKGRNHVGIDVNNPVSVAVAPASYYSDKKGRNENMTLLSGKPIHVWVDYDGTMLRNAVSGHYILWWSFSTGRGSPHGLDISKLPKVPHPKAPRKNLPPWIIALVVCVAVAVLAFLAGVYVRRRKKYSEVSETWEKEFDAHRFSYKSLYKATKGFSKDEFLGKGGFGEVYRGDLPQGREIAVKRVLHNGDEGVKQFVAEVVSMECLKHRNLVPLFGYCRRKRELLLVSEYMPNGSLDEHLFDETKPVLPWSQRLVVVKGIASALWYLHTAADQVVLHRDVKASNIMLDAEFNGRLGDFGMARFHDHGGDAATTAAVGTVGYMAPELITMGASTATDVYAFGVFMLEVACGRRPVEPQLQPEKRHMIKWVCECWKKDALLDATDPRLGDEFSPEEVEMVMKLGLLCSNIVPESRPTMEQVVLYLNNNLPLPDFSPYTVGIGTFAPVLVDAASLVVSSASWSWSAPSMSSSSANHSPYAGQSTDQPWGQTIETKNSLHIILIISSLHLISLSTQQETRFVYENFLDKENIYLDKSANVLPSGLLQLTSASEHQTGHVFYKKSIGLSTSKPLSFSTHFVCALVPRPGYEGGHGIAFVVSPSMDFSHAQPNRYLGVFNTSTIVSPSSNVLAVELDTIWNPEFSDIDNNHVGIDVNSPVSVGTASASYYSDMKGKTTA >A09g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24646654:24649212:-1 gene:A09g508820.1_BraROA transcript:A09g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFHFSDLRNYIQNFVFIRELTFIFLCEPSVNHHAAYRPLVKKSTNFPRFLPQSLIDDLERRKHEMGSLRSLGDSIEGYTRMHGLLGRYVATEQNERSVATQRPSETDARSLRSDRAGRTLARYVATELGSQRSDRTACMCSSCVMAEFGLSVFRSSYSNPSIAGLHDLLRKDIFVFCGDLDVNFVVTVFDPNKRSSKKGPSFANDPEELLVPKIEFVPHSVDPAENEAWWVACYGSITPPKEKLFPVMTHRAVEEGAPSRSTNEFLGIMWSFYQIPDTVEFRVAISQLNPLAIQHLIGILILSYEHGLSLTVDHFEPLLRLQIIKDTDKYRLVPQSFMSVVKRFSSNFNSWKNFFFFIRIDAASFKESCIPLFWMLPNDRPFINPLAPFPEEIIAVRDLLRNENAEASSNGVEGVTPRPMTKVPVLLPRLRRDPDLAYGDGSGSSEVHFPDFDEFFAGLPSGFDAPPPTNESQRLKVVAEGSHIINGGLNLLGSTIEAGHREDMVYRFKAERDLSRMQGEMLERDAQLARDHARAVCKAVQKGKREIVEVMKTYATQFQVVYGNLKDAFTSVGDFRECRGSVESL >A02g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25225037:25227368:1 gene:A02g509490.1_BraROA transcript:A02g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFVPLGYVAALEWEIHRKRNLRWRRPDLEIDLFKSKAKFQSACVGSLRFLYVENLSSPNHLRIDPILASYSQPSSSSHSPDLTSLLEAEGEMYAAEAEITRWNAEAIDWEPSPEGDDGIPRTYYCGSEPVHGYSQTPKDPYRRYITCPNADDRDCHVWKWWDVAVEEELREFKRELNGVKGEANQREQKLLRLEKQVSEFTKKKSGAKLMVFSLVLGLVLLIVL >A09p003640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2113620:2118283:1 gene:A09p003640.1_BraROA transcript:A09p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRSSIESSETLRNKCAACYRQFNKMEHLVEHMKISYHSGHEPTCGVCKKHCRSFESLREHLIGPLPKQECKNIFSLRGCRFCMMILESPNARRTHQERCQFSSANAGLTTRMAALGLRDKAMIDYTSSRSPKVVALSCKMVGGGSDGSLDLCARVCITDESDNVVFHTYVKPSMIVTNYRYGTTGIRPENLRDAMPLKHAQRKIQEFLCNGEPMWKIRPRGGRGRILVGHGLDHDLDRLQLEYPSSMMRDTAKYPPLMKTSKLSNSLKDLTQAYLGYDIHVGIQDPYEDCVATMRLYTRMRYQKHKTEAYPQAADAQNRSNQVAWRQNEVERMSPDEMLSISRSDYYCWCLDSLA >A04p034080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19786449:19787162:-1 gene:A04p034080.1_BraROA transcript:A04p034080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEEKEGLRTVECLRGRLLAERQVSRFVKDEADLITRKMEELEKHLKEEIRLREKAEKRLKFLMKKLVSIKGSRSFEGSDQLSSSEVSCLSSVSTSASKLEEETHENRFLEEEKVDQATENVASTEEEESSSKLKDVSSDEASVVASTSSHEAESEASNDFS >A06p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6080788:6082576:1 gene:A06p013400.1_BraROA transcript:A06p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLARRQRRLQSNPSLSRFFSNSSSDPPPQSAEKPSQPPPPPSYSSPFTDIKSTLKQKIQQEQERKPFAGSSDAQSPNRGSSSTFSDHKSRGSLQDLGINLAKFQRRPTAAAPPPRDSSQSRPHISFEALYKQNVVADPRNRKAEEIDSSNLWENLKTLKSKSNALNNGEMKGVSFRSFKSTLKGNAGRGGEALPASVFGNEMEGETEEMTTEFLKIYREDELGEKLRRLRPEGKKEEGWFSLEELNERLVKLRQVEEKEVYNQRGNVSVIRNVIGTFRNEAAINEAISQQNQDIMGYLDGTPEYKLYPPKDDLVDTYFHTDNLSSAEKMKIELTKVREDFKMSESDCGSARVQVAQLTTKIKHLSSALHKKDKHSRKGLFAMVQKRKKLLKYLRRTDWDSYCLVLSKLSLRDNPDYKF >A08p021300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14295178:14295990:-1 gene:A08p021300.1_BraROA transcript:A08p021300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSATMVGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFANQLVKWDVKLKEDLDVMLDKARAANERRYFDEDRD >A09p027390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15460694:15463496:-1 gene:A09p027390.1_BraROA transcript:A09p027390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-interacting protein 1-1 [Source:Projected from Arabidopsis thaliana (AT2G01330) UniProtKB/Swiss-Prot;Acc:Q9ZU34] MAKLVETFPCVPSTERGRGILISGDPKSDTILYCNGRSVLIRSLQRPQDVEVYGEHGYAATVARYSPNGEWIASADVSGTVRVWGTHNGFVLKNEFRVLAGRIDDLQWSSDCLRIVASGDGKGKSLVRAFAWDSGNTMGDFDGHSRRVLSCAFKPTRPFRIATCGEDFLVNFYDGPPFKFHSSHRQVTPWEHSNFVNCIRYAPDGSKFITVSSDKKGMIYDGKTGDKIGELATEDGHKGSIYAVSWSPDSKRVLTVSADKSAKIWEISEDGTAGSVIKTLTFTESGGAEDMLVGCLWQNNHLITVSLGGTMSLFSADDMDKPPLLLSGHIKNVTSLAVLGGNQKTILSCSYDGLIVKWLQGVGYSCKLQMKDNTKIKRLAAIDSNILLTGFDNKVWRMPLTDNGFGAADHVDIGNQPLDISIAVDTPEYTTLVSFESGVVLLNGLSILSKIDLGFVVAASVISPDGKEAIVGGQDGKIHMYSVSEDNSLKEEAVLEKHRGAVTVIRYSPDLTMFASGDANREAVVKLNNMLFHTARINSLAWSPNNKMVATGSVDTNVIVYEVDKPASSRITVRHAHLGGVNAVAFVDECTVASSGEDASVRMWHIEPQ >A07p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2846489:2847654:1 gene:A07p004490.1_BraROA transcript:A07p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYRFQSGFCPLSPCPSLLLGNFVERIKDACHFLVSAVLGTIISAVLTFFFSLVGTLLGALTGALIGQETESGFIRGAAIGAITGAVFSIEVFESSLDLWKSDESCFGCLLYLIDVIVSLLSGRLVRERIGPAMLSAVQSQMGALDAPYDDLSSLFETGGAKGLTGDLVEKIPKLTITDNNNTDASENRDSCSVCLQDFKLGETVRSLPYCHHIFHLPCIDKWLLRHGSCPMCRHDL >A05p031620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18311657:18313791:1 gene:A05p031620.1_BraROA transcript:A05p031620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRERENGSRCSCVIDGVAGDDSGESFDLTCNHCNGSNSIKPDLSSSCSPSGSKSEKLRRITVASIKAFSIGTGIKGGLAIFSIFARLARRRSRRTGHFSNSEAIAMGIKETLRYGLFLGTFAVAGPSMLLTGPNTQHTSLAVYILMRAAVLASRCGIKSKRFGSFCKPLTWKHGDLFLMCLSSSQILSSYILKQDSLPSSYKSFLNKQGGKDLSIIQGVKDIASAKPFTNLRAIEKYYKSVGVDIKLDPNMKVPCSIIHGKESCTKHALTFFLQAYKRALPVYVPVYLIPALIVHRQDLLKKQYSILGKGLLGTARSSLFLSTYCTSSWAWTCLLFRIFETCSIPIVAIATFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMTDAGYIRPPKILRRADVVVFSVSTAIIMHCYAQEREVFRSKYLNVLDWVCGVPPPPCEKT >A03p060280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26133413:26135063:-1 gene:A03p060280.1_BraROA transcript:A03p060280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTSRRVRPPSPNVYRSRSTTSSISLPVSLNASVSSSGSSSTSSSPSNTSKRVMISRSQSTTRSSRPNPESREMIPARNSESRTHEANNGRTREAFARYLEQRERGSPRNNASGKGVKPGASSPSAWALSPGRVSTMKTSSSSSSPATSMCHTPPESPVSAAKMRTSGRGAVAGVLKYFKAQKKVSPVQEEEYHRFRLLHNRLIQLRFINARTEATMANLKVNVEDQLFWVWLRINKMRNYVVENLIEVQRLRQEIKLRQVLSLQMPLLNEWSKLDAKNFEALSKLTRKLHALSVRLPLLHGATVDVVAIHEEMVTAIEVMDEIEDIVIKFLPRVEIILYEMTELLSMFKQELSYFEELEKSLFLIPVFAAKESSLKVHVLQKIEEERKSLQH >A10p024690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15968509:15972130:-1 gene:A10p024690.1_BraROA transcript:A10p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCVQFLGDKTILITGAPGFLAKVLVEKILRLQPNVKKMYLLLRASDDKAAMQRLRSEVVEIDLFRVLRKDLGEENLDKLVHEKIVPVPGDISVHNLGLKDPDLLQRMWNEIDIIINIAATTNFDERYDIGLGINTFGALNVLNFAKKCVKRQLLLHVSTAYVCGENKGLFLEKPFKMGESLSGDKKLDINVEFELMKQKLKELKHQDCTEEEISQSMKDLGMTRAKLHGWPNTYVFTKAMGEMLIGSSRENLPLVIIRPTMITSTLAEPFPGWIEGLRTIDSVIVAYGKGRLKCFLADSTSVFDLIPADMVVNAMIATATAHSGETGIQTIYHVGSSFQNPVTFGQLHDTAARYFTKKPLVARNGSPIIVSKGTILPTMAQFSLYMTLRYKLPLQILRLINIIYPWSEGDKYNDLSRKLKLAMRLVELYEPYLLFKGIFDDLNTERLRIRRKENIKEMDGSFEFDPKSINWDDYIANIHIPGLITYVLKQ >A01g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2693593:2693946:1 gene:A01g500740.1_BraROA transcript:A01g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGSIEHRMQGFQTSIWICRISDQDWTGFHESKLNGGCHQALSLRNRALKIAASKSRFELFYWSLYESSLNDFSHQVLFRLIL >A01p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25565224:25566165:-1 gene:A01p045200.1_BraROA transcript:A01p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVPFWRAAGMTYITYSNICANLVRKCLKEPYKAESMNREKVHFSLSKWADGKPQKPVLRSDAPEV >A10p030520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18435300:18437323:-1 gene:A10p030520.1_BraROA transcript:A10p030520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSLLLCLVILVTLGVVVTSFANNVEVQSEQVESSKKVTKAHHISKDKEKGGKKVNGDHNARSDGKENKKDEKKKHDVHKKEGKSGDIVLGYDSVESYETDKVYFGATVGRVANRIGKAHFKLNGKEYKTVANDGKNTLHGGKKGFGDVVWAVTKHKYDGKKPHIVFTYTSPDGFPGELIVTVRYKLVKDNKLSVVMEAKAKDKATPVNLAHHTYWNLGGHNSGDILSEEIQILGSSYTPVDGELIPTGKISPVKRTPYDFLQPRPIKDNMKDLKTGYDINYCLDGKADKMRKIVELVDKKSGRKMELSANQPGLQFYTGGMLKDIKGKNGTVYQAFAGLCLETQSYPDSVNNPKFPSQIVEPGKNYKHNMLFKFSIVS >A08p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:624456:626007:-1 gene:A08p001080.1_BraROA transcript:A08p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSKKPCPIPLSSGDVKSPDPVKPDVNKPTKPVIELPVKPAIEIQESPYPGVEEAKPIISEKVEKEEENATSKDVSASVAVEKSSVRTSSCTKEEVDAILIQCGKLSRSNSTRRYSGSKRSFDFDQNERTHGGGGGGDVEDERRKTPQRNRDRGGVERANGSPRERRRRTPSRERERGGAAGGSRRVSRSPAKRSEQATNPSGSLVISSNIKFVTVPATDPSSKRVTVKRSIGEACRTAAMTNVQPRRKPLGEIDQNATKGDKKKKMIKRENEDKKLTTPQVISRSRSLRRSRDFDFSPETLLLQSSEQDMKSSYTELLLKDIKDFHGKSSNDDEEEDPFSRLPSCVTKACSIVEAVADLNSSSSDLNQFRFGSTVKKADLVEPSFEKYVTVRRVSKVPVMKKGELG >A01p021350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10380546:10381085:1 gene:A01p021350.1_BraROA transcript:A01p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPVKKNSAIRPVEFYGNGLPRPRFFHNPKFNSHRVDPPLSVLDPLLSWAREAHWSMGGLNFTRLRLQGRIEGNVDKLRAQLEKSSPLKKRSGFDSPPAAPVAVKRRRYIDLNDEEEEEICLASIRRKLSDDFDRVAGESDSKAIKKPIESKSIVKDKKKKTESSSTRSSPRLAKRSS >A07p008560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:388387:388593:1 gene:A07p008560.1_BraROA transcript:A07p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGMWGSVKALARGYEYIMGVVIFMPVTVVEWFPFISEFQTRLLFNQAFSLGLQIQHILAGGKKQK >A09g513860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41970977:41971493:-1 gene:A09g513860.1_BraROA transcript:A09g513860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDNQQTRDGTSASDDVNQTPAANVSAVNADANTAVLDKFKKMFTAFSKKSEEHDKVMNIHSKQVEILTARTRAILPRGTTSVRRRRFDFATPLDKPRNAKGNMSEQNLDEITSDSHMNQRQSSSRCTQEDPLIEVEIPTVDIP >A09p064830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52087966:52091281:-1 gene:A09p064830.1_BraROA transcript:A09p064830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECSVCRSKLVSRAYDDHKIRVTSKQRVLNVLLVVGDCMFVGLQPILVYMSKVDGKFNFSPISVNFLTEIAKVVFAIVMLLIQAKHQKVGEKPLLSVSTFVQAARNNVLLAVPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIALLLKMVMKRRFSVIQWEALALLLIGISVNQLRSLPEGATAIGIPLATGAYICTVIFVTVPSMASVFNEYALKSQYDTSIYLQLWQKFLILGYIAQNLFLYGYGAIFNFLGILATVIYKGPESFDILQGHSRATVFLIMNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHVITMNFLLGISIVFISMHQFFSPLAKVKDEQQQNGSIELGNAKDAHRANDSFINMAAGANEEATHRGVTDDRMPLLPR >A01p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21332319:21336202:1 gene:A01p030360.1_BraROA transcript:A01p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIMKSPHGILLVIFSFAAFAITHLVEAQNQEGFITLDCGLPLNESPYVEPETEIQFLSDENFIQGGKMGRIPGNLESENLKPYSTLRYFPDRIRNCYDIRVEVGRNYLIRAMFFYGNFDGLNVSPEFDMYIGPNKWKTIDLQKEPSGSGKEIIHISRSNSLQVCLVKTGSTTPMISTLELRPLANDTYLAISGSLKLNFHMYLSNSTALLRYPKDVYDRTWVPLFQPDNWTHISTANVSNKNHYDPPQAVLKGAAIPKNLDGPLTITWRLENPDDQIYLYRHFAEIQDIEANDTREFDCVLNGETITINAINPKYLEIETMLTTIPKECNGGICRMQLIKTQRSTLPPLLNGFEVYSVLQLPQLQTNETEGDPCVPRQFLWDGLNCSISDISVPPKIISLYLSSSGLSGTIVSDFQNLNHLEILDLSNNSLSGMVPEFLASMKSLLVINLNGNNLSGSIPKSLLNREREGLKLYVLGNKHLCISSTCIDTKLKKKFPVTIVAPIASIAAIVVMIILLFVLRKKMSSRSKSEPWIKTKRRRFTYPEVLVITKNLQQPLGEGGFGIVYHGNLNGSEQVAVKLLSQTSAQGYKEFKAEVELLLRVHHINLVSLVGYCDEKDHFALIYEYMSNGDLHQNLAGKHVGSVLDCGTRLNIAIESALGLEYLHIGCKPAMVHRDFKSTNILLDEEFKAKIADFGLSRSFHVGGDQSRVSTVVAGTLGYLDPEYYLTSELSEKSDIYSFGILLLEIITNQRVIDQTRKKPNIAEWVTYVIKKGDTSKIMDPKLQGNYEPRSVWRALEVAMSCANPSSAKRPNMSQVIIKHKECLESENARISTNQDTNSRHSSVELRVTATFDSDMYPKAR >A10g502710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7734408:7734608:-1 gene:A10g502710.1_BraROA transcript:A10g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTNCLLQLLASFFMEGKCSAACAAWCAEACHQLSNLSFVFCGRKLSSEATLYDIKDPLISSGRP >A02p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:184478:186789:1 gene:A02p000310.1_BraROA transcript:A02p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQDRDEELVLFLEIGRLEKSHQASLLTNFSDHCKSNTTSMSSQQYPPRRTAADNFLYSEDEKSDYDWLVTPPDSPSKSSVNQLDAPDATLTMALKSRLESCREEERDRTSSKKQTIGLKRPTSSNSSRSTSRPSTPARRSTTPATRSTTPISRVTSKGARANLTSSSTSSVRPTSRPSTPTRRPSSSGTSRATLTSSSTSTVRQTSRPSTPPRRPSSSGTSRANLTTARATTGTATSTRSIIRPISAPSTKPGSRSSTPTRRPPTPTGSLTVSRSKPTKPVCKPAPSPTLRSRPWEPYEMPGFSLEAPSNLRTTLPDRPQTASSRRTTAFGASSSRSSSIERTVARRQSCSPSRNRAPISNANRPAGRSKTSNADGELISPVAKGAQMVERVVSVRKLAPPRLTEKGGSNAGKSSSGADSVGFGRNLSKSSFDMALRHMDIKQGSMKGNFRQLATKVPAASLYSVRSSGTRRKRPVSSSGSSESSSVNILCLDGSDDNLSDISH >A03p068380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29940410:29945893:1 gene:A03p068380.1_BraROA transcript:A03p068380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMSGIQGQILEVTVVGCQKLKDTEWFSRQDPYVVLEYSGTRHRTRTCTDGGKNAVFQEKFMFTLLEGLRDLKVAVWNSNTLSTDDFIGNATIQLQKVLSQGYDDCTWTLQTKTGRFAGEVRLILHYAGAKKQNYGSAPSAPPYAPQVPQYSAPPAPSPYSSPPYSGPSPYPQGQYSQPQSAYPPASAYPPQPSAYPPPPSTAYPPAPSAYPPGPSAYPPGPSAYPPPPPSSAYPPPPYPPQPSPYYPQGPYPGQYPPPPHLDDVYLATDRKEAVGWILRVNARYGFSTLTAVLAITYLDKFICSYSLQRDKPWMLQLVSVACLSLAAKVEEVHIPLLLDFQVEETKYVFEAKTIQRMELLLLSTLQWKMHLVTPLSFLDHIIRRLGLKNNSHWDFLNRCHRLILSVISDSRFVGYLPSVVAAATMMRIIDQVETFDPLSHQTNLLGVLNITKEKVEACYNLILQLPLDHMGLQIETQSCRKRKSRDSSSSLSSPSCVIDSNPFNSDESSNDSWSASSCNPPSSSPQQQQQEPPLKKTKMEKPILHLS >A07p042340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22952714:22955442:1 gene:A07p042340.1_BraROA transcript:A07p042340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQRRVMSWRRVWKSFQAVLAHGLLFSFTLLLALKLDHVVSRSWWFIFTPLWLFHAVIARGRFSLPAPSMPHDRHWAPFHSVMATPLLVAFEILLCVHLEDQYVVDLKIVFLPLLAFEVAILVDNVRMCRTLMPGDEETMSDEAIWETLPHFWVSISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAECFAFLVCTKWSNQSIHRYTHVPEPSSSSMVVRYLDWNRGLVVTADEENQHSNRICGLQDIGGHVMKIPFITFQIILFMRLEGTPPSAKNIPILVLFVPLFLLQGAGVLFATYRLVEKSVLLITSGGGSYGRYFTATSSAREFLGFFQHGARLLGWWSIDEGSREEQARLYSGEATGYNTFPPEVVKKMPKSDLVEEIWRLQAALSEQTDITTYSQQEYEKLQNEKILCRVCFEDPINVVLLPCRHHVLCSTCCEKCKKCPICRVLIEERMPVYDV >A01p010670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5215237:5216642:1 gene:A01p010670.1_BraROA transcript:A01p010670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRSGGNSNNTDPLLQYMTSPRSKKPPPILFPLPEDDKVSIPMPLTPSEFKDRLIFGPSSRSTRDYSSQYLDSQSPSSSSSAAAAAAGDTFSDSSTLAPLLLPPPPRPDPLFHGHALHRSKTAPAMSVINDLHLPVPHQKDLASPSSRSVVRQAFALLLVYLSLGVLIYSLNRDHYVVDQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPEKRRSYIIDVKKGRMRIRLKVGLALGVVVLCIALGVGIMHFIEDIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLAVARAFLYLAEARVDKRNRERAKKVLCEAMSVSQFFAADIDNNGCVSKAEYVIYKLKEMGKITDKDITPISKQFDKLDRCSNGKITLGDLLDSSSGD >A01g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23865489:23866430:1 gene:A01g508790.1_BraROA transcript:A01g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAVPFWRAAGMTYITYSNICANLVRKCLKEPYKAESMNREKVHFSLSKWADGKPQKPVLRSDAPEV >A08p017060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11931226:11934894:1 gene:A08p017060.1_BraROA transcript:A08p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGKKKTAKKSGKSTTTHVAEEHVEELSDGNNSDDLCEPPSKGMKGLKRKCPSTGGGVFSRTRARKAVSNGNEPVREESNPVRGTTVVSLSLDTETEGMSAVSSKSDVATRKFTKTEDKEKVTIVEGSSSNSCLESVLKGVENRIVKAMEEGFSGINLTVETKLEAMNWTMDKLEKNQRVLKKNAKKIEDRLTSIESKGNEDEKYRQWNDFDYGRDHGKDREMAEAEKDKEKAETRKKNSEKGEKDEENSGKDEEDVENSKKSKKDEENNEKGEEEEEQEHEKDKENNSLLRLHERVSVQAEEFWRTIDDESDDEKEAEKEVEKEAEEEGEKDGEKEVREEKEAEKDGEKEAEKEEKEEKEAEKEESKGTPTSTGLVIITPHGRTKVAAARKVNTTPPEIVVVIEEKTSEQEAMVTEQEAIQTEITEQEVIQTEIVEKEAEITEKDAEVAEKEDQDVLALPLLHPSHSFFLSFSASTISLSFP >A02p020220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9447558:9448932:1 gene:A02p020220.1_BraROA transcript:A02p020220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNNHPNEAKYVSKQNSSSQDLSSPENYGLDQPDAAYAGGGGHSASSSSTMNSDHQQNHQGIVFYPSGESVEDHNSLLDFNGSSFLNFDHNDDRHESFPPPTISCGGVSGGFSFLEGNNMSYSFTNWNQHHMDIIGPRHIETPGTNQVHKDWLYSDSTVVTTGSRHKSASPKSTGNKRSYTGESSQPPSKKPTTGAKGKAKPKPTTSPKDPQSLAAKNRRERISERLKILQELVPNGTKVDLVTMLEKAISYVKFLQVQVKVLAADEFWPAQGGKAPDISQVKDAIDAILSSSQRGRNLDPVTNQ >A06p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5153711:5155031:-1 gene:A06p002440.1_BraROA transcript:A06p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGADFLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVNDVNVMKSFENLNNWREEFLIQASPSDPDNFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECITKNAFKNEPEEEPYMPDTIDVAGGQQQRSTGCEC >A10g503640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9342475:9342840:-1 gene:A10g503640.1_BraROA transcript:A10g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKKMMKRPIEEVYGCDAAEGFKKGKKETVEHYRALLRLSNEYRLSENDWNLASSKANSIAVQIELLEDIIKADGKFDLTAELEKLKEEHSEAEGMLADVKVKVPDWDKLGESWLHHE >A04g501360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3442086:3442745:1 gene:A04g501360.1_BraROA transcript:A04g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTYPTQPREDQGQQTVPQVTSEEGEITRNGDATAALPSVEFQMELSKTQAEGSEVVAEAREEERGLLTVQGMREQQDDTFEDIEMELDDINVAMLESGIDLETEEEFQTLSEEELEQASEAQAENVLIQDEEQPVAGDTYITKELGTGELATRQSHRKRLFKANSSIAGSSKMRMASALLSPRKKGVAKVGTRHGDNTKPPENKGPSIPKPVNLKF >A08p001270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:751624:756416:1 gene:A08p001270.1_BraROA transcript:A08p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPPRFQSHFYTFRCLRPKTLDDQGPHVINGPGYTRIVHCNQPHLHLATKLLRMWKKLRVGDVVRVDKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRCLDATLALEKDESFQSFSGIIKCEDPNPNLYTFVGNLECDGQVYPLDPNQILLRDSKLRNTTYIYGVVVFTGHDTKVMQNSTKSPSKRSSIEKRMDYIIYTLFALLLFVSFISSLGFAVMTKVQMGNWWYLRPDRPESLTNPKNPLYAWVVHLITALLLYGYLIPISLYVSIELVKVLQASFINQDLQLYDSESGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRASEVELAAAKQMAMDLEEQGDEITNVPMSTVRTQRYTKLASRTSSDFELETVITASDEKDRKQTTGIKGFSFEDNRLMDDNWVNEPNPDEILMFFRILAVCHTAIPEVDEDTGKCTYEAESPDEVAFLVASREFGFEFTKRTQTSVFIAERFSPSGQPVDREYKVLNLLDFTSKRKRMSAIIRDEEGQILLLCKGADSIIFERLSKKGKDYLGATTKHLNEYGEAGLRTLALGYRKLDEAEYSEWNSEFHKAKTSVGADRDELLEKVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQISISFANVEESSQNSETAAKESILMQITNASQMIKIEKDPHAAFALIIDGKTLTYALKDDAKYQFLALAVVCASVICCRVSPKQKALITRLAKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSIFNDSYLLLFNVVLTSLPVISLGVFEQDVPSDVCLEFPALYQQGPKNLFFDWYRILGWMGNGVYASIVIFTLNLGIFHVQSFRSDGQTADMNAMGTAMFTCIIWAVNVQIALTMSHFTWIQHVMIWGSIGAWYIFLALYGMLPPKLSGNIFHMLIEVLAPAPIFWLTTLLVIAATTLPYLFHISYQRSVNPLDHHIIQEIKHFKIDLEDERMWKREKSKAREKTKIGFTARVDAKIRQLRVKLQRKHSVLSVMSGTSSNDTTSNSQQT >A07p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10086042:10087173:1 gene:A07p016380.1_BraROA transcript:A07p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purine permease 3 [Source:Projected from Arabidopsis thaliana (AT1G28220) UniProtKB/Swiss-Prot;Acc:Q9FZ95] MARALVIINCIILAIGNCGGPLIMRLYFNNGGHRIWFSTFLETAGFPIIFIPLFFSYLNRRRSNNNEGENTRFFLIKPRLLMAAILIGILSGFDNYLYAYGIAYLPVSTAALIIASQLAFIAIFSYFMVSHKFTPFTINAVVLLTVGAAVLGMHTETDKPVHETHKQYMVGFLVTVAAAVMYAFLLPLVELAYQKAKQPMSYTLVLEVQMILCFLASLVSLVGMLIAGDFKALPNEAREFKLGEAMFYVVAVLSAIIWQGFFLGAIGLIFCASSLVSGIMISVLLPITEVLAVIFYHEKFQKEKGLSLALSLWGFVSYFYGEIKADKDKRIQEEAQLNSLPRRTRITEC >A06g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6140336:6140813:-1 gene:A06g501610.1_BraROA transcript:A06g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNSSRRHDWLEFKLQDTATVSQTTKLFRFLLLLSVISDCITNLHPYTAYRVCITKPSLVLVQVIQSSLKLPRLANIFNQHARDEAGEDMATNQPAIRKVIGGT >A09p002200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1553472:1554098:-1 gene:A09p002200.1_BraROA transcript:A09p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I reaction center subunit II-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02770) UniProtKB/Swiss-Prot;Acc:Q9S7H1] MAAQASGLFSSAVTTAATSGVKKLHLFTTSHRPRSLSFPKTAIRAEKTDSAAAAPAAAVKEEAPVGFTPPQLDPNTPSPIFAGSTGGLLRKAQVEEFYVITWNSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKANAGREGVGQNMRSIGKNVSPIEVKFTGKQSYDL >A09p075000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56671374:56673072:-1 gene:A09p075000.1_BraROA transcript:A09p075000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLARRYRRFPPTPSLIRFFSNSSSDPPPKAANASVEKPPQSPANSPYSSPFTDIKSTLKSKSSQARNPGWSRFSDNQSRGSSQDLSINLAKFQRRSAAPPPKSESPSTSFEALYKQNVAADSRGHGVDLSKLRENLKNLKSQPNAEMAGMSLRRRNVGSSDVSVIGKEMEDGNGGEGETEEYLKMYREDELSEKLRMLRPEGKKEEGWFSFEELNERLVKLRQVEKKEVKNQRWNFSVLRNVIGTLEDDKAKNEAVSRFSYVLLLKMAFMSSKEVSMLAVQNLDVLGYWDGTPEYKHYPPKDELVETYFHSDNLSSAEKMKIELTKVREDFKMSESDCGSARVQVAQLTTKIKHLTSALHKKDKHSRKGLVAMVQKRKKLLKYLRRTDWDSYCLVLSQLSLRDTPDYKIPNYNQ >A08p045100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24788575:24791903:1 gene:A08p045100.1_BraROA transcript:A08p045100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVCSKSNPCTDITLQDIKLTYKKGTPATSYCFNALGTSLGNGCNIMGSLPPVMENQHSFLGNSIEVQESLRCVGAENHPRKGRPLEKQVSFQHGTEGRAMERQRSLRGFVEKQKSFRVVIERQLSFMNGERKMKKNESPGKRGDSPLHIAARTGNLGKVLESIRSCNGAEELKELLSKQNLEGETPLYTAADNGHSLIVEEMLKHMNLETASLAARNGFDPFHVAAKQGHLETLKKLLETFPNLAMTTDLLCTTALHTAATQGHIDVVNLLLKTDSHLAKIAKNNGKTALHSAARMGHVEVVKSLIGNDASIGFRTDKKGQTALHMAVKGKQEGIVLELVRPDPKVLSVEDNKGNTPLHIATKKGRTKIVRCLVSFDGINLNALNKAGDTALDIAEKIGNAELVLVLKEAGAATAKDLGKPQNPAKQLKQTVSDIRHEVQSQLQQSRQTGARVQRIAKRLKKLHINGLNNAINSATVVAVLIATVAFAAIFTIPGQYEEDRTKGTLVLGEARIANEAPFLVFFIFDSLALFISLAVVVVQTSVVVIEQKAKKKLVFVINKLMWLACLFISIAFVSLSFIVVGKEDMWLAICATVIGGTIMLTTIGAMCYCVVMHRIEECKLKQMRKERSKSRSFSLSHMPSESEILNGELNKRMYAL >A02p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12027326:12030285:1 gene:A02p024060.1_BraROA transcript:A02p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPTSSPPAPSADSSPPPDNSTGGSAPPPTDSSPPPSPPADSAPPPSTPSPPPASPPPESNPPPDSPPPPPPDAPPPSDPLPPVDSGSPPPEPTNSPPPPPEEFESPPPPPPNEDNTSPPSPPEQPPPPPPQAPSPKGGPKNPKKSPPPTNSPPAPPNAPSHALPPKSTGAGGPLKSPSRGVPSFPPPPPTSNDGGYQGKTMAGMAVAGFAIIALIAVVFLVRRKKKKNVDVYTDSQYLPPSNFSIKSDGFLYGQNTTKGGYSGPGGYNTKQQSNNSFGSQRGSTPDSAVMGSGQTHFAYEELMEITQGFAKQNILGEGGFGCVYKGKLHDGKLVAVKQLKVGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDVQRLLIYEYVPNQTLEHHLHGKGRPVLDWARRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDEFEAQAIKTIILVADFGLAKLSDSTQTHVSTRVMGTFGYLAPEYAQSGKLTDRSDVFSFGVVLLELITGRKPVDQYMPLGEESLVEWARPLLHKAIETGDFSELVDRRLEKHYVENEVFRMIETAAACVRHSGPKRPRMAQVVRALDSEGDMGDISNGSKVGQNSSAYDSGQYNSDDRKMAFGFDDSSDSGMHSGDYSVQTSRKGSNGASTEFTRNESENRNFNNRRF >A02p045140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28375554:28377068:1 gene:A02p045140.1_BraROA transcript:A02p045140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSTLLLCLSVFIFIITESSLAQTCSNYQFSSNSLFESCNDLPVLDSFLHYTYDSSSGSLQVAYRHTNLSPGKWVAWAVNPSSTGMVGAQAIVAYPISDGTVRAYTSPIDSYQTSLQEGDLSFNVSMLSATYQNNEMIVFATLSLPLTNGGNINIVWQDGSLSGNSLLPHPTSGNNIRSVSTLNLISGTSASTAGGGAGDSKLKKRNIHGILNAVSWGIMMPIGAIIARYLRVSKSAGPAWFYLHVTCQASAYIIGVAGWATGIKLGSESEGIQFSTHHAIGIALFCLATVQVFAMFLRPKPEHKYRVYWNIYHHTVGYTVIILAVVNIFKGLDILSPEKQWRNAYTAIIVTLGLVAAVLEGFTWYVVIKRGKAEESSKTSQLGNGGRSQYA >A02g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6031099:6035822:1 gene:A02g501910.1_BraROA transcript:A02g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCCGHEIHGSTRVSPNRPNRPSRSPRKEPPEEKPIIGVKRSFSAFQKAQYQEKWPRNYEVMIQSPKPAKPVLHLPQLEASRFIQLQTRHWRPGDHFNQSGDIIHGQEELYKSIPCTSSHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLNAWPSLPLFGLHISLSCFTLILSTFQAVKKVPRKLTYPLKPSRFKKDQILYLEPKSHKRLQRLEAMVGKTHGQSQMAKQNQQLTALQEINDWIAQLRKRNKARAQRPQQGERRFGDAPEAPEPVIVQVKAKVSPILDKSVNESSTTCMSHLSLSKNVKTGPEVQKETNSTSLLRSKSMLFKEAKPILKVSHQGRINDSYKLIEVPKKEPDHKLSHEFTPKSETWPPKL >A08p003400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1897460:1897816:1 gene:A08p003400.1_BraROA transcript:A08p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDSSPHPDDLLIYTLKPPASPSLTLPSPSIDFNAQLTAEEIETYDNLPPWPHAISDYVLRQIGSDFSLYEPFMDRIHKYIKSKLGSDENHLSLESPNTRKERKKPKFKKVCSFYK >A01p024690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12307225:12309259:1 gene:A01p024690.1_BraROA transcript:A01p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIANDVTELIGNTPLVYLNSVAEGCVGRVAAKLEMMEPCSSVKDRIGFSMISDAEKKGLIKPGESVLIEPTSGNTGVGLAFTAAAKGYKLIITMPASMSVERRIILLAFGVELVLTDPAKGMKGAIAKAEEILAKTPNGYMLQQFENPANPKIHYETTGPEIWKGTDGKIDGFISGIGTGGTITGAGKYLKEQNPNVKLYGVEPIESAILSGGKPGPHKIQGIGAGFIPSVLEVNLIDEVVQVSSDESIDMARLLARKEGLLVGISSGAAAAAAIKLAKRPENAGKLFVVSGVPKFRREVPVDRTIRCHKERSRVHDLRGLNSLCCKNKSPFL >A03p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9757234:9758735:1 gene:A03p023160.1_BraROA transcript:A03p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPRAHASERRGDSRRSFYLFQLQKTSSIAAVSSLSLSLVALVAKEAVCERFDFYLQRFVFQDFLFEFLQPRITENREGLVQMAPRRGRRKKGLMREDAARDAMRAYGFEEGVIKVCIKELLELYGGEWFLIEEFSYSVLLNKCLEKQAELDNNVAVEEEAMAEEHNVEMAQEEQDNNVAEEEEMAEELNEDMAHEEEEEEEEQEQHVEYGRDHVGSNSASLVGCGAEAGEASVIDYASPPVAFHSSDYAHHSVGGNKLMAINISQHMNYSY >A03g500400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1348618:1348812:-1 gene:A03g500400.1_BraROA transcript:A03g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVPNYFEKGQLSKMFFLFPNPHVKEKNHRGRVISVDLLDEYAYVLRGGGIVYTITDVEEIGE >A07p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16708281:16713701:1 gene:A07p029850.1_BraROA transcript:A07p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDESPPPSTPDPEPNPNPSPLIHPRRVSFEHGLLPIQKLVFTDPIQTLAPVKQKLTESASNNRVGSAAIADVLQISGDHARLVLETLGSVLHSESDPLVGAKPEEVDSVGADLRDLVLFLYIQSYKKLLPRTHKDSAAVADVWPSTSAFDGNSRRFMPSQADDEAHQLSYLQKHVSNIVSLLADPVEGEGEESLVLSMEAFEHLGFLVQFGDKGSDVVNCHDSVIYLLAPLRYATVHGCSDTTIVLGAVGKALRVEHCERVHVIAASKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTYYSHLEEHMSEVGVEPTINKWDKPLALGAVDPHDSLSHPAGVADAQAESAACVDPDQFINFLIPNWFSGEEIGSTKDNPFPLPDAYMAAQQRNLKNLEETRQSLRETPLEENRKKELSSALHVYFKDWLYGNSTVYKVINNEEINPSGRCLIPVESSIDHFGILLPINKYSLLFLRLSRPLCPAPSGMADSTRSLTGLGGEASKHEEEEEENDDYMGDLSQFIPPELTQKSKRKESEKKTVAVEPSRKKLKNLPWHERRRLEKERKQIEEDAQTLARIEDTPIGESNVGFKLLKQMGYKPGSALGKQGSGRAEPVTMDIRRSRAGVGREDPHKEKKKREEVEAENEKRKVEEMLEDFGDRQKSQWRNKRVVINFKKAKAALDQLENVEVVVPEKKKEEDEDGKVGDEEEEEEEVITEEDLEEVLMKLRDEHRYCPFCGFQYETSEALLSNCPGVNEDDH >A05p017330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7784662:7785198:1 gene:A05p017330.1_BraROA transcript:A05p017330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVDEITRPMTAGEILQANPNHVLSKPCSQGVVRKILILSPESELKRGSIYFLIPDTSLPEKRKAKKKKDVQRRKKTLGNANDINSNHMVSTSNKDLDLTLCEKYLEDVMLSSSEKKCSAGKENRHRRRHSRSASVSMWQPHLDSISEDFN >A02p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1980947:1984285:1 gene:A02p004560.1_BraROA transcript:A02p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSIKINSISIDLAGAAKEIDMVKCDHFSIRGYVAETRERDHNKCWPFPEESVTLVDQENYSLPSLSVPKFRWWRCMSCIRDINADETKDCGLHRNSKSLSGKKQLDGNSSVILSQSKLNSLTIIDQEKERRTGIEDNSVEKKGDANCKRSQKDDHRATIFLKRGNNPSTDASTVKSKSRKLASQEHVRNKKAKVTDISSWKEKHNVGGQAVTTFGSSDIAGVVDDTPPKAIKNHNKDSLALTECDNGSSESINLAMTGLQRRKTRKVRRLSELLDQPETKTSGGKEEPSSSKRGRKRKVLPENNYVSRKLITVGATSENDSDQDYSTSSDSGFDRDLIKGKQKNRRFQVVDEFVPSVLPCETSQDLSKSTALSVPCPLSTQRTEKKLSLSKKKKHKPVSDNEKSTLISFGSQYTRDLLNDRLASEGYFRKPIPQLNVRPENDHVRSRDVEEPNRLGEFGSSSKPYTGGWLRTGVDANNNTDKLSFQNFNLRGTTSSTGADRKGKTVMFQEQQEPPKSQSHDRTEDQNDDIPMEIVELLAKNQYERCLPDKEEPSQEATHKPKNTLLIDLNETYDNGGSLEDDNNNISRPPKPCETNARRESLDFFPISQPYVPSPFGIFPPPLPQDNRPSSIRFSGHTCQWLGNVPAMSTHPPPYRVLRPCNTCQSGPHQYREPPPHPIWATTSVRPVSYNMDPSTKLGMLPQPPPPSDKNTWNLNFVAAAAANGKKKCGSSSELSFGCKHGGGVNNNSRPVEAFSSESSIPALHLLSLMDPKIGPNTPSDHQGNTKATQRHFPLASQPKGRVEIQTGDSSKQLPYDYYSKSFPMVPPLGASSFSFQKPQAPWIHHHQEKKTMRKEPVYSSNDQGRFQLLGASDSMKLPLKFHVMGKEKKQNRKAESCGDASAWPPRNSCGTIVCSVSRNPADFTIPEPGNVYMLTGESLKVRKRATYKKKTSLSKQDALKQTTENA >A01g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19892631:19895209:-1 gene:A01g506780.1_BraROA transcript:A01g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDYLPVSRLEVVWKSSGSRSRLDFQEVVWTSRKSSGRPGSRLDFTERFGFSDLEDFWDDLPVSRLEVVWKSSGSRLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLKVVWSCLLKWNPSFNNVFQDTTYNSVVHETTEIRRLNFQSSQIRDIKVNCKNNLCVDQMTSSSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLISMAIL >A03p012760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5064860:5065188:-1 gene:A03p012760.1_BraROA transcript:A03p012760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRLILIFLSATLAGFFVLKKLNNSYDDPLADPLTDDADPADSDSDSRFSKVGKAMKTGFWTCVDMASGRYLWNHLCSNSDSSS >A10p020300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13793669:13794512:-1 gene:A10p020300.1_BraROA transcript:A10p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPNTVSGDEHRSDIDESKVKAPNMFERAKEEIDAVIGAIHQRKSSRDESDKIEFKSEEKPNMMRRAKEEIKSLFHSKEKPHLHHHHKETHGRSDDIDENTPVNEVKAPNVFERAKEEIEAVVETIHPRKNEADGSDSQKRSHSVSPEKERAGLGCSLGKGLEKICSPWGSNKKD >A09g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16467651:16471083:-1 gene:A09g505280.1_BraROA transcript:A09g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKRRRIVGLCNQKSNWKTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGGLSPVDIGCVPGIHTDVRVCPSAHTGRLWPSVSTHRTSVAVRPDPGRPGRGRCLTSLVSILHPSSRLFMPLRVESSLPPSLLTTCVCFQDTEEALDMIIHDQSPSKGCIGPWEWIPANSLLSSPFACVPRLQIRLWVLSTMIKTVQRVVLNFPLDLPQNCLFMAFTPPWVLDWESDQLSIFFXADHTYQHAGPSRGLLRVLIRVLIRVLIHVLNSYQHAGPSPCWPFPWTVRVLIRVLIRVLKSYQHADHTYQHAGPSRGLIRVLIRVLIRVLNSYQHADHTYQHAGPSRGLSVY >A10g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:191669:193503:1 gene:A10g500060.1_BraROA transcript:A10g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWGRSYSGYLLVLGWLYVYSASLVSGGDIVHHDDSLPNRPGCNNNFVLVKVPTRVNGKEKEEYVGVGARFGPTLESKEKHATLIKLAVADPSDSCTTPKFKLTGEVILVHRGNCSFTTKTKVAEAAGASAIIIINNSTDLFKMVCEKGEDVLDINIPVVMLPLDAGSSLQKFVDGNDTVTLQLYSPKRPAVDVAEVFLWLMAVGTILCASYWSAWTAREEAIEQDKLLKDGSDELLQVSTTSSRGVVEITVISAILFVVVASCFLIMLYKLMSFWFIEVLVVLFCIGGVEGLQTCLVALLS >A01g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6487275:6487727:1 gene:A01g501780.1_BraROA transcript:A01g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTETPFRPREKLLEKQRLFQSIQRHTYLKGPMDKLTSVAIPLALAASSLYMIMYCLHHLTMGDLCGWIQGTGIYNMSNGIGKKE >A02g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16122762:16124625:1 gene:A02g505260.1_BraROA transcript:A02g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWPRSKLSDGLHNHQGGDESLVIKAEADKKIVKGSWVRPEVEKEKPRNDKNVNHGEEETQGAHNYAINSGPEQDRTTGNTWTQNPNYDENVFCYFHQACGHSTVNYKVVGARLAAKLLAGELAEVSSIKDLVRYSDRSSRNKKAPPTEKSFQGNQSREKPGRRQDEKVNDNSRRRVNMMIGGSQYCGDTVSAIKSYQHKPDTSANSLTWSAPSDFPKGAITFDEEEAGGIDQPHWDPLVIDLVIRDIEVARVLIDTVPEVSFAFSDHIQHPAKVILPILGFFSWYQSHFGWFVFILLIIFSYLHHSLFLFLFGSGLFLYSLVIAIKKKIDKRRKKKRNPGGVVEEKPCWLKRNPALGQMFGVLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASV >A07g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:478848:484841:1 gene:A07g500240.1_BraROA transcript:A07g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRAYYLLDFYFT >A09g510280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30708327:30711678:-1 gene:A09g510280.1_BraROA transcript:A09g510280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNHGVRKEGFPRLIVIVADLWIRKGETDTGFKNLDKEVGGKDPAMLSYKGAVESQGRELGVDGNGNNRRQGHQVPRNRDYKGKGIAFDNSKYEGNSKSGFKRSYRDQDGGYSRNLRQTGRFPPSEAPMRYATDTRGLKNLNTQEMGQNLDEQQKLMLDAFRSGKSEETNHVSASTARKALTFEGNISVTAMEGLGGTDVASGIEGVRVGEEDPKALEEKLLPDSHGADKQKDLNKEESEGAKIEEMEGLSEETYSELTGDAALTEAGEQDGSEHVGDEVFAEADFDVEEDDQLMETETQEVLSAVEGKERGGANKKKQGKVNGAAMGGSLKKRLVQSVVSPRKKHTVKHGSKVGEKGALPPKKAPVKPMVDGNILKKKRAHWWWLWSCVTGSIWSVLLLQCNGMFLFWTRHFAITMFIDIIKEHQLLQLVMKHLNLCNGYWLVLSCIKNRFFPVEYQVVCFLWLSMVVLWRRDLKNLQMQIRYLDDWKTLSASFLIWSSKDKMDWVMSMHCFLLLDFGHFVLNDEEFFGGSLSLCRVFGLGCFYIVYGLLLIFRMFGNGSVII >A10p017900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2260826:2262068:1 gene:A10p017900.1_BraROA transcript:A10p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAVCDLKPFKSIWKIRVKIICLWKQYSASGGVTIEMVLIDSNVVNYRDILDGTHNTDYLVAKCNRSNFRSAPIEVVSADGKDTKKITVELRNEKDECLPMVLWGNFATDVSEAIERCSDNAIVCVLRLPKDEPPFAVVQPKPLMLTNGVGDKK >A06p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3958137:3960346:1 gene:A06p011090.1_BraROA transcript:A06p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMGTATSTMAAKLAFFPPNPPSYTVVTDESTGKMRISAEMMRHRRDEEIEVVKIMTRRGNEIVGMYIKHPTAKLTVLYSHGNATDIGQMFIIYNELSHHLNVNLMGYDYSGYGRSSGKPSEQETYADIEAAYNWLRETYGTKDERIILYGQSVGSGPSLELASRLPRLRALVLHSPFLSGLRVMYHVKHSFWFDIFKNIEKIQLVDCPVLVIHGTDDKVVDISHGKQLWELSKEKYEPLWLKGGSHCNLEMFPEYLPHLRKFIGAIEKLPVPQFRRHSVSDDHKKDKQQLNPKKSSGWIGSRHSTECVTSRDKSRKMSIGHRSGKARNSTDSFDRARNSFDRLGEMVRSVRLCNVDCVKNAVAEA >A06p045460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24351786:24353928:-1 gene:A06p045460.1_BraROA transcript:A06p045460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTKLRRIESVSLPHHVVEIIMERLPVKSLLSFKAVSKQWKSTIESRFFQERQFKNRQQFGDQDVLMVSSTSLRTLVFGSLSSTSSSGKIPWGNETYLVCQSSVDGLVCLYDSHQPGFVVNPTTGWYRHLPLSRLQQLIIDLGYRYFELGHANFEPGFGKDEFTGTHKPVWLYNSFEIGLENATTCEVFDFSTNSWRYVTPSAPCRILGGFPTPVFVDGSLYWFTECEETKVLSFDLHTETFKITSKAPFNASHWDVLLCNLNNRLCVSKMNLSDQVIWSFNSFNKTWDKLWSIDINRTWLSFGFPTVSALSPLAIFVEKEKKKKLLFYDRGYNHTLVIHDPETKSYDVALSDESIGYPGYNLRGKDFNLTLHWHVMPKTGKMFADKIVMSGYEEPPHASSSRKERELCFRFETPMSDLYTENMLI >A07p034520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18727007:18728438:-1 gene:A07p034520.1_BraROA transcript:A07p034520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSVFRSVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLSVSCVHFICSSIGAYIVIKILKLKPLIVVEAEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFEWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGIPALLLEGNGIMSWFESHPSPWSALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISYMNAVGCGITLVGCTFYGYVRHMLSQQTPGTPRTPRTPRNKMELLPLVNNDKLQGKV >A02p013500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5922291:5924247:1 gene:A02p013500.1_BraROA transcript:A02p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYVKVLMMVIAIWFVPMTYSNGAKAPAGDVAEAPGADAFNNDRYDARSTFYGDIHGGDTLKKKEEEKMTTQNKEMEVVKDLDLERYMGRWYEIASLPSIFQPKNGIDTRATYTLNPDGTVDVLNETWNSGKRVFIQGSAYKTDPKSDEAKFKVKFYVPPFLPIIPVTGDYWVLYIDPEYQHAVIGQPSRSYLWILSRTAHVEEETYKQLLEKAVEEGYDVSKLHKTPQSDTPPESNAAPNDTKDQMLK >A08p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22356072:22360064:-1 gene:A08p038680.1_BraROA transcript:A08p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDAVPDHDVPADDTSPVTDSKTPTEEATTPLRRTRPSRACTLRTQQRLREQQAAERKLKQPKKECKRKEEVEDGDDQEEEEEDDGESQMQCVGGSLGRSKIVTSLVPPPEASQMPRWNLRSMWELASILNFLHVFRPLLKINVEFSAEEFETALLNPNDTLSDIHIPLLKAIPPVTRMALTRDTWVTVLCRKIRDCWHWVAEGDLPIVASQGRETEVYKSFDPAIRVVILKALCDIRVEQEDIRSYIDNSLKTGVHLSAFRKDRVGGDSHGVNFWYEDDPLVGHRLYREIRKAEVVKAKTKGSKILPNVTYQWEAVATNFDEFQDVSEKLNSSSSRIEVSLGKKLTRDMLPEIEKEHKRKEKLLKKQHRQALLLDNCLVVDGHGAGRSLRDRKPVRYTFDDYDQSINEAIKITKMKHPSPESFLHRRESARLEAPENGRSTSSTHPTEPVNDTAYAKSSDSADYDEFDEQRDENRRRQRSQRYSATDFVETVSDNDVEYESDDDIVGEAVYDEEYMRKRKQKKSSSGSEGEEVGDKGDEEYKWDEDNAEYEEEEEEEEEEEEEDSLSDSEEDSDEPRRAKKMPRRETKSRSRSKDLRPGLRRSKRATRIDYQQYELSESDKETTGAAKRKRLVEPDEHSDETGNGDFTMGSEDSEENANDPETKSSEEEVREEEKPREVTNDYAETTNGAENNLLSKSNGTDQEEAEGVVGKRRFLDLNELAPVSGFDDGPSTVLKEDDKADSS >A08g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18841822:18842132:1 gene:A08g509470.1_BraROA transcript:A08g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSQGSGLTLGSTRHLMYSLRALLSSPSDQAPQAHGTLSSGHNKKTFSPSRISNIHRWKSSPNRLHY >A07p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14693095:14696023:-1 gene:A07p025560.1_BraROA transcript:A07p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLFVSNHKKKHSLLSMGSPPSILCLILLLLFHHIPCVTSQRAPRGFCDTLIHCGNISVGFPFWGENRHQRCGHPSLKLNCNKHSNTTTLFISGYNYSLLHIDNTTNIIRLFRQDFSTSFCSASFSSAPLPSVLFQNLPSYKSLTVYYYCDPRRNLLGNFTCPYPEKGLGSLVQFSKYRKLCEKSFKVTVPTSYVPDEQALSLTHLESVLKKGFEVKMTIGEKFCQDCIIPGGHCGLICSDTMYTTGGTYRMNRSIVITFGSVVGTILTVYVAVLLAFVLSERRAINAGRDHNLEALVSLRRYSYGQIKRITKSFTEVVGRGGFGTVYKGNTRFLSDEITQEEEDLAKKMILIGLWCVQLRPSDRPSMNKVVEMMEGSLDTLKAPPKHLLQMQNVGESSQPSGESSSIFSRKSSRGYWFGVSDTNSSRTKLGKNKLRKRP >A01p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29070625:29074296:-1 gene:A01p051880.1_BraROA transcript:A01p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMSDLPLDLTKEIFSRVPLTSLSSVRSTCKSWNSISKSQVLCESAAGRKQFLGFMMKDFSVCSMKFDLQGIQNKADFVNPSIKQSRLLVWNPYLGQTRWIQPRNTFLSGDQYALGYDKNRKHKILRILGDYKSGYMVLGYEIFDLSANTWKVSNDNQDWRIDHYQRDKLVLSCVREEQLAVLHYSWVMGQALEIWVTKKIDPEKKVVVVVYEIRILTGRNQSAHILGPDGYFKSVNIGETRYIGRRIKHGYMISELCGPLVCSSYVPSSVQLQTNQPVKSEEAARRKQFLGFMMKNFKVCSLKFDLQGIRRKKTSLIAMRHQRQVKVLGVESLFGANEVAPTKKQFHMLENYAIGYDKNSNYKLRIFGEYLKFGKPLPLPFKSHGLYKKISISCVREKQLAIDPCEISWSKFLRITYGCMDGNQAGSFFIDEEKKIFVVVDIESYKEGIVRNQKTNIIGQDGYFISVNMGKAHEYLENFGFMTDRPVLPPTCVLFLCSKLSATANHANQPTGKIYSYTSWKLVSHPKSNKP >A10p012780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12162819:12163427:1 gene:A10p012780.1_BraROA transcript:A10p012780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGVIIENRHSKAISMDTWAQLLEFFRTVDLELSNYGAEGAWPYLIDEFVEYLYDKSIVEK >A04p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3173070:3174933:-1 gene:A04p006120.1_BraROA transcript:A04p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISTLSSCRLKSRCKGGLWNSFRGRSSVYLLELSSSQMETDIENSSNVTTLISRSLLRFLVDMRGVDIDQLMTGMQDYISYCTSILLHNILLLNLQNPEKLGKLGEGAPTNESLKKLESPYFWDNPQEFEPDRFLRTKESNGIEGWAGFDPSRSPGALYPNEIIADFQSLPFGGGPRKCIGEQFALMESTVALANVWNRLSLRI >A06p054150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28442697:28445472:-1 gene:A06p054150.1_BraROA transcript:A06p054150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAGSSGGGPGYSHKDWPKHFPPIPRNQFQKSGNQSVYEVKETKTARVARIDTPGCTVSSLTYWIDGRNLHFFAGEPDMPEYGYDGRKYGGTMVFDPVAYDMEKARAKLVNGVLWITVPKIQRVNAKAVTIEKKVLNFKTTVDDMFQRYGPKGFMEIKVLRNDNLYVRVDLPGVPDDAIRYRVDAVRQKVVFFSGEETLRAGDKVDDVREYSGTAGLGCDCCEITGVEAKMKDGVLRMILSRVKVKDHDNKCSHFLPPNTGKSGRYDIGDSAIDMIEVEDHPFVVKGRKGTFTSARTSDGCYHFSVDLPGVSSDDIFVLPNENEFKFIAENKIVYEHDESCRIFIGAVNKRTCCSHGIPLLDHNIAWDAEFGVLKVRVSPPPLNNNDQ >A07p050860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27082427:27084658:-1 gene:A07p050860.1_BraROA transcript:A07p050860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTPLLNSTSLSPLRFPNFSSPSPFAGSCRFLNRNLGSSLSPTVNRSGGAIMAAASMQGVVTEAMNLIQTASPTWKSAVANNVLIFVLGSPLLVTGLSASGIAAAFLLGTLTWRAYGSAGFLLVAVYFVLGTAATKVKMSEKEAQGVAEKKKGRRGPRSVIGSSAAGCVCAILSIYQVGGSAFSQLFRLGFVSSFCTKVSDTVSSEIGKAFGKTTYLATTFKIVPRGTEGAMSVEGTLAGLLASFFLASVGCFLGQITPPEAAVCVLASQIANLGESIIGASFQDKEGFKWLNNDVVNVINISLGSIVAILMQQFILQNWVK >A08g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17834033:17835009:-1 gene:A08g509180.1_BraROA transcript:A08g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRETEVVLRLCIVFLLLLTSVLIGLDSQTKEIAYMHKKVTFRYLFALEVELYIDVVVAVYNMVQLGLGLYGVAQKTSNSKWLSYILDQTAVYVVFSGASAAAQHSLLVVTGSRELQWMKWCYKFTRFCFQIGSAIVLNYIAVALMVILSFLSAFNLFRLYSPKRYFQFKSSS >A05p054420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32071183:32079798:1 gene:A05p054420.1_BraROA transcript:A05p054420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERLWDLSKPMDVALLDSTVDVFYATGSKEERAAADNILRDLKANPDTWLQVTHILQNTRSTHTKYFALQVLEGVIKYRWKALPVVQRDGMKIYISDVIVELSKNEASFRSERLYINKLNLILVQILKHEWPANWRSFIPDLVNAAKTSESICENSMAILKLLSEEIFNFSRGEMIQRKINDLKDEFKLIHELCLYVLSASKRPALIRATLSALHAYLSWIPLSYIFQSPLMENLLKFFPVPAYRDLTLQCLSKVAALKYEEHYRNQVVQMYMIFMKHLEGMLPFNINIPEAYSAGSTEEQASLLRANILYFTLHINILETTSETIPFLLAGLEYLIKISYVDDTEVFKVCCDYWHLLVSELFTSGQRMVFHPPTVGSIEPEITTPKILYSDQLSKLRGLMITRMAKPEEVLIVEDENGNIVRETMKDSDVLLQYKIMRETLIFLTHFDHDDTERQMLSKLSKQINKEEWTWNNLSTLCWAIGSISGSMDVATEDRFLVKVIRALLSFSGIMKGNDNKDVIASNIMYVFGQYPRFLKGNWQYMMVIVKKLFDFMHSTQPGVKDMACDTFLKIAQQCKHIFLVVQVGKQEPFVSELLESLTTTIRHLEPHQIQTFYESVACIIQAESNPQKIGEYIERLMALPNQRWAEIIVEARQNVDSLIDPDVIHDVLTILQTNTRVAASVGKYFLSQISLIFLNTLNIYKMYSELVSSSIGDSGPCASRISVIKLLRSVKREILNLIKTFLDKNEKNPYIGKHFVPPIMSIILADYARNVPDARESEVLSLFETIINQYKFAMQDDVPRIFESVFHCTLEMITKNFEDYPEHRLKFFLLLRAIATSCFRALLQLSSEVGDSGLYLVVQLKLVMDSVIWAFRHTERNIAETGLKLLLVLLKKFQKSAFSNQFYRTYFMQIEQEIIAVLTDTFHKPEFYWHVFVLQRLFQLVESGALTEPLWDASTVPQQYPNNAAFVCAHTTNLLSSSFPNISVPEVTEFVKGLYELRDHPVPFKNNVRDFLIRSKEFSAQDNKDLYAGPIASDENPDEMKKKREIVIEDHRLLGVDMGQTFRKLFDTFFGNQEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQEIIKDPFMLSSVILVFANKQDMRGAMSPREVCEGLGLLDLKNRKWHIQGTCALQGDGLYEGLDWLSSTLKEVRAAGFSSAGPLF >A10g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8167065:8172703:-1 gene:A10g503140.1_BraROA transcript:A10g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFENCSSSDLYVLAEEIKKETFELDTFSINPYSFVCASAYDTAWLAMIEDLCGVNTQQPLFRGCIDWILSNQNVVEGLWGNHGDENEGETLTSTLACVVALRKWNIGSLHISKGKRYIERSMERIIGEYCKRGSYPRWLVLMFTGLLELAQQLGLHFFFSTRVKQMINDLFFRRQEILHQELLVDDRCNRQPLLAYLEVLPLTLYAENQEDIIGKLDDLDGSLFQSPSATAAAFMLSRHTKCLAYLQSLLQRCPHGVPQIYPLSEEFMKLSLINIMHNFGLGEYFSKEIDHFLFQIHKSYESEDVERMPIWSKVDQLHKDSLKFRLLRMNGYNVLPESFCWFLNDEEIQNHLKTNIEHLFLVMLSVYRATDLIFPEESELEKAKELSRKFLEKNEFMDEKMVSSSHIKHEMSTPWMARLKHLDHRMWIEDRDSNVISIGKASSIRIHADKLTHLASRNFELRQAVYRREMEDLTKWVKKWGLSDIGFGREKTTYCYFAASSSTSLPFESAANVRKLMAKSGILITVADDFFDEEGSPDELEALTEAVQRWEGKELKGYGKIIFKALDNHLKETAETYRNQHGTDITNHFRNIWGETFESWLCEAKWSKKGHIPSMEEYLRNGIISTAAHTIVLPISCLMESCFPQHKLKPGNYDNITTLLMTITRLLNDLQSYQKEREQGKINSVLLNMRSHCSFKIEDSIAYIEKIIESKRREFVEYVLMDELSDLPKPCKDIHMSVCKIFDMFFNKKNRYDSDTEMLQDIKKALYDPVNIRRLS >A06g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20204398:20204858:1 gene:A06g507300.1_BraROA transcript:A06g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAVCTKTTIGRCSTTSKQRLCKEGSVNANRLSICRERFPKGSVYKMSGFDVTQNFRLSDAPSPYLLESVKQIPNDFFLIPYVRPVTYTGEHQQTTT >A01p055260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31277529:31282683:-1 gene:A01p055260.1_BraROA transcript:A01p055260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQKLKKPCSSAKLILQEIIGLTTKNANGLASASCSSKCVYLAGCVVVVYDVDACTQSHLVVSHRVPKTLSCVEVSHNGRFVAAGESSNLSSVLIWDCESLGLVAELKGHLYGAQCLSFSPNGEYLVSVGGYVYLWDWRKSVLLAKVKASSNSSDVTSLAFSSNGKLIVTSGNKHLKYWRVGSFQRKRSNKVGSLALHGRPTDSGFQKENSFVSVVSANRVKSCGSDERGEEVMSIYALTDAGVLMLMSCDMLIKKSVNLKVEKCFALSASSKLIACACNEGTIQLFTPETLEYAATIHFSDARKSDTENHSHSEELRNTESQPVIYPDAVACQFSTTDKLVVIYGDHSLYVWDVNDVNKPTRCSMMISHSAGIRDIKNLSCGNLHSPTSACVARGCSEGVSFTTCSEDGTIRLWDLDLQMDPLEANAGSKASESESQGTMHLASAGIFERELVETCGTTYGFRALAVSEDGKYLAAGDCGGNLHIYDLQESEYTCFTDAHESEIQSLSFSFPGLKDVNCENASSSEFLLASGGKGGAIHVYDVKRNFDPVGSVSVSAAVKSVKFACNGRKILTSGADRLHLFDVVRKESNVHISPSKSQTPSHGTIHDMAVDPTSGLVVTVGQDKKINIFDIESGKIVRSFKQNRDHGDPIKVILDPSCSYLACSYSDRTICLLDFVTGELVAQATGHGEAVTGVIFLPDCKHIISVAGDGCIFVWKLPLQMVTRMTRAVNENDSLAPVTMAQPVKFMQIVGYVEEDDQNADQIQQLSPWTSSFKFSVSRLPKWAQAKVETYGIATTCKDSVSNQKHEDKALVNVVNIAEDYSSTNLECQTPKQGSRTGKSCLGSLSKSSSDSETSVPQGDAPSHRKETRWNTIYNVCLDLLNSPNIQTSLIKQQKPEKFCTKGSAEQGDMLKQFDNSLSMIEEVETEKTSQQRRYSTQFVLRKDYIGGTKQFLRTPSQKSGYKILRSIQEHLPLDTVNDQSSQSSEDHPEQDKASSEVFRETVADDSLQERITSCRQALNSLKAAASVLVQSMSELSTASPRDRISGELRDQLFDEAALMMPEMSQKVNEIVAKMMLEHKNTKQSDG >A04p019370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11603539:11604909:-1 gene:A04p019370.1_BraROA transcript:A04p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPATAHEPNVISINVGGRIFQTTKQTLTLAGTDSLLSQLATESTTRFVDRDPGLFSVLLYILRTGNLPARSASFDVRDLIEESRYYGIEPFLIDSLSNPSQFEAFDLRKSRILQLNGRDSPSTIAPTSNGGGGLHVAHGSKITSFDWSLRRKSTVLTSFSAVDSLLEISPGVLAAGATDFPGLQIIDLENGGNVRTTLNWETVASSTVQGVGSSSEFLFASFESSRRNSNSIMVYDLSTLLPLLELDRCDVYGAGNDSAIPSNKLRWVESCNLLMVSGSHASPSGVSGHVRFWDVRSRSMVCEIKEREDCFSDVAVSENLSAVFKVGVVSGEVFYMDLRGLGGKDQPWVCLGEEKKRGLNERKGAGCKIESYGNHVFCSKGSGIELWSEVITGLVGNASRDVLEERVFRKNSFAAGSGGNKITGLAFGGSRMFVTRKDQQSIEVWQSPSRGVSM >A08p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18691835:18693604:1 gene:A08p030530.1_BraROA transcript:A08p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKLLSLLLLFVVVSLASGDESTTINNHLNLPSDGSWRTDEEVRSIYLQWCAEHGKTSNNNGIINQQDERFNIFKDNLRFIDLHNENNKNATYKLGLTIFADLTNDEYRRLYLGARTESVRRITKAKNVNIKYSAAVNDVEVPETVDWRQKGAVNAIKNQGTCGSCWAFSTAAAVEGINKIVTGELISLSEQELVDCDRSYNQGCNGGLMDYAFQFIMKNGGLNTEQDYPYRGSNGKCNSLLKNSKAVTIDGYEDVPTEDETALKRAVSYQPVSVAIEAGGRVFQHYQSGIFTGKCGTNLDHAVVAVGYGSENGIDYWIVRNSWGTRWGEDGYIRMERNLARSKSGKCGIAVEASYPVKYSPNPVRGTSSV >A01p040190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15961957:15963181:-1 gene:A01p040190.1_BraROA transcript:A01p040190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRIFINQDDDDDDMATSKKVITREEWEKKLNAVKLRKQDMNTLVMNFLVTEGYVDAAAKFQRESGTKQTAEIDLATITDRMAVKKAVQNGHVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRQGKTEEALEFAQEELAPRGEENQAFLQELEKTVALLVFEDASNSPVKDLLDISHRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAVYPHINDLSTGQLEDPPE >A07p014610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9083257:9083756:-1 gene:A07p014610.1_BraROA transcript:A07p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLARFITEVAPPQVVTVMRRRTAKVLDTIKEEEREVGTDHSMFSSSLTSKVSPFTSPCSASSSSASFSSGRTYFPVTDNRSSFPFVYVNLDFPVSSGDSVDQNRISIVKPKPVNSNVCGSGRDFVRFPCIV >A09p068000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53459237:53459991:-1 gene:A09p068000.1_BraROA transcript:A09p068000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSHCREPKDCSLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASRKGEETGDATHTHH >A05p051910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30101337:30104258:1 gene:A05p051910.1_BraROA transcript:A05p051910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSFLKLRNSPALRSAASSARIGVSSRAFSKLSEGTDITSAAPGVSLQKARSWDEGVSSKFSTTPLSDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKSHMDKFKAKGIDSVICVSVNDPYTINGWAEKIGAKDAIEFYGDFDGKFHKSLGLDKDLSAALLGPRSERWSAYVEDGKVKAVNVEEAPSDFKVTGAEPIYQKPKERKVDSKPSRSSLSPSSPAPYLRPGEASRLSPTATRRRVVRVMKKLISLVRNLHSRQCQPKLNWSAQVRFLQQDTVSKPKPKKYKYPSFYDPYGPRPQPSSKIVELAERIAALSPEERKQIGPALNEHLRLPKQQMISTQGMSVGAKQEAGGAKVEEKKEKTSFDVKLEKFDTAAKIKVIKEVRAFTSLGLKEAKELVEKVPVVIKQGLTKEEANGIIEKIKAVGGVAVME >A09g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2425530:2426922:1 gene:A09g500610.1_BraROA transcript:A09g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGDAFSRWRWSLSSTLSSAFIPLFAAHFKFQMLRSRSLLQTEERDTRRSLCRRHELRLLVFNVVLPTALPTCSVCSPAMSREESLATVVLRFTGTFPGELHSGCRRKSYTVDLSHVLQLIMELSSQRSSSCFPSPGSETAKLPHVAPLYSYGSRVQGNSSSDPNLIGRSSPSSPPSELPFPLACPFLNGGSAYHHRTSLSTSGFDGHSACSGELVSPPSMSTAPSPTFNFDQRRLELCRRLLQNQRDMCLSGPGPILFSKLLRCFDGFFGINKLRLLQYHLFWKNFYVGSPTLVWVSSSSSNEESFSQLCLPSMNGDALSDSLLSPCFNLLTGLLLCVAVCTGPESAIETTSVFLVGEGCPSTSLVTISQLSDFVVASSTHSDFVLNSLSTSYGDLSGLISFSILVYDLSSRGCLIPSFLCSP >A09g518990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58172394:58173246:-1 gene:A09g518990.1_BraROA transcript:A09g518990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKARKVLCLYMSYVASVLKDKSSKLMTEARGLDDVVKPEPNRQTSPCAGQRHQTSRSAGEPSRDTARELEPSHALEEDDGNGESNANTGVALEWSGSLIHREACSVGSNLKPSAARKELRCPLVSTRERFKWCWFHVGATTQVDISCCGFSHVLAMFVSENNKDSQEISEKG >A09p031650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19163446:19165083:1 gene:A09p031650.1_BraROA transcript:A09p031650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYMTRRDTLVREKVKEGGDDCELDLLYLVHSDISSLLHQIDELVVKATKLKTLSKQEVESFRSVLSHMHSSLKPWFPRLQAAISSSHLLSKELEEQSLMRSASKEELYDVESPEPTGFEPLVSPSPLVAWRCGDHNPDKGRQLFMLTPLPLRKSEFLKSKLKSKRVFPNPAATQPLQASKDTSDCGLGVESMKAAGLGNSLVPVMDLSESLVESEPLPSVKIHSELLMTPCLKMSPPKTRTLFQPVPQRGKQEACKSTCSELGASTFNGSQSSESSGIDETDDLYSKYPELMGLKHAPIARKTDLEASPVWWFSPPKTSVLLEPPVNEKIPTDETGGSTTVSSFAFTNVKPEAAHTKEASRSMVVESTPLMKEAESVMTKNRPRAGESTLKKELWTRFEEASMHENRFSSMTTTMRGNKKKGFMEMLEEVCGNEEHELN >A03p046270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19491135:19493451:-1 gene:A03p046270.1_BraROA transcript:A03p046270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLVSCRTEFGPSQPLKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFAKTGQVPDPSSTDNPEFQIVLSIIKDGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFCTTTGNKDIIMVDHMRKMKTNAIVCNIGHFDNEIDMQGLETFPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKDQSDYVSIPIEGPYKPGHYRPFESVLGLLWLRNVRYWSSEEVDQIF >A08g502640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5546212:5547552:1 gene:A08g502640.1_BraROA transcript:A08g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRSCFLKPSLSASLSLWIIFLSTLNSRAFTVTDPHCFSGSSPDEPFSKDSYLSKPMSIESVSSLWRSVPQSGTLSAQEDLPVAATLLLTCSNSWISLRPDLKILWNLPCSLSFGMIVTLSTEKICSLSLLDGPVIEFLKFWNDTPAMKSPMVSSQSQHLLLLLFSESNENSQCVWSFKPHLVIKDYRLWCYPPCSLHSLRYGNAEDQSCLGIVASDDLSELMEVDKLLSNYDHIISLGVATGLWPEVKVLINQPLIAIITTSFSTTTIHSLKIQKLVSVYPLNLTTLEKFTQPFSRQGRERSLSTSSKERTLPPKFISSREILFWDSVSEKKIFILTVLHSCVKVCLEPVDAAMFISMKFEVMDLYSKPHEKLAHQKSSEEIKVRLFSFSSVMLGLLGKSLDAVSNSFEFVSLSLYRFIGVVEILNLAIRICPKELIYFVVS >A07g509130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26575788:26577206:-1 gene:A07g509130.1_BraROA transcript:A07g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g77170, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77170) UniProtKB/Swiss-Prot;Acc:Q3ECB8] MVFFSSLVCRLNGTTTTKATHYVTVFHLRNHYTTPATDEPFVPISSLSPQDRNKFLAKLLSNSNSLARVRRIHGDIFRSRILDQDPIPFLRNNIMRAYLRHDSPLDAIQLYIDMVRSDVKPDRYTLPIVTKSAVQIHDLPLGKQFHSVAAKLGFARDEFCESGFITLYCKAGKVRDARKVFDENAERKLGSWNAIIGGLNQAARAKEAVEMFVEMKRSGFEPDDFTMVSVTSACGGLGDLGLAFQLHRCVLEAKPEEKSDVMMMNSLVDMYGKCGRMDLASQVFDEMPERNVVSWTSMIMSYAAHGRTVEALEGFRQMREAGVRPNSVTFLGVLSACVHGGLVEEGKSYFETMKSEFGLEPGLSHYGCVVDLLSRDGRLEEAKVVVEGMPMRPSVVVWGCLMGGCEKFGDVEMAEWVAKHMVELEPWNDGVYVVLANVYASREMWKDVERVRKLMKENKLVKVPAYSSTMIL >A01p024310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12010096:12016356:1 gene:A01p024310.1_BraROA transcript:A01p024310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTRRKRRPDPSPPPLISPRVRNIFLLLTFLFLIYILFSYGTFRRDRFSSLARSLSVFPTQRRHLLFSIAASHGSWLSRSSYVRLWYSPESTRAVVFLDRGGFDPDPALPPVTVSEDVSRFPYNFPGGLRSAIRVARVVKETVDRGEDNKDVRWFVFGDDDTVFFVDNLVTVLSKYDHRKWWYVGSNSEFYDQNVRYSFDMAFGGGGFAISASLGKVLAKVLDSCLMRYSHLYGSDSRIFSCVAELGVALTHEPGFHQIDVRGNLFGLLCAHPLSPLVSLHHLDAVDPFFPKTNRTESVARLIRAASFDSARILQQSVCYDSSNTVTVSVVWGYAVQVYEGNKLLPDLLTVQKTFSTWRRGSGVRSNYMFSTREYPRDPCARPLVFFLDSLGSDGRGTWSSYGLHSVGNCHRAEAVEGLRNIRVLSRKLELNGEQRQRGYFGSVFEKVCAGRSVEDIEKWKQALEEVTEILGYESSIWQSEAEMIENVVNDVSNMLNKAAPSRDFDGLVGMENHIAQISSLLSLDSGDDVRMVGIWGPAGIGKTTIARTLCGKLSDSFTHTAFVESIRGSSENDHAFMLHLQEQLLSKIFNRKDLGVVEERLMDKKVLIVLDDVYDLRQIKAMAGKTQWFGCGSRIIITTTDKKLLKAHGSPYVGFEELAMEVTGLAGNLPLGLNVYGSYLRGMSKGEWMHALHRFRTSLDANIEKVIRCDYETLCDKDKDLFLHIACFFKGGRTSNMVEYFSNLNVRWGLQVLAEKSLISIDNGARLVMHNLLEQLGIEIARKEYRDESGSRKCEVDAQEICDVLTDDTGYGSVRGTDHVLTAAIKDEIISIDERANERTLDSLPALSLLPSSSLYEVASIAGYYSRDWENEAEMIENVANDVSNMLTHDMPSNNFDGFVGMENHIMQISSLLSLDSGDDVRMVGIWGPAGIGKTTIARALYRKLYPLEIFCLSAFDQKSPYVGFEELAMEVTQLAGDLPLGLSVFGSYLRGRSEEEWVAALPRFRKSLVPEIKEILRRHARAPDFDRKISHIYRQRCTLGDA >A09p069960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54381834:54383913:-1 gene:A09p069960.1_BraROA transcript:A09p069960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRNLRPASKLPVKLEIVEDFLEEENGPANKRSKLWSNGTSVSLIPPNLLDEPSPLGLSLRKSPSLQDLIQMRLSQSVKKETIANASSVVGTVEKLKASNFPATVLRIGQWEYKSRYEGDLVAKCYFAKHKLVWEVLEQGLKSKIEIQWSDIMALKAICPEDEPGTLTIVLARRPLFYRETNPQPRKHTLWQATSDFTDGQASMNRQHFLQCPPGILNKHIEKLLQCDHRLFCLSREPEMNFDTLFSDTRQSIFEDPSVSGAQSSSEHMSLSHDALSPSSVMDARAIEGGVGARNWNQIHQSISMNDFLAFLSDQANCENNPEFEDMKQLLLSDTQTETSDEKSVMSKVNSFYNLLETAANDGKEIGLDNNNNNKRHNKTEEGSIVLDHASSSMSRKDSFSDLLAHLPRITSLPKFLFNISEEDGDA >A03p069870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30698855:30699432:1 gene:A03p069870.1_BraROA transcript:A03p069870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTVRFLPSPRDATLPGRIDEQEGTTDSTNKGKESRDDHATLGTNYRASKLVDRDLNFWILMLWLLNMLFSLRGITTPALRSSGDIGYGG >A03p032410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13706014:13723133:1 gene:A03p032410.1_BraROA transcript:A03p032410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFSVCRKANPEAAPYLVQRFQFKSIYIFFNFDTKSSILLCAESKNSQETQHDLSLIQWQHLQGEDAPQIGIGSSNIAIEEAVKRVKSILKNISDGEITISAYDTAWVALIDAGDKTPAFPSAVKWIVDNQLSDGSWGDAHLFSYHDRLINTLACVVALRSWNLFPHQSQKGITFFQENIEKLEEENNEHMPIGFEVAFPSLLEIARGKNIDVPYDAPVLKDIYAKKELKLTRIPKEIMHKIATALLHSLEGMRDLDWEKLLKLQCQDGSFLFSPSSTAFAFMQTRDNKCLKYLRNAVERFNGGVPSVFPVDLFERIWIVDRLHRLGISRYFEEEIKECLDYVHRYWTDKGICWARCSHVQDIDDTAMAFRLLRLHGYQVSADVFKNFEKEGEFFCFAGQSNQALTGMFNLYRASQLAFPKEEILKNAKEFSSKYLKHKQDKDELIDKWIIMKDLPGEIGFALEIPWYASLPRVETRFYVEQYGGENDVWIGKTLYRMPYVNNNEYLELAKQDYNSCQALHQLEWDTFQKWYEESRLHECGVCRNGLLECFYLAAATIFEEDRSDERMAWAKSSVLVKAISSSFGESSDSRVSLFDQFHNARRSDHHFNDSFPLEKLKDERPNVKLVAEFTSSLSKFLLFGYINKTEVIELTNECVFVKFSSERLLRGISYASSDDEDAWIVNDDEMEDFIVDQEDFCHSLD >A09g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24172718:24176208:1 gene:A09g508620.1_BraROA transcript:A09g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSPNVLFSYLCFSEEHPQPVCEDSEDSFSETIQLLIVSFPLKVLLNYLKGWVLVRVGLLMRCESIDGGCVVTIDVGLTLSIDVHRHRSTPLGSAETHGAFDFEISFLKVKNSSGHFGNLAWPWFLSLLNPKCRVWCLDIDRRYLCTSIDINLHLSRHFLISIVSTDAHRSIILPLVDL >A02p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:876123:876776:1 gene:A02p002080.1_BraROA transcript:A02p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTKARGLGNNNNMGGGGTGTKCGRWNPTVEQVKLLTDLFKAGLRTPNTDQIQKISTELSAYGKIESKNVFYWFQNHKARERQKRRKISTVDYDHRQDTNLSLSYRHNPCHHQTPPKDTFEGREEEENVIETLQLFPLSKVERANITAASYNEYIREHVNTTVFSTYSSSCGAEMEHPPLDLRLSFL >A03p031750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13416455:13417172:1 gene:A03p031750.1_BraROA transcript:A03p031750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHGLVVKKTEEEWRAILSPEQFRILRQKGTEIPGTGEYDKFFEDGIFSCVGCKTPLYKSSTKFDSGCGWPAFFEGLPGAINRTPDPDGISTEITCAVCDGHLGHVTKGEGYDTPTDERHCVNSVSIIFNPQKPPEEAED >A02p041510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27615437:27617621:-1 gene:A02p041510.1_BraROA transcript:A02p041510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDHHDKMESRRGSGRSLVSEVVSTFVYKAWILRSESKRISQSELAKRPDPFFLLDLLDWFEESKYGWLADDVKRLCELKRKLLNGSVSVDLPQESVTTEDCSGSDASPERYNEEDSSSKTPTDDDNETHLNGVDEEEEAELWRQMAFAQESSKVTVENVQDNDPKQTEDCEHSFIYKDDVGEVCRVCGLIKTPIENIIEVVYYKPKRSRRAYTREQEEETETTRMDFTETHSSSHTNNILGDKMFIHPRHDYEMRPHQIVGFKFLCNNLTSEDPGGCILAHASGSGKTFLLFSFLQSFMAMDPQAKPLIVLPKGIIESWKREFTKWAVENIPLYSVKAESRRQQLKVLREWVEERSILFLGYQQFAKIICDDSINIDDEVSEDCKRILLEKPTLLILDEGHTSRNKETNMLISLARVRTPRKVVLTGTFVFIMADWCTRRTLEGLKLVVKRFIKQVELNIEFVHNTIKAS >A05p022750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10945308:10948322:-1 gene:A05p022750.1_BraROA transcript:A05p022750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDDGEIVAASVDPSLPLEWRFSQVFGERSAGEEVQEVETWKLVCSSAVDVISAIEFDHSGDHLATGDRGGRVVLFERSDVINSSGGARRDLGDTDHPLRHPEFRYKTEFQSHDPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKYWKVQDKKIKKVCEVNSDPSKTGAVASSSNPTACLGNGGVPEAISSLRFPLVTSHESSPVARCRRVYSHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPEKMEDLSEVITSAEFHPTHCNMLAYSSSKGSIRLIDLRQSALCDSHSKLFEEPEAAGPKSFFTEIIASVSDIKFAKEGRYLLSRDYMTLKLWDINMDSGPVSTFQVHEYLKPKLCDLYENDSIFDKFECCISGNGLRAATGSYSNMFRVFGVSPGSTESATLEASRNPMRRHVPVPSRPSRLGSIARVVGRGSENAGGVDGSSNALDYKTKLLHLAWHPNENSIACAAGNSLYMYYA >A09g519300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59766871:59769373:1 gene:A09g519300.1_BraROA transcript:A09g519300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPIKDLGFLFVFLPTWKRKKKENEKSDTSNVVMGEEAITGMAALVLLKFIVHDHDNLFVAADAVHSIRICAACALCCASFVDPSIHLSQHSKQDFLGVICIARSCFSFSSAIVEPFTGHYVFALGVQSSLAVLSGFYRYWTREEDCWWHWDMDCGHQRFSSQRLFKLSFLLIFVITTSKVYSEATCSPASVWSSIITGRLKVKNVKKGRKLMGLDMFLLDEKFLSLKVVVSVSETNPLIIYLRNVEKLLESERFYNLFQILLNKISGPTLLIASRNVFRRKNASLMFNKGRWSCFRLTLTAKHLFMLNFARKKLADDKTAKDYNIEGGSVLHLVLVLSGGVDVLWVVTLSLITV >A10p012690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12242436:12242739:1 gene:A10p012690.1_BraROA transcript:A10p012690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEETYQCAGFRTRPRFTFGFKSAIGSRLIRLVWYESSISPKAIFKPIRSARPKFRIFLI >A09p063530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51554841:51562065:1 gene:A09p063530.1_BraROA transcript:A09p063530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEEELIRRSEPESLVSVTVGRFMSTLLTARPKKLRESISRLSLDSQNGSSGSVDEALWFLRKSVKDAAERDEAMDELLVPIIEHTLRFKDGKHSNPAMILLNWLFQDEVLFQGVSRNLSGIISRKEDRFLALGWCLLIRGLVESEDTGDQGIREKHSMFVEIVSSCVPHLLIIVRKGSVLQDGYEVPSRLSVSAADCLLSVTGALARSNDASANRPKPSATITGSHQPVALIPNISEKKIKQTSRPEDAASETNCILWNHLEELMRLVQCLFAWNRKTRLLHAKGLNKVLKWLEELKEHHGGSQKEAVTEVSAGGALLLSSCWKHYSILLHMEDRKFSKISKELLDQYMSGIKEANNDKMMQYYSESYPQGSSETKTGSIETQKFFLNCLCLLLGRFEGKKFETILSEFGMKLVPCLLHQLRSNNEEISEGVVAIFKEVISKLQSQSGDAMCLDVVIPSLLHLLDERDGAAKAVSVLLADYCSKNADNSCLSEVLQRLVSGTTAQRLNSMDVISEVIFMSKDLFPSHIPWKEIADGLLKCLGDEETYIRKQTSELLKSIEPSFVLPELITLVYSTNGNVQSSATETLLEILEHHKEDSNVVCMSLTCLSNTQALDTSESRGHPTEGSTFDSDRVLKLIPEWARCVQNWGSLIGPLLDKMFLEPSNAIMVRFLSCISEHLADASDMVLLHVLSHMKEQDKMDENSTTIPKSSVDKTYVEKSLFDHLCPLLILRLLPQRVFDDIDSSTIYGRFLREDSVNEYRDIKFEDCQCIAAFLFERAFSKFEFEEVRKLAAELCGRIHPQVLFPTVLLQLEKATELQDSLKIKACLFSICTSLVVRGWESFSHSVTPKVRKVLEKILLWPSDEDEISKVQHGCIDCLALMICAELQHPESSKTMKGEKLRASSSSVLDYTIHCLIEDRSDCSSMPEPNTEHLIREKPFPIPFRLCMANVLISACQKIPQSAKKTFARKALPPLVHSLKFRVKLLNLVQFISAPEVRAACIQVLFSAMYHLKSSLLPFASDLLKLALRFLEQGSEKEKLAGAKLMASLMASEDVVLERISGGLIEARSVLSKASLSDPSQDVREVCDKLLACITPS >A04p022170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13362259:13364318:-1 gene:A04p022170.1_BraROA transcript:A04p022170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFKVESPNVKYTENEIHSVYDYETTEVVHENRNGSYQWIVKPKTVQYDFKTDTRVPKLGVMLVGWGGNNGSTLTAGVIANKEGISWATKDGVQQANYFGSLTQASSIRVGSYNGEEIYAPFKSLLPMVNPDDVVFGGWDISDMNLADAMARARVLDIDLQKQLRPYMEHMVPLPGIYDPDFIAANQGSRANSVIEGTKKEQVDHIIKDMREFKEKNKVDKVVVLWTANTERYSDVVVGLNDTMENLLASVEKNESEISPSTLYAIACVLEGVPFINGSPQNTFVPGLIELAISRNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNGILFEPGEHPDHVVVIKYVPYVADSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFRAKGEGKYHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >A08p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19957523:19958977:-1 gene:A08p033120.1_BraROA transcript:A08p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYNLRKWCVVFVLLGLAFSVTKAQQVPCYFIFGDSLVDNGNNNGLVSFARANYFPYGIDFGGPTGRFSNGRTTVDEIAELLGFNDYIPAYSSVRGRQILTGVNYASAAAGIRDETGRQLGQRISFSGQVRNYQNTVQQVVSLLGGATQAADYLKRCIYSVGMGSNDYLNNYFMPTFYSSSRQFTPEQYANDLISRYSTQLNALYNYGARKFALIGIGAIGCSPNALARSRDSRTCDERINSANQIFNSKLRSLVDQLNNNHPDAKFTYINAYDIFQDMIKNPSRFGFRVTNAGCCGIGRNAGQITCLPGQRPCRDRNAYVFWDAFHPTEAANIVIARRSYKAESPSDAYPMDISGLARL >A02p015340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6819612:6821647:1 gene:A02p015340.1_BraROA transcript:A02p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTLSWQSNNLRINCSMASKTSEGSLTNSSQSMSVNTLADQVSSTLSFADPSNDIKTNNNNKSESGKSSTCRPSTSSDISDESTCSSCSSSINKPHKANDVRWEAIQAVRTKHGGLGLNHFRLLKRLGCGDIGTVHLAELNGTRCYFAMKVMDKTALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQAGKRFTEQAAKFYVAEVLLAMEYLHMLGIVYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSLSIVRSTNLGSEGLSKNSVSCSQQPACIQQPSCISMAPTSCFGPRFFSSKSKKDKKPKTDTNGNHQVTPLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRSLLVKEPQHRLAYKRGATEIKQHAFFEGVNWALVRCASPPEIPKPVEIEPVNYAPPPAAASTSVRSDQSNYLEFDFF >A07p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4036866:4039063:-1 gene:A07p002110.1_BraROA transcript:A07p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLVASYASSDEEEEEEVQPSLTVKSSSSSVFSAIPQPNQSRSSKDEAFNSSSSSFLSSLPPPKSSTSRQQNPSPSLPKRVVQIKLPVNPRPTNLDDEDDEEEEKARKKRRQMESAAAASNNSSVKSFLSAMPAPKSSQALGALPSLGSGSGSGSGRRSILETETPSTDQTQSFSSEAEQVDNYYAGYEQNPSGNVDAFGYGGYEHNSSGSGDVVSAYVGYDGGGGNAWNGGGGFEGTTGVPEAFMAMDSGARRGRRGRNDFPTEIVEVKLDDLMKNRPRVDQVKSTGIAFGPAYQPESSSSKGKVSKLHKRKHQITALFMDMKHKESELAERRSKGLLTKAETQAKYG >A08p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23000556:23003424:1 gene:A08p040310.1_BraROA transcript:A08p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPQFPFEIRSALRRAASSTVYFLRPIPTATPSLRHRTTKLLRPRAFSSSVKLPTKPPLCTADELHYVTVPNSDWRLALWRYFPSPQAPTRNHPLLLLSGVGTNAIGYDLSPGCSFARHMSGEGYETWILEVRGAGLSTRVSDLKDVQDSAHELSRQIESTAKAAAKDAKATDVTDSAPDVSVVGEASASAWDESKIVARLTATFMRLSERLSGFLSEGQSVFMSAKLFDRIAMLLEDSRLYERFLEIRSKLLSLIESRQNSGLGNQIRELTQRLVNLLDDGQRSVSPQLIDLQERLTSTIEDFQKQLDLIVKYDWDFDNYLEEDVPAAIEYVRAQCKPKDGKLLAIGHSMGGILLYAMLSRCAFEGREPCLAAVATLASSLDYTTSDSALKLLIPLADPAQALSVPVVPLGALLAAAYPLSSRPPYVLSWLNDLISATDMMHPEQLEKLVLNNFCTIPAKLLIQLTTAFRAGGLRDRSGKFYYKDHLSRTSVPVLALAGDRDLICPPVAVEDTAKLFPENLVTYKVLGEADGPHYAHYDLVGGRLAVEQVYPCITEFLSHHDSA >A05p043850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26439330:26446631:-1 gene:A05p043850.1_BraROA transcript:A05p043850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHQGKCFGLVLLLCFFSSTLARRVLKDLSMKLDMNHVVKPSLSVQDHEMFNVESEHIVKPDHKLQSMPGEPEEPEDKVMPDRKMQSMPGEPEEPEDKVMPDHKLQSMPGEPEEPEDKIMPDHKLQSMPGEPEEPEDKVMPDHKLQSMPGEPEEPEDKVMPDHELQTMWGELEEPDPKTMLDHKEKPDHKVQSLASEPQDPDQTVKPMGYGEGRGFGNGGGYGEGGGYGESGGYGEEGGYGSGYGEGSGSGEGIGIGVGGDSSGSVPSVVVPSISIPPITVPGAQIPGFMIPGVRVNPGYGSGGSQTGGCTPSVPYYRPPIYQQPPSCSHCAPFVSGQDKYMSDKGTMTEEALAPTSNEMLRLAKETNERTGTASVLCLVMDRILKAARTSGSLNLSNRSLREVPTEVYQCLETTGEGENWWEAVDLQKLILAHNEIEVLREDLKNLACLVVLNVSHNKLSQLPAAIGELTAMKSLDVSFNSISELPEQIGSATSLVKLDCSSNRLKELPESLGRCLDLSDLKASNNQISTLHEDMANCSKLSKLDVEGNKLTALSERQIASWTMLTELNASKNMLVDLPQNIGSLSRLIRLDLHQNKISSLPPSIGGCSSLVEFYLGMNSLSTLPAEIGDLSRLGTLDLRSNQLKEYPVGGCKLKLSYLDLSNNSLTGLHPELGNMTTLKKLVLVGNPLRTLRSTLVNGPTAALLKYLRSRLSNGEETSASTPTKENVIASAARMSISSKELSLEGLNLTAVPSQVWESGEITKVNLSKNSIEELPTQLSSSVSLQTLILSRNKIKDWPGEILKLLPGLVCLKLDNNLLKQIPLDGFQAVSGLQILDISGNAASLSIKEHPKFSHMPQLQELYLSRVQLSEVPEDILNLSNLLILDLSQNSLQSIPKDIKKMTSLKHLDISNNNISSLPPELGLLEPTLEVLRLDGNPLRSSDSQPQTRQHSQQITECKGRGLIKAPKDSANSLSPRQRGSIATKVKILKKSGGIDSLAI >A02p055980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33824480:33824997:1 gene:A02p055980.1_BraROA transcript:A02p055980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTDTNFTISFTNFTTFCLKNERCGRVRIKHRIKSCITQFWILSPDQKQPSRTTLLTHSAPWPSLLSTQEPLQPPHRPWEEASVLASVSADGSVRIFDLRDKEHSTIKSRVEQARLEVHVDDYKVLILDIRLPTMPVAELERHRLMAIRVVLMV >A10g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17994655:17995391:-1 gene:A10g506350.1_BraROA transcript:A10g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKRNSNQKRCYRRLREKSRAFKREKPDQRLGSGVRPARERLCRRRSLSSSFLFVSFCFFCTVPPRSLCLSSGSATNRHRWSDLNVQVLALGERGYPRSVVVNFFSGSGGLLRSTVAGSSFQEGETHLTPPSPAFGHGERRLAKLHAVVFGSLSLFWVFFSFLFGFWVVLVVVLAFAVVRRRQVKKEEFGFEIAMVAMEFSFWCDPAKLQS >A05p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8422365:8422828:-1 gene:A05p018340.1_BraROA transcript:A05p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLSDIHLDSGSVRIIPITQNTTKQDPFGIYIGFGFIFIGSDSVRIIGFVLGYLKTYHGIWQDEPISPYISILCSKIFYGLCKESQFSGSMT >A10p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21960497:21965121:1 gene:A10p039670.1_BraROA transcript:A10p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGARRSNYTLLSQFPDDQVSVSVTGAPPPHYDSSLSSASNNNSGNNGKSKSGFDWPPNRAGNMYSSSLGLQRQSSGSSFGESSLSGDYYVPTLSAAGNEIEMVGFPQDVGLGDSRMQMGMDSAGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDESALRTSPSSAETVSHRFWVNGCLSYYDKVPDGFYMIDGLDPYIWTLCIDLNESGRIPSIESLRAIDSGVDSSLEAILVDRRVDPAFKELHNRVHDISCSCITTKEVVDQLAKLICNRMGGSVIMGEDELVPMWKECINGLKECLKVVVPIGSLSVGLCRHRALLFKVLADIIDLPCRIAKGCKYCNRDDAASCLVRFGLDREYLVDLVGKPGHLWEPDSLLNGPSTISISSPLRFPRPRPVEPAVDFRELAKQYFTDSESLNLVFDPASDDIGFSMFHRGGENDGSAENGGGSVPPGANMPPQNMMRASNQVQDAVPINAPPINQPVLNRANRDLGLDGDDMDIPWCDLNIKEKIGAGSFGTVHRAEWHGSDVAVKILMEQDFHAERVNEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLFRLLHKSGAREQLDERRRLSMAYDVVCDFGLSRLKASTFLSSKSAAGTPEWMAPEVLRDEQSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKNKRLEIPRNLNPQVAAIIEGCWTNEPWKRPSFATIMDLLRPLIKSAVPPPNRLDL >A05g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5885713:5886185:-1 gene:A05g501680.1_BraROA transcript:A05g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMDGWLFDIWESLLKEEAAKFEQLHHKFMKEKTDHLQGLKDTVSKFEEGKERLYMRYEQQSKPTSL >A04g500600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1796634:1796858:-1 gene:A04g500600.1_BraROA transcript:A04g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKYGKNKSGSRRSSTLEPHDNRLTVLGITNGHVDSDLKVTALSAANRSYAPYSHCPTGVALVDCEGRVYRGW >A06p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21745670:21747545:1 gene:A06p040150.1_BraROA transcript:A06p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR1 [Source:Projected from Arabidopsis thaliana (AT5G65890) UniProtKB/Swiss-Prot;Acc:Q9FHP1] MMEIAYQPRIDSEIESLVERINPPSVCVDNDSDPNCTLVKVDSANKYGILLDMVQVLADLDLVISKSYISSDGDWFMDVFHVTDQLGNKLTDRSLILYIQQAICYSRKGGITKEMQSNLKREVQQRHVSTEHTAFEITGIDRPGLLSEISAVLSDIGCHVTAAVAWTHHERAAMVIYLEDGFNGGPIIDPIRKAQVKDHLDIVMEAHQRVGDESRVVVSVVEAKGAPVGWAHTERRLHELMYAEGAYENCFGCDCCSGDRCDALSRGRCERIHVAIEACNGYSMVSVKCRDRPKLLFDTVCALKELQFVVFHAVAGARGSTAEQEYFIRKKNGCTLETEGQRERLRHCLVAAISRRASRGFKLDVRTKNKMGLLSDVTRTVRENGLSITRAEMSTQGENAVGSFYVAGVSGGEKDANAVEAVVRELRGVVVSAVKTVGMVSTRLGSSSDVEEENRAKSSIGRLLWSKLERLSTSIRR >A09p014150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7265778:7270410:-1 gene:A09p014150.1_BraROA transcript:A09p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC1 [Source:Projected from Arabidopsis thaliana (AT2G19950) UniProtKB/TrEMBL;Acc:A0A178VUM2] MASWLKAAEDLFEVVDRRAKSVVEDLSEEQSDLQALASDKKGSQSKRLSSRRKARQKLVKEGSSTKRDLSGDQSGPGVTQSEVAPSKSSVSAEDASSSGPALQRREIQPTDADVLSVQSLPQSAADTKSEDAAVVPSESVVGGDATAGSKNPDGDVPNDSLVQPSPSLPDKDIEVVVSENLVDATKKDTQGEVEDSSKRDLDRLESAVHVSPLGEGDVIRSTSDEAKEKVGTSINLDKKQEQKVADASTNLEIDQDRRAGTASMKIQDQLEEAQGLLKATVSTGQSKEARLARVCAGLSSRLQEIKAENAQLEELLTAEQELTKSYEASIRQLQKDLSASKTEVTKVESSMVEALAAKNTEIEALVSAMDALKNQAALNEGKVSSLQADMESIMRNRELAETRMMQALREELATTERRAEEERSAHNATKMAAMERERELEHRAVDASTALVRIQRIADERTAKVAELEQKVALLEVECTSLNQELQDMEARARRGQKKNPDEPNQVIQIQAWQDEVDRARQGQRDAEEKLSSMEAEMQKVRVEMAAMKRDAEHYSRQEHTELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKEVKRLQEAQVEVEKSRVPRRPSTTWEEDSEIKTLEYVSSTVSPTHGYSEDTVAKRSETVRLRSCKGHEVPLAVPDSSDSPAFLPYLCSSLLDVSNTPATGASGSRRSRGFNGPDQQRFQTMTGSIRRSMNGVFK >A01g511550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34028028:34030340:1 gene:A01g511550.1_BraROA transcript:A01g511550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDGALLNDTACKIRDPSREERKKEWEQRDFAVLQLARLVFFFLFRKGKAKIPFFDLFLHKDNILPASLLKKGHGNIVNLMAYATGVTPASRSIFPICSRALLFRLRVASILESSPSTFFTRVQAHQLFSTSRAPLFSSVKCSTSSLETAASRPNVVDILEERGLLESITSENLRSACSDPSVAPTAGHQAVGLIGDPSGKSLERPELDALTLEKNIAGIKNILVKILGGNARLLCDFLITTMLDFLKNVGRFARVGQMMAKESVKKRLGSEQAGTDLIRKILQTEEDGAVWLSPSMLSPYKFYQYFFSR >A01p055400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31174568:31177643:1 gene:A01p055400.1_BraROA transcript:A01p055400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 8 [Source:Projected from Arabidopsis thaliana (AT3G08790) UniProtKB/Swiss-Prot;Acc:Q9C9Y1] MVHPLVDRATSDMLIGPDWAMNLEICDMLNHEPGQYREVVSGVKKRLASRTTKVQLLALTLLETMINNCGELIHMQLAEKDILHKMVKMVKRKPNIQVKEKILILIDTWQESFSGPQGRHPQYYAAYQELLRAGIVFPQRPPQPTVSSGQTGPSTMYNQNARNARQEANDTSTESEFPTLSLTEIQNAKGIMDVLAEMLNAIDENNKEGLKQEVIVDLVSQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRLLAKHESIASGNPTPVKGEKSKKEVAKEANQIIDVGSSSETKDGSIVADAPNGPKIDLLSGDDFETPNGENSLALVPLGPAQPSSPVPTPDNSMVLIDMLSDNNCESSTPTSSPHSHNQMVQQQNYSNGFGQGSSGPVWNLHITQQPSSPAYGNQNQPFSPTFSPPISPHYGGQNNNALALPPPPWEAQSPSSSPHYSPTHPMQVTQVVITTHTHQPLGYNPQGGSPYAINNNNNMFGMLPPPMTGGHMQPLGHHNPAAMYGGYGGQPQPPQQYFGEQQMYGGYGGQPQLSQEQLVEQQMYGMSLQDNGASNANPYQVSSHPSGLNYQQPMMKPVNKKPEDKLFGDLVELSKSKKPTERAGSM >A02g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8126566:8127340:1 gene:A02g502470.1_BraROA transcript:A02g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSFNIMKKAQLGPFQNITRNNRPNKRARLPKRKPDEEGTDSLPTACTNAQHPETRVKARVSHLSPALQRRGDGLPPSVELKPMKKETQTEPSSRGRKRQTRPRKESRLGRRRTETPVRLNRDNPVEKPPSTRKTRDAEPKAGDTELRTTPHPRVKIQPFSAGEERENAGDESRSECD >A07g507770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:21592657:21593967:-1 gene:A07g507770.1_BraROA transcript:A07g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVRKNYDNCCTLSFLLVFLVLILLRPVFSINILSSTEYLTISGNGTLVSPGDVFELGFFRTTSSSRWYLGIWYKKVYFRTYVWVANRDNPLSRSIGTLRISNMNLVLLDHSNKSVWSTNLTRGNERSPVVAELLANGNFVMRDSNNNDASGFLWQSFDFPTDTLLPEMKLGYDLKTGLNRFLTAWRNSDDPSSGDYSYKLENRELPEFYLLKSGFQVHRSGPWNGVRFSGIPENQKLSYMVYNFTENSEEVAYTFRMTNNSFYSRLKVSSDGYLQRLTLIPISIAWNLFWSSPVDIRCDMFRVCGPYAYCDGNTSPLCNCIQGFDPWNLQQWDIGEPAGGCVRRTLLSCSDDGFTKMKKMKLPDTRLAIVDRSIGLKECEKRCLSDCNCTAFANADIRNGGTGCVIWTGHLQDIRTYYDEGQDLYVRLAADDLG >A10p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19565827:19567754:-1 gene:A10p033400.1_BraROA transcript:A10p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFTSFRRILPLNPTSHHHFLLHKFLSSHRSSPRIPIQRLQQPPASPDSTRHQLTVPDFDLATISNLLENHNVIPGSSLESALNETGIEPSYQLVQALFDRLSSSPMLIHSVFKWADTRPGITLSPPLFNSVINAMCKARDFESAWSLIFDRARNNKGSELVTADTFTVLIRRYARAGMVQQAIKAFEYSTSYYDTELKLLEVLLDALCKEGHVTEASMYMERRRRMDSNWIPSVRIFNILLNGWFRARKLKQAENLWGEMKEMNVKPSVVTYGTLIEGYCRMRRVEVALEVLEQMKLEELEMSYMVFNPIIDGLGEAGRLQEALGMMERFFVCVSGPTIVTYNSLVKNFCKAGDLLGASKILKMMMNRGVDPTPTTYNHFFKYFSKHNKTEEGMNLYFKLIEAGHSPDRFTYHLIMKMLCEDGKLSLAMQVDKEMKNRGIDPDLLTTTMLIHLLCRLDMFEEAFEEFEKVVRRGIIPHCESNELITGLRWAIWTLGYTSI >A05g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9550125:9550914:-1 gene:A05g503270.1_BraROA transcript:A05g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVPISTSDTIYFNLLLNNQRYRLTDQPYIINIKETTTITLIQENIPPIPSYIFRPQRYTQLIRLASETNFLPDVVGRICLIQGSDLYNHYTDSKIIIGLRLDRSKLVRLTLWDKEASNFRELHRISTRKNHVVIITSIIPRIHEGKLSLTATPGTRFYFNNEIDIIQRFQKTNKLLS >A03g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14459639:14461262:-1 gene:A03g504060.1_BraROA transcript:A03g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNFGNDVDGSSRILLNEPRGSTAQVLLFGGQVISWKNERREELLFMSSKAQYLPPKIIRGGIPVCFPQFGNFGGLERHGFARNRFWALDDDPSPLPPANKQSSVDLILKSTEDDLKIWPHSFELRVRISISLGKLTVIPRVRNIDSKPFSFMFALRNYLHVSDISEVRVEGLETLDYLDNLKGKERFTEQADAITFDGEIDRVYLNTPTKIAVIDHERKRTIELRKEGMPNAVVWNPWDKKAKSIADMGDEDYMTMLCVDSGAIEPQVLLKPGEEWKGRQELSIVSSSYCSGQLDPRKVLYGD >A09p068630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53705467:53706512:1 gene:A09p068630.1_BraROA transcript:A09p068630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTDTAPVFDLNDFPRDIDQEDSDCYIFSAPLSSRGSTKREAISVESYDRDRSLRIKSEPPIFIDLDQYNGGEEEDDDDLIILRFPPPARKFLEKGQSSNSKPPRRRPLTFFDCEICVESKPTVESFRISGCPHSYCSDCVSRYIAAKLQDNLLSIGCPVSGCTGRLEPDQCRPILPREVFDRWGDALCEAVVMRSKRFYCPYKDCSALVFLDEGQDGGNMKESECPHCNRMVCAACGTQWHPEITCEEFQKLADNERGRDDILLKKMAESHKWARCPSCKFYIEKSQGCLYMKCRCGLAFCYNCGTPSRDHTHYCYSCKR >A03p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21943809:21946050:1 gene:A03p048380.1_BraROA transcript:A03p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIRTEVRRGKPKKKKKSQEEKKKVMRVEEEDSMVPQGNEADGEMVLDTASSQHQEEKLVIGYALTSKKKQSFLQPKLEVLARKKGISFVSIDQDKPLSEQGPFDVVLHKLLGNEWHDVIQDYQQKHPEVTVLDPPGAIQRIYNRQSMLQGLADLNLAECDGMICVPKQMVVLQDTTSSGNEVAKAGLKFPLVAKPLLVDGTAKSHQLFLAYDCLSLAELEPPLVLQEFVNHGGVLFKVFVVGDVIRVVRRFSLPNVSNVEKEKVAGVFQFPRVSSAAASVDKTDLDPRVAELPPKPLLEELVRELRSRLGLRLFNIDMIREHGSQDVFYVIDINYFPGKVWKDARLRAGVH >A10p015650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3511032:3512361:1 gene:A10p015650.1_BraROA transcript:A10p015650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRIPSELSIKKMDQPDPRNASGLILSVTEPLRSFLASACDDRRLSEELRDIASDLRSRNTVPYNLLRAIWTGSDPSTRPALLGLFSGSGFVFTSPKPREKSEELKLRLLKLREIAERKEYAELVRDITPRKQVEEPFSSYKDQLGFGLHVGLTMFTGYLVGYASFRALFNRNPALSAAGGILGLVLAMLVETLLFIIKTSKDDQIQSSKSSSSSSSSSSSFTPTIKKNQ >A02g512200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33133062:33134458:1 gene:A02g512200.1_BraROA transcript:A02g512200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKRTRKIVSSLVELYPSFSAEISSVLLEFLLKALDSSRSAELENQSGQDIRVFLDVWKPVIMVLSNREPELLLTLLTSVLDMIQNNEQRRYETDVNLTDKSAEEVSQAEQLPCLFAWLVGLLTVSKHFQRNSSLEVTPPSAFLMELIRKRLVLGALGHELVLKSGCVLGDIVGGRVLKEKLKSLPLMDKSFTSVPSKQSSPTTLLEQQEKNLRSAGKRLESVKLQLSKKKGNETEKANKRWRKARTWSTCPIAQGNINVKRSAECNTQQLENLTFKRARKSTEDHNSNEVTLEAHEEEAEMDKKQTEEETEIESEGNLMSEDEEEGIGYLRIGDV >A09p079440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58482995:58483707:-1 gene:A09p079440.1_BraROA transcript:A09p079440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTPFLSIFLFFLITVSADPDMLQDLCVADLSSGIKVNGFPCKDAANVTSLDFFSQALANPGLTNNTFRALVTGANVMNLPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEVVYVLEGTLDVGFLTTANKLISRSLKKGDVFAFPKGLVHFQKNNGDVPASVIAAFNSQLPGTQSLGATLFGSTPPVPDEILAQAFQTSSGTVKHIKTRFQPKK >A06p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1827480:1828149:-1 gene:A06p005650.1_BraROA transcript:A06p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNVIKPAYAYTVVYVRDVAKSVEFYSRAFGYNVRRLDESHRWGELESGQTTIAFTPLHQHETDDLTGKVQSSTRSERERAPLEVCFCYADVDAAFKRAVENGAVAVSEPEDKEWGQKVGYVRDIDGIVVRIGSHVK >A05g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11244389:11244954:1 gene:A05g504020.1_BraROA transcript:A05g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKLKSEKPAYHIKKRSNGLKIEKTSGKLDRYIFIEHTNVFKSKRLEWVWSVLVWEKGMNFMQQEIYYERETREKTPSEDFLEVVWKTSWKSSGSLLAQKSSRSEKLVFLDLRKPAYQKTFKWVKNKEHEWKIR >A07p029810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16685587:16691249:-1 gene:A07p029810.1_BraROA transcript:A07p029810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTGEFRARSDGGERCREATGLESLHLGDELRQLPPDNVGGFTALLELPPTQAVNLLRFTDSPSSSSQAAAVTGIAPPPLHSYGTLTFPSNSLLMERAARFSTEHQQNGNVSGTSSVPSNSSVKTEPAETDSSQAVNNRSGKRKDSDKKAKSSTKKKKKNKSSEENENLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCDKIQGTALVLDEIINHVQSLQRQVEMLSMRLASVNPRVDFNLDTILASENGSLMDGSFNGTAMQVAWPHQVTETEQSYHHRQLQQPQQWPFDGLNQRAWGKEEDQDHGQ >A07p051730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27419672:27424247:1 gene:A07p051730.1_BraROA transcript:A07p051730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NUDT3 [Source:Projected from Arabidopsis thaliana (AT1G79690) UniProtKB/TrEMBL;Acc:A0A178WDC8] MVEEHFDVLTNSGEKTEVIWTIANVYVFPWSKVHQDGDYHRAVNVWIFVESTQELVLQRRSDDKDSWPGRWDISSAGHISAGDPSLISAQRELEEELGIKLPKDAFEKLFVFLQECVLNDGKFINNEFNDVYLVTILHPIPLEAFTLQKEEVSAVKYIHYEEYRNLLSKKDPAYVPYDLNGYGKLFHIIRQRCQVNTEARSLSLQKQLQRYSPVTLEANLTELSEADQKALGLILKAAKVIDDIFYEQLWHSNPALRDWLKEHANASELDTLKWKYFLINKSPWSSLDENEPYLSTADSAAKFLPEATKPIDGWKGIEYRAAFPLTKPPGGNFYPPDMDKMEFTSWLSSLTEEQKRAATGFFSVIKRRSEANLDASLSDHLSDSNSDLYSSPYSEIYQPFLTKASELLHKAGDLVTSQSLKKLLHSKAEAFLSNDYYESDIAWMDLDSKLDITIGPYETYEDEMFGYKASFEAFIGIRDDKATADLKLFGDNLKLLEDNLPLDSVYKSKDVSAAPIRVIQLIYNSGDVNGPQTVAFNLPNDEKIVKERGTSMVMLKNVQEAKFEHILKPIAEIIISKEQRGFVDFDSFFTHTICHECCHGIGPHSITLPDGRTSSVRKELQDVYSAVEEAKADIVGLWALKFLITKGLLSKSMEESMYVSFLAGCFRSIRFGLTEAHGKGQALQFNWLYEKGAFVFHKDSTFSVDFTKIEDAVESLSREILTIQGRGDKNAATLLLNKYCTITGPLKTALEKLESVKVPVDISPTFPLAKTLLN >A09g517190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50471056:50474293:1 gene:A09g517190.1_BraROA transcript:A09g517190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGRVEELERPTGARATSCTGVVHPLRAQHVVDNFPDVERPEGQEELCFINANGTWYKKEPNFQYQNNYQQRPLYNNQQGGYQANQSPQTQGSSSQAQAPDSSVDSMFKQLLEFQARNEKTMIYDFKNIHAKIDGNYSDLNNKPPS >A05p012420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5382597:5382896:-1 gene:A05p012420.1_BraROA transcript:A05p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTTLALTLVLIFHLTPEITEARHLNDQKPSDEMKYLFPPGLPFTGVPPLPSLFPPFPGNVPRFPFPLPSQSSPPVPLPGFPGVTFPPLPFLTPPPE >A03p062700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27205567:27206957:-1 gene:A03p062700.1_BraROA transcript:A03p062700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPPAIILAALCIVLQLLNISSASVIPNRHVSNAHWLPAVATWYGSANGDGSDGGACGYGTLVDVKPFHARVGAVNPILFKNGEGCGACYKVRCLDRSICSRRAVTVIVTDECPGCSKTNTHFDLSGAAFGRLAISGESGPLRNRGLIPVIYRRTACKYRRKNIAFHVNEGSTDFWLSLLVEFEEGEGDIGSMHIRQAGAREWLEMKHVWGANWCIIGGPLKGPFSVKITTLSAGRTLSATDVVPRNWVPKATYTSRLNFSPVL >A08g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18661183:18668835:1 gene:A08g509420.1_BraROA transcript:A08g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIIVFRETEAKMIEVIAIDVSNKLNNFAPSSDFNGLIGMESYTTEMEPLLQLDSNEVRKIGILGPLGIGKTTIARYLFNQHSQDFQLSVFMDNIRRNYQAAAAFSDDYSRLPLGLRVMGSYFRGMSEQDWIEALPRLRTHLARGGEIARILEFSYDALRDEDKSLFLHIACFFHYEKVDIVEGYLEKCYLDVRHGLHVLAEKSLISIDSGRTYMSNLLIQLGRKIMREQSDSEPGNRQFLNDAIDVGEGLIDDKAGISSVIGRDLDEKITCASERAFIRLSNLQFLRTKRDGVNLQSMNFISPKLRVLSSPMFHRTCFHSSFNPTFQVKLEMPFSKLEKLWGETKPLNLKWMELSYTNCLKELPDFSTATNLYELDLTHSSSLVKLSSSIGNAINLQNLNLSHCSDLVEIPSSIITNLKSLDFYGYSSLVEVPFNIEEVIDSIYINLSYCSSMVEVPSSTENAVNLQELNLNDCSSLVEIPFSIGNAFYLQKLNMSYCASLVELPSSTGNTSNFEEHDLDHCRVELPSSMRKLGGLSELELKECSKLEVLLASINLESLREVNISDWSLLKSYPESSTDVQKTDPWIGRISGLGKLVQSGMEKLESLPPLPDSLWELDADNGVSLERLGSSFSNPDINLSFLNYFKLNQEEKDLIIQTPSNEYAVFPGGEVPQCFTYRSSGSSLTVKLNQMPIGTSTKFKACILCAGEDEKSFTEWERASVCCSITTSGGIALSSCLKTIEQFLPGTLYTFEFEVETDELTSTELVFEFEVDYADLIKKGKTLEIKECGIMLVNMIESFGDDNSSGAINGARGGFDVFVSFEASNTISIVMNLKQTLKIEQCNVSIISSTSTLENEAELIEYIATDVSNKLNLSAPCSDFDGLVGMESRMAEMRQVLQLDSDEVRKIGILGPPGIGKTTIARSLFNRHSQDFQLSVFMDNIKRKFVIMACSDDYSVKLDFQKHKSGLIMMSTLLFQLGRQIVQKESVSEPGKRQFLNDASDIGEVLSDDKAGNSSVIGIDLEWNKDITWTSERAFERLSNLQFIRILGKGVNPLSMNYISRKLKVLIWPMFPMPCFPSRFNPEFLVNLFVRNSNLEKLWEENKPLKNIKWMDLALSRRLKELPDLSTAINLYYLDLSYCSSLVKLPSSIGNATNLEKLSLNYCSSLVELPSSIGNAINLKTLSLKGCSSMVKLPSSIWNIVNLEELNLENCSNLVELPSLLRSETEKCTKSDCSRGSGKIIDRLPIIAGLLPNPQFEKNNTITYSESSTNIEELDPWIGRILRLRRLVLSGMRKLVSLPQLPDSLLELDAENCESLERLHCSFPNQDIHLNFANCFQLNQEARDLIIQTPTNKYAVFPTEKVPICFSYRSSGSSLAVKFNRLPVGKSTKFKACILCSYDEENNFGLWETASVFCTITFGGNASIACNKRVERVLPGNLCTFEVEVETEEVPFEDFESSDIELVREDGGNKRLPLL >A07p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15284444:15287594:-1 gene:A07p026820.1_BraROA transcript:A07p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKIAIDVSNKINVTPSNEFEGLKEQSLFLHIAFFFNNETIDHLTTMLADSDLDVRNGLKTLSEKSLVHISTNGLITMHCLLQQLGRQVVYEQSNEPGKRQFLVEAEDIRNVLANETGTGSVLGISLDMSKISEFSISGRAFEGMRNLRFLRVYGRNFSTLQMLEDIEYLPRLRLLHWDSYPGTLLPPTFRPECLVEFHMAYSKLEKLWRGIQPLTNLKDIDLGHSKNLIEIPDLSKATSLKTLTLTSCTSLVELPSSIRNLHKLKKLMMMGCAKLQIIPKNINLASLEEVDMSDCSQLRSYPDISMNIKDLDVGNTKLEVHPSIVERLPRLEWLRIGSRNLKRLTHVPESVTHLDLSNSDIVRIPDCVIGLSRLESLFVFKCRKLVSLQGLPSSLKYIDATDCGSLVRVCIYFNDPIRGLMFQYPIRELMFQNCLKLDEESRREIIQQWVYEYVCLPGKDIPSEFTNKAKGNSITIPMVTFSSSSRFKACLMLSPIKYSYLDITCRLITEGGVIIMELKWDSMNVSHFLTEHLFVCGGNLVQETRKVDVQATKILFEFSCVDNLKILECGVQILSEETESCDGSEVDYFDTGGSPDNHTYGDEYYEMDDEVAQSEYKYNWSWLKKFWSGEEDERDDDDEEEYINHHYGWKTAMMMKVFVVGMSLLLLYLVIT >A07p041660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22457952:22459842:1 gene:A07p041660.1_BraROA transcript:A07p041660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTTTTSNSIVSPSTTLQLCSIIPENPLAAMELPLPSFLSLKRHCLGNNSAPGEFFLASCPSIVLHLLTTCDLCPRDLAKLEASSFLFPCLCATCSFFRKPANFSPDLQLSISEVAALDICLKKSIFEHMGEEDRQEIKRKCGGSWKLVLRFLLAGELGCRREKSQALAGPGHSIAVTSKGVVYSFGSNTSGQLGQGTTEDTWQPRPIRSLSGIRIIQAAIGADRTMLISDAGEVYAFGKDCFSQPGLEDQETKLITTPQRVKSLTEIFVVQAAIGYHFTAVLSREGRVYTLSWGKDERLGHGSDRNCVLPHPLLGPLENIPVVQIAAGFCYLLALACQPTGMSVYSVGCGLGGKLGHGTQASEKQPRLIEEFSFLKMEPVMISAGTWHAAAVGRDGRVCTWGWGRFGCLGHGTGESELAPKVVEGLKNVKAVHVATGDYTTFVVSDDGQVYSFGSGEFANLGQGDEDDNTLTPNLVSSLKEIKERVVHVSLTNSISWGGHTFAMTESGAVYAFGTGDRGQLGVELGDNLTERAEPAKVVGIDLS >A09p068670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53491740:53492926:1 gene:A09p068670.1_BraROA transcript:A09p068670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLSGMASLNATASLPLTNHHRQRLTCASSSSPLVWKQSRRVISVSVSFLLSRLLLLPNDAMASSLIDKYVKRKRLDPLEAYVPPVILAQLQFQDLENILSVDKPEFEACRSVLRSGPASSLRVNIRAVAQYASDAGYSQTASSDVDRCLRALEEMDSLFLRASRKDPNATVELMKSQLGTALTALDSLLQTVPSEVLDKGKAMVEVYRSPFEEDNASDSAEIQQLQSIL >A02p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2741915:2743668:-1 gene:A02p006490.1_BraROA transcript:A02p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14B [Source:Projected from Arabidopsis thaliana (AT5G15050) UniProtKB/Swiss-Prot;Acc:Q9LFQ0] MKKLKSCYLQVRRHQQQASDRRWILPLAIGSICSLFLLLLTTLASSSSQARLIPLSVYGLRSSVFVESKINPLSVSVTPPPPPPRLAYLISGSSGDGQMLKRTLMALYHPNNQYVVHLDRESSAEERLDLSAFVANQTLFGRFRNVRMIVKANFVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKESHRAKPIIIDPGLYMSKKADVFWVSQKRSMPTAFKLFTGSAWMMLSRPFVDYFIWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAKEFTNTTVNSDLHFISWDNPPKQHPHHLTLDDFSRMVDSNAPFARKFRRDEPVLDKIDSELLSRRPGMVTPGGWCIGTRENGSDPCAEIGDTSVVKPGSGAKRVEKLVTYLLSNENFRPRQCR >A01p022540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11104476:11108643:1 gene:A01p022540.1_BraROA transcript:A01p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPEGYYESTNPFLVHGPRGFEEFKLLEGFGMYVRMDFPGVPEECVRISLDPAKKSLAVYADAPKVHRYDLAQRKYLSVIETVCRCCVFDRFTYQMSDGVLRLHLSKSNIDPRRSSCIEFKYSAFGEDISGNDMDDSYESKQLEDGNLYVRLDMPGVPKDNFTVSVANGKVNVTGQAPALSHDSGSRLYSADVVMLSGPVDFPSHRVKTIIKNEGFYAINNEFLMNGPKGFQEFKILENDIMFVRMDFPGVPEDGVSVTLDQSKTLVSVSAKAPRFHTHDLSHRNYFIITGLGCGCCEISGFTYHMTDGVLRLHLSKTNILHPQLPSCTSFLGGIRFKGDGDLSGCPHRLPYNRNPYHPALTGPVLMRHPNVAEGTAMAYESKQLENGSLYVRLDMPGVPKDNFNVSVSSGKVKVTGQAPAVSHDSDGRFYSGDVAMLSAPVDVPSRPIKTIIKDGVIRLLIPSV >A02p043730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27542131:27544060:1 gene:A02p043730.1_BraROA transcript:A02p043730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRNNIIGCINFIAVLFSIPIIGAGIWLTTRTVNSCFMLLQWPVIILGILILLVGLAGLIGGFWRITWLLVVYLIAMLALIVLLGVLVGFIYMVTLRGSGHPQPSRAYLEYSLEDFSGFLRRRVHRSYKWERIRSCLSTTSICPDLNQRFTLAQDFFNAYLSPIQSGCCKPPTKCGYTFVNATYWISPISLHVDMDCLQWSNDQNTLCYGCNSCKAGLLANLKIDWLKADIFLLVALIGLIIIYIIGCCALRNSRTEDIFRKYKQGYT >A03p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14204346:14206911:-1 gene:A03p033540.1_BraROA transcript:A03p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGDQVDVRSDAGSSKETTNSRHGSQRSGKSQDLVEPNSNPIEYTERERAELLRRLDSIKDHLLRGGVGGAVNENQQLLRPVHVRAPSHSPAPSYYHHHQRPYPYPPQPQGYHHHQDPFRRPPSFQGYPGGQYADVVDPHSYFPATPSRYGDMMPPYSPVSSHQRLYTTPVHTYHNSLSFPSSMSSPGPRGGGGGGGYARWPSDLDSEMGGGGAFPRGYVKKAVSESDDARRCHPLAGGAPFIACRSCFELLYLPKKKLLAQERLHKLLCGACSEVTSFTIVDKKLVFTTTEPVSVEDRSTTNTVVVEEPVQELKNQGDTTRSTRSESQHSDDEESSSVSSEQQQKEVKSVRRRAKDSKASEPAAAAAPESASLLELFEHSNVNRAALAYGMAELGYIKPDKQEVFMKQDSVKPESIVATETEVSYNGYTNTTEISEDSNGREDKNRRRNPNEDGGKSIEVWVNGHLIPEDLVRSAEKLAGPIQAGKYWYDYRAGFWGPFIEEFSHPMPDSCAAGNTEVYVNGRELHKRDLELLVGRGLPRDKNRSYILDITGRILDGDSGEELKSLGRLAPTIQKTKHGFGMRVPRSLAS >A09p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18614894:18615963:1 gene:A09p030530.1_BraROA transcript:A09p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC10 [Source:Projected from Arabidopsis thaliana (AT4G04900) UniProtKB/Swiss-Prot;Acc:Q9M0Y9] MAMKMKGIYKGFKCISQIFAGEKESDEIEIGYPTNVKHVSHIGWEGSSSSGPGWMSEFKVGAEVLSPRASSFSNARPSTSFFTSSSTDFDQGSSKRTISDTLRDAPPVTPINLPKHSNTKSSRRKKSASSSSSPKSSRSSIFSRSSYKSTVSQLI >A01p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14837425:14842451:-1 gene:A01p038950.1_BraROA transcript:A01p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.3 [Source:Projected from Arabidopsis thaliana (AT1G59740) UniProtKB/Swiss-Prot;Acc:Q93VV5] MEKGNKESKKWAQEEVSNEINNRELAEEESVDWRGRPSNPSKHGGMRAALFVLGLQAFEIMGIAAVGNNLITYVINEMHFPLSKAANSVTNFVGTIFIFSLLGGYLSDAFLGSFWTILIFGFVELSGFILLSVQAHLSQLKPPKCNPLINQSSCEEAKGFKAIIFFTALYLVALGSGCVKPNMIAHGADQFSHQSHPKQSKRLSSYFNAAYFAFSIGELIALSLLVWIQTHSGMDVGFGVSAAAMTMGLISLVSGTMYFRNKRPRRSIFTPIAQVVVAAILKRKLASPADPKMLNGGHRVPNDVVDSSTLPYTPRLRFLDKACTKIQDTNTKESPWRLCTVNQVEQVKTLISLVPVFASTIVFNTILAQLQTFSVQQGSSMNTRLSNSFHIPPASLQAIPYIMLIFLVPLYDSLFVPFARKLTGHSSGIPPLTRIGVGLFLSTFSMVSAALLEKKRRDSSVLDGRILSIFWITPQFLIFGISEMFTAVGLIEFFYKQTTMGMESFLMSLTYCSYSFGFYFSSLLVSIVNKITSTSADSKGWLGENDLNKDRLDLFYWLLAVLSLLNFISYLFWSRWNIKSLRNNNSNVVGDEKI >A04g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20017147:20017714:1 gene:A04g507830.1_BraROA transcript:A04g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKYSGLIAGQKFTGRTEIRPMDREARGGLLHGFRMWCQLSSKMSVYRSSRSGGVLHVSWTCSQTFGARGAAAHASGAMRSDTRATTNLNLIGWPVGLSFPTFGVGRPSVVFLFDCWSVGRPMLMTIRECSK >A07g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1851885:1856222:1 gene:A07g500950.1_BraROA transcript:A07g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIQLSQQHFTHISNTLSARLCSPPEKALSAGVPLSGQLLRCSAFAPPALSLLSTKPPLSLRRKTPLSLKFRPTLSLSLTVVLSDSCGEKDFKVLICLPFSPQESERTTVRERLRVGRNLRERGVFRRRERGGLVERRLSAGGAKAEHRRSWPERRTPAERDFSGGEHNRADNVLEI >A06g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9814038:9819633:-1 gene:A06g503100.1_BraROA transcript:A06g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGLVLSSQLRPHADWIGSKWVKSKNKVLSQHMFTRGKLVEMTREISESEKEKIWVRALVITEVRKQGDDRRKFLIKRCTISQNSSDEAEGKHLIVDICKIRPSPPRDLCAEYSLNDYVEVVVTHGWRKGRVTEILLENKYKVYFAATKEDAVFNYTEIRLSMEWLGGGSWIRAHESPSNTLATDEDDTLNDDATKIRSAQESPSITLVLESNEEDKVNDDATEITSSLERHRNTSVLEATEAETQNHETIYGKELPLPHESEDMMDDVATPIIDPQEILRGETMSDSNDKIALPKKISETGTKGVVLQRINKRSNLKLLWALSSVNQLGTFFGISDDGIQFPLCLHWKETKALTIEEVNRFDQMEKVDVKCILGDPGLHSDLVEDVDCEFGRVVDLVKRGYRWKRQNWLNRSVDIAVAEAEVDENNFVPRIDATDQEKIEFLNNKVVSLEERVKYLEGLLNIRGETVKVNGQNADYELDENEVLGVYIDAKRKEIAKRKKNGVRPPREVGHQDEDDVEVEANEGQTQEEEEQHQEDDAEVNEEQPQEEEEQQEEEDTEDDVDDGDKESENPETNEEQKQEEEEQQQEDDTEVNTDVDVGAKGNGSENPVKGSKKRGRKDGEENEDAYEKPVKVTRKSERVTKGGEVNEDASEKPMKGTRKSKRGTKGGEVNEDASEKPMKGTRKSKRGTKDGEENEYAYEKPVKVTRKSERVTKGKKKGVTPPREVQQQVEDHAETNEDGEGNEDASKKHMKFTKKNGRGNKEHNVGTPKSKNKRNNLRKIQLMM >A03p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11498426:11499713:1 gene:A03p027470.1_BraROA transcript:A03p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFARTLQRASSSLLRSSYPLLISARSIPQSRDLACSTSTFVQPTISTICRRNFSHGTVNLVISEGKPKFETRELDPPKKWKWLTKKRLKLKRKKEREERNAANRKDPRRLTVKGKKKRKFANPEERIKHKLERAKIKEASLVEKLKRYEVAKVQGPEVRPHEITGEERFYLKKMGQRRSNYVPIGRRGVFGGVILNMHMHWKKHETVKVICNNSKPGQVQQYAEELAKLSGGVPVNIIGDDTIVFYRGKGYVQPKVMSPIDTLSKKRAYEKSKYEQSLESVRHFIAIAEKELELYYRHVALYDDPSNRNPLSVLDDSPLDSCDHHRNRLYMSCSDTEADSEDEEESDEELGELENDSPSNEELSETGR >A02p005330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2324142:2330542:1 gene:A02p005330.1_BraROA transcript:A02p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAKFSSSTTVLVPCGFSNSFAFMRLLQKAHYFSAVSEDDNNNKDHQVKDNHHQFCRRDCSFMADQEEPRSITSTLIYKDDDDNNNNSNNNNNNANDDAENHEMRQEGWLRLSIGHENDVKPDIDHQQQHQTDTAARRDSFLELNLSSGGSNREEEVGLPLSSLFHHQHQRGGMMINQLMFPTRPDQEMIGSWAAAAFRMPQNLMQPTPSSASLIMPLIGPYFGRTNFQQQLLGDNNNNNNYQDVVAGPSSSFRVIDPPRRPHSGIWFLLQASQNQTREPFLPQIPKSYLRIKDGKMTVRLLMKYLVNKLRLEHESQVEIRCREQELEPVLTLQHVRDAIWRGGRNHSPISQNFTLLPNSSTSDHLMVLHYGRPAQHC >A07g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:521427:521823:-1 gene:A07g500270.1_BraROA transcript:A07g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A03p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8862755:8864034:-1 gene:A03p022020.1_BraROA transcript:A03p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIS [Source:Projected from Arabidopsis thaliana (AT2G38560) UniProtKB/TrEMBL;Acc:A0A178VY86] MESELIALFETAKKAADAAAVDGVTSSSPEVSQSLDALEKLKTFPVTYNTLVATQVGKKLRSLAKHPVEEIKSVATDLLETWKRVVIEETTSKAKKTESTNGCKEVKLERKDVNPAPVKVQKLQRGDSAKSIKVERKEPDSKVKVERKEPDTKVKMDHRGQTTVKDEKVSKETLSSVKASDKAPNGAPKLTSMVKCNDPVRDKIRELLVDAMSKVHGESDEYDRARVVGCDPIRVAVSVESHMFEKLGRSTGAQKVKYRSIMFNLRDSNNPDLRRRVLTGEVSPEKLITLSGEEMASDKRKQETNQIKEKFLFDCERGQAPKASTDQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVNCDNHWKFC >A04g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6554195:6554484:1 gene:A04g502930.1_BraROA transcript:A04g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEPSKPIKIVSSFLFASDVSNDSKSVRLPNFTDVTPTTTTMALQCSIITHQVKTLCTSPHSSSQSLAQFLKFDLSQKVSASDSF >A02p043670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27828016:27839057:-1 gene:A02p043670.1_BraROA transcript:A02p043670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVSVALFFLFLNCFATAQTLIQDSCKTAAAKDPTLKYDFCVQSLEQDPQSKTATTLEGLVLASITYAESKTTNNKTYPPGTEPALSTCVELYDDANNSLNDALMNVKSADYKSANVDLSAASDEPGTCEDGFKETHAKSPITNENNFFVSVVMFFLLLNCFAAAQTLIRDSCKTAAAKDPNLNYDFCVQSLEQDPQSKTATSLSGLVLASTTNAESKTTNLKGIVETILKNKTYPPGTEPALSTCVELYDDANNSLNEALMNVKSADYKSANVDLSAALDEPGTCEDGFKETHAKSPITNENNVFRTNLVRDSCKTAAAKDPNLFASNHLNKIRKAKPQLSKTTNLKGIVETILKNKTYPPGTEPALSTCVELYDDANNSLNEALMNVKSADYKSANVDLSAALDEPGTCEDGFKETHANIPITNENNFFVSVVMFFLLLNCFAAAQTLIRDSCKTAAEKDPNLNYDFCVQSLEQDPQSKTATSLSGLVLASTTNAESKTTNLKGIVETILKNKTYPPGTEPALSTCVELYDDANNSLNEALMNVKSADYKSANVDLSAALDEPGTCEDGFKETHAKSPITNENNFFVSVVMFFLLLNCFAAAQTLIRDSCKTAAAKDPNLKYDFCVQSLEQDPQSKTATSLSGLVLASTNNAASKTINVKGIVETILKSKKYAPSTEPALRTCVKLYDDAYGSLKEALMNVKSSDYKSANIHLSAALDEPGICEDGFKEKHAKSPVTNENNVLFQKILIPLAFTNML >A04p024980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15113077:15114700:1 gene:A04p024980.1_BraROA transcript:A04p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFFCLALFLYLSLDPDSGYTSASAAPSGKEGVEITYGSAIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPGVTDSNSYWIVKPVPGTAFKQGDAIKNGATIRLQHMKTRKWLHSHLHASPISGNLEVSCFGDDSNSDTGDHWKLTIEGSGKIWKQDQRVRLQHIDTSGYLHSHDKKYQRIAGGQQEVCGIREKRADNVWLAAEGVYLPVNESSK >A03p066650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29442753:29445593:-1 gene:A03p066650.1_BraROA transcript:A03p066650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVNTPFAGIIHDFKGRRKCYKQDWLAAFNSGVRILAPTLYIFIASALPVIAFGEQLSRETDRSLGIVESLASTSICGIIHSIFGGQPLLIVGVAEPTIIMYTYLHSFSKGRPELGQKLYLAWAGWVCVWTAVLLILLATLNASNIISRFTRIAGELFGMLITVLFFQEAVKGVLGEFLVPKSEDPRLEVYQFQWRYTNGLLAVIFSFGLLYTALKSRRARSWRYGFRWMRGFIGDYGTLLMLVLWSAFSFTVPRDIPEGVPRRLELPLPWDPESLYHWTVVKDMWKVPPLFILAAFIPAIMIAGLYFFDHCVSAQMAQQKEFNLKNPPAYHYDIFILGIMTLICGLLGLPPSNGVIPQSPMHTKSLAVLKRQQIRNKMVQKAKECMKAKASKSEIYGKMQDVFIEMEKSPKALAKELENLKEAVMKADDGGGGGDTKGKKFDPEVHIEDHLPVRVNEQRVSNLLQSILVGLLIFAVPLLRMIPTSVLWGYFTYMAVDSLPGNQFWERLMLLFITPGRRFKVLEGLHSSFMEIVPYKSIVMFTLFQLLYFLICYGVTWIPVGGILFPLPFFFLIAIRQYILPKIFDPAHLQVLDSSEYEEMAGAPHNIPLSSVEIIEDDFYDAEILDEITTSRGELKHRTLSVREGRSQMVYPENSGHS >A03p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16293277:16294331:-1 gene:A03p039190.1_BraROA transcript:A03p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLDLSIIGTRLKKWNNQRIDQLLPLQASEIKCLRPSQTGAQDVYNWQPTKSGIYTTKSGYCSAVGSLPRTITQASTEFNWLNDVWRGEFSPKTSGGVKSHSLLRIDELLPLQAFEIKCLRPSQTGTQDVYNWQPTKSGIYTTKSGYCSAVGSLPRTITQASTEFDWLNDVWRGEFSPTTSGGVRVLPLGENLQKRGIQNEIRCPKCQNKETSMHIFFTCPFEVKVWKMILLNRVVVLATEDDFKKVIVY >A07p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9305010:9307428:-1 gene:A07p014960.1_BraROA transcript:A07p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHEFLATPTPRSHGRTKCLAAAGSIFTSLNTSIFTFHNRLLRCISRFFRPSTTATATPSRLVTKKQGYKKLEKHEHHQRKRNDKKRTTVLDLDETLVHSSMEPPARVNVDFMVRIKMQGTVIPMFVVKRPGVTEFLERIGKNYRVAVFTAGVPEYASQVLDKLDKNRVISQRLYRDSCTEMNGRYAKDLSLVARTDFGSVLLVDDNPFSYSLQPDNGVHIKPFVDDMEDQELMKLAEFFDGCYQIIENKPENHKHQKKNDPFMSILTFHCRLLRCVSRCFTATTTTSYPATRHDGYTKLTKHTNTKRTMMKPWFIPRHKCLELNTISW >A05p019230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9049108:9049894:-1 gene:A05p019230.1_BraROA transcript:A05p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSNAVVFIVILAITFSSSSAVTETQAPSPPALTCTEELVMFSPCLPYVSAPPNNISDAPDPLCCSAFSTSVNSGAGNCLCYLLRQPMILGFPLDRSRLLSLSQICSDLSSDESFESICSPSESPELPPLQSIQFTAPFVYGVRASASSPSFAISPEAAGISHTSDQPSPETDSLSSTPESIINGSPKITSFWFLSTIIMTLPTFDLFLLAL >A06p029480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:29328:29675:1 gene:A06p029480.1_BraROA transcript:A06p029480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLSILLWNWMIEIDREDPIFIENEGVSLAPRTGMTGQRMGTIGQGLHPLGRMRPFANTTWDWVGQRPKALPNPSGLSPRPCPKPQRSMASWPDPHVPPPALTRTIRPKPEQSV >A05p005800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2243072:2243833:1 gene:A05p005800.1_BraROA transcript:A05p005800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKPKAKPVSRQSVRHQSHSHPLRVFKAKEDDETVCSGCELELTGQAFKCTKSDCDYLLHKSCFDLPGETHHKSHKDHPLTLLYSPPDDESFYTCNACDQYGSGFTYHCSNCKYNLHVGCASLPETIEREDHEHPLTLLYCTSCKGREDTTFICSACEETVLEDLWVYYCRECDYGTHVYSCAAYEDQESNEEEEEEEEEGEASTSPASRIKSLMKAQDEMAAMQLEARIMNDANEAALDLWDQPKRRYYW >A10g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4075017:4076497:-1 gene:A10g501450.1_BraROA transcript:A10g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIKCNLFIVRDPLRITSFLTQGLEKESVNHLCCYNETNDNKSQRESVSSQTEIVGKEVSVRPAYRSSKKVKDYDKLEAEVKKNRRMISFKETLLRTSSSFCTINMWNLMGQCFQYTGNMLVLKKSRALFMMIWRSRHEKYDLI >A03p065690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29056614:29058251:1 gene:A03p065690.1_BraROA transcript:A03p065690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ECO1 [Source:Projected from Arabidopsis thaliana (AT4G31400) UniProtKB/TrEMBL;Acc:A0A178UZG9] MQAKINSFFKPSASSPSPIADDVSATTPDADDGLAAWEKSRNVIVNTYERRSATVDRSEVLKGCIGNPPLRKGSSFGSKNLSKKRSYTQFHLELGQSDFLLRHCAECGATYAPGDELDEKNHQSFHKDYMYGIPFKGWQNERVFASPSFNKNRIVMVLLENDSPAHRNKVQEVVKMMEVELGEGWILHKHCKVYLSVSSQRISGCLVAEPIKEAFKIKAPPEDERRFKKESSSSPSTSIKFGNVVLQRQVSKRCQGSDDRLDNGAIVCEEEAKPAVCGIRAIWVSPSNRRKGLATRLLDTARESFGSGCVLEKSQLAFSEPSSLGSAFGSNYFGTRSFLVYKAQVERFN >A05p041490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25207522:25209442:1 gene:A05p041490.1_BraROA transcript:A05p041490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMMGYNEGGADSFNGGRKLRPLIPRLSSCPSAAANTNSDHRFNMAVMATMTAEQNKRELMMLNSEHQHPPMMVSSRWNPTPDQLKALEELYQQGTRTPSADHIQQITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMENGHDESVFTTTSLVSNHGFDKKYPPGYKVEQTKKWICSVGCDTQPQKPHELHHQEEPVSIALPTKGNGRYVGNERQSFPGRNAMSQMMQLAPGHYPYSRQQQHHHELILNSTTVTSSFSASNSTVSAAKDTVTVTPLFLRTRAATNTGTCDDNKDQERHEDCVNGELDHQEKTLELFPLRKEGFCISGGDDGKEKESGIHCFYEFLPLKN >A07p020680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12179229:12180734:-1 gene:A07p020680.1_BraROA transcript:A07p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHTESPIGNQSVNPNQSDPTSASSSTTREEGHCRDESRKRRAGFEEEQARVLATSLRHVPRLGWTEEAIIAGSRDVGVSPSIVGSFSRKEAALVEGLSVHPSTCSVYSLKLHVKKGSNLVLIFCLNQGVAAHLSQQKLTSRILQVFILNQTYFKHFFFLDSLIFLVPFLLDEIVKEVNENPNDGWKAALNDRFANATMSFMISSYLWRVSKSFMYPQGMMSHHFREMCVGDHFAVKGSKVLFYKFTYLAYLILQFSLFKYQPGQFRAFGMLAGGSGITPMFQVFL >A05p026970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17097577:17098900:1 gene:A05p026970.1_BraROA transcript:A05p026970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSSLARLYSRPKRSTKSKVEQPPPQKKEEMNTITVSTRASLCSRPIRSTKLEQPPQKKKKEYRSLSLVSKTFRSLISSPELYTVRSQIGNTEPCLCIRQELPTHDRWYILDQTLVTTTNSNGLIKDEFNLLPITSSSLSKSTTLAVGFEIYQIGGDQLPSSTVRVLDFRTRTWRDAPDMGVARKCPESALIDSKIYVVGGGTEESEVFDLKSQTWNPLPSLSDDGDYPEVQLRRGELFATTTNHGGGNILVIWDEPHSLKFPVAGKMRKHVCKNRRIWCAVIKFEKRFFGLKFEVWGKVVRSNALLTVPKSFKLLSCVTL >A09p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20405623:20406640:-1 gene:A09p033810.1_BraROA transcript:A09p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVLHFALCSKVKSNNIQEEAASMDLSLSTKNVFSSALRFAMSIDTLKNSPELAHELKTSAQEQVEFMLSEDDEVRLLVSREEVKSVVRLGISSVLSTLLDRLSSLLLLPEEFDVLNDIEWLCKVLPRMELMKDLVFKWADVSSEILVIAQNCKLGVKMKLVEVTGKVLEAVGYGVVIVPCGSRACLLKLWLPFIRRLKTLVDAEGSGSEYRMDEDLCEFLEGSMVSLVLTLPSNDQAEVFGEWMRGIALEGVKFPDLSEAFEVWCYRSKSAKRRLLEKCDRLASENLAH >A05p048010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28185415:28187495:-1 gene:A05p048010.1_BraROA transcript:A05p048010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITILCISGCRYIDEKSGDEYAVVKALHMSGGDGANSYSANSLFQRRVLSMTKPVLIKNTEEMMMNLNFPNYIKVAELGCSSGQNTFLAISEIVNTINVLCQKSNQNPPEIDCCLNDLPENDFNTTFKLVPFFKKELMMTNQASCFVNGTPGSFYSRLFSRNSLHFVHSSYSLHWLSKVPEKLENDTENVYISNSSPQSAYKAYLNQFQRDFTMFLTLRSEEMVSNGRMVLTFNGRNTLNSDPLYRDCCHFWTLLSNSLCDLVSEGLVSESKLGAFNVPFYDPNEQELKEVIQNQGSFEINDLETHEFDRAHIKRDNGEDNYDARYNRAKSVRAIAEPMLIAYFGEDIIDTLFDKYARHVTQHANCRNRTSVTLVFALTKK >A06p003010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4886474:4886782:-1 gene:A06p003010.1_BraROA transcript:A06p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTSSFLPTVSKLPSTISSSNRRSLTVVKASTSENTTKQEQSKKMRRDLMFTAAAAAVCSLAKVAMAEEEEPKRGTEAAKKKYAPVCVTMPTAKICRN >A04p027670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16655114:16656633:1 gene:A04p027670.1_BraROA transcript:A04p027670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNGDDESSFEQCYRCYPVTFIEKAHLDKGDKIIMPPSALNRLASLHIEYPMLFQLINESVGKTTHCGVLEFTADEGIVYFPYWMMQNMSLEEGDIVRIRNVSLVKGTYIKLQPHTQDFLDITNPKAILETTLRSYSCLTTGDTIMVPYNNKQYFINVVEAKPSSAVSIIETDCEVDFAPPLDFKEPEKPQKLTPPKKRTRQVEEEEPASKVPKFTPFTGSGKRLDGKTQTESTEQEDKPTEKGKDDELSTPTPPQKSGKLVFGSNSKQAVKPTVKVDPKNVEQESSTKSDEAKFKVFTGKKYSLKG >A04p006810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3637514:3638431:1 gene:A04p006810.1_BraROA transcript:A04p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSFLNLLKEVVGMFNESRKLFLKNKKLMFSVLVFSLLLNGLVYLFNILTITLEITNLTQHLKLLPTMDPSSAEYIALLMEVFAEFGLFFVSSDIFGVFYFIINLLSVLVIVHASALTYNDENVNFKDFVVLSLKSWKGPLVTYFYICLFSLGYWLFFVTILFPLLFLSTASLISFAAVTCVLLVLFALFASYLAIVWYLSLVVSVLDETYGIQALGEAVKIAKGMKPKLFLLNLFFGLLIFGLAQIETLVSLVMSTFVVMFLLMTYTVAFFQCKGHHGQDVESLRDAEYTTLPTTSLMGALP >A03p063020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27344266:27345789:1 gene:A03p063020.1_BraROA transcript:A03p063020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNHKFLQAVIVLGFCLVKMPKTTEYCDEKIEEILCEDPISSLPDDLLVTILLSVPLKDAAATMILSKRWRFMWTMLPILVYKESDVESKNSVWDFLDKSLELHKAPLLGMYMQLGPQCPLDADVGKWVAMALDRGLALLIFELRWSADPTSLPMTLYSCKSLKILRLSHKVLVDLPSSIWLPSLQILELNCVVYKNEDSLKRFLSSCPVLESMTVTREKDDNVKIFTVKAPYLLDLSYGNYMSDDDEEDTGRCLVIDTPALTYLDIADYSGNSWSIENTPCLEEVYFNVDRSLLGFDKFLRSFSKVLFLELILTDKMIVCFSTIEFSRLTKCKLFPNNSNWMDSLVSFLHNTPKLNNCCQKTNHQPPIASMWWSQSISDPECLSSSLEKFELIDYGGREEERELVEYILTTSICLKTATISLSTLKLEDEDITMKELKAIPRVSITSHLFFKTSHK >A01g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14162731:14163711:1 gene:A01g504740.1_BraROA transcript:A01g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVYMLFVDSQATSIPATNEKEVALKIQEADGSCWVVCYTYATWFSLGGLARTGKTYENYLVMREGVDFLRETKQQWRLGRKGERDLLPLHRVGKLIINSHLENRDYPQQEMTGVLMKSCILNNVTYKQRIVFAETKNFVLRTPFSFFPNYYCHTNK >A09p074070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56265083:56270248:1 gene:A09p074070.1_BraROA transcript:A09p074070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLTSSAPPPSSLQQQRQRYVTAPVLNSAASPAIALTTTEGVIQNIASQSPAIGAQIPSPLEQQTQSQQLARTQQLPSMLQQSPMSNYHIAPSPSVNQIQQQQGQYGGVLRQQGQYGDVLRQQGQYGDVLRQQGGMYGTMDFGGSGQSGHLSMLNGGAGAAQMNFPSQSLLPSSVLPYYLTVHSLVIQLRHDVEFHPGSSEQQLHGIGVMESLILRSQMRANPALYPQHRTNLGLMRSQQHVLTLPQVQNFQRTPSLAFINAQLSGLAQNGQAGMVQNSLTQQQQWLQLISEINSPNSQSFRLQPSQRQALLLQQQFPSAQLHQNSMPLNQQQISHIIHQQSQMNQAQMNPSQLQQMPQPQQQQMPINQQQPSPRMPSHAGQRSVGLTGSQPDATQPGATTPGVVRSSQGPEATNQLLGKRKLQDLVSQVDAHAKLDPDVEDLLLELADDFLDSVTSFACRLAKHRKSTVLEPKDVLLHLEKNLQLTVPGFSSQNKHQTKNVPTDLHKKRIAMGQKLRALVESSLPGTNASNSKETFRQVMVNPNGANHLLRPSPTSEQLVSQTPGPRMLRHMKR >A07p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15654436:15662705:1 gene:A07p027440.1_BraROA transcript:A07p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFLQSPSSFSSLFTAKSKPFPSPKPRFVVSIKASIEKPKPKPKPKSWVSPDWLTSLTRTITSGQNDDSGIPIASAKLDDVSELLGGALFLPLYKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYPKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKRYLSVIVERVFCRSAERLVEKLQPYAVEGKAVNMEEKFSQLTLDVIGLSLFNYNFDSLTTDSPVIEAVYTALKEAELRSTDILPYWKAIPRQVKAEKAVTLIRETVEDLIAKCKEIVEREGERINDEEYVNESDPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKSPSALAKAQEEVDRVLAGRNPAYEDIKELKYITRCINESMRLYPHPPVLIRRAQVPDVLPGNYKVNTGQDIMISVYNVHRSSAVWEKAEEFLPERFELEGPIPNETNTDFKFIPFSGGPRKCVGDQFALMEAIVALAVFLQRLNVELVPDQTISMTTGATIHTTNGLYMKVSQRMEFKELKEAIDQIELVDAHAHNIVSLDSSFPFIRTFSEATGDALSFASHSLSFKRNLREVAELYGSEVSLEAIEKHRQTLGLHSLTTKCFDEARISALLIDDGLKLDKKHDTEWHRNFVPYVGRVLRIETLAEQILEEESPHDSSWTLDSFTKAFCVFFCIFTLTVDTLVPDIVALKTIAAYRSGLDIDTHVSKEVAESGLLEVLQAEKPVRIGNKGLIDYILTLSLEVAERYDLPLQIHTGFGDKDLDLRLSNPLHLRTLLEDKRFEKCRIVLLHASYPFSKEASYLSSVYPQVFLDFGLAVPKLSVHGMVSSVKELLHLAPTKKVMFSTDGYATPETYYLGAKKAREVIFLVLRDACVSGDLSLMEAIDAAKDILSRNAIAFYNIDVDTSSSIPQSRISPKSQMEEPHVQEDSSSFVRVIWVDTSGQQRCRVVQAQRFNRSVKKNGIGLTHASMGMTSFYDGPAEESKLTGIGEIRLVPDLSTKRIIPWTKQESMVLADMLLKPGEAWDYCPRETLRRVTNVLKDEFDLVMNAGFENEFYLLKNVVREGKEEYVPFEVGPYCSTSSYDASSPIFHEIIPALEFLNIAVEQFHAESGKGQFEVSLGHTIAFHAADNLVYTREVIRSVARKHGLLATFVPKYDLCDIGSGSHVHISLWKNGENVFPASDKSSAHGMSSIGEEFMAGVLFHLPSIFAVLAPLPNSYDRIQPNTFSGAFQCWGKENREAAIRTASPPGAPDGLVTNFEIKACDGSTNPHLSLAIIMAAGIDGLRRHLQLPDPIDSNPADVAATLNRLPETLSEAVEALEKDKVLHELLGQNLIAAITGVRKAEVQYYSKNPDACKQLIHRY >A08p034700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20587652:20588392:-1 gene:A08p034700.1_BraROA transcript:A08p034700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKRLADRKIEKFDKNITKRGFVPETTTKKGKDYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >A05p010540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4465835:4466509:1 gene:A05p010540.1_BraROA transcript:A05p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCPTRKVKKRRLSHKTARRDKFEVKGDDLVYTELRKPETETKPLELSEDLPGMGQFYCLHCDRYFCNVSVRDDHFKTKKHKKRVKLMNGPAPHSQLDADLAAGMGMPDNGPKLMAA >A09g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23537798:23542597:1 gene:A09g508380.1_BraROA transcript:A09g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRNRWHFTNNMKYSVKSGYQVERVYPDKGKPPDFLWTHSGSVKSFLLGSAVDRPRSTDQYMEPNQPGDQNLEPWPDDRSDHTGACLSRPTSHLKTYGRGRIHFGRAGRGDTYLGELDELSELSDTTLELDELSELNDTSLELNELTLKMEAEQPAVRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPLVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEKPSSLNSLPDMFVKVSTDDFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDLVVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLKTENDFRHLKFCGSVLQPDLLSFETDKTWYFLRSFRDNGVVLSSDDILVYNTFFEKYLELLINDSQIELKLVCSDVGKDMSILKMNTVVAYLDKILVCNIYFDEHLDRLKNMQFVLGKDILICDLNKYLSCTFDPGLLVFILSIQERQVQPLRIESIDRAQQSEFWRSFVETGYLYTSDRGSVQGGYFNIPKAFCHESNFPRKPTQKSDRAVYWTVPHTSGKELWLEPWPDNRSDHTGACLSRPTSHLKTYGRARIHFGRAGRGDTYLGELDELSELSDTILELDELSELNDTSLELNVLTLKMEAVQLLGEMGLFSPKKSS >A02g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25703983:25706445:1 gene:A02g509650.1_BraROA transcript:A02g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNDEECLPSGVGGPNGGQSSSASQYLLINNGEGEMVIIRSRPNEVEETGSSQRNNITHTPKENVHILVTEHVLQEAFASTSHVESCKRISKAKVSHVMFLCFCTFFPCFVRVCVTKLTFGNDLNEMIKWKYWA >A03p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10387815:10389466:1 gene:A03p024640.1_BraROA transcript:A03p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAANNNNNIMEKNQAICKEAIVSELQKKKVHLFYCLECEELARNIASESNHITLQSINWRSFADGFPNLFINNAHEIRGQHVAFLASFSSPAVIFEQISVIYLLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARIVSNIPISRGGPTSVVIYDIHALQERFYFADQVLPLFETGIPLLTKRLQQLPETEKVIVAFPDDGAWKRFHKLLDQYPTVVCTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKSSWERFTHKNNGSEEAFAYFWITDSCPQTVKSIGNKAPFEVLSLAGSIADALQI >A03p009400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3762862:3764973:-1 gene:A03p009400.1_BraROA transcript:A03p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTINAVTETWIKDTSSPKFWTTCPFCSVCYRLHRSFVSKQTRCRCCNKDFTARETPLQGLSSKDSTPKRILSQMLRETHQYHPVAPSSSGPSFWTTCRNCGCRHRFLRVYLDKWFVCPSCKEETIAMEVLSSSGEVRFSKWFQEFRSMCKNEAASVSGNKSSPGEKRKREEEVAALSQNHSKPEGAVDKNRRGFNGNGDEASSSGNAKVDNNFGLRDSSSGGGVQPKIAGLKFNDFGKLREEVNFAVGQVWALYDTTDKVPRQYALIRKVSVPSFGLRITYLEPDPDDEEEIQWFEEDLPVSAGQFRLGKNENTKDRSLFSHVIHCNEGGSNSGHFTVSPRKGETWALFKNWDINWSSEPDSHRRYEYEFVEILSDYTDGAGVYAAILHKAKGFASVFFPMGTGDADKFYILPHSLYRFYHRIPSFKLTGVDVKGLPKYAYELDQAALPATIEEVTVPSHLLAEFAPPKPEALCFPINGKVFKTGQIWSYIGSNDNMPRDYCRIHKISVTQTFEQAPVYKIVSYRLKAKRLPEEGIIPWEDKKLHVSCGTFLVTKVFAALAPNNFSHLMVPQASMEGNEYTYTILPKVGQVWAIYRFWNGFLEETYEDYVVVEVLDDALDYKVLALEPALQFIEEKEGMRVFGAAESRPRDYDDGDEVMFTIPKLKVLRFSHQIGASRVTKEIDGELKELFELDTAAVPVL >A08p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9244044:9244649:-1 gene:A08p013690.1_BraROA transcript:A08p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMFYFLLALTVVLAATVKPRRSNWGSTIRFVLESAHINIQMVVNATVTTRPTYWTLNIPLKILEADVVAVGPKPLSHYFQSQKIEDSLGGYKIVFCWSSGSCTDLGIHPDLYGIRRLQFISTPYEVVFMRAPETETSSKTMSII >A10p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:298298:299212:1 gene:A10p000530.1_BraROA transcript:A10p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRPTDEEMAAEKPLKPVLQKPPGFRDEQNQPTTEPPSGTAPLPRRRPRPIHPVSLYPEKKRRWSRCRVFCCCFCIFLAVILLLLLIAGAVFFLWYSPKLPVVRLASFRTSSFNFSSGKTDDGWSFLTADAATMLDFRNPNGKLGLFYGDADVAVILGEKDFETNLGSTKVKGFVQKPGNRTAVIIRTRVRTQQVDDPTAKRLRAELKSKKLLVKVSAKTKVGFAVGSRRIVTVGVSLKCGGVRLQTLDSQMAKCTITILKWIKLRS >A08g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15251085:15251888:1 gene:A08g508350.1_BraROA transcript:A08g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPSDSSNPSSKTMWRAVMISHVIIAICMFLVAIVVYWAYGDKIPATGGPIGNYLKLYEQDYSKQAACFIHLTFIFYCLCSYPIYSMSSCDNLEMVYITKTQKPCSFVRMMLRVLLGSVGFFITVGFSFLTYLVVLIGAVGLLVTSKRVSQHFACGWLGFAFG >A03p041190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17206056:17211986:1 gene:A03p041190.1_BraROA transcript:A03p041190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRLIFPFFILVSLILSGFASSQTLPKEEVDALRAVATALKKSNWNFNVDPCDLTSSEGGWRNLNATSKQFADTVTCNCSSTVCHVTSIVLKAQSLQGSLPKEFAGLPFLQEIDLSRNYLNGSIPPEWGTLPLVNISLLANRISGPIPKEIGNITTLKTLVLESNQISGNLPPELGNLQNIDKILLGTNYLTGDIPSTFSKLTTLIDFRISDNQFTGTIPDFIQNWTKLFKLAIQASGLVGPIPSTIGTLVKLTDLRISDLNGPSSPFPPLQNMTSIHTLILRNSNLTGELPDYLGSITALKLLDLSFNKLSGPIPATYSNLWNVDNIYFTSNMLNGEVPRWAVDKGNNIDLTYNNFSKDRTTKECSLRNANMFSSTTTSPLAANNYSNVACLSYYICPKTFYGLHINCGGDELTVNGTMYDSDTWEKPFHDGSRTGWVSSNTGNFLDDERDLKVTTLWTNTSELKTAEPSLYTQARLSAISLTYLALCLGNGSYTVKLHFAETMFGNNETYSSLGRRFFDIYVQGKLVVKDFDIVSEAKGAGRVVVKSFQVMITNGMLEIRLFWAGKGTQAIPVRGSYGVLISAVSVDPNFNVGGTSIGIIVGAAVASLVFLVLLIVGILWCRGCFRPKSQMEKDFKNLDFQISSFSLKQIKDATDNFYPANKIGEGGFGPVHKGKLPNGTLIAVKQLSSKSNQGNREFLNEIGMISALEHPHLVKLYGCCVEGGQLLLIYEYLVNNSLARALFGPLETQIRLDWPIRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDEQEDTHMSTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGRGNTSARSKAETFYLLDWVHVLREQNKLMEVVDPRLGTDYNREEAMKMIQIGILCTSLVPSDRPSMSTVVSMLEGHSTVDVEELLENSFSRGNEKDEESVRAMKRHFAMIGGQEMTNVTKHTTNNDGPFTSSSSSSANAGDLYPVKLDSAYWNSRV >A08p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1881986:1882767:1 gene:A08p003370.1_BraROA transcript:A08p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKVTIMKLTVDLACSKCYKKAKKAIRKFPQIRDELYDEKTNTIIIKVVCYDPEKLMNKLCYKGDRSIKSIVILEPPKPPPTQAQPSEKPKEPEKVPAPAPVQAPTRVFIQAPAPAPAPAPQLMPISAYHCGPYYEAQQYEYSWRPVNESWGGGPHPPHCCHEVTYQQSCSIM >A01p043150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25003911:25004738:1 gene:A01p043150.1_BraROA transcript:A01p043150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRRGKASASGQRGRNASNETRTRTTERFKWTYEQNKKMIELFDEAFSMSNYSLKYPMAHGRLDEFKKSYKMWKFLMKSTGISVDPETSMIYTSSEWWDNHEAGCRITKSFNRETPKFWDVMVCCFALHDVYSQPQYCARQRQKEIMNEGQADDSTHGNSDFEGGDMPDTKVPDTEENEEVYGVKI >A05g510600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32858909:32859402:-1 gene:A05g510600.1_BraROA transcript:A05g510600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCGALVKSLSLSLSLSLSRTKHICFSLSFSSTKPRLSTSPRRFRRCFPFSHRSVGVVGICVGGKLVSVSGVADRVVFERRRRVSLAFLHHTASLSSSLLGDSQRLPRWLNLSLHDCGLITLFLFSST >A08p021340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14307977:14308957:1 gene:A08p021340.1_BraROA transcript:A08p021340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVSDPLSIIQSFLYSILMRAHLMLPYISTTTHQAIRFGITLPSDSQASSRFISLLLHVILTFTALPNLKENHSGSSVSILSHYILTEKGNFHLLRNWFSCGFNVLYTSKYREARHQLSIITTLAGKEYYDSSEQSYEGSYRRLKYVGYETESFLILTFLREVSNVLPIPWDPLIPYCIFVCADCYNSTSGGSFDLQFPFFQPIKFKEHKATKALKTAKTSG >A01p003250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1454199:1454966:1 gene:A01p003250.1_BraROA transcript:A01p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQLDSDSHLPPRKRLLAEFKKLNGSSSSSSSSTTSNSNGSSSSASTDDVHTHLDDLLASRFNNQSPEELAEAARSAAALAVKAAKTARAVANEKALISTKAIAAAKRALELVDSFPQEAMAGCKERPSSPKKNKQRKHVPLHHLYYSKGEFRDEEEDLALRLHRAVDNRNPNGQINKKQKTLVVYGSRLTGTVNDVNGAVDSDSSFEGLESNREAFKPDEVDSIPTPWKEENISLGRRRGRVKLKKLPLSIL >A09p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21418047:21423483:-1 gene:A09p035930.1_BraROA transcript:A09p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLRSLLSDLSTVEATGMEEDCKLRNWDEIIPDALGLIFSYLPLQEVLTVVPRVCKAWNRAVTGPYCWQEIDIELWSNRCHQSDHLDRMLQMLINRSSGSLRKLSVTGLQNDSIFSFIAQHAGSLKTLKVPRSCLSNPGVVNVAEKLSSLTFLDLSYCCKVGPEAIQAIGKHCKSLTEFSRNMHPLDVASVVSHDDEAYAIASTMPKLKRLEIAYHRVSTEGVLKILSSCIFLEFLELRGCWDVQLDNKFFKEKFPDMKVLGPRVIGFYDMINDWEDCCSDYFSDGSDYLAWEFLEDGEMGEFYEDEFEHGWDDNLIHVMDYLPTLTLFSRETRVKGSFAIKLFLLLPVITAPVTRAQAARINLVSEPNDSMGDTEALSKQIAKMMEIMQSMNAKIDDNMKTQSAHMKTLQERMVHHETTNGDRGKTIKFSTEELSEVEILSGSKGSKGAISFDTRAGTSFTPSKADKDIPVRSCGLANESNYGFSGGPWPNRAVGRERGHRRTDGGGDWNVRPIGAAPHDSHPNVGDYRNSEGLMEGGYYETREDRSNHFDRRGVKAAKIEFPPFDGTTDAMEWLQKCDDFFVDQIIFSDDTKVRQATFVLTGQAYHWIINLRRLVTHRLGWGEFKRICKSRFGKADAVNPVGELSNLRHTGTVDEYCSQFEECLSRQTRLSGDQQLWQFCAGLTDSLRKEVEYLRPETIFEAIEYARDNEYKIDNDKRTRTFGGHLAPITKTIGVSARQENRGSEVKTPKSGPQKQTGQKKFWKKLTPAEMADRRAKGLCFNCDDLYTPGHVCTPVLFHIMPVMEGDNQDDEWIEEDELEISINAMNGEQNERTFQVQANIMTGRGWVLLDTGSTHNFIKSSLVEKLGIPMHRKPGRFVALPNGGRCPIEGFCQNMSMSVQGHQFKTDCFAIPLKGFDVVLGIRWLNALGRVIWDGPNKTVEFNHGSTPVIWHGEAEERGKTNISLHALGADSKGLEHWFSDEEEVFTTPGTSIRRIPQAPKQRICTLNPRYSVPNPSRD >A09p004170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2409385:2410266:1 gene:A09p004170.1_BraROA transcript:A09p004170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDDEARRASPIESAITNDDASRPIQSSRKRNWDQLVACRIRDELIIAREIVINDAESSLRHKLTLRSTQEEIQRCTGAVVITRGKYRFPNAPPDGEKPLYLHISAASHLKETTERILAVDRAAAMIEEMMRQKPSSQLGVVGFHTVK >A09p062550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51133332:51135403:1 gene:A09p062550.1_BraROA transcript:A09p062550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 20 [Source:Projected from Arabidopsis thaliana (AT3G56740) UniProtKB/Swiss-Prot;Acc:Q9LET3] MNGGPSGFHNAPVTKAFVITSALFTVLFGIQGRSSKLGLSYQDIFEKFRIWKLITSSFVFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSGTLSLLLEVTLLSLLKDTTENLLTSGPYGLIFASFIPFYLDIPVSTRFRVFGVNFSDKSFIYLAGLQLLLSSWKRSIIPGVCGIIAGSLYRLNILGIRKAKFPEFVASFFSRLSLPSFSSSSPPTPSRNIVGTISPNTVRRAEARLLSFLSNICFRSQPAPMASSVEPSEEAIATLVSMGFDRNAARQALVHARNDVNAATNILLEAQSH >A07p041120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22207705:22208964:1 gene:A07p041120.1_BraROA transcript:A07p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPVEVIGNILSRLRGARDVVIASATCRKWREAYRKHLHTLSFNSADSPFYRDLSTNRLEILITQTIFQTTGLQGLSVMMDDASKFSAATVIAWLMYTRDTLRWLSYNVRTTPNVNVLDICGRQKLEALVLAHNSITGVEPSFQRFPCLKSLSLSYVSISALDLNLLLSACPVIESLELVSLEIAMSDAQVTIELSSPTLKSVYFDGISLDKFILEADCIEFLHMKDCVLELFELIGNGTLKHFKLDDVSVIHLDIMETSDSLEVVDVNHFTMVWPKFYQMISRSQNLRKLRLWDVVFDDDDEIIDLESIAAGFTQLTHLSLSYDLKDGAAHYSLQGNTLLENVTVLELGWTVINDVFSIWVEELLRRCPKLRKLIIYGVVSETKTQGDCQILATFTWSIVQLMRKYIHVEVQFEYE >A08p018560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12774392:12775795:1 gene:A08p018560.1_BraROA transcript:A08p018560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSGLSQCYKKLHFSPPPMPFSLEVDSKPSSDLRSQLSISSHGREGQGKEIESVPKCGDHSLPLLTNKTEPEVMSISKKQVTMMKLKVDLDCAKCYKKVKKVLCKFPQIRDQIFDEKSNIVIIKVVCCSPEKIMDKLCSKGGGSIKTIEILEPPKPPQPQPQPPPQKPKDAPKAPEKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKQPEKPKEPEKPAAPKAAPAPAPAPAPAPAPAPAPAPAPVPKQPGPPPQMVPIMPQGQPAAMCCGPYYGGYGCGPTFNGYGMPPPQPYECYGRPVYDSWGGGPPPSYRQCHLTRCDYFSEENPQSCSIM >A09g518020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54452192:54453008:1 gene:A09g518020.1_BraROA transcript:A09g518020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRKLLTKRLFSISNQASQSLTNCRISSSSLAVRTRVPKESGEARIAPEPGDSSISRRFLHNTAMIRPEIMQMPLGESLIEKLREIDDSKGRIRLDGIAPPMETETPSLTVEDTKKLLRAAQIEIVKTKLRETGKSWMAYEEFVSVCGEASSDLDQGAKIAKMLDDSANVIVLGDSVCIRPDQEIEKTSVNQSGRRFPSLRW >A10p022310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14733265:14734797:-1 gene:A10p022310.1_BraROA transcript:A10p022310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHQSQRRRRRHSAVCNRHPTSKPTTGFCATCLRERLSTIEALSSDQAPELRRARSHSVQEEEEDEGRASMRLLEEEEEDGEKKTMKEFIDLESRTRQIKKSNDKEPSSSSFTSVFTRTLKKLSLKQHPKNSQNGDVYSKTALGRRSCDVDPRLSLDAGRVSFEEHRASWDGCLIGKTYPKLVPLSSVTEDAKASPEKEEKKPGGTAQTRDYYLDSRRRRSFDRSSRHGLVDVEEVKAKLSPESVGLFHGAKLLVTERELRDSNWYSIKNYKPESLELASKGGLGCVAGGGEVKQDGFGLKKAGKKWGKGWNLWGLIQRKADTEVKTEQSLKLGGNAMEGSFAESLLKLRRVAKGESNGDVSEKLLRSYSVSARKSCDGGMFHGASIVNGVEGGRSSCDGLFHGSIAGGAEAGRRSLCEDKQNHLLQRDAKLGGTYSPDSLRNGMVRFYLTPLKSHVTSNSGKSRLMN >A03p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6690308:6690759:1 gene:A03p016780.1_BraROA transcript:A03p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSFFTCLVLTVCIVASVDAAISCGTVTSNLVPCAGYLMKGGPVPASCCAGVSKLNSMAKTTPDRQQACKCLKTAAKSVNPSLASSLPGKCGVSIPYPISMSTNCNTVK >A09g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25067838:25068620:-1 gene:A09g508920.1_BraROA transcript:A09g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSLRSDRARTQLKRYVATMLQPSSVATYTARSLRSDRARTQSPSLRSLLNPHRNAFRFVSIGVSVEILRRKQVGLFLVCFYSLHSDLSDLHSPHSDLSDFWTKTSKTRINSFVCSYSPLPPSLCSPSNLDRTALVSSQLELPLKLYDKKTRKDLFSCMDSN >A05p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24580300:24581958:-1 gene:A05p040130.1_BraROA transcript:A05p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRS2-4 [Source:Projected from Arabidopsis thaliana (AT3G58970) UniProtKB/TrEMBL;Acc:A0A178VB54] MGEGNLSFRRLSSIRHRKKRTAAKDEAESSLISSSPPPTPITPAAVAAKAAKKKAGGARLWMRFDRTGAMEVVECDKSTIIKRASVPPRDLRILGPVFSHSSNILAREKAIVVNLEVIKLIVTAEEVLLLDPLRPEVLPFVERLKQQFPQNTDASGNVVQSSSLLDQEAGEGLQSELPFEFQVLEIALEVVCSLLDTSVDALETEAWPVLDELTKNVSTENLEYVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMADLYLTRKWIQQNEQSEAELGETASNRLSRATSNRSSSMVTSNTKDDDVEDLEMLLEAYFMQLEGMRNRILTVREYIDDTEDYVNIQLDNQRNEMIQLQLTLTIASFAITANTLLVSLFGMNIHCPLYEMDDVFGYFLSSVTAVCVVLFMLTLGYARWKKLLGS >A08p045780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25035103:25036679:-1 gene:A08p045780.1_BraROA transcript:A08p045780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSVGLNLRETELCLGLPGGDTASPVTGTKRGFSETVDLKLNLNNEPESKEGSKSHDVVSAISKEKSSCPKDPTKPPAKAQVVGWPPVRSYRKNVMGSCQKSSSSADTAAFVKVSMDGAPYLRKIDLKMYKSYDELSDALSNMFSSFTMGKNGGEEGMIDFMNERKVMDTVSSWDYVPSYEDKDGDWMLVGDVPWPMFVDTCKRLRLMKGSDAIGLAPRAMEKCKSRA >A10p029320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18006791:18007623:1 gene:A10p029320.1_BraROA transcript:A10p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSNQISREKVKLGDHIYTWRTAHAYAHHGIYAGKGKVIHFTHGGGPPSGISVSSTVKYYPLCLICKEHYNFEGYKVIHSCLDCFLAGGDLYLYEYNVSMRFFIAKTIGGTCTLAPSDPPEDVLVRANFHLKIDGCFGEYRLRKNNCEDFAIYCKTGFLVYKKNSFGTSGQVNAVAAASLGLAVNACGLTMLGISAYCYIRVKSDVSRMHRKGKVIEVPAEKLVSILESDCTSPRVEWLSIAGSKVKGK >A01p055820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31682927:31692220:1 gene:A01p055820.1_BraROA transcript:A01p055820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSQSKQATSCPILKELALSLSPPSPSPIYHSSFLKATILIIGLVWSFQAALGIRFVIDREECFSHKAEYEGDTLHVSFVVIKSDSQWHFNEDGVDLVIHGPTGEQVHDFREQISAKHDFVVQKKGVYRFCFTNKSPYHETIDFDVQLGHFAYYDQHAKDEHFTPLMEQISKLEEALYNIQFEQHWLEAQTDRQAIVNENMSKRAVHKALFESFALIGASVLQVYLLRRLFERKLGMSRCRRFILVDDEYKFFFDHHLSGGDNTFLPPFDDDNVESETRSLGFKFSGGSKISKKPINNRVSQKRKRKEIGSEAEASRAFPVATTRESIQRDNRASERRKRKMAEFESASVSRNQVKIQQDNKARKVSESTKVNTSRDVPLVRATQRDNKARKVSKVDTLRDVPLVRTKETIQQDSKAKKMSDVPLFRTKEVTRRDKRVAERRKKKIDETKAAGTSRVVHVEKVNRDVDKTPRVVPVTQSNKGVSVGTRKKKNEAAVDKDYVSYLTWLVDSLKASTTVPVQSEKDLLAKVKVEQDTESCSDDGDDDIMVSDSPFLSGDSTTPFVVSKSKTVIDLEKDSTEDESRNCVFTKELMEALEKPYDKRELLKLFGDVSKKKPVIRCKELRNGRVKNYETSELAPSYLEKVSDFDREYKRVNCDDKARLKLLRGFFFYLKNVTRAVVMVGVSFGEQQFIKGGIAQDLRTDGRKRLSYRDIYVETGVIPQANGSARVRIGGTDVIASVKAEIGRPSSLQPDKGKVAVFIDCSPTAEPTFGGRGGEELSSELALALQVCLLGGKSGAGAGINLSSLLIKEGRVCWDLYIDGLVISSDGNLLDALGAAIKAALTNTAIPKVQVSAEVVDDEQPEIDISDEEYQQFDTSSVPVIVTLTKVGNHYIVDATAEEESQMSSAVSISVNRAGHICGLTKRGGCGLDPSVILDMISVAKHVSETLMNNLDSKISAAEACEDDEYVCNDDHCLNQSYLYLKETTTMSSRDHHHPPEPLDFFIWTVEDVGSWLEEINLGSYRLIFKENGVNGEYLESMSVFTTEQILHFIRRHHMKWGDFITLCKELRRIKVACLKGEQRVRRPWWAPSCLSVVFVKAAKRNRQSRVVSLKLES >A04p018460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000169.1:13140:14621:-1 gene:A04p018460.1_BraROA transcript:A04p018460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISDLPDEILHHIGSFLSAKEAAFATLLSKRWRTLFTIIPNLHFSGSLTRAGGGRFKDFADGVLALPVSSRVRTFSLKCKHVARSQKTTLLLSGVQEPKHHGSPRDGDYMFGKWCSTVSSTTLKRLTIRRMEWFSYDETDEENIRVDSRCLTHEFDNVSFHTPALTYLEYSDYVPKEYLSVNLDSLVEANLNLWVDEEGVWRPYHATTFNPMTLINGLKHVAILSLTSEAVEMFDVLNESIPMFVMVSQLSLGLSERCWFSLTNVIKKFPNLKTLIIEGSLHYDYSEYSCLLSCPVEVLKINEYYGSVNELEQTKHFLGKLPCLELVVVCARETSSEAKLQIMAELLMIPRASSKCKIQLGFVIRIQFSPKYC >A09g519350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60082275:60082664:-1 gene:A09g519350.1_BraROA transcript:A09g519350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVASRGVALRGALERPHDVAAEPRSHALLVQ >A06p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26561379:26562456:1 gene:A06p050510.1_BraROA transcript:A06p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAIGGVQEEVTHPSALRAALAEFISTLIFVFAGSGSGIAFNKLTDNGATTPSGLVAAALAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSVVACLLLKFATGGLAVPAFGLSAGVESLNGFVFEIVMTFGLVYTVYATAVDPKNGSLGTIAPIAIGFIVGANILAGGAFSGASMNPAVAFGPAVVSWTWTNHWIYWAGPLVGGGLAGLIYEFVFINQNGHEQLPTTDY >A10g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3599388:3600157:1 gene:A10g501240.1_BraROA transcript:A10g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNRVILETSSSQLPQFLALHTVPRTLHILWKRLRKPLDHIKCRHFLVRKECNRVATTIATSALQSQWHQSYISAKGPRWLNACIGREASHAT >A02p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11721501:11722122:-1 gene:A02p023530.1_BraROA transcript:A02p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLEKQRPQSSSSEEIGTNDENQAWTIGDHLTTCERWMCGLFVLQGIIEVSRVANEQCSWPSVCAFCKESGTNQLTVSNFVAKSQLGTARKTVLGNLTLDFGFDMGTSGRTFGHM >A05p008820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3640772:3647184:1 gene:A05p008820.1_BraROA transcript:A05p008820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) UniProtKB/TrEMBL;Acc:Q8L5Y4] MTKVYGTGTYDFKRHRVAEYPLADKPLESKPGSNLPSSITLSEIQHDRLTKIAEESWIKTGGEMPEKPFDPEVVKEIYATELKVVSGRKPVPLQRVMVLEVSQYLENYLWPNFDPETATFEHFMSMILMINEKFRENVAAWICFHDREDLFKKFLQKVLRLKEGRDLTIAEKTNYLVFMINAFQSLEDAVVNETVLSLAGLQSWHSLSYGRFQMELCLQPDLIKKWKRLSKKWAAEAMSKGEQFDPSSSPEANFVRSLIEEFVEVLDHGVFSDEGDDTAGFQLVDDSSVLYCERFMEFLIDMLNQLPTRRYLRPLVADIAVVAKCRLSVLYKHEKGKLFAQLVDLLQFYEKFEIKDHDGTQLTDDEALQFHYDRFMAFQLLAFKKIPKLRDVALANIGSVHKSSDLRRRLSALSLEDLRDVVCSKLKLVSRNDPWADSKDFLTEVVVSSFEKQQSQKEAINALPLYPNEQIMWDESVIPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAHIDNEGETAFRGWSRMAVPINGFKIAQVKQPNIGEEKPSSVTAEVTFSIKSYRTQIRSEWNSLKEHDVLFLLCIRPSFEPLGAEEADKATVPQRLGLQYVRGCEVIEIRDEEGNLMNDFSGKVKRDEWKPPKGEMRTVTVALDAAQYHIDVTDIAEKGAEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPEWLHNVFLGYGNPSAAQWPNMPNLLKTVDFKDTFLDANHLSESFPDYEVSFVNSDGGEVLDPRPPFRITLPKTLKGNANALSGNKISEINPADNADMVDVSPKEKLIVEAYTPPDPGPYPQDQPKQNSVKFTPTQVGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQIPEDVGYTCETAGYFWLLHVYSRWELFLAACAGNENNPSFVQDRFPFKEFFSDTPKHVFNGESFEKDMRAATGCFSHLKTVFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRRDFLKLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLAKLYNWRYRDLGDLSIVKEAPVFHKANAGFSYDYQLINVPDYEGRGESTPSPWFYQNQGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYAFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARIGLYVFCRRSLFEQCYELQPTFQLLLKRPDRLGLNLSENTSAYTDRAVEEVGNSYLVHDVEEMAHIVHDRMNEFYKAQGVYEQYQNNMPQIEDGNQDMKSDSVVGEDGESEKTVQPELDGVVDETSTEMEVDNGVSSENGKADEN >A02g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2802103:2815490:1 gene:A02g500900.1_BraROA transcript:A02g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLFLHCISLYQVLEFPLEILEASKAPERGTGATCDTRSRRIEGWRDVLHRGDTPAPAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSTMAKTNKTDMEAKKAAAAKREFELRGKPLEPAEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKMSKGKGIALERDRSKSPTVEELHHHLAKGVSWVPTRFADPKTMEELGIENDVRTMLQHMKMESFYSMAYPTYVEPSSQFLATLEASFYEGNHVRHGWGKIKFTVNGKSYFMSFKEIGAMMGIEDNEDQTLPRFKKLPTGVWRVISGNQHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRLLYRAVIDNVTPEQLEEFEETDKMKFPTTDIFKRFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNRKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFHTSSIKKERLTFFSETPLLQEHHSSAHPAMLWQCLAPNFPHRTFVEKCDINALSPKPRTSWPQHQVQQ >A09p064440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51913430:51917955:-1 gene:A09p064440.1_BraROA transcript:A09p064440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNARLAVLSAHLEVSDPMPAAIKPWCTSSAGAPHGSLMGNLTIVDERTGKKYQVPVSEDGTVKSIDLKKITTGKDDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAENCTFLEVAYLLIYGNLPSQRQLADLEFEVSQHSAVPQGLLVGGGVERSVVIRRDSTSRRRWKARRWEFRSSRLKSGRFTQIWLQAGVRQCWRTVLALCLCVLPVRLDPGDFSMSWLEDSRGEMWRGPSLVSWISEFGLGKWRLFPDFVLMSGVLRGHKFHRDEASSSLSKSVGVVNSSFSPLPNKSSSLAGELGHSLANCAAKVLARSVEPRHTSSPLSGTSGFDRVEAFTPNASIRAADIIQSMPQDAHPSGAFVSAAAMSALSLFYPDANPSHMGQDIYKSKQVRDKQIFRILGQAPTIAANAFLRTSGKPPVLPSSNFSYAENVLYMLDSMGDKSYKPNPRLARVLDIIFILHAEHEMNCSTAAARHLASSGVDVYAAISGAVGALYGPLHGGATDAVSKMLSEIGTVENIPDFFQCVKNKKRRLSGFGHRVYKNYDPRAKVVKKLAYEVFSIVGKDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLVYRAMEIPPQFIAVPRMAGYLAHWLESLDDPDTKIMRPQQVYTGVWLRHYAPVN >A10p032620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19242098:19243091:1 gene:A10p032620.1_BraROA transcript:A10p032620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPERTKFGGPKELCGAVDLLSQYKLSQHHEFFCKKSLSASLSDSHYLHNVVGDTEIRKGEGMQLDQLVQNMSQSRETNSTRIQPFEMDELMEAFQLSDTTPVELPLEEKGAPTIPPKSKSESKDKDRKHKKHKDRGKDKDREHKKHKHRHRDRSKDKDKDRDRKKDKNGHHDSGEHSKKHHDKKRKHDGDEDLNDIHRHKKNKHKSSKLDEMGAIRVGG >A05p052870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30507484:30511328:1 gene:A05p052870.1_BraROA transcript:A05p052870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVASSGSNGGSVRARKEKKLTYVLNDANDTQHCAGVNCLDVLKSSVSSDQSYLFTGSRDGTLKRWSFDEDAPLCSATFESHVDWVNDAALAGDSTLVSCSSDTTVKTWDSLSDGVCTRTLRQHADYVTCLAVAANNCNVVASGGLGGEVFIWDIEAALSPVTKANDSTEDSSSNGANGLGSSQPVASLRNVGSSNNISVQSSPSHGYAPTVAKGHKESVYALAMNDTGTTLVSGGTEKTGRFCLSGSSDSMIRLWDLGQQRCLHTYAVHTDSVWALACTSSFTHVYSGGRDQSLYLTDLGTRESVLLCTKEHPIQQLALQDDSIWVATTDSSVERWPAEVQSPQKVFQRGGSFLAGNLSFNRARVSLEGLNPPPAYKEPSITIPGSHPIVQHEILNNKRQILTKDAADSVKLWNITKGVVVEDFGKISFEEKKEELFEMVSIPSWFTVDTRLGCLSVHLETPQCFSAEMYSADLKVSGRPEDDKINLARETLKGLMGHWLAKKKHKPKPQASASGDILSVKDSKKNPTASKSEDSSAANDPVYPPFEFPSVSPPSIITEGSQGGPWRKKITEFTGTEDEKDFPLWCLDAVLNNRLPPRENTKLSFFLHPCEGSNVQVVTLGKLSAPRILRVHKVTNYVVEKMVLDSPLDSLAIDGGPQPLFAGNGLLPSGSKPWQKLKPSIEILCNNQVLAPEMSLATVRVYIWKKPEDLILKYRVAIAR >A06p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3432406:3433940:1 gene:A06p009700.1_BraROA transcript:A06p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDNQYCIPGDEDSVVLRLGPPGQQYPNNHKPTSTKPSPNHEIDHNLPLTNPNDVTVALHIGPPVSEKETSGDNHEGLTARQGQYWIPSLSQILVGPTQFSCSVCNKTFNRFNNMQMHMWGHGSQYRKGPESLRGTKSSSSILRLPCYCCAEGCKNNIDHPRAKPLKDFRTLQTHYKRKHGAKPFRCRKKCEKTFAVRGDWRTHEKNCGKYEFPK >A09p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13907058:13912542:1 gene:A09p025000.1_BraROA transcript:A09p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKKVRYGKGGHLFMEKLISGCHVLEELNLVRYQYGWMETLCMRSQTLKIFCLTFHPDFSVSTDPEPEQKMDLTKVPQFLISTLERVEINKVNMWEGPGMKLATYFIMNSAVLKKLSLNDSDLTKQEIDFYNGLFILIRSSRKCQVFFDDMPLIMLGRN >A03p047040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22600429:22602256:-1 gene:A03p047040.1_BraROA transcript:A03p047040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G25140) UniProtKB/TrEMBL;Acc:W8PVC6] MANHHRLLRGGGSPAISSSGGRIRLTAFVATIALSLFTLSFLFASESNNDSPDLFLLPGIGSIRRSMLDIKPDPLKPRLLQIRKQADDHRSLALAYASYARKLKLENSKLVRIFADLSRNYTDLINKPSYRSLHDSDGSSSIDESTLRQFEKEVKERIKMTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKGLHCLAMRLMEERIAHPEKYTDEGKDKPAELEDPSLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKEYKGAHVEVKAVEDYTFLNSSYVPVLKQLESSNLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRALWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIRNAAVVHFNGNMKPWLDIAMNQFRPLWTKHVDYDLEFVQACNFGL >A04p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12947485:12950969:-1 gene:A04p021370.1_BraROA transcript:A04p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIAGEELKKMDKTQVHVAREEKILVLVRLRPLNDKEILANEAADWECINDTTVLYRNTLREGSTFPSAYSFDRVYRGESPTRQVYEDGPKEVALSVVKGINSSIFAYGQTSSGKTYTMTGITEFAVADIFDYIFKHEDRAFVVKFSAIEIYNEAIRDLLSPDSPPLRLRDDPEKGAVVEKNREEILRDWNHFKDLISVCEAQRKIGETSLNERSSRSHQIIKLTVESSAREFLGKENSTTLMASVNFIDLAGSERASQALSAGARLKEGCHINRSLLTLGTVIRKLSNGRQGHINYRDSKLTRILQPCLGGNARTAIVCTLSPARSHVEQTRNTLLFACCAKEVTTKAQINVVMSDKALVKQLQRELARLESELRNPAPATSSCDCGVALRKKDLQIQKMEKQLAEMTKQRDLAQSRLEDFMKMVEHDESSKAGTPHFCNGTNKWEDGSVSETSGVVDPDRTSFISDGTSTPQSTARAPVRSHSDEDLEEVSSPGDQSEEYCKEVQCIEMEESSASDVINHDEERTDAETHLEVNPETGLARNRNPSSVRSVRMRKSWSRGEGTSTPPDDALETDYYPGRPEVHDVALPGLEFGSGMNLMRSDSMSSRGSDSTEAHSVGTPMVGDDGGITSISSFVQGLKEMRNDDIGLDKEEVSGTMTNWSEEFERKREQILELWETCNVSLVHRTYFFLLFKGDQADSIYMGVELRRLSFMKESFSQGNQAFERGQTLTVASSLKELQRERRMLSNLVGKRFSGEERKRLYEKFGIDVNSKRRRLQLANQLWSKPKDTIHVVDSAAVVAKLVRFVEQGRAMQEMFGLSFTPPLPTSRRSHSWRKSMATLF >A04p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5722536:5724377:1 gene:A04p014800.1_BraROA transcript:A04p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTYEQEKTLIELYDEAISMTDYTLKDPTVLGRDLMVDKFNRAFNLNINYAFFKNKLDDLKKNVKKWKFLMTSTGITVDLETSMICASNEWWKARESVCKITRSLKRQPPPFWDVMTLMARTYLNIMFLKPKKTKKYIGLTSMLIPIIQMNILKIQLGFLLEEVRNIQEVRNVQEVKNVQDVGAVHKEQEDVGVLRKRLVETQELMLEVLQEVIEEENHLRLQYKTQLMDIKNFNNRVYNSYAQLGSGQLFGSPHSGGLSGSSSSVGNSSRGNSFQNSGAPPTTKQWGTPPNVQHWEHHQMFKIGDTTKCSKLGNTTKCSILGDTTKCSTMGCTSKWSQLENASKCSIMEYTTMSSAMEHSTKFYHGHQASNIQQAGSSGTTPTNVQLRIYSWKSSASIDTSPKVHQSPSANIGLNHFESGSTLQRPRRGGRFNIWRTTEEPNEGHHSDSGDKD >A03g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17863496:17866174:1 gene:A03g504990.1_BraROA transcript:A03g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGVRSPDKDHQIVEHELQETGFSPEPEKATNKRDEEEEDEEEDESVEKIFESREVPSWKKQLTLRAFVVSFMLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKVLYKSGYLKQPFTRQENTVIQTCVVASSGIAFSGGFGTYLFGMSERIAKQSGDASRGFKDPSLGWIIGFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRMLGKFFSLSFLWSFFQWFFTGGDNCGFSNFPTFGLKAYQYKFYFDFSATYVGVGMICPYIINISVLLGGILSWGIMWPLIETKKGDWFPADLRGTSSRASFTVEEDPTASPYSPKQSYDDQRRTRFFLKDQIPTWFAMGGYIIIAATSTAILPNMFHQLRWYYILVIYICAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSEHGGMLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPRSMFISQVIGTAMGCVVSPCVFWLFYNAFDDLGLPNSEYPAPFATVYRSMAKLGVEGVASLPRECLVLCYAFFGVAILVNIVKDSLPSRWGRFVPLPMAMAIPFFLGPYFAIDMCVGSLVLFVWERVDAAKAGAFGTAVASGLICGDGIWSLPSSVLAIAGVSPPVCMKFLDAATNSKVDKFLHRS >A10p002620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1357199:1359532:1 gene:A10p002620.1_BraROA transcript:A10p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRU2 [Source:Projected from Arabidopsis thaliana (AT1G03880) UniProtKB/TrEMBL;Acc:A0A178W5K4] MGPTSLISFFFTFLALFHGFTAQQWPNECQLDQLNALEPSQIIKSEGGRIEVWDHHAPQLRCSGFAFERFVIEPQGLYLPTFLNAGKLTFVVHGHGLMGKVTPGCAETFMDSPVFGQGQSQEQGQEGQGQGQGFRDMHQKVEHLRCGDTIATPPGVAQWFYNNGNEPLILVAAADIANNLNQLDRNLRPFLLAGNNPQGQQWLQGRQQQKQNNIFNGFAPQILAQAFKISVETAQKLQNQQVNRGNIVKVQGQFGVIRPPLRQGQGGQQPQEEGNGLEETLCTMRCTENLDDPSSADVYKPSLGYISTLNSYNLPILRFLRLSALRGSIHNNAMVLPQWNVNANAALYVTKGKAHIQMVNDNGQRVFDQEISQGQLLVVPQGFAVVKRATSQQFQWIEFKSNDNAQINTLAGRTSVMRGLPLEVISNGYQISPQEARSVKFSTLETTLTQSSGPMGYGMPRVEA >A03p029940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12572661:12574962:-1 gene:A03p029940.1_BraROA transcript:A03p029940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHFIHKFRHSSNREDMANEEEEESPTELNTINSSGGFLIVSPDKLSLKYTGAGQHGHDVGVVQANKPAPFKRHAYYFEMYVKDAGVKGRVAIGFTTDNFKTGRHPGWELNTCGYHGEDGLIYLGKRQGEAFGPAYTTGDTVGAGINYDSQEFFFTVNGTLVGTVSKYIKGPLFPTVAVHSRNEEVSVNFGLEKFAFDFKGYEVSERNKQQIAIEKTSIPPNMNYRLVKNYLLHFGYEETFQAFDLATKSTVPPIYFAQEDGVEVQDTAYALNERKILRQLIGKGEIDAALAKLRDCYPQLVQDDKSEVCFLLRCQKFIELVRIGALEEAVKYGRVELAKFIGLNVFQDIVEDCFALLVYERPQESNVGYFLEASQREVVADAVNAAVLSTNPKHKDRLYSHLETLLRQLMACCLEQRLLNDGQGESLSLNRLLKNNNCKRIKKID >A05p040100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24567385:24576333:1 gene:A05p040100.1_BraROA transcript:A05p040100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPFFHKLIFSSTIQEKRLVINHGVPCDARKRVEETVKIFFDLPMEEKIKVKRDEVNPAGYHDGEHTKNVRDWKEVFDIYFKDPMVMPSSTDPEDEGLRVVYNKWPQFPSDYREACQEYAGHAEKLAFRLLELISLSLGLPKERFHDYFKEQMSFFRINRYPPCPRPDLALGVGHHKDADVISLLAQDDVGGLQISRRSDGVWFPIRPVPNALVINIGNCMEVWTNDKYWSAEHRVVVNSTRERYSIPFFLLPSHDAEMKPLEELLSPENPPRYKGYKYGKFYVSRNRSDFKKLEIQNIQIDDFKVPLYYQINIRITAKTAMGRLEEAFIQAPEHRPITHLTNSGDFIFSDEIPTIDLSSLQDPNSDKTSIAKEIGKTCERWGFFQVINHGLPLDLRRRVENTAAEFFNLTAEEKRRLRRDEVNPMGYHDEEHTKNVRDWKEIFDFFLQDPTIVPATPEPEDTDQRKLTNQWPQHPSDFREICQEYAREVEKLAFKILELISISLGLPGDRLSGYFKEQTSILRFNHYPPCPNPELALGVGRHKDGGALTVLAQDSVGGLQVCRRSDGEWIPVKPIPDALIINIGNCMQVWTNDKYWSAEHRVVVNTSKERFSVPFFFFPSHETNIEPLEELLSEENPPCYKKCNWGKFFVSRNRSDFKKLERVPDKFVSKFKDELSVAVALTVPDGHVWRVGLRKSDNNKIYFQDGWQEFVDRYSIRIGYLLIFRYEGNSAFSVYIYNLSHSEINYHSSALMDTAHTHLKRARLFEDLEDEDAAEVVYPSSSVYPSSQQHPEVTVAAIKGYASPAIQSFFAGPPVKAEEATPTPKVTKKRGRKKKNAVPEEVNSSAPRDDDPESRSKFYESASARKRTVTAEERERAINAAKTFEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKVQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNLGEGDVCVFELLRTRDFVLKVTAYRVNSI >A04p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2452255:2454473:1 gene:A04p004750.1_BraROA transcript:A04p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTLMGDNQKRLSSVSSSSSHLSCSPSLTTAQAEGGSPMIAEESWMIAEERAHEILSIIQPFYVSDRNRNEIIDYVRALIKSHDGIEVFSFGSVPLKTYLPDGDIDMTVITKQDMEDKFFEKMYNTLKSEEGKPEFDVTDVKFIPAQVKVIKCNIRNIAVDISFNQMAGLCALCFLEQVDQLFGRDHLFKRSIILIKAWCYYESRILGANMGLFSTYALSILVLYIINLFHSSLSGPLSVLYKFLDYYGSFDWSNYCISLSGPVPISRLPELMAASPENRQELLLDQKFVRDCVKLYPAPTKSVETNGLEFPIKQLNIVDPLKYSNNLGKSVTIGNVKRVKHAFTLGARKLRDVLSLPCETIGWRLEKYFGNALERNGKGQRQDVKDPVTAFGTGRSELSDLSGDFEGYYGRLVSYQNNEFYRRAMNASTSMQPNGFQNMGKRRGTGTYIPDMSQQLYHARFRGSGAGNSAAHHIGASSSCNLSGEVSTSSASIKGEECVNSEPCQSSPPENIGDSISSRTLEMENGKEEESKTSQKLKSS >A08g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4621041:4621431:1 gene:A08g502020.1_BraROA transcript:A08g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G23450) UniProtKB/TrEMBL;Acc:F4JNK0] MGCCCCCFPILASNLYTAATLQPPLLFQLHLDECPICLEQYDIDNPKLLTKCGHNFHLACILEWMERSEACPVFDKVCVSSKTQFSFHIDFLLGAL >A06p010760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4071363:4072888:-1 gene:A06p010760.1_BraROA transcript:A06p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPRRFNRFAAAFDVVAARTRPPCDSSSGSDHFPEETQDLWDLIESFIDREVKVLSGEVPPGEEDDDKSDADDDDDEDVKERLREILENHGGGGERRRIIDEVVNASELVGEKRYLMAYLRKKGFDAGLCKSRWERFGKNTAGKYEYVDVNEGDKNRFIVETNLAGEFEIARPTTRYISLLSQLPRVFVGTPEELKQLVRIMSFEVRRSMKRAEIHVPPWRRNGYMQAKWFGHYKRTSNEVVTRVWSCGCGPRVGFEESVETATFIGSKEVEWKRNGLKKVGQLTVAFKQQ >A07p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1636168:1637001:1 gene:A07p006540.1_BraROA transcript:A07p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTPMRSDHGTLQNMLGTDLNELATAAKNLANHTFMLTGLGFGTSILEWIASVAAIYLLVLDRTNWKTNMLTSLLIPYIFFSLPSVIFSLFRGEIGKWIAIVAVVLQLFFPKHFREWFELPAAAILLIVVAPNLIAYTFRGNLVGLIICLGIGGYLLQEHIRASGGFKNAFTKANGISNTLGIIALVVFPVWAIIF >A08g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11257883:11260291:-1 gene:A08g506530.1_BraROA transcript:A08g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSETTYLLVVWKSSLGKSSDKVVWTSRKSSGLPGSRLDFQEVVWISRKSSGFPGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGRLEVKSSGLLKSRLVLSTQVESKLTTYNSVVHETTEIRLKFKSSGEVKLLKLSSQMQN >A06p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1359563:1362291:1 gene:A06p004870.1_BraROA transcript:A06p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGGSLLGVSLLLTVLLAAATTAAEYYNYGSALDKTFLFFEAQRSGKLPATQRVKWRGHSGLTDGLSQGVSLEGGYYDAGDHVKFGLPMAFSVTMLSWAAVDNRKELSGLNQMQQTLWSIRWGTDYFIKAHPQPNVLWGQVGDGQSDHYCWERPEDMTTSRTAYKLDQYHPGSDLAGETAAAFAAASLAFKPYNSSYSAILLSHAKEVSVVLGSYLLFSFADKYRGLYTDSIPNAKAFYMSSGYSDELLWAAAWLHRATGDQYYLKYTIDNAGYMGGTGWGMKEFSWDNKYAGVQVLLSKVLLEGKGGPYTSTLKQYQMKADYFACACLKKNGGYNIQTTPGGLMYVREWNNLQYSSAAAFLLAVYSDYLSAAKAKLNCPDGSVQPQALLDFAKSQADYILGKNRQGMSYLVGYGPKYPIRVHHRGASVPSIFVQRSSVRCVQGFDSWYRRSQADPNVIHGALVGGPDQNDNYSDDRTNYEQSEPTLSGTAPLVGLFAKLFVGSYGGGYSKPYQTTKPPATSYKATPTTYTPKQSGAPIEFLHSITANWMAGNTRYYRHKVIIKNNSQKQISDLKLKIEDLSGPIWGLIPTGQKNTYQLPQWQKTLRAGQTHDFVYVQGGPQAKVSVLSYY >A04g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6225295:6240052:-1 gene:A04g502690.1_BraROA transcript:A04g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSYEHSVKFFTIKVPSLQRLRIYDDNDEDKFVGYVIDTPSLKYLEIGYLGCPQFSLNAPGLVVAYIGRVSNVISESLVSVRCLVLNVSTSMTIYPPTGCIFYQLVYLQIYMHESGWYDLLTWMLKHSPKLQVLKLVGLDKLKRRRRTRKKLDGVLKASNTCHLVFNVMAEEMNIGQLDENLILKILSLVPIKTAVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSCEHSVKFFTIKVPSLQRLRIYDDNDEDEFVGYVIDTPSLKYLEIGYLGCPQFSLNAPGLVAAYIGRVSNVISESLVSVRRLVLNVSTSMIYTHDPGWYDLLTWMLEHSPKLQVLKLVGKYRINPDYHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRRRTRKKLDGVLKASNTCHLVFNVMAEEMNIGQLDENLILKILSLVPIKTVVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTVFSLHLRTLVLEFLIHPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSYEHSVKFFSIKVPSLQRLRIYDDNDEDEFVGYVIDSPSLKYLEIEYLGCPQFSLNAPGLVAAYIDRVSNVISESLVSVRRLVLNVSTSMTIYPPTGCIFYQLVYLQIYTHEPGWYDLLTWMLEHSPKLQVLKLVGKYRINPDYHVLGWEWNKPKSVPECLLSHLETFVW >A04p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:753036:753471:1 gene:A04p001580.1_BraROA transcript:A04p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAIQLHLFISLVSHKMAKNTTFAIFMIVLVLGMVMKETQGQQLCHERFIGATPCVPPQCAEQCTAKWGERAGRGTCLGGPGPANTCLCTFKCQI >A02g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12066879:12067122:1 gene:A02g503570.1_BraROA transcript:A02g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQMRKLNFKKVAFMSPYHRISMLQDIMESSNSYDFSFCYVPRNRVTSVDELAKNVKM >A03p045100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18846236:18846544:-1 gene:A03p045100.1_BraROA transcript:A03p045100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVARLASQSAVVIFSKSTCCMSHAIKCLFYEQGVSPAIVEIDQYMYGKDIERALARLGCSPQVPVVFIGGKLIGTATTVMTLHLDGTLKRLLKEAGALWL >A02p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1930983:1932188:-1 gene:A02p004440.1_BraROA transcript:A02p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQVLPAASEAVLPIATGRLFTPGFRFRPTDEELISYYLKMKVQGKPMFLDAIGEVDVYKHDPSDLPEHSRLMKTKHQEWFFFTTPPEKHGKRENNKGYWIQKGEDKKIKRGDNQLIGMVKRLLFNRGCAPNGQRTYWVIREYRLVDDGHGLKTDAYVLCRVSHNQSSRPPRGNINAPFSEQECGRDDDDEKKIQQESNSGSKILFDLNELPREFDDNNDACIPHSALNKEPFIHYRRKRQTKSSVAAEMLEESEPEPVDSTMMVPTSSYTELKQQMAMERERYKLETNALRTEIEELKKKNSNKWQCS >A07g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23401545:23403319:-1 gene:A07g508500.1_BraROA transcript:A07g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPCILLTLSIVIAIIAILASQTLFSTPPRVFIHNPFDILYATTIIITTAANHLIVKRKFQYAAIFPKIYLHRTPTQHPKNGCCNFTTVQSAVDAIGNFSERRNVIWINSGIEKVVIPKTKPNITLQGQGFETTSIAWNDTAYSVQVFGSQFLAKNISFMNVAPIPKPGDVGAQAVAIRISGDESAFVGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNAKSLYQDCQIISMANQVSPGSKSINGAVTANGRNSKDENSGFSFVNCSIGGTGHVWLGRAWRPYSRVIFVSTSMTDVIAPEGWNNFNDPSRDATIFYGEYNCSGPGANISKRAQYVQKLNETQAAQFINMTIIDGDQWLQYFDI >A02p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13501093:13501451:-1 gene:A02p025700.1_BraROA transcript:A02p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLTWESISTALILLLLALYISKNNVEGRHMAPASSKAVRDLQISKEMKEESLTGEKNSFRRIPRSGSSPIHNSYVP >A03p053970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23166296:23167165:-1 gene:A03p053970.1_BraROA transcript:A03p053970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKPSEEKSGGDVAGLTPVYLNVYDLTPVNDYLYWFGIGIFHSGVEAHGMEYCYGAHEYSSSGVYEVEPKNCPGFIFRRSLLLGTTTMSPSDFRSYMEKLSSKYHGDTYHLIAKNCNHFTQEVCLQLTGQPIPGWINRLARLGSFCNCLLPESIQLTAVSAPSERLEFSDEDESNSEASSVSDDDEEEGSEKHLINVADRDVVYLQNKPVRLTRE >A03p056610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24508986:24517518:-1 gene:A03p056610.1_BraROA transcript:A03p056610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNNEYEQEPTYIEDDDDEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGQQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVSKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLFQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPPSTMFVRMLARASAKGGSSGQYIRCTLPYIKAEIPIIIVFRALGFVADKDILEHICYDFADNQMMELLRPSLEEAFVIQNQLVALDYIGKRGQPMGVGVSKEKRIKYARDILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLLCALGRRPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPSVIPQATKIFVNGMWVGVHRDPDMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVDNQRLLIKKKDIYALQQRESAEEDGWHHLVAKGFIEYIDTEEEETTMISMTINDLVQARLRPDEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRASTMGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQDEAQGQSSRYTRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFERMYNGHTGRPLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAANFLKERLFDQSDAYRVHVCETCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMSMAIAPRMLTKGLKSAKGRNSVAVQNRTYELKAESPPHFITMNILRRIPANLFTSRYYYTNRVKKTTLYSKISPLGNPKSSVYPELQNWVHSGNKVSVAELIRIVHDLRRRKRFLHALEVSKWMNDTGVCIFSPTEHAVHLDLIGRVHGFVSAEAYFETLKEHHKNDKTHGALLNCYVRQQDVEKSLTHFEKMKELGYASSSLTYNNIMCLYTNIGQHEKVPRVLDEMKEENVAPDNYSYRLCINAFGVMNDIERIGEILRDMETVDWNTYAVAAKFYIDGGDCGKAVELLRMSEDRLESKDGEGYNHLITLYSKLGDKGEVLRLWELEKDACKRRINQDYLTVLQSLVKIDALKEAEEVVKEWEASSGNCYDFRVPSVVIRGYAGRGMEEKAEVMLEDLGRRGKGTTPDSWGLVAAAYCEKGGLDNAYKCLKTALDVEVVKRKWRPGVKVVTSVLSWVGDEGSLREVESFVASLRNCMGVNREMYHALVKADIREGGSSVDTLLQRMKEDKIETDEETMAILRARSPC >A01p040350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21528870:21530067:-1 gene:A01p040350.1_BraROA transcript:A01p040350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQSNHNASSELATQLLILRHFSPESALISLRTGSTIIYTTTFVLGALKTPNIISGQILWLGLFLN >A08g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1924211:1924844:1 gene:A08g500760.1_BraROA transcript:A08g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHVSEGFDEQVKFLLFDILAQYLLQKTAFELAEEVAEEEPHVLPEALKNLIGKKLLFKINIGEDNLKSKNASYKVEKFTDKKDIIENFWTQSSDEETISHSSSTDNVCNSLQSSPSSQPLSYKRRYDYTSTSSQRHEKTSNKTMRIEKPALK >A05p046010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27404182:27407276:1 gene:A05p046010.1_BraROA transcript:A05p046010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G13490) UniProtKB/Swiss-Prot;Acc:Q9LJE2] MEALKVWSLTASPLRQLLRLSSSSTAIYGRRSHHSSLMLRCASAASSSSSNAATAETPKPAGRNRRAASSSNSTSDREAIRSIRLKKVEELRGQGVEPYAYKWEKSHSANQLQEIYKHLANGEELNDESDRVSIAGRVVARRAFGKLAFLTLRDDSGTIQLYCEKERLSDDQFEQLKAFIDIGDILGASGSMKRTEKGELSICVSSFSILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADVFRKRAKIVSEIRKTVESSGYLEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVFEVVVEPKLVQPTFVLDYPIEISPLAKPHRGNAGLTERFELFICGREMANAFSELTDPVDQRRRLEEQVRQHNANRAAAAAAETPEGKAKKDDDDDDESYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKVQQ >A01p010070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4906810:4908698:-1 gene:A01p010070.1_BraROA transcript:A01p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSLLHASSGLEMTRHEINTETYSNESNHRVDLSYFLTTSSSVDTKRSFTSLSLSLRRSSPAVFSFYRRNLRFHRIDLPFFFLNFITSSMDDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLISQKVVSTETAKAFADELGIPFLETSAKNSTNVEEAFMAMTAAIKTRMASQPAGGSKPSTVQIRGQPVNQQSGCCSS >A06g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19124704:19126682:-1 gene:A06g506920.1_BraROA transcript:A06g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIHENAITFFFFENTYFTLSPSSYSSIHNILIAINTPTTLNNQFEALNAPKIDLHSFFSILMNQKQHLFTFFPYSSKKTQDFDSKIFMVHSAIEAYDSRYVHPDDFRVNRLAVDDLHGSLLVNAETTYTEVVHQTTSTKVTSLDSILYGRRPRHKTSRKSSGRLLGNLLVHYILEDFREDFP >A05p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25973769:25985742:-1 gene:A05p042980.1_BraROA transcript:A05p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSRSLSKSLGEFLTSSSNHFSRRSDSIDDHDEEALKWAALEKLSTFTRLRTTLIQPHDLVDVTKLGVDDRQKFIDSIFKVTEEDNEKFLKKLRKRIDRTGHGFMISGAGDFSRRRRNSSPPDLLSFFVYSVVFYLGLCFHPLLLVLIFGGKGPRQIQRQKQRNRALGPDIAIALSIWLLLSTVLSTVPHRRLVAISAVSSLVVVHAPAQLAVTPVSGTLLVTAQLTEASGCVKSVCTFTESIHMASTTSIQNTHAQLSSPQSPVPVTISNRISPTLANCLLGLYADKLSSNIEAATSWHVLNGAELHSLRLSPTPSTARSGPLWPCLLPQPGSESAKGPFFPPRLDLPDPPDCGSSGFSEPPSPFIKDSEPSSDDQHLIYSMMASMEQRTRLGSAKARVFQHGNVGVQSCVLNNVLALPWILVNDIYISWSEVFEKSIVYMGLETTLASVYRVHLAQSRDVMLNLRTLFVELSQVSRVCSNSNFVTCAIRFQGPSSLFISDKSMTGILSVSVEIHLVSSESVVGARAALARSASFQALLVGLFNVDSDYFMLVVVTYLGVHLMISHGSPVVEQVSLVKFVMSCFAVLLVFIKLSRVGIKLPTVEVRFEKFTIEADCHIGKRALPTLPNVALNIAGRGLSLFGFNFAKTTKLTILRDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVTGRVTYNGYGLGEIVPQKTSAYISQNDVHIGVMTVQETLDFSARCQGIGTRYDLLSELVRREKDAGILPEPEVDLFMKSIAAENVKSSLITDYTLKILGLDICKDTVVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLKEMVRFTDATVLMSLLQPAPETFELFDDIILLSEGQIVYQGPRDHILSFFETCGFKCPERKGTADFLQEVTSRKDQEQYWADTTKPYRYIPVSGFSKQFRTFHVGAKLENDLSVPYDRFRSHPASLVFNKQSVPKSQLFKICWDRELLLIKRNAFFYVFKTVQIIIMALIASTVYLRTGMGTKDENDGAVYIGALMFSMIANMFNGFAELSLMIQRLPVFYKQRDLLFHPSWTFTLPTFLLSIPISIFESVVWVSITYYLIGFSPEPSRFFKHLLVIFLTQQMAGSIFRFIATTCRSMILANTGGSLVVLLLFLLGGFIVPRGEIPTWWQWAYWVSPMTYTYDALTVNEMLAPRWMDQLSSDNSTRLGLAVLEIFDVFTDPSWYWIGVGAVLGFTILFNILATLALAYLNPLEKPQAIVSKEGAEENIVTNGSKGKNTYVKRGMVLPFTPYTMSFDKVNYYVDMPKDMREQEVATDKLQLLREVTGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKRQETFARVSGYCEQNDIHSPQVTVRESLIYSAFLRLPKEVTKDEKMRFVDQVMELVELKSLKDGIVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGQNSHKIIEYFQAIPGVPKIKEKYNPATWMLEVSSVAAEAKLDIDFAEHYVTSSLYQQNKKLVKEVSTPPRGAKDLYFSTQFSESFLGQFKSCLWKQWITYWRTPDYNLARFFFTFFAALMVGSIFWKVGTTRDSANDLTKVIGAMYAAVLFVGINNATSVQPLVAVERTVFYRERAAEMYSALPYALAQVVCEIPFVLIQTTYYTLITYAMMCFEWTVVKFFWFFFVSFFSFLYFTYYGMMAVAITPNQQVAAIFAGAFYGLFNLFSGFLIPRPRIPKWWIWYYWMCPVAWTVYGLIVSQYGDQEDTIKVPGMTEDPTIKWYIENHYGYDPNFMGSIAAVLVGFTVFFAFMFAFGIKIYNGHNSRTCPTRGGGGGTCGGNGGGSSASSSSSSAVKLFGVRLTDGSIIKKSASMGNLSALAVHHRLSPSSPLATGNHNDSPLSDHGRYSSQDNGGYLSDDPGHGSGSIHHRRVERKRGVPWTEEEHRLFLVGLQKLGKGDWRGISRNYVTSRTPTQVASHAQKYFIRHTCSSRRKRRSSLFDMVTDEMVTDPSPTQEDQTLSKEPESKTFLPSLELKLNNATESEAAPPQEQPEEAVEPPNGLSPMLVPGSFFPPCFPVTYTIWLPATSTSLQGTEPSLEVSASFQQHQVLKPKPGFAKERSNMDELVGMSQLSIGMETSHETETPMSLKLIGEPSRPSAFHSNGSVSGSGLSKGSSAIQAT >A06g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10308650:10311014:1 gene:A06g503400.1_BraROA transcript:A06g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPVENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILIYEHGLSLSVDHYEALLRLQLVKGTDKHRLVPRKFMSVVKKFILNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKAQRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLVSAIEAGHREAMVYRFKAEKAERDFARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDHAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGLDGRICIYRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWKC >A06p056770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29539298:29543972:-1 gene:A06p056770.1_BraROA transcript:A06p056770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD5B [Source:Projected from Arabidopsis thaliana (AT5G43530) UniProtKB/Swiss-Prot;Acc:Q9FIY7] MEMRRTEHEAAVSRSDDCKIVADTPPDESYVVLRTSTGVRISSQLNDESKSVLSDAMPVKAEEVTGDGSVTLGDDVESESLEFRVKEEPDLDFETQEEAMMIDDTVEKPMVVVEAVSRGSGNECLDVESDSTHVKMEVREEKPVYVKKVNTQEVDARKAKVEDGDFPVERDWYLVGRSLVTATSTSKGRRLEDNEVVNFAFPSTLNLKVPNIVRFSTKRCGEIGRLPMEWSNWAVSLLRSGKVKMLGRCVAAPPFLQMMQDIMLYVSFYIHSSIFTDVSKSTWRIGSSPNIESTLHPLLQLFRHLTIKPYQKARTKLSCSITFFFWFLFLILYIFKQAEFTPQELDSRKRSLNLENDSDERAALLAIAKRRKGAPLYLEHNNKDEEDAPDSYMNRVVGAADSYNLEEMEAPSKLTCNLRPYQKQALYWMSESEKGIDVDKAAETLHPCWEAYRICDERAPSIYVNIFSGEATIQFPTATQMARGGILADAMGLGKTVMTIALILARPGRGNPEIEDDLAADVNGDKTKRNESHKALTCVKAKGGTLIVCPMALLSQWKDELETHSMPDTVSVLSYYGGDRTQDAKAIASHDVVLTTYGVLTSAYKQDMANSIFHRIDWYRIVLDEAHTIKSWKTQAAKATFELSSHCRWCLTGTPLQNKLEDLYSLLCFLHVEPWCNWAWWNKLIQKPYENGDPRGLKLIKAILRPLMLRRTKETRDKEGSLILELPPTDVKVIECEQSEGERDFYTALFKRSKVQFDQFVAQGRVLHNYANILELLLRLRQCCNHPFLVMSRADSQQYADLDSLARRFLDNNPDSVSQRAPSRAYIEEVIQDIRDGNSKECPICLESADDPILTPCAHRMCRECLLTSWRSTSCGLCPICRTVLKKTELISCPTESIFRVDVVKNWKESSKVSELIKCLEKIRMSGTGEKSIVFSQWTSFLDLLEIPLRRRGIEFLRFDGKLAQKAREKVLKEFNETKQKTVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVCVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARLEELKMLFR >A04p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16122517:16123770:-1 gene:A04p026810.1_BraROA transcript:A04p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAWKRDKNQKLLAPKTLIITLLVLSIIFLSSLFFFSSSSSYLQNSNPNPTISTKFPIPPFDCFKCPQSKPVIANVVENLKYPFLYSLADLGNLPEKPHKNIVRLLKGKPFRKPDISATIQEVLERMKAEGKRDGFVVDVGANVGMASFAAAAMGFKVLAFEPVFENLQRICDGIWFNRVASLVTVFEAVASDRNGDITFHKLVGRLDNSAVSEVGARLAFKSNKEIAVQVKSIPLDELIPPSQPILLIKIDVQGWEKHVLNGAKKLLSRKPAEAPYLIYEEDERLLKASNSSSKEIRDFLKSVGYSKCVQHGTDAHCTKE >A06p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24873936:24882546:-1 gene:A06p046510.1_BraROA transcript:A06p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKWKPSGDESGGPDRDLPNSRDMFSGNFPEGLRVLLFDEDRLYLQILEKHLEEFQYEVTTCHEEERAMYLLCNHRNMFDIAIIEAHNLEGKIFRLISEIRSEIDIPIIITSQDDSRESVTKWMRNGACDYLIKPIRPEDLRLIYKYLVKKMELRGITVAEEAEEKAAAEKSSSVGDSTIRSPNRRKRNMLQTDEDPDHNRDSATKKRRVVWDDYLNGKFLDAVNSLGNNDVVPKKILERMNVSSLTRENVASHLQKFRMGLKKKNDQNKSDERSLPLITPQERGMSSGEISNELYRGLNVQFPTHHVNNIPQPSYFQQHNTNLNLMTQRVSSPPGNHYDRLSVASSSRNLVTTHQHHTSHQISEFPCNDQSIEERLVYYEEDEDDGVRNLITDWFTQIPDQINLFPLEESVIATTMRFNDDQVSANQQEPMYVHEPSMMHIPSLPSSLTHNPFLDQKENIQLVDLPRQQLFHEQQHANLANENRFPETNPGTGSARMDKMDQDTDQA >A10p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:165502:167641:1 gene:A10p000280.1_BraROA transcript:A10p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK4 [Source:Projected from Arabidopsis thaliana (AT1G01740) UniProtKB/Swiss-Prot;Acc:F4HU55] MGGQGSKIGTCCWDPSRKTTLPPEAPHVENEENCEETDVLSFREYTLEQLKIATSGFALENVVSGDGDTPPNLVYKGKLENHQKIAIKRFSRFAWPDPRQFLEEARSIGHLRSNRMATLLGCCCEGGERLLVAEFMPNETLAKHLFHWETQPMRWEMRLRVVLHLAEALEYCCNRGRTLYHDLNAYRVLFDQECNPRLSTFGLMKNSMDGNSYSTHLAFAPPEYLRTGRITSESVIYSFGILLIDIFTGKHIPPSHALELIRDRNLQKLADSCLKGQISDIDGAELVRLASRCLQYEARGRPNIKYLITALTTLQKDKDAEVPSHVLMGRGTFVSPLSPFGEACMRKDLNTMLEMLDKIGYKDDESVSFRWTEQMQEAVEWKKKGDVAFRQKDLKEAIECYTQFLDLGMISATVYVRRSVSYLMMSNMAKEALEDAMKAQGISPVWYAALYLQSAALDALGMEKESKIALMEGSELEARKISASTL >A04p005510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2902541:2904174:-1 gene:A04p005510.1_BraROA transcript:A04p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSLPVNQFDSHNWQQQGNTQQQDRVTSYQNPNFNLPQFSSPPASQAGSSQARVNSMVERARIAKIPLPEAALKCPRCDSTNTKFCYFNNYNLTQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRCKSNGGGRSKSTVVVSADNNNTSSSLSSNPSYSNPSKFLSYGQAPGFTSNLPILPPFQSHGGYNSSNIGLDFSGIQMSGMSSSGGMLDPWRMSSLQQSQQFPFLSNTSGLDQSSNALYPLLDGNEGVNQADPQQESSDYSNQLRSKPLMDMEQPRNMKAEENDQDLDKDGNGVNNLSRNFLANININPSGNDEYTSWGGNSSINSSWTGFTSNNSTGHLSF >A07g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10071023:10073670:-1 gene:A07g504800.1_BraROA transcript:A07g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFYFFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQIEALNAPKIDLPFFFFHSFIKANDYGSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEEVQTTFRKSRRLPDDFQMTSRRLTSKSSQKFPDLKNLHIKSRSEKPAYSKTHKNLPKRSEKSRRLPRSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFQEVQTTSRRLTGKSSQKSSRSEKPAHQIQI >A09p077450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57562127:57562663:1 gene:A09p077450.1_BraROA transcript:A09p077450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENQQDSSFPAKRKSDLCCQEQDNAANKAQKLNPSSSSADSESKDENGVPGDRTAGEKQDDGVGDEEEEEDEEEDSIEVEIDRKGKGISREDKGKGKLIEVDESDDSDDDDDDDEDGDEYDESDLSDDPLAEVDLDNILPSRTRRRSVQPGVYISNDRSGNHEDDDDDSSDDSDA >A04p035860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20748878:20754977:-1 gene:A04p035860.1_BraROA transcript:A04p035860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVTILTGIKLTNQTSTEPAVLKYHLQSNGAFIIFKIKSTATMSASHPNHDSVSTTVMETVNGSHQFTIKGYSLAKGMSPGRYIQSDVFSVNGYDWVIYFYPDGKNPEENSTYVSLFIALASDSSDIRALFELTLMDQSGRGRHKVHSHFDRALEGGPYTLKYKGSMGYKRFLRRTALEASDYLKDDCLIINCTVGVVRARLEGPKQFGIVPPPSNMGQGLKDLLDSELGCDIAFQVGDETYKAHKLILAARSPVFRAQFYGPVGNNSVDRVVIEDMEPSIFKAMLSFIYTDVLPDVHEITGSTSTASFTNMIQHLLAAADLYDLGRLKILCEAFLCEELNVDNVATTLALADQHQFLQLKAFCLKFVASPANLRAVMKSEGFKHLNQSCPSVLPELLNTVAAADKSSTSSSGQSSKKRSVSSVLGCDTSTTNARQGLNKDKVKHALEDVRNSMEIGNVVKIVLLIIIQEESVLLFLEARPYHNYCESLFELFLVHLFRGYKRFLRRTALEASDYLKDDCLIINCTVGVVRACLEGPKQFGILCRHHSELCCDIAFQVGDETYKAHKLILAARSPVFRAQFYGPVGNNSVGRVVIEDMEPSIFQVMLSFIYTDVLPDVHEITGSTSTASFTNMIQHLLAAADLYDLGRLLSVMKSEGFKHLNQSCPSVLPELLNTVAAADKSSTSSGQSSKKRSVSSVLGCDTSTTNARQLRRTSVKLLACVVCKSFGLLKASFEVNLLFVAISSLKSTLCLCFVGRAAVRCKF >A02g512960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35466424:35474230:-1 gene:A02g512960.1_BraROA transcript:A02g512960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKQPLMMSVTLGAGGSLVGCCGSYFPMTLLLHPLFPEASSSPSMGLDYSYTQPSESEDYGLGGSADSGNSSTEMYIQLDQAQIEAARHQYPPQPEVEFGFPKECYCGGEPLVATSYTRTDPGRRFYTCKNKQDGDCHVYKWWDVAATEEIKALGAQVTLLTDKVDSLSFVGYEETEVRELKEVQFDMEQKLVRLESIVCDLGRKKSRFGYGFELVVGVLVVVLVIIAIGVAARMWVYMSYVYRKCMIILVFC >A02p001950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:812345:812938:1 gene:A02p001950.1_BraROA transcript:A02p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid receptor PYL5 [Source:Projected from Arabidopsis thaliana (AT5G05440) UniProtKB/Swiss-Prot;Acc:Q9FLB1] MASPVQLHHGFNTLQPPDQTDGLIRRVFLTRGMHVPEHVAMHHTHDLGPGKCCSSVVQTIHAPLESVWALVRRFDNPKLYKNFVKQCRIVQGDGLHAGDLREVMVVSGLPAVSSTERLEILDEERYVISFSVVGGDHRLENYRSVTTLHASDDEGTVVVESYVVDVPPGNTEEETLSFVDTIVRCNLQSLARSTNRQ >A06p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22057677:22059877:1 gene:A06p040810.1_BraROA transcript:A06p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRQRAEMFPSSDNAFSPSSLFSAYASLTGFLMLFRSMLHDFVPEQLRTYFSTLLDRFFTPKSKTLTVIIDENFGSNRNQVFDAAELYLRSKIGPETERLRVGKTPKQKHFTISIEKGEEIVDSFEDSEVKWSYVQSEKEKGEQVKRHYELTFEKKLREKVTGSYLTHVVAESEEIKRSLRVVKLYSKDLYVTEDDDGCAGGNWGCISLEHPSTFETLAMDPNAKKKIIGDLDRFLKRREFYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVFDLELSSIYDNGELKRILLSTTNRSILVFEDIDCNSEVRDREADDDQEIKRDNNGRVTLSGILNFIDGLWSSFGDERIIVFTTNHKERLDPALLRPGRMDMHINMSYCTGLGFRTLVSNYLGLDGLNHPLCEEIERLVDSTEVTPAELAEELMQDDDCDVVLRGVVSFVEKRKVERSKAKESVSICNDDDDDDDDDEKQCVCTNGMRNRKKQAGRRKRRGARNVNARTYLQVGIELPEFLGGPCTYADNGVCMRSDKGAYGTIQML >A02p055040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33326930:33339566:1 gene:A02p055040.1_BraROA transcript:A02p055040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGIRLALVMNLLSLLFSGVLVHSGQSTVPLKSFKIGENVTYDCIDIHLQPGLDHPLLKYHRIQMNPSISRPELKSQIGINKVQKQKIPCPDGTIPVWRNKKECTTNAQVLAENHVHSLSPDSPGTHLAGVRSQNGPFRGVEAWFKMFKLDIANDQASYGQIYIGSGSNTEVNFISAGWMINPSFFGDSRTWTYGFWKGKDGKGCYNTACSGFVQVSQTFPIVQPIDFPAGQSLWLHYSIHEDKNTGNWWLTELGSGEPNIDIGYWPKELFNLLDNGANMVGAGGVVQASSSGSSPEMGNGRFPNADHPRDSGIFTNVEVMDSNYVQHKMNYFPTEVVLDSPKCYRLTIGKTFIFRPNRLGFYFRSGRKLLWNTNRLASQYLNSQTSTVVVQRPAFNSARRKVELPFPSLQFLNGVVVHSGQSTVPLKSFKIGENVTYDCIDIYMQSGLDHPLLKYHTIQMKPSVSRTELKSQIGINKVQKQKIPCPDGTIPVWRNTKEFTTNTQVLAENHVHFLSPDSPGTHLAGVRSLNGPYHGVEAWFKMFELDIAKDQASYSQIYIGNGSNNEVNFISAGWMINPSFFGDGRTWTYGFWKGKDGKGCYNTACSGFVQVSQTVPIVQPIDVPTGQFLWLHYSIHQDKNTGNWWITELGEPNIDIGYWPKELFNLLDNGAIMVGAGGVVQASRSGSSPEMGNGQFPNVNHPNDSAIFTNIEVLDSNYVQRKMNYFPTEVLLDSPKCYGLTIEALGLGEPGVDLGYWPKELFNLLDNGANMVGAGGVVQASRSGSSPEMGNGQFPNVNHPENSALLTNIEVLDSSYEQHKMNYVPTEVVLDSPKCYGLTIGKRFIFRRNRYGFYLNYGGPGGNSCGV >A09p062750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51196564:51199281:1 gene:A09p062750.1_BraROA transcript:A09p062750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aladin [Source:Projected from Arabidopsis thaliana (AT3G56900) UniProtKB/Swiss-Prot;Acc:Q8GWR1] MASFPHPGSVTVCEINRDLITAQSLSDDRAQETYGKILGMVFSPVSFEAGPASLEESEGQESGDKGVVERKGLVATLQGMVADSLKQILRPIDVSLLSEIDLQGVSWHQGKHIIAFISGANQVSIRDYEDKDEKEPCLLTSDSQRSVKALEWRPNGGKSISVACRGGICIWTASYPGNMALVRSGGSSLRGSLSRGSGARWILVDFLRCQNDEQISALSWSPCGRYPENVYAIFSSFTIWDVSQGAGTPIRRGLGGISMLKWSPTGDYFFASRFDGTFCLWETNTWTSEPWSLSTGSGSVTGALWDPEGRFILISFSKSLTLGSVHFSSKPPSLDAHLLPVELPELASMTGSDGIKKIAWDASGERLVVSYKGGDENYKGLIAIYDTRRTPIVSASLVGFIRGPGENPEALSLSFHDKFKQGPLLSVCWSTGFCCTYPLIFR >A02p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20778170:20779484:-1 gene:A02p036330.1_BraROA transcript:A02p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCLILDSIKNLLKTRITVNCSCDTEQGHEDTMMGSHPGGRVTACIIRCLILEYLMEMMVIFISPLGSVSLGSFPVRVPYDISPCSDELTIGYCFFGLKSLELEFATLMVGFTTVLLGRDIWDIVDTSNTSLGSCVIEVKSIQLMLRRTSTRAIQA >A01p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10169489:10172174:-1 gene:A01p020820.1_BraROA transcript:A01p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 6 [Source:Projected from Arabidopsis thaliana (AT4G27730) UniProtKB/Swiss-Prot;Acc:Q9T095] MGEIAEEFPVVITDDDRCVVPEVELTVPKTDDPSLPVLTFRMWVLGIGACIVLSFINQFFWYRTMPLSITGISAQIAVVPLGHLMARVLPTRRFLEGTRFQFTLNPGAFNVKEHVLITIFANSGAGSVYATHILSAIKLYYKRSLPFLPAFLVMITTQILGFGWAGLFRKHLVEPGEMWWPSNLVQVSLFGALHEKEKKSKGHMSRTQFFLIVLVASFAYYILPGYLFTMLTSISWVCWFNPKSILVNQLGSGEHGLGVGSIGFDWVTISAYLGSPLASPLFASVNVAIGFVLVMYIVTPICYWLNIYEAKTFPIFSSQLFMANGSRYDVLSIIDSKFHLDRAVYSNTGSINMSTFFAVTYGLGFATLSATIVHVLVFNGSDLWKQTRGAFQKDKKMDIHTRIMKKNYREVPMWWFLVILLLNIALIMFISVHYNATVQLPWWGVLLACAIAISFTPLIGVIAATTNQAPGLNIITEYVIGYIYPERPVANMCFKVYGYISMTQALTFISDFKLGHYMKIPPRSMFMAQVVGTLVAVMVYTGTAWWLMEEIPHLCDTSLLPSDSQWTCPMDRVFFDASVIWGLVGPRRVFGDLGEYSSVNWFFLVGAIAPLLVWLAAKAFPAQTWISKIHIPVLVGATAMMPPATAVNFTSWLIVAFVFGHFIFKYRREWWKKYNYVLSGGLDAGSAFMTILLFLALGRKGIEVQWWGNSGDRDTCPLASCPTAKGVVVKGCPVF >A03p048240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22018893:22020857:-1 gene:A03p048240.1_BraROA transcript:A03p048240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFKEEKEAKKEAFRKYLESSGVLDSLTKVLVALYEQNDKPSSALEFIQQKLGGPSVSDYEKLQAEKSDLQIKYNELFAKHQETLRELEGVKSLHSRNSSKDDDEREVIEDEHTALVAPPHR >A01p046670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26271362:26274220:-1 gene:A01p046670.1_BraROA transcript:A01p046670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SNOWY COTYLEDON 3 [Source:Projected from Arabidopsis thaliana (AT3G19570) UniProtKB/Swiss-Prot;Acc:Q8GXD9] MVPAIPQAATSTRKPPPDPQDKPLPSNNQRRRPTLKNVPSRYLSPSPSHSPSHSHSHSTSSITSSKRYPSPLLSRATPSASNRINAPSSLPNRSQSVDRRRPSPTPVAEMSVAAKMLITSTRSLSVSFQGEAFSLPISKKKEITTTTTTPVSHRKPTPERRRSPPVRDQRENSKPVDQQQLWPGASTKGSSKSAVSSVSKNVDCECDDRGKKLGSMLQHSLIDESSRVVRLGLDLGEGDESTRRVTRPISHSRLGSSDFTASDSDSVSSGSTNGAQERGERRSLQRNVIASAKFWQETNTRLRRLQDPSSPRCSSPSSRISSISSKLSQSKRFSSDTPLVSSPRGMASPIKGGATRPASPSKVKATATSSTARALSSPCRVRNGVSEQMNAYNRNLPSILCFTADIRRGKIGEDRVMDAHLLRLLYNRHLQWRFANARADSTFMVQRLTAEKNLWNAWVSISDLRHSVTLKRIRLLLLKQKLKLASILKEQMGYLEEWSLLDRDHSNSLLGATEALKASTLRLPIIGKAVVDILDLKHAVSSAVDVMHAMASSIFSLTSKVDGMNSVMAEMVNITAQETVLLEQCQGFLSIVAAMQVKDCSVKTHIIQLSRKLTSQL >A03p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15739285:15741459:-1 gene:A03p037640.1_BraROA transcript:A03p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQSKRDLTTELQSQIPILRPSIHARRANIVVKFQDLYGFTVEGNVDDVNVLNEVREKVRNQGRVWWALEASKGANWYLQPDILLTGDGIVSKTSLKISALSNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYGDLTKAVDGMVTPATRQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVRDCYTTNLSGCHVEQRVFKDLLAQKCPRIATHLDDMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHAALAIFKMKENELLMTHQVGDVINIIQTTSHQLFDPDELLTVAFEKIGSMTTNTISKQRKKQEPAVMAELDQRLRRLNSLKESGKST >A03p045380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18968783:18970622:-1 gene:A03p045380.1_BraROA transcript:A03p045380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSSSPTEEIARLIKRLSAYVTFKMSSLFSTSIPNLDSRSIGAIAGLAIAVIFTWRAIRAPPGEPRRRQPKRRMQSAETSSAAVAQSNLASITPNDVSLPREDNGVQDVVDQFFQPVKPTLGQMVRQKLSEGRKVTCRLLGVILEESSPEELQKQATVKSSVLEVLLEITKSSDLYLMERVLDDESEARVLEALETAGVFTSGGLVKDKVLFCSTEVGRTSFVRQLEPDWHIDTNPEITTQLARFIKYQLHVSAAKPERTAPNVFTSQSIEQFFGCA >A03p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22688574:22693652:-1 gene:A03p052910.1_BraROA transcript:A03p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPQVQLSSRHRLRDRRRKFESDSPLLSLSLSLSPYPNNTSRLGLLPPSHRSDRFPRKFQITDQIPKESESSFLVLIAASSPHCERKLVADDVITIDCGFCFGRRAVVVVLAPQRRSQRLMGGHMQQTNAAAAATALYDGALNNAGPGNEAGDAVMARWLQSAGLQHLGSPVASTGTDQRYLPNLLMQGYGAQTAEEKQRLFKLMRNLNLNGESASEPYTPTGQTSAAMPPSDGFYSPELRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPSVNQAFEEDFTVPANQQLRQEQDAEPSSPFPRSEKESSGRENSVAKIRVVVRKRPLNKKETAKKEDDIITVSNNSLTVHETKLKVDLTAYMEKHEFCFDAVLGEHVSNDEVYRVTIEPIIPTIFQRTKATCFAYGQTGSGKTFTMKPLPIRAVQDLMRMLNQPVYRDQRLKLWLSYFEIYGGKLYDLLSERKKLCMREDGRQQVCIVGLQEFEVSDIQIVKDYIDKGSASRSTGSTGANEESSRSHAILQLVVKKHVEVKETRRNNNDNNESRGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNEGSCEHTLNTLRYADRVKSLSKSGNSKKDQTYNSLPPANKDVSLPSSQLASNDAEDVFEPPQEYNAQETGRRVEKDSSYSTSGIEFKQPTNYPSPYPVSFKEERGIPSISMDRGKSDTSNSFGGGSTSQRIQSSSYPQDASDQEEKVKKVSPTRRKVSREEKPERHQNLSRRDSISASDVPATINFRQLNSTTASQNTSDASSRQYETITEPPSPDENISALLEEEEALITAHRKEIEDTMEIVREEMKLLAEVDRPGSMIDNYVTQLNFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >A09p079040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58288157:58289214:-1 gene:A09p079040.1_BraROA transcript:A09p079040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLWKKVATPLPSGWKMACTTFSSSVENSDPIKAEKVGKMKVFQKLKSLTIFRWMDKKKMVFSPSELELYVDLLGKVKGAEAASAYFEKVEPNFDEMDGDAENRPAYLKVLNWFRVKEQNQTSGAKPHVLKFPKVDDPQWAKNSTPTTPAQKRNLFSKLKSSETCCAHLLREMEDQGFCITKADLNRWIKLLEKQGQTQKALEIDAFKEWKYVSFYHQLRGTFNRSSVSWDPSNNIPDVTQVATTGFLHGYANST >A09p077080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57304575:57308959:-1 gene:A09p077080.1_BraROA transcript:A09p077080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRQGGRRRSRLRLSNIYAFKCRKSSFQEDHSQIGGPGFSRVVYCNEPNSPASERRNYAGNYVRSTKYTPASFIPKSLFEQFRRVANFYFLVTGILSLTPLSPYGAVSALLPLGFVILVSMVKEGIEDWGRKRQDIEVNNRRVKVHDGNGNFRQEEWRELKVGDIVRVEKDEFFPADLLLLSSSYEDSICYVETMNLDGETNLKVKQGLEATSSALHEDSDFKELKAVVRCEDPNADLYTFVGTLHLEEQRHPLSIQQLLLRDSKLRNTEYVYGAVRSRIERKMDKIIYMMFFVVFLMSFIGSIVFGIETREDRARAGGRTERWYLKPDDADIFFDPEKAPLAAIFHFFTAVMLYSYFIPISLYVSIEIVKVLQSVFINNDILMYYEETDKPAHARTSNLNEELGMVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERSMAMRSGGAALVGDDLDVVVDKSGPKIKGFNFQDERVMKGNWVKQREAAVLQKFFRLLAVCHTAIPETDEASGAVSYEAESPDEAAFVVAARELGFEFFSRTQNGISFRELDLATGQKVERFGSVYETVLLGDILRVYRLLNVLEFNSARKRMSVIVRDEDGKLLLLSKGADNVMFERLAKNGRKFEEKTREHVNEYADAGLRTLILAYREVDENEYIEFSKNFNEAKNSVTADRESLIDEITDQMERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGLLRQEMKQIIINLETPHIKALEKAGEKDAIEQASRESVVKQMEEGKALITGSSGSHEAFALIIDGKSLTYALEDEFKKQFLDLATACASVICCRSSPKQKALVTRLVKSGTGKTTLGIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYSRISSMICYFFYKNITFGVTVFLYEAYTSFSAQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSSRFCYKFPLLYQEGVQNLLFSWKRIIGWMFNGLITALAIFFICKESQEHQLYNPNGKTAGREILGGTMYTCIVWVVNLQMVLAISYFTWVQHIVIWGSIALWYIFLMVYGAMGPSFSTDAYKVFLETLAPAPSYWLTTLFVMIFALIPYFVFKAIQMRFFPGYHQMIQWIRYEGHSNDPEFVEMVRQRSIRPTTVGFTARRAASVRRSGRFHDQLKKNFIAF >A10p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14436663:14442487:1 gene:A10p021510.1_BraROA transcript:A10p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKLFYRKPPDGLLEICDRVFVFDCCFSSDSWEEENYKVYMAGVVNQLQEHFPDASSLVFNFREVGTRSVMADVLSEHGLTIMDYPRHYEGCSLLPVEVMHHFLRSSESWLSLGPSNLLLMHCERGAWPVLAFMLAALLIYRKQYSGELKTLDMIYKQAPRELLQLFSPLNPMPSQCRYLQYVSRRNLVSEWPPLDRALTMDCVILRFVPDVSGQGGFRPIFRVYGQDPLFVDDKKPKLLYSTPKKGKHLRVYKQAECELVKIDINCHVQGDIVIECLSLNDDLVREVMLFRVVFNTAFIRSNILMLNRDEVDTLWHIKDQFPKGFRVELLFSDMDAASSVDVMDFSCLEEKDGLPIEVFSKVQELFNQVDWADQTDATRNMLQHLAIANAVHERPEGGNSSPRLQGLSPRSIHDLVKQAAMDSNAKIKLSSVSEVETVDSPEKQPTGTAKKFIAEDTHSVLQISSQDATKLSGQESPSLKLVHHSATVKPFVDGSEFSEKAEENNGKLVSFASSTPSLPHPTIASLPPVAAPPPPSPPPVPTVASQPSEKLQHSVVQPAETVSQGNSWVSLAGSTFNQTTPNEKHPVTLPPTSLASDVSPQESSRITKSSSVLPSATLSADPTKPPIGAPNDVASPLGKPARLPPPVSKSDKTHELPQPPPPPPPPPPPPPPPMQYSDQNTVAAVSPPIPSPAPAITASPVSNSDKTHGLPRPPPPPPPPPMQHSDENTVARVSPPVPPPPPAIAASPPPPPPPAPPIPQSHGNSAMKSSPPAPPRPPAPPAPPNAPPPPGQMKAPSAPPPPPLGQMRSPSAPPPPPKLETKPSPSSGTGVPPTPALPGGSLSSGKGRMLRVNSKNTAKKLKPYHWLKLTRAVNGSLWAETQMSSEASKAPEIDMTELESLFSSAPEEAGKSRLNSSRGPKPEKVQLIEHRRAYNCEIMLSKVKVPLQDLMHSVLNLEESALDADQVENLIKFCPTREEMELLKGYTGDVDKLGKCELFFLEMMKVPRVETKLRVFSFKIQFRSQISELKNSLSVVNSAAEQIKNSEKFKRIMQTVLSLGNALNQGTARGAAVGFKLDSLPKLSETRARNNRMTLMHYLCKILAEKMPEVLDFTKDLSSLEPSTKIQLKFLAEEMQAINKGLEKVVQELSLSENDGPISHNFNKILKEFLLYAEAEVRSLASLYSGVGRNVDGLILYFGEDPAKCPFEQVVSTLLNFVRLFNRALEENGKQLEAEAKKKAEEEKSKTSEVDKESRKPLSLEEQVKKEKTKISGFDKETKEPLDEGTPA >A09p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10968559:10974937:-1 gene:A09p020670.1_BraROA transcript:A09p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEPPPSDGCNTNPSAVLKAQAVRSTTTDISCYQHKLGTTLFSVIPPPSTHTNSSLLKQLTIRGKRGHPRQREERDTEIQSKSNLVQIKKEMKRVREEVYVERGGHAVSSRGETNGKALTIGGGGNMGGLTTGDALSYLKAVKDMFQDKKDKYDTFLEVMKDFKAQRVDTSGVIARVRVLFKGYDDLLLGFNTFLPKGYKITLPEKKAVDFGEAIEFVNKIKARFGSDDRAYKKFLDILNMYRKESKSISDVYQEVTLLFQDHEDLLVEFAHFLPDNSGPGSAHYPLSGSNAVPGAPVPAMHPRNFEKRIKIRNEYTEHSDQREDGDENLVACSAGNSLGRYLKVEDKEGIQDYENGSKDNGSHKILLSSSNHVAKGINELDLSECAQCSPSYRLLPDDYLIQIPSYRNTLGEKVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAAINRVEALLEKINNNTISIETPIYYGLDVMDFLKKNSHIALPVILTRLKQKQEEWARCRSDFRKVWAEVYAKNHHKSLDHRSFYFKQQDSKNLSTKGLVAEIKDISDRKHIEDPLHAIAVGTKPSFTPDVEFSYTDTQVHADLYQLIKYYCEEICATEQSDKVIKLWVTFLEPMFGVPSRSQTDETVIDVAKSKDNQEQREAVKDNTRDGSPVSNLKPLTPPKTPNKENPTIGSSFAGAAMNTEDSQPKLVSPKDLVIEDLENRSKVSVVSMGEPHKVEREEGELSPTESFEHDNSEVCRDNGVESVQKLPDNVRSNTSPEDDGSKPTQKLLEANENASKVPASGSKFGGQMLYERILSAKIHSERKWKAPDRDNTSPDSYTRFMDALYNLLDGSSDNTKFEDECRAIIGAQSYILFTLDKLVQKFVKHIHAVAADETDTRLLQLQTYESYRKPGRFFEIVYHENARALLHDQNIYRIEYSSAQTRLSIQLMNSGNDQPEVTAVAVEPGFANYLQNEFLSLLPDEEKPGLFLKRNKAKMSGLDESSGMSRAMEGLKIINEVECKMACSSSKVKYEPNTSDLLYRSKQKKPKLSPNGLDNDKTTPSSSEISRKKRKSRFHTSLNRRLVSLRVNVLG >A05p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10451093:10453720:1 gene:A05p021910.1_BraROA transcript:A05p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANVEEKIGVGKGETQLYATIDLEKARVGRTRKITNEPKSPKWHESFHIYCGHMAKHVIFTVKDDNPIGATLIGRGYVPVEDILHGEEVDRWVEILDTEKKPIEGGSKIHVKLQYFGVEKDKNWNRGIKSAKFPGVPYTFFSQRKGCKVSLYQDAHIPGNFVPKIPLAGGRHYEAGRCWEDIFDAITNAKHLIYITGWSVYTEISLVRDSRRPKEGGDVTIGEILKKKASEGVKVILLVWDDRTSVDLLKQDGLMATHDEETANFFRGTDVNCVLCPRNPDDGGSIVQNLQVSTMFTHHQKIVVVDSEMPGTARTKSRRIVSFVGGLDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGAAITKGGPREPWHDIHCRLEGPIAWDVLYNFEQRWSRQGGKDILVKIRDLDDIIVPPSPVLFSEDHDSWNVQLFRSIDGGAAAAFPESPEAAAEAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFAWSADGIKPEEINALHLIPKELSLKIVSKIKAGEKFKVYAVVPMWPEGLPESGSVQAILDWQRRTMEMMYKDVIKALRDKGLEGEDPRDYLTFFCLGNRETKKDGEYVPSEKPEPDSDYMRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLSIRQPARGHIHGFRMSLWYEHLGMLDETFLDPSSQECVQKVNRIADKYWDLYASESLEHDLPGHLLRYPIGIASQGDITELPGCECFPDTKARILGTKSDYLPPILTT >A07p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15174058:15176121:1 gene:A07p026540.1_BraROA transcript:A07p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGVLGLPYAMSQLGWGPGVAVFVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEIGVCIVYMVTGGKSLKKFHELVCEDCKPIKLTYFIMIYASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASTSKGVREDVEYGYKAKSTAGTVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVALVGYYIFGNSVEDNILISLKKPVWLIATANIFVVIHVIGSYQIYAMPVFDMMETLLVKKLNFRPTTILRFCVRNFYVAATMFLGMTFPFFGGLLAFFGGFAFAPTTYFLPCIIWLAIYKPRKYGLSWWANWVCIVFGIFLMVLSPIGGLRTIVIQAKEYQFYS >A09p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10004316:10007354:1 gene:A09p018930.1_BraROA transcript:A09p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSESLENLHRPLIESSKSFIDYRLETVLTDRELPYLRRIYLAMMIEMKFLFHLAAPAIFVYVINNGMSILTRIFAGHVGSSQLAAASLGNSGFNMFTYGLLLGMGSAVETLCGQAHGAHRYEMLGVYLQRSTVVLIITCLPMSLLFIFSKPLLNTLGEPEQVASMASVFVYGMIPVIFAYAVNFPIQKFLQAQSIVTPSAYISAATLVIHLVLSWIAVYRLGFGLLALSLIHSLSWWIIVAAQIVYIKMSPRCQRTWEGFSWKAFEGLWDFFRLSAASAVMLCLESWYSQILVLLAGLLKNPELALDSLAICMSISAISFMVSVGFNAAASVRVSNELGAGNPRAAAFSTFVTTGVSLLLAVFEAVVVMSWRNVISYAFTDSPEVAEAVADLSPFLAITIVLNGVQPVLSGVAVGCGWQAFVAYVNIGCYYVVGIPIGFILGFTYDMGAKGIWTGMIGGTLMQTIILVIVTFRTDWDKEVEKASSRLDQWEESREPLLKQ >A04p037960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21624923:21625674:-1 gene:A04p037960.1_BraROA transcript:A04p037960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 17 [Source:Projected from Arabidopsis thaliana (AT2G42440) UniProtKB/Swiss-Prot;Acc:Q9SLB6] MTGSGSPCGACKFLRRKCIYGCVFAPYFCHDQGASHFAAIHQVFGASNASKLLSQLPMQDRCEAAITIYYEAQARLQDPIYGCVAHIFSLQQQVVNLQAQLEILKQQATQSMMTTDTPSLENPNYYRDTKLQQLQQSLDLHRHHNRHHQDRSLDTESDSDLKNIMTSYSELDQHLNTFNQYHNGGNDVLSASFGYISYS >A08p031370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19144003:19151781:1 gene:A08p031370.1_BraROA transcript:A08p031370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGARLRGQVCYAIYLSLWIKPDEEEVKKRSTFVQVPFSYNSREPSSYCTVGSDLYALRQSYPPSPSMFVRNKKSVVWRNAPNMTVPRAYPVACKLDGKIYVMGGCNDDKSKKSCWGEVFDTNTQTWETLPNPKAELRFSSMIRETGIIEGKIYVRSIDEIDSVYDPKTRKWDATEKALVDDSRSMVMVGDLYYSCRAKSCKWYDTNCDKWKLVKGLSSLNKSYCRRGLIETVEYCGKLLIIWDKFAQPRRYCHEKTICCALVAFEKRQNGQVWGKVEWSNAGLTVPSSYVYLPRRLLLSCKQGANQAASFLRGRRIQSSNYSQSTRQGTTHGRTDLNPLPVGTAQTFSRSRARYAAPALALGFTGFLAFLHYNDERRAVPKGQPSSSNSSGCGCGSNTTVRGPIIGGPFTLMSTQNKVVTEKDLRDKWVLLYFGYSFSPDVGPEQLKMISKAVDKLESNHDKKILPVFVTLDPVRDTPSHLHAYLKEFDDRILGLTGSASAMRQMAQEYRVYFKKVQEDGDDYLVDTSHNMYLLNPKMEVVRCFGVEYNPGDLSQEILKEEEVKKRSTFVQVPFSYNSREPSSYCTVGSDLYALRQSYPPSPSMFVRNKKSVVWRNAPNMTVPRAYPVACKLDGKIYVMGGCNDDKSKKSCWGEVFDTNTQTWETLPNPKAELRFSSMIRETGIIEGKIYVRSIDEIDSVYDPKTRKWDATEKALVDDSRSMVMVGDLYYSCRAKSCKWYDTNCDKWKLVKGLSSLNKSYCRRGLIETVEYCGKLLIIWDKFAQPRRYCHEKTICCALVAFEKRQNGQVWGKVEWSNAGLTVPSSYVYLRYLVNVL >A04p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15313735:15314873:1 gene:A04p025270.1_BraROA transcript:A04p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWSLVLPSSPSLSANLVKPLNGYGRLDRYLVRASSSDVPDFLSADWLESRRKRPFGPRLDFSAEDAVRHQLDALKYNDHPRYDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSSYRVLLGHKDRKILSSLLVEENRFKQRIWIQGTRPEEEEIFEFTMFQRIGGSWDGYWLTESLLHDGDVFSGGMAY >A06p019500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9551541:9552509:-1 gene:A06p019500.1_BraROA transcript:A06p019500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKFSPKTEDFKQVEEPQEKYCYAPEKLFMSRCPSRVSPLLVPDKNRFNPIPVPDKFIHISDKLPPPSPHVKLASFSPNVQPSTTSNSSLTSSSSSLSTASSISVSKERSFSNDFLRACYQENSHVARISSLRESSLSLKNGHPNRSNSPVKPNRYSTTPNRANATPERGTYGSKRTREPSPNHRALTRQKSFRKDQESVIMSSSSSSLTKGKFFKSPSPSRRFERSSPSPSRRFAMAEGDLKVTSLSTSLRKDSLDLSRLKTFPKNSRSETTRIHRISSKINTTTIKEVVESHMEPVVPMLEEIGNPLIDLDCFIFL >A06p057510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29929410:29930896:-1 gene:A06p057510.1_BraROA transcript:A06p057510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 9 [Source:Projected from Arabidopsis thaliana (AT4G39330) UniProtKB/Swiss-Prot;Acc:P42734] MHHSLEITTQHKPISPSLTQTKEKQMAKSPETEHPNKAFGWAAKDKSGVLSPFHFSRRDNGDNDVTVKILYCGVCHTDLHTIKNDWGFSYYPVVPGHEIVGIATKVGKNVTKFREGDRVGVGVITGSCQSCESCNQDLENYCPQMSFTYNSIGSDGTKTYGGYSESIVVDQRFVLQFPEGLPSDSGAPLLCAGITVYSPMKYYGMTEPGKHLGVAGLGGLGHVAVKIGKAFGLKVTVISSSPSKEDEAINRLGADSFLVSSDPQKMKAAIGTMDYIIDTVSAVHALFPLLGLLKVNGKLVTLGLPEKPLELPIFPLVLGRKMVGGSDIGGMKETQEMLEFCAKHNITADIELIKMDEINTAMERLAKSDVRYRFVIDVANSLSPP >A07p051570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27361745:27363925:-1 gene:A07p051570.1_BraROA transcript:A07p051570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase 1 [Source:Projected from Arabidopsis thaliana (AT1G79470) UniProtKB/Swiss-Prot;Acc:P47996] MTSFEDGFPAEKLFSQGYSYTYDDVIFLPHYIDFSTDAVSLSTRLSRRVPLSIPCVSSPMDTVSESHMAAAMASLGGIGIVHYNSDIAAQASVIRQAKSLRHPIASDAGVKLPEYEITSLDAFGPSSFVFVTQSGTMTVPKLLGYVTKAQWKKMNYEQREMKIHDYMKSCEGSEYCLPWDIDIEKIEALLEDKQKGFVVLERDGETVNVVTKDDIERVRGYPKSGPGTVGADGEWMVGAAIGTRESDKERLEHLIKAGANVVVLDSSQGNSIYQLEMIKYVKKSYPELDVIGGNVVTSYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVCSLAAQSGIPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGKRIKKYRGMGSLEAMTKGSDQRYLGDKTNLKIAQGVVGAVADKGSVLKLIPYTMHAVKQGFQDLGASSLQSAHDLLRSNVLRLETRTGAAQIEGGVHGLVSYEKRSF >A10p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13167340:13168350:1 gene:A10p019110.1_BraROA transcript:A10p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVQSESPSSNISITISSSSSLCTTPPRSDNSHVSPSVVQERLPTSFYLRLAMKVSRARWFIFLRRVFHYQNGSRSDLGSNPFNSSTWMMSELIALFIQLTVITFTLAISKDERPIWPVRLWITGYDVGCLLSLMLLYGRFRQLDHDHGDNEQQHRGTEDNRSSHLMNRCRTSLELFFAIWFVIGNVWVFDSRFGSFNHAPKLHVLCVSLLAWNALCYSFPFLLFMFLCCIVPLASSLLGYNMNMGSSDRGASDDQISSLPSWKYKRIDDNASAPATDDPVSPNTFDSQIKLASPITVYAQDTESCFE >A04g501160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3141051:3141281:1 gene:A04g501160.1_BraROA transcript:A04g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRKIKKDAVKKKRDATKKSVVVAKKRKLDGGIHGGSSSNPNKRARNCKRETTSPPDPQCDLFPEPSMATSSTTL >A09p051080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44656855:44659869:-1 gene:A09p051080.1_BraROA transcript:A09p051080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSPISPSRETDRKSSEKWTAGEPSRPAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTLMLALTWGLTLNTMWQMVQLHECVPGNRFDRYIDLGRYAFGPKLGPWIVLPQQLIVQVGCCIVYMVTGGKCVKQFVDITCSTCTPVRQSYWILAFGGVHFLLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGSISHGRLPDVSYGYKATNPSEFTFRTFSALGQISFAFAGHAVALEIQATMPSTPERPSKVPMWQGVMGAYFVNAICYFPVALICYWAFGQDVDDNVLRNLQRPAWLIASANLMVVVHVIGSYQVFAMPVFDLLERMMVTKFGFKHGAVLRFFTRTTFVAFTLFTGVTFPFFGDLLGFFGGFGFAPTSFFITIMVGVCIMMASTIGGLRNIIADSSTYSFYA >A09g510510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31879723:31889121:-1 gene:A09g510510.1_BraROA transcript:A09g510510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELVSYRRFGRVRSLRNDRAVCMLGRRVSIELGLSVVRLPYSSLSAAEFDTCLFPLDNRYLVVRLRFEQDFTARLFVKISLRRLLFRKNVHADFYGLSGIDSVVTDFDPNRDILELKGHFTRADHVEVDERKNNRSMRIRAVDRHQEMPRQMKINIDRCTQVPSIDVEILDTRHFGSNGLKTQGQAKLRKCPDDLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAESTECNAVRILTHEEFAAKHPHPLSPFYDKIDRSVEPTIDRQSESDVDQPIQEDQETEGRRLRKRKEKIPKNLKREANEKEMDGFTKRVLRIPIIRAFDEAYFTHRLWMFFRETEYSEAIDTPTFPSIDSNESTVTDDRNNTSLDVDQLVDHFAPHNHCYPHFAFQPPSKRGRDDYSIGSWEDSGFHESFAVDTVITSPNEEHTEEYDEDYWKEGEIEMSLQDERLETHKFTTTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHTRTSIDIRTAAKIQEQENIPSPTRFIDTYIKHFAPLKPPPHTKADTQAEKMNTLPSTSTGKSMKSNHLKNTSSAEIILPSIDACVSTSIDTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARTIDGRILQVSRYDISDILQVANGPDNLFSQQRGTPDIIQTDPINHLGVAATEINPDLSRQPKGQASIDGTTQTSIDKVTPTSIDKDDPKSIDRRYEFGNRAFDMYEARKFTWKPRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICPPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDAHLPLDRGYNELFGSMVEMRTEIEGLRQQLEKEATTSASIDAPHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVSYIDTRINDLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDNRLQTYEIMHDRFANSSSIDRLQGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTIEERLDKRCDDIYFPFDNRISGLDSHTEWLQREVKAIQRQLAAQHQISASINRKSTDEHIIASIDAESTPAGVQLIHTTIESMHKELTELSAYAYDNIGWDQVSIDNV >A10g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6367357:6368524:-1 gene:A10g502310.1_BraROA transcript:A10g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMAGPHGPPLTLTRTIGPKLEQSAARPTTSPNRLLWLFCPSWTIRFMTSATTYWIILLTSHNLFRLGHIAILVPPD >A05p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2879882:2881158:1 gene:A05p007150.1_BraROA transcript:A05p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAASNNQILDEKIYVAVGINVWKNISNLLWALKNSQGNRICILHIHHPSPTIPLLGTRFEASTVDEESLRAYREKEKAKIDKILQKYLSICLYKGVQAEKLCIEMDSIGKGIVETIYQHRIRKFVMGAAADKHYSMKMEDLKSKKANFVCEQAPATCQIHFTCKGNLIHTREARVDEVRALSVLLSEFQRLVLPQLSSGSLEEAASLNGQSNRSSMDIISSDTLSNTGRAEVTISQDQEEPDDSSSQIFPCRGMRLDVIGFLIKSAMLWQKLTIQNHDHSE >A01p006720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3405899:3407810:1 gene:A01p006720.1_BraROA transcript:A01p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLSSSSQVHAGDGPVSGGGLSHNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTTAAIADAYKQTDSEFLNSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNDEAVGMIKVIEDPEEGARRLMTEAYQRGSADNITCVVVRFFSDQAGVGSSRDIDHGIIPDRSSGDSST >A10p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21132357:21138839:1 gene:A10p037460.1_BraROA transcript:A10p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLVTGEKGDDEESEEEEEHEDKPDKPKQSNVPLLVTLSKKTGPSLEFRCTAFPDKIVIKDMWVTFPDDPSKDELAYEGPSFRVLDEKLRKAFHRYIEIRGITPGMINFLHEYMINKDSKEHLLWLKTLKNFVKMFAVSLVPRTTSCRLTSAFLCHLSIPFLPPSPRLHHLPYHYQQQPHLTSLSSSSQVSISLSISPRILENIRFNILTFSQFQIRSFTKQIDMAAPVMKQKTGGDSYEEALAALSSLITKRSRADKSNKGDRFELVFDYLKILDLEEDMLKMKVIHVAGTKGKGSTCAFTESILRSYGFRTGLFTSPHLIDVRERFRLDGVDISEEKFLGYFWWCYNRLKEKTTEEVPMPTYFRFLALLAFKIFAAEEVDAAILEVGLGGKFDATNAVEKPVVCGISSLGYDHMEILGDTLGKIAGEKAGIFKLGVPAFTVPQPDEAMRVLEEKASELDVSLEVVQPLTARQLNGQKLGLDGEHQYFNARLAVSLASTWLQQIGKIEVPSVTQLSILPEKFIKGLATASLQGRAQVVPDQFIEPKTSGDLVFYLDGAHSPESMEVCAKWFSDAVKGDNQSESLGHLVNGSSGSSHDKLSGEENCQQILLFNCMSVRDPNLLLPHLRNTCATHGVHFKKALFVPNMSVYHKVGTAADLPENDPQVDLSWQMTLQKVWESLVNSEDNESSGKSEVFTSLPMAIKWLRDSVHESSSGTRVQVLVTGSLHLVETKTCIYWNLEDCPIPEGLNPDLIYENIKSALESKGYDVGGGDMSINAYADKKTFPDELLDRYGINQVQFRGGRKADREFQMLWDCLLWRVDNHPEEANIMFILNLSDDSEFINSPDHHEFLKILESLKSRDHNVIIAQPGEVVALQLLLATSSVWLSTSLLSEGNPLVLTPSFDCFDSAEDMEKVLGMDRLMIELRSRGMKCGGTLQECAARLFLLKSTPLDKLPKQHFKKKKNEQKKKTRT >A06p047010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25035558:25037639:1 gene:A06p047010.1_BraROA transcript:A06p047010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHHPHLLLQHHQHLHHHQKLSLAKSSRQSCSEWIFRDVPSDISIEVNGGNFALHKFPLVSRSGRIRRIVAEHRDSDISKVELLNLPGGAETFELAAKFCYGINFEITSSNVAQLLCVSDYLEMTEEYSKDNLASRTEEYLDSIVCKSLEMCVQVLKQSENLLPLADELSIVTRCIDAIASKACAEQIASSFSRLEYSSSGRLHMSKQAKGNDGGGGDWWIEDLSVLRIDLYQRVMNAMKCRGVRPESIGASLVSYAERELTKTGHQEHEQAIVETIVALLPVEKLVVPISFLFGLLRRAVMLDASVSCRLDLERRIGSQLDMATLDDLLIPSFRHSGDTLFEIDTVHRILVNFSQQGGDDSEDEESVFECGDDSSPHTPSQSAMFKVAKLVDSYLAEIAPDANLDLPKFLLIAEALPLHARTLHDGLYRAIDLYLKAHQGLSDSDKKKLSKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLKLRSSLCTSYSDEETKPKQQQQQSWRINSGALSAAMSPKDNYASLRRENRELKLELARLRMRLNDLEKEHICMKRDMQRSHSRKFMSSFSKKMGKLSFFGGHHGSSRGSSSPSKQSFRTDSKAMERTCASMD >SC184g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:194722:216819:-1 gene:SC184g500080.1_BraROA transcript:SC184g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTTPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKPAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHKSSCGSHVGPAIGTSVKVIFFPPFDSESPEERIRHVLESDSKEWVRGLEYLVGVDRICWSVPIPPFVEPSRYRVAVDMIAWGRKRLLLNKIEEDIQLMLSKGLELKSFLGDVTKKPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHEEGTSKFHSRKNLHSLKSSPFSLKFPRTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFMIVLPSLMTFQRLLLNKIEEDIQLMLSKGLELKSFLGDVVGF >A05p023010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11117599:11119953:1 gene:A05p023010.1_BraROA transcript:A05p023010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFFRIENAYFTLSPSSSSSIHNIVIAINTPTTMNYQFEEPLKLTILSGSLLFEILGAWRRFMCAKQVSRLAVDDLQGSLLVNAETAYMEVVHLCLLKKHSRRHTTYKEVVRLTTYIEVVHVFIPRFCSNLAYLGRLPCKSLLALYILEDFGRLVGSLLGSLLKYNGLEDFQKTSRRLLGSLLVHYILEDFQEDFP >A08p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7480223:7480723:1 gene:A08p009490.1_BraROA transcript:A08p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFSSSIKKTFIVSLRVSSYISYLQVAFSLIVKLCFDVLSVNHFHHYDFGSRRASSTDYFVPNAATRENETVKELKLGVITSLSNLRTMD >A04g500370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1278262:1280235:1 gene:A04g500370.1_BraROA transcript:A04g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFEAVLVLFFHNSHGYFIPTGSVGIGYNGYFTLTNTTKHTHGQAFDSKPVTFTNSSTNITTSFSINFFFAIVPEHDQQGSHGMAFVISPTRGLPGASSDQYLGIFNETTNGKASNNVIAIELDINKDEELGDIDDNHVGVNINGMRSVVSASAGYYDDKDGKFRNLSLISRNVMRLSIVYSQPDKQLNVTLFPANISVPPRKPLLSLSKDLSPYLLEEEMYLGFTASTGSVGAIHYMTGWFITGEIKFPRLEFGMLPILPPYPKKSSQRTKTILAVCLTVSVIAAFIASWFGFVFYLRHKKVKEVLEEWEIQYGPHRFAYKELFDATKGFKEKQLLGRGGFGQVYKGTLPGSDAEIAVKRTSHDSRQGMSEFLAEISTIGRLRHPNLVRLLGYCRHKEDLYLVYDFMPNGSLDKYLYYRNNENQERLTWEQRFKIIKNVAAALLYLHQEWVQVIIHRDIKPANVLIDHEMNARLGDFGLAKLYDQGFDPETSKVAGTLGYIAPEFLRTGRATTSTDVYAFGLVMLEVVCGRRLIERRAGEEQEVLVDWILELWEKGDDILVAVEENIRQEENRGQLELVLKLGVLCSHQAASIRPAMSTVMRILNGVSELPDNLLDVVRDENLKGWAEKPMELLFDVNSLGTSTYTDSLVSHGR >A09g511680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34800108:34802573:-1 gene:A09g511680.1_BraROA transcript:A09g511680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSKKKFRPPFAGSSRGRCLIRSIRNSAMAKKKAKSISLVDLPDFDVPASGHLALGLPLSSSSSPMAGSPLFSFGSLAPVQFHLFPGVGPLNTQALLPVSASPLSPRSVSPPAAEAFPHPGLGSALGGSVSGHGFALAGSPIEHVSGAPFVLIPDENIEAAKLEFKDFIYARFHGDYPSMGKIIGVVNAVWARTGPKIFVHNIGEGMYLLRLTNPRTRDVLLSRTCWNIGGLPMFVAPWAPDFSPDEPPLTSAIVPVEMRNVPYLLFNRESLSRIATAIGKPDCLAPETERKENFEVAKLYVRVDLTSPLPSKIISGFSNGRKVEIDVSYPWLPNKCALCNKFGHTEVKCPNRVNGTTVEKQPLEIARRRSKSRPGRSTEKKLREGVLRYVPVNTGPQDPMTRSTTNAVPDSGKDSLPPTSSLPSDETIELEEGEILQQSLEDTQLSLSETAKVSMEDNNDLASPGEVVPAVEKTVPVPSQALVAEVDIVVVQGVLDDIANQEATLHVAIVQGLLGNKAIHEPTLSIATEQVEKTIIEEAPIPTLSEVDDLSSDTVPPCDVILEVNNNANPPCGNSDESINIPPPAVEESIDIPPPVEVEEGANNVIPPMEEEEGGDPFYLVKNRRSGRKAAKRH >A08g506610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11384909:11385475:1 gene:A08g506610.1_BraROA transcript:A08g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQQLHDCEDYYDHVYSVREPLYDVYDEDVVMGFHEVQAEMLRKVHDELQKMKVCIADSVKRLEKTVNHMVEVVKDMRSSEEKASDGNVQQPSQRCVSVTQSANSTLKRGRPRTVPFRKCQTSHVGKPRNISPITYRDGLGSTRTQKQGTPPQAPSMRWRFQVSAYALDGAMEKNSFGGEGGKRKPSI >A09p072990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55776540:55779368:1 gene:A09p072990.1_BraROA transcript:A09p072990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRDDTEEGRSDLRRPFLHTGSWYRMGSRQSSMLESSLAIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQAAITKDLGLTVSEYSVFGSLSNVGAMVGAIASGQIAEYMGRKGSLMIAAIPNIMGWLSIAFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGALGSVNQLSVTVGIMLAYLLGLFVPWRILAVLGVLPCTLLIPGLFFIPESPRWLAKMGLTDDFETSLQVLRGFDTDISIEVNEIKRSVASSGKRSAIRFVDLKRRRYYFPLMVGIGLLVLQQLGGINGVLFYSSTIFASAGVSSSNVATFGVGAVQVLATAVATWLVDKSGRRLLLMISSIGMTISLVIVAVAFYLKEFVSPDSNMYNILSMVSVVGVVAMVIACSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFVSWLVTMTANMLLAWSSGGTFTLYALVCGFTVAFVCLWVPETKGKTLEEIQALFR >A06p026280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14053852:14054824:-1 gene:A06p026280.1_BraROA transcript:A06p026280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRPRSSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALRSQLSKAEIGDSSMPHIFDIPDTPTSKIQINTLANDSDISECSDQNSVPKIN >A01p008430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4090496:4093579:-1 gene:A01p008430.1_BraROA transcript:A01p008430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSEIEPSMPEPDHRFANLRGVRWRVNLGVLPFQASSIDDLRKATAESRRRYASLRRRLLIDPHLSKDLRNSPDLSIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHWRYFQAPGCQGMLRRILLLWCLKHPEYGYRQGMHELLAPLLYVLHVDVERLSEVRKHYEDHFTDKFDGLSFEERDVTYNFDFKKLLEGFADDEEVGGIHGSSKKIVSLDELDPEIKSIVMLSDAYGAEGELGIVLSDKFMEHDAYCMFDALMSGSNGCVSMAGFFAYSPANGSHTGLPPVLEACTAFYNLLSFVDSSLHSHLVELGVEPQYFGLRWLRVLFGREFLLQDLLVVWDEIFSADNTARRDEDNNSFMIFDSPRGALISGMAVAMILCLRSSLLATENAASCLQRLLNLPEKIDVRKVIEKAKSLQALALDEDVRASALSVNNVFDQSVSPAIPARTNSFPSGSTSPKSPLIITPQSYWEEKWRVLTAEEEKQSPSAPPPKKKASWFKVKRLFRAESEPAHSVKTEGKGGLASVARNLLEDFNKQVVSEPEEVVAVNNEDSSVANRVDVVNNEDSEEANREEVVNNEDGSVQETDEANREDAVNNEDGAVEETEERNVDFASAGEESIAAVEETSSDVFSDPNSPLRHSNSIENDSDSSTGSILFANEKVEDQETSGVDSPLPVSSQPSIEFPVIQSNDEENTTDLDKSVAVSKERNKVFPGKFQWFWKFGRNLTGEETRSNGVESIKSDLVSSSESHSSPQASSSSSKGESDQNVMNTLKNLGNSMLEHIQVMESVFQQERGQVQAGLVENISKANLVEKGQVTATTALKELRKISNLLLEM >A05g506670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:18824038:18824307:-1 gene:A05g506670.1_BraROA transcript:A05g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCWTYVSLNKMPRSVRKIDMDPALAGRMSLSRFGQGMEWIDGRHKEQWIGSLICHTAALNGFAQLKDLGFLC >A01p047090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26545564:26554089:1 gene:A01p047090.1_BraROA transcript:A01p047090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the TAFII250 family 2 [Source:Projected from Arabidopsis thaliana (AT3G19040) UniProtKB/TrEMBL;Acc:A0A1I9LSR7] MAERNEGSSEDDEEYADRSRGLEFIFGNVDNSGDLDADYLNEDAKEHLSALASSLPDIMLMASSGCTTSDPAEQDYNEKAADAVDYGDIDEEYDGPEVQVVTEEDHLLPEREYFSSAAASGSLYSKASVFDDDDYDEEEEQEVEHMPFEETFDSEDSESVVLKEDNALEYEEEASILGNEERLDTNEKNATSLPTLYVEDGMVVLQFSEIFAIHKPPQKRAKRENRYITYRDRYKSMELVEDDEGLLLKSHGRVDTHVKQADLIQLDVPLPIRGDLQLVKAGTFGSIIPESREFTKPGRDSCTMGELLKQDLQDDKSSLCQSQSSMEVFPLDQQEWENRIIWENSPGVSGNSCESFESGIESESLLIQGTNSETEQESLNVVNSGEQAQAENNKHVSFFVSGLESLGSHTTSESTNKSRRHPQLLRLESQWDEDHPSENDNTGGKNLKQLKRDTVGRFSRVGLQERDMGDDPWLDSIIWESDKELTRSKLIFDLQDEQMVFEIPDNGESKDLQLHAGSMILSRSSKPKDKRFQEGCGSNYGFQFNISNDKFYMNGKSCQQLQANANQFGVHSLRVLHSASAIKLQTMKNKLSNKDIANFHRPKALWYPHNNELAIKQQGKLPTQGFMQIVVKSLGGKGIRIHVGIEESVSSLKAKASRKLDFKETEAVKIFYMGKELEDEKSLAEQNVHPNSLVHILRTKVHLLPWAQKLPGEHKSLRPPGAFKKKSDLSTKDGHVFLMEYCEERPLMLSNAGMGANLCTYYQKSSPADQHGNLLCDKNDTLGNVMILEPGEKSPFLGEIHGGCSQSSIETNMYKAPVFPHKLQSTDYLLVRSSKGKLSLRRIDKTFAVGQQEPRMEVMSPASKNLQTYLVNRMLVYVDREFKHRRRIPADELSFLFSSLSDAVVKKTMRIHGIFLERDKNGHIFWYKKHRFDKIPNEIELKNLVAPEDVCSYESMLAGLYRLKHLGITQFTLPASISTALAQLPDEAIALAAASHIERELQITPWNLSSNYVACTTQDRANIERLEITGVGDPSGRGLGFSYVRAAPKVPAAAGRMKKKEAACCGAPTVTGTDADLRRLSREAAREVLRKFNVPDEKMAKQNRWHLIAMIRKLSSEQAASGVLKVDPTTIGKYARGQRMSFLQLQQQAREKCQEIWDRQLLSLSACDDDESESENDMDSFVGDLENLLDAEEWEESNTSKNDKFDGVNGFKMRRRPYQVVTDEDVEDEAAEYAELRRLLMKDDEKRNMNAEFVRKDSVSAKKHIASRPDASFLVTKSTFSKNTANVSVFKERKPVRDNFFCGACGQPGHMKTNKHCPKYRSTTEPQPEGIYVKKSSGTPSSSDLSGQVKLEPTKSKKTAPKSPTKVSVDEAPKGDNPTSKTGGLTLRFKCAIPAGGMLDKPGSETPRRSMEEPDRPLPSLMPAFIRERGESESHRPSVSGQSFSNTERNQAASSRLTMSTTQPSLRMDKELVIQRPNEREQPQKKLVIKRSKVITDSLTLSSQFESRKTMRMAEPEGFQSRQRFRLSENSPHGGPKEGRVWQEEREISTERHREARVRRDYADMTEFEKANEIGSEREEKERQKKLQPEVIERYLEGYPRRRNDRKLLERVQKVRSQYVSDFERNVAQYAPQPKRRKKGEVGLANILEVIVDTLRAKEVNVSYLFLKSVSKKEAPDYHDVVQRPMDLSTIRDKVRRVEYRDREQFRNDVWQIKYNAHLYNDGRNPMIPPLADELLVKCDGLLERYRDELTEAEKGIVNLID >A07p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5886523:5888534:-1 gene:A07p009520.1_BraROA transcript:A07p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGDTTLKSICYKPGSLQLLDQRKLPLETTYLEIRDAADGWSAIQEMVVRGAPAIAIAAALSLAVEVSNFSGFDGSSAEAVTFLENKLDYLVSSRPTAVNLADAALKLKQVIANALASSAAEPNSIFKFTSLPMPRSPQAYIEAAENMLEDDVASNKAIGTFGSSLLRQQAKNPDKLSVLTHCNTGSLATAGYGTALGVIRALHTQGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKDGRVDGVIVGADRVASNGDTANKIGTYSLALCAKHHGIPFYVAAPLTSVDLSLSSGKEIVIEERTAKELLSTHGGLGERIAAPGISVWNPAFDVTPAELIAGIITEKGVITKNANDAFDISSFAKKVTGN >A09p076870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57367354:57368079:-1 gene:A09p076870.1_BraROA transcript:A09p076870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSCGLQQNSEMRRDTVVCPKPRRVGVLNNHHLARSLRWQVSQQMDLCESNSRGKILDFILTNGNGYEQDPKMTSSPPLFFTGSPPTRVSNPLTKDLLFRDELLVVAPPPSTPRATKPPPPSSPTVRVSTNFGNNPTVRVVGFNCLDRDRRSSVPTLA >A06g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15983033:15985850:1 gene:A06g505470.1_BraROA transcript:A06g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKGGNLFHNFFTFLSFSKIFLKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYQIPDAVEFRVPCRGKCANSPPEGYFTCYEAFVVRCGLWFPIPEILVRVLDRFEHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHMLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNCPFFWTSFTPKRVRKALKFVQPGPALDADTGSDSEPDDQNPAEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLSYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVRGEVLEREAQLTRDRARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVCPDTEEVPTGFPDGGEEVDRPADAFGLDGRICIYRDWPLVALNPLPLYAVYFRFKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNVLLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLNVFRRVLK >A04p004250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2103169:2103874:1 gene:A04p004250.1_BraROA transcript:A04p004250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRKLLSVEEACVIARRSIYHATFHDGASGGVGVSLAALMKIGVPRTCTTKACASCGSGLGSTSRDCIPCGSIPLRPVLTMYCGGAGQGGSVQPNCHHYTSNIFA >A01p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2139960:2140437:1 gene:A01p004970.1_BraROA transcript:A01p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEIQKLEEEIHRLGSLQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVAFPGELLLQGVHDKVEITLRPSPPPPQAAVAAATTS >A05p004640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1789564:1794059:-1 gene:A05p004640.1_BraROA transcript:A05p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQADPQRKKNNLEMEFFSDYGDASRFKIQEVIGKGSYGVVCSAIDTLTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKPPIPFTQKFPNADPLSLKLLERLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDIRELISREILEYHPQLLKDHMSGADKTNFLYPSAVDQFRRQFAHLEENSGKTVPVAPLERKHASLPRSTVVHSTAVARGGQPKLMNNTNTLNPETTQNIPLNHHATLQAQQRNLSAAKPSAFMGPVAPFDNGRSSRDAYDPRSFIRSTTTTLPFQQQSASTAAMGKQQERRTTTTTMESERQARQISQYNRYAQPDVAINIDNNPFIMARTGMHKAENMSDHRIIIDTNLLQATAGIGVAAAAAAAAPGGSAHRKVGGVRYGMSKIVDREGSDFSHVQKEPTAAEDVSAWTVDANDDECFMATTDACGSGSPFQRHHFVPPKSKKAALSLDYICNQTIEWRLDGVALCGGLQSLSIKNSGDLSDMGLVAIGRGCCKLSKFEVQGCENVTVKGVRTMVTLLRKTLTDRIKKLHIDCVWSSLEEEGGVEETSETNHDDCERS >A03p019000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7755074:7757251:1 gene:A03p019000.1_BraROA transcript:A03p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQNSTETVRSSDKTEDTLTRMKTNEENMEQSSPYPDRPGERDCQFFLRTGQCGYGNSCRYNHPLSLVPQAVLFHNDELPERIGQPDCEYYLKTGACKYGSTCKYHHPKDRNGAEPVLFNVLGYPMRQGEKSCPYYMQTGMCRFGVACKFHHPHPQNGHSTTTYGMSSFPYTMMSLPPATYGVMPPPQVPYPQAYIPFMFAPPQGWSPYMAGSNPIYNVKTQPDSSSERAECRFFMNTGTCKYGDDCKYSHPKERMLESPPNLSHHIVLLPARPGQPACGNFKAYGFCKYGPNCKYDHPSSPVSTQPRSSTPPSRSDSTAISNGDKPGAENNSSEIEKQDEDISDKSEVQESSQLSGSDSTAISNGVKPAADNHSSEVEKHDDSSDKTESQLSGCESTVISNGNKPAAESHSSEIEKQDEDISDKDCDSTALSNGKTDAESSSSEIKKQEDNNASQRVN >A05p043400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26191276:26192775:1 gene:A05p043400.1_BraROA transcript:A05p043400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANTAVFVSPSQPLSSKRSFLYSSTVSPIQRRFPRRKLELQVKAVATTLAPLEEIKEYKLPSWAMFEMGTAPVFWKTMNGLPPTAGEKLKLFYNPAATKLTPNEDYGVAFNGGFNQPIMCGGEPRAMLKKDRGKADSPIYTMQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKRWQNKPIEFFNEGLAKELSQDGACERAIFPDSNIVATRCTMIANLTVEGGDRCNLDLVPGCMDTNSEHFNPLANVDDGSCPLELSDSDE >A01p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9692080:9694728:1 gene:A01p019810.1_BraROA transcript:A01p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAAAEPADSYYQVRPECTDVAKTRFKIKPGKTLSVRKWQAAFLQDGTLDIGKTLRRIRRGGIHPSIRGEVWEFLLGCYDPSSTFDEREQIRQRRRKQYASWKEECKKMFPVIGSGSFITAPVVTENGQPNLDPLVLQELDFGTNSSGSVFFKKLTSRGPLDKKVIQWLLSLHQIGLDVNRTDRSLEFYEKKENLSKLWDILSVYAWIDQDVGYCQGMSDLCSPMIVLLEDEADSFFCFERLMRRLRGNFRSTGRSVGVEAQLTHLSSITQIIDPKLHQHLDKLGGGDYLFAIRMLMVQFRREFSFCDSLYLWEMMWALEYDPDLFHVYEAHQCGSEKVEVSNGKPKSMSQCGKYERQNMRNGGKSAEGPLPISVFLVASVLKDKSSKLMTEARGLDDVVKILNDMSGNLDAKKTCSGAIKIHKKYLRKAKK >A07p008370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:4906370:4906981:-1 gene:A07p008370.1_BraROA transcript:A07p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSSFLIQVRRGMLFFVEDDIKYEDFLRMVCEDYNMISETKAVELAYMLPKRILEQMASKTPSMFLSNDRNLENFITLSKTDVLCVYVSFLANKGRQDLNRNQKRVVRQNAFADFGSFGNVQYKTLKPSLETMKPSQLRKTETIKYGDIFSGKNGLIMKLRKLSVLERFDFSIKKSLNHLFYGECFVPGCSWKIRASTMSR >A08p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3749468:3750268:-1 gene:A08p006520.1_BraROA transcript:A08p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCQQFLLEDCCCSSLWLEGFAAWISRGGVLMMRVAGASLCSEGSGSGSRDQSLARVIVGLGCCSRPWSAIPVAASVASASADRSLVDVAGYHPLVFPNKSGFRLKSGYWWIQWGWVSRGGCLRCLVAGASTGSGSSVRRFSAVPLSSTVTSLFRWFLSLETPDPACCALQPILLSASPVVFPLTLAF >SC132g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:93775:97212:-1 gene:SC132g500080.1_BraROA transcript:SC132g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMKVMARAPVDDSGGRRWPDNALERRELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A07g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23480832:23483939:-1 gene:A07g508580.1_BraROA transcript:A07g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPIVDIGDNVNQNNMGSLPEMMFAAGEEPVGVRVLTYQSSRAIKKIIKGLDEEEILSIRASSFGKIIDIGDKPSFSGRFARYMMSRQLKVKKKHEVWFRFAGQPIRFSLREFAIVTGLPCETITEKPYWPSLFGKVEVVTVASVIKMLRRRTVRDRETRIKFACLAILSSVLLPTSLNMKISREHAEAIEDLDDFYSFPWGRLAYEMLMNSIKERDEISLSQNTIAVKGFALALQLVLVEAVPSLTEVVQETCSSSESESADDVEEGSERSSKKQTLSPAHARNLDKKTEVYVKSIIDEDPSRPLDESTLGWSDDVEDVGVENLVKLINEGYKFSASMFKGGATKADVERMREDAKVRGKEKKSRKAPVKPAGVDGGNCEVAAVLMDMIKPDLDRIDGNVSSTMRAVDDMITKIGVWHGAIKTEVGEWMGKIKEDIQGHISLALKEAVGPSTHPPGVPIVTPTVPINPVGGGSVEEAFYRDTIRNIMGSIKQYRTPPRQNIQVQEVGVVDVRQDVGAEASVPIVPDNDRTALLASSHTHMRKDGVDEIKVTDNATKEQAPATPSFSLGLTQQERSPCNEQFGTVRLAADLNVAHDEGKEDVEANNVCRKSKRQKIFPTNLMADYECGHNIVGPGRQAPVLLFVSSNSEETLTKYQQLGEKLLSSFVINVAGLSVSDKDIKDIAERSRPLTAKIVDLLTRILRTVQDKHLISERSTRDEFFDTKFAGSLARNYSKFAKCKNKEGHVFPKDLTPIAEAFPFLLKQACGSAYEENLDPMVIERVKGVGQNKEESVSGITAMVLMWNHSIGGLEGCRSVNADHVILEAKGAAVMAYELHEEL >A02p053390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32517808:32523313:1 gene:A02p053390.1_BraROA transcript:A02p053390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGDAQSNTSSTQRVKVYCLDKDGKWDDRGTGLVSLDYVERSEELGLYVVDEDDHETLLVHRISTDDIYRKQEDTIISWREPEGSTELALSFQETAGCSHIWNHICTMQRNLHFSSLNMSTELRELPDVDISNLPQILEIVTESGIKGQMRLAGLMLKDVKFFDNLMNVFEMCEDLEKLDCLHMMFNIVKGIISLNSHQILEKILGDSLIMKVIGSLECKLNASGRTLIFLIFTDYEGDLVCSYITAFLLFSLINILPMFLLTDDPDAPQSLHYRNDVTTHVVFKEAIPIKNPMVLSKIHQTYRIGYLKDVILSRVVDDATATSLDSIINANKAAIVTLLKDDSNFFQELFARLRSPSTSVESKSDLVHFLHEFCSLSKSLEMELKLRLFKELIDKGIFDVIGEVLQLPDTQHASADILLIFLTADPKLLRSYLVKPETPLLGLLVKGLMEDFGVKMLEIFRILLASRALSAGAQGANIMDIFCEKHIPELVNFITTSCPEKPGDTSESTSGRVSSLLNICELLCFCVQQDPSRTTFLLQNATEKVLFLTRRKEKSIVAAAVRFFRTLLSVLDENVQSYVVKKNLLKPIIDVFVAGGNHDDLLISSILALLEHIRKAKATVLLKYVVDTFWDQLAPFEHRTSIQALKNEQCIESLGPKSNTDPVDMRGNSDGEKSAFDSSTQKEGANPHNSNGEAASSSPLRSERVLDYEDDEDDDEDNKTQKQTEDSAKGREKRCRCREDEQEECKKKPKLCPTIEGNKNSTERDGGEAKEPENARSSEENNNSVDEKKES >A04g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14837701:14838948:-1 gene:A04g506530.1_BraROA transcript:A04g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSAQALKAFEKPHTFPHLYNGVAHTSALMRKFRVCHVEVVDDNANRVAKEIAVSVTKDRRFQSYVARGGPSWLANIIQADATSPLAEGLAMREAVVACRDLDLREMRFESDSTQLVNCVNSGAGSTEM >A08g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6746823:6748650:-1 gene:A08g504150.1_BraROA transcript:A08g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNANPRLFLGRTIKAAAAVVRLFRRTVVMDTEEVEVEVMEVAVPLAEVDTADTVVTVAAVEEIKAVVVVDMVIAVEEEASAAGEVVVAEMVAAEILGRYERACGNVNFARRVEYNKCGAPAPSGTGDRGAGRGASDRGGGGRDSGRSYESSRYDGGSRSGGGGSSMGVEVVVLMFRVLHLLWRLFHPTMVLAVTLLHPWGMEWKQFPRLLAMLVVGPPSYGGPTGGYGGDAPSTGGRGGGYDGGSAPRRQEPSYEDAPLKKIYINNLPPDVTTDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKIYIDEKGKNKGDACLTYEDPSAAHSTGGFFNSMYHG >A05p048180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28248368:28251234:1 gene:A05p048180.1_BraROA transcript:A05p048180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular Ras-group-related LRR protein 9 [Source:Projected from Arabidopsis thaliana (AT3G11330) UniProtKB/Swiss-Prot;Acc:Q8VYG9] MAADPNPNKFPVLSYVLSRLPSFTATKSSSPSSSSSSAPAFDVEQPIEIVTQMPHLAHPSVLASMTKAISDVAQTRSILRTLGPRPDHESVDKARAKLSEIEGVLSESLNDIAINEGKDEDEEDEKKREEMGKDKTVCESILKLDEVHDSYEKLLKEAEERLVRIYEAEVVGGEEGVAAAVEVNEEVVGILQQALDNRVERVDLSGRKLRLLPEAFGRIQGLLVLDLSNNQLQAIPDSIAGLHDLVELNVSGNILETLPDSIGLLFKLKILNVSTNKLTVLPDSICRCGSLVILDVSFNRLTYLPTNIGSELVNLEKLMIQYNKIRSFPSSIGEMISLTYLDAHFNELQGLPDSFCLLANLEYLNLSSNFSDLIELPISFGDLINLQELDLSNNQIHALPDTFGSLESLTKLNVSQNPLVVPPEEVVKEGAEVVKMYMGKRRISMFEEEEKRKMEEEMEQANAGWLTRTTSKLKTYVTDVSEYLGSGSPRDSYLEQKL >A03p022580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9480594:9481295:-1 gene:A03p022580.1_BraROA transcript:A03p022580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFSTVDGFAEINESLAEMIKYIANEPSVGLYYIQQHVRNAAPNVLNLNAQVLDKSRETALHTEDSEDSIAMVKSMKECGSPIADGMIGEIKNSLAIMSSKQPRRGLILNAGSTWSSRSSSSIATPTTMHGSDYSQDGSNYFTSVFKSAKEKASNIKWPQLDFKEQKVESSPNVETNELEEKEDKEVLSKGEHMVEKTKFEEFKAGKEASLKAWLGDMDEDADVNGRAAERI >A02p055430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33599529:33600384:-1 gene:A02p055430.1_BraROA transcript:A02p055430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRMVVVNSRKKKPGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVEVHGVEYGFGAHEHSTTGIFEVEPKQCPGFTFRKSILIGRTELDPQQVCAFMEKLAEGYCGNTYHLITKNCNHFCNDVCVRLTRRSIPSWVNRLARFGLFCNCVLPAELNETKVRQVRSKDEKIPEVKKLRSRSSRFPPSSLLSSSGSLNRNRRGERRRQRLPPTPPVSA >A09p072360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55517017:55518144:1 gene:A09p072360.1_BraROA transcript:A09p072360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFCFLVDQRKQVRGRKPAAGLCSRCGGGAVVADMRTSTRFCGVPFYRKAWKAIVYIFDCILRLEETHIQQGFDEGYEAGLASGREDARHLGLKLGFETGELLGFYKGCSSLWNSPALLAHLSPQLHKHLHDFQALLDKFPMMDPEDETKDEIKDGLRVKFNIICASLGVPKKQLVYKGYPNPSSNLDF >A09p061650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50747736:50751200:-1 gene:A09p061650.1_BraROA transcript:A09p061650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLRKMIVWSSFLVFSLSYSILAFASSPAKHLCRPDQRDALWEFKSEFHFSGMAPNEKTQRWLNNTDCCFWDGVSCDLKTGNVIDLDLCGSSLNGSLLSNSGVFKLQHLQSLNLSSNNLAGFLPDSIGNLKHLTVLQLYGCGFFGNIPSSIGNLSYLTHLDLQGNGFTGELPESMGKLNRLTKLLLSTSKLSGNFHHALLNLTELTWIDLRSNNLEGRVPDWLWMSELVYVDISKNALTGFDGPKDAIQTSPLEMLDISSNAFQDPFPLLPNSTRFFSASDNQFSGEIPTTICGLVSLDTLLLSNNGFIGSIPRCFKSFNTMLSLLHLRNNNLSGKFPEESISVGLISLDVSGNQISGELPRSLMNCTRLEFLNVEDNMFNDTFPSWLSLLPDLQFLVIRSNEFHGPIYSLSFPRLRIFDISKNIFTGVMPLDYFANWSAMSSVAYVTDNKQERFMGFSFSNYHKSVVLAIKGSEMELLGSGFRMYKTIDVSGNRLEGDIPQSIGLLKGLIVLNMSNNAFTGHIPPSISNLTNLQSLDLSENRLSGNIPPELGKLSFLALMNFSNNMLEGPIPRGTQIQSQNSSSFAENPGLCGAPLQETCGSGGEEVYEIVKEENDQVLSWIAVAIAYVPAVFCGLTIGHILTLYKPYCLVTEALNATRLHSNASNASPFKTFLLRSLNHPHFPGSTNTMIVLTHLSNAQKVENVSGTNMEIKEQEPNLAAQESPTLDNVISEIKVVNPTYPNTSMMHLHFVQKVDEGLGKEEPRPEAYSPADHALKMINTKAEAIKGTRNKSVKNMKLRKAKEANQDIGAIKMLYLFNHKEFDQHAANWFHTKNINGLGDIPFTSQVTYSSSEWVLFKEAHWFNQLQTRPWRPGDSSRHPGDSYKRPEEFTIMFFIQLS >A09p064560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51972753:51974822:-1 gene:A09p064560.1_BraROA transcript:A09p064560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSLPNHKFRIKLLFILTLNLFFLHTSVFANSSKFSKFSRHPNSESSSRRTKHSTSDDEFLNSVQRSLEHALLAHSLALSLTLSHRTAQSVMLDPVNDCLELLDDTIDMLSRIVTKPKDHVNDDDVHTWLSAALTNQETCKQSLSEKTSLNRDGITIDSVARNLTGLLTKSLDMFVSTSRPETSGRKLLSRQDFPTWVSSSDRRLLEASVEELRPHAVVAADGSGTHMSVGEALASLEKGSGRSVIHLTAGTYKENLNIPSKQKNVMLVGDGKGKTVIVGSRSNRGGFNTYKSATVAAMGDGFIARDITFVNSAGPNAEQAVALRVGSDRSVVYRCSIDGYQDTLYTLSKRQFYRETDISGTVDFIFGNSAVVLQSCNIVSRKGSSDENYVTAQGRSDPNQNTGISIHNCRITGSTGTYLGRPWKQYSRTVVMQSFLDGSIHPSGWSPWSSSNSLKSLFYGEFGNSGPGSSVSGRVDWPGYHPALTLTEAQGFTVSGFIDGSSWLPSTGVVFDSGLL >A03p053850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:23124083:23126314:1 gene:A03p053850.1_BraROA transcript:A03p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDSHGNLTDAEFSKPLPSIGIYVATASLICGAAMFADLLHAFRHRKYWFPCKYFSLNATTLTFISVCVKLSLDLNTPMPSRQDQLAKLSSSVFFCVVMANSMPSLGFMVTRDLLTNLVALGILVVTDAVNICIQLGTGAIYVFTREHALVIVLMLLTFMILSFSAIAIPATKRYLELKYKKKYEFALKECPSHAERRKGVPKLREDLMKFWMMAHTSSPQFVMARSVTCTASGFLCFLSAVTLAEAMVRSYFLQPRSVGFCNGESDYKWSTTLVLVSQGVAIAFGTIAPASRWFSAVNSRCPSKKGLRGEMRVESCWFECLSEKKERPLSLWMLHGRRGRKLAHDVNRWMLDVCMATQRGLVLASKFLRFVTVYFVSRISLCCLLFTSKCESSTVSNSESSSSSSTRRFVLHLEGEEELVDYMARSNREATEHLIHKGRKQQPVNLIELLEGATTTTSISQGFEGIWDFDSDEVVSLASGEPPNSWALPLVTLTSIAVALPNIKPFSLKKLVKAVNEALVYVKKFEDVLDIEGELANSRKAAEVVWLGVDLYHKWLNVDLRKLSKQQKSTKQVLEEMVGIAKKEFTELWQKNLIFCMKHKPSHWPIKTLAANSMYRISQTLLNKYGSRDVGTEEMLLKDVERMVSDIVAGCFCNAAQVIGMKCLVTAVEVREASVREAAMHLGRTEKILEIVDRRCMPALSHHEVAKIDEWREFYRTNRCSIPFTRPSSQCTTRDLILNLE >A08p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23274203:23282692:-1 gene:A08p041100.1_BraROA transcript:A08p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CPELKKRSTTLSYFFVFFFSISRNPLARFDYLSSLRMKLDTSGFETSMPTIGFGSSNDMLDGFTTVPSFDLPRTTDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKFDMSVEEASELARRSIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVPPSTAEQVMEEAAAEHKTLEITKFISTRNRSKEKKNRGRDVMAILLCFFLVSLLTLLTSICLKRMTNSKLNLPPSPSSLPIIGNSHHLAGLPHRCFHNLSIKHGPVMLLRLGSVPVVVISSSEAAEAVLKTHDLECCSRPKTVGTGKLSYGFKDINFGPYGEYIREEESDFMVKKVSESALKQSSVDLNKTFFSLAASVICRVALGQNIQESGFLIDQERIEELVTEAAEALGSFTFSDFFPGALGRFVDWLFQRHKKINKVFEDLDVFFQQVIDEHLKPEGRKNQDIVSLILDMIDKQGSEDSFKLDIDNVKAVLMKQSKRQRKRKMDVLLYFLLVSLLALVSSIFLTNIKTSKLKLPPSPSSLPIIGNLHHFAGCPSRYFHSLSIKHGPVMLLRLGFLRVVVISSSEAAEEVLKTHDMECCSRPNTLVSGKLSYGFKDVNFAPYGEYWREMRKLVVIELFSLKKVQSFRYIREEESYLMVKKVSESALKRSPVDLNKTFFSLTASIICRVALGLNFHESGFVIDQEKIEKLVTEAGEVLGTFTFSDYFPSRLGSFVDWLFQQHKKINKVVEELDAFYQHVIDEHLKPEGRKNPDIVSLMLDMIDKQGSEDYFKLDMDNVKAIIMDVFLAGIDTGATTMIWAMTELVRNPNVMKKAQENIRATLGLKRERITEEDLGKVDYMTFIIKETFRLHPPVPFLLPRETMSHVKIQGYDIPPKTQIKVNVWTIGRDPKRWTDPEDFIPERFEDSSVDFRGQHFELLPFGSGRRICPAMAMGTATVELGLMNLLYFFDWGLPDGMNVEEFDMEEADNPTYVKKLPLQLVPFQRH >A10p030700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18528782:18530203:1 gene:A10p030700.1_BraROA transcript:A10p030700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPISAESFKVGFIGAGKMAESIARGVVASGMLPPNRISTAVHSNLNRRQVFESFGVKVFSSSEEVVRESDVVIFSVKPQVVKKAITEVKSTLSKDKVLVSVAAGIKLKDLQEWSGQDRFIRVMPNTPAAVGEAASVMSLGTAATEEDGALVTKLFGSVGKMFKADEKMFDAVTGLSGSGPAYIFLAIEALADGGVAAGLPRELALGLASQTVLGAATMVSKTGKHPGVLKDDVTSPGGTTIAGVHELEKGSFRATLMNAVVAAANRSRELSQS >A06p020890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10292194:10292370:1 gene:A06p020890.1_BraROA transcript:A06p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKKQENVRAKKIASSCDVEALKKCLEENKGDHSKCQSQVDAFRSSCALPQPKTKP >A03g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31994111:31994943:1 gene:A03g509990.1_BraROA transcript:A03g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPDQTHGGMVSTETTLDDSLQLLLIASQSISTEGNFSSTKLPISSNPESKKPKNAVIKILCFHQALTNRFELCFDKGMKRLEASITTSVSTLAPRVWKIKPRELATKLVSSLVISHGCFFCCVESSEPESGPVLGLWGEIGDGGAASLVKLVLDLVDLIVFGTGGRE >A02p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27129070:27137457:1 gene:A02p043310.1_BraROA transcript:A02p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSETILILTLFLLSGFSLAKQSNELEIKALRSFKNGIPSDPLGALADWTTTGLVRHCNWTGITYDHTGHVVSLSFKEKQLQGVLSPAIANLTYLQVLDLTSNNFTGQIPAEIGKLTKLNKIVLYLNYFSGSIPSEVWELKNLASLDMRNNLLTGNVPESVCKTRSLVSVRIGSNNLTGEIPNCLGDLVHLEVFVADVNQFSGLIPVSVGTLVNLTVIDLGSNQLTGKIPREIGNLRNLQVLGLYKNLLKGEIPAEIGNCTSLIQLELYGNQLTGRIPTELGNLDKLESLRLYKNKLSSPIPSSMFRLTRLTNLGLSGNQLVGPIPEEIGSLKSLRVLTLHSNNLTGEFPHISNCTGLKVLDLSFNQMTGKIPRGLGRTNLTAISLGPNRFTGEIPDDLFNCSDVEVLNLARNNLTGTLKPLIGKLQKLRILQVFSNSLTGTIPREIGNLRELILLQLHTNHFVGRIPREISNLTLLQGLALDKNELKGPIPEEMFGMKQLSVLELSNNKLAGPIPIWLGKLESLTYLGLHGNQFNGSIHVSLKSLSHLNTFDISDNLLTGTIPGELISSMRNLQLNLNFSNNLLRGTIPDELGKLEMVQEIDFSNNLFSGSIPRSLQACKNVFLLDFSRNNLTGQIPDQVFEQGGMDMIKRLNLSRNSLSGEIPKRFGNNLTQLVSLDLSNNNLTGEIPESLANLPTLKHLKLASNHLKGHVPESGVFKDINASDLMGNTDLCGRKKPLKPCMMMIKKKSNHFSKRSAIIMIVLGSAAVLLLLLLLVARCKKKTETSSESPMPDLDSALKLKIFDPKELEQATDSFNNANIIGSSRLSTVCKGQLEDGTAIAVKVLNLKQFSAESDKWFYTEAKTLSQLKHRNLVKILGFAWESGKMKALALPYMEKGSLEDAIHNSSASIGSFSERINLCVDIASGIDYLHSGFGFSIVHCDLKPANILLDGDGIAHVSDFGTARILGLREDGSVTASTFQGTIGYLAPEFAYMRKMTTKADVFSFGIMIMELMTKRRPTSLDDDESGGVSQRQLVEMAIGDGSEGIIRVLDLEIVSSIVSREEEEGIEDLLKLCLLCTSYRPEDRPHMNEILTHLEKLRGKNSRS >A09g513710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41690077:41691576:-1 gene:A09g513710.1_BraROA transcript:A09g513710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLLAYLEAERVRDRGYSYCKPSSHALNVYLCDTVVAEFRLSAPFVVLIAPVTQNELVATHIRLLNSIATITFNAFGKLQTHAPPVQISPNYPVPTPSAPTTTATMPASVLSTVLPTSTLQFSPVPSSVSRAPMTSLGSEEQICTVNVSSSFLSKAKVSGKIYSFNKWNYQLWDENLKTLLYGGIYVYPRDAKSKMKSLGYCMTGGKRSYGHQIYSINIQLAKIHPKVTLYIGSKEEMEKLDDVFERFMSFSFVDSKIA >A10p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1652744:1654125:1 gene:A10p003270.1_BraROA transcript:A10p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGSEFETGKSNLLPAESELELGLGLSIGGGAWRERGRILTAKDFPSVGSKRAADQSSSHQGQGASPPRSSQIVGWPPIGSHRMNKVNNQAPMKAAKEEEEEEEGKKKNDETKDVSVQGLGYVKVNMDGVGIGRKVDIRAHSSYENLAQTLEEMFFGMSGSTTSREKVKPLRLLDGSSEFVLTYEDKDGDWMLVGDVPWRMFVTSVKRLRIMGTSEANGLGISKTSRTEGETKTMKLSCLASLTNLALLCVSFLILWKVTQILIEESIPGESDKSWWSLRFDISHGFHFKVFQLVVFLVFCLFIFLVM >A06g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15941152:15943281:-1 gene:A06g505440.1_BraROA transcript:A06g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVAKQSAEANTPLNIKNLHLIMIVDFKLIYPSGTATAHHINSFHTPQGAKLTKKQVRAFGKFFSLTDAQKGKWYSPDLSSSSFQGLQGYNAALSLVYTSIQDRDAFPVNDRSTPTTVTISYDDKRRTKLFLKDRIPSWIAVTGYVIIAIYSIVTVPHIFPQLKWYHILTMYIIAPVLAFCIAYANSPFSPSGLVSTASDLMQDFKIAERLAPLWAVSFLLASSGCSTKPFLTLVKPEQHILHLTRLVNRNMSILGVEGFSALPKHCLMLCYIFFAAAVFVNGLKDLVGPNWARFIPLPMAMAISFHIGGYFMISMCVGLYNFIKVLGRTVIGLYKQFKDRDAFPVNDRSTPTTITISYDDK >A09g517300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50946252:50946906:1 gene:A09g517300.1_BraROA transcript:A09g517300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLENLNSTNHIYLSHIFQESETSIIEDASKYIKKLKHKVEKINNETTSEQYFCDPTDPMVTVETLEKGFMIKVMSSKNEPGMLVCVLEVFEDLGLEVVEARNDDCESMDGEAVKQAVAVAVSTWSDSQDPKG >A01p024600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12230270:12232230:-1 gene:A01p024600.1_BraROA transcript:A01p024600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAVTSSSSSSDAAISGKFLFLSLREKHEKEVENLTLTSQPLNTLSLFGEATFLYIKRSVLYLLAHGGWFILLTTLLVAFGVLLVTVDGPHGKHVEEVSEYVRYSLWWIALGVASSIGLGSGLHTFVLYLGPHLALFTLKATQCGRIDLKSAPYDTIQFKRVPSWLEKSCSEFGPPLMVSAAGSRVPLTSILPQIQLEAILWGIGTALGELPPYFISRAASLSGSRVDGMEELEASPSEDSGFMAANLNRVKRWLLTHSQHLNFFTVLVLASVPNPLFDLAGIMCGQFGIPFWEFFLATLIGKAIIKTHIQTIFIICVCNNQLLDWMENEMIWILSHVPGLADVLPGLIAKLHAMKEKYIDVSPPVPSHIKIVTATAQRHLKKKQEKEVAALTHSD >A02g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5536605:5536994:-1 gene:A02g501750.1_BraROA transcript:A02g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A07g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20301815:20303046:1 gene:A07g507500.1_BraROA transcript:A07g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGLARLMARLDIGGHNLLKIDMLATPGRYLAYDDLDFSFPFEPNTRGIFLLRIHKRVIDFFSSLDVVKQITSITIEPGTEDKFNTSDS >A02g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17900540:17902558:-1 gene:A02g506260.1_BraROA transcript:A02g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKLLTIVFFFFSLLSQLPKSSSQVLNFTYNGFTPPLTDISLQGITTVTPNGLLMLTNYTEQRTGHAFYTKPIRFKDSPNGTISSFSTTFVFAIHSEIPILSSHGMAFVVAPNASLPDATASQYLGLFNILTNGNDTNHVFAVELDTIRSTEFNDMDDNHVGIDINSMKSVNSSYAGYWNESGQYNNLTLISRRRMQVWVDYDGHTHQIDVTMAPFRKAKPTKPLVSIVRDLSSVLLQDMFVGFSSSTGSVRSEHYVLGWSFRVKGKAPPLALSKLPKLPMWEPKRIYIISMRRRKFEEELEDWETRFGKHRLKYKDLYHATKGFKEKDLLGSGGFGSVYRGVMPKTNKEIAVKRGSNKSRQGLKEFVSEVVSIGRMSHRNLVPLMGYCRRRDELLLVYDYMPNGSLDKYLYNNPEVTLDWKQRIKVINGVASALFYLHEEWEQVVIHRDVKASNVLLDANHNARLGDFGLARLCSHGSDPQTTRVAGTWGYLSPDHVRTGRATTATDMFAFGVLLLEVACGRRPIQIQNEGGERVLLLDLVFEFWSDGNILDAKDPNLGTECDQREVEMILKLGLLCSHSNPQARPSMRQVLNYLSGDDVLPDLSPLEFRGLGIHHGIGELDMFTCGSSMVDSIVSGGR >A03p050770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21812196:21816357:-1 gene:A03p050770.1_BraROA transcript:A03p050770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A family member 10 [Source:Projected from Arabidopsis thaliana (AT5G61740) UniProtKB/Swiss-Prot;Acc:Q9FLT4] MADHGQASFWTQANALLRKNLTYQRKHIWTNVRLVLVPLFLCLLLLSIQLLLEAVMNKVLDMAKCGSKDAPNGDYCPIPNPPLLPPMLHIPEPESRAVKAGLFPYSDLPDLSCRKTKTCPVTMLLTGNNQSLGKALSGTMFGGSFAVNTSDLLPSLADNVLGTTLGAGMDSFRDPGITSGLPIYSIQPQCNANSTWPLSLGKTRTEVKCVQGLCLWRNSSAEINKEIFKGSWRGTPERMTNEIAAAYDLLSTDGKNLDVNIWYNATYYSGGPQNKPLVRVPRLISLVSNAYLKFLKGPGTKILFEFVKEVPKNSTKSNSDIASLFGPLFFTWVVLLLFPVIVTSLVYEKQERLRIIMKMHGLGDGPYWLISYAYFLTISVLYILSLVSFGSVIGLNYFRLNSYSVQFVFYFIYLNLQIAIAFLVSTMFSKVKTVTEKWIIVLELFPGFSLFRGLHEFAQAAFHGNGVKWGDLSESGMDKVFYIMLVEWFVTLTVAYFVDQVLTSGKSPCLFTKKSTTSLPDPSVESQSSDNVLIDMEKADVTHEAETNQREKVELMRIEGSTGHAIVCDNLKKVYPGRDGNPPKIAVRGMYLDVPSGECFGMLGPNGAGKTSFINMMTGLLKPTSGTALVQGWDICKDMNKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNIKGPALKQAVEESLKSVSLFDGGVADKPAGKYSGGMKRRLSVAISFIGNPKVVYMDEPSTGLDPASRKNLWTVIQRAKQDTAIILTTHSMEEAEFLCDRLGIFVDGGLQCIGNPRELKGRYGGSYVFTMTTSSEHEEIVERLVQNISPNAKKVYHLSGTQKFEIPKQEVMISDLFLMVESAKSKFTVFAWGLADTTLEDVFFKVATSAQASLS >A02p047700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29747247:29748255:1 gene:A02p047700.1_BraROA transcript:A02p047700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQVTVCLISLILVLPSVFAASSSEDFDFFYFVQQWPGSYCDTQKSCCYPTSGKPAADFGIHGLWPNYKDGTYPSNCDATKPFDSSTISDLISSMKRNWPTLACPSGSGEAFWEHEWEKHGTCSQSVINQHEYFQTSLGLKQKTNLLGALTKAGINPDGNSYSLESIRGSIKASTGFTPWIECNRDGSGNSQLYQVYLCVDRSASGLIECPVFPHGKCGAQIEFPSF >A02g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25179201:25193002:1 gene:A02g509460.1_BraROA transcript:A02g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKCFHCFRLTHEKAQCPLLRKNGFPQKQHLGFRRAQYRSGEINSEKDMAGPSREQVPEKVQGILEGPPGFPHLFPDLSPEEQKSAMMYISHADPTERLARIERVHQHIGEQKKNDANGRPRFTVDLLKGKGLVYNYDKDSEKLKSISTHAGVHERLAMTVHSCPERNSPKSDESSSVSLRTENSTGFCLGTSGKPLTSGVLSTQRKSRNRPPAWKRRQRQNSQRSDSQAPEKEEECIDGGGKRKAVEPANGGKERSRGGGCKFLGVGWFCYRTKPDGLHCKIEVPLALKVSELINVTGRWNRELLFETFTAEDAERIMQIKPRLNEPDSYQWGFTKTGIYTTRSGYHFSESLEACLYALLWATESMSDLHQQYVIFESSTIETRQMMMNPVIYPWLGPLVSDINILLSRIGIWRLDHVLARSNKVANEIASSVTSGHRYQSYIAAKGPFWLQQTLSAEAQSRKDNGVLVEYVISIKELKPWPTSEVPAQCAHSSQWWAKIRLCSSSQPKLRGSVDKEGSVFSLASLTDDDDDASSQCSSTRRVSLSAMSNVTPPNTEAEMSGDEKKGWKHVKLKHSPNEAALVSEIENLLREEERKKQNNELLVTSSESEQKLNNGTNAFKLKKQLSEIRSVPSLLQPDAARKQMKLRTNTLTLGRKTLGMEGVPRLKQLKSIQLLFDGCGNDTNNDDSLKKADGVSSKLGLEDELKEAAALEAAVYSVAAEHSSSMSKVHAPARLLARFYLHACKGNGSDHSKRACAARAAVSGLIVVSKAWGNDVPRAILSRGTLSLISIKPGSDEWEDPQAFLAALEKFESWIFSRIVKSVWLWNN >A06g502630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8722699:8723427:1 gene:A06g502630.1_BraROA transcript:A06g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIGKRVCNGAKTPECSRENLCALIFQELSRYALLKNPLYCIINETQFPRVNDANPDLLARLKSKRRRIRRKIRLFTFCKKLGSYSLVISHIAIVITLLTVALHSILGVLAAPALLGLCCLLRKKKIKRKMKNKSKTDTKLEKLGAQMDIAAKGTFIMMNDLDTLSRLAGRLCDEIEHRKAVAAMCAKSGKVEVLKEALRVFNGHEERFSELLQELEEHLYLCCHTINISRRLVLAQTTGPSS >A03p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18846569:18849786:-1 gene:A03p045110.1_BraROA transcript:A03p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVATLASQRAVVIFSKSTCCMSHAIKRLFYEQGVSPAIVEIDQNMYGKDIEWALARLGCSPTVPAVFVGGKFVGTANTVMTLHLNGSLKRLLKEAGALLHVGTCIYRVQRLTSPKLTINTKYPSNTKNKTSTY >A05g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8972569:8972915:-1 gene:A05g502950.1_BraROA transcript:A05g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMYPSASASLLGNHKDESLAYVPPEQKYEIVKKLQELKHICRMTDDGCSPALKRANIGIAVSAATDAGRGTSDIVLTKP >A04p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22893040:22895042:-1 gene:A04p040590.1_BraROA transcript:A04p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILWYLFLWLIMVGIVLFLARIVLFKTGLIYMVKKWWKTVVDVFHVYQSYKVPEFNNNLQENHLYMKVYAYLNSLSSMEDSDFTNLFTGKKSNEIILRLYQNQIVGDEFLALPVRTNVPRAGFLVQEFVGTTKRTKTEQGVSFSRFEKPINGEFSVLICSIYTRVTEELEQRNTELKLFMNVDDDMKRKKNGRTRWRSIPFNHPSTFDNIAMGTDLKSKVKSDLESFLKGKQYYSRLGRVWKQSYLLYGPPGTGKSSFVAAMAKFLDYKFADSCIDGDRRGARRRLILESGSRRSTAEDGGDVSGPLCGGGGS >A07p043730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23885817:23887853:-1 gene:A07p043730.1_BraROA transcript:A07p043730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGRKEKFVPFVSMVIMEACTIALTIMAKTAITGGMSPFVFVVYTNAFGSILLLPFSFFFHRNDRTEESIFSWPLLVRVFFLGFTGIFLFQNLAFVGLSFSSPIVVCAMGLLIPSFSFLLNLILGRSKLDWRNTSTRAKVMGTIISFSGAFVEELYKGPFIRPASSPSPTRLLKSIPKLLVYYTIPNNWFLGCIFLAAAFFSVSLFNVIQTGTVKKYPHVMKVASFYSIVGTIQCIIFSLFMERDPSAWKIEPNFDLFLIIATGIFGNVIRPSIQVKCAQMKGPYYVPLFKPFGIFWATVFGTSFFVNSLRYGNVLGAAIGGVGYYSVSWGQLKETEEKQNPMEERKAIKPMHLHGEDENKVPLLINQEESPV >A06p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18680265:18681543:1 gene:A06p034550.1_BraROA transcript:A06p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSQAPETTPSDDAPACIAGFFSFQEKMARRKAEKETAHADAELPSSSVLVVSPTHELEVQVSQGTGAQVKAGAPFVPDTLAQPSGSSTTPILIESNNKATESMPPPPARKEIVLALRAPSATPVVQPKGRKRKCIRGNYGESSQQEGLNLASGLRGKFVSLIDGMVSECGSKVSECGSEVSRLARDLTKIQGKLSESGAMYKALEDSHSAKVSKLEVQIGELERDLGKTTSSLLKEKKAKKTNSSEVRRLQRQIESGEGAASHAVEEAKDALCVEFQTRLTKISDLQGYLECIRSRDLAWATIDGGMAVIQAPQGENPSSLQAEEARLFACKGDLATVDGNPEGQDPAVRGKQRWCGPELGRAMGEEEV >A08g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5525607:5527909:-1 gene:A08g502590.1_BraROA transcript:A08g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRDKKNIVSLVRELKPRKDTSRIEVMILRLWRNYNKESGNTIEMVVVDKEGTRIHASVGEQLIKKFDDKLREGEAIVLQLFKVYDATGEYRTTPHPYKIGFFHTTFVGIADDFPSAVPEKYFADFSDILGGNLDHSCLVDVVGQIVNFGSLENKIIKGKDNMRLLVELHSVLLKSGKVRTPYLAGIIQPISCSTQHFDFIEEFKASLPDDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIGEIIDSSVVGTFVTLGTIETIDIERGWQYLSCKYHNKKVMPTTNVDADDRPLFFCNTCDKEHSDVISRFKLIANVKDDTGEANFLLFDANAQAIVRHSAAELYDENEDEDFLPEAVSDLFGKRVLFEISVDADNIKGKSSQYVVRLATDDCEMVEEFADLPPKSNPVLMLESADDISSGSGGFTATPLSKRKSEQDDDSCLEDQHSVNNKLSQKKLKGE >A03p056280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24329756:24330515:1 gene:A03p056280.1_BraROA transcript:A03p056280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVKYPEGWELIEPTLRELDAKMREAEMDEHDGKRKCEALWPIFKLSHQRSRYVYDLYYRREEISKELYEFCLDQGYADRNLIAKWKKSGYERLCCLRCIQPRDHNYGTTCVCRVPKHLREEKVVECVHCGCQGCASGD >A07p044600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24295861:24298094:1 gene:A07p044600.1_BraROA transcript:A07p044600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEKGLLVLVGEEEEDEQVNMREGFFQEMRRLGYIAGPMVAVNSSMYFLQVISIMMVGHLGELFLSSTAIAVSFCSVTGFSLVFGLATALETLCGQANGAKQFEKLGEHTYTGIFALFIVSIPLSILWSYMGEILCFIGQDPLVSQEAGKFATWLIPALFAYATLQPLVRFFQAQSLILPLIMSSISALCCHIVLCWCLVFKFGLGSLGAALAISVSYWLNVIVLGLYMVFSSSCGKSRAKISMNVFKGMREFFRFGIPSASMICLEWWSFEFLVMLSGILPNPRLETSVLSVCLSTISTLYQIPESLGAAASTRVANELGAGNSKKARMAVYTVMVIAGVESILVGALVFAARNVFGYLFSSEPEVVDYVRSMAPLVALSVIFDALHAVLSGVARGSGRQDVGAYVNLAAYYLFGIPTAVLLGFRFKMRGRGLWIGITVGSFVQALLLGLIVSLTNWKQQVRKARERVMGEEFEEKDIDEEHVAMIN >A03p046370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19579041:19582378:-1 gene:A03p046370.1_BraROA transcript:A03p046370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKMLADGELDKAVAAEEATEAHYDFDLFVIGAGSGGVRAARFSANNGAKVGICELPFHPISSEEIGGVGGTCVIRGCVPKKILVYGATYGGELEDARNYGWEINENVDFTWKKLLQKKTDEILRLNNIYKRLLANAAVKLYEGEGRIVGPNEVEVRQIDGTKISYTAKHILIATGSRAQKPNIPGHELAITSDEALSLEEFPKRAVVLGGGYIAVEFASIWRGMGGTVDLFFRKELPLRGFDDEMRALVARNLEGRGVNLHPQTSLTQLTKTDEGIKVISSHGEEFMADVVLFATGRNPNTKRLNLEAVGVELDQAGAVKVDEYSRTNIPSIWAVGDATNRINLTPVALMEATCFANTVFGGKPTKADYSNVACAVFCIPPLAVVGLSEEEAVEKATGDILVFTSGFNPMKNTISGRQEKSLMKLIVDEQTDKGIAIALKCGATKAQFDSTVGIHPSSAEEFVTMRTVTRRIAYKAKPKTSL >A03p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18457197:18467498:1 gene:A03p044230.1_BraROA transcript:A03p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVTPSMNSLKSLPADYRFDGSSVPRKGGLRNGISPSDTAAGDSEESPYSGHVEHQSLSDDMDTDAAATMPLPQSDERRWSDTSAYARKKILQSWIQLPNGNWELGKILSTSGEESVLSLAEGKVIKVMSETLVPANPDILDGVDDLMQLSYLNEPSVLYNLNYRYNQDMIYTKAGPVLVAVNPFKEVPLYGSSYIEAYRKRSNDSPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIYFSETGKISGAQSRVVQCAEGERSYHIFYQLCAGASPALREKLNLTSAHEYKYLRQSNCYSISGVDDAERFHTVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTVIDNENHVEPVADESLSTVAKLIGCNSNDLKLSLSKRNMRVGKDTIVQKLTLPQAIDARDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNEYIQDGIDWTRVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKQHLLSNSCFRGAREKLFTVVHYAGEVTYETTGFLEKNRDLLHLDSIQLLSSCSCHLPQAFASSMLIQSEKPVVGPLYKAGGADSQRLSVATKFKGQLFQLMQRLGNTTPHFIRCIKPNNVQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYCFLLVENIADKDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSSFRGYKARCHLKELRMGIYTLQSFVRGEKVRKEFAYLRKRHRAAATIQSQVKSKIARKQYQDVTEASLVIQSAIRGWLVRRCSGDIGWLKSGEVLVKASVLSELQRRVLKSEAALREKEEENDILQQRLQQYENRWSEYETKMKSMEEIWQKQMRSLQSSLSIAKKSLAVEDSARNSDASVNASDATDWDSSTEEFEQRAQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYGGRLRETKMILSKLGSEESGGSMEKVKRKWWGRRNSTRGSYHLPRCSKQISLSFPSSSSVSISAIVAIMGSLSGIIQRPLVAAAAVIAASVSADVSEKFSSLRSLVRGSESDQIAASVSGSVHDERSLWVSQITHSKLKDLSFVSRIRLPVPNVDLLLAPNPSCKLAPSVTSLSALRSAYQSAELAKASKPAAFTIGASFVAPDVSYKWHLPETSALDLSGSSSCASEKNRTVVVLLGWLGSKQKHLKKYADWYTSRGYHVITFTLPMNEIMSYKVGGKAEKNVESLVNHLADWLDEEQQQKNLVFHTFSNTGWLTYGAILEKFQKQDSSLMGRVKGCIVDSAPVAAADPTVWASGFSAALLKKNSVATRGSASSSCESNMGTRINSINFSEPKPAATETVLLLILEKFFGVILNLPKVNRRLADVVETLSSSQSRCPQLYIYSSADRVIPAGQVESFIVEQRKAGHEVRACNFISSPHVDHFRSNPELYTAELNHFMDNFVLASCNHSS >A05p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26103553:26107040:-1 gene:A05p043270.1_BraROA transcript:A05p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVATLCGSLLRTTLETFLLLNYPSSKCLIPEAMLLPHGIFITLLTLSFLLGRSCCATDTLLQGQYLKDGQELVSAFNIFKLKFFSFENSSNLYLGIWYNDLYLNGNKQYAHIQDRAVWIANRNSPISGRSGSLTVDSLGRLKISGGASKSLLEITSTETTGNTTLKLLDTGNLQLQEMDSDGSVRRIVWESFDYPTDTFLPGMKLGFNVKTGKRWELTSWLGDTLPASGSFVFGMDANITNRLIILWRGNMYWASGLWFKDRFSLEEFNSYEFVFSYVSTESEHYFMLSVDRHYSDTVFPTITIDQKGILHINRLDREVTHVRCSPFTIGEEVDNECYRKDPRMCLNAGCIVPDMLSGHRNCSPSGYTYFRETVSAFSSNGFVLNETGGRLSSADCRAMCIQNCSCLAYASTNGDGTGCEIWNTDPTNKRSSSHSPRTIHVLVKGYVVDRENERAPTWLVVVASLFLMIPVTWFIIYLFLRKFKVKVTIIFRGMFYFLWGEIIPQMTGCIRRRLQTLRVGSTIDQEMLLRELGIDRRGRRHRRSARKNSNNELQIFSFETVALATDYFSDANKLGEGGFGPVYKGRLIDGEEVAIKRLSIASGQGLVEFKNEAMLIAKLQHTNLVQLLGCCIEKDEKMLVYEYMPNKSLDYFLFDPLRKNVLDWTLRFMIMEGIIQGLLYLHKYSRLKVIHRDIKASNILLDEDMNPKISDFGMARIFGAQESRANTKRVAGTFGYMSPEYFREGLFSAKSDVFSFGVLMLEIICGRKNNSFHHDSEGPLNLIVHAWNLFKENRIREVIDQSLGDSALDSPQVLRCVQVALLCVQQNAEDRPSMLDVVSMIYGEGNNALTSPKEPAFYDGPRRSSPEIDIETPEPENVSANRVTITVMDPR >A04p034790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20095688:20098984:1 gene:A04p034790.1_BraROA transcript:A04p034790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVPFQFLFFLFILLDKAVYASSEYLIGVGSYDITGPAADVNMMGYANSDQTASGIHFRLRARAFIVAEPQGKRVAFVNLDACMASQIVTIKVLERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVTYIVTSLGFVRQSFDVLVDGIEQTIIQAHQSLRPGSVYVNKGDLLDAGVNRSPSSYLNNPEAERSRYKYNVDKEMTLLKFVDTQLGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFENGQKVSSKIPRRVSTIVTDLNTNHSRLLDIAASYKSSKGQFLDAKVRVRKASKRTFVSAFCQSNCGDVSPNTLGAFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGEKQFKMAVGLFNKATEKLQGKVGYQHAYVDFSNLQVTVPKAGGGSETVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGNAFWRLVRNVLRTPGPEQVQCQKPKPILLDTGEMKTPYDWAPSILPVQMLRIGQLVILSVPGEFTTMAGRRLRDAVKSFLISLDSKEFSNNLHVVIAGLTNTYSQYITTYEEYEVQRYEGASTLYGPHTLTAYIQEFKKLATALVDGQTLPSGPQPPDLLDKQISLLAPVVVDSTPLGVDFGDVKADVPPKSTFRRGQQVNATFWSGCPRNDLMTEGSFAVVETLRGGKWVPVYDDDDFSVKFKWSRQVKLSPESQATVEWRIPESAVAGVYRLRHYGASKSLFGAITSFSGASSAFVVV >A06p044500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23894140:23894682:1 gene:A06p044500.1_BraROA transcript:A06p044500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPDTDLNAHHLAMIGRLFLERQVQWLAAALQPEAVTMLHVASFVKVHDACSAPGNKTINIAALMRGQGKIMASELHQERNLFDGFVPK >A03p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2721052:2723431:1 gene:A03p006420.1_BraROA transcript:A03p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKRRLNCGFDFSGVPKAPRSTRRKVSSERDDVDSQLTAFDLLASLAGKLLEESESSSTSTYGDSQEDHLVVKIKQEHELVYNDKPCKSELSHQGNLSSKSPSDNTSETCLQVSSVENDCVLEQTPVSDCKIALGLKQPLVGCGNKKEDCAVHVEQGEATNGLMIPDTISLKDSSHESVHMPPGKDGGVLNGSLGGYMNHSKLVCSDDDDENYCKFYKFSDKCNKSYRPMTRVGHRRIKKSKYGRAFPRSKCFEDTRTDGCIKALYRKRKLCYGYNYNPWKHETVHRKRRLSDKGLVVTSDGGLSSESVTNSPQKGESVKLSIKSFRIPELFIEVPETATVGSLKRTVMEAVTALLGDGIRIGVLVQGKKVRDDTNTLSQTGLSCRENLDNLGFTLEPGSSENPVLSLPTDSTNLSERSSASPELNSRIPLSLLHADHMINSGTCVESKQELVPYQSDITADEQQQPSPDSRAIVPVPPLEPDALAVVPLNEKPKRTELSQRRTRRPFSVSEVEALVHAVEELGTGRWRDVKLRSFDDASHRTYVDLKDKWKTLVHTASISPQQRRGQPVPQELLDRVLAAHRYWSQHQVKQNGKHQAAAMMVVESGAFM >A05p034350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19294926:19297278:-1 gene:A05p034350.1_BraROA transcript:A05p034350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRTGVKSMKDYLKKYESSEVIEKKKKKKMKKPSKPEPTGVLVVDEDPVWQKQVDPHEEDNENDSAEERPVVDEDIEVKRMRRLEEIKARRAQNAIAEDGSGWVSLSSDPQRSDDISPPRRHRTRNDSPSPEPGGSRGSLPETDMSPPRRRKRHYTPSPEPNRLHAKPVSLDSDLTPPRKRRARNDSPSPEPEDTAPKSLGEDLSPPRKRRVHPVSPERSGKRSDSLELDSDLSPPRRKKDSLVSDVNKRSNDLSPPRRRRYHSPSPEPDRRPSKSLRSNSDLSPPRRSVSVKGSRDSDLSPQRKTVSRSSNFDSSPPRRPRRESPPPQTSKEQRKTGLISGKDIGSEYRKKKQDEQLRFKNMDSKLTGQNAEAVFRDKITGKRISKEEYLQSKQKKVIEKPKEIKLEWGQGLAQKRDAEARLQELELEKDKPFARTRLTYQRISISQKKQYEAPLMDLGDNEEMKKSGFVIPQSIPKHSWITRGLEAAPNRYGIKPGRHWDGVDRSTGHEKDRFKKTSEKKATESEAYLWSVADM >A09p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4591932:4594264:-1 gene:A09p008950.1_BraROA transcript:A09p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKSPKVVILCYIVYMFLCLSPFTHMFHPKTLKLYLQQEAKNTMLVSSHASFTLVFLYCIFFSAVALSLPVSDPELVVEEVHRQINESISRRNLGYFSCETGNPIDDCWRCDKDWEKNRKQLADCGIGFGKNAIGGRDGEFYVVTDPGNDDPVNPKPGTLRYAVIQDQPLWIIFNRDMTIQLKEELIMNSFKTLDGRGASVHISGGPCITIQYVTNIIIHGLHIHDCKQGGNTYVRDSPEHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIRGSTAITISNNYLTHHNKVMLLGHSDTYVQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNGPFDKEVTKHEDAPESEWSHWNWRSEGDLMLNGAFFTLSGAGPGKSSSYSKASSLAARPSSHVGEITIASGALSCKKGSHC >A09p056750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:48111059:48111829:-1 gene:A09p056750.1_BraROA transcript:A09p056750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPETHLHMPIRSISLPSRIHPPSAKFQAALSQLHTCHNSSSSDSQSLQISLLNLSELYHSLHQLNHSLPTAQAEHSLDVSTTLLDSCDAARNLILNLREHLLSLQSALRRKGKSMGVQIKEYFVFRKKIKKETSKLVLGLKKLDGSETTALAVSLLRSLFTFLSTASAMKTNTCTLRFVSKLIGGGGRSSTSIMSELHNLDLVLRSDGDNSKEVKKALEILEERTEGLEAALDSLFKSLVQYRVYLLNILTTHS >A09g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17992847:17996451:1 gene:A09g505710.1_BraROA transcript:A09g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGDHYKDIFINTLFINNQKTILALTLSLSRDPLFFLSLSRSFFLPLCLRFTGDPPSLSRDLFFFLSASDSPEIHHLSIIPPVLCGSHCRLLLLLLLLMDLETENRIASVLLREAAELRRQAEKDGVRAYLEKPNVRHRPNSRYKRGRGSVGPRMDENVPYLPTGKVDQLQSFDTRERKFIYFPHVVENSEMRQERFVGILSLLYSS >A02p048000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29893327:29895302:-1 gene:A02p048000.1_BraROA transcript:A02p048000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEEEQQKQSLTSKSSTGGASRPTISLPPRPFGEMFYSGGVGFSGFSPGPMTLVSNMFSDHPDEFKSFSQLVAGAMASPAAAAVVASAHQTPVSSVGGSGDSFLDPRFKQNRPTGLMITQPPGMFTVPPGLSPATLLDSPSFFGLFSPIQGSFGMTHQQALAQVTAQAVHGDTVQMQSQSEYPSSTQQQQASLAQVPSLPAPVQDSSRAQRDDSDVSVYEHRSLPQNAADKPADDGYNWRKYGQKQVKGSDFPRSYYKCTNPACPVKKKVERSVDGQVTEIIYKGQHNHEPPQNNKRGRDNTGSCNSLSKTKRDQETSQFTTTEQMSEASDSEEVGNADTSLGGTHEDEPDPKRRNTEVRVSEPVSSSHKTVTEPRIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCGVRKHVERAANDPKAVITTYEGKHNHDIPACRTSSHQLRPNNNLSTVNLNQQQTVARLRLKEEQIT >A08p025150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16263235:16265276:1 gene:A08p025150.1_BraROA transcript:A08p025150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNHNNDDRTTDVDSHLRQKEQDKLLFHDFLGSKTETLASTSMADHSLPLDKAVKLAMTSASSVGGRGGLSSTSDLVERQGSGGGNHLDGRQLFGPRSEVSGSIMSNRFSGTKRSNSDSQFTSQEHPETLHWSKMLRNGPGSLSMNMNHMANQSPRGGGQISHLLHQLSSSRFKDENVGPSVISQTAADESSRTGMKGPGIMSHFTMPNPSKVECFAPSSTGNRKELTSSTKQMTIFYGGQAHVFDDVHPNKADVIMTLAGSSGGSWSTDLSHKPKTKNNTSDGPYKLSQMYEGGSSRETPFLSSEFRARPGHQATSSACQRIFTQPGREHQGSIISRGRETRDLVHVSDPEKKPRD >A05g510230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31780054:31783506:-1 gene:A05g510230.1_BraROA transcript:A05g510230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICRSAACMRGEVDTRWDLCQCASWPTCDFSFCTRKCFCKYLFPYLNPIIALCGDNLDLKPQSFSLEDHRGCDCTTVGLECLVNIIRALRMGSCLSGETRSPTPGSPCSPGFGVKKRKNSKKRLGSRNSSFDSRRDDPLHRVPGRMYLNGASEAACIFTQQGKKGPNQDAMVVWESFGSRTDTVFCGVFDGHGPYGHMVAKRVRDNLPLKLSAYWEAKVPINSASNINNSEDVSFVSAEEEPSANNNSEESQSELFQTLKDAFLKAFKVMDRELKFHKSVDCFCSGTTAVTLIKQGEYLVVGNVGDSRAVMGTRNGENALVAVQLTVDLKPNLPAEAERIKKCRGRVFALRDEPEVCRVWLPNCDSPGLAMARAFGDFCLKDFGLISVPDVSFRRLTEQDEFIVLASDGIWDVLSNEEVVAIVASAPSRSSAARALVESAVRAWRYKYPTSKVDDCAAVCLYLHSNDTNVISSASSISKLEDDDDASEPSGLGRSSTVRTGKEIALDESEAEKLIKEEDIEPGTEYSALEGVARVNTLLNLPRFVPGK >A08p026380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16801515:16806063:-1 gene:A08p026380.1_BraROA transcript:A08p026380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTRGSCFVVVVALLAITHLCICEALLSQKEQDKVTKLPGQNFNVDFAHYSGFVTTNEKLGRALFYWFFEAADDAASKPLVLWLNGGPGCSSVAFGEAEEIGPFHIKSDGKTLYLNQYSWNQAANILFLDAPVGVGYSYSNTSSDLRSNGDKRTAQDSLKFLLKWVELYPEYKGREFYIVGESYAGHYVPQLSQAIVRHNKASGDNTINLKGYMVGNGLMDDFHDRLGLFQYIWSLGFISDQTYSLLKLQCGFESFIHSSKACDKILETADKEIGNIDQYSVFTPACIANASQSNMLLKKRPRTSRVSEQYDPCTEKHSKVYFNLPEVQEALHVPPGLAPSKWDTCSDVVNENWKDSSSSVLNIYHELIAAGLRIWVFSGDADAVVPVTATRYSIDALNLRPLSPYGPWYIDGQVGGWTQQYAGLNFVTVRGAGHEVPLHRPKEALALFKAFISGTQLSTPESSINKDMSELVTRETRQEAEVMVLVSNSSHQNKEIHIRRRISEIYNKREEDFPSLKDYNDYLEEVECMVFDLVDGINVEAIEEKIKKYSQENAEQIMINRARKAEDLTAALAACKAQQPQTDVDTSTNNGSTSGTAYSQAARPTGMGPQPVPIGGGGGDHQRYSMEDEAMMRLKAERATRAGGFSLEISKKRALEEAFASIWV >A01p022950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11326061:11327469:-1 gene:A01p022950.1_BraROA transcript:A01p022950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 40 [Source:Projected from Arabidopsis thaliana (AT4G16270) UniProtKB/Swiss-Prot;Acc:O23474] MLILKKKVERDHITMKNLFNLFLIMLLVSMPILSLAANFSETCEDGSGEPGSGFGIGFGIVLEFGLYRNSCPEAESIVYSWVETAVLQDPRMAASLLRLHFHDCFVNASPLILYFVLIILGCDASVLLDDTEGLVGEKTAPPNLNSLRGFEVIDSIKADLESVCPETVSCADILAMAARDSVVVSGGPSWDVEVGRIDGRTASKQAATTSLPSPNSTVPTLISIFQKLGLSQTDMVALSGGHTLGKAKCTSFTARLQPLQTGQPANHGDNLEFLESLQQLCSAVDTSVAITQLDLVTPSTFDNQYYVNLLSGEGLLPSDQALVVQDPGTREIVETYAADQSVFFEDFKNAMVKMGGITGGSEGEIRKNCRAIN >A04g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11984078:11989662:1 gene:A04g505730.1_BraROA transcript:A04g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVIPDVIVVAETNTFNLTSQWYDWGSEDPFCGLPHEDPKDLIKRLEELASANKHDEISADHIICKIFPYCLSRDAFSWFSKLQPRSLTCWEDIKEAFIGKFFSEGVATRSKRLDKMIKDWEKGIMISMSQILDFVYREENGDIGTPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVEDDESGEQSKVEEADTKDPTSASIDSSNSEPIDIRTSEMIDTDICHRSIPSTIPDATTSRVELKKPIQVIRPQHRSSPPPHRRSTSTSEMIDTDFCHRSIPLEIPERSSCPQDNANSTQESIDESSCDLTSDVDKVTLKDFLELEEWLQQKLDDQPASGKGLENSLKADDIDRQKPDEIDRHPPYDIDLQSPSNIDQHTPDCIARYPLDCIDRHPCLDELSGYMTEPEMVGRKEHTSGASHLAVPENLRPPLCKEEAVGICKRVKRIHDPVKIMVPCAVFEAESPIPPDKSMELSSYGGVFDNNKYVEASQRGLRFRDEIDNCPAEVSSSDINRTKSIDTNTSSSIDTDQIPSIIPPRIQTSLGERSGGTGRRKKRINEGSQISLIPHFSDDARKSRVRLHKSVGKKGRYWKKRKRTKEGSQLPLTPYFSDSIRKPRVRSRCFSQPFAKLKALLIAEMIDKGEGTSTDDTSSISIDSASYPTFDCLFIVSNDCSSHRPMRPCHYQSTALHQHRSIIVLKILKWINLSTMFTLAKISGQSASREEAAEKRKPRRSMQQLHVDRGRYQIVGLSISYSRIGLARTRRSMSSTDYRSTLAKVYRSTS >A10p032180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19094973:19096383:1 gene:A10p032180.1_BraROA transcript:A10p032180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEKRQKLSTPELESQSLSKKDVAITSASLNSEVDVGEAMKKQNDVAMFLAEKVISALARNSNFVFSPASISAVLTMVAVTSETETLRSFIFSILRSSSIDELNAVFHEVADTVLVDGSENGGPKISAVNGVWMEQSLSLSHSKKDVFQNFFKAAFAQVDFRFKSEQVRMEVNEWASRHTNALIQNMLPPTSVRSDTDWIYGNAIYFKGAWKNKFPKSETSEEEFYHVDGTSVSVPFMTTTFRMQYVREYDDFKVLKLSFQRGRDTNRLFSMYFYLPDEKDGLENLVKRMASTPGFLDSHIPSEKVRVGEFRIPKFKIEFGFEASKAFNELELESVELHHKALVEIDEDGAEAAAVTIEGGRRGSKGYSSVRLIDFVADHPFLFLIKEDITRTIMFVGQIFDPTETSSA >A10g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2953726:2955046:1 gene:A10g501020.1_BraROA transcript:A10g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPRRSSRLMKLKNVEATPMNTCGLSSGSSSRKRSRRRVSAGDTAPLPKNVELEVESLSDGESSDDHSDEAPMAADTPPNRTKEQRFEESRNVYQTKAQFYPELMRPKRMPMTERFFSIEATEHLIHTLTDLDLYQPNVIRGFIANLPEAEERDDGVAVYVRGSLVDFSPSLINSMYCIPGFEKDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFAYMLHHHDGFDFGKLVYDQIMAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRSKGDAQWGDYEQHVPHPGFEENDEQDEDEEDA >A09p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11304542:11304921:1 gene:A09p021230.1_BraROA transcript:A09p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRYVCMEAAGNFREAPRAGHVIVALERNYFYICKATSKLQLLYPKKKLYIYDCRFISIVEGVWSKVVAMAEGCLQDPQRLVLHSLFGDCNKNLDPLDDIYYYTQ >A09p014610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7666719:7666958:1 gene:A09p014610.1_BraROA transcript:A09p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATKFVVLLFIGVVCANVCARQFEAVSQETKSVISIHETTNGIGAALSVYIGTIIHGPGGATAYGSASGGARSYAGGD >A07p024190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11890529:11898003:1 gene:A07p024190.1_BraROA transcript:A07p024190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSDERNPRPVIPTTLCPLLDPLPALNFFHGSQSAFGSEEHQLFRYCRRFLAPCLIEIDVSLDWNFIKEARDPLALALSREDLDMFRLHFAYICLYQVFEYHMEFLETFGCIWSSKESDCTKSLAFSHPETHIDDPGATSQSDLPRSLPIQSDPLERHTKVACDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESITDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSPTFQSDVLKSLPKLRATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHVFYSENLCFNTRKPPRGDYLVLRKTTKNLWKGISLNELISLLLRILLSEYLHSRCFDIPQNWFNNHLYYNICLMSLENS >A05p009760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4074693:4075568:1 gene:A05p009760.1_BraROA transcript:A05p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 10 [Source:Projected from Arabidopsis thaliana (AT2G37740) UniProtKB/Swiss-Prot;Acc:O80942] MEKPGGVWIPKKSNKESSWEELAFAEDDAAGSLWPPKSYSCSFCRREFKSAQALGGHMNVHRRDRARLKQSEDQYLFSKSSSPPEYPSHNYSDDVRETSSYTLVFNSNPNRFESQRSCVIDLSSSPSSLPYLTPRVSSSSPSFVVESSNNSKKITSSSPWSFPGKKGCDIYEVPFMEGSKKRKIDRDVPKNGDKAKVSLENTTDLPVIMNLVIRKDCPITAQGGDEETGKGDMIHKRRRMREGSSQPSIFISSLSCKSAIITRNEEIKHKGGHFEDLDLELRLGGDPRKAN >A05p038130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21719136:21720851:-1 gene:A05p038130.1_BraROA transcript:A05p038130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHYTKTGSAVILLFCLFIITSASSSSFIQQVTDDFTTNLQLDDGDGPDPIQGEAHYFHTHVQDGDGPDPIQGEAHYLHNHDQEVSSRDYKVSASNAVVKGLRSRPPSSYSLKMESFNTLLKSKYSERYVSRPFSAAGYNWTLVVYPNGNKNDKGSGYLSLYAAIDSSTLAPHEEVYVDLRFYVFNKKEKKYFTIQDTDVWRYNNFKTMWGFSQVLPGYTFKSPYNGYLYDGDHCEFGVDVTTPTVFQTSELFTVANNFKTPTFTWRLLKFSTLLEDTYLSDTFSIGGRRWNIQVNPSGRDKGKGKALSMYLIVNHNEELRPFERIYVRAKLRVLNKFKFRNVERQIDNWFSRWETGRAYGWGSSEFVPLSNLKDSSKGFLVDDKLTVQVEIEAVSTTKYFPS >A08p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10547994:10549535:1 gene:A08p016220.1_BraROA transcript:A08p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISLLEITVSFLCFSFFFGCFFIMKKPHRSFPTNWPFLGMLPGLLVEIPRVYDYITEFLEASNLTFLFKGPCFVGVNMLFTVDPANIHHIMSSNFTNYPKGSEFKKIFDVLGDGIFNADFDLWMDLRKSAQSMMSRPEFQRFTLRTNMRKLEKGLVPILDHFAEKKLVLDLQDVFQRFTFDTTFVLATGIDPGCLSIEMPEIEFARALDEAMEVIFFRHIKPEIVCKIQRLLGFGDELKMKIAHSTLDRVCSKCIASKRDEITRGVTSIDSSSKDLLTSYMDVDTTKYKSLNPGDDKFLRDMILSFMIAGRDTTGSALTWFFWLLTKNPEVTTKIRQEINTKISPRTNNDSDHFSSQELNKLFLSFNAGPRTCLGKEVAMTQMKTVAVKIIQNYEIKIVEGHTIDPVPSVILRMKQGLKVMVTKRSNLV >A09p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8547684:8550057:-1 gene:A09p016290.1_BraROA transcript:A09p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDMEVKQILKSLCFSYGWSYAVFWRSDPINPMLLRVEEAHNDEQSATLVDDMILKTHVLGQGIVGEAALTGNYQWLFSDTLVQCEHEFQNQFISGFTSIAIIPIGASGVVQLGSTQKIVESREMLEETERALQEKHSLKVKDQSVDLDTLFESLVPLVDCELVPEYFQELSFDDIFTEEDNNPPSLLFDKPAFEASPNPSSSDINEDDDSVFDILNSYSLDDLYQLLADDDSQEQECSMVIQGNDKDLLGIHSYDCPQKGQLFSELISTSLSNSTSCLTNVQQEDSYSGLNQSKRRKLDNSTSSSFFMTQAETLTPLNPPMWIDEDMAGNWKKPQEEEEGVKKKKKRAKAGESRKPRPKDRQMIQDRIKELRGMIPNGAKCSIDTLLDLTIRHMLVKEKERTWALEVGDDESVVCPIIVEDLKPQGQMQIEMVCQENGDEFLEIAHVVRGLGLNILKGVMVTRQGRIWAHLIVEAKPHITRLQLFYSLVHLFQQQNPPHSFDHQT >A05p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:392210:393501:1 gene:A05p001700.1_BraROA transcript:A05p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELTQTTTAKSAVTITKPSPRVHGGRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVLPDVPEEHRRILVEQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDRYFYAVMDCFCEKTWSHTPQYKIGYCQQCPERVQWPKAELGEPPALYFNAGMFVFEPGLDTYEDLLRTLKVTPPTPFAEQDFLNMYFKKIYKPIPLVYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEANMEREDIKMLVNKWWDIYKDGSLDYKKSEAESDLVNLKPFINALTEAGRVKYVTAPSAA >A09p017430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9034170:9039827:1 gene:A09p017430.1_BraROA transcript:A09p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVYAPSDGTSFLSFDGSESMGGSKNGHQSLVEWLNQTLPYLNLPLEASEDELRVCLRDGTVLCSLLNQLSPGSLRMGGSFEPAYVKIERFLTAMDEMALPRFEVSDIEQGDMVPVLQSLKALKASFSDGGFDKTSLCAKRRWSLPEDHSNSKGDGRNFIDGFQSNEESEIDISDAKISELLKSNSLRNAPTRSLFDMLEQLLDESVKKMNGHVSHAMASLLSALVQVIEQRISNQADNLKNQNILFRVREEKYRSRIKVLETLAAGTTQENEIVTNCMEHIKLEKTRIEERERAEEKDVVHLKMEKERTDAEIRKLKQELKVVKETHENQCLELEATAQNDKLEMEKKLNDAELQVANSTKKVKELEKLCQSKSQNWKKKESTYQSFINNQYGALQDLNATSVSIKHEVLRTQKKYFEDLNYYGLKLKGVADAAKNYHVVLEENRRLYNEVQELKGNIRVYCRIRPFLPGQNSRQTSIEYIGENGELVVANPFKQGKDTHRLFKFNKVFGQAATQEEVFLDTRPLIRSILDGYNVCIFAYGQTGSGKTYTMSGPSITSKEDWGVNYRALNDLFQLTQVRRNAVVYEVGVQMVEIYNEQVRDILSDGGIWNTALPNGLAVPDASMHSVRSTEDVLELMNIGLMNRTVGATALNERSSRSHCVLSVHVRGVDVETDSVLRGSLNLVDLAGSERVDRSEATGERLKEAQHINKSLSALGDVIFALAHKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQVNPDGDSYAETVSTLKFAERVSGVELGAAKSNKEGRDVRQLMEQVSNLKDVIAKKDEELQNFQKPTGNNAIVPKRGLSKLRLLGPSSPRRHSIGASPNTRRGKTSCLTGRTTSDVDNCSEYSSKHSDSGSPHSSDELKHQKDILHQPSKFAGGGKEIEFEDDIELIGLADADSEERLSDISDSCLSMGTETDGSVSSVVELTLFPETEKPLEISERPEAHLAPEKPEKSVKMGKTEPKESRSNIPSKIPKQTLKPLGQTRPSRLSVAASSSSKALTSARRPIISTSSSAKPLNKRR >A09p078400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58012715:58016404:1 gene:A09p078400.1_BraROA transcript:A09p078400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVENEMKPPEACKDLYVEVIPEMVEKGSRTPKAYMDLYVELTAGTVENGMTLTMSHVDQKSNLALILSPKILLLLWASLSLSLSLSVRVGFRSSPGGTFETQLTPGDNNGDPLILCPFTHQVEANEKMLLNLNVLEIHQPTFVSHHRHRHVKTLLADDLSLLYCRFFIYRLVGKHTQSLFSNHKETRSQWGSFKTALRSPQFRVHSSSAGYCTTVSARNVVTHTHHAQVAWNRLLHRQWNLPRINTIAQAFCLSLSLRSHLLIPGIVAVTCGKLALAQKKRAPPLDPYPSHKSLYTRAKNGPIFITSLILSLVEFFILIGRAFYISCLFTPSILMGLVVELCGPRFRKLWLETVHRTLERAGPAFIKWGQWAATRPDLFPKDLCTQLSKLHSDAPQHSFAYTKKTIEKAFGRKLSEIFEEFEEAPLASGSIAQVHRASLRFQYPGQKSKSSLVAVKVRHPGVGESIRRDFVIINFVARVSTLVPALKWLRLDESVQQFGVFMLSQVDLAREASHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETYEHGESVAGYVDGMEGHEWIKTRLAHIGTHALLKMLLVDNFIHADMHPGNILVRKKASRGVFKTKKPHIVFLDVGMTAELSKNDRENLLDFFKAVALRDGRTAAERTLKLSRKQNCPNPEAFIEEVEEAFKFWGTPEGDLVHPADCMHELLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYDVMHTLQTMVLKTDWAKSLSYTVDGLMAP >A02p028870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14529862:14530485:1 gene:A02p028870.1_BraROA transcript:A02p028870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATKSLESLFNDVRSQLSQSIGKQYDEKLLKIFTEGVVMLMVGDRWVAVPGPLKNQLKLYPFLLPDQYVYPDITEEEEEEKIRNKPSLDKSLGG >A02p058830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35227180:35229033:1 gene:A02p058830.1_BraROA transcript:A02p058830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQREVRCRCGRWMWAPPGAGAIQCSTCHTVTQLHSLVDALRGAHRMIYGLQQLRRQYQQQQQPPQMMVAQRSPPQMMVAQRSPPQMMVAQRSPPQMMVAQLSPPPPPRLLEALPSPFGKKRAVLCGVNYRGKSYSLKGCISDAKSMRCFLVQHMGFPIESILMLTEDEACPQKIPTKRNMRKAMRWLVEGNGARDSLFFHYSGHGAQQKDYDGDEIDGQDEALIPLDHETEGKIIDDEINEILVRPLVHGAKLHAVIDACNSGTVLDLPFVCRMERNGSYDWEHQGFGRIYKGTDGGGAFCFSACDDDEASGYTPVFTGKNAGAMTYSFINAVKTAGPAPTYGQLLNLMCSAILEAQSRLTYTDSDESSEPLLTSSEKFNIYATKFAL >A03p015200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6020811:6022286:-1 gene:A03p015200.1_BraROA transcript:A03p015200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSNSSSSPETDQRLNDIVVAVQEKSTMTNPKQVSPQEVVPEVNNPTQGSPQEVVPEVHNPTQVSPQEIVPEVNNPKQVSPHQEVVPEVNNLKQASPQEVVHEMNNPKQVYPEEVVSQVNNPKQVSLQEVVPDPNNPKQGLPQEVVPETESKKTQRASFELRVLRAINKLIKKYPSLDNHSLICNICKRGFPNANSLGAHQKTHKHDLELERKLKQAEPIYSPPGPGQCFWKKHDNSYQGTTSNAVSNDKHLGISRESIGGEGSSVRKMNIGEVMGYPSPPPYGNTNYGFSSGAPLVTHNYNRPNLSDFNRSGLSFGPFKPNGGGNYPYPPFTMNPSYGSHDSKIMNSISQPNTLGSCSNNNNNNSSSQGAISLELSLGPSKWMGGGNNNSSVYPSLNGGVTGGGSMDLNTPVRPLVSRNHFYSNYPLASFTSNVPPPPPPSPATSLSNDENIPGSSLISKEKNKAMVVDDGEKDNGVTGGYDADKPAEV >A01g511570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34132185:34136799:1 gene:A01g511570.1_BraROA transcript:A01g511570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKRLVVSCFLLVLLLAEANAQGLKVGFYSKTCPHAEDIVRKVVFAAMKKAPTLGAPLLRMFFHDCFGCDGSVLLDSSNNQAEKNAVPNLSLRGFGIIDDSKAALEKVCPGIVSCSDILALIARDAMVALEGPSWEVETGRRDGRVSNINEVNLPSPFDNIAKLITDFRTKGLNEKDLVILSGGHTIGMGHCPLMTNRLYNFTGRGDSDPSLDSEYAANLRKKCKPTDTTTALEMDPGSFKTFDVSYFKLVAKRRGLFQSDAALLDNSKTRAYILQQARGSTFFHDFGVSMVKMGRIGVLTGRTGEIRKMCRVPN >SC440g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000282.1:1531:1803:1 gene:SC440g500010.1_BraROA transcript:SC440g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDRGHQFVQISTRTVHGRGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADMCGQHADMSPVHGSVHGQSTGRASMLICVVSMLI >A02p059620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35561711:35565707:1 gene:A02p059620.1_BraROA transcript:A02p059620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGLVVSTLRYFAGPEIPRYVLITVGYTWFCSVSVIILAPADIWTTLSLPPNHPENGAISFLWSWSYWSTFLLTWAVVPLIQGFEDAGDFTVSERLKTSVHVNLVFYLVLGFIGLLGLILLIMLHRNWTGSILGYAMACSNTFGLVTGAFLLGFGLSEIPKTLWRNADWTTRQKVLSHKIAKIAVRLDNAHQELSNAIVVAQATSTQMSKRDPMRPYMNVIDAMLAKMFREDPSFKPQGGQLGENDMDYDTDEKSMATLRRHLRNAKDEYYRYKSEYLTYVTEALVLEDTMKNYERRDSTGWKYISSFRASRTGKLGNLLDTLEFIWRCILKKQIQVVLAVVTGIMSAAILLAEATLLLSKLDLSLFSILIRFVKSDELLVQAFAFVPLVYMCICTYYSLFKIGMLMIYSLTPRQTSSVNLLMICSMIARYAPPISYNFINLIQLHSETIFEKKMGRIDDAVPIFGQRFNEIYPLIMVIYTLLVASNFFDRIFSYFGSWKRFKFQTETDDMDGFDPSGLMILKKERTWLEEGQKVGEHVLPLARNFHDADDIEPGSNFSENSSLEMKMSSSYDMDTTKGSSSNDDMSRKYGSAREAITNKYAAIREQQNRHSPSPKPEKMASAKVSLLETETSSGPSSGPPGEVPSSRLASTWRNMKQGIQSFKENVATKKFLPLRQGPETTTIANTSSGVMPSSRPQSLDEIFQRLKNRSEEHGHYLEDDDEV >A05p012640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5505149:5513108:-1 gene:A05p012640.1_BraROA transcript:A05p012640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 2 [Source:Projected from Arabidopsis thaliana (AT2G34660) UniProtKB/Swiss-Prot;Acc:Q42093] MGFEEALEWYCKPAPNGVWTKQVDNAFGAYTPCATDSFVLVISHVVLLVLCLYRIWRTMKDHKVERFCMRSRLYSYLLALLAAYGTAEPLFRLIMGVSVLDLDGDGLPPYEAFGLGVEALTWGSVMIMICMETKIYIHELRWYVRFAVIYALVGDMVLLNLVLSVKEFYTSYVLYLYISEVAVQVLFGILLFVHLPNLEPYPGYMPLGSETVDDHEYEELSEGQQICPERHANIFDKIFFSWINPLMTLGSKRPLTETDVWHLDTWDQTETLFTSFQQSWDKELQKPKPWLLRALNSSLGGRFWWGGFWKIGNDCSQFVGPLLLNQLLKSMQQDEPAYMGYIYAFSIFVGVVLGVLCEAQYFQNVMRVGYRLRSALIAAVFRKSLRLTNEGRRKFQTGKITNLMTTDAESLQQICQSLHTMWSAPFRIIVALILLYQQLGVASLIGALLLVLMFPLQNLTKEGLQRTDKRIGLMNEVLAAMDTVKCYAWENSFQSKVQTVRDDELSWFRKSQLLGALNMFILNSIPVLVTIVSFGVFTLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEEVLATEERILLPNPPIEPGQPAISIRNGFFSWDAKGDRPTLSNINLDVPLGSLVAVVGSTGEGKTSLVSAILGELPATSDAMVTLRGSVAYVPQVSWIFNATVRDNILFGSPFDRERYERVLDVTALKHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVFIFDDPLSALDAHVGQQVFEKCIKRELGNKTRVLVTNQLHFLSQVDRIILVHEGTVKEEGTYEELSNNGPLFQRLMENAGKVEEYSEENGEAEAVQTAIAPLTNGSTNTLQMNGTDDKKAKEENKGGKSVLIKQEERETGVVSWRVLKRYQDALGGAWVVMMLLLCYVLTEVFRVTSSTWLSEWTDAGTPKSHGPIFYNLIYALLSFGQVLVTLTNSYWLIMSSLYAAKRLHDNMLHSILRAPMSFFHTNPLGRIINRFAKDLGDIDRTVAVFVNMFMGQVSQLLSTVVLIGIVSTLSLWAIMPLLVLFYGAYLYYQNTAREVKRMDSITRSPVYAQFGEALNGLSTIRAYKAYDRMAEINGRSMDNNIRFTLVNMSANRWLGIRLETLGGLMIWLTASFAVMQNGKAENQQAFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGNYIEIPSEAPLVIESNRPPPGWPSAGSMKFEDAVLRYRPQLPPVLHGVSFFIHPTDKVGIVGRTGAGKSSLLNALFRIVELEKGRILIDECDIGKFGLMDLRKVLGIIPQSPVLFSGTVRFNLDPFGEHNDADLWESLERAHLKDTIRRNPLGLDAEVSEAGENFSVGQRQLLSLSRALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDKILVLDSGRVQEFSTPENLLSNERSSFSKMVQSTGAANAEYLRSLVLENKRERDGDDSQQPLQGQRKWLASTRWAAAAQFALGVSLTSSHNDLQILEIEDDGSILKRTKDAVVTLRNVLEGKHDKEIAESLEGHNISRDGWLSSLYRMVEGLAVMSRLARNRMQQPGYNLEGNSFDWDNIEM >A10g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11557342:11558015:1 gene:A10g504710.1_BraROA transcript:A10g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEESGTLAWLHRFLMVRHRTLFTEKQGTSSLFVTNKRHKMKNMSTVPVARLFGPVTFEASKWKVIILRSLQLRDVLVGCILMSYALF >A01g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10138490:10138783:1 gene:A01g503140.1_BraROA transcript:A01g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRKRPEKGTVSTQPVVGESDEQLPVTLSSTPEVKSYLVVTGTSPTGSPWAQNKSRAIMANFDFFAHLVL >A07p042830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23199303:23200922:1 gene:A07p042830.1_BraROA transcript:A07p042830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMLLNPTSYNPPPSLNLANSSTPVSYFSRKSRFGPPGSLSIRHSAASPIRYSRSLRVDESESLTLDSIRHTLIRQEDSIIFNLLERAQYRYNPDTYDEDAFAMEGFQGSLVEFMVRETEQLHAKVDRYKSPDEHPFFPQCLPEPILPPIQYPQVLHHCADSININKKVWNMYFRHLLPRLVNPGDDGNCGSAAVCDTMCLQILSKRIHFGKFVAEAKFRENPATYETAIREQDRTQLLRLLTYEAVEEVIKKRVETKARIFGQDITINEPKTGAGAGADPSYKINPSLVAKLYGERIMPLTKEVQIEYLLRRLD >A01p057960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32834513:32839653:1 gene:A01p057960.1_BraROA transcript:A01p057960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVKSATGDMLMTFSWVVLSATFGLQTTEIISAAGLHGVTWAPLAITTFLIFVYVSLFTVVFGSASFNPTGNAAFYAAGIPGDTLFTLAIRLPAQAAGAAGGALAIMEFIPEKYKHMISGPSLLVDVHTGAIAETILSFGITFAVLLIILKGPRRLLAKTLLLSLATICFVVAGSKYTGPAMNPAIAFGWAYMTSSHNTWDHFYVYWISSFVGALSAALICEINPSPTIADLDPSKDPPQSVKTSASSATTNKIRYRSPSASELLESGLATSPTSDSDNGAKMTAKRAIGRHDSVPDKIRRHRGLLLVISIPIVLITLVILLMPGTTSEYALNGGGSGSKKYAVIFDAGSSGSRVHVYCFDKNLDLVPLENELELFLQLKPGLSAYPNDPRQSANSLVTLLDKAEDSVPNELRPKTPVRVGATAGLRALGHEASENILQAVRELLKDRSRLKTEANAVTVLDGTQEGSYQWVTINYLLKTLGKPYSETVGVVDLGGGSVQMAYAISEKDAATAPKPLQGEDSYVREMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEGSNNPCIVTGYDGTYKYGGTAFKATASPSGASVDECKRVALKALKVNDSVCTHMKCTFGGVWNGGGGGGQKNMFVASFFFDRAAEAGFVDPSQPVATVRPADFEKAANQACNMKMGEGKTKFPRVEEDNLPYLCLDLVYQYTLLVDGFGLKPSQTITLVKKVKYGEHAVEAAWPLGSAIEAVSSL >A10p011110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6556316:6556935:1 gene:A10p011110.1_BraROA transcript:A10p011110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKKRSGKEKAKEVATTVEEEVNDRIPTRLFAAVWFPSRRLNCYSSLEYLLLVRGVLEGSEEAERLKMLTVQPMMECGEEKEDGWEKFNSEKF >A01g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17451629:17452521:1 gene:A01g505840.1_BraROA transcript:A01g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSIQKRRTKIRGAGKIESRRVFVGRGRNTLQGKTASKEPDVEHTRAGDSIGMQEERGGNALEVYGTSNRTHGDVGYVDMCVLNRVLGNRCRKWERGGCFNWCQSQSVLKETPISSKVSAGMGSTNKSSNYDMVEFYRSMKGLTRSGKTSIQKDHLLNVVGA >A05p043620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26299082:26300983:1 gene:A05p043620.1_BraROA transcript:A05p043620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSITKILRRSSRFNLAPSSFGAVSKLEIPTGTKESAFRASGLNFSNQSQGLVRRYSVRDVFSRFIGINKLSSVADVKGDEEEKEAFPVSRDVAEGVEDDSVFDSELGSDSEACDDGLEVEIEHSNKGKAKKTRGRCELYDSIVAYKSVKHVLEQWVKEGKDLSQAEVSLAVHNLRKRRSYAMCLQLWEWLRANTQFEFTEANYASQLDLVAKVHSLQKAEAFLNDIPESSRGEVVYRTLLANCVLKLHVKRAEDLFNKMRELKFPTSVFACNQLLLLYSKHDRKKIPDVLLLMEKENIKPTRGTYQFLINSKGLSGDIAGMDKLVETMKEEGIKLDPEIQAAVARYYIRAGRKERAEEVMKEIEGEGLDQAPWVCRSLLPLYAEIGDKENVRRLSRFVEQALRYDNSIAAIKAWGKLKEIEEAEAVFDKLVEKYKLVPMLPYFSLMEIYTENKMLTKGKNLVKRIASAGVKIGPSTWHALVKLYIKAGEVGKAEMILNKATKDNKMRPLFISYMVILEEYAKRGDVHNAEKVFMRMKRAGYAAQLMQYETVLLAYVNAKTPAYGMSERMKVDNVFPNKSLAAKLAQVDPFRKSPVSALLDE >A03p067280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29576090:29578666:-1 gene:A03p067280.1_BraROA transcript:A03p067280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRMMIQGFFFWLILVFDLVLRTSGNAEGDALSALKNSLSDPNKVLQSWDATLITPCTWFHVTCNPDNSVTRVDLGNANLSGQLVMQLGQLPNLQYLELYSNNITGTIPETLGNLTELVSLDLYLNNLSGPIPSSLGRLKKLRFLRLNNNSLSGEIPRSLTAVSSLQVLDLSNNPLTGDIPVNGSFSLFTPISFANTKLTPLPASPPPPLSPTTPSPAGSNRITGAIAGGVAAGAALLFAVPAIALALWRRKKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPESQPPLDWPKRQRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEALVDVDLQGNYIDEEVEKLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNYQNYNQPNTSWLIGDSTSHIENEYPSGPR >A09p066450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52745616:52747233:-1 gene:A09p066450.1_BraROA transcript:A09p066450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 monooxygenase-like protein [Source:Projected from Arabidopsis thaliana (AT3G61040) UniProtKB/TrEMBL;Acc:Q9LEX2] MDILAIALSLLLLCFIFFLFTCSGYEGAKISPGPPRLPLLGNILQIGEKPHRSLDHLSKIYGSVMTLKLGCLTTVVISSPEAAKEVLKTHDHVLCYRISTDPVRATGHHERSFAWLPPFARWRFLRKITTQQLFSTRSLDATKDLRMSKVQELMSFVDTCSQSSEAVNIGRASFITSLNIISNALFSINLANFNDTETTDDFQNVVLRMMEIAGKSNMADFFPFLGFLDLQGTRKEARLCMNKLFRVFQRFIDTKRSLKASRNKDNDMLSSLLDISQEKESELDDDGIKHLLLDLFLAGTDTSSSTVEWAMAELLRNPKMMVKAQEEIRQMIGGNDAVRELDIFKLPYLQAVVKETLRLHPPSPFLIPRTSESDDVRIFEFIIPKNTQGFLQN >SC151g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:52953:57659:1 gene:SC151g500010.1_BraROA transcript:SC151g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLFAHFFGIWHINNHKLPVCLFPFSLINFDWLETASWEGKDSVLQMMKQVKQPQLVFNPPPAASHVQNPAEKPREFQREREKEEQKNQSVCYLEKDQKLQAYLGEEDHLRPSSPLVRLAKVWSFASPILSIQSLGKPQSSESDELLS >A02p000380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:222705:225109:1 gene:A02p000380.1_BraROA transcript:A02p000380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVPVPSSSSQLPAAPTATTTRRRVTDSQEDHCHVSTGGGGAVVYLPGDEEEATSSSGDEGGGGGSSSCCQSDSHHNYLVRFLSLRKIRLVWMLMADNKSQWTAAMSRNVRSATNLGRMILSILGILVVTFFLVVALSGGGGGRRRHVEKHEFVVSIHPRSNMEKIIKEEESSSSSARVLLPERNPIPEIWNQPDTGNYQKCVARPKNQKPSKKTNGYLLVHANGGLNQMRTGICDLVAIAKIMNAALVLPFLDHSSFWSDPVLAEDVDIVEYLPQEYASIKPLEKNLVSWSKASYYRNSMTKLLKKHKVIVFNHTDSRLANNSPPPSIQRLRCRANYEALRYSPEIENLSKVLSSRLRENNEPYLALHLRYEKDMLAFTGCNHSLTSEESIDLEKMRYSIPHWKEKVINGTEQRLEGNCPMTPREAAVFLKAMGFPSTTKIYIVAGEIYGQNSMNAFHQEFPNVFFHSTLATEEELSTIKPYQNRLAALDYNLALESDVFAYTYDGNMAKAVQGHRRFEGFRKTINPDRYRTASCLMLSFVKLIDRFDAGLMSWEDFSSEVKRIHGKRLGAPYLRRPGKAGLSPKLEENFYANPLPGCLCDTSDKQTGLNRFERPSLRAQSLR >A02p051160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31474144:31474577:1 gene:A02p051160.1_BraROA transcript:A02p051160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSVSSFCLSDRMKKILSAVHWSRVVWSLELLDDIELVALNDPFITTKHMTYMFKYDSVHSQRKYHELKVKDEKTLQH >A05p024950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26467324:26469235:1 gene:A05p024950.1_BraROA transcript:A05p024950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPDRSAMIAAQLGLVSGEGPSTVVPRAGERGDGSGAERSTEEASDVPPSGEPQKKKKKKRTKKKSVCEESGNLEGPTETEGGDVEEEGLHPEEEALVAGALGEEDDEEEAVDGQESEASLGDAGSDNLEEESEGSPLLIRRRDDEEQFPAPISPYVEVPARPNIGAVQTGTSSRGDAILRRVPGVSFPDKVDFHYEGPAPLAYVPEKCGELLRQFRGRAKPLPAVKDLIFGGEYEEAARAKLLGDSAMNVLIDKYDTALKGALGELELAKKEFAEKEEVLARQLSEKRSNLEKLNGMMTRTITRRDELKAELEASREGPSTAFEGETPNRGNLAAEDNAPLLVLSDTSGEGSRRGNEEENVGVFEEIPRSDEMHVSPAARESSVRASELSALNDRESDRED >A09p074540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56498811:56505280:-1 gene:A09p074540.1_BraROA transcript:A09p074540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQAHLSGQMSGGQVPNQGTMPPQSNGNSQMQNVVGASSAGAGPSRNIVGPMDHDILKLRQYMQTLVFNMLQQRQPSQADAASKAKYMDVARRLEEGLFKMAVSKEDYVNRSTLESRITSLIKGRHLNNYNQRHANSPSVGMMIPTPGLPHAGGGTTMIPTPRLPHTGDNPSSMVTPSADTTIAGNNSSTSKAVNTGNLLASGGMHGGNMSNGYQNSSRNFSLGTRGNMGSQRSAPQMIPTPGFVNSGANNNSGGFSAEPTVVPQSQQQKQRQDTGGQNSQMLSNQMTAGHRPDNMQPKPAGVASNSVNGGVGVSKKSVDTGEGYRTTNPDNLGSKTLHGGVTSVGKMTSAQNINAASFQSVSRAHPSLQSHQQQQFPQQPNQIQQQQQQKFIQQQTLKQQTMQQHKLISKDVPGKTQVASDMVTNVKHEPGVQNHSEALHSQASERLQLSQFQNQYQNSGADAQHVSVTSQSDICPPLPQNSQQVHQMVHPQNMGSDTINNFNNLAFGAKSETNPQDQWPSQSNGMSSEQNVQEDFRQRVTRMDEAQPSNMIEGSVTGQDHASTTSESHSLQNATGTACRYGNRDVKFQNQQRWLLFLLHARTCKRPGVKCPGRNCVTVQKLLSHMNSCVEPQCLYPRCRPTKSLISHYKNCKDLRCPVCVPVKTYQQQQANARVQARLKSESSAVSGVNGAVVSNDSPCAIDGAVSGAPGCADSLDNLEPSSKRLKVEPSFQPVVRESEVCKSSIVPKAETESSQDAERKDHTQSDAHAALKSEKLEVKEEIPDVSVQAGFSIKEIKHEAVENVPKPRPVSEPGQHDLSGASVKQENIKVEQEPKEEVVVESADVAASRLGKPKIKGVSLTEMFTPDQVREHILSLRQWVGQSKAKVEKNQAMENSMSPNSCQLCAVERLTFEPPPLYCTPCGARIKRNAMYYTVGAGETRHYFCIPCFNESRGDTILAEGTSIPKAKLEKKRNDEETEEAWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCTRCYITEVEQNERVPLPQSSVLGAKDLPKTILSDHIEQRLFKRLEQERSERANAKGKNCDEVPTAESLVVRVVLSVDKKLEVKSRFLEIFREDNFPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSSPNHRRVYLSYLDSVKYFRPEIKTASGEALRTFVYQEILIGYLEYCKMRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLKKAAKEGIVAETTNLYDHFFLQTGECRAKVTAARLPYFDGDYWPGAAEDIIQQMSQEDDGRKGNKKGVLKKPITKRALKACGQSGLPGNTSKDLLLMQKLGETIHPMKEDFIMVHLQHCCRHCCALMVTGNRWVCSQCKDFQLCDGCYKAEQKREDRERHPVNQKDKHTLHPVAITDIPADTMDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNACHLDIESGQGWRCEVCPDYDVCNACYSKEGGVNHPHKLTNHPSLADQDAQNKEARQLRVLQLRKMLDLLVHAALCRAMYCQYPNCRKVKGLFRHGIRCTIRAAGGCVLCKKMWYLLQIHARACKESNCNVPRCGDLKEHLRRLAQQSESRRRAAVMEMMRQRAAEVAGTSS >A08p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10488900:10489557:-1 gene:A08p016130.1_BraROA transcript:A08p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCGEFLLSVLSPGFWRFLWQHVTRHGTASASLYLSMSSGVGFLTVAARLFFRKRCARHVPLLCVCGGLNECIQSRDWRVVDSNLQFSVSNDSTACFLLVASRFEPPFSPGARSNRGLCPLIPFPWAIDATLTPVCL >A07p049530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26307217:26309204:-1 gene:A07p049530.1_BraROA transcript:A07p049530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSLGLLDSSGGGVGGLGEEEKDMKMEETNEVGGGGGGGGGSRWPRPETLALLKIRSEMDKSFRDSTLKAPLWEEISRKMMELGYKRSSKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFEELEAFESQPAKSPAATTTTTATTSLIPWISSNHPSTEKTPSPLKHQQHQVSVKPIATNPTFLAKQPPPTTPFPFYSNNHATTPDHTGFKPTSNDLLNNVSSLNLFSSSTSSSTASDEEEDQEKRSRKKRKYWKGLFTKLTKELMEKQEKMQKKFLETLENRERERISREEAWKVQEVARINKEHETLVHERSNAAAKDAAIISFLHKISGGGGQQQQQPQQQPQQNQKLPQRKQYQSEQHSITFESKEPMPVPLDATMKMGNHSLSPSSSRWPKTEVEALIRIRKNLEANYQENGTKGPLWEEISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPLDSKTCPYFHQLEALYNERNKNGALPMLPLMVTPQRQLLLPQETQTEFETDGRDKVGNKEGEEEGESEEDDYEDEEEEGEGDNDTSEFEIVLNKTSSPMDINNNLFT >A06p024810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15271522:15271992:-1 gene:A06p024810.1_BraROA transcript:A06p024810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRYMGASIEEQTRMHGFESYPLIDVRDSSRPTKWLGWSLPSDRLVRGHVATWRPCLDPFLTFYECVLGLWVFSFDELSEFSARFHRKVFRKDFFTKITFRKNVHADFYGYLDVNFVVSVFDPNIYL >A02g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23135878:23137895:-1 gene:A02g508580.1_BraROA transcript:A02g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEEVRKVKFVKTTSDNIEKTTMENVESTGTAKTTEIVDSREKTTDVLTEMTTDVSTEKTTDVSTEKTTDVSAEKTSEDARESTAEITEPSDVALETAPATMNKDEENQKAGSGEEENDHDDRSDDSSQENEDAEEEQEEADEKEDTEGSGEGNGDREGNGDGEGKDNENEGSEDENDREELANVDDNENPPKPGNPIEPELLAFEAIPKLGIAFRETVVGAGRDCPRMCKSYFKRNGMTGVSLSVINKELGNTTVSLPVNCGRTRVGIK >A03g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8853306:8862217:1 gene:A03g502850.1_BraROA transcript:A03g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPAMYNCSSSFLLSLPAIDARLVRPTSYSFRWIHHSIITSSWRRRRNHLSIHEITILSSSQACCFGAKDSTFLRKFKFNEKPSGKFVTCVSSSLPSEEVEGEEVDSSHLGFLENDSAESPRGGDLIQQVGEDNLIKIGSKGFKQTLTRSNLVAKQVISIQSALSLGFISQLWVDTTSWLVLVVDVKPTLLSGESERFLLTDITRVADVVLVKDDAVLDTEFKMVGLETLVGYRVVTPGGRNIGKVRGYSFNINSGVVESLELDSFGVTIIPSSLVSTYRLDVEDIIEVLEDIVVVDESAAFRKQRLTKGLWDAQFGSEYSDVEELERSSDRRRRRRSSRPSRRKRDLDDDAEEWDIFS >A08g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15395568:15396854:-1 gene:A08g508410.1_BraROA transcript:A08g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHTRYSQIGRNTSRDSIFNGEDPKRNALVNKVVDPTVSFAEDSARTVVSKVVDPAVTFVEDCARTVIQEVMNPTVTFVEDSARSVIQELMNPTEEFIATQLQRPQDVIEQQGILDNLLASNGARFPGDDYHTPDLKNWMAHLSVETLALNKIVWPGTHHSATNGLPWPTRPLVECQTLSIYEQLVLGTRVFDIHVQKDRRVCHGDHRPSYNVDVVLNDIIRFVSETQSEIIILEIRTEFGENDPPEFDTYLVDKLGPWLIHQDDNLFNKPVSEILPKKVICIWKPRESPKPVRGGALWNSDYLKDNWTNTDLPWTKFQSNMNHLKEQQPISSRRFFYRVENTLTPQPDSPILLIKPVNGRIREYARLFISRCIAEGCIDKLQIFSRDFINEDFVDACIGLTYARINGRV >A05p054270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32162653:32165797:1 gene:A05p054270.1_BraROA transcript:A05p054270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRNEGSSYVIPNIDAFCDGYISCMEAISGLSITSRNLHLPEQGVSVEYLPEHQKLKIPAALGMSWTTTIPTLCHTRPTLYSRFWKVASMPYTEIESLVKTIHTTTVQKIREVVDGLEMITPSSKRPTWETMEEDSDEMFGNLSKVLQFNCDIRDFGYLLTENATVTDSAWCSNVDNVSSARLRIKLKPYTLHMIAALNLGSVGDYPLGAWVRAYGLGVGIYPALGSAFKTEAVCTTKLPSTDEEADVLAFQQSYEEVLENLCLNILAVFGLLHLSKDKTYVRGDEYMGRIGNSYLETLKTFDTSNVIITKHKECLLRIAPHPFGIAQTYWLAKFMYKHQVIMPSLVLRFNLPTPPPIQRIIVILEAAREWENLPAARDVLEMFSANLSRLKTQEIAIKEAPPRYSDLYRFYGCEEKIVMSSEVLADLTALMPATYGFAMVAHVSKDGKKKDGIALARCLKSVELKINVWLLQSRFLEEKMARSLKKSVKLSLRHVRIRSSTSSFKPGSRSIERDQRIEFLGGDNGVREEETDGDSSSEYEEFEEEEGVEGEIEIEEEEQDDDGKSVVSGSKTEREEEIVEVEAVEAAKEEITEAGNRVMVVVDKVVASTGALEWALKHTLQSHDYLFLLYFSKPFRKGKKKNRKREVKTDELVHTLKKLCQTKRPGIEVEIRRLEGKEKEKGEKIVEEAKEQQVTLLVVGEEKKPPVWRLVKRWGWKKRRSRAGVLKYCLEKASCMTIAVKPKNRKLGGYLITTKRHKNFWLLA >A05g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19044327:19048054:-1 gene:A05g506780.1_BraROA transcript:A05g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEKNRCFETPNPGSSEFPWLNGRIVSYDDQTRPRPRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAPAPPGPPGVMSVAELVRQPGRDHLSYFTEYPHGQGQTWFNRSGNGISAWINRMMYSALDKGHLTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYEKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETTSTNSNNRRSDRKGKGIYKHNLGAQSIATLGDRMTEENVGQPVDDLALMKMAYTNKKTGQIDDGLVRDVVDLVQTQLQTNDDDSTASTNLSQVRINEIVESTVPKKKGRLVRLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALEAQMASQQAGFETQKRLNEQMMEMMKRMYPNEVFPNIQDPLYFLEEWFRWLSYLQSSNLQRNRGFKFHQRVSLRSLPTSDLLLAPPVNVDALALAPPVNVDALAFAVINAVKDD >A03p059090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25675765:25676388:1 gene:A03p059090.1_BraROA transcript:A03p059090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFKLQGRTDTEETYKIYKVAVKPRYGGREGRAKEMDNVVHGLKRIPRIKFTQRHVKPSEGTRQQVENEANAFFFSNLNTPKTIGGKASLQPKRTPVSNQEMEAILVIGRLHLIISK >A09g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21301341:21302462:1 gene:A09g507260.1_BraROA transcript:A09g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSSVVEARLLRFWEARNVKCGGEIKWMDLLMVDVKATMMQVTISSSRLPQYRERLIVGTMFSVSGFDVSRCAQSFRLTESSLLIRFNENTTFEEITDHVSPLPEEAFRFRNQSEMIGLAKTNTQFPESDIYLILYVDVIGEILGVKIVSRHTAIELLTFLTLTENMMLSSVLQFTFRRPTTLSANKVNQLPV >A03p034780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14652337:14653389:-1 gene:A03p034780.1_BraROA transcript:A03p034780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3-like protein GF14 nu [Source:Projected from Arabidopsis thaliana (AT3G02520) UniProtKB/Swiss-Prot;Acc:Q96300] MSSTREENVYLAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVALIKDYRVKIETELGKICDGILNLLDSHLVPAASLAESKVFYLKMKGDYHRYLAEFKTGAERKDAAESTLLAYKSAQDIALADLPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQMFSQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLNDEVGGDEIKEASKDEPEEGKQA >A03p022830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9620503:9627021:-1 gene:A03p022830.1_BraROA transcript:A03p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSRGLFDWSPPHIQPLTPVSEVSEPPESPSPYLDPSAENGGGGGAGMATQAEEEEEMDEPEEMEPPPAAVPFSQLFACADRFDWVLMVLGSVAAAAHGAALIVYLHYFAKIVQVLAYGTGSGRRGAEDQFDRLVELSLTIVYIAGGVFISGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGFVNCWEIALITLATGPFIVAAGGVSNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFFVIHGRANGGEIITALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSGTNQEGTILSAVEGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENVKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDATLDQIEEAAKKARAHTFISSLEKGYETQVGKAGLTLTEEQKIKLSIARAVLLDPKILLLDEVTGGLDFEAERVVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLLEMGTHDELINLGNLYAELLKCEEATKLPRRMPVRNYNDPAVFQAERDSSAGRGFQEHSSPKMAKSPSLQRGHNVFRPQEMCFNSEESHNDHSPAPDKVGENGSSLDVPEKEPTIKRQDSFEMRLPELPKIDIQCPQRQKSNGSDPESPISPLLISDPQNERSHSQTFSRPLGHSDDTKTNVKVESPSFWKLAQLSFPEWLYAVLGSIGAAIFGSFNPLLAYVIALVVTAYYNSKGSHLREEVDKWCLIIAGMGVVTVFANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWYDEEENSPDTLSMRLANDATFVRAAFSNRLSILIQDSFAVIVAILIGLLLGWRLALVALATLPILTLSAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLQRILRQSFLHGMAIGLAFGFSQFLLFACNALLLWFTAFSVKRGYMKLSTALTEYMVFSFATFALVEPFGLAPYILKRRRSLASVFEIIDRVPTIEPDDTSALSPPNVYGSIELKNIDFCYPTRPEVLVLSNFSLKVSGGQTLAVVGVSGSGKSTIISLIERYYDPVAGQVLLDGRDLKSYNLRWLRSHMGLIQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLAHGYDTHIGMRGVELTQGQKQRIAIARVVLKNAPILLIDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGKIVEQGTHDTLSRHNLQSDQGISVGELRHMSSRCTTTVENVKWLGVLFVNTSKKNREYKKLFLAGYLQQQAKLLELKLPIKFLLPQELAFSSSVNEEKNSVKKSLVSY >A04p029620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17702932:17705193:-1 gene:A04p029620.1_BraROA transcript:A04p029620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDLRSMLQYLPLVVQSSSLVWPPSVEQELQTMSTGPSESMVISGEALALRITSMRRSLSLNVSDLAPYALQGYALFFDEKISREESAKFFGEVVPALCGLLLQLPSMLETHYQNADHVLDGVTSGLRLLGPQEAGIVFLSQELIAAILACSFFCLFPEVDRRLKHLQGINFDELFSFLYTRQCMKQESKIRCIVHYFERICQCMPKGFVSFERKVLPLEYNPHYVSYPEAEYWAKSTTPLCSVQVHTTGVIEDQPGEALEVEFADEYFGGLTLRHGCLQEEIRFMMNPELIAGMLFLPRTDKNEAIEIVGAERFTLYTGFGSSFQFAGDYLDNKELDSYMRRKTRVVAIDAMPRPGRRQYKPDGILREVNKAFTGYLHQCKHQAGTLPSSASGSQVVKCSERLCIDHEEKTIGVATGNWGCGVFGGDPEVKIMLQWLAISQSGRPFMSYYTFGLQALQNVSQVVVRIGLQEMNVGELWSKLVEYSTERLSRRTKLGFFSWLITSLSSTN >A01p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5554054:5569534:-1 gene:A01p011490.1_BraROA transcript:A01p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMISDLPYDLVSEILARVPSKSLAKLQTTCKRWYALFKDPKFVKMNNNLRKASKEVMFLIDYRVYSISVNRFHNSFRDTCIEYASIEFTGKLTRLEDSKEVKLSRIFHCNGLILCCTEDFESLVVWNPCTGETMSIKPKSFYQWNDSYAFGCGNSESSCPNYKILRCSSYYCKNSEQRIFNCEIYDFSSDSWRVLAGVTWRIHCSDNVVSLKGNAYWIHFDREAGHNVLLSFNFTTEVFVSMTLPDQSDYRHLGISVVREERLAVLHHNIGTSLTEMNVWLSNKIDEAKEVSWSKFLVVSFDNFKYPSYVKEITFWVDDEDKVVVTCIRDRDNYDYVYIVGESLHKQVFGKSWIWPCLLSYVPSLNHIPKAKKEETLNQKKTIMISDLPHDLEIEILARVPPKSLAKLQTTCKRWYALFKDPKFVMKNNNLRKSSSKEVMLLLDYRVYSISVDRVHNSVDASMEFTGRLKRLQDSKDVKLSRIWHCNGLILCCRKNIKSLVVWNPCTGETRSIKPSKFYRRTDNYAFGCGNSSCHDYKILRCSSYGTYVDFLQVVQVVLNFEMYEFSTDSWRVLDGVTDRWRLHCSDDVVSLKGNAYWIHFDKEADDGPWIVLLSFNFTTEVFVSLPLPDYKKGCEYGDLLISVVREEHLAVLHHVVGTEMTVWLSNKITEAKEVSWRKFLVVSFDKLKLPLYSREMTFWVDVENKVLVSCVRDMEGGGDHFYIVGEGLHKQVYEVTEGSWIWPCLMGYVPNDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLTVNDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLVGNKSDLRHLIAVQTEDAKSFAENESLYFMETSALESTNVENAFSEVLTQIHQVVSKKAMEAGDDSGNVPSKGEKIDIDVSAVKKTGEKILTKVSITSLIAVRCTCKLWNALSKEFIVGRETSRQHREFLGFMMASNKIYPSSFDIQGIRKHNSSVDPSMKQDNTKLLVWNPYVGQTRWIRPRKCFKKSDLYAIGYDNNNKVRNYKILRLDRYCGIRLEIYDFSSDSWSVLGVISHCIIYQFRRGVTLKGCNYYLGSVRKTSTAPSFKSCLLCFDYTRERYGQPLPIPYNNNFHKQAVSLSTAREENIAALYFDSRLYGTSEIWVTNKIEHNAVSWSKFFKVEMTMMNQLRVLNFGLNADIFFVDEENKVAVVITNSRSRSCNQPKASIIGEDGYFKSIKIGEMNSNLPTELYPLLILTNVPITSLRAVRCTCKLWNALSKEFILGNETSRQHHEFQGFMMISNKICPFRVDIQGIISNHNNLVDPSTKKLDLLDQVEVSRVIHCDGLLLCVTNNNSKLLVWNPYLAKTRWIRPRICYEKSDMYAIGYDNSSKVRNYKILRLSTSHYYGLGIELEIYDFSSDSWSFLGVFPDYRINSYHSLVNLAGSTYLFARVIRRTTRKKRNHYEYLASLENCLLCFDYTSERFEQHMPPFSPYYYYTDTVALSTVREDKLAAHSYGFYQSSVTLEVWVTNKIEDKAVSWSKFLKVDMGSMVPVRDFLCNWKADLLFVDEENKVAVIIHESRNYSTKLQLTAYIIREDGYFRSMNIGEVNLNFSRKLSVQHVFSPYHPSLVQINRRSYRGDGCANGHSEPLGRV >A05p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:890816:891569:1 gene:A05p002610.1_BraROA transcript:A05p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTRQDADVFKPFDRTACRSKDLNISEIFQCDGLMLCSVKSELVVWNPCTGQIRKIEPTTCYCNYDAYALGYTTSSSGGHRSYKILRRFYSQNDKKVVLGEMVLDDSFPLLGYSVNRIESFLFDEENKVVVLSCAAELRRKFVTRIYISDEYMEKQVYEAKISTFDWPHIITYAPSLVHIPKSTPKKRQKKQRLCYSP >A02p003790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1616395:1619469:-1 gene:A02p003790.1_BraROA transcript:A02p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKRRGASKAARKGATVAESQNDEVIAESLEASTQEESQHPKEEVAVENGEEEAEEENQENGVKDEEEDKQVDEVNGASSSSQQNEDVKDEKVKKKPVRGGGKRKRATKKEIEVKDEKKPAAPRAKKARVSKQQEEPEYFEDERNLEGLWKAAFPVGTEWDQLDALYEFNWDFKHLEEALEEEDGLLYGKKVFLFGCTEPQLVPFKGANKILHVPAVVAVESPFPPSDKIGITSVQREVEEIIPMKTMKMDWLPYIPVDKRGRQVDRMDFQIFVLGCTRRRAALRHMKEDLVKKYEYCLPYFYQPFTEDELEQSTEVQIMFPSEPPVVCEFDWELDELEEFVDKLIEDEALAAEQKDEFKEYVKEQVRAAKKANREAREARRKAIEEMSEETKQAFQSMKFYKFYPLPSPETPDVSGVKSRFINRYYGKAHEVL >A10p037270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21040950:21052449:1 gene:A10p037270.1_BraROA transcript:A10p037270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKLEDLVSYLKTKIEKREKELDHIRKVNNSSIISEIHLKELESQIMFQIENGRSLDHLSQTEPTLGDDIPKACDVAPEGGPSFRPTGKGNMEPDDDDDEMKTYEGESSKSATAMAPKLKFALIEDRKKRQATCQRRMKGLMKKAEELTILSGASACLTFFNRDDGKLVVWPSQEKAQSLIDRFNALSETERNENAYDPESYIEANIKKMEKRLEHSRKAVEELEMDHLMLQIQNGRMLADLSQTEVEKLKSYASKKITCLERELRTPHPNTSVEPFLEDEGFLNDDEDMETSEGESSESDATTAMGTPKLKLAVEELEMDHLMLQIQNGRMLDDLSQTETEKLKSYASKKFQTLVGEIPKAPFPLIQGGSVYLMDKWIKDPSDKEDEMKKTCEGESSKSDAGLPRDGNWYHPPRLGPSRCGLYLMWIFAGQPAVTRGTQTRPAYYIGLCGPARGLSTQYRNLITACALLGLNRNEANKLLPYKVGLNRNASLPTEKGRRQRRIHLSSGGGISKKRSYGGGKKTERSFTGGSTKKRSSGGGLSQRKEEPVGGDAPLVLDGDSVESWKQNKTGSATAMGKPKVKLAWVEERKRRATVCQRRMKELMKMAEELTIVCDMSACLVFYNRKNGKLVAWPSLEKAQSLIDCYNALPETERNMMANDEESSFIKTITKEIEKKLGLSRKAIEELKMDNLMLQIKNGSRMIADLSQTEIEKLKSYASEKVAYYDRELRKQHPNTSGNEPFLEDDDGEMKTYEGESSESDGADNA >A02p030690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15674186:15675555:1 gene:A02p030690.1_BraROA transcript:A02p030690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMVLGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGE >A06p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20488519:20492842:-1 gene:A06p037920.1_BraROA transcript:A06p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNFASTSDCNQFLLTSSSLLLGFSFNGIGGSLFCAFSAEGRTTADISNFTEQRFLRICDNTVSYFPLCFLFAMDELELPSRLFETGYEPTGKKRVNNYFNLRWIEVINSALEDEDLTMLNASQFGQVLKMGSHTFSVMFLHYLLSRQLITENDFELWWLFVGKPIRYAIQDFALAFVRSLIRSDEAGEELYRGLGDNEDEVVHHLVALARDDYAFEHNTWVGGVKADDVKAKKGHPLPSESSDEQELEETYREYRQQGGGDDVVHFGEGRGQPSMREGEAPIGGRPTSAGVGDLGYMVSMKDHISKELSKLMTEVASANFSIAAVEAFVKTELATLRNGTVGVDMYGGDLFSGYSPAIPSPTHGPSSPSRQRDKGTAETRVDVEDSPKIDELDGNAAIRKETVTAAMPTSSSGVDVFVDVSEPFSSIPSSDGGNAVVSASAEAGTTFLQPHVSSLVTSETNNPQALSTLLSSPFSAPLFDTPPPASSSVVEVPSDVSHTNTEVAPGSTVDAIPTELHVLPSSNHEVRTDVSDTVRGPSAASIVETVPTQSPTIEPMLHDVEDDVGGSVATEEDEVLTKKTLSKPRKAGRAVKLTTVVPSQACIRYSKRERRNPDRYTPSKGPEKQEPGKRARRGAKEKENVVASVAEPSIPIKETTSLIGGFTPFLPPNPVKRATFLEAMKDDK >A09p022100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12165116:12171724:-1 gene:A09p022100.1_BraROA transcript:A09p022100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITVFGPKLGSKLSVHFHTNTFTSCRLSSFPSMSFPVKPPKLVPLKATTNTLASKDEKNRKFKKLAPSEWGHRFVDAHVDVSEIDSLGREIEALKPKVEDLFLSSGGVNSTKKNILFIYLLVSLGLAYHFKAEIEENLKEGLKMIEETSSGEDDLYTVSIIFWVFRTYGHNVSSDVFRRFKGDDGKFKEYLTKDAKGILSLYEAAHMVTMRDYILDEALSFAMSCLETLVASGTCQPHLSRRIQNALGQPQHKNAEILVAREYIRFYEQEEGSDKTLLKFSKLNFKFLQLQYLQELKDLSKWYKEKEFEYKLPPYYRDRLVELHLVTLPFFETKYSRVRIMVTKLFVVQIILDDTCDRYASLREVESLVNAIERWDLDDAMDGQPDYLKFVIKHIFDTFQEFEREVASELGGSYSLKATIDSCKRYARANLQLATWAEADHVPSFEEYLEVAGVEVAVDFTIAGVLMAMKDICKKEAYEWLKSGDKLVIAMYTVTRVLNDIHGYEDDMSRGYVTNSINCYKKQYGVTEKEAFEKLHQIIANANKMINEELLKPTNMPRQILKEMLNYQRITNVSYEIGDEFTRPGGKLKSHVTSMYLDL >A09p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9357390:9359000:1 gene:A09p017950.1_BraROA transcript:A09p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRTMTNLIIREFIHHPLLFLSSSKSCHSLFPTLRRTPGIALVHSSSRFGSFRCAASNSGGGDRKVSSRLSQVQQMLHEAEERASSAGNEPTPQITLDHVTLNFARSGGPGGQNVNKVNTKVDMRFNVKNAYWLSERIREKIMQTEKNRINRDGELVISSTKTRTQKGNIDDALAKLQAIIDAASYVPPPPSEEQKKKIVKMAAKADEKRLKSKKVLSDKKAARRDRSSWD >A04p023430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14218747:14220889:-1 gene:A04p023430.1_BraROA transcript:A04p023430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein root UVB sensitive 4 [Source:Projected from Arabidopsis thaliana (AT2G23470) UniProtKB/Swiss-Prot;Acc:Q67YT8] MHSSLKSNPSSRLFLNPSKSTPTRYPIFTFPRKSSPNKSLRTSIDFQQEEVSKEVVPGNVTRRLPIVIKTSGRASRYFLKGDSFELVCLDEDVDDDTAPFSHVGFLKLINDFFIPNKSFLHRVFSSALQVLATQAMFRAIGIGQSRSLASSAAFNWILKDGLGRLCRCIYTASLASAFDTNLKRVRFSTSVLFSLSIGVELMTPVFPHYFLLLASIANIAKQISLSCYLATGSAVHRSFAVADNLGEVSAKAQIQTVCFDNLGLLLAVLLNMLFQNNQRLQASLPFVLYPIFSTIDLLGIYQGLKHINLQTLTKNRLEIILERWIESRQVPSPAEVSKEEGVDLLGSRASKRVWPIRIGCLDPKAQIPKLSMMAMQSLCNDDGYFITLELSSYGFRRTPRPRIVICLREGATSVDVITSLLQTCYIRKAFVTNRFKRRDDLTLQDWSLLVGESKLAARDDFTELYKQMQEQGWIVKNVLLSAEEQVRYIFDQHQSLAL >A10p037740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21243744:21246668:1 gene:A10p037740.1_BraROA transcript:A10p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMNVASMQQPLSFSRRLAPSAASSHVLSSSVTVTGYSRRNSPYAPSLRSIKCVSAPPEASIVTDTKKMADASKSTNLIPIYTCIFSDQLTPVLAYRCLVKEDDREAPSFLFESVEPGSQMSSVGRYSVVGAQPAMEIVAKENKVIVMDHKNGSMTEEYVEDPMEIPRQISESWNPDPQLVQDLPDAFCGGWVGFFSYDTVRYVEKRKLPFSKAPEDDRNLPDMHLGLYDDVIVFDHVEKKAYVIHWIRLDGSVPYEKAYSNGVQHLETLVAKLHDIEPPKLAAGNVNLQTRQFGPALENSNVTREEYKEAVVNAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRVVNPSPYMGYLQARGCILVASSPEILTKVKQNKIVNRPLAGTSRRGKTDVEDKRLEKELLENEKQCAEHIMLVDLGRNDVGKVAKYGSVKVEKLMNIERYSHVMHISSTVTGELQDDLSCWDTLRAALPVGTVSGAPKVKAMELIDELEPTRRGPYSGGFGGVSFTGDMDIALSLRTIVFPTASQYNTMYSYKDANKRREWVAYLQAGAGVVADSDPEDEHRECQNKAAGLARAIDLAESAFVKK >A06g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11672870:11673234:-1 gene:A06g503750.1_BraROA transcript:A06g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYTLLANLRVSRCSNTAEVPLLRFWEARNIGKGGELMSVDMLFLDEQSTLIQGTVSASTRFKTVSLRDLCTGLVVLTLHGGQQVSSYSLNCS >A07p048310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25827959:25830256:1 gene:A07p048310.1_BraROA transcript:A07p048310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTILPENAEPPKHTPPPSKRKKRENPTEQPHKPQKPHKPQKQKAAAPQKQPSSWDQIKNLLTCKQIEGSRVHDPSKNSQPAPSTTANLSPSKLSSSCSSICSFRDVAHGNTRVVHRADNSPDVDKSATPDSETRLLTRKPGQHGSSSRSLTSGSTRSNASGSYTSSSTTSFRAMQFRKLSGCYECHMIVDPRRYPISPRVCACSQCGEVFPKLESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKNSPMCKIERILKVHNTQRTIQRFEDCRDAVKARALQTARKDARCAADGNELLRFHCTTLTCSLGSRGSSSLCSNLPSCGVCNVIRHGFQGKSGAGGVAAAAASSGGVRTTASSGRADDLLRCSDDARRVMLVCRVIAGRVKRVDLPADSTVEEKKSPVEDNSTVGASTSGGVFDSVAVNAGVYSNLEELVVYNPRAILPCFVVIYKVLES >A04p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17122864:17128738:1 gene:A04p028540.1_BraROA transcript:A04p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSKTIVLALFVATLRASYNAVTNARPQPPFPAILIFGDSTADTGNNNYHSQAVFKATHLPYGVDLPGHEANGRFSNGKLISDVIASKLNIKEFVPPFLQPNISDQDIVTGVCFASAGAGYDDRTSLSSKAIPVSKQPSMFKDYIARLKGIVGDKKAMEIINNALVVISAGSNDFIWNFYDIPTRRLEYPDIYGYQEFVLKRLDGFVRELYSLGCRNIVVGGLPPMGCLPVQMTTKMRNVMRFCVEQKNTDSVLYNQKLVKKLPEIEASLPGSKFLYANVYDAVMDMIQKPSKYGFKVTKKGCCGTGYLETGFMCNVISKTCPNHSDHLFWDSIHPSEAAYKYLGNFVDAQIRGWLKT >A05p006330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2515434:2516271:1 gene:A05p006330.1_BraROA transcript:A05p006330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRKREAMSKLNANALPFRPVYTDEHALFLTFSNGFPLTEVQIFHYFSWQLMIIISCYYPYVEGVNVHQPKIGDPLFGKIVFRKQCIPALLMGNKEKIYFIVDGCHLYCKRFVTQKSKPEATESTSLPDDGNHSDGDE >A02g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20806750:20807161:1 gene:A02g507350.1_BraROA transcript:A02g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFTTIAPPYADALAITIDIASLFSIAEKIGEDLRTASEEIMRSVFLHAIVTDLQNKRQGTASTLTCGEVRGGRIKPRGRYMKVTTEFDPLRGGGRDRNLREAQGHIRLKPYLVLPLFKNSGV >A02g512050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32653899:32655658:1 gene:A02g512050.1_BraROA transcript:A02g512050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAGYYPSNVTSDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSMHSQGEAGGFHDFGRGKLEMGSGEGRGGSSGDGGETLYLKSSDDGN >A08p017160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11998913:12001291:-1 gene:A08p017160.1_BraROA transcript:A08p017160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVKRRIRRGIVGSFSQISKAHRTLVLDFPKFLPSNNYKNATPLSLSLSRRSSSESEMDAVSSAKSILEKPLKLLTEDDISQLTREDCRKFLKDKGMRRPSWNKSQAIQQVLSLKALFEPGDDSGAGILRKILVSPNPTRVTATSTEPANEVGARIPFQEDDRRDSPRSAEFSGSEKDSYNTLSPSRSPAETSALVGQMTIFYSGKVSVYDGVPPEKARSIMHFAANPIDLPEYGVSASARLTSRPMTMSKEKMVEPPHYGYGLEKANASRDSDAEGQANRKVSLQRYLDKRKDRRLFKNKKAPGVASSSLEMYLSRSQPVTNAYSQSLSGGGTGGEQHESPENQRRSPNLSVDLNSDLNSEGSSIHEEQFKSRQGMFPSSETWHKKVELEES >A02p055640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33688979:33689712:1 gene:A02p055640.1_BraROA transcript:A02p055640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGPTFSIELSEYGSDLPTDKASGDIPNEEGSGLSRVGSGIWSGRTVDYSSESSSSIGTPGDSEEEDEESEEDNDEEELGLASLRSLEDSLPSKRGLSSHYKGKSKSFGNLGEIGSVKEVPKQENPLNKKRRLQIYNKLARKSFYSWQNPKSMPLLPVHEDNDDEEGDDGDLSDEERGGDVLARRSSFKNRALKSMSCFALSDLQEEEEEEEDE >A06p056880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29597409:29599695:1 gene:A06p056880.1_BraROA transcript:A06p056880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKLVLESQINFFFLRVHLRERSTSFFRFWCFSVCMECNAKPSLQWEWDNLISFGTSSAENLRKQRPMDWENDGFDCTTFYSSSFATEAAYGGGSSGSDLAHAFSKSSKSTSISSSSAEVRTYNFTSEAGESVPPGELGSSEEFAMGIDTSPSLELSFGSGDPVLGLKLGKKTYFEDFWEVENAKGSALPVSLASSSASPVKKSKTLSQKLQTPHCQVEGCNLDLSSAKDYHRKHRICENHSKFPKVVVSGVERRFCQQCSRFHCLSEFDEKKRSCRRRLSDHNARRRKPNPGRTYDGKQQMDFVWNRFALIHPRSEENFLWPNPKPVPSRGLLHEPAKTEMPNKLFTEHCGFGLLDPKTKTTRAELFSKDLLEKVTISSSHMGASQDLDGALSLLSNSTPWVSSNQPTRFSLNHHSTSNLQPVVHGSVTQLSSVSSYWQPDPPAAEGSTALTRNGVGQFNENYNLNQFYN >A10p036880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20919625:20923162:1 gene:A10p036880.1_BraROA transcript:A10p036880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-lactate dehydrogenase [cytochrome], mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G06580) UniProtKB/Swiss-Prot;Acc:Q94AX4] MALASLFARSRRTASTFFLRSSRQLHSTPNKNGDVSSISAQKDRQRIWWSRSLVPLAIGAASAASFAVLSQSYPSISQSSALDSKGVKVGGKGSTDLVVKGEYKEVPKELVAELKSILQDNLTTDYDERYFHGKPQNSFHKSVNIPDVVVFPRSEEEVSKILKSCNQYKVPIVPYGGATSIEGHTLAPKGGVCIDMSLMKRVKALHVEDMDVVVEPGIGWQELNEQLEQYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLPNGDVVKTASRARKSAAGYDLTRLIIGSEGTLGVITEVTLRLQKIPQHSVVAVCNFPTVKDAADVAIATMMSGIQVSRVELLDEVLIRALNMANGKNLKEVPTLMFEFIGTEAYAREQTQIVQEIASKHNGSDFVFAEESEAKKELWKIRKEALWACYAMAPGHEAMTTDVCVPLSHLGELISRSKKELDASPLLCAVIAHAGDGNFHTCIMFDPNNEEQRKEAERLNNLMVHSALSMDGTCTGEHGVGTGKMKYLEKEHGIEALQTMKKIKKALDPNDIMNPAKLIPPHVCY >A02p001520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:679872:680930:1 gene:A02p001520.1_BraROA transcript:A02p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFEEAIAASKEQSLILKGKRTKRQRPQSPVPFSSSPPIVSCHAHDIEEEYTDLDSKENALGNNVENHKKDGVITSSSSSASWSSNNNPTLKAEEDEEDLDIASCLILLSRGHSLPQLKIPNHETTYNNKTYKFSSRRFLETSSSNGGGKAGYYVYQCKTCDRTFSSFQALGGHRASHKKPKATSFYSNLEHLKKNIYENDSLATTTTIYNNNKNRSLVVYGKTGNNKVHKCGICGAEFTSGQALGGHMRRHRGAVVVAPTVTVALAAANTELSLSSMSFDQISTKRAKKMVVSLDLDLNLPALELEDENMVNGFSLGFKQKHEQEHQQTKQRDEPKCLVLSPPTSVDCHY >A03p018810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7686326:7688417:1 gene:A03p018810.1_BraROA transcript:A03p018810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERETGPSSSEKLRRGDREEDVKVIEWEEFDHELTRLWSLSSALKLATEKKLSLQPKLESLIQLARNPIKAIRCVLRSNVRKGVAFCCCYPRISGRPNFGQVSADSLRRANELEEMRQRLEARKLLVEKTSAACKVTEQDVKVKEDSLSAEVRSLLVGGTTLSIAKSKLQESNCQLEGESGYTHLKIVTSKLRKRQQYMVSQVSFIYPLKIEAGPSQDQELESLPGGSRLGTKPVSQGSVRILGLPFSMAPFTKMSFFTDKKEVQKSATALGYVAHAVSLLAPYLGVPIRYPLRLGGSKTYIRDYAPYIEPSSSDMSAISTLSQNYKFVEFPLFLDGQDTTRAAYAVFLLNKNIEQLLNFVGVNSLGPRQVLANLKELIRIIQSPDCIHS >A04p036300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20559576:20560321:-1 gene:A04p036300.1_BraROA transcript:A04p036300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKLQLKRVVKVNAEIASLYNSYSTSEVIDPVDNSLHTFQTMVTDAGKEKKASLILLTKICRIKPQIPGLGDATVFWDFNAIDDFYKTDMPDWPFNDGVDNPHLYQVKESELVDNEWIYLYAEAALFSEWRSEMSDYTPFKMKKVMVQTKEDDVEESSTKLKSSNAIFYMIFKVRGGPV >A06p037730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20426453:20430336:1 gene:A06p037730.1_BraROA transcript:A06p037730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MRSLLFVLSLICFASQTALSWKKEEFRSCDQTPFCKRARSRSPGACSLIASDVSIADGDLVAKLLPKIANQSDEEQIKPLVLSLSVYADGIVRLRIDEDHTLNPPKKRFRVPDVVVSEFDEKKIWLQKVATETIAGDAIPSSVIYVSDGYEAVVRHEPFEVFVREKSGDRRRVVSLNSHGLFDFEQLRKKAEGDNWEEKFRTHTDSRPYGSQSISFDVSFYDSSFVYGIPEHATSFALKPTKGPGVEESEPYRLFNLDVFEYDHESPFGLYGSIPFMVSHGKSGKTSGFFWLNAAEMQIDVLANGWDAESGIALPSSESRIDTLWMSEAGIVDTFFFVGPEPKDVVKQYASVTGTSAMPQLFATGYHQCRWNYKDEEDVAQVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDSALFPNPEEMQKKLAAKGRRMVTIVDPHIKRDDSYFLHKEATQMGYYVKDSSGKDFDGWCWPGSSSYIDMLSPEIREWWGGRFYYKNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHVGGVEHREVHNAYGYYFHMATSDGLVMREEGKDRPFVLSRAIFPGTQRYGAIWTGDNTAEWEHLRVSIPMLLTLGLTGITFSGADIGGFFGNPEPELLVRWYQVGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIHTRYTLLPYFYTLFREANVTGVPVVRPLWMEFPQDEATFSNDEAFMVGNALLVQGVYTKGTTHASVYLPGKASWYDLRNEKTYVGGKTYKMDAPEESIPAFQRAGTIIPRKDRFRRSSSQMDNDPYTLVVALNSTQEADGELYIDDGKSFEFRRGSYIHRRFVFSNGVLTSTNLAPPQARLSSQCSIDRIILLGHTSGPKSALVEPLNQKAEIEMGPLRMGGLVASSGTKVLTIRKPGVRVDQDWTVKVL >A07p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5193138:5198671:-1 gene:A07p007790.1_BraROA transcript:A07p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRESRSCFVRAVKVHLAFLQVSSEPVAKMRLTSMSDCYRAGTLGVLFLSRGDRFWMGVRKLAVCYIGVTLGVSVQTADIETLGAIYIDCGVPVMLGGPIGSETHGISWWPLTETFWDNKALPEQPQIATMKEKPSHHPKREIRAHQTRFGGTEERSIKYEYSKLNFEVMFYTHRSMCIRKQIVIWNFYLRVMVVSLGLIFTDRIREQSTSSARYHWKDLDASFVDHLSDSQIYNKAVELQRQFRPVSLILLSIISCKRIVIPSYFLRVMHVFARCAGAVCVYDQPGDEATLVKQMVFDRIKLGVYEQRTYRTTF >A05p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1509038:1513297:-1 gene:A05p003900.1_BraROA transcript:A05p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKDDHSSSRFWSSDVDGVSYIQLHKFWSELSPKAKQKLLRIDRQALFEQARKNMCCSRCHGLLLEGFLQIVSLQQKKGSSKNSSALSNPDAHQWGGITATRDESLTLLDCYLYPKSFKVLQTVFESARARERERELLYPDACGGDGRVWISQGYGKGHGTREACALHTRWLSADTLVDFYSALEDQSRLSLFTMKEEDFIGRLTSRFDRKKFCRDCRKNVIREFKALKELKRIQRKPQCTEWFCVADTAFQYQVGIDSVRADWSQNFTENAGYHQFEWAIGTDKGESDILDFRRVGSNRSAQVSGLNLHGLKECYITLRAFKKNGRSSEVSVKAHALGCQQCVHSRLVVGDGFVTIKRGKCIRMFFEHAEEVEEEESELDKDGNELDGECFRPQKHAKSPELAREFLLDAATVIFKEQVEKAFRDGTARQNAHSIFVCLSSKLLEQRVYVACKEIVTLEKQKKLLEEEEKEKLEEEERKERKRTKEREKKLRKKERLKEKEREKEQKNPKCIDKAMLPNLSREEEGSMNLDEESNDTINCEESGTETGDVELSPPDSPDDEDSECLDGCITSTAEAHYSDSTDREIIDHENGCCTNDRQRPVHQTERIWKEVQHDHALRWSDKCRHPENASFVHTAETRYCNDSLELSSRHLNGSNKNMKVKALKTGGKPNSIRSGGRYDDHSCSYKPINGYWQKAESNISATRGMPVTVRKVLDSTQLKHPRNSSHSDAPPVTCSTFKAEEVEDVSLAVKLADTESECKATEKLDSANHSDYSWVSGKYINPTEEGKKMEVHLTSKNDVLYSKDPIMSRTSSSDNCSSCLSEGDSNTASSNSGNSESSPMSDPEDASQHFEGRENLVGTENDVHDCHEKVIKKDAEKSVDERDVLRIVKMSNHPAENGESEKSGTPFTVLSQSVDNTVPTVNTCSSYLSQPQSMMFPQMQSIPLPVFQGPATMGYYHQAPVSWSAAPANGLMPFPHPNHYVYAGPLAYSLNGESPYVQYGTPLNHSATPFFHSGPAPMFYPFAEINTVDQVQTLEPSEHSYLKEAADERKLNRFSQVETDSGEDFSLFHFSGPVAGSNSIPADSIGILGDFSLQLSGDHAFGNHTMKKKESTVGEEYSLFAESNSLRFSIF >A06p021240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10514154:10516163:-1 gene:A06p021240.1_BraROA transcript:A06p021240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEFVVTRKKPVLVTPKSETPKGLYYLSNLDQNIAVTVKTFYYFKSKSKTNQDSYNVIKKSLSEVLVHYYPVAGRLTISPEGKIAVNCTGEGAVLVEAETNCGIEMIKEAISENRMEMLEELVYDIPGARNILEIPPVVVQVTNFKCGGFILGLGMSHNMFDGVAAAEFLNSWCETAKGLPLSLPPFLDRAILQPRKPPKIEFPHNEFDEIEDISGTGRLYDEEKLVYKSFLFEPRKLEKLKTMTNEEDINSNNKVTTFQALTGFLWKSRCEALRFKQDQRVKLLFAADGRSRFIPPLPKGYCGNGIVLTGLVTSSGELVGNPLSRSVGLVKSVVDLVTDSFMRSAIDYLEVNRNRPSMTGTLLITSWSRLSLHKLDFGWGEPVFSGPVGLPGREVILFLPGLEDMKSINVFLGLPASAMKVFEELMKI >A07p052090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27540867:27543055:-1 gene:A07p052090.1_BraROA transcript:A07p052090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G80560) UniProtKB/Swiss-Prot;Acc:P93832] MAAALQTNIRPVKFPATFRALTKQSPAPFRVRCCAVNSAGKKRYNITLLPGDGIGPEVISIAKKVLQQAGSLEGVEFSFREMPVGGAALDLVGVPLPEETISAAKDSDAVLLGAIGGYKWDKNEKHLKPETGLLQLRAALKVFANLRPATVLPQLVDASTLKREVAEGVDLMVVRELTGGIYFGVPRGIKTNENGEEVGFNTEVYAAHEIDRIARVAFETARKRRGKLCSVDKANVLEASILWRRRVTALAAEYPDVELSHMYVDNAAMQLVRDPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLSDSGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGEEKAAKRIEDAVLGALNKGFRTGDIYSNGTKLVGCKEMGEEVLKSVDSQVHASV >A09p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4073903:4076658:-1 gene:A09p007800.1_BraROA transcript:A09p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSVTPDAISAVLSNPSFDSSSDRSEIVVQVVDLKPIGNRYTFSANDGKTRVKAMFTASLTPEIVSGNIQNLGLIRLVDFTVNDISSKSTKYFLVTKCESVASALDCEIDLEGKKDEEEGGEAAKRQKLDHSPVSDVVSTGITLKPKQEFVAKSASQIITEQRGNAAPAARMAMTRRVHPLVSLNPYQGSWTIKVRVTNKGTMRNYKNAKGEGCVFNVELTDEEGTQIQATMFNDAARKFYDRFQLGKVYYISRGSLKLANKQFKTVQNDYEMTLNENSEVEEASNEETFVPETKFNFVPIEELGMYVNQKELIDLIGVVQSVSPTMSIRRRTDNEMIPKRDITLADESKKTVVVSLWNDLATGVGQELLDMADKSPVIAIKSLKVGDFQGVSLSTIRRSNVVINPESPEAKKLKSWFDSEGKEASMSSIGSGMSPLAKNGSWSMYTDRVLLSHITSNPSLGEEKPVFFSTRGYISFIKPDQTMWYQACKTCNKKVTEAMDSGYWCEGCQKKDEECSLRYIMVVKVSDSTGEAWFSSFNDEAEKIIGCSADELNKLRSEGGEVNEFQTKLKEATWSSHVFRISVTQNEYNGEKRQRVTVKGVAPVDFAAEAKLLLQDISKKN >A08p030900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18914829:18916665:1 gene:A08p030900.1_BraROA transcript:A08p030900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGKRKSTDDVALKSVREEKRRVVNNKFKGKHELGLEADRRRMGRSSPRRGQRSKGFKVKHCLQFTLLLAVGIWLLYQLKHSHDDDKKAVFDESAAKTEVVKMGRKDLNPRVNDEVIKSEEILEREEERGEENVVEGGNDKETQGTGNEESESASDDESDEEAREKNYKGDDASSEMEEKGVKVGVEEESKGNNSTETVGFHEDESGPKTEQLVINNNVSSNTTEGNGEQQETKTEAEENTTSSSGGNNESDETITKSVVLEKGFSDSNGELPESNQSTTSNATEKTTGYQETKNEVDEQEKTQSSQLSSEVESKSKEEESHKKKEEDFSFQEEEPQNKEKEKPFVQEEKGKGEASSQEENENKETEKVESLSQEENGSKETENKEKVESSSQEENESKETEKREKEESSESQHTVNANSEKNIKQVKSTDSSDTREKKDEQETDGSKSHSGNEQSKSASDDVKETENDTSKTESDKEKNNKTGETEESQSDQEHTKDARTDLKTQPESNNGFTNDKVAAE >A09g515740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46421320:46424199:-1 gene:A09g515740.1_BraROA transcript:A09g515740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEINSLAYIRLLQAHKITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSIFRSIKSFKLVVHGGCGIDDNGNLVIT >A09p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6248348:6249007:-1 gene:A09p012240.1_BraROA transcript:A09p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKESATPSGAASNAIKATAHLKEVVNREPRDDLEKHKKDITEKKTPDVIEMLKGLVDRLERMEEKVDHRLREIEEKVDMLISSA >A05p029530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:60677:62728:-1 gene:A05p029530.1_BraROA transcript:A05p029530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQASAKLTSSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEVGVDTNSSLSCHVLWSFKELTLVPWLIDPNTIVSYLCWSLSDVSGILEVFLVHHPSELKEEDFVHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGAVASLFKRVLKLRQSTLGCEKMKATLSRRLQE >A03p029480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12407136:12408151:1 gene:A03p029480.1_BraROA transcript:A03p029480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTHRCVQSESSESGSESDSDTSMRCISCREEYLPRDAGTCKECYVEAGETEEELKREIEDLKAKVTFLRLSSSLDHGNSSSSRSFTDVVLIASEDSATSPPVPAHKAVLVSRSPVFRAMLENEMEESRSGTIKISDISYDTLRTFVYYLYTAEACLDEQMACDLLVMSEKYQVKHLKSYCEKFLVTKLNPDNSLMTYAFAHQHNAKHVRDAALLQIIENMENVTKREEYMELVEKEPRLVVEIYEAYLSKQVNTAAAGTSTSKTC >A06p025640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14666413:14673190:-1 gene:A06p025640.1_BraROA transcript:A06p025640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPPGAQRPNNPQNTAPPNSLAANMHNLNILRPPPPMPGSGPRTSPPFGQPPPQPYPQSAPSYGVQQQQPPPRPSPMPRPGPPPPALTRPGGPPQLSQPGGIPFGRPSGPPSSQPPFGSRPPGAFPGPPGGVAAPPPSGPRPVGFGSPPPMGPGMSMPGGPVTNGPPPMMTPGGPGPIPNGPPMMGPGGFPRGSQFPGGSMMGPPPPYGQPPNAHSLPGGSPLTSPPAHSIPPPTTFPGAPYAMPGGFPYGLPPQQHPSAPGTPGSMYGMGPVPNQSMTTVSGPSKVDLNQIPRPGSISGPIMYETRQENQANPPPPTTVDYIARDTGNCSPRYMRCTIHQIPCTVDLLSTSGMQLALIIQPLALSHPSEEPIQVVDFGESGPVRCSRCKGYINPFMKFVDQGRKFICNLCGYTDETPRDYQCNLGPDGKRRDADERPELCRGTVDFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAIQQVLSDLPEGPRTFVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLETDVIVQLSECRQHLEILLESIPTMFQESKSPESAFGAAIKAAFLAMKSTGGKLMVFQSVLPSVGIGALSSREADGRANASADEKEAHKLLQPADKTLRTMAIEFAEYQVCVDLFITSQAYVDMASISDIPRTTGGQVYCYYPFSALSDPPKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQEYSGSFCKRIPTDIDLPAIDCDKAVMVTLKHDDKLQDGAECGFQCALLYTTITGERRIRVINLSLPCTSMLSNLFRSADLDSQFACMLKQAANEIPTKALSLVKEHAISSCITALSSYRKFCATVTSAGQLILPEALKLLPLYTLALTKGVGLRSDGRIDDRSFWINHVSSLSTPLAIPLIYPRMIAVHDLDTTDNEETVVPSPIPLTSEDLRDDGVYFLENGDDGLIYVGESVNSDILMKLFNVPSAADIPSQYVLEKYDNQLSKKFNDVVNEIRRQRSSYLRLKLCKRGDPTGMLFLSYMVEDRTAGGPSYMDFLVQVHRQIQGKLN >A09p074660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56553321:56554210:-1 gene:A09p074660.1_BraROA transcript:A09p074660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVSYIVRILGRYNDDRMTAKDSSGPGSSVALMTRDLLGSAGCGGDDHDHDLELDLDLKVPNGWEKRLDLKSGKVYLQQCNSTSSSTHLRRSDQFNQTVPRFQDLNYPPVSSKPPERPLLSLFDDASLELKLVPSSSPPSSSSSLSLPSSSSSFQSVCTLDKVKSALERVGKESSEMIKKRKSPEDGVCDLTAEASSPWAVGCPGCLSYVLVMKNNPKCPRCNSFVPLAAMKKTKIDLNISI >A04p021440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12979719:12981093:-1 gene:A04p021440.1_BraROA transcript:A04p021440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLRVLFSVSLLFAFFVSVSFCENNGEDILIRQVVNGSEPKVLSSEDHFSLFKRRFGKVYGSLEEHRHRFAVFRSNLQRAMRHQKMDPSARHGVTQFSDLTPSEFRRMHLGVRGGFKLPKDANQAPILPTKDLPEDFDWRDRGAVTPVKNQPWAVGVLYGPKTVKPGSCGSCWSFSTTGALEGAHFLSTGQLVSLSEQQLVDCDHECDPEQEGSCDSGCNGGLMNSAFEYTLKTGGLMREDDYPYTGTDGGTCKLDKSKIVASVSNFSVVSINEDQIAANLVRNGPLAVALNAAYMQTYMGGVSCPYICSKRLNHGVLLVGYGSAGFSQARFKEKPYWIIKNSWGETWGENGFYKLCKGRNVCGIDSMVSTVAAAA >A05p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6451324:6451996:-1 gene:A05p014710.1_BraROA transcript:A05p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTTISASCASKGSPSLSPQKKITLKVKAQQDGGEDIYKIGYGAHLKKLMDAYCTKRNLERTTVRFIFRYKELKPRQTPAQLMMEEGDIIDIVTDQGGG >A05p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:938408:942101:-1 gene:A05p002660.1_BraROA transcript:A05p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box-binding factor 3 [Source:Projected from Arabidopsis thaliana (AT2G46270) UniProtKB/Swiss-Prot;Acc:P42776] MPIFNWRGLFLVRWIVSNIPAVGFEAVVGIVGPNVFFCFKKRQLLLKCGNVKTMGKSEEPKVTKSDNKPSSPPADQTNVHVYPDWAAMQAYYGPRVAMPPYYNSAMAAASGHPPPPYMWNPQHMMSPYGTPYAAVYPHGGGVYAHPGFPMGSQPQGQKGATPLTTPGTPLNIDTPSKSTGNTENGLMKKLKEFDGLAMSLGNGNNGDEGKRSRNSSETDGSSDGSDGNTTGADEPKLKRSREGTPAKDEKKHLVQSSSFRSVSQSSGDNNCVKPSVQGGGGAIVSAAGVSANSNPTFMSQSLAMVPPETWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEALTAENMALRSELNQLNEKSNNLRGANATLLDKLKSSEPEKRVKSSGNGDDKNKKQGDNETNSTSKLHQLLDTKPRADGVAAR >A02p019850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9229038:9231674:1 gene:A02p019850.1_BraROA transcript:A02p019850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyamine oxidase 4 [Source:Projected from Arabidopsis thaliana (AT1G65840) UniProtKB/Swiss-Prot;Acc:Q8H191] MDKKVSFTDELPDVTISALLQKQNNVVQPCVIVIGSGISGLAAARSLSEASFNVTVLESRDRIGGRIHTDYSFGCPVDMGASWLHGVSNDNPLAPIIRRLGLTLYRTSGDDSILYDHDLESYGLYDMHGNKIPPQLVTQVGDAFKRILEETEKIRDETANDMSVLQGISIVLDRHPELRQEGIAYEVLQWYICRMEAWFAVDANLISLKCWDQASQPILLSIYKHILPTWKQYTKEKGSSKLIIVVQDECLSGGHGLMVQGYEPVIRTIAKDIDIRLNHRVTKVSRTSNNKVIVEVEGGTNFVADAVIITVPIGVLKANLIQFEPELPQWKTSAISDLGVGNENKIALRFENVFWPNVEFLGMVAPTSYSCGYFLNLHKATGHPVLVYMAAGNLAKDLEKLSDEATANFVMLQLKKMFPDAPDPAQYLVTRWGTDPNTLGCYAYDVVGMPEDLYARLGEPVDNIFFGGEAVNVEHQGSAHGAFLAGVSASQNCQRYIFERLGAWEKLKLVSLMRNSDILETGTVPLQISRM >A02p046320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28930305:28931442:1 gene:A02p046320.1_BraROA transcript:A02p046320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKREIKDTFRNRQPLINMRTEEKEDEEEEEQWPSSRSAHEVLLIVLPYLHSLFELLSMTGVSRSLRDAIRDETALWTKIVVEPSLSSRLTDDILWDITSKSAGKLNTLILRQCLRITDKGLRRVVDANPLIRKIIVPGCTELTPEGIIGCVESLSKNNHNVETLHINGVHRFTKDHLSALSTHLPHEGAIDVEVCPKCDLVKMIPSCSRASCNRGRRQERKCRGCWFCVPRCTECAVCLGSDTEIQEAACGGDSLCLECWLVLPKCRFCNKPYCTSHSSLRHDIATNDSSSPPMFECQACHYRAGTNPYDAFDYQI >A03p036690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15362381:15364275:1 gene:A03p036690.1_BraROA transcript:A03p036690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCRGLLLLYVTVFLVQLQSSTSLISSPSSIINPSKVKQVSSKPRAFVYEGFLTDLECDHLISLAKENLQRSAVADNDNGESQVSDVRTSSGTFISKGKDPIVSGIEDKLSTWTFLPKENGEDLQVLRYQHGQKYDAHFDYFHDKANIARGGHRIATVLMYLSNVTKGGETVFPNAVESSRRKLSVNKDDLSDCAKKGIAVKPRKGDALLFFNLHEDATPDTLSLHGGCPVIEGEKWSATKWIHVDSFDKIVTHDGNCTDVNESCERWAVLGECAKNPEYMVGTPELPGNCRRSCKAC >A02g510680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28432685:28433558:-1 gene:A02g510680.1_BraROA transcript:A02g510680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKSRGSTSSDVSDESSCSSFSSSTLNKAHKANDLRWEAIQAVRARDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTNCYFAMKVMDKTSLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETEKFSCLAMEFCPGGDLHTLRQRQPVKHFSEQAVKVTIGPEDSRAGLVLNAEKGSDNYLEFDFF >A02g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16732127:16734119:1 gene:A02g505740.1_BraROA transcript:A02g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTENPWLSPERASALIPPQASAETAPLVDGSGKILTSLSSSTSQSPLQKTGSEKIKFSDITIQDIFEGFTVLPPKKSHLHSSTFPGPVNPPTLAAKAAHKPSSSPASSRKIPPKNPSKTIPLPPLNRKTSVQNPPPQNPPPIAKPWVDKARQLADRTLKRLAPVSYSTTCVPQVTIPDEVFIRGAEMHREFILGSFLAKMPSYQSIQSVLNFMWGKGHKLDIRTNLKERTIMVRIPNEYIRTKVLEKKIWYVGTTMFHVSPWSAMGSINTLDLASIPLWAHLKGLPLDLRSLEGLSFAAGLIGEPKETDEFTKNLTDVNIAHVKIEANVTTPLPALIELRRTSGEIFPVEVHYPWAPPTCSFCHQIGHILKDCLTAPPEILSKTPPLAKIPQKTPTPTDIPPAAKTPSLKPPVVPTPTHPPDPVTEFQHIEDENIQKEFESDLQEIMSPPPKDTMDEDHALPTLPTINTSSSHNPITLPLKTLTIPSFSPPSPVTDCITFTNLPLEAPFIVGLQALPQLNRRITPFKHKPSFKKQLPQQKLSLKNSFAVLDQSDPPSPASDPHTPPSNYPDSYVASPPTSPNNHDPSFVSLPTLSFELTKEPLPSDGVVSQSSL >A02p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2336318:2337930:-1 gene:A02p005350.1_BraROA transcript:A02p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLQSRITPKVIVLSIVSGVLTGLLSALALAFLVRCIVKYMKQTPILKGPVVFSPKITPKSLHAALGNSIHLLGSDPNGKYHKTVLDNGLVVAVKKLSYSSPEGGATSKSVKRRLQKELELLAGLRHRNIMSLRAYVRDSDEFSLVYDYMPNGSLEDVMSKVRGNEMELGWEVRLRIAVGIVKGLQYLHFGCEEQQILHYNLKPTNVVLDSEFEPRLTDCGLAKIMLSSQTAVVSCYSAPESSKSNRYTEKSDVFSFGMILGVLLTGKDPTQPFCVNGASGGSLGLWLKHLQQSGESREALDESILGEEVEEDEMLMALRITIVCLSDFPADRPSSDELVHMLTQLHSF >A01p038740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14747003:14747637:1 gene:A01p038740.1_BraROA transcript:A01p038740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTEAEAIVDCKAVDLWGGSYDEIEDPEVLPQPIKELAGQSFCFGVSLKNDNVTNGSDIFMVAAIWSGDKLLKTESHSVLSRESSMTSGGEVSKSDPNSQTTSESCSTLFSKRKDGDLPDISSSSKKLCTKIVKVEKSKNN >A09p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8377765:8378591:1 gene:A09p016050.1_BraROA transcript:A09p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMLSYSPAIISAVKYVGSSPFNTRRLSQPSISISRNKSFFLHLTETKEKTRRRRGEDGRVSIVCDVGGMFPVDPWAPTIDSQSIASQLFAVSLFPYIGFLYFLTKSKTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLALTNLFIVLGLRQALRKSEDNDEETPTTSQQEQEKSSV >A09p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11638671:11654304:1 gene:A09p021580.1_BraROA transcript:A09p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKPQKQSNKAASSSSSSSSKAKPSSGPKLQISAENEDRLRRLLLNSGRTAPPAPAPIHSALSKNQKAKKLNTVYEKLSCEGFVDDQIELALSSLRDGATFETALDWLCLNLPSHELPVKFSTGASRFPTGGGSVGVILTSREDWNEPSDSSVELKQEEPEVLVKVKGKQDEDALRSDQSSQADWIRQYMRRQEEEELESWEDEVDGVGSSREASGPRAFDVIAKEYYSARSDAIKAKDKRDKKGQEQAGLAIRKLKQEIAALGISEATLESEFQREYAFEDATEKEIASPMPDTVHEAVDADSIQPLDEPVLDENPVENCGSEENQRKDLPSCSPGQEVVASDDNSEYLALDDMFSVDVPHYEASPHELLELQKKEKMRELRSEENLGKLEGIWKKGEAQKVPKAFLHQLCQRSGWDAPKFNKVTGEGRKISYTVSILRKASGRGKSRQAGGLVTLQLPHQDEVFESIELGYNFSEENASDAQNRVAAFALHKLFSDLPVHFAITEPYASLVLIWKQDELFCTVQSTEEDRRANFVDRLLEADSLSLNDSSSSISDAVPMVDTYVEEKDDLGLARSSHRAKRDSYIEATCLSLQQRQENKKKTRKYKDMLKTRTALPISEVKNDILHHLKERDVLVVCGETGSGKTTQVPQFILDDMIESGRGGYCNIICTQPRRIAAISVAQRVADERCESSPGSDDSLVGYQVRLESARSDKTRLLFCTTGILLRKLAGDKTLNDVTHIIVDEVHERSLLGDFLLIILKSLIEKQSCDNTSQRLKVILMSATVDANLFSNYFAHCPVITAQGRTHPVTTHFLEEIYESTRYLLAPDSPAALRSDSSIKDKLGSVNDRRGKKNLVLAGWGDDYLLSEDCLNPFYVSGNYNLYSDQTQQNLKRLNEDAIDYELLEELICHIDDTCNEGAILVFLPGVSEIHMLLDRLAASYRFRGPSADWLLPLHSSIASTEQKRVFLRPPEDVRKVIIATNIAETSITIDDVVYVIDSGKHKENRYNPQKKLSSMVEDWISQANARQRTGRAGRVKPGICYSLYTRHRFEKLMRPYQALEPPSEGAIASAISLLHEMLLYGGIFGCLSPILSIAAFLSYKSPFIYPKDEKQNVDRVKLALFSDNMDKSSELNNNDKQSDHLLMMVAYDKWVKILNERGMKAAQRFCESKFLSSSVMRMIRDMRVQYGTLLADIGLINLPKTGEFAGRKKENLDVWFSDQTQPFNMYSQQPEVVKAILCAGLYPNIAANDKGITETAVNSLTKQGNQTKSYSAWYDGRREVYIHPSSINSNFKAFQYPFLVFLEKVETNKVYLRDTTIVSPFSILLFGGSINVHHQSGSVTIDGWLKIAAPAQTAVLFKELRLTLHSILKDLIRKPEISGIVHNEHLKALPYSVSMVLHLFISLKSVKLALDLARLVCVSEGLATFRSALSICYFGLMGVMVTASVLGDGNLLIWAGGQKLQESLFQGKTVVVGQSGATHFKTIQAAIDSVPSGNNKWIKIQLQNGIYFEKIVIPMEKEKIILQGNNPLEVIIQYNDAGQASSSGPMIVNAEYFIAINVTFKNSYNSIASVVPYKKIKVAPSIVLLADKAWFYGCSFISVQDTLADLVGRHYFKNCYIEGAIDFIWGGGQSLYEKCVIYVKAMMNVKGMMNDEMVKSRGMLPGFITAQGRQSEQDTSGFVFKNCEIKGDGMASLGRAYRGYSRVVFYATSMSNVIVPQGWDAWHYKGEEDKITFVEVKCTGEGANKQGRVGWEKNLSDTDIDFLINTRTFIAGDGWMTTLPSSLVSLYSPSSI >A04p039010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22090911:22091776:1 gene:A04p039010.1_BraROA transcript:A04p039010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFFIICPYLTPREENNLIEILEEGHKVDIAKYIDYITTPQAGAIATFSGTTRDTFEGKTVMELRYEGYVPMATRYLSSICASARSNWDIHKIAVAHRLGAVPVGETSVFVALSSVHRGDGLDACKFLIDELKASVPIWKKEVYANGEVWKENAEFLEKRLELAETRGSLVKKHVAGEHKRSCCGSKVRVQEDEDHTDIAGDNKE >A10p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14449805:14454125:1 gene:A10p021550.1_BraROA transcript:A10p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSRLLKASSLILRHAYLRTHPKAPSPPYRLHSDHLLQHHGFSSFTRRNSIQTSPAINAFLSDPSPIRLVQRNSMVNGRLLFSTSTPKPDQEANKSKQIKTVALESESAMADMKILRTLAGYLWMKDNPEFRFRVITALGFLVGAKVLNVQVPFLFKLAVDWLASATGTGDSMSAFVASNPSLVAAFATPSAVLIGYGIARTGSSAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLRYHLSRETGGLNRIIDRGSRAINFILSAMVFNVLPTILEISMVSGILAYKFGAPFAWITSLSVGAYIAFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEGYEAEKYDKFLKRYEDAALQTQRSLAFLNFGQSIIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKSDITNINDAKPLVLKGGSIQFENVHFSYVPERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMLFRFYDTDSGNVRIDGQDIKEVRLDSLRSSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARRAAIHDTISNFPDKYSTVVGERGLKLSGGEKQRVALARAFLKSPAILLCDEATSALDSTTEAEILNALKALASNRTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHDVLLGKSGRYAQLWTQQNSTVDMIDAAIKLE >A05g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19090356:19090718:-1 gene:A05g506790.1_BraROA transcript:A05g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDFAGLDGGFLSRPLSRFDLSSTFSCCESSTVFLRVDFSLLLVCSQWWHVEVGDPSLGPCEELQAPGGAMVFVIISGSLWSNGLCRFASTIMFQNRDGSPKT >A07p012730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7953161:7954659:-1 gene:A07p012730.1_BraROA transcript:A07p012730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQREESIKYVALGQSDDPFGNEGTIGDLLVGRFCNPQEPISPYPPGILRFPPYPGQFGSQDSNTSSLLDPDLDRVQTAKPNSRKRKLIPSASASASGGNGKDSPASSSLTASNSKVSGEIVGSKRSKQDEAGSSNKDDAKPPEPPKDYIHVRARRGQATDSHSLAERARREKISERMTLLQDLVPGCNRITGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRMEFNPSAALSTEMVQPGEALTQSLYSMACSEQRLPSAYYSLAKNMPRFSDTQFPSTDAFVQAETQGFWENDLQSIVQMGFGDVQQQSNNNSYSEPTLQMKLEP >A02p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14398946:14405126:1 gene:A02p028520.1_BraROA transcript:A02p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGPEKLSFNRSTGTFGVITNNPTISLGLFDPYKQQAIDFNNLVQNHQKTIELHNQYKALEERAVFCLKAKDKVIEEKTRKSLAKEAQLKKALAEAEFWKKSLEQKSAVCSDLAGRLLEIRRRETKSKVVAERKYAEEAESSTGENSDNVLDTARTRGCKRRHMCREKILVLALFSIYFISAVDANGSFPALLAFGDSILDTGNNNFLLTFMRANIWPYGRSYTMKVPTGRFGNGRVFSDIIAEGLGIKKTLPAARKFFIAPSDLKTGVCFASGGAGVDPVTSRLMRVLKPSDQISDYKKYIMKLKVVAPTQANSIIANAVYLVSQGNNDLGISFFMTRSAFMRGYVTSGLYTTRLTSWNKKFMKQMYDQGARKFAVMGVIPLGCLPMTRVFGRCNLFGNMLAERYNGKLRNGVKTWPSEAGFRGAKFVYVDMFGTLMDVMKNYRKYGFSNANNGCCCMPTAIIPCINPHNHVFYDFVHPSEKAYKTISKRLILVLTLFSIYCLSSAAGQNKSFSALFAFGDSILDTGNNNRLLTLLKGNFWPYGWNYDYKIPTGRFGNGRVFTDMVAQELGVKRVVPAYRRLRRIKPDDLKTGVCFASGGSGIDHLTSRTLDLYDLGARKFAVMGVIPVGCLPFHRFLFGGVFAWCNFMMNRISEDFNTKLQKALIGYEVEKSFKGAKFVYVDMYGSIMDLINHPKAYGFTEAKRSCCCMVTSIIPCRNPDEYVFYDFAHPTMKTYEVISKPLVYQMRKGLA >A02p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25322353:25336108:-1 gene:A02p040070.1_BraROA transcript:A02p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSEETELSAMAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQVFFGWLGDKLGRKKVYGITLIMMILCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGIGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFLRRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKASTMNAVHEVFKIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETESLEMANEGQSSILGALDSAKTQWYHVTTVIISGMGFFTDSYDLFVISLITKLLGRIYYQKPGSSSPGSLPDGISATVSGVAFAGTFLGQIFFGCLGDKLGRKRVYGLTLVIMTVCSICSGLSLGTDPKSVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIASVFAMQGVGILAAGGVSLLVSYIFELHFPSPAYMVDPATSTVPQADYVWRIILMLGAIPALLTYYWRVKMPETARYTSLIAKKSEQAASDMAKVLNVDIEASSAKHDQARFSSDEFGLFSAKFLRRHGLHLLATTTTWFLLDIAFYSQNLFQKDIFTTIGWLPPPKTMNAIQELFMISKAQFLIALCGTVPGYIATIATIDWMGRFKIQVFGFFMMMVCLIGLGLPYHHWTLPHNRIGFIVLYSLTFFFCNFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAMVGSFGFAALVKAVGMRNTLLVMAGISLVGMLLTVFLVPEPKGKSLEDLSGEAEPEKIKDTIVV >A01g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11111321:11112033:1 gene:A01g503590.1_BraROA transcript:A01g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEYSEKTQNLVSSEFPRNIPTEFRGKINPSEYSYGIPRKNSVLKKKGRLVGLGRRSRSAAPFSAPPPFVDPEVLTTQLKEKDDHISLLEIQMAAQQAGYEAQKRLNEQMMEMMKRRMYPNEVFPNVQDP >A10p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21263661:21267815:1 gene:A10p037790.1_BraROA transcript:A10p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSTALLLLLSSITAGFLLLLRRTRYRRMGLPPGSLGLPLIGETLQLIAAYKTENPEPFVDARVSRYGSVFMTHIFGEPTVFSADPETNRFVLQNEGKLFECSYPASICNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKDHLMLDIDRLVRFNLDSWSSRVLLMEEAKKITFELTVKQLMSFDPGEWSESLRKEYLLVIEGFFSIPLPLFSTTYRKAIKARTKVAEALTVVVMKRREEEEEGEERKKDMLAALLAAEERFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPSALAQLKEEHENIRAMKSDSYSLEWSDYKSMPFTQCVVSETLRVANIIGGVFRRATTDVEIKGYKIPKGWKVFASFRAVHLDPNHFKDARTFNPWRWQGNSVTTCPSNVFTPFGGGPRLCPGYELARVALSVFLHRLVTGFSWVPAEKDKLVFFPTTRTQKRYPIIVTRRDDGGLSAT >A06p006900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2379551:2381176:1 gene:A06p006900.1_BraROA transcript:A06p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSLEEISSQNQVKASVQRRIRQSIQEEYPGLETVLEDLLPKKSPLIVVKCPNHLTLVVVNNVPLFFCIRDGPYMPTLRLLHQYPSIMKRFQVDRGAIKFVFSGANIMCPGLTSPGGVLDEEVEAERPVAIYAEGKQHALAIGFTKMSAKDIKSINKGIGVDNMHYLNDGLWKMERLD >SC179g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:577036:580349:1 gene:SC179g500280.1_BraROA transcript:SC179g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPACSQVKSIAIFYHLPTPPSSDPGRDSHSRAAPSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A03p065200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28456666:28459756:-1 gene:A03p065200.1_BraROA transcript:A03p065200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQTLFTPSFISSISNELRIPHPRPVLSYPRTQTNRILCAAKRTGKRRYPSERKKLRTEQKEAAAKVKNKLEGVWRLSKLGVPVGDDPGKDSLGISEGLLQAIAKVIEFPVASMLPEEAFTVVRKSFDARKILKEAKFVYTVDLDVKTLLELEPRAHDFIFRLEPKLGLVEHLSPEKTVSGDLISVVNECRRVNSDAAAGEHEPVVINGNGGGGRSKPRVAVVGGGPSGLFAALVLAEFGADVTLIERGQAVEERGRDIGALVVRKILDMESNFCFGEGGAGTWSDGKLVTRIGKNSATVLAVLKTLVRFGAPDNILVNGKPHLGTDKLIPLLRNFRHYLQSAGVNIKFGTRVDDLLVEDSRVVGVRVSDSADKLQSSSQDLKFDAVVLAVGHSARDTYEMLLSRNVELTPKDFAVGLRVEHPQELINSIQYSDLASEVRKGRGKVPVADYKVVQYVNDKDEDVSQSSSKRSCYSFCMCPGGQVVLTSTNPNELCINGMSFSRRSSKWANAALVVTVSAKDFDLLNLTGPLAGIEFQREFERRAAIMGGGDFTVPVQRVTDFLQSKLSETPLPSSSYRLGVKSAHLHELFPAHITDSLRQSLSMFEKELPGFISEEALLHGVETRTSSPVRIPRSNETYESTSLKGLYPVGEGAGYAGGIVSAAVDGMFSGFAVAKHFNLFDGAIESIIGKAQGAGLVKY >A06p003130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4826826:4827968:-1 gene:A06p003130.1_BraROA transcript:A06p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase UCN [Source:Projected from Arabidopsis thaliana (AT1G51170) UniProtKB/Swiss-Prot;Acc:Q9SYB9] METSATKLHLDQIRALKLLGKGATGTVFLVHDSVSDSSASSPFALKLVDKASASSLRRAKWETQILRRLSDEPNPFLPRLLATSESSEFFAWAMPYCSGGDLNVLRHRQNDGVFSSSVIKFYLAEILCALDHLHSMGIAYRDLKPENILLQESGHVTLTDFDLSCSLNKPTRPEFYLSDPEPDPKLKTSLRFFRQKKKTKSARVNPITRRRMSFSGGERSNSFVGTDEYISPEVIRGDGHDFAVDWWALGVLTYEMMYGATPFKGRSKKETFRNVLMKEPEFPGKPSDLTDLIRRLLAKDPTRRLGFRRGAAEIKEHAFFRGVKWEILTEVLRPPFIPLRDDGESTENVTACGFGVKEYFEKLRTPLPHECPENNPFVDF >A04p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:739930:741229:-1 gene:A04p001550.1_BraROA transcript:A04p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSTRSQTTDLTKELMASIMKFLAIKDLKPWKTTWAVHVKVLHTWKQQTGDTMEIILADENGRKITATCKMTYIEKRLLPVEYAYKMVFSQTTAINRSNTLMTICIFVLLTYRQCARTCFGLSIQFTGGKERKKLEFTLRDINDVRLPCCLWCNLAELLYSSFKEEDGMVDNFKSLTHLTLLKYSGDTSLTMIQGNEVMPMENNNQLEFSVISD >A06p006360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2112653:2115220:-1 gene:A06p006360.1_BraROA transcript:A06p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT1-like protein MAG2L [Source:Projected from Arabidopsis thaliana (AT1G08400) UniProtKB/Swiss-Prot;Acc:Q8GXP1] MEHPPPLSLVLPHPDKLSGEALSFLDGNFEDLRDLLLRASNLTSHLKHDTSHLNDRLLHLRTDLTKHAVSWISTSLSAKNSLDDLRLNLESLSLVTSLPRSKDAVRKQREHELQQLVEDLCRIQNRRKYLLTVLKLESLVGDLEDSVFHPMRGRSMLQVRVFNHAIKTMNEIEHVLGDVTRHHSQWRRLVDTVDSRVDKSLSILRPQIIAEHRTLLSSFGWPPKLALSKDEGGEAASGIPNPLVVMQGDQKESYSQSFLLLCGLQQLNTLKEKRKKLNKESIGAGLWAIDELVIPVASRMEYHFVKWDQEPEFIFALVYKVTSDFADGVDDLLQPLIDRAMLVSCSAKEAWVSAMVQMLSCFLEKKVFPGLVEMVKEKKSEGVSSWFHLVDQMVTFDKRMQTFVSSDTCLSYEGSSLGMSVMGLFCKRPEWLKTWGKIELKDAYRKLKEDIKKEKAWEGTRLGNESNSESAKYVLSTREDYKAPFVAETFLSRTWTLIDHGLTLPTVLPRIQFVRATATKFLWYVFKTLLLEFKKSDLSDYSSFEDSLIQACGPINTARYLESKLREWSDDLVFVEMWEAETNVKVEVSCHGCFFGEELKSLVELETNWLMEIITVCLHQFDNLCGDHFHNNVEPWEEEDVSQGVAEALDSLRRELAVLQLNMNRKDFLDLWRNLAEGLDHYVSCKFFAGEAVLLRRRFEVDAEALMMVFQPYCVRPAAFFPRVREILRLLSMNEEEKARLRGALSRNGSSCLGLFGISNLSPQLVEQFCRCY >A08p046070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:25146011:25147300:1 gene:A08p046070.1_BraROA transcript:A08p046070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g03510 [Source:Projected from Arabidopsis thaliana (AT1G03510) UniProtKB/Swiss-Prot;Acc:Q9LR72] MSSSYASNYTKLITLTKQLSSYANQGSHEQALNLFRQMQSSFALPLDAHVFSLALKSCAAAFRPLLGASIHAHALKSNFLSNPFVGSALLDMYGKCVSVSHARKLFDESPQRNAVVWNAMISHYTHCGNIKEAVELYEAMDVMPNESSFNAIIKGLVSTEDGSYKAIGFYRKMIEFRFKPTLITLLALVSACSVIGAFRLIKEIHSYAFRNLIEPHPQLKSGLVEAYGRCGSIDYVQLVFESMVDRDVVAWSSLVSAYALHGDADSALRAFREMESAKVRPDDIAFLNVLKACSHAGLADEAIGYFKRMQDGYGLRASKDHYSCLVDVLSRVGRFEEAYKVIQAMPEKPTAKTWGALLGACRNYGEVELAEIAAKELWKIEPENPANYVLLGKIYMSVGRQEEAERLRMEMRDRGVKVSPGSSWCLFKD >A09p011470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5792392:5792737:-1 gene:A09p011470.1_BraROA transcript:A09p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAEDEDSSAMEVDSENGNPKKRSEIGERQQWCLSTLGYLTAFTRQYAKEIWPHMEKLESEVFSGDDVHPLFLQTIFSALQFPLQ >A05p023030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11134269:11139113:1 gene:A05p023030.1_BraROA transcript:A05p023030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVEAKGGNGGEEWDDGFDYEGVTKIHVRGGREGIQFIMFEYIKAGKATVGPIHGVSDRRGLTQTLEIKHMENEHLVSVEGYYNESTGVIQSLQFETNMKTSDLIGYDEGTKFSLRARGKKIIGFHGFAEKNLNSLGAYFIRMPPTKSAMQGGQTTGVGYDDGGDYDGVKKVVVTMDGTAIRHIKFHYDKAGNEEIRERGVTTGTQHEFTINHPYEYITSVEGSYAVTQPYNCIVLTSLTFKTSKGRTSSTIGPVTGTKFVLESKGNAIVGFHGRVGSCVDSIGAYYSQVFPSQETGTCLITNANDYAYCKPKGGKGGVQWDDGSDYEGVTKIHVRGGLEGIQFIKFEYKKAGQTIVGPVHGVSGRGMTQTFDIIHLENEYLRSVEGYYDESTGVIQSLRFITNQKTSDLMGFNEGTKFSLAANGKKIIGFHGFAEKNLNSLGAYFIRIPSTKSAMEGGQTTGRSYDDGGDCDGLRKVYVTFDGTAIRHIKFDYDIAGQVEMRQRGAKEGTEYEFEVDHPREYITSVEGSYAVTQPYGCIVLRSLIFKTSKGRTSSNIGTVTGTKFVHESKGNVIVGFHGRVGSCIDSIGVYYSPFSPPSPPSEKLQGHGGDGGDFWDDGVFKNVKKIYVGQGDVGIASLKFEYENETSEMIVGKEHGKKTLLGYEEFELDYPSEYITSIEGCHDNVVGAESGVITMLRFKTNKRTSPPFGLESASSFAIQKEGYKIVGFHGKSSALINQIGVHVVPITE >A07p015270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9518376:9519975:-1 gene:A07p015270.1_BraROA transcript:A07p015270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALDNQQEDQRSGSNETESRSNEETKGQTLDMRPSGSGTRSVEKTVVRYDRDTRFRDANREATPDRDPIAHAIYWEKKVQELRVRNAEMKQRRANETIQKQRLRNAEMQQRRSRETESIFFQQQKNREYECSGSRMNEAGPIRDQHIRENPRDFGMEQPRSHETEWRFQKQQNRAEAPDLGYKRRRFEQEQYPTRPRIKKGVCHFYLKKGWCGFGSDCVFKHPTPSWRFDGRRYETEVPEKMARTVYGRGRGSTWRFDEERESGYGEAQENNIWWQRREAPENVWEQRDSTAGWLSYDERRRMNLREQEQRDREREVRNEGAHNSESSPQLGTERVESDGI >A05p033800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19030243:19031723:1 gene:A05p033800.1_BraROA transcript:A05p033800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASFIHLEKELKYEDLLNMVSEDFLEDITLSYGIYLELKSTVEDVSPISIENTRQLRSFIGKSSVFEGVCRLCIK >A07p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26020500:26021225:-1 gene:A07p048820.1_BraROA transcript:A07p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETKNVHEHEAPKVATEESSTATGEVTDRGLFDFLGKKKDETKPEETIDSEFEQKVHISEPVPEVKHEEEKEEKKHSLLEKLHRSDSSSSSSSEEEGEDGVKRKKKKDKKKTTTEGEVKTEEEKKGFMDKLKEKLPGHGKKPEEASPAPVVAPPVEEAHPTEKKGILEKIKEKLPGYHPKTVEEEKKDKDDH >A09p059840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49852661:49854678:-1 gene:A09p059840.1_BraROA transcript:A09p059840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase family member SUVH9 [Source:Projected from Arabidopsis thaliana (AT4G13460) UniProtKB/Swiss-Prot;Acc:Q9T0G7] MTSHIPMVIPKPEPVTDSSPQTPIPPSSFFNFNTTTTQSPLEPNLYTEFTNVAETFRSAFAQRLKRHEDVTVLDSLTGAIVPVPEEQDPDPDPDPVSYPSQSLKPRKPQERSSELVRITDVGPEGERQFREVVRKTRMIYDSLRIFLILEETREELGRRRGRPDSKAASMMKERFLWLNRDKRIVGSIPGVQVGDIFFFRLELCVMGLHGQTQAGIDYLIGSRSSNGEPIATSVIVSGGYEDDDDQGDVIIYTGHGGQDKLGRQAEHQKLEGGNLAMERSMYYGIEVRVVRGFKYENAVSSKVYVYDGLFRIVDSWFDVGKSGFGVFKFRLERIGGQVEMGTSVLKFAKTLKTNPLSVRPSGYISFDISNRKENSPVYLFNDVDDDQEPLYYEYLATTSFPRGILSGSGDGGDNASGCDCVSGCSSGCICERKNGGEFAYDYNGNLIRMRPLVHECGPGCKCPPTCRNRVTQKGLRSRLEVFRSVETGWGVRCLDILHAGAFICEYVGVALTREQANILTMNGDTLVYPARFCSDRWKDWGDLSQVFPDYERPSYPQIPPVDYAMDVSKLRNVACYISHSNDSNVIVQLVLHDHNNLMFPRVMLFAAENIPPMTELSLDYGVSDDEWSPKLAICN >A02p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2983919:2988279:1 gene:A02p007040.1_BraROA transcript:A02p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant adhesion molecule 1 (PAM1) [Source:Projected from Arabidopsis thaliana (AT5G15930) UniProtKB/TrEMBL;Acc:O81347] MEKKKTDDSEPVPVIAPVDRFGFLKQEQGNSPHRFIKTRSSTNYEKEERRVTKWRKMIGAGGSDWKHYLRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVVYETSASELDIIRDISRTFPSHVFFQKRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFVAGLLLLYMSEEDAFWLLVALLKGAVHSPMEGLYQAGLPLVQKYLLQFDQLVRELMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHSALRIWDVFLAEGINIVFKVGLALLKHCHDDLVKLPFEELMHALRNFPEDAMDPDTLLPLAYSIKVAKRLEEMKQDGEKAVAKPAQTVNPKMIKDVMEALRFAWSPSSWDMFLWSIGLFSSYLKLLRASIFGSKSIPISSSIVQKIGSSRPICVVTGVTSGIGKATAFALAEKGYHVVLVGRSPQLLSETLKEIKSKNKDAQLKSFVVDMSCFSSIFNFKDSLEKWLSDAELHPSIQLLVNNAGIMAISSRPTNEGYDRVMATNYIGPFTMTKLLLPLLENSSVPSRVVNVVSFTHRYASIGKVDKDYVTGKHFTTYNQYPHAHVYEYSKLCLLLFSYELHRQLRLKDDSHHVSVIAADPGFVKTNLMREYPKYVSAFAFLSFKLVGLLQTPDEGARSSVDAALAPSETSGVYYFGGKGRTIKSSKASRDPKLGKQLWETSCGLFDQLQSYND >A06p039510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21299190:21302609:-1 gene:A06p039510.1_BraROA transcript:A06p039510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGGDPESRIPLLKSHETAEEDDGGLKRRVWVETKKLWQIVGPAIFTRITTYSMLVITQAFAGHLGDLELAAISIVNNVVVGFNFGLLLGMASALETLCGQAFGAKKYHMLGVYMQRSWIVLFFCCILLLPTYLFTTPVLKFLGQPDDIAELSGVVSVWVIPLHFAFALSFPLQRFLQCQLKNQVTAIASAIALVVHFLVCWLFVDGLKLGVVGTMATVSISWWVNVLITLGYSVCGGCPLTWTGFSSEAFTGLWEFLKLSASSGVMLCLENWYYRILIIMTGNLDNARIAVDSLSICMSINGWEMMIPIAFFAGTGVRVANELGAGNGRGARFATIVSVTQSLIIGLFFWVIIMLFHNQIAWIFSSSEEVLMAVNKLSILLAFTVLLNSVQPVLSGVAVGSGWQSYVAYINLGCYYCIGVPFGFVMGWVFNFGVMGIWAGMIFGGTAVQTMILAFITMRCDWEKEAQKANTRVNKWSNIIK >A05p053450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30871250:30873985:1 gene:A05p053450.1_BraROA transcript:A05p053450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSPLGFLISLFFIVTFLAPQVKSRAFYVFGDSLVDNGNNDYLVTTARADNYPYGIDYPTRRPTGRFSNGLNIPDIISEAIGMPSTLPYLSPQLTGENLLVGANFASAGIGILNDTGIQFKLYELGARRVLVTGTGAMGCAPAELAQHSRNGECYGALQTAAALFNPQLVNLIASVNAEIGQDVFVAANAYQMNMDYLTNPEQFGFVTSKVACCGQGPYNGIGLCTPISNLCPNRDLYAFWDAFHPTEKANRIIVNQILTGSSKYMHPMNLSTVMLLDSSRI >A06p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16223375:16225486:1 gene:A06p027790.1_BraROA transcript:A06p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLYLCLLCFSFTPSLQHVSESEPLMRFKTSVNITKGDLNSWRTGTDPCNGKWFGIYCQRGQTVSGIHITKLGLSGIIHVEDLKDLPNLRTIRLDNNLLSGPLPPFFKLHGLRSLLLSNNSFSGEIAADFFKDMLQLKRVFLDHNDFTGNIPTSLMQLTGLEELHLQGNQFSGEIPLLTDGNKNLKLLDLSNNNLEGEIPKSIAERNIVKMNFQGNQKLCGQPLNIICDDKPPALGKNPNEVTGKAVFMVILFLLIFLILVAIITRWKKKRQPEFRMLSKDHLSDTESVEVRMPDSVKKPVEASKKRSNADGSSKKGSTHHGKSGGGGGMGDIIMVNSEKGSFGLPDLMKAAAEVLGNGSLGSAYKAVMANGLSVVVKRIRDMNKLSRDAFDVELQRFGKLRHPNVLTPLAYHYRREEKLVVSEYMPKSSLLYVLHGDRGTFHSELTWSTRLKIIQGVALGMQFLHEEFASYELPHGNLKSSNVLLSETYEPLVSDYAFLPLLQPDNASQALFAFKSPEFAQNQQVSPKSDVYCLGVILLEIMTGKFPSQYLNNGKGGIDIIEWVQSSVAQHKEEELIDPEISSNTDSLQQMVELLRIGAVCIASNPDDRENMKEIVTRIERITI >A02p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9972985:9974180:1 gene:A02p021070.1_BraROA transcript:A02p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEPDNQTKCKPPSCKARGSDLRVHFKNTRETAHAIRKLPLLKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEESVKKEPETQLAAKSKKSAA >A09g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17557114:17557685:1 gene:A09g505570.1_BraROA transcript:A09g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFEWDPGVDGSRMDLCHYDRIGGRWNHKEELESRVLEGKDGFDGVQTKRGLQWIEIFTRSEEEMWISEYQLRDMRGLWGTKNGSRKERADGVFGLIKPKTTISSISWNWYGS >A07p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6498063:6498980:-1 gene:A07p010360.1_BraROA transcript:A07p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIVGVSFGATSSRISITPTLSSSSLFPPLTLHQSGNKKESQLRCAVQESSTSAVATEKKEKEDSTVEVPAKKPKPAAAKASVAKPLREMMEEDVIPPLKAILEAQDDISEIDLSFQDDKLEGFFFKKGIQYSFWAFFPSGNLTGAKGFSISSYGSGPSTVEPFLVDERKPTANHVVFWVEKRLAAQGIIPVWNQ >A03p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:479066:480545:-1 gene:A03p000820.1_BraROA transcript:A03p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPTYPDSSNWQQHQPITSTVGFTGDNNISQQFLPHHPLPSQPQQTPPPLHHNGGGGGGPGGPGGSIRPGSMAERARIANIPMPETALKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRSVPVGGGCRRNKRTKNSSGGGGGGSSSSGNSKSQDSNTSSDQYHHRAMANNQMGPPPSSTSLSSLLSSYNAGLIPGHDHNNHVLGIGSSLPPLKLMPPLDFTDNFTLQYGTVSAPSNHVGGGSGGGGAAALLTGFDQWRFPAPHHQLPLLGGLDSSSSSSGLYQFDHQNQTGMDPGYGLVTGSGQYRPKNIFHNLVSSSVSSAMVTATASQSASVKMEDSNNQLNMSRQLFGNEQQLWNIHGTAASTAAATTSSWSDVSNNFSSSSTSNI >A09p066740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52846458:52848107:1 gene:A09p066740.1_BraROA transcript:A09p066740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSMNLSVSSNFTQRSLSEPRLRNKPLHLKPPRVSPGPESDLSGAKTLTENLISLLRSVPDWADEIKERGMQQKRTLYTHEKWVEHRSSLRHVHHLFSSFSSRVILSLIPPVFFFTSVAIFIASYNSAVALDWLPSVFPILRSSSLPYQLTAPALALLLVFRTEASYSRYEEGRKAWVGIIAGTDDLARQVICSVDGSGDELVIKDLLLRYVAAFPVALKCHVTYGSDVARDLRNLIEADDLSLIIESKHRPRCVIEFISQSLQLLKLDDTKRDLLESKMLHLHEGIGVCEQLMGIPIPLAYTRLTSRFLVFWHLTLPIILWDECHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCALVHSNIQEAVKSESIIRNRIVAKIRFHEVNHSSNGRHRS >A07p005310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2243554:2244345:1 gene:A07p005310.1_BraROA transcript:A07p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFHVRSNSFPSRLHPQAAHVDEQLIRLRSSEEASTSSSSSICQRLNNLQELHQSLEKLIHLPATEHALAQEQNKKATEQLLDGSLRILDLCNISRDALSQMKEGLMEIQSILRRKRGDLSGEIKKYLASRRSLKKSFQKVVKSLKVKQDLKCNDDSLAVFEETEAISVNIFYSLFCFMSGSKTCSKWSLVSKLINQKKVSYEAQGNEFTKVDSEFQSEKTLKMEDGQNLESCIQDLEDELESLSKSLIKYRVSILNILGQ >A07p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7687532:7693014:-1 gene:A07p013080.1_BraROA transcript:A07p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol synthase [Source:Projected from Arabidopsis thaliana (AT2G07050) UniProtKB/Swiss-Prot;Acc:P38605] MWKLKIGEGDSPWLRTTNKHVGRQTWEFDPLLGTPEELAAIEEARNSFTQNRFSNKHSSDLLMRLQFSGENLINSVLPQVKLEESDDVTDKIVENTLKRGLDFFSTLQAHDGHWPGDYAGPMFLLPGLNEDGGWGLHIEGHSTMFMSVLNYVTLRLLGQGPDAGDGAMEKGRDWILNHGGATHITSWGKLWLSVLGAFEWSGNNPLPPEIWLLPYFLPIHPGRMWCHCRMVYLPMSYLYGKRFVGPITSTVLALRKELFSVPYEDIDWDQARTLCAKEDLYYPHPLVQDILWASLHKVVEPVLSRWPGTILREKALRTTLEHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIHDYLWLAEDGMKMQGYNGSQLWDAGFAVQAILATNFVEEYGPVLKKAHSFVKNSQVLEDCPGDLSYWYRHISKGAWPFSTADHGWPISDCTAEGLKATLLLSKVPKEIVGEPLDTNQLYDAVNVLISLQNADGGVATYELTRSYPWLELINPSETFGDIVIDYPYVECTSAAIQALVAFRKLYPGHRKKDVDECIEKAVKFIESSQATDGSWYGSWAVCFTYGAWFGVKGLEAVGKTLKNSPAVAKACEFLLSKQLPSGGWGESYLSCQDKVYTGIKGDKSHVVNTSWALLALIGAGQAEVDQEPLHRAARYLINAQLEEGDFPQQEIMGVFNRNCMITYAAYRNIFPIWALGEYRSKPCVRTRLDVSFSSIQPPYIRSSVREKSVSQHNSSPFLLLHVTLKLEYRITPPRQLLPRAVDIPRSGFQFDFGLERTVMVKAGKNNPGWSKFGSENPPPAKFPAPTPSMVKLLSLPLKVLFYGNFL >A02p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15067976:15070779:1 gene:A02p029680.1_BraROA transcript:A02p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKGFALFSIVVLSIFASSRSEETETKEFVLTLDHSNFTETINKHDFIVVEFYAPWCGHCKSLAPEYEKAASELITHNPPLVLAKIDASEESNKGIANEYKIQGFPTIKILRNGGKSIQDYNGPREAPGIVPYVKKQSGPASSEIKTAADAAEVVGEKNVVAVGVFPKLSGEEFDSFIALAEKLRGDYDFAHTLDAKLLPRGDSSVAGPVVRLFKPFDELFVDSKDFNGEALEKFLKESSIPLVTVFDSDPSNRPYVASFFDSSATKVMMFVNFTGESAESLKSKFRKVATSYKGQDLSFLVGDAEGGKGALEYFGVEESQVPLVIIQTPDSKKYLKANVVVEEIESWMKDFKDGKVDVFKKSQPIPAENNEPVKVVVAETLDDIVLKSGKNVLIEFYAPWCGHCQKIAPILDEVALAFKNDPSVIIAKLDATANDIPSEPFDVKGFPTIYFRSVSGTVVAYEGNRTKEDFISFIEKNKPTTSHVEDTTSSTKTEEPKKIDDASDTKDEL >A07p024990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14405931:14407232:-1 gene:A07p024990.1_BraROA transcript:A07p024990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLSPRLPKKVYGGDGGSYFAWCPEELPMLRDGNIGAAKLALEKYGLALPRYSDSSKVAYVLQGSGTAGIVLPEKEEKVIEIKKGDSIAIPFGVVTWWFNNEDTELVILFLGETHKGHKAGQFTEFYLTGSNGIFTGFSTEFVGRAWDLDETTVKKLVGSQTGNGIVKVDGSLKMPSPRKGDREGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLVGEVGFGADLVRIDGHSMCSPGFSCDSALQVTYIVGGSGRVQVVGADGKRVLETHVKAGALFIVPRFFVVSKIADSDGLSWFSIVTTPDPIFTHLAGKTSVWKALSPEVLQAAFKVAPEVEKAFRSKRTSDAIFFPPPN >A03p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16616179:16618707:-1 gene:A03p039930.1_BraROA transcript:A03p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASPAFSLLKSTGGAIASSAATRARASLLPITPSSKSISPRPLGFSAVLDSHRFSLHVASKVHSVRGRGSRGVVSMAKKSVGDLTSADLKGKKVFVRADLNVPLDDNQTITDDTRIRAAIPTIKYLIENGAKVILSTHLGRPKGVTPKFSLAPLVPRLSELLGIEVKKADDCIGPEVESLVASLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPTDVVVADKFAPDANSKVVPASGIEDGWMGLDIGPDSIKTFNEALDTTQTVIWNGPMGVFEMEKFAAGTEAVANKLAELSEKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKVLPGVIALDEAITVTV >A03p050740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21824850:21829134:-1 gene:A03p050740.1_BraROA transcript:A03p050740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQQGLPLLYQQFTALFKKNLLLSWRNKRSTCLQLFSSFFFILVIFCIEEAMKASEASSSAYKNVTDPMLLFSPPILPCEDKFFVKLPCYDFVWSGNNSRRVTDIVSAIMANNPGRPIPTNKVQSFKGPEEVDAWFMSHPLQVPGALHFAERNATVVSYGVQTNSSSEEKRGRIEDPTFKFLIPLQIAAEREIARSLIGDPKFGWSFGFKEFTRPAIIGEAISALKLGSLVTEKELKLRQAMTMMGVFDTAYWLSWLIWEGLLTFVSSLFLVLFGMIFQFDFFLKNSFFVVFLLFLLFQFNMISLAFVLSSFISKSSSATTVGFLVFLISFILQIVSATGFPYSSAYSNSRRAIWSLFPPNTFSAGLKLLLDATSTPESSGISWSERAVCEGGMSTCVISVDIIYQWQVGSFLFWFVLAMYFDNIIPNASGVRKPIFYFLTPGYWTGKGGNKMEEGSIFSCIGSVPPVEHVASEDQDVLEEETLVKQQAMDGIVDPNIAVQIHGLAKTYPGTTKLGCCKCTKTSPFHAVKGLWMNIAKDQLFCMLGPNGAGKTTSISCLTGINPITGGDALIYGDSVRSSVGMSNIRKMIGVCPQFDILWDALSSEEHLHLFASIKGLPPPSINSTAEKLLADVKLTGAAKVRAGSYSGGMKRRLSVAVALIGDPKLTTGMDPITRRHVWDIIQESKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFVATVSFTESKKDNSNASGDSHEPVKRFFNEHLKVEPADENKAFMTFVIPHDKEKLLTGFFEELENRESEFGISDIQLGLATLEEVFLNIARRAELENATTEGIMVTLDLVSGISLEIPVGARFVGIPDTESAENPSGVMVEVYWQQDGSGSMCITGHSSEMRVPQNVLVTRPPSPNALGHKGLRQAVRGIVIDL >A01g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11604079:11604700:1 gene:A01g503860.1_BraROA transcript:A01g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DNFHNILSYLIRRFFGTKPIFKESQLNKESLRLGFTRKSSRRRNGFQPNHEAAFFRINHGLRDGFIRFPGRPKSEELVKEIPRCNQFLTIGQTDIVYGKSPTNSIWFDPELSMEAMRLISTIPKTSQTSLAAPASRGSDGSSFTPTFHIWQHVHLMPLRSLFKLSLKTNSHIIHQGTSRSYQGDS >A03p060920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26427421:26429200:-1 gene:A03p060920.1_BraROA transcript:A03p060920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQKNYQGPPRHHTSLKKPLWLVLSVSVFSMLLICTRMSPRHSNNSSKALSSWLPVHVRKHTDEEVAARAVVRDILKTPPFVTENSKIAFLFLTPGTLPFEKLWDEFFTGHEGKFSIYIHPSKERPVHISSHFSDREIHSDEVTWGRISMVDAEKRLLVSALDDPDNQHFVLLSESCIPLHTFDYTYRYLLYSNVSFIESFVDPGPHGTGRHMEHMLPEIAREDFRKGAQWFTMKRQHAIIVMADGLYYSRFREYCGPGIEADKNCIADEHYLPTFFSMIDPMGISNWSVTYVDWSERQWHPKTHTANEISLEFMKNVTTEEMSTHVTSVGEHGDELHWPCTWNGIRRPCYLFARKFHPDTLDTLVNLFPNYTSTVI >A02g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7358326:7361869:1 gene:A02g502280.1_BraROA transcript:A02g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNARHRKILEGLLKHPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSKGEKARSPPRVEQARRSMERTVPGYEHGHTSSPPVNLFEEKKAVQAPRTRYSVSATRISLPVPPQGPAQVIKPQQKVESVETTKAAVNVAPAPEPPKVDFATDLFDMLSMDEPTANTPEAAPADDNWAGFQYTPTLVAQQTPQKDVKGDIMSLFEKTNIVSPFAMHQQQVAMLAQQQALYMAAAKAAGGTPNGANQQAVANALLASANWSNTGYQIPGMTNPGGGQADHLQKLMQNMNMNANMNVRPAQPQASTPQYPVSSFYNTGQVNPAANGMTPNTTVKPQSSSSSATSTTPSSQTGKDFDFSSLMDGMFTKH >A03g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26772393:26772733:-1 gene:A03g507560.1_BraROA transcript:A03g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLTCLGCRNKKESKSYKEITETLGVDDLSEIIFVTDVYQEATAAKTAGLEAIILILPGNVSFPENHELKTVSSFFQI >A03p074110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32212241:32215202:-1 gene:A03p074110.1_BraROA transcript:A03p074110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKICTVSWALKEDKHYILDGGLMGRILVIDCEELTEHMGLMKLIAGLMKYEAREGEQYGKPIKLKRATFCSIDCVRCLDKQVFSYIGAAGLIQWKVYRSCNASQIIYRGLGNRKGDIYVFDVNSDNSVQLVDISTDHDSDNPIRHIAINATGSVVISVNEEGDATIWNDTLELSTSIIDDSDSINNTPGMAAAQVTTTAINASTAKKCGRPKKDSSVAHIAADAEYKQKMAFV >A06p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19676369:19682948:1 gene:A06p036240.1_BraROA transcript:A06p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFMDAARVKPALSTNPPSEVDRLSSLPDSLIFQMFLNLPTKDVVQTSVLSTRWKTLWKDVHGLDLDTEDFNTHETFVSFVDNFLERNRGLSIHLFKVTCDSSYKPDLINRWVDTAVRLKVEHLDVSDNLSAEDIMMNPTVYTCSSLVSLRIVGMILPSPERVSLPSLKDIVLIVVEYTNPWALEKLISQCPVLEIVSIDRIYDDGMPILRVRSQSLLSFMHYWDTNDDYEKDRIVEIDAPMLKCLRISDGGTASFIIKNQPSLVEADIVTVFSWTTERLLQVANEIQKRDMVRVFSLGSLRSYMITQDMYNSRFNSYMWEMLPVFLEVCPNLKTLAVGTSENPKMVDLTVIARTWNLLSSLEHVDIERPLKGEALEMAIVGYLLENSPNLKKLSLSLHDSLKKGKSVHKLTLSLDDAPKKKESDIFIELLNFSRLSSSCQIIIFLNLPTKDVVQTSALSTRWTTLWKDVSGLDLDAEDFYIRETFVSFVDNFLERNHGLSIHRFKLKYDSFYFEEPTGLINGWVDTAARLKVEHLDVSDSFSEWDPMMNPTVLYTCSNLVSLRLMGMGLPNPERVSLPSLKTLVLILIEFTNKWALENFISKCPVLENFCFERSYDDGIPILRVHSKSLLTFMHDAGYHEDYEEEDRFVEIDAPMLKCLKISDDRTSSFIIKSPPSVVEADIDTVFNLTSERSLGIANEVQKREMVRDFLVGISKVKDMSISSSALEVIYDYSRYVQLPVFRNLYTLRVRFDSYMWEMLPVFLEVCLNLKTLVVGTSENPKMVDLTVIARPWNMLSSLEYVDIERPLKGEALEMTLVGYLLENSPNLKKLSLSLDDSLKKGESVHKLTLSLDDAPKKEESDIFIELLNFPRLSSSCQIIVR >A09p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6889881:6897077:1 gene:A09p013440.1_BraROA transcript:A09p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTAPEFDYRFGFSTIGEEHSFADVDNLEHCVKYLNQSLVTYGFSASLDLFATDPVSIARTCNCIYALIQQRQRDVEFRESTNDQRLRLLSDMARLEAKVERLENQLQSKERELGSVTRTEAKNTAALKAQNEKLQKERDEFQRMVIANQQVKTQQLHETKKKEKEYIKLQERLNQVLMEKKKETRSGMEIMNLLQKEGRQRGTWTGKKTDSDFYKKIVDAYEAKNQELMAENTDLRVLLRSTQGDMRSFLNANGRTSLDPSQSPLGGKTDVFDLPFRMARGQIEDSLRSKMVSIKERMGQLVDAQKEVTITSEASERELELEAQLVEARSIIQEQESIMSKHLPKTDRRRNSAPPPQSLSGLRG >A01g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22452847:22453174:1 gene:A01g507940.1_BraROA transcript:A01g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDESFERSAKDKGVTKKIVKESSGCSVKDIGEHDVHRILGSNGARTEHRKAELHGEDEMYYAAAVALGPSRDERSVAE >A09p011500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5801901:5802569:1 gene:A09p011500.1_BraROA transcript:A09p011500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKGKITVIVITAPNLSKWYITIFILRNFQVSDQIYLRKYNLRDWLCVIALNNDKDRFLPVPRNKCLAFFLCLLKRIDVSLRFRPAGIDIVTGWGRHFRVTATSIVRQTVEELLYILGSAFFMEIENSDCLVVCGESLIALV >A07p031850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17540945:17544778:-1 gene:A07p031850.1_BraROA transcript:A07p031850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIENLKENLNKIALDVHEDYYDEDEEALHSYGSANNVGGRRSSRSASRYQISDGIESPAHHEVSIKHPNITGMIERYKAEIKKLQGSESDIKALSVNYAALLRDKEDQISRLNQENGSLKHNLTSTSAALKEARTSISRNSNNNAIKGNNDQSPNRLQKSVSLSKGRGLMSNGKGKDTDSKLKEKDLADMLEDRTKSLAAVQAKELAKEREKFRELQLSLQEERKRSESFKEELESLRLDKNKSSLEISKVHSELDAKLLEIKHLQIKLNDQESHAVGTAMEHLKEVNKALEKENYELKLKRTELEAAWEESRRPNSSKVFPDTTEVLTRYPSSLNKKESETFTGKEEMEQSLQRLETDLQETKMERDKARQELKRLKQHLLEKEMEESEKMDEDSQLIEELRQTNEYQRYQMSQLEKTLKQAMASQEDNRLSNDNQIRKLKETIDDLNQKLTNCLRTIESKNVELLNLQTALGQYYAETEAKEHFERELAVAKDESMKLNARLKDADERLESSNKEKEDITYKLLQAEKVAVEWKNRVSKVEEDNAKVRRVLEQSMTRLNRMSMESDNLVDRRIVIKLLVTYFQKNHSKEVLDLMVRMLGFSEEDKERIGVAQQGGGKGVVRGVLGFPVGGILSGKPAGSHANAASDNQSFADLWVDFLLKDAEERERREAEEAAAAATKAKQDSEKTRQDAGLSDSECSTVPLRSSDSNLRLSRVLP >A07p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20341073:20341872:1 gene:A07p038150.1_BraROA transcript:A07p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSCSQCGNNGHNSRTCPTETSPGGGGEKGIMLFGVRVTEASSSSSFRKSVSMNNLSQFDHAAHDSNPVDDGGYASDDVVHASVRNRERKRGTPWTEDEHRLFLTGLHRVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNQNRRRRRSSLFDITPNSQTEERTPLEGIPPVPPSPKIADLNLSHKTAAAEMFALSLKLPMQTSSSSSNEQKTRERSRPTVFETMSSNGDSIMGVA >A09p027910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16853983:16856290:1 gene:A09p027910.1_BraROA transcript:A09p027910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEEERPLFEQGSVLLQEVKLYAEDGSVDIHGNPPLKQKTGNWKACPFILGNECCERLAYYGIAKNLVTYFTTKLHETNVSAARHGMATLTLSASLPGLKPAECIGSLCPPATTTQYSVFFAGLYLISLGTGGIKPCVSSFGADQFDDTDPHERPSKTSFFNWFYFSINIGAFVSSTLLVWVQENCGWGLGFLIPTVVMGLSLVSFFCGTPLYRFQKPGGSPVTRVCQVLVAAYLKMSLKFDEEDHTLLFETQDKHSAIPGSRKIEHTDAAVITEHDVTNGVTPNPWKLCTVTQVEEVKILLRMFPIWVSGIVFTALQSRYTPSSCSKDGP >A05g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23170390:23179768:1 gene:A05g507930.1_BraROA transcript:A05g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRTITAELAQLRQGGRPNGPRPPGRHQPDPHDTDSDADSTDDTRRRPCVSVSTHRTSVAVRVCPSVHTGRLWLSSSSHISTLVLGLRTLTLPVDCLGDFGPRVLSVQYTQDVRGTSVAVCQRTQDVRGCLCVSVSTHRTSVGVRQHTQDVRMCPPAHTAYPWLFVSTYKTSVAVRVCPCVSVGVRQHTQDVRGCKWLSVSTHRTSVAVRVYPCVSMSVHLHTQDVRGCPSAVCSVHTGRSWVSVSTHRTSVGVHQHTQDVRVCPLAHTGRPWLSVCVCVCPSVHTRRPWLSSSSHTSTLVLGLSTLTLPVDCSGDFGPRGLFSSHKTCVGVRQHTQDVCGCPSAHRGHLWLCVCVRQHTQDVRGCPDVRGCPSAHTGRSCVSASTHSTSVAVRQHTQDVRGCLWLSVCVCGCPPPHTGHLWLSLAVRPHIQDVCVCPSAHTGHPCVSVCVRQHTQDVYGCPCVSVCVRQHTQDVCGSPSVHISARWIFNSARWPFSWTVWMILAHVGCLFSTHRTSVGVRQHTQDVRGCLWLSVRTNRTSVAVRVCPCVSVGVRQHTQDVFGCPWLSISTHKTSVGVRQHTQDIRVCPCVSVRKHIERLWLSINTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPTAHTGRPWLSVSTHRTSAAVFQRTQDVRGCLCVFVSTHRTSVGVRQHTQDVRVCPPAHTAYPWLFVSTHKTSVAVRVCPCVSASTHRTSVADICGCSCVSVCVHECPSAHSRRPWLSISKHISTLVLGLSTLTLPVDCSGDFGPRRLSVQYTEDVCGYPSAHTGRLWVFVSTHRTSVCVRQHTQDVRGCPCVYMCVRQYTQDVRGCPAVHISARWTSVGVRQLTQDVRGCPSAHTGRPWLSVCVRQHTQDVRGCPSAHAGRLCVSASTHSTSVAVRGCPSAHTRRPWLSVTVRVCLWVSPSTHRTSVAVPGCSSAHTGRPCVSVSTHRTSVCVRVCPCVFVSTHRTSVAFRVCPCVSVNTHRTSVAVHHTLALLVDCLDDFGPRGLFVQYTQDVLGCPPAHTGRPWLSVAVRQHTQDVSFCPCVSVCVCGCPPAHTGRLWLSLAVHQHTQDIRGCPSAHTGRPCVSVCVRQKTHRTSMAVHQYTYQDVRVCPSAHTGHPCVSVCVRVCLSAHTGRLWLFVCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALLVDCLDDFGPRGLFVQYTQDVRGCPPAHTGRPWLSVAVSQNKQDVRGCPCVSVCVCGCPPAQTGRLWLSLAVHQYTHDVRGCPSAHTGRPCVSVCVRQKTHKMSVAVHQYTYQHAGPWTQHAGPSRGLFGTHKTSVGVQQHTQDVRGCLSAHTGRPWLSVSAHRTSVAVCVCPSAHTGRPWVSVSTHRTSVCVRQHTQHIHGCSSAHTRRPWLSVFVRVCPCVSLGVRQHTRDVRGCKWLSVSTHRTSVAVRVYPCVSMSVHLHTQDVRGCPSVNILARWTFVGIRQHTQDVRGCSSAHTGRPCVSVSTHSTSVAVRVCTCVSVSTHRTSVAVQQFRYQHFTQDVCGCPPAHTGRLWLSVSTQRTSVAVCVCPSAHTGRPWVSVSTHRTFVCVRQHTQHVRGCPSAHTTRPWLSVAVRVCLWVSASTHMTSVDVPGCSSAHTGRPCVSVSTHRTSVCVRVCPSAHTGRLWQSVCVCVCPLAHTGRLWQSISTHISTLVLGLSTLALLVDCLDDFGPRGLFVQYTQDVRGCPPAHTGRPWLSVAVSQNKQDVRGCPCVSVCVCGCPPAQTGRLWLSLAVHQYTHDVRGCPSAHTGPRWSLDSARWPFPWTVWVILAHVGCLFSTHKTSVGVQQHTQDVRGCLSAHTGRPWLSSAHTGRPWLSVCVRQHTQDVRGCPLAHTGRPCVSASTHSISVAVRQHTQDVCGCPCVSVCVRQHTQDVRGCKWLSVSTHRTSVVVRVYPCVSMSVRLHTQDVRGCPSAVCSVHSGRLWVSVSTHRTSVGVRQHTQDVRVCPSAHTGRPWLSVCVRVCPSVHTGRPWLSSSSHISTLVLGLRTLTLPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGRPWLSVSTHRTSVGVRQHTQDVCVCPPAHTARPWLSVAVRQHTQDVCGSHTGRLWLSLAVRPHTQDVRVCPSAHTGHPCVSVCVRVCLSAHTGRLWLSVCVRVCPSAHTGRLWLSISTHMSTLVLGLSTLTLLVDCLDDFGPRGLFVQYTQDVRGCPPAHTGCPWLSVAVRQHTQDVSFCPCVSVCVCGCPPAHTGRLWLSLAVHQHTQDIRGCPSAHTGRLCVSVCVRQKTHRTSMAVHQYTYQHAGPWTQHAGPSRGLFGTHKTSVGVQQHTHDVLGCQSAHTGRPWLSVCVRQNTQDVRGCPSAHTGRPCVSASTHSIFEYEITEHTNRSGSSPKTNPSIRIESRCDRPMESSSMAQRTPLPDPALGLDPIGLVSLKPNPEGLATGQTLRLYLGLDKP >A06g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14145922:14146844:-1 gene:A06g504560.1_BraROA transcript:A06g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSLVFCHFNFVIVNDSLGSVIEEVIATRLVPLEWIRFKYVVLVVSIKFDALTMDSVNTDGAFKAFGFNGSYGIATCLKCDAL >A09g511310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33905224:33908778:-1 gene:A09g511310.1_BraROA transcript:A09g511310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFQLFQPVKARSTLSLNGLMHPVKAWKVILLHFGELPTTKELLDKEGAVWIRFSQAREAGDRLVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFV >A07g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21498173:21501683:-1 gene:A07g507750.1_BraROA transcript:A07g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHANQDDLAAAMALMQQQMLQMQQTIQAQQDATEQAALAQQEQQAQTNQNKRQPQSNKQAVPANENSQSDELQGLGMMMQQLLQGQQIQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNSVEQSFAETILVAEENTEQSASSGVIAPSEPAETPPSRVYVSKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKVLLKVDDPGKFVFPCFIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGVENQNGREIRTTSGTQNDHVLPPSSSHHYISLQKKKKNEINVMEK >A08p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10761411:10762522:-1 gene:A08p011080.1_BraROA transcript:A08p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEAKAPHHQVVRVIVIQKTLIEHAEKLRQVKAVLKSRELFGMAHGGNFSGIYRKVQLKPLKWDGECEEERPVEALMILKYGGVLTHAGKVALSRVKSRSGLKILITGKEGKPKTKTLNVVYKQICQNIP >A03p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12046964:12048784:1 gene:A03p028660.1_BraROA transcript:A03p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSHKEGMVKENEYYDILCVKADASDADIKKAYYLKARKVHPDKNPGDPQAARNFQVLGEAYQVLSNPEKRAAYDKYGKEGVQQDAMVDPAAVFGMLFGSEVFEEYVGQLALAYLASIEADLESYEPDIRKQILQDKIKALQKEREDKLAATLKNKLEPFVEGRTDEFIEWANEEAKRLSSAGFGEAMMHTIGYIYTRKAAKEMGKDKRYMKVPFLAEWVRDKSHHMKSQVMAASGTVSLLQLQGEVNKLNEHQGDNREEHIQKAIEAKMDALLQSLWQINVLDIESTLSHVCQSVLKDPSVTKDVLRGRATGLRKLGTIFQVGKNKRGDKKPYTRGSSLRNESDVKQDTGGSSKAMS >A09g514510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43299451:43299999:-1 gene:A09g514510.1_BraROA transcript:A09g514510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFTNLSSHTIKRQKLLIFRTLNSTLCVLSPKSMSDQVVRVIVIQKTLIEHAEKLRQVKAVLEEVIFSQQRTFWNDTWRKFLRDIHEGSTEAAEVGW >A08p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20158554:20159278:1 gene:A08p033640.1_BraROA transcript:A08p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRAEDDYDYLFKVVLTGDSGVGKSNLLSRFTRNDFSNDSRATIGVEFATRSIQCDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAISTEDAKGFAERENTFFMETSALEALNVENAFTEVLTEIYRVVSKKALEAGDDQTTALPKGQTINVGDISAVKKPGCCSA >A03p006450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2729507:2730001:-1 gene:A03p006450.1_BraROA transcript:A03p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVAEFVKDVVNMLKRKTRAFKTRLVIFSLLHDRNMMVSSWSHKLKAKPTSRKLDGGGKDHDQNMVVVYSHNANMSRTPAASPQYVQYSEEEEEEEKYPDMRHSLFEAEGSVIDMVKHSKEDKGEEFKLEDEIDKVADLFISRFHQQMWLQKQISLENIQDTP >A09p069570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54195427:54200881:-1 gene:A09p069570.1_BraROA transcript:A09p069570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing protein REM13 [Source:Projected from Arabidopsis thaliana (AT2G24650) UniProtKB/Swiss-Prot;Acc:P0CAP5] MAKPRLLRPQFFHTLVPGFHTHLMIPEDFFSKYIEEGTSMAAELISDASDKKWRVKMTGRRLGDGWREFATSPSLIIFGQSCCEIQDIWPPCNNIDKTLLKKRLSPRTQVDRCDEDGIELPRNKKVKMKSPEAEAEPLSSSSSDNSCFVALVTASSLRTDKLYLPQHVTSSNGLTRKCCKIVLVDGGERSWTLDLSFNKSSDTFCTSRGWRNFCEENGQGPGGFFMFKLVGNGETPVLSLSLTESNNDTRDCSQASERESLSTEFSSKDEYIPGESSEDDCSSMESLMETGKKKCSPKRRVTSYSSYYKRYVTFTLPHGYATHHHTLSLPALFVRENGINKPWEIHLLGKDGTKWPTSLLVNIRGSMSLGKGWKEFVKANGVESGFTLKFMWEDTTPVFCLCSADSTSEREQEEYFKGIKKQSLFIDPSNIDNSSKDENMSWERKKRGRDSTPLSLKQFVTLTITPCCFITCRLALPAQFARENSLNKLRMIYLLGRDGRKWLTKIHQDKKGRMSLGKGWKDFVEANNFKSGDSFAMELIWKDGTRMLRLSGAESSSSKAYVSTEAGSSSDSSSAIQNRSVTLTLTPEEVRACKLHLPSEFMKANGINRLGKIILLGENKMEWSAYLLKRDGTVALGMGWDEFCEANGMSRVYVGNLDPRVTERELEDEFRVYGVIKSVWVARRPPGYAFLDFEDSRDARDAIRDLDGKNGWRVEQSHNRGGGGGGRGGGGGRGDGGRGRGGSDLKCYECGESGHFARECRSRGGGGSRRGRSPSPRGRSRSRSPPRYRKSPTYGGRRSYSPRARSPPPPRRRSPSPRGRNYSRSPPPYRAREEMCAEAVAECLRDQGTNCFLATSRGQLKGD >A02p002910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1236682:1241561:1 gene:A02p002910.1_BraROA transcript:A02p002910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEYGERIHNFFGQEGLSQDQHQSQVVDGSWSGFSNGLVGNQRHIDTSVINNLESCNTQQQPVDPERWQSSNSHQGLNFTQQQQPIRAEYSRSLLQDNQQLTNGYMNWMAMQNGSNVSGVGVESSRDNLSAKGFTSDIHKASMRFEMGGESPVNYDFFGGQQQLNSQPPPGILQPFPRQQMTFNDMQLLKQQVMAKQMHEYQLQQQLHKKQLEARQLNSLNSNAVNGNRSSDNQSHLLVNGIPLQDASSNGWQPDLVSGNTNWMHRGISRFVQGSSSGLGAEHGQANLQFEPSLYGMPLGEANAPQSSFSPVQMNRLASEHGSSLTNQPDSFMLPRSTYQERAMFSNSSAPGSNDSPNFECFQQDDPHDRNVSAQEKLDQMKGSGPPEKSYIKAPGNVSGSQKSTALDPTEEKILFGSDDNLWEAFGNDTDMSLTGNLMSSSSDLNDACPSLKGGGWSALMLSAVAETSSNDAGFGNRVQNLGVKASNALSERLHNDSGSIQTNEGIGDGFGIWKAASNPNLVAPVEQKNHFTQNLQMKANYGFGIATAENKSTASRGVQENQQHLGNNSVEKATPQVNHRDGSQISRKFHYHPMGNIGVTNEPYREKNSHLPPALDRVSGGNQGYFGQSKSLGQPPMNMQIDRGLVSQGMGSENSPSTSASADRSVDMCNQVQSASRQTMLELLHKVNQPEERSVETDAFKIPESTPSAENGGQFRHSQSSASQGFSLQLAPPSQPAPSPDNVQFSMNSLQPLNSLHTAPEKGATSQSRFAPWASSQSFPQQSTYQGPGESNNTSGFPYSKGYHQNQLPPVSTRQLTPNHLVRSSSELSTPQVKERDRSSDYPAQTPSLLNPTTHNNKGDSAEGFPMLSAPQPRVGFSSPQQSSSSGMRSDSGAGTSAPQHRFWNQPPKPQPDILRPHPVTNSHVEDIFSRQEKRNQLSSQNGGDMSLSGRDMVNMHELQGQDMGAKQTAGVASMFSKMGQINHQTLDRSLPSNNRPKDDVRHNERMAGSGEGDAPKMTVKRVEDSSVHLQKVASKEVQQSPLRSDGLLRDGLNHKESENHSLPFGQTVSQSFSNKNHSASAGADHQQQISPQMAPSWYNQYGTFTPLKIGEKSSNVGSSADGSHNVQSPKQFNTQKMPGSAPGAEIPSSESLPRGATDELMNVVKPKKRKTATSELLSWNKEVMHGSQRLKTLSEAEVDWARATNQFAEKVEYGNLLEDGPRLRSKRRLIYTTQLMQQLFRPPPARVISLIASSNYEFVAYTAARGALGDTCSSTFTDRNECLLPQNKSNPVSERRKTETTSDQYISKAAEDFISRSQKLENNFAGLQNGSTIADLIVEVQDLEKFAVINRFAKFHPTSSSTDRNVSSLRLNPQRYVTIAPMPQNVPDRVHCLSL >A07p045710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24773226:24774370:-1 gene:A07p045710.1_BraROA transcript:A07p045710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDLYAVMDLNNECSQGDLRLSYKNLALKWHPDRFSEENEKDKANTKFQSIQRAYSVLSDSNKRMLYDIGAYDSDDDETGMADFINEMVTLMAQTTSTGDETLEEFEKLFQELLMDDVNQYKTPHSSSFPYAPFSGMSASVYGDDLSNDDIPNKAKVDSYCCISSGLKMDVDTVDVFNKGEWSINEFPGWQ >A03p010400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4162623:4164101:1 gene:A03p010400.1_BraROA transcript:A03p010400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPKFKTVQEVVAANEGLPERYLQPLTGGHEDQPLNGPVPEMDIPSIDLSLLLSSSEGRQELSKLHSALSKWGVVQVMNHGITEAFLDKIYKLTKQFFALPTEEKQKYARDIGNVQGYGSDMILSDDQILDWIDRLFLTTYPEDQQHLKFWPEVPTGFRKTLHEYTMKQHAVIEQFFKGMARSLGLEDNVFLDMHGENARMDTRFNMYPPCPRPDMVIGAKPHGDGSAFTLLLPDKDVEGLQFLKDGKWYKAPIVPDTILINVGDLMEIMSNGIYKSPVHRVVTNREKERISVATFCVPSPDKEIQPVEGLVSDARPRLYKTVKKYVELYFDSYQQGTRPIQAALI >A09g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1149351:1150220:-1 gene:A09g500320.1_BraROA transcript:A09g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRRQKERSLFSSPPVSGELRRCREEVIPTLMWGGTSSIGSCEAELRYISGWLSCVSCLSTSFWRFESSQSRVVCSCVCLVVALCPNPLDISSVLHQGRRLRGVLVEFQVEISMWRQFERFVWSVVPLEDVITGALGWLFLLLKWLVKLRGVEVVQSLGLKPTGFPDFLVRGCGALAQLRAVFE >A09p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19060647:19061429:-1 gene:A09p031550.1_BraROA transcript:A09p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAVNPKAYPLADSQLAITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASQLKSQIQQLKDAIEKLLI >A09g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22476611:22477257:1 gene:A09g507890.1_BraROA transcript:A09g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWEKKKAVTDCEACLREVVANIDLLKEIMNNNLLASDELLRLQPKEAELGSEADVMATSDFSIGKLDLPLISEDLPEDFFAKVPSGMDGSVKCSDDRFEDGGIVDPDFFATPVGGVGRNRGLDQGPGFWSTAKLCDFMIREICCV >A08p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16638485:16639788:1 gene:A08p025990.1_BraROA transcript:A08p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGPKFDMLAPGNHHNYDAFTQDFYQKLGEEGTNMSTDSMQTSNAGGSVSMSVDNSSVGSSDALIGHPGLKPMRHPYSLSVGQSVFRPGRVTHALNGDALAQALMDSNHPTGGLANYEEWTIDLRKLHMGPAFAQGAFGKLYRGTYKGEDVAIKLLERPENSPEKAQALEQQFQQEVSMLAFLKHPNIVRFIGACVKPMVWCIVTEYAKGGSVRQFLTKRQNRAVPLKLAVKQALDVARGMAYVHERNFIHRDLKSDNLLISADRSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNRGVRPTVPADCLPVLGEIMTRCWDANPEVRPCFAEIVNLLEGAETEVMTTVRKARFRCCMTQPMTID >A05p049640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28862795:28866124:1 gene:A05p049640.1_BraROA transcript:A05p049640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVGRFGSLISQGVYSVATPFHPFGGAIDVIVVQQEDGSFRSTPWYVRFGKFQGVLKGAEKFVKISVNGTEADFHMYLDNSGEAYFIREVDPSAANDDAENNNGNENNGLRLEHSLSDAGAEREGFNSLSRLDRTESDCNRRYYDFQDDDDDDDPPSPRSEYGEGQGSDSEVVLVSVDGRILTAPVSAAEQEAENLRLNTPQFHLAPGDGTEFCEGNTEFASSETSWDAEFISKVESSEKVDSHSRDVTVKDSHYTELSQPVDNAKSEEPASTFEAQNLKEGELLLTTITEDVKGEDEVAIVDGSESSTTQLTTEEVRKTEESRISIDANTESECEDEHTKTSAETAILIESEASERVSIDSTREEDEQLTPSKPNENRNTMDSVTASSSVDIELTYELSLCKDELRQGMGLTAAAEVFNTHLISIEEYKNSATSILESENLVVRIKETYMPWKKAARIILGKVVFDLDLDIHPEDVISLEENESTKPKDDEETAVTTPSSSGRRWRLWPIPFRRVKTIEHTSSNSSSEEDLFVDSEPGLQNSPEVLSAAESRHESPRRQLVRTNVPTNEQIASLNLKDGQNMITFSFSTRVLGTQQVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRSFLNNLKQDGKALPNGPVVISPDGLFPALYREVIRRAPHEFKIACLEDIRKLFPKDYNPFYAGFGNRDTDELSYRKLGIPKGKIFIINPKGEVATGHRIDVKKSYTSLHTLVNDMFPPTSLVEQEDYNPWNFWKLPVEEVD >A06p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2788336:2794942:1 gene:A06p008060.1_BraROA transcript:A06p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMKQAASLLADEDPDETSSSRRPATFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIIIDLSREASLSSKAIILQIDSKSQQVSASALRHSLQDRLSKGASGKNRDQIYLNLRTSTAPPLKLVDLPGLDQRIVDDSMIAEYAQHNDAILLVIVPASQASEISSSRALKIAKEHDPESTRTVGIIGKIDQAAENPKALAAVQALLSNQGPPKTTDIPWVALIGQSVAIASAQSGSGENSLETAWRAESESLKSILTGAPQSKLGRVALVDTLASQIRSRMKLRLPSILSGLQGKSQIVQNELARLGEQLVNSAEGTRAIALELCREFEEKFLLHLAGGEGSGWKVVASFEGNFPDRIKQLPLDRHFDLNNVKRVVLEADGYQPYLISPEKGLRSLIKIVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKGRSSKKGQDAEQSLLSRAASPQPDGSMKSMKDKPSPQDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTITLEECTIEEIPEEEVEKSKSSKDKKANGPDPKGPGLIFKITCKVPYKTVLKAHNSLVLKAESAVDKNEWINKLQKVIQARGGQVGNISMRQSFSEGSLDKMVRKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSIRFNIGPPPCDEFHFSCGVLICALLYLIYSAIGNERIESLIQEDQNVKGKRERYLKQSSLLSKLTRQLSVHDNRAAAASSWSDNGSTESSPKTSGGGSSGDDWMNAFNAAGNGGSDSLSRYGSGGHSHSRRYSDPAQNGDLPSPGSGSSRRTTPNRLPPAPPQGGSSYRY >A10g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12877445:12877892:1 gene:A10g505160.1_BraROA transcript:A10g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAEKTANQGSLEALEVKCLRNSFAAEVWISPLADLHSIAAWINQLRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILVSLDRMMRDRLLSYPASSSFSSFLLLFIFLV >A02p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8483973:8484694:-1 gene:A02p018390.1_BraROA transcript:A02p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCREKRRRCTKLRVSTDNNDMEKMMHREIERQRRQEMASLYASLRSLLPLHFIQGKRSTSDQVNEAVNYITYLEKKIKELSLRRDELMLLSRGTPLDDSKDEMETMNHVVVRQCLVGVEIVFSSRSCRGQPRLSSVLQVLSENGLCLLNSISSIVDDRLIYTLQAE >A01p051550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28915144:28916559:-1 gene:A01p051550.1_BraROA transcript:A01p051550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRNLIIFAGLLASFTLASFPANVAGEPPLLFTFGDSSYDVGNTKFFSSAFDPATTWPYGESIDFPTGRWSDGNIVPDFVGRLVGNHEPVPPVLDPKADLSRGASFAISGATVLGSPSDTMSFGQQILKFIELHKKWSDKERAEAIYMINIGADDYLNFAKAHPNANPVEQVAFVARVLQKLSRDLMSLYKSGGARKLAVQNLGPLGCLPIVRQEFKTGESCMEMVNSMVKTHNERLGPVLFAMTVRFRSLRYSVFDFNGEIFRRINEPSRHGYTDTTTSCCGTGSRNAFGCGYSNVHSKLCSYQKGFLFFDGRHNTEKTDEEIANLFYAGDKHVVSPVNIRDLVGKSVTFLPAQEI >A08p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9949602:9953349:-1 gene:A08p014540.1_BraROA transcript:A08p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLCSAHLSSTPSRSSFPTSSLSTPLSLFPSPIIRRNSSIFFTVTPRQIPQPFRTCTSLVKCCVKEPLKVMISGAPASGKGTQCELIVQKFGLVHISTGDLLRAEVSSGTEIGKKAKEFMNSGSLVPDEIVIAMVAGRLSREDAKKNGWLLDGFPRTSAQAQSLDKLNVKPDIFLLLDVPDEILIERCVGRRLDPVSGKIYHIKSYPPESDEVKARLVTRPDDTEEKVKARLQIYKQNSEAIISAYSDVMIKIDANRPREMVFEETQTLLSQINLNRMIKTGKKSETTLFLVFLPFLNQALTKLLLLFLVFMQAILIIKQVLADKASPVQDNWRGIPTRLNNIPHSRDIRSYFYEDVLQATVRSIKDGNTRLRVDINIPELNPEMDVYRIGTLMELVRTLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEYMDWGDDETLGTFVKLGAIGGKEVDEEDDIFILVAPQNAVGNCIIDDLQAMTTAAGKRPVVLINPRLKDLPASSGIMQTMGREKRLEYALTFDNCYVFRLLYYAGTQYPIMGALRMSYPYRYELYKRVTEENGKEKYVLISTYSERPTPDQINDAFSGKSREESKKPSGIWGFLGSGFF >A04p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1514062:1517342:-1 gene:A04p003110.1_BraROA transcript:A04p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSIAPSLSCPPSSSSSKALRSSKVSTFAFPNIGFTFSASKSLRSLSAAVSGNGTGSSLSARMVASSAVRAPISLDFETSVFKKEKVSLAGHEEYIVRGGRDLFKHLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAVGFTEESGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINASFAGHQDVDGRAADVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGNISRTISTQGMLAVYNSLSEEGKKDFVTAYSASFYPCMEILYECYEDVAAGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGERVRKSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQALVVVDNGAPINKDLISNFFADPVHGAIEVCAQLRPTVDISVPEDADFVRPELRQSN >A03g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8834631:8836564:1 gene:A03g502820.1_BraROA transcript:A03g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNNNNSSYFSSPARAASPQIRSTPPEIDSSQYLTELLAEHQKLTPFTQVLPICSRLLNQEMFRVSGMMSSNQGFGDFDRLRHRSPSPMASSNLISNVSNSGFGGGWNALPQERLSGTPGMTMDWQGAPGSPSSYTVKRILRLEIPVDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPEKEDKLRGRPGYEHLNEQLHILIEADLPASIVEIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALINSNNLREESPGPSGGGSVSPFNSSGKRPKTGC >A09p062310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51035318:51040905:1 gene:A09p062310.1_BraROA transcript:A09p062310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18d [Source:Projected from Arabidopsis thaliana (AT3G56440) UniProtKB/Swiss-Prot;Acc:Q0WPK3] MTSTVYNSQGILQPRGFTSPEPGFGDSDGAELVSVSWNQDYSCFSSGTSHGFRIYNCEPFKETFRRELKDGGFKIVEMLFRSNILALVGGGPNSQYPSNKVLIWDDHQSRCISEFSFRSEIRAVKLRRDRIVVVLEHKIYVYNFMDLRLLHQIENLANPRGLCCLSHHMNTSVLACPGVRRGEVRVEHFGLNMVQIINAHDANIACMTMTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGTDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVSGEDSHSNEHETSSNSLQALVTPASGVNPGSSLSFLRGVLPRYFSSEWSFAQFHVPEVTQFFAAFGAQNTIAIIGMDGRIIKNINSFEFSSLTTCMPLSHVLAASLNEIDPAGLPPDVVAIMAASLHRELVAAEWNTIFNNAAVLGPAVNPNNMPVLTAGESSYLAMESTRNCKLGSSNAKDQTLLDFPYDLLQLVVSHLPLKDNIRASTVSKTWQQACLSVRVPDRPPWLIYFSKTEESSCELYDPSTQKRYYLSFPELSGSRVCHSNEGWLLMYNPNSFQLFFFNPFTRDRIPLPPLWMAYDQRMAFSCAPTSSNCLLFTVTNVTLHNITVKTCYPNAEEWNTFVFKNQLPVSTFEQIVFSNGVFYCFTNTGHLSIFDPSSSSWNVLLSGQPLKKHHGSNGCFMTQHQGEIFLIYMFSHMNPTVLRLDSTSCEWTERKTLGELTIYASGLCSETRAEQKQPSGVRNCLCLSVFHGFKRSCIYYEVDEESVVSLKWKKSDPYANIWIMPLLNPILNQLL >A09p075880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57019306:57022019:-1 gene:A09p075880.1_BraROA transcript:A09p075880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYNNTISSSSTQSFLLSSAATGSNNFNREETAMTMIQQPNSVAPPPPPKKRRNQPGNSYPDAEVIALSPKTIMATNRFLCEVCNKGFQREQNLQLHRRGHNLPWKLKQKSNKEVRRKVYICPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKWNCEKCSKRYAVQSDWKAHSKICGTKEYRCDCGTIFSRRDSYITHRAFCDALIQESARNPTVSFTAIAAASGGGGRHGFYGGASSALSHNHFGNNSNTGFTPLAAGYNLNRSSSEKFEDFIPQPTNPYHGPNNFLMQCSSNQGLLAHNDQSLLNQHGMISLGDTINNNNNNLFNLGNFQLNIKNSDQISAPSLFADGAENNDPSALLRGLTSSSSSSAVVNEFGDNVNGNLHGLMNSLATTTDQQGRSGSSIFDLHFGNNLSMGGSDRLTLDFLGVNGRVMSNVNGRGGRNPAPLDTEMKFSQPNHPFERA >A03p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6794842:6799667:1 gene:A03p017030.1_BraROA transcript:A03p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVSFEVSGSGYNSEAESSGYMSGPMSGQLPPVYKKPAIKNSGFSGEHRRPQAPYVDITVDVHDDRVSVNSLKSPGSEGGSSVEESPELTLLKRNRLEKKTSVVKRLASVSHELKRITSVSSSSTRKAGRGAAKLDRTKSAAAQALKGLKFISKNDGGAGWSAVEKRFNQITATTGGLLIRTKFGECIGMNSKEFALELFDALARRRNITGEVIDGDQLKEFWEQINDQSFDSRLKTFFDMVDKDADGRLTEDEVREIISLSASANNLNTIQKRADEYAALIMEELDPDNIGYIMLESLETLLLQAASQSVITSTGERKNLSQMMSQRLKPTFNRNPLKRWYRGLRFFVLDNWQRCWVIVLWLIAMAILFVYKYIQYRRSPVYPVMGDCVCMAKGAAETVKLNMALILLPVCRNTITWLRNKTRLGRVVPFDDNLNFHKVIAVGILVGVTIHAGAHLSCDFPRLLDATPEQYRPLRQFFGEEQPQSYWHFVNSVEGITGLVMVLLMAIAFTLATPWFRRGKLKLPGPLKQLASFNAFWYTHHLFVIVYVLLVAHGYYLYITKDWRQKTTWMYLVVPVVLYGCERLIRAFRSSIKAVTIRKVAVYPGNVLAIHLSRPQNFKYKSGQYMFVNCAAVSPFEWHPFSITSAPQDDYLSVHIRVLGDWTRALKGVFSEVCKPPPAGVSGLLRADMMHGANNPDFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAKEQAELNRMEHGTSEPQQRNKKESFRTRRAYFYWVTREQGSFDWFKNIMNEVAERDTNRIIELHNYCTSVYEEGDARSALIHMLQSLNHAKNGVDIVSGTRVMSHFAKPNWRNVYKRIAMDHPNTKVGVFYCGAPALTKELRHLALDFTHKTSTRFSFHKENF >A09g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14155019:14155508:-1 gene:A09g504660.1_BraROA transcript:A09g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKRYGTQPDSICVIKVLLNSIPSPPPLLPRFLIQCSTLLPDGESTYINRTQCQTPLAYQRKPETSRRKEHRRKRVRHSRRRKAATEERRRMKAVKDDKEKAIDDGDEDREERSLMESGTNLRPVTRITMATRRTLS >A05p025800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14007642:14010062:1 gene:A05p025800.1_BraROA transcript:A05p025800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT1G47990) UniProtKB/Swiss-Prot;Acc:Q9C7Z1] MVKGSQKIVAADKGIPIIDMSQERSRVSMQIVKACETLGFFKVVNHGFDRTIISRMEQESINFFAKSVHEKKSVESVNQPFRYGFRDIGLNGDSGEVEYLLFHTNDPAFSSKPSFRSAVNCYIEAVRQLAHEILELTAEGLGVPPHTFSRLISSVDSDSILRVNHYPPSDQFFGGATHTDKSVSLTRVGFGEHTDPQILTVLRSNGVGGLQVSNPDGMWVPVFPDPSAFCVNVGDLLQVMTNGRFISVRHRALTYGKESRLSTSFFAGPPLQAKIGPLQAMVTAANQPRLYQTFTWSEYKKLAYSLRLEDSRLDMFRTDRLRLEIAKWKLTRDLAHKDPMRGGIGHRFYCPFVSRLRGLYPQWYWAKAGCGTIGWPA >A10g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11587000:11593492:1 gene:A10g504720.1_BraROA transcript:A10g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAIRADCGDKAVRSVDSGAGIEEPLGGSEGRRCLTVDREHSAASGGDGGVGHLARDSRPAKIGPAGKRSSISEAAGQSSRRSVPSPTERLTPFRFRCDGTIEELPDLAPSFDRSRGLDGQDWGDVAPTHSTLEGFREYLGTNPTITIEDALHDSKNFIKMDEDRRAYNAKQHALKPTASKTSNAQEPRQHAPYQKKGPVYAVTEDDQSCVVAAVREPGWNVWEGDTEGKAQQSRKPAPANSKSSYDQNKFCKYHDMRGHDTKECRHLYEAWLASTSDGCTEVEPPKPKTTKNSKSWSKSKDKKKKSNEKKEEDSPPTDDGDRSHHDEESTSDEEKPKARRKIFTIRATPSTTALPEDDLRHSLNQKSGKMVESPTLITPMEIDDAPPRISRSVRKLNVSDARHVLDAKRKDPLHRQDKLKREMLSNDQYDCVEMTDLRIKLNSKIPDLREKLKRCKIDRSKAEPEPIVPYQRKTQDLRASRSSTFEAKVAQLEYSPKTWHERKLLAAPSTEASRSSINGSFSRV >A05p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12176098:12177543:-1 gene:A05p024890.1_BraROA transcript:A05p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSAIAALSSFFSHSPSSPNHHHHRPCSSLSHLHLVTTVPLLLFKSLGSEDSGEPEMSSGGEETELNFDFHGVLPCVRTRGMRLSWIQRKRRRVATEGGVVSMSLKCPEYVKAWALMNNCHKPAEDDIFEYRVTEEIQKDRLTNNTHEACLPIHENCRVLTAHGSNTIVYVTDDHVDISTSNRDTGRSAVELSLYRLLSSRVCY >A06g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1245782:1254998:-1 gene:A06g500260.1_BraROA transcript:A06g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKHTYYCVKCEAYNPVTVPKYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFG >A02p050280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31077431:31077756:1 gene:A02p050280.1_BraROA transcript:A02p050280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVPLVYLFITESAGAPEQMYVSSQQKPSSDESDGQHDETPASSEKQYRAALLKSKFADIILKAREKTLPLVRILVLSLA >A09p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5359010:5361273:1 gene:A09p010500.1_BraROA transcript:A09p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLHQKSPTPAMASSSFFLLHDRESEKRRLFFCLIKRRSFNSDEDEGFPRGYLILRPEELRPLELLRLLFSNDIEKPRLVNSSETKEPSFRRRWFIFVSLVLLKLLQFLSEPLALFGSALEFSLNFLSDNSFSRFFLRGEVVMPKTTSDNYLSFIGHLDRRISLDKTKSREDGDLYYAALSIMSSKLAYENSARIKYVVENHWHMKYLGLDDYWNEYQEKETTQAFMMSTDQEKTVVVAFRGTEPFNSEDWCSDFDITWYELPNIGRIHGGFIMKALGLQNNCSWPKKPLPNPNRLSPLAYYSIRDSLKALIAHDEQTKFVLTGHSLGGALAILFTAVLVIHDETELLERLQGVYTYGQPRVGDSTFGEFMEKKLEENDVKYYRFVYNNDIVPRLPYDDKDLMFKHFGTCIYYDRHYQPKILRDESDENYFSLWGIIKRMYNAVLEFIRSFTITREKGSEFEEGWLLKLARALGIILPGISNHTPQDYVNVTRLSPSFVFQIHRDVALT >A05p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6998797:7001302:-1 gene:A05p015750.1_BraROA transcript:A05p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKGHVLFFPYPLQGHINPMIQLGKRLSKKGLTVSLIIASNIHREPYTSEDYSITVHTIHDGFFPHEHPHAKIKDPPRFNESTARSLTDFISRQKLSGNPPKALIYDPFMPFALDVAKDLGLYVVAYFTQPWLASLIYYHINEGTYDVPDDRHEDPILASFPGFPLLSQNDLPSFACEKGSYPLIFKVVVSQFSNLRRADCILCNTFDQLEPKVVKWMDGQWPVKNIGPVVPSKFLDNRLPEDKDYELGDSKTEPDESVLSWLANKPAKSVVYVAFGTLVALSEKQMKETAMAIKQTGYSFLWSARDSERSKLPSGFVEEAMEKDIGLVAKWVPQLEVLAHDSIGCFVTHCGWNSTLEALCLGVPLVGMPQWTDQPTNAKFIEDVWKIGVRVKTDEEGFVSKEEITRCVVEVMEGEKGKVMRKNVENLKVLAREAISEGGSSDKKIDEFVAMMT >A08g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13828762:13830711:-1 gene:A08g507890.1_BraROA transcript:A08g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRQTSALNDMNKQIEDLRASQTQQSEEIRKDLGGEISALRDIIEKYFANSPSFNQREGKQGETSSDLTAAETDRRPVPPDRFSPDQISLKQGSDSIDVYLEKFDSAMTRLTLAPDHALSIFLTNMNQHLALHVRQFNVTTVPAAARIAKLHELSLQHTPVRTPSPTFNSSQRSNFSQPNKNQFSISTPSTTNIAGNQNNKPLLSNTPQKRVSLEEMQERKRKGLCMFCEEPFTPGHQLKHRRSEFLFLEADPTEFDEEIALKEQLRETTINDQDVKVPSISIHALNGSSTFNCMRLLGLYGKRKLKILIDPGSTHNFLDLQIAKGLGCYLKPIKPASVVAAGGDLITQYKGSLNKQIFQEPQIAMLHLRVIDKTIPPQQPPPGHMPYLLGKSSSPATDRSLQKLKFCPNLPYSTLTLPHYLNEVGTRKEPAQIYQNFIAEFPHFQISILEDKYDLKEGVL >A03p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2974221:2976427:1 gene:A03p007130.1_BraROA transcript:A03p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLKLPTSDSLLKDKFLGSAQILEASKGDDDHQELESAKAEMTEVKEENEKLKGMLERIESDYKSLKLRFFDIVQQEPSNNPTQDQNMVGLQKSTTDLSSFDQEHELVSLSLGRRSSSPSDNTSKKEDKEVNADEELTEAGLTLGFSNGSLSSQENSSGETWPSGKVTGKRSSPAPASCGDVEGEAGQQNNVKRARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCADDMSILITTYEGTHSHPLPLSATTMASTTSAAASMLLSGSSSSSSSAAEMIGNNLYDNSRFINNNKSFYSPTLHSPLHPTVTLDLTTPQHSSSSSLPSLNFNKYSNSFQNFPSTSLNFSSNPSLSSSTTLSIPAVWGSGYSSYTPYPYNNVQFGTSNLGKTVQNSQSLTETLTKALTSDPSFQTVIAAAISSMVGSNGEKQIVNPISNNVQQTATTNNIKGCGGYFSSLLMSNIIANNQTGASLDQPPSQPPLSMFKNSSSSSSTTSFVNKEEKS >A06p057450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29871745:29873277:-1 gene:A06p057450.1_BraROA transcript:A06p057450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 96, subfamily A, polypeptide 9 [Source:Projected from Arabidopsis thaliana (AT4G39480) UniProtKB/TrEMBL;Acc:Q9SVB0] MALVSLLDISIAFFCFLIFQIFLISKKPHPSFLTNWPFLGMLPGLLLEIPRVYDFITEVLEHGNLNYLFKGPFLGGLDMLFTVDPANIHHIMSSNFSNYPKGTEFKKLFDVLGDGIFNVDSELWKDLRKSAQSMMMNPEFQSFSIATSLNKLEKGLVPLLDHVAKEKLVVDLQDVFQRFTFDSTFVLATGYDPGCLSVEMPEIEFARALDDAEEAIFYRHVKPEMVWKMQRLFGLGDELKLKRAHNILDRACFKCISSKRDDISRGTNNSGSKDLLTAYLNVDTTKYKLLNPNDDRFLRDTVLSFMLAGRDTTGSALTWFFWLLCKNEEAIIKILQEINKNISPRTKTDDYGSFNPQELKKLVYLHGAICEALRLYPPVPFQHKSPTKTDVLPSGHKVDAGSKILFCLYSLGRMKSVWGEDALEFKPERWITENGTSVHEPSYKFLSFNAGPRTCLGKEVAMMQMKTVAVKIIQNYDIKVVEGHKVEPAPSIILHMKHGLKVTVSKRCLV >A07p051040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27134547:27136853:-1 gene:A07p051040.1_BraROA transcript:A07p051040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAMEHLLLNHRDLPRDQMGSRYASHQLSNGLFVSGRPEQPKEKPPTMSSVATPYTGGDIKKSGELGKMFDIPTDGTKSRKSGPITGASSRSGQQPGPGGPNATGRMSGSLASAGSNSMKKTNSGPLSKHGEPLKKSSGPQSGNSGPIPILPTTGLITSGPITSGPLNSSGAPRKISGPLDYSGSMKTHHHNPSSVVHNQAVTTLAPEDDFSCMKSFPKPVMWLVILIFVMGFLAGGFILGAVHNAILLIVVAVLFAAVAALFFWNVSCERRGITDFVARYPDADLRTAKNGQYVKVTGVVTCGNVPLESSFHRVPRCVYTSTCLYEYRGWGSKPANASHRRFTWGLRSAERHVVDFYISDFQSGLRALVKTGNGAKVTPIVDDSVVIDFKPGSEQASPDFVRWLGQKNLSNDERVMRLKEGYIKEGSTVSVIGVVQRNESVLMIVPTTEPLAAGWQWSKCTFPASLEGIVLRCEDSSNVDAIPV >A03p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6436799:6438076:1 gene:A03p016160.1_BraROA transcript:A03p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYALSNRKTLLFVFVAENPSLFTHPRVSSLCVSLYSKKARPLSSTMIMSEENRREICKYLFKEGVLFAKKDFNLAKHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPIGRPFGGGPPGNRPRGPRFEGGDRPRYGDRDGYRRGGEGEKGGAPADYQPSFQGSGGRPGFGRGAGGFSAAAPSGSGLP >A04p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16672556:16676123:-1 gene:A04p027690.1_BraROA transcript:A04p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid 8'-hydroxylase 2 [Source:Projected from Arabidopsis thaliana (AT2G29090) UniProtKB/Swiss-Prot;Acc:O81077] MQITPFSVFFSSVYDDESALMITISSAVVALLVVLLQWRLHRKKQRWKLPPGSMGWPYIGETLRLYTENPNSFFATRQNKYGEIFKTHILGCPCVMISSPEAARMVLVSKAHMFKPTYPASKERMIGPEALFFHQGPYHSTLKRLVQSSFMPSALRPTVSHIELLVLKILASWTSQKSINTLQHMKRYAFDVAIMSAFGDKEEPTEIEAIKLLYQRLEMGYNSMPLNLPGTLFHKSMKARRELSDELRRLIEKRRESRSVGGGLLGVLLGAKDQKRNGLSDSQIADNIIGVIFAATDTTASVLTWLLKYLHDHPNVLQEVSREQVCIREKISEENRGISWEDTRKMPLTTRVIQETLRAASVLSFTFREAVQDVEFEGYLIPKGWKVLPLFRRIHHSSNFFPEPEKFEPSRFEVAPKPYTFMPFGSGSHSCPGSELAKLEMLVLLHHLTTSFRWKVVGGEEGIQYGPFPVPKKGLPIRVTLI >A09g513160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40060903:40061291:-1 gene:A09g513160.1_BraROA transcript:A09g513160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILLYYLHLLQPLKFMIHGGSLLFEIMGAWRRLLCAKQVISLVETMKLVSFSRSVSHRRLT >A05g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22862985:22863258:-1 gene:A05g507870.1_BraROA transcript:A05g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKESFSSQREKKKISRRQAISKRLDTKMPNSHKPHFLKPLLPDSH >A03p051340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20548349:20549475:-1 gene:A03p051340.1_BraROA transcript:A03p051340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVMMMNTQGKPRLAKFYDFLPVEKQQELIRGVFSVLSSRPENVSNFLEIDSLFGPDSRLVYKHYATLYFVLVFDGSENELAMLDLIQGKYDDDFSLMLAFVVLVETLDKCFSNVCELDIVFNYSKMHTVLDEIVFGGQVLETRSDEVMKAVEEISKLEAASNSISLVPKSVSGWRGGR >A10p014010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4419533:4419865:-1 gene:A10p014010.1_BraROA transcript:A10p014010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFVLEFVNKTGSNSLFMFLFCNFIIILIILDISKSGSEDESTSGAQQPMLISSLSSKSDFEKSKPSLTSKTSSQESELMSESFLSSKHTSSGQSLTCKPGLQIMFSGL >A03p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12039339:12043866:-1 gene:A03p028650.1_BraROA transcript:A03p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNAQRRSYQVVIAATRDMGIGIDMKLPWDLPNEFQFFQDVTSRTSDPRKRNATIMGRKSWEATPLEFRPLPDRLNVVLTRSNCHSIPTDDDNVMVCGSMESALELLAKPPYSFSIEKVFFIGGGELLRHYMNAPSCDAIHLTEVDITVPCDTFAPRVDTCLYHPWYSSLPVVENGIRYCFNTYVRRKDLIFGSGVEAEQYSFLPKMVFERHEEFGYLNLVQNIISNGDMNDNNTLLSKFGCQKVFWVGVVEEILQLISGSTYPKEKGINHIWESDKAKEYLDSFGVNATEEDGDHPLLTGLYWSYSDASQEFNQISDVINKIKNNPHDRGITLSACKLSISPCQTFAQFYVANGELSCQIYQSSTEASLGIPFSIAAYSLLTCIMAHVCDVVAGDFIHLIGDAHVNTSHTKAIQRQLQISPKPFPEEMGTQNTQTDNQPTATLPTTSCKKHVNDDNATFLANLKDRFTELVNTPMDEHKTCFKNTMDKVLSQFSISPKDDAPKKLTR >A05g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28924450:28925677:1 gene:A05g509450.1_BraROA transcript:A05g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRLSLRALLLHLPSPSFQFSRSRTPTINTPLHLDSIELPPTLTDLDLTVNRLSELDSRIAHLSMLKKLSLRQNLIEDSAVEPLSRWDALSDLEELILRDNKLAEVPDISIFSRLLVFDVSFNEITSLEGLSKASSTLKELYVSKNEVYKIMEIEHLHDLQILELGSNRLRVMVNMESLTKLEELWLGRNRIKVVNLCGLRCIKKISLQSNQLTSMKGSEDCVALAELYLSHNGISKMEGLSALVNLRVLDVSNNKLTSVDDIQSLTKLEDLWLNDNQIEILEAITEAVEGSKANSFPGFSM >A04p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19849064:19851603:-1 gene:A04p034200.1_BraROA transcript:A04p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFILVILLQVGLAGMDILTKAVLNEGMSNYVLVVYRHGVAAIVMAPFAFYFDKAARPKMTPMIFFKITLLGLFEPVIDQNLFCLGMKYTTATFATALYNTLPAVTFILALIVRLEKVKLRSIRSASKVIGTMTSVGGTMIMTLVKGPALDLFWTKGPSAQNTTGTDIHSSIKGAVLVTIGCFSYACFFILYAITLKTYPTELSLTAWICLMGTLEGAAVALVMERGNPGAWAIGWDNKLLTVTYSGIVCSALGYYIGGLVMKTRGPVFVTAFSPLCMIVVAFMSSIIFAEQMYLGRALGAAVICAGLYLVIWGKGNDYKDASTNPTQTKLELIGNEKDNVGHEIINMRKEGEQRIVVETV >A05g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15996088:15996410:1 gene:A05g505690.1_BraROA transcript:A05g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFRFVLCLRRRCSFIFHPQPPLTIFPFFRFCRGRHRPPSSLSLLSDCGGGCRDGRCRGGGCRYGGRRREEEEVVMDVVMVDAMVVDMDTADA >A01g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4038469:4039673:1 gene:A01g501020.1_BraROA transcript:A01g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLTSTLRIHAKPREVAMDEGLELNGGIRNSSIISRMVVEGYDTSPTREDVEEALKKHFASRGINLMHVSVPVDYKCRNRRRALIYVNGECEAEALKLDGSYVGGLVSKKKSGRILTITAYPFDDNSLEHLFAPTSVIDEYRQHTLKVRGFDTSLSLNDIEKMLLRVFSGSDCFPLCDGSVLLYLRGQYAMDDALKLSGGSVQGFKFAVTEVLPETVIETGISLATARSFGFRG >A06p047970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25431944:25436117:-1 gene:A06p047970.1_BraROA transcript:A06p047970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVSSSAPTPEAILEWLQKEMGYRSKSHVPSVDAIRKVCRGNMIPVWSFLMNRAKSEKTVESIRRNITVHGGGSDANPVKEESKVKGKRKEKAFGGESLSSAESREDALCEREAAAKEVERLRNVVRRQRKDLKARMLEVSREEAERKRMLDERASYRHKQVMLEAYDQQCDEAARIFAEYHKRLQVYVNQARNAQRSSLDSLSDLSSKVSTNSEREAVYSSVRGSKSADDVILVETARERSIRRACESLASHMVDRICNSFPAYEGNGIHSHPEVETAKLSFEYDGEISDEMRGVIMNCLSSPPLLLQAIAAHTLRLKTLISKEIERVDIRADAETLRYKYENNRVMEISSSDVSSPLSYQFNGNGKIPTDTNSKGSNNQLLERQKAHVQQFLGTEDALNKAAEARVLCQKLKNCLHGSADAVSSHSVVGGGTSQNVKNLRQLELDVWGKEREAAGLRASLNTLISEIQRLNKLSAERKEAEHSLKQKWKKIEEFDARRSELETIYTTLLKANMDAAAFWSQQPLAAREYAMTTIIPASEIVADISKNAKDFIEKEVTAFFQSPDNTLYMLPATPQALLESMGANGSTGPEAIAAAEKNAALLTARAGARDPSAIPSICRISAALQYPTGLEGSDASLASVLESLEFCLRLRGSEACVLEDLAKAINLVDIRQDLVESGRSLLSHAYHAQQEYERTTKHCLDLATEQDNTITEKWLPELKTAVLNAQASLEHCKHVWGLLDEWYEQPAATVVDWVTVDGQNVAAWHNHVKSSYCLLR >A03g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15241562:15243719:-1 gene:A03g504330.1_BraROA transcript:A03g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATVVEILIAILLPPLGVFLKREVEEEKRCAGSFPATHRPWLGSSGVASSLNGRPAFGYGVHAFFSGIEFPTQVFPVTVSSVRISVSGVASILGERLAFASGALTFFSGVGRPVFVSVSRSSLVIMAVFYRIFPIMRRSSERLEFSEDHSGWMVLLRQGSVRRSFFQPLQFRRRMTALHRLDACPLVAGQPNTWWTRWAFFFNGLSLWALGS >SC274g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000121.1:7882:18134:1 gene:SC274g500030.1_BraROA transcript:SC274g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEVLNHEANLYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVQNRPSPSPSRPSSHSIAVRPSCPVRVLEPQSVHLSSLNPSLHHLVSELKLLTQEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKDVKTGPEIQKDTNSTSLLRSKEKVQDTMQSMLLKEAKPVNKVSNQESKNESYLLPEVLRKEPNHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRKQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRGVILSYLLKEEPPDAQSIPKPKQYQGYTVSRSKPFQGGGNVAARNSAAEPEVDQTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQSNWNWVKTITEREVMNFTIQRFLNPFIFEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRRTRRRPDKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLKPKSHKRLQRLVSDFLLSLDLFPFFSFVNESTLNHQVQNRPSPSPSRPSSHSIAVRPSCPKTFFKNINFCIFGFSGDFGCLWVILAHVGCLFSTHGRPCVSVSTHRTSVAVRQHTQDVRLSISTHISTPWTVRVILAHVGCLFSTHRDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGGRPSAHAGRPWLSVCVVCPSVHTGRPSAHTGRPSAHAGRPWLSMCVRVSVSTQDVRQYTQDVQHRRMSVSTRRTSVAVRVCPCVRQHRRTSVSTKRTSVSTQRTSVASISTQRTSVAVRQHTQDVRQYTEDVCVHTRASVSTHRAVRQHTQASTVCPSLHTGPSAHTGRPSVHTDVRQHTQDVRGRPYSTHISMLALPVDCSGDFGPRGLSVQYTQDVRQHTQGRPLPVR >A01p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4464291:4468129:-1 gene:A01p009260.1_BraROA transcript:A01p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVLESSPVQQLMAPGNPNWWNVSGSMRPPQPLMGHQHGPLPPQMAPNNYVRPQMMPTLLPPFMPYPATSSSPSLPNNPNLSSWLESNDLPPESWSLSQLLLGGLMMGEDERLEMMKHHNHHVEQQHHNFQGKMGLENWEEQVLSHQQASMGVDDIKQESNINNSNGYLTPSPNSPPNKSCVSTITTTSLNSNDDNNNNNNNSMLDFSSIHNGLNLSEGKHIPPDRSSECNSLEIGGSTNKKPRLQPSPSSQSTLKVRKEKLGGRIAALHQLVSPFGKTDTASVLSEAIGYIRFLQSQIEALSHPYFGTTASGNMRHQQLLLNDQCMKRQEASFSSTETQNANEEPKKDLRSRGLCLVPISCTLQVGSDNGADYWAPALGSAGFH >A04g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17279157:17281723:-1 gene:A04g507150.1_BraROA transcript:A04g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDPQTESIHMLNRSSMCVPEFKSNNDKTTFSQILLITSIGLLLALALHYRLRKIRHSKNIPRLRRSHKHKGHEKLERFSHYVVRQMGFKDRRECPHLCKLANEYIRKSGCCEEDIYSFFSEEPDADSLFIKLVEEFERCILSYFAYHWSHADLMISQILSADVEPKKKLKHIVMAATRDQRFERVTKNLKVARVFNTLVEEMKAMGIASVDDSECTEVMAPVAHKDRSPVLLLMGGGMGAGKSTVLKEILKEPFWAGADAVVIEADAFKESDVIYRALSSRGHADMIKTAEFTITMTRNVHRHRYRMGAGYKVGENGDVIENYWERIGERQQLQEDGKERKPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVRSQLTSHKRFADAFLTYCNIVDNARLYCTNALEGSPKMIAWKEKEKTLLVDPEEIDCLKNVGRLNENAESIYELYRRPNPACEAGSIWKDIVLSPSRFNIQQELKYSIQKVERFKQCLQDTPKSETEQSDVLSS >A09p053430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46390850:46391528:1 gene:A09p053430.1_BraROA transcript:A09p053430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKFIKLGMGCALATICGQAYGARQLEMMGIYISSKFSPAEVSKAAGRFSLWDDPSALCLRRQLRQRQVLAGTNQSGCNGGYSSDRAVLACCIELVTDAETRLGNGWRSRRAEYVVVINRWSFLVRALMEGIQESQRLCKTLTCLCGHGLVRYQNHSERLIDEGFQ >A03p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12715942:12716886:1 gene:A03p030280.1_BraROA transcript:A03p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYTC-2 [Source:Projected from Arabidopsis thaliana (AT4G10040) UniProtKB/TrEMBL;Acc:A0A178UZN3] MASFDEAPPGNPKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVMWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKEGTA >A03p070790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31129270:31130822:1 gene:A03p070790.1_BraROA transcript:A03p070790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYFSMYIEFTLSLRFFFLDFVVDYFVLILAMAMGKLLSQRLFNISKMSLQGLMNCRISSSSLAVRTRVPKDPGEATVDPEPGDVSVSRRFWQNIAVNWMDTTLKVPVRESLMDKLREMDVNKGRIRLDGLSPPMKPAAEEETLALGLTVQDAKKLLRAAQIEVVKTKLMETGQSWIPYSDFVSVCNDSCSDPAHGSWIGKMLDDSGNVIVLGDYVCLRPDQVTKSIEGLLPLTQIRNPKDPRKKELKELEAIKKVIDQKSHFLVRRELQAGLGFMILQTALFMRLTFWELTWDVMEPICFYVTSIYFMAGYSFFLRTSKEPSFEGFYQSRFEDKQRKLMEAQDFDAGRYDELKKLFNPKPSSACVSKILGTIQN >A06p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5938253:5939557:1 gene:A06p013340.1_BraROA transcript:A06p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNETQELPVINLSDKNLKPGTELWNSTRNSVREAMEHHGWFVAEYNSFPAELHKSVLEASKELLDLPPEVKVTNENHKAGHGYITMISDDQPVHEGLGIDQANDIQQCRQFSRLMWPDHHDNDRFCEIVNAYAKMQAELEQLVIRMLFESYNLERYTEKHIRGSRYLLRLLKYRRLPNGEPNRKFISHTDKSFISILHQNHITGLMLKSEKEDVWYPFIPSPTRFAVIAGDAIMAWSNDKIKSCYHKVEMESVEMRYSFGFFSFQEEMISTPEEMVDKDHPLAYKPFNHDGLLAFYETVDVHLKAHRTMTKVYCGIQQAHEP >A10g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14568199:14568899:-1 gene:A10g505690.1_BraROA transcript:A10g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISDEVAKLSNKGGTRAEDKEFVVLIELLTMEMLKLDEIEADGELRVQRKREVCRVQRFVESLDVLRVRNRDMNRSANSQDMPLPRLGSLCFSLAPKASTIITQDWELFA >A09p025330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14159075:14159807:-1 gene:A09p025330.1_BraROA transcript:A09p025330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLVKLRNVDFLDSQRLLVIAPLGRWNTCSGFMASSSGHFIILVLDRDMCIFPAASTLRCARPLPYLDYLNQDQFMGIRNQMRCVSSMHMELEMFLSNENYVTKLNMLIFWNGQQGYNFTNS >A02p046100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28851650:28854544:1 gene:A02p046100.1_BraROA transcript:A02p046100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVATLDSGTTCSSRNYSGHHLAAVYDSSASFRSSFSCTSKNYTRVVAVATHKGIGKMAPISKAPLVLLWLISHDLTVVSLLLQMDPKQPVVLQLLESLKDSYMSNDFKTCQQLTLWIKRQVLDGTDNEQQQLGELSNPPLDMKSVDPSLWEEEDAEDEQDQELDDYNKIPYDAEWIAKTNCVEYPATIDVKEDNKEEDNAKEDEEEDNDSGAEEDEEHVEDDDAKEDDDSDAEKDEDYEVDEEEDDDSGSEEDEEIQQPLR >A03p048730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20824306:20825023:1 gene:A03p048730.1_BraROA transcript:A03p048730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKFSVVFPIIFLIMFALAEDCMGCQVMLGDCRKCGIRCSSYGRHAEAHCDYRYEGGACICDFPCNPPPNL >A09p043840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:35670643:35671131:1 gene:A09p043840.1_BraROA transcript:A09p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 20 [Source:Projected from Arabidopsis thaliana (AT1G21620) TAIR;Acc:AT1G21620] MYEHTIIHALQLMCDQHGCYIEVVTHNTLHLSKDPYGSFVVQHVLKLCDLHCTYNTAVNLGGHCVELSFKKYGSYIVEKLLETEESMILVVAELLECKVDRLMRLARSEYGKFVVVKALRVTQEEMITAYLFWGLVHKLMPFHHLLRNSRGSTIAAILESTC >SC382g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000224.1:2073:3647:1 gene:SC382g500010.1_BraROA transcript:SC382g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGRGQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHDTRDWAQHADMCGQHADMSSVYGAVHGSVHGHPRISPRTAPDVGQHADMCGQHADMSSVHGSVHGSVHGPVHGAVHGHKRISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMGHQLSKISTRTVHGKGQHADMCGQHADMIHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVYTDQYTDLYTNQSTGRASMLIFVVQHADMSPTKGQLLIWWSSCGYEFRYTDKYTIIPREGPQCLICVASIAEMSCRYRISTTEQ >A01g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21485119:21486690:-1 gene:A01g507510.1_BraROA transcript:A01g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVTGHLSFREKLVRRQAEKELAQTGSELPSSSAQVVAPCHGIVVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQLKSRKRKLAKSGDGETSQRGGSSLASGLRGKFILLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVQSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDLMVGENGSDAAPGSDEAAGEEGAGEEGDELSSHILLQLRNVLFETCFESSSARCELCGGAEGIERKHRGVV >A09p027070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15278319:15279395:-1 gene:A09p027070.1_BraROA transcript:A09p027070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKEDLGLSLSLGFSQLSNPSSSISNNLHRFPWNQTFASTPDLGKIDVNSLPSKVDCEEEAGVSSPNSYSRGTSDEEEDISGGGGETSRKKLRLSKDQSAFLEDTFKEHNTLNPKQKLALAKKLNMTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTEENRRLQKEAMELRTLKLSPQFYGQMTPPTTLIMCPSCERVAGPSLNHHQTHRPVAINPWVARAGQVAHGLDFEALRS >A05p019990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9372958:9374849:1 gene:A05p019990.1_BraROA transcript:A05p019990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFDGTYRGVRTCWAAPSSPSPRSLLAMLNQGDNNDVVDQINEIFPQANHQPEQRSSLRERVAARVEFNLPPLETQNNRPFAAFFRNPSTTVPSPLVLISPGFSPSAMLQFPNTFIDPSHMILPSPVANGGPPEAVESSGADHATMMISNNDPMHVALPPQQDSVYIPSHVDSIDAPIVAAFESATRSCKSQRVILQMETEENNPDDGFRWRKYGQKVVKGNPNPRSYYKCTYTACDVKKHVERGAEDVKFLLVTYDGIHEHDPPAARGSSSSGLKGQYSSSVSQDHNNHRTVPPSSSSASEALRFFPSSLDPPVDMTQFYMTGLAKLPSLPVYQNHGLMNWNNEPEIDRVIPDGTEVFKGIRDRLNLNFGLNL >A06g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28376279:28377248:1 gene:A06g509720.1_BraROA transcript:A06g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LICSISSPPPDFQSFVDVFFTLYNRVLFSSCEIIHHRPALKLQQHLVTNRFYSNSPPLFLSDIWNYGKFLGFGMEDLDLPCEVGRRYSALMMRSNYSRPMNLFLLKFVGLLIKLSLLMVKDCGIIEFQRFMW >A09g501160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3817446:3817805:-1 gene:A09g501160.1_BraROA transcript:A09g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNQFLASSSGPKSPPIPHRSGSSHGTYKGSPFPQKEGRLCVDLDTAFLGSPRGSPRGSRLSDYGFSSGSELPSEEDDNPNDEGDRYIKVVSKRIQKQLKGKALFVWRSLASATNLSD >A07p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21574002:21575575:-1 gene:A07p040760.1_BraROA transcript:A07p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G66670) UniProtKB/Swiss-Prot;Acc:Q9SXJ6] MEMSLNLVSSSSSNPVFLFNPILSGKQVSLPSRHQRIPKRAKPFCVRSSMNLSGPRPRQTLSSNWDVSKFSVDSVAQSPSRLPSFEELDTTNMLLRQRIVFLGSQVDDMTADLVISQLLLLDAQDSERDITLFINSPGGSITAGMGIYDAMKQCKADVSTVCLGLAASMGAFLLASGSKGKRYCMPNSKVMIHQPLGSAGGKATEMSIRVREMMYHKIKLNKIFSRITGKPESEIEGDTDRDYFLNPWEAKEYGLVDAVIDDGKPGLVAPIGDGTPPPNTKVWDFWKVEGTKNDNKDLPTEQSRVQNGYATPE >A07p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7232504:7236956:1 gene:A07p014280.1_BraROA transcript:A07p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKAKDAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDDRFDWLCQLYKPKSEIPAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLETITEELRLKDIEFIKKKIEDVEKSMKRSNDKALKVELELLLKVKAWLEEGKDVRFGDWKAADIEILNTFQLLSAKPVVYLINMNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERTLADMLPDEAAKYCEENKLQSALPRIIKTGFSAINLIYFFTAGPDEVKCWQIRRQSKAPQAAGAIHTDFERGFICAEVMKFEDLKELGNETAVKIQQMVRFNRQEAEEKANEISISASAYYLTQLNASRINHLQSQDDIVTSMKDSVAKDLLRVSNNKNAYKKLSRVSSLRAISNKVVESIIEDAKKHYAEKAKVASPNKTIEEKVFLPPPPNPKLPDSHDLHWGGGKIVCKNTLDIDFRKKLPQVWDMFFSLELLKPPEHDPTKHDTTNYFQLGHRSFQFKH >A03g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22830685:22831691:1 gene:A03g506390.1_BraROA transcript:A03g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVLCANPFPHSSQGFYATNNPFQTSGPKGRIESKMIGNNRIFMRIDLPGVEQGNVTVTIDDSKRGVLIKAEEQSRNKNVSSLRSYETHVTLGYHCCEISTIDNPQVTDGVLRLFISTTPLNINGVPCCADEDIHGYLVLHERDDPNMAYENERLPDGSAYLRLDMPGVPKDDFTTDVVDKGRVKVTGHAPAVSHDSSGRSYSADAGMLCDPGVTISYLDDELERYAENGVMRLTIRDPCLIP >A09g517500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52122254:52123647:1 gene:A09g517500.1_BraROA transcript:A09g517500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGEKSLIVSFGEMLIDFVPTVSGVSLAEAPGFIKAPGGAPANVAIAVSRLGGRAAFVGKLGDDEFGHMLAGILKQNGVSAEGINFDTGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLDLIKSVRYFYILLNFLYVTVVDTPNVEAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSKEEAKKQILSIWDKAEVIKVSDEEVMFLTGSDNADDETALSLWHDNLKLLLVTLGEKGCNYYTKSFRGSVDPFHVNAVDTTGAGDSYVGALLCNIVDDRAVLEDEARLREVLRFANACGAITTTKKGAIPALPTESEVQSLLNGN >A01p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8837750:8839606:-1 gene:A01p018150.1_BraROA transcript:A01p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFETYLPPKEKEEEEDMIIRIRKIWLWEAIAPVVLANAASGMAVEDNCKLKFLELKKRIFRFIIFRIDGQQVVVEKLGNPQETYDDFTASLPADECRYAVFDFDFTTNENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >A01p009070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4342863:4344640:-1 gene:A01p009070.1_BraROA transcript:A01p009070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) UniProtKB/Swiss-Prot;Acc:Q8VZU9] MSSPGEYYNSLPPITKAYGTLCLLATTLAQLGLLAPIHIALIPEFVFKHFQVWRLITNLFFLGGFSINFGIRLLMIARYGVLLEKGPFERRTADFLWMMIFGSLTLLVLSFIPFFWTPFLGVSLVFMLLYLWSREFPNANISLYGLVNLKAFYLPWAMLALDVIFGSKIMPDLLGIIAGHLYYFLTVLHPLATGKNYLKTPKWVNKLVARFRIGAPVAAVRQAGGVGAVGSGTGGGAYSSARAPPESSTNTAFRGRSYRLTD >A07p010220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6394490:6394837:1 gene:A07p010220.1_BraROA transcript:A07p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHDPTLHNLASPLSEVLRSPKSTLFLRFVKPRNVKQVDPSHPVPYRSGFVFERVMAGQVRTGCGPQNGRPNSYRKTCRSLRIGPRDIKLQTDIWLLNASRHDSKRFISFMTHQ >A07p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6372892:6373578:1 gene:A07p010180.1_BraROA transcript:A07p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase XERICO [Source:Projected from Arabidopsis thaliana (AT2G04240) UniProtKB/Swiss-Prot;Acc:Q9SI09] MGLSSLPGPSEGMLCVILVNTALSISIFKGILRSQQQQLHLQIIKLQSFDFRVCQPESFLEEFRNRTPTVKFESLCKCKKQADNECSVCLSKFEEDSEINKLKCGHLFHKTCLEKWIDYWNITCPLCRTPLVVVAADDQLVSSNAASRLILYQIQTGDGSILLCIFCELFMYVVDPCVMEALV >A03p058860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25559058:25564582:-1 gene:A03p058860.1_BraROA transcript:A03p058860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDTLILFIRILKHFDSSVAHTQAFAGLLTGIENNAWPINDPSNYWRSLYSLFHAGDLPLSFFVLTRPVCLTQAKQESFFAGKAFSFSKMAFFVLSRVSRRLLKPSFSATPSQQHIYLSKRVTTTHYINPLPTLDHNHHQVRSKGKESFLGTGRSNRSVGGMWSSSLVLERGRKEMSGSVGVKIDSSWIDFYLPERARGYAKLARFDKPIGTWLLAWPCMWSIALAADPGSLPSFKMMCLFGCGAVLLRGAGCTINDLLDQDIDTKVDRTRLRPIASGLLTPFEGLQFLVLQLLLGLGILLQLNNYSRVLGASSLFLVFSYPLMKRFTFWPQAFLGLTINWGALLGWAAVKGSVEPAVVLPLYLSGICWTLVYDTIYAHQDKDDDVKVGVKSTALRFGENTKLWLTGFGTASMGLLALSGLSADLGWQYYASLVAASGQLGWQIGTADLSSRPDCSRKFVSNKWFGAIVFSGVTIRSFYLSVDFIDSERNKVRSTMGHRHSKSKSSGPPPSSSSSSSSTNVVHHVQPSGERRGSSGSGPAPVGSSSTGGSRSAASAQQNGRILGRPMENVRGTYDFGKELGRGQFGVTYLVTHKETKKLFACKSIPTRRLVHRDDIEDVRREVQIMHHLSGHRNIVDLKGAYEDRHSVNLIMELCEGGELFDRIIAKGHYTERAAADLCRQMVMVVHSCHSMGVMHRDLKPENFLFLSKDENSPLKATDFGLSVFFKPGDKFKDLVGSAYYVAPEVLKRNYGPEADIWSAGVILFILISGVPPFWGENETGIFDAILKGELDFSADPWPTVSAGAKDLVKRMLKYDPKDRLTASEALNHPWIKEDGEASDKPLDNAVLSRMKQFRAMNKLKKMALKVIAENLSEEEIIGLKEMFKALDTDKNGIVTLEELRTGLPKLGNKISEAEIKQLMEAADMDGDGSIDYLEFISATMHMNRIEREDHLYTAFQYFDKDNSGYITMEELEQAMKKYNMGDDKSIKEIIAEVDTDRDGKINYEEFVAMMKKGNPELVTNRRRVNM >A09p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44088625:44089655:-1 gene:A09p050060.1_BraROA transcript:A09p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRQGDEESSAFYDLSALVLSLLRYPPMPIYLPNQFSDSVYPIRPPSQISPSGFASLLLGISVALMLCGSVTFFIGFLLLPWVLALIIAFYVAWIVSAISMAGRRFLIGSFSDVARETCKTLYYHLESGQQKMDFIISK >A06p011850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5435135:5436848:-1 gene:A06p011850.1_BraROA transcript:A06p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLNIGSYIFVFLLSSLATLAPQVQANIAVFDDYWTQRQGDALKQTLASFDPYPLNVTNHLNYHVALALDTTESTNSTRRELSQARRGRKMQNRGKCVAHNPIDKCWRCDRDWEKNRKKLAVCALGFGRRTTGGKDGPIYVVTDASDDELISPRPGTLRHAVTRDGPLWIIFARSMVIKLQQELMMTSDKTIDGRGARVYIMGGSGLTLQFVNNVIIHNIYIKQIVPANGGLIRDSEQHIGLRTRSDGDGINLFGATNVWIDHVSMTRCSDGMIDAILGSTAVTVSNSHFTDHQEVMLFGANDKHEIDKKMQITVAFNHFGKRLEQRMPRVRFGTIHVVNNDYTHWEMYAIGGNMNPTIISHGNRFIAPPNEQAKQITKREYTSYVDWKLWNWQSEGDYFLNGAYFVQSGKPNAWSPKPKNPIPNKFAIRPKPGTMVRKLTMDAGVLGCKQGQAC >A08p039030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22520945:22522493:1 gene:A08p039030.1_BraROA transcript:A08p039030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILEKKKKIHDLIKRASSVIDPLSPFDSFRRYTKNELSVYLESGRGDRLSSSLKQHIRKLLKKNMEGFYGSNWPLQAKVKRNEMTSPDARYIFVRELLRYGSKAYETASTQRSCEEGIAGFVHYRFTLEEEIPVLYVYEIQLESRIQGKGLGEFLMQLIELIASKNQMSAIVLTVQTSNALAMTFYMSKLGYRISSISPSKANLPTFSAKYEILCKTFDSEAKSVLEVRSFPFTCITRIYDKIADQKSDGEPTRDCVSSIE >A09p081490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59278058:59280179:-1 gene:A09p081490.1_BraROA transcript:A09p081490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 2 [Source:Projected from Arabidopsis thaliana (AT1G05590) UniProtKB/Swiss-Prot;Acc:Q9SYK0] MFIFPKLHLLLIFLISLPSPFLSTTTPINIWPKPRFLSWPQHKAITLSPDFTIISPQHQHLSASVTRYHNLIRSENYSPLITSSIKLTKGYTLSKLVVTVTDLSLPLHHGVNESYTLSIPIKSSSAHLSAHSVWGALHGLETFSQMIWGKAPELCAPVGIYIQDSPLFGHRGVLLDTSRNYYGVEDITRTIKAMSANKLNVFHWHITDSHSFPLVLPSEPSLAAKGSYGPDMVYTPEDVSMIVQFGLDHGHTGSWGEAYPDIVTCGNMFWWPAGKSWEERLASEPGTGQLNPLNPKTYEVVKTVIQDVAKLFPEPFFHGGGDEVIPGCWKTDPAITSFLSSGGTLSQLLEKYINSTLPYIVSQNRTAVYWEDVLLDAQIKVESSVLPKEHTILQTWNNGPVNTKRIVAAGYRVIVSSSEYYYLDCGHGGFLGNDSQYDQQGGGGGSDGGSWCAPFKTWQTIYNYDITDGLVDEEERKLVLGGEVALWSEQADPTVLDSRLWPRASAFAESLWSGNRDERGVKRCGEALDRLNRWRYRMVKRGIGAEPIQPLWCLRNPGMCNAAVHSASEDL >A05p004650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1801582:1805599:1 gene:A05p004650.1_BraROA transcript:A05p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISVGGDSKKMEVEPKESLSAADLDMPSLELRSTETFNGGSASDLSMFSSSLSTLLHEKLNMTDSDSWLSLDDKLGVGNSENDSLEDVEPDSLETLLPEDENELLPGLIDELNFNGLPDELEDCDVFCTGGGMELDVESQDNHAANAFASRKCPNTSGRVSVEHPNGEHPSRTLFVRNINSSVEDSELTALFEPFGEIRSLYTACKSRGFVMISYYDIRASHAAMRALQNTLLRKRTLDIHFSIPKENPSEKDMNQGTLVIFNVDTTVSNDELLKLFGAHGEIREIRETPNRSFHRFIEYYDVRDAESALKALDRSEIGGKCIKLELSRPGGARRVSVPSTSQDLDRNEVANFFNQVANSPPGNWPVGSPPSHAFPRPHGLCNMPGLASILPGHQPSRYQGLLNHPNQTILNKGLMHNVAYGQPHSLPEHIGGGISNSMRFIAPPHSSGFGTSSDNRYRWGSPPQHMNYPGYTDASSSSSKHGFPFSERQGSLLGKYQHHVGSAPSSSHFNNHMIPFGFGDMGVDKSYPNAHGQANVGVNFTGSGMSLLPTVPFGGSRGLQSVRREPFAEEGRITHFETQLTDGGRYTYMMLVAEIDEKHKGDYDFLCLPIEFKNKCNMGYAFVNMVSPLHIVPFQQTFNGKVWENFNSGKVASLAYAEIQGKSALASYMQNPSPMKDEKHLFPQVSHYNDDDGQDSNDQKQPFSSIWNITTPDPDWSYTNSRENINSKNIVEESS >A04p018080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10908496:10910052:-1 gene:A04p018080.1_BraROA transcript:A04p018080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQKAKEIVSGNAVVVFSKSFCPYCVRVKELLQQLGAKFIAVELDKESDGSQVQSALAEWTGQRTVPNVFIGEKHIGGCDSVTNLHRDGKLVPMLTDAGAIAATAGTTSA >A07p005970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1846283:1847780:1 gene:A07p005970.1_BraROA transcript:A07p005970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGNMVMEEKKMSKASSVQGHESTWLGWLESSKDLIKPEDKRPDQEVLPFPMFKVSQKRDVGSSSKAVVANRMPWMNALGDKEKFSSSSNRLDFPVQEQTTQNLLELIRPVRFYATVDSVQRVSGEINLPEEDGRQLLKGSMKLKGKIFGGYLDLFPNVDYQHRGGVRLQSLESSKDTEEDGLIRQNESSAETDILEMDKLQRIHLSGSISSSSTKGKGRIGDSGTPRTEIPDMNEEPPLVLDGEGETSNSATQSMNVDHFLSRDCKRVRLEPEVEPSSRWVKRLKTTAAASDSSGQGETKSLMKNEAASPAQKENINNLFLEILKSGINNLQPRNQEPVTPEINQGGEGVTLLHPWIQRWCKKKATSTDRLEGQEVRFEIENQKELEKKQYPSIAAMALMGKALSGLNPYGLKKTDSLMVWNARDLR >A01p046580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26225953:26226354:1 gene:A01p046580.1_BraROA transcript:A01p046580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAPPTKQGKTEFEKPQEQIHKIGITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKVTTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADSFMRFNSQSCL >A05g501320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4964927:4966386:1 gene:A05g501320.1_BraROA transcript:A05g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVVTIHKIFSDANMPPEFDEIDEKEGSNDDSDGEDHKRFNLESPRLFTEASYCSDASRRVSLNEGQGSPERLSPLPRFLSVGRTFRLSLRGRLGSFDSEKAWNVKKSGELMGVDLILVDGKKKKTILDGTRSKQSFRFADAHVLLLFEEVPESRNFIPKAHNSICFIPDILGGDNNPGHDIPGAGAVTTDPSQSVERGIHDNSNRPSNHVMVESLSGTITEPSDIPVSSDMSSAESVEAVCAHSAKKPHHG >A04p040350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22778123:22779734:-1 gene:A04p040350.1_BraROA transcript:A04p040350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESSSCSTDHCIKVVPTHGGRYIQYNVYGQLFEVSRKYVPPIRPIGRGACGIVCAAVNSVTGEKVAIKKIGNAFDNIIDAKRALREIKLLRHMDHENVIAIKDIVRPPQRDIFNDVYIVYELMDTDLQRVLRSNQTLSHDQCRFFVYQLLRGLKYVHSANILHRDLRPSNVLLNSKHELKIGDFGLARTTSDTDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTGQPLFPGKDYVHQLRLITELVGSPDNSSLGFLRSDNARRYVRQLPRYPKQQFAARFRKMPPSAIDLLERMLVFDPNQRISVDEALGHAYLSPHHDVNKEPVCPTPFSFDFEHPTCTEEHIKEVIYKESVKFNPDH >A02p006600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2792961:2793323:-1 gene:A02p006600.1_BraROA transcript:A02p006600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRREAEAWSEMEAVARKMVEEVETESSGSSEAETESPRSVGRWGAAPTVTGKTAKERVHSQVLKIREEDLCVLVEARAASLENRRYVHHPPRLGLFLISRPSLPCSPLSGKVRSVNAVQ >A09p049980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44064064:44065435:-1 gene:A09p049980.1_BraROA transcript:A09p049980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMRSPLLWDWENLIISNPSNDKKQLTTTTTSEWEIEKGEGIESLFPCLDGLQRVSNDSTTSFWHTTSVSKSSQSTSTNSSSPIIKQTKLASENSPGDSCSNIDFVQVKTSTAVESDLCLKLGKRTYSGRDVSPVSTKLLAPCVVTRKKSKSCGQSSMQVPRCQVDGCDLDLSSAKDYHRKHRVCETHSKCPKVTVSGVERRFCQQCSRLHAVSEFDEKKRSCRIRLSHHNARRRKSQGVFPFNPERVYDQRQHTNVVWGTTCDTKPTQRESGFTLSFQRGNGSKEEQLFASSNHSLSAYQTSGGFSAGKNKFQLGVGEYSEVLHQSQDFHRAFSLLSTSSGPLVHPHAQQPLSLLFSFDGVPK >A10p004840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9048904:9050421:1 gene:A10p004840.1_BraROA transcript:A10p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRWPRVLTPTLLSQILKKQKSPVTALRLFDQAKERFPSYNGHNGSVYAAMITILGKSNHHIPEMKYLIEKMKEDSCECKDTVFASVIKTFSRAGRLNDAVSLFKSLREFNCVNWTLSFDTLMHEMVKQEKLEAACGLFREYCYGWEVSSRINALNLLMKVLCQANRSDLASHVFQEMNYQGCYPDRESYRVLMRGFCQEGKLHDATHLLYSMFWRISQKGSGEDVVVYRMLLDALCDAGEVEEAVEILGKILRKGLKAPKRCYHRIEAGHWEGTSESRERVKRLLTEALIRGAIPSLESYTAMATDLFEEGKVAEGEEVLLAMRRRGFEPTPFIYSAKVKALCKARKIEEAVSVINKEMMEGHCLPTVDVYNVLIKGLCNEGKSVEAVGYLKEMTKHVSCVANEETYQTLVDGLCGDGKFVEASQVMEEMLIKSFFPGVETYSVLIKGLCGMERRYEAVMWLEEMVSQDMAPEPSVWKALAESLCFGAVDVLEILDHLVSSKC >A07p034800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18873446:18873792:1 gene:A07p034800.1_BraROA transcript:A07p034800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTVVTSCGMRISMFGYKNYWISNQLMIEASKSCKRHLLYMPSSQVGPPNVFAMDEFMLRRRGFLPPNGRDMGFDPLDSVDRRNREPLPL >A09p043690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35557971:35559322:-1 gene:A09p043690.1_BraROA transcript:A09p043690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGGRLAGKEAAYFFQESKHAVNRIAEKSPPTGKKLPPPSPGGIQPDVLPEILRHSLPPRLYGPPPDPSSLSHFSKWNLPSDPNAVVSVSPEVLNPLRGYVSLPQVTFGRRRWDRPESESSVLASTANELRRDRYGTPVHPDKLRAAGEGLQHIGKAFAAATVIVFGSATLVFGTAASKLDMRNADDIRTKGKDLFQPNMESMKEKVEPLRTWAENMSRKWHIESNDNTIKEKPIFKELSRILGPKT >A07g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:946356:948312:1 gene:A07g500440.1_BraROA transcript:A07g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSDEFDITSLLEAEAAMYADEGESSYNAAEPFKYSPPEPRVADDGIPTPCGGGGGDPVVATSEMSDFQRQLRELKDEGHVNASKLDKLERTVGELSKKEVEVIHGFALEVYVMVYGLVLIGLAAELERITRTVSDLQSVDTEDEVGEPQGRPIGVKAAKAAMKKKIVVKKSHWQRYTPSWKSKKQSLNRNCLNVYWPKKSHSLRWKHLLNSNLCAAVVVLTKSRMLMELLTNQVT >A04p032700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19071331:19090218:-1 gene:A04p032700.1_BraROA transcript:A04p032700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTRGSEQRRLQCLQDIQKLQEEIKLLQISNEKLNGVGLDDMSFTELASLGSMLDEGFRIVDEQLDNAHEEITTKRLFEYDLMGGDWTQRIEKEDLAYQSLLAGRRVALRNKAREFRLSPPETQPWRSDDPERLKMDIDSLEMEKERLRLFNQRMLGKELDGMSYSELFVFSFEISGAIMKVVSMKKIKRDEEMGKTKRPRPSVNKSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFCHEEAYHLLFLEKNHQKPLERARLSDNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFCHEEADHLLFLEKNHQKPLEMSTCILGALISLRTGSTIIYTTTFVLGALKTPNIKDKTKLIGKRSEPASKMPRTTRSSVQQRLQCIQVIEELQELKLLQIPNEKLNGEGLNGLSYSELSSIENMLNQGLVVVEEQTDKAYYDFATMQIVESDVMGMDWTDKLEKEDLAYQARLSRRRTAVRNKSRELRLSPQDSQQEHGHDHEELMLTIESLKIEKKRLLLLSQRMIGKELDGMSYAELYVLGFDITRALMNVMQEMDKIKHAARVSKFFSLLAMNPDGFPTGNLSTTGKEFVGRSTADDQVESLKEAMANINLADLTQEELRDLHKKLLSFHEKLAQRAEEEQAIIKRLNHDWQSLCLNAAMGICVRNHCCSRLLFITFLSALTIVNDALSPDGEALVSFRSGVSKSDGGISQWRPEDPDPCNWKGVTCDSKTKRVVALNLDHQTLRGPLPPELGQLDQLRLLMLHNNALYGSIPAALGNCTALEGIYLQNNYLTGTIPSEMGNLSALKDLDISNNNLNGAIPVSLGQLEKLTTFNVSNNFLEGKIPSDSLLARFSKDSFIGNLNLCGKQIDVVCLDDRGNSSTGSTAGQGGKTVKLLISASATVGGLLLVALMGFWGCFLYKKLGIVESKSLAIDVSGGASIVMFHGDLPYASKDIIKKLEALNEEHIIGCGGFGTVYKLDMEDGNVFALKKIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDEALHGKILNLHLHPRKRGEQLDWDSRVNIIIGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKLPTDTSFIEKGYNVVGWLNFLISENRAREIVDRSCQGVETESLDALLSIATKCVSSSPDERPTMHRVVQLLESEVMTPCPSEFYDSSSD >SC130g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000021.1:223180:223875:1 gene:SC130g500010.1_BraROA transcript:SC130g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELSEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRLLKTCYAENNPSTIYVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTQAIFYGDAQYFWRIPRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYLCQCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFRDFELISLFRDTSKW >A03p064920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28284473:28289228:1 gene:A03p064920.1_BraROA transcript:A03p064920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVEEYKPVIAMLGLQLCYAGVTLTSEATLVNGLSPRVFILYRQAFATIFIFPFILFSRYEKVNFRNIRGLAKILGTVICVVGAVSMALIRGPKILNSEFSLPIAKSLLGDIKDQNLWLLGCLLVFVSTLCWSFSLIVQVPISAYYPDHLSLSAWLCLFSTIQCAIITFFLEKDPNAWILHSYSELATCLYAGVVTSALSTTVQAWVISQRGPLFSAMFSPLATVIVTILASMFLKEEMYTGGLIGGLFVIMGLYMVLWGKAKDVDVMIIQEQIDNTKNSEVKIQIEDSSDTEKEREMGNVEEYKPVMAMILLQLCYAGVTLSARVTLVKGTSPRVFILYRQVFATIFIFPFLYFSSITMNQNLYCEGIYLASSSTGSAMCNIIPALAFLISYLAGYETVNIRNVRGLAKISGTVLCVVGAISMTLLRGPKILNSESTLPLENSLLGDLTDQNMWLIGCLCVFASTVCYSLWLTFQVPVSAYYPDHLSLSAWMCLFGTIQCAVVTFFFDKDPNAWIIHSYSELATCLYAGVVSSALAFTVQAWVISKRGPLFSAMFNPLCTVIVTILASLILKEEMFTGSLIGGICVIMGLYIVLWGKAEDVMINQEQRDITNNSEVKIQVEDSPNTVNCNGDLKNPLLS >A02p057910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34829482:34831417:1 gene:A02p057910.1_BraROA transcript:A02p057910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIGIMDSAYFVGRNEILGWINDRLHLNLSRIEEAASGAVQCQMMDMTFPGVVPMHKVNFDAKNEYEMIQNYKVMQEVFTKLKITKPLEVNKLVKGRPLDNLEFLQWLKRFCDSINGGIMNENYNPVERRSRGGKEKSVKGSSKVSKSMQTNNMHQPPPVATSNKTFGPKQAKSHAVGGGSNSSAEVQALSKELEDLKVAVDLLEKERDFYFSKLRDVEILCQTPEIDDLPIVVAVKKILYAADANESALEEAQECLSQSLGLGAEEVEQEAETQT >A09p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5072912:5077759:1 gene:A09p009880.1_BraROA transcript:A09p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDRKLATHNIINRGLETCNWMKKRSVQTTTVRSNSLKLRTLSFLLRRRSRRRPHPSGDMTRKKRQKNKPPVRIPTGSTKPITSDTPAKSKTPDSFSAVKQKDLSDSSLAGVAAQSTHSSVPDKTISSSDLVEKVETPNSKTLATETPSVPSAQKQSNIPAESKNHASSDRTSSPPPTEQKNPAEIWKGKAPIKSLLPIVPQPNLVYRQVGIKARDNPIMPSQTNDTPHKQQIVTQPPTDTLVQQPGTGKVAHTTTVEAGWIIRGARSEAAEELHIYLTSLQLPSLSTTEDTYVWVVD >A04p033220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19339959:19343269:1 gene:A04p033220.1_BraROA transcript:A04p033220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGARSSPGPSQPPPPHHPPSSPSPSSSLPVVPPIRRNLAFASTKPPFSPSDYHRFAPSHLTDNDKSLVNVCELVDREDDDVVVHRSPSRKRKTTTDVVAAPSNSNGLTSSGFSTISNSPCKTPVSAKGGRVNTKSKAKGNQSVPQTPISNAVVGSPATLTPSGSCRYDSSLGLLTRKFVNLIKQAKDGMLDLNKAAETLEVQKRRIYDITNVLEGIDLIEKPFKNQILWKGLDTSGPGDVDADVSVLQAEIENFSLEEQALDNQIRETEERLRELSENEKNQKWLFVTEEDIKSLPGFQNQTLIAVKAPHGTTLEVPDPDEAVDIPQRRYRIILRSTMGPIDVYLVSEFEKKFEDTNGTATPPPPACLPIASCSGSSENHEIEALIVDNKGTANEHQASQDHAHAQPGDTSDLNHLQEQVGGMLKITPSDVENDDTDYWLLSNADISMTDIWKTDSGIDWDYEIADVSTPPPVMGEIAPTGIDSTPR >A09p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8985889:8989453:-1 gene:A09p017310.1_BraROA transcript:A09p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptide peptidase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G63690) UniProtKB/Swiss-Prot;Acc:Q8W469] MDSLRFLRILLLSASILLVSLLSTVTAGDIVHQDDLAPKKPGCENDFVLVKVQTWIDGTEDAEFVGVGARFGRRIVSKEKNANQTHVVFANPRDCCSPLKTKLIGDVVIVDRGNCRFTAKANNAEAAGASALLIINNQKELYKMVCEPDETDLDIQIPAVMLPQDAGATLEKMLINSSKVSVQLYSPRRPAVDVAEVFLWLMAIGTILCASYWSAWSAREAAIEHDKLLKDAIDEIPSTNDGGSGVVEINTLSAICFVFLASGFLVVLYKLMSYWFVELLVVVFCIGGVEGLQTCLVALLSRWFQRAGDSYIKVPFLGPISYLTLAVSPFCIVFAVLWAVYRERSLAWIGQDVLGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWLANKTLRTGYFIWAMVAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTMLTLARKRDDLWILWTKGEPERACPHHVRLEQCSE >A03p022010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8865245:8865631:-1 gene:A03p022010.1_BraROA transcript:A03p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMKLACFLVACMIVAGPITANAALTCASVVSNMARCISYLGGSETISGACCSGIRSINGLSRTPSDRQIACGCLKRVATLPNINADRAAGLPNACGVSLPYNISKSANCTLYVDFNLSRYLSLSL >A07p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18354277:18355229:1 gene:A07p033550.1_BraROA transcript:A07p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPNLSPSFLLQTGKSLKEKPISTHSLSISSSSSSSSNSYEFEEGSLSLLSLPVQAPPAPGAQVKTMPSEQDKHQQHGKDEFYINLGLAIRTLREDLPLLFSKDLNYDIYRDDITLVDPANTFSGIENYKLIFWALRFHGKILFRDISLEIYRVWQPSENMILIRWNLKGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPHQLKPATSVLDLVTACPASPNPTFMFGSSYSSSWIEFYQAVQRTLDKQQDQILMQDRFVICS >A06p004910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1401975:1404382:1 gene:A06p004910.1_BraROA transcript:A06p004910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGQIASALQKMSNVTIIDEKALNECLKEITRALLHSDVSFPLVREMQNNIKKIVNLEELAAGHNKRRIIEQAIFSELCKMLDPGKPAFAPKKAKPSVVMFVGLQGAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKARIPFYGSYTESDPVKIAVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFVDKLQDVVPKNQQPELLEKLSQGTFTLRIMYDQFQNILNMGPLKEVFSMLPGGAADMMPKGHEKESQAKVKRYMTMMDSMTNEELDSSNPKVFNESRMMRIARGSGRLVREVMEMLEEYKRLAKIWSKMKGLKIPKSGDMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSGKDMMGMFGGKDK >A01p020790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10158745:10160983:1 gene:A01p020790.1_BraROA transcript:A01p020790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYVVFGVLGIVVATLELSKNNKDRINTSSAFTSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFSKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKVLMLGRVLGGISTSLLFSSFESWLVAEHNKRGFEQQWLSITFSKAVFFGNGLVAIIAGLFGNLLVHSFSLGPVAPFDAAACVLAIGMAVILSSWSENYGDPSDNKDLLTQFRGAAIAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLLSRSSPKVESYMQIVFLVSAASLLLPIIMASLVAPSKVKGGGISFSGCFQLLGFCIFEACVGLFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLFVASLLQRRLMMIVDKPKTNDWTPLNERNTEDDPLNV >A10g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10625375:10632381:1 gene:A10g504320.1_BraROA transcript:A10g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKQMITLTKKSDPVKFAIPCVVKGVEFPHSMCDTGASVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITLPNEEHTEEFDEDYWKERAIEMYFQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSITSVKEHHSIFAPQRKFRSRRIFPPQLEKSMKINHLKNTSSAEITLPSIDVIVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFIDPNGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIKTDPNNHVGFATTEINPDLSHQPKGQASINGTTETSIDRVTLTSIDRDDPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLEKASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMMTEIESLRQQLEKEATTSASIDAPHATLIDVSLPTAQTPAEPQCSTQHMDEWEVSYINTRINDVYCPLNNNVDWLSTKFELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTLAKLIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNVHERLQNISNVLEKIDDKWTRNDEATRINHTTIDVTLTHRSISNPDLEAAIFKARFRKELLDIGQKEVNKTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNSEREKLGTNFYLQL >A09g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21246133:21246846:-1 gene:A09g507220.1_BraROA transcript:A09g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFVFLTDLNLASVLSPLRCDFSAERIQCRYQQKILDIIDRFFESGDKDHLWHSRLLALVERIQRDDGVDGGIFKETISGAVGEKMILI >A04p024340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14743705:14745290:1 gene:A04p024340.1_BraROA transcript:A04p024340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA32A [Source:Projected from Arabidopsis thaliana (AT2G24490) UniProtKB/TrEMBL;Acc:A0A178VTA7] MFSSSQFEPNSAFSGGGFMSSQPSQPYDSSSSSTTKGLVPVTVKQINESSHSGGEKSGIVINGIELTNVSLVGLVCDKDISKVTEVRFALDDGTGRIDCKRWVNESFDAREMESVQDGTYVRLNGHLKTFQGNKQLLVFSVRPIVDFNEVTFHYIECIHFYSKNSDSQSGQQVGDVTQSHTVNTNQATTLNPVMPSQNSDGNGRKNLDDMILDYLKQPACTARQQGIHLDEIAQQLKVPKNKLEGVIQSLEGDGLIYSTIDEYHFKHVEL >A03p011940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4764013:4765411:1 gene:A03p011940.1_BraROA transcript:A03p011940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRSSSSGDLVPAGNSRESQLQIVDLTSSRRKSLHGLNRPRPSSRRSKGGVDDSDRRSYASKGFHLPKGWTVEEFPRRNSYHIDKFYVERKTGKRFRSLVSVERYLKQSGNRTDQQQVVLLQHHPVPSKDFNLPDGWIVEEKPRRNSGRIDRFYTEPGTGKKFRSLPAVETYLNGTVDSVDSGQLSILANPDGIGFESVDIDPNPPEKVKWVLTGPSGNMFSAHVSGSDVSSSVQKTWSEAFVSLLQERV >A09p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24757800:24758091:1 gene:A09p036910.1_BraROA transcript:A09p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDLQARDLLVTPSHPNTFPKCRNVKLFRGIENDPLELIKYTERECHAWFVENAPNDILT >A02p047650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29724958:29726887:1 gene:A02p047650.1_BraROA transcript:A02p047650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLHRIVGSITRATITHPISSRVPHTLPSILADFMSTKPRNDDDDDKWNDAWESAWLPDDLTDKTRAPWETDVNFPSTEGEVDVEAKAFVEDMNEHWDERRGKSGKEEKKKERREVGGGGESLYSVETMKKDYRLKKQRVHASLWVKEIEKMEEAKLGDDSGSVGADDIDRLLDSCSEIFDSVDHDFDKLEVSSGSELKNKPDGWESTTKEQDGNLWEMSQREEDILLQEFDRRISFCKFQIASFIKQHIFSRRRPIDGWRYMIEVIGPNARKGKGSVSRLPALSDVSTQPFKEESGSLSTFKRRSNRDL >A05p055320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32667307:32668504:-1 gene:A05p055320.1_BraROA transcript:A05p055320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKFFFNPSTSHGNMFFLGNLNPVVQGSMMNMEESSSKRRPFFCSPDDLLYDDVDYYDDQTPDKKRRLTHEQVHLLEKSFEKENKLEPERKTQLAKKLGLQPRQVSVWFQNRRARWKTKQLERDFNLLKSSYDQLLSNYDSILKDNHLLRSQVTSLAGKVQAKEETAPCQVPESNQLDPVNLNRFEPAIKTEDRLSSGSAVLDEDAPHQLRDSCDSYFPTIVPIHHSAEEDNNGSGNDTGCFADVFVQGESFGFWGWT >A02p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13332050:13333149:-1 gene:A02p027490.1_BraROA transcript:A02p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein a [Source:Projected from Arabidopsis thaliana (AT1G74520) UniProtKB/Swiss-Prot;Acc:Q9S7V4] MGSGVGSFLKVLLRNFDVLAGPVVSLVYPLHASVRAIETQSHADDKQWLTYWVLYSLLTLFELTFAKLIEWVPIWSYAKLILTCWLVIPYFSGAAYVYEHFVRPVFVNPQSINIWYVPKKMDFFRKPDDVLTAAEKYIAENGPDAFEKILSRVMLSLSPLKQILLSKFQNVTADKSRRYIKEHETMYGEEYQYEGNFKSY >A03p009440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3782756:3784858:1 gene:A03p009440.1_BraROA transcript:A03p009440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITINGVTETWINKESPSTDIQKFWTWCTECMAWYRLHRSFLHMSNTCRSCNKEFYAREIPRQGLLPGKDSSSKDIMHKRVLIKRLRGIQQSHPVASNTRPSFWTTCRDCGHRERFLKLYVDKWFVCEKCHGETIAMEVLARSGEALFNKLFLELKPGSKKNYSSGLSCGVKVVGEKRKREEVAANGDEASSSGNAKVDDNFGLCDSSSGGDVKPKIAECAADLKFNDFDKVREEVNFAVGQVWALYDTTDKVPRQYALIRKVSVPSFGLRITYLEPDPDDEEEIQWFEEDLPVSAGKFRLGKNQNTQERSLFSHVIHCNQGSNSGHITVSPRKGETWALFKNWDIKPDFHRSYEYEFLEILSDYADGAAGVSVAFLHKAKGFASVFFRMGTGGDADVVQIPSHSLYRFSHMIPSFKLTGTDAKGVPKYAYELDQAALPEKIPEVTVPSHLLAALKPKPEELSFTINGKAFQAGQIWSYIGCFDNMPRDYCRIYKISLTQAFEQAPIYKMHAFRFKATPFPKDITPWRHERYGEKKMPVGWGTYLLTQGSLALTPDRFSHLIVPVTSKGSNEYTILPKVGEVWAIYRFWTPYLSADEMEKNYVDYGIVEVLDDALDYKVIALEPALQFEEDERKKRVFRAAESKPLDFDDDDGSGVIFTIPRSKMLRFSHQIAASRVTKEIDGELKELFELDSTAVPVL >A05p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11657923:11658962:1 gene:A05p024060.1_BraROA transcript:A05p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSITWCWYRWTLSQDQQAIAELPVNSIPKYCNLTSDLREYKSLIRCMPNTTRRNKEQTLLFSDPACLERSICKEKRTASIDNNIRTSTDTRLPPSTETTLPSTAHTHPTHFTSNIDPRDMVATIVLIHDATGNLHDQEGHLRNAACQKIDDHEDVIHDTDVDITASQAVEEASRPKTLADYNMPDQFYENILWEQKFALSIFVKIRKVTKL >A01p040500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21610422:21612215:1 gene:A01p040500.1_BraROA transcript:A01p040500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKNKKIKHIDELPEDLTVELPEHLVEYIISTYLPIQYVLQNHVVSKTFREAAIRSRDLDFGRIYSRRRSQSEVVHIIEEIFNQHKGSEINRFVLILNHIGVEDKVLSWVKTCLSKNIQELTLNFSKSKKVMDLSVDFSAIETLTVLNLRWCKFEIPNNTPKGLRLLRTLALMKSNVTPEMIDAIFSNCIHLETLELTRCITHGVLSINAHNHKKFKELVLYCMPNRLQIILDAPTLECYKYEGFVRILDFSKVDALKEAKLHYIQNYNWRYYDSSNMVLANMVAYTGVHVLSTTNIFLEIKEFTFEPGMLWIIHQMSYMESSNCEFNSIKEVTIDGYKNHWHELDIVEFFCRHAKSLKKLKLIIPKNVKKRARGLDYARLDYLKSRFTGVIVEV >A08p009960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7952389:7952814:1 gene:A08p009960.1_BraROA transcript:A08p009960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSICRPETSRFNVVDSAVQLSRPVVTRVRGRKIFYGCVLPSTYSVFALSGSWTSGLVSHTSLSDSLVTHPSFCPISGETGEYMIFGRIGATGLFIQIFIWA >A08p040030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22896721:22899120:-1 gene:A08p040030.1_BraROA transcript:A08p040030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWKMKAEKQGYFTQEEWTRGLVALGVVTLDKLKKALPELEKEHPTMLKVRKQSNFADFYAYAFTYSLTEEEQKSIDIETISQLLDTVMGSTFRPQVDSFVDYLKIQNDYKVINMDQWMGFYRFCNEVPLRHSDILFSISRSFPSRDITELESAINSLIEVYHKYSNLIDPQGIELLCSDLDVSRTDIRILMLAWKMKAEKQGYFTQEEWTRGLMALRADTIDKLKKALPALEKEVRRPSNFADFYAYAFTYSLTEEEQKSVDIETICQLLDIVMGSTFRPQVDYFLDYLKIQNDYKVIYMDQWMGFYRFCNEISFPDMSEYNPGLAWPLLLNNFVEWIREKQA >A06g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17800266:17801076:-1 gene:A06g506280.1_BraROA transcript:A06g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIATSHCLADSIFSLGNHCLQLSDRKRIYVKLKITSDLRIFGVTISVIEVFEESEGRKHGECSLLDLATVLEFKKKLCEANSVSESHVPDSLLERLISGTIEFPPACAIVGGILAQEVIKAVSGKGDPVKNFFYYDAQDGRHLQLFYLLTTES >A04g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10673159:10673942:1 gene:A04g504930.1_BraROA transcript:A04g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVHFFGQTKTMTVLLMVSLAQTKHQCHHVPALIILKGARGKQVVAQSCSSSIFHRSHHCRVELQYCVKVSNHEEFSVGKYIGGAVFIPKSSQAEILCRSKCHKRTENIVDGILFLCPVIFSDCSLKSKLSKRGEIGFNLPFLESCEDVEGEQGEECDCSRWVLQISDRFLNTKTAFGRLSFVVCSPGGDGPTDHCGDSAKGSVVGVEVDAHWKEMK >A02g507560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21055449:21055670:1 gene:A02g507560.1_BraROA transcript:A02g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKNRDLRFYLNDLNAGGYTPQKREAGGLVPAIGDLGCAGGGRRRRFHGGLVAAAALESRRASLGGCLMAQL >A08p012140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11358430:11363033:-1 gene:A08p012140.1_BraROA transcript:A08p012140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILNKLRNLDAYPKINEDFYSRTLSGGVITLVSSVVMFLLFFSELRLYLHSVTETKLVVDTSRGETLRINFDMTFPALACSILSVDAMDISGELHLDVKHDVVKRRLDSHGNIIESRQDGIGAAKIEKPLQKHGGRLEHNETYCGSCYGAEAEEHDCCNSCEDVREAYRKKGWGVTNPDLIDQCKREGFLQRVKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFHQGGVHVHDLLAFQKDSFNISHKINRLTFGDYFPGVVNPLDKVQWSQDTPNAMYQYFIKVVPTVYTDISGHTIQSNQFSVTEHVKSSEAGQLQSLPGVFFFYDLSPIKVTFTEEHISFLHFLTNVCAIVGGVFTVSGIIDAFIYHGQKAIKKKMEIALSLTPARLSWRASPSSPISWRSGQDDSWRQSTATSKHKERMKKENSIYISVSIVSLLLTANLPNHPESLLYSFPTSASDIRCLSILPSTKTSLPSSLYEKKPPSYAFIN >A09p010630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5412909:5414093:-1 gene:A09p010630.1_BraROA transcript:A09p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSPCSACKFLRRRCTRECVFAPHFSADQPNKFSCVHKAFGASNVAKLLSELTFNQREDAVTSLVYEAETRIQDPVYGCVGLISLQQQRLKQIQRDTDVARRELATYIGPQAMLPILQPQQTHLMPQTPPLQQFVPETKQAYFMPETQQQTQQPQPETQQTQFMQQTQETQFMPQTDQTQSMPQTQQMQFMPQTQKFMPQTQRPSSSSVSAELTQQQHHDLFPSMAIPTAQLYQQQFFEFQQLEAVAREKHSEMLRAYGEEGSSSSHQHHQNQPEAQVLRFNDGFDSVPTGSVTSTGFNQLTPSGTTVTSVSHSLALGGTYDIETQLTMPTQSSQQLPLQTHDAQLFMSTQASKPQQQHCEAQLSMPSQSSQPLPLQTKETQTSSESDEGS >A10p033560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19623422:19625135:-1 gene:A10p033560.1_BraROA transcript:A10p033560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQLRKKIRDLGVEMVEPTCVKRKRVLLVTYGFFGSNGGHDGPLLQPPSEIESDEGTALREWRRQNAIHLEEKEKREKELWNQIIEEANQFKEEFHKKREQTCENNKAANREKEKLYVENQEKFYAEASMNYWKAIAELVPKEVPTIEKRRGKKEEDPKKPSISVIQGPKPDKPTDLSRMRQILLKLKQHPKNVQTKPTEAVAAA >A05p012430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5384687:5385747:-1 gene:A05p012430.1_BraROA transcript:A05p012430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSHLFALHSRLLHTPSPLFFAPNRNRVRIRAKSCPENQSFDLDTTNKTQGDEKSMSRRHWMTTCVCLSPALITNAYTFVSVQSAEALDKKPGVCRNCQGIGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPGARELLDKMYNGRLLPNS >A10p008720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8286039:8287613:-1 gene:A10p008720.1_BraROA transcript:A10p008720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRDPTGPVSAARFITKPNPRIPSSDPWLGLVPSANTRRRRRVVLTLSNSDSNGSVKSATPSTPPTGDDTVFVGQENVPLEGVIQFDKPKSDASIKKWGRVALLAGGDVLALLIFSAIGRFSHGFPVFSFDTLHTADPFIAGWFLSAYFLGGYAEEGRGMKGQSKAVLAAAKSWALGVPLGVIIRSTSSGHIPSYSFILVTMGSTAVLLIGWRALLFSVLPSESKKKDDTYRKGSAFELFELLTSLIRRW >A05g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2328061:2332949:1 gene:A05g500580.1_BraROA transcript:A05g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKFLSNLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYEICPSYPYFLSQPPVALIYHIFCFSLSFYAVLETRNQTLECMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNKRHPQSNQQAVPANENSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETVLGTEENSEQSASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGVENQNGREIRTTSGTQNDHVLPPSSSHHHISLQKKKK >A09p014160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7271627:7272148:-1 gene:A09p014160.1_BraROA transcript:A09p014160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGYSFVVLTLFSIVLTQIYGLGKVDPMYDLKPEETLAIHNQIRADVGVAPLVWDDKLAAYAQNYANVRSKDCAMKHSTDGMYGENLAAGWVQPLDTMSGPIATKFWLTEKPNYNYDTNRCSGVCGHYTQIVANQSQRLGCGTVRCHNNEYVWVVCNYAPRPMGDANTRPY >A05p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29312155:29315034:1 gene:A05p050350.1_BraROA transcript:A05p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:Projected from Arabidopsis thaliana (AT3G07740) UniProtKB/TrEMBL;Acc:F4JFM6] MELISSLSGFLFSLTIFREEVRPSEGALVPMGRSKLASRPATEDANPGKAKRKKLSSGTENAPGPSISIGGEAGNERKPGLYCCNYCDKDLSGLVRLKCAVCADFDLCVECFYVGVELNRHKSSHPYRVMDNLSFPLVSSDWNADEEILLLEAIATYGFGNWKEVANYVGSKTQTECVDHFNSAYMQSPCFPLPDLSHTNGKSKDELLAMSKEHAVKKESPALVNLSPKEELPMSVEIKEEASGKEDSVDQPLPILAGVKKKANAPPQTQDTIKLEAAKQLSERSVGEKKPRLPGEKVPFVTELYGYNLKRQEFEIEHDNDAEQLLSDMEFKDCDTDAEREQKLQVLHIYSKRLDERKRRKEFVLERNLLYPDQFELSLSAEEKQIYNKCKVFARFHSKEEHKELIQKVIEEHRILKRIQDLQEARAAGCTTTTEANRFIEEKRKKEAEENLVRLNHGVPGSVAGKALKSPRGLQRNLQPFGSESLSKATLPIICSSLDNWDVSGLLGADLLSETEKEMCNEMRILPAQYFKMLETLTSEIKKGTVKKKSDAYSFFRVEPSKIDRVYDLLIQKGIGESS >A04p018260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10973746:10974907:-1 gene:A04p018260.1_BraROA transcript:A04p018260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHRVDRTDRHLQFQSPYEGGRVNIQFEGAGGGYGQSGYGGGGGYGQSGYGGGGYKSMMPESGPSSTQVISFLVGVPLVGSLLAIAGLLLAGSVIGLMISIPLFLLFSPVIVPAAITIGLATTGFLTSGMFGLTGLSSISWVMNYLRRTRGSVPDQLEYAKRRMADAVGYAGQKGKEVGQFVQDKAHDAKQYDISKPHDTTTTTTTTTKGLETRTAAA >A08g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:212037:212306:1 gene:A08g500090.1_BraROA transcript:A08g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRPLLLVLSLSMGDEYPASDSASHLVIVIKWWIMNFLYLQYSSWRWFSVVVVNSRCFLVI >A02p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3269636:3271517:1 gene:A02p007780.1_BraROA transcript:A02p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTTNQNRRPSFTSSTESSMRKRHGPPPSSSSAVKPISNTAVMVAKKRAPLGNITNQKKDSRIFPNSSSADSAHCPNKSAKLKLAAPTQPVCVNACETKSTCEEEVVPIERKAFSNLCITPSSDTTTNVMSETENKEDKFMNIDNKDDADPQLCATFACDIYNHLRAAEAKKQPAVDYMATVQKDVNSTMRGILVDWLVEVSEEYRLVPETLYLTVNYIDRYLSGNVISRQKLQLLGVACMMIAAKYEEVCAPQVEEFCYITDNTYLKDEVLDMESAVLNYLKFEMSAPTVKCFLRRFSRAAQGVHEAPCMQLECMASYIAELSLLEYTMLSHSPSLVAASAIFLAKYTLDPTRRPWNSTLRHYTQYEAMELRGCVMDLQRLCSNAHVSTLPAVRDKYSQHKYKFVAKKFCPSIIPPDFFKNSLY >A06p038890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20898739:20900037:-1 gene:A06p038890.1_BraROA transcript:A06p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSDASRLDEVDRTMYGAFRGAANSLSQLYTHAMNHQRVSFLAGERRGLEKLHQWIVRQEEEGTRVSTDDITTYLQNELEYEPEETSTPAPMQEFHQIHTQFAPPNVHTSSAAHAPPSHIPQHYDFNQEKVFLTQPNGLSSPVRRTLQDFSLREAEPGNHHPNSTGQGLF >A05g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2494814:2500290:-1 gene:A05g500660.1_BraROA transcript:A05g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLSHPHHLQVITRLPLSVRQMTYLGSRLAVDDLPFGRLAVDDLPGSRLVNAETTSKKSRRLPGSSDDFARRLLGSSDDFQTTLQEVQTTFRKSRRLPDDFQMTSRQLTIKEIRVGLESFSLGKKKIQTTSWKSRRLPESPDDFLEVQTTLSEDFQEVQTTSRRLTVRTLYNKNLPNEEKSDIKTYQNAQKNPDDFLEVQTTSWKSRRLPESPDDFLEVQTTSWKSRRLCQKTSKKSRRLPDD >A06p052070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27542701:27544773:-1 gene:A06p052070.1_BraROA transcript:A06p052070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAHLNLGSYVFAFVSLSLAVVAPSVQGHVAVYDEYWTQRQTDALRETIKSYDPNPFNVTDHFNYHAALAMETTGADNGTRRELRQVRRGRKTRRRGGRHHSLNAIDKCWRGDKNWHKNRKKLADCVLGFGRKTTGGKKGQFYVVTDASDHDLINPKPGTLRHAVTRDRPLWIIFGRSMIIKLQQELIITHDKTIDGRGANVHIMGGAGLTLQFVKNVIIHNIHIKHIKCGAGGMIRDCEHHVGQRSKSDGDGINIFGATNIWIDHVLLFGGKDGDVIDKKMQITVAFNHFGKRLVQRMPRVRYGLVHVVNNDYTHWEMYAIGGNKNPTIISQGNRFIAPHKETCKQVTKREYTPYTEWKSWNWQSERDYFLNGAYFVNSGRANAWSPAPKNPIHRKFAIRPQSGTGVRRLTKDAGTLGCTPGKSC >A04g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6147011:6148920:1 gene:A04g502590.1_BraROA transcript:A04g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVANFDFQPSPFSHATGEMLISPVGHRDESFVNFTDALEGASDDSPPSVAAVKVQKVYRSYRTRRRLADSVVVAEELWWQAMDYARLNHSTISFFDYSRPETAVCRWNRVSLNASKVGKGLSIVDKAQKLAFQHWIEAIDPRHRYGHNLHVYYEEWCKADAGQPFFYWLDVGDGKDMDLIDCSRSKLKQQCIKYLGPQERVEYEYVIIDGKIVHKLTGNFLHTMHGSEGTNEKNHLCEMVHKASEDSDNYDDYIKPNGDGTKSLKKEYATSCNAETETDENSNGTLDETKGNSYQRTLSGGFESPKADVPQKAMLQRINSKKQSKSLQLGHQLMLKWSTGAGPRIGCAADYPVQLRTQALEFVNLSPRYRTSTLSPTGRLDI >A02p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5579102:5580990:1 gene:A02p012710.1_BraROA transcript:A02p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEKQNGSKAPPLPSPLRNSKFLQSNMRILISGGAGFIGSHLVDKLMENEKNEVVVADNYFTGSKENLKKWIGHPRFELIRHDVTEPLLLEVDRIYHLACPASPIFYKYNPVKTIKTNVMGTMNMLGLAKRVGARILLTSTSEVYGDPLIHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEALTVQKPGTQTRSFCYVSDMVDGLIRLMEGNDTGPINIGNPGEFTMVELAETVKELINPSIEIKMVENTPDDPRQRKPDISKAKEVLGWEPKVKLREGLPLMEEDFRLRLNVPKN >A05g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8580742:8588028:1 gene:A05g502800.1_BraROA transcript:A05g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHERNKTGFVTNAGEFCDCKRNKVRSRLGFLEKMVPGSMPLKTPKNAKRQLNLRDSSEGYNEENPAAGKKEQNPFSRRNRSLSLRMLSYLRAASQSLSSALTCPLKMLEVLQFLEFCSKFGKALGLTGGAPQLVVTRSLRSNRADGRSVASLSSDRAWLELGRYVAAGRTLGRYVATELGSSLVATDRAWLVRGPIAILELVRVCCLGTAISNGQWRVRGQRSRNFHELHHKTQLEPVPNNLMANDQFLWRHGVDVYKDSFNAAKTWEQIRNKRNGVSWSSSIWFSQGIPRYAFIVWLAVQNKLATGDRLRKWGIQQGCVLCGERDETRDHLFFVCLYSYTVWDRLASRLVGRRINPDWQDMLRFIQTGATNRTDQILIRLVFQAVVFSVWRERNGCRHQQSLQGTEQMIKAIHKGVKNMICSLRSVGLSASDDRWFNVLGDCLAESEVKLVDFPPKMFQKGIAEYEEMGSPQRLKLLNFLCDETLSTTLLRDCFANPESVDKKKEAKEKLNAAKDNEQKLYQKIEDEFSKSQAEKMGGLFFVIASAEVQEYDDVLRTSPVELDDNGLTLWKLKS >A09p073140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55886701:55888523:-1 gene:A09p073140.1_BraROA transcript:A09p073140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAPDDIFFSTSLAAYLDKKLLVLLRDGRKLMGLLRSFDQFGTFVDVEKEELPAQMVQVSEAEIKRAQKAEKEEMLPKGLMRKRMEFLDLD >A08p030230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18528878:18532063:-1 gene:A08p030230.1_BraROA transcript:A08p030230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFEDHEGNGTAVDGFEADDNGGRGGEIEDQTDSKSQGETRDNERESSRSKDREREKGSVDRSRDRSKERSRDRDRERRSRHRDRSRDRGDRRERGSRDRDDDHRRGSRDRDYDRRRDDRGDRRRHRSRSRSKDRSRRRSRSRSPSKTKRVSGFDMAPPASAMLAAVTGQVPPPPPTLPPAGMFPNIFPLQTGQAFGGLPMMPIQAMTQQATRHARRVYVGGLSPVANEQGAPVKVRRPSDYNPSLAASLGPSQPSPHLNLAAVGLTPGASGGLEGPDRIFVGGLPYYFTEAQVRELLESFGALKGFDLVKDRETGNSKGYAFCVYQDVAVTDIACVALNGIKMGDKTLTVRRANQGSMQPKPEQESVLLHAQQQIAFQMNMFQPGPVATTVVCLTQVVTEDELKDDEEFEDIMEDMRQEGGKFGMLTSVVIPRPSPSGEPVPGLGKVFLKYVDTEGSSRARSGMNGRKFGGNEVVAVFYPEDKFDQGEYGA >SC150g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:183289:185015:-1 gene:SC150g500100.1_BraROA transcript:SC150g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEDESFRRLRRD >A08p016770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11834435:11835644:-1 gene:A08p016770.1_BraROA transcript:A08p016770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASFVGRALFVSVFILSAWQEFDGFGDDGGRAAKSLRPKFNAFVSHVTTHTGQQLPPVDMKILVAAAIALKGIGGLLFVFGSSLGAYLLLLHQAVATPILYDFYNYDVDKKEFSQLFSKFTQSLALLGALLFFIGMKNSRRHGRQLRKKAPKAKAN >A05p053540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30899314:30901397:-1 gene:A05p053540.1_BraROA transcript:A05p053540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIFPLRWESTGDQWWYASPIDCAAANGLYDVVIELLHHDTNLLVKLTSLRRIRRLETVWDDDGDGDNSSSQVALNRSRVARRLLEECEIAGNGDNSLIRAGYGGWLLYTAASAGDLGFVKKLLERDPLLVFGEGEYGVTDILYAAARGRNDDVFRLVLDFALLPADIAGVEETDGGKLTERQLIVKEEMVKRGVHSAARGGHVAILEEFLLSEKYDAVLGLRDVHGSTLLHSASCRGQIKVVKYLITKYDSIMVIKDSHGNTALHIAAYKGHLAVMEALINESPLLISAVNNEGDTCLHMVVSGFAASGFKRLDRQMELLKKLITGGGSFSVDFSEIVNVRNGNGRTVVHLAVMDVLNTVRHDVVEILLRVPGVDLNVVDVDGMTPVDLLERQTPRTAVSDLLIKRLKSAGGRSNGGCEKVIPFREERYGFCGSPGTAFEISDSEIFLFTAARTDHHTASNAEMSPDRESLDGISECSTEMTTHSKRKRSTGARLKLLLRWAKKEETEENRRFSGDDNNNRRVLPLREMYSRSMSPSEVGNRGRAFPMRTESGDLPSLSVRLKFTEGLMKGVVVQESPRFVFSPPVVSDYSGAPSSACTSPFQTVSSELERKTPAMKKQRSFVNRYLCFSGKGLAIDGSSEMSNGTGTRLRPRSFKRVMSLVS >A04g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3539536:3547877:-1 gene:A04g501410.1_BraROA transcript:A04g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEETDRTQKVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFVLSPAQNTQFHNQKQPTNQQPAQPAQTAPQDEMKSLANMMSQLLQGQQIQGKALNQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPVAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTMIEEILIDDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLVAYLRKWRRAASEVRMSRRRKEQGAQAKNQRALQLEKRDFRGTGEQPATPAAAIQTESGDGRRMQRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A01p058300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33172523:33175348:1 gene:A01p058300.1_BraROA transcript:A01p058300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGEAKTHEELESQASEEESQSQQREVAESSNKGKLDEDSEEVVRAEDYDALPLACPICKAPFLDPVVTNCDHYFCNLCARKHHESNTTCFVCKNPTLGVFKPSVEIKIAKVLEKESQSQHGEMAESSNKGKLDEDSEEVARAEDDDALPLACPFCELPFLDPVVTTCDHYFCNLCARKSKRSFICCCYGSTTRRDPTCFVCKNPTLGVFKPSVEIKNKIAKMRENMKPQENKAAMFDFEEYTSQYIRNYHQGGPLLLRVLHMVTHKLLDEEEDNLQALQFLFDRLKPGKDIYRTNPEFLQLVSNLIGGRKGEKYIASQKMLQDMREQYTEILAIASREEEDMERHAHVLGSFLYASGHVREAYEALSKVQSTILFMVVALEARELEVLCSLNAVQTLRGVSCYEEMTKKEDCIRALAHLSRGDYYISACKFQEVMNMKHIRKLWTYWSFELSTLDDIYMYCTWTAIATMSRREIQEVADDMDKITFKERKKIESKEKKRVNYSYRYMLSQYNARNYSECIRFLHQQLQAKARRDIYLSDHVDRLFHLIKERCMRESK >A09p059340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49660716:49662227:1 gene:A09p059340.1_BraROA transcript:A09p059340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETANTSSSVEKNYELPDGQVITIGSERFRCPEVLYQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A06p009770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3485947:3490458:-1 gene:A06p009770.1_BraROA transcript:A06p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear matrix constituent protein-related [Source:Projected from Arabidopsis thaliana (AT1G13220) TAIR;Acc:AT1G13220] MFTPQRKQWMSPAMTPRSETRVTNPRNADRKGKAIAFSEDPVNSTLPPPPIGTLTGEGFSRGEADDMDMGDWRRFREVGLLDEASMERKDREALLEKISTLEKELYGYQHNMGLLLMENKEWASKHEQLDQALLEAQEILKREQSSHLYALTTVEQREENLRKALGLEKQCVEELEKALREMQEENNKTRLASEAKLAEANALVASVTGRSSDVESKIYSAESKLAEATRKSSELEMRLKEVETRERVLQQERLSFAKERESYEETFHKQRDYLHEWEKKLQEKEESMPEQKRSLNHREEKVNEKEKNLKLKAKELEERERKIALSMSKCKETEEDLNKRLQELTAKEKESCTLQSMLMAKERELRALEEKLIAREGTEIQKLIDDQKAELADKMLAFEQECEERRKSLDKELQRKTEEVERQRVEIDHGEEKLQKRNQALNKKFERVTEKEMDLEAKSKAIREKEKDIQAEEKRLSLEKQQLLSDKESLMDLQQEIENIRSEMMKKEDAIREELKNLEIKKGEREEYQRLQSELKSQIEKSRLHEEFLSKEVENLKQEKERFEKEWEILDEKQAEYNKERMRISEEKAKLERFEMLERERLKKEESAFRVQIKQELDDIRLQRESFEANMEHERSALHEKAKLEHSKALDDLETMRRNLEIEQQKRKEEDEKALQDRLSQFEDKRMKELSDINRRKQALNREMEEMVSKRGALQKESEEIAKHKEKLKEQQAEMHNDISELSTLSINLKKRREEFARERGRFLAFVQKLKDCESCGQLANEFVLSDLQLPYNEEEAIPPPNGVVSDLPESSDESDSCNINKSLDRDASGSGRRPNMSILQKCTSILFSPSKRAEHDMDTGKPEQRPSSSLAVNKETKGEKPLPVSTIPDEDEEYTDSRVQETSECSQFSELQSARRGRGRGRPRKPKPSTNPTSSANHASPGESSKGEVSGHVSGTSEKTTGRGGRKRQHTEDTAAGGGRRKRQQTVAVLPQTPGQKRYNLRRNKTVDQVPANVENDAAGGEDDADIAASAPSKDNVEGTSESVVEPLRARRLESSEVRVERVVTVETTTATANTNVGVSVANTEVAANIAMSPSVEDDQTQRTVNEDKNEEYEDGNDEEDYEEQEDDDDDDGDDDDDDGDDDDDDGGSPRPGEGSIRKKLWTFLTT >A09g513690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41657951:41658796:1 gene:A09g513690.1_BraROA transcript:A09g513690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQIVVFRPVEHLRQLRRRNGSSRVTGRWKADPRDDGWPLFFHRATAPILVSKDVNRGGELMSEPYTEA >A03p020610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8466857:8468569:-1 gene:A03p020610.1_BraROA transcript:A03p020610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSSQLYSNGGISLFPKNQSSPSKKHLNFSKPSRLQLISAVQFRPCIDIHKGKVKQIVGSTLSDLKEEDGSVLVTNFESDKSAEEYAKMYKEDGLTGGHVIMLGADPLSQAAALGALHAYPGGLQVGGGINSENCLSYIEEGASHVIVTSYVFNNGKLDLERLKDLVKIVGKERLILDLSCRKKDGRYAIVTDRWQKFSDVLVDEKSMEFLGGFADEFLVHGVDVEGKKLGIDEELVALLGNYSPIPVTYAGGVTVMEDVERIKEAGKGRVDVTVGSALDIFGGNLPYKDVVAWHHKQAEPASTLH >A06g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9749983:9751954:1 gene:A06g503010.1_BraROA transcript:A06g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVSHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEVLLRLQLVRRTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTNESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKVERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIIEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGS >A10p024970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16094010:16097344:-1 gene:A10p024970.1_BraROA transcript:A10p024970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHQRPEHNPPSKRQHHPSAASMKPPLVAPGEYHRFDAAETHGGGGLDQVSEEIVIKSSLKRKTDIVNQIDELNTGLLQTPLPAKGGKAKKSSRSVKANAGSPGNNLAQAGACRYDSSLALLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKTLKNRIKWKGLDVSNPGETMENIANLQDEIQNLSFEEAKLDDQIRESQERLTSLSEDENNKRLLFVTEDDIKNLPCFQNSTLIAVKAPHGTTLEVPDPDEAGGYPQRRYRIILRSTMGPIDVYLVSQFEETFEDIPNADEPSNIPSTSGLPDNQDVSMPMKEDITVKNMETQEVDDTQRASSEIESHDFVDGIMKIVPPDLDMDVDYWLRSEVGEVSITDMWPNESEPTWNQMVSFDQGAGPSNTTMEQSQTTSSPKPEQSTAKRSTSS >A10p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22396111:22396730:-1 gene:A10p040720.1_BraROA transcript:A10p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR-RED-ELONGATED HYPOCOTYL 1-LIKE [Source:Projected from Arabidopsis thaliana (AT5G02200) UniProtKB/Swiss-Prot;Acc:A8MR65] MMMVAEEESLDLSRKRKLPAEESDLLPLPKHFCLEQQQALLPDSSSPSSDIESAECFYAMEDTKTGDETSSSSASVCMSKDSSYSTGSSSWSSGYATSSTDQCCSKGNDKIQEYVEDLELFCPEFAAEELLSCEDSNVEGSYTLSSARWSISNQESEEATKKPTIDKEFEEYFSTLMM >A04p016380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10067047:10071014:-1 gene:A04p016380.1_BraROA transcript:A04p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSVSSFGTPEMLARTGKAKASKPKEKEIPRYLRASTGSCHDICKYGKKQVTVEKPWRSTNRKIFKKVNDDALVETLKPGSSRTKKATTKKVTPGDDGSSSSEMVIKREVVKHQVSGVKKPEVLIIPSGDETPVKKKVTSSSSKLKLSPDLGSRSVDAMKPKVLKKSYSALATSKSKVNSEKVASSSVLKPKMGSKNEDAKMKKATASSRVVLKKVPVTPRASLSPRLSMRLAGTSSLKKSQSLKAASSSSSNQKLRRVKRTEESDKQVDGYPVEEKTLHVVEMETKSKAVSEHDQNQQCVAEPFPPLLQTQSTEKDDECPVSETEEYDYPSGSNEAESVVEEEIETSNGAERKPRVRKEGESAEEAARKLHFRRGKVVDADGVGESARKLKFRRGRDLGEDKAQDAQVRRSFKKREDVKEGEEEDEDGEKVVLRHQDVQEKDAQGLLNNVIEETASKLVEARKSKVKALVGAFETVISLQESKPLANSETGNSSPFFFFLDKPDAKQYRCVHSATCHCTKGHLSEEVLFLMVQHLNWNPNVIATLSCVCKWFDDLAKRLLWKEFCRARAPKMMCDLQSSGSHSVDGSWRALGKLLIYCSGSSKGGLFSDVQVPGHFVHRTRFSRTSGRSFLPPQCRNDDILYVSDPCEHLDQGGEDGDLGFFRGIFKSFSMSKVRKLLIRKGTSFHPTEVCPYCKAKLWSMLQARMIPQSASCRLGAYEDSIEYYVCLNGHMLGVCTLLPLSDSEGASEVQ >A02p033100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17479956:17482568:-1 gene:A02p033100.1_BraROA transcript:A02p033100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSCSSSRPQHFFFFFFFFFFLFVPFLSLAQQSVDINSSNWDIPPKSLCLSQQGNFLHSEFFHNLKRLVSSIQSLRSNTYNFYNLSIGTNSDKDKVEAMGLCNRVLSRVDCLNCISHAAQILTTSHCPRSREAHIRTSKCMFRYSDKPIFGKLKTFPTMEAVNPNNATANRTDFIRMQSKLLNHLILQAARGDSKRKYAQGDGPAPPPYTRFYATTQCTPDLSHKDCNRCLLYGLQNATEGRIGIRWFCPSCNFQIESDLRFFLLDFEYEPDGPDRSTITGKHVKDSKIKDAQLFQMDIDTIRLATNDFSPNNHLGEGGFGAVYKGVLVSGEEVAVKRLSMKSGQGDNEFINEVSLVAKLQHRNLVTLLGFCLDGEERLLIYEFFKNTSLEHYIFDSNRRIILEWETRKRIILGVARGLLYLHEDSRIKIIHRDLKASNVLLDDEMYPKIADFGMAKLFDTDQTNQIKFTSKVSGTYGYMAPEYAMNGQFSVKTDVFSFGVLVLEIITGKKHNWSPEEETSLFLLSYVWKCWREGKVLNIVDPTLIETKGLSDEIMKCIHIGLLCVQENAESRPTMAYVVVMLSASSFALPRPSQPGFCPLDGESSSSRGNHTSSIPSFNSVSITQLVPR >A03p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12010262:12015871:-1 gene:A03p028590.1_BraROA transcript:A03p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVLLLLILVFSHHADSGSIVKFLPGFEGPLPFELEIGYIGIGEEENLQLFYYFIKSEKNPKEDPLLLWLNGGPGCSSLEGLLFENGPVAVKVEVYNGSLVSLVSTTYSWTQMANIIYLDQPVGAGFSYSRTPLGKTSDTNEAKMIHEFLQKWLSKHPQFFSNPFYATGDSYSGKTVPALVQEISQGNYKCCKPLINLQGYVLGNPVTYPEFEENYRIPFSHGMSLISDELHEYYLYYLIECWANNERVREALHVKKGTKGHWQRCNWTIPYDQDIISSVPYHMNISLSGYRSLVYSGDHDITMPFLGTQAWIKSLNYSIIDDWRPWKIKDQIAGYTRTYSNKMTFATIKASILV >A01g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17552930:17554198:-1 gene:A01g505890.1_BraROA transcript:A01g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFMGITLLLLDEKELNVGEEGLREGFGVSAAVLTRTDHAASHNLKEAWISYQPVMLVLVNVKV >SC160g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:240823:242688:-1 gene:SC160g500140.1_BraROA transcript:SC160g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEEIQEVESEAQSGGNEQGEPTGLREEAQEN >A05p011140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4739101:4739586:-1 gene:A05p011140.1_BraROA transcript:A05p011140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHRCQTPEGHRLCVNNCGFSGSSATMNLCSNCYGDLCLNQQQQQASTKSTVESSLSAASPPSSLEIESISSSSSTAEKQIPLIQTGTEQQQPPQRPNRCTVCRKRVGLTGFMCRCGTTFCGTHRYPEVHGCTFDFKSAGREEIAKANPLVIAAKLQKI >A03p004350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1836792:1837990:-1 gene:A03p004350.1_BraROA transcript:A03p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFRKLGRHAGHRISMLRTLVSQLVKHERIETTVTKAKEVRRLADNMIQLGKEYSDNYILLTKHDQGSLDSARRAAGFVRGDDVLHKIFTELAYRYKDRDGGYTRLLRTRIRVGDAAPMAYIEFIDRENELRQSNPATPQPPQRVPLDPWDKSRLMKQVAPPKEEKIFDSEL >A10g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18289386:18291901:1 gene:A10g506380.1_BraROA transcript:A10g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSSGWDSSSNLTQFGIGLANTVQSDVASHLPLPSLPIFCGSTQPGEFKLFDEVGEGVGGNRSLNRSEILSQSRRIANMLEETDVSYLDLRNEARALNCNSVEPLQLYDQVLRCNPGAFEYATPGPTCEPVGTDEEPQQRSSEPSVPVKFQRQADHHLGGSIEPEPVKKVLRSNHAEDHNWHSKPLINQSPRDDITTLDSRPETVTMNESSASKKSKGKKKRKDGAGPGASSVQPDSSVLQESIVKSFCEMLEDFCGRAEIPGDDRDGAEWSVVPVDEVRVLVAELMTIRSKMLLHMVPVDILSRLLHTLDHQIHRAEGLSINSEHVTNTSWCNNVQSDSYSVGLVLGALESIHASLAVMANSDMPKQLYKEEVIERILEFSRHQMMAVMSAYDPSYRSASKPADNVAFEVLSMSVSSEITPLDCTSYVLITII >A09g516890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49683504:49683997:1 gene:A09g516890.1_BraROA transcript:A09g516890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDKEGTKFHASVGEQLIKQFEGKLTEGDAKVVQLFKLYDAIGDYRTTVHPYKIGFFQTNFVGTADEFPSEVLEKYFADARYVNLIFRLFQFNISTISMTKLIDLSNNVNPSKCLQN >A06p044810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24051316:24053911:-1 gene:A06p044810.1_BraROA transcript:A06p044810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIACLDGGNTSGTELYSLWTNTAIGTSQRVDNSKAFFMMPPFLFLKVVFLEVQFKLKAHVRVFHFLLLAAFSDAFRFSVIAGGSHESQALAYPSYFIISAYHASIVSFMWHAVASWTWDAQDETCGICRMPFDGCCPDCKLPGDDCPLIWGACNHSFHLHCILKWVNSQTSQAHCPMCRREWQFKE >A07g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20836841:20837208:1 gene:A07g507600.1_BraROA transcript:A07g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHECCIIVDVVHIKEVSEKRDVKEILDGDSQVNVSEQPSTKVKRERQKDQVEYEMNCKGTSKPFSKIRVLFSYEFKQKGQEDVGSLLNGILELSMSNCGAYFRESSYTQPG >A03p041420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17312969:17313859:1 gene:A03p041420.1_BraROA transcript:A03p041420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVQCLSSCANLNSKFNVLSLKGASSSPTYSFSARRGASATVCSSLSFSQSVSQCVSFSTGNMLVQNRPMRRQMIVCEAAPTKKADSAAKRARQAEKRRVYNKSKKSEARTRMKKVLEALDGLKKKAEAQPDEIVTVEKLIGEAYSAIDKAVKVRALHKNTGARRKSRLARRKKAVEIHHGWYVPATAAAAEEAVAMAA >A02p008110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3366273:3368552:-1 gene:A02p008110.1_BraROA transcript:A02p008110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 7 [Source:Projected from Arabidopsis thaliana (AT5G17620) UniProtKB/Swiss-Prot;Acc:Q0WTP1] MAAKQMEEIQKKLAVLSYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAGVRDEETVRIQYLAEIAKFLGFTPTVDIEAIQGHGTYEDRMEMLRNIVDLVEASLFSDNPEWSIDEQVAKDIQLIDAIAERQSLIFSEECKLFPADVQIQSIYPLPDVSELETKLSEQAKILSNLQQKVDDLAAKHAYNPDEEYAEVESELRARLESFLETARAFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYNMLLKFLGNLKNLRDSHAALSIGSSGTVAGEPSSVTRIVSECEAALTVLNRDLGILSASIAREQGERL >A03p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:778342:778942:-1 gene:A03p001600.1_BraROA transcript:A03p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILVFFLIVFISIHRIDSILLFRHFNIDIQNKLAANQTLMVYCRDKNTLSKFEFLRFKTVMEVKFIIYPKTLIWCNLWKGPDYVHHIRFNAFIKTEDFIHDLCGGMKPNVCFWQVQDDGVWLRHNPSGTLRLMHEWGDKV >A09p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10024437:10025251:-1 gene:A09p018990.1_BraROA transcript:A09p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRFKGIYQKSFKCFSDISDEKDEEMDIGYPTDVRHVSHIGWDSSSSSAPSWLREFKTSNNVLEPNSSWPFTGKKNNIIMLFLNYIDLKSAMEAFGEVESSKELGKESKKQYLKKKLSSKASKLCDPWSPRFLRSSKVIA >A05p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2398825:2399736:-1 gene:A05p006070.1_BraROA transcript:A05p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTFVSLPKPFFTCPVKTNTPALANHKLLGSRRGCLRVKAVSTKWEPTKVVPQADRVLVRLEELAQTTSGGVLLPKAAVKFERYLTGEVVSVGSEVGQQVGPGNKVLFSDVSAYEVDLGTGARHCFCKESDLLALVE >A07p019690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11723363:11726636:-1 gene:A07p019690.1_BraROA transcript:A07p019690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNELNCKSPKSNWCARFCDDFLSNTRRYGTSSRFKDSSGEIIHHHIYVSSFSEYTVVDIAHLVKISPEIPVHKAALLSCCVSTGVGAAWKVAGVEEGSTVAIFGLGAVGLAVAEGARLHGASKIIGVDLNPDKFEIGVIYLLLAIL >A06p055990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29195643:29198954:-1 gene:A06p055990.1_BraROA transcript:A06p055990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVMLVNFGFLLLVMICFGFLSNTLGQQQDDDGDDDTAVYIVTLKQPPFVHLFEEEDLKQIRHRHQSPKHGHTSKFKPKLQPRNISRKRHGRGRPKRPPSIAQTHDSFLRKTLKGEKYIKLYSYNYLINGFAVFVSSQQAEKLSMRREVANIVLDFSVRTATTYTPQFMGLPEGAWVKEGGFETAGEGIVIGFIDTGIDPNHPSFSDKDINSQHSYPTPKHFSGVCEITPDFPSGSCNKKLVGARHFAQSAITRGTFNSSEDYASPFDGDGHGTHTASIAAGNHGVPVIVSNHSFGNASGIAPRAHISVYKALYKSFGGFAADVVAAIDQAAQDGVDILSLSITPNRKPPGVATFFNPIDMAILSAVKTGIFVVQAAGNTGPSPKSMSSFSPWIFTVGASSHDRVYSNSITLGNNVTIPGVGFSSPTDDGKMYKMVSAFHALSNTTSVDTDMYLGECQDYENYNQELVSGNLLMCSYSVRFVLGLSTIKQALDVAKNLSAVGVVFYMDPYVLGFQINPTPMDMPGIIIPSAEDSKILLKYYNSSIERDATTKDVVEFGAVAAIEGGLDANFSNKAPMVMYYSARGPDPEDNSFNDADILKPNLVAPGNSIWGAWSSVSIDSTEFEGEKFAMMSGTSMAAPHVAGVAALIKQTYPNFSPSAIASALSTTALLNDNKGGPIMAQRTYANPDQSLINATPFDMGSGFVNATAALDPGLIFDTSFEDYMSFLCGINGSDSVVFNYTGIDCSSNNSSRISGFDLNLPSITVSTLNGKQVFKRWVRNIAGNETYNVGWSPPYGVSMKVSPAQFSIGTGETQVLSITLEPTKNSSSSSFGRIGLFGKAGHVVNIPVSVIAKIVLS >A10g503390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8876815:8877132:1 gene:A10g503390.1_BraROA transcript:A10g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEEELMRRTLNCSCLHSGLHHPISSSHKVFLILDVLSSLFKTKPVHVPSETARNPDQLAFAKQTARVRGLSVHLGGPVSSSVHLGGPVSTICKTKVILILKT >A09p017740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9165075:9167000:1 gene:A09p017740.1_BraROA transcript:A09p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSSLLSPTRRLRSEAVAAAAATSSAVSVHFPMNTQRLDLPCSSSNFPRKETPTNRPLGRSISLDNTNNNNKAGGCSIKQSIKLPPLATTRGNADGFSWNNDNNNNRGTKSLKRLAEDKEDESCLSRVKRQRGETGDEHNMGFWFDHFTPASLPFSLTCSGDNDEKLISTQPLPGHPHWVNSVITELAGLGDKDIESSRPASGSSTSASAESHSFRHRVPVPTNGSRHPYSQHGGGSTERRTIENINNNNNHRNDTQRDLELVNLLTGCLEAIRTRNIAAINHFIARSGELASPRGTTPMTRLIAYYTEALALRVARMWPHIFHITPPRDFEDESANALRFLNQVTPIPKFIHYTANSMLLRAFEGKERVHIIDFDIKQGLQWPSFFQSLASRPNPPRHVRITGVGESKHELNETGDRLHGFAEAMHLQFEFHPVVDRLEDVRLWMLHVKEGEAVAVNCVSQMHKTLYDGTGAAVRDFAGLVRSTNPVAVVIAEQEAEHNSTQLERRVFNSLKYYSAVFDVMHKRLGADSLMRVKIEEVLFGREIRNIVACEGSHRQERHVGFGQWRRMMEQLGFRSLGVSEREVLQSKMLLRMYGDGDEEFFNVERSDEDGGGVTLRWLDQPLYTVSAWSVGGSS >A09g501910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6875276:6875458:1 gene:A09g501910.1_BraROA transcript:A09g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITDKQNKIVSRALVATTVGGEAGVALALVVVSVRAWWHTVAPGAASPARSVTKVRST >A06p032380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17283742:17292296:-1 gene:A06p032380.1_BraROA transcript:A06p032380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSLHSDRTACICGSCVMTELGLSMFRSSYSNLYVAGLGNCDQACFIPYPQVRRHSGDDWSACAKIIPRGIRETSEIALIAWQDDRCDQVAESSLLRVETHVVDDVSDYDIASVNPPTDEYVSDAEKKKKQTLRNSLPYIVFSDFKSHRLRFQAFRFSPLPLTSLQMTSRRGPGSSPTSPPMPPGATGVVANGQASSSRSNSYPKMSLNAMFNAPARISHPHLHPDKLNGALWIVSDVSDVSCLFMFCIDPSVGAFIRATWQGYYMGPWKSWRSVPDERRDSWWQTSVQNFYWEPQFNDLVYALWKKETWTSIEQAKKKSKKAAKSCKSYPMGKGVHKHNAEPVGFARIKYNMTTETGERPSYKDLVRKTHTNKDGTFVDYRAEELVTKAEMEATHLSNTDGSPQSPSATSAPSRVLLNQAFLKGNRGHVYGLSSAQYREHVPSARVQASLSRNLELKLHVSGIETNLQSVTADVSSLKEDVATINENVSTTMAAINELIQTLRPQASPQQQRPSDRPARSLRSDRARSKARSLRSDRAIVPLGCYVAKELGQARSLRSDRAIVPARSLSSDRALVPLGRYVANRAFIPLGRYVATELEPKLGRT >A06p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14351740:14353873:1 gene:A06p026050.1_BraROA transcript:A06p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKTFTQSSTDETKSISFDSTTQEMIDCHFIVLIDTDINIHWRHCNLSLREIGFLLQAWKRGRYITDDPTVLTKRNDHTSLEARGRFRYVLEESGDFGVFWSLLSAELHRRVRCLDMDGDLPTIRRYACFTEECSICLMRESCRRDEGTSIDGAPLVSIDEDSRTRAKYISRLTLGEKGGTPSESSWNFFGRKRKLGGSLSELVYIVLRLLEASRS >A08p037260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21753512:21756891:1 gene:A08p037260.1_BraROA transcript:A08p037260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEDRRGKWKRRRRGGRRPKQEEEDIEEEDDEEMEEADNNADELHQNGAAAPDPGPGTSEVVEDSGERISGFPSVVRRVVTRPHASVTAVVAAERGCLRGEVKGQGLLLPSLENISFGQLQALSCVPADSLCFDPERSDGAAYVISPPSIMEGEGVVKRFGDKVHVLPMHSDWFAPNTVDRLERQVVPQYFCGKSPNHTPESYIQFRNAIVSKYLDNPEKTLTISDCQGLVDGADTEDLARVFRFLDHWGIINYCATYQCHPGPSKGVSDVREDANGEVHVPSAALTSIDSLIRFDKPICRHKADEVSSSLPCSDVDLPDLDIRIREHLCENHCNHCSRPLPTVYFQSQKKEDVLLCSDCFHNAKFVVGHSCIDFVRVDTSKDYRDQDGENWSDQETLLLLEAMELYNENWVQIADHVGSKSKAQCILHFLRLPVEDGLLDNVELPGVTDPANPTNGYDHRGTDSNGALPGSSEQDSDTEINLPFVKSPNPVMALVAFLASAVGPRVAASCAHECLTVLSADDRLKSDGLQGKEPRLLDGENQQLDVNAGAQKTSCQNGAEAPTPLPQDKVMAAFRAGLSAASTKAKLFADHEEREIQRLSANIVNHQLKRMELKLKQFAEIETLLMKECEQVEKTRQRFAAERARMLSARFGSAGGIAPQANSSNLQGMSLSTGGGNNINTLLQQQLQQQQVSAGSSQPSMIPGFSNNPQVHAQMQFMARQQQQQAFSFGPRLPLNAIQTNAGSTASPNVMFGLNNPAGGAAASINQTSFSNPMVRSSTGSGSGSGLGLN >A09g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28516880:28521300:1 gene:A09g509840.1_BraROA transcript:A09g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPSALLPVSRLLLSFPKHFFTSPPLSISTLSHHSYTFSLSILFLYSLKIHGFTLSLLLNMTHPYEEMKEMKRLKKHYDMLGFVADAQYGIPTRCPCGGEIMTNVSPTPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRGEVKELAEEIAKLKRLITSTSRP >A09p010450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5344055:5345609:1 gene:A09p010450.1_BraROA transcript:A09p010450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVVKSTSFVRPRNIANQSISDCVEKINLTPWDLLRLSFCYPQRGLFFHKPDDLDIDTILSKLKTSLSIALHHFYPLAGRLVKEVNEEDHTVSYFISCCDDGSGSGGVKFIHAAAKTIPVSDLVKFGFVDGLLGSFFFPATGIKNYQGVSNPLLMVQVTELKDGIFISYGYNHTVADGKSIWKFINAWSEICSKGSGSVPMDLCPKGWFLDRIEYPIRVQDPETEQPSKDASTTSNMLQEIVFRLTKENVLKLKAKANDEAVSNEDGEISSFQAVLGHIWRQGLRHGPVWRSRVKHGGMSREEDTHCRVPIDMRQRLNPKLKEGCFGNLVHTGVVTVKVGEMLDHGSGWLAQKINRVVRSQTDDNVKAFGENWVKNVEIPVSVSATLLVTSCPRFNVYGNDFGWGKPIGLRSGPPLSNGKLVVIQGVEEGGLEFQAWGDAEFLEYVDIAS >A10p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9960877:9964679:-1 gene:A10p006950.1_BraROA transcript:A10p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLNGLLFYVCAAFSIIHLAHSQNQQGFISLDCGLPSNESPYNEPFTNLTYISDASFLHSGKTGTIHKDLKTHFMKPYTGLRYFPDGIRNCYNLSVMQDTKYLIRTVFVYGNYDGLNASPRFDLYLGPNIWTTIDAKLSGPGMAQEIIHITRSNILEICLVKTGTSTPLISALELRPLPYDTYITRTGSLKYVDRIYFSNSDQGVRYPEDVYDRAWSPFLPVEWTQINTTLNVVDPHKDYNPPQDVIKTAATPAIASEPMTFSWTLDTSDDETYAYLYMADIQKVRNNDTREFDIIANGKVEFDPYSPMKFEVEVLFNRVPLKCEGGLCRVQLSRTRKSTLPPLMNALEIFQVIEFPQSETNQDDVIAMKNIRDTYGLNKISWQGDPCVPKQFLWSGLRCNVIDVSTPPRIIALDLSRNNLTGEVPEFLAKMKSLMLINLSGNKLRGRIPQALLDREKEGLKLTLDGYLRTCKSCKKKFPVVAVVGASLSSVAIIITVVVLIFIFKRKKPSISKVKRSSLELKNRRFTYSEVKDMTNNFQVVLGKGGFGVVCHGFLNNQQVAVKVLSQSSTQGYKEFKTEVELLLRVHHINLVNLIGYCDEGNDLALIYEFMENGNLKEYLSGERDGSVLNWPSRLKIAIESALGIEYLHIGCKPPMVHRDVKSTNILLGQHFEAKLADFGLSRSFLVGSKTHVSTTNVAGTIGYLDPEYYQKHWLTEKSDVYSFGIVLLEIITGQPVIDQSRENSYIVEWAKSMLANGDIESIMDPKLQREYDTGSSWKALELAMACINPSSAERPNMTRVAHELNECLEVYENLTKRRSQDANSSKSTGHSISFVSDTPSAR >A04p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3706063:3706293:-1 gene:A04p006940.1_BraROA transcript:A04p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRVSRHPQTTSIDRTTYETPEHQRNHGERRTTQKHWSSYVSFVEQGLEGESTTTTYETAR >A03p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18024454:18028064:1 gene:A03p043170.1_BraROA transcript:A03p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLPTNITEMPLRSPFLLTSTSRYSSSPSLHALIFHSLSPKPYRHILQPFSSLRTSERNNRSHNNRRSDHRSPKPTPPWIDKWPPSSSDEKANERGNLQSSEEESEAKRRYLEKDKGQSSIERIVLRLRNLGLAASDDEEDDDNDGGDGEEDVKKPVTGEERLGDLLKREWVRPDVILAEGEESGDEEDDDVLLPWEKNEGEQVAERIEGDGGLAVKKRRARAPSLAELTVEDSELRRLRRDGMYLRVRINIPKAGLTQAVMEKIHDTWRKEELVRLKFHEVLARDMKTAHEIVERRTGGMVIWRAGSVMVVYRGRDYQGPSAVSNQTARPEETLFVPDVSSAGDEATNGKDNNQNGPPEIKDPIVKNPIRKESMTEEEAEFNQLLDSLGPRFQEYWGTGVLPVDADLLPPTIPGYKTPFRLLPTGMRSNLTNAEMTNLRKIGKTLPCHFALGRNRNHQGLAAAILKLWEKSLIAKIAVKRGIQNTNNKLMAEEIKTLTGGVLLLRNKYYIVIYRGKDFLPSSVAATLAERQELTKEIQDVEEKVRNRDIEATQPVGDKVPAEAGTLAEFYEAQARWGKEITPDHREKMIEEASRVASARVVKRIQHKLNLAQSKFQRAEKLLSKVEASMIPSGPDYDQEVISEEERIMFRKVGLKMKSYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKSLAFVEDTARLLEYESGGVLVAIEKVPKGFALIYYRGKNYQRPISLRPRNLLTKAKALKRSIAMQRHEALSQHISELEKTIEQMQNELTAKNPSYNESEWENDDDDDDDDDDEEKDDAEDDESDWDEADGESTISSLEEADYPLR >A09g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14662505:14664492:-1 gene:A09g504830.1_BraROA transcript:A09g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKYKLLGGVEESCPLSSVDDIAGAVHQMLSIIEEDPFCCSLVPELPFGFPFNHSNATHFL >A05p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18177994:18181374:-1 gene:A05p031840.1_BraROA transcript:A05p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPNHFTRLFPFNHFYIIKRPSFDCCIKQSNLLFLILFLFGSWVSMAQPTLSLSSEGQALLSLKRQSLSSSSSILSSWDPQDKTPCSWYGITCSADNRVISVSIPDTFLNLSSIPPDLSSLSSLQFLNLSSTNLSGLIPPSFGKLTHLRLLDLSSNALSGPVPSELGRLSSLQFLILNANKLSGSIPSQISNLFSLQVLCLQDNLLNGSIPSSLGSLVSLQEFRLGGNPNLGGPIPAQIGLLKNLTTLGLAASGLTGSIPSTFGNLVNLQTLALYDTDVSGTIPPQLGLCSELRNLYLHMNKLTGSIPKELGKLQKITSLLLWGNSLSGAIPPEISNSSSLVVFDVSANDLSGEIPGDLGKLVWLEQLQLSDNMFTGHIPWEISNCSSLIALQLDKNKLSGSIPSQIGNLKSLESFFLWENSVSGTIPSSFGNCTDLVALDLSRNKLTGRIPEELFSLKRLSKLLLLGNSLSGGLPKSVAKCQSLVRLRLGENQLSGQVPKEIGELQNLVFLDLYMNHFSGGLPYEISNITVLELLDVHNNYITGDIPAQLGNLVNLEQLDLSRNSFTGYIPLSFGNFSYLNKLILNNNLLTGQIPKSIKNLQKLTLLDLSFNSLSGEIPLELGQVTTLTINLDLSYNAFTGDIPGTFSGLTQLQSLDLSHNMLNGDIKVLGSLTSLASLNISFNNFSGPIPATPFFKTISATSYLQNKNLCHTIDGITCSSRTGRSKSPKMVALVTVILASTTIALLAAWLLVLRNNHRYKTQKQTTTTTTSSTAEDLSYPWTFIPFQKLGISVNNIVSSLTDENVIGKGCSGVVYRAEMPNGETIAVKKLWRTKDNDDEPTTEMEIQILGSIRHRNIVKLLGYCSNKSVKLLLYNYFPNGNLQQLLQGNRSLDWETRYKIAIGTAQGLAYLHHDCLPAILHRDVKCNNILLDSKFEAILADFGLAKVMNHTAMSQVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEILSGRSAVEPQIGDGLHIVEWVKKKMGSFEPALSVLDVKLQGLPDQIVQEMLQTLGVAMFCVNSSPVERPTMKEVVALLTEVKCSPEEWGKTSQPLIKPSSSL >A03g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11019739:11020521:-1 gene:A03g503360.1_BraROA transcript:A03g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPLGLPAALSLRGSRRLPTILFSFLFPRRSSPSSTLLPFSVALFPCASARARSGVLDSDLWRSMAAEWLSGSFGCIGTLVARSISFLLLSLFTLLRRVKELVWLDASWVPLGGGAAVLLPLVLLVSGGVSLRRSALSRKRLCVVFVPSREDQRRVLRRWGVCEDISCWSCQLRRSSSVAMRAEIAGYDGVWRHKDASSPNKARPFHSGVLGHESSGLFLKAAD >A05p002380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:802539:803044:1 gene:A05p002380.1_BraROA transcript:A05p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMEKLRMFVAQEPVVAASCLIGGVGLFLPAVVRPILDSLEASKQVKAPPLTDVSI >A08p045460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24919444:24921958:-1 gene:A08p045460.1_BraROA transcript:A08p045460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PKT4 [Source:Projected from Arabidopsis thaliana (AT1G04710) UniProtKB/TrEMBL;Acc:A0A178W7T5] MEKAMERQRVLLHHLQPPLPSSSSQASLSVSACLAGDSAAYQRTSLYGDDVVIVALFVIDETLNRAQRTALCKAKRGSFKDTYPDELLASVLRVCLKTVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTSPRGWKGSVNPKVQKFEQAQSCLLPMGITSENVAHRFGVSREEQDQAAVDSHRKAASATASGKFKDEITPVNTRIVDPKTGDEKPITVSVDDGIRPSTTLSGLAKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSVAIKKGLPILGVFRQHFWTHGRTFAAVGVDPAVMGVGPAAAIPAAVRATGLELDNIDLFEINEAFASQFVYCRNKLGLDPEKINVNGGAIAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGSGMGAAGVFERGDGVDELSNVRKVE >A10p028510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17681926:17683723:1 gene:A10p028510.1_BraROA transcript:A10p028510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-hydroxyacyl-CoA lyase [Source:Projected from Arabidopsis thaliana (AT5G17380) UniProtKB/Swiss-Prot;Acc:Q9LF46] MADDSAAATPPSIDGNTLVAKSLSHLGVTHMFGVVGIPVTSLATRAMALGIRFIAFHNEQSAGYAASAYGYLTGKPGILLTVSGPGCVHGLAGLSNAWANTWPMVMISGSCDQRDAGRGDFQELDQIEAVKAFSKLSEKAKDVREIPNCVSRVLNRAGSGRPGGCYLDLPSDVLRQRIVESEGDELVAKVESFSDHVPQARASLKSEIESAVTLLRKAERPLIVFGKGAAYSRAEDELKKLVELTGIPFLPTPMGKGLLPDTHELSATAARSLAIGKCDVALVVGARLNWLLHFGEAPKWSKDVSFVLVDVSEEEIELRKPRLGIVGDAKTVVGLLNREIKDDPFCLGKTNPWVEAISKKARENGEKMELQLAKDVVPFNFLTPMRIIRDAILAVEGPSPVVVSEGANTMDVGRSVLVQREPRTRLDAGTWGTMGVGLGYCIAAAVACPDRLVVAVEGDSGFGFSAMEVETLVRYNLPVVVIVFNNGGVYGGDRRSPEEISGPHKEDPAPTSFVPNAGYHKLIEAFGGKGYIVETPDELRSALSESFAARKPAVVNVIIDPFAGAESGRLQHKN >A07p043590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23830594:23832071:1 gene:A07p043590.1_BraROA transcript:A07p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 9 [Source:Projected from Arabidopsis thaliana (AT1G70090) UniProtKB/Swiss-Prot;Acc:O04536] MRLRFPMKPAVLPFLVVSLVFLSQSAVGIRNIPGRISGFDIVQFVEAPEYRNGKECASQSSNRENFVSSCDPSLVHVAMTLDSEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDPASPRVLSRLVRSTFPSLSFKVYIFREDTVINLISSSIRQALESPLNYARNYLGDILDRCVDRVIYLDSDIIVVDDITKLWNTTLTGSRVIGAPEYCHANFTKYFTSSFWSDPGLPGSFSGRKPCYFNTGVMVMDLVRWREGSYREKIETWMQIQKKKRIYELGSLPPFLLVFAGDVEAIDHRWNQHGLGGDNVRGSCRSLHKGPVSLLHWSGKGKPWVRLDEKRPCPLDHLWEPYDLYEHKIDRAKDQALLGFSSLSELTEDSSFLSCKEKAFNVRDDEAKVE >A02p056280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33998614:34001330:1 gene:A02p056280.1_BraROA transcript:A02p056280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSKCLQIEVEGELPAILTEATTEVVNFDNHKENSLPRPPRFISRFHPSHASTSDLNGLEVSSLSASKTMEAHNEDLKETKPGMTINHQRKTSLPMPTSPIDHMIADPTSSSSDNNKNAMPVDRYFAALEGPELETLRSQEEIVLPSEQTWPFLLRFPISTFGVCLGVGSQAIMWKTLATAKPTKFLHVSLWIHRSLWFISVALVLIIATIYLLKIILYFEAVRREYYHPIRINFFFAPFISLLFLALAVPPFIVTDLPQFLWYVLMFPFICLELKIYGQWMSGGQRRLSRVANPTNHLAIVGNFVGALLGARMGLREGPIFFFTVGMAHYLVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWATITGSFNYGSKVCYFIAMFLYFSLAVRINFFRGIKFSLSWWAYTFPMTGAAIATISYAAVVRNTMTKVLCVILCAIATLVVFALLVTTIIHVFVLGNLFPNDNAIAISNRPRSKQTNHHRWLEQFRNVSSETIENYLKFADSDSSNDLEAAIDKIQENNSAQ >A09p062200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50991486:50992298:-1 gene:A09p062200.1_BraROA transcript:A09p062200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPTFKRRNGGRNKHNRGHVNPIRCSNCGKCCPKDKAIKRFLVRNIVEQAAIRDVQEASVYDGYTLPKLYAKMQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFARRKEDTPKPGQPGQAPRPAGGAPAAPRA >A09p003720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2159910:2161058:1 gene:A09p003720.1_BraROA transcript:A09p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRPE6A [Source:Projected from Arabidopsis thaliana (AT5G51940) UniProtKB/TrEMBL;Acc:A0A178UA32] MADDDYQDVDDLGYEDEPAEPEIEEGVEDDAEMKENDDVDGEPIEAEDKVEEEAVPRPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRQRKIPFTIRRYLPDGSFEEWGVDELIVEDSWKRQVGGD >A05p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10215414:10216799:1 gene:A05p021480.1_BraROA transcript:A05p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFASGGEFNKKMAASRLDVGAVRDATSMAVSHHSAAFTDGLQDVNYCHRVTVVGFKLLPERMLFFNGCLEFTVSTKCPYLRHLWISLWSLSKLQSLFNQISFHTSQARNLKTETHIATKCCV >A09p020000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10626525:10632892:1 gene:A09p020000.1_BraROA transcript:A09p020000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRMKLGSQGLEVSAQGLGCMGLSSFYGAPKPETDAIALLHHAIESGVTFLDTSDIYGPQTNELLLGKALKNGLREKIELASKFGISYGEGKREIKGDPLYVRAACEASLKRLDVDCIDLYYQHRIDTRVPIEITMGELKKLVEEGKIKYISLCLNYQKSACCSPDNRRATRVVFVVERRGRRHHPNLQTLPRFQQENLDHNKIMYEKVCAMSEKKGCTPGQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPDEMLELENIVQAESVKGERYGNMLATFKNSDTPPFKKKVIDLLCLESRLSNPNISVNQNNQKRHTASFKSILIILPIQSPTHSAHLSTEKKENKNSKLSTKEGKEKKEGVRSEGKSMAEARGVKRMKLGRQGLEVSAQGLGCMGLSTLYGAPKPETDAITLLHHAIDTGVTFLDTSDIYGPQTNELLLGKALKNGFRAKIELATKFGIIYGEGEREIEGDPAYVRAACEASLKRLDVDCIDLYYQHRIDTRVPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFAAGPKLVEKLDNDDFRKRLPRFKQENLDHNKILYDKVCAISEKKGCTPAQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPDEMAELETIVQLESVKGERYANMTATFKNSDTPPLSSWKTA >A03g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13963949:13965250:-1 gene:A03g503970.1_BraROA transcript:A03g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYQPKTYSLTEKDKTTAAKSTRHSPVYSCAAEEVSSSGSMVGALVATSDWFTDGSQGLQTMTETEEDVETCGGVRFRTELKQDNVKRFPSFDHSNYSISSGRLRTRFTISLFENEIINLNFQLSKKTLQVEMLKLLHLLINSSKPVVEILLITSVGFYMAFDGSFAIGNRLAGSVTYESLVNMESGNIPSIIIPTVYKDKGEVYLKILRIATNMEWVMLHSPWP >A09g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19153977:19161579:1 gene:A09g506440.1_BraROA transcript:A09g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQPNNKQDLINPSNPFNNIKEHRTDLGRTPHLSLDLDLDLRIEAREVRVENTDTTRPPPPLAVAHGEERESSEREKEARRGERERRAAAKREREEAARKGERRRLGLLISGSSLQGFASKYHIAPSVTVGLASVSPCPFWSIAHAPFSELVMHWTYTAQADQPSPFLLRSCEVACPVYYAPFPPRLGVTQSYRRLYSYLPFLNATAAAFSHTPHQSLGDLINPSNPFNNIKEHRTDLGRTAHLSLDLDLDLRIEAREVRVENTDTTRPPPPLAVAHGEERESSEREKEARRGERERRAAAKREREEAARKGERRRLGLLISGSSLQGFASKYHIAPSVTVGLASVSPCPFWSIAHAPFSELVMHWTYTAQADQPSPFLLRSCEVACPGTPGIRFPWMVRETGSEVYDTTRPPPPLAAVHGEERETRPREREGGAAKREREGGERRREERERESTARASRERLGLLISGSSLQGFASKFLMRG >A10p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13804258:13809334:1 gene:A10p020330.1_BraROA transcript:A10p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVGKKFRLGRKIGSGSFGEIHLGTHIQTNEEVAIKLENAKTKHPQLLYESKLYKLLQGGTGVPNIKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEYFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDNTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGSLPWQGLKAGTKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYGYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTAPPTRGLGTPAAGTSAALPPGLTTMDRYAGEDEGGRPPMDSSRRRTSGAVDNLRAPMMHSSSVFAQSAGSSRRLTSEELQRSRTGSGLRNTAVVTTSEGKRSSSTRKHYDSAIKGIETLQVSSERLYSVIERTLISLIPPNPRNHPREISEDRRESAESSSSSSSKIDNNPPPEDNQIVESSTTKDDTSLETIVRRFQESMSDSKTHKFWETQPVGQFKDIGDTSLPEGPIEPATPLSEVKQEPYNLPSLPEAPVTPGFRKMELLDVPAVTRLLRNYLSQFGVATDFDENDVEHWLLPREDVVDSYLVESPETHDVTDFCSFYTLPSTILGNPNYTTLKAAYSYYNVATQTSFLQLMNDALIVSKQKGFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLRSALKPSELGLVLL >A05p047870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28113911:28122742:1 gene:A05p047870.1_BraROA transcript:A05p047870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT3G11650) UniProtKB/Swiss-Prot;Acc:Q9SRN1] MTSKDCGSHSHSRRKLIRRIIWSIIIILFIIFLTILLIWAILQPTKPRFILQDATVYAFNVSGNPPNLLTSNFQVTLSSRNPNDKIGVYYDRLEVYAAYQNQQITYRTSIPPTYQGHKEVNIWSPFVYGTSVPIAPFNGVSLDGDQENGVIRLDIRADGRVRWKVGAFITGKYHLYVRCQAFINFGNKAAAGVIVGDNAVKYTYAQDMKQPRLNDGYDEPQPPHLNGGYDGPPQPHLNRGFDGPPQPHLNRGYDGPPPPHLNGGYYGPQQPHLNGGYYGPPIPPPPPKPKRRYKAPGCCCLSCMCSCFGSCLRCCGCCILSLVWNILIAVAVILGVTALILWLIFRPNAVKFYVADANLNRFSLDSSDNSNLLYDLDLNFTIRNPNQRLGIYYDVVQVSGYYGDQRFGSVEIAPFYQGHKNTTVVVTKMEGQNLVVLGEGARADLKEDDLTGVYRIDLRLVMSVRFEFWIIKSWKVKPKIRCDDLKIPLGSSSLTSGFKFQTMQCNFDFR >A03p022610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9503658:9508077:-1 gene:A03p022610.1_BraROA transcript:A03p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLVVLQSPVSQICIPERIAATWHPCSREQELAKYGKDYIDDGREGKVALVSAYGIHQLHIFIFVLAVFHILYCIITYALAKTKMKKWKSWERETKTIEYQYANDPERFRFARDTSFGRRHLNIWSKSSFTLWITCFFRQFFGSVTKVDYLTLRHGFIMAHMPAGSEARFDFQKYIQRSLEEDFKAVVGISPVIWCIAVLFILTNTHGSESYFWLPFIPLFVILIVGAKLQVIISKLGLRVQDKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTVDIALRITMGVLIQVVCSYITLPLYALVTQMGTSMRPTIFNDRVANALKKWHKTAKKQSKHGTSGSNTPHSSRPATPTHGMSPMHLLHSHNRSLDYQTSFTASSSPPRFSDFGGHGHGHQHFFDPESQNVSPHLEIAESDISNSQHPHADIASQHPHAEVTSPVKEEKETTEHVKIDLPEFTFKK >A08p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5361527:5363057:-1 gene:A08p008230.1_BraROA transcript:A08p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMARSNHHNRLPLRERRKRWVGCFKALSCFGSKKGERRIVPAASRTPEGNLLSHHSPAPSIAQSPNCLLSLSANSPGGPSSAMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLTGKADCISGDDGLRSSSFPEREFSPHWDSLASPRTVKCSRSDSSYAQTPETNTTPKASQGSNFFCPATFARYYLDHDAPFSHAGGRLSVSKDTDVYPTNRNGQRIYKQDMEELEAYRASFGFSSDDVISTSQYVEITHVQDDSLRPRTTSDASKDEGINLYGAGEASLNLQKCGNLQDPLDMHNDHMRRSSTPGNGGQAKASRKYKTGLCSSDAEIDYRRSGRSLGEGKGDFAWHD >A08g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5996849:5997833:-1 gene:A08g503190.1_BraROA transcript:A08g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTNRPPKIRPMFFHRGGAAPAILGSRQQRWRFDGSGHAPPILTLIIIVFRKIPTTIFINNPPSCQPPLIFTHLTLSAPSQGRFDVHTQNYRLSDSYDDLGQAFFPNHDSLLGLDKTNPQLPDVLGELTSMKSTMSDSPQGRHSRINVF >A04p029940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17841796:17842404:-1 gene:A04p029940.1_BraROA transcript:A04p029940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIARQFSSASLRRTLSRQFTRQSSHDPRRNNMRFSFGRQSSLDPIRRSSTPEEGSNRPPHQLAVPDNLDATMQLLFVACGGDVEGVRDLLDEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLTRKANIDARDRWGSTAAADAKYYGNMDVYNILKARGAKVPVCFLFH >A06p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20401625:20405006:1 gene:A06p037640.1_BraROA transcript:A06p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRYIVKRKLKREKKNTQLSAPPCEGWCSGKKNSLSLSLFESDEHFPQFPRIRGTRLPFRKLHPDSAEKENFSFPGLMGKENQLETANCGVNDHDSPPVKNNEELAAEARYSTDSDSGLPTCRVCHSVESDRRGGTALGFLGITPPIPEASKSNADDVSKDTEAEQKSSIVKSNGANPIDIEMGIQQHQDALLELGCSCKNELALVHYACALKWFLNHGSTVCEICGNAAENIKTADFNKVVVALRERTADGGGGDANSVLPVNTESTIDADEVAAIRRQRLSEISSWFGPHSLINNSNSAAASQAMPEQPLDAVNFGVLPAENRATKWAVEGTGILLATGLLTVTLVWLIAPRVGKKTARSGLHILLGGLCALTIVIFFRFVVLTRIKYGPARYWAILFIFWFLVFGIWASRSSHGPKLGFRVKIRHRVPSCAVARYSEKASSSTFMLFVTSPLMTKTPFQERLLLRRVFERCGEFRLIQKLRDKRVILHRGIKHELVLEIINPFRLAIVRTRADKQIDQH >A02g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12058063:12058756:1 gene:A02g503550.1_BraROA transcript:A02g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILALRSQGWWLIKSLPWRSWFERIPLLTLGLLISYWEGMMTSKVYPFTSLNTTLHPLASFFTSTLLQTIYFMLTSKSELERNLLLGDPQNKSASNADYSPNKSFR >A06g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22929525:22930017:-1 gene:A06g508140.1_BraROA transcript:A06g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPMSATVNTLGDSFWQVLIASTDEAKEAMRQGCFDYLSSGGFRTSGLMALIGGMNPRPLSLFYHLFVISLSSIGQLLSPFPTPLRVWHSLRLLDLCLKMLVPHLKAEGIGQMLSPTNAAAYRKSYMAATVV >A05p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7004312:7006289:-1 gene:A05p015760.1_BraROA transcript:A05p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDDDGMLYTESGEENLYIDHTSESDYGMEEDMHNDSTSKRDQISYIVLKEEDIREHQNTDIEQISTVLSINQVEAIILLLHYQWSSSKVEDEWFTGEERVRKTVGMLKEHMVDLNDQDVNIGCGICFESYLREEIATVSCGHPYCKTCWTSYITEKINNGPGCLMIKCPEPSCYAVVGQDMIDKLITIEEDKEKYWRYFLRSYVKEGRNNIKWCPSPGCVYAVDFGTRNGTENYDVTCLCSYDFCWNCSEDAHRPVNCDMVSKWITKNTKDNESKNTTWILANTKLCPNCNCHIEKNQGCNRMTCSICNHSFCWICLCPYDGGHTCHKFEGDDETKIKRKRARRVKRTIDRYMHYYVRWANNQSSRLNAMEDLKELQAVQLEKLSVKQCFSQTQLQFTVDAWLQIIECRRVLKWTYAYGYYIPREERTKKQFFEYLQGEAEVGLERLHHCAEEELQHFVDETDDPSTDFDDFRKKLIGLTKVTKTYFENLVKALENDLADVAVDDSK >A09p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2196158:2217181:1 gene:A09p003770.1_BraROA transcript:A09p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETGAIWGITGTTVTSFMFIWAMYSQYVPRHLRVTVEKYGYKMVGWVSFYVHIKFTEYTEEGLKRSDNYDAIRNYLSTNSAARAQRLKANESKNSKSLVLSMDDHEEVEDEFNGVKVKWYSNVKVTQTQSNYGRTSSDERRFFTLTFHRRHRGMIIETYLNHVIDEGKAIGLRNRERKLYTNNSSSEWYPWRSGKWSNVPFHHPATFETLAMDPEKKERIKKDLIKFSKGKDYYKKVGKPWKRGYLLFGPPGTGKSTMISAIANFLDYDVYDLELTTVKDNSELKKLLLDTKGKSIIVIEDIDCSLDLTGQRKSKKEEDEEDDAEKKKEAEKKEKKEAEKLSKVTLSGLLNSIDGLWSACSDEKIIIFTTNFVDKLDPALIRRGRMDNHIEMSYCKFEAFKVLAKNYLEIESHELYGEIERLLEETDVSPADVAETLMPKSDEDDADVCIKRLVKTVEEEKEKAKKLAEEEEKSSALATLMFVYTIFKQWFPHVGDHFEPFFQRLFSRFYPYIQITFHEYSGERFQRSEVYLGIQSYLSKDSSSRAKKLKANTTKGSKSLVLSMDDKEEITDEFENVTVWWQSKKEGNIRQSFSFYPAADEKRYYMLRFHRRDREVIIERYLEHVMKEGKTIELKNRERKLYSNTPGQNHGNQTKWSHVTFEHPATFDTLAMEEKKKEEIKSDLVKFSKSKDYYKKIGKAWKRGYLLFGPPGTGKSTMIAAMANFLEYDVYDLELTTVMDNTQLRRLLIETSTKSIIVIEDIDCSLNLTGQRKNKKEEEDEDGDDKEAIEKKMMKNEGDKKESKVTLSGLLNFIDGLWSACGGERIIVFTTNFVDKLDPALIRKGRMDKHIEMSYCGFEAFKVLAKNYLDVVESELFDEIKMLLEVEEIKMTPADVGENLLPKSEGEEGETCLRRLIEALKEEKEEAKRRVEEESRSPRDDTGHGTHTSSTAAGSVVEGASLLGFANGTARGVASRARVAIYKVCWRYGGCLSSDILAGMDKAIEDNVDVMSISISEIAVDYYGDIMAIGAFAAMERGIFVSCSAGNRGPSSYSVRNVAPWITTVGAGTIDRDFPALVILGNGQNYTGASLFKGDALPPKLLSFVYAGNASNNDTGYLCYPETLIPEKTRFRYSPRGIQHPLWNLHVLPSRQWFSGSTQVCASAVEPRGDSICSYDHCLQILQRRKSDHRHRNGNTFNAARTRCWTRVADYGHQSRAVDYLDFLCAMDYTASDIEIVSRRNYTCDPSKTYSVADLNYPSFAVYVDVAREYKYTRTVTSVGGAGTYSVKVTSETRAVKILVEPAVLNFKEVNEKKSYAVTFTVDLSKPSGSNSFGSIEWSDGKHVVSSPVAMMMMGNTFGSGMASVFFLWATVQQFFPNHLKIAIKECLISSIQQFTFVQRLSDRFITFFSPYVEIKISQYDGYQYNHAFASIETYLGEKATDKAKHLRASQVKESKDLVLKRDDTRTRDEYKGVNVWWEIETDSEGYRTYKLTFHRRSRDIVTDSYMKHIFEEGKLIDAKNKKMKLYTNNPSSSWGPNKTALWRCIDFEHPASFDTLAMDSKKKEEILNDLAAFSNGKEYYKKIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYSIYDVELTAIKDNSELRKLLIGTASKSIIVIEDIDCSLDLTGQRSIKKKTKKNERFLMSWKKDKEKDKEVKEDNKSFVTLSGLLNFIDGIWSACGQERIIVFTTNHMAKLDPALIRRGRMDMHIELSYCTFEAFKILAKNYLDLDTHPMYTKIESLLKETKIAPADVAENLMKKKSEIDADGSLNDLIDALEGKNTQKATAETVRLRSYHDKYLLADDDEDSVNQDRDGRCMNARWKVEILEEANVIRLKSCFGKYLTASNMPLYLGMTGKKVTQTLPRRLDSSTEWEPVREGVQVRLKTRYGQYLRANGGLPPWRNSVTHDVPHRSTTQDWVLWDIDILEIRKKKPPQPILPPPPPPPEVLMATIDDHAEHNSPKEFSLKSPRFSKTEVEDRVSSPGKADGRLIYYRVGDEDGNVDEGAKEELFCFKGLGLEELKQKLEEETGLSDVSICSKNPLNGKLYPLRLHLPPNNSKMHVVLIPSSSKATVKKDRRVPDQTSAPEGRLDTHSYPAPEMMGTGQDSVKVMGVVDKPEKEKNGFWFRIKLMFSCISSRSKVDSSTLVEPKTVIEKREGDPPSCNGSTTPLISGELKYSSKLRIFMFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNPDGLQGHKEWLAEINFLGNLVHPSLVKLVGYCMEDDQRLLVYEFMPRGSLENHLFRRTLPLPWSVRMKIAVGAAKGLAFLHEEAEKPVIYRDFKTSNILLDAEYNAKLSDFGLAKDAPDEKKSHVSTRVMGTYGYAAPEYVMTGHLSTKSDVYSFGVVLLEILTGRRAVDKTRPNGEQNMVESARPHLLDKKRFYRLLDPRLEGHYSIKGAQKATQVAAQCLNRDCKARPKMSEVVEALKPLPSLKDFASSSSSFQTMQPVGKNGVRTQGGGGFVSRNGVPLRSLSSLNLPQGSSPYRYARGSPKAKG >A10p014330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4261713:4262664:-1 gene:A10p014330.1_BraROA transcript:A10p014330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKLKAEKGPDGLAITLSLDLCVHEPETKSVLDSSSPAAANANQTHPEKTEGKEGGKEHGQRPATAEGESKSRKKPQFQGRGRGIGIMNKGRGGWTGAGFDVDGRT >A01p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5426331:5426742:1 gene:A01p011170.1_BraROA transcript:A01p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTIHCYLHSPAEWMDHGNMMEPQVDLQGCHKRISPLHTELKSLVWALKCFSRHQPAFATELSEFKTLWETCQNAQKVYQSRVNNTQADFARHARTRNSVFSYVNMS >A01p059510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34164929:34165768:-1 gene:A01p059510.1_BraROA transcript:A01p059510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g01070 [Source:Projected from Arabidopsis thaliana (AT3G01070) UniProtKB/TrEMBL;Acc:Q9MAC1] MARLALMAAAVVLAFLAAVPVTEVAAKRWIVGDNKFWNPNINYTIWAQDKHFYLDDWLYFVYERNQYNVIEVNETNYISCNADNPIANWSRGSGRDVVHLNVTRHYYLISGSGGGCYGGMKLDVLVEKPPPPPTAAPIKNNARRAFSNFGFAHQFVIPVAVFTMIGTMWDAVLRFC >A10p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21878067:21878593:1 gene:A10p039570.1_BraROA transcript:A10p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITHFVLSSLETFRVFDSLLIAAKLSSLREPRFLQSSRMDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRYIMRNVKGPVREGDILTLLESEREARRLR >A05p046950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27793996:27794953:-1 gene:A05p046950.1_BraROA transcript:A05p046950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRLQQRYKDGSRLIAGCIPFRYIKDGNSESGKVVQVLMISSSSGPGLLFPKGGWENDETVKEAAVREAVEEAGVRGILMDFLGDYEFKSKTHQDEFSPEGLCKAAMYALYVKEELETWPEQKTRTRTWLTIGEAVESCRHAWMKDALVDGFCKWHKEKMGKGDED >A07p045800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19801899:19802825:-1 gene:A07p045800.1_BraROA transcript:A07p045800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRRPSNLTAAVVRFRLAPLLPFSGSSGSVNSDTTRCSELVRVPSLVEGCDYKHWLVLMKPPNGYPPRNDIVQGFIKTLAMALGSEEEAKKSIYSVSTKYYYAFGCRVHEHLTYKIRSLPDVRWVLPDSFIVDGGDSGYGGEPFVDGEVVPYDEKYHADWLRDQTDEDAKNRLVKKKPRRKINKKLN >A07g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5961855:5966919:-1 gene:A07g502920.1_BraROA transcript:A07g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSEVNGKVVKMNKRLGKIERCQVVLKKRCKRMKAMEKKLEKIEDCQYYLKKKAKKVVEEKESEEEAQKDDIGSEEETKPEPESEVEEDKEKEDEEESEEEAQKNDRGPEEEKEPEPEAEAEEDNEKEDEEVENEKTPEKEPDEVQDEVEMNEANEIEEEVETEARVEVETEKTPTPPRGRTKAAAARRQVLTTAEKLFEKAEKMVEEEVEEPEEEAEKNGGGRGGRT >A02g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18422020:18423168:-1 gene:A02g506590.1_BraROA transcript:A02g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSQTKFRSKLFPSKFDLRAVRYSNTAKVRLVWFWEAMNINKGGELMSVDMLLIHENARRKKISKRMNILQDIVTGCNRITGKAALLDEIIEYFFSMKLATINSSLESNPDAALSIENMPRFSDTQSPSSDGFVQAKQQSSNNSCSEATLQMKPQPCEEGVLFCFNNMP >A09p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7083712:7087157:-1 gene:A09p013660.1_BraROA transcript:A09p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPCSFVLEMILVKVTKVGASIVRTSMDMGMIFLVVSALLVTVTADVYIVTIEGDPIISYKGGENGYEATAVESDEKIDTSSELVTSYARHLERKHDMILGMLFEEGSYKKLYSYKHLINGFAVHVSPEQAETLRRTPGVKSVNKDWKVRRLTTHTPEFLGLPTDVWPTGGGFERAGEDIVIGFVDSGIYPHHPSFASHHRLPYGPLHHYKGKCEEDPHTKKSFCNRKIVGAQHFAEAAKAAGAFNPNIDYASPMDGDGHGSHTAAIAAGNNGIPLRMHGYEFGRASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPTTTKTTFLNPFDAILLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITTVAAAIDDRRYKNHLTLGNGKMLAGMGLSPSTRPHRLYTLVSANDVLLDSSASKYNPSDCQRPEVFNKKLVEGKILLCGYSFNFVVGTASIKKVVATVKHLGAAGFVLVVENVSPGTKFDPVPSAVPGILITDVSKSMDLIDYYNVSTTRDWTGRVKSFKAEGSIGDGLAPVYHKSAPQVALFSARGPNTKDFSFQDADLLKPDILAPGYLIWAAWCPNGTDEPNYVGEGFALISGTSMAAPHIAGIAALVKQKYPQWSPAAIKSALMTTSTVIDRAGRLLQAQQYSDTEAVTLVKATPFDYGSGHVNPHAALDPGLVFDAGYEDYLGFLCTTPGIDSHEIRNYTNTPCNYDMKHPSNFNAASIAISHLVGTQTITRRVTNVAEVEETYTITARMQPSTAIEVNPPAMTLRPGASRIFSVTLTVRSVSGVYSFGEVKLKGSRGHKVRIPVVALGHRH >A05p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17730961:17733852:-1 gene:A05p032800.1_BraROA transcript:A05p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granule-bound starch synthase 1, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT1G32900) UniProtKB/Swiss-Prot;Acc:Q9MAQ0] MASVTASHFVSLVNNNHGGASGSEANASLSQISFKCQSMTHCGLRSFNMVDRLQRRCQAKAVSAKSSKGLQQNAPKAKRVGKIVCEKGMSMIFIGAEVGPWSKTGGLGDVLGGLPPALAARGHRVMTVCPRYDQYKDAWDTCVVVQIKVGDKVEDVRFFHCYKRGVDRVFVDHPLFLAKAALEAPRVLNLNSSKYFSGPYGEDVVFVANDWHTALLPCYLKSMYQSRGIYMNAKVVFCIHNIAYQGRFAFDDFSLLNLPDSFKSSFDFMDGYEKPVKGRKINWMKAAILEAWRVLTVSPYYAQELISGIDRGVELHSYLRMKTGTGKKKMEAQILELEEKFPGKAVGVAKFNVPLAHMITAGADFIIVPSRFEPCGLIQLHAMRYGTVPVVASTGGLVDTVKDGFTGFHIGRFNVKVAKAYTLRFLPTLQCEVVDPDDVIATAKAVARAVAVYGTPAMKEMVKNCMDQDFSWKGPARLWEKVLLSLDVAGSEAGVEGEEIAPLAKENVATP >A10g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7943165:7944417:-1 gene:A10g502980.1_BraROA transcript:A10g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKRIIVVQKSHLKQRRGVEDGGLGLELELELVQYKRGFGRKRVLISSGDEICDSPVGKTSSKKLCDEASAAGGQSRELEDLPLDILLRIICGVEHEDLKQLFHVSKAVREATLIAKQSHFAYSTPRKTSVFERSRFGLDKPSGLGDGDDYEIEAPAAPLQKRYRSRDEDNSGVSVALFK >A03p063190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27443313:27444657:1 gene:A03p063190.1_BraROA transcript:A03p063190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKSNVETTSTIYTQREAPRICRLFENPKGQKIITSPMAVSAFNPLKFASSSSLDSIPSISSSTSYSLFPASIGIRNSVGSPFMRCLKQSCSSVRAMSASSSSSFGSRMEESVRKTVTENIVVVYSKTWCSYCTKVKTLFKRLGVQPLVIELDELGPQGPQLQKVLERLTGQHTVPNVFVGGKHIGGCTDTVKLNRKGDLELMLAEANGNTNQT >A03p016670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6661078:6661500:1 gene:A03p016670.1_BraROA transcript:A03p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCEQLQSPLVRKPFSPLPTTVVASKANIATPQQLLPTPKSNVVNEISAFATPVKNNNSVRNLEEEKMQMMMMQTPKNVGAMILIPSTPATISVPMQTAATPLNNTNARLLQEKAEVVEYSFEERRLAFMLQSESLIHV >A05g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8250291:8251782:1 gene:A05g502620.1_BraROA transcript:A05g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVPVSANLSRRGVPSFNCKTCDVEEDDIHVFLKCRVAERVWELAPFATTPLSSISTIGSLIECCNTLTTLPPVGLYTPLWPWILWNLWKARNRLCYENRNFSAMEIVVKAISEAREWQSAQSPPLFRDTQLPPNPGKARVQHPLQVRSGMLLCNVDGAWDAGTRNCGTGGVFSGEGPHGCLPPIKSEVQGPVLQILITSPLERIEAFSWTETTSTATMELSTVTVELSTATEEAWSRLGGDGGAVTA >A01g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21293887:21295424:1 gene:A01g507350.1_BraROA transcript:A01g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQSYPPNKSVCNSPHPSSYSMISMPLEDLLINHLNETHLISLLSLLKLYIYPNPTISSSANASQNYGKSNDSCHRSGDSKLMFRLIHFWEACNNSKGSILIGTKMVISQKDMIAYHEHAGKASNSHPPMIDAVSNEFWEQKIVRKSNGADIYKLQRNNRPVEKILETYTQFFIGFVMEKKIRSGYRSCRRYVLSIRTMIGDETYSSSNGSFFENIKYENFKLRVFLFVLSSTDIFNFGLFILEMITNLKPGEEQEDPERRERVVDENMKIEERTLGKIKQVITLGLMFTDKSPSKQIKLLRRFMTCCFFV >A04p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:340691:343260:-1 gene:A04p000680.1_BraROA transcript:A04p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSVLSISVVIVLATSHIDAFTRDDFPEDFLFGAATSAYQWEGAVDEDGRTPSVWDTFSPFDNMDNGDIACDGYHKYKEDVKIMAEMGLEAFRLSISWSRLIPNGRGHINPKGLLFYKNLIKELLTHGIKPHVTLYHYDLPQALEDEYGGWINRKIIEDFTAFADVCFREFGEDVKLWTTINEANIFAIGAYSEGILPPGHCSNSKYVNCSTGNSSTEPYIAGHNILLAHASASNLYRLKYKSKQRGSIGLCIYTYGLFPYTSSKEDEIATQRAKDFYFGWLLKPLVFGDYPDVMKRVVGSRLPVFSEEESEQVRGSSDFVGVIHYTTLYVTESRPTPSILLRNQSFSTDMGVETISIGNSVPWGFEGVLEYLKQSFNNPPIYILENDCFQLPTGLATKHDSTLQDTSRVEYIQGYIGAMLNAIRNGSDTRGYFYWSMIDLYELLAGYRLSFGLYYVNFSDAGLKRSPKLSASWYSGFLNGTVDVAPRDITQLQSHSSGLSSL >A09p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9914232:9916313:1 gene:A09p018750.1_BraROA transcript:A09p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g62260, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G62260) UniProtKB/Swiss-Prot;Acc:O04590] MSRSRGIFSILRKVHQPSSRKCLRTNSISSSSSSSLGFRATNKELNQMIRSGYIAEARKIFEKLEARNTVTWNTMISGYVKRREMTNARKLFDEMPQRDVVTWNAMISGYVSCGFLEEARKLFDEMLKRDSFTWNTMISGYAKNRKVGEALLLFERMPMRNAVSWSAMISGFCHNGEVSRGVELFMRMPEKDSACLCALVSGLIKNEKLEEAANVLTQYGCVDSGKEDLVFAYNTLVVGYGQRGQVEAARRVFDQIPDICRNYVSWNSMIKAYLKAGDVVSARLLFDQMRDRDTISWNTMIDGYVHVSKMDEALCLFSEMPNRDTHSWNMMVSGYASVGDVEVARDYFERTPEKNIVSWNSIIAAYEKYKDYKETVEVFIRMNTEGEKPDPHTLTSLLSASTGLVNLRLGMQMHQIVIKSVIPDVPVHNALITMYSRCGDITDSRRIFYDMRVKKEVITWNAMIGGYAFHGNASEALNLFWSMKSNGIHPSHITFVSVLNACAHAGLVDEARAQFMSMVNEYKIQPQMEHYSSLVDVISRQGQFEEAMGVIKSMPFEPDKTVWGAVLDACRIYNNVGLAHAAAEAMSRLEPESSTPYVLLYNMYADMGLWDEASQRTEDEGYDDQELRDGISVSILLGTHSHRFPKGVVEAVEESPPE >A03p035090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14743608:14744341:1 gene:A03p035090.1_BraROA transcript:A03p035090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGFCYQVFDKLEAALRKNMHYQAQMESLMLGEERRRGNCVRDADADEGFISPSSFPNSPDDSARRGSFSLRRGLSKHYKGKSQSFSSLSEALTVEDLAKPENPFNAKLKQRRENLHCRRFSGPGGASERNLGGQDDFLAGNDRPPRLSGNRPPRAQTLSAAHISSLVTRS >A04g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14971983:14972929:1 gene:A04g506640.1_BraROA transcript:A04g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPCDSCRSAAATLFCRADAAFLCGECDGKIHTANKLASRHERVLLCQVCEQSPAHVTCKADAAALCVTCDRDIHSANPLSRRHERVPVTPFYDAPSNAAVSMEAASWLLHNPSVKEGGVEIPNLFADLDYSGVDPKMEASENSSGNDGVVPVQTRALFLSEDYFNFDISASKTTFPHGFSCINQTVSSTSLDVPLVPEGGAVAEMSRTTATPALQLSPAEREARVLRYREKRKNRKFEKTIRYASRKAYAEVRPRIKGRFAKRTDSRVNDGGDVGVYGGFGVVPSF >A01g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21424331:21426583:-1 gene:A01g507470.1_BraROA transcript:A01g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPARGIVTAPKVQLPLSSLRHTLTLRLYGQPCSPSSYLLALFFMSTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKKGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSAEMRGMDTKRADECVTKKGWDELQDVDIKETINKEVKTKERWAEWSWIVTGPNGWEDFESLTRPVTCTPNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQGKLSDCLIRM >A01g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10834607:10834986:1 gene:A01g503440.1_BraROA transcript:A01g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGYRFYPSETGEERPNSEWAERSYTAESEQRDWKGILVWKQWEQREPTACSIGSKR >A08p030150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18469493:18470308:-1 gene:A08p030150.1_BraROA transcript:A08p030150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 19 [Source:Projected from Arabidopsis thaliana (AT4G36620) UniProtKB/Swiss-Prot;Acc:Q6QPM2] MGFSMFFSSENDVVHHSTPYASVDCTLSLGTPSTRLCNDDDRRFSSHTSNRLGWDFLHGAKKGSGGGGDNSYLLPRRCANCDATSTPLWRNGPRGPKSLCNACGIRFKKEERRASTAGISTSGGGSTAAGVSTSDHHGGANYYYNNNHYASPSPWIHHQQHNTQRVPYYSPGNNQYSFVDDAREVNHDATADPFLSWRLNVADRTSLVHDFTM >A05p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17480573:17481082:1 gene:A05p033410.1_BraROA transcript:A05p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNHLLENVYLCLSSSSNRRPRRPSLTFESLRLGRSNSVIHGFILVGRANHYRLSLKAGSIVKVVRFGVARCSSMYKITDHPFFIRFISPTIIDEVITGAPEINLQS >A03p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15765980:15773004:-1 gene:A03p037710.1_BraROA transcript:A03p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP3K epsilon protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G07980) UniProtKB/Swiss-Prot;Acc:Q9SFB6] MARQMTSSQFHKSKTLDNKYMLGDEIGKGAYGRVYIGLDLENGDFVAIKQVSLENIVQEDLNTIMNLNHKNIVKYLGSLKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVTVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLNEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDSPPIPDSLSPDITDFLRQCFKKDSRQRPDAKTLLSHPWIRNSRRALQSSLRHSGTIRYMKGADSSSEKDGEGSQDVAESISAEKVGISKTNSRSKLPAVGVGSFRSEKDQSSASDMGEERADSEDDIMSDQVPTLSIHDNKSSLQSSTCSVSSDAKGTSQDGKSEHDENLETKASEGRRDTLATKKVGKESSIPVQQRSHSFGPKGEDRGLRKAVKTPSSYGGNELTRFSDPPGDACLHDLFHPLNKVPEGKLNEASASTPASNANQGDSPVADGGKNDLATKLRARIAQKQMEGETGHSNDGGDLFRLMMGVLKDDVIDIDGLAVEFSRLVSSLRPSETEDAIVTSCQKLVAMFRHRPEQKVVFVTQHGFLPVMDLLDSTKSRVTCAVLQLINEIIKDNIDFQENACLVGLIPLVMSFAGPERDRSREIRKEAAYFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLKRSTPRNDFCRIAAKNGILLRLINTLYSLNEATLLASEGRSGQLDQHEALLSVIDHPDVLKTRPGGGEEPSNSQRSDLYQPDGDRPRSSSAALDATEDVKQHHRISISSNRTSTDKLQKLAESASNGYAVTQPEQVRPLLSLLEKEPPSRHVSGQLDYVKHIAGLEKHESILPLLRASIDTMPRYFSKTMSKKVMAIEGAASASGVLSGSGVLNARLGSDTSSGLLSHMVTTLSAEVASQYLEKVADLLLEFARADTTVKSYMCSQSLLSRLFHMFNRVEPPILLKILKCTNHLSTDPNCLESLQRADAIKHLIPNLEVKEGNLVDQIHHEVLSALFNLCKINKRRQEQAAENGIIPHLMLFVMSDSPLKQYALPLLCDMAHASRNSREQLRSHGGLDVYLSLLDDEYWSVIALDSIAVCLAQDNDNRKVEQALLKDDAIYTLVNFFQSCPERHFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQRLQNLIEERREGQHLGGQVLVKQMATSLLKALHINTVL >A09p082310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59632248:59637529:-1 gene:A09p082310.1_BraROA transcript:A09p082310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTNMVMNPWVLHLQKLDLELKCPLCLKLLNQPVLLPCDHIFCDSCIHESSQVESGCPVCKSKHSKKARRNLPFMESVISIYKSLNAAVIVHLPQLQIRNTSNGGSEDSEMIDKDVIKGNGGGSDSSSLDGSPLPASESDNSGNHTPESQKGPAAKCMSGITASEQFCNAARKRICVESFSRDDSMLPKSKDSTPNPITQDQTVNLLMENVQSCDNGKAQIHQLPESHTEQAAKRKCDITVTEAMENHQKVYKRQKNLMQKADDIELKNNYTSTSDDQLIGNTSKSSDLTPSVISDQPSSNITICGFCQSARVSEASGEMLHYSRGNPVFGDDIFRSNVIHVHSACIEWAPQVYYEADTVKNLKAELARGMKIKCTKCSLKGAALGCYVKSCRRSYHVPCAREMSRCRWDNEDFLLLCPAHSSVKFPSEKSRPRCCLPKADSLPEGKSAELCPLEDKPAMTKDLVLCGSALSQDDKRVMEKLAAKLNATISRYWNPSVTHVIASTNEKGACTRTLKVLMGILNGKWIVNADWMKASLEASQPVDEEQYEIHIDTQGCQDGPKTARLRAASNKPKLFDGLKFYFHGDFFKGYKEDLQNLVKVAGGTILKTEDELTAESSNNVSESDQRSASTIVVYNIDPPPGCGLGEEVTIIWQRANEAEALSSKTGSKVVGHTWLLESIADRRPYHAPPPPQFRCATAATHLYPIKDPPTKENSSPSRVMGCFPRCFGRRSNRRRQKRRESDQGRANNLSVEYAKPVHLSDRVSAVEEIIPKSSVIPITETCEESPSPTRKRVTFDSKVKTHDHIALQESVEELLQEEKQEVVPEVNPSKADQSSSEKSEVASNPSGSHPSNYRYENCRESDDEVEEDELNCGESDLDEEFYSDEAFSEDKLTKGVKIDAKLRRSDESLGDGNHYAQGVLNPVENLTQWKSAKSNGKTMQKQSQKENSNNLISDQQDKKDSFSFGCGDSQTDEPKKAGNKELTVDASLSTWLSTSETGSECNSVSNTPEKNKPTSYSKRVINSHDERPVLCALTSEEIKQFSAASTPRKSPRKSHDETPIIGTVGGYWGDHSKAVDSGGSVSSFKGIPNTTSKYREDKSVNWHSTPFEARLEKALKRDK >A03p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5286371:5290124:-1 gene:A03p013440.1_BraROA transcript:A03p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPLRKKNKVLVIRILKSICKKPSLSINHRDPPPRDLITMNLPVRKVTADVNRSQPRRVDVNTEPPFLTLEKLIPQLLKRQSPRGQEHERYLRFRGQSGRCSEYVSDHNSSRDFLVSVFPDVNIKPSGESSLAASGFIAVGLLFFLFETQSRRIVPALKTLKMSTRPELLAPPEIFYDDSEARKYTSSSRIVEIQARLSERALELLALPEDDVPRFLLDIGCGSGLSGETISENGHQWIGLDISASMLNVAVEREVEGDLLLGDMGQGLGLRAGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLSRGARAVFQVYPESIAQRELILRQALQAGFGGGLVVDYPHSTKKRKEFLVLTCGSVPTSINDGHKGSGSEDDDDDSEDEDNGMVCVSDRNRPRKKQRTNKKGKGREWVLRKKEQSRRKGNDVPADSKYTARKRKSRF >A05g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27409042:27409622:1 gene:A05g509130.1_BraROA transcript:A05g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTYVLMRVLLCKIQCPSFICFCKPSPHIYASGSLKLEDTPPPQVSSVVDDNDDDQYVDAQVEEVVDHVDSLVNEGTEEECAVEGKEEDCALEEGQSNGEILKSSLKKETLDSEDGERREKKKVQWVDLMGKELAEIREFESSEEEEIRYDGDRSCVCVIL >A05p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19500718:19501324:1 gene:A05p034620.1_BraROA transcript:A05p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKVNELNLKDTELRLGLPGTGQVKEEKEVSCVRSNKRQHQSDNEEESTPPTKTQIVGWPPVRSYRKNNNSVVSYVKVSMDGAPYLRKIDLKTYKNYSELLKELENMFKFTIGEYSEREGYRGSGVVPTYEDKDGDWMLVGDVPWDMFTSSCKRLRIMKGSDALALDSAL >A10p003070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1577786:1578190:1 gene:A10p003070.1_BraROA transcript:A10p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGGRSGGGGGAEQGKFRGVRRRPWGKYAAEIRDSRKHGERVWLGTFDTAEDAARAYDRAAYSMRGKAAILNFPHEYNMGSGSSSNSAATAANTSSSRQVFEFEYLDDSVLEELLEYGENYNKNNNINMGKRH >A10p029000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17855313:17859841:1 gene:A10p029000.1_BraROA transcript:A10p029000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSLKKNYKCSRSLKQFYSGGPFIVSSDGSFIACACGEAINIVDALDSSVKSTIEGESDTLTALALSPDDKLLFSAGHSRQIRVWDLETLKCIRTWKGHEGPVMGMAVHASGGLLATAGSDRKVLVWDVDGGFCTHYFKGHKGVVSSILFHPDADKNILISGSDDATVRVWDLLAKNTEKKCLAILDKHFSAVTSIALSEDGWTLLTAGRDKVVNVWDLHDYSCKTTVATYEVLEAVTPVSSGTPFASFVASLDKKGKKKKTPSQETHFITLGERGVVRIWKSEGSVCLYEQKSSDITVSSDDEESKRGFTAAAMLPSDRGLLCVTADQQFFIYSVVENAEESELVLSKRLVGYNEEISDMKFLGDEEQFLAVATNLEEVRVYDVETMSCSYVLAGHKEVVLSLDTCVSSSGNVLIVTGSKDKTVRLWNATSQSCIGVGTGHNGDILAVAFAKKSFSFFVSGSGDRTLKVWSLDGISEDSEDPINLKTRSVVAAHDKDINSVAVARNDSLVCTGSEDRTASIWRLPDLVHVVTLKGHKRRIFSVEFSPVDQCVMTASGDKTVKIWAISDGSCLKTFEGHTSSVLRASFITDGTQFVSCGADGLLKLWNVNTSECIATYDQHEDKVWALAVGKKTEMVATGGGDAVINLWHDSTASDKEDEFRKEEETILRGQELENAVLDAEYTKAIRLAFELRRPHKVYELFAGLCKKRESDDQIVKALQGLEKEEFRLLFEYLREWNTKPNRCHIAQFVLYQTFNILPPTEIVQVKGIGELLEGLIPYSQRHFNRMDRFVRSSFLLDYTLGEMSVIDPETETEYRKDKKMEEVKVIASVSAMEQDSEGVDQKTPSRKRKSQKSKDKSNKKRLVA >A09g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12468991:12469793:-1 gene:A09g503970.1_BraROA transcript:A09g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFREWDPGTRGVTGISVHQYGELEATHKGFKGLESTDLIEITGDLIQKGELGIEGFWCFLGVWSRNLQGMILNQKGEVAALECKEKIYGSVFLRVWKVWMGTRPSSPPASVRSVKRQLVMEKEQNSRRRRVSQTPNLMPPNLRSIMTTQEVEGLCEQLAYETLWFLVLAWMWRWFSWSFSYGFKTPEAMSARWFYYRGVTVFGLIKPSTDITCVYGGV >A04g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9969944:9974646:1 gene:A04g504670.1_BraROA transcript:A04g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFLRMVTPPASPPAKRSRRQRRVRANGDGFPVFLPKEVKDIKDPFARALAQRIVRIPVPLQMGNFKGCVMSSCIKPIVQQHDKSPVVLLHCFDSSCLEWRRTYPLLEQASLETWAIDVLGWGFSDLGKLPPCDAASKRHHLFELWKTYIKRPMILVGPSLGATVAVDFTATYPEAVDKLVLINANAYSEGTGALKDLPKSIAYAGVKLLKSFPLRLLANVLAFSSPLSENIDWTNIGRLHCQMPWWEDAMVDFMISGGYNVASHIKHINQKTLVVCSENDQIVSNQLSVKLLCELQNAVFREVPDSGHLPHVENPKQFVKLISDFASGKIN >A09p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7731669:7738600:1 gene:A09p014800.1_BraROA transcript:A09p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSSGDFTSAVNHFTEAINLSPTKHVLFSNRSAAHASLHQYQEALSDAKKTVELKPDWAKGYSRLGAAHVGLNQFGEAADAYSKGLEIDPSNEALKTGLADASSRSRAAAPQNNPFGDAFKGPEMWAKLSADPSTRGFLKQPDFVNMMQEIQRSPSNLNLYLKDQRVMQSLGVLLNVQIRTQAGDEAEAMEEDEMVVNEPEVAEEKEKKEKALKEKEMGNAAYKKKDFENAIKHYSTAMEIDDEDISYITNRAAVHLEMGNYDECIKDCDKAVERGRELRSDYKMVAKALTRKGTALGKMAKVSKDYEPVIETYQKALTEHRNPDTLKRLNEAERAKKELEQQEYFDPAIGDAEREKGNEFFKEQKYPDAVRHYTEAIKRNPKDPRAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYSRKGAVQFFMKEYDNAMETYQEGLKHDPNNQELLDGVRRCVQQINKANRGDLTPEELKERQAKGMQDPEIQNILTDPVMRQVLSDLQENPAAAQKHMQNPMIMNKIQKLISSGIVQMNGSNLTVISTNCPYPPDCFMCVYFTSVNFGDTLTMIADTSLDDCCGAIYVDDVSPNSNTALFEQVLSDLQENPAAAQKHMQNPMIMNKIQKLISSGIVQMNVPTSLSANGTRESTICNNENNNSIIRFIRQLLRFSIVGLLNLYLETTGFVSKTVDLTFTRVKVTNEAMSQDVVQCIHFMETEVTESTKHGQRGEGGRMCLVMRVIQRCVGNVTNLPFDPGGVGLPNSGELVASQDQWKCTEQQSQIAHALFTVVGALVLVVEDDAIDDLAQKTCGSPQRRDVMVEVATDYIPHFEFGVKEFSSKDQHVKKSSTSCPPKHIIIGGRCWLLSLTTGNLRARALLAAQEKLLLQIWEIEVVCSDGN >A04p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20325309:20328801:1 gene:A04p035190.1_BraROA transcript:A04p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWEKEKIVGSCIIGGATFAVGATFLHLFLKGELPLGLGLGARLVSFRKRRPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDEEIIANKGPPVTPLHERMVMVKAVKWVDEVISDAPYAITEDFMKRLFDEYQIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSNSHSHSSLQRQFSHGHNSPKFDDGGSSAGTRVSHFLPTSRRIVQFSNGKGPGPDARIIYIDGAFDLFHAGHVEILRRARELGDFLLVGIHNDQTVSARSGARHPIMNLHERSLSVLACRYVDEVIIGAPSEVSKDTITTFDISLVVHGTVAESDDFQKEEGNPYAVPISMGIFQILESPLDITTSTIIKRIVNNHEAYQASCFFKRNLKKEASEKKYYEQKAFVTGD >A05p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12329586:12330987:1 gene:A05p025320.1_BraROA transcript:A05p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFAQSPSFLRRNASGSILLTASSPGFIRFSSGPQFRHRHSTCLKLSRPSQSRTASLKSRRSFVVKSSASDSIAPLELKSPVGQFLSQILVSHPHLVPAAVEQQLEQLQTDREAEEQIKDSSSSLPGTDIVLYRRIAEVKEKERRKALEEILYALVVQKFMDANVSLVPSITSSSGRVDTWPTQDGELEQLHSPEVYEMIQNHLSIILKNREGDLSTVAQISKLGVGQVYAASVMYGYFLKRIDQRFQLEKTMKILPGGSDEGETSIEQAGRETERSFYEEAEETYQAVSSNQEVGSFVGGINASGGSTSETKQSRLKTYVMSFDGETLQRYATIRSREAVGIIEKHTEALFGRPEIVITPQGIDSSKDEHIKISFKGLKRLVLEAVTFGSFLWDVESHVDSRYHFVLN >A05g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15926463:15934895:-1 gene:A05g505670.1_BraROA transcript:A05g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCGTRRYKSRKGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDKATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGQLNPVNGAFWFGSVWASPGRLLGEPMVRVQDGRKGEKPPRGGYGTVMERFWEEKEGILVTVRPGERNTEKIQERKRDRNFGSADLIQEIVLECSWCVWACDQEDGFETERQGEGKGERVAPGDRTPKVRGKDSLILRPLPDQIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCAACELMRF >A07p030760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17999293:18003251:-1 gene:A07p030760.1_BraROA transcript:A07p030760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAFMDEMQNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNEKSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFLGKNMSLRVNSTAHVLHAFVNGKHIGSQHAENDKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLIGFESQLFRTESMSKWSVESVPFNRTMTWYKTTFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSENGCDANCNYRGAYHAEKCLTNCGEPTQRWYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVYEKNIIELSCDRKPISAIKFASFGNPYGNCGSFVKGTCESSNNTVDILTQECVGKEKCSIDVSSEKFGAPDCSGAARRLAVEAIC >A01p021770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10611150:10612742:1 gene:A01p021770.1_BraROA transcript:A01p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHHSAAGLHMLYPQVHCSPQFQVIDKKNKKNAFSDTTPSKESFFTLESSTPSYESPSVSITSGRSPFSPQASCISDLHPSPENIYESPLSGASSHVYDEAHVKNKIRELEVSLLSVDPKVEEYSGFSPAAGKSWNWDELLALTPQLDLKEVLVEAAQAVAEEDFAAACGFIDVLEQMVSVSGTPIQRLGTYMAEGLRARLQGTGGNIYRALKCNEPTGRELMSYMGVLYEICPYWKFAYNAANAAILEAVAGEKRVHIIDFQIAQGTQYMFLINELAKLPGGPPLLRVTGVDDSQSRFARGGGLNLVGEKLANKAQSCGVPFEFHDAIMSGCKVHREHLGVEPGFAVVVNFPYVLHHMPDESVSVENHRDRLLHLIKSLGPKLVTLVEQESNTNTSPFLSRFVETLDYYTAMFESIDAARPRDDKQRISAEQHCVARDIVNMIACEEAERVERHEVLGKWRVRMMMAGFMGWPVSSSAAFAASEMLKGYDKNYKLGESEGALYLFWKRRPMATCSAWKPNPNQIV >A03p035780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14998838:15000148:-1 gene:A03p035780.1_BraROA transcript:A03p035780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hevein-like preproprotein [Source:Projected from Arabidopsis thaliana (AT3G04720) UniProtKB/Swiss-Prot;Acc:P43082] MKIRLSIAMILLSYTAAMVAGQQCGRQAGGQTCAGNICCSQYGYCGTTADYCSPDNNCQSNCWGSGPPSGSGESATGVRATYHYYNPAQNNWDLRAVSAYCSTWDADKPYSWRSKYGWTAFCGPAGPRGQASCGKCLRVRNTRTNAAVTVRIVDQCSNGGLDLDVAMFNQIDTDGDGYRKGHLIVDYQFVDCGNELIDQPADFKNILVSATDRV >A07g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4831602:4834208:1 gene:A07g502230.1_BraROA transcript:A07g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CDSVKLSLILGSLLLNTQSSCFVVSDSDDDKTPSSMDFTAPTSSPVDAVDGGSSTKFPRRLFAPGFYPTKLRLNIYSKANVIASVASALKGSSAMDRLLCSHFSKLFQLPVARCPNSTKLIGSLLCRQLITIRKYELWFTFGYHPLRFSLDEFMEIIGLNCGVFDVEDSETEVNEPGSMWKQLFDTTVGEITVVQVLKMLENPYFVDWKRVLLALIALVDGVLCCTNKTLKLTPNYVEMLSNLESFMNYPWGRTSFLYTISRFMPPPVSVETPYPLHALRIRLSQKTTACYGFPLALQLLAFEAVPQLLARIPDAVPQLLARNPLPAARTTDPVISKDNRMLWLSTSSPRAADAGYERALYTYAMTRKIFLEDEEYFSRFTRDSVGRIGMVVRNEDPVWLNNDNERIGYSGTLSAARLETCVITAFRLKRLLCFYGIFGVALVFQISTHGRLMTVDEYEDFFLGLEIMNQKNEQDLIKIAREGLKEDIREGLESEEFATLQVLFLEAAEVEEILEMDKSPEEKPRRRTVSDESESLTATNSTADGVSLSDSSVSIANIVVENSAAGGGSIADSSLSVADSVADNSAARLGSISGSNSTGVDSVSDNVADSSPSAAGSSRSSNSVAFSGARK >A04g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22287514:22289931:-1 gene:A04g508470.1_BraROA transcript:A04g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDVSPFFDLPIFFPFDVPAFDDISFLRIGDGFEREVVRLGGTAATGPLDFSCLVFFPGGRPGLRLAADRLVLELEVRRAIRKAVSSSCPRSSACFALSILTSLSEGSVHLVYCRRACFASPLASSNIFSENFCSGEPEGASGGRFPGKTEEDVPDSFAIDRHFYRRKMSVPGIPSSTFRDSIRNYRESSRDQRERQGNSIKVSIVGGNEFSGGRRARRPESQAEPGESSPRAVFGLLDNSQKGNNLNWQRGEKKSAPIRDKGPRDNREEYPWDR >A07p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15723956:15726116:1 gene:A07p027580.1_BraROA transcript:A07p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71B5 [Source:Projected from Arabidopsis thaliana (AT3G53280) UniProtKB/Swiss-Prot;Acc:O65784] MSIFICFLLLFPLLLIFSKKLLPSKEKLPPGPTGLPIIGNLHQFGRFLHKSLHKISQEYGPVMLLHFGVVPVIIVSSKEGAEEVLKTHDLETCSRPKTVGTGLFTYNFKDVGFAPFGENWREMRKIMVLELFSQKKLKSFRYIREEESELLVKKVSNSANEKPTSSVDLRKVIFSYAASIICRLAFGQNFHECDFVDMERVEELVLESETNLGSLSLADFFPAGWLIDRISGQHSRLNKAFAKLTTFFEHVIDDHLKTGQPQDHSDIISVMLDMINKPNKVGSFQVTDDHLRGVMSDVFLAGVNAGAITMIWTMTELSRHPLVMKKLQEEIRATLGPNKERITEEDLEKVEYLKLVIEETFRLHPPAPLLLPRLTMSDIKIQGYNIPKNTMIQINTYTIGRDPKNYTKPEEFIPERFVDNPIEYKGKHFELLPFGAGRRVCPGMATGIAMVELCLLSLLYFFDWSLPEGMTIKNIDMEETGAFVIAKKVPLELVPNLHRW >A05p003850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1495188:1497303:1 gene:A05p003850.1_BraROA transcript:A05p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTIACGVLFAAASMTAVMATEVGAPAPGPAASGASVVVPALGSLVGASLFENVPFKMVWWRKKKQEVNERQRMFMKNGSLLLEELIAISDDATSNPIKHFSADQILEATNNFSRSNLVRIDRFRHYKGMLDDRLVLIKKWAYGGSIFSEKIYRDLAVSSMVSGHKNFLKLLGCCLEFSYPVLVCEYAEVITRSLRGARCPIDPSLTWSMRIVIAKEIANALSYLHTSFSRTLIHKDIQPCQIFLNENGTAKLGEFCNCVFIPEGETYVQDDVVEGTYGFLDPNYASKGLVTEKTDVYSFGAFMFVLLTGRIPQPIFWKRGDHLVEDPKRLSRLVMDGRFDKVVDKNMLVVEGRDIEQERLQVEAFLELSLRCIGRLGDVPNMTDVAKELERIERLKSVPCLVKETV >A09g515350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45616278:45618164:1 gene:A09g515350.1_BraROA transcript:A09g515350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMNSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQQIRRRLFNTNPTATGEDTNAILSKMSAPKAPAAKNANARQEPRQHAPNDKNGRKDGYVYVVNENNTPISTLVVRGEGWNKWVRELESSDQKVDSEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDESPVLGDLRDSLKRKLKPENGGDSTRRDLRTMLDAWKSRRISTSNGNNNEGPISDLRDKLNARAGDLRVKLNRSKPTDLRRQLERAK >A07p018680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11201752:11202115:1 gene:A07p018680.1_BraROA transcript:A07p018680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGSKPKANIDDAYAYLRTVKDKFHNDHDKYDKFLAIMNNFEARRIDRAHCIIEVKELFKGHQDMISGFNKFLPESLEISCGPT >A07g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7545802:7547740:-1 gene:A07g503820.1_BraROA transcript:A07g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTTSIHKLMTPSRVKTYPHSRFPLADLPTTKGKGSEKHEHTTMPAQMVFMDRDYTKKKVRSLVAESWEFLYAIPVTKTRAFYESSDSSKPIEFLVRHGEAPQELCDTVCNLYAVSTSTGLGGMHLVLFKVGGNHRLPPTTLSPGDMVCIRICDSKGAGATSCTQGFVHSLGDDGCSIGVALESRHGDPTFSKLFGKSVRIDRIHGLADALTYEPTWITQCPLLLLDTRMSYGSLSMACEERLDPAGTGSLYNEGEADIVVNHVIALIYAGVSTMAIAVQSPYVAQVQLLRERLDDFPVADGVEVATIDSFQGREADAVIISMVRSNNLSGIPRG >A02g512090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32803396:32816629:1 gene:A02g512090.1_BraROA transcript:A02g512090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSPTFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRVAYLLEFIHQKGIIFVLRKNHQKPLESHLFESIDQFIIENSVFLSIFPEFLYMINLKSNMGLRGIMETIEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGVVRGSEVSQRHLKVTPAGSEVSRATLHGRSRFRRRIKKKSPQSEVSERGRRVAPAGSDIMGATPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERTEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESDDEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGYLKMPKLLTMVYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHICGPLRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPHPRLMLLASPSLLRSFSVSGGTHPLNLPLPATNPHPSLLLTVSTRLTRLRASHGMEAPVQHPVVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSQRRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDLSQRPSEVAPEAQSDVLERLAEVAARRLSARIHVFSRAFLSFYYAPTKRGLSLFLEKNHQKPLESHLFESIDQFIIENSVFLSIFHEFLYMINLKSNMGLRGIMEISETGSTIIYTTAFVLGALKTPNINTTNRNITFFFSYSNKFGFIVEIV >A06g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12793435:12795725:1 gene:A06g504130.1_BraROA transcript:A06g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHTRGKAMQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKRETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFLNIVRVNPVQIQKRKV >A02p025770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13517259:13520118:-1 gene:A02p025770.1_BraROA transcript:A02p025770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAILALRSQGWWLIKSLPWRSWFERIPLLTLGLLISYWEGMMTSKVYPFTSLNTTLHPLASFFTSTLLQGVNRAFPYISTDEADDIIRSLTLRSTDDAFFLCSTASAKSRSVAAAADYSATPIQLQAIVHYVTSTIIPQQNIHEISISFSGYFAEAPGRMAAIYSAAVMARNRKKAWSHSRVFARR >A09p071570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55187876:55189874:1 gene:A09p071570.1_BraROA transcript:A09p071570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ATP synthase 24 kDa subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G21870) UniProtKB/Swiss-Prot;Acc:Q9SJ12] MAYASRFLSRSKQLQGSLGVLQQQHNAIPVRAFAKEAARPTFKGDEMLKGVFTEIKNKFLAAVDILRKEKITLAPEDPAAVKQYANVMKTIRQKADMFSESQRIKYDIENETKEIPDARAYLLKLKDIRTRRGLTDELGAEAMMFEALEKVEKDIKKPLLRSDKKGMDLLVAEFEKGNKKLGIRKEDLPKYEENLELSIAKAQLDELKSDALEAMESQKKKEEFKDEEMPDVKSLDIRNFM >A08p042620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23864184:23865368:1 gene:A08p042620.1_BraROA transcript:A08p042620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G10500 protein [Source:Projected from Arabidopsis thaliana (AT1G10500) UniProtKB/TrEMBL;Acc:B9DF88] MMALAGITTSNPTFLQLRISTTSLRHVAPRNSISFPRSSYVNLNRRSRLCVRSSSSAPVASAMEGLKPAISLTESALKHLNKMRSERGEDLCLRIGVKQGGCSGMSYTMDFENRANARPDDSTIEYEGFAIVCDPKSMLFLFGMQLDYSDALIGGGFSFSNPNATQTCGCGKSFAAEM >A01p001480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:620075:620815:-1 gene:A01p001480.1_BraROA transcript:A01p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVPAISFLEPGTVNHFSGFQTGFTPWEGDCFDLLSMNQFLIEPAVPSPCYGESDSGLVRTNSGFDDIKTGSDESCAGFIKPGFEDTVSSQGLLCTQGDKPDPDDPKQWTALANFEPGEKKHNRNKLIQPEMTDERKRKRMESNRESARRSRMRRQSHIDNLRNQVNQLDLENRELGNRLRLVIYHLQQVNTDNNRLVTEQEMLRLRFSELRRILILRQLQQQQQWELNNRRMIMYEQNPSTII >A08p045330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24875049:24877164:1 gene:A08p045330.1_BraROA transcript:A08p045330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIGAVKDQTSISLAKVTNGANRSRGGDLTTLEVAILKATSHDEDVPIDDRLVSDILSIISSKKSHAPACAAAIGRRIGRTRSWIVALKSLVLVLRIFQDGDPYFPREVLHAMKRGAKILNLSTFRDDSNSRPWDYTAFVRTYALYLDERLDCFLTGKLQRRYTTNNKNQTGIRSRFNPRIRNKTDEPAVRDMKPATLLDKITYWQRLLDRAIATRPTGDAKANKLVKTSLFAVAQESFDLYRDISDGLALLLDSFFHLPYKSCIHAFQASVRASKQFEEVIGFYDLCKANGVGRTSEYPSVQKISMELLETLQEFLKDQSSFPGNNAGMYPSPNSLLLPPPPSTVSSSLSERCCSDYGSFREDAGTSSPSMSCRSEPYGGGGGREDLSGNSFDTVSTKSLPNTPSSVTLKMLDDKERKKKKQEEASDPWEALMLRDEPRKNIETIPEEPSTTSERQRDSGNWLLALEETVTTEVEDTNSMAIVPFGLDDHMPAFQATMEQHNPFLVEPAQPVAKSGELMSTAFSALAVTEFQGNVPDDFVPSSAPTFKATGSLPGKCEPFATFESFGLGESVSENGGEKSELYNNCITPSPSRSGPKALSNDKPDVRKEMTKTEKPENDSKQLNSSQRKEISENSSSP >A03p066980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28660044:28660469:-1 gene:A03p066980.1_BraROA transcript:A03p066980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQELSLECFSNEVVRLDLTPPSQTSPTSLSIDEEESSEAKIRRLISEHPVIIFSRSTCCMSHVMKRLLTTIGVVPTVIELDDHEVSSLPMALEEEFSGGVLPSPAVFIGRECVGGIESLVALHLSGHLVPKLVQVGALWV >A10p008540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8434618:8435777:-1 gene:A10p008540.1_BraROA transcript:A10p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQATRHAHRVYVGGLSPVANEEAVPSFSSSFPHLFPFKENIPCLIPCAIDQSGPVVTTVVCHTQVVTEDYLRDNMEDMRQEG >A01g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22456660:22457222:-1 gene:A01g507950.1_BraROA transcript:A01g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTRSKGVDLASAKRLLPVSNFYNANLSLFGKGNPTIQIMYFVMVEKSGAEDDFPWST >A01g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8689798:8690098:-1 gene:A01g502500.1_BraROA transcript:A01g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDEMAPPNLLMHLSKFMVVAPLSLQQETSCPRPELANHTQGSFYWLFASTKHLLDAILIPYA >A07p017620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10653325:10655422:-1 gene:A07p017620.1_BraROA transcript:A07p017620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISVLAFFFFLLFLMAEAQSRNRTRCRTFSCGSLDFKFPFFRTDMESRCGLFKLNCSDHHFPEIQLEEKGLWYKVISVSQANTITITDPRLNQSLETGSCTDLSSFSIPDSPWLELTDLYKCNNSRKNGFTYANCQGGGSSLYYSDLEEHSGCSVIKSPESWVIPRNKNQSNLNATFSLHIDLPGSCHRCYRRGGECNMINDKFRCIGGTKRPNNHQGEKLKLGLGIGGSVILIIILAALFITIHRMHRRKTSSDLLSRNNSKSDVEFSNVFFKLPIFSYKELQEATDNFSKDRLLGDGGFGTVYYGKVRDGREVAVKRLYEHNYRRLEQFMNEIEILTRLHHKNLVSLYGCTSRRSRELLLVYEFVPNGTVADHLYGETENSRNKCFLTWSMRMSIAIETASALAYLHASDIIHRDVKTANILLDGNFGVKVADFGLSRLCPSDVTHVSTAPQGTPGYVDPEYHRCYHLTDKSDVYSFGVVLVELISSKPAVDINRCKSEINLSSLAINKIQNHATHELIDKSLGYGKNEGVCKMTTMVAELAFRCLQQDSTLRPRMEQVVQELKGIQKEDRKEETLTSYPSPPEWDEASLIKNMKFPRSPISVTDQWTSKSTTPNISAYDC >A04p025410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15397056:15398318:1 gene:A04p025410.1_BraROA transcript:A04p025410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDLGNHREVAQPDCIKALIVEFITTFFFVFAGVGSAMATASQIYGLCCLYFIHGIDSLVGNTLVGLLAVAVAHALVVAVMISAGHISGGHLNPAVTIGLLFGGHISVFRAFLYWIDQLLASSAACLLLSYLTGGMGTPVHTLASGISYTQGIIWEIILTFSLLFTVYATMVDPKKGSLDGLGPLLTGFVVGANILAGGAFSGASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFIYENVLIDRSDAPLADDEQPFLN >A02p053160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32376018:32379173:1 gene:A02p053160.1_BraROA transcript:A02p053160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPSTNKLQQQEFTLASVTDLTSSSSSSPSPSSAVATFSCVNEVTELRFQESESAHGFTFDLSSTQLFKLGQLQFICVSHNSDSVKEDAFSRGVVIKFSDEKESKVFSNSFEEWRKDSVGKGSSLPNGTVSDGKSKFDDKIEAASAKMYFHYYGQLLHQQNMLQDYVRTGTYHAAVMENRSDFAGRVVVDVGAGSGILSMFAALAGAKHVYAVEASEMADYARKLIAGNPLLAERITVIKGKIEDIELPEKADVLISEPMGTLLVNERMLETYVIARDRFLSPNGKMFPTVGRIHMAPFSDELLFMEMANKALFWQQQNYYGVDLTPLYASAHQGYFSQPVVDAFDPRLLVAPPMFHVIDFTQMKEEQFYEIDVPLKFTASVCGRVHGLACWFDVLFDGSTVQRWFTTAPGAPTTHWYQIRCVLSQPIHVMAGQELTGRLHLVAHSAQSYTINLTLSAKMWGPGANQGGILQTSSCKLDLKEPYYRMSQPQVYPVAQETPAQPQDIQIQSDDLEELELLQQNANAQL >A10p017970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12626572:12630058:1 gene:A10p017970.1_BraROA transcript:A10p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSFTLSSLFATAVLILVLSTAVSLKSTLHPRDMLPHLPRQVSWPILNSLYGAADLLPTFMGTANAGNNTVNWKGACFYENTAHMEFHNKSGSKFGGGTLHIKARSICKNRYFLSADKAHSSTCMDLYVFATPYRVTWTWYFISRPHTVEFPEWDGQAEYDYVGWFFLVLLYHFSDLCAWPGVKKKGVSIFLMHAGMLGTLQALCDVFPLFTNTGWGESSNLAFLEKHMGAKFEARPEPWVTKVNTDDIHSGDLLVLSKIRGRWGGFETLEKWVSGAYAGHSAVFLRDAQGKLWIGESGNENEKGEDVIAILPWEEWWEFEQKKDDSNPQIALLPLHPDVRAKFNVTAAWEYARSMDGKPYGYHNLIFAWIDTVTANYPPPIDAHLVASFMTVWNKLQPEYAANMWNEALNKRLGTEGLGLPDVLVEVEKRGSSFDKLLTVPEQDDWVYSDGKSTSCIAFILEMYKEAGLFGSLASSIQVTEFTLKDAYMLNFFENNASRLPKWCNDNDSVKLPFCQILGKYRMELPGYNTMEPYTHMNEQCPSLPPNVRNHAALLRFQSPTWKRTEKTMSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVVKRIVL >A01p009660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4687270:4700520:-1 gene:A01p009660.1_BraROA transcript:A01p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-14 [Source:Projected from Arabidopsis thaliana (AT4G28710) UniProtKB/Swiss-Prot;Acc:F4JM19] MMCFTHLTPLPLFSAVSLPSSRRHFTSFRTRMSSSSIDSAPPPPPPPGNGIVLGCGGTTVDFLATVDSYPKPDDKIRSTSLKVQGGGNAGNALTCAARLGLTSRLISKVANDSQGKGILEELESDGVDTSFLVVSKEGNTPFTYIIVDKQTQTRTCIHTPGYPPMVPTDLPQSTMLSALDRASIAYFDVRLHETALVIAKEASRKKIPILVDAEKKRDGLDDLLRLADYVVCTAKFPQAWTEVSSIPCALVSMLLRLPKLKFVIVTLGEEGCLMIQRDSTEASESKETDIESLVETLKDRVDSTATFPTCVSSETAKLKANGVGTLSGRLFLGTAEKIPPEELVDTTGAGDAFIGAVLYALCAGMPPEKMLPFATQVAACNCRALGARTGLPHRTDPRLVPFLACTTVSVGSSVWVEDPEVAWIDGEVVEVKGNDIKVKCSSGKTVGVQVSDAYPKDGEAPASGVDDMTRLAYLHEPGVLENMKSRFDINEIYTYTGNILIAVNPFRRLPHLYTSHMMQQYKGAALGELSPHPFAVADAAYRQMINQGISQSILVSGESGAGKTETTKLLMQYLADMGGRAVSEGRSVEKKVLEDIKKWKLADPRTFHYLNQSQCIDLERMDDSKEYHETRKAMDVVGINSEEQEAIFRVVAAILHLGNIEFGKGKEADSSAPKDEKSNYHLKTAAELFMCDEQALEDSLCKRVIVTRDESITKWLDPETAALSRDALAKTVYSRLFDWIVNKINDSIGQDPDSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQDEYNKEEIDWSYIEFVDNKDILELIEKKPGGIIALLDEAWYGSKNLYLYCPACIVYLVSYPNFLPESCSMFPRSTHETFAQKMYQTFKDHKHFSKPKLARTDFTICHYAGDVTYQTEQFLEKNKDYVVAEHQALLNASKCTFVSCLFPLLAEDANKKTKFSSIGSRFKQQLVTLLETLSTTEPHYIRCVKPNNLLKPLIFENQNVLQQLRCGGVMEAIRISCAGFPTRKQFEEFLERFSVLAPEVSDNSSDDVAACKKLLEKVGLEGYQIGKTKVFLRAGQMADLDARRNEVLGRAATSIQRKFRSYLFRKNLTMLRKAAVNMQAVCRGQLSRRMFYGLRREAAVLEIQRDIRMHLARKSYKELYFAAVSIQSAIRGMASRDELRFQRQNKAAILIQSHCRKFLAQLHYQRLKKAAVTTQSAWRARLARKELRRLKTAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRTDMEESKTQENAKLRSSLDEMQLQFKEAKALLIKEMEAAKKTAEIVPVLQEVPVLDTELVDKLTSENERLKTQVSSLDQKIVETEEKFEKTRKLSEERLKQAVEAETTIVNLKKAVHELQEKILDVESENQILRQKSLLHTSGQLPPTPAKNSQNGHFSSKESLINGAEIDTPARTQESEAKARSLQKERQHDDDDNEHLAYWLSNTSTLLFMIQQSLKPGGATQQRKPPVSTSLFGRMAMGFRSAPSSAETSAAAAAAAAAVIRPVEAKDPALLFKQQLTAYVEKIFGMIRDNLKNELQALLSLCIQAPRTSTGRSLRSAKSLGKDSPLEHWKGIIDGLYALLNTLKENFVPPVLIQKIFTQTFSFINVQLFNSLLLRRECCTFSNGEYVKSGLTLLEEWCAETKEEYAGSSWDELKHIRQAVGFLVIHKKYRISYDDIALDLCPILSVQQLYRICTLYWDDSYNTRSVSQDVISSMRVLMIEDSNDADSSAFLLDEDSSIPFTTEDLSSSMQDKDFAEMKPAEELEENPAFSFLL >A08g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7386998:7387510:1 gene:A08g504500.1_BraROA transcript:A08g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFRDSWVYSRRTYYHYKSSLKAGSIVKFDIFEVARYSRMYKITDHPSLIRFIPPTIIDEVIMGAPKNNLQSSLDCSTISSYGWANPSCPGLCPHERNNSSRYMCPY >A02g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15518409:15519032:-1 gene:A02g504850.1_BraROA transcript:A02g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEDRRHSKKQHEHINMLSFVADSEYGIPKRCPCGGRLINEVRGKEDYDTLPGKRFFTCRNYEADGLHYRQPWVIGVQEELERLTKRVEEAEQVMLEVSNLSKQFERLEEQVNSLNEAVYDLTVQVHSLEKVCFD >A08g501710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4210512:4210877:-1 gene:A08g501710.1_BraROA transcript:A08g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRIDVSEELGRYVATELGSGSVATWRPSLVRAWSLLIDRAVCMYGSCVVTELDLSVFRSSYSNLSVDGLNTFPYPWDSLCLIQTGFGHISRHSFNQAQFVVERYSYLYFAEIWMLTSS >A01p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3460146:3462763:1 gene:A01p006870.1_BraROA transcript:A01p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLDFSDWWAKDTRKGTPVVVKMENPNYSVVEIQGPDSAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCLTWFATVFWSLLGAIKKRLSFTHPLGSERLGRDRWLFTAIKLFLALSLLILAFEIVAYFRGWHYFESPSLHIPTSTLEIQSLLHLVYVGWLGLRADYIAPPIKALSKFCIVLFLIQSVDRLILCLGCFWIKYKKIKPRFDEEPFRNDDAEGCGYVYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRILVQVLDDSNDESIQQLIKGEVAKWSQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVEAYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVSGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLGAILTSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYIPVFMSFLNLLPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLSITEKETPSKRSQLLRGVSDSELLELNQLEEQKQAVAKKPVKKINKIYHKELALAFLLLTAAVRSLLAAQGVHFYFLMFQGVTFLLVGLDLIGEQMS >A06p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27945840:27946355:-1 gene:A06p052910.1_BraROA transcript:A06p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNNNNNNKQKKTHKSKINRFLVSISFLGSAGPIRFVVKEDETVSNVIDYALKCYAREGRLPLLGSDSSFFLLYSPYYAVEAFNPWGKIGSTGSRNFVLSKKLEAQNLGGSVDTSTTTIRKTSGKWKAWLNKSLGLMVPSH >A02p028960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14587225:14587628:1 gene:A02p028960.1_BraROA transcript:A02p028960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSITSNISYKTLEKFEKIYILELEWTNRLYNVTGQFPDEEYADQRSKIKKVRMKTQQRRIVHQNLMLVTFSLFFQAFFQIHMRMSFISITGNQIDLFTPQTDQTICGL >A05p050250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29045451:29052541:1 gene:A05p050250.1_BraROA transcript:A05p050250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMVSRFSQSSVSLASNHRYNEDDDDCVSSSAHGDSSVPSRTKDSEATTSSIYGNGTEERDATATATSMAYLPQTIVLREVRHNASEASAPLGTSDGIALAPKWRLKERMKTGCVALVLCLNITVDPPDVIKISPCARIEAWIDPFSMAPPKALEAIGKNLSTQYERWQPRARYKVQLDPTLDEVRKLCLTCRKYAKTERVLFHYNGHGVPKPTANGEIWVFNKHYTQYIPLPISELDSWLKTPSIYVFDCSAARMILNAFAELHDWGSSGSSGSSRDCILLAACDVHETLPQSVEFPADVFTACLTTPIKMALKWFCRRSLLKEIIDESLIDMIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHELFQRLFRQDLLVASLFRNFLLAERIMRSANCNPISHPMLPPTHQHHMWDAWDMAAEICLSRLPQLVRDPNTEFEPSPFFTDQLTAFEVWLDHGSELKKPPEQLPIVLQVLLSQCHRYRALVLLGRFLDMGSWAVDQALSVGIFPYVLKLLQTTTNELRQILVFIWTKILALDKSCQIDLVKDGGHTYFIRFLDSLDAIPDQRAMAAFVLSVILDGHRRGQEACLEANLIGVCLGHLELSRPNDSQQEKFLQWLCLCLGKLWEDFTEAQIMGREANAFKKLAPLLSEPQPEVRAAAVFALGTLLDIGFGSSKSSLEDEFDDDEKIRAEEAIIKNLLDVVSDGSPLVRSEVAVALARFAFGHKKHLKLAAASYWKPQSSSLLTSLPSIAKLHEAGNATIVSLHMSPLTRASTESQPVAREARISSSPLSSSGLMHGSPLSDDGIMHDSVSNGAVHQQRLFDNAVYSQCVRSMFALAKDPSPRIASLGRRVLSIIGIEQVVAKPSKTIGRLGEAATTSNTPLAGLSRSSSWFDMHAGNMPLSFRTPPVSPPRTNYLPGLRRVCSLEFRPHLTGSPDSGLADSFLGASGSERSLLPLSTIYNWSCGHFSKPLLSEADDSQEIDTKREEKEKFALEHIAKWQHSSISKLNNNPIANWDTRFETGTKTALLHPFTPIVVAADENERIRVWNYEEGTLLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGSVRIWKNYATKGKQKLVTGFSSIQGHKPGARDLNAVVDWQQQSGCLYASGEVSTVSLWDLEKEQLVRSIPSESECGVTALSASQVHGSQLVAGFADGSLRLYDVRSPEPLVLATRPHQKVERVVGLSFQPGLDPSKVVSASQAGDIQFLDLRTSRDTYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGRILYYPSFMGQKIGSVSCLAFHPYQVLLAAGAVDSLVSVYTHDNSPAR >A01g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17739450:17740923:-1 gene:A01g506060.1_BraROA transcript:A01g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGAGEEGDELSSHILLRL >A02p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22330274:22331032:1 gene:A02p039150.1_BraROA transcript:A02p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQTIRTTPSGVDNERSSVHDRSSPPDRTSVPERTSIPDRTGARVWNHPGERQATDDLIRPQSSRPISPTPPAQTREEVTELRGMVSSVIDEAYNQKAAYRAIANRLDQAEREFVEHRANARERNQPPPDPLRETLNPQNAGAFGTPEIPRARSGRYTRDNSQRPPQQIMPQRSLSYSGLDEIDTRLQAQRSTPIQSQNIYMERTGEPRN >A05p021570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10259443:10261240:1 gene:A05p021570.1_BraROA transcript:A05p021570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPYLREEIERFSWCVLLLSVEVHFEAILSDGVRRGLSLVALVLTLYLVESATTWIFSRCGRLKPRLRASLISLWWYVKIAFRSILHGRDLLRGNLGKSRGNGQTTRLWKDSWISVDKLLKPMEPIKESALDLTVADPNFRAQIQCIKPSSTGTEDSFIWHQTASGIYSTKSGYFATNNPQQQIILARSERDFSWIRDIWAEKFSSKIKTFLWSIIHKAISLGSNLQKRGLASATLCVRCQETETEMHCFFICQYSKKVWELVPHSKAVHLAAGTNFSDVVVRFRKAICLPPSAIWTSRNTFIFERRHQTPEETTLKGIKLAREWSTSQALVRDKSDLPHNRPRESPQTISDSNRVTCKTDAAWNKEKLAAGLGWVFSGPRLESPIKGSMVESSIGSPLIAEASAISQPFAWRSPWSSPLLRCSPTT >A09g514550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43453484:43454031:-1 gene:A09g514550.1_BraROA transcript:A09g514550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLIIRPLSDHQNKSMFRPPFFMKKILPYQRVVVWGTDALSHHDKSNLPPGAPLLHTNNQATSRSRQSCPVSIV >A05g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14795139:14806771:1 gene:A05g505360.1_BraROA transcript:A05g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRSKSSHMADIKGKGILYEDDDEPIKLTDHDVSQNINEFKLSLIGKILNPKKQSVEKLLQKMPVQWGMEDRIMANDLGNGKFLLNFTTEEELNSVLRQGPFHFNFCMFVLVRWEPVVHDDYPWIIPFWTRLIGVPLHLWTENNLGEIGSRLGHVHQDSIQLIEGRMLLDIDSRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCSLLQRQGVFARVQMQENRPRLYSKGLVKKETKALHSNAPVVPYHKPSGYVTERNDNGRNDNGGNENGRRTYDLAHPREAYTGRVDRVIRRRDDPAWKKRYAGARVEAKPYDRYNGASWREKKSQSQSRHDENVVRDRLVDVLMDRDDGSYDHQMRSVSPLPKENANRLQADREASPPLSKPRPSPDQRSLGVAAVTRRIASAIVTPSRGDSLDENVTKRLKGTPRSLEFETRTEQDPKPTTEDDQVIEALNDMDITEQLVGGLMDCEMENDDLMGLELAEMEDKNDQDRADYVADQKSQIGCEIKKKFEILRRGSPQKRSSSSLAARASVVQTVALDNINEEPQVVSLRNICLLDGSWTSSAVFSGCGWAWMDSSGNTQLMGTRNFPRCESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKYPQAWPSFSTELERIETLQICFPEFNIIHVPRAYNQIADFLAKTARSFHRELHFIGCSIPVWLPRPPQEPQVVSLRNICLLDGSWISSANFSGCGWTWMDSSGNTQLMGTKNFPRRESALHSEVEALQWAMENMLQHSTCQSFGTECKELIAMVKDPQAWPSFAMELERIDTLQICFPDFNIIHVPRAHNHTADFLAKTARSFRKELHFVGCSIPVCLPRPPQ >A07p020940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12329591:12332918:-1 gene:A07p020940.1_BraROA transcript:A07p020940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSWDGSQSDDSSHFERGVDHIYEAFICPLTKEVMHDPVTLENGRTFEREAIEKYFKECSDTGKPPSCPLTSQTLSSTDVSPSIALRNTIQEWRSRNDAAKLDIARQALFIGNDENDVLQALMHVRQICRSIRSNRQGVRNFQLIRMIIDMLKSNSHKVRYKALQTLQVVVEGDEESKEILAEGDTVRTLVKFLSHEPSKGKEAAVSLLFELSKSETLCEKIGSVNGALILLVGLTSSNSVNVSIVEKADRTLENLERSEEIVKQMASYGRLQPLLGKILEGSLETKLSMATFLGDLALNNDMKVLVAQTVGSSLVDLMRSGDMPQREAALKALNNISSFDGSAKVLIDIGILPPLIKDLFYIGPNNLPIRLKEVSATILANIVNIGYDFDKATLVSENRVENLLYLISNTGPAIQCKLLEVLVGLTSCPKAVIHVVSAIKTSGAIISLVQFVEVRENNDLRLASIKLLHNLSPFMSEELAGALRATAGQLGSIVAIISEKTPISEEQAAAAGLLAELPERDLGLTRELLGVGAFEKIISKVNGIRHGEIKGMRFTMNFLEGLVRILSRITFAFNNEPRAVAFCREYNVASLFIHLLQSNGQDNIQMVSAMALENLSLESVNLSRTPDLPPPSYCGSIFSCMSKPPVVTGLCRIHQGICSLRETFCLVEGEAVEKLVGLLDHENDKVVEASLAALSSLLEDGLEVEKGVQILDEADGIRHILNVLTETRTERLTRRAVWVVERILRIEFIAIEVAKEPNVSAALVDAFQNGDFRTRQIAENALKHIDKIPNFSAILPNMA >A05g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2851309:2852684:1 gene:A05g500770.1_BraROA transcript:A05g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDMLFVDENSTLTQGSISANRQLRFRERLKGSLYTLTGFDVTRSSHNFRLSDASFSIRFNDGTSLEKKTESVRPIPLELFRFMPYSQLLELATTGKQLLHIGESVSMFDSMALAFHTKFDSYGKEPKVIIATSVNPKIVGDQESFNSAKQWLNQIGRYTSENVNKLLVRNNCDLTSQKVVSAETTKVKYASEMNVGSYSWSHTPRMLPMSKNATVFVALDGEMTKLISVHAAEVPQIIFVRKKQM >A06g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16955408:16955804:-1 gene:A06g505890.1_BraROA transcript:A06g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCYKGVNGWVREKGSPRARRRRRLDRRGRGLGLGLGWRASGPIRTILFGPICLKNKNAMHVILSEILNEIRESLEEEVSELNFPRSPRDSRPRAAAVAGSGSSPAL >A06p044640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23977576:23978088:1 gene:A06p044640.1_BraROA transcript:A06p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTHSHGHGHAHHERYSGEMATKRQRDPDLNDFQEKPLTISKILSILHKLDFYVQT >A08p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21343660:21369112:1 gene:A08p036340.1_BraROA transcript:A08p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRERERGSLGRRFVNGLHPPPPSSTSLSAALAHRSRLFLRLFAPRLRCFSSEETNGKMCSSGPGSPLVAKDDELPENPIGEEEESTEESIDELNSTEENDSGDEAASAVNPLSSDAAQILPLLQNLLLNNDIQRERLTGLFQLYAPAADTNANVNTEAQQTEWEAALSSQLQFLEQSVQMLEEELENQKKLNAQSQRERDSLRRRFACGLHPPPPSSTSLSAALAHRSRLFLRLFAPRLRCFSSEETNGTGSPENPIGEEEESLELNSTEEIDSGDEAANPMTSDAAQILPLLQNLLLNNDIQRERLTGLFQLFAPAAEDTNANVNTEDEQVEVLRVFLSSQTAREAALSSQVHFLEQRVQMLEEELENQKKLNAQWILVRGFTFTGSVAASERHDSKAMSGRFCAHALTSKEEVTRRELAPFQDQRRRQVLVLFNMFENKDVLPGFVVWINQTIQEPLKAEFKRLRNIKEQSLVKSLNKIEAETTYDKHRDEEKLERQLQSWRNNPSWIDQPPKVQVKTQNGSFCHLNVEVNVGLPPESVYNIFTHPDNKRYFKNIKECISRKVLMEEGPMQTVEVKQAAAWKFLWWAGTFPVHLIVQENRKNLTSKYKQEKTMFMKVFEGCWRVEPLFIDEHLCDRMKPKTLQDYDSCSNGRGRVGSKVTMDQMFQPSAILTPPPLSWYIRGITIKTTESMIEDLLAEAARLRGGGGGGHDDDGQGENGVVLEKSKDEHIKERWRSRRRSNGMRYTNRRMIWISKNSQQPLKAESKRSENVESKSVSEKDINNNAPANKKKKEYVYDEKEEMRQHILWYEEEKKHPWHNPPPKVKVTTKKGVYHMNLEVTIGAAPNMTFFWLTDPGSSSFFDMKNWRVLMKNIKRKVLTEDGPRRVIKVEKAVVHDFFSLTTIPIPLHLIVEENEKDLTKEKVMFMKVFEGNFKVEPVYVDQERLCKKKLPKDQEEYKKCSGGEGKTASKLTINQYFEPYPPFNLPPLSWYIRGSTIKASKNLLIALQNTSKIIRIAKLPEDYEEFRAKMNSRNENSKSQQNIPVQAAPGGFLTLQAAPGCFLMLNAVPGRFRLLHYIPNEGEVTVVDFRRIEALAVDLRRIEAPVVDRSKGAPEEEENPPEIMITVAEEPIAGAGSRLRWKSGRCSSVVEASLLRYWEARNVKPGVTVGIKCHTGFTKISKWIKANQQKNLEKKIVTEILPAKKFHKAEEYHQHYLSKGGKSGHAQSPSKSWFLEIRAIKMGVFPGFGSWISKNSQQPLKAKSKGSENVESKLVSEKDTNSNAPANKKKNRKEHYDEKEERIQHILWHEEEKKHPWQNPPPKVKVTTKKGVYHMNLEMTIGAAPELTYLWLIDSWGSHFYDEKKRRDLMKNISIKVLTEDGPRRVIKVEKAVVYDFFSLTSIPIPLHLIVEENEQDLTGKYKKEKVMLMKVFEGNYKLEPVYVDQERLCKKRLPKSQEEYKKCSGGQGNIATKLIINQYFEPYPPFNLPPLSWTRLRLYEVRKAESKRSENGESKSASEKDTNNAPAKKKEEVVYYDEKEDTRQEGLWHEAEKKHPWHNPPPKIKVTNKKGLYHMNIELTVGTTPNIVYYVLTESNPFFDRKKWRHLMKNTSRKVLKENGPRRIVMVEKAVAYDFLSLTTISIPIHLTMEENRKDLTTKYKKEKVLFMKEFHGNYKVEPIYVDQERLCKKRLPKSPEEYKKCSGGQGRIGSKLTINHYFQPYPPFSLPPLSWFIRGITIKTSKKLLNLLQDMAFSLRQAGPPSE >A08p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15772951:15774786:1 gene:A08p024200.1_BraROA transcript:A08p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGDALAEERKRRQSSTMVEVLPPDNLDGFSHHCDELHSHLRAKLPFLLSHYSFCFIPTFIWRGCGLPFACEAQVRP >A10p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1536765:1541706:-1 gene:A10p002960.1_BraROA transcript:A10p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVPEVSGVSSNGHHSVSGGEALSLWRSSGQVENGTPSTSPSYWDTDDDEEDYGLKPSQLFGKHTWKIAKFSEINKRELRSSVFDAGGYKWYILIYPQGCDVCNHLSLFLCVANHEKLLPGWSHFAQFTIAVVNKDSKKSKFSDTLHRFWKKEHDWGWKKFMELPKLHEGFIDDSDSLTIEAQVQVIRERVDRPFRCLHCGYRRELVRVYFNNVEQHCRRFVEEKRSKLGRLIEDKARWTSFGVFWLGMDQNCRRRMSREKMDVILKGVVKHFFIEKEVTSTLVMDSLYSGLKALQGQAKNKKARPSLLDAKELPAPIVSVDKDMFVLVDDVLLLLERAALEPLPTHEDKSPQNRTKVAYQEAIALKRQEELIREEEEAWLAETEQRAKRGAAEREKKSKKKQAKQKRNKNKGKDKRKEEKVTVATHEKDLKENQHDEEEKDSVTERAQSSAEKTDILGDVSDDVSDSVDGSVDILHPDLEDGDSSSVHWETDTLETYPPPSGGSDISISTPNGIGERKNQSTVDDSSSTCSNDSIRSGVNNGSYKGNALNFRNQKSPNKGTNHQVKMIFDTRSLASETDNQPSTLGTESKSQSSPSESDWVVVSRIQEPESSRNRSPVGKERNVAQIIVNSVDMDRLKVKSAAVLSSPRSAAKNPSPLTQTKPEKKSVDAVPNRKVISAPGPPSSSQVVRPSSEIQSQTVGPRADVQKISAPKQSATTTTISRPSSAPIIPAMQPAPIIASSSVQSTSSSLPRSVSSAGRLGPDSSVHNQQTYIPQSYKHAIVGNSPGSTSSFIHHTSSHGVVPTTLPSSSYSQTPTLSHQSSFPFSQDAFMWAGRSSNSVNMGMNSPYTPAVTSNRSISHIDVEIARQQQPQSLMTDEFPHLDIINDLLEDESCSNMAFNGNIYNSQPQLFNSQYAYRGGADLGMSGEFMSSGRSRSFGEEGFHYMPRVSASGAYGGDGLMPTQWQMGNVDLSLLAMRNSNLEDTTPTYHHHTYSSFSPGINGYTEYRPSSNGH >A05p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8930919:8932038:1 gene:A05p019030.1_BraROA transcript:A05p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRTPMVILIFLVFISGAQIATADEPVPAPWPHQFHALLFMNYSGDLSMIDLWYDWPNGRNFNIIQEQLGAITYDLEWNNGTSFFYTLDSSKSCRSAQLEVGILRPNWLDGANYLGQQLVNGFHCNVWEKVDFIWYYEDVETKRPVQWIFYTGREAHVMTFEVGAVLEDEKWQAPVYCFNKEKKSLSTEGSLREFRGYKGMAVS >A09p047270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41046737:41048007:-1 gene:A09p047270.1_BraROA transcript:A09p047270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAWVLEDQSKCTSRYIYESHHLHHGNYFSAALKTKRILQTRVSDPTFFFWLMPLKVELWIHWHALKILVEMYLSFNTPDTQNQHIVEPSANKREREREREREREESAKRDQELCCIGLNGLNFDETIKSNCGGPRSAGASPEPVFFQIKSTGPCLLLVSSGFRLSELWSAINRRGSGPGVTTRLRKGWRG >A10g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13217228:13218272:1 gene:A10g505270.1_BraROA transcript:A10g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAQHKPHGGGRSLLPPFTVKRALSKGYGEVSLAGEPLGRAFVVFGLLAGGVEEVQFFGTVLMETPTHFISISLGHRRHVFLSTYRASIYKDSSSSYDHNDKMLDDKIQGEEASEPCLAGKRRKKTEKSSSALPPKPRKLVAHKKLMKGAFKTRTVRVLHVKELSVFDSA >A08g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20309959:20310900:1 gene:A08g509700.1_BraROA transcript:A08g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTGKKRKDQLEDDFTSGYGSWLQLANRKAVMLDEIIEYVQSLQRQVEFLLMKLATINPRVEFNPITALSTEVIQPGGSLTQSLYATAFSE >A08p046500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25308779:25309513:1 gene:A08p046500.1_BraROA transcript:A08p046500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSR3 [Source:Projected from Arabidopsis thaliana (AT1G01470) UniProtKB/TrEMBL;Acc:A0A178W160] MASLLDKAKDFVADKLAGVPKPEGSVTDVDLKDVNRDSVEYLAKVSVTNPYGHAIPICEINFTIHSGGREIGKGKIPDPGSLKAKDMTVLDVPIVVPYSILFNLARDVGADWDIDYLLEIGLTIDLPVVGDFTIPVTSKGEIKLPTFKDYF >A03p039400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16368978:16370021:1 gene:A03p039400.1_BraROA transcript:A03p039400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMAIVGPQFWAPYPVDLGIVRKVMTITDGNFAVTDVNGNLLFKVKEPLFSLSDKRFLLDASDNPILTLRENKVSLHDRWQVFRGKSTNQNDLVYTLKRSSMIQLMKPKLDVFLAQNKEMKVCDFHVKGSWIDRSCVVYAGKSDAIVAQMHKKHTAQSILIGKSNFSVTVYPNVDYAFIVSLIVILDDINREDSED >A05g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2655353:2656760:-1 gene:A05g500720.1_BraROA transcript:A05g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWVLGLLIFCLLGFVSVQSGDTDKAKKIREAALFTISFVACDSPSGNQLLWSIFKALCTFCAYQTLSFSSNAFRALIYIESLELLQPLQPPLPPFPTPPSTLSALEGPLSPLLVGSSDSRIQGADLLRRGATHHDASSFTILETLMNHKANIRALFQSNGWILSQTTAKPEEGREVECCVLEDGYLEFTR >A08p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15492633:15493216:1 gene:A08p023520.1_BraROA transcript:A08p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAEAKAEEAATWVCWDIENCPIPNGCKAEEISQKISLALSKLNYLGPISISAYGNMNHIPPSVKKALSSTGVVLNHVHVNSRSSSSRIFRELLDWAYNSDTSNLIFISRDDSFCSYLSKWQRDRNKNVLLAHPPNASDSFVSSAKTLWLWNSLCTSLI >A02p039100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22310955:22314111:1 gene:A02p039100.1_BraROA transcript:A02p039100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYLGNLSAIKPSHDGAFKDLRKEINYLDSAIRLYFLYFETMLHLYLGNLSAIKLSHYGAFKDLRKEINYLDSAILLYFLYFGIEIFISCSYYSLQISVVLW >A02p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15422538:15425009:1 gene:A02p030310.1_BraROA transcript:A02p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFREAYVSSSPLRTPSQSPNFSLLRQNYFHGDFSLNSSSDYSLSSSFSNGFSSSDDSSSSPFASPPFNGIIPIHNHATYHHHEPLLYKNHEKSVHGDDTGLCDDLYSMKITEETETHGGFSWLNNNQDSDQNINYNKRNMFGNQTHDSITNTRQFGWPSYSNSNVGNNSPYINGGGVREHSAYYRTMSTSDMSPLFCQGSEPFSLDHQRTKSFISDHGNTSLPNVCDVQGYVYLMAKDQHGCRFLQRIFDEGTPVDALVIFNEVIPHVVELMMDPFGNYLMQKLLDVCNEEQRTQIVLVATAEPGQLIRISLNAYGTRVVQRLVETIKTGKQVSLVKSALRPGFLDLIKDLNGNHVIQRCLQCLSTEDNKFIFDAATKFCTEIATHRHGCCVLQKCIAYSMRQQREKLIGEISRNSLLLAQDPFGNYAVQFVIELRIPSAVAMMLSQLKGHYVQLSMQKFSSHMVERCLMHCPESRPQIVRELVSVPHFDHLLQDPYANFVIQAALAATKGPLHASLVEVIRPHAILRNNPYCKRIFSRNLLKK >A03p060940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26443397:26444068:-1 gene:A03p060940.1_BraROA transcript:A03p060940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRGQGGIQMLLTAEQEAGWIVSAARTAKLARMKQAKDEAEKEMEEYRSRLEEEYQTQISGTEQEAAAKRLEEETDGRIQNLKESSSKVSKEIVKMLIKYVTTTGA >A09p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7402735:7403653:-1 gene:A09p014400.1_BraROA transcript:A09p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMKSLLKGLRYISQVFEESLHALYVLMNNAIVINIYIQFILIFGSIILVITFMIESGKEEEEEIEIGNPTDVKHVAHIGWDGPSATPASAPSWMNEFKDGAGLESGQGGGEDDSSARCMSECGGRTRDLPKLPKSTRKAASEKGSPTREISSDKTKRRSSKKGTTSSSRRPKEVSEPNERKKKTKETGGGSTRSIGRSDVDNMSETGSVRSMPQFDNRDDF >A07p033440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18281291:18289346:1 gene:A07p033440.1_BraROA transcript:A07p033440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYNPSSLLVIFSLLFFLIFDLTIAGSCPSQCGGIDIPYPFGIGKGCYLNKWYEIKCDVNTSLSVSGKPIPVLSLIGKEVVNISLPGQLGRYFSYRVPYGSVRIKTPITSKGCSSNEQEPTSLLNLTGSPFYLGANNTLTAIGCNNRAWLTHVEPSIVGCFSSCLAPNLSASRDYLETVNCNTNSDEDEYENCSERRYINETSCNGIPCCKASMPRSVQQVVGVTIDDNATTTRGYCKVAFLTDDEAYSFLDGSDPNLLLAKQQYSTVELGWFVHTTYLLSFVDSLRCMPMKEYLNLSRFSYKSTYGISCACDYNSSFPNDASCRCNIGYRGNPYIPGGCKDIDECTEYGPLYCGDATCVNLHGHYKCVYRKHRLAIGFGSSFGSLIFIGGIYGIYRFIRKQRKLNQKKKFFKRNGGLLLQQQLISTEGNVEKTRVFSSRELKKATENFCLTRILGQGGQGTVYKGMLVDGRIVAVKKSKVVDEDKLEEFINEVVILSQINHRNIVKLLGCCLETDVPVLVYEFIPNGNLFEHLHEDESDDHTITTWEVRLRIAIDIASALSYLHSAASSPIYHRDVKSTNIMLDEKYQAKVSDFGTSRTVTVDHTHLTTVVSGTVGYVDPEYFQSSQFTDKSDVYSFGVVLAELITGEKSVSFLRSQENRTLATYFILAMKENRLFDIIDARIRDGCKLNQVTATAKLARKCLNLKGRKRPSMREVSMELEKIRGSSVDMQLHEYGSENEEDHEERVVDANIELQSWNNIAVTAPSSQYDVGTSSLPMSDVEPLFPFQTR >A02p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4843330:4845014:1 gene:A02p011160.1_BraROA transcript:A02p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKEREKEACQELPSRRDMISNLPDSLISQMLSCLPTKEAVRTSVLSTRWKTLWLLVPSLRLASHDFPDYNSFVSFLDKSLDLYREENSCLHLELVIRKDYDDDQCCVTRWIDHVARHKVKHLDVECILVKREFLEAVPLSLYLCESLLYLRLHRVLLVGSESISLPRLKTMRLEQNVYPHEAFLQFFISSCPVLEDLSIVRKDDDNVKVLRVHSQTVTSLTLGFEPGDGHMLYHYFDREILGICIDSPRLKYLNFNDDVSKCQILSSFISSSVKVHLGGVRYMSYYSGGVVFSNQQVARSFFRCISRVKDLVISETIMKLIYFCMKVEPLPQFCDLSYLEAKVCLVDVEMLPALLESCPNLNSIVLDLTRPTIITEQITAWAVPQCLLLSLEFVEIKCCCKAELVGMKLAKYFAENSVFLKKLVLRWRGYVLEEDSVLRDLLELSWRSSTCQIEVYGPLKRPVRV >A09p004920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2733566:2734780:-1 gene:A09p004920.1_BraROA transcript:A09p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEHYDRATION-INDUCED 19 homolog 7 [Source:Projected from Arabidopsis thaliana (AT5G49230) UniProtKB/Swiss-Prot;Acc:Q9FJ17] MESNSLINYPSRSDLLCLEEDVKGEEDNVKAEFICPFCSDEFDILGLCCHIDEEHPVEAKNGVCPVCSKKVGLDIVGHITTQHCNVFKISFLKFGYYLLCFLFCTIIAGVWRVVLVLLDVLRVYVQRRRRLRRGGYSSNYLTLRKSLGVGGASTFIPSSNLDSDPLLSSFMFRPPLANELVIPIEERDCVTKVSPKDTSQRLSLSNEDQEKARKSEFVRDLLWSTMLEDKL >A03p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17259804:17261997:-1 gene:A03p041280.1_BraROA transcript:A03p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSPRLKLFHARPSRRPTSLIVITSIAIGLFGFLFGLSAIIFPGIRLSSRTCLTNSPPKTVRIVWDVAGNRNANGGVKRHKVMGFVGIQTGFSSAGRRRALRNTWMPSDPQGLRRLEESTGLAIRFIIGKTKDEAKMAELRREIAEYDDFILLDIEEEYSKLPYKTLAFFKAVYALYDSAFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCLKKGPVFTDPKLKWYEPLADLLGQEYFLHAYGPIYALSADVVTSLVALKNNSFRMFSNEDVTIGAWMLAMNVNHENHKTLCEPECSPSSIAVWDIPKCSGLCNPEKRMLELHKKESCSKSPTLPSEDE >A06p057070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29673688:29674750:-1 gene:A06p057070.1_BraROA transcript:A06p057070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKGKYDGGKSTGVGSVAFNAGDIKLRATMTDATFVPGPTLNGLSLAVEKPGFFIVEYNVPKKDVRFQFMNTVRVAEKPLKLTYIHSRADNRTVVDGSLVIDPVNKVSANYMVGTNNCKLKYTYAHGRFATFEPCYDFAKKAWDFAVSRRVYGDDVVKATYQTSGKLLGVEWSRNSKSPGSFKVCASVNLAEEVKTPKLTAETTWNLEI >A02p034060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18460101:18463925:1 gene:A02p034060.1_BraROA transcript:A02p034060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLCFMTSYGYSIAGLGLPYEFSNADIIIKKSQSYLVKHGTISMKRKTKERDLYKRNHLHAYESLLSLMIGNDHQHKQTTIQRSSREISEILTQFSITAAGAGIAVLFSVVYRLASRRVPFCANKFVDTGLGFSLVLLSWAVNILREVIRKANKQCSSLKGDEIIKNVERGIKEVYFRAATVIIVFTLRDCSPLSIYPLTWCIMQITLLVMQVSSIISYKYRSSNHVSRLSIDRPSDGRCQSTEDECLQSIVVSECRSMGLVSGSTIYQNDGDSRRLLILVILPLSLLLSVVSGRSPNLGLMLTGIQSAVGENEVDGYGTWSRKLLEKNHLCDVQTTRRRVSIRCL >A09p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16980847:16981294:-1 gene:A09p028190.1_BraROA transcript:A09p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFDLRLTLKDISENSWRFLRRLLDFFRSESDFERFLRRLLKDSRKTLGRLIGNSSNIFYARIFPTKSS >A07p051300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27259461:27260335:1 gene:A07p051300.1_BraROA transcript:A07p051300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGDLSPNNLSCRLRWINYLRPDVKRGNFSAEEEEMIIKFHQSYGNKWSKIASKLPGRTDNEIKNVWHTHLKKRLVKSSASPDEPASPCSSDKTQAEDCLNIKTTRDSTTSASSGGSNNSNQEDDPNISLMFEYSQFNDIIEEVDKPDLLEIPFDSDLDIWSFLDAPNENSSVSRGEEECDEDEVKKWLKHLENELGLEEDDNHQHHKEGAQDKDSSLLKTYELMIH >A01g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21759901:21761051:1 gene:A01g507650.1_BraROA transcript:A01g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWKLQTLNLRNNHESGEEKGDDQRADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEDLVQASFTTFREKFCQQFSDRLCKIETEVTQLRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELVGKSDQASGPSLTKINSGPSTSKKGTAPSKKKAVKNQELKTADSCVNLPRAKVTQSSASDLSMGTQEFLESCMKNLPLDTFVK >A02g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4766784:4767424:-1 gene:A02g501580.1_BraROA transcript:A02g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTNSEITMLNNLKPYKNTWKVEVKVLRSWSQQSNYSGEDTFEFLLEDRMGTEMYCTCKRIFLARVKNLQVGQWKFLENFSVYPAIGMYRLSGHIFKISITQCSIVTNSSLTTCEVPSIDHNKESSSEDLPTSGSKRKEGDTDLNDMNSTSKKLCG >A06p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26246652:26250560:-1 gene:A06p049740.1_BraROA transcript:A06p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNHATAARTDPETDISIDEALPVGAEASGPSLAGPSSADDEGDMSGVGDGGDEAEGESDELVGPSALSPELESGLDAGVLVDDDVGGFALAPPPEDGEALGVAVEFFLALVGAEALGGEVGAEAVDFCGDAAVDFGDAAAAGDFGGDAGVDFGDAAAAGDFGGDAWVDFGDAAAAGDFGGDAGVDFGDAAAAGEGDVELLSPLALGAPAGFGGSAAKTAVMAKAATARDRSLRVIIEGEKDIKAKKKSYFGEMKEELFRDSSRVRIHSRPGQFRRMSQSTVDVPPKGGFSFDLCKRNDMLMQKGLKAPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLRLHRYQTGRDSRVITALTLLKKHLFSYQGHVSAALVLGGVDITGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFEAKYKEGLTRDEGIKLVAEAICSGIFNDLGSGSNVDICVITKGNKEYLRNYMEPNPRTYVSSKGYSFTKKTEVLRTKITPLMERVEITEVTGEAMEE >A05g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30332171:30334799:-1 gene:A05g510040.1_BraROA transcript:A05g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNKLNKFNFGDTDLAHEGGAADGGDPRDEGDGFGSAACSICLDTVAKDGDRAWANLQCGHLFHLDCIGSAFNAKGVMQCPNCRKIEKGQWLYANGCRSHPEFNVEDWFHEDEFFDIGGYSEVAFGVHWCPFGSSARLPSFDDAEFSPNHDLFSQQGYFTEPAAPTAGHPCPYVTYIGPVHSSSSSTGGVSESSTFTWNTGSSVSNEVPAPYGFPVDPHYHGWDYPSPPPPPQQHFSLSVPNVGSPTQPTPPPAAARTSRANGPRPPQFMRPSYHGQTHSSSGRAGSSVAPPFPGSNARTRDRTQALQAYYQQSTAQSHQQPDSPVVSRGPVFPSGRRPSRGSASSSSDQAGGSGFLRFNIWEREPYMPLQQAYPVNQMDREPSIWTSSYNEGAGSFLQRHGGGGGSS >A09p075500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56847125:56849596:1 gene:A09p075500.1_BraROA transcript:A09p075500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPQLPLATRSALRRASSSVHFFTSTSSFRHRATKLFLPRAFSSSVKLPTKPPLCTADELHYVSVPNSDWRLALWRYFPPPQTPTRNHPLLLLSGVGTNAIGYDLSPGCSFARHMSAQGFETWILEVRGAGLSTRVSDLKDVEDSAHELSHQIQSTAKAAAKEAQVTEIADTAPPAPASDVSVVGEEASSASAWDESKIVARLTATFMRLSERLSGFLSEGQSLFMSAKLFDKIAMLLEDSRMYERFNEIRSKLLSLIESRQNSGLGNQIRELTQRLVDLLDDGQKSVSPQLIDLQERLTSTIEDFQKQLDLIVKYDWDFDNYLEEDVPAAIEYVRAQCKPKDGKLFAIGHSMGGILLYAMLSRCAFEGREPCLAAVATLASSLDYTTSDSALKLLIPLADPAQALSVPVVPLGALLAAAYPLSSRPPYVLSWLNDLISATDMMHPEQLEKLVLNNFCTIPAKLLIQLTTAFREGGLRDRSGEFYYKDHLSSTTVPVLALAGDRDLICPPIAVEDTVKLFPENLVTYKLLGEPDGPHYAHYDLVGGRLAVEQVYPCITEFLSHHDSA >A08p030960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18941587:18944033:-1 gene:A08p030960.1_BraROA transcript:A08p030960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALLRALRRRELHTASVSAFKSVSTNGKTSLGHFARPFCSRPVGNDVIGIDLGTTNSCVAVMEGKTPRVIENAEGTRTTPSVVAINQKGELLVGTPAKRQAVTNPTNTIFGSKRLIGRRFDDSQTQKEMKMVPYKIVKAPNGDAWVEANGQKFSPSQIGANVLTKMKETAEAYLGKSITKAVVTVPAYFNDAQRQATKDAGKIAGLDVQRIINEPTAAALSYGMNNKEGVIAVFDLGGGTFDVSILEISSGVFEVKATNGDTFLGGEDFDNTLLEYLVSEFKRSDNIDLTKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEALVGKLIERTRCPCQNCLKDAGVTVKEVDEVLLVGGMTRVPKVQEIVAEIFGKSPCKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQMQVGIKVLQGEREMAADNKSLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSDDEINRMVKEAELNSHKDQEKKQLIDLRNTADTTIYSVEKSLSEYREKIPAEIASEIETAVSDLRTAMAGEEIEDIKAKLEAANKAVSKIGEHMSKGSGSSGSSGGEGSSGTDQQTPEAEFEEASGSKK >A10p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21158488:21161218:1 gene:A10p037520.1_BraROA transcript:A10p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g05930 [Source:Projected from Arabidopsis thaliana (AT5G05930) UniProtKB/TrEMBL;Acc:Q8L870] MTVNDSSLVHTPCRFVKFVPLMGQSYMSKAARSLDNAVTAYSVWKNNNTLWVYLFMWPLCFLLNKILKVQGRSEEGQDGDSISPKYSQFDHPFVTTSHGSLKDPSSSSHMEVPHVHQVASWDCGLACVLMVLRASGITSCTIEDLAEICSTNSIWTVDLAYLLQRFCVEFSYCTITFGANPNYSIEEFYKEQLPEDLLRVDLLFRKAHESGIVIQCRSVSIDEISCLLLSGNYIAIALVDQDKLSKSWLEEVIVAGLHSSNSSYTGHYIVICGYDAVKNEFEIRDPASSKMHERVSSKCLENARKSFGTDEDLLLINLENMKKQKE >A07g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21243483:21244203:1 gene:A07g507680.1_BraROA transcript:A07g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEESKISIVLLLLCFSIFVTFSLQANELTSPSSKEEGEVRMVPLMEEKFMVQKEFACLYLVALQLTAISQINPLVIAPSLPNPAIALVAIAEIFPFGQRGRINQHLDFICKV >A09p034770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21017625:21020248:1 gene:A09p034770.1_BraROA transcript:A09p034770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methylesterase 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G09900) UniProtKB/Swiss-Prot;Acc:Q940H7] MGNRVICMKKKDVAIRTGGDGSRSKRVSRSQRKLLGDEEMLHRRALSMAIHQAQLSQRFDGSVSRRVGSTSSRRQTLSDPFSNNKQVPDLVDSLAVKKVVLVHGEGFGAWCWYKTVASLEECGLSPVTVDLAGSGFSMADPNSVSTLEEYSKPLIELLQNLPEEEKVILVGHSTGGACVSYALELFPEKISKAVFICATMVSDGQRPFDVFADQLGSAERFMKESQFLIHGNGKDKPATGFTFEKQHMKSLYFNQSPNKDIALSMISMRAVPLGPMMEKLSLSTERYGKGRRFYVQTLDDLALLPDVQEKLVRENSPEGVYKIKGSDHCPFFSKPQSLHKILLEIAQIP >A08p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8614494:8614945:1 gene:A08p010500.1_BraROA transcript:A08p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASQLVKFSKDLADETNVSLPKVMFADSEEPCGVRVLTYQSLREINTILTKTRSIVIAEKPVFSRRFGRFLLSRQLKAKKQEAWFRLAEKHILTGLACGDFPRNRRCRKSLTRNPIGRSSLVVLKRCKY >A04g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2168952:2176144:1 gene:A04g500760.1_BraROA transcript:A04g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTVYNPINVTVADENNEGNNLRQETYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A05p040020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24521132:24536501:-1 gene:A05p040020.1_BraROA transcript:A05p040020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:POK2 [Source:Projected from Arabidopsis thaliana (AT3G19050) UniProtKB/TrEMBL;Acc:A0A178VJB1] MLRDAKLSRRDSGKHEEIENVPENLQASFLSQASNDSSSSSSSSFSLPRAPLNTIHDPLKESRSSKMDRTPSKPKPKNPDPALPLRTPDNNKHRFGWGEKRGFMANTTPKTGRVVGRANSESGSAQNTPTKSVSKPPPGSCYRGKLDGAGRAGGYASLYKGLSSSDRQVSGVVNTVEVPHFDLKEDPSFWMDHNVQILIRVRPLNSMEKSINGYSRCLKQESSQCVAWTGQPETRFLFDHVACETIDQENLFRVAGLPMVENCLSGYNSCIFAYGQTGSGKTYTMLGEVGDLEFKPSPNRGMMPRIFEFLFARIQAEEESRRDESLKYNCKCSFLEIYNEQITDLLEPSSTNLQLREDIKSGVYVENLSEFEVESVQDILGLITQGSMNRKVGATNMNRESSRSHSVFTCVIESRWEKDSTTNMRFARLNLVDLAGSERQKTSGAEGDRLKEAANINKSLSTLGHVIMVLVDVANGKPRHIPYRDSRLTFLLQDSLGGNSKTMIIANASPSVSCAAETLNTLKFAQRAKLIQNNAVVNEDFNGDVLELRRQIRLLKEELSLLKRQNISRALSFGTATANITEFQVDSPSNELQKTGHQHASNSLVYESGGCVRMSRKQLKSLEITLAGSLRREHVADASIKNLEAEIEHLNRLVRQREEDTRSTKMMLRFREDKIQRLESLLGNHISADSYLLEEKNVLSEEIQLLQAKIDKNPELTRFALENIRLLDQLRRFQEFYEEGEREILQGEVSNLRNQLVQFLDENADRQKHPDDVTEPQDALRISKENYSLQEELKKTSNELDKCRTNLGSCLEENAKLSREIHDLQAMVSDIRASTPDEHCASKQKALLETQNVDRPETLAGQQVNHVEEIIKLQLDLDVLKIILDEERTLRVDTEAQAVRLKLNMGELKDQLLMMSKQQENVNSELRETKSVVEALESQNNTLIQEAVELRRTKEKYTELLQKQELDIPVTKSKQCNEFKDNPAEDNAINAKFKKMQASLEKAKRLNMLFKNDIASKACGDEETDEVQRQAEAETAEVIVYLQNELEVLQREVDDFQSKESVTEQQVKLLETQMEELQDNLRDMTMDNEQLQENLRSKEMELQIISNEMELLTSELEEILLSGNEGLTDACYQADLISDSFPDKRIWISEQVGELIRTISERESVIEDLESCLEDANKKQCDIESMLKSLRGAALVMSEAHQREYEEKETHNLLLKSQLCEKTEAISRLLEKIKMAESWIYEASHCATASLVIVNRYSEVIESHTFELKQKDRQLEESAGSTLSLKQQVQDLEATCEELRSKLLDEEKNASAMEQKLEEIEETGISAMKEKLSELKGGVSGLRSCINMCQEHEKYTEAENSLGSPAHCSEEQEVGRNVVVSSCIEKTPTKNHSEPMRVSSQVSRERGKVIILLKQEMESALVSLKETQIEMARLQEEKEELKASEKRSLSNLHDLAAQFSNLETVMNSMKEQYENKMEATDHKLKTLEHEFAKMKLEAGQGHVENLCVLQKFEEAQWTIKEADITINELIIANETMKLDLEKQKKRERSLVGERNALVDKLQELESINVKENEKVEYLEKLFESSLMGVGDLVEELETVVRKLQDESSEALTGMANDLSDLKSSVSETKSARLFLEDIWSEIIMKDCALSVLHLCHMGVLLETVTGVNTENGLLQRGLCESNSSIAGLRDNNVRLRRELEMFTTLKGKLLADIKNGFERVSRNEEATNLLTTKLSNFEQKISGLQYQEELMLQRSDSMGSQLDILMKEIDLSNGNLAETLLEQKLHLNQKSDFFDTEVQRYLMDLCTKDVESLVLALTVKECSSCLAILDKELLDHQAFVEDLKEKLFVSKVESELKDLCLVDNKLETVAVKQKLTEAQRKIKALSSDLKHSVQKTVEMDEVNKGFGERVFFLESRVSDLQQDLEMKDFELQNLQHSQSIAAGELGIKERDIQVYADAVSALKDENSSLKHTFIHFGEDQSKALNVNSLSIAKCSEGSRTLEKLTRDGLVISDQMFEVICENANKASEFADAVQSLQIDVEDLLSENLNLQDELLRKDDVLKGLSFDLSLLQESASNSRDKEDETKEIMVHLEALEKTLASRTSELEEAVSFAQMLEVQLQGSKEIICNLEKDTEKAMQCREQLAAENKEIRAEAEDLLAEKSSLEEELIQKKKVSESMEMELFNLRNALRQLNDKVESTQSKLDETIDERDNLQDEVLYVTEELGKMKAEAKELEVRIIEAQEIAESRKMYADQREEEVKLLEGSVEQLEYTIDALENKVNVIKDEAERQRLQREELETELHTIRQQMESVRNADEEMKRILDEKHMDLQEAQKHIEALERKTANQMTEITQLSAHISELNMHAEAQAREYMHKVKELEAMAEQVKPEIHVSQAVDSSLSKGSGKPRGSGSPFRCIGLGLAQQMRSEKDEELAAARQRVEELETVVATKQKEIFLLNSKLAKVDSMTHDITRVMLGLKQNCASLLDSQQVSKIAEMLQRYSSDSRETDFEVSQLKRQLSEYNEEKQGWLEEIEGKQTDLVTAQIELEEHRQHEQLLKKENEFLKKENVSHKRKLMELEGEVEKLSSQQKPEWRTQNNVLKLQLDELNLKLRRADVNISRAKEELAWYRASTGKNQHSNFEKMHHLSTKLKESEEERMQLGKQLLCLSTSILKAAGVTGEDITDINPEVAEEALEQLKTRVGLLESELDHFRLKGKTKSRRSRNPERGMPSMPSPRRRSWSQSPRKMSQVPFFTSVDRTLTPLPSLPSDLSPKQDLGEFMKELSQLESVSEKVSKLVERLKELHECKIRIAAVRVRLDKRHRILNEEKLRIAEMKRLIAEEKKIIAEKKKEIAEKRRKLNQRDSHRDA >A09p073510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56026490:56027741:-1 gene:A09p073510.1_BraROA transcript:A09p073510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCRAELGLKKGAWTPEEDQKLTSYVNRHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTEDEELSIISLHALHGNKWAAIARGLPGRTDNEIKNYWNTHIKKRLIKKGVDPVTHKNISENLQDILEKRNINQTIITSDDDLDHNKKMEKSARFLNRVANRFGKRINQSVLSEIIGSGGPHATITNNTTTITTSVTVDSKSDKSTSSSFTPTSNLLMTANGNATSSPSTFSESSINDRLMYWDKEDDIGFSTFLNDEDFMVLEESCVENTEFMKELTRFLGEDETDNVEMMPVYEHQDSFEDIDNYFA >A05p001160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:102651:103118:1 gene:A05p001160.1_BraROA transcript:A05p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-YB5 [Source:Projected from Arabidopsis thaliana (AT2G47810) UniProtKB/TrEMBL;Acc:A0A178VUG0] MAGNYPSFQNPIPRFQNYNFASTSSHHRQHHDGLVVEQEENMVIKEQDRLLPIANVGRIMKNILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWAMANLGFDDYAEQLKKYLNRYRVIEGEKSNHHGKGEAKSSPDN >A05p042500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25690658:25691231:1 gene:A05p042500.1_BraROA transcript:A05p042500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGSEETKTKLDSSGESSDVDNENCISNGSGSSGETKRTCVDCGTFRTPLWRGGPAGPKSLCNACGIKSRKKRQAALGIKPEKKIRKSIIITSDTSDVSLEDDDDHSSSSKGIVSRFLDLGFKVPVMKRSPVEKKKRLWSKLGEEERAAAVLLMALSCASVYA >A09p050330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44222899:44225872:1 gene:A09p050330.1_BraROA transcript:A09p050330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGADEDADAVLSDVESDEPAPVVLNDPPPREEAQDERLTELIAELDREKKAREAAESSKSDLQASFNRLKALAHEAIKKRDESKRERDEALKEKENLSKELESVSKGKDEVLKKLDEAVRSRDGLKAEIETSSHMLVSGIEKISGKVSSFKNFSNGGGLPKSQKYTGLASVAYGVIKRTHEIVEELVKQIDTTAKSRNEAREQMDQRNYEIAIEVSQLESTISNLRLEVAEKASLVDDLERDVSEKDKRVAELEKGNLEKLSVLEGEVVELKQLVDEYDGKLKTMEMKIVAQRPLLMDQLSLVSKIHDQLNEVVKIVDGNSSEQSESFFMPQETDMEENIRASLAGMESIFELTKVVSGKTQSLVEEKSHELKKLNETVGLLVKEKEHIGTLLRSALSKRMISEQSSQKSEMFQAAENGLRDVGIDFKSMAQESLGDSQDDHSTEENEIYSLASTLENIVKASQLKIVELQHSLEESREEISSLRKQLDSQTKELNQRMRQIEELKEKERIANENVEGLMTDIAAAEEEIERWKVAAEQEAAAGGAVEQDFTSQLHVLKEELEEAKQAIKESEKKLKFKEETAAAAMGARDAAERSLKLADNRATRLRERIQDLNRKIEELETHRDMSTSNRARYVCWPWQLLGIDFVGGRRIESEQEQSSNEMELAEPLL >A09p053030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46165050:46166224:-1 gene:A09p053030.1_BraROA transcript:A09p053030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive poly [ADP-ribose] polymerase SRO2 [Source:Projected from Arabidopsis thaliana (AT1G23550) UniProtKB/Swiss-Prot;Acc:Q9ZUD9] MAAAHVEIEDQSSVSTELDNGEILEPLSDADSSSASSGSAILLGQGNQEHDVIKACFLSGLGAAFAVDTTVESVRKTSTEEVAARARYVAFRIFTEAMARKNGGDPNVKYGWFAGSREEIERIVSYGFSSREIDDGSHGIGVHLVPSKCSLFAAVGAEPDGERVRHLLLCRVLLGRPEQIDAGSTQSHPSSGEFDCAVDDLQEPRKYVVWSSNMNSYILPSYIVSFKSPRLRVIGRGGPPPSSPWVSFTAVVSVLSKFLDASRMSLIKKTFDDFRKGKIPRDQLVRKMRQVAGDDLLAQIIKNHKDRV >A06p058010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30124516:30126566:-1 gene:A06p058010.1_BraROA transcript:A06p058010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDFQRSLSVRLSRRGERSNQSNKEEGGASLFSPSRLHSDYAIKTIWKAGFLRLLLVGGILWMLLILLALLFHVWSCQSSLSFFSAICNKEGRLYVVLDTIGFVPKPQHRCPIPVAYDPDKVLLPTSITPDSIVTNLTYITEDDHLSSSSPFPLFGGNISWSQRQESFTLKPQMKVHCGFMPGGGAEMSSLDKQYVQKCKFVVATGIFDAYDQPHQPSNISERSKNLFCFLMVLDEVSLDFLRSNTTVRQDSQGGHWVGIWRLILLKTPPYDEPRRNGKVPKILTHRLFPQARYSIWIDGKMELIVDPLLILERYLWRGKHTFAIAQHKHHRNIYEEADACKRRKRYARPLVDLQMKIYRYEGLEPWSIKKSTVSDVPEGAVIIREHTAMNNLFSCLWFNEVHLLTPRDQLSFGYVVDRLKGAFKVFMFQNCEYNSLFELHPHIREHSSKIEWVKSLKELKGKGESMKESRGGFGLWTPYPGDLDSVELPKVVRTSKAG >A03p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9928779:9930208:-1 gene:A03p023510.1_BraROA transcript:A03p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSDSKYSIISILSILTLLFFLQAAHGDDGGWQGGHATFYGGADASGTMGGACGYGNLYSQDYGTNTAALSTALFNNGLTCGACYEMKCNDDPRWCLGSTITVTATNFCPPNLGLSNDNGGWCNPPLQHFDLAEPAFLKIAQYRAGIVPVSFRRVPCMKKGGIRFTINGHSYFNLVLISNVGGAGDVHAVSIRGSKTGSWQAMSRNWGQNWQSNSYLNDQSLSFQVTTSDGRTVVSNDVAPSNWQFGQTYQGGQF >A08g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5793760:5803642:-1 gene:A08g502910.1_BraROA transcript:A08g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDDEKRHVSPHFDKSKISMARRRVTVIGLSFLLLAGTTLAARSDKETRERFYGNVVNSTAPGNGEGSIAKMFDRVLEKEFSENDQPEGSDGGASFNSSVADQQAEIETVAKVTHEKGKRNDTQENNGTRPFQLQDVFSLENEDSDDMTLIDKKNNVFVMSNKKSKYPILQVDLRLISDLVVIIVFAAIGGIVFSCLGQPVIVGYLLAGSIIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSMTKLKVVGPVAVLGGLFQIVLLMFLCGVTALLCGARLSEGIFVGAFLSMSSTAVVVKFLVERNSTSSLHGQVTIGILIFQDCVVGLLFALLPVLGGNSGLLQGIISMGKLLLILSIYLTVASLLTWSFVPRFLKLMIKLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMLSTTEFAQHTLEQVEPIRNLFAALFLSSIGMLINVHFLWNHVDILLASVILVIVVKTAIAAIVVKAFRYNMKISFHVGVLLAQIGEFAFVLLSRASNLHVIEGKMYLLLLGTTALSLVTTPLLFKLIPSAMNLGVILRWFPSENSSPNEATLADIGVAAAINIITALILLLLFAILRIQPFNDRVYFPKWYLKGLRSSPLVNPGAFVSKIVNLDFRSYIRFLNWMPAALKMPESELIDHAGFDSAVYLRIYLIGLKIFVPVALLSWSILVPINWTSNGLQLEKLHDVKSSNIDKLSISNVERGSDRFFWAHLMMEYAFTFWTCYVLLKEYENIASMRLAFLQSEERRADEFTVLVRNIPPDSHELVSDNVEQFFMVNHPDHYLMNQVVYDANKLAGLVSEKKKMQNWFDYYHLKYTREKEQRPRVKLGFLGLWGKKVDAMDHFTAEIDKLNDQILEERNRVKKDEKGMMPAAFVLFKTRWGAAVCAQTQQTKNPTAWLTEWAPKAREVYWPNLAIPYVSLTVRRLVMHVSFFFLTFFFIIPIAFVQSLASIEGIKKSAPFLNPLVEKKFIKSVIQGFLPGIFLKLFLLFLPTILMIMSKFEGFASISSLERRAAFRYYLFNLVNVFLGSIITGSAFEQLNSFLKQSADHQTCIRPLNFLRSFLAKIEFRISLSSKPTERCGAFIIFHLKNLFLVKTEKDREEAMDPGQIDFYVNEPRIQLYFLLGLVYAPVTPVLLPFIIFFFGFAYLIFRHQIINVYNQKYESAAAYWPDVHGRIISALIISQLLLIGLMSTKGKAQSTPFLVVLPILTFCFHRFCKDRYESVFVINPLQEAMIKDSMERAREPNLNLKRFLKNAYIHPVFKDKEYEHDLHEELIEDSDDENCVVVPTKHQSQLHQKNISQRNTVMSSNANNVPSQPVSSNRLDSGTVAEPPSHNQSLDV >A09p075520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56852354:56854143:-1 gene:A09p075520.1_BraROA transcript:A09p075520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAGFHLTKLQRAQSDVSHGRSINTSPASSSSNVERFLESVTPSVPAHYLPKTMVKERRGSDVVELQPPPYFVLGDVWESFAEWSAYGTGVPLSLNNNNSYYKDRVFQYYVPSLSAIQLYADSHPLSSRRLSEESDSDFKDSSSEGSSSESERGLSLRKEHMEDSSSDDGEPLASQGRLIFEYLERDLPYIREPFTDTMCDLASSFPELKTLRSFDLLPSSWFSVAWYPIYKIPTGPTLKDLDACFLTYHSLHAPFQGAGVTTQSMCELQPRESVEKTMLPVFGLATYKLRGSVWTSTKGSGHQLVNSLFKAADNWLRLRHVNHPDFIFFCR >A02g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13700902:13702695:-1 gene:A02g504140.1_BraROA transcript:A02g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAYTPKRVSLLLMRHVSSFVSAQSGDTDKAKKIREAAIFTISFVACDFPSGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIESLEVKAPSFFRSLYFLTSVTKMTYPAAPAASAAVPYSTLNSLRLGRNINKNGEFMGITVFLLGELGVDLLRKGATRHDASSFTILETLMNHKANIRALFQSNGSILSQTTTKPEEGREVECCVLEDGYLEFTTDIYRVDNLIWTPK >A06p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18077033:18079649:-1 gene:A06p033550.1_BraROA transcript:A06p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRVSSLLSRSFSTSSPFPFRSQGRNCYNGSRVVRRFGTSSAAEKIISPSVQVSYTQLLIDGNFVDAASGKTFQTIDPRTGEVIADVAEGDAEDIDRAVKAARKAFDEGPWPRMTAYERSRIMLRFADLVEKHSEELAALETWDNGKTYQQAKTAEIPMLARLFRYYAGWADKIHGLTVPADGNYHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTAFYAGKLFLEAGLPPGVLNIVSGFGPTAGASLASHMDVDKLAFTGSTDTGKVILGLAANSNLKPVTLELGGKSPFIVFEDANINKAVELAHFALFFNQGQCCCAGSRTYVHEKVYDEFVEKAKARALKRVVGDPFKKGIEQGPQIDSKQFEKVMRYIRSGVESNATLECGGDQIGNKGYFIQPTVFSNVKDDMLIAQDEIFGPVQSILKFRDVDEVIRRANETRYGLAAGVFTKSLDTANRVSRALKAGTVWVNCFDVFDAAIPFGGYKMSGNGREKGIYSLNNYLQIKATTALNNPAWI >A05p014310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6229906:6234030:1 gene:A05p014310.1_BraROA transcript:A05p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPKNTLNSQQPSSLTLPPQAVKFGRRTSSGRTVSLSRDYDMDVPGDYSSGQNDYIDYTVLMPPTPDNQPAGSSSSAASTSQSKPDANRGSKMGNKMERRLSVMKSNNKSMLLRSQTGDFDHNRWLFESKGKYGIGNAFWPDDIDNGEGGVSMSDFLDKPWKPLTRKVKIPAKVLSPYRLLIVLRLVILFFFLWWRVTNPNEDAMWLWGLSIVCEIWFAFSWILDILPKLNPINRATDLATLHDKFEQPSPSNPTGRSDLPGVDVFVSTADPEKEPPLVTANTLLSILAVDYPIEKLSAYISDDGGAILTFEAMAEAVRFAEYWVPFCRKHDIEPRNPDSYFSIKKDPTKNKKKPDFVKDRRWIKREYDEFKVRINGLPEQIKKRAEQFNLREELKEKRIAKEKNGGVLPPDGVEVVKATWMADGTHWPGTWFEPKPDHSKGDHAGILQIMSKVPELEPVMGGPNEGALDFTGIDTRVPMFAYVSREKRPGFDHNKKAGAMNGMVRASAILSNGAFILNLDCDHYVYNSKAIKEGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFNPPRANEYNGVFGQEKAPAMHVRTQSQASQISETSDLESDTQPLTDDPDLGLPKKFGNSTIFTDTIPVAEYQGRPLADHMSVQNGRPPGALLLPRPPLDAPTVAEAIAVISCWYEDNTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAMFATRRLKFLQRVAYLNVGIYPFTSIFLVVYCFLPALCLFSGKFIVQSLDIHFLSYLLCISLTLILISLLEVKWSGIGLEEWWRNEQFWLIGGSSAHLAAVFQGLLKVLAGIEISFTLTSKASGEDEDDAFADLYVVKWTGLFIMPLTIIVVNLVAIVIGASRTIYSVIPQWNKLFGGIFFSMWVLTHMYPFCKGLMGRRGKVPTIVYVWSGLVSITVSLLWITISPPDDVSGGGGFSV >A09g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13423271:13427499:1 gene:A09g504340.1_BraROA transcript:A09g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLDDFLCYFRDLLEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGHPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKINGSYNELNNKFSHLASTLTGILLHLWTELNLHSIELRLVHVVDVTEERIQIDMDSRKPLKFQERSALWKGMRLLSKLRMIDSSNIAPIVESSHMRCHPARKRVDISPKPAQKNESLLRDDTKNDHDFANS >A03p045820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19244332:19251053:1 gene:A03p045820.1_BraROA transcript:A03p045820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPQKTIIQNGTAVSKLKVKLSCFFLCEEMQNSPVFNYINNLSPIKPVRSIPIAQTFGSLSPSVYTPPHKGSRFKSHTYFSDPAKELVEEALLETVPPKILKNDCISTPPRRAAANDGSCGDGKTDLQKMCDGNVKRKSDTPDWETQFPDTPEMLIYDTLNDSEADRCFLPASSDLKRRSCGGTKPRLEPVSNSKELADALHRGVRRRLLDFEMPDNQTSEKSSSSCVVPPSTGLHLNTAFAMSSKDTNEYSLSANIKVGLQNSTPPVLHSHDILRENETGEAAGQSVVEEIQKSSLALVEMNQSSPKKKRLKSDQAGEGESSCKRCHCKKSKCLKLYCECFASGVYCIVSCSCVDCFNKPIHEDTVLATRKQIESRNPIAFAPKVIRNTDSSIMEAGVDASKTPASVRHKRGCNCKKSNCVKKYCECYQSGVGCSINCRCEGCKNAFGKKDVSSFVGMDIKQDGESKTGQTQQNNELFSYVPLPPSTPMSLRQPLAQLPISSNNMLLPQQSQQLHGASGSFLYENQSFRKQGMGLLSRTETITEDIENVIPSPVTNINTVSPNSKRVSLPHLDPPVLTPRRRNGERKLLLLFLAAWKMDNENSQKESASKIETPTPKSKFEESPVFNFINNLSPFEPVKSFSSVQTFSSLSFTSPPPVFTSPHPTFHRESRFFRCQNSVDRSKALDSKEEVVADVDLNKEATLEDDEETSCELPQILSSDSQSPPHHGEDIVTQVLLPPPGEDNNGSSSEDVKMRLLNILDAQEENGTPGSRRLMADAAELLVFRSPNDSEAFTCLVDQISSSERRFCAGVKLPTQQHDIIPANGSTNDNEPLAVVPNQPVSNVHRGSMRRRCLDFEIPRKRKKDDDEQTVSDNNKAESSSSKCGLPGIGLHLNAIAMASRNTKISITHEYSSSGEIQNTFSGSIAPVHSQDTVPEALEQAESQPGEESAVEEATELIPDSLQKKKQVLEGGEGESSCKRCNCKKSKCLKLYCECFAAGVYCIDPCSCVDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRNSDSIMDTSDDASKTPASARHKRGCNCKKSNCLKKYCECYQSGVGCSINCRCEGCKNAFGRKDAYLHAIMESKQEEDHETYEKRTADMPKEAERNASSEQPLTPLPPYKHLVVQQPVLPRNKLPPTQFFLGAGSSSFRKPEGESGNEKKPLETVIEDKTEILPDILNTSPIKANSPNSKRVSPAHIGSSEPGSILGKRSNGRKLKLRSIPAFPSLNPNQ >A05p002620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:894606:895415:-1 gene:A05p002620.1_BraROA transcript:A05p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRERNVKYTVHRKLTSLSPKVVKITVTDPCATDSSSDEENDDRFVSPAKRVKRYVEVIRFCDAAKRKKPTNKAAEPVKYRGVRRRPWGKYAAEIRDPSKRARVWLGTFDTAEEAAIGYDKAAIRIKGRHALTNILTPPSSPPAIDLETVSRCDDSAVEESQSSSIMRSPTSVLRFSAGPINLSSAHQEAPAGFASPDPFFLPPELFPARDCFWDSEIAPDPLFLDEFQLLPNSSTVSKLSESFPLGVIGDLSSCSWDVDEFFKDHLF >A09p014390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7400292:7401986:1 gene:A09p014390.1_BraROA transcript:A09p014390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRNLAHTSSSIPPPDIPFNSQQHNHLLSEAVGHIYSDDLPNAAAASMVSHEQQHIGSTDAPFIPEILDWDHHLLDLPLDFSPIQMEEDGGILPSDENPIMSPYWNDLILDTSSTSASKVHESTMQPQVPLQQPPSPCVELPPLVRTVSSNSNDNTSAAAKGRMRWTPELHEAFVEAVNHLGGMNNAKPKAVLKHMKVQGLTIYHVKSHLQKYRTARYVPEPSQGSQETKLTPLEHVTSLDTKRGIDITEALRIQMEVQKQLHEQLEVQRKMQLRIEEQGKVLLMMFEKQNMDFCKPDQEDKTSEKTPESCSEEADSPRPKRPRNNE >A03p059270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25783152:25785304:1 gene:A03p059270.1_BraROA transcript:A03p059270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSSRFVWGLTNFFHHLIRSRFAWVLFSYESLVLCFCSHGFIWLLQERIIIVLFRLEEVYLQLFLCLNFMIMATAQVTEKEMNPKDDDQYKVWKTLDHPTKLKLLHQITCSVVESFLAVNWAVKDDTHYILVGRLMCIIQFFCRSNKFYLFYSGEDKCVRVMYLNGYHEPKKWTKKNYKTQKGKQVGEPISLERISVSSIDCVSCLQTQVLSQIGEAGLIVVVFTVNESGAASIWINNIESSTLNADVTATTTPTNDDGVAVAEPKRRESTRTRRPNTRYMGCNW >A03p051910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20330815:20333831:1 gene:A03p051910.1_BraROA transcript:A03p051910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHNPFTTMRSLKLAEGCKGTQVYALNPPPGGGVGDKLLQHLQDHLRVNSIRSKSSRTYHQSSLLLPYGLPVTDLLEPQIDPSLKFPDLVEKMAEVYRRVAASTSLERSGAFLEQCAVFRGLSDPKLFRRSLRSSRQHAVDVHAKVVLSSWLRFERREDELIGTCSMDCCGRNLECPKASLVPGYDPVTVYDPCRCSGSNGVAECSTSDEAFAFAFEYDMSFCIGDEEVRCVRYKIASLSRPFKAMLYGGFRETKLGTISFTENGVSVEGMRAAEVFSRTKRLDEFPPHVVLELLKLANRFCCDELKSCCDTHLARLVSTLDEAMVLIEYGLEESAYLLVAACLQVFLRELPSSMHNPNVIKIFCSVEGRERLASLGHASFALYFFLSQIAMEDDMKSNTTVMILERLVECAVESWEKQLAYHQLGVVMLERKEYKDAQRAFNTAVEAGHLYSLVGVARSKFKRDHRYSAYKIINSLISDHKAATGWMHQERSLYCSGKEKLLDLDTATELDPTLTFPYKFRAVALVEENQFNAAISELNKVLGFKASPDCLEMRAWVSIGKEDYEGALKDIRALLTLEPNFMMFNGKIHGDHMVELLRPLAHQRSQADCWMQLFDHWSSVDDIGSLAVVHEMLAHDPGNSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSKLKHERLVYEGWILYDTGHREEALAKAEESISIQRSFEVFFLKAYALADSTLDPKSSEYVIQLLEEALRCPSDALRKGQALNNLGSVYVDCDKLDLAADCYTNALNIKHTRAHQGLARVYHLKNHRKAAYDEMTKLIEKAQNNASAYEKRSEYCDREMAQSDLSLATELDPLRTYPYRYRAAVLMDDHKENEAIQELSKAISFKPDLQLLHLRAAFYDSMGEGASAIKDCEAALCIDPSHADTLDLYHKARETNATDQK >A08p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22675856:22679249:-1 gene:A08p039410.1_BraROA transcript:A08p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEINVLNCFSYTNFVSKDDATLVEGVVELVSDKLLSMLPMDLGDIVGMEADMDQIEHLLDMSFTTNEVRMVGIWGMAGIGKTAIAKNLYQKHKHHFKTHHCFMEKIFQRFAFQGAEPPIAYNDLSISISQLAQDELGKTAFLHVACLFNGEPVRRVRKLLGQGKAGMRVLKEKSLIKVSSDRRIAMHRLLEQMGKHIVRQESNNNPSQQRILWHHDDILRVLDTNTSKHLIEGVVLDVCKLRAGVHINWDDFKPMYNLRFLKIYFSNQSGGLQPWKEYMTLENNFSVHKLRFLHWDAYPFTTLPTSISPDCLVELKLCYSKLKTLWRGTPKLVKLMKLDLTGSKDLTKLPNLKEAKSLEELILKGCSSLERIPHSICKLSRVQKIDVSNCDGLKELKISISESKDIGFEGTSMCLRSVHMFFFGTEPFVGNKLGCSLTDPSIRGNLQIYLKLLEGSADHLSFVSEDHVCHDVDLKSPPYGFKSLDIMRFKWVEKGSESKCNSFSGFPWLQELTLINLNIKKIPDDIDQMHVLEKLDLSGNLFEKLPTTMSHLTKLKHLTLSNCRSLEELPELSQLESLTLSDCTNLRTLVKKHQGTTYNLLELWLDNCKKIESLPNELKHFTKLTCLDLSRHDFRTISSKMVGELTSLATLSLNYCNNLVSLSGLPLSLKCLNAHGCKSLKTYSLQAAHSIDRLDLSPCPNGKDYSTFTRFPAGRRSKEVPVCACPCFQETTTRRKVKHATCSHMSIFLRCLKSWLWDFFLCLLAVAVGIFLAVITDHVIATTLLMTIFMYLRL >A08p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12077211:12081083:1 gene:A08p017270.1_BraROA transcript:A08p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 19 [Source:Projected from Arabidopsis thaliana (AT4G14750) TAIR;Acc:AT4G14750] MGKTSKWIRNFLTGKKERTKEKINQSERGFTSTTPGTPKEKRRWSFRRSSATAPPTCASTLKDSSPPPQPPPPPQPFVVDSEDEQSKNVSAPEIAVAVEDFAAVKIQACFRSHLARKALRALKGLVKLQALVRGHLVRKQATATLRCMQALITLQAKAREQRIRMIGDSPTNPRTSIHKTRIHNIYHENEENIKIVEMDTQSKFYSPAPSAITDMSPRAYSSHFEDCNSFNTAQSSPQCSRFREYYNGDSLSSYDYPLFPNYMANTQSSKAKARSQSAPKQRPPEIYEKQPSGRRRSSMEAPRNGVPRAVRMQRSSSQLGKESQSHHHHHHPWMAIKLDRSNISLMESECGSTSTIMTNSNYGRHVDLCCLKAQENISYGLYMRSVKSSNFEVASKPINSYSEDPELSDGSKRTTGIEVGKRSKKYWRTDLKMECVFGLVGDGFAIVAADTSAVHSILVHKNNEDKIMVLDSHKLIAASGEPGDRVQFTEYVQKNVSLYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHYRSDMSVEEAIELVDKCILEIRSRLVIAPPNFVIKIVDKDGAREHAWRQSVQDVTTASL >A05p043410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26203015:26204961:-1 gene:A05p043410.1_BraROA transcript:A05p043410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETGVPLRRRSTSLNGYHSNDVSFDGTVPLMEHNIVKKTDDGYANGGGKASFMTWTARDAIYVARVHWIPCVFAVGVLFFMGVEYTLQMIPARSEPFDIGFVVTRSLNRVLANSPGLNTVLAALNTVFVGMQTTYIVWTWLMEGRPRATISACFMFTCRGILGYSTQLPLPQEFLGSGVDFPVGNVSFFLFYSGHVAGSMIASLDMRRMQRLRLAMLFDILNVLQSIRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEEMMSKRHNLGNGFSLISKDSLDN >A09p020600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6702601:6702953:-1 gene:A09p020600.1_BraROA transcript:A09p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSFTLLNYLKPRLSHKIHASCNRSHMFRIQRDLMIGKWRVIENFKVSGVGKRKYSPC >A03g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27418410:27432617:1 gene:A03g507760.1_BraROA transcript:A03g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEESAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFETPNSGSSEFPRKSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLCRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDPLLTEEYQQGITEFMGLVHRQPEAKTDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTREYAFTTQKRRRSSTTYDAVDLTDFGEESAVHVEDEPVIGEFHQDPDSDSSGSPRNLLGIFRGNSEELGVLN >A03p070420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30970250:30970930:-1 gene:A03p070420.1_BraROA transcript:A03p070420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSMFFSENDVVHHSSAYASVDCTLSLGTPSTRLCNDDDDRKFSSHTSNNMSNALGSWDFLHGGKKGGGGGGNNLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASTARNSTSGGVSTAAACVPASDHHGVTNYYYNNNNNNNNHYDSSSPWIHHQHQNTQRVPYYSPANNEYFFR >A05p002720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:957297:958650:1 gene:A05p002720.1_BraROA transcript:A05p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKPQKFAVMKKTISHKALKHYKEEILNPNKKDLTELPRNVPNVPSGMFFSHNTNMVPPYRVLVDTNFINFSIQNKIDIEQGMMFCLNAKCELLQSFGTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHKGTYADDCLVDRVTQHKCFMVATCDRDLKRRIRKVPGVPLMYLAGRKYSVERLPEATLGRAPRY >A09g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25756851:25757811:1 gene:A09g509190.1_BraROA transcript:A09g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSCLLALFLRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALTLHKNLENFSEKERKKNRKISEKNQENKSRKIGGDLIFNLSSVSYLGKDQKPQAYLGEEDQLRPSSPLVHLGKLWSPCLSQYLIRTVDVRGRVKLEVSSPVHSALFKWNSSLVQASFKTNL >A03p055590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24010763:24017079:1 gene:A03p055590.1_BraROA transcript:A03p055590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPSLTGRSIGNSNRSSLDLGHSSSSSSHSPLTKSISDASSQSLASILNNPHVGKSGVYGTDASWVGWWSSSVSPSEFSPLAATKLPGSDLTRSDFNTYLSSISDSHNRFEDIINHTKEESSSDLDQERHVSGLASCLREVPSLYFKEDFALEDGATFRSACPFSSLNENLALQEKLSQYLDVVEMHLVKEISVRSDSFFEAQGQLEDLNVKIVEGCGRIRELKETIRVIDRSLVESARRIQELSSTRVNLLELQRKLRVILYVNQALTALKLLVASADCAGALDITDDLQNLLAGDELTGLHCFRHLRDHVTISIDSINSILTAEFMRISIHNTGEIDVLILSSAKKRGSISSNQETGDEVKLEEEEDTSTLCDRLLPLVIGLLRTAKFPSILRMYREALTSEMKNAIKNAVAELLPILVARSLESDFSHGERSVDVDESMLIPSATTGGGLSLASKLRTLSSEAFVNLLTAIFRIVQAHLVRASEVKKAIEWILCNIDGHYAADSVAAAIAVGAIAAESAQESGFQSGQVVSSPLGKTTSKTPSLQRKSSDATNLMNMSRNFRADVLRENTEAVFAACEVTHARWAKLLGVRALLHPKLKLQEFMSIYDLTQEFITVTEKIGGRLGSSIRGTLQSQAKAFVDSQHEARMTKLKAVLDQETWDEIDVPEEFQSIISSLFASQELISGKGDDADIKTHRNPLPLNGSLTSGTEDQSTVSRNEKSESSEGAAGSNAQVKSTVKAGSVTNNQSNQKAHGKSNLFYQGVGYHMVNCGLILLKMLSEYIGMNNSLPALSSEIVLRVVEVLRFFNTRTCQLVLGAGAMQVSGLKSIKAKHLALASQVIDFTYTIITESRRIMFSKVPETRKPLLSVEIDKVAQDYRVHRDEIYTKLVQIMRERLLAHLHGLPKVVEGWNRPPDTNKQTKEFAWPLTREVGYLHRVLSETLHEADVQAIFRQVILIIHTQTSQTLVNMEISSPEAKKRLKLHVELILKCIRSLPSDNTNQSGIPNWGQLDEFFAQHFREEEEGGGGEAE >A10p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15378862:15381602:1 gene:A10p023550.1_BraROA transcript:A10p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLDLDSKEVSGAVLNQMDESVTSNSSLVNAEASSCIDGEEELCSAPAVKFRFEILKGGGREGEEGRSEVMTKEFFPVEKGASFVDLSGQSSRSSVDISFQRGNQGGDAARVMQPPAQPVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGLEADINFVISDYEEDLKQMANLSKEEVVQVLRRQSSGFSRNNSRYQGANLPKIGGWGGAQMEQLNGNMAYDKATATKWNGREAASLIEPHASRIISETANVKLDLNLGISLSLGDSPKQNLNNIVCGRNSKMENHMAASTCDTPFNFLKRGSDHLINRHVHPSALFSPMERTPREGFMPGSPQSFPVRTWQAQDQPSGGTATTATASTLLSNAASSGFSHSATRPPSSSTASLHPSQPFLNLNIPGLYVIHPSQHQHHHDINRSQPPP >A04g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9234316:9236757:1 gene:A04g504440.1_BraROA transcript:A04g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A03p038550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16079140:16079494:1 gene:A03p038550.1_BraROA transcript:A03p038550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSICIVSLLMIFLLISTGIPKGKARECLRKPSKTLPRNLCLAGHDLCSSICVGNGSAHGECDMTSRVCFCYDC >A02g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15793196:15793605:1 gene:A02g505000.1_BraROA transcript:A02g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRARLPRTVEFKHTKSRRKQCRSPSKKKILAGESMGSQAPVYTIPTTFFGFAIDPGSQFYQDQELQKSRIAFAHVLESGKGGTGYGGVSMCMEQVISKKLRFVIIAGELIGKQVLQ >A05p019400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9111421:9111870:1 gene:A05p019400.1_BraROA transcript:A05p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILDGMESETLATLAPVTKTIQAENVKVIGVDQVFEASRSKKKNKGKSSKILRADRVAEGADQFDGAQSVGDRNNQGEILETNRESPAKERQKRKRSVEKRQNKLSENGLREPVGMDKMIKSKSLSSLCRLRAPMTPLFIYIFVRYKK >A02g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22610417:22611645:1 gene:A02g508220.1_BraROA transcript:A02g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKRIKISFYHLRVDSRRLDVIGFTQGETKGFLQIKASHGKNRKEYGFGFLMEIRGYEIRLCGNKRINFEGINDWFRWISLGFFGSQIRSEDGKNMWGSIRVNLPQYTTHDYTRRAAFSYTGMLRKEGVAVRVLTWWDLVRISWILVRICPEQAHGCSYLIVIDDSKLDCDLDLIKLSVSGGNRQMRTRCVQCYRSNEVLTYWYKRSCHGTRQMQRIQSSFFGACLIAMETWFILTVVDLQGVHPREGRSLNEVSLNTFKFLVVRFLLQQRREYYGALGDGNRVVKTRNHGNIYLVALDEGFFNKDVRCSWWGFFKKWCIFAMHFNKLCEQFMVQCFILYL >A04g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12036834:12037628:1 gene:A04g505750.1_BraROA transcript:A04g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSSLSSKWNSNKPTHSVLAACWSERELSQNPILTSWTGWTGLIRTPREAPLASGRYPDFRGVKGLYRNRQRVRRCHPSGCMSSRMLLVEFVVTHGRPHALMHASFTCQKTAPRPDVSQHGWSACVATHRPLDVGSHSQIASIATPRATSCICSTHSRLHLLLVLTLSC >A04p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3432284:3436169:-1 gene:A04p006430.1_BraROA transcript:A04p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSSHLNAANRSRNSQTPSPSHSASASASSSLHKRKLAATTAANAAASEDHAPPSASFPPSSNDDLESISARGADSDSDPEESEDAVVDDDEEDFAPEPDQDSSIRAFTAARLDSSSGANGSSRSTKIKTEISTVKLEAGTVTAGVSSVSGIVPKDESAKTSTDDDVQNCGAYIAREEALRREEAANRLKFVCYSNDCVDEHMMCLIGLKNIFARQLPNMPKEYIVRLLMDRKHKSVMVVRPPAGGNGITHVVGGITYRPYHSQRFGEIAFCAITADEQVKGYGTRLMNHLKEHARNVDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKDVWHGFIKDYDGGLLMECKIDPKLPYTNLSKMIRQQRKAIDERIRELSNCQNVYQVAEFQKKEGGSPKKIRVEDIPGLRDAGWTPDQWGHTRYKLFSGSGDSVTKQKQLNALIRVLLKTMQDHSDAWPFKEPVDPLDVPDYYEIIKDPIDLKTIGKRVESEQYYVTLDMFVADARRMFNNCRTYNSPDTIYYKCATRLETHFHSKVQAALQSGAKSQ >A07p027350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15627758:15629220:-1 gene:A07p027350.1_BraROA transcript:A07p027350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTSKFAGKLFVDILKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGERCKKYYEAGARFAKWRAVLKIGENEPSELSIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIHKCAAVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDSAKVAPEVIAEHTVRALQRTVPAAVPAIVFLSGGQSEEEATKNLNAMNQLKTKKPWSLSFSFGRALQQSTLKTWAGKEENVKAAQEALYVRCKANSEATLGTYKGDAKLGDGAAESLHVKDYKY >A09p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2402184:2403138:1 gene:A09p004130.1_BraROA transcript:A09p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFLTSRSISGVKELVPFIKTRIFSCPKRNSSQFLTRKVASPISINCSLSDSWKPLEDDADLFKDSVNSSKPEAADWREFRARLVAGEQAATPEKDSNKWAHKIHEPEKGCLLIATEKLNGVHIFEKTVILLLSVGPSGPIGVILNRPSLMSIKETKSTVLDMSGTFSDKSLFFGGPLEEGLLFLVSPGEDNEVGKSGVFREVMKGLYYGTRESVGLAAEMVKRKVVGSSEMRFFDGYCGWEKEQLKAEVLGGYWTVAACSLSVVELGSAVQSQGLWDEVVGLIGPQTGSVI >A06p042040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22604589:22609107:-1 gene:A06p042040.1_BraROA transcript:A06p042040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTKRAYKLQEFVAHSAAVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLYGHSSGIDSVTFDASEVLVAAGAASGTIKLWDLEEAKLVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDLRKKGPIHTYKGHTRGVNVLRFTPDGRWVVSGGEDNIVKVWDLTAGKLLTEFKCHEGQIQSLDFHPHEFLLATGSADRTVKFWDLETFELIGSGGPETSGVRCLSFNPDGKTVLCGLQESLKIFSWEPIRCHDGVDVGWSRLSDMNVHEGKLLGCSYNQNCVGVWVVDLSRTEPCNTGDAAQSNGQAEKKSGSGRDSVVLNDNNSKTTIPGKLSVSQNVDPLLKETKSLGRLSVTQNSDPSTKETKAVGRSSTSHSSDSKPLGRLSVSQNSDVAKESRTLSCMLLVSLYYLYQRWLNDMPLFCLFEATGSVPSTPHRVSVTSVSKAAVSNAATSRRNFTKANQKSHPVNKAADFIQVFVPREDPRVEQATESRAELDIIARTMPYSLQAADSRRSPPSSKNNQDLPNAPVLEMSESQPVEPSNIPDKSTFPGGKGGMRGAAERSINDFRYKRYGRSNSRSRMGSPPRNHDENYDLVSHKSSREPSPTESRKGGRFQSLVINRERRGRFSNYEGPVSNFPGGGNAAVPNIHPSNMFKQRGNNMPVEQGIESPSEDNIVEDIMGKHDQFVSSMQSRLAKLQVVRRYWERNDVKNTIGSMEKMADNAVTADVLSIITERNEIMTLDTCTSLLPILAALLASDMDQHLSVSLDMLLKLVRMYGSPIYLSLSAPASVGVDIEAEQRIERYSRCFVELEKVKACLPSLSRRGGLVAKSVLELNLAFQEVSS >A03p048760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20843928:20845999:-1 gene:A03p048760.1_BraROA transcript:A03p048760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGRVYWGKKADREMDDGGSNGVVVIFAWSSINESRLTSFVDLYSSLGWNSLVCRADFLTAFYPEMALSLAFHLLAELVEELKTRPCPVIFLAFSGAPKACMYKVLQVIMGDCEPQIHPDDSQLVRNCLSGHVYDSGPLDFTSDLNTKFALHPSIRRMSVPSRLISWMAKGISSGLDGLYLTRFESQRSEYWQALYSSVEIGAPYLILCSENDELAPHQVISSFTHQLQELGGEVKVVKWKNSPHAGHYTHNPIQYRAVISNFLEKAISVYLLKLRQLGERARTHDEISELICDLQKVAVDSNQSLKRVATGPSDHFFLPSSAPYQSNNNDPSSSQEEQRERSSFRPLQPTSINANSVLGQFLFDSCVPKNIEGWDIRFGGCLNGQPYATSSSRKNSNHGFKKRLLRSKL >A06p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21585764:21588839:1 gene:A06p039940.1_BraROA transcript:A06p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like serine/threonine-protein kinase BAM1 [Source:Projected from Arabidopsis thaliana (AT5G65700) UniProtKB/Swiss-Prot;Acc:O49545] MKPLLLLLFLLHISHSFTTGRQISEFRALLSLKSSLTGDAPNSPLASWKPTTSFCTWTGVTCDVSRRHVTSLDLSSLNLSGTLSPDVSHLPLLQNLSLADNQISGPIPPEISNLSGLRHLNLSNNIFNGSFPGEISAGLANLRVLDVYNNNMTGDLPLSVTNLTQLRHLHLGGNYFAGEIPPSYGTWPEIEYLAVSGNELTGKIPPEIGNLTTLRELYIGYFNAFEDGLPPEIGNLSELVRFDAANCALNGEIPPEIGRLQKLDTLFLQVNVFSGPLTWELGKLSSLKSMDLSNNMFTGEIPASFSDLKNLTLLNLFRNKLHGEIPEFIGELPDLEVLQLWENNFTGSIPEKLGENGRLHLVDLSSNKLTGTLPPNMCAGNKLETLITLGNFLFGSIPESLGKCESLTRIRMGENFLNGSIPKGLFGLPKLTQVELQDNYLTGELPVTGSVSVNLGQLSLSNNQLSGQLPPAIGNFTGVQKLLLDGNKFEGPIPSEVGKLQQLSKIDFSHNLFSGGIAPEISRCKLLTFVDLSRNELSGEIPKEITGMKILNYLNLSRNHLIGSIPGSISSMQSLTSLDFSYNNLSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKDGGAHQSHSKGPLSASMKLLLVLGLLVCSIAFAVAAIIKARSLKKASESRAWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNGDQVAVKRLAAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLIVHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSNKDSVLKVLDPRLSSIPIHEVTHVFYVAMLCVEEQAVERPTMREVVQILTEVPKMPPSKDQPATELAGEEKTTTEGGQGQPDLLDL >A02p019820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9218427:9219176:1 gene:A02p019820.1_BraROA transcript:A02p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTEFLPNEYGYVALVLVFYCFLNLWMGAQVGMARKRYNVPYPTLYAIESENKDAKLFNCVQRGHQNSLEMMPMYFVLMILGGMKHPCICAGLGLLYNITRFFYFKGYSTGDPMKRLTIGKYGFLGLLGLMICTISFGVSLIRG >A09p011660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5940134:5942772:1 gene:A09p011660.1_BraROA transcript:A09p011660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHGLALIGFLIAVVSCKAIEECRENEPFTCGNTDQLSSKSFPKDFIFGVASAAYQACYKSTIFDVEGGRGRGLNVWDGFTHRYPEKGGSDHGNGDTTCESYTRWQKDIDIIDELNATGYRFSFAWSRIIPKGKVSRGVNKGGIEYYHKLLDGLIAKNITPFVTLYHWDLPQTLQDEYEGFLNRTVIDDFRDYADLCFKEFGGKVKNWITINQLYTVPTRGYAIGTDAPGRCSPAVDERCYGGNSSTEPYIVAHNQLLAHAAAVDVYRRKYKFQKGKIGPVMITRWFLPFDETDASRDAAERMKEFFLGWFMEPLTKGRYPDIMREIVGSRLPNFTEAEAELVAGSYDFLGLNYYTTQYAQAKPNPVTWANHTAMMDPGAKLTYNNSRGENLGPLFVKDEKNGNAYYYPKGIYYVMDYFKTKYSNPLIYITENGFSTPGEENRDKAIADSKRIDYLCSHLCFLRKVIREKGVNVKGYFAWALGDNYEFCKGFTVRFGLSYVNWTDLNDRNLKDSGKWYQSFLNGTNKNPAKQYFRRPNLSFQNQKKKLADA >A05p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12367131:12368355:1 gene:A05p025420.1_BraROA transcript:A05p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNSKKKLDDDQHTSRGDLEQPHIVDQLPPYIIDRHSADSIDLHPHSIIDRHPLEIIDRHPSLEELPGYMIEIGPIEEIRKRVKRIHDPVRIMFPCDVFEAESPIPPNRSMKFSSYIEVLDDPLHAEASQRGLRFRSEVDTGPTKSVSNDINKSGSIDTTTSPSIDTTTSSSIDSGRISKQKEFDVCENIFDGVNTTRSDKFEGKKRRNWKKRKMIKDGPQLSLIPHLSDGVRKSRVRSRCLSKPFAKLRAILTAEIIDKREDKKIERHCSSNFD >A07g502870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5850053:5850235:-1 gene:A07g502870.1_BraROA transcript:A07g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKEQVIMHSLMFLKKSPFISRDVKQVYPSRPVPYCSGLVIDRVTAGLSAGAAVLIMPA >A08g502780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5691986:5693047:-1 gene:A08g502780.1_BraROA transcript:A08g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFCQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEAPSING >A01p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6614453:6615708:-1 gene:A01p013540.1_BraROA transcript:A01p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKTGLKKGPWTSEEDQKLVDYIQQHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSLEEEETIIHLHSFLGNKWSAIAARLPGRTDNEIKNFWNTHIRKKLLRMGIDPVTHSPRLDLLDISSILTSSLYNSSSHHVNISRLMMDAHRQQQQHHLVNPEILKLATSIFSQNQTQTQNHNQNQNFMVDHDTNTHEHHSVYHQDVNQAGVNQYQTHQDYQPCMPPFPNEAQFNDMDHQFNGFGEQTLASTSNTSVQDCNIPSFNDYANSSFVLDPSYLDQSFSFANSVLNTPSSSPTTLNSSSTTYINSSSCNTEDEMESYCSNLVKFDILDFLDVNDFLI >SC187g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000056.1:51130:52846:-1 gene:SC187g500020.1_BraROA transcript:SC187g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A04p019880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12176988:12179167:1 gene:A04p019880.1_BraROA transcript:A04p019880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPWYFVALLLTILTSSQGILTTLSQSDEGGYNYDYATVPFLAEVFKLIISGLFLWRETRTSSLATAKITTDWRSVRLFVIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTAILFRLFLKRKLSNLQWMAIGLLAVGTTTSQVKGCGEASCDSLFTAPIQGYLLGILSAALSALAGIYTEFLMKRNNDSLYWQNLQLYTFGSLFNVARLIADDFRLGFEKGPWWQRIFDGYSITTWLVVLNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVASIYLFSFKPTVQLFLGIIICIMSLHMYFAPPQTLVDLPVTNEAHPKTLKQVIVEEKTDS >A01p038790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14770227:14771308:-1 gene:A01p038790.1_BraROA transcript:A01p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEIDPRFSPPLRTTFSSSPSPPSVFSEFISFSNCVAKGHRLHFGNLLREDVVTVQSARRSTLTLFPFTSALCLISVAILTKRLWLCIGCSAVVTYIML >A03p071710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31639546:31641886:-1 gene:A03p071710.1_BraROA transcript:A03p071710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSCLLQLLVSFFMEGKCSAACAAWCAEACHQLSNLSFVFCGRKPSSEATPYDIKYPLLSSGRPVSARKTREKFREKERKKREKSLKVWCPRVQNHPCSSAFGFDRYPRRLEWSARSCLVFNFNLEVKKKWSVISLSSSLVLISQSQRIKVRVPYDISPCPDELTIGYCFVGLKSLELYPIGALVFFGCWSKAIGSILRTSDRQSRNIDRVISGRLRSGVSQPLLVFIGDCL >A04p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13231975:13233645:1 gene:A04p021910.1_BraROA transcript:A04p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase 1 [Source:Projected from Arabidopsis thaliana (AT2G21940) UniProtKB/TrEMBL;Acc:F4IIJ2] MEAAIAQRVQYPSWVDCRKFEGKPLGSLRYSQRVKEDKRFRGLVALAHLQPDRRYDQRRSVSSEVSCSDNNSSALLEAGSVHPFDEDLILKARMMGSGKTTVGKLMSKVLGYSFFDCDTLIEEAMNGTSVAEIFEHHGESFFRGKETDALKKLSSMYQLVVSTGGGAVIRPINWKYMNKGVSIWLDVPLEALAHRIAAVGTDSRPLLHDESGDAYTVAFKRLSTIWDERGEAYTNANARVSLESIAVKLGYKDVSDLTPTEIAIEAFEQVQSFLEKEETVEIPDGDL >A09p069980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54390892:54391902:-1 gene:A09p069980.1_BraROA transcript:A09p069980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMASVNLSFSPLRSSPKVSTHASVQFARFNSSSLASAHCISGLRAVLPQKISTVVSQSSQRLQTLTVFAHKGYKMKTHKASAKRFRVTGRGKIVRRRSGKQHLLAKKNNKRKLRLSKMHEVSRSDYDNVIGALPYLKVNRKAT >A07p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:126977:127654:-1 gene:A07p001420.1_BraROA transcript:A07p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDDHLMCDVEGNHLTAAAILGQDGSVWAQSADFPQLKPEEIKGITTDFEEPGFLAPTGLFLGGAKYMVIQGEPGAVIRGKKGPGGVTIKKTTQALVIGIYEEPMTGGQCNLVVERLGDYLIESDL >A02g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7462194:7462675:1 gene:A02g502310.1_BraROA transcript:A02g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASKPKESDILETSAVTENAVVESKNVESEAVSQEKADEVVAEKKEESAVDGAETQKEAEPAKPVEAEVAKPVEAEPAKPVEAEAAPEAVKTEATVKAVDETEPPKQEEAAPASDSKTNEEPLVTL >A07p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23676556:23681078:-1 gene:A07p043310.1_BraROA transcript:A07p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69830) UniProtKB/Swiss-Prot;Acc:Q94A41] MSTVPIEPLLHHSPLRHTSLNGARTFLPSSLNLRCHFTSKKLHSIGRSVTSGNSLGFLSSERRRSIAIRASSSDTAVVETTSQSDDVIFNENFPVQRIDKAEGKIYVRLKQVKENDWELSVGCSLPGKWILHWGVSYVGDTGSEWDQPPEDMRPPGSLAIKDYAIETPLEKLSEGDSFHEVTINLNLESSVAALNFVLKDEETGAWYQHKGRDFKVPLLDDVPDDGNLIGAKKGFGALGKLSNIVVNPDEPGADVQEKGKSSSGSTKEGKGLEEFYEEMPISKHVAGDNSVSVTARKCPETSKNIVSIETDLPGDVTVHWGVCKNGNKKWEIPAEPHPEETSLFKNKALRTRLQRKDDGNGSSGLFSLDGELEGLCFVLKLNENTWLNNRGEDFYVPFLTSAALPVESGAAQVSGKTPKTNQEVSDSGFTAEIITEIRNLAIDISSHKNQKTNVKEVQENILQEIEKLAAEAYSIFRSTTPAFTPESDLESEDEKPEIKISSGTGSGFEILCQGFNWESHKSGRWYQELQEKADELASLGFTVLWLPPPTESVSPEGYMPKDLYNLNSRYGTIDELKETVKRFHKVGIKVLGDAVLNHRCAHFKNSNGVWNLFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQDFVRKDIKEWLCWMREEVGYDGWRLDFVRGFWGGYVKDYMDASKPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATSGAAGAFDVTTKGILHAALQKCEYWRLSDPKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPAVFFDHIYSDYRSEIASLLSLRNRQKLHCRSEVNIVKSERDVYAAIIDEKVAMKIGPGHYEPPSGSQNWSVAVEGRDYKVWETS >A06p053890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28335683:28337698:1 gene:A06p053890.1_BraROA transcript:A06p053890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLGVDAEDPSQIAVALPKKVEKAAPVLPAKLQSKQAPPSQAVKGESRNAPSGGRGPPRGSFNPGGNRPYDPKDGERNGGFRGYRESGGRGGHVGGFANGKTGDVEQRPKRVYDRHSRTGRSNDLKREGGGRGNWGTPEDDIPPPTVEPTTEVEKSPVAENDGGEDDTTDAKKDSPAAEQEEKEPEDKEMTLEEYEKILEEKKKALQATKVEERKVDTKVFESMQQLSNKKTNDEEIFIKLGSDKDKRKDAAEKEEKTKKSLSINEFLKPADGERYNNPRGGYRGRGGRGGGRGQRDGGNQRHGGAPAPAIGDSAQFPSLGK >A02p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18157607:18158618:-1 gene:A02p033750.1_BraROA transcript:A02p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYGLPIQRREMKHKGRNVVWSVGMDKCLIEALAFQAQNGNKVDKCFNENAYSAACLAVNTRFNLNLNSQKVINRLKTIKKRYRVMKDILSRDGFWWNATTKMIDCESDELWKRYIAVHPDAKAFKGKQIEMYEELRIVCGDHHQAPGRYAKVKAESNHHLNDFKHFEEGSVSFPLPSSEDNNSDTDGTESYAGGGGGDDEYLHEEPQDLPPRNPLKQPLKRPRNSDPFQEAMLAVASSIRRLADAVEGSKSLINTEDLLEAVMEIDGLEEAKQMYAFEYLNGDPVKARAFMAYNSRMRKLFLFRQFWWWK >A02p059530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35543055:35544088:-1 gene:A02p059530.1_BraROA transcript:A02p059530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEEMPVVLITGCSQGGIGNALAREFSSKGCRVVATSRSQSTMADLEKDPKFFVQKLDVQSEHNVNKVLSEVIDKFGQIDVLVNNAGVQCIGPLAEIPIQTMEHTFNTNVFGSMRMTQAVVPHMASKKKGKIVNIGSISTMAPGPWAGVYTASKAALHALTDTLRLELRPFGIDVINIVPGGIQSNISDSGISSFDKLPELKLYKPFQEAIRERAFLSQNVKPIPAETFAKEVVSVVLKEHPPAWYSTGRLSIVAAFMHHLPISVKDFLLTKSFMKKGPKETV >A03g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14712059:14716293:-1 gene:A03g504160.1_BraROA transcript:A03g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGNVVSYRSDGESDVELEDYEVDDDFRDGIVETRGNRFNPLTNFLGLDFAGGNGGKFTVINGIRDISRGSVIHPDNRCYKAWTTFILIWALYSSFFTPLEFGFFRGLPENLFILDIAGQIAFLVDIVLTFFVAYRDSRTYRMVYRRSSIALRYLKSSFVIDLLACMPWDIIYKAAGEKEEVRYLLLIRLYRVRRVILFFHKMEKDIRINYLFTRIVKLIFVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKLGDYSYAKFREIDLWTRYTTSMYFAIVTMATVGYGDIHAVNMREMIFAMIYISFDMILGAYLIGNMTALIVKGSKTERFRDKMADIMKYMNRNKLSRNIRGQITGHLRLQYQSSYTEAAVLQDIPVSIRAKIAQTLYMPYIEKVPLFRGCSSEFINQIVIRLHEEFFLPGEVIMEQGSVVDQLYFVCHGVLEEIGTAKDGSEEIVSLLQPDNSFGEISILCNIPQPYTVRVSELCRILRLDKQSFMNILEIYFHDGRRILNNLLEGKESNIRIKQLESDITFHISKQEGELALKLNSAAFYGDLYQLKSLIRAGGDPNKTDYDGRSPLHLAASRGYEDITLYLIQESVDVNIKDKLGNTPLLEAIKNGNDRVAALLVKEGATLSIENAGTFLCTVVAKRDSDFLKRLLNNGIDPNSKDYDHRTPLHVAASEGLYLLAMQLVEAGANVLKKDRWGNTPLDEALGCGNKMLIKLLEDAKSSQMSSLPSSSKELKDRVYKKKCTVYSSHPNDAKETRRHGIVLWVPRSIEELVRTAAEQLNVSEASSVLSEDEGKIIDVDLISDGQKLYLTVET >A02p056610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34114985:34117107:-1 gene:A02p056610.1_BraROA transcript:A02p056610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPILILRTRLAMILVFLQMPQLIDRYLQTNGLRLPDPNDNREEFCHEIEVLRRETCKLELRQRLYRGHGLASIPPHELDGLEQQLEHSVLKIRQRKNELMQQQLENLSRKRRMLEDDNNNMYRWLHGHRATTEFQQGGIETKPGEYQQFLEQVQFYNDQQQQPNSFLQLATHPSEIDLNYHLHLAQPNLQNDPMANI >A03g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16381930:16383116:-1 gene:A03g504570.1_BraROA transcript:A03g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHQEIIRRCGNIEKLLEFHNDELRRKQQVPLFGQQELNFPVFHDDSWRHLCVLDMTVFKTLREGNYSKLKVNYLTLKSLIFKQSKIWILCLNFIAVEFICKVVVRGIETSNGWCYIGCSICSRKLNRGFHLSHVLSVPCRNDATDTAELVAFDTEVCKLTNVPAADVSHQQNCLIHYYTSLLIKVGDAQDPNKEKAFGKGNHWRNNKECVLMSWRGYTGSWREDKKGDKRAADCIGRS >A08g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:394813:397290:-1 gene:A08g500220.1_BraROA transcript:A08g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLALPRQIRTVSLKFLSEQASRANQRILLREAHLHRSSTENPNQVFFSDLKTGRCSSIVEARLLRFWEAKNVKRGGELMWMDLLMVDVNSTMMQVTISAGRLPQFRDRLLAGAMFSLSGFDVSRCAQNFRLTDSSLMIRFSETTSFQLLTEPDSPLPEEAFRFRNQQELIGLANTNTQLPDIIGEILSVKSTVCDPPEEKNRVMVTLKLERSDNFDFPIRLMLVEMNLVLDRYFLYELQRRRHCDLKDGGRIKDISHEKKHMKQFLIKECHRCFDTSPKRADELLRNMARVEIPIIIISNEFNITIHISNINGLSISGFEMQQLVTGVSSVYDYERILHYRTSLWEDQQGILDEIS >A06p049770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26259057:26261713:1 gene:A06p049770.1_BraROA transcript:A06p049770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKGFRASLLQFFIFLPYFIGLLFLGFIKGVVLCPLVCLVVTIGNSAVILSLLPVHFVWTFYSIVRAKQIGHILKFFLCLCLPAAIILWLVLGILASVLGGALYGFLSPIFATFDAVGEGKPYPFFHCFYDGTWSTLKRSFTVVRDFKDVCFHSYFSLMDELRICCPDQKHYEIRLLQLPGALVVSALGILVDLPVITLVAICKSPYMLFKGWHRLFQDLIGREGPFLETMCVPIAGLVILLWPLAVVGAVLGSVVSSIFLGAYAGVVSYQESSFYYGLCFVVASVSIYDEYSTDILDMPEGSCFPRPKYREKEAETTAFSGPIPRLGSVKNTGSTREGSVKVPMIDIKPLDLLDGLFVECRKFGEALASKGLINSKDIEEARSSKGSQVISIGLPAYGLLYEILRSVKANTTGLLLSDGVTELTTKNRPKDAFFDWFLHPFLILKEQMKATNLSEEEEEYLGRLVLLYGDSERLKNSYAESASPTLTERKRAELDAFARRIQGLTKTVSRYPTFRRHFVCLVKKLSEDLDLNHDGSVKEEGSITEAPAPVRIFSRMFSKSQRSFRRKGSINGSDQETRKGVSRSVHIV >A04p032570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19033100:19035135:-1 gene:A04p032570.1_BraROA transcript:A04p032570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNIVKVSDSSFNDKLGKKRKHSAYYTSYDSGKSTAKLQCVLSPNGSTGKLDKSRNEVNVSDNHSGKSLVRYYSYFKKTGVPKRVMLYENGEWTDLPENVICSIKNDLEAKRAAIEVNCSGQHFVLDFLHMHRLDLETGVKTHLAWIDIAGKCFFPDSVGGEDLEQHGQCEIKLHLEIDINGGKSPKLKLNSTDSCSLELDDDDVQRWDDETEASMFSGVKPAEEEDLDVDAVREKFVLGMAALGQVELLDAYRFSGGVAKDRQSLFKKQADITKLSRGDANIRYAWLPAKKELLSAVMMHGLGVCGDLIKKSKYGVGVHLAAANCPYFSATHCDVDENGVRHMVLCRVIMGNMEPLGGDRGQFVTGGEGYDNGVDNISSPKHYVVWNMNMNTHVYPEFVVSFKLLSIPNAEGNLLSAGQSKHESSGLTLEGAKGSLSNSAGSRTRRPSSNLMPYPLLFKAISSKIAQKDMDLITAYYQQLREKKISREGFSRKLRMIVGDDHLLKTTITALQRLPHTAVKMEPITGGC >A04p018290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11010578:11011942:-1 gene:A04p018290.1_BraROA transcript:A04p018290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSFNIFNKNFTRNETESSITNDNLAYLQKDHINCFNEYLGQSSVNGWSNSSIMTSNSDSNHQSLMSVMPNQNYNHSGRTFVCTQNLQTFNSLITDIPPRYPFIDIDLPASTKGNFNTGAWDQSEDTNLRKLVELYGIKNWKRIANMLGTRIGKQCRERWHNHLRHGIKKSAWTEEEDRILVEAHKVFGNKWAKIALKLCGRTENAIKNRWNGTKRRMHQKRMKQSDKNANPHQNVILARYIRHITNENESPNTEETDCTKDDDHENVFDGEMDLSLDVTTQTTKPLASMSTTSSYVPEQATTFSWDDYFTYICESMDDIQMLMHGLD >A06p054840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28740607:28745400:1 gene:A06p054840.1_BraROA transcript:A06p054840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTKRSTCSAASTDSSLSSPPMKKSKNGLHASDKVGFSMDEDPAPSSAANLSRKKATLPHPTKKLVIKLNKGCYAIEECFLITIAGLAVDDLCLHKLEGKLYERIEKECEEHISSALQSLVGQDTDLSVFLSLVEKCWQDFCDQMLMIRSIALSLDRKYVIQHTNVRSLWEMGLQLFRKHLSLSPDVEQRTVTALLRMIERERLAEAVNRTLLSHLLKMFTALGIYAESFEKQFLECTSEFYAAEGMKYMQQYDVPEYLKHVEGRLHEENERCILYIDASTRKPLISAVEKQLLERHIHAVLDKGFTPLMDGRRTEDLQRMYTLFSRVSALESLRQALSSYIRKTGQKIVMDEEKDKDMVQSLLDFKASLDIVWEESFCKNESFGNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELESTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPHMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAGFSKGKKELAVSLFQAVVLMLFNDAMKLSFEDIKDSTGIEDKELRRTLQSLACGKVRVLQKYPKGRDVQDRDEFDFNDTFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQIDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKSNPQIYNYLA >A09p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10633629:10636093:-1 gene:A09p020010.1_BraROA transcript:A09p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMDNYRVPSTSTTGLVYPANSSMTASSGFHFTVTSPSGLKHEPSLAVEWSVEEQYMLEKGLAKFKDEPQVTKYVKIASTLPDKSVRDVAMRCKWMTQKRRKGEEHSASTKVSYRKVVDLPPKLNMFSTMPHQNSTYVMNHMCQSARIPFEGSSDAVMELLRQNAHAFSQISSNLSVSKPQDNISLFHLARNNISSILNDMKEMPGIISRMPPLPVSINNDLASRLMTSTRQPRSFIIPSSIHLKQEP >A03p054400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23359460:23361381:-1 gene:A03p054400.1_BraROA transcript:A03p054400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLVKFTGLSTRLLNICVHSLCKFHKIEKAETLITDGIRLGVSPDVVTYNTLITGYCRFVGIEEAYAVTRRMRDAGIRPDVATYNSLIAGAARRLMLDRVLYLFDEMLEWGLYPDLWSYNTLMCCYFKLGKQDEAFRVLYKDLRLAGLSPGPDTYNVLLDALCKCGYVDDALEMFREMQSRFKPGLMTYNIIINGLCKARRVGTAKWMLTELKRSGYTPNAVTYTTILKLYFKTRRIRRGLQLFLEMKREGYTYDGYAYFAVVSALIKTGRTKEAFEYMQELVRNGRRHDIVSYNTLLNLYFRDGDLDAVDDLLGEIERKGMKADEYTHTIIVNGLLRTGQTRRAEKHFVSMGDMGIGLNLVTCNCLVDGLCKAGHVDRALRLFESMEVKDEYTYTCVVHNLCKETRFVCASKLLLSCYSRGIKIPSSARRAVLSGLRMSGCYGEARIAKAKMKQTQILSTRKSKILAFYVKRICLQWGCELQEEVFLQGSVTRKLRKPITFHSLMLLVTDLLAGQNKSYA >A02g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1183857:1186969:1 gene:A02g500330.1_BraROA transcript:A02g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRDSVNLSRTFKYLLATQFLSRGIPFIFNSWIVRRLTEADYALYAVQFHLFVTCVLFLSREGFRRACLRADINSDGLVSEKDVTKLLKVAWVTFPLGVAITIAASIFVLWWQNLSYSDTYAQAILIHGFACVLELMAEPLYILSQTLMLLRLRLVVETVATFSRCGTLCFLIVKQTNMEKGIIFALSQVAYGGSLFLGYWAYFLMRGVSKSLNLFPFRPGSFMDLDKQLSNMCMLFTFQSFRKLILQEGEKLVLVWLDTPYNQAVYGIVDKLGSLVVRMVFLPFEESSYTTFARFASGDDYQQKRKKLGTCLTEALKLVMLIGLIFMAFGPGYSYSLIRLLYGEKWSDGEASLALQFYCLYIIVLAMNGTSEAFLHAIGTEDQLKRSNDMLLVFSLIYITLNVLLIRSAGAIGLILANSLSILHPYIYVDSAITLNFFRSFWSS >A04p031130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18422484:18423491:1 gene:A04p031130.1_BraROA transcript:A04p031130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSDRGGHWTKDAYSATQELPPLHSQNPSQELTPPTSRKWGFGWGSGSRHHRNKLVWRRRIQREMLIQFLDEYCEEESNKRKMKRLSKMNKGYAFVNFTKAEAVSKFKAA >A07p012170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8278188:8280483:-1 gene:A07p012170.1_BraROA transcript:A07p012170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSIFVVSLLLTLSYRGEATGSVFFIDGSNNQYLRPPSDQALPMSLSQVSAAVSALLGFSPPATLTPDGSSKLNKILRPNPFERPRAAFVLEIAGADDALVKETLPSHSFLGNAIRGSISSDSYKADIELPESGVAVMSVNEPSSDVTDKDMNEFASWLGGSYVAGSAEPLTGLLSIGGANVEFHLEKEAERKFASNLLALYKNIRGVVNLREDLSHGIERPAELTVGRFSGIDALAHEYGQGMAKQGMDVLLATLSKLFDLLETSHKGQIVGVIVLDERVNQESANLLSVDSSSGSSARSMAEVEGVPSGAIIAQVILVRLTLAWLTGIILLIATILGVYFLMYMPLTKDTLLYSNVKLD >A05p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27716375:27718777:1 gene:A05p046740.1_BraROA transcript:A05p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAASPSLSLLKPTGAVASSAATRARATLLPITSKSKSISTRPLGFSAVLDSRFTVHVASKVQSFRGKGTRGVVSMAKKSVGDLTSADLKGKKVFVRADLNVPLDDNQTITDDTRIRAAIPTIKYLIDNGAKVILSTHLGRPKGVTPKFSLAPLVPRLSELLGIEVKKADDCIGPEVESLVGSLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPTDVVVADKFAPDANSKVVSASGIEDGWMGLDIGPDSIKTFNEALDTTQTVIWNGPMGVFEMEKFAAGTEAIANKLAELSEKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKVLPGVIALDEA >A10p031670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18881834:18883079:1 gene:A10p031670.1_BraROA transcript:A10p031670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLVTGGTGFIASYIIKSLLELGHTVRTTVRNPQEDEEKVGFLWELKGAKERLKMFKADLTVDGSFDEAVNGVDGVFHTASPVLVPQDHNIQETLVDPIIKGTTNVMNSCAKSKTTLKRIVLTSSCSSIRYRFDATKASPLNESHWSDPDYCKRFNLWYAYAKTLGEKEAWRIAEEKGLNLVVVNPSFVVGPLLGPKPTSTLLYILAIVKGLAGEYPNLTVGFVHIDDVVAAHVLAMKEPKASGRIVCSSSVAHWSEIIELLRNKYPNYPLENKCSNKEGDNNPHSMDTRKIHELGFASFKSLPEMFDDCIRSFQEKGLF >A06p002250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5266973:5269390:1 gene:A06p002250.1_BraROA transcript:A06p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLLSRIKLLTTPPLSHSPITPTLKKQVNDTVHLLRTNPNWPNLLDEDDDQQQLLDISPFVFDRIRDVEAGVKLFDWLSNRKKDELFANGFACSSFLRLLARHRVFNEIEYVLTNLRKENVKVTHEALSHVLHAYAESERLDKALEVYNYVIELYGTVPDVIACNSLLSLLVKRKRLGDARKVYDEMRERGDNYSTCILLKGMCSEGKVEEGRKLIEERWGKGCVPNIVFYNTIIGGYCKMGDVENASLVFKELKSKGFMPTLETFGAMINGFCKKGDFAASDRLLKEVKQRGLVVSVWFLNNIMDAKYRHGLKVEVAESIRWIVANGCKPDIATYNILINRLCKEGRVEDAVGVLDEAAKKGLVLNNITYAPLIQGYCRVKEYDIASKLLLQMAERGCKPDIVTYGILIHGLVASGNMDDAVKMKGKMIERGVSPDAAIYNMLMSGLCKRGGFSSAKLLFLEMLDRKISPDAYVYATLIDGFIRSGDFEEAKKVSSLSIEKGVKVDVVHHNAMIKGFCRSGMLNEALMCMNRMTEERLVPDEFTYSTIIDGYVKQQDMTTALKIFRDMGKTKCKPNVVTYSSLVNGFCCQGDFKRAEETFKEMQSCGLVPNVVTYTTLIRSFARDGSTLGKAVYYWELMLRNKCVPNEVTFNCLLEGFVKKESGEVVSEPMGSNEGERSLFIEFFYKLKSDGWSDHAAAYNSVIASLSVHGMVKTACRFQDRMVKKGFSPDPVSFVAILHGFCVVGNSKQWKNSVFCDLDEKGLEVAARYSLILERHFPQAVTSEASSMLHLMAKTHRHQKT >A09g516860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49607968:49610847:-1 gene:A09g516860.1_BraROA transcript:A09g516860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSGTCRGEKGPRRLGQNTTFFNTHEFFLSRSSLDDDSFGDRVRRTSLSNHGDFSRNLSIYLPNLSPISAMGLDYSYTQPSESEDYGLGGSADSGNSSTEMYIQLDQAQIEAARHQYPPQPEVEFGFPKECYCGGEPLVATSYTRTDPGRRFYTCKNKQDGDCHVYKWWDVAATEEIKALGAQATLLTDKVDSLSFVGYEETEVRELKEVQFDMEQKLVRLESIVCDLGRKKSRFGYGFELVVGVLVVVLVIIAIGVAARM >A01g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24085697:24086561:-1 gene:A01g508860.1_BraROA transcript:A01g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDAACVLRKMCLDAKATHLSSTLPHTLPWKFNKHVQPNKGLTRQNNGNSEELRCGIAWRLNSKTEGGTKCYRGETVKPDAEVQWWKAKS >A09g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22741522:22744988:1 gene:A09g507960.1_BraROA transcript:A09g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSHRSMSSAESTECNAVRILTHEEFTAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRQSESDVDRHNPPPIYRRAPLTYRVRLPSIDNDYINELRPPPKPLANPPETKPNPLNSSPEPVQENQEAEGRRRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFLRETKVTAEDIRRMFHQAREKMKHMITLTKKSDPGKFAIPCVVKGILPRIMADQLGLTIEPSTESFTVVDLSEKRSGGIIRNLEVQIDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKHQVDHFAPPNHCYQHFVFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYNEDYWKEHAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLASIDTHTGTSSDIRAAAKIQEQENIPSPTRDPNGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDTNNHVGVATTEINPDLSRQPKGQASIDGTTKTSIDKVTPTSIDRDEPTSIDRRYEFGNRAFDMYGARKFTWKQRDEYGVYRDECGHARGVAGEMIPVTKDDIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSASIDAPHATSIDNRNVQHNTRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKT >A10p031440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18776602:18777429:1 gene:A10p031440.1_BraROA transcript:A10p031440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGAGSSSSARPKRKKGIRKTEVISYEQSVVQLSKEGRKTYMIVEKKRKRPLNTIRGAYSAVFDNTYPQYNWLYNGWLVEERRIMLSGRLYRYYYDPKGKEYKFRYLVEQVLTSIGKHLAIKKPVVIVLDD >A09p005670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3088576:3088926:1 gene:A09p005670.1_BraROA transcript:A09p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRVIYVLVAILVIVTAGVEASGYEDALGFMVQTGSSSNCRGSIADCIPEEEEFGLDSEISRRILASKKYVSYGAMRKNSVPCSRRGASYYNCQRGAQANPYSRGCSTITRCRR >A01p022450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11067449:11068042:-1 gene:A01p022450.1_BraROA transcript:A01p022450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHKCKLCSKSFCNGRALGGHMKSHLVSSHTPTRKKLSDSVYSSSSSSEGKTLVYGLRENPRKSFRVFSQDPESSVVYNSDTETEPESVDPVRKRSRTAVSKNKKKKKRSKKMTRYISSPEPASSVSDGSQEHDLAMCLMMLSRDSRKIELVKKPVLEAEETKPEKRQFPELRRCVIDLNLPPPQENDVVTVVSAI >A07p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12372177:12378515:-1 gene:A07p021050.1_BraROA transcript:A07p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPSSAYNAPFYTTNGGAPVSNNISSLTIGERGPVLLEDYHLIEKVANFTRERIPERVVHARGISAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERASPETMRDIRGFAVKFYTREGNFDLVGNNTPVFFIRDGIQFPDVVHALKPNPKTNIQEYWRILDYMSHLPESLLTWCWMFDDVGIPQDYRHMEGFGVHTYTLVSKSGKVLFVKFHWKPTCGIKNLTDEEAKVVGGANHSHATKDLHDAIASGNYPEWKLFIQTMDPADEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNRTIDNFFNETEQLAFNPGLVVPGIYYSDDKLLQCRIFAYGDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEINYYPSKFDPVRCAEKVPIPNKSYTGIRTKCIIKKENNFKQPGDRYRSWAPDRQDRFVKRWVEILSEPRLTHEIRSIWISYWSQADRSLGQKLASRLNVRPSSAHDSPFFTTNSGAPVWNNNSSLTAGTRGPILLEDYHLLEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRGPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNLDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGIPQDYRHMDGFGVNTYMLINKAGKAHYVKFHWKPSCGVKCLLDEEAIKVGGSNHSHATKDLYDSIAAGNYPEWHLFVQVMDPAHEDKFDFDPLDVTKIWPEDVLPLQPVGRLVLNKNIDNFFNENEQIAFCPAIVVPGVHYSDDKLLQTRIFSYADSQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRLNPVRHADKYPTTPVFCSGNREKCMIEKENNFQQPGERYRSWDADRQERFVKRFVEALAEPRVTHEIRSIWISYWTQADKSLGQKLASRLNVRPKY >A02p008390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3493463:3495889:1 gene:A02p008390.1_BraROA transcript:A02p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSTVSGIKLSGIVTSFNTPANSSSPGRISVKVYPALPRRRFSTIGAVSSSRGSSFPSSSRVSFAYRQNLVLSGGLGALKALPSVCENASASSTKSFNQLIESLIDRVDLSESEAESSLNFLLNDANEALISAFLVLLRAKGETYEEIVGLARAMMKHARKVEGLADAVDIVGTGGDGANTVNISTGSSILAAACGAKVAKQGSRSSSSACGSADVLEALGVVIDLGPEGIKRCVEESGIGFMMAPMYHPATKIVSPVRKKLKIKTVFNVLGPMLNPARVSYAVVGVYHKNLVLKMAKALQLFGMKRALVVHSYGLDEMSPLGGGLVYDVTPEKIEEFSFDPLDFGIPRCTLEDLRGGGPDYNADVLRRVLSGEKGSIADSLILNAAAALLVSNRVETLGEGVTLAREVHSSGKAIKTLDSWIHSSNLAQKCQ >A03p045090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18840216:18841030:-1 gene:A03p045090.1_BraROA transcript:A03p045090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNWDPGIGTSLWFNSEATGYDSDGWKVEFYSIQTGFDLELKKGISGSLRKIKIGSEWRMISTIINTESQLYEGYISEYAYLLFFSVLRGFDRINHRYGLNNRWRLWYFWNVGLECINLHHFGILCYLAGTGKLGEYMDTALGSSRRERGKRIMELHVCI >A03p033110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14012148:14013086:1 gene:A03p033110.1_BraROA transcript:A03p033110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQDFIYRISTDQEWQEFQKKGSSFGGELDKSTGCFHLSKLEQVQMTLQNFFLNAKEDLYLLQIDPNKLGDGLIYEAVDEVNSFPHFYGPDRTFIPLPLDSVVKAEKLTFTNGKFTCSFFTG >A01p016160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7997000:7997719:-1 gene:A01p016160.1_BraROA transcript:A01p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MATSSITIPTIRGTKFLGQTHLFSTPNRSVFPPPKQLSNAHQVKAMGKFNLWEVMGGRGLCNGEKGIEKELQRNIEEETSKTENQTKKESDDNSLSFQVPEDGFEKEMMGLTGGFPGGEKGLKTFIEQNPPPPPKQGSGDVVSTFATEKKPRAPELPLLMPGMIAIVKNSNSPYHMYCGIVQRITDGKAGVLFEGGNWDRLITFRLEELERREKGPPGKNPKSCVLEPLIEQMQKEAAP >A02g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25166756:25167119:1 gene:A02g509450.1_BraROA transcript:A02g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKQHNGEIKGGAKASSAGRPWLCACIITGFTCLSKASSFESKWKIFTRKLPRRKKGEEMSQSEALLQHRRRALDKVHDSLECSHLQTDWKIATR >A06p038190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20599431:20601044:-1 gene:A06p038190.1_BraROA transcript:A06p038190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKGILGFEHGIVQAPLGPDISGPELVAAVANAGGIGLLRCPDWECPDYVREMIRKTKTLTDKPFGIGVVLAFPHELNVKTILEEKVAVLQLYWGECSKELVDDAHCAGVKVVPQAVDVGVDAIIVQGHEAGGHVSGKDGLFSLLPRVVDLVGECDIPVIAAGGIVDARGYVAALSLGAQGVCLGTRFVATHESYAHPIYKRKLIEYEKTEYTDIFGRARWPSAPQRVLETPFFDDWRSLPSHENEVDQPVIGRSTIHGVEKEIRRFAGTVPNMTTTGDLESMAMYAGQSVGLIKEILPAGEVVKSLVEEAQLLILKKFNNVA >A01p053990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29738630:29746891:-1 gene:A01p053990.1_BraROA transcript:A01p053990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEVLTLPSIGINQQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVAFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFDRTANLANNQIINYKCSPDEKWLVLIGIAPGSPERPQLVKGNMQLFSVNQQRSQALEAHAASFAQFKVPGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVAMQVSHKFNLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNSYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLYIQSLKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDTHIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVDAQLYEEAFAIFKKFNLNVQAVNVLLDNVRSIERAVEFAFRVEEDSVWSQVAKAQLRDGLVSDAIESFIRAEDATHFLEVIRATEDANVYDDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQTVGDRLYDEALYEAAKIIYAFISNWAKLAVTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDIINDLLNVLALRLDHTRVVDIMRKAGQLRLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGDHDLAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALELAWINNMIDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEEKDVMSQQNMYAQLLPLALPAPPMPGMGGGPGMGGGYGPPPQMGGMPGMPPMPPYGMPPMGGY >A07p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9063650:9065391:1 gene:A07p014540.1_BraROA transcript:A07p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRKKMGLNLDPFFSIARKSMDARIDAEDFSDVFGGPPRSVLTRKFSGDFSRSDCFYDEIFLPPGIRSGGTLPSSKSHGRNLPAFRIPSGGEGFYDGVFGGEGSQKQSAVAKSKSRSKSSSVLTSEEVSPRYQPAAAGPGDEAGFSSFTSRLRPLNVPSRSHKRESKKQSFPAFPTSDDSFSGHANTPEKSDFFYKKPHFGGGSRRSSPETMSLDPFSFRRMDDFGPSSPASSPVSSSFICESEAKQRMTEDCDIEEEEEEEEEEEEEMSSYVIEINSNRFDRYRDGGSGGGNSDSNDMDEAIAWAKERSQRPEAKPTEQDLIDSRRSEEEAKSEEEMEMEIKDEEIRIWLTGKETNIRLLLSTLHHVLWSNSNWHAIPLANLRDGSQVKKAYQKARLCLHPDKLQQRGGTSPLQKSVASRVFSILQEAWAVYVTNEGLSS >A08g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13254266:13255241:1 gene:A08g507510.1_BraROA transcript:A08g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLCRQRDLVFPALRFSRGGRSVLVTAVAPFSGDRLAFVTAVAPYSDELAALVILEGGGSFCSGCLWVGGCGTGLVSSEARLFPRSDETRFQFDDCSGGAVAEMDKLRRLCCVSHSLSSLLLDWNLLGSCSDQIVQRWSAEAAERLRRYGFLVCRPLGRFDLLGVQCVWAL >A07p023620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13702387:13705860:1 gene:A07p023620.1_BraROA transcript:A07p023620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLPPADPVDIPLPEKTTSLSPPPPPPQHEQELQEQQQEEKQPRERDSRERRDEIDLERPPNRRDRSPPPPPRRDYKRRPSGSPPPPYRGDRRHSPPMRRSPPPPKRYRRDDNGYDGRRGGGYGPPDRRFGYDYDREMGGRPGGYGDDRPHGRFMGRHQDWEGGRGRYGDASYSGNTQRDGLMSYKQFIQELEDDILPSEAERRYQEYKSEYITTQKRVYFNTHKEEEWLKDKYHPTNLLTVIEKRNELAQKVAKDFILSLQSGNLDLGPAATALNKAGRTSEPNSEDEAAGDGKRRPVKRESAGTDVLAAPKAPSFTSDPKRILTDIEQTQALVRKLDAEKGIKENVLSGSETEKSGRDNVHSGSTGPVIIIRGLTSVKGLEGVELLDTLVTYLWRVHGVDYYGKLETNEAKGMRHVRAEAKGSDAKGDESENKFDSHWQERLKGQDPLEVMAAKEKLEAAAIEALDPHVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHAELVVDQTAKVREELYFQNYMNDPNAPGGQPATQQPGPRDRPMMRRKPGMENRLRDDRGGRRERAYGNDRNDRSEDQRRGDGNGPVDPNPEEGGGFEAFGGQGGFQVPPYSADMNAPPMLMPVPGAGPLGPFVPAPPEVAMQMFRDPSGPNPSFEGGGRGGPAPFLLSPAFRQDPRRLRSYQDLDAPEEEVTVIDYRSL >A08p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8228653:8229160:1 gene:A08p013410.1_BraROA transcript:A08p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKWRRRFPAAARGLHAEVKLPKVLAASSRPRLRHGRYGRFTERTKIKLKGMAVSGDCQLRRMVVMSSTCGGSSCGSVSLVAPSLQRWRNQGWMGFYRERLGLRICAYALAS >A07g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4835843:4836628:-1 gene:A07g502240.1_BraROA transcript:A07g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSGSNRRRNREFHSGSPHRLEPSPYMSFESRLKTTRLFFVSNAPVPNPRSLRHLDDPAVCEARRILEDSGWIYTVLHVRPFCPKVGRECVLNLYSADDGVYIRGCRFDFDPVVINQLFMTPNVEHSHVWETDDLSEAIIRLTVWRCRRWETFSLTYLLPQYDYLYKLCSLNWLPGSDDDSMIKRHLRFLFAIVRKKPIDFGRLVYNQVLKMSRSCDDDTKITLPNLIYQTLIL >A03p030810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12936391:12943733:1 gene:A03p030810.1_BraROA transcript:A03p030810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLGKKGIQASFKRGLVLLRVAASTESQISSTFLNSNSESVAFSFARYINGFGFSSLSNGESSPNLRSIRYFHASRETLARRKDDPDRPLSHRERKKQAVKTKAKFSKRDKKTDKPPAEAPYVPPPRLEKPEKRMAEKTVEVFDGMTLLEFSKRTGESLAVLQSILVDVGETVSSEFDAISIDVAELLAMEIGNKVKREHTTEGTQILPRPPVVTVMGHVDHGKTSLLDALRNTSVAAKEAGGITQHVGAFVVGMPESGTSITFLDTPGHAAFSEMRARGAAVTDIVVLVVAADDGMMPQTLEAIAHARSANVPIVVAINKCDKPGANPERVKNQLAAEGIEIEDIGGNVQVVEVSAVKSTGLDKLEEALLLQAVDMDLMARVDGPAQAYVVEARLDKGRGPLATVIVKAGTLESGQHVVIGCQWGKLRAIRDMVGKPTERATPAMPVEIEGLKGVPMAGDDVIVVESEKRAKMLSEGRTRKYERDRLLKAEEDRIAELEKREAESEEGFGRVELPIIVKSDVQGTAQAVSDALRTLNSPQVFVNIVQSGVGAIFQSDLARAQTCNACIVAFNVKCCKISSAYARVKVFHHRVIYHLLQDIGNLIVEKAPGVSELEVAGEAEVLSIFKVLGKRREEDGVSIAGCKVMDGRVFRSGLMRLLRSGEVLFEGSCASLKREKQDVEQVGKGNECGLVMGDWNDFRVGDVIQCMERVIRKPKFVSSESGAVRIEIMANHSKQIFKTLLSFFLFVLLLSDTVSSVRKSINLKKPCRSFLFHLHNVAYDSDNTANATSATIINPLGLGNFNFGKYVIFDNPVTMDENYLSEPVARAQGFFFYNKKTTYNIWMACTLVFNSTQHKGTMTIMDANPMMEPTRDLAIVGGTGDFIMARGIVTLTTDLIQESKYFRLKLDIKLYECY >A09p075740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56959393:56961644:1 gene:A09p075740.1_BraROA transcript:A09p075740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPGNWIPDGRDGFISWIRGEFAAANAIIDSLCQHLVAVGDQNEYESVVSAIQHRQASWSQVLYMQQFYSIADISHSLHQAALKRRQQGRPQQRHYYSSDQFGGRRSGPGFNKHHHGGGGGGYRGGGAESMVRNKSEIKVASDDKALSVAEEKIDNNLSSKKKQEEKDKECPASMAKTFVVEEMYEAKLVNVVEGLKLYEKMVDVSEVSQLVSLANNLRNAGRRGQLQSEAYVSYKRPNRGHGREMIQLGLPIADTMPDDDESAKVKGKFYRGIEPIPSFISDIIERLVSKQIIPVKPDACIIDFFNEGDHSQPHMFVPWFGRPVGVLSLSECDFTFGRVIVSDYPGDYKGSLKLSLTPGSLLLVEGNSADLAKFAIHSIPKKRILITFTKSQPRNSIRGTNWSPPPSRSPQNHHNSVQPIFKAPSPPLATPMPFPGGVIPAGTSWLLPPPQPRVPVPGTGVFLPPGSAQEQVVLGSTEKSNDSNGNNSAEGKIEVKTKEEAAKEGDGKQIN >A10p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20027437:20029067:1 gene:A10p034450.1_BraROA transcript:A10p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTSTHFVPPTRFEIYDPLNQIGTMWEESFKNNGGGFYTPNSIIIPTNQKPYSLSEDGTEGTPHKFDQEASTSRHPDKTQRRLAQNREAAKKSRLRKKAYVQQLETSRLKLIHLEQELDRARQQGLYASNRVDTNALSFSDNMCSGIVAFEMEYGHWVEEQNRQISELRTVLNGQVSDIELRLLVDNAMKHYFQLFRMKSAAAKLDVFYIMSGMWKTSAERFFLWIGGFRPSELLKVLLPHFDPMMDQQVLDVCNLRQSCQQAEDAVSQGMEKLQHTLAESVAAGELGEGSYVPQITSAMERLEALVSFVNQADHLRHETLQQMHRILTTRQAARGLLALGEYFQRLRALSSSWETRQREPT >A08p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14850130:14852545:1 gene:A08p022470.1_BraROA transcript:A08p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYSRMYKYYIHTEDAAAKRIAKWYVATILVGSVCWFCDRVFCERVSRWPVNPQGTCSVALFYGVELLLREHVLDVLSSSAAWVESEDLARGVETLLRQSDPTSLTSLTSVVHQLEAKLGLDLSDKTNFIRDHINLLLHSPHPPASASSSTPQPPPPRYLQQLHSGVNVPAKGHFSLHPPSQQYPPQHFALHPSPPYHSYDLNFQQPYPPYMPPQHHQRQGPSVSQSPKASAPAGTKRKGGPGGLNKVCRVSPELQVVVGEPALPRTEIVRQLWAYIRKNNLQDPSNKRKIICDDALRLVFETDCTDMFKMNKLLSKHILPLDPSKDSGQAKRAKAEVETKAETETETKEPVSSTSSTVSLSEPLAKFFGTGETEMTEEEIIRRVWEYIKLNHLEDPANPMAIQCDEKLRELLGCESISAVGINEMLRRHIS >A06g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8307579:8308051:-1 gene:A06g502520.1_BraROA transcript:A06g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVWSVGSDLMAMNHGLGRFRNDAHGLSRAVQTWTVVKKRNCEDSSRGKICGDWVIVDRCEVMITYCACCELMIA >A03p005820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2432415:2438828:1 gene:A03p005820.1_BraROA transcript:A03p005820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGKVVRKEIEGVGLCSGTVRSRDDSSGFFEIVYENGVTEISNLAEVSALVGLRGNVDLNRGPVETLDMDLNRGFDVTTGLDLNLNEGLGLVDVSIDYEEDCGEKKRGFIDLNMDASCDLEREGGFDLNLEVNSKDGGGDIVQETNMQVENGFEEAGECKEVHVAEVSSVQLLEEIGKQNGVSLQDVNTPNSNGAEREHDAKTVEMSNEYKSGRRKRRKGLENPEFTSQPRLRRSARRLSARSTLSSTVSACLADEVSPSPSVSSLTDEKAWIVDEKPENMSVLPPKPQLPPSSRILNLDGLPVLNVFTAYSCLRSFSTLLFLSPFELKDFVEALRSMSPSLLLDSIHVSVLQLLRKRLKQLVNEGDRSASACLRSLDWDTLDVVNYPLFVVEYLLFSWSEDKPGVDLTRFNYLRNEYFRQPMNLKIEILSRLCDDMTDAEIVRSELDKRSFAAESEMETDRKTNSEVRRRKRTMMELADDLSLNDEVIDFSFDRNSDYCCLCKIDGNLLCCDGCPAAYHSKCVGVASDLLPEGDWYCPECAFDRLVPGLKPEKQIRGAEFIDIDPHGRRYYSSCGYLLVIDTDSPGSVNYYHVNDVILVLEQLKSCGSFYLGVINAIKKHWNIPVGLKRTISGVNSQMSVCLDKSAKGMISSIDGSKASSPEKQPTSGVKKRLEETSSNGGPHNHCHRTRRKVLNSATGLDVHSLSSEGSAETVQNGLGVVMKEPNLNIHASSHDLARINTRKGIKQNVHSETGYRNQYIFAQMTTAISEELARKSVSRTNDMRSDEEITSTQVKTILMKTTKFQWRNIQDLYLDAWKEKCGWCHSCKSEDAGSETDCLFNMSLGALRSPSETEVANSQSIDKKSHLMAIICQMLSMESRLQGLLVGPWLNPQHSRIWREHILNASSISSLRHLLVELEANLHHRVLSLQWLNHVDSSVEMGSSRHIIALASKTAKRRGTLLESGVNPTAKKNGALAMCWWRGGRISRELFNWKVLPRSLVSKAARQGGSMNIPGILYPENSEPAKRSRRVAWEAAVDSSTTTEQLGFQVRTLHSYINWDDIENSHLLPASDKESKKSARLFKKAIVRRKCVEEETVKYLLDFGKRRNIPDVVLKNGRMIEESSSERKKFWLNESYVPLHLLKGFEEKKAVRKASKLGGSFRHSEIDRVRKMSSERKGFSYLFERAERPEASLCEQCKKDVLLSDAACCHICKGLFHKKHIRKADKEGNYICLACRSEVLAKEQPIVRRRGRPPGSFRKKIGVQTQKRKKVIVPARKSVRLKKTKKTSLAEKISVRSKNRKKVVANKPLRRSGRRPKHVIRLQDESPVPGGSKKRKLETKRGRGRPKKVKQEIPVRNKRTERSFSYWLDGLRLSRKPDDERVSKFSRDGCSKPLENSDSDQIQRQCRLCGSMDSESGSTFIACELCEKWYHGDACGINEKNSSMVIGFRCHLCREKPSPTCPHERSTTSPVPS >A07p013500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7438697:7439378:-1 gene:A07p013500.1_BraROA transcript:A07p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCVSKKKIVVKRAWKRFTHKLRSKSSDIKIAVSVRDSTSRLLRVISHHLIVPFRTRYLQNTIPRIHFNIGYSHHHSHFLEFFSRPFGKRKCPRRDSESIYRQIYQYESQRWRQGETKSDEKVVGRKKEKKEEEGPQEIVDSMEDAWMRVVAASPHLRVDEKADQFINKFREAMRLDKERSLLEFQERLLHGWICINFTL >A10p009220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5088900:5089364:1 gene:A10p009220.1_BraROA transcript:A10p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNLASLPPSMLHKILSKVATSHLRDFSSARVAFSGFSQIGREEYFYRSADLFNLNDWIDEANLLRTFRLRCYQSSNLEAIYMRDGTQPKEAHTLLPSTTRRVGAKYS >A02p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11777154:11778347:-1 gene:A02p023600.1_BraROA transcript:A02p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLGCVQVDQSTVAIKETFGKFDDVLQPGCHCMPWCLGSQVAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAESAQDAFYKLSNTRNQIQAYVFDVIRASVPKLDLDSTFEQKNDIAKTVESELEKAMSHYGYEIVQTLIVDIEPDVHVKKAMNEINAASRMREAASEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRNSVLAFSESVPGTSSKDVMDMVLVTQYFDTLKDIGASSKSNAVFIPHGPGAVKDIASQIRDGLLQGKAAE >A06p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1048738:1053297:-1 gene:A06p004180.1_BraROA transcript:A06p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRVQVNKAHKTRFSSKSSRNLHRTSLQDGGRIGKAEGNHVKGARAARLQRGKMLREQKRAAVLKEKRASGGSTSAPRVIVLFPLSASVDLNSLGEDVLKLLSNDDGSSSSSTVACSEYKLRATVLKAPHGDLLTCMEMAKVADLMAFVVSANSPWEENVSSFIDSFGSQCLSVLRSIGLPSTTVLIRFMWLFKAQKLTVPHWRSQRPYVVTEKVGMVVSNESSGKCTLLLSGYLRARKLSVNQLVHVSGIGDFQLSELEVLKDPFPLNERKKQNSMELDDSHDEEVLRSLHPDPMKQEPLVAENTPDPLAGEQTWPTEAEMAEADRNQKQGKLKKRTLPRGMSEYQAAWIVDEIDEEDSDNGDSDDDGMVLDKEEDHFSNQDQDFEDDKETLRDVDNETYNDSEMMDDENLTREQYEDQMKKIKEAHAEDEEFPDEVETPIDQPARKRFAKYRGLKSFRTSSWDPNESLPQDYARIFAFDNLARTQKLVLKQALKMEEESRDDCVPTGSYVRLHIKEVPLVAASKLSSLVSSKPVIAFGLLQHESKMSVLHFSVKKYDGYEDPIKTKEELMFHVGFRQFVARPVFSTDNFSSDKHKMERFLHPGRFSLASVYGPISFPSLPLVVLKISEGSDAPAVAALGSLKSIEPNKIILKKIILTGYPQRVSKMKASVRYMFHNPEDVRWFKPVEVWSKCGRRGRVKEPVGTHGAMKCIFNGVVQQHDVVCMNLYKRAYPKWPERMYPQLL >A06p051690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27350375:27354193:1 gene:A06p051690.1_BraROA transcript:A06p051690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTIPKSYTVAAVASFIVLVTYLALFSSVSDLQLIPSLSSSQGTSLQQTWESRTIRIDQAPQEMTSSTITCDRSHTDYDLCSINGTCSLDQRTGTLALMDPISSTSAPIVEKIRPYPRKTDNWVMPRIKELTLTSGTLVHTRSCDITHDSPAIVFSAGGYTGSIYHDFIDGFIPLFITANSIYPGRDFIIVVVNPKEWWMLRYMDVLGAFSKHKTILLENENASSIAHCFTSAFVGLISHGPMLIDPIRLPNSKSLVDFHNLLDKAYNTNLSTLKAQKPRLILVSRYGNVGRVILNEKHIEEMLEDVGFEVIIFRPSKTTSLKEAYKLIKSSHGMVGVHGAALTHLLFLRPGSVLVQIVPLGLGWVAKTCFENPAKGMELEYTEYRVNVGESSLVEKYSKDDLVLKDPIAYRGVDWNATKMNVYLKEQDVRLDVNRFREHMNKAYENAKTFMDIEG >A05p003250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1176417:1178050:1 gene:A05p003250.1_BraROA transcript:A05p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSKTHRSKPTHGSKRLVLLSTFAVAALLLLFFSSSFSTRGSGLVDYFSRSSRTKRQHSLSDKYLYWGDRVDCPGKNCESCAGLGHQESSLRCALEEAMFLNRTFVMPSRMCINPIHNKKGLLNGSDNESGQVSSCAMESLYDVDLISEKIPVILDDSETWHIVLSTAMKLKERGIAHVSGASRRELNDSSHYANLLLINRTASPLAWFVECKDRIDRSNVMLPYSFLPSMAAPRLRNAAEKIKAQLGDYDAIHVRRGDKLKTRRDRFNVERTQFPHLDRDTRPEFIMSRIQKQIPPGRTLYIGSNERTPGFFSPLSARYKVAYSSNFSEILDPVIENNYQLFMVERLVMMGAKTFFKTFREYEMDLTLTDDPKKNKNWEIPVYTMEQDKEELKTTH >A06p048020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25472767:25475363:-1 gene:A06p048020.1_BraROA transcript:A06p048020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMMMMFGLLMIIVCICSALLRWNQMRYSKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQRLRYGSFFKSHILGCPTIVSMDAELNRYILMNESKGLVSGYPQSMLDILGTSNIAAVHGPSHRLMRGSLISLTSPAMMKEHLLPKIDAFMRSYLSGWDEFETVDIQEKTKHMTFLSSLLQIAETLKKPEVEEYKTEFFKLVEGTLSVPIDLPGTQYRCGIQARNNIDRLLTKLMQERRESGETYTDMLGYLMKKEDNRYLLTDKEIRDQVLTILYSGYETVSVTSMMALKYLHDHPKALEELRREHLAIRDRKRPDEPLNLDDIKSMKFTRAVIFETSRLATVVNGVLRKTTHDLELNGYLIPKGWRIYVYTREINYDSSLYEDPMIFNPWRWMEKKMESMSYFLLFGGGARHCPGKELGISEVSSFIHYFVTRYKWEEKGGEKLVVFPRVSAPKGYHLRVSPY >A04p022880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13863015:13864744:-1 gene:A04p022880.1_BraROA transcript:A04p022880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 4 [Source:Projected from Arabidopsis thaliana (AT2G22810) UniProtKB/Swiss-Prot;Acc:Q43309] MDLLSRKATCNSHGQDSSYFLGWEEYEKNPHDVVKNPHGIIQMGLAENQLCFDLLESWLIQNPDAASFKRDGQSVFRELALFQDYHGLSSFKKALADFMSENRQTRVSFDSNNLVLTAGATSANETLMFCLANPGDAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFHITKLALEEAYEQAKKLNLNVKGVLITNPSNPLGTTTTQTELNILFEFISKNKNIHLVSDEIYSGTAFSSSEFISVMEILKTNQLENTDVFKRVHIVYSLSKDLGLPGFRVGAIYSNDKDVISAATKMSSFGLVSSQTQYLLSSLLSDKKFTKNYLRENQRRLKNRQRMLVTGLEAIGIGCLKSNAGLFCWVDMRHLLSSKTFEAEMDLWKKIVYEVKLNISPGSSCHCEEPGWFRVCFANMSEETLKLALKRLKKFVDNGTLSRSCQVGESQSLKSSRKKTTTTVSNWVFRLSFHDRDAEER >A03p063260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27477404:27479777:1 gene:A03p063260.1_BraROA transcript:A03p063260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ckl3 [Source:Projected from Arabidopsis thaliana (AT4G28880) UniProtKB/TrEMBL;Acc:A0A178UXY3] MERIIGGKYKLGRKIGGGSFGEIYLATHVDSFEIVAVKIENSKTKHPQLLYEAKLYRVLEGGSGIPRIKWFGVDGTENALVMDLLGPSLEDLFVYCGRKFSPKTVLLLADQMIDHLLLQLTRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYLIDFGLAKRYRDANTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGRCVGFSDLKRNLANLSLAYVVSCSHEPLFVASLPWQGLKAVDKKQKYDKICEKKISTPIEVLCKGHPVEFASYFHYCHTLTFDQRPDYGFLKRLFRDLFSREGYEMDYIFDWTIIKYQQAQKTRNQSQAVPGSSNTRATPMDTSNHRGGPNIPYEADVSERVRSGKAIGPSPQINNNPATGRTLGFDQPVHKNVNMPSTSLSPAGTSKRNVGQSGFPPETSNAGYESGKRTGGWTSSFMSPDK >A03p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3594211:3594538:-1 gene:A03p009000.1_BraROA transcript:A03p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFLLVFFSAVLAGYFAWKTVSSSPEIISKDDDGSPVELNNEKQGDCFKKKMEKGFWVFVDMASGKYLWRNLKLMSEKAI >A06g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26222411:26223070:-1 gene:A06g509150.1_BraROA transcript:A06g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEDWELRNEEGFVFKRVKRSRISDSGEASKPVEPELDPAVEERNRRTRKKRILVKLKRKYQREMEQWDILSNSFSAMQEKAARFQTAEREERLNASETTSFRDSEHGGEEDAPKTVSCMLDELLSMAEAQEAIVNDVSNLCEVAENICRVEQEEQESLFDLAVWSSPRSLMASLCAAD >A01g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27220235:27222168:1 gene:A01g509960.1_BraROA transcript:A01g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARNSDSEEHLHSTFASRYVRAVIPRFKMPDNSMPKDAAYQVISDELMLDGNPRLNLASFVTTWMEPECDKLIMESVNKNYVDMDEYPVTTELQNRCVNMIANLFHAPVGEDEAAIGCGTVGSSEAIMLAGLAFKRKWQQRRRAQGLPTDNPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPAKAVEMVDENTICVAAILGSTLTGEFEDVKTLNDLLAEKNAETGWDVAIHVDAASGGFIAPFLYPDLEWDFRLPWVKSINVSGHKYGLVYAGVGSSQIIAQYYQFIRLGFEGYKNIMENCMDNARRLREGIEMTGKFNIVSKDIGVPLVAFSLKDSSKHTVFEIAESLRKFGWIIPAYTMPADAQHIAVLRVVIREDFSRGLADRLITHILQVLKEIEGLPSRIAHIAAAAKVSGGEEKTAKMSLEDIAKYWKRLVENKRNIVC >A08g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12262906:12263375:-1 gene:A08g507070.1_BraROA transcript:A08g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMSEVGYDRHSMSPCSTNWYLTSKWRATYENSISPVTGMRFWRKIDETRTQPPTRPESKGRKKKQKRIKGKNESPKKKRKVQCGEESPKKLKASREGRTMTCGHCGITGHNAFKCPNSGATVYRKPKKTCCHKEKDQTKNQ >A08g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15188940:15190859:1 gene:A08g508320.1_BraROA transcript:A08g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNESVDWQFSGSDEGKAASEASLSTYTSKLFALCDPQGKAILPPRGETAETSHTAERAVVKAVLFGTGNAYAPSIGLPAAKRAVADYLNRDLPKQLSPDDVFMTVGCKQAIELAVDTLAKPNANILLPKPGYPSNLIRSIFKHLEVRNYEFLREKNYEIDLDSVRAAADENTFAIFIINPHNPNGNTYSEAHLKQLALLARELGIMVVSDEVFRWSVFGSNPFVPMGKFSSIVPVVTLGSISKGWSVPGWRTGWIALHDLDGVFKSKNVLAAIKQFLDLNSKPPTVIQAAIPTILEKTGKDFFQRRQSFLKVATEFAYYKLKSIPSLTCYMKPEACTFFWTELNLSSFVDIEDDEDFCEKLATEENLVLLPGIAFTLKNWVRHSIDMDTPTLEDAFDRLKSFCDRHSISGETPRKAVNGVN >A09p065420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52321995:52324773:-1 gene:A09p065420.1_BraROA transcript:A09p065420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSRDAMREMRDSRDAMREMRDSRNAMRERCDARDARLETRVTETTRVTEAEAEATPERLETRDSRRESDPGTANDLIESYEEYVEIHSRCMRQLGLSVSDSTITCLQVKQMMGKLMMMVIHVGPGNHLGQQTHGFWAKSGLCSNTLNNCFNHVFDEEANRLHPKFQTFGFEGKMLDGSSNIIAGVSTGSIIGVYSPYESNDISTLWSEEQIRIINA >A10p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4263236:4264927:-1 gene:A10p014320.1_BraROA transcript:A10p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGISGNLKKLTIETGRSETLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSAFVGKVGDDEFGKMLAEILKLNNVDNSGMRFDHKARTALAFVTLRADGEREFLFFRHPSADMLLTESELDKNLIQKAKIFHYGSISLIKEPCRSTHLAAMKIAKAAGSLLSYDPNLRLPLWPSEEAARKEIMSIWDLADVIKISEDEITFLTGGDDPYSDEVVLQKLFHPNLKLLVVSEGPNGCRYYTKEFKGRVGGVKVKAVDTTGAGDAFVSGLLNSLASDLTLLTDEKKLREALLFANACGAITVTERGAIPAMPTMDAVQELLKSSRS >A05p010480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4432324:4433997:-1 gene:A05p010480.1_BraROA transcript:A05p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKSRKPHAMMIPYPLQGHVIPFVHLAIKLASHGFTITFVNTDSIHHHISTARQGDAGDIFSEARNSGNLDIRYTTVSDGFPLEFDRSLNHDQFFEGIIHVFPAHVDDLITKISRQGDDPPVTCLINDTFYVWSSMICEKHNLVNVSFWTEPALVLNLYYHMHLLISNGHFKSLDNREDVIDYIPGVNAIEPKDLMSYLQVSDKDVDTTTVVYRIIFKAFTDVKKADFVLCNTVQELEPDSLSALQANQPVYAIGPVISSESVVPTSLWTESDCTEWLKGRPTGSVLYVSFGSYAHVGKKEIVEIAHGLLLSGLSFIWVLRPDIVSSDVPDFLPTGFMDQAEGRGIVVQWCCQKAVISNPVIGGFLTHCGWNSILESVWCGLPLLCYPLLTDQFTNRKLVVDDWQIGINLCDKKMVTRDEVSVNIKRLMNQETSSELRSNVEKVKCHIKDAVTSVGSSDTNFNSFVGEVRDKIETKLCHIMG >A04g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4008240:4008750:-1 gene:A04g501660.1_BraROA transcript:A04g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEAISLQQSANLVCLSKLREYKSDLNQLKKDFKRVSSPDANQSTREDLMELGMADVHASFQVPGDHGGRFAMSMEMLDQSSNIIRESRKLMLETEVGISVVENLSQQRPNPPSRSH >A10g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2225249:2228679:-1 gene:A10g500720.1_BraROA transcript:A10g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSSFTLSSLFATAVLILVLSTAVSLKSTLHPRDMLPHLPRQVSWPILNSLYGAADLLPTFMGTANAGNNTVNWKGACFYENTAHMEFHNKSGSKFGGGTLHIKARSICKNRYFLSADKAHSSTCMDLYVFATPYRVTWTWYFISRPHTVEFPEWDGQAEYDYVGWFFLVLLYHFSDLCAWPGVKKKGVSIFLMHAGMLGTLQALCDVFPLFTNTGWGESSNLAFLEKHMGAKFEARPEPWVTKVNTDDIHSGDLLVLSKIRGRWGGFETLEKWVSGAYAGHSAVFLRDAQGKLWIGESGNENEKGEDVIAILPWEEWWEFEQKKDDSNPQIALLPLHPDVRAKFNVTAAWEYARSMDGKPYGYHNLIFAWIDTVTANYPPPIDAHLVASFMTVWNKLQPEYAANMWNEALNKRLGTEGLGLPDVLVEVEKRGSSFDKLLTVPEQDDWVYSDGKSTSCIAFILEMYKEAGLFGSLASSIQVTEFTLKDAYMLNFFENNASRLPKWCNDNDSVKLPFCQILGKYRMELPGYNTMEPYTHMNEQCPSLPPKFLDPEIVVSVITLLCFGFNLRHGREQRRPCLPERLFATDRFSCRRLNITQDLTHLLSSAIVFVVLQNLWTR >A05p047390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27920326:27922524:-1 gene:A05p047390.1_BraROA transcript:A05p047390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSVKHMPIHGGTAISAASFELKSFLSAAKPRKASTFVYAFVITFVAFTVLLVFTPSPITVSHSIPSYILPNVTASLTSPSSFTGNTTLPENLTPAPESLAPATKNATFESPAANGTNSLASDSRSDHAWENMLCPDNKTNASAPKWELTDKNGTKKETLRLDVVGKSSEQYKGADILVFNTGHWWTHDKTSKGEDYYQEGNNVHPKLDVDEAFGKALTTWGQWVDKNVNPKKSLVFFRGYSPSHFSGGQWNAGGACDDETEPIKNETYLTPYPSKMLILETVLKGMKTPVTYLNITRLTDYRKDAHPSVYRKHKLSAKERKSPLLYQDCSHWCLPGVPDSWNEILYAEMLVKLYQLRGNRRRKPKSL >A09p044330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35838690:35846127:1 gene:A09p044330.1_BraROA transcript:A09p044330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKENVPCDLIVEILSHVPPKSLIRFRTVSKQWNTLINDKAFINNNKTTSQFFLATKSKIYGVRVNPKIEVSELTLDIPALESLLPRHLINCEGLLLCSMGKGAVVWNPYLGQTRWIEPESNHPLIKFYGIGYDYDNRYKTLASYKKYWATKTSWKTHDFSSNAWKDLHTMVMISDSSTHQKGGSNTFHTTCGLSLNGTWYRIASYDKTKYLFFLINFDFSIEAFYKFCDLPCEDNHHQDTLVIGAFKGDRFSLLKQSHLTKKIQIWLTKNKIHNKGGADVEWMNFMEVSAPNLPTLVQTRSYSQPRKTHRFALSDKKGDWRLGGNTEVSKQVVEAPEHTVEISKQIVEGSVQTEKVPTEEPQKQIMEVSKEVEDASKPTLEIPELEGIESQQTGRVQAQTGKAVEVENNMKVTTEKEMEDKEDEIEEGELVTDWANVTPGKASRSPKATLKYGQVRIATPSRYSALNDIDDNGELLSQGKEKNAVDKGRETQEMEENIDFASLVGVETTVNAVERKEETISVKQDEVTTENYKSSQSENSATEKQNKRTL >A06p016930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7599505:7601025:1 gene:A06p016930.1_BraROA transcript:A06p016930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 4 [Source:Projected from Arabidopsis thaliana (AT1G19440) UniProtKB/Swiss-Prot;Acc:Q9LN49] MDGAGDDGNGSVGVQIRQTRRLPDYLQSVNLKYVKLGYHYVISNLLTLCLLPLAVVISVQASHDDLRHLWLHLQYNLVSIITCSAILVFGLTVYVMTRPRPVYLVDFSCYLPPDHLKAPFSRFMAHSRLTGDFDDSALEFQRKILQRSGLGEDTYVPEGMHHVPPRISMAAAREEAEQVMFGALDSLFENTNINPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSYNLGGMGCSAGVIAVDLAKDMLLVHRKTYAVVVSTENITQNWYFGNNKAMLIPNCLFRVGGSAVLLSNKSSDKRRSKYRLSHVVRTHRGADDKAFRCVYQEQDDTGRTGVSLSKDLMAIAGETLKTNITTLGPLVLPISEQILFFTTLVVKKLFNGKVKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRRGNRVWQIAFGSGFKCNSAVWEALRNVKPSKNSPWEDCIDKYPVTLSY >A01g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15059199:15060785:-1 gene:A01g505060.1_BraROA transcript:A01g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAVYMPYLHGQLQPHTWCEAGSSQGVKREKTLESSFVGSKRKHGNGGETHFNELSNGDTGKTAMVSRGELTFQNIYGAEALLNAEDEDGYSDWEPLQQKMPVEFVKWCCFNCTMANPASAITWLRHGYFASLLFKRHWSLTEVEEKCGGNSSAASSTAVGFEEIMLLHSEVYTLEHVNFTSQLLYSYFTADTY >A09p006450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3376483:3378532:1 gene:A09p006450.1_BraROA transcript:A09p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKRTCSDISHQISIDNTLTKEPTPTATTTTLLSLSAISEVEDAKCECCGMSEECTPEYINGVRSKFSGKLICGLCAEAVEQEMEKMNNSELVVEKRREEAVHAHMSACARFNRLGRSYPALYQAEAVKEILKKRSNKMVRATKSEKGGLTRSSSCMPALAKELKDQAVEQEMEKMNNSELVVEKRREEAVHAHMSACARFNRLGRSYPALYQAEAVKEILKKRSNKMVRATKSEKGGLTRSSSCMPALAKELKDRTFVNN >A07p042560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23041269:23042740:1 gene:A07p042560.1_BraROA transcript:A07p042560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLFRRVSKNLSSFPTRSLSSRRSNFSTATPPYLLLGRDVVGDSPCGGKLVNFNLYDPKTQKHVKIENQTLTKELNESKWIASSRGWLASTNKNDSTVHLSNLSKKKTITLPPLTRDKFEHLVNVSVSSSDCCVVAVKFYGSRVSLCRLGDSEWTRVDVPCPSFHSSTVIYSERDERFYLNNCNPDYTGPTDFTPTSNPGLLTPVSGYMRFPFSNFLEEMPEQEEETCLSRFKIQQQLVQSSSGQSFIVCWFVERFTDRGEAAPWGDTSYNNNNKEGLCKKTHKIMVFRQDEEQRLGPHTDDIGDLCIFLGDNEAFCLSAKDYPGLNPNSVYFGGHGSGFGICDLATRTISYLSDSPPPSGRMFWIPPSSA >A04p035020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20254656:20256487:1 gene:A04p035020.1_BraROA transcript:A04p035020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLSFSCSAMGALIVGCLLLQVSNSNAQLRPDFYFGTCRQVFDIIGNVIVDELGSDPRIAASLLRLHFHDCFVNGCDASILLDNSTSFRTEKDAAPNANSARGFDVIDRMKDEIELACPRTVSCADILTIASQISVLLSGGPWWPVPLGRRDSLRAFFDLANTALPSPFFTLDQLKKSFADVGLNRPSDLVALSGGHTFGRAQCQFVTPRLYNFNGTNRPDPSLNPTYRDQLHRLCPQNGNGTVLVNFDPVTPDGFDQQYYTNLRQGQGLIQSDQELFSTPRADTIPLVEQYSNNRFVFFQAFAEAMIRMGNLKPLTGTQGEIRQNCRVVNSRIRSVENEDHGVVSSI >A01g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21705239:21705622:-1 gene:A01g507630.1_BraROA transcript:A01g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMIYLIVTILLVAADTAFAESNMLQDFCVADLKGEKVNGYRCKDPAQVTPEDFYCE >A07p051600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27371355:27373738:-1 gene:A07p051600.1_BraROA transcript:A07p051600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISVPLLLLHHEYTATKSAEKKISMNMETTKEQHLGVSGQDYIVDLLPNDDDDHSSPPSSWRLSLDTFRLPSSSPLSSRRTRLSRYLRTPKKERKVSEYYKKQEKLLEGFNEMETINETGFVSGAPSEEELKKLAKSERLAVHISNAANLVLFVAKAYASVESRSMAVIASTLDSLLDLLSGFILWFTANAMSKPNHFHYPIGKRRMQPVGIIVFASVMATLGLQVLLESTRLLVSKKGPNMSSAEEKWMIGIMASATVVKFLLMLYCRSFQNEIVRAYAQDHLFDVVTNSVGLATAVLAVKFYWWIDPSGAILIALYTISTWARTVLENVHSLIGRSAPPDFLAKLTFLIWNHHEKIKHIDTVRAYTFGSHYFVEVDIVLPEDMRLHEAHNIGETLQEKLEQLSEVERAFVHIDFEFTHRPEHKYKV >A08p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16889095:16890985:-1 gene:A08p026550.1_BraROA transcript:A08p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFEEHKPALAMIGIQMCYAGVTLSARATMVNGLSPRVFILYRQAFATIFIFPFLYFSRGKPKISSLDLKSFSLIFLVSLVGITINQNLYLEGLYLASSAMGSAVGNIIPAITFLISFLAGYEKVNLWDKRGLAKIAGTILCVAGATSMTLLRGPKILNSGSTLPVANAVLGDLKGQNTWLFGCLFLFSSTLCWSFWLTLQVPISAYYPDHLSLSAWMCLFGTLQCAVVTFFLEKDPNSWILHSYSEFATCLYAGVGASALSFTVQAWAISKRGPVFSALFNPLCTVIVTILAALFFQEEIYIGSLIGGLGVIMGLYIVLWGKAKDVMMNQEQIDSENDSEVKLHNEDFSNTTNCNRDLEDPLLSK >A05p049550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28837254:28841987:1 gene:A05p049550.1_BraROA transcript:A05p049550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable DNA helicase MCM8 [Source:Projected from Arabidopsis thaliana (AT3G09660) UniProtKB/Swiss-Prot;Acc:Q9SF37] MGVYGDGSEGASMTSRRRGSIGVDSVDIAKILAVYFRDNENSCIDEEKLMFTAELIRIFSSPSGRDLVSQVNEEGGGSFSLPLDLQQFKKLCDIENFFINLEDNPKGVLPCMNAAVHKVLLSQWETNGFDDVMKINIRLHNYPESSISLKNLRAAYIGKLVTVHGTVVKVSTVKPLVTQMAFDCAKCKTSITRDFTDGKFSPPQNCDTHGCKSKMFIPLRSSAQTIDFQKIRVQELQKPEDHEEGRVPRTVECELMEDLVDTCIPGDVVTVTGIIGVINNYMDIGGGKSKSKNQGFYYLFIEAVSVKNSKKQSAFENSEDSGSGVQSADVGDLYSFSQRDLEFIVKFNEEYGSDTFRRILHSVCPSIYGHEIVKAGITLSLFGGVRKHSMDRNKVPVRGDIHVIIVGDPGLGKSQLLQAASAISPRGIYVCGNATTKAGLTVAVVKDSMTNDYAFEAGAMVLADGGVCCIDEFDKMTNEHQALLEAMEQQCVSVAKAGLVASLSARTSVIAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKQVSEHIMSLHSGGGEALPALKKFKTASGSANIHAKEGSLLSRLRLDPKKDDDFTPIPGQLLRKYVAYARTFVNPKMSKAAGEIIQKFYLKLRDHNTSADSTPITARQLESLVRLAQARARVDLREDITVQDAMDVVEIMKESLYDKFVDEHGVVDFGRSGGMSQQKEARRFLSALDKQSELQQKDCYSVSELYSLADRIGLRVPDIDTFLENLNTAGYLLKKGPKTYQVLSSSYSRSQSSRSR >A03g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30318742:30321131:1 gene:A03g509290.1_BraROA transcript:A03g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVRSGREKRSFGLDPFSASPSSLSLSLSPSKAITGGVTPRRKPDGFTVQSKALPAHRISSSFRPPSSILTDSRRILPSSSESNDTRFT >A02p019450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8976025:8977673:1 gene:A02p019450.1_BraROA transcript:A02p019450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPNLIMEMESSSSSKVQEDTDQKIDPDLSVKHDDDAHEEKQNATRASALDTILKSLWKISVFRKELASIFTEDELVSELRDFILNNLPLTHPMESNGVYDFLVTILDLIPRWNTGYDDEKSLKTMFELYETPKKMCNRCKLDTEYPPELAYGLILIANSLREFKANSFLSFYYHYAFEDLTFESILKVIRTTFMLPCDKEGCGKRNYVERVINTLPSVFTIALEWENNETEEEISATTSVLASEFDISEIYKYEGDSIFTKYRLVSMVCLCGDQYDCMAYENNRWIRYFALNKEVIGDWNSVLSSFLKLKIRPEILFFQNVTGQTMGKNQIVFGSLV >A06g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8146757:8153108:-1 gene:A06g502430.1_BraROA transcript:A06g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLDLVHVQGSLYLSVSQTLILI >A03p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22669172:22669735:1 gene:A03p052850.1_BraROA transcript:A03p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNRKESTCGGGLKRMMNTERRRRCDSTGGDFEGGLRGALGTGWRTLARCSAFYFGYKFFGEYLRPYDHQYLYECIEEADSDVQTYLERINIAERSLDAVLNLEDKCFGPKH >A03p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17158472:17161665:1 gene:A03p041110.1_BraROA transcript:A03p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIEDDKNRSVQPPPFQGASNYPQQPPAGYPQPAQPYVSGYAVNGLTEEQRRLPCCGIGIGWLLFILGFFFGAIPWYIGFFLLLCSRNPREKPGYIACTIGAVIATGFIVFGAIRGSGRALSLIVSHHRHLSALSLLSVSFKLISLELDLSLEMGAFRFHQYQVVGRALPTEKDVQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKSPTKIKNYGIWLRYQSRTGYHNMYKEFRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPASLCKRESTKQFHNSKIKFPLVFRKVRPPTRKLKTTYKASKPNLFM >A10p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19882993:19884376:1 gene:A10p034180.1_BraROA transcript:A10p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFYDKRLSQEVSGDALGEEFKGYIFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSQDLSVLNLVIVKKGEKDLPGLTDTEKPRMRGPKRASKIRKLFNLTKEDDVRKYVNTYRRKFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKANADAADYQKLLASRLKEQRDRRSESLAKKRSRLSSAAAKPVAA >A05p043570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26259916:26261721:-1 gene:A05p043570.1_BraROA transcript:A05p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLGVPKIKISAAVDLSLVRSGRFQIPCNQRVLLIGQRPVKYLSLRATLGSVQASTVTAAESAATVEVEDTETTKPSPLNAQLVPKPSEVEALVTEICDSSSIAEFELKLGGFRLYVARNLADNNSSPPQPQPIPAAVAASATTESVDSNGSASSTSLAITKPTSSAADQGLVILQSPKVGFFRRSKTIKGKRTPSSCKEKDQVKEGQVLCYIEQLGGQFPIESDVTGEVVKILREDGGKSFFLLFFNLS >A07p034740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18844291:18844902:1 gene:A07p034740.1_BraROA transcript:A07p034740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHLYIIASILLHATGESGYSCKYLKGFEGNLYLQYGCKGLVLPTRTKTHLELKLKLWKPAK >A03p068660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30071915:30072868:1 gene:A03p068660.1_BraROA transcript:A03p068660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSATFSTFLRVDTAPFRSSPSLSSLRISSHPANLRMVRAVTSATAASSEPSSTTKTREPRGIMKPRPVTPEMQDVVGESVIPRTQALKRIWAYIKEHDLQDPQNKKVIICDEKLKKIFDGKDRVGFLEIAKLIGPHFL >A06p047950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25417013:25420477:-1 gene:A06p047950.1_BraROA transcript:A06p047950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFDAKLWKAVFLMSFINIMLSVVNVMFKKMLNQGINRMVATTYRLAAGTLFLTPFAIFLERHNRPKLTGRILCSLFFSALLGTSLVQYFFLIGLQNTSSTFALAFSNMVPSVTFALALVFRQETLNIKSNTGRAKVLGTMICICGALVLTLYKGTALTQQNAQMQTQTSNSSPTAVTQKWAMGSFMLIISILVWSSWFIIQAKICRIYPCQYTSTTILSFFGVIQSALLSLISERSISMWVVTEKFQVLALLYSGIVGSGLCYVGISWCLQQRGPVFTSSFIPLIQVFAAIFSFFFLHEQIYCGSVIGSMVIIVGLYILLWGKSKENPAPVTKQAPLNLDLEGCGTAPNEPNSTAHPISGK >A01p001440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:575037:576800:-1 gene:A01p001440.1_BraROA transcript:A01p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSRKVTCNAHGQDSSYFLGWEEYEKNPYDNIKNPDGIIQMGLAENQLSFDLIEAWLVKNPEAANFEREGQSIFRELALFQDYHGLPSFKKAMADFMSENRGNRVSFDPRKLVLTAGATSANETLMFCLADPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSANGFRITKSALEEAYEQAQKLNLKVKGVLITNPSNPLGTTTTRTELNHLLDFVSRKNIHLISDEIYSGTVFTSPGFISVMEVLKEKKLENTDVSKRVHIVYSLSKDLGLPGFRVGVIYSNDDIVVDAATKMSSFGLISSQTQYLLSALLSDKNFTKNYLKENQIRLKKRHMKLVSGLEAAGIECLKSNAGLFCWVDMRHLLSSNTFEAEIELWKKIVYEVKLNISPGSSCHCNEPGWFRVCFANMSEETLKVAMNRLKMFVDGPSSSTRSQSEHQRLKSLRKMKVSNWVFRLSFQDREPEER >A07p001710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4253481:4258604:1 gene:A07p001710.1_BraROA transcript:A07p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRLMITLITVLVYLLPSFAKSSESTKVITLPKSLNLTLLTDPSTISTASHDYGNVTTVTPGGVLCPSSSAEIFRLLRYAANGETIFQVAARGQGHSLNGQASVSGGVVINMTCLADITVSEDKEYADVAGGTLWVDVLRETAEQGVSPVSWTDYLHISVGGTLSNAGIGGQMFRNGPQISNVLELDVITGKGETLACSPQLNPELFYGVLGGLGQFGIISRARIVLNHAPKRAKWFKMLYSDFTAFTKDQESLISMNNDTGVDYVEGQLLMSNGIVDTSFFPPSDQSKVADLVKNHSIIYVLEVAKYYDDPTVPTTGQVIDKLTKTLSYLSGFISMHDVSYFDFLNRVQVEEDKLRSLALWEVPHPWLNLYVPKTQILDFHNGVVKDILLKQNSTSGVALFYPTNRNKWDNRMSAMIPDEDIFYVVGLLQSASSQNVQEVESVNEAIIRFCKDSGIKIKQYLMHFTRKEDWVEHFGSKWGAFSKRKDLFDPKKLLSPGQDIF >A04p007970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8587312:8589493:-1 gene:A04p007970.1_BraROA transcript:A04p007970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSHCHYRGYIVLVFLFYSFVFGLASNIDISDDAKGSKTNSTPRRFLSNSIYHGKDMGKEYIRCAESDLEIPQMDISCEKTSKDVVRNINFADYGNPSGKCEHYRHGNCGASNTLRIVKKNCLGKHKCVLLVSDEMFGTSHCNKDIQLFVQVTCTKP >A03p064400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27992039:27992570:1 gene:A03p064400.1_BraROA transcript:A03p064400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 182 [Source:Projected from Arabidopsis thaliana (AT4G30070) UniProtKB/Swiss-Prot;Acc:P82773] MERIIPLVLLVSLLIISASVVNQTRADMCVDRLYTCDYCEQRCKSKHGPSGQGECETHTGMPMCMCHYQCEPPSLTPLNTCNGGAGLCSVRCPQKCCDINCALKFTGGSGMCFTLGNTSVCQCKYPC >A03p059940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25929275:25932484:-1 gene:A03p059940.1_BraROA transcript:A03p059940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGLFSSSLKPSKTLSVKALSAPPTRGGDSFSFPHTSKPTHLPLTLSASRSDISHTDAATAAKKELIKDPDALWKRYLDWLYQQKDLGLYLDVSRVGFTDEFVVDMEHRFKAAFKAMDELEKGSIANPDEGRMVGHYWLRNSSLVPKPTLKTLIENTLDSICSFADDIIAGKIKPPSSPRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLNFAKQGVAITQENSLLDNTARIEGWLARFPMYDWVGGRTSVMSAVGLLPAALQGIDIREMLTGAAIMDEATRTTSLKNNPAALLAMCWYWASDGVGSKDMVILPYKDSLLLFSRYLQQLVMESLGKEFDLDGNTVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANGRESISVTIEEVTPRSVGAIIALYERAVGLYASLVNINAYHQPGVEAGKKAAAEVLALQKRVLSVLNEASCKDPVEPLTLDEIADRCHAPEEIEMIYKIIAHMSANDRVLIAEGSCGSPRSVKVYLGECNVDDMYA >A03p030950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13011620:13013278:1 gene:A03p030950.1_BraROA transcript:A03p030950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKLVPDRWEFSNDCFRRGEKILLRDIQRRKISLPAAAPVLAHVVSPSNSGEEQVISSNSSPAGTGGGGGSVGVALQRTTSCTTAPELMEENERLRKENVQLSQELTKLKGLYSNIYKLMSNFTSGGADCVKPLDLMPERQEMSEEAIETGAGLKLLTPRLFGVSIGVKRARRDQELGAAAAEEDDNQEEEEQGSDDKSEPMEENNSVDHNGPWLELGKLVWLHLMEEARTGALRIWRRARLIEDLGIAK >A03p038320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15995237:15997368:1 gene:A03p038320.1_BraROA transcript:A03p038320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSQLLQNPADSANNQSEPDAPPKQVAQAMDRLNQAARAIADIRLGADRIFEAVFLTSHPRSSSTTDTSLQLLLREDASMRQHLHDLRSIGKKLEESGVLTESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHIDDGLKEPRLKKHRASHSLLEHGGEEPFDYKTLPDIQLRLEKLVPNVKMSTYGRLSWLKRANSLPVSGSDDDPAEASKLVFQNSSKLRSGLEAEVADKVAVIELYVPSVFRAVVSLNPAGSVDPDSVAFFSPDEGGSYLHSRGFSAHHVYKHITEHASTALQYFLGFGTGTALYSLLLWICSFESLFSKSCSKCGRLLAMDKKSALILPPLHRAYQELPLAANIDVCEAYHGGCSPDDS >A05p049140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28631698:28632735:1 gene:A05p049140.1_BraROA transcript:A05p049140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFSVLVLASDLGVDARPFLTRTDEVDEQENWHDCPRYLGDEDFSDLDLLHFFTLQGSDKSGNRIFRVVGKFFPARVVSAERLKKYIFQKISNECPEGPFCLVYMHSTVQKDENSPGITILRWIYEDLPSEIKERLQVVYFVHPGLRSRLVIATLGRLLLTGGLYWKIKYVSRLQYLWEEIKKGEVEVPDFVNNHDNVLEHRPLTDYGIEPDPFHLTEVQSSSFSLNQYENRWVS >A09p081130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59144471:59148571:1 gene:A09p081130.1_BraROA transcript:A09p081130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MCP1b [Source:Projected from Arabidopsis thaliana (AT1G02170) UniProtKB/TrEMBL;Acc:A0A178W8H4] MYPPPMLVNCSGCRTPLQLPSGARSIRCALCQAITHIADPRSAPPPPQSHSSAVAPPPSHVPAPLGQLPHPHGRKRAVICGISYRFSRHELKGCINDAKCMRHLLINKFKFAPDSILMLTEEETDPYRIPNKQNMRMALYWLVQGCTAGDSLVFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVKLHSIIDACHSGTVLDLPFLCRMDRSGQYVWEDHRPRSGLWKGTAGGEAISISGCDDDQTSADTSALSKITSTGAMTFCFIQAIERSPQGTTYGNILNSMRTTIRNTGSGGGVSVGGGGGGVVSSVLTMLLTGGSGMGGLRQEPQLTACEPFDVYAKPFILYSTQNLDRDKCYYYPCSFYRYLHKLSSIMHKNMGDDMMQMNFNNSNISNNSPPHQACASCKHQRKKCNNECILSPYFPARKTKEFQAVHKVFGVSNVQKMVRTVREEDRPKLTDSLTWEALWRQKDPVLGSYGEYMRQSEELKLYKSLIHNQSLVTWDNNNNQQRVFNNSNNNKNGSAINSSGSGGFSVVNNNGVGVNREIINGGYTSRNLQGGWGNLKQDQRQQCYAVINGFKHHLPH >A02g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15778654:15779891:1 gene:A02g504990.1_BraROA transcript:A02g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQAFGAQKGVFRVVIGRARHGSDQSGATPPSRSDLPIRATLPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATYRSDRLRSLRVLFLLELVISQGPFEPPRGGSSLFLEKTTKNLWKVISLNQLITNEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHL >A02p018630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8596270:8599068:-1 gene:A02p018630.1_BraROA transcript:A02p018630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYFFSRFTTFPFLFSLALLCGFSLAGDPSVSYVFEVSYITASPLGVPQQVIAINGKFPGPVINVTTNYNVDVNVFNRLDEPFLFTWNGIEMRGESWQDGVLGTNCPIPPNWNFTYSFQVKDQIGSFFYFPSLNLQRASGGFGPIIINNRDRIPIPFNEPDGEISFMIGDWYTQNHTALRGVLDSGEELGMPDGVLINGKGPYKYTSSVPDGIQYETINVDPGKTYRIRVHNVGTSTSLNFRIQNHKMLLVETEGHYNLQTNFTDFDIHVGQSYSFLVTMDQNASSDYYIVASARFVNETAWQRVTGVGVLHYSNSKGQASGPLPVPATDVSQLWSVMNQQRAIKQNTTASGARPNPQGSYHYGEINITDTYILRSTPPTKINGSVHATLNGISFLNPSTPMRLADKHKVNGVYKLDFPERPADNIPPRLESSIINATYKGFIQIIFQNNDTKVQSFHIDGYSFYVVAMDFGNWTEDKKGSYNNWDAASRSTVEVYPGAWTAVLLSLDNAGVWNIRVQNLDRWYLGQETYMRIINPEENGSTEMDQPGNALYCGALKSMQKDQIHSSATSILNGKWNLVFSLVMVLLALVPVFFC >A04p024720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15009338:15017248:-1 gene:A04p024720.1_BraROA transcript:A04p024720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKFFFGHYLIWVMLLLWQIHGYKSCILKERKALLELKDYLISKSSESLVGSVLKARPEEPDLEYGEAIPVLSNYETWTNDTKSDCCRWNGIKCNRTSGRVIGLSVGSVYFREPNSLLNLSFLNPFDEVQSLNLSGERYVQSYSGFFDDVEGYKSLRRLRNLEILDVSWNDLSNSIFPFLNAATSLTTLFLRGNFRDGPFPIQGFSDLKKLKALDLSLNNFSGSMELLKLKNLKNFELLNLSGNRLHGFISELMNLPKLELLNLAGNSFSGPITGVCLMNYRDKYNYTTVCEMRNLRELDLSENYFVGQLPLCLGSLKKLRVLDLSSNQFSGNIPSSFSSLESLEYLSLLNNNFSSLFSLNPLTNLTNLKVFKVSSTSDKVQEETEGNWQPKFQLRVAVLRSCSLEKIPSFLVYQKNLRLVDLSSNRLSGNPPTWLLLNNTQLEVLLLQKNSFTIFQMPTMVHSLQVFDFSSNNIGGILPDSIGLALPNLVHLNASSNWFQGNFPSSMGEMKNISFLDLSYNNLSGKLPRRFLRGCFQLKYLKLSHNNFSGPFLPRRTSFTLLEVLRIDNNLFTGKIGVGLLGFTYLSMLDMSHNCFTGAIPSWISEFSNLDFLLLANNFLEGTMPPSLLLVEFLDLSGNLLSGALPSSQVQGRIFFLNNNNLTGSIPDTLLEGVQILDLRNNKLSGSIPQFVNTQDIKFLLLRGNNLTGSIPRQLCDLSNIRLLDLSDNKLNGFIPSCLYKSSVLRGGKESDIIYGQYYRTLTFHSEYYRSTFLVEEFQVYSSAFQEIEIKYATKQRYDSYSPGESWYSDSYTPGESLYSDSYSPEESWLSDPGTNTSQFRRGILDYMYGMDLSNNELSGVIPTELGSLWKLRSLNLSHNFLSSSIPSSFSNLKDIESLDLSYNMLHGSIPYQLTSLTFLEVFDVSHNNLSGIIPQGRQFNTFNESRYLGNPLLCGPPTHISCEAKKSSEEANKGGGEEENEADMNRVVFYYGTVSVYVTTLVCIVVLMCFDCPWRRAWLRIVDAFIASAKNMLHCINPRFFIISSSAIYVSAQTCDDTAGNFKPGSPYDKNRRLINSTLASNITNHNGWVNGSIGLGPNIVYDMGMCSPGAGPDSFSSCINDAFDSLLQACSNQSDAFSWLGQEILCLVRYSSKSFVVLSLKPFSRFYNNFYIKNEDQKGFDSVWDGLMTRMITSASSSVRNSSSNSSSPLPLSSSKYYAKDVSPVPIYGNITVLMQCTPDISSNDCKICLQTSVDYYKKELHGRKGSIIMRPSCFFRWELFPFSGVFDNINLQFRPSLAPSLPPSPQRSEADLASKTKTKGKSSLEIFSGNSFIVVFVAIIVIVVGLAIKRRKRKQDIELPTESVQFDLKIIEAATNNFSEHNKLGEGGFGEVYKRLSKTSGQGEVEFKNEVVVVAKLQHRNLVRLLGFSLHGEEKLLVYEFVPNQSLDYFLFDPSKRVHGYMPPEYVTHGQFSTKSDVYSFGVLILEIISGRKNSSFYQMDGLVNNLVTYVWRLWENKSLIELVDNGIKEDCKRDEVIRYIHIGMLCVQENPVDRPTLSTIHQMLTNSSVNLLVPRPPGFFFGNEPRSNPLAHGLEPGQSSSKSIPCSVDEATITDVTPR >A04p025970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15708263:15709879:1 gene:A04p025970.1_BraROA transcript:A04p025970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKRKIGGESLRNRDAKDMISELPEALLLQILSSVPTKDVIATSVLSKRWKSVWKMVQKLKFESEIDHVSSEDVYRLLIMHKAPFLESLHLKIEDTSGRLDIGILIGIAFSRHVRELVLDLFHDDQEKVRFPSGLCSYNNTLEVLKFKYVLLDFPSRVCFNALRELHLFHVVFKNEASVCNLLSGCPRLQDLVVERNSNLDVETYTIAVPSLQRLTIEENYYATELSGGGYVINAPSLKYLNIQCLDHIDFCLIENAPELVEAKILDVSHIPNENILASLTSAKCLSLHLPSEIKYPTGSIFFQLVSLEVYIDKINWWNLLSFMLDSSPKLQILKLFGLCREECPVGWEWNQPKCVPECLLLHLETLVWTRYVWGRADTKQVATYILKNARQLKKATLSTPYIEPKMLKTRREMLNELDSVVRASKSCHLVFEPE >A07p049940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26643504:26643871:1 gene:A07p049940.1_BraROA transcript:A07p049940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILEVTEKVRIGWSLTTKEGILKLQALNKCYYVTFGSRINCNKRKGSKYHDATPIIPDILALAGLNRFSFHHVPRNLVQYVDHLAKKA >A03p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15737221:15738366:1 gene:A03p037630.1_BraROA transcript:A03p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSSSRDMGGDDESTSRTHRHDGTDDEGVESLGRQMSESSICATEEEEEDEDSKLHLGPQYTIKEHLEKDKDDESLRKWKEQLLGSVDVTNIGETLDPEVKIISLAILSPGRPDIVLMVPENGNPKGMWFTLKEGSKYCLKFTFHVNNNIVSGLRYTNTVWKTGVKVDRTKEMLGTFSPQLEPYNHVMPEETTPSGLFARGSYSARTKFLDDDNKCYLEINYSFDIRKEWPAV >A09p005110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2811406:2811994:-1 gene:A09p005110.1_BraROA transcript:A09p005110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFREARRDSVCSSSPSLSKTTTKEESFRVFKKYISLSMANARGDDLAESLKNLFTSVSSMVKSELQGTNNQLDLLEKMNLRVAAEYDDLGDVAAGLRVFAEQMKSKSGGLDEFVGQMDAIEKQVSEFEAVISVLDRYVSVLESKVRAECRNHQHHRRSNNETVTE >A05p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4396303:4399088:1 gene:A05p010420.1_BraROA transcript:A05p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGSSHKVDAMLCGGEIKNVTVAAADPLNWGAAAEQMKGSHLDEVKRMVMEFRKPVVNLGGETLTIGQVAAISTVGNGVKVELSETARAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKNGVALQKELIRFLNAGIFGSTKETCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITSFLNTNITPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGEALNAEEAFKKAGIPSGFFDLQPKEGLALVNGTAVGSGMASMVLFETNVLSVLAEVLSAVFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRYATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVAICQAVDLRHLEENLKQTVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLKVVDREQVYTYADDPCSATYPLIQKLRQVIVDHALVNGESEKNAMTSIFHKIGAFEEELKAVLPDEVEAARVAYDNGTSAIPNRIKECRSYPLYRFVREELGTELLTGEKVTSPGEEFDKVFTAICEGKIIDPLMECLSEWNGAPIPIC >A07g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6258182:6260575:-1 gene:A07g503130.1_BraROA transcript:A07g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQKGELSKKGKLFLEEFTDSLDKACKDQIRSRSTGVIGLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGVFLFNPFVWNKTKAVELSRHELGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFNYENNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWAGRLQIYLWISGAFDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQIKSEHAKVTNHVFKSSFIDYTDMMHLFLPKESCADYMEALKNAKRKNKREEDKRFKPPDLSQERHQDVTYFILIKEAPPDAAYKPKPRKDNFGIRLLLYDDFAC >A08p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12119112:12121053:1 gene:A08p017370.1_BraROA transcript:A08p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAVASSSSDAAISGKFKFPIVGINNIIFVKNFVALREKHEKEVENLTLTSQPLYTLKLFFEATFLYIKRSILYLLAHGGWFMLITTLLVAFGVLLVTVDGPHGKHVEELLEYVRYSLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTLKATQCGRVDLKSAPYDTIQLKRVPSWLDKSCSEFGPPLMVSAAGSRVPLTSILPQVQLEAILWGIGTALGELPPYFISRAASISGSTVDGMEELDASPSEDSGVMARFLNRIKRWLLTHTQHLNFFTVLVLASVPNPLFDLAGIMCGQFGIPFWEFFLATLVGKAIIKTHIQTIFIICVCNNQLLDWMENELIWILSHVPGLAAVLPGLTAKLHAMKEKYIDAPPPVPSHIKVKRWDFSFASIWNGIVWLMLLNFFVKIVTATAQRHLKKKQEKEIAALTHLD >A01g511360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32305651:32306303:-1 gene:A01g511360.1_BraROA transcript:A01g511360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKTKNRSCAVTFV >A07g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1046477:1046771:1 gene:A07g500490.1_BraROA transcript:A07g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLLPTFIDSATHFTLEVL >A08g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16094713:16100351:-1 gene:A08g508700.1_BraROA transcript:A08g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTGKSPVSTNKSPMAVYINDVSPGPADCKRLDPSEVQIVSPNSKKLEASIMEVHCYKALHPYPFKHDDICSCMLHRGFTIAGTQTRFKLSQHRQLVLFSSKRLLIAASMQPARMEELETCFGDDNRFIAKHENQIKGCLLSHLFTHSTSISLQLCFLLRYGRWAFSADDMVLPSPSTSVEESLAYFISNGFDVWYMTTFLDSQVQARYGKYVKSSYLKRYLTMQLTELYEADKKALVLIMKSAQILDKIYCHSNTDSKDWPKEDADASKLDRLKWECLLIDKRTMDVKELVTLLAKNSNKPNLFFRSKTVPLLPPMCQRVSQETCGVVETMWTQDAELA >A09g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17541393:17542185:-1 gene:A09g505560.1_BraROA transcript:A09g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKNYYSSSGSAANGTVSQGPLVETPSHNPSPRGQNQRNGSASQTHGGCSDNFSPRHSHRNQNGNHHHHHHQSHGGRRSQEQGNQNWNFCRSFNGRDGNAHSQRGAPAFVRHQPPTMQSIPPQFMAAQPIQPFGGHVPFPPKLASPYYPRMPFISPLSPGPVFYQVQDLPLNVKLQKQIQYYFSEENLIRDTYLRGHMDDHGFVPLHVIAGIK >A08g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18755607:18758746:1 gene:A08g509460.1_BraROA transcript:A08g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSLPSVQPLCNLKLQQTLCIPPFTIKASCGGDLIKWDKARIGFYVGIDISEGSFITQRALPLLLLLLKPSATQLLRLSDMHGLLNSLLLASSASGSLLKSRKTQLLHVWFLSSRSQSTRHLHALDKYIKPHVLPEKHNVTLPESWNHHTPWRKTKVMESQTQTHVALVWRGSQMCLRKKDGSLFHIRRSRITGMSVDIQSLRSLDRFFVFSGEQIQILACLSESKEDAEIITPFKVVEVMDKTVQRKLSDNGTSTPSGDGELSPDGQFAMMAKSGEPLWSKKTALVGDTKLDEKRKSGKKRPCVSLQVYIVDCPKEATIWNLLKWLIPWDNTIYQQPRSLPPPIRSTPSISSSSHKPLLSFGSGSQLFSFRHFRSYSMSALPVPNTTPVTGPVKTQSSKPSFDLKTGPVTQAYAFLVEDGGGNLKSFNLLISILVHDLELVFVKNSHEVVHEYMKKTEFVELMRRLGALGDGNQDQSTLSDDEWDAAYLYLSFFLRKRGGESDGGRRKNGKMNLSKDDVLYIATKV >A07g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8975288:8977773:-1 gene:A07g504430.1_BraROA transcript:A07g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYVRLTIDDASCIHIDNKDTDDRELRLEENIAKVLVLQWSEGVSGVLRADSDALSSPLSRSIYDLPLVVILVEDKGRDASSYLILEEEHARSGAKREATRSRDSVITHGSPKLRKLIISNLNSNLWAKPDLNRIVDRLSGSALKLEEDYELVRVEQEEEGWAPFSYKPKQPLSKHLFEESLLSSLDPRIVSSYKKALSY >A09g519260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59439040:59439802:1 gene:A09g519260.1_BraROA transcript:A09g519260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSESIIDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNPEEQKILKKYRKENFAEKRLIYNERRAIGKCPLTPEEVGLILRAMRFDNSTRIYLAAGELFGGERFMKPFRTLFPRLDNHSSVDPSSEELSPNSQGLIGSAVDYMVCLMSDIFMPTYDGPSNFANNLLGHRLYHGFRTTIRPDRKGLAPVFVAREKGKTAGLE >A09p059620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49764085:49765323:-1 gene:A09p059620.1_BraROA transcript:A09p059620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRKIGIAMDFSESSKNALRWAIDNLADKGDTFYIIHALPTSEAGPRNSLWLKSGSPLIPLVEFREPETMEKYGVKVDIPVLDLLDTGSRQKEIHVVTKIYWGDAREKLVDAVKSLKLDSIVMGSRGLSALQRIIMGSVSSFVIQHAPCPVTVVKD >A03p042330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17736731:17738959:1 gene:A03p042330.1_BraROA transcript:A03p042330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPVALVWLLAFTNVLLIRGESNDATICKDHFMLDPRPHSVSILEFGAVGDGKYLNTLAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGATILASPDQSHWDTVSSLPSYGRGKRYRSLINGDNLLDVVITGDNGTFDGQGAVWWEWFESGTLNYSRPHIIELVSSKNILISNLTFLNAPSVNIHPVYCSHVHIRKVLIETTVDSPYVLGVVPDSSDNVCIQDSTITVGHDTISLKSGWDQYGINYNRPTTNVHLRNLSLKSPTGAGISFGSEMSGGISDVTVELLTIQSSHVGVAFRTTRGRGGYIRNITISGVILSRVDTAIVADGHTGSHADDKYDRDALPVVTRVLMRNFTGEGIGLAGKFTGIGESPFTSICLSDIHLQTSSESWVCSNVSGFSDDVSPEPCQELMSSPSSCFVGGGIYGGDTAARGYYSW >A06p057710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29993445:29995032:-1 gene:A06p057710.1_BraROA transcript:A06p057710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSHFVNGSRKAFEAHLLRYQLMTLYLCVFESVTQTQVSVAARQDLRSRNPMARKIASDRFSYRDDPYRRDSHRTFRHNLSECSAKSVCWNCREPGHMSNSCTNEGICHSCGIAGHQAKDCTARHLPPAEERRPRGIRAQYREEEVVCRNCRQVGHMSRECTARLMICRNCGGRGHIAYECPSGRLVNHHHHYPLRPDPSG >A10g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13529950:13530635:-1 gene:A10g505400.1_BraROA transcript:A10g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNVFRARETETWRIVVLKKVGFDKFEPESVNMAKDFPEPRVFMNSRNYANQKVQSLEAVYPTFPYSMPMTKKSEFFEHEKLEKMKLWQDYRSL >A03p063820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27755854:27756594:1 gene:A03p063820.1_BraROA transcript:A03p063820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDVGDGQGHHLTAAAIVGHDGSVWAQSANFPQFKAQEFTGIMKDFDEPGHLAPTGLFLAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQSCVFGIYEEPVTPGQCNMVVERLGDYLLEQDL >A10p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11844708:11848099:-1 gene:A10p011400.1_BraROA transcript:A10p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSIKGIWLLLVESLDFERVIQDDEQHGSGEPSRVEEADTRDPASQSIDIMTSPLIDSSTSTSIDTISYFLELEDEAQPENLDHNLENKLDDHQHTSIFLVLGPGIPTGVRIHVSFNRYSRDLAYTFICINEVSRGSVCWFRDDLEESGDFGVFWSLFSAELHRRVRCLAMDGDILIVRLSSSFNISYIFELPFQCHRFEVNQHPIADIMHVLLNSGQSASREEAVEEMKDCRSTVHP >A07p036150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19465760:19470621:1 gene:A07p036150.1_BraROA transcript:A07p036150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASDFKVWKSVAAVNLERRFRVTVSYYRHSLLRGMLSSLGRVEEQSHHHFVLWNLTSKSNEEGFKSKGEDAVIEPLKAPLDDGRKTRKEERLECPICWEPFNVFENVPYVLYTICKDCLLALHHAVVIKSSGFPLHLPFFVTCPWCNMLSLRLVRNGAIKFPSKNYYLLWMVETMNGSRSDNKRVTSGERDTKERCDGVSNNASDVTRGYLRTGWLHGYICKSMALVAHLLAKFPLVVMFLLMALYAIPVSAAVLGVYFFVTIALAVPKINFNSITSRLPLVKASLLHALSQFLKLQEVGRKLIQGNREIHTQDERDNRSSSRARRKLRPRSNHSSTLSRSLNLRPAGIFPQAISSAGAAAKSAERVINQALKKLPSQSPPPDDIPASSSLIKVIRRAHSAQKSRGDTHLAVDQILIGLLEDSQIKDLLSEVGVGAGKVKAEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEEAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLVQRIVKGDVPNSLTDVRLVSLDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGKSEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTISILRGLKERYEGHHGVRIQDRALINAAQLSARYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEIELHALEREKDKASKARLVEVRKELDDLRDKLQPLTMKYRKEKERIDEIRRLKQKREELMFALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTSDDNMMLTENVGPEHIAEVVSRWTGIPVTRLGQNEKDRLIGLADRLHKRVVGQDQAVNAVAEAILRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYCVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNSVIIMTSNLGAEHLLSGLTGKVTMQVARECVMQEVRKHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVAVRLAERGVALAVTDAALDYILAESYDPVYGARPIRRWMEKKVVTELSKMVVREEIDKNSTVYIDAGSGGSDLVYRVEKNGGIVNATTGQKSDVLIHIANGPKKNDAAQAVKKMSIEEIEDDDEEMVED >A07p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2640125:2650046:-1 gene:A07p004750.1_BraROA transcript:A07p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSCIRSESKKISFNINQSVHFSDPDFPLSSGIERLQADWRMLAKLPQMQHGKRESLWRESRMVRDVAPYSNRKKPSFILNDNKVLFNMEKIAGNMGSGPEQSREGLLSRKRYSGFGFNDSRRRFCGICNLWHSDRRKLFFAVKMGMALAICSFLIFLKEPLHAASKFYVWAILTVVVVFEYSVGATLVKGFNRAFGTFSAGGLALGIARLTVLSGDFEQFIIIIFIFLAGFSASYLKLHPAMKAYEYAFRVFLLTYCIVLVSGNNTGEFFSTAYYRSLFIVLGATICLVVNIFISPIWAGEDLHKLVATNFKSVANSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSAVQSTSEEDSLLEFARWEPPHGPYRTLNHPWINYVKLSGAVRHCAFTVMAMHGCILSEIQAAPEKRQAFRHELQRVGNEGAKVLRLIGKKVEKMEKLSPREILKDVQGAAEELQMKIDSKSYLLVNSESWAATKEQAETEEARGNNHNETKVIKSLSQIWDTNSSNSHNPASASRGGPNEGKGGSDDTESMMLRNCEMWPSVSFIGGSVVNEMEGKIYESASSLSLATFASLLIEFVARLQNIVNAFEELSTKADFKDQISITASAVFQVIDRDMRNNSLVFTTTTTASSGSVSE >A09p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35791881:35794389:1 gene:A09p044430.1_BraROA transcript:A09p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTFSIANPPEMPDLNPNTLPDPEMISVPPLDPLFLSASDPISDLSFLLDDENGDLADLDFSFDDSVDFFDFDLDADLPEAITESIATHANMDSPEIKIVDRGLEDRSDSVHSQVSSQGSKSKRKKGDSGGEYRSFKYQKSDENSASPVEEDDEKKKARLIRNRESAQLSRLRKKQYVEELQGKVKSMNSTIAELNGKISYVMAENAALRQQMMAPPPTNPYMAPPPLPYQWMPYPYGSQIPLVPKLPLPSCSRPKKGEGRSKLKKVASISFIGILFFMFLFGMLVPFMNVDNGGDRGLAKYEGRRYYDEHRGKVLMVGDGSDVRRESVCSSRDSCGGVEGRLSNASEPLFASLYVPRNDGLAKIDGNLIIHSVLASEKAKKNVSETIKSEEPDLTVPGAPSSALAVPDVRGNGAMLPHSSKALSSGSPDGKRLHQWFHEGGAGTLMDYSMCTEVFQFDISPGAIVPSSVSNITREHLQNVTTTRDKRMKNRRILEGLPVSRLASELNITEAQASKDAQNKSFHGKANTKPTSSSMVVSVLLDPREVVDSETDRVMPSNPKSLSRIFVVVLLDSVKVTDI >A09p008610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4426194:4429082:1 gene:A09p008610.1_BraROA transcript:A09p008610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPQTHENPSISEATNEVQQGSETAKLESVFKNSEPIREDQIQNAIKFLSHPRVRGSPVIHRRSFLERKGLTKEEIDEAFRRVPDPSPSEQTAVTSQDGQQAVQGQIQAVQHAPAPVVMIPPPSLLSRLRWYHAVLAVGVLAASGAGTAVFVKRSLIPRLKSWVRKIMLEEESDPLEKADAKPSLAEEAVAAAKAASAAASDVARVSQEMMKTKSEERKNFEDLMQLLGVQVQEMKSLSNNIRYLERNSNNLPKVYSANQEVYSGLVKTPAERRPYANGSNVDYDTRSAARSTSPPAPPADSSQPPHPKSYMDIMSMIQRGEKPSNIREINDMPPNPNQQLPNPRIAPKAKPWDYGQPPQDESSNGPWWQQKNPRSTDFGYETTTARSIGIRNETSTTEPAAIQKQRSWVPPQPPPVVMPEAAEAIRRPKPQAKIDQEVVAAASDDQSGVSDELQKVTKFSESGGDGSGRLEITEIQEETEHQHIGQEGN >A07p047120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25358736:25361782:1 gene:A07p047120.1_BraROA transcript:A07p047120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDPD5 [Source:Projected from Arabidopsis thaliana (AT1G74210) UniProtKB/TrEMBL;Acc:A0A178W3R5] MRDQRIVPKLFARGGTSSQIVYILSVTKTGIFILSKRAGTNCVSEETERELDKTFRLIQETVMILTKCLPLIWLSLVTLCAGRTLYQRPGKGVKDDASKQPIQTSRPYNIAHRGSNGEIPEETKAAYLKAIEEGTDFIETDILSSKDGVLICFHDVILDLTTDVASRKEFAGRNRTYDVQGFKIPGFFTFDFTLKELKTLRTKQRYAFRDQQYNGKYPIITFEEFLAIAQDAPRVVGIYPEIKNPVLMNQHVKWPGGKRFEDKVVETLKKHGYGGAYLSKNWLNKPLFIQSFAPSSLVYISNLTDSPKVLLIDDVIRPTQDTNQTYAEITSDAYFTYIKEYVVGIGPWKDTIVPVTNNYMLTPTDLVKRAHAHKLQVHPYTYRNENQFLHFNFSQDPYKEYEYWIKEIGVDGLFTDFTGSLHNYQEWASPLPETSKSTRQLLSHIASLTQQVVQQGKQDNNHLGVRLGAASALGASSFFNPSSPSDSEELFGVSGCDGFVCFFCGVELCSPPLSAFPNL >A08p038330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22220778:22221443:1 gene:A08p038330.1_BraROA transcript:A08p038330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 19 [Source:Projected from Arabidopsis thaliana (AT1G19610) UniProtKB/Swiss-Prot;Acc:P82787] MASYTRLLLLCLSIFLIASTEVMMVEGRVCQRRSKTWTGFCGNTRGCDSQCKRWERASHGACHAQFPVTTTCLLYSEVVIKSIIRGCLAVLFIYFRERTCLIELQQLTYTSDCYKFMSGSSIPDLTNYPVMIKVKG >A07p045310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24604675:24605641:1 gene:A07p045310.1_BraROA transcript:A07p045310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT1G71980) UniProtKB/Swiss-Prot;Acc:Q8VZ14] MKMMMNRALVLLLLLLFHLLTLSSLASAKVILIRNNITRSFDDIEANFAPSVKAAGEIGLLYVAEPLDACSDLTNKPEQSSNGTSPFVLIVRGGCSFEDKVRKAQRAGFKAAIIHDNEDRGILIAMAGNSGGIKIHAVFVTKETGDALKEFAGLSDTKVWLLPSFENSAWSIMAVSFISLLAMSAVLATCFFVRRHRIRRRTSRSSRVREFHGMSRRLVKAMPSLIFSSVHEDNTTAFTCAICLEDYSVGDKLRLLPCRHSE >A07g501370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2834306:2834632:1 gene:A07g501370.1_BraROA transcript:A07g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYKTTFKALLENDPVVIDLLGLRKGTTWFNRNNIGHYWPAFISNENGCSENVIIEGIIMLKNVRPIVANLHKDDTFSASY >A03p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3105311:3107323:-1 gene:A03p007520.1_BraROA transcript:A03p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRLLGETKVEKLRNSFVSRSRMSLWMIRAVTILLLWSCFVHLMALGEMWGPRLFKGWPSCFSQHDLSTVEEMGSLPAKISLPPKRVYQNNGYLMVSCNGGLNQMRAAICDMVTVARFMNVTLIVPELDKTSFWNDPSEFKDIFDVDHFITSLRDEVRILKELPPRLKKRVELGMHHEMPPISWSNMSYYQNQILPLVKKHKVLHLNKTDSRLANNGLPVEVQKLRCRVNFNGLKFTPQIEELGRRVVNILREKGPFLVLHLRYEMDMLAFSGCSHGCNPEEEEELTRMRYAYPWWKEKVINSEVKRKEGLCPLTPEETALTLTALGIDRNVQLYIAAGEIYGGERRMKALTDAFPNVVRKETLLYSSDLDFCRNHSSQMAALDYLVAVESDIFVPTNDGNMARVVEGHRRFLGFKKTIQLNRKFLVKLIDEYTEGLLTWDVFSSMVKAFHSTRMGSPKRRLVIPNKPKEEDYFYANPQECLQLLDEPLRVI >A03p025470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10721005:10723630:1 gene:A03p025470.1_BraROA transcript:A03p025470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDG7 [Source:Projected from Arabidopsis thaliana (AT2G44150) UniProtKB/TrEMBL;Acc:A0A178VNH9] MPASKKVSERNPIEQVFNKLLEDIGEEEEFSLPDWLNEGKPTPYTYIKRNVHLTEKTKKKAEDDGIFCTCALSHGSSSVVCGSDCDCGLLNASCSSDCKCGSECNNKPFQQRRVKKLKLIQTDKCGSGIVADEYIQEGEFITEYVGEVIDDVTCAQRLWEMKGRGEKNFYLCEINKNMVIDATNKGNKSRYINHSCNPNTQMQTWIIEGETRIGIFAISDINKGEHITYDYQFVAFGEDQDCHCGAIGCRKKLGVKPNKPKLVSDEETRKIVVSELAQQTLPQVHHNGDIHEGTLINNLSEEQTCPRTCIGVVIRLSRPTSDRCFGIIRRFDEVTRKHSVMFEDGVTEFIDLSKEDWEILSD >A08p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22665170:22670887:1 gene:A08p039380.1_BraROA transcript:A08p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein [Source:Projected from Arabidopsis thaliana (AT1G17690) UniProtKB/TrEMBL;Acc:Q8H1E7] MAPKKSNAVSKRHRPHEKFDKKRDTKKAKLAEKEVVSNHPTEEEEDLDSSEEEDAIEEESEAVVYRKPTTYDNLLASLGSSNKAVADMNKRRQREEEGKSDTEGDDEDEEEDDDSGSEDQISTDGEDDEIQGDDQGLTEDTEKENNDNLSEEEESDGYETDEELELSANGQPLVDASSSASAFSEHLSHILSSEELKTLPEGKWKFKWEAPAIDMPNCRWKGTRQDFFDGVQSDAPYGLKPKLFKHWLQLYQKSGGKDFDSSKRRRFFSICNSYLDILHSNKRPFYNKGREEDSSAMDAYLMHSLNHIFKTRDLVKKNESKIAKHRETSEEEILSDDGFLDQGFTRPKVLILLPMRSIAFRVVKRLIQLTPESQRVNVEHLDRFNAEFGCEDESDDDDEKTSKNGKSTTHKSSKPSDWQALFGEKNSDDEFMLGIKHTRKSIRLYGDFYSSDMIIASPLKLHMAIGQAEENKERDVDYLSSIEVLIIDHADIISMQNWSFLATVVDHINRLPTKQHGTNVMRIRPLYLDGQARFYRQSIVLSSYLTPEMNGLFNRQCLNYKGKVKLACEYKGVLEKVLLPVRQIYERFDADSMTQADNARLEYFTKKIFPKIKDSVEGGVMIFIPSYYDFVRVRNYLKSQNASFCLLGDYTKNADISRAREWFFAGSRKIMLYTERAYFYRRYKIRGIKNLIFYSLPERKEFYPEIMNMLDEGSYDMMSTALFSRFDLLKMERIVGTASAKRMISSDKSIFAFC >A01g510250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28097058:28100085:1 gene:A01g510250.1_BraROA transcript:A01g510250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWRASEYLGDMERGINLRSKDTEADLIERYKLLKVFWVVIKQWLKYLTKERHKRGGDRGNSRRRECLRCYEWESVGHIKADYPVAQQRELKCSECRAVGHTRREYQNSKKDKGRNPVNPQIQTLIQTLEDYHVMLNKWLNLKNENLSLQHDLVQSREQYDDLAEELAVVHEKNESLEKEVSKLRQVATGEQERARMLECDLAENRKQIRMLNSGSNDLDKILSMGQPAKVNLGLGYRGAESTKEVQQKGLSYFVHGNTSKSGAKGACQEVRQDVRQEVLKHGCVAGTRKVTDQCISNCVRPNKKQHRMCCWFCGNVGHKKVECFAREKNRNMAKMVNKTFTKLKRVEEVFLAKNDLLDEIKDEISEEGCSSVRSDLEVDQEASSLEPGHEVVCGTKGKEIEVHQGRMVMFWYRECISHRGEKHIWCGSFQVMNVVVTLLLNQKNVVLDRHTKLKGGD >A01p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8491373:8493689:1 gene:A01p017140.1_BraROA transcript:A01p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGFLTDEQREMMKVATENAVNNPPAQKPHSSLLSEHMHKPSATAAGGKAYGGGSNAVKHRRSHAGKSVRAKKDGCGGKGTWGKLIDIDADYHIDRNDPNYDSGEEPFELVGATVSDPLDDYKKAVASIIDEYFSTGDVDVAAADLIELGSSEYHPYFIKRLVSVSMDRHDKEKEMASVLLSSLYTDVINPNHIRDGFVLLLESADDFVVDIPDAVNVLALFLARAVVDDILPPAFLPRASKALPASSKGYQVVQTAEKSYLSAAHHAELVERRWGGMTRTSVEEVKKKIADILKEYMETGDAYEACRCIRELGVSFFHHEVVKRALISGMESDAAEPLVLNLLKEAASENLISSSQMVKGFSRLRESLDDLALDIPSAKTKFDLIVPKAISGGWLDASFSASSGESGRQEMEDEKLKRFKEEVVTIIHEYFNSDDIPELIRSLEDLGAPEYNPIFLKKLVTLALDRKNREKEMASVLLSSLHIEMFTTEDVADGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPYSLEEISSRLVPNSSGTETVKMARSLIFARHAGERLLRCWGGGTGWAVEDAKDKILNLLEEYESSGLVSEACKCIHELGMPFFNHEVVKKALVMAMEKKKDKIVVELLQESFGEGLITINQMTKGFTRVKDGLEDLALDIPNAKEKFSEYVEHAKKNGWVSSSFVTSLTEDAKLG >A07g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16173766:16174802:-1 gene:A07g506630.1_BraROA transcript:A07g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REVREAISLSFFSPPKPLLLGPSPLIPSGGRRWVYSRRSTTPNRPADPIPLPVMLRAFHFLLAPPALVLAGLWPDPVTKLMTGCVSSVSGERARLWQSGLSCTGSGSVPAQRLTGLKRVAASSLPVFSLLCSPLSPASVATLRASPSCSHGPRRSDETEDLAVLEPVVPPMLDRFVGRGPPPAELRGVSQALPLMPARSHFGEFSTELSLAILLFHL >A04p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4503041:4504522:1 gene:A04p013550.1_BraROA transcript:A04p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLANTLIKAWLNWQFQALQQLEREGLNHQIKPGVEKLNKPGAEKLIKLGAEKLIIVSDRAVLVSNKEEIKSNAPELKLSFRDLNYHPNMHGKQGKHDVEDLKNIRRHFKINLGSLIWCVISRPEALEYQEASHLSCVPHQSTRLDTDRVFDSLFARIIKAFTHLQEIQGVTAYPGSYQVRSREISEALEYQEASHLSCVPHQSTRLDTDRVFDSLFARIIKAFTHLQEIQGVTAYPGSYQLIVEVMLVLLKSSESASREEDVEEMKECRPTVNP >A09g502810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9504133:9504864:1 gene:A09g502810.1_BraROA transcript:A09g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVTYTSLVKGLCNLGRWSDAAGLLSHFIKREISPNVITSTALVDAFVKNGKVLEAKELYEEMIRMSIEPDIVTYSSLINGLCMQDRVDEASEMFGLMVRRGCFPDVVSYNTLINGFCKAKRVEDGMRLFREMSQRGLVSSTVTYNTLIQGFFEAGDVDMAQEVFRQMDSPDIWTYNILLGGLCDNGEVEKALVMFEDLQKSEMELDIVTYTTIIHGMCKSANSSTFSGDGITGTLPSTPN >A01p012270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5979362:5983175:-1 gene:A01p012270.1_BraROA transcript:A01p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMPYQNQAEGFVKRYLLADPCLPYTSVLAGIFLCKMVYDLMESFSSIHIKSYSALTKMKRIEWNNRRVGISTVHAIFISLMALYFVFFSDLFSDQTSLQGLMVFRSSPLSNFGLGVSVGYFLADLGMIIWLYPSLGGLEYIFHHSLSGVAVAYSLFSGEAQLYTYMVLISEVTTPSINLRWYLDTAGLKRSKAYLINGVAIFLAWLTARILLFIYMFYHVYIHYDQVANLYDLWTFLAGGTDEYIRLSTGIRSTDCVECNELDVVCFQVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDASFERAKKWVQELQAQGNTNMVMALAGNKSDLLDAKKVTAEEAQTYAQENGLFFMETSAKTAANVKEIFYEIARRLPRVQPTENPTGMVLPDRAMDRAVSSSCCA >A03p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14531361:14532099:1 gene:A03p034450.1_BraROA transcript:A03p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPGLYSGTSTLALVARASAFGLGLIYGNVKLKALKIKKNSQIKAEAKAHH >A06p045700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24490145:24491698:1 gene:A06p045700.1_BraROA transcript:A06p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g27110 [Source:Projected from Arabidopsis thaliana (AT5G27110) UniProtKB/Swiss-Prot;Acc:O04659] MESSKLLSLLRVCTSANSLRQAKLLRQRILAVGLQNDVVLCKSLINVYFACKDHFSARLVSEDNIDVQSDVYVWNSLLSGYAKSSMFNEALEVFRRLVNCPFCVADSYTYPNVIKACGALGREFHGRMIHSVVVKSGHVSDVVVASSLVGMYAKFDLLGDLVQVFDEMPERHVASWNAVMSCFYQSGEAEKAMEFLDRMERCGFEPNSVSLTVAVSACSRLLCLERGKEIHKNYVKRRLFESDEYMSSALLDMYGKCGCLEMAREGFEHMPRKSLVAWNSMIRGFVGKGDSKSCIDLLSRMIIEGTRPSQTTLTSILMACSRSSNLQHGKFVHCYVIRSFVEADVFMNCSLIDLYFKCGEVKLAETIFAKTQKDVVESWNVMISGYVSVGDWLKAIEVYDQMVSVGVKPDAVTFTSVLPACSQLAVLEKGKRIHLSIRESGLETDELMIGALLDMYSKCGDVKEASRIFESMPKKDVVSWTVMISAYGSHGQPREALHHFDEMQKLGVKPDSVTFLA >A08p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21119899:21121678:1 gene:A08p035850.1_BraROA transcript:A08p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDKNHKTEEPKPRLRWSYELHHRFIDAVNQLGGPNKATPKGLMRVLEIPELTLYHLKTGRSQECQSQEDLGDQLDIIVPEEKHDEPNKNLQIKEAVEIQMEVQKKLHEQIEMQQQLQVRIEAQGKYLESVLLKAQETLSGYKSFNLYAVASMANRNCLSSSFSALTQADEDNEKPENRGNELTKSSVDCSLASSESSEAKHNHHSQTIMRRSDKLQFMEIKPAEVMDRKKRRWDDDVLCVEQSIRKKAFGGLDGEDLGLNLNSFKVMETSYKSNK >A01p036260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16541044:16543423:-1 gene:A01p036260.1_BraROA transcript:A01p036260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENNPMEGGSCEEEFACGDPKVDVRVGDEYQAEIPPMISDPENPPLASDDLGSGSFVVGLPLQVTWIDTKFKDRQGLGDDHVDMSESLKSLKNKRSRRNTGTKQRRMTLEAVPETPSSSWDDLEVDGFVLGLYTFGKNFTQVKKLLESKETGDILSFYYGRFYKSAKHKVWSNALKKQSRKCIQGKKLYSGWRLQHLLSRLIPSITDESQKKKLVNVSKSFAEGNISLERYIIGVKELVGLQSLVEAVAIGRDKDLTVLTTEPAKSKQWFTVSSAGLGAYTSLTSEEIIEKLDGGSRLSKARCNDIFWDAVWPRLLARGWHSEHPKDTKSKDNIVFLIPGVETFSRRKLVKQNHYFDSIADILKKVVAEPELLEFETADIRPSSEETNCQHSKHRYLRSPDACSNHMKFTVVDTTSLASGGKLCAFRELKNPDNSPSVERSQMMPLEQAKFAGECKWEKKGMKKLVEEPARFMIVDTSGQSSGIRRRRHLPDNENQSGNNTGVTWEFPKLSGETLENVGSKKRSVRKSSESFPLTKRRRLSTCVRKDIERFGQSPKLSVELMNIKTEKSEETEPNGLCSLEKQQQEDSNRLCWDKKSTSNDMEASQQHEKPIQLPSMPGSNKRTCLSTHQTASIKQEEEEELNQQTNTDIPRRQSTRKRPLTTRALEALESGFYAAKSLKSTSKQIKRERSTRIKHSAKATQSESDNGFDVKETTSSKPEGLERSFLADKATNVSKPVDQTEDSNKVTTDSPKRPPILLKFPFKRR >A09p042490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21984307:21985408:-1 gene:A09p042490.1_BraROA transcript:A09p042490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G33265) UniProtKB/Swiss-Prot;Acc:Q8LPG1] MSSFVLKLHIPSVVSVRNTKLPGRSVSDCGRNWSGLPKSKSRRGNGLCCKAELSELAPAVSAGYGVLLLGGGLFAYSKSGSKGSLFGGLTGSVLMASAYFLTKSPETRVLGDTIGLGAAFLFSSVFGFRLASSRKPVPAGPLLLLSIAMLSFFVMAYMHDSLPVAVSVPDALPLP >A06p054320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28495536:28497273:-1 gene:A06p054320.1_BraROA transcript:A06p054320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTVKVSNVSLAVTERELKEFFSFSGEIVHLEMQSENEGGSKLAYVTFKDLQGAETAVLLTGATIVDSSVTVTMSPDYQLPPDALASIESSKESDKSSSSSPPKEDVSVFRKAEDVVRNMISKGFILGKDAIAKAKSLDEKHQLTSTASAKVTSFDKRIGFTEKINTGTTAVSGKVKEVDQKFQVTEKTKSAIAAAEQTVSNAGSTIMKNRYVLTGTTWVAGAFEKVSKAAEEVGQKAKEKVGRAQEDEEKKKEVDEVASVHFSESPKALDQPEQDSKLSVSPKDLDQPEHDSKLSESQLQPHKQEESTPSVASGQP >A03g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6645427:6645797:1 gene:A03g501980.1_BraROA transcript:A03g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSTRVNSSLLRETPNKDTSELHAEATYTIVGEAPYAETQGERKTWHEVFSGLVTRHPLIKPYLRTIDALAVAWLSATEGQGVADVLFGDHPFNGTLPRRLAHG >A08p028220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17725714:17725902:1 gene:A08p028220.1_BraROA transcript:A08p028220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVRQSIEGMASMRVANTRLVSMSGKKTMKDDNVIGMVNGDDDENVSIIVVLGYGGSWKET >A09p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17921301:17923694:1 gene:A09p030160.1_BraROA transcript:A09p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYENQAPETLQGKFQAKVICCILGIGGLVAWNSLLTIADYYYHVFPNYHPSRVLTLVYQPFALVTVVILAYHESKINTRKRNMIGYTIFTISSLLLIVLDLATKGRGGIGPYLGLCTIVASLGLADATVQGGMIGDLSLMCPELIQSYMAGLGVAGALTSAFRLMTKAAFENSNGGLRKGALIFLTISALIQFLCVMLYAYVFPKLPIVKYYRRKAASEGSKTVTADLAAAGIKSPSYLTDDVSSYQRLNKKEILHQNIDYAMNLSLTYILSLSIFPGFLYENTGQHGLGSWYALVLVAMYNCGNLIGRYTPLVKWLMFENRKWITIATLSRFLLIPAFYFTAKYGDQGWMIMLVTFLGWTTGHLNVCILIIAPKDYKGPEKNALGNLLVVFVTGGIVVGTSLGWLWLIGKNNAF >SC203g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:248881:250616:-1 gene:SC203g500110.1_BraROA transcript:SC203g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKKRSSYQVKKGRENEWIWSDWVKTVFGSCGIWSNQIKEEPLNELVIFEDEAVQEITRKSGIEAASEERSKLVKGSEDKRVICDWKQGKDELYQLVGRLKEVWLELTARPEVIQERREQDFIFNILVNKMCDLVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESVYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQQKEGGADDCITRKEWRVLKLYKVVDRLVTQEAAERLLDTPK >A09g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11693533:11694132:-1 gene:A09g503750.1_BraROA transcript:A09g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNSLSPTESFLLLLPYLSSFFFFLSFVSLYASFLQSLSLPLSLFSAAAQIFPVLTLLDPDSPPCYVTSLTCLLNPCGLEVYVGKTHKQVQESKITKVVFG >A05p033920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19086372:19089500:1 gene:A05p033920.1_BraROA transcript:A05p033920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGETSSKNPRISHVSISTGDICSEFGSSSDFANYTVHVPPTPDNNPAPLHIALQDIDTGSSYKDDDLDQTELRISEEEDALLYKVSQPLTRVVKISPIIIALYRILIFVRIVALCLFLFWRVKHKNEKAVWLWLLSVICEFWFAFSWLIDQIPRLYPVNHATDTEALKARFESPNPNNPTGKSDLPGIDVFVSTADAEKEPPLVTANTILSILSVDYPVEKLSCYLSDDGGSLLTFEAMAEAASFAKIWVPFCRKHKVEPRNPESYFGLKKDPYKGKVRHDFVRERRYVKRGYEEFKVRVNALSHSIRRRSDAFNSKEEIKALEKWKNWKVKVEEDQVKEPRPAIVAPKATWMSDGTHWPGTWTVPCQNHSRGDHASIIQVLLDPPQDEPDNERGGEECALDFEGVDTRLPMFVYVSREKRPSYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSIAFRDGICFMMDQDGDRVCYVQFPQRFEGIDPSDRYANKNTVFFDINLRALDGIQGPMYVGTGCLFRRTALYGFDPPDLPMETEPSGGCCCCFPQEKKRSPATVASQPAYYVDVEEEDQFDVNLIRKHIGSSSMLVTSVKVAEFQGKPLAMGHSSIRGRPPGSLTCGREPLDAAAVSEAVNVISCWYEDKTEWGISVGWVYGSVTEDVVTGFRMHEKGWRSFYCVTEPDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAIVAGRKLKFLQRISYVNVGIYPFTSIFILTYCFLPPLSLFSGQFVVDSLAPAFLIYLLTISLSLCGLAVLEVKWSGISLEEWWRNEQFWLIGGTSAHLVAVLQGFLKVIAGIEISFTLTSKSSGDDEDDEFADLYLFKWTSLMILPLTIIILNIVAILFAFCRTVFSDIPQWSNLVGGTFFAVWVLVHMYPFAKGLMGRRGRTPTIVYVWSGLIAICISLLYVTIKNSELNGGSFQLT >A08g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6153069:6153315:1 gene:A08g503330.1_BraROA transcript:A08g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADIVDDEFEELRSAEAAVLAFPKMEKAKCRATMESSVKAQMMAELEGSKQK >A09p049340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43669638:43674564:-1 gene:A09p049340.1_BraROA transcript:A09p049340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMVPLDSSPLLGVFSYGGCSGGRGGFTEAEMIREATINNQDSSGETCSVSDPIAYISSPQGNKNYGSASKNDVVSCINIEDNKDKSWLRLGIGPEENTNNTASYKLQRCCSKNARGRENSLELSLFSSTSTAAGAFSSSVEEHPQSQQPQQPQQPPYCHDQLLTMPGTSLVYNHQLTRPQTLINAAFSFPSSTPWIPQYTAPCRPSSLGMMSERNVINNNNVTRSCCVEEGGAGPSSEIRVLDPPRRPHSGLWFLLQASHFQEKEPFLPQVNKRYLRIKDGKITVRLLIKYLMMKLQLDSESEIEIRCRGQQLSPLLTMQHVRDTIWSPKSSSSPSFTSLRDSSTSDHVMVLHYGRTP >A08p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23137868:23139522:-1 gene:A08p040760.1_BraROA transcript:A08p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:Projected from Arabidopsis thaliana (AT1G13950) UniProtKB/TrEMBL;Acc:A0A178WBN7] MSDEEHHVELSDDGEPMTYPQQAGTIRENGYIVIKGRPCKVVEVSTTEEDGNAKCYFVGIDIFTGDKLEETVPYSDNCDVPHVNSTEYRLFDISEDGHVILLAQTGITKDLEIPTDDPLFQQIKSGSDEGKELLVTVTSAMGEEKITAFMEIGPE >A03p020150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8266785:8267045:-1 gene:A03p020150.1_BraROA transcript:A03p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRGSSVTLLSGFLIILLVIQLHFDPTTAARHAPVVSWSPPEPHKDDFVWYHKINRFKNIEQDAFRPTHQGPSQGIGHKSPPGVS >A10p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21421202:21423493:-1 gene:A10p038250.1_BraROA transcript:A10p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRERMSKKKSSQVHCISSHDHILMMASTSKHIPEIRLYKAWKGNNVIIYSLPEANNITCFLLFKYDYMIMLLLHQRFFCGGRLIFGPDVNSLFLTSFLIGAPALTFCIRMLVWIQKDDPIFNYTSMEWVNNKTPHLKIPRTKDVFVNGYTIKVKFCETCLLYRPPRASHCSICNNCVQRFDHHCPWRNYPFFICFISTSMLLCIYIFAFSWINLIRQPGRLWSTMSHDIISVILIIYSFVSIWFVGGLTIFHVYLMSTNQTTYENFRSRYDKKENPYKRGLLKNVKEVLFAKIPPSQLDLRAMVPEEDDGSEYESEYSSSIRYDNEKGGKLPKRVSPEKLNLDNIDTSNEYETAKDDASSELDPSFFSSQLDLPK >A02g506700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18719814:18724140:-1 gene:A02g506700.1_BraROA transcript:A02g506700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRTKSAPGEDEIKSSINANASDVEARHKSEAHATTEPEHPENSVDPATIDTVKRQGIQSHQRPVPEIQIRPSRPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPRDDPLPRHHQPLNPLVDRRDKRLSVGTVTHPTLHEAHFLFKHIVIGSRPPKTSDRTTALAKVTHRGKGILEVPVLNLELRCTSLHHLDDFSFAFPLCFTNSPRMITSKLRLSLQHLALHASEIPLRFLRLEAVDHGFSMAHLNGRAQQAQALQKRLA >A07p045220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24558641:24560601:1 gene:A07p045220.1_BraROA transcript:A07p045220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGWRDSYRGMSSDNIKGLVLAISSSLFIGASFIVKKKGLKKAATTGTRAGVGGYSYLYEPLWWIGMTTMLLGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHVFGILGCALCVVGSTTIVLHAPQEQEIDSVLEVWNLATEPAFMFYASIIIGAAVFLIIRVVPQYGETNVMVYIAICSLVGSLSVMSVKALGIALKLTFSGTNQLFYPQTWVFTLVVLTCVITQLNYLNKALDTFNTAIVSPIYYVMFTSLTILASVIMFKDWDRQNGTQIVTEMCGFVTILSGTFLLHRTKDMVEGSSVILPLRISKHANEDGFESEGIPLRRQESLM >A06p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4543735:4544902:-1 gene:A06p003740.1_BraROA transcript:A06p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGYQGNTPAADPPASNGSKQSAPPTKTVDSQSVLKRLQSELMGLMMGGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLSFSNDYPFKPPKIKFQTTCFHPNVDLYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPPSA >A01g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27207274:27207707:1 gene:A01g509950.1_BraROA transcript:A01g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSREVMLNPRIFPWFQDITNDIFSRLLWLESWSIEHVIPRRNIHLVAFDACSRKRKCLKPISTIKHLPTTSYEAGKRIDTHDVRAYLEILRIKHTMKRDSEFVEEDKVVIAH >A03p019670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8053769:8056946:1 gene:A03p019670.1_BraROA transcript:A03p019670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEPITPGQVSFLLGVIPVFIAWAYSEFLEYKRSSLHSKVHSDNNLVELGEVKGKEEEVAVLLEGAGLPRSVSSRFYNSPIKANLIRFLTMEDSFLIDNRATLRAMAEFGGILFYFYICDRTNLLGESTKNYNRDLFIFLYCLLIIVSAFASLKKHNDRSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNLFVAFSCIILNNDYMLYYICPMHTLFTLMVYGALGIFSRYNEIPSVMAVKIASCFLVVILMWEIPGVFEIFWSPLTFLLGYTDPAKPDLPLLHEWHFRSGLDRYIWIVGMIYAYFHPTVERWMEKLEECDAKKKITIKISIIATSSFVGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNSTQQLRNFSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLSIIPEYPMLNFMLTTAIYVLVSHRLFELTNTLKSVFIPTKDDKRLLHNVLAGAAISFCLYLTALILLQIPH >A06g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21424485:21426705:-1 gene:A06g507690.1_BraROA transcript:A06g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVKSSGLLKSCLVLSTQVESKLVFLKVVWLVLHLTGLFQKFDFLDDLHFSRLTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2713382:2721541:-1 gene:A04p005200.1_BraROA transcript:A04p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVVAASSSSSSSTSFDHIFGPRVSSSSSSATTGLFTTIFPRPSAGMLGRQMDFPSQGGHVKYQSANERGKRSNIKEKNSYHNEETEPPCNLSSSIYYGGQEKYSSTTNTNKDTYKKDAQEGDSKRASRGNWWEDAARFISMKFKVVDLYSQPHDKLAHQKSFEEIKVRLFSFSREMLGLLGMSLDALSNSVEFVSLSLYCSICIVKVLNLAIRICPEDLICYLHCLLMFMISSFTLIIFASALDVSNVVKDAGTSKSGDDTLLPLARKYVVIHNTIENKQSLNVHCKSREDDLGMIHIPWNHYWSFRFHVNISKTTNYRCQFSWYGGGSHYFDIFKVSRDDTQFGKVPICKECIWEVRKESRDGKSSICRINRNGYPHYCFGWDNE >A08g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5943417:5945851:1 gene:A08g503100.1_BraROA transcript:A08g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQLFKFPLGHSYRGAVSAFVIRPKLKDLSKNSSTGSVLLHGCRSQNYRQIDILYDHDLYFLVVDLGRVTLVLNASGDVARRHRLRSCSRVLLFILCCNSIFLFAVVKFYSSDLTGTALFSGDSLTVCSSFISASHSLYFVSSVILRAISVSRIASRSLTMVYRSKSSDGAEHLFHAISARNTLYSVSLVILRTSFVSHIASKSLAMVFRSKSSDGTEHLFQAISARNTQNERYFPNPDLRALILTLQDMYVDATVLVEKQIVSMVASLQYATNRSLEDWLFIVKILVVIGSGDIHFSPMRFLCSYRPDLVPCVYGAPLLRLRPDWYSRSSTIISMTLTSSFGHCLCHKLIVRPVFVGFQAQPGSKTPTINEASQTSSCRCHERSFSTLLLIVEVHLSTSTPLNKRYLTRGLRANEHCCFPDSLISCVMVRFGPEDTTRIILLRLEVVKHSTSRLKVTISKSEDFKGPNTFSMRLLASGSFDINFDPLSNLAKFSIFSLICS >A06p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26431636:26433814:1 gene:A06p050240.1_BraROA transcript:A06p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPPPERSGSGSDRDLRVYQTWKGSNIFFLQGRFVFGPDVRSLALTICLIAVPVTIFCIFVARKLMDDFSDSWGVSILAVAVVFTIYDLILLLVTSGKDPGIIPRNAHPPEPEAFEGNMDAGAGQTPQLRLPRIKEVEVNGITFKVKYCDTCMLYRPPRCSHCSICNNCVEKFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVHAFCWVYIRKITESEHTTIWKAMLKTPASIVLIIYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRSNPHNKGVVNNFKETFCSAIPPSKNDFRALVHREPPLPPRSVAGGFMSPNMGKANDDIEMGRKAVWADMGPAMSEHGNNERLHVKDGELGELSPDVRTTVDEQRDRPGVHPRRSSWGRKSGSWDMSPEVMALAGRVGGEQHQNRGGSSSGSGLVTENRHT >A03p033250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14068106:14068519:1 gene:A03p033250.1_BraROA transcript:A03p033250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLQIVEYAKKKDYTSLIVVHTNRIKPDALLIIGLPNGPTAHFKLSNLVLRKDIKNHGNPTSHEPELVLNNFTTRLGNRVER >A07p041990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22629579:22630767:-1 gene:A07p041990.1_BraROA transcript:A07p041990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVTCTAVPAMPMTASPPNLLRRQVVFPYSSRRSFTVPLRSSKPNKPLAILDIKGGKGMRGFHEVELKVRDYELDQFGVVNNAVYANYCQHARHEFLESIGINCDEVARSGQALALSELAIKFLAPLRSGDTFVVKARVSGLSAARIYFDHFIFKLPNLEPVLEAKGVAVWLDNKYRPVRIPPHIRSKFVQFQRQEDAV >A09p008980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4600995:4602787:-1 gene:A09p008980.1_BraROA transcript:A09p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERSRRELPPVQEHIDKLKKVVEEGNGYGALQMYKSISARYVTAQRFSEALDILFSGACVELEHGLVNCGADLAILFVDTLVKAKSPCNDESLDRIRCMFKLFPRVPVPPHLVDVSDDEDVHNLQESLGEARSRVDNLTSFLRAAIRWSAEFGGPSTGYPELHAMLADYLYTECPELDMVRISRHFVRAEDPEKFATMLINFMGRCYPGEDDLAIARAVLMYLSMGNMKDANTLMDEIKKQAETLSESDLIQFITYLLETLQRDALPLFSMLRAKFKSCIDREPLLNELLDEIAERFYGVQRKNPLQGMFGDIFKVI >A06p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14928321:14929442:1 gene:A06p025170.1_BraROA transcript:A06p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSQYKLTTSPTIPSYLPFCHPSRFLILPCQKKPNLVSSFREKPRFGCFASSSPMATPTQSSETKPFSVLFVCLGNICRSPAAEGVFRDIVKKRGLDSKFIIDSAGTIDYHEGNMADPRMRSAAKRRGVEITSLSRPIKASDFREFDLILAMDEQNKEDILKAYNVWKARGNFPPDAADKKVKLMCSYCKKHNDKVVPDPYYGGAQGFEKVLDLLEDACESLLDSITAEI >A10g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22208374:22208637:1 gene:A10g507250.1_BraROA transcript:A10g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQSRLPGIFNNFLYHKMQATSPPAKESRRSTRPFTITLQAIVYGHKEKPCRNLHKYHSTDT >A06g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6932982:6934244:-1 gene:A06g501940.1_BraROA transcript:A06g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNLAVRAWRFRVKLHRIYPFYFCVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDFKYPIGMVITTDLGMIQWEWSSTQEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGLV >A07p043330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23690121:23694322:-1 gene:A07p043330.1_BraROA transcript:A07p043330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.6 [Source:Projected from Arabidopsis thaliana (AT1G69850) UniProtKB/Swiss-Prot;Acc:Q8H157] MKSFSNNKEGPNSPFLSPNWTLSQCLLSSIYLNFINTLLTFTYIYFLIIKTSIFTSSPQSLRERKKERIMEVEEEVSRWEGYADWRNKAAVKGRHGGMLAASFTLVVEILENLAYLANASNLVLYLREYMHLSPSKSANDVTNFMGTAFLLALLGGFLSDAFFSTYVIFLISASIEFLGLIILTIQARTPSLMPPTCDGPTCESVSGSKAAMLFVGLYLVALGVGGIKGSLPSHGAEQFDESTPKGRKQRSTYFNYFVFCLACGALVAVTFVVWLEDNKGWEWGFGVSTIAIFVSILIFLSGSKFYRNKIPCGSPLTTILKVLLAASVKSCSSGSPSNAVVNLAISPSNHLKEVTESGELEKPRQQEPVPPPQTQLTNSLRFLNRAAEEKPDHKLLECTIQQVEDVKIVLKMLPIFACTIMLNCCLAQLSTFSVQQAASMNTKIGSLKIPPASLPVFPVVFIMILAPIYDHLIIPFARKTTKTETGVTHLQRIGVGLVLSILAMAVAALVEIKRKGVAKDAGLLDSKETLPITFLWIALQYLFLGSADLFTLAGLLEYFFTEAPASMRSLATSLSWASLAMGYYLSSVIVSIVNSITGSSGNRPWLRGERINRYKLDYFYWLMCVLSAANFLHYLFWAMRYKYRSTGSRS >A06g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14137825:14138860:-1 gene:A06g504550.1_BraROA transcript:A06g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTPDLDSVFIRVGFRSPSLRVFFSSLAFLSISVVGVKNGYDKFNIQNILPYEKALRKRESRRPTKARNRSLRSDRTSVPLGRYVATELGKARLLHSVATERSSRSVATDRARAKARSLRSDRALVLLGRYVATELGQAPARSLLVPLGRYVATERARPATRLRSLRSDRALVPLGRYGAIGLEPKFGRCVAIELFRTSTDINPCILVKPSNAISRRPYRSKRVESEDGPKGPKTRLEAHPTISQLKARKPQHGLRLAHKEG >A08g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4805740:4808446:-1 gene:A08g502140.1_BraROA transcript:A08g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVFFSDLKSGGKCSSVVEARLLRYWEARNVKRGGDLMWIDMLMIDVNHATIMQATIYANCLPRFRPKLAAGKIPFQRTYAAQGTHANPRFCLCPPSEHLYKEGSMCSVSGFDVTGETTTSSSLILQRRLFVYTMSGLFFASTLRLLPKLGGPVGSNTATASMYRVSINMSSPLQSTEFLCDGKFMGIQTENTSCSNCSKKLQQCFRPSHALHVMIQSLWGSSFQVTNIYIQFIQSNLLLSYTKL >A01p039560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14231206:14233093:-1 gene:A01p039560.1_BraROA transcript:A01p039560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I subunit 43 [Source:Projected from Arabidopsis thaliana (AT1G60620) UniProtKB/TrEMBL;Acc:Q39216] MTTKGEKRIVSEEDKIFAKNFNIMDHPDIPTGLPPHLQLQRTRVLCKNDAPIHTASVTYSGAYNAVGVDNSVKLENFSENFKVDVIQLSKDGMELTFDMIGIDAAIANAFRRILLAELPTMAFEKVFIANNTSIVQDEVLAQRMGLVPIAADPKLFEYLSENDQPNEKNTIVFKLHAKCAKGEGRKKVLTKELIWLPKGSELVKESGDSNSKPKTYTSFSRSQKESFPEFADNPISPSYPDILIAKLGPGQEIELEAHAVKGIGKTHAKWSPVATAWYRMLPEVILLGEVEDEDAERLIKACPENVFDIEDMGNGRKRATVARPRECTLCRQCVRQNYGEEVNKGVEEKEWIENVSLRRVKNHFIFKIESTGSLPPDVLFTEAVKILEDKCERVIANLS >A09p052460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45945951:45947375:1 gene:A09p052460.1_BraROA transcript:A09p052460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVQPKNQMFSFAKQYIARVKEALKDEPEKYQVFVDMLKYFTNHRRYDDEATILATVDDLLKDHPDLRLDFNNFLSPEAESIITPPEAKSIIIPPTAESIIIPPETERTIPPKAEETITPEAERTIPNEAERTIITPDANKELSKYQTMVDRRVSRELTLDDDAHPYIASVKKAFCDEPGKYKEFLQILHAYSHLGKDVPSTTARMRELMKEHKKLFRGFRVFLPDHAKTTIILKVKSTISPPKAEHHRADDQSNSKKRKRVEFDDTSFVDKLKIRFRSLDTHVVESFRRTMKKYEEGKKSKRKVYNKVLNLLYYHEDLTEDFTRYFKRQKILKE >A05p015740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6991639:6995446:-1 gene:A05p015740.1_BraROA transcript:A05p015740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAREQRVNGNVSDASSSATPNPKVRKHTISVFVGDESGMINRIAGVFARRGYNIQSLAVGLNRDKALFTIVVSGTERVLQQVIEQLQKLVNVLKVEDISSEPQVERELMLVKVNAHPESRAEIMWLVNTFRARVVDISEHALTIEVTGDPGKMIAVERNLRKFQIREIVRTGKIALRREKMGATAPFWRFSAASYPDLKEQAPVNVLRGSKKGDVLPPNEKPAGGDVYPVEPTSDVMVHHVLDAHWGLLTDEDTSGLRSHTLSLLVNDVPGVLNLSLAVGHAETEGISRITTVVPATDESVSKLVQQLYKLVDVHEVRDLTHLPFAERELMLIKIAVNAAARRDVLDIASVFRAKTVDVSDHTITLQLTGDLDKMVALQRLLEPYGICEVARTGRVALARESGVDSNYLRGYSFPLSS >A02p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:821958:823019:-1 gene:A02p001980.1_BraROA transcript:A02p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYINHWRIEKFDPAWNPTGMLEVSSFSRRYPHYIETYLPACWQSVKSALKEYGVSCKLSVVEGTMTVSTTKKTRDPYIVVKARDLLRLLSRSVPAPQAIKILKDDMSYDIINIRKMVRKKERFVRRRQRLVGPDYSTLKALETLINCYILVQGNTVAAMGSFKALRKLRRIVGYIE >A02p000800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:401478:404139:-1 gene:A02p000800.1_BraROA transcript:A02p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGSASNRRDPIKSSVGNVAGQRRRQQAVTVAKERRESLVRAKRLCRVGTNGGDDEDARVENEMMIDEEQPVLESQTVKAVEELKSAVQSQGKGAMQKRVTALRELRRLLSKSEFPPIDAALTAGAIPLLVQCLSFGSPDEQLLESAWCLTNIAAGKPEETKALLPALPLLVAHLGEKSSAPVAEQCAWAIGNVAGEGEELRNVLLSQGALPPLARMIFPDKGSTVRTAAWALSNLIKGPESKAAAQLVRVDGIVDAILRHLKKTDEEIATEIAWIVVYLSALSDIATSMLLKGGILQLLVERLATSNSLQLLIPVLRSLGNFVSVDPKAMLTILVGGQNTEDTDIPDQISENVTNVLAKCLRSEHRVLKKEAAWVLSNIAAGSIEHKRMIHSSEAMPLLLRLLSTSPFDIKKEVAYVLGNLCVESAEGGDARPRIIQEHLVSIVSGGCLRGFIDLVRSPDIEAARLGLQFIELVLRGMPNGEGSKLVEGEDGIDAMERFQFHENEELRVMANSLVDKYFGEDYGINE >A10p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5231925:5241315:-1 gene:A10p009000.1_BraROA transcript:A10p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAWPTRKDKCQVSADKYGSFEDNCEKREKWKISILCYDGLRAEDILELKGHFTRADHLEVDERRNNRSMRISADDRYQEMPRHMKINIDRCTQAWLEPVDRCPQLSIDRCWQRCIGRRLNRLSIDTLLCLHLTGETQDLDENGNLYDQDGHLRNATGHKIDAQGTLKEGDFKIESSMSLGGSQWCRPMSMNSHRSTYHDEDRWTDYSSHRSTSSAKSTECNAGRILTHEEFAAKHPHPPSPFCEKIDRYVEPTIDRQSESDVDRHNTPPIDRQTPLTYRVQLPSIDNDYINALRPPPKPLADPPEPKPNPLNSSPESVQEEQGSEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGIEFPHSICDTGASRKVINSVDYGNELGFIGTCHYGAEYESEYETEYSESIDTPTFPSIDSNVSTVTDDHNNTSLDVMHPVDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTDERLETHKFTNTFPTSFDAVHYISVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIPSLTRDPDGNARAMDGRILQVSREDVADIHQVANGPDNLFSQQCGTPDVIQTDPNNHAGVTTTETNPDLSRQPKGQASIDGITETTIDRVTPTSIDMDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGAAGEMIPVTKENIRKILERASLFEESHICLPEHSTSFTLTRLAP >A09g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18392079:18393543:-1 gene:A09g506030.1_BraROA transcript:A09g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSSNINRLIVSLLYFTKGKKISESCFRDPKESTRVLPITKKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPNKRRNIINLNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKRAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFLRKISRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILWDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFFWNSTRAIRSFFSDRWSELHLGSNPTERSTRDQKLLKKEQDIWDVIRFRRMNWIWTVPIRFHS >A09p080580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:58935863:58936903:1 gene:A09p080580.1_BraROA transcript:A09p080580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEPLELIVTVLSAKHLKNVNWRNGDLKPYVVLYLDSDHRLSTRSDDSTKPVWNERITLPLTRSVHESVLNVEIFHSNSSDLAEALVGSVRFPLVRLVDSEGAINSLELIRPSGRTQGKIRLKLEIKERPIPPQNYYSAPNSLQPIQPQNYYSAPEGNRYYSPPPAPITSPSPQRDYRDFSPYPLTDHYYSRFYYPPPPPPPRSMYDRASNYSLPRVPSAPVDHNPLPPRFPNYPPPPPSAPVDAFPMNEAPQPSGPSALVDAFPVNEHMPEAPPVGTRFSSYGVPSGPSAPVDYSPYDHRQLQKTMGGLSLEEERAVAAERSESEFGAGPSNSYCRDYRREC >A05p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4229178:4231997:1 gene:A05p010110.1_BraROA transcript:A05p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRKRDCMEKARPFISVVLLQVGLAGMDILSKAVLNKGMSNYVLVVYRHAVATVVMAPFAFYFDKKVRPKMTWMIFGKITLLGLLEPVIDQNLYYLGMKYTTATFATAMYNVLPAITFVLAYLFGLERVNLRCIRSAGKIIGTLATVGGAMIMTLVKGPVLDLFWTKGVSAPNTTGTDIHSAIKGAVLVTIGCFSYACFMILQAVTLRTYQAELSLTAWICLMGTIEGTAVALVMEKGNPGAWAIGWDTELLTAAYSGIVCSAIAYYVGGMVMKTRGPVFVTAFSPLCMIIVAIMSTVIFAEQMYLGRVLGAVVICAGLYLVIWGKGKDIEYPSTPQVGDESTQPKLELSRNVKDSVGHEAITINNQGEQRRTFVETV >SC254g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:63368:65200:1 gene:SC254g500020.1_BraROA transcript:SC254g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGLCNQKSNWKTDETRPRPCKRGNLKLGAKRSTRKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRKTFFKNINFCIFGFSGDFGCPWVILAHVGCLFSTHGRPCVSVSTHRTSVAVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRDVRQHTQDVRCCPCVSVFPSVHTGRSSAHTGRPSAHAGRLWLSVCVRVSVSAHRTSVSTHRTSISTRRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQGVRQHTQDVRQHTQDVRGCPCVSVCPLAHAGRPSVNTGRPSAHKGRPWPSVSTQRTSVAIRQHTQDVRQYTEDVPGRPSAHTGRPSAHAGRPCVSVCRSVHTGRPSAHTGRPSVHTGRLSAHTGRPWSSVSTHISMLALPVDCSGDFGPRGLFKPENKENRFL >A09p082050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59527041:59535150:-1 gene:A09p082050.1_BraROA transcript:A09p082050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKFKLGRKLGSGSFGEIFLGVNVQTGDEVAVKLEPLRSRHPQLHYESKLYMLLQGGTGIPHLKWFGVESEYNCMVIDLLGPSLEEFFNYCSRSFSLKTVLMLADQMLNRVEYMHVRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRDNKNLTGTARYASVNTHLGIEQSRRDDLESLGYMLMYFLRGSLPWQGLRAGTKKQKYDKISEKKRLTPVEVLCKNFPPEFTSYFLYVRSLRFEDKPDYSYLKKLFRDLFIREGYQFDYVFDWTILRYPQFGSSSRSNARPRPSLRPALNIPIPPSAEKAEKPSTGQGTRDRLSGVFEAYTRRNGSGTGVQADWSSRPRTSENVFASRDTFNKERPITISRNQSLSRKAVAGSSVRATSSADFLENRSSRVVLNNGRPATTQRTQFPPPSSSLATKSAAPSRLPPDVTLEFLTIGNGKRNYYHQLSSWLNTHKSMYMNMREHIWITMMPEKPISPPCRDGRIHMDNVLFTRVTFHCGPNGDGLLGTGGHDCKPFRLAGLCLRASFILTSKRRILSLRRRDVAVLFFPYRSDPAGQNVSSERLKPAYHLILEDGRLQKKIKMNAGFGMRKDLTRVSNRLECSLCQRIFFTPQDLITHTNTFHSNHHYSNFSSSAVAPALALGPAPAPATFRHYPNLNRNPNPTFPAMNRPDLNIYRSGPTDEQGRLLRGSLAMTPASTNVLFGQQEKPKLMDLFPMMPSEGLRTLPLLSQLEERTPQDTATEQGGAISSSIDLTLRLVVSVVVAVVSVVVAVGMIIVNKERPITISRNRSFSRKAVAGSSVRVTSSADFLENRSSRVVLNNGRPSTTQWTQFPPPSSSSLATNAAAPSRVPPDVTLEFLIIGNGKRK >A03p059300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25795094:25797804:1 gene:A03p059300.1_BraROA transcript:A03p059300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQRKIMKREENENNNQPSLQEEGQETEEEMSGRKIEPWTKQITVRGVLVSIVIGVVFSIIAQKLNLTTGIVPHLNSSAAFLAFVFVKTWTKILKKSGFVSKPFTKQENTMIQTSAVACYSIADGGGFASYLLGLNHRTYELSGANMEGNSAKSVKEPGLGWMTAYVFAVCFVGLFVLIPLRKVMIIDLKLTYPSGLASAVLINGFHTQGDAQSKKQVRGFMKYFSISFLWGFFQWFFSGLEGCGFAQFPTFGLKAWKQTFFFDFSMTFVGAGMICSHLVNLSLLLGAVLSYGLMWPLLDKLKGSWFPNNIDEKNMKSLYGYQVFLSVALILGDGLYTFVKILCVTIISINAKLKKQPNDLDDVSDKKQPKFLKEDENFLRDKIPMWIGVAGYLTFAAVSTLVVPLIFPQLKWYYVIVAYIFAPFLAFCNAYGAGLTDINMAYNYSKIGLFILAAVSGREDGVVAGLAGCGLIKSVISVSCILMQDFKTAHYTMASPKAMFASQMIGTVVGCIVSPLSFFLFYTAFDVGNPKGEFKAPYALIYRNMAILGVEGFSALPLHCLQMCYGFFGFAVLVNVVRDVSPAKVGRFMPLPTAMAVPFLVGAYFAIDMCVGTLIVFVCEKMNRKKAEVMVPAVASGLICGEGLWTLPAAVLALAGVKPPICMKFFAS >A03p038630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16092620:16110104:-1 gene:A03p038630.1_BraROA transcript:A03p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC8 [Source:Projected from Arabidopsis thaliana (AT3G10380) UniProtKB/TrEMBL;Acc:A0A178VA38] MEKLTFLLHIVVFIACAYPSSSSTLLNDRSFEISNLPSSRAEKLIRELNLFPKLDVNVIDVTDSTLASAEEEEVPSIVERSFRFPNIVSDGGATVEDLGHHAGYFKLPKSQGARMFYFFFESRTKKKDAPVVIWLTGGPGCSSELAMFYENGPFKIDKNMSLAWNEYGWDQAFFAEHPTLANKDFYITGESYAGHYIPAFAARVHKGNRANEGVHVNLKAYPAIQYPAYPDYALEMGLITQAEHDRLEKIVPLCELSIKLCGTDGTTSCLASYLVCNTLFTGVMKHAGGVNHYDIRKKCEGSLCYDFSNMEKFLNLQSVRKSLGVGDIEFVSCSTSVYQAMLQDWMRNLEVGIPTLLEDGINLLVYAGEYDLICNWLGNSRWVNAMEWSGKENFKATKEVPFVVDGKEAGKLKSYGQLSFLKVHDAGHMVPMDQPKAALEMLKGWMENSLTGVDAVTTSTTTEGEDLVAQMPSSSSSSSSSSSSSSYKNLVSSHSFGLATSSLSWANNTPHHTKLKQKQKLCVKGSAQEIPQTLEEDSKFVPLDPQDPVFGPPVLLLLGFQIHEAQKIQELLKELDGEFMKIMFCTEDMIPRSLWEAVNTRQTDLKRVKIAESLPRICFLSGLTGEEMMMFIDAFPESGLEPAVFAAMVPNSADKPISELMDEIMGDHELLYLREELARIDESWAVARFDSLPHVVHILTSKDREADIHVLREQSDVVEEVVDEVVHAYHGGFNKAIQNYSQILRLFRESTEKLGDLKHDLADAKKSLGTRNKQLHQLWYRSVTLRHIISVLDQIESISKVPSRIEKLIADKQFYAAIQVYLQSSLMLEREGLQTVGALQDVRSELSKLRGSLFFKILDDLHAHLYNRGEYSSVASSIYERDDEVPTTTAVAASRMSSQPLSRRTRTLKGDSQFGARGLTNGSHRSASIEEGSSFDGHDEEDSVEHDEASADSKLLSYHLPPWLSDSTPDEFIEAIRKSDDPLHVKYLQTLVECLCMLGKVAAAGAIICQKLRPTIHEIIISKIKAHMETRNLSKSGSNQGDRTGAVGLHIIKGQSEAYRLSKDKPQNGMPNSGSHLAMSPVSPLMAPGGKAQAAAKDLLDSILDTIVKIFENHVVIGELLELKASQHDINTPKSLPTDVNWNTDPEASQVTGGYTISLPLTVLQSECQQLICEILRATPETASADAAAQTAKLAKKAPKKDKRQVILSIGSSYIHRDGFPNIMGAPEDGLTFTFRFTDATVSLSNQGADLIRQGWGKRASNASQEGYGSAAVLPEQGIYLAASIYRPVLQFTDKITSMLPKKHSQLVNDGLLTFTENFVKDHLLPTMFVDYRKGVQQAISSAAAFRPRAHTSTYTPTVEKGRPILQGLLAIDLLAKEAKWMDTSHSMVLGWAQAMPKFATDLVKYVQTFLERTFERCRTSYMEAVLEKLSYRLIGRHDIERLMRLDAASACLPSSLGHSVSHSEAVGSGVELNDLFLSLPSIKQDNLIRDDNKLILLASLSDSLEYVADSIERLGQAVPRAASQDSNSRNQATSPRNLASFADEYRKLATDCLKVLRVEMQLETVFHLQEMTNREYLEDEDAEEPDDFVISLTSQITRRDEGMAPFISGEKRNYVFGGICGTAASASIKALADMRSINLFGVQQICRNTIALEQAMAAIPYIDGESVQQNLDRVRTYFELLNMPFEALLAFIAEHDQMYSNLLKVNVPGRDSPPDAQHRLSEILSH >A09p055590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:47507387:47508487:1 gene:A09p055590.1_BraROA transcript:A09p055590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPGHLLNKVLFKTDLRALAMLCCANTSLQSHIQNPSFVSEYYSQIKSSLLHISTYGSPYLVYHHPHGGSRSHKTKNSLMECHIFGCCSGLLLLFIDDRLCVTNPIRKKFRFLTRRDKRKQLGLAVNQLDRTTNNFKVVYIFEMANTDETKYGFEINAGDSWTCSKTTLTCHTSNLDDRMKNPVYLDGALHWLRNDGSIISFNPKTEKARLIKIDFPQGLTSRTLFAPGVNSLTLVSANEEVIYFYALKNILSDSKWVLEKQIQNGVIDKNIVSWYVEAYNGKCLVLRTWYDGVVHVYDLIDNKWVVMGSVPTWCDANRDFFLFTPSSSSVVGLDEILACGDSLRLIMGLVDGSLSEKGGEATC >A06p047370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25167063:25170944:-1 gene:A06p047370.1_BraROA transcript:A06p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein 3 [Source:Projected from Arabidopsis thaliana (AT5G48385) UniProtKB/Swiss-Prot;Acc:Q67ZB3] MDPQSSAMEDTRSVASLMDSTSSKIQQLQKAFAELESQRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKKKEAVEAKEKVSLERLQKKRDAAVFAINSALVKYNNPPPISITSAEDSPNKAFAADGTDENPDGTVQEAEISPEVKAYPQLLKLCGDMDSAGLHKFVSDNRKNLASLKEEIPAAFKAAANPASLVLDSLEGFYPVEAPNGDGKKDGNLLGMRRTCIMLMECLSVLLSGLDSNSLAVVLSEDVKQRAKSIAAGWSPLLGSLEMDACNGNSLEAHAFLQLLASFDIVSGFEEDGILKLIPMVSRRRQAAELCRSLGLSEKMPGVIEVLLKSGKQIDAVNLAFAFELTEQFPPVELLKSYLTDARRSTSQGGPGNASPADEFNERELTGLKAVAKCVEEHNLEEQYPVEPLQKRILQLEKAKAEKKRATEPTKPQTKRPRGAQPRVTDNHNNNNNNNKTGYGRVIPERYPQYVYDNRQFLNGPIMAAQAPPPPPPQTYTFSPAAAHGNFYGNCYQYQPPPPPYFH >A08g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11775670:11776230:1 gene:A08g506830.1_BraROA transcript:A08g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGRSEDEAVQLYFQRLTMTTMLRMVVKRSLADFLFLVTTHHTHGILETFSYMFSLCCLGWKPMTKKLNRFVLMKSVMIRIAHKEVHDAVFGGPARNREEDVKEAKESVENGNQSFG >A02g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22133796:22136726:1 gene:A02g507970.1_BraROA transcript:A02g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSILSLPEEIQVLVVELVAANSFADLYRLRTTCKSMKALTELPTVYASFDVFNFPWYVHMPHLLLRTLYAAGNPSALYIKGVQFFFTFGLQEEGLALMKRAADAGFERALYTYAMTCKIFWDDEEYFSRLTRKNVDMIGRVVRSLNWGRGMSHNIAFVTKRDEFISSVIPLFYSCECTPCLDRDWYLWHIENSKAPPPELSLSPSWGAVPAEETCKLISFSLLLLFGLSSVLDQKKNGYVRVLPHSEKKGNAKVQFSGPETGDGNVTTSKLWRQVGLENPSILQSMAALMFHDGNSLHIWFVFCEKVSFSAVD >A03p001180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:612388:614323:1 gene:A03p001180.1_BraROA transcript:A03p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYAETKITSLLGLGCQEDTSFRFAYLLCAVLLQPIKKHSLCKRSLPTFVLTQNNSLSVESFFVSALKEMTMAANFARRLIGSRTTQILGTVNSTSTAATSAARAFCSSTTPITATLFPGDGIGPEIAESVKKVFTTAGVPINWEEHYVSTEIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEDGVALAEAVHGSAPDIAGKNLANPTALLLSGVMMLRHLKMNEQAEQIHSAIINTIAEGKYRTADLGGSSTTTDFTKAICDHL >A10p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1527237:1528186:-1 gene:A10p002930.1_BraROA transcript:A10p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEPEVAAAGVPKKRTFKKFAFKGVDLDALLDMSTDDLVKLFPSRIRRRFSRGLTRKPMALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK >A09p076270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57117293:57118870:-1 gene:A09p076270.1_BraROA transcript:A09p076270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVFIFIISMLINLSHGAMQMPYMTSDPREVSGKSFDYIVVGGGTAGCSLAATLSEKFSVLVIERGGSPFGDPLVEEKKYFGYSLLKTDEYSSVAQSFTSRDGVENYRGRVLGGSSAINGGFYSRASEEFVKKAGWDKDMVQESYKWVESKVVFMPELTQWQSVVQFGFLEAGFYPYNGYNLEHTQGTKIGGSIYDQCGKRHTSADLLGFGRPNHITVLLNATVKSVVFDGNKTRAVGVRFMKSDGSSSKSYKVHVEKRRGEVILAAGALGSPQILLLSGIGPEDHLNDLNIPVVVNLRDVGRRMSDNPAISLLVDRFSQNRTLEPPQVAAIAEGYKYILESEVLPTNITTTRISIAAKIAFPKSRGRLKLNSTNPRENPSVKFNYLGSKEDLEACLEMVLLLQHVARSETVTFYLRLQNREKLLAGDEELTSFCKDNVRTYYHYHGGCVVGSVVDEDYRVSGVRRLRVVDGSTFEESPGTNPMATVLMLGRYQGIKMLKEREAEKEGDESFLSPQGSPQPQP >A04p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5488971:5491499:1 gene:A04p014400.1_BraROA transcript:A04p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLKYLSLVGAGALIGSVSTVALLKLLSRSSVKQQHDETPLTKLLENSIASETTTTTTVTAAIGQDLLADEIVSEHLTRNIQFFDLEAQRKVTGSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSLSSLNRHAVATRADVGIPKAVCLKKHFSSIFPECHVEAKVRLYDSSSEEEILSGNPDFVLDCIDNIDTKVGLLAACVKRGLKVLSATGAGARADPTRIRVADLRESTIDPLSRSVRHRLRREHGIEGGIPVVFSLEKPKAKLLPFKGPNGEDENPSDYQVVPGFRVRIIPVLGTIPAIFGQIMASYVITQLAGVQVQMEPIVNLDLDHYRMLHQRLIEHEEAVYGTSAQVQVDVEEVKYIVKELWHGRSARDEAAKDVGRGMWRAMNELMLVRWDAEKPASVSNLILLKFKEADEHEAKTIEEVKETEADFFEKVSCVLKKAERDFYG >A03p013890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5478501:5483929:-1 gene:A03p013890.1_BraROA transcript:A03p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKIVNGPGGYILQDVPHLIDYLPDLPTYQNPLKDNPAYSVVKQYFVDTEDTVPEKIIVHKDGPRGIHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSHMYGVKRILGIDGGYRGFYAKNTIPLTSKVVNDIHKRGGTILGTSRGGHDTKKIVDSIQERGINQVYIIGGDGTQRGASKIFEEIRKRGLKVAVIGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESNENGIGFVKLMGRHSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKEHGHMVIVLAEGAGQEMMTKSMETNTLEDASGNKVLKDVGLWLSQSIKDHFKKIKMVMNLKYIDPTYMIRAIPSNAADNVYCTLLAQSAVHGAMAGYTGYTSGLVHGRQTYIPFYRITEKQNSVVITDRMWARLLSSTNQPSFLGPKNISEQKKETHETPSPDGEICNGVVHIPPVTKEITNLTFQLLLLLHVSSESSWITDDASRRPTKFLEYAKSPEVFDWMVGIRRKLHENPELGFEEFETSKLIRSELDLMGVKYKYPVAVTGVVGYVGTGEPPFVALRADMDALPMQEDVEWEHKSKVPGKMHACGHDGHVAMLLGAAKILKEHHQDLQGTVVLIFQPAEEVFAGAKKMIEAGALKHVEAIFGIHLTNQVPLGKTASRAGSLLAGSGFFEAVITGKGGHAAIPHHTIDPVIAASSVVLSLQHLVSRETDPLDSKVVTVSKLHGGNAFNVIPDSITIGGTLRAFSGFSQLQERIKEVITKQATVNRCNASVNLKPNGKEPVPPTVNNMDLYKQFKNLVRDLLGEDSFVEVSPIMGGEDFSYFAEAIPGHFSFLGMQDETKSYASPHSSLYRVNEDALPYGAAMHASMAVRYLEDKASKGSDTPKDEL >A05p007020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2837504:2838109:-1 gene:A05p007020.1_BraROA transcript:A05p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BON1-associated protein 2 [Source:Projected from Arabidopsis thaliana (AT2G45760) UniProtKB/Swiss-Prot;Acc:Q58FX0] MSYSTMKRSLEIELISAEGLKVDRKPVKRKTFCIVRIDQKTRVCKLDESGGSCPTWKDKIEMEMPINGSVRFLSIEVLYRTSGGADKNVGFAKIPVTDFMGGFAPQGHLNFLSYRLRDEYGDKSGIINVSIMVKPDGNNIKYSTPSPLVVATRDYAACSSQAAVNGQMWRPKTSSSMAVGAGYGGREVTGVPVWCTYQQSS >A03g503990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14093621:14098314:-1 gene:A03g503990.1_BraROA transcript:A03g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYISHADETERKARILRVQQGIDESKMEASIRMTKITTVLDKGKGHVFSYKEPSEDDLFCADKRHRSKARLHINEKEDDDTESSASHFSASSEPVFSTGFRLGPSSEGRVSGTQGMSKSSRRRPSSWNVHRVRQLFEEEDVAIVLNTPFNLRVSDAVVWGFSRNGSYDSKSGYRLLECIQEMESPVTQALPPLEKKLWSDLWKTKTSPKLRHFLWRVLSGALAVKERLRSRGMNLDTTCPLCGHHQETICHVLFTCDVAKDTWARAKVPLPPAGFSSNSVLLNLYHLFSISKKQSMGQTERLRFPWVLWHLWKARNSFCFEQKRVSAENVLSKAIEEASIWFKLAQADLEEKKSQGEDQSEQVWRKPPMGFVKCNVGCSWSDSSIHSGASWIIRDFRGQPMEHSRRSFIGMKSIIEADLETICWAANDLQTLHWNKVIIEISSPQALEALNNPAKFPGLANLIERTRQALHRFHNCSILVVNSVVNVVAGKIAVSVTNDGRFSSYIARGGPRWLSDIICAEAANSPFSLP >A05p046460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27596520:27600136:1 gene:A05p046460.1_BraROA transcript:A05p046460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMALELSVLGRSVVSTKASNLKRYGQKPNLSGRLLTRAAELHCPVMSSKNSTLSFRFRRSCELSSSYRSRFMLFSSSQCSQQSSDSGGKELEWIKVLLKRGIVIGAVVCGVYLYGCKKVLASSSGVVEAGHEVFGQGLVLFKNALPKIYQVLKVLREQGLILAALFGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIAATALVTEAATAIFGEAGVSAATGLMTVAILLLTEITPKSVAVHNAQEVARIVVRPVAWLSLVLYPVGRIVTYLSMGILKILGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASGSLVDFHSMWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDYVQKGDLLESTSVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDEGVYDVDANTSIDQLSEELNIKMPEGIQYETVSGFVCEAFGYIPKTGESVKVVLEKENWEEEGEEEEGNKQERQEQKEKHQIYRVEILAGNARKVSAVRFERVNDMDQVSEAKDVRSMVPKFVRKWSSEEDDGNSSYQEKTEDAVSDDEHVLADNSNKQH >A07p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3435954:3438328:-1 gene:A07p003830.1_BraROA transcript:A07p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSEVSRIWDYYPKLGMKASLWIKRVKERLGHLLTTEEDAHYKFFNVLLRRRGCGISGINIEKIKSEESNKDSALIDDKPPTAASVNVFLRQALHADVRNPDRSSIGSHDPSRPKIVGVIAILLIHITDVKTIVLLAKKLNSISRSGGDLGGRGGGRGGGGYEDHVGMEKKKNVRDRKDVEWFVDQ >A09p046080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40296765:40303666:1 gene:A09p046080.1_BraROA transcript:A09p046080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQANGRGRFHFAELGTGGLRVICPQCHVPFTPHLGHLIAARLPCKNCKANLSYRSSTGGTVKCPCCSSWRPMPSLKSLVCGGCNATIIYQKDDRSVKCYPCKHITHPQETNDGDSSFYQFVPLQVNGVGPFLGNWVKFQEVDRVVPPQTNRVIPPHVNLVNPPHVNLVNPFIVNRVVPQTNPLIPHQVNRVFHPQTNRVNRRNRKANAETESTATSRFTPNPESESTSAFVADYVARLVRDCPPGMSANKRKDMSLKNHHPPDKDTDKDTSMEQPSSPRHRKVLARWLPDEAQRPIVDEAPVFSPSLEEFEDTLAYIEKIRPLAEPYGICRIIPPPTWTPPCRLKEKTIWEHTKFPTRIQNVDLLQNREPMKKKPKSRKRKRRRNSRMGSSRRRSASASASGSSPSEPASSPEAEEKFGFNSGSDFTLEEFEKYAQHFREAYFEKKDSVGETKWTPSVEDIEGEYWRIVEQPTDEVEVYYGADLENVVLGSGFYKKGDRDIDQYVVSGWNLNNLPRLPGSVLSFEDCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATALEKTMRKHLPDLFEEQPDLLHGLVTQFSPSILKDEGVQVYRVVQNAGEYVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLAHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWELSASSVGNENTTNLRWKSFCGKNGTVTNAVQARLKMEEERLANIGRESLSLVKMEKDFDSNCERECFSCFYDLHLSASGCKCSPDEYACLKHSDDLCSCEEKDRCVLLRYTMDELSSLVRALEGESDDLKIWASKVLGVQHSDEYQNKTISVTKEEENKLKEGSFDLNIDLELDCLENAKEEEVSTSGEINTSENFSASVEPINLGFLIFGKLWCNKHAIFPKGFTSRVKFYNVLDPTRMSYYISEVLDAGLMGPLFRVTLEESPDESFFNVSAQQCWEMVLQRVKHTSTNLGLTTLPRLESINGLQMFGLLSQSTVQAIEALDPNHRLIEYWNHKNQTQSESKDHFISSNCSMGEGWFMLPSMQLLELCKCKPWNLTGAVELHMPLIINEKPL >A07p045940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24876253:24880672:1 gene:A07p045940.1_BraROA transcript:A07p045940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRSKLRLSNLYTFGCLRPSTLEGEDPPHPLQGPGFTRTVFCNQPHMHKKKPLRYRSNYVSTTRYNLITFFPKSLYEQFHRAANLYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMMKEALEDWRRFIQDVKINARKTCVHKTDGGFRSRKWKKVRVGDVVKVEKDEFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLDDDESFKNFMGTIRCEDPNPSLYTFVGNLEYQRQTFPLDPSQILLRDSKLRNTAYVYGVVVFTGHDTKVMQNSTKSPSKRSRIERTMDYIIYTLLVLLILISCISSSGFAWETEFHMPKMWYLRPDAPEDLTNPISPVYAGVVHLITALLLYGYLIPISLYVSIEVVKVWQASFINQDLRMYDDESGVPAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGASYGVRSSEVELAAAKQMAVDREEHGEMVTSTRVYGTCDSSGTREIEVESGGDNNDNPRVPIKGFGFEDNRLMNGNWLRESQPIDILQFFRILAICHTAIPELDEESGKYTYEAESPDEASFLAAAREFGFEFCKRTQSSVFVRERFSSSGQVIEREYKVLNLLEFTSKRKRMSVIVRDEEGQILLLCKGADSIIFDRLAKNGKTYLGPTTRHLTEYGEAGLRTLALAYRKLDEEEYTAWNSEFLKAKTSIGSDRDELLEKGSDMIEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMRQICITSINPDGGSQDSKRAVKENILNQLTKAVQMVKLETDPHAAFALIIDGKTLTYALEDDMKYQFLALAVDCASVICCRVSPKQKALVTRLVKEGTGRTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSVYNDYYLLLFNVVLTSLPVIALGVFEQDVSSEICLQFPALYQQGTKNLFFDWSRILGWMCNGVYSSLVIFFLNIGIIYSQAFRAGGQTADMDAVGTTMFTCIIWAVNVQIALTMSHFTWIQHVLIWGSIGLWYLFLALFGMMRPSLSGNIYSILDEILGPAPIYWMATLLVTVAAVLPYVAHIAFQRFLNPLDHHIIQEIKYYKRDVEDARMWTREGSKAREKTKIGFTARVDAKIRHLRSKLNKKQSNLSHFSAEDAMSPRSL >A03p019240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7836564:7837711:-1 gene:A03p019240.1_BraROA transcript:A03p019240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITELEMGKAESELELGLGLSLGGGTASKIVKPGGGGAWGERGRLLTAKDFPSVGSKRAADSASHAGASPPRSSSQVVGWPPIGSHRMNSLVNNQATKSAKEEEEDGKKKAKDDETKDVTKKVNGKVQVGFIKVNMDGVAIGRKVDLNAHSSYENLAQTLEDMFFRSSPGTIGLTGQFTKPLRLLDGSAEFVLTYEDKDGDWMLVGDVPWRMFITSVKRLRVMKTSEANGLAVRHQDPKERQRNKPV >A03p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14991483:14994320:1 gene:A03p035750.1_BraROA transcript:A03p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDASTALAAREKVQQFLNAACTGNLEFLKNVAKQLDEGKGLKTTVESVKDANKRGALHFAAREGQTEICRYLLEELKLDADTKDEAGDTPLVHAARQGQVGTAKYLLDHGADPNIASELGATALHHAAGTGEIELLKELLSRGVPVDSQSESGTPLIWAAGHDQKEAVQVLLEHKANPNAETEDNVTPLLSAVAAGSVACTKLLALARAKANVFAGGATPLHIAADIGDYELIIILLKAGADPDQKDEEGNRALEVAALRENRTIVETLFRLTTKLESVSKWTVDGVIAHMKSKKEQEGNSNSPNSGETVIKKDLPEVSPEAKAKAAEAKARGQDAFQRKDYQMAIDAYTQAIDFDPTDHTFFSNRSLCWLLLGQGEHALSDAKACRELKPDWPKACYREGAALRLLQRFDESANAFYEGVLLSPESKELIDAFREAVDAGRKFHGKDKIKDKS >A09p018710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9893782:9895189:1 gene:A09p018710.1_BraROA transcript:A09p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-type anion channel SLAH4 [Source:Projected from Arabidopsis thaliana (AT1G62262) UniProtKB/Swiss-Prot;Acc:A8MRV9] MEILVVPCQEIQIKIDYPISRKKKRYTNLADAEPIVLMSVLSSLHAGYFRISLSLCSQALLWKTMVHLHTDLPSMAFHLLWYLALATHVSLCFLYAFKCIFFFDLVKEEFSHYIGVNYLYAPSISCLLLLQSAPLLEPHSVLYQTLFWLFAAPILTLDTKLYGQWFTTEKRFLSIMANPASQVSVIANLVAARGAAEMGWRECALCLFSLGMVHYLVIFVTLYQRLPGGNNFPTTLRPVFFLFFAAPATGSLAWNHISGTFDTVAKMLFFLSLFIFVSLVCRPTLLKKSIKRFNVAWWAYSFPITFLALDSVQYAEEVKHHVASALMFIFCSISVLIFLGVMLLTAANSKRLLRRDPVLWSATGPKTDKKSLSANANW >A01p045280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25603231:25605666:-1 gene:A01p045280.1_BraROA transcript:A01p045280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGDPTSILFTKIRTLEPDFASKIIGYLLLQDLGNRDLMRLALGPDTLLHSVCLKAKSALGLSSNGSSSSSISRPINIHRHSPRTNGFMEFSRNPLSPSVNANVGSSQFQESSSLFASEGGNGDVLDEQQLGDYLSFLNNNDESADLFDNGDAHLHKRSFSASDVCETGFGGGGGGGYSRFAHGGGLGDDFDSLPGGFGSPDYVSRQQEEIARMKLAQRQRMAAAQFLAASGGSPMSYDSKDINFLLHSRNGYRSGQFGDEGYWFGSPGRHERDEFMGMMGDKSNSASKQIYLTFPADSSFTDEDVSNYFGNFGGVQDVRIPYQQKRMFGFVTFVHSETVRTILARGNPHFICDSRVLVKPYKEKGRILENRRQQQQLLQQMERGNFSPGSSPSGLDLFDCHLAPRMFSNTQEMMMRRKAEEAELQQAFEFQRRRLLNLHLPDMDSELFHHHQRSLSIGSPVHFPSRSNGVSGRFQSEVNHAFLTDTDNNNSQEGGYINHLNNGNETSLENALPDCFFASPSKTAETQQPESEKENCATSTIQTS >A07p000880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:437819:439337:-1 gene:A07p000880.1_BraROA transcript:A07p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF502) [Source:Projected from Arabidopsis thaliana (AT2G20120) TAIR;Acc:AT2G20120] MGDEKPTVVMANRERDLELLMPVADKDEGSTSKPSSSSSSSSSHHQASHETLSLFIRGWASKKFMTGCVILLPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGFGFLTSIAFIFLVGVFMSSWLGASVLNLGEWFIKRMPFVRHIYNASKQISTAISPDQNTQAFKEVAIIRHPRVGEYAFGFITSTVVLQNYPTEEELCCVYVPTNHLYIGDILLVNSNDVIRPNLSVREGIEIVVSGGMSMPQILSTIDKPAPSIDRATSV >A03p023740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10022412:10025199:1 gene:A03p023740.1_BraROA transcript:A03p023740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVKEMNRGARNLKQPASEQDFTQCQSISQIRDAKADNNSSTLFKRKKRRANRAYNLLVMGCYLGLPLRTRRRKRRYMSEIPLDLLVEILIRLPGKYLARSKCVSKQWSSLISSRYFCERLLTITQRKQQPHLYMCLVDRDGQRELLSMSSTSPDNTCFVVDQDLSIPGMGGYFLNGLHGLMCFSMGEKVCIYNPSTGQRLTLPKIKPDIIAEPGQIRCVQQNHIGYDPVDNQHKLLSTIVIHSDKLVNLQTEHWVFVLEPGASWKKVVPPENYRHHVPFIPGISISGSVVYYLAWLDMDTHAIVSFDVRSEEFTTIIAPLVDVRYLIPAFEMRAELIEYGGKITIFEYSYLKTEGTTVLWVLEDAEKKEWSTRNLVLQPCQMHLVQDIEFIVKGTTQDSKVILAPMDVHSSGFYILRYDLQSNDLSKVEIKGIPHLWFDKECYFDLSSGGRCDHPWCGTPILVTTPVGETVADMVNEGRFGPEDAIRYAESLINMLYFCFGDLPDLDSVEGALQWRKTSWSKRLIQQKLGDVSTILKAFSDYVDGLCGTPYPLDYGIWLRRLKRNISDDHGALCFNLSETGKLYDETVERLGLAQMQWIQPPHLVPLESTL >A04p015770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9767346:9767729:1 gene:A04p015770.1_BraROA transcript:A04p015770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEECSSSESGWTTYISSPIKVDEDDVVDEGYYEGYNIYNYYRKGENEEEKNKDSDDSMASDASSGPSYQRYHQKNNKSGRREACNLKNGKSEGNSKSNNDHKKTGSSYKKKEKKTKDDKTTYRMK >A04p016800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10315840:10316314:-1 gene:A04p016800.1_BraROA transcript:A04p016800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFLSKVKIEFNTLDPRLASCVEFLAQCNARKAKESNPNCQVLVKRRTDDQPPQISVTFVNGVEEAFDAAATSAQSIRKMILDKGQYLETEQMFREAGEQWPVIIPEEEIHQEAPGVKPRKAEDKKQ >A03p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2821359:2822473:-1 gene:A03p006730.1_BraROA transcript:A03p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHFVYKDVEGTSTQWDDIQRKLGNLPAKAPAFKPPAYTPEQDESSAPKDQAWFDKKTEEELEDLEDDKDLDDDRFLEEYRKKRLTELREAAKVKRYGSVTPISSSDFMREVTQASAEDWVVVCLYKDGFAECGLLLGCLDELASRYPATKFVKIISTDCIPNYPDCNLPTLVVYRHGAVKGTHVGLKSVGRRCTPESVALVLCQSEPVLNDGKSGDDDSSREAVMAGVRRQFIERVVKDHEDKDNDDDGYNSD >A01p047170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26590191:26592815:1 gene:A01p047170.1_BraROA transcript:A01p047170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT3G18990) UniProtKB/TrEMBL;Acc:A0A1I9LQ83] MPRPFFHKLIFSSTIQEKRLRVPDKFVSKFKDELSVAVALTVPDGHVWRVGLRKADNNKIWFQDGWQEFVDRYSIRIGYLLIFRYEGNSAFSVYIYNLSHSEINYHSTGLMDSAHNHFRRARLFEDLEDEDVEVVHPSTLYPSQHPETTAHANKGHTSSAIQSFFAEPVKAEETTPTPKVPKKRGRKKKNADPEEINSSAPRDDDPENRSKFYESASARKRTVTAEERERAINAAKTFEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKVQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNLGEGDVCVFELLRTRDFVLKVTAFRVNEYV >A09p020350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10763463:10765474:1 gene:A09p020350.1_BraROA transcript:A09p020350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTTMRRLGIITVTNSAVVTPTLIYSTASFARNLTLPSPLRNHHLTNSIRLLKSRSVLSSLSCFSSSAAYLPALDEFPSTKGSVAMRDDNKKVILKGMSYASLQEWVESHGFRPGQAMMLWKRLYKDNIWAENADQLQGLNKDFKRMISEHAEFGALSFKDVRSASDGTRKILFTLDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLKRNLTAAEIVEQAVYARRLLSHEVGSITNVVFMGMGEPFHNIDNVIKAANIMVDENGLHFSPRKVTVSTSGLVPQLKRFLRECNCALAVSLNATTDEVRNWIMPINRKYKLSLLLETLREELSSRHKYKVLFEYVMLAGVNDSMEDARRLVELVQGIPCKINLIQFNPHSGSQFIQTDEDKMIKFRNVLAEGGCTVLMRFSRGNDQMAACGQLGMLGAIQAPVMRVPEQFRTALKASV >A01p009930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4813942:4814163:-1 gene:A01p009930.1_BraROA transcript:A01p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAMP-induced secreted peptide 1 [Source:Projected from Arabidopsis thaliana (AT4G28460) UniProtKB/Swiss-Prot;Acc:Q1PE40] MRRVSWSTVLIVVMMVSLFVVEHVVLPAEAGRVLTEKLGDGSATVIRVERMKSTVDYWFQRLASGPSPSGRGH >A07p004480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2848749:2857353:1 gene:A07p004480.1_BraROA transcript:A07p004480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFFLRTIIVLLLFLSLNLFGTFASLTPNLCHVPIELLQLTNLVSLDLSSSSSASNSYFAPPPTLLLSIENPPLFLPLLARNLRNLRALDMSSFSYMSSLRSLHLKNCYLIGEFPSSVFMIPNLQSIKLDHNPELRGELPVFSINNSMQVLSLLETSFSGTIPDSIGNLKDLVSLTLSESSFSGRIPSSLGELSNLSSLVLFSNGFIGEVPSSIVNLKQLTIFSVGYNKLRGNFPSGLLNLTKLRGIDLGSNEFTGFFPTNIGQLSKLEAIFAGSNFFTGSVPASLFQISSLTHIYLEDNQFSDILGLENISMLPNLQYLFLHSKSFRISSPVDFNVFSSLKQLVGLALSAIPLSTANITSDSDFSSQFRYVYLSGCNITEFPEFIRDQRNLDSLDLSNNNIKGQLPDWLWRLEELQVVKLSNNSLSGFDGSLKAVTGSQINVLDLSSNAFQGPLFIPSTSITYLFVSNNNLTGEVPQLICGLTSPTIIDLSNNNFHGYIPRCLGTNMSSLTDLNLRNNSFRGSLPDMFMHASKLRSIDVSHNRLEGKLPSSLTGCSALEVLNVEGNKISDTFPFQLNSLQKLQVLVLRSNKFHGKLHQYNGAWFGFPELKIIDVSHNDFHGTLPSDYFLNWTAISSKKDNTQLHYIGDFHDYGYYTSVVLMNKGVSMALERILTIYTAIDFSGNRIHGEVPESVGLLKELHVLNLSRNAFTGHIPLSLANLTALESLDLSQNKLSGEIPPKLGDLSSLGWINVSHNQLVGSIPQGTQFQRQNCSAYEGNPGLYGPSLKDICGDIHAPTSLPVSEEEEEEEEEEESLSWIAARIGFAPGIVFGLTIGHIVASYKHEWFMKIFGRNKHSFTHRSHSPKH >A02p047530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29645927:29648004:1 gene:A02p047530.1_BraROA transcript:A02p047530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAYLNLGSYVIVFVSLSIAIVAPSVQAHIAVFDDYWTQRQANALRQTMESYEPNPLNVTNHFNYHAALAMETTGADNGTRRELGQVKAGQKARGGRFKSLNAIDRCWRGDKNWAKNRKKLADCVLGFGLKTTGGKNGPIYVVTDASDDDLLSPKPGTLRHAVTRDRPLWIIFARTMVIKLQQELMITNDKTIDGRGVSVYITGGAGFTLQFVKNVIIHNIHMKFIKRGFGGLIRDSEEHFGLRTISDGDGINIFGATNIWIDHVSMRNCSDGMIDAIMGSTAITISNSHFTDHKEVMLFGAKNGDEFDKKMQITVAFNHFGKRLNQRMPRVRYGMVHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPKEDCKQVTKREYTAYPEWKSWNWQSERDYFLNGAYFVQSGKSNAWSAAPKNPIPKEFTIRPQPGTKVRSLTKDAGTLDCRPGKSC >A10p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9160293:9162965:1 gene:A10p005080.1_BraROA transcript:A10p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI12 [Source:Projected from Arabidopsis thaliana (AT1G05880) UniProtKB/Swiss-Prot;Acc:Q84RQ9] MDDSDIKRLGEILITAEEEEWLNTPDEHDQTETSTHQSYVTILKEGDIRSRMKEEIQRVSNTYSISEDDATLLLAHFRWDDIELHKKWSDNAKSVRESVGIFELERPLDLPSDDKNFYCGICFKLISLERSASVSCGHRVCNFCWRSHINKSINEIADVDWYGTLKCPYRCPASVGRDMIERFACEEEKTKYYRYLFRSYVEGSKVIKCCPAKGRSCDVQLTPGSGNFDVLCLCLLSFCWNCSKDVHSPMDCESAAKWLRMNSSDYQDPNWVRQNTVPCPRCNLRIRENQDCSLKMRCFPPCNYDFCWRCRGQWTEHGLDLYTCTHDEVSYEETAFGNMAEYAAGRYKDCHEKWMSNESLMQKAKAKLQQLHTDVIPDLSNKQLATVQQLEFVAEAWSQIMECRRVLKWTYAYEYYLGEDQVEKQDFLKLKQDNAEIPLEKLHYHAENQLNMLLDSDGPSENFNKFRSDLTDSTRITRNHYELLVRDLEDGLSNVVGASTSQRAHNEDDASGSSSIHDD >A04g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3013981:3017693:1 gene:A04g501120.1_BraROA transcript:A04g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFNKKKRGQKLDFPNPNIVGLSASLFHGDKDGDFVFSVMLPPVKSTTEPTEASLSTSTSLCYSPPLSTSLRLSPPLRFSPPLCFSPPLSASFLLSPPRRFSPPLAASPLIATSPLLSASPPLCLNLRTDNFFSVISVTGNGDVFLDAQYTREGEMEDERVDLVLERIRNKYDWSSTDWPVLDPEESKMEEPDSHDRGSEADKSVDHTDVVADEETSSVQVAGKGKRKFLDEGAETRKKKVLCKRSAEKFLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERVFTERMGKMEIEVSQLKDAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGAQAPPKSKGAEAPPKRKGDQPTPTKKDGKKIATETNDFDFGLSTQDLRDLSQATFVDGFDLSQVKAETSSKSKPFNMAPLQWNDEEMDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLIDKSEWLNSLEIDAAMYVFRERTSLKRWKPHRVAFMTVVFSNMIKKEYGHLEAQGRKSYMLHNLLLQFGKGVLPPHGRTHEIWNIDVDRLYVPVHVSGNHWIALCISFVTRSIEVFDCSGRKRYKEVDGFANLIPRIVKA >A03p006720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2817524:2821142:1 gene:A03p006720.1_BraROA transcript:A03p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFSGKVVPMDYEAVTSQRLLDAILDGDTKTASDYISDPLVDVNFVGAVSLKTRRSEVVLRDESASDVRVEYEEFKTDVTALFLAVNFGNVTLVKSLLNIGADVNQKLFRGFATTVAVREGHFEVLQILLKAGASQPACEEALMGASYHGRPMLAELLMGTDLIRPQVAVHALATACCRGFVDVVGTLLKCGVNADSTDRLLLQSSKPSLYTNVDCTALVAAIVNRQVSTVRLLLQAGVKTDIMVRLGAWSWDTNTGEEFRVGAGVAEPYPLTWCAVEFFETSGDILRLLLKVQSPNTPHNGRTLLHHAVLCGSQAAVRVLLNCGADPETPIRTSRGVELRPIHIAARYGSVEIIQELVGFGCDINSMTDHEDTALMISTRHKHSECVKVLALAGADFGLVNKFGHSVVSVAESNKWCLGLERVLLELIRFSVVPYSSNASVFSPLLYVAKAGDSEALKTLVKAQGVFIDYQDEEGFSAAMLAAMKGHVEAFRVLVYAGADVKLVSKSGETVVSLSEKNGYLDMIEKVMLEFALEKDNRNMAGGFYALHCAARRGDVKAVELLSGKGYGLDVPDGDGYTPLMFAAIEGHGKMCEFLISRGANCNAKNGRGKTLLDLAVGDAEKVIRNELSRRFVVKGSTVMKHTKGGRGKKHVKGLKMLESGVLSWGKSGKRNVVCKEVEMGMSQRFRRNRKGKGDAVEEEEGTFRVVTTANKEVHFVCEGGLVGAEMWVRGIRLVTREAICG >A03p021240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8768410:8771514:-1 gene:A03p021240.1_BraROA transcript:A03p021240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDTFNYNTRCRGLLRTSSASKKMEEEEKEGMRTVECLRGRLLAERQASRSAKEEAELITKKMEELEEHLKEEIRLREKAEKRLKYLMRKLEYIKGSRSSESSSEASCLSYVSTSASKEEEKETHENENVKEDKIDQATENVDSAEKKPSSKLKDGSSGEASVVASTSSHEGESQAGEDFLNCSVSCVFSANPLSTFPAMAAAVEIDAEIQQQLTNEVKLFKRWSFDDVSVTDISLVDYIGVQPAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDANPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A03p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15655171:15657751:-1 gene:A03p037370.1_BraROA transcript:A03p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRKTHQREDEDDVISPKPVALSKYSNRIELKTLLERSDGGARLAGKRVVIGGWVKSSRAVKKDSPPPPPPSQPSSVAVPPPPSTTKSNISCTEIIQSKMNIFRKLFDVLSGGGKTTYPIFDKPEITGQKATSPPEYTIYFLISDGSSVNSLQVVVDSAMSTIPTTQLMSLGTCIVAEGVLRHPLAASAKHVIELEAEKLLHVGTVDPEKYPLSKKQLPLHLLRDFSHFRPRTTTVGSVTRVHSALTLASHTFFQSNGFQYVQVPVITTTGVGEMFRVTTTLDREEKKHDGLSIETVKAAIKEKSRLIDHLKRSDSNREAVAAAVHDLKKTTDLAAQLEKKQKPKAATQKLDFFGCDAYLTVSGRFHLESYASALGKVYTYGPRFTADKIDNARHLAETWNVEAEMAFSELDDAMDCADDFFKFLCRYLLENRHEDMKFISKRVDKTVTTRLEATASNSLLRYSYTEAISLLQKATTRTFETKPKWGVDLTEEHLSYLTDEICKGSVMIIHSYPKEVKPFYVRLNDDKKTVAAFDLVVPKVGVVISGSQNEERFEILDASIKELGSTSREKYEWYLDLRRHGTVKHSGISLRMEHMLLFATGLPDIKDAVPFPRSWGKANN >A04g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5303304:5304057:1 gene:A04g502190.1_BraROA transcript:A04g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLTTFHPLRYKQLIDIATGTNNFPDVVGQIRMFQGNDLKNPRATTEVRLGLLLNMYRTFFLKKMSKMVRLTIMDNVCAQFRDLHSMTVMKYKVVIFTSINPTVFKGKLILATTPAIRFYCDSTIDLIQSFIRRNK >A08p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18548219:18549411:-1 gene:A08p030280.1_BraROA transcript:A08p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTVSDHNMAFISQLYPDVYTHIVPQGEVKPPKRRRKKSKRAVAAGDGSNCLFKKRKLTDEQMNMLEMSFCDEHKLESERKDKLAAELGLDPRQVAVWFQNRRARWKNKRLEEEYNQLKSSHDNVVVDKCRLESEVLQLKEQLFDAEREIQRLAERVEGGSGNSPVSSSVSVEHNETSFFGDYKVEEDGDYDNMVYPVPENSYMDGEEWMNYQLNVIF >A01p013810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6738502:6738998:-1 gene:A01p013810.1_BraROA transcript:A01p013810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHYTARFADGTLFDSTYKRAKPTYYADWCRQVSWCLLLVIIRLLDQGILGGEGVPPMRVCKWYPNFLTFGVSSFIRMMMKPMVLLFTGGKRKLQISPKLAYGPEVFFWYFPNHSSKDQEAVFKSWCYFV >A10p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7357559:7359608:-1 gene:A10p010140.1_BraROA transcript:A10p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILFLLRFGAARRIQSWSHILRKAWPDSRQFLEEAKAVGQLRNYRMANLLGCCYEGEERLLVAEFMPNETLAKHLFHCKIMTSSLLWLLQSLCKTQTVDICFRGVTTDEVGYATKTGKGRALYHDLNAYRVLFDDDSNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSYGTLLLDLLSGKHIPPSHALDLIRDRNIQMLIDSCLEGQFSSDDGTELIRLASRCLQYEPRERPNPKSLVTAMIPLQKDLETPSHQLMGIPSSASTTPLSPHGEACLRTDLTAIHEIIEKLGYKDDEGAATELSFQMWTNQMQDSLNFKKKGDVAFRHKDFANAAECYSQFIEGGTMVSPTVYARRSLCHLMNDMPQEALNDAVQSQVISTAWHIASYLQAVALSALGQENEGHAALKDGSMLERKRNAL >A06g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18908063:18912384:1 gene:A06g506800.1_BraROA transcript:A06g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNAESLPLPAKDSEAEHIDLDPSDVSADSDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPKNSRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPQDDPLPRHHQPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRSPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLSLSLQHLSLHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A01p044880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24078662:24083346:1 gene:A01p044880.1_BraROA transcript:A01p044880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVSHADETKRNARIQRVRQAITDAANAPPVFLTKITPDLDKGKGHVFDYPDISSRLQWPAPKKLQLSTSAPLALLGNESETESSNVSLPALLPPFDITTGFQLGTSSKDPTAGDGKSGKRARRRPPSWKRKMQSRNALVFENNRSSALSCVTKSVEEANIWFQVNNDAGAPSHDVVHPLPLSEHWVRPPMGFLKCNIGSAWDHPSGLSGTGWLVRDHQGTAINHSRRAFSESTSRREADLNSLLWAVESMVNMRLKNVILEASSIELREALLDPHQYPELKSLIDRILLLLSRLDSWSLIHVHSSRNRVATAIAVSVITDVRTQSYVATGGPSWLSHTILSEAQAV >A03p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7744677:7749335:1 gene:A03p018990.1_BraROA transcript:A03p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPEEPSRRDYAVTARLGVGSTGRRIQLCTNHFNVSVGQPDVVFYQYTYHHRRRDCGVSDGGSPSGSSKRSKRSFLPRSYRVQIHFSAKIPLRSVLATQRGVDTSDNSTQDAVRVLDIVLRQQAAERGCLLVRQAFFLNDVNSVDVGGGVIGIRGFHSSFRPTDGGLSLNIDVSTTMILKPGPVIEFLKANQNVELPRQIDWIKASKVLKNLRVKASHRNMEFKIIGLSAKPCNQQLFTMKIKDGECEGQTKEITVYEYFKQTYTEPTYSAYLPCLDVGKPDRPNYLPLEFCNLVSLQRYTKALSVRQRALLVEKSRQKPLERIKALNDAMHTYCYDKDPFLAGSGISIEKQMTLAEGRVLNPPTLKFGKNEDFLPSNGRWNFNKKMLLEPRAIKNWAVVNFSFPCDSSHISRELISCGMRKGIEIDRPFALVEEEPQSKNLSAVKRVEKMIAKMKSKFPIDPPHFILCVLPERKNSDIYGPWKKVCLTEAGINTQCICPTKINDQYLTNVLLKINSKLGGINSLLGMECSSNIPLINKIPTLILGMDVSHGSPGRADVPSIAADLDCSSSCLDLCCKVVGSKNWPLISRYRAAVRTQSPKMEMIDSLFQPVEDPVNGDNGIMNELFVEYFKTSNARKPKQIIIFRDGVSESQFNQVLNIEVDQIIKAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKIVHPTNYDFYMCAHAGIIGTSRPAHYHVLLDEIGFSPDELQNLIHSLSYVNQRSTTATSIVAPVRYAHLAAAQFAQFTKFEDISEEKVPELPRLHERVESNMFFC >A02g511390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30354543:30361230:1 gene:A02g511390.1_BraROA transcript:A02g511390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSLLETRRSARETGIAGRCLSTRQQKGKSVAATSTPARNPDGGRLGDLVSTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAIRDATECARDGQSGAMPVDSASRETDLLSRLSEPSLPLVRARPSIPWALWDGNENVAIRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEIPPSDAANTGGGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVPEAEVSRERDEAEEADGSEASLNAVVLDGSDEDSGDSPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGTSPRGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVIRESSVRASELSALNDRESDRED >A06p044360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23849223:23851081:-1 gene:A06p044360.1_BraROA transcript:A06p044360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCFSLPSSSSEIHEENEHGDGNAVVCYGDEFGLDHYRPVHRPGSVCSIQGTKAINQDNAILYLGYGTEEAELCGVFDGHGKNGHMVSKMARNRLPSLLLTLKKELNEEPHACEEDEALKWEKACFNAFRLIDRELMLQVFNCSFSGSTAVVAITQGDDLMIANLGDSRAVLGTMTEDGEICAVQLTSDLTPDVPTSSTVAGEAERIRACRGRVHAMKAEPSSQRVWLPNQDIPGLAMSRAFGDFRLKDYGVIAVPEVSHHRITSKDRFLVLASDGVWDMLTNDEVVSLIWNSGKTQDMAAKLVAEVAEATWKKKLKSKKIDDITVICLFLQNKEQPSCTADV >A01g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21490207:21494477:1 gene:A01g507530.1_BraROA transcript:A01g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRCFWIKELGLFFREFEPMSALVVERVARNSFQDLYGLKASSKSMKALAERRGVYYFHDVLSVPWELNMHSQLLKSCYAEGNPSKLYIKGVQFYFTFGRHEEGITLTKRAADAGYKRAVYTRAITQAIFLCDAQYFHGIPREWVQRMGCQCATHVWRQCLCLWHLDTTKDDNMCECCFWIKEFGLFFRDFEPISVIRDTRKW >A05p013170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5732835:5735328:-1 gene:A05p013170.1_BraROA transcript:A05p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 2 [Source:Projected from Arabidopsis thaliana (AT2G34190) UniProtKB/Swiss-Prot;Acc:Q94C70] MDPVKPEEISHPPMDQLQGLEYCIDSNPPWGEAIALGFEHYILALGTAVMIPSFLVPMMGGDDGDKVRVVQTLLFIQGVNTLLQTLFGTRLPTVIGGSYAFMIPIISIIHDSSLTRIEDPQLRFLSTMRAVQGAVIVAASVQIILGFSQMWAICSRFFSPVGMVPVIALTGFGLFNRGFPMVGTCVEIGIPMLILFVLFSQYLKSFQFRQFPVVERFAMIIALIIVWAYAHLLTASGAYKHRPHQTQVNCRTDMSNLISSAPWIKIPYPLQWGAPSFDAGHAFGMMAAVLVSLIESTGAFKAAARLASATPPPPHVLSRGIGWQGIGILLNGLFGTLSGSSVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSLRNLFITGVSLFLGLSIPEYFRDFSMKALHGPAHTNAGWFNDFLNTIFSSSPMVALMIAVFLDNTLDYKESAKDRGLPWWAKFRTFKGDSRNEEFYTLPFNLNRFFPPS >A09p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6206938:6207575:1 gene:A09p012110.1_BraROA transcript:A09p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGHALWLLTRVIDSLSRGVWAQVHTSSVRALAWCSFQSNLLASGCGEEDRKIKFWNTQTGACLNSVDTGSFPPKFLLCYGAARKESCLAYMGLSSHCGIPETVRIPVTKAARGPFSHVARIETVKASLQGKPLIDN >A01p053940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29765485:29767374:-1 gene:A01p053940.1_BraROA transcript:A01p053940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSRPQIVLFGSSIVQMSFGHGGWGAILSEVYARKADIILRGYYGWNSTRALEVVDKVFPKDAVVQPSLVVVYFGGNDSMGPHPSGLGPHVPLTQYVDNMKKIALHLQSLSDSTRIIFLSCPPVDEAKVRQNQSPYLSEVIRTNELCKTYSDACVELCKELDLQVVDLFSTLQKADDWETVCFTDGIHLSAQGSKLVAAEILRVVKEAEWRPSLHWKSMPTEFSEDSPYDLVAADGKTTLNSSEWTYFWEEQWE >A06g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10697800:10707820:1 gene:A06g503520.1_BraROA transcript:A06g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNNIDNNKIDELFAKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQATKDHHEVSYVNGQGWQFKNYHPNPNVRNNLHLFNNPKPDGNAENAQGNQVQNSGYQRGYGNQGRTFVLSPAQNTQFHNQKQPTNQQPAQPAQTAPHDEMKSLANMMSQLLQGQQIQEKALNQVTNDINTRMNHMFIDLSAKYDNVSSHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVALRSGKQLTDLAPNRFTTAEKGKQKESEQPPVTAPADEEEEELPAKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPEILIDDLLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDNNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVSETLDVMDLAPLCYQAQVLYPDLVRQVLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRTEDKKDRCSSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFADKDGITLYCKLPLPGLTDFTTLENIVFLPNAEQLCDDPRAPIPNEDAAKDDVEDMAPPADGAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILKALTGGCIRSQRRETSEEPENSRPLQQRRFRLSPNELFIFPSFDDGEGDESGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A04p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3654302:3656383:1 gene:A04p006850.1_BraROA transcript:A04p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRITASPRPCSSGRRVVAKKRPRPDGFVNSVKKLQRREISSRKDRAFSISTAQERFRNMRLVEQYDTHDPKGHCLVALPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNRRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYILRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSVYKVFDLKNYTMLYSISDKHVQEIKISPGIMLLIFKRATSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRTAEQMEVSRAEFMTPSAFIFLYENQLFLTFRNRNVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADTEDQWIEGNERNEIYTGNRHGFVHVWSN >A03p063860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27781557:27782146:-1 gene:A03p063860.1_BraROA transcript:A03p063860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLIQVQSKACEASKFVAKHGTSYYRQLLEKNKHYIQEPASVDKCQELSKQLLYTRLASIPGRCETLRKEVDYAKNLWKNRTDLKVEDAGVAALFGLECFAWYCAGEIIGRGFTFTGYYP >A05p041760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25313118:25314342:-1 gene:A05p041760.1_BraROA transcript:A05p041760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSLTSATAATMSFLLYICTTVVVTNGELQRFIEPAKSDGSVSFITIGDWGRRGDFNQSKVAYQMGRVGEKIGLDFVVSTGDNFYDNGLFSEYDPNFKESFSNIYTAPSLQKQWYSVLGNHDYRGDSEAQLSSVLREIDRRWICLRSFVVDAELVEIFFVDTTPFVKEYYTEEDGHTYDWRAVPSRNSYVKSLLRDLQASLKRSKATWKIVVGHHAMRSIGHHGDTKELIEELLPIMKEYGVDLYMNGHDHCLEHISDEDSPIQFLTSGAGSKAWRGDVDPTTNNPKSVRFYYDGQGFMSARFTHTDAEIVFYNVFGEVLHKWVTSKQLLLSSV >A06p045530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24401364:24404606:-1 gene:A06p045530.1_BraROA transcript:A06p045530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIHAWSAPRSLSTSLMYSFAQRDDTEVLDEPLYAAYLKSTGADRPYRDELLSKMEFDGEKVVKDIIYGPGNKKYRFCKHISKQRLLGLPSELMSEGKHFILIRNPLNILPSFEKVHPLSFAELGLGELVSIYSDLCQMGTPPAIVDADELQQDPEGTLRGLCNDLEIPFQASMLKWEAGPIPEDGLWASWWYKTVHKSTGFSSPSNYPHTFPLKHYDLLEQCLPLYNILRRHVKHKSSLLTSTLTPPSLPVPENAKLFAWVGDEIVPREMAKVSVFDSVVQGGDSVWEGLRIYKGKVFKLEEHLDRMFDSAKALAFNNVPTREEVKEAIFRTLITNGMFDNTHIRLSLTRGKKVTSGMSPAFNRYGCTLIVLAEWKPPVYDNDGGIVLVTATTRRNSPNNLDSKIHHNNLLNNILAKIESNNANVDDAIMLDKDGFVSETNATNIFMVKKGRVLTPRADYCLPGITRATVMELVVKEDFILEERNISLSEFHTADEVWTTGTMGELSPVVKIDGRVIGEEKVGPVTRRLQNAYKKLTDDSGVPIPTYQKNLNTSCV >A07p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15478409:15479502:1 gene:A07p027110.1_BraROA transcript:A07p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNNQQTFWQFSDQLRVQTPHNLSFNDSIWSTYKRTDDRRNFVISADKNPIQYLNHNNNNNKATSDNNNNAASWNTWKSSSNGFGPIGSKSTSNNVNFNHADKFSNPWKFNSDVNGDFNKGIYSSSPKSYGLNFKNKGIIDEDHHIHQKAGGGKKNRKNHNHQKNNNNKEDDHKNNNNNNNDKRFKTLPPAEALPRNETIGGYIFVCNNDTMEENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIYQAASFGGTNIELSAFEDKKCPGESRFPAQVRAITRKVCLPLEEDSFRPILHHYDGPKFRLELTVPEVLSLLDIFAEQNP >A05p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26696364:26697847:1 gene:A05p044460.1_BraROA transcript:A05p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFNRFGVYEGFKKFDCLDDCFLFQMANPHEPHFFKPLLPGFHNGVTIPLNFFSQHIQGKMNGKKWKLRSDASDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDILVFKHEGDMVFHVTPFGPSCCEIQFTHPHSIKEEADVDDTHSFSFDYCFLAEVTASNINEDKLFLPVEATTCTALNKQLKEIILVNKEGNSWTASLRYSEADDLFYIRKGWRKFCEENICTIGDLFVFNVVGDGNTTPLMCVCPERKECSELLIKHLSRMNGDIASSSRKLENRLEM >A05p051660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31520040:31520466:-1 gene:A05p051660.1_BraROA transcript:A05p051660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATLFVVSCVLMFFVLHNTKVEAKDHAPEIVVHLTKGICHEDPTIAAKQCFYEVLNEEGDDYYTRCNCRDADGRQGDFGHYCTCFH >A09g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20541136:20545655:1 gene:A09g507010.1_BraROA transcript:A09g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAECGREICMGLFWPFLLLIGTAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVCDRFSTYMTCMIKRVIELRLVKTACVFVGANRRTGCKVFGGHVWTIYDNFTIFGPNEAAYKSLNVSRRVLTRGLRTRVEFRRPMRLRRQTILILAKIADIRCLVSRFPSLSAFTASELGLPFSQLLLFVPIGDFFFFFRHWFFEWGAFPSRSAPGPSWMSVDVLVGVVGDIARIQVNTFGFVILRVLCRGRKTFRVPLFDGRFLARWGSEVEPLPVDFGGSAGTDCLGPCRGEHLFKLLERRGVGLCVGRGYVRCWSVEIGAAASVKGSLHVIRIRQTVGTKIHTVDFRLNKETRKTLISQRTRISANYHTSSNQNTRITTIKYKKSKREQRRSYSEFAYERLQQGISLARELSARFLVLATLRRLNLIESQLEITKTENCLNCSKYSIFPDLHNYPQNFRIYPRKLDIYPSLWTKRKPCCGLRAFGPFGPSSDSTRLLRVLSIKNELSAVFNSQSLIDD >A02p039870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25152805:25157060:1 gene:A02p039870.1_BraROA transcript:A02p039870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIFKLLEEDEDESMHSGADVDAFQAALNRDIEGSTNPPGNTHSPNQQFTATWKNGIGDASMKDQHGSALDGQHQHDLKRANEPQDLHRPGQHWDNPPQVPQISEKNPTGNEPESESQFLKLQKMTSQQARGVEQPPVNPLNRNPKQVPFAALLPTLMAQLDKDRALQLRTLYSRLKKNEIPKEGFTRHMKDIVGDQMLRLAVSKLQQVGYNQGKMGIQAPSTEINNQKSQSDPRGVVHLNQLSSSTGSSVPVQGLTKHPPHQMQLPPSSFPMYTSSGNFHSFPGSNTNVSGSPLRPHLHDTHMRHVAHNQTMGSSGLGGPPQSTTNMMTIPKFERQTSVNDPSRVQGGATSHFQNSSSLPPGQGSSMSNVKQESVDQSFEQKNAASGASKEDLEKDSSRNMAHASSVSSSSITTQLDASTAMNSRGPLGTSQAGVNARMPPKKPFVGQKKPLETLGSSSSPPSKKQKLVPNSMDQSIEQLNDVTAVSGVNLREEEEQLFSGGKEDGRVSEASRRVVHEEEERLILQKIPLQKKLAEISCDTFLAKVGLKQISNDVERCLSLCVEERMRGLLSHIIRLSKQRVDTEKSRHRTVITSDVRQQINEMNQKVKEEWEKKQAEAEKLKKPSESEEGDGGVDSEKEKEDNRSKGLKGNKEDDDKMRTTAANVAARAAVGGDDTFLKWQLMAEARQKSVSESGKDGTQKATSSGGRNSKDKQDSGRRFTGVGGRRLGKNQGSSLQPKVVRTISAKDVVAVLEREPQMSKSILMYRLLQ >A02p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8844961:8846141:1 gene:A02p019110.1_BraROA transcript:A02p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLNKKPRLEKNLTVNVNHIDDLPDDLVFSILRKLSSSASCPADFFNVLITCKRLNRLGLHPLVLSRAGTQTLAVTAEKWSDSAHRFLKLCVNAGNIDACYALGMIRFYCLQNPVSGASLMARAAIKSHAPALYSLSVIQTYAPGAPGSGGTKSDKNLRAGVALCARSAYLGHVDALRELGHCLQDGYGVPRDVAEGRRLLIQANARELAGSLRSYLSLKSGDETLTELNGLPVQEIRPVNRFLKDWFDSGRVVLAEGLRMCSHGGCGRPETRSHEFRRCSVCGKVNYCSRGCQALDWRVKHKMECAPLDLWVGAAAIGVGDEDVDAVEVENHAAR >A09p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3780449:3781968:1 gene:A09p007140.1_BraROA transcript:A09p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNNGREWEKMKKELNELMTEGRDYAHQLKSQLGSTSSQESREHLAKKILESYHKSLTIMNYSGELDLVSPHSHGTGSPKSDDSDHQEPHIIQSSKKSMPRWTRKVRIGSGVVIDRALDDDGFSWRKYGQKDILGAKFPRGYHRCTYRKSKGCEATKQVQRSNEDPMLFEIIYRGIHSCSQASNFGSIIPVQVLEPNQTQEQENLKIVKASLDTGHHNYNHQAHLHQTLNYPLPSTLNLESNNVMLQEKDHNIGFLGSTSYSDANYNFLASHDAGSASHSTSNSPSTVGLESPFENFGPSHLFGGFGGFYS >A07p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25447072:25447452:-1 gene:A07p047360.1_BraROA transcript:A07p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRSRQSSGISEDQINDLIIKLQQLLPELRNSRRSDKVSASRVLQETCNYIRNLHREVDDLSERLSELLANTDTAQAALIRSLLTQ >A02p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1967333:1968128:-1 gene:A02p004530.1_BraROA transcript:A02p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHPNNQMVSGSVFYLTKPESSGLKNNTISSCVSDYEAAWSPTSPLEFILFPSLVNPFGGSIRKMHKKSWDSGKLGLSIVDSLDDDHHTESSRILLPSPDSKNIIFESLLRTRNPFVNNNNNDACLESVDSKEMKRRCCGIKKESIFVIAPLDLTTITDVLVLPPNDFLSSCFFCNKKLGMGKDIYMYRGYKAFCSVECRLEVIHQDEKMEEEEAKSGSSSE >A06p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4347696:4348831:-1 gene:A06p011670.1_BraROA transcript:A06p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBH2 [Source:Projected from Arabidopsis thaliana (AT1G14290) UniProtKB/TrEMBL;Acc:A0A178WPE2] MTMGFEISDEFLGTFVPILVYWVYSGMYICLGSLERYRLHSKVDEDEKNLVTKSAVVKGVLLQQTLQAIISVILFKITGSDDPDASTTQQFSLLLLARQLIIAMFVIDTWQYFIHRYMHINKFLYKHIHSQHHRLIVPYSYGALYNHPLEGLLLDTIGGALSFLFSGMSPRTAIFFFSFATIKTVDDHCGLWLPGNPFHIFFSNNSAYHDVHHQLYGSKYNFSQPFFVLWDRILGTYLPYSLEKRATGGFETRPIKASKDE >A06g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:69423:70722:-1 gene:A06g500040.1_BraROA transcript:A06g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQVSGRSGKTLLELSGNNAIIVMDDADIHLLHVSVYDKVLDQLLTSYKQVKIGDPLEKGTVLGPLHTPESMKNFEKGIEVIKSQASTSIQRGGKVLTGGKAIEDAAVVKEELFAPVLYALKFKSFEEVVVINNSVPQGHIHTPMGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGRETGSDSWKQ >A07p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19323946:19326552:1 gene:A07p035840.1_BraROA transcript:A07p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMEEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPIAGQPMVHHPISACKRIPNLAQIYLVGFYEEREFALYVSAISNELKVPVRYLREDKPHGSAGGLYHFRNLIMEDDPSHIFLLNCDVCCSFPLPEMLEAHRKYGGIGTLLVIKVSPESANQFGELVADPDTTELLHYTEKPETFVSDLVNCGVYVFTPDIFTAIRDVSSQRKDTAILRRVSSFEALQPATRIPADFVRLDQDILSPLAGKKQLYTYETLDFWEQIKSPGMSLRCSELYLSQFRLTSPQMLASGDGTKTAIVIGDVYIHHSAKIHPTAKIGPNVSISANARVGPGVRLISCIILDDVEIMENAVVTNAIVGWKSSIGRWSRAEGVYNSKLGVTILGDSVAVEDEVVVTSSIVLPNKTLNVSVQDEIIL >A07p037380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20001559:20003349:-1 gene:A07p037380.1_BraROA transcript:A07p037380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRDMNNPAMEEEDEGDVFLGESDVLHEIDVDGEDLPDAFDEDDNDNDNEDEVFDENDDSVHTFTGHKGELYALACSPLDPTLVSTGGGDDKAFLWKIGNGDWAAELPAHKDSVSSLAFSYDGQLLASGGLDGVVQIFDASSGTLKCVLDGPGSGIEWVKWHPRGHIVLAGSEDCSMWMWNADKEAYLNMFSGHNQSVTCGDFTPDGHPYHTEGLICLDINSNSSLAISGSKDGSVHIVNIVTGKVVSSLSSHTESVECVKFSPSSATIPMAATGGMDKKLVIWDLQHSTPRFICEHAEGVTCVTWIGTSKYLATGCGDGTVSVWDSLLGNCVHTYHGHQDAVQSISVSANTEFIVSVSVDHTARVYDTSEFQNKME >A01p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:809377:811957:1 gene:A01p001780.1_BraROA transcript:A01p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily H, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT4G37310) UniProtKB/TrEMBL;Acc:Q9SW67] MDYSLLIPIILITILITKLLLRLYRSNKNLPPSPRVCFPIIGHLHLLKQPLLHRTLLRLSHSLGPVFSLRLGSRLAVIVSSPAAAEECFLTKNDIVLANRPHFTMGKYVAYDYTSMVTAPYGDHWRNLRRITALEVFSTHRLNGSAEIRQDEVKRLLQKLYGLSVQRPAKVELRTLLTGLTLNVIMRMMTGKRFCEEDEGGKEKISLEFQELVAEILELSSAGNPADFLPALQWYDYKDYIKRAKKVGEKMDSLLQGFLDEHRANKGRLEFTNTMIAHLLDSQEKEPHYYNDVTIKGLILMMVIGGTDTSALTVEWAMSNLLNHPQVLETTRQNIDTHIVPSSSSNRRLLKEDDLVNMNYLNCVVSETLRLCPVAPLMVPHFSSSDCVIGGFDVPRDTIVLVNLWAIHRDPSVWDDPTSFKPERFEDRDQLGQYNGQMMPFGLGRRVCPGLGLANRVVGLVLGSMIQCFEWESGSGGPVDMTEGPGLSMPKAEPLVVTCRPREVASELLLRIQ >A03p049770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21374351:21377145:-1 gene:A03p049770.1_BraROA transcript:A03p049770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTSPTMIPTTGSTVVVRSGLPFRSSLPSLRLNRPYNKSSLSISCCSPVSKMGGTSASDLKPVVERWPEYIPHKLPDKNYVRVFDTTLRDGEQAPGGALTPPQKLEIARQLAKLRVDIMEVGFPVSSEEEFETVKTIAKTVGNEVDEATGYVPVICAIARSKPEDIEAAWEAVKYAKRPKILIFTSTSDIHMKYKLKKTKEEVIEMAASSVKFAKSLGFVDVQLGCEDGGRSEKEFLCKILGESIKAGATTVNVADTVGINMPDEYGELVSYLKANTPGIDDVIFSVHCHNDLGVATANTIAGVCAGARQVEVTVNGIGERSGNAPLEEVVMALKCRGEYLMDGVYTRIDSRQIMATSQMVQEYTGLYVQPHKPIVGANCFVHESGIHQDGILKNRSTYEILSPEDVGVVKSQSASIVLGKLSGRHAVKDRLKELGYELDDEKLNDIFSRFRDLTKHKKRITDDDLKALVTCRDEVSSLNGTTGEETNGYVPISQISSVV >A06p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6099227:6100461:-1 gene:A06p013450.1_BraROA transcript:A06p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSWDALRKQARKIEAQLDEQMHSYRRLVSTNKALTKSDGAESDLEAGIDLLLRQLQQVNAQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRHRSSLKAKQEHASLLEDFREFDRTRLDLEEGGGSTEQALLKEHVGINRNTAQMDGVISQAQATLGTLVFQRSTFGGINSKLSNVTSRLPTVNTILSAIKRKKSMDTIILSLVAAVCTFLILIYWLTK >A09g518060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54885917:54887187:1 gene:A09g518060.1_BraROA transcript:A09g518060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILHTYVSIKYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRLSEYLHSQCFDIPQNWFDNLLYYSICLRSLENS >A02p029240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14831032:14832726:1 gene:A02p029240.1_BraROA transcript:A02p029240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSIGSLSRRRFDFKLSSLHREGGKSRGSVQDCHEEHQQQPLVLVQETPWANLPPELLHDVIKRLEESESAWPARRHVVACASVCRSWRDMCKEIVQRPELSGKITFPVSLKQPGPRDATMQCFIKRDKSNLTYHLYLCLSPALLVENGKFLLSAKRIRRSTYTEYVISMHADTISRSSNTYIGKIRSNFLGTKFIIYDTQPPYNKPSQALQPLGLSRRFYSKRVSPKVPSGSYKIAQVSYELNVLGTRGPRRMHCAMHSIPASSLAEGGTVPGQPQIIVPRSLLDESFRSITSSSSRKFTSDYSTEFNSARFSNILEEGEAVFGEGKERVSPPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPQPQPQPQPQPQTSGQTDGPDKIILQFGKVGKDMFTMDFRYPLSAFQAFAICLSSFDTKLACE >A09g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3724487:3725723:1 gene:A09g501090.1_BraROA transcript:A09g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRAAPLRVVKGENGFNYATRVLRVTPYETGSRQVSAIHWRRVIEFTRRKARFRSERRFLVRRRAFSNFLLRIDDGVSHPRAQFASLVQMDPAEERRDRKRQQEYFNMLGNVADSQYGIPRRFPCGGRIIDEVRVEEYDTLPGKRFFTCINYEVH >A10p005360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9296615:9296842:1 gene:A10p005360.1_BraROA transcript:A10p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKSGSDFGRPMETLLESLLKYNALEVFQTTSKRSSRRLPGSLPDDFKEIFQMTSKKSSDWVFFHIKWSLSLSL >A07p017770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10759463:10759782:-1 gene:A07p017770.1_BraROA transcript:A07p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFACPYSYNIWDRVAGKLIGQRINPDWSIYSNGLGSHSLTFSIGGLPWRERNLRRHQQGQNGTEHMISMVNKVVKNMISSLGYKADHRLEGLLRR >A05p034600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19483652:19484107:-1 gene:A05p034600.1_BraROA transcript:A05p034600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIHEVLNHGCASDTRKETDRCISNCVRLNKKQHQMCCSFCGKVGHKKVECFVREKSRNMAKTVNMTFIKPKRVEEVSLTKSGLLDVIKEETSEDGCNSVRRDLEEDQEASTLETGHKAVCGIKGKEIEVRQEVMRDDLQEGDSEITPRQ >A05p014930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6573444:6575890:1 gene:A05p014930.1_BraROA transcript:A05p014930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSVSHNKAWVGSRVISTPSIAENMSNVTADPTTDGPSTAVAVSGSTAIQTSPPTDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDETTHKYKGRTVMTAEERYESLRHCKWVDEVIPDAPWVINQEFLDNHRIDYVAHDSLPYADTSGAGKDVYEFVKKVGRFKETMRTEGISTSDIIMRIVKDYNQYVMRNLDRGYSREDLGIQTVKMLRNEWVENADRWVAGFLEIFEEGCHKMGTAIRDRIQERLIRQIPRNRLENGQDDDTDDQFYEEYFDHDMGSDEDEEERYYDEEEDVEEEKDKTVKTDAKDDK >A02p024120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12045252:12047116:1 gene:A02p024120.1_BraROA transcript:A02p024120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNVFSRVDLSSFVTGSTEHTWQPTMSAETNIPSYWLNWRFFLCAIFVLTSLFLSSFLIWKYEGPGKRRKRGGGHDHDQREGTGVVYDDETWNTCVRRIHPNWLLGFRVFGFVTLLGLISGNAIADGAGIFIFYTQWTFTLVTIYFGVAALVSINKFRSGDNCHNGVSSRVDEEQGSYRPPIHNENSNVFKASNGHERHNRSTRQVASTLGYIHQILFQTCAGAVLLTDGVFWFIIYPFLTSKDFNLDFFIVIMHSVNAVFLLGETFLNSLRFPLFRISYFVVWTGVFVIFQWIVHACVSFWWPYPFLDLSSSYAPLWYAAVGLMHVPCFGVFALIVKLKYMWLSKCFSEEP >A01p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28365183:28366772:-1 gene:A01p050350.1_BraROA transcript:A01p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRQSMGSGSRSTRGYEFGRTYVVRPKGKHQATIVWLHGLGDNGSSSSQLLESLPLPNIKWICPTAPSRPVSLLGGFPCTAWFDVGEISEDLHDDIEGLDASAAHIANLLSTEPTDVKVGIGGFSMGAAIALYSTTCYALGRYGNGLPYTINLRATVGLSGWLPGWRSLRSKIESSNEAARRAASIPVILAHGTSDDVVPYRFGETSAQALAMAGFRQVMFKQYEGLGHYTVPKEMNEVVHWLASRLGLEGSR >A01p001180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:445043:445474:-1 gene:A01p001180.1_BraROA transcript:A01p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGP3 [Source:Projected from Arabidopsis thaliana (AT4G40090) UniProtKB/TrEMBL;Acc:A0A178USX4] MAALKTMQALIFLGLLATSCMAQAPAPAPIMVLPPVESPSSPPAMTPTAEPPSPVPVASPPVMVPEPTPAPATPPTVSPTTKSPKTSPVASPPKPEAMAPGPSGPTPSPAPAPEGPIADSALTNKAFLVSTIIAGALYAVVLA >A01g508080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22889061:22895470:-1 gene:A01g508080.1_BraROA transcript:A01g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GENKKSYLCTHRGHVKKVFIFNGFVHRLEVTHLWWILSPNRDSPSFVDLIVSQFGFEILRFSLRVLRKGFDECEKLVRVEMVGPTLFHRRKHSWPPEEFISKATLQLLDFDSAAPPSHAWRRRLNCHANILKEFTITFREAIKMVRLGIRLWSYVREEASHGRKAPIDPFTKDNCRPSASQGVPLGGMGSGSISRGFRGEFKQWQITPGTCDPSPMMSNQFSIFISRENVHKKYASVLAPGQHGSLGKSRDKGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPELKISCRQISPFIPNNYRDSSLPAAVFVYTLVNTGKERAKVSLVFTWAVSWHLIHFYYIMSRRADVYQLCILQNSIGGTSHMSGGHVNEPFIGEDGVSGVLLHHKTCMGNPPVTFAICACETQNVNVTVLPCFGLSEDSFFTAKDMWETMEKDGKFDQENFNSGPSMPSSPGDTICAAVSASAWVEAHGKCTVSFALSWPSPKVKFSKGSTYDRRYTKFYGTSRRAAVDLVHDALTHYKRWEEEIEAWQNPILRDDRLPEWYKFTLFNELYFLVAGGTVWIDSASLHANGNGQRQQSDLRNLDGKANGIDGKEQQNNLNNGDRNGIESNGLFVDTSKADDEDDVGRFLYLEGVEYVMWNTYDVHFYASYALLMLFPKIELNIQRDFAKAVLSEDTRKVKFLAEGNWGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDHQFGIDVWPAVRAAMEYMEQFDRDNDDLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQVGDKFFAELCKNKFLNAKAALEAKLWNGTYLNYDSGASSNSKSIQTDQLAGQWYTASSGLPPVFEEFKIRSTLQKIFDFNVMKTRGGRMGAVNGMHPDGKVDETCMQSREIWTGVTYAAAATMILSGMEEQGFTTAEGIFTAGWSEEGFGYWFQTPEGWTMDGHYRSLIYMRPLAIWGMQWALTLPKAILDGPKINMVDRVHMSPRSRRFSHNVKVVKHKAKCFGNCTLSCSC >A06g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3660839:3662347:1 gene:A06g500930.1_BraROA transcript:A06g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVIRPKSIGITKVYCGMIRKEQDDYEVVRKVGRGKYSEVFEGINMNSNEKCVIKILKPVKKKKIRREIKILQNLCGGPNIVKLLDVVRDQHSKTSSLIFEYVNSTYFKALDYCHSQGIMHRDVKPHNITIDHELRKLCLIDWGLAEFYHPGKEYNVRVISRYFKEHELLVDLQDYDYSLDMWSLGCTLHGPNSNSDSSGLTEAAVERQGRDEISGQKFERKSSEESDSKIVGEEEKEDMSLGNDGGGANKAATHDKDRDTSPSHEGIKLSL >A10g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10513645:10518869:1 gene:A10g504260.1_BraROA transcript:A10g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDELKLGKLPWLTLESKPRPRAVWIREEQSG >A09p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43806624:43808186:1 gene:A09p049570.1_BraROA transcript:A09p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSLGQYSKRFLSFRKTQAIIQFTRTLTSAKLNDTLHSRVMAVENRFPFLWRVKVTPVLNEWLKQGNEINPTDLRAVIKALCESQRFDHALQVSEWITKRGVFEISTEDVASRLYLIEIHSGLSEAENFFKSIPENMKDDLVYTTLLSFYTKDKETRHQAEATYQKMRENNMLFKPNPYYKMISLYGLLGETNMVEEIVRQMIENGVQHDISLTSNNVLKACASVPDVEAMEKFLKRIEYETPPFALAWQTGISMAKAYLKCGSNRKAIEMLRRTEQVVDAKSKDAANKVLMEMYGEAGAKRDEARLYISQNAKQRQRKGACRCKGSGGRSSHHPGYYYGGCGGGCGSIRGGGDGSRADDNGGGDDSRADDDGGGVGADNDGDGGGGCGGGCGGCGSWD >A01p047410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26735362:26741316:-1 gene:A01p047410.1_BraROA transcript:A01p047410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEVFQEHFISRKLLQQLPFDHNMHQQEAHVPDKNNLSGNVLMVLSILVCGIIGSLGLHYVIGCIFRRSSSFMIADPISSPSTPRGSATANKGIKKKALKMFPVIKYSPEMNLSGLGEECVICLSDFVAGEQILGDEADQVVATPTERIYVMIAPLEQDLSFIMSTATFSPTIKPSELFQNVLGKFYSRRLLLHLSSQPPTIASPPFADTQEASLSYAHHMSFDTNVVIVLSVLFFALVCSLGLHSIIRVVMRYSNLLSSEASNELAVRLANTGVKRKALKSFQTLSYSTELKLPGLDTECAICLSEFVSGERVKLLPKCHHGFHVRCIDKWLSSHSSCPTCRHCLIQTCQKIAGCSEASSSRNQPPHGIISVDIAPLGPERLIL >A06p048220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25581900:25586320:-1 gene:A06p048220.1_BraROA transcript:A06p048220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTMRISGVSSGAESLIQCNALSSLVGRRCDNGRWRRTRMFPARSRTWRLSPKKTLFPSVKAVSSEPKEKVADVVIDSEQEAFSSLSPFGPDAASVASSIKYHSEFTPLFSPEKFELPKAFFATAQSVRDALIINWNATYEYYNRVNPKQAYYLSMEFLQGRALSNAVGNLGLTGAYADTLKSLGFDLESVASQEPDPALGNGGLGRLASCFLDSMATLNYPAWGYGLRYKYGLFKQRITKDGQEEAAEDWLELSNPWEIVRNDVSYPVKFYGKVVSGSDGKKQWIGGEDIVAVAYDVPIPGYKTKTTINLRLWSTKAPSADFDLSSYNSGKHTEAAQALFNAEKICYVLYPGDESNEGKALRLKQQYTLCSASLQDIIARFETRSGGSVNWEEFPEKVAVQMNDTHPTLCIPELMRILMDLKGLSWEDAWKITQRTVAYTNHTVLPEALEKWSLDLMGKLLPRHVEIIETIDEELVSTIVSEYGTEDPDLLKEKLKAMRILENVELPSAFADVIVKPKKKTVTAKNTEESKEDAQTVVKKEQEEEVEVIPEPTVKLPKMVRMANLAVVGGHAVNGVAEIHSEIVKKDVFNEFVKLWPDKFQNKTNGVTPRRWISFCNPYLSDIITNWIGTEDWVLNTEKLAELRKFADDEALQSEWRAAKKKNKLKVVSLIKERTGYTVNPDAMFDIQIKRIHEYKRQLLNILGIVYRYKKMKEMSASEREKAFVPRVCIFGGKAFATYLQAKRIVKFITDVGSTINNDPEIGDLLKVIFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFSMNGCILIGTLDGANVEIREEVGEENFFLFGAKADEIVNLRKERAEGKFVPDPIFEEVKQYVRSGVFGSNYDELIGSLEGNEGFGRADYFLVGKDFPSYVECQEKVDEAYRDQKRWTRMSILNTAGSFKFSSDRTIHEYAKDIWNIKQVELP >A09p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4092167:4093688:-1 gene:A09p007840.1_BraROA transcript:A09p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVLSLFLLAMAGHSSASWCVCKTGISDSVLQNTLDYACGNGADCNPTHPKAPCFNPDNVRSHCSYAVNSFFQKKGQAPGTCDFSGTATPTNTDPSYSGCTFPTSASGSGGSTTMTPGTTNPKGSSTTSTLPGGNSPYSGTSTNGGFGNNSTGATGTGINPDYSIESGAFALKYSSKLSTRLLLISLSGLCPFLIRL >A06p002750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4988711:4992341:1 gene:A06p002750.1_BraROA transcript:A06p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPTSGLGMNPSMDDMNLLQQAQRHQLVVSNLGEEIDLEIGPGEDDAAFANSSLIREPSTGEHDETKHMVLVSDLPIEDQEMSKGQTSAKRKKKVVKRWREEWADTYKWAYVDMKDGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKEKIVVDKPIYVKTLMSKSAGSIVEGALKRDPNEIEFIQSVQECVHALERVIAKNSHYVNIMERLLEPERMIVFRVPWIDDRGETHVNRGFRVQFNQALGPCRGGIRFHPSMNLSIAKFLGFQQTLKNALSPYKLGGASGGSDFDPKGRSDNEIMRFCQSFMNEMYRYMGPDKDLPSEEAGVGTREMGYLFGQYRRLAGQFQGSFTGPRIYWAASSLRTEASGYGVVYFARLMLADMNKEIKGLRCVVSGCGKIAMHVVEKLIACGAHPVTVSDSKGYLVDDDGFDYMKLAFLREIKSQQRSLRDYSKTYARAKYFDEVKPWNERCDVAFPCASQNEVDQADAINLVNAGCRLLVEGSNMPCTAEAVDVFRKANVLIAPAIAAGAGGVAAGEIEVLRESNSMQWSAEDFESSLQEALKQTYEKALKAANDFGYQKESPEALLHGATIAAFLNIAQAMTDQGCV >A06p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20524582:20528150:1 gene:A06p037990.1_BraROA transcript:A06p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVRYTRLDNRRPSSNYCSTVTVVVFVALCLVGIWMMTSSYVGPAQNVDEVSLDTNDGIKKQMTPPAEEGNVQKSKEVAGETPNEEKKGDGDASFPEGDESSSKQDDQEEKTKEEPTSSGDESSSKQEDQEEKTKEEPTSSGDESSSKQGDQEEKKEEEPTSSGDESASKQGDQEEKKDEKPKEESTSSGDESSTKQEDQEERKEEIASNGETKSETEGGENKNDDSKSENGDGNDLGEKKDLKDHPDEENPESKSENGFDGSDLGEKKDLKDHPDEEDPDSNDNQTKPETEDNEFGENQKKTTADESEKKFSDDDTEAKGDKETKTGNEVAETKTEKESTETIVEQESIPKNETSGDLSPPVAQLELLNETTAQNGSFTTQATESKNEKEAQKGSGDQVDFKWTLCNTTAGPDYIPCLDNVQAIKSLKTTKHYEHRERHCPVTPPTCLVPLPEGYKRPIEWPKSREKIWYTNVPHTKLAEYKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFIQESVPDISWGKRSRVVLDVGCGVASFGGFLFDRDVTTMSLAPKDEHEAQVQFALERGIPAISAVMGTTRLPFPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKKTEDVEIWEAMTELTKKMCWELISINKDKINGVGVATYKKPTSNECYKSRSEQQPPICADSDDPNASWKVPLEACMHTAPEDTTQRGSQWPEQWPARLEKPPFWLSSSQTGVYGKAAPDDFSADYEHWKRVVTKSYLNGLGINWAFVRNVMDMKAVYGGFAAALRDLKVWVMNVVPIDSPDTLAIIYERGLFGIYHDWCESFSTYPRSYDLVHADHLLSKIKQRCNLTAVVAEVDRVLRPEGTLIVRDDAKTVQEVEAMVKAMKWEVHMTYSKGKEGLLSVQKSIWRPEDVQTLTYAIAA >A03p003250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1415106:1419806:-1 gene:A03p003250.1_BraROA transcript:A03p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFVKRVFIVVGAGLKPVKMASATENQWLKGRVKAVTSGDCLVIITLVHNRPGAPPEKAITLSCLMAPKMARRGGKDEPFAWESKEFLRKLCIGKEISFRVEYKVEALAGREFGSVFLGTQNVAKLVVQNGWAKVREPGQQNQGKVSPTHITELLQLQEQASQEGVGCWSKVPGAAEASIRDLPPSAIGDSAGFDAMGLLAANKGKPMEGIVEQVRDGSSIRVYLLPEFQFVQVFVAGIQSPSMGRRNTNGNVVETVPDESSGDASGESSKAPLTSAQRLAASAAASSVEVSADPFAAEAKYFTEHRVLSRDVRIVLEGVDKFNNLIGSVHYSDGEAVKDLGLELVENGLAKYVEWSANMMEEEAKRKLKAAELKCKKDKVKMWANYVPPATNSKALHDQNFTGKVVEVVSGDCIVVADDAIPYGSPAAERRVNLSSIRCPKMGNPRREEKPAPYAREAREFLRQRLIGKQVIVQMEYSRKVTPAEGAAPTGAGDRIMDFGSVFIPSPAKGDTQEVAASAISGSQPAGVNIAELLLSRGFGNVVRHRDFEERSNHYDALLAAESRALSGKKGIHSAKESPVMHITDLTVAAAKKAKDFLPSLQRIRRIPAVVEYVLSGHRCKLYIPKLTCSIAFAFSGVRCPGRGEPFSDEAISVMRRRIMQRDVEIEVETVDRTGTFLGSMWESRTNVATVLLEAGLAKMQTSFGADRIVEAHILEQAERSAKNQKLKVWENYVEGQEVSNGSTTVETRQKETLKVAVTEVLGGGRFYVQTVGDQRVASIQNQLASLSLKDAPIIGSFNPKRGDIVLAQFSLDNSWNRAMIVSAPRAAVESPDEKFEVFYIDYGNQEVVPYSAIRPVDPSVSSAPGLAQLCRLAYIKVPSLEEDFGPEAGEYLHTITLGSGKEFKAVIEERDASGGKVKGQGTGTELVVTLIAVDDEVSVNGAMLQGGIARMEKRRRFEHKDKKAALDALEKFQEEARKSRTGIWQYGDVESDDEDIAPAKKPAGGGRR >A03p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20849855:20851909:1 gene:A03p048800.1_BraROA transcript:A03p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHSLIPKDSFVFKLPKKSPLVLRMVVLMFVMVCAVYICSICLKQIGVVPSAGFLNVEVFEKPCPEPNIEPWDIPYVHHPKPKTYNREECSCNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKDRRANVSTIFETLDKVYNLDWLSSASKNECTSAVGLKWMLNQGLMKHHEEIVEYFKTRGVSAIFLFRRNLLRRMISVLANSYDKDAKLLNGTHKSHVHSPKEAEILARYKPLINTTLLISDLKQVQEMTTKALSYFNTTRHIFLYYEDVVKNRTRLDDVQEFLKVPKLNLKSRQVKIHHGPLSQHVQNWEEVQKTLNGTGFENFILEDYRK >A06p050080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26383246:26384976:-1 gene:A06p050080.1_BraROA transcript:A06p050080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVSVSAPILPLCFHQSGEQSSRLAYIQQKRLICGRNSRSSIGFGAEKVRKSRVRAPVCRAVPPLLFKDLDADDFRHPLDKQNTLLLRAIPGLNEFGKALLGSATEQIMLLENIGTSVLVSKNQLSDLHGLLVEAAEILNIEAPDLYVRQSPVPNAYTLAISGKKPFIVVHTSLIELLTRQELQAVLAHELGHLKCDHGVWLTFANILTLGAYTVPAFGQMIARTLEEQMLRWLRSAELTCDRAALLVAQDPKIVVSVLMKLAGGCPSIADQLNVDAFLEQARSYDKASSSPLGWYIRNAQTSQLSHPLPVLRAREVDEWSRSLEYRSLLKRANRISTLENV >A09p001430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1078196:1078619:1 gene:A09p001430.1_BraROA transcript:A09p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFKQTCLIKSEVADDVKNHRALGDIGERKPKPNRLQRRSRLWFKDAAHVKNRRS >A01g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24100477:24101541:-1 gene:A01g508880.1_BraROA transcript:A01g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRVCLLPINVILTNPQNCDSESPSSSVDQKKLQAKPLKSAIDDWVGRLLALVSSDMPDKFWVDLDLMGVTCQECSSDRFFSLYLVWFNSLLSHIKNPESSRIVRVVSCTSISDLLTRLSRFTNTKKDAVSHASKVIFPSLNYWRKNLQRHYGKALSIS >A01p054660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30940124:30941479:1 gene:A01p054660.1_BraROA transcript:A01p054660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPSSQGYGYGGNPPPPQQPYGSSGNPPPQQPYGSSGGNPPPYGSSAASPYAVPYGSQPPPSSAPYGAPPSAPYASPPGDHKPHKEKPQAAYGSPGGYGSSGGYGGYGAPPSGHGGGYGAPPPPPQQAGYGSPFASLVPSAFPPGTDPNIVTCFQAADRDQSGFIDDKELQGALSSYNQSFSMRTVHLLMYLFTNSNVRKIGPKEFTSLFYSLQSWRTIFERFDKDRSGKIDTNELRDALLSLGFSVSPVVLDLLVSKFDKSGGRNRAIEYDNFIECCLTVKGLTEKFKEKDTALSGSATFNYEAFMLTVLPFLVA >A07p039000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20716104:20718595:-1 gene:A07p039000.1_BraROA transcript:A07p039000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSETPSYCGWNELNVKNAKGKTEVHYYLERRDGCADLAVVGRLRRASKGMSFRYALKMNRSVLKKLSSLEDVKGWLDSIVSGEIPHVADAPATTVTEQAAGDFNIDTFMNGKPQELIHPTINFSWMGSSWTCRKRRKHYPSFSRNGVRVSVNDFVYVLAEQHKRLIAYLEDLYEDSKGNKMVVVRWFHKADEVGIVLTDDANDREIFFSHCLQDIKIECIDGLASVLSPQHYEELLKVPMHVQRVPFFCQKLYGDDGIEPYDITQLQGYWRQEVLRYLNVSILKTCEGAQPLGTDPVAGASLVDLEASDTSMCKGAEDGSSHLIKKGSVVEVLSQDSGIRGCWLVALVVKKHKDKVKVQYQDIMDADDESKKLEEWILASRIAASDHLGLRIPGRKVVRPNLRPSNESDVWAVDVGMPVDVRWCDGWWEGIVVGKVSEERVEVYLPGEKKISSFHRSDLRQSLEWSADEWVQMKPRYDVVSSVLTSMKKEEVEVKPEENLCEVGVGDCVMSLKDEAKLTVSLPVATTNKPVPDLLKHVLVSDLKWTASNKRKRTSSLGDSLFSSSVVGPRKRNRIVSCWPHDPSLTDGFSCEKSLGCENGKFMGDSVFGSSVGQHLAGLLMSR >A05p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7058421:7064936:-1 gene:A05p015910.1_BraROA transcript:A05p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPSLVLILRAAALSPNPDERKASEQQLNQLQHTPQHLVRLLQIAVDGNCDMAVRQIASIQFKNFIAKNWSPDDSGEQPMILQSDKELVRDNILVYVTQVPTLLRSQLGESLKTIIYADYPEQWPRLLDWVKYNLQNQQIYGALFVLRILSRKYEFKSDEERTPVSRIVEETFPLLLTIFNGLIQIENPSLEIAELMKLICKIFWSSIYLELPKQLFDINVFNAWMVLFLSVSERPVPVEGQPMDPELRKSWGWWKVKKWTVHILNRIYSRFGDPKLQTPENKPFAQMFQKNYAGRILEGHLNCLNTIRVGGYLPDRVTNLLLQYLSNSISKSSMYKLLLPRLDVLLFEIVFPLMCFNDSDQKLWEEDPHEYVRKGYNIIEDLYSPRTASMDFVNELVRKRGKDNLPKFVQFVVGIFRSYDEAPAQHKPYRQKDGAMLAVGALCDKLKQTDPYKSELEHMLVQHIFPEFSSPAGHLRAKAAWVAGQYAHINFSDQSNFRKALHSVVSGMRDPDLPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCLNTSEASDDLDDVGALAAVGCLRAISTILESVSSLPQLFVEIEPTILPIMQKMLTADGQDVFEEVLEIASYMTFYSPNISLGMWSLWPLMVEALVDWAIDFFPNILVPMDNFISRGTAHFLTCKEPDYQQSLFTVLSTLMTDRNIEDSDIESAPKLIEVVFQNCKGQVDQWVEPYLRLTIDRIQRAETSYLKSLLIQVVANMLYYNPGLTLGVLQNTGLASTVFDHWFQMLQQKRKSGLPANFKREHDKKVCCLGLTSLLAVPGGQFPDEALQRVFRATLDLLVAYKNQIAEAAKETEVDYENELNGLESDEDDDDDGSDGEMGMDDTEDGDEAESKKLKKLAAQASDAQRFQNLNQSLDFNYQAIANGIAQHAEVRRVEMEKEKQKKAAEAAATPVPAI >A08g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9924946:9929625:1 gene:A08g505770.1_BraROA transcript:A08g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRREVTCFTICSLSCLRSFLRKTSFLSTLFLYFLKRSVKMSSKKNVAKKGSSSASAYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKSFPVLIHRGVEKGDASRSTDEFLAIMRSFYHIPDAVEFRVPRRGECAKSPSEGYFTCYEAFVVRCRLWFPILEILVRVLDRFKVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKTFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIVVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALAADTGSDSEPDDQNPVEAPRAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFADLPPGFDAPPPTKESVRPRVVAEGSRIINGGLSLLGSAIEAGHREARVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFSSVGDLRECRGSVGSLWRTQADDYVFEDEMSLMKSGMNDHAHAEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDRPADAFGLDGRICIYRDWPLVALNPLPPYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMLAFVLVLDVLKIERVIELRLFKTASVFVGANRRTGCKFLVVAFGQFMIIFTIFGPEEAADKSLNVFRPWASDLCRASTSDAFASVDDFNSSFPGSCSQDFAVGRGVSSGLVELAEGAFVVPLIASPCVARGPALIRIDRIVMRPLEIYTATKLRLEPGRYVATELRLELGRYVATERDERSRPSGTIARSLRSDQAWLGFGCCIATGRRVCVVIELSLFGLNLKGYFFVKTSYWLYFTKFTKVVSFFLLSLSEIRFPRIFGW >A06g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:969488:972296:1 gene:A06g500210.1_BraROA transcript:A06g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEIYLIFHSFSLFLSHLNVFEYAGFSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A03p068160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29860973:29862151:1 gene:A03p068160.1_BraROA transcript:A03p068160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTADEVLPEVNATAAEFLDQNEPAEEEQMERDNGGERKRERETPPEDDCCPICFSSFTVPCRGNCGHWYCGSCILQYWNYAAVSKPCKCPMCVRHITTLSPEASLQERQEQEVKEVLAKIRRYNRLFVGGLTGFLQKLKELPLLIKRMVWRMMDPDTNTLYFHEVRIFAMLMSTLYTAAEFSFIPTGGFRIVTVFDYCAIAMILILRVVGIYRRRRLAQRVRHIAAAELEPE >SC122g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:567862:579087:1 gene:SC122g500270.1_BraROA transcript:SC122g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRVKKALGGGALDEIRESSPYTSASNEFLHQVGFELRVELVLCGSYSSEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETFIVQRRAIQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEEEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A03p008090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3263404:3265481:1 gene:A03p008090.1_BraROA transcript:A03p008090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGGDVYKVIEAMVPLYVALISGYGSVKWWNIFTRDQCDAINQLVYYFTLPLFTIEFTAHVDPFNMNYRFIAADVLSKIIIIAVLAFWAKYSSKGSYCWSITSFSLCTLTNSLVVGVPLAKAMYGQEAVDLVVQSSVFQGIVWLTLLLFVLELRRAGFSSNNNVDDINIEGGRKETVVVREEKSFLEVMSVVWLKLATNPNCYSCILGIAWAFISNRWHFEMPGIIEGSILIMSKAGTGTAMFNMGIFMALQDKLIVCGTSLTAIGMVLKFIAGPAAMAIGSIAVGLHGNVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPVLVAYYAALEFIH >A09p049470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44817156:44818273:-1 gene:A09p049470.1_BraROA transcript:A09p049470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKSVSLLKAIKPYKQGWHIQVKLVHSWRQKTIYGGDSLELIFADETGDKIHYTCKRTYIQRTQRDLGLGEWHMIDVFSLSNATGQYRTTNHTYKMSIIEDTEISKSSLVCDDKFFSFAGFKEIGNGTLKTHFLIDVIGQVTSLRDIQTVQVSGKDKKKVEFRLLDSSGQSIACCLWGKYAEQLDDHLQQTKDPNMVCLIWFAKIEFYKGEVQVTNAFDALLILFNPELPEALALTNVYDSKQLDIPSFFCPFFVKAT >A04p026200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15845071:15849556:1 gene:A04p026200.1_BraROA transcript:A04p026200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISQKIPTWAAVPTVFAIFAVVSYQTLFAPDNLEGTKNVLPMAKTIPIPVDGPESIEFDPQGEGPYAAVVDGRVLKWRGDALGWVEFAHTSPHRGNCSSREVVPTCGRPLGLSFEKKTGDLYICDGYLGVMKVGPQGGLAELVVDQAEGRKVMFANQMDIDEEEDVFYFNDSSDKYHFREVFFVAANGERSGRVIRYDKKTKEAKVVMDNLRCNNGLALNKDRSFLISCESATGLVHRYWIKGPKAGTRDIFAKVPGYPDNIRLTPTGDFWIGIHSKKSPVGRLIVGNKWLGKLVEKTVKLELLIAVMNGFKPHGIAVKISGETGEILEILEDREGKTMKYVSEAYEREDGKIWFGSVFWPAVWVLDRKKPMANNPIAAPPPAKKRKTSLSSIPDDVIVNVLARISISHYRSLCLVSKNFYSLLSSPDIYFARSLIGTTDVHLYVCLRLPTPSSSHHHRWFNLGYRQGQLSLVPVRTLSSSSYSPDRLNSTSVAVHSEIYQIGGGSNEDKRTRAVRVLDCRSRTWRPAPDMQVARKHARSYFLDDKIYVIGGCKENWGEVFDLKTQNWKPLPKPPSDHDDVHKGVVYGGRLYAFTSMNNNNYSYDPKEERWVQEAGFVGLGRITGPLCVIGNEIFAEHDRKYTWYNPRNGNGKQQVIDGLDDVYKKRANNYRTIQLVNHGGKLVIIWNETRRKRKRLWCAVISLEERSTPLGTRMRGKVERCDLLLDSVHNLVIKGIRNRRIINYSYKRHKTPTGDFWIGIHCKKSPAGRLIVGNKWLGKLVEKIVKLELLIAVMNGFKPHGITVKISSKTGEILEDKEGKTIKYVSEAYEREDGKIWFGSVFWPAVWVLDR >A08p003210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1806825:1808275:-1 gene:A08p003210.1_BraROA transcript:A08p003210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 28 [Source:Projected from Arabidopsis thaliana (AT1G51600) UniProtKB/Swiss-Prot;Acc:Q8H1G0] MDDDELHGSNGRMHIGETQDPLHHVHYGHHALQHIHNGSGMVDDHADTGGGGGMSDGVDTDVPSHPGIITDNRGEVVDRGSEQGDQLTLSFQGQVYVFDSVLPEKVQAVLLLLGGREVPQAPPTGLGASHQNNRGLPGTPQRCSMPQRLASLVRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSAKSNNGEAAADGSSWESSQTWAIEGSEAHHNQEISCRHCGIGEKSTPMMRRGPEGPRTLCNACGLIDLSRGAPQTFQNLPVNNEVSNMQEEANLEADQMMGTVANDISNSQ >A02p045940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28776599:28779117:-1 gene:A02p045940.1_BraROA transcript:A02p045940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEFFTEYGEASQYQIQEVVGKGSYGVVASAECPHTGGKVAIKKMTNVFEHVSDAIRILREIKLLRLLKHPDIVEIKHIMLPPCRKEFKDIYVVFELMESDLHHVLKVNDDLTPQHHQFFLYQLLRGLKFMHSAHVFHRDLKPKNILANADCKIKICDLGLARVSFTDSPSAVFWTDYVATRWYRAPELCGSFYSNYTPAIDMWSVGCIFAEMLTGKPLFPGKNVVHQLELVTDLLGTPSPVTLSRIRNEKARKYLSNMRRKEPVPFTHKFPNIDPVALKLLQRLVAFDPKDRPSAEEALADPYFQGLANVDYEPSRQPISKLEFEFERRKLTRDDVRELMYREILEYHPQMLQEYLQGEENTNSHFLYPSGVDQFKQEFARLEDHKENEEERNSPPVQRKYTSLPRERVCSSEDEGADSVHAQPSSSSVVFTPPQTPNKETGLSSQKTTQADKAAAATPVKRSACLVRSDSICASRCIGVSSAVS >A06p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9283608:9284368:-1 gene:A06p019110.1_BraROA transcript:A06p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYLPRLRDVNVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVVGDMFVDVPKGDAMILKRILHGWTDEECVKILKNCWKSLPDNGKVVVIERVTPDEAENGDINANIAFDMDMLMLTQCSGGKERSRAEFEALAAASGFTHCKVVCQAYNC >A05p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7394639:7397204:1 gene:A05p016580.1_BraROA transcript:A05p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGSSGSIPFKSKKRLLDSPSSKSQTGNPNQPSVPFPTPEKPPEAMHTRSRNRSVALSVKEIRQAAGSRRRSEDPVGKASSARSQLLFSSNDDSSSSASKRKNTDKEKLPEKYEILGKFFDALDSTIVLSRLRGSKPIFSNISGKIEHLTERRFCYSHLAQIKHILPEAVEVKRVLIHDEATSCMKPDLHVTLNAGAVEENGNSKSESKKKISLRKVFRTRLADFVKSHPQGDEVPEEQLPELFNRKKPIEDSKAEVKSVGNLMEEMASIPAAKLISSFIKVPSTPVNAKPQINIAPTASPALSKINLAPTPVKAVSTPARLPSTPAKIDSTPVKAVSIPANVLSTPAKIDPTPVIVASTPPECASTPARVYSTSLASRLQKRSSGDTSPDDVSADPPAKLARRSSLSSSRSLNFDSFTEEEEADMDVTNADEDNDQVPEEDESSDDEILSILPDKLRQSIKEQERKAIEDANPAISEAKRRRKMIACLPKLFNVIHYLIQSIRRWVITKEELVHKIIAGHSDITDRKEVEEQLILMQELVPEWISEKRSSSGDLLVCINKLASPQTIRSHLEEENKKAVAPPCP >A08g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15570608:15570905:-1 gene:A08g508490.1_BraROA transcript:A08g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFSCFLLRTTRFSFSGSDSFSSGIQLLHRMKHFINQMLAEEHWCSECANHTMASLNERM >A10g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21230184:21234783:1 gene:A10g506980.1_BraROA transcript:A10g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYAPTRRQIIFVLRKPPKTFGKWISLNELINFEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSNGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRASCALISLRTGSTIFYTTTFVLGALKTPNINI >A02g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6351221:6353041:-1 gene:A02g502010.1_BraROA transcript:A02g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRKWDPGTINEEWIGGSLYRIKGGMYLGTRFRSDQRCLGMDLKGIYMETIRKIGVIGEYDLISIILKMKSNVFKGKVADDHKWVKTAERGYKGTSSYHGNSRGDAGGSHKRSLRREEMAVVPQEVHRRASSPRHVEEQNGKEVVMEGTREEGEIKSTEEETLASASKEFQVALAETQANGLELISDPVDREEGLLQIQSLVVKDRTSDRVSEGDEEDEMGMSFKQRFWSMA >A04p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20484943:20487091:-1 gene:A04p035520.1_BraROA transcript:A04p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATPGGSMKSLTIQILTGRWFMFFGSLWIMSAAGATYMFSLYSGDIKKTLGYDQTTLNLLSFFKDLGANVGVLAGLINEVTPPWFILIIGAVLNFFGYFMIWLAVTKRISKPQVWHMCLYICVGANSQSFANTGSLVTCVKNFPESRGVVLGILKGYVGLSGAIITQLYHAFYGEDTKSLILMIGWLPAAVSFAFLRTIRNMKVVRQTNELKVFYNFLYISLGLATFLMVAIITDKLSGFTRSEYGGSAAVVIILLVLPIIVVVWEEKKLWSNKQVALNDPAPINIVSEKPNLDSSEVKDDNERSTEAESVKTASCWTTMFSPPERGDDYTILQALFSVDMLILFLATICGVGGTLTAIDNLGQIGGSLGYPKRSVSTFVSLVSIWNYFGRVVSGVVSEIFLIKYRFPRPLMLTLILLLSCAGHLLIAFNVPGGLYVASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYLLNVRVAGYLYDVEAEKQNNALKIPRIDGRDLTCTGTACFKLSFIIITAVTLFGVLVSMILVIRTRKFYKSDIYKKFREKALATEMEMTAAPPARSTVEEAKKG >A09g518730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57007995:57009623:-1 gene:A09g518730.1_BraROA transcript:A09g518730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKTSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A04p015710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9731761:9733447:-1 gene:A04p015710.1_BraROA transcript:A04p015710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGRKLKKSNLHEVVEKVQRKKKEKEKVSNVEHPQAITSEKPNRKKVELKKKKRKKVAEEISEQAEKIKGNKGKLNKTKNKRKADEISSGPVDDHLIKQADDDTNVANDATETRNRKSKKKRKMKLTSTKETETSSEKKNKVEEEEEDVYEISSGDEDCSRGMKKWVTDYYENRPGLDELQKRIDDFMTAHEERLEQEKQEREAKAAEGGWTVVVHHKGRKKTTDAESGTAVGSVSQAALEDKVAKKKKTEIVGHGFYRFQRRDAQRSELLALQTKFEEDKKRIQQIRAARKFKPY >A05g510290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31797108:31798913:1 gene:A05g510290.1_BraROA transcript:A05g510290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNAGVPMSSLSPLMTQEAMWQMNLSQDETMETGSYPERPGEPNCSYYIRTGLCRFGSTCRFNHPPDRELVIATARMRGEYPERIGQPECEYYLKTGTCKFGVTCKFHHPRNKAGIAGRVSLNMLGYPLRSNEVDCAYFLRTGHCKFGATCKFNHPQPQPQPQPTTNLMVPTSGQQQSYPWSSASFIPSPRWQDPSGYTPLLMPQGVVPVQGWNPYTGQLGAVSPSGTGNDHNSYRNMQQNEAGSQSQGSVSGLNTASSVPLGGFYALPSESVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQAPPPDCLLSPIGLPLRPGEPLCVFYSRYGICKFGPSCKFHHPMEVFAYDNTASETDEVVEASGGHSRRVSVSETRQATTSGEDTTN >A04p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1476679:1481678:1 gene:A04p003020.1_BraROA transcript:A04p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIARLAVLSAHLEVSDQVLLPAIEPWCTSGASELHGSLKGSLTIVDERTGKKYQVPVAEDGTVKSIDLKKITMGKDDKGVKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLIYGNLPSKSQLADWELAISQHSAVPQGLLDMIQSMPQDAYPTSAFDSAMSALSLFHPDANPAHMGPDVYKSGQVRDKQIFRILGQAPTIAAAAFLRTVGKPPVLPLSNLSYTENLLYMLNSMGNRYYKPNPRLARVMDIIFILHCEHEMNCSTAAARHLSSSGVDVYTAIGGATGALNGALHGGAVEAVPKMLSEIGSVENIPEFIECVKNKKRKLYGFGHRIYRNYDPRAKFLKKLADEVFSIVGKDPLVEVAVALEKTALSNEYFVRRKLYPNVDFYSGLVYRAMGVPSHFTRVSRLAGYLSHWRESLDDPDTKIMRPQQAYNGVWLRHYEPVRE >A01p055700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31739463:31740781:1 gene:A01p055700.1_BraROA transcript:A01p055700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSGAVSGSRDMDNNNKNNEENGNTSKTASDDEGVGSVGRQMSESSLCVTEEEEEDDSKLHLGPQYTIKEHLEKDKDDESLRKWKEQLLGSVDVTNIGVSLSDAEFESGYTQIFLTCETLDPEVRIISLVILSPGRPDIVLMVPENGNPKGMWFTLKEGSRYCLKFTFQVNNNIVSGLRYTNTVWKTGVKVDRGKEMLGTFSPQLEPYNHVMPEETTPSGMFARGSYSARTKFLDDDNKCYLEINYSFDIRKEWPAV >A05p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18107091:18107493:-1 gene:A05p032010.1_BraROA transcript:A05p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATKPCSLLFDLYPRILCEASLEDCRLQVPFEFFIWNLHEASLIGFSHQVLHYLPNLSEESDPMYTKPQDKRLRQVLYWRSALVPSNNQ >A09p076760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57412040:57413056:1 gene:A09p076760.1_BraROA transcript:A09p076760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMKTRMLIIFLTLVLLLSMASSVNSREDGFAPLKPSPSSRTSTHESRKGNGDGVECKSSDSEEECLVKKTVAAHTDYIYTQDMNISP >A03p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8685061:8686560:-1 gene:A03p021010.1_BraROA transcript:A03p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPCRLISPLTAEKHLPTIISPLEDVEPPCDVVVLAHKALSATKLATALLSEALPSLSTRLLERRAKNRRAPKPNSLDSEVYVPQKTNAKKKMRQGFDTNDALQLFLWGPETKQLLTAKEEAELITHIQHLIKLEKVKTKLESQNGCEPTISEWPEAMGVNAKQYQNRGLNFQDLLQAPPIDMEPSQLVDDKARTGNYRPSKEQLASHVGVSKEKLDKLLYNTRTPLSMQQPIWSDQDTTFQESPQRTESKGEKDHQAEIWDWWWETETVVGDRRDLWTVRVRLLESRALLYKLKQNMNSHGLSAYADFLV >A02p024840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12619269:12620520:1 gene:A02p024840.1_BraROA transcript:A02p024840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHQTFASSLLDVDDLLHIPAETIGASGEKDDTCPIQSESKGKEVVVVLHDQDAHAPSSVAKKQERSANERLRRLRLHASYLTLGTLLPDHSSSSKKKWCAPSIMDRVVTYIPKLHNEVEELTLRKQKLVEAIESRRSRVLVPQDPHNRTISVLELEGSGGEVIVQISMKRVKEDEFSNLLHVMEMQRFSILSASTSLVCRDQRVVCYNFHVKIDEKPSEGEDYITVLKNNIISTLF >A06g505730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:16393076:16393408:1 gene:A06g505730.1_BraROA transcript:A06g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSESHQTVQAGHLEGTSDRGSVQGVYLYNQKDFQHETNCIGFYTQDGVQSNCNRAKIFMEQEVMTFTSQKFPNPSICEYPTLEGDSSPRKERPEPKPIIGFKRNLSSF >A04p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10022971:10024295:-1 gene:A04p016290.1_BraROA transcript:A04p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNFEKMMYVKVLMMVIAIWFVPMTYSNEAQAPAGDVAEAPGTDRFNNDWYDARATFYGDIHGGDTQMGACGYGDLFKQGYGLATAALSPALFNDGYTCGACYEIMCTRDPQWCLPGSIKITATNLCPANYTKTTDIWCNPPQKHFDLSMPMFLKIAKYKAGVVPVRYRRIPCTKNGGVKFETSGNPYFLMILPYNVGGAGDINAMQIKGSTTGWINMRKNWGQHWTTNIVLTGQGLSFMVRTSDGVVKQFMNVAPNNWGFQQTFDGRINF >A02p021450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10138210:10139428:1 gene:A02p021450.1_BraROA transcript:A02p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQNFVRCCPRWLAPSLVPEASILLPFSYLSSALLPSVVRYARLLYGGWLAPDYDLDLGAIGLDPVAHVLYWSVSESRQWSDRHQRFLLRRGAQRVGGLVCLFLLSGLCTCWFPLCLAEVASVAYQSLTWMLALSFSLSGMGNCSVSVKLECWEASELSIRFSDTTAAKISMSLWWSIGSRFSCGPLPLDRSIPVLLFRSLDHDMVALCRVGLLVHGSDHEFECLKISLGSCHEASSHRREPYLEVSSGV >A06p010430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3759065:3761810:-1 gene:A06p010430.1_BraROA transcript:A06p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYLFLVLVYISTSPCYSLVTIEEERDILLQFKDSITDDPYNSLASWTLDGDICNSFNGVTCNPEGFVDKIVLWNTSLAGRLSPRLSGLNSIRVLTLFGNRFTGNLPLDYSKLQTLWTINVSSNALSGSIPEFIGGLTSLRFLDLSRNGFSGEIPTSLFRFCDKTKFVSLSRNNLSGPIPGSVANCNNLVGFDFSYNSLNGVLPPGVCDIPVLEYISVRNNLLSGDVSEEVVKCQRLSHVDLGSNMFQGSGPFEVLGFVNITYVNVSWNRFGGEIGEVVGCGQRLEFLDASYNELTGGISRGVTGCKSLKLLDLESNKINGSIPGGIGKMEKLSVVRLGGNSIDGEIPKEIGSLEYLQVLNLHDLNLVGEVPEDVSNCRLLLELDVSGNGLEGEIPKKLLNLTNLEILDLHGNRINGSIPSELGSLSRIQFLDLSLNSLSGSIPSSLGSLNKLTHFNVSHNNLSGVIPPAPVLQAFGASAFENNPLLCGDPLVTTPCNSRGATAKGRNSEALSVSVIIVIVAAAVILFGVCLVLGLNLRARKRRKDEEEEEVVTLETTPLASSIDSSGGGVIIGKLVLFSKNLPSKYEDWEAGTKALLDKDNIIGMGSIGSVYRASFEGGVSIAVKKLDTLGRIRNQEEFEQELGRLGGLQHQNLSSYQGYYFSSTMQLILSDFVPNGSLYDNLHSRIYPGASTSHGNTDLNWHMRFGIALGTAKALSFLHDDCKPAVLHLNVKSTNILLDEKYEAKLSDYGLEKFLPVLDSFGSRTKKFHNAVGYIAPELAQQSLKASEKCDVYSYGVVLLELVTGRKPVESPSRNQVLILRDYVRDMLETGSASDCFDRRLREFEENELIQVMKLGLLCTSENPLKRPSMAEVVQVLESIRNGFGS >A03p046540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19649915:19653287:-1 gene:A03p046540.1_BraROA transcript:A03p046540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTTCVGRRTRSRTESYLNDLLNQSKGISVAVQSNSRPAPLRDSSSPEKTKRRRKRKSKDDDEVEFVGTIYPKGKREKEIVVEDDNVGSPPMIALSLESDRACGVSVDDAMGDDDGDVEFVRTIYPEEDDRAVDDENLIGEESPDDDDVVYLGTLLRDQEHVDRVSDLDVDDANLMGEEKILEPDDEVMSLSSGSDDEASIEDLGTEVSDYMEKSSDSSYAESSDSGFDCSEDDEFRGARDTATVKKKSPSTRVYTREKRKTSYRKNDLDVSDLLAKSIWQRKKIVEEDIFSEDETAEVDTREDPIVRERSSEKVHEQRKRRRFHREKKKNHLTVVDLLGDSFENFDVGENLWVSPPINLRFGCEEPEPVEKTEEEKEIDMLWQDMALALSLEGLHSSTYFKNGDVSCSNGKHDFVLDEEIGLKCRCCSYVSVEMKDVSPAMDKYRANVKYRDTVNYKNTCRTKGDPLLDSLDLEASEHNSNVASLKDTQGTVWEYIPGIKNTLYPHQQEGFEFMWKNLAGTTKLDELKSSVVKESGGCIISHAPGTGKTRLTIVFLQSYLQQFPDSHPVVIAPASLLLTWEEEFKKWNSNIPFYNMSNQELSGLENPSAVSLLKGNRQHRSKTDSVRMVKLYSWRNKKSILGISYSLYEKLTGNKCASGETQKFRKMLLDFPGLLVLDEGHTPRNQNSCIWKVLTEVKTEKRIILSGTPFQNNFKELSNVLCLTRPAYKDKISSRLHDLTRLSQEGKNGRFDEEIGIAELKDMIAPFVHVHKGNILRESLPGLRDCVVVLNAPFQQAKILTRIDHSQKTFDLEHKLSAVSVHPSLYLRRKQTDKERLTIGPVVLKSLESLTLESKEGAKTRFLIDFIRFSETVKEKVLVFSQHIDTLELIRDQLSAVFGWTEGEEILYMHGQLQQKFRQRLINNFNKSDSKSKVLLASTKACSEGINLVGASRVVLLDVDWNPSVERQAISRAYRIGQKRVVYTYHLMVKGTTEWDKYCKQNKKHRISEMVFSPTNEKDKLIENEVVSEDKILDEMVRHEKLKDMFEKILYRKKESDMFTNIL >A04p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15768424:15772812:1 gene:A04p026070.1_BraROA transcript:A04p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFNHQLSCNRTTNIHCRYQPPHDLPFTILTYHHQATDEEQHYHEEIIGEGYLIGFQYVRGLIGFWCSHNVEYFRIHNPTTRQSIFLPNTTRLPGALFYLFGYDPFKNQYKVLCLTHNLYELSLSCTLFILGDVSKKWRGIQCSIGFHFLFGQEVCISGSIYYKARDANGTPVLASFDVRHEKFNHVRTPENLLAYYNHEDSTLVNYHGKLGCICPSSFNWNEMDMWVMQDAEKQEWSKIDTFLDMLHGLPNLNMVRFAGVTNPGGEIVIVHKEYLSELALKVYYYDTKQNGLRRAEIQTTRPSDQVTIRAVTDHVENIMHFSGVITIPLDLVVDILEKLPTKSLARFRCVSYQWESIINNYIVIDSIVTRRSNQPPRDPHFFIEKLLNQPQRGLPFRSSPRPSSILSYTYLYHQVTDEEQLYHEEIIGKYEVYLEDFQYVRGLIGFSCYSDNVQFRIYNPTTRQSLSLPVTRLPGSLFYLFGYDTFKNQYKVLCLTPRRQEQSCKLFILGDVSNEWWDIQCGVGGHFPLEQAVCINGTIYYKARKIDWTHVLVSFDVRHEVFNHVQTPENLWLSGDHGDSTLVNYQGKLGCVCPKNFSLNTVDVDMWVMQDAEKQEWSKVTFLDMLHGLPSLNIRFAGVTNPGGEIVLVHKDYLSELAINVYYYDPKTSGLRRAEIQTTTSLYRVRPSENVTIRAVTDHVENIMRL >A05p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5260650:5262503:-1 gene:A05p012110.1_BraROA transcript:A05p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISAVSEELAEIEGQINDLFRALSNGFQKLEKIKDANRQSRQLQELTDKMRDCKSLIKDFDSEVKSLEGGGNDANTNRMLNDRRQSMVKELNSYVALKKKYAVLILFKIIYSSNLASNNKRVDLFDGPAEDNMEENVLLASNMSNQELMNKGNSMMDDTDQAIERGKKIVQETINVGTDTSAALKAQTDQMSRVVNELDSIHFSLKKASKLVKEIGRQVATDKCIMAFLCLIVIGVIAIIIVKIVNPNNKDIRDIPGLAPPAMNRRLLWNHY >A01p008000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3864123:3865541:-1 gene:A01p008000.1_BraROA transcript:A01p008000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL13 [Source:Projected from Arabidopsis thaliana (AT4G30400) UniProtKB/Swiss-Prot;Acc:Q940Q4] MKFSRENMNWVFSEIKTTQNLLSPSSLPRPPPLTIRPNTNSDLNKINPSILLIIIILSIIFFLSGLLHLLVRFLLTPRRRDREDYFDNVTALQGQLQQLFHLHDSGVDQSFIDTLPVFHYKSIIGLKNYPFDCAVCLSEFETEDKLRLLPKCSHAFHMDCIDTWLLSHSTCPLCRSSLLSDLSPRQDPRPSFLLVLESASDHSSREIVGDRDGAACVAASGADDVQPNAHSRSSSHLGNDLGSTRVDSSRKDQYQDGELGGPVEKVVPFAVKLGKFRNTDVGEGSNINSDIGTSSIIDERRCFSMGSYEYIMDEETALEVHVSTKKVSSKNPRLPGHRTAMSECGFDPSGRVKFNGSGSMRIMEEATEKSVVERESFSVSKIWLRGKKERQGKIQGKEDSSLVSSSSGRAFSFGFDNPKENAKSENGCEEDNQKVENASSLEVKTPSFARRTMLWLAGRQNRVVHSSSAANV >A09g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16225309:16227446:-1 gene:A09g505240.1_BraROA transcript:A09g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVICVMQQSESDVDRHNTPPIDRQPPLTYRVRLPSIDNDYINALRPPPRPLANPPKPKPNPLNRSPESVQEEQESEGRRLRKRKEKILKNLKREANDKEMDGFTKRVLRIPIEKPFDEVYITHRLWMFFRETKVTEEDIRRMFHQVREKMKHMITLTKKSDPGKFAIPCVVKGVEFPHSMCDTRAS >A02p055200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33425276:33427147:1 gene:A02p055200.1_BraROA transcript:A02p055200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNGVLDLLQENVKKPRFCKSLSLGENWKSKSMRIIPEEFVRSAHGAFEHRLVFTVSWGNSWQVWLQRDKNGLFMEEEDWNEFVDDNLLCPNDILLFTHEDTMFTEVRIYKKDYRFFKQVITAPLPPQVLNPKPNAPSSAPPGFASFPSASASRARQSSSPVQNPEQYLVNPQNPYFVKTLSKKIDVLYVNQEVIQKYGLKFGPHLSPVYYLLPGEKHEAVIKIYRNSPCFNRWAAICKKYNKKEGDSVVCELERSGGVVTAVRVHFVDE >A02g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20880485:20883415:-1 gene:A02g507400.1_BraROA transcript:A02g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSHLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSKSSELLKSCLVLSTQVESKLVFTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHENFRIKMLSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p006200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3197395:3198561:-1 gene:A04p006200.1_BraROA transcript:A04p006200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKLPIDLVEEILSRVPLKSIGASFRDKHISKTSRGKEFLMITRDHRVYLINVNLYKTHVNKFDLSVKQSVHLSLEKIQCNGLILCVLRDIRNMFVVWNPYLCKRRWIKWPSGRFEEDQIAFGYDNSSCGIFGVSLKGDYYSYARDQESHGFLWCFDFKRERFGPRLPLPVDPSIVHGVSLSTVREEQLGLLVNRSDTYKMEVLVTNKIEPDAVFWTNFLKVDMNSVGKYIGSGSFYIVEEENMVVVFGGRKRMFRTCIVGDNEAEVGSRRTFFANRSASQLSD >A02p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10166107:10167100:-1 gene:A02p021560.1_BraROA transcript:A02p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKLIGGYGYGHHGYGHKNHRSSGYTFEEHKEFMSYEESQGGYFDRQSRYDHHMRLPANHNRPPMAHMPVFDEEDSDSEGEEFYKSSQSHHKTVLPHHGNNHHQQPPHMNFMPPPPMAQPHHNGKIGNGWQGMHEDAYYGGHGMQQHGGYGMKQHGAQEMKHHDRLMAPQVPPHHVYMNPSHASGSGSGHAVMFKASENWRVSTNSSGHHRARWGNRGL >A01p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22335835:22336570:-1 gene:A01p041580.1_BraROA transcript:A01p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLPAVRQLLVLRIRQNIHSGYKVKALEDPWILTIPARIFIRVMRTFVKWMVNGFLHRNILGVDEFGKTYLDKSNLWAKKTKKTSLLFAFGARSNYLDLISVTEKPHAWPSFSTELKKILSYKEDFEPLIFLTSLGSE >A03g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28685688:28687005:-1 gene:A03g508270.1_BraROA transcript:A03g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDPKVIVATSINPKMVGGRLFLNATSGMHVYYDKETNAGELVARYTGLPAAAPLLRSYAKVEPVTIAELNNFITTAQSQEIDFLCTGRVSRVEVDKGWCYVACSKCSKKLQRTVTLFECARCNNTNAVGSLRYRVEMVITDDTAEGTFVCFDGVMTKLHNLRTSEAVQLLAEEGVNPEDSVVPPFVAEMEGKTYTFQVRITSYNFTVNHHTFNVSRIINEVERAPAPDFVDDGGDDDDDDDAPDGTTSGEKSASGKGGSETSKSAGKEPVGNVCKRARVD >A09p051000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44629353:44630724:1 gene:A09p051000.1_BraROA transcript:A09p051000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYLVTGGTSFIASHVVKALLDLGHSVRTTVRDSTDEEKVRFLWELKGAKERLKIFQADLTVEGSFDEAVKGVDGVFHIASRVTVCLDKNDLEKLVDRDINGTRNLMNSCEKSRNTVKRIVLTSSSTSVRYRYDAREASPLNESHYSDLDYCRNFKIWYGYAKTLGEKEAWTIAAEKNLDLVVVIPSFCIGPILSPEPTSSPLILLSIIKGVRGDYPNVTGGFVHIEDVVAAQILAMEEPKASGRFICSSSVAHWSEIIEMLRPKYPLYPFETKCSSEEGKDMPHSLDTTKIRELGLPPFKSLAEMFDDCIKCLQDKGLL >A09p077970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57817542:57818627:1 gene:A09p077970.1_BraROA transcript:A09p077970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKDIDLRLPADHYAYVETGFHSASEANKEREALDTNWSGKRDPRIVIDLEELPTTEDEDSVSYVPETEPSCLAGQISSNSLKVVGQSRVEDGSAGLLDLNTTPDELVSEPHLCFLQDLNCPYVEETETSCEKSGVDDGPTSHSSPKCQNVHEKDGTESPASDTSCCTTENNLRRGSLDPSCRTRLEFPELPENECCNEGVEEEEEFSEVMLMAAESLVHISAVSYQNKDKPKKGNVEPRCFSCDSYELHTLGISETNTEEEFCVSSMAMDKLNNITREDNKEFGLKLRRGRRMKHFQKEVLPSLTSLSRHEIREDMNILEAVFRSREYKKMQGKTRDVKLGANLRNKRLVSQRYVGKRR >A09g512550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37204849:37205448:1 gene:A09g512550.1_BraROA transcript:A09g512550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLTGLDGLFWIRTEPPLASGQFFRLPGGSFRVKIVENRRSDGTGLRIDYTLSRHFASLSFSLATSGCVEMSRGRGVFIGDTSQSASGWWQPVCRFAWLRTHVRRHLVLHMAGCMSQNTVRTHKGHFIRTSHIAQWDVIATHK >A02p018650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8609895:8611901:-1 gene:A02p018650.1_BraROA transcript:A02p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKSGHSSPVMTDTPPISNSRLTIRQNRLLCSSAALSQNNNLLLTVPRKKTGILDDVKSCGWLDAMKSSSPPPAILNKDSISSDASYREWTQLKYPSALTSFEKIMSFAKGKRIALFLDYDGTLSPIVEEPDCAYMSSAMRTAVQNVAKYFPTAIISGRSRDKVCEFVGLSELYYAGSHGMDIMSPAGESLNHEHLSRTVSVNEQGKDVNLFQPASEFLPMIDKVLCSLVEITKDIKGVKVEDNKFCISVHYRNVEEKNWTLVAQRVEYVIRTYPKLRLTHGRKVLEIRPVIDWNKGKAVTFLLESLGLNNCDDVLPIYVGDDRTDEDAFKVLRDGPNHGYGVLVSAVPKDTNAFYSLRDPSEVMEFLKSLVTWKRSMV >A09p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47010666:47013370:1 gene:A09p054670.1_BraROA transcript:A09p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDLEFSFEANSFLDSLETFNAVTHQENPSLLAFQHEHREMVPYVHVSAATNDVYPPSLIENPNTDQETLTRNPSFDQERVTQNQSFRHVDDYSRSINALQLSPNVPLCTFPNGQRESVYSGNCLLTSANNAMMSTGLQSVGSQHGYVNQSYQQPLMSQTNHHLFNDPYVSQMMESNAQQVERANGLTNSNPIYGLRDHRVPPFGCSFQGERHNLDSIVEQLKHIPGNDQMQQPETSNRHIPPYVEALQSNQLQIPYVSSANTIPNPEYRTPMISNNQVLQTPENYPTFAQTPYQPDPLAFNFQNSSSVKTTRRARGRPRKNQIPLPLVPTTQTILTSPRHYGTQDKGKQPITARPPLNPSLYDQCQNSYTNTMIQQSGVMRQRSIYDQLENECSSFKTRRIMVPFKEKSIADSSTASFWQDGNLRSSSAAGSNHEERPIKNTMYDPLYAGVGLPIDPHLRLF >A02p019130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8853000:8854871:1 gene:A02p019130.1_BraROA transcript:A02p019130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 36 [Source:Projected from Arabidopsis thaliana (AT5G50420) UniProtKB/Swiss-Prot;Acc:Q9FK30] MERNSSDEDEEDHQHLIPQNDTRSRHREDPTNGGSSFQIEDILSRRKISLNKRYLLAAVSLTISIGLIFLFTDLRQQFSGFKVDPLSSRVKESELRALYLLRQQQVELLSIWNGTTSANSSVRVAVAKQISLNKEIQEALLSPHKTGNYSGSVVSNLYERCVKVDQKLSDRRTVEWKPRSDKFLFAICLSGQMSNHLICLEKHMFFAALLDRVLVIPSSKFDYQYDRVIDVERINTCLGRNVVVSFDQFKKSRPPHIDRFICYFSSPQLCYVDDEHIKKLKGLGVSIERKLESPWSEDIKKPSKRNVDEVQRSFKSDDDVIAIGDVFYADMEQDWVMQPGGPINHKCKTLIEPNKLILLTAQRFIQTFLGNNFIALHFRRHGFLKFCNAKAPSCFYPIPQAAECIARMVERSNGAVIYLSTDAAESETSLLQSLVVVDGKIVPLVKRPPRNSAEKWDALLYRHGIEDDSQVDAMLDKTICAMSSVFIGASGSTFTEDILRLRKDWGTSSMCDEYLCRGEEPNFIAEDE >A05g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15439916:15445515:1 gene:A05g505550.1_BraROA transcript:A05g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRYGQRNSENHRVYSNLEVTDDSAIAQGNNHCYEHKSTGRNPTDSHMGYEQEERYEDLRERNQAPDMYGSRRNFATTHNPRQNESEFMHRERTPEPRCRQEQRTAGSSDPLIVLVQGLLDRLDHRTGELSERRPSSPPDYLKMGRKAPDYITCFSCGEKGHYANSCPHKRQVTLPAPPTRLAIEPAPKRQAVGKQVNALELGKPEPQQPHQGPITAARHVLLLYWRILQAALAYVLLVEMVAGHKTCFNWTDLWLHTNFSPIGCMGHPGHLLLAMHDQNPSMSSFSSL >A02p053700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32638101:32641044:1 gene:A02p053700.1_BraROA transcript:A02p053700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKTRNLCSLICFFYVLLVSPSQSNAKDVALGCGATEPSTDPDKKKWEPDTKFLKTPNTVQATATYQDPSLLSTIPYMTARIFTAPATYEIPVKGDKRHLLRLHFYPSAYTGLNIDDSYFSVAANDVTLLSNFSAAITCQALTQAYLVREYSLAPTLKDVLTITLTPSDKHPKAFAFINGIEVIEMPELFDTAVLVGFTDQTSDVKSANLQTMFRVNVGGQDIPGSKDSGGLTRTWYNDAPYIFSAGLGVTLQASDNFRIDYQKMPVSTAPADVYKTARSQGPNGDLNVKSNLTWVFQVDTNFTYIMRLHFCEFQQSKINQKAFNIYINNKTAQADAEAADIIAWSGGKGVPTYKDYAMYVDTTNGGEEVSLQMTPSVFAKPEYLDSQLNGLEIFKMDTMKNLAGPNPKPSDMKANEDAKKEFRGDKRVTAFVIGSAGGVAAVLLCALCFTMYQRKRKFQGSESYTSSWLPLYGNSHTSTISGKSNNGSHLSNLAAGLCRRFSLSEIKHGTQNFDESNVIGVGGFGKVYKGVIDGGTKVAIKKSNPNSEQGLNEFETEIELLSRLRHKHLVSLIGYCDDGGEMCLIYDYMSLGTLREHLYNTKRPQLTWKRRLEIAIGSARGLHYLHTGAKYTIIHRDVKTTNILLDENWVAKVSDFGLSKTGPDMNAGGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPSLPKEQVSLGDWALNCKRKGNLDDIIDPNLKGKINAECLKKFADTAEKCLSDSGLDRPSMGDVLWNLEFALQVQETADGTRRRTPSHGSASEDLGGGGGGGGMAVNVSAGEHDVSDLSSEENSGIFSQIVNPKGR >A08g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13620500:13621615:-1 gene:A08g507770.1_BraROA transcript:A08g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSLQHIPQRIHQLQEGISVRPIIVCIRNVWDIKKHQIDNTRTFIGFLCYDHHGQLLEGRVTGDIQPDDPKNLTEGDTYEFSRFSVIHNSRQRKLTQLPYNIQINQKTIALNVTLDGPIFPVHSLSPQKYINLLRLASSPTHLPDVVGQIVIIQKIKPHHPELNIDATIGLRLNRSTIVKLILCDKQAADFSILQSKKDRKFKVMIVTSLIPKLIQGKLILHSTPATIFYFNKSIDYIKHFKRRIRDYAKTCSTE >A01g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25048562:25050542:1 gene:A01g509210.1_BraROA transcript:A01g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRPDEFASPHFEDSISSIASRSDDQMIFLLSTIDHIDEVKDDSGEANFLLFDANAQQINEDEDFLPEAVSDLFGKRVLFEISVDADTKERALSMWFDWLLMTVLMLESADDISSGSGGFTATPLSKRKSEQDEDSCLEDQHSVNKKLSQKKLKGE >A03p010310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4136777:4138123:1 gene:A03p010310.1_BraROA transcript:A03p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNEVREGPIAIAVDRDKTSIQALKWAIENHIPQGETLKLVHVIQRSANGPNTDDELSEREHKNRQITRFLPLRCLCMRRNIQTEVVLLDDQDVAKALIEYISHNFISTFFIGASLKKSITRLFKVDDIPSNVMRWAPDFCTVLVVSKGRLSSVRSATRPLPLALPSPSSGTAPLSPLSNTDELPSEMSLSREDDVFFEEFSSLETDSSVNISERISTDSSVLSFYKKLGAPHMLEIPGLDDEKSMFSMYLNSPSDEKKCTLPPRDDAEDEKRRLKKELKETMNMYHAACKEALMANERVAELEMWKKKAEKMLLQMAEDRATMAIREQRAKAEMEAVRRRGSDDRKVVSDDLGESHVVAKYESLLHIVVVLLLLCFCFIFR >A02p010860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4716894:4718656:-1 gene:A02p010860.1_BraROA transcript:A02p010860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) UniProtKB/TrEMBL;Acc:F4K8F7] MSRGSEKPDESPKWMNLMSFRTLLVSSMLLRVFLIIYGEWQDAHMEVRYTDIDYTVFSDAASLMASGESPYKRTTYRYSPLLALLLVPNSIFHRSWGKFLFSASDLLVGLFIREILKLRKVSEKVCTVSVMVWLLNPFTFTIGTRGNCEPIVCAMILWIIISLMKGNLSQAAFWYGLVVHFRVYPIIYALPIILVLDSKLFRSGQKPPLEDWNTLTSSTEKKTFRLKSLFSRERIMFGLISGGVFLACNAISFYFYGHEFLHEALLYHLTRTDPRHNFSIYFYHIYLHYERQFSAVEKLISFLPQFTVQLALVFSFSKDLVFCMFLQTVAFVAFNKVITAQYFVWFYCLLPLILPWSRMKLKWEGLLCIILWIGAQTHWLLWGYMLEFKGVNVFLQLWMASLVFLAANTFVLVKIIQRHRFSPLFRQYVSSDRKNVEKLD >A09p062170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50977228:50979586:1 gene:A09p062170.1_BraROA transcript:A09p062170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G56310) UniProtKB/Swiss-Prot;Acc:Q8VXZ7] MKLLLVVLFSLSVLVSQTVGGRVKAPLLRQSKTNGLVFSKSFNSIYDTSMYGRLQLNNGLARTPQMGWNSWNFFACNINETVIKETADALVSSGLADLGYIHVNIDDCWSNLLRDSKGQLVPHPETFPSGIKLLADYVHSKGLKLGIYSDAGVFTCQVRPGSLFHEKDDADIFASWGVDYLKYDNCFNLGIKPIERYPPMRDALNATGRSIFYSLCEWGVDDPALWAKEVGNSWRTTDDINDTWASMTAIADLNNKWASYAGPGGWNDPDMLEVGNGGMTYEEYRGHFSIWALMKAPLLIGCDVRNMTAETFEILSNKEVIAVNQDPLGVQGRKIQANGEDDCQQVWSGPLTGDRMVVALWNRCSKPATITASWDMIGLESTVSVSVRDLWQHKDITDNASVSFEAQVEAHDCHMYILTPQAVVSRSDV >A02p000360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:211833:212522:-1 gene:A02p000360.1_BraROA transcript:A02p000360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEFVNVDHILKPEVAYWYLSFNGWVEFIYHNNLNTFAHSAAAVEEEEAVQESFRFLET >A06p003840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:909116:914929:-1 gene:A06p003840.1_BraROA transcript:A06p003840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVKPSVQSLKSMPADYRFPGSPPLSDPLDNSSSGSSVSVTIPRNGHLKNGFKGTGEYAGGVENGNEDSPYSVSNGDPVVPLPQSTDRRWSDTSVYARKKVLQFWVQLPSGNWELGKVLSTSGEESVVKLPEGNVLKVISETLVPANPDILDGVDDLMQLSYLNEPAVLYNLEYRYNQDMIYTKAGPVLVAVNPFKEVPLYGNSNIEAYRKRSNESPHVYAIADTAIREMIRGGITFHEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKVQTFLLEKSRVVQCAEGERSYHIFYQLCAGASPALRAKLNLTSAEEYKYLQQSNCYSINGVDDAERFQAVTEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTVIDNENHVEPEEDESLSTVAKLIGCNINELKLALSNRNMKVRNDTIVQKLTLSQAIDARDALAKSIYACLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTRVDFEDNQNCLSLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKEHLSANSCFRGDRGKAFTVSHYAGEVTYETTGFLEKNRDLLHSDSIKLLSSCSCHLPQAFASSMLIHSEKPVVGPLYKAGGADSQRLSVATKFKDQLFQLMQRLGNTTPHFIRCIKPNNVQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESIAAKDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRLQSCFRGHQARSRLREHKRRVTVLQSCITPIVRGEKVRNEYTELLRRHRASAAIQSHVKRRIAKKQYKATVDASVVIQSAIRGELVRRCAGDIGWLTSGGTKRNDSDEVLVKASVLSELQRRVLKSEAALREKEEENDILRQRLQQYDNRWSEYETKMKSMEEIWQKQMRSLQSSLSIAKKSLEVEDSARNSDASVNASDATELSESGGDFRTHGRTRSVGVGLSVISRLAEEFGQRAQVFGDDTKFLMEVKSGQVEANLDPERELRRLKQMFDTWKKDYGGRLRETKLILGKLGSEGGGGSAERVKMKWWGRLKSTRF >A04p019010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11390958:11392070:-1 gene:A04p019010.1_BraROA transcript:A04p019010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative fasciclin-like arabinogalactan protein 20 [Source:Projected from Arabidopsis thaliana (AT5G40940) UniProtKB/Swiss-Prot;Acc:Q9FGW0] MASKLLSSFFLLSLYLVSVSDASLTSISAAVEVLCDSGYFSMGLTLKIADKDLRLDDWQELTIFAPSDQAFSASGQPSLLSIKYHLSPTRLPGETLTNLPHGAKLPTLRSNSSLTVTNSSRSGRVNLSINGVVVQDSPLFDDGYVVIYSSDEFFSPPIITPPSIANTISSPAESIDSIPIPIPSSAPSSPVKSKPVNCFNIFESASSLLLSRGFVIMATFLALQLEISPGNDTKLTVFAPIDEAFSVSNFSDYATIFRGHVIKRLLSWKDLEKLAWEGSILQAALKGYEIELSWSGDFLLLNGVPLIFPDLYANEWIVVHGVNQMIAPQASQAKVGESISELNGGEEEEEEDDDDVHREFSTELGDYGLH >A09g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7705841:7706322:1 gene:A09g502270.1_BraROA transcript:A09g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTLRFEKTWQEETKSSVPRMVGNLVYGVSPVSAALSVRRREFYALLGDKSSILCLLKSFGFEHLMEHEQEGYGLTRSLRASSRRDIFVVGKIKKSASGMFITDEKLCNACA >A05p054350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32289845:32292261:-1 gene:A05p054350.1_BraROA transcript:A05p054350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVSLPPGFRFHPTDEELITYYLKRKINGLEIELEVIAEVDLYKCEPWDLPGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSWRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDENECEPSAYGMQDAYALCRVFKKIVIEAKPRDQHRSYIHAMSNVSGNSSFDPCSDLEISSTTHQVQNAFRPQIGNGRFNSNASNQNWSQYYGSSYPPFPTPYKVNNEIECSMLQHNISLPPLRIENSAVSNYDFYNTSTTHNNNHGVLEDFTFAESNSNHYNNTVGDQVIHVGNYDEPLIVSNNYMNQGYIEEQKIISTFDDNNQDLGFHGDNTNINIDIDDFFSFDMYNEENVNRVEANKEDVNINEAVDSSGFEVVEEEIRVNNHMLISAYQTTKILYHQVVPSHTLKVHINLINHNVEDRILFIEEDNDSWVQRAEKITKIKLNLVSLIAQQYYKYLTIFF >A09p043550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35489425:35490311:-1 gene:A09p043550.1_BraROA transcript:A09p043550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTEGAKVCDGIKGGDSRIKVVIEEMRACDGSGGDSVKEILNRSSPWKKTSPSDVQPEVDIVNGKRIEEEMGLKFALVHVEVMKEAKPVANKNF >A02g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16152314:16156563:-1 gene:A02g505290.1_BraROA transcript:A02g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEESDCSKKGNSSDAQKIDKLTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETIPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGVENQNGREIRTTSGTQNDHVLPPSSSHHHISLQKKKKNEINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKQQPISGFALISLFSLPAACGIKIDQYREQYHDSGLFYLSDPSSRLALN >A03g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1061147:1061790:1 gene:A03g500340.1_BraROA transcript:A03g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAVDRTIDNIAFRGWVGFVTFFLSSILDNLSSTIVMVSLLRKLVPQSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGLGVLWVLLDAIHYGESERQKLKIPQALSFTSIE >A05p041910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25384688:25386050:-1 gene:A05p041910.1_BraROA transcript:A05p041910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 15 [Source:Projected from Arabidopsis thaliana (AT3G17660) UniProtKB/TrEMBL;Acc:A0A1I9LR18] MNGKASVSKELNAKHSKILEALLKHPDNRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISQVRSITLDTWLPDQVAFMQSTGNAKANQYWESELPPHFERSSSDAFIRAKYNDKKWASPGGKQPAPVVNQLSCKVSHSVERGHKPETPTKTRTRSLDEDILLKHVLEVTPPETRIRAGSVDMKMKENVYVLPLPVGINVNKPNQKNEIFSGDLYQNRRTTIAPPSSWATFD >A09p008410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4334099:4334607:-1 gene:A09p008410.1_BraROA transcript:A09p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLVLFILVTAYFKPHEACVKNRVLLHNELGPGRPLEFHCYSVNNDLGVKNLNFNATPYVIEFHDDWFLITTWDCMLKHGANMEYFYKVEVYRAGHRFIPMCGQIRVWTAKLDGIYFSRSLDTPPVLALLWNLGVCLLNL >A07p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12185917:12187208:1 gene:A07p020700.1_BraROA transcript:A07p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFEETLSSNPTIQIATDDDNELGLMAVRIANAAAFPMVLKAALELGVFDTLYAASVFLSPSEIPTRLPTAPRNPEAPALLDRMLRLLASYSMVKCGTDQAGKGERVYRAEPVCRFFLKDNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFNGVNVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVAGDMFVDVPTGDAMILKRILHDWTDEDCVKILKNCWKSLPENGKVVVIELVTPDSAESGDINSNIAFDMDMLMFTQCSGGKERSRAEFEALAAESCFTHCKFVCQAYHCWVIEFCK >A02p008660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3791279:3796078:1 gene:A02p008660.1_BraROA transcript:A02p008660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTAMDNAIRSSVVVLGSLAFGYMSLELGYKPFLEKAEQYERSVQSQASQHQQEQDGQEEARWDNNNIEGWEEKNDNEAEMINKVASDVTAVLGFTPSKDFDDFVGIESQIRETKSKLILQSEQVKIIGIVDPAGIGKTTTARVLYNQLSHGFPFNTFLENIRGSYEKPCDYGFEGLAREVTGLAGNLPLGLRVMGSYLRGMSRNEWIEALPRLRSSLDREIESTLRFSYDALSDKDKALFLHIACFFGFFTVYQVKSCLEKSGLDVNHGLQVLAQRSLLSIEKGFGTMHSLLKQMGREIVKKQSLEERQFLWDTTEISNVLEGDTGTGKVLGIHLDILKGEEIQISKSAFDGMNNLQFLYVMCMCSTLHTPEGLNCLPNKLRLIDWNDCPLRFWPSKFSGKFLVELIMQHSKFEKLWEGIKKLPSSIGRLINLQELDLSRCVGLKRFNGCSSLKILELRDSAIEELPPSMRTWSCLYRLNMSGCRNLKEFANVPENIVELVLCSTGIEEVPPQIENLFRLRKLIMYGCKKLKTISPNISKLENLEFLGLRVYGFLEHDDIDQYHPDLYEVIIEWGPDFKRSWKLRSDFDVHYILPKCLPQKALTSPVSLRFRGRDGLVTIPDCIGRLSGLSMLDVKRCRKLVALPQLPCSLLSIDANCCEYLKIIDSSFQNPKICLNFAYCSDLNQEARNLIQTSACKYAVLPGQEVPAHFTHRATSGSLTISLTPTPLPSSFRFKACILLSKDNIYSFEMVRNSLKGVSCRVRGKQNGHTVQYVSNQIHHTPDLAGCGNHIYICEDFFSLNQDCPETEETTSSELSFVFIVHDTIWKVKGCGVQLLELLHCSIDGKETEDEESMGIMKPKENNLDVMRMYRRGPGIYQSSTVVDDSADHFHHANALRR >A05g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12321704:12322335:1 gene:A05g504450.1_BraROA transcript:A05g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRESDSDPEDLEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEEERHVEAFMIFKYDGVLTHAGRKQAEELCRYNFLQVSN >A05p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18979044:18980856:1 gene:A05p033770.1_BraROA transcript:A05p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMEIRVKGWLTGAGGLAQSAGNSWGSAQSSEQCVLVRISVGESGTVTGRADGPGAGRKKRGETAKGRLWGGYGTVMGRFWEEGMGFWMDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCATSQCRIIYQSDGNSETVDHAKRYNQPRNSANTISQIWSTVLVFGQVNIPSQVFIVLKAL >A03p054390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23356171:23357817:1 gene:A03p054390.1_BraROA transcript:A03p054390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDQENTIREFKPKNRRIMGAGGPEEEDNRWPPWLKPLLKEHFFAHCKFHVDSHKSECNMYCLDCTNGPLCSLCLAHHKDHRTIQIRRSSYHDVIRVNEIQKHLDISGIQTYVINSAKVVFLNERPQPRPGKGVTNTCKVCYRSLVDDSFRFCSLGCKIAGSSRGFEKGRKNLLMETEDSGCFSPSTPPLTASSSCRIAKRRKGIPHRSPMG >A02p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10654369:10658574:1 gene:A02p022440.1_BraROA transcript:A02p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA(adenine(34)) deaminase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68720) UniProtKB/Swiss-Prot;Acc:Q9S7I0] MFNTYTNSVLWPRNHHGYSSLLPERSESYRLAKPSPKCCCAASLSRCCCCSYSAPSFVKPKVSINPGFVLYGLRQSTLIQWPRRLMIGVNRTRLDVHCCDSEVYPSCGVTRRNRRFRVRVSDESNECCEDDVEAMISFLSEELVGEGRNCSRVEEKRKVRKVEAFGRVKREGVERPQGFGRQEYKRNGVRENVKLEEKKYECEHCGGRKKKSQLESETRRGSKLVTGGENFRRKEEREVRPTRTKSSSCSSYYSLASSGDFESDAEDEEEEEEDVEFRRENLRNSEKRFVEQSAKGMKSRKEVSQVHSRRKRDESSSYGKQVFEEGENSNQAVTTNQRRRRKQISQTGNRVSESTGNYEEDMEIHEIHVNDVETNSQNQKIFGEREDERVHSIVNDSERENIGSSQQQVKERFGARYSSEERVSEMRRRTKYSSSQEEGIHMRQNVPKPINNRQPPVEERISMQAGMGTSTERFSGSSESYNTNIKNTYVSQSEEQIRNHEVNAGVVSGLQSEERQQDYHIEQNPSQTMQSDRTSVSVSHTSDVVRYTEIQRKSEKRLIGQESTTTVQMDSKAGKSGANSTKEGQKALELQSSQGKLSEEPSSSQSSLTLVSGNRMQLVDLVSEEMRGSETTLIPPTSQLVSRGSGKSYGSGGAFIQESSQGTSETGYPTAFEHPGGAGAIVNSQSAGELMGFRTQEDALGSAQRLEQSSEKFIGEFLKKAKHEVSTSETEERRAESNQLKRRDSRRSSGGSGAKGPSDEMWVTDSAQATPQPVPTEGNAAEGNAIVKRNGRSLWSVIADIARLRWGSRAGSPTSSAKPTGKSSPNESVSSATWFSGREHDGSSEDNTKAERVSPQEAALPGQSEVSQTSSRSQFESSDATERKQQSGRHEGVVSSPPSTILEGGSASYRMPSTSDNQIVGVDEVDEGREFEFRLSETASTEVPMRLPSRNLIRSPAIKDPSESSPPEVPPDQNVPVGEGRRYRARVPEMDAEEKPLIFPARSLRSPVVKGPSKSLPSMVSGSSSLRGQVEQQQPLSAKSQEETGSTSTGSPLTQRKLQRKKQVVRDSFEEWEEAYRVEADRRTVDEIFMKEALVEAKKAADMWEVPVGAVLVHDGKIIARGYNLVEDLRDSTAHAEMICIREGSKALRSWRLADTTLYVTLEPCPMCAGAILQARVNTLVWGAPNKLLGADGSWIRLFPGGEGNGSEVSEKPPPPVHPFHPKMTIRRGVLESECAQTMQQFFQLRRKKKDKDSDPPAPTDHHHHHHPSKLLNKMHQILPFFCL >A02p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:574483:575950:1 gene:A02p001210.1_BraROA transcript:A02p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSMTSNVENVRVAFLPQPWPESSSFNSLHSFNYDPYAAGNSYTQTGPVISVPESEKIINAYRYPSNKNEMTKKKRLTSGQLASLEQSFQGEIKLDSDRKLKLSRDLGLQPRQIAVWFQNRRARWKAKQLEQLYDSLRQEYDLVSREKQMLHEEVKKLRAVLRDQGLIKKQISGGEDTTELPSVVIAQPRMENLHTNPLTGVNQIYGIDQCNNPMVVASSSWPPCQ >A04p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2427439:2428030:1 gene:A04p004700.1_BraROA transcript:A04p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNLELRKPLTATSSKFSIVIKDIDYSVDLTCKRRKTKTGLFSKKDGKKGQEDLNQSRVLAKNYLDLDSHPLFLEIESLLRETKIAPADVAGKLMAKNHKKDVDGSLKDLVQSLVTRKTYHTSHSDDHNKKPSGKKRKSISWISDGFYYGHKT >A09p003460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2003435:2009702:-1 gene:A09p003460.1_BraROA transcript:A09p003460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLIGRLVRTKPAKLTSTARLIPSRCTSSATESKPSSSGGGGRGSNLKTFQIYRWNPDSPGKPQLQDYQIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIEDGAKETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPASEPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPECYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKDTTPKKKPIKDFSQDFYSAGFIPGAILYFANDLPKEEKPVGPSSEPATTDAGPLPINQEPKPRRRRPQSLSGLQCEEKNHVVILLTFLFHKILQHDHGSWDARAQPLPLSILKNQYTIILSPPLQQFYIKVYFAFDFSRGHLTSFVAECDTFLLPRKMDSGQWHVEKRSTLRNESFLKEYGPVSETGSLSIVVLGASGDLAKKKTFPALFNLYHQGFLNPDEVHIFGYARSKISDQELRDKIRGYLVDEKNASEKAEALSKFLQLIKYVSGPYDSEDGFKRLDKAISEHEISKKTSEGSSRRLFYLALPPSVYPPVCKMIKAWCTNKSDLGGWTRIVVEKPFGKDLESAEQLSSQIGALFDEPQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIANVQIVFREDFGTEGRGGYFDEYGFFALLPWRNQSLLNLSTSVLQSVMPIKDEEVVLGQYEGYRDDPTVPNDSNTPTFATTILRIDNERWEGVPFILKAGKAMSSKKADIRIQFKDVPGDIFKCMFQISSALSTKTFVVINFMLMLTGQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMQTVQSELDLSYKQRYQDVSIPEAYERLILDTIKGDQQHFVRRDELKAAWEIFTPLLHRIEKGEVKSIPYKPGSRCPTEADQLLEKAGYLQTHGYIWIPPTL >A06p012280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5619026:5622206:1 gene:A06p012280.1_BraROA transcript:A06p012280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGGGDVVETVVKSLGKGFDLTADFRLKYCKEGDAAAGDDRLVVLDETQNRELHVPGFGAIQNVSSDINCDKGERTRFRSDILDFNKMSEYFNQRSSVTGKIPSGNFNATFGFQSGSWATDAANVKSLGLDASMVTLFNLHIHNPNRLRLTDRVRNAVPSSWNPQLLARFIERYGTHVITGVSVGGQDVVVVRQDKSSDLDTDLLRYHLYDLGDQLFTGSCLLSARRPNKFPEAFNVFDDKETVAFNNFSMNSKDGITVICAKRGGDGRAKSHSEWLITVPEKPDAINFNFIPITSLLKDVPGSGLLAHAMSLYLRYKPPLMDLQYFLDFSGPRTWAPIHNDLPFGAAPNMASAYPALHINFMGPKLYVNTTPVTTEKNPVTGLRMFLEGKKCNRLAIHLQHLENTRTTVGEKITDNHRWRGSDEISDSNRYFEPLNGKKFSHVCTAPVKYDPNWTTTTTTSKHSSNVAYIVTGAQLEVKRHGSKSVLHLRLRFTGVSDHYVVQKDWAYGPGTSQRSGIFSSMSLPLTSGSVHHNMVTNKDKNEVVLDSGVYPRGPPVPANNKIVKFVDLSQLCRGPQNSPGHWLVTGVRLYLDKGKLCLHVKFALLHHQRLLGAS >A06g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3060826:3062262:-1 gene:A06g500750.1_BraROA transcript:A06g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEIGRKKINQSVRHQHQHGELRKNQHRDARLIDESEKMVEELQQQMFYIGRKIPEKQLNLGSAKSKASYRLDYGWSYGEKMIYRNSELDRKKMELEKLTFTHGAYRDKKAVLYFSSGREAEVNIHNLNCRMLHEARSIGEERLFLNMANKKHSNSGSSLKELDQQVKFISLAIRPYSLFYLFILVFTFNHLCCIGIGRICSLLTSIDNLFVPSYSRYVFLMSLCPSTQICWLGHDLVMKWRLNSTSDRDETRKKLMELVLEREQLFRDAPVKGFLWNSLPSTTNLRKQIQSLEKSKEKGIKAISERKKEIESHKRELRKAENEIKSLRKTLERIRQKKQKALQTLSLLKESLQEPL >A05p021850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10415056:10417281:1 gene:A05p021850.1_BraROA transcript:A05p021850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMIKFLSKIISIEIILGSRNARGYEFGRTYVVRPKGKHQATLVWLHGLGDNGSSSSQLMESLHLPNIKWICPTAPTRPVSSLGGYTCTAWFDVGEISEDSHDDLEGLDASASHIANLLSSERADVKVGIGGFSMGAAISLYSATCYALGRYGTGHTYPINLRAVVGLSGWLPGWKSLRNKIECSYEAARRAASLPIILTHGTADDLVPYRFGEKSAQSLGMAGFRQAVFKPYEGLGHYTVPKELDEVVQWLTTRLGLEGSR >A01p057760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32738036:32739998:1 gene:A01p057760.1_BraROA transcript:A01p057760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 14 [Source:Projected from Arabidopsis thaliana (AT3G04590) UniProtKB/Swiss-Prot;Acc:A1L4X7] MEPHESHHQQQQQRLSSPYFHHHLQHHHHHHPSTVSSGNAVPPPNNGLFPPPPPPQANDGSSSHAVFPHSSAVTAPSEPLKRKRGRPRKYVTPEQALEAKKMASAASSSSAKERREQAAGGTTTSSSPGSSKKSHLGSVGKTGQSFTPHIVNIAPGEDVAQKIVMFAQQSKHELCGQYEIISLSGSFIRSEQGGKTGGLCVSLSRSDGQIIGGAVGTHLTAAGPVQVILGTFQLDRKKDGVKGEASNSGSRLTSPSSTGPGPGPGPLLGMGFRTVMESPGRNPMRGNDEHHHHHQQHQQTGMGGSHHFMMQAPQGMHMTHPRPSEWGGGGSSGHDGRGGGGGYDLSGRIGHESCENGDDEQQMPD >A02p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29100950:29102694:1 gene:A02p046620.1_BraROA transcript:A02p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSRFLVFMSLFSGLVSGFTLQNLPITSFEESYMQLFGDKNLFVHKDGKSVRLTLDERTGSGFVSNDLYLHGFFSASIKLPSDYSAGVVVAFYMSNGDTYEKNHDEIDFEFLGNIRGREWRIQTNIYGNGSTHLGREERCNLWFDPTEDYHQYSILWSDFHIIFYVDNVPIREVKRTSSMGGDFPSKPMSLYTTIWDGSKWATNGGKYGVNYKYAPYIARFSDLVLHGCAVDPIEQLPKCDEGAIEYIRAAQEISLSERAKMEVFRRKHMTYSYCYDRTRYKVSLPECVVNPAEAQRLRVYDPVTFGGIPRRHRSGKHRSKRSRTDGTVSI >A02p025460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12222088:12224042:1 gene:A02p025460.1_BraROA transcript:A02p025460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYITTTTLATPENQPSSGLTPPQESNSTHQVLATEIETPEKATETNPPENSVAAAAQPEVTPEEQRPPKVTETETASTEKKETSEKEEAAEEDKRIPQNLGSFKEESSKLSDLSDSEKKSLDELKHLVRDALDNHQFGSVPKPEDGSNAPEEVTIWGVPLLKDDRSDVVLLKFLRARDFKVKDSLAMLKNTVKWRREVKIDELVDEDLVDDLDKVVFMHGHDREGHPVCYNVYGEFQNKELYNKTFSDEEKRKHFLRTRIQFLERSIRKLDFSSGGVSTIFQINDMKNSPGLGKKELRSATKQAVQLLQDNYPEFVFKQAFINVPWWYLVFYTVIGPFMTPRSKSKLVFAGPSRSAETLFKYISPEQVPVQYGGLSVDPCDCNPDFSLDDPASEVIVKPGTKQTVEIIIYEKCEIVWEIRVIGWEVSYKAEFVPEEKDAYTVVVQKPRKMKPFDEPVLTQSFKVNELGKVLLTVDNPTSKKKKLVYRFSVKPL >A01g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15021003:15022582:1 gene:A01g505040.1_BraROA transcript:A01g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPPLYRCLDADINSLPLPHRLPHNASIQDKTSIVRLHGYADLVPSHVSGSARGRIEKIHTFIMAKQTTILTGEASSPLFFRHVSPGPGDSTMQFRLLHHWEARKNVKGGPGILLGIEMLMIDEGMLLATLSWLMASLSMSVRF >A07p012020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8388893:8389816:1 gene:A07p012020.1_BraROA transcript:A07p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase [Source:Projected from Arabidopsis thaliana (AT3G24030) UniProtKB/Swiss-Prot;Acc:Q9LIQ4] MESKEWSSGVWTQLTAVRRQSPLVQCITNLVSMDLVANTLLSAGASPAMVHSVAEVPDFTPHIHALCVNVGTLTPDWLPSMKAAAELAAQLGKPWVLDPAAVSCSGFRLKACLELVELKPSVIKGNGSEIIALSGSASQCQAKGADSSHESTEAIEAAKSLALSSGAVVAVSGAVDIVTDGKRVIGVHNGTKMMQKITATGCSLAGLVAAFLAVDPLQPLEATVSAMSVFGIAGELGEELANGPASLRMHLIDSLYGLDETTVLSRVRITRLG >A01p056280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30693237:30697226:-1 gene:A01p056280.1_BraROA transcript:A01p056280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKGKTTMEVGGDGVAVVTIINPPVNSLSFDVLDNLKSNYEEALRRNDVKAIVITGEKGKFSGGFDISGFGEVQKGTRKEPKVGYLSIDILTDLFEAARKPSVAAIDGLALGGGLELAMACHARISAPGAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMILTSKPVKAEEGHSLGLVDAVVPPAELLNAARRWALDIAERRKPWVCSVLKTDKLPPLGEAREILKFAREQTRKQAPNLKHPLMCLEAVEVGIVLGPRAGLEKEAQVGSQVVNLDTTKSLIHVFFSQRGTTKVPGVTDRGLVPRKIKKVAIIGGGLMGSGIATALILSNYTVILKEVNDKFLEAGIGRVKANLQSRVKKGRMSQEKFEKTMSLLKGSLDYESFRDVDMVIEAVIENISLKQQIFADLEKYCPQHCILASNTSTIDLNKIGERTKSQDRIVGAHFFSPAHVMPLLEIVRTNHTSPQVIVDLLDVGKKIKKTPVVAGNCTGFAVNRMFFPYTQAAMFLAERGTDPYLIDRAVSKFGMPMGPFRLCDLVGFGVAIATATQFIENFPERTNKSMIIPLMQEDKRAGEATRKGFYLYDDKRKAKPDPEIKKYIEKARSLSGVSLDPKLEKLSEKEIIEMTFFPVVNEACRVFAEGIAVKAADLDIAGIVGMGFPPYRGGIMFWADSIGSKYIHSKLDEWSKTYGDFFNPCAFLAERGSKGAPLSAPLEQTRSRL >A04p036820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21117741:21119157:-1 gene:A04p036820.1_BraROA transcript:A04p036820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNNTKAIKRKVVDDLVEGCKFSTQLRLLLSNHHIETGLLSGDSDLANELITKILGSFHKTILVLDSFDLVPDVSFPVAVEGSRNASCGDDSAAPASCNGGDSGDSRKRLGVGKGKRGCYIRKKRSHTWTVQAKRIDEDIYAWRKYGQKEILNSKFPRSYFRCTHKPTQGCKATKQVQKLEQNPEMFQITYIGNHTCTLGDQTQVKTEITMDSDNSLAAATSQQDHVNANVQEQENDISSLIVGMVKQEEENNNGDQIKDSSETSTTSHQFSFIDNDQLSSLFDSYCPYEGMNSR >A09p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5795987:5796434:-1 gene:A09p011490.1_BraROA transcript:A09p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLKLRRRQLMYPRGGGILCSVSPASPKWFVLGFCEIGGVKTLLAVFVCLGLRVRSRLSIDALRGFRWVLFSSDEVLIGEDGGSLGVSSGGGGMEEKLCV >A07g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5712912:5714287:-1 gene:A07g502790.1_BraROA transcript:A07g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNTSGSQRRGLQSSGEARTTTDGGTTREGQPMTTVVECQMWQLEKELKKILRADSQLVKRTQLVKRTGVAVDPSSGQIDMVETWWSDWIAEYGGTKGRLVHVLQKKPLPFKDLLDQIFGEHDVDQDERYSPHMLGLQLQQVQPTLDVDGITMVDQLQETQTAEPIVDLTSDQGCNRSIQSTSSRRLARRKTSFETQVESGFQRVVDTRQEFLEELRSRKIQKITYGDATSVLEKLPIEQLGVFWWAANKLLKNDADIREAFVKLENEEIKIKYMESLLGTDRHGSPCNQVDLLTTSQNHFQSVGMGGSTAIGANTGGGYMSFLGVHSTELEEPRREPTSFVNLLNESNDDGLV >SC266g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000114.1:12669:15807:1 gene:SC266g500030.1_BraROA transcript:SC266g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIREEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEP >A01g510780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30047180:30049313:1 gene:A01g510780.1_BraROA transcript:A01g510780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTDPVRGRRGGKRLDGGAFVFGIGEKKTSFRRKQRKKKPLNSPGRFVGSHRRDSTSSDPQGKLTKMGRYSYSQPSSSSASVDITSLLEAEAQGYADEAQSSFDNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDPGRRYFSCNNVVDGATHIWKWWDDAVMEEMRDFQTEIRRLKEAVAEREQKLLLLEKTVYDAGKDTTRVKLMVCLLVVIGLVILVLHGVASKASMGSVLSPVQWRKKHLLYSQIPVDLESPEPFWLGSQAPDDSPSEISPECPSQIPPECPKENVVGEDEDRPVGVKAAKGASKKKKSGRNEELSKLQGVLELKEKLSRNKVLDRLLAKKEPLSEIETTLKMKLMS >A07g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5416688:5417992:1 gene:A07g502670.1_BraROA transcript:A07g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRSHMLVTSCLLQLLVSFFMEGKCSASCAAWCAEACHQLSNLSFVFCGRKPSYEATLYDIKYPLLSSERPVSTRKTREKFREKERNKREKSVEKFRKNSEKRIEQGRTFLTIFWRFGVLKLRITHVLQPLILIGKDCSDQPDPCGGFKSRIFQKSSVISLSSSLVFPSQSHGIKVLL >A09p069030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53890325:53892990:-1 gene:A09p069030.1_BraROA transcript:A09p069030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSQISAVLRLALSSSKRSRHTNVCRSYCTPPNPTPSGPLTSYSKLVEQGRLQHDPYQEKVASAFQNLFGRLEHFEKEMEDYHVRLEEWEKKREEERRRLMVEEAEKKEEDGVWASMNKQGQKLLGRWVLGRRQMNVEPGVGKWVSYLNRERKLDSIVGSRPAIPSAPKGLYIYGNVGCGKTMLMDMFYSSTDGMIRHRQRFHFHEAMLKINEQMHKYWKENGEEKSSQYSVSSWIMNLPVDERVKEWIAGEEFYKQQLQMKHILPAVADKFLVDQQSIKKGASILCFDEIQTVDVFAIVALSGIMSRLLNTGTVLVATSNRAPRELNQDGMQKEIFDKFISKLEKHCEIISIGSEVDYRRVAAKNSVEHVHYLWPLNNAVMEEFEKMWRQVTDQYGGEITSATLPVMFGRTVDVPESCKGVARFTFEYLCGRPVGAADYIAVAKNYHTIFISEIPAMSMEIRDKARRFITLVDELYNHHCCLVSSAETPIDELFQGTAEGTLFDLESFQFETETEDSRLRRDVLAEGSISAAGSPSSIVKMLSGEEEMFAFARAASRLIEMQTPLYLEGVRFLHPYFHQPK >A07g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3543806:3544715:1 gene:A07g501710.1_BraROA transcript:A07g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSSSSSSSSSSSSSSPPLYTLNILSTAKIHIYFFDSESFSSTHFFVLHLAHLGVSDLNGIESRLRKEIQEEAEVKSLREAVGEAVGEPFVKQTDGEADAEAVGKPDGQTGGQTDALSVLKIARAVVKQGGNEPSEKEMDDGETLLAFVKRVCVFYGHEEPSKKPRLRSPIQTRAKKKKDKKEKQMKEKDSKEKPKKTKKDKQGNRK >A10p013470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4756412:4756729:-1 gene:A10p013470.1_BraROA transcript:A10p013470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKNTSHLKKKEVALAMSKSDSPAMNKRNFIVKKRDAATTKKKKYAVKERDVAKKNEVVAKTRKLNGGVHNDSRGREKEEVGPSRKKEEEKKDRGAHIVILKEM >A10p001270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:651614:652464:1 gene:A10p001270.1_BraROA transcript:A10p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLIPGEHDLELDIEAGLAHATQESTSDTVSGNNGVSFGVSEKTDDDLNYPLMGTTEKTSSQSLDLSGGKRNDIVKVKKSRKASKPPRPPKGPSFTANDHKCLLCKCITKNVFKLHSFAGLFAGNMTMSSDNSPAPTGSPNTQLISVQFYNDFAPVEQTDPSPATSYRYTRKRVSGAEED >A03p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1161853:1162822:-1 gene:A03p002540.1_BraROA transcript:A03p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRTASRLVGSCIKNRFSVNPFYNQTTCAPHGRLRHLTPSISRNNHFTTSARKRASSNDPLLRVIEAEIGFAEQADDYNRVEETPSGFPFKMEDKPGTKIVTLTRDYQGESVIVEVHMTNLVTGDKRDDEEEEESDEEEEEEDEDKPEKPKQSNVPLLVTLSKETGPSLEFRCTAFPDKIVIKDMWVTFPDDPSKEELAYEGPSFRVLDEKLRKAFHRYIEIRGITPGMINFLHEYMINKDSKEHLLWLKTLKNFVKS >A10p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9059417:9064109:1 gene:A10p004870.1_BraROA transcript:A10p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIIKEEAEEEALASLVPSPPHRKTQSFNQQFDQKPPHHQIRKHSLDEVPRSSTLASATESAVYFHSSDDEFSTGGAILSGDSFFDGSSAGEDYSVVTPPPNALVGDDSVESLPEFIGAGGGAGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGRFLRNVACTETQLWAGQENGVRLWKLEDAYVAGCGVGGGVERGDEDTAPFRESVATSPTLCLVADESNKVLWSGHKDGKIRAWNMDQHDDDSDPFEERISWQAHRGPVNSVVISSYGDMWSCSEGGVIKIWPWDSLEKSLLLKPEEKHMATMLVERSANDLRSQVTVNGTCSLSSSEVKYLLADSVRAKVWAVQSLSFSIWDARSKDLLKVFNVDGQVECRVDTPHVQDQQVDDEMKMKFFSPSKKEKPQGFLQRSRNAIMGAAGAVRRVASRSAGAFLEDTRKTEAIVLAADGTIWTGSMSGQIVQWDGNGNRLRDVNHHHRPVLCFCTFGDRIYVGYASGYIQVLDPDGKLIASWVSHNEPVIKLAAGGGFVFSLASHGGVRGWYVTSPGPLDNIIRTELSRKESSYARQDNVRILIGTWNVGQGRASHDALMSWLGSVTSDVGIVVVGLQEVEMGAGFLAMSAAKETVGLEGSAVGQWWIDAIGKALDENNTFERMGSRQLAGLLISLWARKEIRTHVGDLDVAAVPCGFGRAIGNKGGVGLRIRVYDRIMCFVNCHLAAHLEAVNRRNADFNHIFRLMVFSRGHNLSNAAAGMVQFLFMSCSLGLSTYLFWLLYSSGLPWALSLAAGVSTAAYTLKTTTSPSIGTEEAKSDLAAADMIAFFGDFNYRLFGITYDEARDFISQRSFDWLRERDQLRQEMKSGKVFQGMRYDSGEKKRIPAWCDRVIYRDTQSSPFSQSNLQCPVVSSVIMYEACMDVTESDHKPVRCKFHATIAHVDKSVRRQELGNIIRSNEKIRSILEDLKFVPETSVSTNNIVLQSQDTVILTIRNNSTTSKAIFSILCGGQAIVRDDDGEESDYTPRGSFGLPRWLEVSPAGGIIKPEGSVDVKVHHEEFYTLEEYVDGIPQNWWCEDARDKEAILMVNIRGSCSTTWTSHSVKVRHCYSGRVCLLDPKPINLTKNLGGSRRHPTDTRRGKSR >A08p045340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24881600:24885197:1 gene:A08p045340.1_BraROA transcript:A08p045340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVPKETIEVTAQSIGITNLSPEAALMLAPDVEYRVREIMQEAIKCMRHSKRTTLTASDVDGALNLRSLEPSYGFASGGPFRFRKAIGHQDLFYTDDREVDFKDVIEAPLPKAPLDSEVVCHWLAIEGVQPAIPENAPLEVIRAPAENKIYEQKDGPLIDVRLPVKHVLSRELQLYFQKIAELTVSKSSPALFKKALVSLASDSGLHPLVPYFTNFIADEVSRGLNDFLLLFNLMHVVRSLLQNPHIHIEPYLHQLMPSIVTCLVSRKLGNRFADNHWELRDFTANLVSLICKRFGNTYITLQSRLTKTLVNAFLDPKKALTQHYGAIQGLSALGHTVVRLLILSNLEPYLCLLEPELDAEKQKNQMKSYEAWRVYGALLRAAGLCIHDRLKVFLNLPSPSPSFLHKGKGKIINAEPRKRKLSADSSEKQPPHKRLITTDGPDVLRPQDRTGPAPMHVDKLIGNYNLPQNSAQPSSSEQASDGESRNGKEKERGKSRAISMKAILGQIWKDDLDSGRLLVKLQQLYGDRILPFIPSTEMSVFL >A08p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24547045:24551711:-1 gene:A08p044390.1_BraROA transcript:A08p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRLDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWGTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENSLRWLRELRDHADSNIVIMMAGNKSDLNHLRSVADEDGRSLAEKEGLSFLETSALEASNIEKAFQTILSEIYHIISKKALAAQEAAGGLPVPGQGTAINISESSVVASLSPPLALIDPSMASLVESGWQVPHLSNLLLFSIPSFSSIFLLFLRISSPLSIDLIQIIKCFSVSGSAASMKTVVVRFVDTAASDAAAYYVATTDFISVRRSFLRQRNEQELTQNYLVTHFSDFQLACIGSFLLHESVFFLSGKEQHTCSPRKMYHTPVALSFLREFAPYDGLLSRLQSHGNAEQFSSTFLPFYNYPRYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRRLKSLKENSNLKQT >A08g510440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23510359:23512118:-1 gene:A08g510440.1_BraROA transcript:A08g510440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKEPLVNTDNPLEFTSFTPTTSFDASIYPLREKKLVKKPKEQRRRKTKKNEIRRSLIFSVLKVVVLALYLELGFSLMRKGVLESEFTEEIVRISDDRSHYGRDVGEKVTILEDELMGFAKSINFLRCRGSNSNWRINQDGLMLKSRSVYKSVTEEVNVWGWPLQTGGLFGTGFSSSSFTVLSGRVTNWSEGRFGDSVREANISWGKTKWSTSVLQLDHSTWVLESRQEALSNNTSFEYEQTCVTADHNLYQITQEKEQRPFSEGEISTFMSQMLQVLAHMHKNSYFHRQLKPTISSRLLTLDWLAKLHLCLLENVAPVLELISDT >A03p066550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29407948:29408793:1 gene:A03p066550.1_BraROA transcript:A03p066550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSGDESARFFGFIGAAAALKFRLWIGPMKLTDLHIPLIGFDLTLAIFSMGAAYGTAKSGVGVASMGVMRPELVMQSIVPVVMAGVL >A08g504010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6635857:6636201:1 gene:A08g504010.1_BraROA transcript:A08g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIMLQHTSCQNFRTYCKNLIAMIKEPQVWPSFATELEAIKTLKICFPDFIISHILMVQNLISDSLAKIARSFHREFCYIGCFIPVWYSGLVIQTTLNLSNRIAVRCKKKHI >A08p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22329046:22330591:1 gene:A08p038610.1_BraROA transcript:A08p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVMRMEVPTGGDLTVSSPDLHVLAVDDSIVDRKVIERLLRISSCKVTTVENGTRALQYLGLDGNTGASDLKDLKVNLIVTDYSMPGLTGYDLLKKIKESSTFREIPVVIMSSENILTRIEQCLKEGAEDFLLKPVKLADNSTTSSRFWRGFGVLHIDCVCHFSHVVDQIPENLVILIRYTLTVLALPKKVTWRFLLRHSLGSCFVMLFKVPLSE >A10g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15950119:15951063:-1 gene:A10g505970.1_BraROA transcript:A10g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATRDLTETKGRQRTEGEEDRDPELRCEIMGKFLKNYMRKVEMCRYWRVDSGKYQRRIQTGTQKTLYQGT >A06p023900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15762133:15766721:1 gene:A06p023900.1_BraROA transcript:A06p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQVKSSVRLSDRNVVELVQKLQELRVIDFDLLHTVTGKEYITQEQLKNEIATEISKLGRVSVIDLADTIGVDLYHVEKQAQDVVLSDPGLMLVQGEIISQTYWDSIAEEINERLQECSQISVAELAGQLQVGSELVQSVLEPRLGTLVKARLEGGQLYTPAYVARVTAMVRGASRGIFVPSNLSALWAPLQQLVQEMNGSSGVAVENAFFQSIFNRLLKEEEMLGSLRAGTHWTPSVFAVAQKECVDSFFSQNSYIPYETMQKLGISQAVQFLQSRYPDGKPLSAVFIHSSMIEMLDSTTEDAIEQNSWIDSLSVLPASFTSQDANKILLLCPSVQSALKVAEKALILAESFVISSGFIKGIYDQIEKEAEAFSIQASTASLIDHPSKSSESQESIPANTDKGSKKKKGKSASMKTATVETVPDDEEEARPKSKRNQKKGRDSSSSQKLDAKAGGKKESVKAQEGNNFIPPDGWVMKKIVDSVPEFEDEGMDNPDSILKHLADLMRPMLINSLKERRKKIFTENADRMKRLKDDLQKKLDESFLNMQLYEKALELFEDDQSTSAVLHRHLLRTTAATIADTLLHDLDILNKLKNGIEVGDSKAQDSVLLESSERMDLAKNLNGSLSRKALALVEALEGKSYSVGILMGVTPLILDSLSQRVDAFMTTFRDLAEESGLALKKLDKKLERTLLHAYRKDLISQVSTESDPVALLAKVVSLLYIKAHNKALQAPGRAIAAAISHLKDKLDESAYKTLTDYQKATVTLLALMSASTGEEHDCSADRILSKRELLESQMPVLRSLVLGDSQPQQS >A06p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18217158:18219455:1 gene:A06p033740.1_BraROA transcript:A06p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein SPC25 homolog [Source:Projected from Arabidopsis thaliana (AT3G48210) UniProtKB/Swiss-Prot;Acc:Q93VK9] MEEISGGGDTTKNSMASLGILCEKDIEEQRLQIDSFIASPFQRSMESVLDRAKATAQSQVELANVKADLREAEAELVKVLAVKTRKEAKQMGLRDSISATQSRIQLLKRSLQLHKSNKEEHSKTISHRLQGLSVSKDNAGSKLTGNKSNIDEAISWYNHALGFHVEAGHGVKFTFTNIDAKRPTHEFSFTVHYGNDIYTLLDCNPQLDDMDEMVQELNTTNDLFGFVRLMRDKFQKPTLSELPAHSENLPQETSIIYASAPAMSITTDTSMLTPENKVSKVQVNRRQKRSSNSPLQSPAPTSSTRCSSRLKIGSSSYQRASSSTFHHALASPLRRLIAKDSFFQEGT >A06p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2130468:2134211:1 gene:A06p006390.1_BraROA transcript:A06p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDISSERVCYVHCNFCTTILAVSVPYASLFTLVTVRCGHCTNLLSLNIGVSLHQSSPAPPIHQDLQHKQHITSSVTRKEHGSSSRSFNHFSTTLSENVEREAPRMPPIRPPEKRQRVPSAYNRFIKEEIQRIKAGNPEISHREAFSTAAKNWAHFPHIHFGLKLDGNNKKGKQIDQSVAGQKSNGYY >A02p027550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13360731:13362225:1 gene:A02p027550.1_BraROA transcript:A02p027550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCGKMEVKKGPWTPEEDIILVSYIQQHGPGNWRSVPLNTGLLRCSKSCRLRWTNYLRPGIKRGNFTQPEEKTIIRLQALLGNRWAAIASYLPQRTDNDIKNYWNIHLKKKLELKVQNGITNEDNTDMTEIPSCNIHNNSCNNNNNNKRVVNKGQWEKKLQTDINMAKQALLQALSLDQPSSSIPPDPDSSKSHHSATSTYASSTDNIFKLLQNWTSSSSSIPNTSSHSMNRGLTTGEVGVLDHQSLFSSHSESGSVDGKLNLMTETSMFKDGSEPNGDIEATTDAATDDHGSLSLIEQWLFDEQGICQCDDNQEDLIEVSFEGIDNDNNDQDLF >A09p061240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50521653:50522784:-1 gene:A09p061240.1_BraROA transcript:A09p061240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPSILLTMFPLFLFLSLTNAAIPGVYSGGSWQTAHATFYGGDDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACFEIKCVNDPKWCHSGSPVFVTATNFCPPNLAQPSDNGGWCNPPRAHFDLAMPVFLKIAEYRAGIVPISYRRVACRKSGGIRFTINGHRYFNLVLITNVAGAGDIVRTSVKGSKTGWMGLTRNWGQNWQSNTVLVGQSLSFRVTASDRRTSTSWNIVPSNWQFGQTFVGKNFRV >A08g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17292421:17298066:1 gene:A08g509030.1_BraROA transcript:A08g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDRSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A09g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22267946:22268617:-1 gene:A09g507720.1_BraROA transcript:A09g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYWDLRCRIGVPVCDLRYLVVEATGKSTTLAFQKPVGDTCTHQGSSLSWIILLDPVKQRSTSPGRALPGLGTSSKPNTAFGDKNGQFASAWRRILTKRATSSRRFQPLSI >A10p040610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22346616:22349014:1 gene:A10p040610.1_BraROA transcript:A10p040610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSGSNPLAGSPEKEEEEERSEMKIEILCMENKQPTPASSEGSASIFLYSPQLKTPAPASPSHRRTSGPMRRAKGGWTPEEDETLRQAVDKYKGKRWKKIAEYFPERTEVQCLHRWQKVLNPELIKGTWTQEEDGIIVELVKKYGPAKWSLIAKSLPGRIGKQCRERWHNHLNPGIRKDAWTTEEETALINAQRTHGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATCNLPPSATKLGDFADVDRDFKLSGATKPLKDSDSVTQSSSVNTDADEDGVDHINSSSDLLEESAVNECACSPASVECKPQLPNLRPMSPRINSKAFVRKKEENGLGTPRHGNLYYKSPLDFFYFASEVDLRRMYGYECGCSPSAAPSSPVSLMITPPCNKDSGLAAATRSPESFLREAARTFPNTPSIFRKRRKVVLAKKTDDEDDVVNSVAKEADQNENSKDSAEISTVGREALLLLETVDDSNGSTFNASPPYRVRAKRRAVFKSRQLEFTSEKENQPETSEEDKSV >A05p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1059605:1060093:1 gene:A05p002970.1_BraROA transcript:A05p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNIIKWKWPLTLKCSSSPRKSNIMPADLVVPFQPSQVNSLQVITPETAEAWRCYLATCKSLSNSIGEELQQVLENDLVELRQTDRSLGSQRLEQIVDNGSHDVCELWGDHSFARALANGVGTRRLRKERLK >A08p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17825429:17827327:1 gene:A08p028540.1_BraROA transcript:A08p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVKERGDGSAELVKSMGDKHASVIRPAARYYSAIKDAMVCGKGRYTLVKDVDDVENGAYDKPLPCFGCGIGWFSFLLGFLRYYQFKCTCWVAVVQRRDKKMNKRFGGKKPTGTPSLALSTVVVVASLLAGASVVHNLYKPDLTLPQMGSDEVDKKEESRNKD >A10p004200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2214423:2214776:1 gene:A10p004200.1_BraROA transcript:A10p004200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQSPSEVYSGEDLDHMEDSKPTGDMSLSDSIVNVEKAELLDKAVEEEEGNKVSDFVVSGGNGADVGECSPETRRVDLPGDLTKSLVILTCESIGNNGSCDVYLIGTDHTSKVFF >A09g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22152759:22154614:1 gene:A09g507610.1_BraROA transcript:A09g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKYGGVLTHAGRKHAEELGRFFRYNMYLGERTGLLRLHSTYHHDLKIYSSDEGRVQMSAAAFAKGMLDLEGQLRQSWFSFLYIILLVLNLQCHNVFTNNVFLSFLLLKVFLVSKDSSMLDDLDTASIEMEAAKSINKINFIIIVLYMHTRLNEIVTKVALSRVKSRSRLKILITGKEGKPQTKTLNVVYKQMGYDELGDR >A05p042140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25506871:25512659:1 gene:A05p042140.1_BraROA transcript:A05p042140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTTTTTTLLLLSTLLIAAIVVNGQGAKAPPPNAGMVCDANLGLCAAALKVGAKSSEECCTSLEKAVKTQLKCLCAILTNPQVLAGFNLTVENALLIPKSCGIDAGPSMCSAAKAPLPHGVPPVPGPPKGEKDAASNLAGTGLVGITLITISMIKELFQIFTLAGSEVHAPLYSAISFLGFFPPPDWTRTTATGMHLPDQGNFRRGEGGGGSSGHERNEHMVFPKPETIDFDLPCDTSYPQQFGDNAASSSGSNVKSLLIEMGFCPTLVQKAIDENGEDDLELLLEILTKSSVTEHPEPSFHGLLEPKPEPDTEYEVDGKRMALLGMKFPENLVDFALDRLGKDAQIDVMVDFIVAAQLAEKFAEESDESLDDAEINEDDEDVIPVAARGPEVPNEVLFETMDKTLHLLEMGFSNHEISIAIEKIGTEGQISDLAETIVTGEAPRLIPNDLEEIEKKVSAAPAATCLSKSWRFLGVGAEKVDSGRGSSSGAADIKPDPSTDPLPFSTTANVGETSRGKRLKDEDDNAFLDEFSDFDDRGKRPRPEYMETPWMQDDKDNTYGFPSAMQPRLSQTLSPDVATRPYFFYGNLSEISPRWCSKIWGYLFGIHPEHVDTRLCSALRRTEGYVHNLPVENRFNILPKPLLTIQDAMPHMKSWWPHWDVRKHLSSVACSDIDYATTLCERLGRRLAECKGKPSQQDQTIILRHCHTSNLIWIAPNILSPVEPEHLECIMGYPTNHTKIGGARYAERLKLFEFCFQTDTLGYHLSVLKSLFPEGLTVLSLFSGIGGAEITLNRLGIHLKNVVSVEHCGLSRNILKRWWQSSGQTGELVQIEAIRSLSTNKLEALVERFGGFDFVICQNPPVPPDLSKETGSNEARDFDYSLFNEFVRVTKRVIHVMASS >A01g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22714511:22714953:-1 gene:A01g508030.1_BraROA transcript:A01g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEQIRELQYGQDNATAIRSDFRCGEDDRTANRFEIRYGEDATTSRSHLRCGKDDDKLSCLLCFVIMFSDVSIRDFMDDAQLEAVIKSYKLLSIC >A09p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6808942:6810105:-1 gene:A09p013290.1_BraROA transcript:A09p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable NEDD8-conjugating enzyme Ubc12-like [Source:Projected from Arabidopsis thaliana (AT2G18600) UniProtKB/Swiss-Prot;Acc:Q9ZU75] MLHIVSKIHRKVLLNQQPRERKLRSRERQRFDPNCFDPNSRIMIGLFKVKEKQKEEAKNNNARGASAKKQSAGELRLHKDITELNLPKSCSISFPNGKNDLMNFEVTIKPDDGYYLGGKFVFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNHEDPLNHDAAAVLRDNPKQFEANVKRAMYGGFVGQTSFPRCI >A07g503740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7401429:7401656:-1 gene:A07g503740.1_BraROA transcript:A07g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGENIQLMGTRNFSRCESALHSEVEALLWVMENLLQHSPCKSFGTDCRELIAMIKKPKEWPSFATELEKIETL >A06g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15721617:15724170:1 gene:A06g505350.1_BraROA transcript:A06g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPQLRIKWLLRLSKIPELTEVPSFSKEAEAYLQAIIDGFNVDAALEVKKIEKVTNHDVKAVEYFLKQKCESHPEFAKVLEGGFLDVTVERQAARIRSMYLKTILRQDIGFFNVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVCTFVGGFALAFVKGWLRSSSRIIYYVQKRKMIPMMPLTTFHPLRYKQLIDIATGTNNLPECFKVTISEGNNGGQNWSTTKQVKNGLLAQFRDLHSMTIMKYKIVIITSINPRVFKGKLILATTPATRF >A04p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3710220:3711702:1 gene:A04p006950.1_BraROA transcript:A04p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLKLPIFLILSSLLHAALGEEIICENLPTSMCAFSISASGKRCLLETANVAGEYTCRNSAVEVKGIVNYVESDECVAACGVDRKTVGISSDAMMEPGFAAKLCSPACLDYCPNILDLYFNLAAGEGAFLPDLCDAQRTNPHRSMMEMFSSGGAPAPSSETAPGPVSDSSAPALAPAAM >A09p074350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56411738:56415194:1 gene:A09p074350.1_BraROA transcript:A09p074350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFIHHTVINHGKKCFTWYGPYPNVIVMDPETLREIMSRHELFPKPKIGSHSHVFLSGLLNHEGPEWSKHRSILNPAFRIDNLKSILPAFNSSCKEMLEEWDKLASAKGTVELDSWTYCHDLTRNMLARASFGDSYKDGIKIFEIQQEQIDLGLQAIRSVYIPGSKYLPTKFNKRLRETERDMRAMFKDMIETKEKEIKRGRATDKNSDLLCSMLDSNTKQVKEQGPDSGLSLDDLIDDCKAFYLAGQNVTSSLFLWTLVALSQHQDWQNKARDEISKAFGNNEPDFEGLSHLKVVSMILHEVLRLYSPAYFTCRITKQEVKLERFSLPEGVVITIPMLLVHHDPDLWGDDVKQFKPERFIKGVANATKGRLSFLPFSSGPRTCIGQNFSMLQAKLFVATVLQRFSVELSPSYTHAPFPAATTFPQHGAHLIIRKKRIIGRVEELERPTGARATSCTGVVHPLRAQHVVDNFPDVERPGAARS >A05g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1139269:1140205:1 gene:A05g500260.1_BraROA transcript:A05g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQHHNPVTVEKIDGKFAVPFFFATPPSFSFFFLSLSSILLLSIYTDMLFSSGFSGSPSEISRNKVSFLRSGSDLGAYGSSGMESVAASPGAFLTVLQTLCRWFAIHWFVWVPLLCLLQVHDVEAIVEVYSVARSVSLHSSAFSAFGSGELLLFADRQGILGCPVVKPPWRLESLTFVVALAFSSSLPTWYVAGFCRFPTACFHTVKVMSLVRLAVVDSPGVGSVVCADAELGHLFRLMRLQPPCPRGTLIIRLL >A06p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8808027:8809076:-1 gene:A06p018120.1_BraROA transcript:A06p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAFEWGERQLTLLMINGAAWNVEVLSGWAQPNGGTWCGISRNGRVAFLVDAMIFDGLNNCVSLPAEFLQGHMSPQDFANEIATDPLRYTGMTFKLIVADITSNSMFYINKLSATVPHVRTEQVAFGVHILSYSGLDGHLPNDLRLKDFFNEMIDEYKNEEQPSLRETAERFMYDPTEAVEGNKLTAFFVDFEVREYSNWIPIKEGRYRTTSTTALTVKPTNEVKFYERYLENGEWRDHQVSFNIV >A02p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:768922:770954:1 gene:A02p001800.1_BraROA transcript:A02p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPASLDHWRDYFRRADSDIFQIIDHAIMVAAADSPIKFKTKRDKIAELLFSCRVARCNGCDHLELSVPGEDTAVGGGGGSKESNKQIVGNNYSYEDDEAEALSDAIEEFSLVSKEVVRIKEVLINKDHETHEVIIESLRKLKLMSLDVDILKVVLTQSTEIGKAVNGLRKHGSDQIRQLAKTLIAEWKELVDQWVNTTKDIAGGTPESANPSVVDEEEEEGAFPSLPYDVDIFTPEANGFEMLNGDFFDSLDFDGNPCDSGEYNTSREHERRKTQKRRPEGGIQMKIHDASFRSIKPSSLADRTRRPLKQEQKRKATGPQQEKLKGLGADAKFEFAKRKLQESYQHHENAKKQRTIQVLETIPKQGGAAQKPQLKRPGMNNRNWSNGRK >A07p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13305909:13307557:-1 gene:A07p022860.1_BraROA transcript:A07p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGYQRAPSTEAPTEIPAEKKLHPNYPFLMSSKGLESDDDSDDDYSDLDYDDQFHFSINDDVLVDAKEVSIGELIGEGSSSKVYRGLFREVNPVSVRIFQPERAAAVSVEQKRRFEREVLLLSKIQHENIVQFVGACIHPKLMIVTELMEGNTLHKFMLSTRPNPLDLKLAISFALDIARGMEFLNANGIIHRDLKPSNMLLTRDQKHVKLADFGLAREETKGFMTCEAGTYRWMAPETLTIFFFVFAFGQNQRPSLDNLPAGVGCLLEACWAADPKARPEFKEITASLEKLLRSLCSDDDASSANVATEDSTTYLVQERVVYDFPKLKIKTSKKKKKRNKVMNMMAPFLKIFRDCISK >A01g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9824298:9824761:-1 gene:A01g503080.1_BraROA transcript:A01g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLKADVIVVKVSGLMPCARASSYASTGLWRRPHLRSQVSCSSSWYQSYGSWVDLLAFKELVLWFWDCFSGCGGVDNPSFV >A07p048600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25934388:25937243:1 gene:A07p048600.1_BraROA transcript:A07p048600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MFRKLNKATRNKRNQNTRTKHFFNRMGFCFSKSQTQEIPISSSSDSTPPHRYQPLPKPTNPQTQTSTFPTTPKPKPAPPPSSSGSQIGPILNRPMIDLSALYDLHKELGRGQFGITYRCTDKSNGREYACKSISKRKLIRQKDIEDVRREVMILQHLTGQPNIVEFRGAYEDKDNLHVVMELCSGGELFDRIIKKGSYSEKEAANIFRQIVNVVHVCHFMGVVHRDLKPENFLLVSADDDSPIKATDFGLSVFIEEGKVYKDVVGSAYYVAPEVLYRNYGKEIDVWSAGVMLYILLCGVPPFWGETEKTIFEAVLEGNLDLESSPWPTISESAKDLIRKMLARDPKKRITAAEALAHPWLTDSEVSDKPIDSAVLIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKQMFKNIDTDGSGTITFDELRTGLHRLGSKLTESEIKQLMEAADVDKSGTIDYIEFITATMHRHRLEKEEHLLEAFKYFDKDRSGFDGRINYEEFVAMMTKGTTDHSDAKLIR >A03p013840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5466603:5470629:1 gene:A03p013840.1_BraROA transcript:A03p013840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase type B catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G56740) UniProtKB/TrEMBL;Acc:Q1JPN3] MAQKHQSAAAPGPEPKKRRRVGFSPADTGVEANECIKIYLVSSKEEVGSPDVSCVTPVDLNDFFDGDGKIYGYQGLKINVWINSISLHSYADITYQSTTNGDKGITDLKSALQNIFAETIVDSKDEFLQTFSTEKDFIRNMVSNGEVIHSGAIDGSSINAQMAPSDLQVLRMEIGSPNAGLLYSRLVPLVLLFVDGKHPPLLFDGSNPIDVTDPDWHLYLLIQKKEDKEEPLYQIVGFSAIYKFYRYPDRLRMRLSQILVLPSFQGKGFGSYLMEVVNKMAVAENVYDLTVEEPSEKFQHIRTCIDINRLLAFDPIKPAIDSAVETLTKGKLSKKAQIPRFTPPSDAVEKVREALKINKKQFLKCWEILIYLALDPIDKYMEDYACVITNHVRIDILGKDIEAPKKQVVDVPTTFEAEASFVVFKSVNGEEANNNNVQVDENKPDQEQQLKQLVEERIREIKLVAEKVSKNCPKVRVNKCSSGLAVSSGYEIISTTHIDTRNNLTFKKKAPKAIKEIRKFAEKAMGTKDVRVDVKLNKQIWSRGIRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIDEED >A10p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16331629:16333851:-1 gene:A10p025430.1_BraROA transcript:A10p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNCRWELLAASLTLTLALIHLVEANSEGDALYALRRSLSDPDHVLQSWDPTLVNPCTWFHVTCNQDNRVTRVDLGNSNLSGHLAPELGKLEHLQYLELYKNNIEGTIPSELDNLKNLISLDLYNNNLTGKIPSSLGKLKSLVFLRLNDNKLTGPIPKELTKIASLKVVDVSNNDLCGTIPTEGPFAHIPLQNFENNSRLEGPELIGLASYDTNCN >A09p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7626236:7627324:-1 gene:A09p014530.1_BraROA transcript:A09p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGICAKRVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARLKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELEVKRKEKSQAVYERKKQLIKLRTKAEKVAEEKLGSQLDVLAPIKY >A02p027650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13434776:13437644:-1 gene:A02p027650.1_BraROA transcript:A02p027650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTEEKVVAVILVGGPTKGTRFRPLSLNIPKPLFPIAGQPMVHHPISACKRIPNLAQVYLVGFYEEREFALYVSAISNELKVPVRYLREDKPHGSAGGLYHFRNLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHRKYGGIGTLLVIKVSPESASQFGELVADPVTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIRDVSSQRNDTATLRRVSSYEALQPATRIPADFVRLDQDILSPLAGKKQLYTYETMDFWEQIKSPGMSLRCSELYLSQFRLTSPHMLASGDGTKSAIVIGDVYIHPSAKVHPTAKIGPNVSISANARVGPGVRLISCIILDDVEIMENAVVTNAIVGWKSSIGRWSRAEGVYNSKLGVTILGDSVAVEDEVVVTSSIVLPNKTLNVSVQDEIIL >A04p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19413296:19416847:-1 gene:A04p033370.1_BraROA transcript:A04p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKRIASFKNLAKKAKSFKMREGSSEYVLIGEGGDTSPTKKSTGTFAVYVGEERVKQLVPTSYLKHPLFRMLLDKSRDELHCSDQKVMLVVPCSLSAFQDVVNAIESCNGNFDFGDFVEEIASFKNLAKKVKRIKTRERGSEYVLLGEGDDISPTKTSTGTFPVYVGEERVRQVVPMSYLNHLIFRMLLDKSRDEFHCSDQKVMLVVPCSLSAFQDVVNAIESCSGNFDLGDFVEELL >A10p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9074730:9077471:-1 gene:A10p004930.1_BraROA transcript:A10p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGSHVIVLPFPVQGHLTPMFQFCKRLASKGLTSTLVLVSDKPSPPYKTENNAITVFPIPSGSQEGKDPLQDLDDYIDRVYTSIKKHLPELIQDMKLLENPPRALVYDSTLPWLLDVAHDHGLRGASFFTQPWLVSVIYYHVHKGSFSVPSTKHGHSTLASFPAFPMLSANDLPSYVCESSSYPKILRIVVEQLSNIDRVDILLCNTFDNLEEKLLKWVKSLWPVLNIGPTVPSMYLDKRLSEDKSYGFSLFTAKSTECMEWLNLKKPHSVVYVSFGSLVILKEDQMMELAEGLKQSGCFFLWVVRETEMNKIPKSYVEEIGEKGLFVSWSPQLEVLAHESVGCFLTHCGWNSTLEGLSLGVPMIGMPHWTDQPTNAKFMENVWKVGVRVKAEADGFVRRDEIVRCVGEVMEGEKGKEMRRNAEKWKVLALEAVSEGGSSDKSIDEFVSMFY >A03p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3025902:3026786:-1 gene:A03p007280.1_BraROA transcript:A03p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTVLIAAVILAFVVAVPEVTAKKYTVGENKFWNPNINYTIWAQGKHFYLGDWLYFVFDRNQHNILEVNKTDYENCNADHPLVNWTRGAGRDIVTLNVTKHYYLLDGKGGCYGGMKLAVKVEKLPPPPKAAPVKSIGSVSMATGVAQFVIPFALFSVSTVWDAILRMW >A02p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7207387:7209215:1 gene:A02p016150.1_BraROA transcript:A02p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNTRSQTKKTKKLQKDKNKNKKNNIPTVWFSLKKSLHCKSEPSDVHVPKSTKHLTTISTKRISTVNSSLPSAGCGGGLSGCSRSIANLKDVIHGSKRHFEKPPISSPRSIGSNEFLNPITHEVILSNSTCELKITGVGDMASPAGATESGGGGGGNGRSTTFVGMLRPGTPMHHLNHSASHRSQASSTAAKKGSFASSEREVIGEGFHTRRRVSLEMNRDSAVNGGKYSVSCHKCGEQFNKLEAAEAHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENQCGRIDRVLKVHNMQKTLARFEEYRETVKIRASKLQKKHPRCLADGNELLRFHGTTVACGLGINGSTSLCTAEKCCVCRIIRNGFSAKREKNNGVGVFTASTSGRAFESILVEEGDGERTVTVRKVLIVCRVIAGRVHRPVENVEEVSGLMMSGFDSLAGKVGLYTNVEELYLLNPRALLPCFVVICKS >A09p053890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46610121:46615216:-1 gene:A09p053890.1_BraROA transcript:A09p053890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MAKSRSVVELTTRFDLGGVDKIRSICLSPHSDSQTLVYLGTFSGSLLLLSLDTLTNIVARLGTVSLSASPVESIFVLGQERGKVLALCNGYLFLVDSLLSQPAKRLGGVLKGINVVARRVRGRESSSSTDLLLLPSEVAGESSSSKKFLQMIGGGSRVSDLKGKDLRREGVLHQGRYVFAVAIGERMLLIELQCDEEDGKGDSFVVLKEIVGIGGVKTLVWLDDYVVAGTDKGYSLISCVTGQSGVIFTLPDVSGPPLLKLLCKEWKVLLLVDNVGVVVDTNGQPVGGSLVFRRRPDSVGELSFYLVTVGDGKMELHQKKSGACVQSVSFGHEGCGPSVLAVDEAGDGNLLAVTTMSKVIFYRRVPYEEQIKDLLRKKRYREAISLVEELDSEGEISKEMLSFLHAQIGYLLLFDLRFEEAVNQFLKSESMEPSEVFPFIMRDPNRWSLQVPRNRYWGLHPPPAPLEDVVDNGLAAIQRAIFLRKAGMDTPVDEEFSSNPPSRADLLESAIKNMTRYLEVSREKDLSHPVREGIDTLLMLLYRALNRVEDMENLASSVNNCVVEELESLLNESEHLRTLAFLYASKGMSAKALAIWRLFAKNYSSGLWQDSDDLVPYLHENELIRLSGKEAAAAEAARILEEPCDPELALQHLSWISDINPLFAIQVLTSDKRTEELLPEKVIQAIDPQKVEIIQRYLQWLIEDRDYNDPQLHTSYALSLAKSALECVEVQNGNQETDTGSREAHDCSVRSISLFELDVRERLQTFLQSSDLYDPEEILNLIEGSELWLEKAILYRRIGQETVVLQILALKLEDCAAAEQYCVEIGRPDAFMQLLDMYLDPQNGKEPMFKAAVRLLHNHGESLDPLQVLEKLSPDMPLKLASDTILRMLRARVHHHRQGQIVHNVSRALDVDSRLARLEERSRHVQINDESLCDSCFARLGTKLFAMYPDDTIVCYKCYRRLGESKSVTGRDFKRDVLIKPGWLVNR >A08p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3093618:3096305:1 gene:A08p005370.1_BraROA transcript:A08p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPEIIDIATSARRIGVDNRISLKFYFRIADNILKQANIFRAEKNIIDLYVMLLRYSSLALETIPSHRDYRTSLKSNKEYLRMRLLDVLAELEKLKPVVRQRIEEFNPKPLPRYNVQTLPSNGSQRWSSAVKPSLSSYDHTKVISPSGHNNVYMGSRGQQFLNAAPLEERFRNMSVNFIRPTEETLSKHSILGPNGLRAQWQPPKNDIKVQYPSNIDFSPIEIPSFRQQFVDSKPMITNGSSNEPEKPIVESSSIPSESIQKNYTEELSSMISFEEEENVNDNNIIRQPSPPPVLAEVQDLAHGLCHGANEVELNIDNSLPDESLRAESPLELHIATTMMDAFMRLANSNTKKDLETCGILAGSLCQATNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPQDSSRKHGIFRLTTPGGMTVIRNCDQRGFHAHSSPADGGPIYNTSTEVYMNPDLRFDVIDLR >A09p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5499811:5504716:1 gene:A09p010840.1_BraROA transcript:A09p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLSRSVALISLFLLPLLSFSFSVDNPTDRRVLVLLDDVSLKSSHSIFFTTLKSRGFDLDFKLADDSKLALQRYGQYLYDGLIVFAPSTERFGGSLDSKSIADFVDSGRDLILSADTSASDLIRGIALECGVDFDEDSSAMVIDHSSFSVSDGDHTLIAADDLVKSDVILGKTKIEAPVLFRGVAHSLNPTNNLVLKVLSASPSAYSANPSSKLSSPPQLTGSAISLVSVMQARNNARVVISGSLQMFSDRLFRSGVQKAGSPNKYEKSGNEQFATELSKWVFHERGHLKAGSLVHHRVGETDEPAIYRIKDDLEFSVEILEWSGKSWEPYVADDVQVQFYMMSPYVLKTLSTDKKGMFHTSFKVPDVYGVFQFKVEYEKLGYTTLSLSKQIPVRPYRHNEYERFIPTAWLVSLSSASSTSTIILSAISLLPKVLGSSPLLLDSSIIFGKLLLTHLVVLDPVLPPKKSNPLTLSSMFLGLFSKTMEEDKSNSSSKNRTTTPQPQPPLRQIRTDMASIYSSDLTSYNSACKEDPDLQSFDSSLHSRTNRVINSLASGAESRSLSFEALIEVSGCLLEMNQEVVKFIIESREDVWDNKDLTSLVNAYFDSSIKTLDFCNAVDHCVKRARIGQMIIQFAVKQFEMESSSPEPGAHNYAKTLEELNKFKASGDPFDGDFFMLFESVYEQQVMLLETLHKQKRKLDKKLKNIKHWKKISNVVFVTAFVSVLIFSVVAAAIAAPPVVTAVAAALAVPLGSVGKWCSHLWKKYETAVRREKDVVLSMKVGAHITMKDMENIRVHVDKLKIEMEAMMQRVDFAIEEGEEEVAVRLSMQEISKRFDVFTERIEEVGENAAKCSKDITLARTIVLRHILSFPTSSESEQGNLIEAITL >A09p074520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56479716:56488751:1 gene:A09p074520.1_BraROA transcript:A09p074520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKVSSSVELLTRWRRIEEDEEENDDSDPSTVRRLNQRKEQWFTDAFTMLISLPKETHIWCGCSDVMGPLIETFYNFFRDDREDSPLKALWKRISREMRTCAQCISQHHQTQEMYEKEYECASVGPLLVVLRKLDEQRVTTHLQEINSIIEKGAYDPDHHHAEVVSVMYEVLMFPFFFDDMSLCTEFEKFIESIDNIHELAFADNQEFPGVYALLFLNRRVRVIGYRLARAMGKLRSATQLERLQPLLKKFIGILEMEGMPSSSQDPRPRINLDRSSIWLGMTSLLEFLEGPAFEEGILEPYPIFVDTVLNHISGDSPEFSLAVNCLKELFKTLGMMIHSHILKGCKLWLRATLSPSSLEALRDGEHEKQRRHFLYFLLHQVPVSSNFSILARRIGHKIALLIVLRGYKMNPPCPPFECAHMGPSLVSSFNDSALHISLRQPAIDLVQTILVSDATALLASLLRNNTGNYMGNEVKYDDDDSNLPFLHAVEDVSDRPWSDFTQQSKVTLGECKEWMCIPMLWITTLTNTNLLNLPVSLSQAVFWSRSRFCLVESEKTDDMTVDMETWFSSSAVEIKGTLGWKVATGSDDGGPGKESKNSVTVSKMCPTLIRTLKRLTTGFLVQMGEEYRKQWTWVPGMSETFILSLSDPDDNIRQFGKSMLEHVSNTRGLSCGLKFLCSQSSHLVHVFSGATHVLQQVHLSSVLQRFQILHHFFFLLFKLLKEEDVVIITEAVKSSAGGFLRQPDFSAPPVIESRNSSTATPELLKFLYSLAEVAWGAVRKCLAEGKAFIHQSLCQMTCVRLLEITPVVLGKLRLSREESCAIGGALKDASDLKWLPDLIDWGRSQLKVVVTYWRRALAALLDILQGSKSDTCSSAVQAIRRVLSADDLDIEQLADQISRLVPKENECLKPVKAVGRAPDNVMDLTEDVTEKESLKNLPSLHKSHQLDINKTLPPIRSISRVPSLKKGTSSIDTLKSSATVVSEKNVSVRSSNMVRDLPTTSAEPSKVGSMSKEAENRQTVGGPLSLVNRANLKNAADEFISRGTSKEAQKSAISNTKGMDLRKVVIEPEVDPLDLALKSLKPQPLPLAKPGPIVPKRQVIQLCAPVNKKAERWQRQAAGFKRFRPPKLEDWFRKILQMDYYAIVGLASTNKDENQNVGKFREVPVRFSSPEQYVQIFQPLVLEEFKAQLQSSFQEISSLEEIYYGDLSVLSIERVDDFHFVRFIKDESDGPNSKSFSENDLILFTKEHPENSNVGGNMIGKVEGREWDEKKRSSILNVRLYLQNASSRLNQARRNLLERSQWHACRILNITSQIREFQALSSIKDIPVLPVILSPLGDSNYDSETKRSDLRSLPHSLQQILKSSFNESQLQAISVSIGSSNLTKEFDISLIQGPPGTGKTRTIVAIISGLLASVSRKTGNSEQDHSSSTTSRQRMNPNVAMARVWQDAALAKQLDDDGESKKKIGEKIGKGRVLICAQSNAAVDELVSRISSLGIYGMDGKMFKPYLVRVGNAKTVHPNSLPFFLDTLVDQRLAEERLRINKAKSNKAEDSSTLLRCNLEKVVDQITRFEAKRANLNQESLDAKEKLGSKNLDIDDDGKPMSDAELGIRLRRLYEQKRKIYKDLGAVQAQERKANNEIRALKHKLRKSILKDAQIVVTTLSGCGGDLYNVCAESSSAHKFGNPSEDNLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNIASKYLYECSMFERLQRAGYPILMLTQQYRMHPDICRFPSMHFYDNKLLNGVDMSSKSAPFHESPYLRPYVFYDIVDGQEHRSGDSSSVCNEQEAEAAVQLLRFFKKRYPSEFVAGRIGIITPYKRQLAVLRSRFSSAFGSQVAADMELNTVDGFQGREVDILVLSTVRATHSASDGNNQSRIGFVSDVRRMNVALTRARLSLWVFGNTRTLQRDHNWGALVKDAKEREAIIPVKRPYNMFGEKATEQKQFENLSKNFPEPEKQQQHSRRKEHRAETSSDRKMRKPDGDVVPLSSKGSESKQSRRKAKEEASSQREKLATGSEEVRSEVNPRRNQEKKEKMKGIEKSSNPEDTDVTSSKKKKEEPNAWKKSKKASSKLDSNKREKSTDESEQRDRQINKGNASCNQGGGEDVVSKRKKQREAVAAILNSSLIPSHKPKPPKRPLSPSSTASSHTRPSKAIKESTKNNSKQR >A02g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7352129:7353192:1 gene:A02g502270.1_BraROA transcript:A02g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLSIMNVSRHPIIKNPFHNSKPAHKSTLQLPPSRQSSRKCKRERLMISEGSTLEVYMKHEKQLGNTERSWICFVDGCGESLPKENNVVLISSSSESERVFSYK >A08p014560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9938447:9940862:-1 gene:A08p014560.1_BraROA transcript:A08p014560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQLLYSPSYQIFRVVNYVDEEMEEQFYDATDSSSDEEESDEDDEEQDKKEIKVKLKNVSWAIASLSLKQPKAPGASNELDTSVDPVDIDPSQIQGSLRKGNGDKDSNCWNSPSGMGFMIRGKTYLKDNAKVMGGQPLLTLVSVDWLKVDKAVDNIALHPKCLVQSEPGKKLPFILVINLQVPAKPNYCLVMYYAADRPVSESSSLGKFVNGSDSYRDSRFKLIPSIVQGYWMVKRAVGTKACLLGKAVTCKYLRKDNFLEIDVDIGSSAVARSVIGLVLGYVTSLIVDLAILIEGKEETELPEYILGTVRLNRIELDSAVSFEE >A10p038540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21514994:21515307:1 gene:A10p038540.1_BraROA transcript:A10p038540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKCILIAFVFTLFFAMSIVRCTDIVSDFGVKYNMCYGPCDDKGVCRPFCYSKKGPKRGDCVGGTCCCDEH >A10g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22196794:22199599:1 gene:A10g507240.1_BraROA transcript:A10g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIAIRTGESSPPLLFRQVSPGPSDSTLQFRLLHFWNARKNVKGGPEILLGVEMLMIDGEGTVAQGFIGLNRRNQYEKELKRGMIYTLTNYYASNSKVMYHVADQRLVICISHNSELRKMEEDNEAILTERFRLHAFADFEANCDLRGDLHDVVGHLKLVDGHPLHQRPVLCSKDDSASRKVTVHLQLKDGPVINVYLWDEAAENFRFKFDASAETPTVLLVTTVNPKRLGGKLCLSSMSSSRVFFDEEVDPTKEYLAWLTTNPGATSSVNPVEVVKAETLTISEIAAFIKREPAKVAYFDCIATIDDVKHGTEWYYIACKDCQTKLNRGPTTLLCPKCGNENATAVANYRVEMSVYDNEEQCTFIILGDAGKELTGRKATELIDTYAAENGGDGAELEVPLPQCFIDAIGQTKKFRIKVSPYNFTSTRLSLTATKIVSPAVLPPKNPPLNTAAGTEVEISDVATSSGGGTSAIDDEKNAKRTKRSG >A09p079050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58290957:58291939:1 gene:A09p079050.1_BraROA transcript:A09p079050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U18 [Source:Projected from Arabidopsis thaliana (AT1G10360) UniProtKB/Swiss-Prot;Acc:Q9FUS9] MVTGDVKLIGSWASVFVMRARIALNLKSISYEFLQETYGTKSELLLKSNPVHKKMPVLIHADKPVCESNIIVQYIDEAWSSYGPSILPSQPYDNAIARFWAAYVDDKWFIALRSILTARGEEEKKAAIAEVEERTDHLEKAFMECSNGKPFFNGDHIGYLDIALGSFLGWWKVVELDANHILLDETKTPSLFQWAERFCNDPDVKPLMPETTKLAEFARKLFPKPQA >A06p036920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20020507:20022167:1 gene:A06p036920.1_BraROA transcript:A06p036920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSPSSYTELKDAWHPSTTTVDTTSSSYWFNWRVTICCVWMALAMVITAFLIFKYEGFRRKRTGNEGDGGEKEWSGNVYEDETWRPCLRNIHPAWLLAFRAVAFFVLLIMLIIIGLVDGPTIFFYYTQWTFALITLYFGLGSLLSLHGCYKYNKRAAGDRVDSIEAIDSERARSKGSDNTLQESQYSSNPANFWGYVFQIIFQMNAGAVLLTDCVFWFILVPFLEIHDYSLNVLVINMHSLNAIFLLGDAALNSLSFPCFRIAYFFSWTIAYVLFQWTLHSLVHIWWPYPFLDLSSHYAPLWYFSVAVMHLPCYGAFALLVKLKHRLLQRWFPESYQSPR >A09p068830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53806714:53809868:-1 gene:A09p068830.1_BraROA transcript:A09p068830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESHYSSSDSFSNKRKYDDETAPPPPTRRPTGFSSGPIPSPSPDPSAAPPPSSYNSVPPPMDEIQIAKQKAQEIAARLLNSAEAKRPRVDNNNNGSSYDYGDKGFSSSYPSSGGEGKAAPTSIPVSYGSFTGSTKKIDIPNMRVGVIIGKGGETIKSLQLQSGAKIQVTRDMDADTSAATRTVDLTGTPDQISRAEELINQVLQEAESGGTVGSGGGGSRRMGGADQFVMKIPNNKVGLVIGKGGETIKSMQAQTGARIQVIPLHLPPGDPTPERTLQIDGTTDQIEHAKQLVNEIISGENRMRNSSMGGGYPQQGYQSRPPSSWAPSGAPPPQQPGYGYMQPGTYPGPPQYGQSPYASYPQQTSAGYSSTWDQSSVPPSQQGEYNYYGQQQPQAPNSGGSSAPPTDTTGYSYYQHGSGYGQAGQGYQQGGYGAYNASGYGQAGYDQQQGGYGSTTNPGQEEDIAQGAPQSSTQSGQAGEQPTQGSTGQAGYGATPTTQAGGYSSQPPTAYSSGYGAPPPAGKPPAYTQNQQSPGAPGSYGGQSGYAQPAGSGYGQPPAYGYGQAPQGYGSYGGYTQAPAGGGYSSDGSAGAAPGSGTPASQSAPPAGPPKASPKSS >A03p042420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17763908:17764750:1 gene:A03p042420.1_BraROA transcript:A03p042420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERLEDGSFELVREEFYLGSIINAVVSQAMFLLRERSLQLIRDIPEEIKSIAVFGDQTRTQQLLAEFLLSIIRYAPSQEWVEIHLSQVSKQMADGSSAIRTDRNGFGLCFDWELLVIMACPGEGLPPELVRDMFHSSRWTSPEGLGLSVCRKILKLMNGEVQYIRESERSYFLIILELPVPPLSTASGDMMLMMT >A01p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22734691:22736395:1 gene:A01p042190.1_BraROA transcript:A01p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQTLKYLPLGQSDPFGNGNGNEGTIGDLLGRFCNPQESFSPGIRFPPYPGQFGSDRESNKSSLLDPDSDRVPTTKPNSRKRKSIPVGNGKDSPASSSLTASHSKVSGENVVSKDGKRSKQDEAGSSKKVIDKCDDSKGDNKDDAKPTKDYIHVRARRGQATDSHSLAERARREKISERMTMLQDLVPGCNRITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMEFNANAALSTEMIQQGESLTQSLYAMACSEQRLPSGGYYSLTKNMPRFSDTHFPSSDGFVQVETQGFWENDLQSIVQMGFGDIQQQQSNNNCSEPTLQMKLEP >A05g510300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31805347:31807399:-1 gene:A05g510300.1_BraROA transcript:A05g510300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSGGGVSYRSEVDSDVELEDYEVDDDFGEGIVESRGNRFNPLTNFLGLDFTGGNGGKFTVINGIRDISRGSVVHPDSGCYKAWTMFIVIWALYSSFFTPLEFGFFRGLPGNLFILDILGQIAFLVDIVLTFFVAYRDSRTYRMVYRRSSIALRYLKSTFIIDFLSCMPWDIIYKVAGRKEEVRYLLLIRLYRVRRVILFFHKMEKDIRINYLFTRIVKLIFVELYCTHTAACIFYYLATTLPASQEGYTWIGSLKLGDYSYAKFREIDLWTRYTTSMYFAVVTMATVGYGDIHAVNMREMIFAMAYISFDMILGAYLIGNMTALIVKGSKTERFRDKMADIMRYMNRNKLGRNIRGQITGHLRLQYESSYTEAAVLQDIPVSIRAKIAQTLYLSYIEKVPLFRGCSSEFINQIVSLLIWTVYIKTIFFNTKKGETTTCNISFVK >A09p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17569921:17570821:-1 gene:A09p029430.1_BraROA transcript:A09p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMTSVDFENSFIFVFFSTFFLFFFLFFLFFFKKQNNVFDLHPSPPYLPIIGHLHLLFSASIHTLFQKISSRYGPFLHLRIFNAPFVLVSSASVACEIFKHHKISVSSHGPIGIDECLVFGAYGFIKAPYGDYWKFMKKGITTNMLGPQAMERSRGMTLGRSFSEENNDVKVYELSLELGALTQKIFLQQVLRKPLEKLEFSPFKKEVMNVSYRFDELLEKIILNYEGKVDDHQSSEIMDTLLAAYRDGNEDYTITRNHIKALLAVNV >A05p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3481873:3484819:1 gene:A05p008510.1_BraROA transcript:A05p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDDMDSLFEGMELFTPSSSLLSDSSPAILPPSKEAETTVITAPAAESTETMSEPLDEDMFSDLTIVTPVQHVPEAVTHQSPLPSSSSSSSSMRRQVSRRKKRSGGLRIGYGRHETNDDEDDDSVSQLSDSVNQVTDSVSQLSDSVNQVTDSVNQEPADSVVDQPLDSVKAQIEGKLKLARALAASVTSSRKNAIRKRRQASENLRLASKAHDELEKQLEEAIEAEDFDAAEKISESLAAAERDKLSLAALLRQAESDCDAIESKMEEDAENEAESILEKADAFCVEETGKWNSCCEDVEFRKVELDIESVIVDNVRLSLNDTLEGSVEQEMKEKEMLRKKKEHLSEELEELLALVKAKEKEIDENDSQIKAVEERINSVVSGYKELQASMDKMFSDLQTGLSQVDTETEALSRKKKDVDEFVASEKERGAKLRELVSVSADEANEYEEVIKLRKTLMSYVSKTREERAKLVSIEEKLSEEVQRLQEEVSSTRESLKEQSSRKSIIQQNITSFMDKIMFIEKRMPELEAEKKVAASTRNFKEAGRIAAELKSLNLEKDKIQIETGQANSELEEAEQEIEETIKRLQELERLILSKEKELSVSRFQRLRIDSGTAKAERSAALELSDLEEANLLLEEAQEAESEAEKLKLTCGLKEEEEEAKPCECFVSMELIATFGLKKLQELAESVPS >A04p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19450984:19452818:-1 gene:A04p033500.1_BraROA transcript:A04p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSGSIDCEYSEIEGGLYKNDKAFEKMSNLQFLRIGDTHPRTLRLLDWDGFPMTCLPCNFNPEFLVEIYMPSSNLEKLNLKRMYLRDSKNLKEVPDLSTATNLWKLDLSGCSSLVELSSSIGNATNLNELFLSRCSSLVELPSSIGTATKLKRLNLSDCSSLVELPFSIGNATNLKELDLGGCTSLVELPSSIGNLHKLSSFTLKGCSKIENPFRLNGTAIQKLPSWIMLWSHLYHLAMPYSESLMTSPHAFDLITELHVCDQRIRELAPWIKEMSRLRKLVMSGCTKLVSLPQLPDALRYYAENCEALERLDSSSSLQHVGGNFGNCCKLNQEARELIIRTCRFAVLPGEQIPMYFLWQATGSSLLMKGNGMDHIPLMGCVLVVNKVGDRRKKEMVPPRFPVLNEHLYTFEIDEKEVSCGDPSVFEFEVRDKRWEVRECGLNLVAQL >A06p019940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9739172:9741469:-1 gene:A06p019940.1_BraROA transcript:A06p019940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRGGLVAFSPILAALLFMLTVNHGEAIWLTIPPTGGTKCVSEEIQSNVVVLADYYVVDEHSPENTPAISSKETSPYGNNLYHQDNVTHANPLTLGIDWKIGIAAKDWDFVAKRKKSRQGVELQLRRLDGLMQSIRENIKYIKDREAEMREVSETTSSILLDILGESKQTKNLRGLKFKDVQTFNHALLEKIVWRITTNPSFLLSDILIEKYRHNASLMTTPLPSSFSHEEHQDLLVADLLSRETKKWNVVTINNLLLEPINYILCLRPSILDAEDKECSKAE >A10p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17189210:17190021:-1 gene:A10p027250.1_BraROA transcript:A10p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDATGNPIPTSAVLTASAKHIGLRCMPENVAFLKCKKNDPNPEKCLDKGRDVTRCVLGLLKDLHQKCQKEMDDYVGCMYYYTNEFDLCRKEQEAFEKVCPLK >A02p004510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1962281:1964443:1 gene:A02p004510.1_BraROA transcript:A02p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGTVSFLFVLLISAFTSIFCFRDGMLPNGDFELGPKPADMKGTQVINKNAIPSWELTGFVEYIKSGQKQGDMLLVVPAGKFAVRLGNEASIKQRLNVTKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPIQTVYSSSGWDLYAWAFQAESNVAEIVIHNPGEEEDPACGPLIDGVAIKALYPPRPTNKNILKNGGFEEGPYLLPNSTTGVLIPPFIEDDHSPLPAWMIESLKAVKYVDVEHFSVPQGRRAVELVAGKESAIAQVARTIIGKTYVLSFAVGDANNACEGSMIVEAFAGRDTLKVPYESKGKGGFKRATLRFVAVSTRTRVMFYSTFYAMRSDDFSSLCGPVIDDVKLLSVHKA >A04g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12518790:12533016:1 gene:A04g505990.1_BraROA transcript:A04g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLNVIDVSRITPADSSGSLTLPLTFFDLLWYKLHPVERVIFYRSTDATRPFFDSSIVPNLKSSLSSSLSHYLPLAGKLVWDSLGKKPSLVYSPNDAVLFTVAESSADFSLLTGQKPFPAAELYPLVPELQNSDDSASAVSFQVTLFPNQGFSIGVSAHHAVLDGKTTTMFLKFWADTCKRRQDQTVNTSLPQDLIPLYDRTVIKDPKDIETEVMNLWSSLLKAFSGGKEPDNPKSLKILPSPKLSPDVVRARGGDPSRPVGYGFAVDCRSLLDPPVPPNYFGNCVSAMFKIPLKAERFMGEEGFLIAARYVSDSVEELDETVALKVPEVLAAIQTITQELQVVSVAGSTRFGVYGLDFGWGKPERVVIVSIDQGEAISMAEGRDGNGGVEIGFSLKKHEMEALIDLLREAISMAEGRDGNGGVEIGFSLKKHEMEALIDLLRYLELERGKKVTIGRTEHGSDLPERHHEVAVKPRATCPERRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRKPSIVYSPNDAVSLTVAESNSDLSRLAGNKLFPTAELHPLVPELQVTDDTASAVSFQVTLFPNQGFCIGVTAHHAVLDGKTATMFLKFWADTCKHQQDQTANASPPQDLLPIYDRTVINEWYSLLKMFSGGKEPDNPKSLKLIPSPEISPDVVRFTLDLTREDIQTLRERLKRESSASPSPKELRLSTFVVTYSYALTCLIRARGGDPSRPIGYGFAVDCRGLLDPPVPSSYFGNCVSATFKMPLTAETFMAEEGFLAAASSVSDSVEELDETVALKLPDILASFPILPPGSQLVSVAGSTRFGVYGLDFGWGRPERVLVVSIDQGEAISMAEGRDGNGGVEIGFSLKKHEMEALIDLLKIDHFSF >A04p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:959800:970000:-1 gene:A04p002010.1_BraROA transcript:A04p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPGMWQYPTNPNLCCIYRVPNCLREVNPEAYTPQLVLIGPLHHSLKSQARQSLGPYTKSMGYLNMEKHKKIYLAGFAQRFEGRMTIDGFRRMIKEEEATVRASYSESTNWIQSQEFVEMVMLDSVFIMEFILRTTETREEKTGDRLMDESCLTNTVFCDLILLENQLPYFILKKLYDSITPGIHSHQTFPDLIINYFKFQGKIRGDPKILHFTDLIRCVRVETLPNHIVRKCKPIEHMYNADKLDNGGVNFKAVGDELSLYVRFENDCLEMPCLKVVDKLEMKLRNIMALEQCHYPSNTVVCDYVLFLDYLIDTEKDVDFLVEKGIIQNCIGQPASVARMVNKLGLGIIEDGSYYSDIAGEVNKYYTNPVNRSHAVLKRTYFGDLWTGTATIAAMFLLVMTFVQTVASIVQLLPRRISQCKPFVDLTTDTPSHPDTVHADLLWNPNLNPTNPNPFLLVSQLVSIRSAPALRSRGSSVHLGGPVSTTCKQKAVEKDVSRDVAVS >A05p032400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17869364:17871219:1 gene:A05p032400.1_BraROA transcript:A05p032400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLQCMKTLRSQMTDVEDHAAKVSVEEQMQITTIDTLQKDLDHALSETKRLKEETDQKTRTKGELCSQILGKQRKISSMESDSANLAQSLELILQERDSISAKLVSKRSNYIKTAEEAKTKLEEHKGWFVSHMSNETGQQRQKEKSRKNLMGLSDSARAKLDQAKQMRSELIQENSKIKLSIENVKNKINDFKPELMPLDIKILEEEYTALLSDESGEAEYLHSLQSQAEKLKGISYIAKCGCGEQYSVGLY >A03p060700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26340449:26342096:-1 gene:A03p060700.1_BraROA transcript:A03p060700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACYB-2 [Source:Projected from Arabidopsis thaliana (AT4G25570) UniProtKB/TrEMBL;Acc:A0A178UZ09] MAVGINAMPVTFAAHALAVIAAIMVLVWNISYRGGLAWEAENKNLIFNLHPVLMLIGFVILGGEAIISYKSLPLEKPVKKLIHLILHAIALALGIWGICAAFKNHNESGIPNLYSLHSWIGIGVISLYGFQWVYSFIVFFFPGGSPNLRSGLLPWHAILGLFVYILAVGNAALGFLEKLTFLESGAKLDKYGPEAFLVNFTAIVTILFGALVVLTASAKSPSSIDDADNYSYSAI >A02p029880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15180627:15182181:1 gene:A02p029880.1_BraROA transcript:A02p029880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFNLSPRILLLFLTLLSGTKWSESARVFTIVNSCDQTIWPAITPGENFNGGGFELKPGQSIVFNAPVGWSGRIWGRTGCNFDKTGTGTCETGSCGSTLKCSASGKPPASLAEFTLAALDFYDVSLVDGFNLPMSVTPMNGKGNCSVAGCVADLRSKCPPELAVKSKGKVVSCRSACDVFDRDEYCCRGVYGNPVTCRPTNYSKMFKEACPTAYSYAYDDPTSIFTCSGTDYVISFCSSKKKPVCTYHDNKLACSDGSGSGGFRTMTGRLWLILMLSLFAFIYS >A08p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24129753:24133351:-1 gene:A08p043270.1_BraROA transcript:A08p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase haspin homolog [Source:Projected from Arabidopsis thaliana (AT1G09450) UniProtKB/Swiss-Prot;Acc:O80528] MWAYLIGFKFYLEAQLKFQIIRPTKFSRLPGHETLIKKTQKPVSSVTLRGEGSEMGQRVDLWSEIIRSEEEDGDAFRDVPQVEVYQRRKKLEKPSAAENLVWSVKGARTSFINGPKRDSWTRSLSTRGRESIAVGAFVNNQPQKKPVRRKKPAIPKGKGVKAPDLQKEKEYFHEVDAFELVEESPSPKTKNASTWINGEQVVPEVPHLATRLEKWLISKKLNRPCGPSSTLSKILETSHSTTRLEPILDDDALDALALGTPESASNSSIFRLIQSGGDSLDAEDAPVTKIKAEEIEFEDESVRKIKTEEIDLEDELKRLSLTSDLASSHLDYEKPFLDLLSACGQMRPSNFMEVFSKFCEPEGIVKIGEGTYGEAFRAGPSVCKIVPIDGDFTVNGEIQKRADELLEEVILSWTLNQLREHETEAQNLCPTFIRTQDIKVCQGPYDPILVKAWEEWDAKNGSENDHPDFPEKQCYVMFVLEHGGKDLEGFVLLNFDEARSLLVQVTAGLAVAEAAFEFEHRDLHWGNILLSRNNSTTLPFIVEGKQVFIKTFGVQISIIDFTLSRINTGEKILFLDLSADPYLFKGPKGDKQSETYRKMKAVTKDSWEGHFARTNVLWLIYLVDLLLTKKSFERSSKDERELRSLKKRMEKYKSAKEALSDPFFSDMLMDQVS >A01p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:88862:91724:-1 gene:A01p000200.1_BraROA transcript:A01p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVVAEGDAKPTKQFSVYEATSEELIERSMAPIKKEFLCPPPSRSLKQSDVKAPHPSLVQEKKSKRQLKRERREKCAINLCPQVSRTEHVDSCQYKEKCRFNHDIQAFKAQKPDDIEGQCPFVASGIKCQYGLSCRFFGTHKDLSGISDAEINFFNKETQRLLWKNNMTFPKADAKLKSLGLMGHAKKSNVAQENDAEKPLDGAQTNEDVDIPGPLETEDVRPTKKAKSDETSKLGDIIDGVMNVDDETEKTGHSTSKAKIEDDEDIIKVIETDGSLKSHPREKKKLIDFRDKLYLAPLTTVGNLPFRRLCKVLGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICDIGDWGATAVTIHGRSRQQRYSKSADWDYIYQCTKNASSNLQVIGNGDVYSFLDWNKHKSDCPELSSCMIARGALIKPWIFTEIKEQRHWDITSGERLNILKDFVRFGLQHWGSDTKGVETTRHFLLEWLSYTFRYIPVGLLDVIPQQINWRPPSYFGRDDLETLMMSESAGDWVRISELLLGKVPEGFTFAPKHKSNAYDRAENG >A04p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1832043:1835431:-1 gene:A04p003680.1_BraROA transcript:A04p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G57650) UniProtKB/Swiss-Prot;Acc:Q8LG50] MAIAAAVIVPLGLLFFISGLLMNLLQAICYVLVRPLSKNTYRKINRVVAETLWLELVWIVDWWAGVKIKVFADNETFSRMGKEHALVVCNHRSDIDWLVGWILAQRSGCLGSALAVTKKSNKFLPVIGWSMWFSEFLFLERNWAKDESTIKSGLQRLKDFPQPFWLALFVEGTRFTETKLKAAQEYAASSELPIPRNVLIPRTKGFVSTVSNMRSFVPAIYDMTVAIPKTSPPPTMLRLFRGQPSVVHVHIKCHSMKDLPESDEAIAQWCINQFVAKDALLDKHIAADTFPGQQEQNIGRPIKSLAVVLSWACLLTLGAIKFLHWSDLFSSWKGMALKALGLGVITLCMQILIRSSQSERWTPAKTNDHHHAGSFSQTDVEKDNIHKLKINLYKPDHYPKHTDITEVDLPDTMTVMFLRKLEDAKHAIDTRMKMLCRIKGIKVDKETKQMEKWTY >A05p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3833681:3837209:-1 gene:A05p009280.1_BraROA transcript:A05p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRHVFRLTQRITQLSKIVRGRREVVSRLRSLIFRLTNRIKNLGKCSTMQPNLFTTMRSLKLIEGCKATQVYPSSSPPPPPSTGLREKLLQHLQDHFRVNSTRSKPSHTHQNAAVSLDSLLLPHGLPVSDLLEPHIDPSLRFVDSIEKLAEVHRRIENCPNHEKSEAFLEQCAIVRGLTDPKLFRRSLRSTRQHAVNVHTKVVLSSWLRYERREDELIGTTSMDCCGRNLGCPNMSLVSGYDPESVYNRCLCSRSETNDDVSECSTSEVDYDMSFCIGDEEVRCVRYKIASLSRPFKVMLYGGFRETRLSAINFALNGVSLEGMRAAEAFSRIHKVEIFPVNIVLELLTLANRFCCDELKAACDSYLSRLVKDLDDALLLIEYGLEEAAYLLVAACIQVFLRELPSSMRNPNVVKIFCSVEGRERLVLVGHGSFVLYLFLSQIAMEDDMTSNTTVMLLECLVEYAVEAWQKQVACHQLGAVMLERKEYKDAERWFSSAVEAGHVYSLVGVARSKFKRGHRYSAYKIMNSLISDCSATGWMHQERSLYCSGKEKLLDLDIATDLDPTLTFPYKFRAVSLSEESQFGAAVAELNKIMGFKVSPDCLEMRAWISIAMEDYEGALKDIRALLTLEPNFLMFNKKIHGDHMVELLRPLVQQWNQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSKSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAYFLKAYALADSALDPESSKYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDCDKLDLAADCYTNALNIKHTRAHQGLARVYHLKHQRKWAYDEMTKLIEKARNNASAFEKRSEYCDREMAQSDLGMATLLDPLRTYPYRYRAAVLMDDHKETEAIEELSKALAFKPDLQLLHLRAAFYDSMGKSAEAIRDCEAALSLDPNHTDTIDLYNKAREPQP >A08p033320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20041527:20044325:-1 gene:A08p033320.1_BraROA transcript:A08p033320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPGHRDSNLQKELNRYIPTAAASGGVCIGALTDLADFMGAIGSGTEPSLLRPSSSLCNLRANYGGKEMPRVITNETYGCPPIRALTFDSLGLIKVTEARGKERGTPTVVNTWGEMNASRCVLASSMDDRPSNPLLAVARKDGNVEVLNPCNGDLHFAYSLFGDDGSSPEDDEVSGLHLFRKQKDDQAERSCTLLTCTKKGDVSLRTVQFPDSPDDSIDDAAPKTWKACGSGEVLVGKVDGSENFGLFGGKRVEVNIWDLEQCTKIWSAKSPPKDNLGIFTPTWFTCAAFLSNEDHRKFATGTKSHQVRLYDVSAQRRPVLSFDFHETAITAITEDPDGHTIYVGNASADLAAFDIRTGKLLGNFLGKCSGSIRSVVRHPHHPVIASCGLDRYLRVYDVKTRQLISAVFLKQHLTGLVFDSGFSGEEIAVANTVVEAETEEKMTILEDDEEEGEDKREEAPVKKKKSKKEKRSRDKVSEKGEEIDEVRSKKTRDHKKKTKKVKHTQED >A04p013950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5205251:5206387:-1 gene:A04p013950.1_BraROA transcript:A04p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHQKIHPVSDPEAPPPPTHPTVPLVSRSSSRSEHGETTKESVTQPPQLDSPQRKKKGRRSCWCRCVCYTLLVLFLLIVVVGAAVGILYLVFRPKLPDYNIDRLQLTRSILNNQDSSLSTAFNVTITAKNPNEKIGIYYEDGSKISVLYMQTRLSNGSLPKFYQGHENTTIIFVEMTGYTQNATSLMATLLEQQQLTGSIPLRIRVTQPVRIKLGKLKLPEVKFFVRCGVFVDSLAANSVIRVRNSNCKFRFRL >A09p069270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54011124:54014752:1 gene:A09p069270.1_BraROA transcript:A09p069270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpB4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G25140) UniProtKB/Swiss-Prot;Acc:Q8VYJ7] MASRRLSKSTASAAIRAYNAFSTPSLLLRSRPISAASAHHFTSRSSPTSSLLRPNSLIGAPGNAANSITHGRQLLPLSFQFLSPRRFSATAAQTNQNSYTEMAWEGILNAFDAARVSKQQIVESEHLMKALLEQKDGLARRIFAKAGIDNSSVLQATDAFISTQPKVTGDTSGQVLGSSLSTVLQNAERYKKEFQDDYVSVEHLLLAFYSDKRFGQEFFKNLKLKEEALKEVVKDVRGSQRVTDQNPEGKYDALEKYGNDLTEMARRGKLDPVIGRDDEIRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGSLLAGAKFRGDFEERLKAVLKEVTASNGQTILFIDEIHTVVGAGATGGAMDASNLLKPMLGRGELRCIGATTLTEYRKYIEKDPALERRFQQVLCGQPSVEDTISILRGLRERYELHHGVKISDGSLVSAAVLSDRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVIKLEMEKLSLKNDTDKASKERLHKIENDLTALKEKQKELSDQWEQEKSLMTRIRSFKEEIDRVNLEIESAEREYDLQRAAELKYGTLMSLQRQLEEAEKNLTKFRESGQSLLREEVTDLDIAEVVSKWTGIPLSNLQQSEREKLVMLEQVLHKRVVGQDMAVKSVSDAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGYLFNTENAIVRIDMSEYMEKFSVSRLVGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHPDVFNILLQLLDDGRITDSQGRRVSFTNCVVIMTSNIGSHHILETLGNSEDGKEAVYELMKRQVVDLARQTFRPEFMNRIDEYIVFQPLDSKEISKIVELQLERVKNRMEQKKIKLHYTKEAVDLLSQLGFDPNYGARPVKRVIQQMVENEIAVEVLKGDFAEEDTILLDVDQTSNKLVIKKLENNAPIEEMAA >A05g510480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32331490:32332882:-1 gene:A05g510480.1_BraROA transcript:A05g510480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSDKRTTVDPLLKDLDEKKESFRRNVVSLASELKQVRGRLVSQEQSFLKETQTRKEAEKRAQNMEMEMCKLHKRLEERNSQLHASASAAEKFIKDLEEFRSQLDATNQTAEASADSAESTKIQCSVLKQQLDDKTRSLREHEHRVTRLGHQLDDLQRGLSLRECSEIQLREELRRIEREVTEAITKAGIGSNDCVLQKFLEDVSPVNFERMNRLVEVNDVEVKKLKDEIRLMSGHWKHQTKELESQLEKQRRTDQDLKKKILKLEFCLQETRSQTRKLQRKEERRDMEIKEIRDLMSGRQQGSDKESWEKQKFWDNSGFKIVVSMSMLMLVVVSKR >A04p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21853810:21857614:-1 gene:A04p038400.1_BraROA transcript:A04p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRSSSKSTAPDGGDAENNPYDETSGGFSSLGSQTHHNLPPPPRQQHNPNVVGFGHHLSGEPSTIGHSGASSSSSSLFRHRSSPAGFYDQLLPTEPNEGTGFSLGQPNGGYGGGRGEERGLSRLKSEQRFSGGSSSNYQEHNSLPRISEVHAAAAAINSATSTSMSFGNDSNIWDRSSSQISFTIDEPGKRSKTTDFFTLETQFSMPQTSLEMARMESLMNIPEGSVPCRVRAKRGCATHPRSIAERDRRTRISGKLKKLQELVPNIDNQTSYAEMLDLAAEHIKGLQHQVESLEKGMEGCTCGACKKR >A09g502490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8312788:8313003:-1 gene:A09g502490.1_BraROA transcript:A09g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASLKIKGKGGKGSSSSSSSKYEVFKDWTNWSLKKAKVATHYGFIPLIIILGMKSDPNTHLFQLLSPV >A06p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2257359:2260462:1 gene:A06p006680.1_BraROA transcript:A06p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGEALSVSTSSCSSLYDSEVEELQKMPLEPPKKPKKRLSKQLSMLETKRDIAWERHRRQMLHHLQRKQINEGGDIDLTDEDLSELKGSIELGFGFNEEQGQQLTTTLPALDLYFAVTRQISPVSTPGSSGSSSSRLTSLGDPSSSFGSPISDSSDGLKLLSPGNYFSHVFLYFLNNSLALPRQIRTVSLKFLSEQASRANQRILLREAHLHRSSTENPNQVFFSDLKTGRCSSIVEARLLRFWEAKNVKRGGELMWMDLLMVDVNSTMMQVTISAGRLPQFRDRLLAGAMFSLSGFDVSRCAQNFRLTDSSLMIRFSETTSFQLLTEPDSPLPEEAFRFRNQQELIGLANTNTQLPENDTGFELDVDIIGEILSVKSTVCDPPEEKNRVMVTLKLERSDNFDFPIRLMLVEMNLVLDRYFLYELQRRRHCDLKDGGRIKDISHEKKHMKQFLIKECHRCFDTSPKRADELLRNMARVEIPIIIISNEFNITIHISNINGLSISGFEMQQLVTGVSSVYDYERILHYRTSLWEDQQGILDEIS >A05g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7654681:7660826:1 gene:A05g502430.1_BraROA transcript:A05g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKDKRSSASGGYKRCLKHRSLRNHDFRQQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLVGRSERSLQASLRRSERGVRCERLRGVAPGGRSGLVGHSDFVMSLREVAPMLGSSNGHLFTSFELQITSCGTPQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLVGRSERSLQASLRRSERGVRCERLRGVAPGGRSGLVGHSDFVMSLREVAPMLGSSNGHLFTSFELQITSCGTPVPNRDSCMQNAT >A06p054960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28773054:28775594:-1 gene:A06p054960.1_BraROA transcript:A06p054960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMP6 [Source:Projected from Arabidopsis thaliana (AT5G46090) UniProtKB/Swiss-Prot;Acc:Q9FNL3] MEIKVDEEAGIDDAHRIIEAEKVRRRIEAEKLRRVIQEEKVPLLEDDKILIQTREFPAIERNTWIQKAIGQTFQTTSHLANLLPTGTVLAYQILSPIFTNAGSCSLASRFMTATLVFICGFSCFILSFTDSYKDLNGNSCYGFATFNGFWIIDGSATLPPERARTYKLRFIDFAHAIMSFLVFGAVVMFDQNAVKCFIPVPSAEEAEILTALPVGIGVFCSMLFAIFPTTRHVSSHCYIRLAHLLTQRNNKAPKKKKETELESVMETKVDEEAGVNGAGGAIKEEKAPLLKAQEFPEVERNNWIHKAIGQTFQTTAHLANHLPTGTALAYQVLSPVLTNGGRCDFASRYMTEMLVSICAFTCFILSFTDSYRDLNGTVCYGFATTNGLWIIDGKAVLPKERSKSYRLQFIDFAHAFMTLLVFGAVVMFDHNVVNCFFPKPSAEVAELLTALPVAIGVFCSMMFATFPTTRHGIGFPLSAHC >A09g514210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42790099:42791944:1 gene:A09g514210.1_BraROA transcript:A09g514210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHPIRSIQPATNNPRPDPEHPLWANCKLSKRTQSQPVEVDSSRPHTVCALKISHGIRARFVRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWVLWWRLKHGERRCFWHGGFKEKGQAKKEMLVLKPTAQICPFMMRVALEVQGTSTGVEDVRMWRQREGRLGIDQAVQEAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQAVKAKGNLVQDAKSV >A07g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12822191:12823186:-1 gene:A07g505660.1_BraROA transcript:A07g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIETGAVTFHQDQPPIRAPLTIHHGRAAVVMSPPLLMGFGYIKREISTMKLVRHPCVVRLYEPGKLLLDSQGNLKISDYGLSALPERVLSHKGYNGVVADVWSCGVILYVLSHNS >A07p041440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22386164:22387465:-1 gene:A07p041440.1_BraROA transcript:A07p041440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQGVEDNKGWLGPATPEITSGNGNTGFEFQKGANRTPNHQHRSTIGKPAPSKWDDAQKWLSGVGLARGGGGEKNHHSSRNCKPRNSNADDLRLIASASQREREGEDQYVEYDEEEGAAGRPEVETKNVDCGEPGGSVWRKESIINPTAVIRSVCVRDMGTEMTPIGSQEPSRTGTPVRATTPVGRSPVTSPVRASRGVEAVRTETVVTEGRSVESNNNEKIRYGENNNDNKAMNAMEARAMAWDEAERAKFMARAEEKLANKLAATKRIAEERRANAEAKLNEKAVRTSERADYIRRSGHLPSSFSFKIPSFSLCW >A09p048770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43309484:43310991:-1 gene:A09p048770.1_BraROA transcript:A09p048770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCDGSDDLNLERASNIDHPSIQLKDQSQSCVTSRPDSKIIVESPIMTCSSCGHKMHQQDDQVGSIKDLPSLPAGVKFDPSDKEILMHLEAKVSSDKRKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQVRHFFHRPSKAYTTGTRKRRKVSTDEEGHETRWHKTGKTRPVLSQSGEAGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGHSEDEKDGEPVLSKVFYQTQPRQCGGSTETKPKNLVNLNRFSYENLQGGFSYEHGGKSEDTTQVIRELVVRDGDGSCSFLSFTCDASKGKDSFMKNQ >A02p015150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6763809:6764757:-1 gene:A02p015150.1_BraROA transcript:A02p015150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRINKELRDLQRDPPVSCSAGPVGDDMFHWQATIMGPSDSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >A08p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8126395:8126779:-1 gene:A08p013530.1_BraROA transcript:A08p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKKLNVRALKSCKGIVWLMEQHISQDQARESLIAIISYTSSLEQEPLTTSDVKPVITIRNSEDAEELRSELISISDHDSPDEPISSTPPANSICRG >A08p038760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22395233:22398317:-1 gene:A08p038760.1_BraROA transcript:A08p038760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC31 homolog A [Source:Projected from Arabidopsis thaliana (AT1G18830) UniProtKB/Swiss-Prot;Acc:F4ICD9] MSCIKRVDRSAYVAMAPEAPFMAAGTMAGAVDLSFSSSSNLEIFELDFNSEDHEMKLLGQCSSSERFTRLAWGSYGSGSEESPYGLIAGGLVDGNIGLWNPFSLICSESNESAHVRALEFNVISPNQLASGDDDGTICIWDLANTSEPSHVLKGSGSYTQGEISSVSWNREFKHVLASTSYNGTTVIWDVNNKKVITGFKNSESSDRFKGLISCSVLQWDPDNCNQIMIASDEDSSPSVKLLDIRYPQSPVRTFVGHHRGVIAMEWCPSDSLYLLTCARDNRTICWNTKTGRIVAELPTTNNSNFDVHWSPKIPGVVSASSADGNISIYNLEGCSRNGSGENNLIDAAPLLTAPKWWKRPVSASFGFGGKLVSSNSKLPEASVVFLHNLATEQSLVNRITKFETEIVNGEKTSLMSLCKKKSEETESEEEKETWSLLKIMLEEDGNAKTKLRTHLGFSLPSEDQEHYATYSSENVEEEISDPLFDDAIQRSLIVGDYKEAVAHCLSANKMADALVIAHVGGTTLWVYIALDKYLKMSNAPYMKVVSAMVNNDLMSLVHTRPAKLWKETLALICTFAEGDEWTSLCDALA >A01g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7862838:7864946:-1 gene:A01g502240.1_BraROA transcript:A01g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIEAPSPSSFSEKQSISCSLAVDSTSLALSSALSSTMSSASSSGTSLALTVASTESLEVVNTLSTTSSFAPMDTGLRPPVFVISPSSSPVQTSSMLTFASSMTSSTFSSALVNTISDGLKEPESSIASESNITQVSSLQDDCNPPKFVPILGAWAKPLLFKPPATPSQPSTPREYDPAIVGNQLAALWPCTLPPGGLVHAVTNRIWGRSCKISCKKIGEDSITAKPSSNRNPTTPKVNANHFITTVEFDDPVILDTQVLEDKDIVEPKQQLLVVDQRASANNSYHSDFDQETSNRLSGTLSPSHLQHEEPTNMQIAATILSTLVDSRSTPIDTQIMESSPSNITNNVVLGSSGVGAFTTSPVHCSFESPSHFAVLGDDEDEVEIEPSSQFSLTRGGRESKAPIKYHNMEWKTVRGRGNRGRRGRSSSH >A01p019240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9319794:9320594:1 gene:A01p019240.1_BraROA transcript:A01p019240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLPLPPPPPSQPPPETPPWDTPSSVWYTPRTTPWRTPHSTQSTPLNQKTPAVKFNGLDTEPREDRVILRQPRSSRTNPLIWCVAGLCFVFSLVLIFFGIATLIVFLSVKPRTPEFDIPTASLHTIYFDSPVSFNGDLSMMMNFTNPNKKIEVRFEKINIKLFFFNKLIAVQGVQPFSQRKREIRLEPIRLISSLVYLPVNHAVELSRQVQNNKIEYQIRGTFKVRAHFGLIHYSYWLHGWCRLQMTGPPTGILISRNCTTKRR >A03p064990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28319230:28321329:-1 gene:A03p064990.1_BraROA transcript:A03p064990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18b [Source:Projected from Arabidopsis thaliana (AT4G30510) UniProtKB/Swiss-Prot;Acc:Q8H1Q8] MANQSSPSSSIYCASFNQDNSGFAISSKDSFKIFDSTTGRLCYERAAGAFVIVEMLYSSDLLALVGAGEQASLSPRRLCLFNTTKGSPLKELNFLTSILAVRMNKKRLVVVLVEKTFVYDLNTLAMLDTIDTVPNPKGLCAFSPSLEGCFLAVPASTTKGAVLVYNVMDLQSHSEIDAHRSPLAAIALSSNGMYIATASEQGTLIRVHLVSESTKSYSFRRGTYPSTIYSLSFGPSTQLPDILIATSSSGSVHAFSLMLAINQRSKRSTSFLGSVLPDSVSDALDPAHHHVLQNAVSSGVRSYAVVRKVDKLEGTSSPSQFTSIRATVSVITYSGYFQEYTMSINSKNESLWTLEREFNLYPVISS >SC244g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:123460:124496:-1 gene:SC244g500060.1_BraROA transcript:SC244g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAILIHRSKRIRNRGKLPVNHSIVYAWPTRKDKCQVSADKYGSFEDNYELAQAVRSLVQLYQLNYVRLDPRKGANFGSHSLALEGGELRITLTHGHKTLDRNDVWIYERQTRSTDCSYGQRLRKR >A03p027010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11248630:11249742:1 gene:A03p027010.1_BraROA transcript:A03p027010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKIRKEKAKAPSNHYQGGISFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKEVIAVELDSRMVLELQRRFQGTPYSNRLKVIQGDVLKTELPRFDICVANIPYQISSPLTFKLLFHPTSFRCAVIMYQREFAMRLVAQPGDNLYCRLSVNTQLYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPQPQVNKKEWDGFLRVCFIRKNKTLGSIFRQKSVLLMLEKNFKTLQAVLASLNGSTGEAAGMDLGDQSMGMEDDDNEMDDDDDVEMDEGQGGEFKEKVMNVLKEGGFEEKRSSKLSQQEFLYLLSLFNKSGIHFS >A10p008400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8539685:8541102:1 gene:A10p008400.1_BraROA transcript:A10p008400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RINFLNFCAQEKQQHQKVRVRHMILKPMEDRYPKWDEDEPPADLDKMIVDILNDQLNVKFWEVVPPSKYQKGKTHVSAPSVPDTVDESPFAKRKKEKQTAPEMAESHSDMPINNITIQNFLECVNNLNAKVETMDVSVAEKVSKILEASIHTQMEAKMGLLETELKYEMAILREEINVLKGKDDEKIPSNAGYSKVQDDDDACSNTMSWMVQTKKSSIDGLPIQRVVKEEKKNNKAMSVKEDVKPLKKVKTEKAFSIPELNNESISTGDWENNIRWEKSVKCRQVLEALASGLEPRRRRKQQLTKTQVWPFVGNSTVKRIITGVSKESYDPLSKVDPEKLQKVLDFIKSDLEKDESGFADRSAEFY >A08p004140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2395823:2397187:1 gene:A08p004140.1_BraROA transcript:A08p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFHAFMYPCFAFGHMIPYLHLANILAEKGHHITFLLPKKAQKQLESLSLFPDSIIFHPLTLPPVEGLPVGAETTADLPPNTTGKLISDAMDLLRDQIEAKVRVLKPDLIFFDLVPWVPEMAKEFGVKSVSYQIVSAACVAIALAPGVELGFPQVPQQPGYPSSKVAFRGHDANLYSIFTNSHKRFFSRIITGLKNSDVASIRTCAEIEGKYCSFIERECQRKVLLTGPMFPEPQEKSVKPLEDQWNQWLNRFEPGSVVFCALGSQTILEKDQFQELCLGMELTGLPFLVAVKLPRGSSTVQEALPEGFEERVKGRGIVWGGWVEQPLILSHQSVGCFVNHCGSGSMWESLVSDCQIVFIPQLGDQVLTTKLLSEELEVSVKVHREDSGWFSKESLRDAVKSVMDKDSEIGNLVKRNHMKLKETLVSPGLLSGYADKFVEALEAEVNNTKSS >A02p014130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6191399:6192454:1 gene:A02p014130.1_BraROA transcript:A02p014130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEHNNTAPTPKASLLLMEDNLCKRGSVISRNSSVGVSSRIFYYYHHRGLDGGVPFKWEMQPGTPINPQPEEIVPPLTPPPALLSRSLTKPSFGESNKHSIFPANLKLWKWKNLRHRYFSKWPSQSMLSRDYKNARHGDSSSCGESERVEESEDYRSSSSSSSSSSSSSKDRKLVKASPRQWFSGCPPRNLKSSTGFR >A05p053620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31030825:31036008:1 gene:A05p053620.1_BraROA transcript:A05p053620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 46 [Source:Projected from Arabidopsis thaliana (AT3G04060) UniProtKB/Swiss-Prot;Acc:Q9SQQ6] MISKDPRSSLPPGFRFHPTDEELILHYLRKKVSSLPVPLSVIEDVDIYKSDPWDLPAKAPFGEKEWYFFSPRDRKYPNGVRPNRAAASGYWKATGTDKLIAVPNGGVNENIGIKKALVFYRGKPPKGVKTNWIMHEYRLAETLSPKRVDHSSDSQFNNLGDRSLKSREYSMRLDDWVLCRIYKKLHISLSPPHVATDTSNQEHEENDKEPFIVSETLLPNLENNQTLKRQKSSFSNLLDATDLTNLMSPPLPYKEFPYRYLSCLKFKHTYIEMVEEVGAVVNQGGDHEVVDLPPGFRFHPTDEEIITHYLKEKVFNVQFTSAAIGQADLNKNEPWDLPKIAKMGEKEFYFFCQRDRKYPTGMRTNRATLSGYWKATGKDKEIFRGKGCLVGMKKTLVFYRGRAPKGEKTNWVMHEFRLDGIYSYHNLPKSSRDEWVVCRVFHKNAPPPTTITTTTNQLIRIESLDNIDHLLDFSSLPPLIDPGFMGQPGPSLSGSGQQNNLKPILHHPPTAAIDNTYFPAQTVNHTYHSVPSSGSGLVYGTGSGNNNNGMIKLEHSLVSVSQETGLSSDMNTTATPEICSYTRMVNSAANEAMMDGNKTSYDDDDLGIFWDDYKLI >A09g516980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49861647:49862927:-1 gene:A09g516980.1_BraROA transcript:A09g516980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDVYTEDGTVDIHKNPANKKTTGNWKACRFILVNVCCERFAYYGMSKNLVDYLKSRLNQGNATAANNVTNWFGTCYITPLIGAFLADAYLGRYWTIATFVFIYVFGMTLLTLSASVPGLKPGNCNSDTCHPSSDQTAVFFVALYMIALGIGGIEPCVSSFGADQFDENDEAEKLKKSSFFNWFYFSANVGAFVAAIVISWIQMNIGWGWGFGVPTVAMVIAVMFFFSGSRYYRLRRPQGNPLTRIFQVIVAALRKMSVEVPEDESQLFEIADDASNITGSRKLEHTDNLK >A03p071990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31778521:31780180:-1 gene:A03p071990.1_BraROA transcript:A03p071990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 7 [Source:Projected from Arabidopsis thaliana (AT4G37800) UniProtKB/Swiss-Prot;Acc:Q8LER3] MASIKPIITRFSLISNYKATMVVSLFSARNDFFISLCLFAALYRPVLSKPAKFADDFRITWSDTHITQIDGGRAIQLKLDPSSGCGFASKKQYLFGRVSMKIKLIPGDSAGTVAAFYMNSDTDSVRDELDFEFLGNRSGQPYTVQTNVFAHGKGDREQRVNLWFDPSRDFHEYAISWNHLRIVFYVDNVPIRVYKNNEARKVPYPRFQPMGVYSTLWEADDWATRGGIEKINWSEAPFYAYYKDFDIEGCSVPGPAGCPANPKNWWEGSAYHQLTPVEARSYRWVRVNHMIYDYCTDKSRFPVPPPECSAGI >A02p049850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30895663:30895988:-1 gene:A02p049850.1_BraROA transcript:A02p049850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGSMMLPVSHAPSICTGGASSSHHKKFENSLSTEEKDLVPAMENEVDGEEEKFPSFCRLLVIKMKNKKCD >A09g507590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22127921:22133200:-1 gene:A09g507590.1_BraROA transcript:A09g507590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTCSQSASDRETISKKIPREGKSRTRYEVMTTESEVDEPASTDQEEAASTEQDEAASTEPEFIVTTPTFPERLFARNCYPAKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGSQFRALFHLPPSLPEWKRLPLALIALVDGLLVCGHKLLRVTPAYVEMLEDTGSFLQYPWGREAFVSTLSRLTPPQPSDPSKMDKSLSVMHLRLKQQSTACYGFPLALQIFAFKAIPSLLEKIPEPNKTTSFLQEPEGCDSTNALLNFEDILLVETQREVIVTYSIPDEGGDPKWKKEIIDPRIDNLVRRMREGHEFKATDFRGGDSSLPPLKTAEKAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAGITNRDNGHGDPTGMEVPKKRRPFRGDGNDEAEIFGSDSKKHKKNNGDGFSDEETMRMHDNHCDGRTPNARFWEKVDSMAGEGPSFSKSAKIPEADVFSVDYQLFVLACEVYRNTDLFGQGEGGDGSPISALNLLAEHVEKGTRSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSLKKAELGEKCIPISSTKKDDFPTKRIPRRSTKIGGVYTPDRRLKKLFQSCRKPKYTPLADLEITQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPTNWVSTEVFKKSELNLVYSSYNTDFNRFNVLMVCTYFQHISVLIGMLVRRHGRNYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYSFTGKTRRRNDKKGLLVDVDRVYAPMMWGKDHWVGLVINLTCRQVEILDCNIPHNESDNEVNKHMAYLLRALPHVLAAFSPPSDKMVGADSFYYLGGILRAGKRGYALVHEPSVLRKCNVQPMFAANHYDLRSDAIVGLGADLEWRLISFGAPYMNRYGASFKFPDDEVIKSPSCLYGHDYTVDFEGSCKNCRLFWICCNISHIL >A02g510040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26872601:26875294:1 gene:A02g510040.1_BraROA transcript:A02g510040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGRGKRKDNPTKEEVRKLKFVKTTSDNIEKTTTENVESTGTAKTTEIVDSREKTTDVSTEVTTDVSTEKTTDVSTEKTTDVSAEKTSEDARESTAEITEASDVALETAPATMNKGPAGPSPPAPPATPAIGTEPEEEENEETPSSGDEENQKAGSGEEENDHDDRSDDSSQENEDAEEEQEEADEKEDTEGSGEGNGDREGNGDGDTEGSGEGNGDREGNGDGEGKDNENEGSEKENDREELANVDDNENPPEPGNPTEPEKVDQLDGRLAPLEEFVKEAQGKVVEVEEAESQGKGKRKKTQKSVGKGKKQKTK >A03p055410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23892321:23893873:1 gene:A03p055410.1_BraROA transcript:A03p055410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEETASSVTKNQKRVFSTLALRFCTAIVFSIIGFFFLSFLFGILVILLSELWVSSSSSSASLVSRCKIVSSSVDLRSSKVCKIGLLNIKEQHVFYPFERDKFRCRYDYYWASVFKVEYTDHLMGQTRLAFSEAPNKALPPECRPNFGAALLTKDNFKARFSKLLRSWLSVNGRRKYWRYDVIAGIVSGFSTSIITVFIVSILRHAKSWFPRACCSVRSQLSKVNLLVQVKRACLVVVYFSILGWMVTQYLKILERERERERERELLCNDSYVILSSKRKQCREQL >A03g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1028026:1029537:1 gene:A03g500310.1_BraROA transcript:A03g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNCVDIYKQPALNHPLLQNHKIQMEPSFSVPKSNRFENKMRKNIIGCPNGTVPILKNIKDHAANTQYFAEKYFNPLTVESHGTHFAGIRLQKDGPYYGIAAWISVHDLNISRDQASYANMYVGNRVNNKENFIQVAINPHVCISPNPAKLLYLRYRRYGGLDKFIKLKLRYVPKSTRFVAQWQPKWQWMDGWMDGFSFKKKKWQWMVLSLAP >A05p049110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28625331:28626733:1 gene:A05p049110.1_BraROA transcript:A05p049110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQNLIERCLQLYMNQKEVVETLLEQAKIEPGFTELVWQKLEEENREFFNAYYLRLMVKHQIMEFNKLLEQQVRHMQQMHPSGLTSVQNTNGSHIQSMNQKQLGYVSEHTDHQSLKPNAHHPMPSSLSNAYLNGSSTLNTNVSPSVNVSTHARRVDASPNMISSQQTTNMPPMMQGMNGGGGGMIKSETAYPASFMYGGERNNALEGHSTVRETSIPSFSNESNNNQPIGDTLLDAEASTFGFLGSIPRNFSLSDLTADFSQSSEILESYDKSPFLAPDAENFLDSCDRGEYQGDNKRLDTISEGFSYDNLGSE >A06g509490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27365823:27370177:1 gene:A06g509490.1_BraROA transcript:A06g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKELSSQMVPEWQQAYMSYDYLKNLLKEIIKLKHKTNPPPPPHQAVSSEGLSRKMTLYRAFSGLVQTPGKKRQSSGQTNPSLKTDIEEGYAPILVNKGGHGLETTFLMTAEEGGEYELVFFRRLDDEFNRVEKFYKEKVEEVVNDAVMLNKQMDALIAFRVKVENPVGWGWEERTVEMTRLASDVATSTAAIAASTPARTGNMKPRAQSRLEAIHEGGSSRADQSEEDENHGDSRRSSLRKMAAGRPAPIEVLDHIKINNTKETPRSTIKGVLHSSSNEEIIFNRHNLREVEEKLKVAFVEFYQKLRLLKSYSFLNVLAFSKILKKYDKITSRKASKPYMKMVDNSYLGSSDELMKLIQRVEATFIKHFANGNRRTGMNILRPQMKRERHRLTFSTGFSAGCVFSLMVALVSIIRARNIFQKDGYEGYMQTMFPLYSLFGFIVLHMTMYAIDIYYWKRYRVNYAFIFGFKQGTELGYRQVLFVGFSIGAFALLSVLGNLDMQANPRTKSFQALTELLPLFLLGAMFTVLILPLNILYRSSRFFFLTCLFHCLAAPLYKTSNKGKTHAKTGKNTKFSYTFAVIPYLSRLLQCMRRMFEERSLEQGYNGVKYLLTIIAVCLRTAYVFETNKNHKFILKVLAGSASVLAAVFCTYWDFVHDWGLLNRTSKNRWLRDKLLVPHKRVYFIAMILNVVLRFAWLQTVLDFEFKFLHTQTVLAVVACLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFSYDEDDEKDD >A03p036390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15246461:15248167:-1 gene:A03p036390.1_BraROA transcript:A03p036390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSQTATATMSEKPLETKVNDEAKLMEKEIVLSETVDVVKDKPVSDSNLTIEEEKTVQTPAGVPEKEIPADVEEAAEVVKADESNDKGKDENGEEEVAEQVGLEEPTLVKEVVAVVNVQADDVEKAEEGKGKDENGEEKVAEQMELKEPTLVKESVDGEKAEEKQAKNEAGGKQVEPIDVQLVKEVSVETVDVEVLEVEPKPETSEKAEAQPEKAKELAPEVEVVKTPETTEEAKVELEDSIVVETKDSGINSKDEHTSESGTALLPEETVPINQDLDTASKKETEGDASSPPDVTEKTTTEEKQVVEEPSKDEKEKVSEEAKATEDENIKKDTETPAADVQSEETLKETEGTKQEESITEKVPEVAETATVAKEIEEPKPQPEVTTKEEVVKPKHSNSIMSKVKQSLVKAKKAIIGKSPSSKTITTEETKEEIIAK >A02p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12323482:12324488:-1 gene:A02p025250.1_BraROA transcript:A02p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQILVLRNCFSRNNYIHKTLTRRRDVPHEAGFEEDIYEREMDFIGENELFH >A02p027500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13334427:13335201:-1 gene:A02p027500.1_BraROA transcript:A02p027500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKSKVTLHGMWASSYSKRVEIALKLKGILYEYVEEDLSNKTESLVRLNPVHKKVPLLVHDGKPVAESQVILEYIDETWNNSPRLLPEDSYERAQVRFWVSYINQQVIEVMGKVLFQEGEAQEKAIEEARERFKVLEEGLKKHFPNKTIRENDDVGLLDIIIISTFGSHKVYHDVFGVGVIDQVNTPTLYNWIESLKELAVMKEAEVPSDRLVPFLQMYRQMHLQQAANA >A09p071930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55341943:55351504:-1 gene:A09p071930.1_BraROA transcript:A09p071930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7M, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21380) UniProtKB/Swiss-Prot;Acc:Q9SJU0] MASSSSRTRSRSPFSHRRPPSPYSSASSTCSSHMNNRLLPRSSSTSASTVYNSAAVSGSRSMATSRTVSDPGLLGGSGNYKPPSPVPYSSDGVISEPMSTATSDRHSISVTVRFRPMSEREYQRGDEIAWYPDAEKMVRNEYNPLTAYAFDKVFGPQATTVEVYDVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQDFPGIIPLAIKDVFSIIQETTGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDSQGTYVEGIKEEVVLSPGHALSFIEAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDQYDGNLIDLAGSESSKTETTGLRRKEGSFINKSLLTLGTVIGKLTEGKTTHVPFRDSKLTRLLQSSLSGHGHVSLICTVTPASSSTEETHNTLKFASRAKRIEINASRNKIIDEKSLIKKYQKEISTLRVELEQLRKGVLVGVSHEELLSLRQQLQEGQVKMQSRLEEEEEAKAALMSRIQKLTKLILVSTKSSIPGYIGDVPSHQRSISAGKDDKLESLLLDGDNLASPSSTLSLVSDASSGLKHRRSSSKLKDENSPVGFGAELSQGGMTPDEMDLLVEQVKMLAGEIAFSKSTLKRLVDQSVNDPENSKPQIQSLEREIQEKQTQMRSLEQRITEGGEASIANASLVEMQQKVMRLMTQCNEKNFELEIISADNRILQEQLEKKCTENNELHEKVRLLEQRQSSQKPSPSCSGNAVSEEYVDELKKKVQTQEIENEKLKLEHVQTVEETSGLRVQNQKLAEEASYAKELASAAAIELKNLADEVTKLSLQNAKLEKELVAARDLAAAAQTRNNNSMNSVANRNGTRPGRKARITDSWNLNQEDLKMELQARKQREAVLEATLAEKQCLEEEYRKKAEEAKRREEALENDLANMWVLVAKLKKASGGVLSGPKSHEPPITESTMEDEATDLENESQNNGILKERQVLIAPEEVIVAKAEETPKEEPLVARLKARMQEMKEKEMKSQAAAAANADANSHVCKVCFESPTATILLPCRHFCLCKCCSLACSECPICRTNISDPAERAFTSLQWRQYPLFNAYPLAILIIINARRVARVGDWVLLGRHLICAVKGGAEEGAFKKTVELDRMIDALKDANPRELEKLVVENILAFDEVFWIRLATRSDTCTSDDDKASKDYEELAATVMTIVDCVVNKTREKIETATDVLKGILRPVVEGVEEISWPPRDPQAINQMEKEIIQREKEGQLDEGFLSEVSAQLRQAKEDKDKPGLAAMLQKVLQLYAATILSKRSYAKKGNDVLKAEQFLETLIKAPEEQWNKLFLEGLTIGKGDVTPDELSAVIKKRVERTLIRTEGGSYQQRILIEYLKGIESRANDIMKSLQG >A09p014740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9508339:9511916:-1 gene:A09p014740.1_BraROA transcript:A09p014740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid beta-fructofuranosidase 3, vacuolar [Source:Projected from Arabidopsis thaliana (AT1G62660) UniProtKB/Swiss-Prot;Acc:Q43348] MASSESLLPVSSQQDPLSDSRCDSIPESRRRRPIKVHLAIYSGFLLIALYVTLIITHGGSAPKNDVNDDTTVESRARLAGVSEKSNDHIWNLSNDLKGEAFAWNNTVLSWQRTAFHFQPEKNWMNACPGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSKDLIHWLYLPFAMVPDQWYDANGVWTGSATFLDDGSIVMLYTGSTDKFVQVQNLAYPEDPKDPLLLKWTKFSGNPVLVPPPGIGAKDFRDPTTAWKTSDGKWRITIGSKINRTGISLIYDTTDFKTYEKHETLLHQVPNTGMWECVDFYPVSKTKDKGLDTSVNGLDVKHIIKASMDDTRIDHYAIGTYYDSNATWVPDNPSIDVGISTGLRYDYGKFYASKTFYDQHKGRRILWGWIGESDSEAADVQKGWSSVQGIPRTVALDTKTGKNLVQWPVKEIESLRLGRKKFHMKVEPGTVVPVDVGPAAQLDIEAEFKIKKDDLEVVFGDDSVEDDKEFSCETSGGATVRGALGPFGFSVLADQSLSEQTQVYFYVTKGKDSKLKTFFCTDTSRSTMANDVVKPVYGSFVPVLKGEKLTMRILVDHSIIEGFGQGGRTCITSRVYPTKAIYGATRLFLFNNAIDATITASFKVWQMNSAFIHPFSANDLGVPSST >A01p016000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7902593:7903160:1 gene:A01p016000.1_BraROA transcript:A01p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSNHGGIKLLLAAEHEAQQIVNAARTAKMARLKQAKEEAETEVAEHKDSTEHGFQRKLEETSGDSGANVKRLEQETDAKIEQLKNEASRISRDVVDMLLKHVTTVKN >A07g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6253315:6254471:-1 gene:A07g503120.1_BraROA transcript:A07g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTFSVATWRPSCVRARSLRSDRAWLELSRYIATDPCACSVAMSFLRFLGEPILPFRNVFGKRVLKEKSRAHFIALPVAKSRSKVFNYLKNCGVCLGVSHKRSGGWDIVLIKRRRILVFQRSQISANTTRQAIITRDHNRAKRNLIPNLRISVYNKVLAWVRELSARFLVLKTLRRLNLIESHLEISKTESCLIALSAKFAVKKSSLCLSPSTPYIFATRSVYAFSLMSISRCSIKMEIFHFRDLRNYLPKLRIYPRKFDIYLSLRTKRKPSYG >A05p045290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:27105156:27107012:1 gene:A05p045290.1_BraROA transcript:A05p045290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:Projected from Arabidopsis thaliana (AT3G14090) UniProtKB/TrEMBL;Acc:Q9LJH9] MESPENSVGFDSDGSLETAERIILRWDSTASEEARGKMIFQSDRDEVDRFLRAVDEIQRSVSSLSFSSPPSFSSAATDDQEVKANSALQIAMARLEEEFRNILLSQTSVFEPDSLFLEEESSVSCEDSTDAPPEEEQEGSDSNSGSSRLTRRRSSYRSTSSIREMDLISPEAVSDLRSIVQRMVAAGYSRECIQVYGNVRKSAMDTILKQLGIVKISIGDVQKLEWEAVEGKIRKWIRAAKVCVRIVFSSEKRLCSDLFDEPMDATCFMETVKTSALRLFTFPEAISISRRSPEKLFKILDLHDAMGDMMPDIEAIFDSDDDSSSSRAVYLQAGEIQTRLAEAARGILSEFENAVLREPSVVPVPGGTIHPLTRYVMNYISLISDYKQTLNNLIVSDPSTGSDPNPNAPVIDFTELEGKSPLALHLIWLIMVLHFNLEEKSHHYRDASLAHIFIMNNIHYIVQKVKGSPELREMIGDHYLRKLTGIFRHAATNYQRSTWVRVLNSLRDEGLHVSGSFSSGVSRSALRERFKAFNAMLEDVHRTQSTWSVPDAQLREELRISLSEHLIPAYRSFLGRFRGNIESGRHPENYLKYSVEDIDRIVLDFFEGYAHPPNLRRR >A08g506600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11356103:11357923:1 gene:A08g506600.1_BraROA transcript:A08g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKVTVFSGVSGDLRPWIAYMEFGFSLFKNLELDKLSWAKLFMDGTAKEYVKRLERISPFKSWHEMKYALLLVFGEKDDPDKVRLQIESEQKMKRWMDDYDRKKKPWRKSETIQDDAKMKLTIHHNVNSLDMSGSAVEVNLGEDAGSNENSVDEMEVEQETNSLLMEDSEDKIVTENTEIVIKKGSLSVFDHIADFGSEVGSTDLVALSDSFTQYEPQKPNPSGTEATEEKIVDKIVEMSQTQTDMVQQMTLSSVDGLSVSPSGRLVNSCSSPELMLKQDSFPIAVQESSSETVQAEEPNKEIVLPPVVEVTVRLDDCLGWSSSSPEILLKKDSFGEIDHEIELQDSADNLVLNDLSVENELQVSNSSSMEELEEKSGVQESSLRHPSCFLDPVLSMKFKATAERPHCWSEFLKPAVEHAYDAYYIAQTEAMLQMNQQWTDVSRQGRGNQQKCRKTWKFKLKKRKFSQRVPGQRFKFTEKKFKLMSRVDIMGEETMNTRWMKVAGVWFGIWRTLINEAAIRNVKWNCCLYLLVVAFNNKNLQEGDNAVYRSRLRHTHTSRVICKMRLIQPVKELKQFALKDGKFQVKHKWRFKSASVWSNGVFS >A03p066390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29334779:29338211:1 gene:A03p066390.1_BraROA transcript:A03p066390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKLNGGDTVWPHDPRTGWSYCVTIPSWALLPKSKYSDPVVYLCNILEEQFYRVQISVQSPEGVTTMRRVLRRFNDFLKLLTDLKKAFPRKRFPSAPPKGLLRMKSSAVLEERRCSLEEWITKLLSDIELARSVVVASFLELEAAARSACQDVDQDAADANNDSTSSSPMVHPSLSLFQTGGSSSLTSDYGSDTVYETSELGSPSVGHDDISEIGTEDLNLDEEVTNPIEKLVNFSMSNIDEGLSMSQTILEQLEDFPKCKVRSRYVNSIQGKDVYNGNASKDVFLGNIGSRLLSELESSAHSAMHNRNLSVESADGFSLHTGETSTSGLLSSSSESHLDLHRGAGVSHGTGLVCNPERQGSAQIVLPVDLRKKLNRILLTTNERLVNAKTDMEDVIARLNQEIAVKDYLNKKVNDLEGELETTKQRSKDNLEQAILTERERCTQIQWDMEELRQKSYEMEMKLKAREDGSSHEEPTEEPTISERDVLSKELDARKQQLGDLSRRYNELEAKAKADIKVLVKEVKSLRRSHVELEKKLTQSLTDKTEAEKLLEHERKLLENTVSARKKLLSDCRILHDRLKEYNLNLSTGGNGSLVEDSTTVSDALRLLSISDDQIEEAQLLAGFDETAQGIDKSLSIHTETRIMEDELRKTLGEIFVENTKLRKQVNSAMLRALQQDVKTTKEDMNEKEEASTETLNVV >A04p025260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15303505:15311902:1 gene:A04p025260.1_BraROA transcript:A04p025260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSQFSSLPKDIVWQVLARVPKRSYPLLACASKNFRLLVRSPEIHKIRSLLRKDSLYICFMDKTNRPQTPHWFTLRRAENNPLENEYVSVDLAFPDHDESNASIIAYGPEIFFICGAHVHSSNLWVFDSRSGQFRRGPSMNVTRMYKSVGLVGSKIYVVGGNMAGDIQAESFDLKTEAWEPAPSLEEEMTWLSAATVSLDRKVCALMLVGACAVCYDTRDGSCTEFKIKIEEWWKTGACVIDNVLYVYYGRPGLMWFDTKMRVWRVVTGLGDLKKVRSVGMVEYYGKLAVLWKEHSGGATKEIWCRMISLERSEDGISGTAEESSQLLGSVPRCFRLHRVLSPPRKINKPMSPSHFSSLPNDIAWEVLARVPKCKYPLLACLSKNFTYLVRSPEIHKIRSLLRKDSLYISFRNKNYRAQNPRWYTLRRAENNPSENQFVVSVNPALPNHYHRMPSIVAHGPEIFFICGPFVQSPSFWVFDSRTDVLRRGPTMNANRTYKSVGVVGRKLYVVGGVRSNENVAESFDLATETWEPAPVPKEEKTWLASVTVSLDRKVCALMLVGAYTVCYDPWDGSCDSFALPEDKWWKTGVCVMENVLYVYYARFGLMWYDTELRLWRVVNGLDHVKKVRSVGMAEYYGKVALLWKEHGGCGKEIWCRMIAMGKCEEGVKGTAESAQLLGSVPDGYRMDHCLSFPSYYYMCYDVFKNIYLMPKSSLSLVSDTCNQAAAAIADSVTRDHRFQSYVAAGGPPTAKDQQTDVAVTLFLTA >A07p006670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1552645:1555771:-1 gene:A07p006670.1_BraROA transcript:A07p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDKQTHDEKHSSEEESIRREDPPTPENTGGWGWGFSVLSDLQKAAEDLSRNAAAVAEKAAKSIADMQEADEDSESSAKEEEITDTEQDSDDESLKLKKLALGKLEDASEESLLSQAKCFLLLLIAQEAGLKVFDDSVESFTSGAWLALGNALKGGTSLVQKLEDSVQQGSSPREAGSGAPSLLETGKALTAKGMQVLEFVGKETMDLLITETGLGNEKNRDQMIEEVTFDRCFYIYGGPEQLEELEALSSHYTLLFNRRKGKLSQDEKVLFDGKLKQIQQLFSFADEMSGRKAESDKGKKIDINTEGNDDDMKNLYNSSVSKAADMAAGFTNALAGLNINDMIQRTGGRLESLHSEGVHGLSEMCCFAVTHLLILGKSIISHANKVQDEDAETLKIDWPEDPTEKARLIRGKAELMAGYVEAVSNSFITGISDVSETFSAAIKGAAADDSKDELLKTSTMEEKASTFNNSLRSDQTTAITKIQEGLQYLSYVVITTSMPSA >A09g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25216949:25218290:-1 gene:A09g509070.1_BraROA transcript:A09g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSRTCRYVHGVDATYRIREMEKVKPEPPRSSPPFINTAPCWRRALVQPRDVTYNTGGVENVIRFIIEIHGGTLVFLAIKSSDPFRVLIIGKGEGYSVKSRAKTFVLAAHCMEIAGHMDVYASGALYEGLRGWALVLAAHRVVYLYPYEENAGCSYAYIVVVLCTESVVCGLASHTSLGDSPVAHPSFFPLQVSLPSSWISGRTPFSREEETGVTITQF >A07g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7239833:7240675:1 gene:A07g503720.1_BraROA transcript:A07g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMLLLFGGELRLRHQSSYAYLFWKSSQAESTSATNESVLKVPLDHGKQAAGVIKPHDREMVCQKVGDASMVKIIKVDD >SC160g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:1549:3133:1 gene:SC160g500010.1_BraROA transcript:SC160g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A03p026460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11073853:11075184:1 gene:A03p026460.1_BraROA transcript:A03p026460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLRSSRSLVKPLLESRRLGGGYCTSSSSEKIVASVLFERLRVVIPKPDPAVYAFQEFKFNWQQQFRRRYPDEFLDIAKNRAKGEYQMDYVPAPRITEADKTNDMKSLYRALDKKLYLLIFGKPFGATSDKPVWHFPEKVYDSEPTLRKCAESALKSVLGDLTHTYFVGNAPMAHMAIQPTEETPDLPSYKRFFFKCSVVAASKYNISNCEDFVWVTKDELLEFLPEQAEFFNKMIIS >A05g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10676884:10677391:1 gene:A05g503750.1_BraROA transcript:A05g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELLKTYYAERNSSTLYIKGVQFFFSFSLKEEGLSLMKRAADAANECIMYIHAITRATFWCDGQYFAGIPRESVDRIEKLGDLCQCANLVERQCRCLWHIDVAKDDNMCDHCFWIKEMGMFLRDFEPISLFRDTKKW >A09p043560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35499577:35501436:1 gene:A09p043560.1_BraROA transcript:A09p043560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITKEDSEICKKEIRSNVFEIGGHQWYILFYPQGCEICNHLSVFLCAANHQKLLPDTLHKFYKREHDWGWKNFIELPTLLDGFIDDSGSLIIKAQVQVIRKSVNGPFPCLDSQYRKELLRVYFKVVKQIFLRFMKEKRNKLMEDKTRWTSLCAFWLGMDQKPRRAMSREKMDVIQKLVVKHFFINKNVTSPLVMDFLFKGLNSLVLDTNKEKIILTISKQIVRLLKDDSDASENTLITLEDEPKKEIAFAAKELAVPIVSVDNDMFVLADDAMLLLEKAVLEPFPDERVPPNRMEVDYDEESDDEKLLTEYARHTLEVFVLDHIFCNKIEIAYKEASELKMHEELIR >A10p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13411144:13415990:1 gene:A10p019590.1_BraROA transcript:A10p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVNGGAACAVPGSSSSSNPLGALTNALLGSSSKTQERLKEIPNATRSGPGPQFYSQDQHLSSLPGSELDQPVLQPGAQGAEFFRGFSSVDQNGLGAAWDEVQRGGPMPPMGPLYEPVHPTFEGPPQRVLSNFLHSFVESSRGGIPFRPPPVPVLGLSQSDKQCIRDRSSIMARHFFADRGEEFINSQSTSAAPCMFKPFKFPICLSLVNALLSSLEIDDGIQARGHIPGRFRELDNFWNESQAVTKPGLHPADGWAAEFNQHGMDHGGPDGWVQSFEQQHGVNGWATEFEQGQSQLMSNQMRSMDMQNLAAMEQTRKLAHTLSQDGNPKFQNSKFLQFVSKMSRGELIIDENQVKPGSAPGEWATEYEQQYLGPPSWADQFANEKVSFISQLKHLMIGLAIVVDLLHFLSTFFSEMLSHGPEQWADEFASGRGQQESAEDQWVNEFSKLNVDDWVDEFAEGPLGESSADAWANAYDEFLTERNAEKQASGVYVFSDMNPYVGHPDPMKEGQELFRKGLLSEAALALEAEVMKNPENAEGWRLLGVTHAENDDDQQAIAAMMRAHEADPSNLEVLLALGVSHTNGQVGASNCFEIFIRMAAEGYGAIAPPELADSLYHADIARLFTEASQMNPEDADALDLKPNYVRAWANMGISYANQGLYQESIPYYVRALSMNPKADNAWQYLRISLSCAQGRIYLHRGWGTCPILTL >A08g500200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:366423:366668:1 gene:A08g500200.1_BraROA transcript:A08g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFSRKTETTKVPNSDVKRVVPSRPGPDRCGFFLLRVKAGQARAGCGLQKVGPSPPRRNAQAFSGRPAGCEPSGAPIDF >A02g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21089924:21094194:-1 gene:A02g507600.1_BraROA transcript:A02g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRCFWIKELGLFFREFEPMSALVVERVARNSFQDLYGLKASSKSMKALAERRGVYYFHDVLSVPWELNMHSQLLKSCYAEGNPSKLYIKGVQFYFTFGRHEEGITLTKRAADAGYKRAVYTRAITQAIFLCDAQYFHGIPREWVQRMGCQCATHVWRQCLCLWHLDTTKDDNMCECCFWIKEFGLFFRDFEPISVIRDTRKW >A05p020170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9472647:9474150:1 gene:A05p020170.1_BraROA transcript:A05p020170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVSERIDRLLSDEASTSDDIPLDLCFSEDGRSGTFVIGNEEFRASLLDLPAVVESFKTYDDSALVKTADIGQMIMVREPGDPTPNTVEYRHGLTPPMKDARKRRFRREPDLNPELVQRVERDLLNILSGGTIGHANEQQEETAANENTSDNNANKKVSSPAEKPEAAPETGTNTSNNNNNATEADPERSESEDSDDSM >A02p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10216770:10217969:1 gene:A02p021720.1_BraROA transcript:A02p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPWKWMLSCTQLMIGIFIRVTDGERLTLAVWFSQDSSHDEDSNLVSRRSQCTYHLNFVSINVPASANMY >A01p027560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18825349:18827429:-1 gene:A01p027560.1_BraROA transcript:A01p027560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRIQTTSSSSNPSSPLTSPRSPSSSSWIHLRSALFVVASSSPSSSSSDRARLKSPWSHKKRKRPLRAKQWKRFFTSDGRLRNGGVDLLRKVRRRGIEPSIRFEVWPFLLGVYSFNSSKAERVTIRTWRRKEYERLRRECKRLQKHNNGTLKLNTMQDEYDWPQAQDTDSLCSDEVVSARESLSSDEDLGYMSGVSCTVERANSSSRRITNATISTLNSDSSDSDSSDESEVVQVFQSSATPDVNPASSSIPRTEEDFVTWQRIIRLDAVRANSEWTPCSPSQAAISEDRACRAAEAVGLKDYHHLEPYKIFQAARLVAVLEAYALYDSDIGYCQGMSDLLSPILSVIPDDHEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKSKDSQLYRHLEKLQAEDCFFVYRMVVVMFRRELTLEQTFCLWEVMWADQAAIRAGMGKSAWSRIRQRAPPTDDLVLYAIAASVLQRRKLIIEKYNSMDEILRECHSMAGQLDVWKLLDDAHDLVAELACEYSESLLNEVKLEIGREW >A07g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12551757:12556105:1 gene:A07g505580.1_BraROA transcript:A07g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSPMPCPSTVTVRRNPPRKARATPYTTAAKPPPSSNSHDVPSFPIDEILSIQIPLPEPPKPSASESLKIFLRIKPLRVYSKVTAAAKSRPRNVWPQNPSKKQNVAKESRSKKSEEACIALNDSYSVTLTPPQALQELKRSKTEVFEGFSHVFPADCSQSDVYGKMVQPLLEDFLNGKSGMLAALGPSGSGKTHTVFGTPKDPGVVPLTLRQIFKKRDETSSPVSLRSFYLSIFEICSERGKGEKAYDLLGDGSSELSVQQSTIRGLKEVPIRNLEEAESLIGQAMLKRATATTNSNSQSSRSQCIINIRAASDGVSNETKVQSTDAMLTIVDLAGAEREKRTGNQGERLVESNFINNTSMVFGQCLRSLLEYQKNRKKGFQKHHQNSLLTRYLRDYLEGKKRMALIITVKAGEEDYLDTSYLLKQASPYMKIKFDNIEEVCNKRQLKTFPRVEKNKKMKLSAPKTSQIAEPVAGEKNQITQEVNLEGKKAHQTDKSSPKLEDNNEREHIIMRNFAKVVWNVLKQYNEKLKVAEGDICSLKERLKNEQLKSRELETELTSLKSSCLFSKSNLAEPCLHEVEALVHAKEQLEIDTSLANADTNVDDDDPCDLIESRSEVTAEGCSESPVPHKSLVPGLGNEEISCGEQECAKEDDSCNLIDSTSEVTAEEYNESPVPNKNVVPDLANEQECDNQIDPTPSPEQAEVSLINNRLSNIQTKSAVSCRFPDSEKQERLKRLLPASSRLLAEDMNDLEIKDKQIEKPQVKLAETRDQEKTESTKSREIEIPAREAEPASTKKQRNEQKKPRRRLQPASSVLLTREINTLEIEDDVAEPKGTRGGKKTIVTQPRSQGSVTLLRLLTSNLHL >A09p054900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47112180:47113575:1 gene:A09p054900.1_BraROA transcript:A09p054900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQAKLTRTQSSLLRSSSTVRSSFLSLNGDLTEVPHQRQDLEAGEKEEKQRRKPPKPFGSSPRTGINRINPGLAFTMVSLSFLSLSSFFFFVVFSQTEELLTSENLLLALIFVAVALFFASKNIARLNRTVHAVKQICDETTKSLGFQRKNRSKPVQWYIGDSDTKPEKKTKRLVKEGVQFYSNGDFYEGEFHKGKCNGSGVYYYFVRGRYEGDWVDGRYDGHGIESWARGSRYKGQYRQGLRHGYGVYRFYTGDCYAGEWLNGQSHGFGVQSCADGSSYVGESRFGVKHGLGSYHFRNGDCYAGEYFGDKIHGFGVYRFANGHCYEGAWHEGRKQGYGAYSFRSGDAKSGEWDSGSLVTSLHPTSEPVCRAVQAARETAKKAVNRKRVDEQVSRAVAAANKAATAARVAAVKAVQNQMDGKFCQS >A03p043450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18171699:18174347:-1 gene:A03p043450.1_BraROA transcript:A03p043450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFTPENQSVPSPAPATKHGDSTKHVPELGPQAKPKRNKYAFACAILASMTSILLGYDIGVMSGAMIYIKRDLKISDLQIGILAGILNIYSLLGSCAAGRTSDWIGRRYTIVLAGAIFFAGALLMGFAPNYAFLMFGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGIMLGYVSNLAFSKFPLKLGWRFMLGIGAIPSVVLAIGVLAMPESPRWLVMQGRLGEAKRVLDKTSDSPAEASLRLEDIKEAAGIPADCHDDVVQVSRRNSHGEGVWKELLLRPTPAVRRVMIAAIGIHFFQQASGIDAVVLFSPRIFKTAGLETDHEQLLATVAVGIVKTSFILVATFLLDRVGRRPLLLTSVGGMVISLAVLGTSLTVIDQSDKKVMWAVVLSIATVMTYVATFSIGAGPITWVYSSEIFPLRLRSQGSSMGVVVNRVTSGVISMTFLPMSKGMTTGGAFYLFGGIATVAWVFFYTFLPETQGRMLEDMDELFSGFRWRDSKSNNKNSSSNPEVEIGLNKQ >A01p000450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:160961:161350:-1 gene:A01p000450.1_BraROA transcript:A01p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Egg cell-secreted protein 1.4 [Source:Projected from Arabidopsis thaliana (AT4G39340) UniProtKB/Swiss-Prot;Acc:Q9T039] MASNTTFLFATVALLGVILLQNTTVAGRDLPTTEATNIAARLQSGGLMECWNALYELKSCTNEIVLFFLNGETKLGVSCCEAVDVITTSCWPAMLTSLGFTSEEANVLRGFCHDPNTSGSSPAASPNKA >A08p027590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17417704:17419009:-1 gene:A08p027590.1_BraROA transcript:A08p027590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGSASVATGTTTAKRKPVFVKVEQLKPGTTGHTLTVKVVDANPVVPVTRKTRPGASMGRPSQPSRIAECLIGDETGCILFTARNDQVDLMKPGETVILRNSRIDMYKGTMRLGVDKWGRIEATEPASFTVKEDNNLSLVEYELINVKDQ >A01p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18441985:18445525:-1 gene:A01p028240.1_BraROA transcript:A01p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSASFTFSHFLALYFLLTLTHVAQASHQWQSPIKTVVVLVMENRSFDHLLGWMKKSVNPSIDGVTGEECNPVPNSTQTICFTSDAEFVDPDPGHSFEAVERQVFGSGQGQIPSMMGFVEQALSMPGNLSETVMKGFRPEAVPVYAELVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAQGYPQKTIFDSLHSNDIDFGIYFQNIPTTLFYRNLRQLKYIFKFHQYDLKFQRDAAKGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYETLRSSPQWNETLLVITYDEHGGFYDHVKTPFVGIPNPDGNTGPAPGFFKFDRLGVRVPTIMVSPWIQKGTVVSEAKGPTESSEFEHSSIPATIKKLFNLSSNYLTHRDAWAATFEDVVSHLTSPRTDCPMTLPDVAPMRTTEPNENAALSEFQGEVVQLAAVLNGDHFLNSFPDEVGKKMNVKQAHEYVKGATSRFIRASKEAMKLGADKSAIVDMRSSLTTRPRNL >A07p018800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11250699:11257625:1 gene:A07p018800.1_BraROA transcript:A07p018800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEEENMLTLESPSEVYYRAYRDYWHKVNESDGFDLENISTPPYYMFKGLLPFDCVSCGYPYPVMVNLYAKVGLHRYNMLKGTNFQLDSLVKFNMLPNCVSSFYITLLAHDPALDPLDKTFQWQMVSSKGELPDWPSDDALKDEKRFYLLKESEWQTNDWISMYLELRDVLSQLEILEVAIETGIEEMEPPNERLEAKSANVYIKFKGMANVDRLARSVRKQPSFVVHMDQDLSVWFTESI >A02p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:896062:897604:-1 gene:A02p002160.1_BraROA transcript:A02p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDKRWSLAGKTALVTGGTRGIGRAVVEELARFGATVHTCSRSQEELKSCLDDWKSNGLVITGSVCDASVRDQRENLIQEVSSVFSGKINILVNNVGTNLRKPTVEYTSEDYAKIMSTNLESAFHFSQIAHPLLKASGVGSIVFISSVAGLVHLSSGSVYGATKGEPVFFIDSEKPLIKEVEGALNQLTRNLACEWAGDNIRTNCVAPWYIKTSLVKPLLEKKGFEEAIVSRTPLGRVGEPEEVSSLVAFLCLPAASYITGQVISVDGGFTVNGFSYTM >A09p055330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47305969:47308876:1 gene:A09p055330.1_BraROA transcript:A09p055330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSVSISCDQVVNQISQCLSVNGSYIYNLSENLAALHKEMEVLKAKRDDVQARISREEFTGRRQMLAQVQVWLKNVLDIENQFNDLLRTSTIELQRLCCCGLCSKNVKMSYCYGKRVNRLLKVAKRTSSQGELDVVTEEVHVTEVEEIPIQPTIVGHETLLERVWNRLMDDGVGVLGLYGMGGVGKTTLLARINNKFTKTRGSFVVIWVVVSKNLDILRIQEDIAKKLGFWNEEWDKKNENRRALDIHNVLKRRKFVLFLDDIWAKVNLPTIGVIRFRDVCGRMEVDELMEVSCLGPDKAWELFQKKVGESTLKIHADIPDLARQVSGKCMKDEILPILKYSYDSLNGEGFIDESQSRERAINQVYEILGTLVRACLLVEGEMNNISYVTMHDVVRDMALWIVQAGVDLRNMPDVKNWKAVRKMSLMRNDIERIYGSPECTQLTTLFLQKNQSLVHISHGFFIYVPMLVVLDLSGNVHLSELPLFQLVSLRYLDLSRTSLEQFHVGLQELGKLIHLNLESTRKLESISGILNLSSLRPLGLQGSSKTLDMSLLKELQLLEYLEKLTIEVSSGIVLEKLLSSHMLVKCIQKVGINNLGESTKVLTLQTTCDLRRLNLSGCRMGEIQIESKTLSPNNTGFTTPYFTNLSRIDISICYLLKDLTWLVFAPNLVDLRVTSSHQLEEIISKEKAASVPFQNLRSLYLSHSPMLKSICWSPLSFPCLSKISIEGCLMLRKIPLDSNSVVRFDVFSIEHREEEWIKEVEWEDEATQLRFLPFCKLDPGLTVKPNKSNTHTQSINSVEGSNQ >A02p029980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15203878:15207003:1 gene:A02p029980.1_BraROA transcript:A02p029980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:Projected from Arabidopsis thaliana (AT1G77740) UniProtKB/TrEMBL;Acc:A0A178W978] MCEPLVSEPKVSNGVVPEATQVILSTRNGVVVPKNVLEGDEAERRDDLLLLTLTPMVRSKSQGATQRVTPTPPPPDVEKPLPNGDLYTGTFSGGFPNGSGKYLWNDGCMYEGEWKRGKASGKGKFSWPSGATYEGEFKSGRMEGFGTFVGADGDIYRGHWIADRKHGHGEKRYVNGDLYEGTWRRNVQDGKGRYVWKNGNQYTGEWRNGVINGKGVLLWPNGNRYEGQWENGVPKGLLTCADGSSWSEMRSFFDGIEKRKRSSVDSGGGGGGEKVFPRICIWESDGEAGDITCDIVDNVEASVIYRDRLSVDRDGFRQFRKNPCCFSGEAKKAGETISKGHKKYDLMLNLQHGIRYTVGKHASVVRDLKQSDFNPSEKFWTRFPPEGSKTTPPHQSVDFRWKDYCPLVFRRLRELFTVDPADYMLAICGDDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLLRMLPNYYKHICQYENSLVTKFYGVHCIKPVGGQKTRFIVMGNLFCSEYRIQRRFDLKGSSHGRSTSKPEAEIDETTTLKDLDLNFSFRLQRNWYKELMTQIKRDCEFLEAERIMDYSLLIGVHFRDDNTGDKMGLSPFVLRSGKIESYQNEKYIRGCRFLEAELQDMDRILAGRKPLIRLGANMPARAERMARRSDFDQYSSGGTNYLSHGEVYEVVLYFGIIDILQDYDISKKIEHAYKSLQADPSSISAVDPKLYSKRFRDFISRIFIEDG >A09g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4149227:4150268:1 gene:A09g501250.1_BraROA transcript:A09g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLESSGSRLEVSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQELSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRPEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVVWTS >A02p000900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:440724:446713:1 gene:A02p000900.1_BraROA transcript:A02p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGEKIREMTKEHSSRFKSICVFCGSSNGKKVSYQDAAIDLGKELVAKNVDLVYGGGSIGLMGLISQAVHDGGRHVIGVIPKLLMLQEITGETIGEVREVADMHQRKAEMAKNSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNALLSFIDKAVEEGFILPAARHIIVSAPTAKELFEKLETLRSVLTEEETFTVLQNISFSNSFVAASLWCFEEMTMAAATFAKRLIGSRSSSTTATSVARAFCSSTTPITATMFPGNGIGPEIAESVKQVFTTAGVPINWEEHYVSTEIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEDGVALAEAVHGSAPDIAGKNLANPTALLLSGVMMLRHLKLNEQAEQIHSAIINTIAEGKYRTADLGVLLGMSNPLLDISAVVSSMKSFSPKERSWLTPTVQ >A03p020000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8205945:8206124:1 gene:A03p020000.1_BraROA transcript:A03p020000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNIGVWWLGVILEHGILEPLGYWPVILFNRLNDYAERVEWGGEIINQENLGWHTTI >A07p003470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3276422:3277816:-1 gene:A07p003470.1_BraROA transcript:A07p003470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSNSMSRSLHIFFLDLVTGEIRNSSIIFFFSFLFLLVDLLSWFFDGSTYPFICRSGLWKTISFRSDSTLFFILRSKAKVRLILVGFCQRRHGILKTHCFGLVAEDKCHDIADHFSYAGSGCHIHAPGFSNTLGVHRYCSDISLSHILKMNQYGFRIQLHIFISELVTPESSSTECWNHS >A08g510450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23643327:23645048:-1 gene:A08g510450.1_BraROA transcript:A08g510450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVFDLGNKNCVIAVAKQRGIDVLLNDESNRENPAMVSFGEKQRFTFSFSLRHYASQTNHLSAQETDREKKIQRSRRSERSETDPFRNFRILLRPLRLMHDCTATALGYGIYKTDLAASYSVFIDTQVNLGGRDFDEVLFNYFAVEFKERYSIDVYANTKACVRLRESCEKVKKIHSVELVGSGSRIPAISKMLSSLFKRELGRTVNASECVARGCALQCAMLSPVFRIGPFQISHGEAARVKVRVQLNLHGIVSVDSASLIEDHKENMTSEEMISESNHQSSAAKDDTSGSTNDPKAIKRTEIPDVKNVSGALTKDETCKYIGKSNSLPTKSEHNGSHGSRKSDDMGLH >A02p009800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4134255:4137031:-1 gene:A02p009800.1_BraROA transcript:A02p009800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIHSGVVLTRTCFSRLQPPCLVFRRRFSGAATACSVSLNGKRNSEKEKVIVISGPTGAGKSKLALELAKRLNGEIISADSVQVYKGLDVGSAKPSASDRKEVPHHLIDILHPSQDYSVGQFFEDGRQATKDILSRGRVPIVTGGTGLYLRWFIYGKPDVPKPSPEIVSEVHDMLIDFQTDYNWDGAVEFVVNAGDQKASSLPRNDWYRLRRSLEILKSTGSPPSSFRVPYDSFRDNLNSPDDNNDFDENSSSADISIQNIKTDLDYDFLCFFLSSPRVDLYRSIDFRCEDMLSGANGVLSEARWLLDLGLLPNTSSTTRAIGYRQAMEYLSKCRRQRGVSSPGEFYGFLNKFQQASRNFAKRQMTWFRCEPMYHWLNASRPLDTILEFIYDAYEKEGETLLVVPDSLRMNKDLRNSREANALKGYRPRNRHFVGREDCSSVLEWISSEGCKSEVSCVEGTTT >A08p019790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13415918:13417594:1 gene:A08p019790.1_BraROA transcript:A08p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGGDVTIPMTPSEFKDRLIFGPSPRDSSQYFHSLSQKHSPSSSAAAAADTFPDSSPLDPLLPPQQHHGHPLHRSKTAPAMAIIDDLRHPMHQQTELEPSSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPDKRRSYIIDVKKGRMRIRLKVALALGVVVLCIALGVGIMHFIEKIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLTVARAFLYLAEARVGKRNRERAKRVLCETMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLVDLLDSSSGD >A03p016240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6456509:6457715:-1 gene:A03p016240.1_BraROA transcript:A03p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFQIIASSSPTITQSRLLSFPPLQSSCKASSFKLSSWASLLGSSSRFSPYVGLKHMGISISPKSSNPEKKRRCNNGLVIRASLFGVGAPEALVIGVVALLVFGPKGLAEVARTLGKTLRTFQPTIRELQDVSRDFKSTLEREIGLDEISTPDVYNQNIMNTARPPPPSVRNIEDPLTASEPNDAQSPKAYTTEDYLNITEEQLKASSPGKIQTEDQTQTQEPAVQSQPEVTLRETMAASPPRQD >A09p082320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59637970:59642649:1 gene:A09p082320.1_BraROA transcript:A09p082320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLKSVTALCTVLTVVILLFRGGAAAVEDETEFHGDYSKLSGIIIPGFASTQLRAWSMLDCPYTPLDFNPLDLVWLDTTKLLSAVNCWFKCMVLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSTVWKEWLKWCVEFGIEANAIVAVPYDWRLSPTKLEERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLRLEIAPKHYLEWLDQHIHAYFAVGAPLLGSVEAIKSTLSGVTFGLPVSEGTARLLSNSFASSLWLMPFSKNCQGDNTFWTHFSGGAAKKDKRVYHCTDEEYQSNYSGWPTNIINIEIPSTNSRDAYPSVTEAVQANMTTMECGLPTLLSFTARELADGSLFKAIKDYDPDSKRMLHQLKKLYHDDPVMNPLTPWERPPIKNVFCIYGAHLKTEVGYYFAPSGKPYPDNWIITDIIYETEGSLVSRSGTVVDGNAGPITGDETVPYHSLSWCKNWLGPKVNITMAPQPEHDGSDVHVELNVEHEHGSDIIANMTKAPRVKYITFYEDSESITGKRTAVWELDKTNHRNIVRSPVLMRELWLQMWHDIQPGAKSKFVTKAKRGPLRDADCYWDYGKACCAWQEYCEYRYSFGDVHLGQSCRLRNTSANMLLHKGPFLALHFSKHSILIALYFATHLPSHAKVFFCDFIFSLMSVC >A04p041140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23178807:23181201:1 gene:A04p041140.1_BraROA transcript:A04p041140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILMFVALSFFSLLSSPSLAAEFQATGNATSPSDVCRFAPDPSYCRSVLPNQPGDIYTYGRSSLRQSISRARRFMSLIDDQLNRKGKVAAKSTVRALEDCKFLASQTMDFLLSSSQTVDATKTLSVSTAEDVQTFLSATITNEQTCLEGLTSTASENGLSGDLFNDTKLYGVSLALFSKGWVPIRKRSRPVWNRQASFKKFFGGFRNGRLPIKMSERARAVYNTVTRSGRKLLQTEEGAVKVSDMVTVIQNGTGNFTTINEAVAAAPNKTDGSNGYFLIYVTAGLYEEYVEIPKYKRYVMMIGDGINQTVITGNRSVVDGWTTFNSATFILSGTNFIGVNITIRNTAGPTKNQAVALRSGGDFSVFYSCSFEAYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVLQNCNLYPRQPRKGQANEVTAQGRTDPNQNTGTVLHGCTIRPADDLASSNYTVKTYLGRPWKEYSRTIVMQTYIDGFLDPTGWNAWSGDFALSTLYYAEYNNTGPGSNTTNRVGWPGYHVITNASDASNFTVTNFLVGEGWIGQTGVPFVGGLIA >A07p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8323075:8324854:1 gene:A07p012100.1_BraROA transcript:A07p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMDKDYPAPNFLSEYSCSNDDNSSGMIDHMFKRNLQKQKQSMPQQQQLSPSGFGATPTFDKMSFADVMQFADFGPMLALNQTRSQNDQETWMDPFYILKFPVFNGDHNQTHHIMSQEGGGCEGNISNVFLEEQDNQEEKNDKNSMQLRFIGEEEDRENKNDTTKEVKKKRKRARTSKTSEEVESQRLAHIAVERNRRKQMNEHLCVLRSLMPGSYVQRRDQASIVGGVIEFLSELEQLLQCLESQKRRRILGETNDIHGGDMTTTVITSSAITSSNPLIITGNVTELEGGGGIQEETAEKKSCLADVEVKLLGFNAMIKILSRRRPRQLIMTIAALEDLHLSILHTNITTMEQTVLYSFNVKITSETRFTAEDIASSIQEIYSFIHANSTM >A06p003500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4662207:4662728:-1 gene:A06p003500.1_BraROA transcript:A06p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific STIG1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G50650) UniProtKB/Swiss-Prot;Acc:Q9C6P6] MVIIKLLIHVLFFFLVNSFHQVLGQYSQLNTTSSWLKKHTKSLTLPLDKPPKPPICKIIACKRSGPPTARKRCCRNQCVDLLSDPNHCRFCFKRCRFALSCCGGNCVDTNNDPSNCGQCGNKCEPGAPCEFGMCGYAAPSSQPGKRRRHPKRPRPPPSPKNDGELHDDRDDDE >A03p002590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1171548:1176986:-1 gene:A03p002590.1_BraROA transcript:A03p002590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNELPTTVREDYISEETKKLISSLPVNKDSQGNLCKYQGCWYYYNTLQGAINFQNHFQPHDTDVILASFPKSGTTWLKALTVALLERSSKHHDDHPLLSDNPHAIVPFLETKLYLRTSTPDLTMYSSSSSSSPRLFATHMPLHTVKEGLRGSPCKIVYMCRNAKDVLISLWYFKCRYQKLEVTRSVLESTFESFCGGGNFYGPFWDHVLSYWRGSLEDDPSRVLFMKYEEMKEEPCVQLKRLAEFLGVPFTEEEEESGCVEKILELCSLRSLSDLAINKTGKTSSGPDYKLCFRKGEVGDSKNHLTPEMEMRIDMVIEENLKGSDNVSEETKKLISSLPTDKDCIGKLCKYQGCWYYYNTLQGVINFQNNFQAQETDIILASSPKSGTTWLKALTVALLERSKQQDDHPLLSDNPHALVPFLENNLYLKSSTPDLTKYSSSSSPRLFATHMPLLTVKEGLKGASIKVSFYGPIWDHVLSYWRGSLEDPSHVLFMKYEEMKEEPCVQLKRLAEFLGCPFTEEEEESGAVDKILELCSLRSLSDLEINRTGKTSNGVDYKFYFRKGEVGDSKNHLTPEMESKIDMIIEEKLKGSGLSF >A09p055620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47522456:47523251:1 gene:A09p055620.1_BraROA transcript:A09p055620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAIYFVPFFIFPWIKIFMNSLRSRLKRLSCIIHFCPPNGINFKPPRGNPTGRFTNGRTIADIRKARAAKLCSSISSTNANGEALVKWWQLRIWWWRNSQSCWKCIC >A07p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15098495:15100472:-1 gene:A07p026420.1_BraROA transcript:A07p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSIEEGITVTTRTPPSPRNVSSQTGSYLPCESVPHSSLSSSFPLLPLLPPPSSPPLVSPLSPLCLQALTVISNPGLRSWAVATGEALLLSSSLPMPSSFLLSMELLPNGEKLTDVINKTNENVKYLPGIKLGRNVVADPDLENAVKEANMLVFVTPHQFMGGICKKLKGKVTGEVEAISLVKGMEVKKEGPCMISSLISKELGINSCVLMGANIANEIAVEKFSEATVGYRESREIADTWVQLFSTPYFMVTPVRNFHSSPSVCHSRLLNAGNNGKACVKVHDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKAAIMRIGLREMRALSKLLFPSVKDSTFFESCGVADVITTCCKDCELSFCSLLSIVKVIVALLTITVGGRNRRVAETFAQSGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLNHCGWLEMFPLFSTVHQICTGRLKPEAIVHYRDHKA >A06p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19603202:19604611:-1 gene:A06p036160.1_BraROA transcript:A06p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCEKNGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLRRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKINESGREDNDDFSSSNTISQKQQQTSNKGQWERRLQTDINMAKQALCEALSLDKEPSSTLSPSSLSTPVIIPQNIPSFSSALLDRCYDPSSSSSSTTTTTSTTNNNTNPYPSGVYASSAENIARLLQDFMKDTPKTLTLTSSSPVSETGPLASATSEEGGEGFEQSFFSFNSMEETQNLTQETSFFHDQESKRAVTMEQDSGLISQGSLSLLEKWLFDENMVGMSLGQEAMI >A04p015860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9807120:9810400:1 gene:A04p015860.1_BraROA transcript:A04p015860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPRNPARDPGPEPNTKPASQSEESSAPTEVSSMKPPPPRNPNPTDLDATDVTNPDLTVDSKEVSVVADSDNPPRARSAKQSPVPYTIPEWSGPPSHRFQLEVLKEGAIVDRLDVYEKGAYMFGRDVLCDFALEHPSISRFHAVIQYKRSGVAYLFDLGSTHGTLINKNKVDKRVYVDLHVGDVIRFGGSTRLYIFQGPSELMPPERDLQLMREAKLRREMSEREASLRRARQQASMADGVSWGMGEDAIEEEEEDVEEITWQTYTGELTPKQEKTKEKVLKRLEKIGHMKKEIAAIRAKDISQGGLTQGQQTQIARNDQRTAELLEELETLEETLNDSIRESLGAKTGRKPNSKKKGTVEDEEDFSSDEDDFYDRTKKKKPSTQKGTESQTVETVDSLLEKRDKVLKEIEEKNEQLLAEKNKMETETVPEVASGDSLDAYMTGLSSTLVQDKTAQIQQELSTLQSELDRILYLLKVADPSGEEVKKRELKSQEPEIKKSEIPPVEKKKNLPLKPADTDENREKEVGKDVEGSNSKPEVETTASETAEDKKTTVFVPTKPQWLGSSANKDTAEEKKPETVGDAAAAATDSTDDGDGFVDYKDRKTMIEGATGLIIRKRKQEDKSKEEDEKSKEKEKEKQAEVMAQDAVALLLKHSVGHRINEEDEGVGKKEESKQGGGRSRKKKKTDKKVLGPDKPEYLDESTDYDSSWVPPKGQSGDGRTSLNDRLGY >A08g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7939368:7946037:1 gene:A08g504760.1_BraROA transcript:A08g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLIETMFGYMKDKLAALTAPMANAYANAVVFNKIKNLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPVNKSRCIRVLPKHVFRKHFGRIKLVLPKKPLKNPYVNRGKRKHNKTITQLGRYVATEHTRCSRPSTHAARSLRSDRAHTLLGRYVATEHSHAARSLRSDRGRTLLGRYVATEHAHAARLLRSDRAHTQLGRYVVTELKPTLHSLRSDLSDCPSLGSLLNPRRNAFRFFSIGVSVEILRRTQRPVRPQKGPSLGSLLNPRRNAFRFVSIGVSVEFLRRTQRPVRPQKGPSLGSLLNPRRNAFRFVSIGVSVEILRRKQVGLASACFHSLRSDLSDCPPLGCLLNPRRNAFRFVSIGVSVEILRRKQRPVSPQRVLPLRSPLNPLRNAFCFVSIGVSVEILRRKQVGRFLACFHSLRSDLSDCQSLRSDLGPPLRSPLNSHRNAFGFVSIGVSVEILRQKQVNLILNSLACFCSPYLHLCVHFRISIETSLVSPRLKLPLRLYDIKKKTAKTYFLAWIQINRIKRQMSVKISITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEHPSRSRPSDRPARSLRSDRAIVPLGRYVATKLEPKLGRYVATERSFRSVAPDRARAKARSLRSDRAIVLLGRYVATELSQARDRAIVPLGRYVATELSQARSLRSDRARAKARSLRSDRALVSLGRYIATGLEPKFSRCVAIEPFRTSIRHQSLHSRQTFECYLPKTKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVSADKYGRVLVEEQGRESFLDSEFFALRNFRYLFRPLLSSCDSTRIRVLCC >A06p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22016897:22018154:1 gene:A06p040710.1_BraROA transcript:A06p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVESPKPILYGLMKLAGVIPYTVEIEPGTKINFWIPKETLKKTNKSNKNSDVKPKKPTKPAILFIHGFAAEGIVTWQFQVGSLAKKYSIYIPDLLFFGGSYSDKPDRSPAFQAHCLVKSLRILGVDEFVLVGFSYGGMVAFKIVEEYPEMVRAMVVSGSVLAMTDTISESNLNRIGFKSSADLLLPTSVIGLKTLFALSVHRPMWFPNRLFKDYLEVMDTNRKEKAELLEGLVTSRNEDVTIPRLQQVFV >A01p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5199551:5202248:1 gene:A01p010620.1_BraROA transcript:A01p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPNGDFSNASSDNTSFFSTSLPLLPQQNLNPLDQIASGLNHFNDDQDSHPMDEEQLLAGLMDEINLTSLPNTLDDLEEYDLFGSGGGLELESDLPRMSFADSKTANGIFQNIAGEHPYGEHPSRTLFVRNINSTVDDSELRAIFEVTSPLFFVTITISLMIGSWQQYGDIRTLYTACKHRGFVMVSYYDIRASRAAMRALQSKLLKGRKLDIHFSIPKDNPSEKDVNQGTLVVFNLAPSVSNKDLENIFGAYGEIKEIRETPNKRHHKFVEFFDVRSAESALKALNRTDIAGKRIKLEHSRPGGARRNMMLQMNPEYEQDDSRSYLNLADSSLASSPAGNYWGNSPVDHSPLQSLSRSPLSPTLSSILNSQRVSHLDHLFPTSHKASGYQQTQSCGTASSYGSLNSLSGSEFLWGSPSSSLWPMNQFPSNGKAHMFPYSALNGSTHVGSAPSGFFRRSSETSSTGSAAFRGASGNAAPRNMREAGSPSFKVVSSPRHSQLFTGSVSSYQWPVATTASIDGSNMNQVDSKKQFQLDLSKIMSGEDLRTTLMIKNIPNKYTRKMLLAAIDETNRGTYDFLYLPIDFKNKCNVGYAFINMVSPTFIIALYEAFDGKKWDKFNSEKVASLAYARIQGKTALMAHFQNSSLMNEDRRCHPIVFDGPESSYPFIMDMEHPQEQSTHRS >A05p023350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:11296980:11298014:-1 gene:A05p023350.1_BraROA transcript:A05p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKETSHEANTTTDTSVSSDLRLAKRMKPTVSPTATTKYKGVVQQQNGHWGAQIYADHKRIWLGTFKSAAEAAAAYDSASIKLRSFDANSHRNFPWSEITVHEPDFQKSHTTEAVLNMIRESSYQQKFSDYLIVRSQMVAIANINIVGSKQRRGGGEASTKCFSCTELFHKELTPSDVGKLNRLVIPKKHAVKHLPFISERDEGETGGAVEDVEVVFYDREMRQWKFRYCYWKSSQSFVFTRGWNGFVKEKNLKEKDVIVFYTCDVPSNFKTLEGQSNKFLMIDVDYFTDKGSVEPKEVNEMVHNVSEREMKTENFFGTKVEEETKSEVSKGGFMLFGVRIQ >A01p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1936594:1939576:-1 gene:A01p004530.1_BraROA transcript:A01p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G34240) UniProtKB/TrEMBL;Acc:A0A178UV01] MLHESRGPSKSINQKQNMCEAVIALKRYADLWLYDEASKDQSSSYPSFCRRALRTTTRSNVASLALATSPFQFSSGYCSKTCIPSRLKLVSTTCYATLSAVVKPQESAFDGKEAALLVDELRTSFNTGRTRSYEWRISQLQNIAKMIDEKEKCITEALYQDLSKPELEAFLAELSNTKSSCMLAIKELKNWMAPETVKTSVTTFPSSAQIVSEPLGVVLVISAWNFPFFNEPCDIARKLILDKILSVEPVIGAISAGNAVVLKPSEIAPATSSLLAKLFSEYLDETTIRVVQGGVPETTALLDQKWDKIFFTGGARVGRIVMAAAAKNLTPVVLELGGKCPALVDSDVNLQVAARRIITGKWACNNGQACIGVDYVITTKDFAPKLIDALKTELKTFFGENPLKSKDVSRIVNSFHFKRLESMMKENGVANKIVHGGQTVEDKLKISPTILVDVPEESSMMQEEIFGPLLPVITVSKIEDGFQVIRSKPKPLAAYLFTDNKVLQNRFVENVSAGGMGINETVLHVTLKDLPFGGVGESGIGAYHGKFSYETFSHKKGVLYRSFDGDSDLRYPPYTPEKKRVLKALLSSDIFGAILAFFGFSKDS >A02p026790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13016116:13020111:-1 gene:A02p026790.1_BraROA transcript:A02p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPRASASASRIDNKIYVFGGCGDDVDSSNWAEVYDIDTLTWDFLCVPTTTRPKNIKQSVVIGKKEVYAVDEDGQSFSFSPSKLFVSCGKTDSKPGDRHDWCLIGRFLFSRGPRGTILWCLPDELDWKEVKGLEELQQQQLVEYGITKLSKKASYIVIFWNAQPQGADSLELWSAEISLRRVGPDVRGKIEWSGSVYKLDYPLTDSNRVKVVYAERKKSMKGRSRKERKIEEGKEEVCGLTSLPVDVVTNCLVHVSRFDLAALAMTSKAHRSLATSPSLWDLRWRMKRIEPSYYVCLHIFPDPVPRWFILHPVKRQLKPLLPLWCTESGSSFMVVDWGIFIIGGLVNGKPTSNVTMFDCFEHAWYKIPPMKMARAFASANVIDGKLYVFGGCGDDVDSSNWAEVYNPRTYTWNFLCVPTTTRPKNIKQSVVIGKKKVYVVDEDRQIFSFSPSKLPPMFVSCRKTDSKPGDRDDWCLIGGFLFSRGARGTILWCLPEEFDWKEVKGLEELQQQQLVEYGITKLSTNYASNIVIFWNAQPPQGGATESLELWSAEISLTQIETSQGLEIRGKIEWSGSVYKLDYPLSESNRVKVLFAASVFA >A03p031460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13253088:13254730:1 gene:A03p031460.1_BraROA transcript:A03p031460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVPLFSQFTCKTLITSSSTSSFQSKSPILLPINPISRRLAVHRHDFKVRASDVNDEWGPDSKGPGGDVDDEWGPEIGLNSSVAEKVAEEAIESAEETERLKRVLAGSLYGTDRGLSASSETRAEISELITQLESKNPNPAPNEALFLLNGKWILVYTSFVGLFPLLSRRISPLVKVDEISQTIDSDSFTVHNSVRFAGPLATTSLSTNAKFEVRSPKRVQVKFEQGVIGTPQLTDSIEIPEFVEVLGQKIDLNPIRGLLTSVQDTASSVARTISSQPPLKFSLPGDSAQSWLLTTYLDKDLRISRGDGGSVFVLIREGSSLLNP >A09p079880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58662274:58665414:-1 gene:A09p079880.1_BraROA transcript:A09p079880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDDNDDPMEAKEEENINESIMEMQDDQQMEENNNQDEEMQAVDTRSESCVSLSDDEEQLLMGHDDESDLEKPLSEEEIDELISELVGVESKAAEAQKAIEEESLATVEGEVREKLARTLRGVELDKSVSAEMMTFKDVREETLDNLEIKSANLLEQLSGAGVELPKLYKMIESQVPSRLYTEAWKKRAHWVGTQVTEETVESLANAESFLQTHRPVRKRHGELLEEGASGFLEKFFADNGVKESQAGPSELDWLSLNKVFTEKKDEAVSFGSKNWASLYSASTPQQAAAMGLEFPGVNEVEEIEEIDADLEGTFFADAMENERVLAQTEEQKKDYTRAREDDDINIDLQLCLNRKRRKTRSKQVIRHTAENSDDESACLYVNNTVPNPAEDQVKCLETSTQFQNNEDDKEENVNLSNSDVDEMVPVTDSNAEDSQNTSKKQKVGCCSDKLVENLLQIHNPNWISSLHEHETFLKENEEENPTMEEKDMAWEVYRRALEWKGVQRVAPELQKPLPSPQTVTPRLPKRFNRSRFVIRNCTRTAHQRTLISQGSKVGSSTVCGECGLVLTWEDVTTPAPKIDYF >A05g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16875648:16881355:1 gene:A05g505890.1_BraROA transcript:A05g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDRRIVMAPALVGRVSLSRFREGMEWIDGRHKEQWIGSLICHAAALNESGTSNGSLVSSSFKSANSWINSMGRRVERTDGRMTDPRFSLVSDGWYGPARLLPVIEPATDWNL >A10p004000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1950357:1950590:-1 gene:A10p004000.1_BraROA transcript:A10p004000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR (CLE)-related protein 20 [Source:Projected from Arabidopsis thaliana (AT1G05065) UniProtKB/Swiss-Prot;Acc:Q3EDI6] MNRGRLLCLIGFLFFLVVLSKASRVHVERRRFSSEPLSSQERGFIPSKPTLPVASADEILPEKRKVKTGSNPLHNKR >A01p027910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:18623279:18624685:1 gene:A01p027910.1_BraROA transcript:A01p027910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRPIHNSSAASRLLTSPISLFFRFLFSSSSENNTHEPIVSNQNPKSPFGSPTRVQKLIASQSDPLLAKEIFDYASQHPSFRHSQSSHLVLILKLGRSGHLNLIDDVLAKHRSSGYPVTGELFTYLIKVYAEAKLPEKAFKTFYKMIEFNFTPQPKHLNRILEVLVSHRGYLQKALELFKSARLHGVMPNTRTYNVLMRAFCLNDDLSIAYKLFGKMLERDVVPGVESYRILIQGFCRKGQVNGAMELLEDMLNKGFVPDRLSYTTLLNSLCRKTQLREAYKLLCRMKLKGCNPDIVHYNTMILGFCRQGRAMDARKVLEDMSSSCCSPDSVSYRTLIGGLCDQGMFEEGKKYLQEMISNGFSPHFSVSNCLVKGLCSFGKVEEACDVVELVMKNGDALHSDTWEMIIPIVCKEDDSEKIQRFLEDAMKVEISGDTRLVDAGIIALGSYLSSKRQMKRMNVRERRRL >A08p046400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25268717:25270495:-1 gene:A08p046400.1_BraROA transcript:A08p046400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLGFSFGSSSSSPFLAETMMILKPQCASRVPPSLRLSTSFLHSVAIHSSDAKKLLPDDDAETVYRMINASNLQGELKESLSSSGLHLSKDLIDRVLKRVRFSHGNPLQALELYRHAGARRGFYHSSFSLDTMLYILGRSRKFDQLWDVLFEAKRKDPSLITPRTMQVVLGRVAKLCSVRQTVESFWKFKRLVPDFFDTSACFNALLRTLCQEKTMTDARNVYHSLKHQFLPDLHTFNILLSGWRSSEEAEAFFQEMTKQKGLKPDVVTYNSLIDVYCKDRAMDKAYKLIDQMRDEDVTPDVITYTTIIGGLGLIGQPDKAREVLKEMKEYGCYPDVPAYNAAIRNYCIARRLGDADKLVDEMVKKGLSPNATTYNLFFRVLSLSNDLGRSWELYVRMLGNGCLPNTQSCMFLIKMFKRHEKVDMALRLWEDMVGKGFGSYSLVSDVLLDLLCDLAKVDEAEKCLLEMVEKGHRPSNVSFKRIKLLMELANKHEEVNNLKQKMAVFSTEIQ >A09p022300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12288047:12289433:-1 gene:A09p022300.1_BraROA transcript:A09p022300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 35 [Source:Projected from Arabidopsis thaliana (AT1G56210) UniProtKB/Swiss-Prot;Acc:Q9C7J6] MATEEMKPETKKTEQKQSPQVKDLTPPPLALPYKTCNLKVSIHCEGCKRKVKKILTSIEGVYRVDIDVKQNNVTVMGIVSPEILLKKLHKAGKNADLVPEIPDPVENKPHDPKETKPVDPKKMNKKKKEEKPEITDKVTSSGSDKPESEKPDGAGKCSSGDNSEACAPVKEDKCEILKKKDSAPADSSSPEDSPAPTAEKKAEESSGSVGKKKKKKGQSMSSVNNPTGGPGRTRSLPSPNSTPAAEDHDRSNNQHDGHQMLPNSVAPRQDMYPYPPNYYAPQIMYGVSYNVAQPPVSVDAASYYSPAPPHSYAYMHPGYPPCDQNPYPSRPSDSFELFSDENPNGCSVM >A03p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4291536:4292040:1 gene:A03p010690.1_BraROA transcript:A03p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFASSARFSKTQSGVCYSVFFTPRVTVRCCETAKEPPRSKSKLQVGSPIIIVEAPKVIKTAASMPCLRANSGLVKPGDVGRIVSRKPKDLWAVRLSIGTYLLDGKYFKALELEE >A09p075800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56973513:56974148:-1 gene:A09p075800.1_BraROA transcript:A09p075800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALEKKLFAAQGSQLRLYEQYMSSVSRLKEKDQVIDLVRSEASINAQALKKLVEEEQILRERAREAACRVQEKNQELRHVCSVIKKHESLVDSVLATIVSEDETKLSKWDQKPSMQKAASLVSLVTEMHNERKFLTFKLDRAEQEVERVREQNRELKKELRRSHLTSKKRKEKVA >A04p033010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19212881:19215051:1 gene:A04p033010.1_BraROA transcript:A04p033010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSNSFSKLLLLLLATSIATALPENKPTSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGRHNITIFAPRNDPLERNLDPLFKSFLLEPRNLKSLQSLLMFHILPRRISSPQWPSLSHHHRTLSNDHVHLTVDTTRRLKVDSAEIIRPDDVIRPDGIIHGIERLLIPRSVQEDFNRRRSLRSISAVLPEGAPEVDPRTHRLKKPAPVPAGAPPVLPVYDAMSPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNAVRRFGKVKYDSLRFPHKVLAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPMKETPATEMKPAAPVVKKVAKSRRGKLMEVACRMMGSRFIPC >A04p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20915149:20917135:1 gene:A04p035540.1_BraROA transcript:A04p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMYQKMALCGGEGGSEWDDGVYEGVKKVYVGQDLSRITYIKFEYVKEGGEVVTREYGTVTQDAKEFVIEYPDEHITAVEGSHNKVALTATEVITSLVFKTSKGRTSPTFGPNLFGVVNGTKFKFEDEGKKIVGFHGRSNNAIDALGVYFALESLTTPFPIYKLEAQGGKEGSVWDDGCFQGVRTVRVCQDDCRITYLEFEYVKAMRLETRHHGVKGETQSEFVVNFPNEDIKLVEVTYDNPKLFRNTVITSLKFETTTGRTATFGYEAGKKFVLGGPRLVGFYGKEGDAIDALGAYFEQIPAPTPPPVIGDSWGDYGIYDGVKKIKIGLYEEGIAFVKFVYIKGNGLVTGDDHGKITSLGAEEIVLEDGEYLTGIEGYYRPIPGAPFGKIVSIKFKTNKRETPLYGLDSGEKYSFEEKGHKITGFHGRATTDVIYSIEAISRPV >A03p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13600017:13602086:-1 gene:A03p032140.1_BraROA transcript:A03p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLVMVTKGVGCAGRKGGGRGKSTVEEEEEEQNQQQLSLVEFLLTALRKSVVSCRVDNRQDDVGVGGISSAVHQMEIGWPTNVRHITHVTFDRFHGFLGLPHELQVEIPCRVPSASVSVFGVSAESMQCSYDEKGNSVPTILLLMQQRLYSQQGLKAEGIFRINPENSQEEHVRDQLNRGIVPENIDVHCLAGLIKAWFRELPCGVLDGLSPEEVLNCNTEEDSVELIKQLKPTESALLSWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMTDPLTALMHAVQVMNLLKTLITRTLAEREATSSGSEGYSPSHSSNSQTDSDSDNAQDMEVSCESQGTDSESGGEEEEGQQQQQQDEEHLSRRSTQEDENDIGSLSSVEKCFLSQLNTNARVSNVSISQDWSPKCSPPVSFTDDKNDTLGSSTSD >A10p033040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19373802:19377270:-1 gene:A10p033040.1_BraROA transcript:A10p033040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMKVFDQTVREIKREVNLKVLQVPEMEQKVLDATDNEPWGPHGTALAEIAQATKKFSECQMVMGVLWTRLTETGKDWRYVYKALAVIDYLISNGSERAVDEIIEHTYQISSLTSFEYVEPNGKDVGINLRKKAENIVALLNNKKKISEIRDKTTINRNKYVGLSSTGISYKSGSASFAGSFQSGSSNYDRDSRGKDKDDYESFQKSRRGVKSEEQSYTPKKTFSRTDHDNLSRGKKSPDSGNHSSVPSHASAAPSNNDDDFDDFDPRGTSNNKPSTGSANQVDLFGADQIGDFLDSGPTETSSTHNNGKFQESDLFADATFVSASSPGTDFGSQTQKEIDLLSVSETSGTVSSAPPTVNLFASPETVARPEAKIPKPEPMTTPSIVDPFAAVPMENFDGTDPFGAFTSHSASVSTGPQAPVLHGSATSTTSPMSLADSKPQQLQEKDPFQVKSGIWADSLSRGLIDLNITAPKKASLADVGIVGGLSNDEGNKASADAYYSGWSLGAGSGLGKSGLYSAQQQQQQQTPEISDDFFSSLSNQRYHQLHHMKKKVYQA >A01p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3188604:3191172:-1 gene:A01p006230.1_BraROA transcript:A01p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGILGRGFAPKGKPLIKLTKNRIDVLRRKRTATIKFLKGDFADLISNSRDVNAYTRAGGLLDELRHLWNLDFVESTCDFVYKQLSTMQKMEECPEDCREAVSSLMFAAAGFSELPELRELRQMFHEKYGDSLSVFVNQELVENMTSKPFSLEKKVKLMEDVASEYAIRWDSKAFEKRILRQNGSSVKDTTHEKHKSLDGSVALPSERKKDVASERRDPFFRPDNYQNGLREHQRGLASKNKSDDVRHASRSESKDDKAERNEFHLQPNHKSSRGRPQPIFNEGDTIVMKIKRENLVQGVGHQNGEAAAAPKKTEVTEKHKRSSTKTEDKLVLGFKQESFFKGYKHENGEEHAPQKVEDNLSMPPKPRSKRTPSIDSARRHRSDHERRENTVPVREGTEKDSSAGNIKGGGDGYDPVRKFEEREAERMMKSLPPPPYVKPSGKAKIEKPEASAYPKARFDGEEGNCQDTDKKVSEAGQHQVNDVDDQSLKRRSSRRKHIIQSNDGDDDTRSSRRREHSRKGLQVLVDEDEKDSEEKMMDKLLMHYSKKPSSYEESKSRRSHRRKAEGEEIHHPARSLSLPSEQFAGPSEPAKTFARAASFQPERSEAKHVHPKLPDYEDLAARFAELKGR >A09p083800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60230411:60232083:1 gene:A09p083800.1_BraROA transcript:A09p083800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWDNTSAIMGSGEERHHHQDLDTTRALSIFDPTQTNHHQSPYNNFSPPLFSNQQHLTVVYGHQTAHNIPTNQFHHSFYDPNPYASSSSDSIYHAHSSASALFSFDQSGPAGSGSSYNFLVPKTEVDVVSRSLDFSTSNRIGLNLGGRTYFSAGDDDFVSRLYRRSRPGELLGMGNSLSTTTTPRCQAERCNADLSHAKHYHRRHKVCEFHSKASTVVAAGLSQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKCHQSASTSGKHIPDIITTPKSANDSGVKSSSASPSNAPTPISLECFRQRQFQTTPSSSTSAIWGSLAPAYNL >A09p048390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43136988:43138226:-1 gene:A09p048390.1_BraROA transcript:A09p048390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPEAR4 [Source:Projected from Arabidopsis thaliana (AT1G29010) UniProtKB/Swiss-Prot;Acc:Q9SHQ9] MCSKTSIGNYGDDYSGSCPKKQKSNNNGKRRVPRRGPGVAELEKIRLEEQHISSSAPLPPPPPPPPQPEKPPSVIHTTTTMRAGPVYPFPSYFTAGSFPSDLIPPAPVFQRNHDSCLHYLPPMNIPNPGFYQFIEPPSNQPSCHDNVSQFSDSQKAILLILIAIVAVKRPWQVMSEPTRCDVRPTVTISRNGKQIKPLDQRLRNHFQDSGTTLRNPITIDSPSPPIIPNSSLDFPRFMHYEQKDGFNRESMPQRGVVNASSNRKPFYSFLPANEQRNDDQDLSISLRTEKYDTVTDHGIDLRLKL >A02p046970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29393346:29393937:1 gene:A02p046970.1_BraROA transcript:A02p046970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINHSPHYLLFGSASPPSQIIRVALYIYGAHPQDGTLHFGYSFYCRDQPKLELYIIVNSQIQFSETKAVGCKQVVVTRLLRFCKRWNLKRRRANWIDIQRVIKPVHSECDGNNTIGQGSDMIMSS >A03p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19226777:19227496:1 gene:A03p045740.1_BraROA transcript:A03p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIPVITIVVALLAVLALPVRSQQPPLSQCTPSMMTTVGPCMSILTNSSTNGTSPSSDCCNSLRSLTTGGMGCLCLIVTGSVPFNIPNNRTTAVSLPRACNMPRVPLQCNANIAPAAAPGPAGTFGPAMSPSPATTPVVPEPTPAAQTPQSDTTRPFTPTVDGAAPTSDDGGSTSRPSVTPSSSYALSPSLLFLVVSLVALKFY >A09g517810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53572768:53573174:1 gene:A09g517810.1_BraROA transcript:A09g517810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVATLQRLRRVSDLRSDPDPSADSDYREIQGVQGSGGRAPSELEAPCKEEKKKGEQLGCETKRTSSPPPAVTGDRESPTTAREIRTYGGARVRGSKRGETLERNFSHLSLVT >A01p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10235852:10237474:-1 gene:A01p020990.1_BraROA transcript:A01p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSSLNYNVDLMPTVNHTAVALWSASNTPIAFSYFPLPPPLMDSTYRRQRHNSEEVCSVKWDFIKMSQQEEDLILRMYRLVGDRWEIIAGRVPGRKAVEIERYWIMRNSTHFLPPSSKF >A02p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4800400:4802349:-1 gene:A02p011060.1_BraROA transcript:A02p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVIVAILVCLVSYVYRSLKPPPPRICGVPHGPPVTSPRIRLSDGRYLAYRESGVDRASANYKIIVVHGFNSSKDMEFPISKDLIEEQGIYFLFFDRAGYGESDPYPSRTVKSEAYDIQELADKLKIGPKFYVLGISLGAYSVYSCLKYIPHRLAGAVLVVPFVSYWWTKVPQDILSEAFKLMPEKDQWTYRVARYFPWLLYWWLTQKLFPSLSIISERSALCSDRDLVILKKKLENPSPRLEKARQQGDHECLHRDMMAGFATWEFDPTELANPFGEGVGSVHMWQGAEDRIVPREVNQYISKKLPWIKYHELSGYGHLLHAEEQKCEDIIKALLVER >A03p063630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27628878:27630585:1 gene:A03p063630.1_BraROA transcript:A03p063630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 25 [Source:Projected from Arabidopsis thaliana (AT4G29150) UniProtKB/TrEMBL;Acc:F4JMV6] MGRATRWFRGLFGIKHSSCSGSGTISNRIDRSSLAGVSLCDSYETIPPNISEREAAWLRSFYAAGEEEIERRAHAVAVAAATAAAADVAVAAAKAAAAVVRLTGQGKSGPLAVGNGRENRAAMQIQCTFRGYLARKALRALKGVVKIQALVRGFLVRKQAAATLRSMEALVRAQATVKFQRALRRIGNTAPARKSTERFSGSLENRNNGEETAKIVEVDTGIRPGHYRLRVPVLSGSDFLDNPFRRTLSSPLSGRVPPRLSMPKPEWEDCSSKFPTAQSTPRYAGGSPARNVCCSGGGVEAEADAEVDAHQLCFLSGEFHSNFTTDTTSFSGRLRSHSAPRQRPGINAAGSGWRRGVGGYGGVRGQRPSCSGVREAVVGNIERRRAHW >SC273g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000120.1:69874:70846:-1 gene:SC273g500050.1_BraROA transcript:SC273g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYPVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLYAIDEHTFFLKRLNSQMTMKLLLGLEKAWELYVCMKPKWDVVLDLVFTILVMVSDWLKESGKRSLDGSDCPCLKWMDKMQSCVFHGQKVLYLLTSYFPASNRSRPDPLLSIPNSHKLR >A08g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4083356:4084798:1 gene:A08g501620.1_BraROA transcript:A08g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRVIYTVGNWIRGSGQALDRVGSLLQGSHRLEEHLSRHRTLMSVFDKSPLVDKDVFVAPSASVIGDVQIGKGSSIWYGCVLRGSRFSVFLMRRCEIAGTHCNFCAGDVNNISVGSGTNIQDNSLVHVAKTNLAGKVLPTTIGDNVTVGHSAVIHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGSLVRENTRIPSGEVWGGNPAKFMRKLTDEEIAYISKSAENYINLAHIHAAENSKSFEEIEIERALRKKYARKDEDYDSMLGIVRETPAELILPDNVLPEKTTTRVPTTHY >A09p041270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:359920:363552:1 gene:A09p041270.1_BraROA transcript:A09p041270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKGVDVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKYVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLINGNKHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGTVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGNDYVYHYYLQGRPVEVVLPNRSLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRNYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWHGGSSTASGVPHEFLETFGCIWSSKEVTRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSRFHVRRHTFRPRSDLPERRAEVAPEAWSDLTRATGRSLSPSWFSETRNRSRSDLSQRPSEVAPEAWSDL >A02g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4422061:4422475:-1 gene:A02g501490.1_BraROA transcript:A02g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKIHFGGEMKKIGDGEDYEYLGELGSKNVEWKIDDIVWDMFVDFCKEEALIRAPLGLICLRTPTEMMQNVLMKRKNTVRCPK >A09g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23261886:23265040:-1 gene:A09g508200.1_BraROA transcript:A09g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSVAATSTPARNPDGGRLGDLESAHHAAMMDTANLSRSQRLLVADATRLAREGNENVAVSDATDCARDGQSGATPVDSTYELKDRIARSAFSRRGWRSDLPTVLPIRAKRLEIFPRDIQKQVNEAKRMGTLPDLSAMLAVQLGLTSGGGPSTAVPRASEVPPSGAASAKKSRKRKRGVSGAEENAEEASGVPPSGDLQKKKKKRKKTKRSVEAQSEGPEEPTGTEEEDEETRPEEEVSEAEVSRERDDAREADGSEASLNAAIPDGSDEDSGESPLLVRRHNDEIDDEVRSPVLTLPSEETPAITGAGAVQTGTSPRGSAILRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVRDLIFGDEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAMARRDELKADLGETPNRGTNAAEDGGPVLVLSDTSAEGSRRGNEEVARESSVRASELSALNDRESDRED >A02p039680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23383371:23384264:1 gene:A02p039680.1_BraROA transcript:A02p039680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAPEVEGQPLGSSTTPARVVVTDQLMSRCLLLQPRETSANPDAAKRKRCTEAGALPAKASGSGLSSRHRAKRMQIGGRGLAKKLEESREKSSQSKGKLKVIEDADSLKEARFESRIGELEWDLGKTVSSLLKAKETKAFKSSELRQLKRKFARSERPRKPCALSFRHVRNLALARVEEGTNKVGEAPLSPRAEGAMLPLCRAELVDAEGDFDQILDGLKSECILPSRSGNPEGQDPVA >A09p055720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47594992:47602788:1 gene:A09p055720.1_BraROA transcript:A09p055720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATVQHLDLRHVGRGVSKPLQPHTAAFHPTQAVIAVAVGSHIMEFDALTGCKIASIDIGSPAVKMLYSPTSSNAVVAILEDCTIRSCDFETEQTCVLHSPEKRSEHISSDTEVHLAVTPLQPVVFFGFPKRMSVTVVGTVEGGRAPTKIKTDLKKPIVNIACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLIGASAFAFHPTLEWIFVGDRRGTLLAWDVSTERPNMIGITQVGSQPITSISWLPMLRVLVTVSKDGSLQVWKTRVIINPNRPSTQTNFFEPAAMESIDIPRILSQQGGEAVYPLPRIKTLEVHTKLNLAALIFANMAGNENTQNRAAQTREGRKQLFAVLQSARGSSASVLKEKLSSMGSSGILAEHQLQALLQEQHHKGQNQLTISDIARKAFLYSHFMEGHAKTAPISRLPLITVMDTKDQLKDIPVCQPFHLELNFFNKPNRVLHYPVRAFYIEGLNLMAHNLCSGTDSIYKKLYTSIPANVEYHSKQIVYSRKRNLFLVVYEFSGATNEVVLYWENTGSQLPNSKGSTAKGCDAAFIGPNDDQFVILDEDKTGLSMYILPKLTTIEENEKNLLSEENQTKEENPSAIQGPQQFLFETEVDRVFSTPIESTLMFACNGTQLGLAKLFQGYRLSASDALTSANFRSLLWVGPALLFSTTTAVCLLGWDGKVRTILSISTPYAALVGALNDRLLLANPTDISPKQKKGIEIKSCLVGLLEPLLIGFSTMQQTFQQKLDLSEILYQITTRFDSLRITPRSLDILASSPPVCGDLAVSLAQAGPQFNQVLRCTYAIKALRFSTALSVLKDEFLRSRDYPKCPPTSLLFQRFRQLGYACIKYGQFDYAKETFEVIGDNESLLDLFICHLNPSAMRRLAQKLEEESGDPELRRYCERILRARSTGWTQGIFANFAAESMVPKGPEWGGGNWDIKTPTDMKSIPQWELAGEVMPYMKSDDGTIPSIVADHIGVYLGCVKGRVNVVEIKEDSLVSKPGGLLSSLGKPLSDKPLALPAGESSSLMGLESLGKQNVADEQAKAAEEFKKTMYGAAGDGSSSDEEGVPKTKKLQIRIREKPTSTTVDVNKLKEATRTFKLGDGLGLPMSKTKSTSAGSQDLGEMLSQPSPSTTAPVSASAPVDPFAMSSWTQPPQPVSQPTPSGSTGMVAGPIPEDFFQNTIPSVEVAKTLLPAGTYLSKMDQIAQAAKNAPNQANNPTQPDIGLPGGGVPPTTQQPGVPYQTVGLPDGGVPPQFPGQTQGMPQLPGQTQGAPQVPVYSQPLDLSVLGVPNTESGKPPGQPTSPPASVRPGQVPRGAAAPLCFKTGLAHLEQNQLPDALSCFDEAFLALAKDQSRGADIKAQATICAQYKIAVTLLREILRLQRVQGASALSAKDEMARLSRHLASLPLLAKHRINCIRTAIKRNMEVQNYGYSKQMLELLLSKAPASKQEELRGLVDLCVQRGTSNKSIDPLEDPSQLCSATLSRLSTIGYDVCDLCGAKFAALSSPGCIICGMGSIKRSDALAGPAPVSTPFG >A05p047660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28035889:28037787:-1 gene:A05p047660.1_BraROA transcript:A05p047660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRPKQLEPNKVGSSKVGFDGGEEEEGWVFVKKQRVVIVLPSLPLPEPFSVEKPPATSSQSQAERRDSVDVADTREAARVVVVPSLPPPEEFVLKKPETCQSLVELRDIIAADIHETTRLHAVVVPSLPLPEPFVLQKPPATSQLQAELRDGVVNMEKATPLHTMVPYVHLQKPETSQTQAEFRADKHEASLPVTEHITLQKPAASHSQADLRARKATLVQPVVSSLPITEHIPLQKPATSKSQAELRAEETRKATLAHTVVSSRSVNEHISLQKPATSKSQAELRAEETRKATLANTVVSSLPVSEHRTLQKPVTSQPQAELRAKETRKATPASTVGPKLPVTEHRTLQKPVTSQSQAELIAKETFKATGVQAVAPSLPVLDYCTLQKAATSQSQDELRAKTRKATLANTVVSSLPVTGHLTLQKPATSQSQAELRAKETLKAPKATQAVVPSLPVLDYCTLQNPARDFVSDAQETAEFQAVDKPERVMDRSYTTRKAPGPRRSSQDCRMDSDRRMANQRSRRTGQNKPIRFPRVMCSSVVMDNEKLRVVNLEKKVEKAGGLNEWVGSIGLGREFERMLRGQRMSKFQMANLTMEKLKQMGALAVGPRRKLIHAIRCVYHPHCLRASFN >A01p011880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5760589:5761714:1 gene:A01p011880.1_BraROA transcript:A01p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCQMSLKEQLDNFKKQQVKCQTTLSNIASSRAGGPSSSSSLRRPVPAAAISHNKPSAPLKFSSDTERLQLINNVRKAPVGAQIKRVISLLFETRQAFTPEQINERCYVDMHANKAVFDSMRKNPKAHYDGRRFSYKAEHNLNNKSELLSLINKYPDGIAANDLKDAYPNVMEDLQALKASEDIWLLSNSQEDIAYPNDFKCEITVDDDFKALFRETEVPSDFLDVEKELKKYGLKPVTDTAARRAAEQKYGIPISQPKHKKKRKQEITKRTKLTNAHLPELFQSLNASSSRN >A05p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:90022:107580:1 gene:A05p000670.1_BraROA transcript:A05p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVKERGAGRLAHSAGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGTGSGQAPRVMDLRQKYKEKAKEKEKEVAPGDRSPKDQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVACNLFIRMMNDMELVAMFGKPRSGWIEESRIGFYSGCPPAHTGHPWLTHISTLVLGLSTLALPVDCSGDFGLCGLSVQYIQDIRGCPPPHTGRPWLFVAVHGCLSAHTGRPWLSVCPCVSVSTHMTSVAIHQNTYQHAGPWTHHAGPSRGLFRTSVCVRQHTQDVRGFPSVHISARWSLDSSHWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTQDVRGCPSAHTGRPWLSVCVRVCPSAHTGRSWLSISTYISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTKDFCGCPPAPTGRSWLSVCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVGRLGDFGPCGLSVPVHTGHPWHTHDVRGYPSNTSQHAGPLDSPRWPFLCWDCSGDLPMWAVCFSTHRTSVGIRPAHTGSPCVSVSPPQDVVFSIIHISARWSLINSHWPFPWDLFGVILAHVGCLFSTHRTSVGVRQHTQDVRGCPSAHTGRPWLSVCVRVCPSAHTGRSWLSISTYISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTKDFCGCPPAPTGRSWLSVCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFGPCGLSVQYTQDVHGCPSEHIGRLWLSVSTHRTSVAVRVCLCLSVCVRQHTQNVHGCTSAHTGRPCVSVSTHTQDVCVCPCVRLCPCVSVSRHMTSVAIHQYTYQHEHTGLSVGVRQHTQDVRGCPSAHTRCPWLSISTHRLSVAVRVCPCVSVCVRQHTQDVCDCPSVHISARWSLASSHWPFPWTVRDVCGCPSAHTGRPWLFVCVCVCPSAHTGRPWLSISTHISTLVLELSTLTLPVDCSGDFGPRGLSVQYTKDFRGCPPAHTGRSRVSVCVRQHTQDVCGCPSIHISARRMRVSASTHRTSVAVGQHTQDVCGCPSTHTGCQWLSMCVRVCPSAHTGRLWPSISTLALPVDCSGDFGSRGLSVQYTQDVRGCSSAHTRRPCVSVSIHRTSVAVYQDTHISTLVLGLSTLTLLVDCSGDFGPRGMSVQYTQDHTQDVCGCPSAHTGRLCVSANTHMTSVAVAHTGPHTGLPWLSISTHISTLVLGLITLALPVEYSAHTGHPWLSISTHISTLVLGLNTLTLPVDCSGDFGPRGLSVLYTKDFCRCPPAHTERSWLSVCVRVCPSAHTGRLWLSISTHISTFVLGLSTLALPVDCLGDIGPRGLSLQYTQDIRGCPPAHTGRSWLSVSTHRTSVAVRVCPCVSVSTHRMSVCVRQHTQDVRVFPSAHTGHPWLTLALPVDCLGDFGPRGLSVQYTQDVRGCPPPHTGHPWLSISTHRTSVAVRVCPCVSVSTHMTSVGVRQHTQDVRGYPCVSVSTHRTSVAFHQYTYQHVGRWTQHADPSRGLFGTSVVVRQHTQDVRGCPSAHTGRPWLSLCVCVCPCVFFSTHRTSMGVRQHTQDVRCVRQHTQDVCGCPCVSVCVHQHTQDIRVCPSAHTGRPWLSVWVCVCPSAHTGRLWLSISTHISTLVLGLSTLALPADCLGDFGPRGLSVQYTQDFCACPPAHTGRPWLSVITHKTSVAVRVCLCVYVCVRQHTQDVHGCTSAHTGRPCVSVSTHRTSVAVRVSVITHMMSVAIHQYTYQHVGQHTQVVCGCPSAHTGRSWLSVCPCVSVSTHRTSVAVHQYTYQHAGPWTHHAGPSRGLFSTHKTPVAVHQYTYQHAGPWTHHAGPSRGLFGTHMTSVAVCVCSCLSVAIHQYTYQHDVRGCPPAHTGRPWLSVAVRQHTQDVCGCPCVRVCPSAHTGRPWLSISTHISTLVLGLTTLALPVDCSGDFGPCGLSVQYTQDVRVCPSAHTGRQWLSIRTHISTLVLGHITLALPVDCSGNFGPRGLSVQYTQDVFGCPSAHTRRPWVSISTHRTSVAIRVCPCVSVSTHWTSVAVHQYTYQHVGPWTQHADPSRGLTFVAVRVCPSAHTGHLLLSISTHISTLVLGLSTLAVPVDCLGDFGPRGLSVQYTQDIRGCPPAHTGRLWLSVITHNTSVAVRVCPCLYVCVRQHTYDVHGCTSAHIGRPCVSGSTHRTSVAVRVSPCVSVCVHQHTHDGCGYPSVHISARWSLDSALWPFLWTVWVILAHVGCLFSTHRTSVGVRQHTQDVRGCPSAHTGRPWLSVSTHRRLWLSVSTQRTFVAVRVSVCVRQHTQDICGCPSVHISARWSLDSPRWPFLWTVQVILAHVGCLFSTHRTSVGVCQHTQDVRGCPAAHAGRLWLSVSTHRMSVAVRVCLCVSVSIHRTSVAVHQHAGPSHGMFSTHRTSVGVRQHTQDVSVCLSAHTGRLWLFVCVCVCPSAHTGLLWLSISTHISTLVVGLSTLALPVDGHLWLSVSTHRTSVCVCGSPSTHTGRLWLSISTHISTLVVGLSTLALPMDCSGDFGPRGLSAQYTQDVRGCPSAHTGLLCVSVSTHRTSVAVQVFPCLSVCVCQHTQDVRGCPSVHISARWFLDSSRWPFPWTVRVILAHVGCLFSTHRTSVGVRQHPQDVRVCPSAHTGRLWLSISTHISTLVLGLTTLAFPVDCSGDFGPCGLSVQYTQDVRGCPSAHTGRPCVSVSTHRTSVAVHVCPGVSVCVCQHTQDVRGCPSVHISARWSLSSSRWPFPWTLWVILAHVGCLFNTHRTSVGVRQHTQDIRGCPSPHTGRPWLSVCVCVCPSAHTGRPWLFICTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTKDFRGCLPAHTGRSTLALPVDCLGDFGPRGLSVQYTQVVRGCPPALKGRPWLYVSTHRMSVAVRVCPSAHTGRPWVSVSTHRTTNMMSGAIDQYTYQHVGLWTQHAGPSRGLFRTCVAVHQDTHISTLVLGLSTLTLPVDCSGDFSPRGLSVQYTQDVCVCPSVAVYVCPCVSVCVRQHTQDICGCPPAHIGRLCVSVSTHRTSVAVRVLRWPFPWTVWVILAHVGSLFSTHRTTVGVSQHTQDVCGCPSAHTGRPWLSVSTHRSFVAVRQHTQDVRGCPCVRVCPSAHTGRPWLSISTHISTLVLGLTTLALPVDCSGDFGPCGLSVQYTQDVRGCLSAHTGCPCVSVSTHKTPVAVHQYTYQHAGPWTHHAGPSRGLFGTSVAVRQHTQDACGCPSAHTGRQWLSVCVRVCPSAHIGRPWPSISTLALPMDCSRDFGPCGLSVQYTQDIRGCSSAHTRRPCVSVSTHRTSVAVHQDSALRTGRAVCVSQHTQEARGCRCVSRVWSVCIRQHPRTSVGVPFKHTRGRRYGVRQHTGRPVAGRVCLCVSVRHTRTFPSGCPLVQHIALWSLDSVRWPFPWTVWVILAHVGSLFSTHRTTVGVRQHTQDICGCPSAQTGRPSVSVALRQHTQNVCGCPSVHISAHWSMDSARWPFPWTVRVILAHVGCLLSTHRTSVGVRQHTQDDVRGCPSVHISARWFLDSSRWPFPWTVRVILAHVGCLFSTHRTSVGVRQHPQDVRVCPSAHTGRPWLSISTHISTLVLGLTTLAFPVDCSGDFGPCGLSVQYTQDVCGCPSAHTGRSCVSVSTHRTSVAVHVCPGVSVCVCQHTQDVRGCPSVHISARWSLGSSRWPFPWTVRVILAHVGCLVNTHRTSVGVRQHTQDICGCPSPHTGRPWLSVCVCVCPSAHTGCPWLFICTHISTLVLGLSTLTLPVDCSGDFSPRGLSVQYTQDVCVCPSVAVYVCPCVSVCVRQHTQDICGCPPAHIGRLCVSVSTHRTSVAVRVLRWPFPWTVWVILAHVGSLFSTHRTTVGVSQHTQDVCGCPSAHTGRPWLSVSTHRSFVAVRQHTQDVRGCPCVRVCPSAHTGRPWLSISTHISTLVLGLTTLALPVDCSGDFGPCGLSVQYTQDVRGCLSAHTGCPCVSVSTHKTPVAVHQYTYQHAGPWTHHAGPSRGLFGTSVAVRQHTQDACGCPSAHTGRQWLSVCVRVCPSAHIGLHTGHPWVFVSTHTTSVCVRQHTQDVCGCPSGLSTLVLGLSTLTLPVDCSGDFGPRGLSVQYAQDVRVCPSAHTGRPWLSVCVRVCLCVSVSTHRTSVGVRQHTQDVSMCLSAHTGRLWLFVCVCVCPSAHTGLPWLSISTHISTLVVGLSTLALPVDGHLWLSVSTDRTSECVCGSPSTHTERLWLSISTHISTLVDGLSTLALPMDCSGDFGPRGLSAQYTQDVRGCPSAHTGRPCVSVSTHMTSVAVQVFPCLSVCVCQHTQDVRGCPSVHISARWFLDSSRWPFPWTVRVILAHVGCLFSTHRTSVGVRQHPQDVRVCPSAHTGRPWLSISTHISTLVLGLTTLAFPVDCSGDFGPCGLSVQYTQDVCGCPSAHTGRSCVSVSTHRTSVAVHVCPGVSVCVCQHTQDVRGCPSVHISARWSLGSSRWPFPWTVRVILAHVGCLVNTHRTSVGVRQHTQDIRGCPSPHTGRPWLSVCVCVCPSAHTGCPWLFICTHISTLVLGLSTLTLPVDCSGDFGPRGLSVPYTKGLPWVSASTHRTFVLSCVSVSTHRTSLAVRVCRVCVRQHT >A04p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9604156:9605894:-1 gene:A04p015470.1_BraROA transcript:A04p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLHHEFPCKGHVFQHGTSTRHSRGHVLFDTNGSRRFSSSARTFPYCLSSRGKLCLVRASSETKIIKERLKLLDSYFGKLQGGDEKKPSISTGDDEKAKLNAETELESLSVYLDKQQKDAVIKPEGGSAASKLRKADIKSNNNNTPLQQLDDDDEDQGEDTLNFYTVSILAAINVGVCLFEAAAPVRNNEMGLLSLPLLYGAKINDLIVAGEWWRLLTPMFLHSGIPHVALSSWALLTFGPKVCRDYGIFTFCLIYILGGVSGNFMSFLHTPDPTVGGTGPAFALIGAWLVDQSQNKEMIKSDEYEDLFQKAIVMTGLGLILSHFGPIDDWTNLGALVAGIVYGFFTCPVLQLGRGSERPEGIVTVGREKQNSGDPCKSFLVFVVFVAVLVTCVLVLGDGPLEFPTYDDVVYSLI >A10g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3669495:3669871:-1 gene:A10g501280.1_BraROA transcript:A10g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A08g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15419758:15420871:-1 gene:A08g508420.1_BraROA transcript:A08g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMGVTMEVGNDGVAVITISNPPVNSLASPIISGLKEKFQDANHRSDVKAIVLTENGGRFSGGFNINVFQQVHKTGTQAALLVLTKTTQFISSSPCFGLVPSLPSLTSINCQRRRQDKTAQPRVIPLQADGIQRVSEAVALVDRMVETKVRPDLITFTTLVNGLCLKGYVSEAMSLIDRMVEHGFRPDGVTYGTVLNRLCKYGETSMALDLLRKIKHQEAACCCS >A05p046990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27807703:27809680:-1 gene:A05p046990.1_BraROA transcript:A05p046990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQCEWLYPWFIIGFTRLSTTSTVSVCISLRLVMLIKRFKGSSHKRGGLGNVKKKQIPLLQMYLEMIPNLLEGQQSVADLLDHHRRLNRLELQNQVSQNSSIKSEEEKSDDLLKKKQKLRLQRDVMKMQGELEEEQALNKALRGILRGPVMSQPRLSLLLLPPEAKIIEELATVEAEITCLEKKIQDLKHDVYSERKVNQELEAKYDEREERMMMMTTPKKLLQRQNQLPWEPDSDIPKMRSIDLKQRSKLQSCEDPHGFKDIQMSSNPFSPRHSSSSTFSRMQDSKYIQMSNPFSPRHRSSTFSRMQDSKKGQEMTPNGVSEDLLKCLMGIYLELYKSSREREGSRTVSKLSLSHLKNASFKRKSVYGQNASNLDPYGVVMGTCIRDIGEYKNFIHIT >A03p050660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21760489:21761277:-1 gene:A03p050660.1_BraROA transcript:A03p050660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASWNRGTEDYSSAPPKGLWMGSMIGSLDDDELPSYNNPPEEMIKKEKSRAKFAENAIHIIPFVLLACALVLWLFSNPDVDVGMREESIAARIEGLTIEGDIDNDSDGTQTGFLGATLELGGDSDKPHHVDRNRRASRKLIKGF >A06g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16989755:16991080:-1 gene:A06g505940.1_BraROA transcript:A06g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDFFYGLPLAPIDDEIQRLKITETPSQLQIHFLRPMSWCLPIRPAVKTVFVNLLLQLLVAKKIPSIRKSSIRLAEGLNVNGVLESWGKVKPVSWKLGMKKLEMIGLKRT >A03g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17724284:17725563:-1 gene:A03g504970.1_BraROA transcript:A03g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A01p051600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28934752:28940911:1 gene:A01p051600.1_BraROA transcript:A01p051600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEDQIRSHSDSPDPSSSSPPPPGKFTVTVASPAPPEKDPDASPLALLPIKSSGGGGREDCWSEKATGVLIDAWGKIHMELSRGNLKQKHWEKVAETVRSKEEDNGKTPKTDVQCKNRMDTVKKKYKQEKLTRGRSSSWVFFDKLDRLIGSTAKISSPPPPRGLLKIPMGKSWNLYHRQGEAETPRFGDKARVKAASKSWFDSDSESEATMSEDSGDRLPPPPLSKRKQGGGNTWREVSSAIMRFGEAYEQIENAKLRQMDQIVNVNEFQELAKRALPKMYFDFYSGGAEDQHTLKENVEAFTRIMFRPRVLVDVSKIDMSTRILGYPISAPIMIAPTAMHMLAHPQGETATAKAAAACNTIMIVSYMASCTIEEVASSCNAVRFLQIYSEGSGVEALASSTFDASLTWKDIEWLRSITKLPIMVKGILTREDALRAIEAGVDGIVVSNHGARQLDYSPATITVLEEIVHVVGGRVPVLLDGGVRRGTDVFKALALGAQAVLVVEMEKERLRFLKELESQRMHFFVKFQFELSQQREENGKH >A04p030810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18266564:18269741:1 gene:A04p030810.1_BraROA transcript:A04p030810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIEKTMNKSIKEEEKDDGSKIFQTIEVAKVDHRSNVSPPPSAGTTPLLEVSTGDEFSLLPPLNFAMVDNGIFRSGFPDSTNFSFLKTLGLRSIISLCPEAYPENNMQFLKSNGIKLFQFGIKGSKCLPGLENEVWLHLRKHQKEGSYTNGNSKTTEPFVNISDYKIREALKVLLDYLIISVFLTLKQMVMLILQHRTGCLVGCMRKLQKWCLTSIFDEYKRFAAAKARISDQMFMELFDVSSFKNTPMSFSCSSS >A09p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14422597:14425448:-1 gene:A09p026710.1_BraROA transcript:A09p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTPNRTVVNPTMSVANSSIKIVTPVSTVHLFNLVSVLLLRKITVVLVGDHCQLGIKPISLQVQYRMHPALSEFPSNSFYEGTLCNGVTIIERQGTKFLWPVPNQPMFFYVQVSNFAFELGKEEISASGTSYLNRTEAANVEKLVTAFLNSVVVPSQIGVITHYVGQRGCIVNYMARNGSLLQQLYKEIEVSSVDAFQGREKDYIILSCVRSNDHQEYGCLVEGPLNNLKESMVQFQSPRKGGYAVDYATQGARGAFPGNFMDQNSQGGYSRFSGSNDFMSQGQGLPNSLYSQSLICHTTHSHYNIQAHSNLNLLNKPLLQIQQLGIVLSWLQKEHLVAFGCMQDTCLSALKAEQHAMIVQRYIYLSNFGRSIGT >A09p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35802658:35805332:1 gene:A09p044390.1_BraROA transcript:A09p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKQELPVSGEPLAAVESPMTDTIEKKKKKKSKKNKHAEVEVPQEVVTNGEELSSKEKKKKRKREEKEKEEKKKKKEEESEEVPEKKAGESEQKVVVTGKGAEEAKYAALTSFAESKLPENVLDCCKTFQKPSPIQSHSWPFLLDGRDLIGIAKTGSGKTLAFGIPAIMHMLKKNKSGKGTRNPACLVLSPTRELAVQISDVLSEAGEPCGLKSICVYGGSSKRPQINAIRSGVDIVIGTPGRLRDLIESNELRLSDVSFVVLDEADRMLDMGFEEPVRFILSKTNKVRQMVMFSATWPIDVHKLAQEFMDPNPVKIVIGSEDLAANHDVMQIVEVLDDRARDQRLIALLEKYHKSQKNRVLVFALYKVEADRLERFLQQRGWKAVSIHGNKAQSERTRSLALFKEGSCPLLVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTQQNKGLAGELVNVLREAGQVVPTDLTKFGTHVKKKESKLYGAHFKEIAADAPKATKITFADSDDED >A07g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8055096:8055761:1 gene:A07g504140.1_BraROA transcript:A07g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YMFVWFVVDVCIEFVLVCRVSMVASGLVSRGGGVEGGTWGELLERAGGVRMDAEECTRGLVDLLAQRGLWACLVVRKELRQNIVLQFYQSHGGAKRHDPQHLLFVDGEVSVTVVCGFPFSGNYHTVVSHGGQPWWSPANNKTLFN >A09p052560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45979711:45980310:-1 gene:A09p052560.1_BraROA transcript:A09p052560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT1G24110) UniProtKB/Swiss-Prot;Acc:O48677] MVGGPRINVKYGRKDSLDSDMNRVEGKLARPNMTMDHIISIFGSAGLTVQEMVALVGSHTIGFSHCKEFASRIFNSNAEHSADFCPEGMNSKYAAELRKLCSNYTKDAEMSAFNDVFTPGKFDNMYYKNLQHGYGLLESDQAIAFDNRTRPFVDLYAANETAFFDAFAKAMEKFSEQRVKTELNGDVRRRCDQYNDYRG >A09p018740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9911953:9912390:-1 gene:A09p018740.1_BraROA transcript:A09p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKCKCEKRGPIVEFNGSRAWNSRRCDCGAAIIVLIIMSNTARNPERRFYRCGANSGQNHIWHIKSDLADMKKDISEIVGLIECLRMKS >A07p018560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11155533:11158425:-1 gene:A07p018560.1_BraROA transcript:A07p018560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTTATSEAGEGAVMALITMLPPIKTVSRPVDSGLSHKNALERCIEHSRLWQANSDQLIAPNYNVSCRKKDFSSYMFCYGFGLLREKLKMMITGSNYFTITSEMVVQAEVATANYGSFHLAGDNCDPRSGGQIEIISYSMCHVDPTRTRELIEGVEEPEVVMLVETVTRGRGRSGGGNGHSFKSLFITKTLTSLLRLT >A04p025890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15668489:15670115:-1 gene:A04p025890.1_BraROA transcript:A04p025890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVVGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHAGMAVAGLAADGRQIVARAKSEARSYESVYGDAVPVKELSERVASYVHLCTLYWWLRPFGCGVILGGYDKDGPQLYMIEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKLHDEAKDKAFELEMSWICEESKREHQKVPDDLLEEAKTAAKTALEEMDAD >A09p056870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48188048:48189343:-1 gene:A09p056870.1_BraROA transcript:A09p056870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGQTQTSFTSDQGKTYMLRISNVGLSSTFNFRIQGHKMKVVEVEGSHVIQNDYESLDVHVGQSLSLLVTLNQPPKDYYIVASTRFLRRKLNVTGLLRYSNSRVPASGAPPPLPPGELIWSMRQARTFRWNLTANAARPNPQGSFHYGKIKPTKSFVFSNSAPLINGKQRYAVNGVSYVNSETPVKLADHFNIAGVFSTNAIQSVPSNSRVTVATSVVQASLHDFLEIVFQNSEKSVQSWHLDGYDFWVVGFGSGQWTPAKRRLYNLGDALTRHTTQVYPNAWTTILLSLDNQGMWNMRSARWDRQYLGQQFYLKVWDPVKSLANEYNPPDNLLRCGKAVGRHL >A09p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4582224:4582871:1 gene:A09p008920.1_BraROA transcript:A09p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVDANEKKSGSSLKFLCSYGGKILPRSTDGKLRYVGGHTRVLSVDPSISFEELTKKLFEFCGYSVDLRCQLPNGDLETLISVKSDEELANIVELHNRVSGAKIKAVLTPPRSHKSPSSSSSGGGDRSPNSPFSVTPSPPNSPALAYGRCLQSRYCLPPTGLARRFHQRSGDSYCYACRVHKGSRLIWH >A07p050260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26785950:26788493:1 gene:A07p050260.1_BraROA transcript:A07p050260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSKCAVCYSSFRASICVACVNRSLHECKTVLDSLKSRREVSYSRLSSLLVAKERAMIQQCWMDLHNEKLDKLRDKLELQVEKLQKSKSTFRRLSSNLKERYGVIESTNIALEKSRVRQLENHFSDTIGNHYLVYIELTSERLYKQALVMKQICKLFPLSKVMVESHNKYGSSGQYDQICNAVLPQGLNPLSVPPKELAASLGYMVQLLNLVVHKLAVPALHNLGFGGSCSRIWQRESYWKSHPSSSSDEYPLFVPSHDYFSVEGKSSWTGRDTTNFGVTSLKSDGSVEEDYHDLDVVNLSSASPHSVETFRNVQRGIAHLKQSVAHLTVYGYSSLSMEVPSGASTFETFAKLLNTLSSIKEVQSALSHGLSSSSKQRHEPNKSVWNLNSSSSSTMLNSSHIQPTSWNNKSYYNVPNRDPSSIDEWNLVEYPHFSHQAMKFNFPNKY >A07p009230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5652837:5653416:1 gene:A07p009230.1_BraROA transcript:A07p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVMADAAELQEQSSIHDTFDICFEHLLFHLFSDSPFGPPGTRTPPILMTSLPAFSGSSPSSTTPIPGFSVGPTQINYGIHPHM >A05g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:413461:414585:1 gene:A05g500120.1_BraROA transcript:A05g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase 3b [Source:Projected from Arabidopsis thaliana (AT2G47140) UniProtKB/Swiss-Prot;Acc:Q94K41] MHIIHMHKYQHISSRKYHSERFNNVWTQAIFSSLTPFPYNGIHKFIFMQYDLLNLCRLEGKVVIITGGASGIGAESVRLFTKHGARVVIVDVQDELGQELSVSIGEDKASYYHCDVTNETEVENAVKYTVDKHGRLDVLFSNAGVIEPFVSILDLNLDALDRTIAVNLRGAAAFFKHAARAMVEKGTRGSIICTTSIAAEIAGTAPHGYTASKHGLLGLIKSASGGLGKYGLRVNGVAPFGVATPLVCNGFNMEPNVVEENTSASANLKGIVLKASHVAEAALFLASDESAYVSGQNLAVDGGYTVVKS >A06g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13345636:13348207:1 gene:A06g504230.1_BraROA transcript:A06g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTESDQPSINGDTFPSSDDESEESTDTELPTSIDTAQPEAGKFSLTKPANEKVVQTELKGQTSNETSQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKFKFNADYYPQIDDNALSDTDDYSDEGTNCYDPYSVLHVESFTQAYDTALKSRTGRERFNIRQALTGNQKTKSEFYGKINMVYGELMEKADSLGELIRKLEGRVAEIATAIKRDAGCLPGRTILNPRRQVSAVMLLSGKNLAADTRNNSDTGKSNSHPILLNDLDPNPSQENQKTTAEKAKEKAIDLELDEDTEIEDEIDRQYGTDVDRPKDLPSIDNLKNPSIDVFSISDRTNDYMRLMDASIEVANVEENDDSG >A04p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18330111:18331659:-1 gene:A04p030910.1_BraROA transcript:A04p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle-associated membrane protein 723 [Source:Projected from Arabidopsis thaliana (AT2G33110) UniProtKB/Swiss-Prot;Acc:Q8VY69] MAQQSLIYSFVARGTVILVDFTDFEGNFTSIAAQCLQKLPSSNNKVIYNCDGHIFNYLVENGFTYCVVAVDSAGREIPMAFLERVKEDFNRRYGGGKAATAQPNSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVLIENIDKLYDRGVKIEILVEKAADLRSQADVYRTQGDQIKRKMWFQNMKIKLIFLAIIIALILIIILSVCGGFNC >A03p069060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30289290:30290841:1 gene:A03p069060.1_BraROA transcript:A03p069060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDATELGSEKKPNPGKATILAIGKAFPHQLVMQEYLVDGYFKTTNCDDPELKQKLTRLCKTTTVKTRYVVMSEEILTKYPELAIEGGSTVKQRLDICNDAVTEMAVEASRSCIKNWGRSVSDITHLVYVSSSEARLPGGDLFLAKGLGLSPETHRVLLYFVGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSKDRPYDLVGVALFGDGAGAMIIGSDPDPVSEKPLFELHTAIQNFLPDTEKTIDGRLTESGINFTLSRELPQIIEDNVESFCEKLLGKAGLAHKDYNQMFWAVHPGGPAILNRMEKRLNLSPEKLSPSRRSLMDYGNASSNSIVYVLEYMLEESRKARKMNEGENEWGLILAFGPGVTFEGIVARNLDV >A07g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12189735:12191011:1 gene:A07g505460.1_BraROA transcript:A07g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole glucosinolate O-methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G21100) UniProtKB/Swiss-Prot;Acc:Q9LPU5] MGILFEETVSSDPKTQIVIDDDNELGLMAVRLANAAAFPMVLKAALELGVFDTLYAASVFLSPSEIASRLPTTPRNPGAPVLLDRMLRLLASYSMVKCGMAQAGKGERVYRAEPICRFFLKDNIQDIGSLASQVIVNFDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYEGFKDVKVLVDVGGGVGNTLGVVTSKYPHIKGINFDLTCALAQAPSYHGVEHVAGDMFVDVPTGDAMILKRILHDWTDEDCVKILKNCWKSLPENGKVVVIELVTPDDAENGDINANIAFDMDMLMFTQCSGGKERSRAEFEALAAASGFNHCKFVCQAYHCWIIEFCK >A07g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13103238:13110094:-1 gene:A07g505760.1_BraROA transcript:A07g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVKIQASCKQSLFQLFQRHCRVGEWKVITNFSLSPVCGLYRHTNHVYKIEFMSQTLITDSNLHCDNMFLELKQFDNIKNGSHDTSFLIDVIGEVLDFGGLDIVQYAKLKNYKGIVPLICKYLFNDQKLLRELQVSNAFDSSLVLQNPDIKEAQALKNMQGVKIQASCKQSLFQLFQRHCRVGEWKVITNFSLSPVCGLYRHTNHVYKIEFMSQTLITDSNLHCDNMFLELKQFDNIKNGSHDTSFLIDVIGEVLDFGGLDIVQYAKLGNYKGELQVSNAFDSSLVLLNPDIKEAQALKNMQGVKIQASCKQSLFQLFQRHCRVGEWKVITNFSLSPTLITDSNLHCDNMFLELKQFDNIKNGSHDTSFLIGELQVSNAFDSSLVLLNPDIKEAQALKNMQGVKIQASCKQSLFQLFQRHCRVGEWKVITNFSLSPVCGLYRHTNHVYKIEFMSQTLITDSNLHCDNMFLELKQFDNIKNGSHDTSFLIGELQVSNAFDSSLVLLNPDIKEAQTLKNMQPKHDNVMIQEKRQKWSQFPFKTIQEMKRTDK >A07g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22040168:22045627:1 gene:A07g508020.1_BraROA transcript:A07g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSVLGSPTMVETRLQERSLTEQVDKIRSLHDLLAAELKSRADSLDLRFDRLEALMFHNASQLQATGKAPMDPGPSHPPTPISNTPHHTPNQPPDPPDTTGYFDRRDGRTPPPPTGLASRLSKIKFPSFDGTHLRDWISNCEQFFDIDGTAPEIKVRLASMHLTGKATQWHHNYMSTRFGIFPSWTEYIVAISSRFSELYDDPLAELVDLKQGSDSVVDFLDKFETARMRLVLPEAHALSIFLANLNRHLSLHTRQFESFSVLGSPTMVETRLQERSLTEQVDKIRSLHDLLAAELKSRADSLDLRFDRLEALMFHNASQLQATGKAPMDPGPSHPPTPISNTPHHTPNQPPDPPDTTGYFDRRDGRTPPPPTGLASRLSKIKFPSFDGTHLRDWISNCEQFFDIDGTAPEIKVRLASMHLTLYDDPLAELVDLKQGSDSVVDFLDKFETARMRLVLPEAHALSIFLANLNRHLSLHTCQFESFSVLGSPTMVETRLQERSLTEQVDKIRSLHDLLAAELKSRADSLDLRFDRLEALMFHNASQLQATGKAPMDPGPSHPPTPISNTPHHTPNQPPDPPDTTGYFDRRDGRTPPPPTGLASRLSKIKFPSFDGTHLRDWISNCEQFFDIDGTAPEIKVRLASMHLTGKATQWHHNYMSTRFGIFPSWTEYIVAISSRFSELYDDPLAELVDLKQGSDSVVDFLDKFETARMRLVLPEAHALSIFLANLNRHLSLHTCQSRKDRYAAGIITTPHPETLKAPLQP >A04p019290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11544648:11544980:1 gene:A04p019290.1_BraROA transcript:A04p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTHANLSLSVTPLLVQSITFDLLTTTTISPSARHHHHHRSFTRHHHHRHLSHPSSFVTTTIASQIRHHHHLPSLSLSLNDLILFFFSTLVVLSPSLFLSFESDFDLFFW >A09g516100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47641038:47641367:1 gene:A09g516100.1_BraROA transcript:A09g516100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFANASQVKRSAQVRFLQQYSYGLRPQPSSKIVELAERIAALSLEERKQICHALSMRESKTRGWFRKPEEKKDKHVFSVKLEKLQLQRSSYK >A03p053990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23170193:23171966:1 gene:A03p053990.1_BraROA transcript:A03p053990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVNPFDLLDDDTEDLHKLVAAKPLKVEKTAPVQLGKLPTKPLPPSQAVREGKNGPGGGGRGGGARGGGRDRSGGFNREPRNNDGPGNENGYVGGYRRFEEGDGARRGGSVGGYRGRGGRRGESGDFERPRRNFDRQSGTAHGNGFKRDGAGRGNWGTNEDEIPPVTEESAAVVEKSLAVEKEGEATDANKETPVEEKEPEPEDKEMTLEEYEKVLEEKRKALQATKIEERKVDTKVFETMQQLSNKKSNNDEVFIKLGTEKDKRPVEKEEKTKKSLSINEFLKPANGERYRGGYRGGREGRGGRGTREGAEGRGRGPRGADGGENQRAAAPKRVAPAPKIEDAAQFPTLGK >A10p005320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9254532:9255406:1 gene:A10p005320.1_BraROA transcript:A10p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFHHMILIFHSFKGYSDLEDFWARRLPDDFQKVFQTTFRKFSRRLPGSLLAESSPMSPFHNRSEHFGFSDLSLIYMFFRSGSDFGRPMETLLGSLLKYNALEDFQSSRRLQKSLPDDFQEVFQTTSKKSSRRLPGSLLTGSSSISNGV >A03g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2692579:2699974:-1 gene:A03g500900.1_BraROA transcript:A03g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cycloartenol-C-24-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13710) UniProtKB/Swiss-Prot;Acc:Q9LM02] MPDVLCVTPRAGLGNKIVVYLVDSVSVCVVRPKAAIVIGKWKNFHVSDISGAHNEEPKRYACCPHSLPTTARFSHPPARGISVRCTMSKSGAMDLASNLGGKIDKSDVLTAVEKYEQYHEFHGGDEEERKANYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGQKVLDVGCGIGGPLREIARFSNSSVTGINNNEYQITRGKELNRLAGVDKTCNFVKYGCYKEIYRVLKPGQCFAAYEWCMTDAFDPDNAQHQKIKAEIEIGDGLPDIRLTSKCLEALKQAGFEVIWEKDLAKDSPVPWYLPLDKNHFSLSSFRLTAVGRFITKNMVKLLEYIRLAPKGSQRVSNFLEKAAEGLVDGGRFTIMGQYSYNQPSSSSNSQDLNSLLQTEAEMTCYCGSEPVHSYSQTPKDPYRRYITCPNADDGDCHVWKWWDVAVEEEMRDIQTELSELKGEANEREQKLLILEKRIGELTKKKSGAKLMVFTIVLVGLVLLINVLEKLRRIQRSGAYQLCFFNELSDRRLINKPSVSLVECHREAIETMANNSQSFTSFLLSQNTVDLDSPEPFWFGSQGPDVSGSEVPVALTHVGRSHGCIWSVALVGRSHGCCRSHGCCRSHG >A09g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5859740:5862687:1 gene:A09g501590.1_BraROA transcript:A09g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQFLCFLLLLSIPFLCESRFVVEKNSLSVTSPDSIKGAHDCAIGNFGIPQYGGSMAGTVVYPQENQKSCKEFSDFSISFKSQPGALPTFLLVDRGDCFFALKVWNAQKAGASAVLVADNVDEPLITMDTPEEDAASAKYIENITIPSALVTKGFGEKLKKAISGGDMVNLNLDWREAVPHPDARVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFRPHYITWYCPHAFTLSRQCKSQCINKGRYCAPDPEQDFSSGYDGKDVVVENLRQLCVFKVANETGKPWVWWDYVTDFQIRCPMKEKKYNKECADSVIKSLGIDSRKLDKCMGDPNADSDNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKALCSGFEETTEPAICLSTEVESNECLGNNGGCWQDKSANITACKQAGQGDARSTMEDVGMKREIDMRSLLDKDSVKCECPPGFKGDGFKKCEDINECKEKKACQCPECSCKNTWGSYECSCSGDLLYMRDHDTCISKTGSQVRSAWAAVWLIMLSLGLAAGGAYLVYKYRLRQYMDSEIRAIMAQYMPLDSQPEVPNHVNDERA >A08p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16915939:16918225:-1 gene:A08p026590.1_BraROA transcript:A08p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGSLYKLNNFYGSGNKTVYRVSDHAVTVSFSWNSELSVLEDSPTPFDEDRFRFHSFEDFQASCDRKGDLYVLSGHEIVECYCVVFLLTRLMNNGIDVVGHMKVVNGQCLIGTPVLDEVEIARARHVLVHVQSSDGPVMKLYLWDQAVRDFCKKFKSYEGTPTVLLVTTRYSCTDFNVLLTCLYGTMSNLRSTTLAGKSIYIFPFIFNLSLPRPISMIEWIKMLLLCLRLGSNPQSAELVNAEVVTKRETLTIGEIFSYIKQESNKLCCPGGFFECTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPHEQAVFVLLGDAGFELTGKQAAELVSSYFEANGDQGVTQEVPFPEALLHNLDGKSRSLTVTKILPLDTPPVTESSGGNYNPATSEETFETGTNVCEASKISVDSAEGSKRNGDIDEMGKAKRLKRGV >A09g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15424009:15424889:1 gene:A09g505090.1_BraROA transcript:A09g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPSHSDYIKSGHNEAETIQENNNPRKEVFVIKHRRSHERSKTTKTKPERRIQDDEDDENQEVFCIQEELRLRQERRRRQSRCGRQRRGRRSRAADSSAAARRRRVASGAEETDSEQRRERKRRTPSREREDSKSYRSGSRERGSGSRRVSRSPERRSETTNPDNGIGSSVNSSNNNNIPAKFVSVPATDKEKRSSNNNADASIKRVAVKRNVASPRSQSPARAASPRAQSPARVINHS >A08g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1155152:1155585:1 gene:A08g500490.1_BraROA transcript:A08g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILANLPHDLESEILARVPAKSLSQLKTTCKRWYALFNVMRIWVSNKVDEEGKELSWRKDFVLEVDFDKFQLPCEEKKVAVFCETKATKGEEQTRIYIAGEGMYKQVCKEGSVNVTRLSYPLLLTYVPSLVCIH >A10g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8305418:8306273:-1 gene:A10g503170.1_BraROA transcript:A10g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SETDIERLLKSSRKTSQKTLEKSSNAFFARRLPTKFSGNLPKSLESLPTSSVQNSTNIGYTLGRLLGKSSNAFYAGKLPTKSSESLLKSSAQNLSQTLEDFLEDPWKIFGKLMENSQKTLGRKSSNVFYARRLPTKSSESLLLKVVQRTNVKWSPSLSMLRNDI >A03p056760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24599346:24601946:-1 gene:A03p056760.1_BraROA transcript:A03p056760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNEQTTERTDLQRPLVDQKPPPSDVGLESILTENSLPYRRRVYLGACIELKILLRLALPAILVYIVNSGMSISARIFAGHIGSHELAAASIGNSCFSLVYGLMLGMGSAVETLCGQAYGAHRYDMLGIYFQRATIVLALVGLPMTVLYTFSYPILLVLGEPKMVSYMGSLYIVGLMPQIFAYAVNFTAQKFLQAQSVVTPSAYISAAALFLQISLTWMTVNMMGLGLMGIAYVLTISWWVIVVAQILYITSSQRFRHTWTGLSWRSFHGLWSFFKLSVGSAVMICLEMWYSQILVLLAGLLKEPALSLDSLSI >A05p010770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4570542:4573987:-1 gene:A05p010770.1_BraROA transcript:A05p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTESGQSSGSKPSDDDDEAWAKLVPLDTRFSDIEIRCSDMVICSEIKPSSSERHEWCRITKNLGQGSATLHNKSSDAILVDEAIIPKDGAVDIMSGSEIVPGPGGQGYLQYRFTVMPAPDSRSQLLKISIDPDHAKCSICLNVWHDVVTAAPCLHNFCNGCFSEWMRRSEARHQHVLCPQCRTTVQFVGRNHFLQNIQEDILKADTGLRRPAEDLAVLDSSSSIQSNLIIGSKRKRRFSTLVSPTLEERDSLRLQCPQCAATIGGYRCERHGAHLQCHLCRGMMPNRTNFQVPLHCMGCDRPFCGAYWSSQNVTHGESSTPVCSQDTFRPISERTVTRIPSITHEMNRHEQDITQRCIAKMGRTVQDVVAEWFSLFNDRQIDRSRMPLNHAESITVSTHVCNECYDKLVGFLLYWFRVTLIVDYFPADAAARENCWYGYACRTQHHNEEHARKRNHVCRPTRGNHPS >A01p018090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8814709:8822659:1 gene:A01p018090.1_BraROA transcript:A01p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-containing transcription factor FWA [Source:Projected from Arabidopsis thaliana (AT4G25530) UniProtKB/TrEMBL;Acc:B5BPE6] MNGQEDDYDDVFRYISSPSFMGIAEDDEINDTMGTTFGAGDQDGGNTIRNIVPIPQQNQEPENIYQECPHPMGTQARVDDIQNAPVERDSFINDLLVSLSSPLGNALPAYDSFVDDDVLDIPAPPSSPTLMLNPGSDLSTELGPMINDRSTSMVNPHATQPGNDAPQDKVDSGGETSPININSLLELADIAMNELIMLGEVNSPSWIIDSSSKRITAEFQVISPLVPKRQVKFLRYCKMLRVGFWVVVDFTPRQENLNFSSDDGSYRLPSGVIIEDLKLAQRMTINYYLCITASPASIWKSNDSESARQDIRVMIRKNNVGEHTRIVLSAATSLWLPVSEKTAFDFLINPSFRNQWDILAIDVTTSIEEKIKIQKSRFHRNEVSLLQIEPGNSVLQETWNDASGALLVYAPVDMEKILASENSNSVQILPSGFSILPDGGGETDPNRSRVGCLLTLGYQMLHSVKPTEDVDQDFVKKVERLMDRTMGKIKSALSR >A02p012280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5363842:5364693:1 gene:A02p012280.1_BraROA transcript:A02p012280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRLPDDLVPLVKFPPRHRREPQLYIVDPTSKGSVDTCFNEPGPGREISSLVSVQRHLVGEVDDRRLSRSPKFYEKRRTEQGNRVASKDFRLPRGWTVKEVPRRNSPRSIDKYYTEQETGKRFRSLVSAERYLNSVGNGTVASVLHSVPLLAICNGTGYEPVKYTQ >A06p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2993728:2999481:1 gene:A06p008620.1_BraROA transcript:A06p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPVVPRCMTVDCGMATDTTASSYWLNWRVLCCALILLAPLLLAAILIWRYEGKRREGREHPGTLFQEEAWSTCYKRVHPRWLLAFRVFSFAAMLTLLISNVVRDGAGIFYFYTQWTFTLVTLYFGYASLLSIYGCCIHNKEAGGHTESYTSIHDPEQGTYRPPITGDEAGTTPKSPIEHSEAPVREAAGFWVYVFQIQFQTCAGAVVLTDIVFWGIIYPFTNGYKLSFLDVCMHSLNAVFLLGDTCLNSLRFPLFRISYFVLWSCIYVAYQWIIHAFKNLWWPYQFLSLSSPYLGVTVMHIPCFAVFALVIKMKSSLLRRHNS >A01g510260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28104330:28107241:-1 gene:A01g510260.1_BraROA transcript:A01g510260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p046830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29288784:29291206:-1 gene:A02p046830.1_BraROA transcript:A02p046830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTASESDVSIHSTFASRYVRTSLPRFEMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMESINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLGDGEAAIGVGTVGSSEAIMLAGLAFKRQWQNKRKAQGLPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVELVDENTICVAAILGSTLTGEFEDVKLLNDLLVEKNKQTGWDTGIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRTKSDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYRNVMENCRENMMVLRQGLEKTGRFNIVSKENGVPLVAFSLKDSSRHDEFEVAETLRRFGWIVPAYTMPADAQHVTVLRVVIREDFSRTLAERLVADFEKVLHELDTLPARVHAKMANGKANGVKKTEEETTREVTAYWKKFVETKKSNKNRIC >A10g500940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2837208:2837468:1 gene:A10g500940.1_BraROA transcript:A10g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKDDEKWERIEHTEGSSLLVDEKSKQKQLWVEEEDSAVKGNAWVSPAKVGKAQASPAQSTKRDIVISASKFSILLEEKEEGEI >A07p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19380505:19381862:-1 gene:A07p035960.1_BraROA transcript:A07p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKMEVKKGPWTPEEDIILVSYIQQHGPGSWRCVPRNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKTIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNLQRQNGNTNDDNTNMTEMSSCDNNNNNNRRSVNKGQWEKKLQTDINMAKQALFQALSLDQASSSITADPDSPKPHDHHSTTAIYASSTDKISKLLQNWTSSSSSMPNTSSLSNNRSSSTGEGGVLDHQYSLFSPNSESGSVDERLNIMTETSIFKGESKSNVDMEATNNATTDDHGSLSLIDQWLYDDQGLVDQYDDVQEDLIDVSLEGKNNGNNGQDLS >A07p050710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27015030:27027760:-1 gene:A07p050710.1_BraROA transcript:A07p050710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSKPNEKTESGFSPIPVIDISDPESKHALVKACADFGFFKVINHGVSSELVSVLEHEAVEFFSLPASDKTQVAGYPFGYGNREIGRNGDVGWVEYLLMNANLDFGSHSFFPGLFNNPETFRNALLEYTTSVRKMTSHVLEMITDGLGIEPRNTLSKLVSDKNTDSIFRLNHYPPCPHHINTNTNTNGGNNVIGFGEHTDPQIISVLRSNNTSGLQINLADGSWISVPSDPSSFFFNVGDSLQVLTNGKFKSVKHRVLANSRKSRVSMIYFAGPSLTQRIAPLSCLTDNEDESLYKEFTWSEYKNYAYHSRLSDNRLQPFERNAVLEYTTSVRKMTCDVLEMITDGLGIKPRNTLSKLVSDQNSDSKFRLNHYPPCPLIHENIDGACNNVIIGFGEHTDPQILSVLRSNNTSGFQINLTNGSWISVPSDPSSFFFNVCDSLQVLTNGRFKSVKHRVLTNSMKSRFSMIYFAGPPLTQRISPLTCLMNNEDESLYEEFTWSEYKSSAYNSRLSDNRLQPFERKLFPLND >A03g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22792524:22795096:-1 gene:A03g506370.1_BraROA transcript:A03g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFLGLLIVFPNLIWSGRRRNLKKAKGSREMATATENNRADDIVCSQERESTCGANKYGGLVPKKKPLISQPKRAFFDSADWVLHKQQASADERTLEAIESLRPKLVRTPHKQLPPRGPTFLTGQENLKFEPFKIWTPKRDNCLTRCLCLSLISLSLPKGQFGDFETSTTGIAAISVASPPPPSRPSSPTPPPSRSISEALDILFSGAHVNCGADLAILLFGVVVKSINALSLPTWAVHVSSVVEWFLSLLP >A04g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10332892:10335753:1 gene:A04g504860.1_BraROA transcript:A04g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSEETQNLGFLGISSEYTDEIPRKSYFLGIFRGNTEEIIFPRNIPMKYRGNHIFSEFLLIYIVPRNFLGIFRGNSEEHMFGPRNIPRKFRGKTSVPRNIPRLFRGSRALLLGFLFLRKSLGIFRGNSEEHLFFLGISSEYSEAFPRKAKKFDVLKPQTCVPRNFLGIFRGNSEEKYKLIEIPKNMISSVFHRYIPRKYDFLAAKYFAKIEIENTEEIPTDSFRRTLGFIDTKHFFFPNFSVLPPAISLFFRRFPPSLATISPANPLYSYTNHEVYPSGYSDDIFLGIFRGLSDELVVLGISSEIHFLGISSEISEGFPRKNEFPRSYFRGLFSSVCRRNSVIPTTYRRFFP >A01p053590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29981236:29982249:-1 gene:A01p053590.1_BraROA transcript:A01p053590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLETTALATLSPRVLGRESSEKDMSMSGGDKLILKGLKFYGYHGAIPEEKTLGQMFLVDIDAWVSLKKAGESDNLDDTISYVDIFSIAKEIVEGPSRNLLETVAELIASKTLETFPRITAVRVKVSKPNVALIKTTIDYLGVEIFRQQKY >A03p067090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28719207:28721240:1 gene:A03p067090.1_BraROA transcript:A03p067090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSYLSPTRLLEGYLRRCLTAAGLTSQTLSIDSETTIHFWGPSPLDNRTDKRPVMLLLHGFGPSSMWQWRRQIQAFSPSAFRLYCPDLVFFGDSTSSSTNRSEVFQAECMEKLMEKIGIEKFNVVGTSYGGFVAYHMAKMWPQKVEKVVIASSGINMRKCDSESLLQRSNCECIEKVMLPSTATELRTLMGLASSSRIVRMFPDALWNDVISNLYQKNRKEKVELLKGVTLGRDEKLSIDPLSQEILIIWGDKDQIFPVKMAYELKEILGDKTKLELIENTSHVPQIECAQEFNNVVLKFLKGSQ >A09g512740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38199326:38200418:1 gene:A09g512740.1_BraROA transcript:A09g512740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIDRENPIFIENEGVSLAPRTGMTGQRMGTISQGLHPLGRMRPFANTAWVWVRQRPKALPNPSELSPWPCPKPQRSMASWPDLHGPPPARTRTIGPKHEQSSWLGWTVLAASTLRCGCTEKINRRQEWRMESAAGRVSLSTRSKDGLKDIYGSGSCWTYVSLKTRQGMEWIDGLHKEQWIGSLICQAAALKSFTQLKDLGFLSKAKRFS >A06p038850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20888706:20889009:-1 gene:A06p038850.1_BraROA transcript:A06p038850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGSLGDKAGEAYFLEAEKHLSETVVSKALIARIDTPSGIICFQMGKYSKELEKRSWRSF >A05p012350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5345039:5349119:-1 gene:A05p012350.1_BraROA transcript:A05p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKKGGARVSDDSEEQSRQRLQAILLADSFTTKFRPVTLERPKVLLPLVNVPMIDYTLAWLESAGIEEVFVFCCAHSTQIIDYLETSEWRTHPNLVVRTIESHKSISAGDALRYIYEQQTETSQIQGDFVLVSGDTVSNMPLADLVQEHRERKKKDEKAIMTMVIKKSKPSLITHQSRLGTDQLFIAVDPLTKQLLHYEEDKVDHARGSVCLDKSLMESNPSVLLYNDMQDCYIDICSPEVLSLFEDNFDYQHLRRHFVKGVLVDDIMGYKIFTHEIGSSYAARVDNFRSYDTVSKDIIQRWTYPYVPDINFGGNRPVKLGREGIYRACDVVQSRSADVGASTVVGYGTKIGNGDRILNSVIGSGCSIGSNVVIEGSYIWNNVTIEDGCEIRNAIVCDGVRIRAGAVLEPGVVLSFKVVVGRDFVVPAYSKVSLLQQPMKEDSDEELEYADSSSGAADRLSGLSLEMESKGSELGPDGAGYIWEVCEGAHDEEWKHSVAPIPEDKLAEITQAMDDYDDMEDESVVPTSGELKSDADSINTDVNDPGDDYGYFEKEVEGTFLRAVEEGIKVELGVLEINSLRLSYNMESADCAGAIFYSMMKLAVDTPHSSGSELYKNAASIIAKWKGLLGFYVKQTDEQIEVIMKFEEMCQETAKELGPLFAQILHVLYEKDVVQEDAIMRWAEEKAGADEADKVYLQQCETIPQMDNNLAGDSPPPPPRPKWRKVAYGGMQIGYDDNYTDETFLEEMVMNANVVRRDLLKVMKDSVSISQYLCIVALVVLVWFHTLQSSLDETSLLLLDLSLLALGFLVLLLTEEKMLSLRLLLRYVINITFFTTGLYVLAPVYQTLTRSISSDSIWAVTVSLLLLHLFLHDYSGSTIRAPGAVKSPSLTSCISVNASVVASVFVASRLPSRGHVFAVMLFSLQVFLFAPLVAYCIKKFSFGLHLGFSFALMGLTMYSVYALHRLFFVLFLVLVVVVNVVCPYWLIRIQEYKFEINGPWDEAKLCFDITD >A06p052840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27906534:27907255:-1 gene:A06p052840.1_BraROA transcript:A06p052840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETELELQQSPMAEEQQPSPFSLPPYPQLIMEAIEASNDANGCNKTAIARHIESTQTSLPPSHMTLLSYHLNQMKQSGQITMVKNNYMKPDPQAPPKRGRGRPPKAKPQGESSHVAVPAPAPSVSSPRPRGRPPKAKDPSSEVETKVAAPSGSGRPRGRPPKKQKTESEAVKADVEPAEAPAGERRGRGRPPKAKPAMVPVGC >A07g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14972785:14976664:-1 gene:A07g506300.1_BraROA transcript:A07g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMPLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTSLSGSLSISTNKGDLLVSGNGDVLRHADYTRKDEMEDERVDLLLDRIKKNFDWSNTEWPVIEAEETEMEEADTESEADKSVDATDIAADVETSSVHVAGRGKRKIQDEGAETRKKKLLCKRTAEKKQRIDEETKSFIEGLVHSSVNSLGEILREQMASMESMFKERIGNMEIEVSQLREARSLSAEGSVPKSKTDEAAPKTKTVQTPAKKKVNQAQAQAPAKKKDWLKNGIETNEFDFGLSTQELRELSQDTFVDGFDLSQVKVENSKPFNMSPPKLNDEEIDRAGEASADAALVYLRKEDWEKVSTWLIKSKPLRIGPSLLDAEIGTRLMDRTEWLHNSEIDAMMYVYRERTSLKRWKLHRVAFMSVVFSNMIKKEYESFKAGIRKYKLHHLLLQYGKGVLPPHGQTQEIWNVDVDRLYVPVHVSGNHWIALCISFVTRSIDVLDCSGRKRYKELDAFANLVPRIVKA >A05p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26510987:26511579:1 gene:A05p044040.1_BraROA transcript:A05p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLSIKRLVTVNISKTHGGDVSGYVLRHATTRFDRLFTAFRQTESMRDHRHDDRSTASYVSDKAKEGVKKATDAALNAGDNMKDAMDGAWKAAKETGQNISEAVAGDDDTGDRIQEDKVAVELKDVSQPVDTTEYRGVEELHQQTDGENKSP >A09p007380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3886980:3897401:1 gene:A09p007380.1_BraROA transcript:A09p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRVGGKVVDKVDLRRKKHWAWRLDVWPFAILYATWLTMIVPSIDFTDAAIALGGLVAFHILVLLFTAWSVDFKCFVQFSKVNSIDQADACKVTPAKFSGSKEVVPLHFRSQLTGSASSEDMEEIFFDFRKQRFIYSKELGAFSKLPYPTKETFGHYLKCTGHGTEAKVDTATEKWGRNVFDYPQPTFQKLMKENCMEPFFVFQVFCVGLWCLDEFWYYSVFTLFMLFTFESTMAKARLKTLTDLRRVRVDSQTVMVYRCGKWAKLLGTDLLPGDVVSIGRPSTQTGGEDKTVPADMLLLVGSAIVNEAILTGESTPQWKVPIAGERSDNKLSIKRGKNHVLFGGTKILQHSPDKSFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTVRVTANSWESGLFILFLVVFAVIAAGYVLVKGLEDPTRSKYKLLLGCSLIITSVIPPELPMELSIAVNTHYLLFHVVGYSAQSLLGSLLLGRLICVVSIRLEFRGVGGLTDFVEAETDMSKVPVRTLEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKALPRKGNGTSVQIMQRYHFASHLKRMSVIVCIQQEYFVFVKGAPETIQDRLVDVPASYIETYKRYTRQGSRVLALAFKRLPDMTASEAREMDRDAVESDLTFAGFAVFNCPIRSDSAAVLLELKNSSHDLVMITGDQALTACHVASQVHIVSNPVLILGQSKPGDKYKWVSPDEKEIIPYSDKEIETLAETHDLCIGGDSIEMLQATSAILRVIPFVKVFARVAPQQKELILTTFKDVGRGTLMCGDGTNDVGALKQAHVGVALLNAVPPSSTESSKDDSKSKKPKPPSEPTISKTAIQNGEGSLTPQNRHLTAAELQRQKLKKMMDELNSDEGDGRSAPLVKLGDASMASPFTAKHASVAPVTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVLTAAFFLFISHARPLQTLSAERPHPSVFSLYLFLSLLGQFAVHITFLIYSVKEAEKHMPEECIEPDATFHPNLVNTVSYMVSMMLQVATFAVNYMGHLFNQSIRENKPFFYALIAGAGFFTVIASDLFRDLNDSLKLVPLPEGMRDKLLLWALLMFVICYSWERFLRWAFPGKIPSWKHKQRSVAANLEKKKKGYVRMSDKITPRPIRLKRSTMDPSFVSSFTSSGKAAFVKVKLEHAKHVSSEDDTSSSGKKALVAVKLEKEEEEEEQEEAGLLVTTTTTRRPPKRKRLADLVERFEKQRTSQRGLTTRWNTERIDFSEQVIVDVLKEKGASFDAPVSRSELRASARGKIGDTGLLDHLLKHIDGNVTPGGADRFRRCHDTEGTMQYWLESADLLKIKRESGVPDPNWVPPPWWKLQGANGVIKIEPGVDDDEPSASTSELKEEMDRMKSEIKELVSELALIKRECGITDPDLIPLAQWKIQSSSHSHESSAVSSKLREELDQIKSDIKKLVSKPKLPDHADANEKLFKEIVSWKVKTDKQIAEISKSLTSTQGMVKELVSWKDKVEQKLVGISNNVQANGTNAFNPAPQSWEHILHNANLDDFTVNGFEPWDVDADLIDVLPEAVRPDKYSLPPNARKSSFQDHMWFEEQSVLNSEMQRTESCMTRGDSRSSNQDKTEMPPGPRADIDDANIVSQDTLKELVNWKAKAEQQLMEMSDAVRALQG >A09g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23638519:23638862:1 gene:A09g508420.1_BraROA transcript:A09g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVGQVDVSGEHQWVHRIGQALKIWACRPTRIPSAIVRVGIGHRVQGPRPAAGRPAKTQLKMVPQRDGTKWVAGKPNCHL >A01p026220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19702959:19705828:-1 gene:A01p026220.1_BraROA transcript:A01p026220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxygen-evolving enhancer protein 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G50820) UniProtKB/Swiss-Prot;Acc:Q9S841] MATSLKATTATFLQPAKIATSSPSRNVHLRSNQTVGKSFGLESSPARLTCSIHSDFKDFVGKCSDAAKIAGFALATSALVVSGASAEGAPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGSETFSFKPGKYTGKKFCFEPTSFTVKADSVSKNAPPDFQNTKLMTRLTYTLDEIEGPFEVSSDGSVKFKEEDGIDYAAVTVQLPGGERVPFLFTVKQLEASGKPDNFSGKFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELSKENVKNTAASVGEITLKITKSKPETGEVIGVFESLQPSDTDLGAKVPKDVKIQGNVPGSFGTGFSFALRSGQIFGSSTLLIFMCLHYDSYDFNTFCYLTTLVAFVTPWSILLALADAYSLLLEKLPHKPSVISMVLACDVVLSFLCLGGASGVASATELLSSTGAQRHICGDNLCSHYLATATLVFLCCLLLLASALVNLWSLPSLLY >A07g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3131811:3133377:-1 gene:A07g501520.1_BraROA transcript:A07g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFLIYRPNDDQTRPRQRRGRGGTGRQSRGSSSHIQDSVSPHSSYHTSPSPLPAPAPPAPATAPAPAPLGPPGVMNVAELVRQPGRDHLPYHTSYPHGRGQTWFNRSGNEISAWINRMMYSAFDKGHPTFTDFPTDKQHLWFRQFAIKFNWNSDDTLFIYHHFVHKVMDNYGKQIHEWKKKWEINKVPKSMNDTVWKELCAHWDKEETKETSSTNSTNRRSDRKGKGVFKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVTLVQTQVQDEVSQLQTEDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSWSAAPFSAPPPFVDPEVLTAQLKDNDDRISVLESQMAAQQAGYEA >A09p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14496663:14501027:-1 gene:A09p026600.1_BraROA transcript:A09p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIGEESVMTSDNRFASPPPPASSSPATIQNPNFNFIPFNSFSSIIPKEEHGMMSMSMMMMMGDEAVEEMMENGSVGGSFGSGSEQAEDPKSGTEFDVNELRDDEQPPPAKKKRYHRHTDRQIQEMEALFKENPHPDDKQRQRLSHELGLKPRQVKFWFQNRRTQMKAQQDRAENVMLRADNDNLKLENSQLQAELRCLSCPSCGGPTVLGDIPFNELHIENCRLREELDRLCCIASRYTDRPMQPMPPSQPLINPASELPHHQPSLELDISAYAGNYPDHPCSDLMSLPPQDSACFFPTQTINNSSNNMLLADEEKVMAMEFAVSCVQELAKMCDTEEPLWVNKKSDKIGGETLCLNEEEYMRLFPWPMEKNNNNGDFRREASKANAVVIMNSITLVNAFLNADKWSEMFCSIVARAKTVQIISSGVSGASGSLMLMYAELQVLSPLVPTREAYFLRYVEQNSENGNWAIVDFPIDSFHDQNSPHEYKRKPSGCIIQDMPNGYSKVKWVEHVEVVERHVHETFAEYVKSGMAFGASRWVDVLERQCERMASLMARNITDLGVIRSAEARRNMMRLSQRMMKTFCVNISTSYGQSWTALSETTKDTVRITTRKVCEPGQPTGVVLVAVSTTWLPFSHFKVFDLLRDQHHHSLLEVLFSGNSSHEVAHIANGSHPGNCISLLRINVASNSWHNVELMLQESCIDNSGGLIVYSTVGVDSIQHVMNGEDPSNVPVLPLGFSVVPVNPPGEVEGISVNSIPPPSCLLTVGIQVLASNVPTAKPNLSTVTTINNHLCSIVNQITSVLSSTISPTIASSATAAKQDVI >A07g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26122850:26128567:1 gene:A07g509000.1_BraROA transcript:A07g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEANCWLSSEGLASVLNPQSSAPGGLPPKPPDPPDPSLSEFPPLSPPIPPSSTKFSARSTIQTTVKPNFTFGSTASLFNLLPKATILTSPDNSDVVMTDSSVTTHGSAVKNVESSKESNFTILPPKQSSPILTNRASSHLPNPIARTTPPPVLPHSKSAPIPTDPPSAGTVPPPISNPKSATPKPHPAQTHTYASKAKLLSDRSLKRLAPTICSPEGKPRVLVPDAVFARGAALHKEYIVGSFLGKMPDYGPIQSVLNYMWGKGSKLEIHLQPLKHSMLVRVPNDYIRTKILEKKLWYVDTSMFYVSQWGENPTVSYPEITSIPLWAHLRGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNISSLNVAHVKIEANLCKPLPTAGELLRENGEVIEVDIDYPWTPPLCSHCCRIGHIVKNCIYPAATASQQDNAANATASVSLQGYSPHAQLDKEVQNKAITATPPLLTVHIPLQIAVEMVDRIALTILESESQLAVDLIADATPLSYDTETITVSPIPSLPSSPPLLIAISLAKSSPPSPNSSRSLPQKALSLTKSTPSSPTSPSILSFTSSASNAHFYKPFSMNTKLTFWNVRGLNEPTKHQPFSQWLYSQRTVFCALLETHIKEQNLSSLMQKLCPGWSYTSNHASDEDGRIILIWNHPVSIQVLEQTRQTLTCALTLPNSISFIFTAVYASNTDAERSELWVDLLNTQQTFSLFSNPWAVGGDFNQIVHHSEHSSIGVNSLSAPMIDFSDCLLQADLFDLRYQGVFHTWFNKQPSSPITKKLDRLLVNQAWISYFPHSLATFLSPNISDHSPCSLDLTLPLPVAGTKPFKFFNFLTLHPDFVQTVADFWIQAGGQASFLGDLCWKLKQIKPILRKLHKNNFSKIQKRYRDLTYNWIKWRVGNGASINFWTDNWSPFGNLSQFLSHVPYNAMGVSPSATLRDLCENGAWNIRPARTDKQVLVQAYLATVTLSVSEDESYWAAPSTSGPLERCRLAQRRDPKALLSLLVSPSCLLCSLANESRDHIFFHCSFSWDLWQHLASRVGLVPSRDWNGTLEDMQSLPGPTWYRRLCLLVWQVAIYTIWTERNSRLHRQNYRNFSSLAKQIDRTIRNKIQSLRDVNPTRCSQMMQYWFSSSPP >A05g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12313554:12314882:1 gene:A05g504440.1_BraROA transcript:A05g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTARTPSASHGAGPEAPRSDAAGNKLPSGSTAATDSQKRGRGRPPKSKSDSQDGAVSAAQPARKPSGRPKRNLATAAVATAPAAAGRPRRSNTVRATEPQVTAGDGSRKRGRPKKDDVAAPAKKQRGRKPKSEPVAKKTVGRPKNGASDPRELKKKAALLQKKVKQAADKLKIAVSAIEEVQEIAAGM >A06p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14607119:14608220:-1 gene:A06p025700.1_BraROA transcript:A06p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVPDPAAKELYSRITFKKISIDFTILRKTPREVVDHEVLPNSLCKLEIILIARCFKTTESAMSKPIMLVCLLVILIVTSQFEWRQPLVDLDAATTISQKQQQISDREESVKEKIIISQERHIQKLNELVRSLQLQLLQCKGDNGTQNATKSFHSDKHFIEVERKQIFQG >A09g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21539190:21542499:1 gene:A09g507400.1_BraROA transcript:A09g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTSAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSVLIRYWSTTWSSWRHLEAFGAQKGVFRVVIERARHGSDQSGATPPSRSDLPIRATLPERQGEVARVLVTRRRENEHGATSRSDTARSLPKPGATLPERQGEVARVFITRRRENEPGATSHSDTARSLPKPGATYRSDGLRSLRVLFLLELVISQGPFGATKRRIIFVLRKNHQKPLESDLFESIDQYIIEILCSYLFHVFLYMINLKSNMGLRGIIEITNEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICLRSLENS >A01p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6765965:6766765:1 gene:A01p013870.1_BraROA transcript:A01p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKCTSDVWFLDCLSHTWHGVPSMKMARASASVSLVDGKIHVFGGVEDCPNWADSKEVFDPNTQTWESWLPYYVETKTYALDIQQSVVLDDNGTSTRFLRWMRMVKASTSCQVIAYPLEKQILSSQDTEKIGVSLGKSYTVVVLAGECCGVIDGIWNGREGRVGKSLIIFSGVGYTGGGNVELWCAEIYVERRKGGEVWGKCEWSDAVVKLYPDFSHAYTVEVLYAASVYV >A02p025800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13528559:13530602:1 gene:A02p025800.1_BraROA transcript:A02p025800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAWLIWPLMLSLTALSANSLTEAEALLKFKESLTNTKSLDSWTLDSEPCGGTQRWFGLLCNKNSVFGLQIEQLGLTGNIDVAPLVDLPGLRTISIMNNSFSGKIPEFNRLTALKSIYLSNNRFSGDIPPDYFTRMLSLKKLWLSNNEFSGHIPLSLATTLPKLMELHLENNQFTGIIPNFTQPGLADVNLSNNRLTGEIPPGLSRFKASSFAGNSDLCGAELATTCTQPGISTASISADGDKKDEYKSKYYIAFGTLGILFILIIFFLFFLKKRRKNMLPTSEQDNTEDQQIQVTVDGSRTGASRHGTPSSFRELRTDLVMVNKEKGVFDLDELLKSSAHVLGAPNGQPNSGGSVGSAYKAVIASGVKVVVKRVTVMNEVSIGVFAQEIKNLGSLRHKNILTPLAYHSRRDEKLLVFEFIPNLSLLHRLRSDQSEEDSQLNWPSRFKIIQGIARGMCYLHRELSFLTLPHGNLKSSNIFLADDGEPLISEFGLQRLINPDAQSQSLAAYSSRDATVSAKSDVYTFGTVVLEILTGKFPTQYGGLDPAGGASLEEWIGSDVDLLHPTVVTAARGDKMAWEEIENVLRIGVRCIGEDPDRRPSMIEVVDELTMDDSSDDFISIET >A06g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14764202:14773352:1 gene:A06g504970.1_BraROA transcript:A06g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLCKIKIHTTPKHRDWLPHFQRYDKFSVHTFIILDETPPFDSSQFGKYLNTLILERWHALDFITIPASLLSYRENICNDPIPQAQQGPISLQETLDLEPSERDIGELSQPPSTEIRSVTPPPSHPLGNPQTVFSDWLSVGRLENRRYLSNHWVSRDFKATSVFVTLRRVRSRSFSPRSAATRPHAQEEVPRVNRTRRHLRRTFAGATAAGHCPFAAGKPPPHRRRVSAAAGDFPVSHHRRWPPPATGLRRLAGRLAAGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWTLLERAGVQRHTVLATLRIIYPYEEIRGVWTSFMLSSHCLSVVCVFSIPIPHGVTPLLLTPPIPFPFQMPPRKRVVRTQAASASREGGDEHVPPPVPPIDQDALRQMVQDAARQAAQEAVQQAVQEAARVAAQEVVRQMAAAQQGQQVPPVQAQGHQQPPIQPVPPVQVQGQQQPPIQHVPGIFQVPPPAPPVLPGQVPEVVPPVLPGQVPEVDETLMRVMRQMKTVGLETFEGTVDPGAAYKWKHRLASCLQTINCPLRLCLNIAELYLRGDALVWWDGVRSMRDGDMTYEDFLIAFDKKYFPREALHQKRNAFEHLRQGTRSIREYKREFFQLRLFAGNHFDGEDLIRRFLDGMRVDLCGRCSMVTYTSLEDLVEKAVVQEACVAEEQKYSKAPLKTRRTSEPQKRTWEQLGTPSCDKCRRHHFGECITCFNCGKMGHKSRDCRSRPFGARGVAPAAPAGQAAAAQTAPVAQGAHAAPAAAYAPGACFTCGQFGHISRFCPTKRPGAKRQAITPRKSLRRQTPADLEPSERDIGELSQPPSTEIRSVTPPPSHPLGHQCVRDVETSPEQEFQPEIRRDAPTRAGGSSARESHAPPSPPDIRGATAAGHRPFAEGKPPPHRRRVSAAAGDFPVSHHRRWPPPATGLRRLAGRLAAGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWTLLERAGVRLTSRSDCYRIGALGVLFLLFSDFRFYDFIDISGFISFIAFMVIYWIYDFGVDF >A02p054690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33192293:33193434:-1 gene:A02p054690.1_BraROA transcript:A02p054690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIVVALACIVVIIRLSEAAMYKVGDSAGWTTIANVDYKLWASTKTFHIGDTVLFEYNPQFHNVMRVTHAMYRSCNNSNPISTFTTGNDSVTLTNHGHHFFFCGVPGHCQAGQKLDLNVINPTSSRPLSDPPISSDSSSPSSPPSTTTIPAAGVPGPSPSHAASLPNSAVAVVAALVSCLFANFAY >A01p041320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22105156:22105980:1 gene:A01p041320.1_BraROA transcript:A01p041320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHHRRSVSELSMATRFKGLYQKSFKCFSDVFDEKEDEMEIGYPTDVRHVSHIGWDSSPSSAPSWLLEFKKSNNMLEPTSSWPFQGKLKSTREVFGEVESSKELERESTQQNLRKKLCSKAYLSCNPWSPRFSRSRKVLA >A06p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6669892:6671916:1 gene:A06p014940.1_BraROA transcript:A06p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 7 [Source:Projected from Arabidopsis thaliana (AT1G17550) UniProtKB/Swiss-Prot;Acc:Q9LNP9] MDEISPAVALTLSLANTMCDSGISSTLDITEMKNVTDAVDMLSHQKDQIYSNGEVEDVSEDKTLSEARSLSSDLGVAVQESEEDEVLVVSDDATIISEGLIVVDARSEITLPDTDNGRVLATAIIINETTIDQVPTAEVLITSLNHDVSMEATASEVVIRLPEETHHNVARGSRSVYELECIPLWGTVSICGGRSEMEDAVSALPHCLKIPIKMLMGDHEGMSPSLTHLTSHFFGVYDGHGGAQVADYCQDRIHFALAEEIERIKEELCERNTGEGRQVQWEKVFVDCYLKVDGEVKGKVSRPVVGSSSDEMVLEAVSPETVGSTAVVALVCSSHIIVSNCGDSRAVLLRGKDSMPLSVDHKPDREDEYARIERAGGKVIQWQGARVSGVLAMSRSIGDEYLEPYVIPDPEVTFMPRAREDECLILASDGLWDVISNQEACEFARKRILWWHKKNGALPLAERGVGEDQACQAAADYLSKLAIQKGSKDNISIIVVDLKAQRKFKTKS >A04p007300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8932204:8938458:1 gene:A04p007300.1_BraROA transcript:A04p007300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAEKKPAEKKPAEKSPAEKRPKAEKKISKEGGSDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAAAEKKPAEKKPAEKSPAEKRPKAEKKISKEGGSDKKKKKVKKSVETYKIYIFKVLKQVHPDIGISGKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTST >A07g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8204079:8205665:1 gene:A07g504210.1_BraROA transcript:A07g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCISLRHPAARARAFRRRRSLSPFLSFDLCCSSSSRFPFDMLAVLDWFVSPVSAPCARGVFLRRVQALSGAISPDYASLKGFEGLLHPLGCGGVVVDRWCQAVTVVGSFCFFPVSAEMVSDFVPACAVAASSWDRQSSMASRWLLGALYNGRLGAYIRLRDKGPNNSSWTPRVSPDWLK >A09p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4182292:4185379:-1 gene:A09p008060.1_BraROA transcript:A09p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSEAEDSNRRWNDEMENLLEKTDLSKNETPLMIWDLQRMRVLTGEKDLLEVLTGDEGGSGDGDLGMREESIAARIEGLMIEGDINNDSDGTQTGFSGATLELGGDSDKLDHVARSTRASRKLIKGFH >A01p016200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8016783:8017505:-1 gene:A01p016200.1_BraROA transcript:A01p016200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGQTSILLLYTPLALFKVKDSHLNRRTVCYLPNISSEMVKPSSAGGGTNLASCAVAAVFIVFAIIAAVTVYLTVFRPRDPEISVTNVKVPSFSVANSSVSFSYSQLSSVRNPNRAAFSHYNNRIELFYYGNRIGFIFIPAGEIEPGQTKRMDASFSVDSFPLASSSASRVSAADFQRPGSGLVVGEESRAGSTVEIESKLEMSGRVRVLGLFTHQIAAKCNCRIAISTVDGSIVAVRC >A07p050470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26910304:26912344:-1 gene:A07p050470.1_BraROA transcript:A07p050470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTIVSGRLSPAALPIGLSVSRLLSVKYAAQRLPVSVLISARVDYVVEKEKKKILACPICYNSLAALISQPHESAEYPASGTHLQCKYCKKSYSANETHLDLTVASGTKQYTEPLPLSIELYRNPLVSFLYERGWRQNFMWGGFPGPEKEFEMASEYLKHVLGGNIIDASCGSGLFSRLFAKSEHFAMVYALDYSENMLRQCYEFLKQEANLIDKKIVVLARADIARLPFLSGSVDAVHAGAALHCWPSPSSAVAEISRVLRPGGVFVATTFIYDGLFRFVPFLKELRQEIMRYSGSHMFLSERELEDLCKSCGLVGFTCVRNGLFIMLSATKPTR >A05g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11352433:11355701:1 gene:A05g504100.1_BraROA transcript:A05g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAQLVDFPEEADDEDSGVIPDMMFAAGEEPVGVRVLTYQSSSALKRIFNALDEEEIDIIRRSLSSVIKMLYRKTVKDKEIRVKYACLALLESVLLPTSLKMKIAREHAEAIEDLEEFFSYPWGRLAFDMLMGSIKDRDEVALSQNTIDVKGFALALQLVMVKAVPSLTEVEQEICSSSESDSEEIEGTGRDIFTKKNTLNPAHARNVDKQSNVHVNCLIPADSTRTIDEFDLVWSDEEEDSKVDTLLARINRNHKFPNSLFRGSLSHTDVERLREAGKSTSKSRKATYVQGHVQHVEPGTVAGKVVLRVEDMLLKFKSEMNICVKEMVAEICEQQVVSPPVRTEIPRAVPVHVPGPATVNTEVDDANAITIGNVLRNISEYSTPPRSTRMSQDENLTPSKKDDVVPGFVCVTPEAETCAQSANSLNRTRQNAFHQILEGHKRQQKNVTSEPSFSLGLTQDEQIKVAEPCLEIQARDQECSASTNVVENIEQGQGSRKSKRHKTVSSGLVEDYQCGRHIMSRVREGQNYVFVVEDQSEVRRKYAQLCVKLGEKFVINVGGFAVYAKDIQLLLERPRLFPPKVIDILIRVGRLAVSRNLRPESRGTVEFLDTKFAQTINRMFPKFLKSRNKESYKFPKGLVDIFPSKDDPAVHPIRYYFPFNVGTKHWVGICFDARLGVLTVLDCNTSIYKDSTVEKHLNSIIQMLSYLARYVGQSIGEEPVMHCYDVSRPKFLAQNTNPSDSGLMAMLLMTRHAVYGIDARKNISPDILEEEGRRAAILLY >A03p070230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30883815:30885697:-1 gene:A03p070230.1_BraROA transcript:A03p070230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIHFFLLSLVLLNITTVINGGMTSKYVRQALPSIEMSLETFPPPSGHNVPEQVHLTQGDHDGRAMIVSWVTPLNLAGTNVVTYWIAGNSSDVKPAKKKAHGSTSSYRFYDYTSGFLHHATIKGLEYDTKYIYEVGTAKSVRQFHFTTPPKVGPDVPYTFGIIGDLGQTYASNETLYHYMSNPKGQAVLFAGDLSYADDQPNHDQRKWDTWGRFMEPCAAYQPFIFAAGNHEIDFAPEIGEPHAFKPYMHRYPNSYKSSGSISPLWYSVRRGPAHIIVLSSYSAYGKYTPQYLWLEQELKNVNREETPWLIVIVHSPWYNSNNYHYMEGESMRIAFESWLVNSKVDLVLAGHVHAYERSERFSNIKYNITNGLSTPVKDLNAPMYITIGDGGNIEGIANSYSDPQPSYSAYREASFGHALLEIKNKTHALYTWHRNQDNEPVAADSIMLYNRYNLQTDE >A09g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15118568:15124200:1 gene:A09g504970.1_BraROA transcript:A09g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGTPWVLKYLIETYKCKTCLQIAKRSPRNCNFRQQNNAERLAGVAPASRSRATFSVSDGTNASDLGVSLQQVALNIGSDFSTSLWKVAPGSNMCVSGCENASDFSLREVAPGSARPKTTLITFFELQMHPNVSRNSMGTQIPDRDICMQNET >A05p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5116410:5119643:1 gene:A05p011680.1_BraROA transcript:A05p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGWRNGLREATNSKPLFVTIYATVIIGVLVSSFYVFSAIYSPTNGSTSWLSSPPLSTAGRIRQPPQENATFQTPVVPLPPRSLPLPSPEEAEGKSLGKIWVSPPRDKKMPPLESFKLTKELFGERVKDNVIIVTFGNYAFMDFILTWVKHLTDLDISNLLVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSVLPFGYELLMCDTDMVWLKNPLPYLARYPEADVLTSSDQVVPTVVDDSLDIWQQVGAAYNIGIFHWRPTEPAKKLAKEWKEMLLADDKVWDQNGFNEIVRKQLGPSVDGDSGLFYAYDGNLKVGILPASIFCSGHTYFVQAMYQQLRLEPYALHTTFQYAGTEGKRHRLREGMVFFDPPEYYDAPGGFISFKPSIPKSLLLDGKHTIESHFTLVNHQMKQIRSALAIASLLNRTLVMPPIWCRLDRLWFGHPGTLVGSMTRQPFICPLDHVFEVNIMLKELPEDEFGPGIGIREYSFLDNPSLPKQVKESWLDVQLCQEGKEGCEATNITTPSGFLKFPKRSSEDTFKAIFSSFNDVKVIKFSSGREERFRRRVKRYVGIWCCEENKTPGHIYYDMYWDEKPGWKPVPPQTPEEDHPPV >A07p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1101450:1102643:1 gene:A07p007280.1_BraROA transcript:A07p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ADP-ribosylation factor At2g15310 [Source:Projected from Arabidopsis thaliana (AT2G15310) UniProtKB/Swiss-Prot;Acc:Q9SHU5] MGARFSRIAKRFLPKSKVRILMVGLDGSGKTTILYKLKLGEVVTTVPTIGFNLETVEYKGINFTVWDIGGQEKIRKLWRHYFQNSQGLIFVVDSSDSARLSEARNELHRILTNNELQNACVLVFANKQDSRNALPVDQVANNLGLHGLTKRCWFIQGTSAITGQGLYEGLEWLSKTIPNKTERSTSLGSFRSDSSERRLVRGVKY >A09p058630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49323330:49326607:1 gene:A09p058630.1_BraROA transcript:A09p058630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSHLLLEEPIRMASILEPSKSSFFPALTKIVGTLGPKSRSVETLSGCLKSGMSVARFDFSWGDAEYHQETLDNLKIAVKSTKKLCAVMLDTVGPELQVINKSEKAITLKADGLVTLTPNQDREASSEVLPINFDGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDEVKGDDVICLSRNAATLAGSMFTLHASQVHIDMPTLTEKDKEVISTWGVQNKIDFLSLSYCRHAEDVRQTREMLKKLGDLSQTQIFAKIENVEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVLTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYFKKTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVISVVIPRVKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKQAGVIKSHDRVVVCQKVGDASVVKIIELED >A07p040100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21293760:21299545:-1 gene:A07p040100.1_BraROA transcript:A07p040100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II inositol polyphosphate 5-phosphatase 15 [Source:Projected from Arabidopsis thaliana (AT1G65580) UniProtKB/Swiss-Prot;Acc:Q84W55] MGDHQNDDVFSFFTTPNDSDFSSAAATPSTLFNPCSYSSSSSSGDESHPSAPSVDDSNKRIDYMIQFLDRRLSQDGNFDAIGTENDADGSDSLPEFVGKCGGTGIFKVPIRSAVHPNRPPSLEVRPHPLRESQIGRFLRTMTSTERQLWAGAEDGGLKVWDFDGMYGSGRGLEVEDTAPYKETSEVEIGSSVVCMIGDEGSRVVWSGHRDGRIRCWKLRDDDHVIEEALSWQAHRGPVLSIAISSYGDIWSGSEGGALKVWPWEGLGKSLSLKMEQRHMAVISVERSYIDPRNQTSAYGFANTLTSDVTFLVSDHTRAKVWSASPLTDARTRDLIKVFNIDGQLENRNDMSAFPDFGIDEDAKMRIATASRKEKSQSSLGFFQRSRNALMGAADAVRRAAVKGGFCDDSKRTEAIVISADGLIWTGTANGVVMRWDGNGNCLQEFSYQSSGILCMFTFCSRLWVGYSNGTVQVLDLEGKLLGGWVAHSGPVIKMAIGGGYLFTLAYHGGIRGWNVTSPGPLDNVLRAELAGKEFLYSRIENLKILAGTWNVGEGRASTDSIVSWLGCAATGVEIVVVGLQEVEMGAGVLAMSAARETVGLEGSPLGQWWMDLIGKTLDEGSSFVRVGSRQLAGLLICVWVRHDLKPLVGDVDAAAVPCGFGRAIGNKGAVGVRLRMYDRILCFVNCHFAAHLEAVSRRNADFDHVYRTMAFSRQSSSLNSGAASASVGVSMPRGGNAVNTFEARPELSEADMIVFLGDFNYRLDDITYDETRDFISQRCFDWLRQKDQLHTEMEAGNVFQGMREAIIGFPPTYKFERHQAGLAGYDSGEKKRIPAWCDRILYRDSKSHLGADCSLDCPVVSSVSQYDACMDVTDSDHKPVRCVFSVKIARVDESVRRQELGNIINTNKKIKVMLGELSKVPETVVSTNNIILQNHDSTILRVTNKSEKHIAFFKIICEGQSIIEEDGQAHDHRARGSFGFPQWLEVSPGTGIIKPNQIAEVSVRLEDFPTVQEYVDGVAQNSWCEDTRDEEVILVLVVHGRFSTQTKNHRIRVRHIPRGCTTAKKHYDDRPNTSGQINALHRSDYQQLSNTLDVVEQLKELAQSIEEEPPLSRRGLL >A08g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2321074:2323277:1 gene:A08g500900.1_BraROA transcript:A08g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFHSFKGFSDLEDFWDDLPVSRLKYNALDDFQEVFQTTSISVSLFHNRSERFGFSDLEDFWDDLPVSRLKYNALEDFQEVFQTTSISVVWTSWKSYDKVFFHIKWNDIHGDHLVGLHVSRPVFTRFDQNLGKSSGGRLNFKLSGYNKILKFFFNCKTNLRRLTCKSSGFNKILIFQFSTRRLKCKSYKKVKLKTYFKVNCKNNLCIDQTTSSLMWREKWRKKKGKSILGALRASNWLFMVVRVLMIMAIL >A03p049960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21457499:21463709:-1 gene:A03p049960.1_BraROA transcript:A03p049960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAECVKVAVNIRPLITPELLNGCTDCITVVPKEPQVHIGSHTFTYDFVFGNAGLPCLEIYDHCCSPLVDALFKGYNATVLAYGQTGSGKTYTMGTNYSGDGANCGIIPKVMEDIFKRVGTTKDSTELLIRVSFIEIFKEEVFDLLDSNSPALLKNDGAVQAKHVALSRAPIQIRETAGGGITLAGVTEAEVKTKEEMGSFLARGSLARATGSTNMNSQSSRSHAIFTITLEQKKISSCSSTMTEDAGEDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPAAAQMQRMRSQIEQLQTELLFYRGDSGAFDELQILKHKVALLETSNRELQNELQERRVACEHFSKRAYDAQVEKDKLIMKIESVRNGKSLDEIESCQDEDVGLINKYVSKIQELEGELLHVRSLKKVSNYKYSESVDSHDDGPRSSNVLFPSSNESSDCEDKVIDVAVCADEVEFQEKELEHCSLQEKLDMELKELDKRLEEKEAEMKRYSSGGTSVLKQHYEKKVHELEQEKRALQREIEGLRQNLASIPSAPGDGAQKLKESYLQKLNTLETQVSELKKKQDAQAQLLRQKQKSDDAARKLQDEIHRIKSQKVQLQQKIKQESEQFRAWKASREKEVMQLKKEGRRNEYEMHKLMALNQKQKLVLQRKTEEASQATKRLKELLENRKASSRETLSGASANGPGTQALMQAIEHEIEVTVRVHEVRSEYERQMEERARMAKEVARLREENELLKNAKISSVDDDTMSPGARNSRIFALENMLATSSNTLVSMASQLSEAEERERVFGGRGRWNQVRTLGDAKSIMNYLFNLASTARCLARDREADCREKDVLIRDLKEKIVKFSSFVRYLEIQKADLAHQVKAQASELIKRSAEENLNNEHSLKKQEARNSVIVHEDMDTSDSDDSDHEREDPDLDDEWKPEQESERESEQESVIKLNRKRNFKVGRRPSSVVPRRSYEENLDSPSDEAVKPTSTSDVCCTCSKSSSCKTMKCQCRATKGSCGPSCGCSSVKCSNRNADGKQNNSTSILEHSESSQEEQQQVLASRGALLLQNALADKPVEETNDGEGTRTRRKPLSDIGNTTGKTNVPKPMKRRKWRKPLLQLVVDPPPATTTPPTSSQEPSDSGEANNTKLKLPRSMTSKGSNMLRERNADQSGGESVGNGGFVQSSSGGASGSTTSDEKENHTRRI >A01p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:981425:982710:-1 gene:A01p002130.1_BraROA transcript:A01p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein HCF164, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37200) UniProtKB/Swiss-Prot;Acc:O23166] MAASRLVFSLSRLPSSTHGINRKFQPFLLTQTVAPSRVRALRCQATPDSSEPQEKLVVDNGSTNETSPASKEASSGFPESPNRDVNRRVAVASVVAALALFLSTRLDFGISLKDLTASALPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKIEQQYKDKVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDRQGNEEGNVVGRLPRQYLVENVNALAAGKQSIPHARAVGQYSSAEARKVHQVTDPLSHG >A09g518420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56003251:56004165:-1 gene:A09g518420.1_BraROA transcript:A09g518420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGVKHEKQRMQLSFDEQKLQLKLHSLEKSLRGFQALQLNHGRPLFHLPPLLNQMHVSPLQLLHNDMDKSLTINIDVTAMKELLRLTQTNEPLWIKTDGCLDVLSLKSYENAFPRLSSRGGKNHNLRVEVIDLLALSKLESFFLQSLQHLKLLEWFHLRLRGNHGDALHLTTT >A09p013310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6830843:6831946:-1 gene:A09p013310.1_BraROA transcript:A09p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl pyrophosphate synthase 4 [Source:Projected from Arabidopsis thaliana (AT2G18640) UniProtKB/Swiss-Prot;Acc:Q9SLG2] MEAQTIILYLLLFFLSLNFVFQTLKQRLSPANTRRLTRFLHLPFKHPAVFARQDVHEFLDSSTQVENNEDDSEFDFKPYMKTKAESINRALDKAIPLVEPLNIHKAMRYAILAGGKRVRPILCLAACELVGGEERSAVPAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVFGESVAILSGGALLALAFEHLTEADVSPERMVRAVRELAKSIGTKGLVAGQAMDLSSEGLDQNDVGLEELEFIHVHKTGSLLEASAVIGAVIGGGSEEEVEKVRRFARCVGLLFQVVDDILDGTKSSEELGKTAGKDQVAGKLTYPKVLGVEKSKEFVKRLKKDAREHLKGFDSDKAKPLVALADFIANRDN >A09p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6678927:6680621:1 gene:A09p013080.1_BraROA transcript:A09p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATARIFNTCALNRRLLLTKINPNPYSLSPKSTHHLCFPSPNAVLREPSTSSAARIRCCSSLPSNPNLFKSLLSLTSNLHLQNPAAHANPLRSEPSDGVAVWNRAPRTVNGEGNANTFGESGKETTVVLLGWLGARAKHLRRYVEWYNSRGINAVTFTVDVRDLVRLDLGRRLERRIDEFGNELVKWVSEKEEGGREKCLVFHSFSNTGWLVYGTLLESFVGRQELVEKIKGCIIDSGGADPLDTKIWAAGFTAAILKKRSSTINTEPNSRGKEEDEQKKEPLGIESMMLSSLEKLFPIVLNIPEVNMRLTRITQRLYENHPPCPQLYLYSSGDKVVPSHSVEIRIKEQQKIGRNVQSFNFKSSPHVDHYRNFPDLYSSQLHNFLQECFKPTKQQQQQRAL >A04p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1715126:1716446:1 gene:A04p003490.1_BraROA transcript:A04p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTYRKRDEQKHFFLCLSKRKQEPLLMELLMGSGQNRTETVGSSSRESSSLSGGLRIGQKIYFEDGSGSGKDRANKYRKTTTGARCQVEGCKMDLSNAKTYYSRHKVCGIHSKSSKVTVSGLHQRFHQLCEFDLEKRSCRRRLACHNERRRKPQATRATLFTSGLSRISPSLYGSVLGDPSWDRSVFSQGSSRFSITYPEMVKNSSTDSSCVLSLLSNSNTTQQQQQQLQTSTNAYLMDAERVTIMAKSPPVSVHNQYSNQTWEFMSGEKRNSPCVSSPALRLSQISEPDDDLQFLMSNGATMGGFELNLQQQVLRQYSSTQHFTWPL >A09p066220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52641187:52644614:1 gene:A09p066220.1_BraROA transcript:A09p066220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT3G60730) UniProtKB/TrEMBL;Acc:A0A178V7L0] MYSFVKATDFFTILFFLATATVAISFNTSELDVLERARASVVEARTRFGSMATVEATNEVARSYYSLGLSDCEKLYDESEARLSNLVVAHENFTVEDVRTWLSSVLANHHTCLDGLDQSRQGDKPLVHSNITVVLGEALAFYKKTRGHLKKRRPNHAPTRQHHATTRPPHGPTRPNHGPARPYHGPARPNQSGGMLVSWNPTGSRADFVVAKDGSATHRSISEALAAVSRMGKSRTNRVIIYIKAGVYNEKIELDRHMKNIMLVGDGMDRTIITNNRNVPDGSSTYGSATFGVSGDGFWARDMTFENTAGPHKHQAVALRVNSDLSVFYRCSFRGYQDTLFTHSLRQFYRDCHIYGTIDFIFGDASAVFQNCDIFVRRPMDHQGNMITAQGRDNPHENTGISIQNSRVRASPEFEAVKGQFKSYLGRPWKKYSRTVFLKTDLDGLIDPRGWREWRGDFALSTLYYGEFMNTGSGAGTSRRVSWPGFHVLHGADEASPFTVSRFIQGDSWIPITGVPFSAGV >A02p060520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36085507:36091531:1 gene:A02p060520.1_BraROA transcript:A02p060520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRGSNSSLSSGNGNEVAADASSCLYVPDPSGTDFDAESSAVSSPASIPADLAAAIPLIDRFQVEAFLRLMQKQIQSGGKRGFFYSKKSSGGSQSHLQKERFTFEDMLCFQKVFFFFFFCSTSSYIDFDFVAQKIVFQDPIPTSLLKINTDLLTRATKLFNLILKYMGVDSSTPPSLDERIDLVGKLFKKTLKRVQLRDELFAQISKQTRHNPHSHYLIRAWELMYLCASSMPPSKDIAAYLSEYIHNVAHDATTVPEAQLLSLNTSKALKRSIKAGPRHTTPAREEIEALLTRRKLTTIVFFLDETFEEISYDMATTVSDAVEELAGTIKLSAFSSFSFFECRKVVSTSKSSDPGNEDYIGLDDNKYIGDLLAEFKAIKDRNKGEILHCKLVFKKKLFRESDEAVTDLMFVQLSYVQLQHDYLLGNYPVGRDDAAQLCALQILVGIGFVNSPESCIYDYNIILFLVGSRIRDWTSLLERFLPRQIAITRAKREWELDILARYSSMENVTKDDARQQFLRILKALPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARYAANSLVNGGDISCSSKPQNLEVYEKRVQDLFEESQKKNDKLMDEVREKHLQEITLREELEAIRHNLEPERKKMLEVTLDRNKLKTLCDEKETAIQSLMSELRGTEARLAKSGNTKSSKSELTEMNNQKLYKIQTELEVRNKELHIAVENSKRLLSQNKILEQSVFNIENKKTEEVENQKRYEQERKVLKLQVSELKNKLDVLTQDLDRAECTIETKTSDMKLLQNNLKELEELREMKEDIDRKNEQTAAILKMQGAQLAELEILYKEEQVLRKRYYNTIEDMKGKIRVYCRIRPLNEKERSEREKQMLTSVDEFTVEHPWKDDKRKQHIYDRVFDMLASQDDVFEDTKYLIQSAIDGYNVCIFAYGQTGSGKTFTIYGHENNPGLTPRATKELFKILKRDSNRFSFSLKAYMVELYQDTLVDLLLPKSARRLKLDIKKDSKGMVFVENVTTIPISTLEELRMIIERGSERRHVSGTNMNEESSRSHLILSVVIESIDLQTQSASRGKLSFVDLAGSERVKKSGSAGCQLKEAQSINKSLSALGDVIGALSSGSQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDETYNSLLYASRVRMIVNDPNKHISSKEMVRLKKLVAYWKEQAGKKSEEEDLLEIEEYRTLRDEGDS >A04p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2047806:2048175:-1 gene:A04p004130.1_BraROA transcript:A04p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEKSRPQRSERERFPWCVPSCIALVSFMCAWVIKRFGVTGVRWVLTYLVKYFRGGGRLKPRLRESLAKEVSLKRSVKIAAVEDSVF >A02g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19512600:19513809:1 gene:A02g506930.1_BraROA transcript:A02g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRCLDIDRWYLCTSIDINLHLSRHLMMSIDNTGCVSIDCSSSRRPLHGQLGECFTLGVSIIGCKDFRQVSRAAGSVTQIGQGSMNQNLMRALKIAVSKSRFELFYWSLYESSLNGVTFQTCLKNPIPCIPSPKTSGYVRFSVGNQLWLLHTFKA >A05p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8804459:8807383:1 gene:A05p018860.1_BraROA transcript:A05p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc metalloprotease EGY1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35220) UniProtKB/Swiss-Prot;Acc:Q949Y5] MGTLTSVAFAAATNIRFRSFHCNLGKKIKTPMPKVTSPLRERYCFSSPEETRVFKAVKCLGNDQNGSRGIDGGENRDTKSSVIRDSTVETASREEEDDDADEKSNTSSINEFGSDKTPYVSSRPSTESPIDPTYSSSFQIDSFKLMELLGPERVDPADVKLIKDNIFGYSTFWVTKEEPFGDLGEGILFLGNLRGKREDVFAKLQRKLAELAGDKYNLFMIEEPNSEGPDPRGGARVSFGLLRKEVSEPGPTTLWQYVIAFILFLLTIGSSVELGIASQINRLPPEVVRYFTDPNAVEPPDMELLYPFVDSALPLAYGVLGILLFHELGHFLAAVPKKVKLSIPYFIPNITLGSFGAITQFKSILPDRSTKVDISLAGPFAGAALSVSMFAVGLFLSTNPDAASDLVQVPSMLFQGSLLLGLISRATLGYAAMHATTVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKNVLVTFGLSTYVMLGLRVLGGPLALPWGLYVLICQRTPEKACLNDVTEVGTWRKALVGTAIILVVLILLPVWDELAEEVGIGLVNTF >A08g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12684337:12685566:1 gene:A08g507270.1_BraROA transcript:A08g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSGGRKVMDEIRSFEKASLFDLGHPLLNRIADSFVKAAGVGALQAVSREAYFTVVDGAGFEASNMGPPSENAGSKKHRGKQQITGCIGEFNYKMNTNVKNTGKESLQWGLAGGLYSGITYGMKEARGGAHDWVSLITIVFKKYNFAAINVLRGGWSITGGAMAMTTSESTSHEQVVQSALTGAAISTAANLLSSVF >A08p021520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14392047:14393882:-1 gene:A08p021520.1_BraROA transcript:A08p021520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSRTRSPGRNTSPLAGGMDYLEPKRKSNVLGRLILIVSLTALCITMLKNAPSFTSPTSFSRSQEGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQGLFPEAGRLQFIYADLGDAKAVILHIPKILLYEVDKIFSENAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAVARHKVKKFIYSSTCATYGEPDKMPIVEVTPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAARGVIPGLQVKGTDYKTGDGTCVRDYIDVTDLVDAHVKALEKAKPRNVGIYNVGTGKGRSVKEFVEACKKATGVDIQVDFLPRRPGDYAEVYSDPAKILRDLNWSARFTNLQESLEVAWKWQKSHPHGYASS >A09p028940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17310437:17311989:1 gene:A09p028940.1_BraROA transcript:A09p028940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESFTHEISLEGVDPNFQRDKGLTTGYTNNIHKAVKNTQTYFDYLFKLVSRNLIDPYMMKFNYHIVVYISGMAKTIEKYRKHSYETMDPNQSAKDLQEKYQDYLKLKSRVEILQHSQRHLLGEEIAGMGVNELEQLERQVDASLRQIRSTKARLMLDQLSDLKTKEEMLLETNRDLKRKLEENDATVTQSLWGASSFAEHSQQQQQQQGMRSYQTNLPTQEVGFFKPLQGNVAWQMSHYNPGVTNARDSATTSQNVINGFFPGWTV >A10p020000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13606515:13609095:1 gene:A10p020000.1_BraROA transcript:A10p020000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDIPPPVDQLAAVSLTSDEASSSTVQKARFSDRVRIQSILGRPDGGAGLAGQKVRISGWVKTGREQGKGAFAFLEVNDGSCPANLQVMVDASVSDLSKLIATGTCVTVDGCLKLPPEGKGTKQKIELSVVEVVDVGTVDPGSYPIPKTKLTLERLREFLHLRARTNSISAIARIRHALAIATHTFFDEEGFLYVQTPIITTSDCEGAGEMFQVTTLISTTEKLERELIENPPPTEADVEAARVVVKERGEAVAELKAAKASKEAILASVAELNDAKANLAATEARSRLKPGLPKVDGKIDYSQDFFGRQAFLTVSGQLQVETYACGLSDVYTFGPTFRAENSHTSRHLAEFWMVEPELAFADLEDDMNCAEAYVKYMCKWLLEKRYDDMELMAKNFDKGCIDRLKLVASTPFGRLTYTEAIKILEEAVAKGKKFDNDVEWGIDLASEHERYLTEVVFQKPLIVYNYPKGIKAFYMRLNDDGKTVAAMDVLVPKVGELIGGSQREERIDVIMERLEEIGLPVEPYEWYLDLRRYGTAKHSGFGLGFERMVLFATGMDNIRDVIPFPRYPGRADL >A07p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1729809:1730208:1 gene:A07p006280.1_BraROA transcript:A07p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNQRNREEAYGVLNLRRLTCLRRDQNAVAKTETKPLSSREDRDDQKRERRRCSGDCMRRTTDEESENGGCVKTERTQFTLGVSR >A05p003990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1549103:1549525:1 gene:A05p003990.1_BraROA transcript:A05p003990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRGKGKKQSASAREDHGSGEEDEKIPAYRRRGRPQKPMKDDFEEEEDEEEELVEKMEEEEEEDGSVTSKKEENERKRKMINGSNTDANQEENGLGSKSSRDGSSSTKSTSTGFRQNGSRRKSKPRRAAEAVVECNGV >A10p028910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17820165:17825320:1 gene:A10p028910.1_BraROA transcript:A10p028910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TERT [Source:Projected from Arabidopsis thaliana (AT5G16850) UniProtKB/TrEMBL;Acc:A0A178UJE7] MARKPRRNVPEILWRSFGERAKNLKDSIIDLITPRSIQPEKCRCRGQGCLGCSGDKASFLLRPDDPIHYRKLLHRCFVVLHEQAPPPPRFSPTSWGSQRDIVEKIIEKMDPGCDCGNVIYARYDKVNSSSSFSTSVYSFDLALTSPLFNHSRSIVVICHCSGPWYDQSSPVLELLTTSSWEFLLNRIGSDLMAYLLQHTSIFLPFLGKKHQQVSGPPLCITQKGIEILSVHNNKRKMDESLEPSKKRQRASSTVNDCLKDESAAVTSIVSVDVIGEHREEKPRKRSRLYLKRRRKQRKANCLKVDDEVLCVTSCTNGEASTGNEADGRNLQISISGSLTDFTKKAKQGKINKHFKFSNSETVSVIPPNHILKTSRANCSDSKSLMNHIFGEVSAWSAAPSHGKGNCPSGSICLYHSLLKSLKSLIGKTKSSHLKTLLDKHCPVLLPQEDALKSANATSQSSWRQNSDKLPHGSSSEKGKTNRQNVEEARLYCTKDQVVSFIWAICKYIIPESLLGTTHQMRVLTRNIAWFVSRRRNEKCTVTQFLHGVKLSDFSLFSSKQLCCMVNGHELQNESIRSTQQMLCTKWISWLFLEIVKKLVSFNFYATERQDGRLNIYYYRKCNWERLISKEISKNLDGYAQVDNAEAESRRKNLGLSKFRFLPKANGVRMLLDFSSSSRLESLRDTHAVLKDIQLKEPDVLGSSVFDHDDFYRNLGPYLKHLRSQSGELPPLFFVVADVFKAFDSVDQGKLLDVVQCVLEDEHILKRCRLISCGKRSHWVNNILVSTDKNATVSRFTSTVPYNALQSIIVDQGENHRVRKNDIMLWINNMLKNNMLQIDKNFYVQTAGIPQGHRLSSLLCCFYYGHLETTLIYPFLEESSRDASATECNGEKELITPPSYKLLRFIDDYLFVSTSRDQATRFYQRLKQGFPEYNCVMNDKKFCINFEDDEESQSSSSNRMYVGGNEVSFIRWTGLLINSRTFEVQVDYTRYLNGHISSTFSVAWQNKPLGNLRHKLCYFLVPKCHPILFDSNINSGAIVRLNIYQIFLLAAMKFHCYVYELARFWKLHPQTLSKFITRSIRYMFKLINRRTHRINTGSSFRPVLKLCREEVIWLGLHAYIQVLKKKNSRYRTLLNYLRSALSKLDLSLNLSPELEYATDRSNSSCIWKLSY >A10p039850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22033793:22037247:1 gene:A10p039850.1_BraROA transcript:A10p039850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIGESDDTRLKTKYNNAIFVIKRAFSLYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKKELSCSNGGLSSFPVRTIYFESPSAFTEINAFTYDAAQTYGIQLDIIRQDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSFRDVWAFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSVNDTSSKEKFKPAYLLSDGRLERAGRVKKNASTKNDVGSDSQKHEVLLASVIAVGDEILSGTVEDQLGLSLCKKLTSVGWSVQQTSVLRNDIDSVSEEVDRQRSICDMVFIYGGVGPLHSDVTLAGVAKAFAPDEEFEEYLRHLISEHCTGDRNEMAQLPEGITELLHHEKLSVPLIKCRNVIVLAATNTEELEKEWECLTELTKLGGSTSLLELYASRRLMTSLTDVEVAEPLSKLGLEFPDIYLGCYRKSRQGPIIICLKGKDNARIDSAVQALRKKFKEGVFVDMK >A08p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19306544:19308976:-1 gene:A08p031630.1_BraROA transcript:A08p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQLCPSLFRSPCGLAGLSAGMAIGIVGDAGIRGRNRSGDSEPKKRRRVSATINKMSRRRSLRGKEIAVDEVEVVPASLPERLFTTDRFPCEKINMYSAIDFLLCVRDALNGIEEMAMLLRSCFGTLFRFPVRGVLMGKVIHGMLTRQVLTKKGGECTTTKALCGMILILIFAKALSLYGLIVDIILCSRAGQARVEITLKVF >A03p027030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11268794:11271127:1 gene:A03p027030.1_BraROA transcript:A03p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSVSSFFSNYGNFFLITEREREIKANSKAERRMAKSQIWFGLASLVALLVVSAVADDVVVLTDDSFEKEVGKDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSILIAKVDCDEHKSVCTKYGVSGYPTIQWFPKGSLEPQKYEGARNAEALAEYVNKEGGTNVKLAAAPQNVVVLTPDNFDEIVLDQNKDVLVEFYAPWCGHCKSLAPVYEKVATVFKQEEGVVIANLDADAHKSLGEKYGVSGFPTLKFFPKDKKAGQDYEGGRDLDDFVGFINEKVGTSRDSQGQLTSKAGIVESLDALVKELVAASEDEKKTILSRIEEEASNLKGSTTRYGKLYSKLAKSYIEKGSAYATKEVERLGRVLGKSISPVKADELTLKRNILSTFVASS >A01p000070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15162:18688:1 gene:A01p000070.1_BraROA transcript:A01p000070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPQNSKKVRNNSGSGQTVKFARRTSSGRYVSLSRDNIELSGEFSGDYSNYTVHIPPTPDNQPMATKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSDVTHPQMAGAKGSSCAMPACDGKVMKDERGKDVMPCECRFKICRDCFMDAQKETGLCPGCKEQYKIGDLDDDTPDFSSGALPLPAPGKGQRGNNNMSMMKRNQNGEFDHNRWLFETQGTYGYGNAYWPQDEMYGDDMDEGMRGGMVETADKPWRPLSRRIPIPAAIISPYRLLIAIRFVVLCFFLTWRIRNPNEDAIWLWLMSIICELWFGFSWILDQIPKLCPINRSTDLEVLRDKFDMPSPSNPTGRSDLPGIDLFVSTADPEKEPPLVTANTMLSILAVDYPVEKVSCYLSDDGGALLSFEAMAEAASFADLWVPFCRKHNIEPRNPDTYFSLKIDPTKNKSRIDFVKDRRKIKREYDEFKVRINGLPDSIRRRSDAFNAREEMKALKQTRESGGDPMEPVKVLKATWMADGTHWPGTWAAATREHSKGDHAGILQVMLKPPSIDPLIGNDSDKIIDFSETDTRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGVQGPVYVGTGTMFRRFALYGFDPPNPDKILEKKESETEALTTSDFDPDLDVTQLPKRFGNSTLLAESIPIAEFQGRPLADHPAVKYGRPPGALRVPRDPLDATTVAESVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDSFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAILASKRLKFLQRLAYLNVGIYPFTSLFLILYCFLPAFSLFSGQFIVRTLSISFLVYLLIITICLIGLAVLEVKWSGIGLEEWWRNEQWWLISGTSSHLYAVVQGILKVIAGIEISFTLTTKSGGDDNDDIYADLYIVKWSSLMIPPIVIAMVNIIAIVVAFVRTIYQAVPQWSKLIGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWAGLIAITISLLWTAINPNSGPVAAAEGVGGGGFQFP >A05p038280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21785795:21788107:1 gene:A05p038280.1_BraROA transcript:A05p038280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKQCNNEIDSINHVLFECIPAQDILRIVNFPPSTTPARSLGDNMSIALELMHDCSITRDHQVDVLHHARDAFTPSPNRLTAELRCIIWSLRSLGDLGFKDVVIGVDNQEAIKEISNASAWPRYRSFLDTIA >A08p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23025162:23027048:1 gene:A08p040380.1_BraROA transcript:A08p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTHHTPHTPFLSRLPLRPKPRAFSARVKMSLQESAPSLAVVGVTGAVGQEFLSVLSDRDFPYSSVKMLASKRSAGKRVAFDGREYTVEELTVESFDGVDIALFSAGGSISKEFGPRAAERGTIVVDNSSAFRMVDGVPLVIPEVNPEAMKGIKVGTGKGALIANPNCSTIICLMAVTPLHHHAKVKRMVVSTYQAASGAGAAAMEELVQQTREVLAGKPPTCNIFSQQYAFNLFSHNAPITENGYNEEEMKLVKETRKIWNDTEVKVTATCIRVPVMRAHAESVNLQFENPLDENTARELLRKAPGVYIIDDRASNTFPTPLDVSNKDDVAVGRIRRDVSQDGNFGLDIFVCGDQIRKGAALNAVQIAEMLL >A09p005330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2889298:2890304:1 gene:A09p005330.1_BraROA transcript:A09p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24-2 [Source:Projected from Arabidopsis thaliana (AT5G28060) UniProtKB/Swiss-Prot;Acc:Q8LC83] MAEKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSSGFGLIYDNVESAKKFEPKYRLIRNGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAGDPKKK >A06g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3386819:3387280:-1 gene:A06g500850.1_BraROA transcript:A06g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDGSSFDPNFTPLNTMDFESQRVLGSTLDGGIGSSSSPKASKRKTKTKSIHFTIRGGRKSSRPTQGNGKNKKKIQEEQLDSE >A04g503410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7437266:7438339:1 gene:A04g503410.1_BraROA transcript:A04g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTKRKATMERITKRESAATTFTKRSYGLHSKVSQLCLLTDAQIAVLATPPSSNSNVSFFSFGHSSVDSIVTAFLTGERTAVREARIEDHEDLGICLARKELGLPQWWEDDALLKSKSPQELSLAINSMSTLLSKINELRAEDAKAEPPLKKQKKKKNEETMKKTEPTVEQTLVLPSGSSDRTLDYNNNNVRTEEELDQIMSTCDSFGLPHNNNSNDSLEEIDFDQLIDLDLDFDFDSDKNETMKMTEPLDQPLLLPYDSCASPDNNNTYSTGEMSLDYGIDTSSVFVDDSLLESAMNCVPSVDGFVETITTTQEQLQEETTIALNSVPCDDASSALNEDDINFSDFLTQFLQS >A06p013190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6021688:6022048:1 gene:A06p013190.1_BraROA transcript:A06p013190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVEFVEAHKAVCHFQAGRKFYITFEGREDNQLQLYQAMIQYHSIKTTQVVFYRPKPPKAPYMQPGVISTLVAAAGVL >A02g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13738755:13739883:1 gene:A02g504160.1_BraROA transcript:A02g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTDKLMNQIFDLKFTSKSLQRQSRKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLASRLDAVVARLDTQAKMATITKSMTNIVKSLESSLATAFLLARERFCFYGSTQERQSTEDVRDDGFI >A05p014360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6267780:6270185:-1 gene:A05p014360.1_BraROA transcript:A05p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPCLHLRLISVLLLYCILFASHVLMITALACRPDQIQALIQFKNEFESNGCNRSDYLNGVQCDNSTGAVTKLQLPSGCFTGVLKPNSSLFGFKHLRYLNISHNNFTSSSLPSEFNSLKRLEVLSLSSNGFIGQVPSSFSNLIHLTHLNLSHNELTGSFPLVKNLTKLSFLDLSYNQFSGTIPFDLLLTMPFLSHLDLKKNSLTGTFEVPNSSSYSRLVYLSLGQNQFEGKILEPISNFINLKHLDVSSLNTSYPIDLSIFSPLKSLLVLHLSRNRLLPASLNSSDIPLSLESLVMPRCDITEFPNILKTLQNLQHLDISSNMIKGKIPEWLWKLPHLSLVNLVNNSFTGFEGSSDVLLNSSVQLLDFAYNSMTGEFPLPPPNIIYLSAWNNSFTGTIPLQVCDRSSLTVLDLSYNKLTGPIPQCLSNLKIVNLRKNSLEGSIPDEFYSGALTQTLDVGYNRLTGKLPRSLLNCSFLRFLSVDNNRIKDTFPFWLKALLNLQVFTLRSNRFFGHLSPPDKGPLSFPELRILELSDNNFTGSLPPSFFVNWKASAIKVDEDGRIYMGDYKHAYYVYEDTMDLQYKGLFMEQGKVLTSYNTIDFSGNKLEGQIPESIGLLKALIALNLSNNAFTGHIPLSLANVTELESLDLSRNQLSGTIPRELGSLSFLAYISVAHNNLMGEIPQGPQFSGQAETSFEGNAGLCGLPLQGSCFAPPTQQPEEDDEEEEEVLNWKAVVIGYGPGLLSGLVIAHVIASYRPKWYLKIVGLDKPKEVKPVRFFASLDSRWDSYDNHVERESDT >A07p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3306482:3306899:1 gene:A07p003370.1_BraROA transcript:A07p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSLEKLFPIILNIPDVNMRLTKIIEKLYENHPPCPQLYLYSSGDKVVPSHSVELWIKEQQKIGRKIHSFSFRSSPHVEHYRNFPDLYSSQLHNFLQDCFKQTKQQLQAL >A09g514860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44280261:44282829:-1 gene:A09g514860.1_BraROA transcript:A09g514860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELVRLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNSKIDELSAKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFVLSPAQNTQFHNQKQPTNQQPAQPAQTAPQDELKSLANMMSQLLQGQQIQGKALNQPPVTAPADEEEAELPAKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMK >A01p058920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33681891:33682854:1 gene:A01p058920.1_BraROA transcript:A01p058920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADDHVVDGGVLAAPSNFSMVEDGIYRSGFPKPENFGFLTTLSLRSIIYLCPEPYPEENLKFLEANNIKLFQFGIEGKTDPPTPMPKDTVLDALRVLVDVRNHPILIHCKAGKHRTGCLVGCLRKVQSWCLSSVLEEYQKNAGVKWRQRDLNFIEAFDTASLRQCLLSIMYRYHGYGFKRKRLVHEEENVQTLKPQAAKV >A09p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5157439:5161334:1 gene:A09p010080.1_BraROA transcript:A09p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSSERVPRTPATTRLAITPGSRVLKSPISEEVMWKRLKEAGFDEQSIKKRDKAALIAYIAKLESEVYDYQHNMGLLIMEKDDLLSKYEEVKSSVDEADLAHRRDLSAYVSALAEAKKREESLKKDVGVAKECISSLEKTVHEIRAECAETKVSAESKLSEGHSMIEDALKKFADAEAKMRAAEALQAEANRYHRIAERKLKEVESREDDLARRLASFKSESETRESEIVIERQTLSERRKSLQQEHERLLDAQASLNQREDHIFGKSQELAELQKGLESAKSTFEEERRAFEDRKSNLEIELASLAKREEAVSERESSLLKKEQELLVAEEKIATKESELIQKVLANQEVILRKRKSDVEAELESKYKAVEDEIESKRRAWELREVDIRQREDLVGEKEHDLEVQSRALAEKEKDITERSYNLNEKEKHLNALEEDINRKTALLEDEKDRLRKLDLDLQQSLISLEDKRKRVDSATEKLEALKSETSELSILELNLKEELDDLRGQKHELLVEADRLKVEKAKFEAEWEHIDVKREELRKEAEYITRQREAFSMYLKDERDNIREERDALRNQHKNDVEALNREREEFMNKMVEEHSEWLSKIQRERADFLLGIESQKRELEYCIENKREELENASREREKAFEQEKKLEEERIQSLKESAKKELEQVQVELKRLDVERLEIKLDRERREREWAELKDSVEELKVQREKLEKQRHMLRSEREEIRHEIEELKKLENLKVALDDMSMAKMQLSNLERSWEKVSALKQKVELQNGVSTVSNSEDGYNNSSMERQNNGSSPSSAAPLSWIKRYTNRIFKTSPESPEKSPPMHHHEEERGLPSEKMKLDSSKAYTEGMSIAVERLEAGRKRRGNASGNDSTGPSSNKKRKHDVTQKSPDADPESVISSPRNVPEDKHELPPSQTETPSGMVVISETVKITKVTCETEVINKVTNIDCSENPSEAGTKMVEERKQDSDCNQTTEINVPETVTQKEVESDNREEKDSEDGGIVT >A08p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4792656:4793409:1 gene:A08p008520.1_BraROA transcript:A08p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKNLGGLLRQGAVSQTGNVPVQSMLGSLRYMSTKLFVGGLSWGTDDQSLREAFSNFGEVIDSKVIVDRETGRSRGFGFVNFSDESAANAAISEMDGKELNGRNIRVNVANDRPSAPRAYGGGGGYGGGGGGYGGGGGGYGGGGDGGGY >A03p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16025234:16028461:-1 gene:A03p038400.1_BraROA transcript:A03p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFDIQNLISFGDDLISLLNSKNGFDVVSQSFDHSEALHFACDEDFNQIQESIKDCKKKTEEAYSDESARDDEIELVADELKDLKAQWASTDEKRQSLKRKERDDLRAEKKLSMYACVTKVIPEADVNDPFKISAYMVDREKRVEKFQLETNEMSAYESCNKKLPPSDHGQRERVRMDQILNKVGSYWLGQKANKQLDSVGDDINKPLPELLQEFGLPVGIFPRDATNYEFNEHTKKLTVFIPSICEVGYKDSSVLRFTTTVTGFLEKGKLADVEGMKTKLMIWVKVTSISVDSSKIHFNAGVKKSRRRDAYEVLRDGVEIDKF >A02p026760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12998060:13006872:-1 gene:A02p026760.1_BraROA transcript:A02p026760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLSSSWFLHPELHHVVSKMSFFDSFLFYIVHLVDKLGLWHRFPVLLGVAYLGIRRHLHQRYNLIHVGGINGQGYDTDEFSYRTADGKCNHPSDDSIGSQGTFIGRNMPPCTSQYGILDPHPSVVATKLLARKRFIDNGDQFNVIACSWIQFMIHDWVDHLEDTNQIELEAPEEVASGCPLKSFKFFRTKKVLSGDHHKSGAVNTRTPWWDGSVIYGNDEAGMKRVRVFKDGKLRISGDGLLERDERGVPISGDIRNSWSGFSLLQALFVKEHNSVCEMLKERYPDFDDEKLYRTSRLVTAAVIAKIHTIDWTLELLKTDTLTAGMRINWYGFLGKKVKDKIGARFGPILSGLVGLKKPRDHGVPYSLTEEFVSVYRMHCLLPDTLILRDMRPENVDKENPAIEREVPMTKLIGKEGGKTDSRIGFEQLLVSMGHQSCGALTLWNYPNWMRNLVAQDIYGEDRPNLIDMAALEIYRDRERGVPRYNEFRKNLLMSPIKKWEDLTDDEEAIHALKEVYEDDIDKVDVNVGLHAEKKIKGFAISETAFFIFLLVASRRLEADRFFTTNFNDRTYTKEGLEWVNTTETLKDVIDRHFPNLTNQWMRCTSAFSVWSSDPDPTNWLPLCYYDLQVGSRDTPSSGYLVVLDMKGLVHGTEQVFLDTFGSRKSLAMVHRAPDAMTDSSSSFKTLPQLAKPPSCSVQVIQSSLKLPRLANIFNQHARDEAGEDMATNQPAIRKVVYQFIFFVITALFKIDQVTDFAGSTNFVILALLTLILKSTWHFRQIVLTLLVVVWGLRLGIFLLMRILQWGEDRRFDEMRGNLVKLIVFWTLQAVWVWTVSLPLTVVNAASDGGGSLKPADVIGWTMWVFGFLIEAAADQQKLSFKNSPENRGKWCDVGVWKYSRHPNYFGEILLWWGIFVAASPVLEGAEYLVIFGPLFLTLLLIFVSGIPLLEASSDKKHGNLGAYRFYKKTTSPLIPLPRGVYGNLPGWCKAVFLLELPFYSRNLPEEAAVSKLEETPRTAKRKYQLKDY >A05p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14215739:14216301:-1 gene:A05p026680.1_BraROA transcript:A05p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQTRICLPYHAEKFTRILPRLRSYRRADIDDIVHGIYRPQEMSLYDNYKRLDDVYYPLNDNIDRLTTRMDELKEEMDEDFSQRLEDLDETTQARLGMHQHNINNLQKRMHVNEVDKEILKNKWTIGDESIRRFIATWFQMSEEDVDTCFLISSHPPPY >A06p055400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28933587:28935139:1 gene:A06p055400.1_BraROA transcript:A06p055400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb family transcription factor PHL11 [Source:Projected from Arabidopsis thaliana (AT5G45580) UniProtKB/Swiss-Prot;Acc:C0SVS4] MERVNLGGLGYENGGVMMTRDPKPRLRWTADLHDRFVDAVAKLGGADKATPKSVLKLMGLKGLTLYHLKSHLQKYRLGQQQAKKQNRTEQNKENAGSSYVHFDNCSQGGISNESRFDNHLRQSGNVPFADTLRHQVDAQQRFQEQLEVQKKLQMRMEAQGKYLLTLLEKAQKSMPCGGNGAETDKGQFSDFNLALSGLVGNNHKSNKAGLITNISHVNGDLTDNFRLCGERDTGETEDACVKPESGFVHFDLNSKDGYDLLNSGKYGIEMKPNVIADRHQ >A03p034440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14530527:14530968:1 gene:A03p034440.1_BraROA transcript:A03p034440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYLGLKSEPDDDSRFSAAISDHNYTLDPTNPRFKRSSTYFKQLAQKQKEDPTNHEQVEAKETKSKEELSTKDDGMLGTKKRSFTESATVKSLRLKIQQKKAELAQLMKKKAKAN >A05p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:931278:932149:-1 gene:A05p002630.1_BraROA transcript:A05p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYPMKPVLQKPPGYRDPNTPSPQPPPPPPPVAARPQPPPMRKPAAGMPSSFRPKRKRGNCFKSCCCCVCITIVLLVFLFLIATAIFYLWFDPKLPTFSLSSFRLDGFKLSDDPDGSSLSAAAVARVEMRNPNAKLVFYYGDTAVDMSIGNGNDETQMGATTINGFRQGPKNSTSVKVETAVKNMLVDRGLAKRLASKFQSKDLVINVVAKTKVGLGVGGMKIGMLAVNLRCGGVSLNKLDTDSPKCILNTLKWVNLK >A09p019900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10590486:10594409:1 gene:A09p019900.1_BraROA transcript:A09p019900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEALGVRRMKLGSQGLEVSAQGLGCMGLSAFYGAPTPETPAVALLRHAIKAGITFLDTSDFYGPETNELLLGKALEDGSREKVELATKFGITASENGKFGFRGDPQYVRSACEASLTRLGVTSIDLYYQHRIDTTVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPLTAVQIEWSLWSREVEEDIIPTCRELGIGIVAYSPLGRGFFASGPKLAENLEQDDIRKASKRLIQFSLQENLDHNKIVFEKVQEIARKKRCTPAQLALAWVHHQGDDVCPIPGTSKIENLNQNIGALSVKLTTDEMAELEAIARPDVVKGERHWNIMATYKNSETPPFNNRASEVRHDAERERERERERTMAKPCEVRRMKLGSQGLEVSAQGLGCMGISSFYGPSKPEADAIALLHHAIDSGVTFFDTSDVYGPETNELLLGKALKYDGVRGRVELATKFGATYAEGKREVRGDPAYVRVACEASLKRLDVACIDLYYQHRVDTRLPIEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWTRDVEEDIVPTCRELGIGIVSYSPLGRGFFASGPKLTLPRFQQENLDHNKILYDKVCAMSEKKGCTPAQLALAWVHHQGDDVCPIPGTTKIKNLNQNIGALSVEITPEEMSELKTIGQPESVKGERYTAMVPTFKNSDTPPLSSWKATV >A10p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10581599:10584626:-1 gene:A10p012190.1_BraROA transcript:A10p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWAHLTAGILSIVILSSLLAVFLRRWCCLRRPEDSTAHNTSSPIRRDSFQARISKLHQTSLSHQLDISDMKRRGSINNSCVSRRATGGLPSKSGLFIWTDHPALVTEAVENGWTGFGFAVHTSTRFVIGASPRPALLGLSTTAGSDDPGVVITWEVSNGSEDFTQKIKFNQVFRETINGKTPLMLLRAALPLPGPQLICSAFPQEAYFEITLLEIIGRRGVVSDVCSDPASVEGEKTMLFKSQGPKPVTRKKKDGENEEALLSIGLGTGGSVEVSEAQLPGKFPASIGFQSDGAVYLDGMKLVCESEKYAWAKEKKVVGCGYDPRKKKVYFTVNSHLAHVINCKADEFGTPLYPILASNTEATIFINLGQSPFYYGPANGQRTTNPCRSAVAGLEDSKELFSIGRLDSQYLRNFFINRGNSEMIASGRTIVGQSHRRKLDYDEESDADLFEIALEFSGKPNIAKL >A10p014490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4170331:4170993:1 gene:A10p014490.1_BraROA transcript:A10p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-repeat binding factor 4 [Source:Projected from Arabidopsis thaliana (AT5G51990) UniProtKB/TrEMBL;Acc:B2BJ26] MDPFYTSFSDSFLSIPDHRSPVSDSSECSPKLASSCPKKRAGRKKFRETRHPIYRGVRQRNSGKWVCEVREPNKKSRIWLGTFPTVEMAARAHDVAALALRGRSACLNFADSAWRLRIPESTCPKEIQRAAAEAAMAFQNETATTETTMVEAVKPAEETVGQTGGETTEENGVFYMDEEAGFGMPRFLENMAEEMLLPPPELGWNHNDLTGDADVSLWSF >A01p020490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10054183:10057925:-1 gene:A01p020490.1_BraROA transcript:A01p020490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR-type G protein 2 [Source:Projected from Arabidopsis thaliana (AT4G27630) UniProtKB/TrEMBL;Acc:F4JJP9] MGYGWAIFEGILVMGSLCLLGSAGLWFLNRRLYKEYEEKRALVQIIFSIVFAFSCNLLQLVLFEIIPVLSREARMVNWKVDLFCLIVLLVFMLPYYHCYLMLRNTGVRRKRAAVGALLFLTAFLYAFWRMGIHFPMPSQDKGFFSMPQLISRIGVIGVTLMAVLSGFGAVNLPFSYISLFIREIEESEIKSLERQLMQSIESGIAKKKKIILCQVEVERSQVSEENQKGKSFFRRFVGTVVRSVQDDQKEQDIKLMEAEVEGLEELSKQLFLEIYELRQAKDAAAFSRTWKGHVQNLLGYACSIYCVYKMLKSLQSVVFKEAGNKDPVTMMISIFLQFFDIGVDAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRNEYRGIITEVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQIDKHPID >A06p035710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19371489:19372188:1 gene:A06p035710.1_BraROA transcript:A06p035710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIPPTIRNLYQELTSSNSSFSSRLKPPPQMDRIEMGDGGVVFMLPRTSKQQREQHGAYDGARRSIRA >A03p022450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9425873:9435523:1 gene:A03p022450.1_BraROA transcript:A03p022450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSRPLWEFHVLNVKTSSAKSVGIGKLHHSLGDGMSLMSLLLASSRKVSDPKAPPTTTATKKHADSSAKGWWCIRRFWLVINIIFTTLIELFKFWLTLCFMRDTKTPLMSEPGDTVRPRKCINRIISLDDVKMIKNTMEMKVNDVLLGMTQAGVCYYRNISSFLVSILYVLKVDDDMATGKKKVRLRGTVAVNLRPDTKIEDLTNMMEKGSKCRWGNFVGIVIFPLWVRGEDDPLEYVRKAKSTMDMKRISMEALILYGLVKCIMKIFGDKAAENIVKRVFGHTTLTFSSVMGPKEEVSLFDHPMSYVTATASGGPQALIIHFVSYVDKIIINLAVDTTVIPDPHQLCDDLVESLGIIKLAALEKGVHNMEVMDDKKNGEAVWVPVNVRVEDHVFVPDIDHSDITNPDQFIEDYTSNIANTLMDMSRPLWEFHVLNIKTSNAESLGIGKFHHSLGDGMSLMSLLYASSRKISDPNALPTTATTRKQVGSNDNWWLVARFWLMIRVIFTTFIELFKSLLTLCFMRDTKTPLMGKPGDRNGPRKIIHRIVSFDDVKFVKKTMKMKVNDVLLGITQAGDDPVTEKKKSLEETRLRGTIAVNLRPETKIKDLADMMTKGSKCRWGNFIGVVIFPLWIRSEDDPLEYVRRAKATMDKKKISMEALVLYGFIKFTMKIFGVKAVEAITKRVFSHTTLTFSNVLGPNEDISFFEHPMCYVGASALIGPQALIIHYVSYADKIIINLAVDTTVIPDPHVLCDNLVESLEIIKLSLLEKGLHKMEELFKGLCTIAVQELHHEVKPGVWRCLWLWPICSFRDLDASVTLIFIKFRGLRIARHPQFVA >A03p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3115425:3116373:1 gene:A03p007550.1_BraROA transcript:A03p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSCFQVEDYEEDHTNPSSSVNRNCPCPRCLVNNLLNLYISLFRRGETPRSLPSSLQATTVSITSYDNFISSTPWPLPYDSDPGYFHSRRDSLVSRRDKGSSHSHEEAEPLRSDADDEDSESFLVERSRWANKLTISGEDSREDFSKSTRRILKSKTIMEAGSNQGVYDDDEDVCPTCLEEYTSENPKIVTKCCHHYHLSCIYEWMERSQDCPVCGKVMEFNESP >A04g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15778013:15782971:-1 gene:A04g506870.1_BraROA transcript:A04g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGLARGIGPFKPVAGRAWAEDPTSRNVAGLAGIDLRGMGSVRDGPKKTAVNPNVPQLLLSSFDRPPEKKKRARVKVESPPHEMELRPRLRRNSPLKKKIISSGHGFFIDGAPALSCVGIREKQRILAIWVPLLHPTSSSSFSLPPPPLSNLRRRSNFSPLVITASAVFAAPSDVNNSVPAKNGAYTSLVALDSISDGEGGGWDWIYHKENKSPLRSCSSSYQLRPIARETIGSRSQSEDQSTFVPNYTPPNTVDFATQEVLATLAAAAEAGDQIASQEAGVTRADGKQQGNRKRLISLVDDTGDSDVEISQPTQKTKPRRQTSFGTATGKPMLQSTIDGGVGSSAQACSKGKSVPMKSVIRGGRRKSPTKSKKKKVSPTQSQKKKEKVAEDIPELGDELDEEEFDEDEIGEEEREERQKSDVWRDFKVVEKPNGKLKAACNHCKREYAWQSHSHGTSGLRRHRERCKISNSRWVMCSYIIHYVCSSHWSSLQR >A07g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5679508:5683832:1 gene:A07g502750.1_BraROA transcript:A07g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRISANYHTSNNQYTRITTIKYKKSKKRAKKILFRICHKNGDIIFFPIFTIIFKNSVFIHGNLTFILPCGPSVNRAAVYGILVPLGRLPTRHVYYDIFLIKNEPSAVSNPRTCYGLREIAFEGLTRMHGLMSVDVRKSSIATQQPNFGSSDQLVKDTDKHRLVPRKFMSVVKKFISNFNSWKMFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDTIEVRDLLRNGPFFWTSFTPKRVRRALRFVHPGPASVADTGSDSEPDDQSPAAAPPAVPESSSWKGKDIDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEPPIPDFDDFFAGLPPGFDAPPLAKESARPKIVAEGSRIINGGLNLLGSAIEASNREAMIYRFKAEKAERDLARVQGEILEREAQLTRDHARAVRKAERKGKREIFEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNEHAHAEALIPPIDERIQGFWDSIQVSPDTEEVSTGFPDGGEEVDRPADAFGASLSGALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMRAFVLVLDVLKIKRVIELRLFKTASVFVGANRRTGCKVLVVAFGQFMMIFTIFGPDEAADKPWASDLCRASTSDAFASVDDFNSKHSRDCFWHPQILIRLFPGRFWLELGRYVATERDGCSAELGSDRARRTLGRYVATELGSGLVATQRPDGVYARIFLRKKFVLVIFYESYMLGSKTVTTNLTLKTSEEENKNSSEDDRAIVPLGRYIATELKPKLGRYVATELKPKLGRYVATGLEPKFGRCIAIELFRTATDINPCILVKYSNAISRRP >A02p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18214131:18215437:1 gene:A02p033890.1_BraROA transcript:A02p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIFEGUARD 3 [Source:Projected from Arabidopsis thaliana (AT4G02690) UniProtKB/Swiss-Prot;Acc:Q9ZQX7] MVYAYSPVTTCHLLLNQNTWSSRTALLRKSKNEESKANHHSFNFQGFELSRVFLPFCCKDLTPIMYQWNLPYRKDDLEAGGGSRSRPLYPTMHETPELRWGFIRKVYSIIAFQLLATIAVSATVVTVRPIALFFATTGAGLGLYIVIIITPFIVLCPLYYYHQKHPVNYLLLGVFTLALAFVVGLTCTFTNGKVILESAILTTVVVLSLTVYTFWAAKRGYDFNFLGPFLFGALIVLVVFAMIQVFFPLGRTSVMIYGFLASVIFCGYIVYDTDNLIKRYTYDEYIWAAVSLYLDIINLFLSLLTIFRALQR >A10p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15266209:15268905:-1 gene:A10p023370.1_BraROA transcript:A10p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRTIPSLSTSHFLHTFRNASSAIDSAEKDSQFIDAVKRIVRGKRSWEIALSRDLVSRRLKPTHVEEILIGTLDEPKLSLRFFNFLGLHRGFDHSTASFCILIHALVKANLFWPASSLLQTLLLRGLNPIHCRHYGLAMEVFEDMINAGVRPDVYIYSGVVHSLCELKDLSRAREMIVRMEESGCDLSVVPYNVLINGLCKKQKVWEAVEVKKSLFRMNLKPDVVTYCTLVHGLCKVQEFEVGLEMMDEMLRLSFSPSESAVSSLVKGLRKRGMIEEALNLVKRIAESDLPPNLFVYNALLDLLCKCRKFEEAELVFDRMGKIGLCPNGVTYSVLIDMFSRRGKLDTAFSFLGRMIDSGLKPTVYPFNSLINGHCKFGDISAAENFMAEMIHKKLEPTVVTYTSLMGGYCSKGKTHSALRLYHEMTGKGIVPSLYTFTTLISGLFRRGLVREAVKLFNEMEGWNIKPNRVTYNVMIEGYCEEGDMGKAFVMQSEMMEKGIAPDTYTYRSLIHGLCSTGRASEAKEFVDGLHKENHELNEICYTTLLHGFCREGRLEEALSVCQEMVRRGVDLDLVCYGVLIDGSLKHKDRKMFLGLLKEMHGKGLKPDDVMYTSMIDAKSKTGDFEEAFGIWDLMINEGCVPNEVTYTAVINGLCKAGFVNEAEILRSKMLIPNQVTYGCFLDILTKGEGDMKKAVELHDAILKGLLASTATYNMLIRGFCRQGRMDEAYELLMKMTGDGVSPDCITYTTMIYEFCRKSDVKKAIELWNSMMERGVRPDRVAYNTMIHGCCVLGEMEKAIELRSEMLRQGLKTNSKTSGTSILNDSSSKS >A07p025360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14622501:14624092:1 gene:A07p025360.1_BraROA transcript:A07p025360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G29050) UniProtKB/Swiss-Prot;Acc:Q0WQX7] MSREGLEIKVVDPPPPVSNVSAARSSPGGRQRASFAEFRPFKLWFPWLVPAIVAANIVLFAVSMFINDCPKNSQKCSARFLGRFAFQPMKENPLLGPSSSTLEKMGALEVTMVVDKHQVWRLFTCIWLHAGVFHVLANMLSLIFIGIRLEQEFGFVRIGLLYMISGFGGSLLSSLFNREGISVGASGALFGLLGAMLSELLTNWTIYANKFAALLTLIFIIAINLAVGILPHVDNFAHLGGFTSGFLLGFVFLIRPQYGYFNQRNNPRGYAAPSAKSKHKPYQYVLWITSLLLLIAGYTVGLIVLLRGTDLNKHCPWCHYLSCMPTSLWNCKSQNMYCKSSQIGKQMNLTCIANGRTEMYTLGNENQSQIQQMCSQLCS >A01p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20054820:20058242:1 gene:A01p025520.1_BraROA transcript:A01p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLDDWSVLEETSSSFQPPPRSLPVQPEFWNRVEEATREIIEHVHPTLVSEDRRRDVIDYMQRLIRMTLGCEVHSFGSVPLKTYLPDGDIDLTAFGGPCHEEDLAHQVFTVLEREEHNVGAQFMIKDVQLIRAEVKLVKCLVQNIVVDISFNQLGGICTLCFLEKIDHLIGKNHLFKKSIILIKAWCYYESRILGALHGLISTYALETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWDNYCISLSGPVILSSLSLPEIVVETPENGGKDLLLTSEFLKECLELYSVPSRGFETNPRVFPVRHLNIIDPLKENNNLGRSVSKGNFYRIRSAFTYGARKLGQIFLQSEEDISFELRKFFSNMLHRHGSGQRPDVVPYVRFHRSYASAANHFQDGQMAYESESSSSSGAAAAAAAGNGRHDQEDLLCAGGPNVLSTSRLDVSGLHVETTPSGDAKEELASVSFQKLEISEDAMESVSPLNGKHHHISNGEVLNGKGVENFSKRVHSNENVNDHVGHEGSVHNTSIMDPVAVIQEDMHLQYSGHSVSDTPSLLSDLTGDYESQLNSLRYGRWWFDYVQNGPLSPLSPHGLPNNNSWEVVRHALPFGRNAPGGPVNVNGVVPRQVFFHMNPQMIPNANFGIEELPKPRGTGTYFPNANFYRDRPFPPRRNSNQTRSPRNNGRSMAHFHSDHRRQQLHHQHHSNQANGSSDMSQVDSFESFSDTNGSVNHQHEMAPDFRSTEAELRSPPEGSSQSDLSVEGYYNQLHRPTSIPSSTEEDRGTPLQSYYLADDHEFPPL >A07g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5709934:5710892:1 gene:A07g502780.1_BraROA transcript:A07g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFPFVRSRSCVIGSGRGEEPKVKEEETSCEAQKPQDKGGGFLTPLVKLAGYLIFVSECESGSERSRDKLVKVLAGEHSEPNNSLPALYLSYVVRAIDTMTGMPWELPISVLVAVTLKESSRFFRLWAEQPPRPSQLPHI >A01g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10484178:10485981:1 gene:A01g503280.1_BraROA transcript:A01g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEDTVTPFQILGGDAQVVQIMLKPQEKVIAKPGSMCYMSGSIEMENNYTPEQEVGVVQWVLGKSVSSVLLRNTGQNDGFVGIAAPSLARILPVNDLYLAMIFFLIVITNYDAYYTFLCVLVLYLKIDLAMFGGDILCQPDAFLCSVHDVKVVNSVYQRHRARNIAAAGSEVFLRQRLSGQGLAFITAGGSVVQKNLEVGEVLTIDVSCIAALTPSINFRINYHAAPVRRAVFGGDNVVTATLTGPGIVFIQSLPFHRLSQRIARSVTSPNMRENPRFLVQIGLFLFLAYVVIASSLILTEM >A01p006970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3509900:3513112:-1 gene:A01p006970.1_BraROA transcript:A01p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTLLVYYDKGTPAVAKEIKEALEGNDVEAKVDAMKKALKLLLNGETIPQLFITIIRYVLPSEDHTIQKLLLLYLELIEKTDSRGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLKETEIVEPLTPSVLQNLEHRHPFVRRNDILAIMSIYKLPNGDQLFVDAPEMIEKALSTEQDPSAKRNAFLMLFTCAEERAVNYLLSNVDKVSDWNESLQMVVLELIRSVCKTKPTEKGKYIKIIISLLSATSSAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLILLDRLSELKSLHRDIMVELIIDVLRALSSPNLDIRKKTLDIALDLITHHNINEVVQMLKKEVVKTQSGELEKNGEYRQMLIQAIHACAVKFPEVASTVVHLLMDFLGDSNVASALDVISFVREIIETNPKLRVSIITRLLDTFYQIRAGKVCPCALWIIGEYCLSLSEVESGISTITQCLGELPFYSVSEESEPTEASNKIQPTSSAMVSSRKPVILADGTYATQSAASETTFSSPTVVQGSLASGNLRALLLTGDFFLGAVVACTLTKLVLRLEEVQSSKTEVNKTVSQALLIMVSVLQLGQSPASPHPIDNDSYERIVLCIKLLCHKNDEMKKIWLESCRQSFVKMISEKQLREMAELKAMAQTTNAQPDDLIDFFHLKSRKGMSQLELEDQVQDDLKRATGEFTKDENDANKLNRILQLTGFSDPVYAEAYVTVHHYDIAVQVTVINRTKETLQNLCLELATMGDLKLVERPQNYSLAPGTNMQIKANIKVSSTETGVIFGNIVYETSNVMERNVVVLNDIHIDIMDYISPAVCTEVAFRTMWAEFEWENKVAVNTTIQNEREFLDHIIKSTNMKCLTPPSAIEGECGFLSANLYAKSVFGEDALVNVSIEKQTDGALSGYIRIRSKTQGIALSLGDKITLKQKGSS >A07p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10611794:10614714:1 gene:A07p017510.1_BraROA transcript:A07p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEVKAAIAMLVVQFIFAGMFILFKITAHDGTNLRILVAYRLSFATLFMFPLALIFQRKKRPEFTRRLVLLAFLSGLLGAAIPNILYLPGLVRTSATFSTAASILSPVITLVLSLAFRMDTLRLGSNEGRAKLLGTLLGVGGALVFVFYKGFELHIWSTHVDLLKSSGQSSGPATENHHHISISGVLMAKISKEFGGHCWNISLMNATGSLVCMVVALCSEHNWNQWRLGWNISLLTTGVVVSGLVMPLVAWCIEKKGPLYVTVFSPIRLVIVALVGSFALEETLYLGSLIGAIIMVGGVYLVVWCKMKEKKSVSTTTDHVETNKNIKEVSLGNLSAVNNRDVPLGSHPNDIAFLNSQYPTYTTLSSFLRPDHVHVTF >A05p017070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7638633:7640582:-1 gene:A05p017070.1_BraROA transcript:A05p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSVLLFSVLLVLPFATSLHFNISRFTPGDPNIAYQGDATPNGAIELSNAGYTCRVGWATYAKRVAIWDPETGRPTDFTTSFTFKIDTRNSTEFGHGFAFFLAPQGILISPNSAGGFLGLFNETNGYSSRFPLVHVEFDTFFNDEWDPLDIKSHVGINNNSLASSNYTSWNASLHSQDTCHARITYDSASRNLSISWSYEETSNLRESSSLFYIIDLAKVLPAEVTIGFSGSTGANTEAHRILSWEFNSTLDVVEPRERTQNMKGIIVGVSLAGFVLLTSLVAGAFALFRKRKQRKEKAGKTEENLTSLHEDLERGAGPRRFSYKDLASATSNFLYERKLGEGGFGAVYKGYLNDLDTMVAVKKFSGASKQGKKEFITEVKIISSLRHRNLVQLIGWWCHEKQELLLVYEFMPNGSLDSHLFGKKPHLTWAVRCKISLGVASALLYLHEEWEQCIVHRDIKASNIMLDSNFNAKLGDFGLARLMDHELGPQTTGLAGTFGYMAPEYISTGSASKESDVYSFGIVLVEIVTGKKSVEPRQGKVEPEPSLVERVWDQYGRGELISAVDHQIGKDYDMKQAECVMIVGLWCAHPDINSRPSIKQAIQVLNLEAPLPNLPHKMPIATYHVSSSSSSGGGVATTTFSSAQLGR >A01p023020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11365233:11367173:-1 gene:A01p023020.1_BraROA transcript:A01p023020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVIFFLLVAAGLLVAITGEVVEDSFDNPIIRQVVPEENDEQLLNAEHHFSLFKSKYDKTYATQAEHDHRFRVFKANLRRARRHQLLDPSAEHGVTQFSDLTPKEFRRKYLGLKRKLRLPSDAQKAPILPTSDLPTDFDWRDKGAVTPVKNQGSCGSCWSFSATGALEGAHFLATKELVSLSEQQLVDCDHECDPEEANSCDSGCGGGLMNNAFEYTLKAGGLMKESDYPYTGHDNSGCKFDKSMIAARVSNFSVVSADEDQIAANLVHHGPLAIAINAMWMQTYIGGVSCPYICSKSQDHGVLLVGFGSSGYAPIRLKEKPYWIIKNSWGSTWGEDGYYKICRGRHNICGMDTMVSTVAAVHTSTQ >A02p055300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33524938:33525691:-1 gene:A02p055300.1_BraROA transcript:A02p055300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKKHGAPPLAENGEKRTPSACQAAKPKEGSGTRFMLKEHVSNLVTQNSRICFCGEKRSWTFLRFCLIQIQIFSNNICAKFKIRKSRGYKEKQRNLETARGDCWFKKTHISVEVRVLLHFT >A02p053340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32457776:32458353:-1 gene:A02p053340.1_BraROA transcript:A02p053340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHSEEEYSETMKRRAEDAIEQNRTCSNDKKACADCGTSKTPLWRGGPAGPKSLCNACGIRNRKRRRGVEDKKQTKKTNSGGGGGDLKRSPKVGESMKQRMMDLGMTKRSRVEKQLRKLGEEEQAAVLLMALSYGSVYA >A01g510760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29808986:29810150:-1 gene:A01g510760.1_BraROA transcript:A01g510760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIINPVRDSSSILFDLVLKNLDSHLSFFEYRMMSSGCEDSSVNTMGIRGIPEQCGCGRRTGIYTSKTKVNPGRTFFRCPTFQNLVRIEELPIVMIELVRIDLLKIEELPMLMLELVRIDFVRFELLRIELVTRQS >A07p024820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14274651:14276104:-1 gene:A07p024820.1_BraROA transcript:A07p024820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMEINGSTSTATPTASAVAVVSASETTTPVSSPSLTSSPPPSLSPPQPPQPQVVLSPCAACKILRRRCAEKCVLAPYFPPTDPAKFTIAHRVFGASNIIKFLQELPESQRTDAVNSMVYEAGARLRDPVYGCAGAIYHLQRQVSQLQAQLAKTQVELVSMQLQRSDLLELFYKMEQTKLSAQKGQQNMSFESSFDSGDEFISSPDEVTNDLVFLEDTNNNNSSMSWWDPLW >A01p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11247549:11251069:1 gene:A01p022820.1_BraROA transcript:A01p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAR1 [Source:Projected from Arabidopsis thaliana (AT4G16440) UniProtKB/TrEMBL;Acc:A0A178V110] MSDKFSPTLRLGDLNDFIAPSQACVISLKGSKKPLDEKPDRPQVVLTPKQQLEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSALTKGKDVIVSLSPQSRASIAVHYDISPLQVFKKLTTFLKSLGVKAVFDTSCSRDLVLIEACNEFVNRFKQASSDDGENAQSPLPVLSSACPGWICYAEKTLGSFVLPYVSSVKSPQQAIGAAIKHYLCQALGLRCSLETLVILSYIVGYIVDPFIGTRLEEIYHVTAMPCYDKKLEAARDDFVFGDNLTEVDSVLTTGEILDLLKLKGVDFKDLEESPLDRLLTNVTEEGHLYGVAGSSGGYAETIFRHAAKALFGYTIEGPLEFKTLRNSDFRELTLELEGKTVLKFATCYGFQNLQNIVRKLKTRKCDYQYVEIMACPAGCLNGGGQIKPKTGQTPKELINSLEATYMNDTALSTDPFQNPIAKRLYDEWLHEPSSGEAKKYLHTQYHAVVKSVTAQLNNW >A05p003560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1308868:1310948:1 gene:A05p003560.1_BraROA transcript:A05p003560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSIEAKALLSNGSVQLQHNGLNLEEKLDEFRRLLGKSDKDPLKIVSIGAGAWGSVFAALLQESYGGFRDKFQIRIWRRSGRAVSRATAEHLFEVINSREDILRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETRQVFEEISKYWKERITVPVIISLSKGIETALEPVPHIITPTKMIHQATGVPIENVLYLGGPNIAAEIYNKEYANARICGAEKWRKPLAKFLRQSHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEINRDMGDSISGKGMIQGVSAVGAFYQLLSQSSLSIMHPEEKKPVAPVESCPILKTLYKILITREQSTQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLDQP >A01p018670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9075594:9077130:1 gene:A01p018670.1_BraROA transcript:A01p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSLCQRLVPKFIYKYACYGVSEYHHQNLEVTFIVERQRRAHHFEMRSITALFFLFCFLAPSALAQLRFGFYGRSCPRAESIVANVVANRFRRDRSITAALLRMQFHDCFVRGCDASLLIDPRPGRPSEKSTGPNASVRGYEIIDEAKRLLEAACPRTVSCSDIVTLATRDSVALAGGPRFSVPTGRRDGLRSSPNDVNLPGPTIPVAASIQLFAAQGMNANDMVTLIGGGHSVGVVHCSLIQDRLADPAMDRSLNARLRNACRAPNDPSVFLDQRTPFIVDNAIYGEIRRQRGVMRIDQNMGLDRSTRGIVSSFAQNNALFRKRFAEAMVKMGTIKVLTGRSGEIRRNCRVFNNGR >A05p054010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31693271:31697996:-1 gene:A05p054010.1_BraROA transcript:A05p054010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPSNALHSTPHFFPIRRSKLCRSRNFHSGGGKLCSLSLLSARRFSVRALVRPDVVSEDGGGDSGALSFPNHVSVKIPFGNREILVETGLMGRQASSSVTVTDGETIVCTSVCVADVPSEPSDFLPLYVHYQERFSAVGRTSGGFFKREGKTKDHEVLICRLIDRPLRPTMPKGFYNETQILSWVLSYDGLHAPDALAVTSAGIAVALSEVPNAKAIAGVRVGLIGGEFIVNPTVKEMEESQLDLFLAGTDTAILTIEVKFNLYLSLQDLHIVDSALVHLQGYSNFLPEEMLLQAVKIGQDAVQATCTAIEALAKRYGKDKMVDAIRLPPPDLYKHVKELAGEELSKALQIKGKIPRRKAISSLEEKVLTVMTEKGYVVDEVAFGTTEAQPELLEDEDEDEEVVPEGEVDQGDVHIRPIPRKPIPLLFSEVDVKLVFKEVSSKLLRRRIVEGGKRSDGRTPDGIRPIDSRCGLLPRAHGSSLFTRGETQALAVVTLGDKQMAQRIDNLEGSDEYKRFYLQYTFPPSSVGEVGRIGAPSRREIGHGTLAERALETILPSDDDFPYTIRVESTILESNGSSSMASVCGGCLALQDAGVPVKCAVAGIAMGMVWDTEEFGGDGSPLILSDITGAEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLEIMEKALIQAKAGRRHILAKMAKCSPPPTLSLSKYAPLIHIMKVHPSKVYSLIGSGGKKVKSIIEESGVEAIDMQDDGIVKIMANNVASLERAKAIISGLTMVPAVGDIYRNCEIKSMAPYGAFVEIAPGREGLCHVSELSAEWLAKPEDAYKIGDRIDVKLIEVNEKGQLRLSVRALLPESESGKDSQKPPTTGDSTKDKGSPRKYVNTSSKDRAATKKIEAA >A06p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6420000:6425142:1 gene:A06p014240.1_BraROA transcript:A06p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYLLRQVSSIQSLRYYSSRDSSIRPPVFIRVPACMAKECFFLAALRRIIPGVTIAYARLCLFCLYMLCQNSLSTGNAVPKRTNREGKMLWRCNWVKQRRKLINTLSFSSLHTLFSPNPNQISNLSRHRAAPNPPLTHDDVYSRLRESSPDLKTLTFFLHCAKQSNYFHDDRAFDHMVSVVERLTHRHKSINQVIEALILSGCVIKPRVLLLLLEIFWRGHVYDKAIEVYKGMSTFGYVPNTRAMNMMMDVLFKYNLVDRALEVFEGIRVRNFFSFDIALSHLCSRKDLDLVRVKMVLKMMIREGFYPNGERFGQVLGVFCRSGCVSEGFQVVGLMICCGVSVSVNVWSMLVNGFFRSGEPRKAVDLFNKMGCSPNLVTYTGLIKGFMDYGMVEEAFSVVSIMQSKGLAPDLVFVNVMIHTFTRLGRFEEARNLFLNSLKKGNLVADRYTFATMLSSLCVSRDFDLRITIGTETELDLVMGNSLMNYLCKVGNTLAALKVFRNMSNRDLALDCYTYTGFLTALCQGEAYGHALNMYDEIIKRKSIRIDAHFHTVMIDSLVELGEYGTAMRLFNRCVLEKRELDVVSYTVAIKGLVRGRRMEEACSLLKKMKEDGVMPNRRTYRTVISGLCGEKDKDRLRKVLRECIEEGVELDPNTKFKVVSLLSRYPRDCSEFRSVFEKWRENVGVSDSYDELAVSAG >A02p013990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6124208:6125596:1 gene:A02p013990.1_BraROA transcript:A02p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLNRILKLHARRDNARFFSSLPESPYLLLGGKKLRDSPEGQVGKHMFFDPTKEEKVYISEKTVPQELNGQPLLGASQSWVALPCTSRISSEFLLYSRRNKTFYFTSFKGLFMGSLDISNKKLKFQDLRLRTHFAFAEDGEWIMMHSITKRFTSEAFCLSASMYSGPKPNSIYYIGSGLGYYNLASGTVRSFDPLSGKPLLVRYPYWLHPTNPIA >A10g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17759614:17761698:1 gene:A10g506290.1_BraROA transcript:A10g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAVLWVASSSPNPDQMTTCGLVRAVESSRVLARCQNQRMDNGRRKQTTKTWTSSSSSSLMSYRRSVVSSSVVASHAGEIALSSEEKVYNVVLRQAALVNKQLRSTSPELDDVKKPQDIVLPGSLSLLGEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPMALDRWEARLEDLFRGRPFDMLDAALADTVARYPVDIQPFRDMIEGMRMDLRKSRYKNFDDLYLYCYYVAGTVGLMSVPVMGIDPKSKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMKMQLKRARMFFDEAEKGVTELDAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVGKAKKIAALPLAYAKSVLKTPSSRGTT >A10p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18717207:18719161:1 gene:A10p031240.1_BraROA transcript:A10p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter I family member 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G14100) UniProtKB/Swiss-Prot;Acc:Q8LEF6] MLLSTVSSSAPVFRIGEPPVAAIGWKQALRFRRRTKRSVISCDYSCLEVRDICYRPPGTELNILNGVNLSLREKSFGLIFGKSGSGKTTLLQLLAGLNKPTSGSICIQRYGDDGQPNADSELLPTEKVGIVFQFPERFFVADNVLDEITFGWPRQKGSLQLKERLTSNLQRAFNWVGLDSIPLDKDPQLLSGGYKRRLALAIQLVQTPDLLILDEPLAGLGMTDPASSLSYWKARADVAKLLKHLKKELTLLVVSHDLRELATLVDQSWRMESGGVLVAERPPV >A10p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19573301:19574215:-1 gene:A10p033420.1_BraROA transcript:A10p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAQSKGWIKNFSSIASWVYFLLIILQIPLFRVPCRSGMCMSPIHVTSSQLISSEIFPVPVIKGLLYPGAIVHGLATNMTFPKWENVLDLYNLTNVKEASAVPDLQRLEVLAGSYFSVAGAFIGLLKPGRMGMFGSLLLVWGLVKEGILKKPVNTDPSKTVYVYPTMVIAVICAFSMINYNLKKATRAAAPARPIAKPLMSSSKSKLK >A07p027090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15466243:15468925:-1 gene:A07p027090.1_BraROA transcript:A07p027090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFGAVLAIIFFGFLFASFEDKEVQKDRKLVPYQIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHQKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKGMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNSLETYVYNMKNQVSDKDKLADKLEAEEKEKIEAATKEALEWLDENQNSEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGASPTDDEEDESHDEL >A07p042870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23213524:23216363:1 gene:A07p042870.1_BraROA transcript:A07p042870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT1G69420) UniProtKB/TrEMBL;Acc:A0A178WF77] MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGSKIHQYIAMGIYTPLITCVVGLYIWCAASDPADHGVFRSKKYLKVPENGKFSQSKGSKDGCGGAKSHDSTCVQDQENGTNTKKLNSSQRSCFLRVLCSPCALICGCCSGRDESSEQQMSEDGMFYCSLCEVEVYKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRKFFSLMISAIFLLIMQWSTGIFVLVLCVLRKNQFSAEIALKLGSSFSLVPFVIVVAVCTLLAMLATLPLAQLFFFHILLIKKGISTYDYIVALREQEQELEAGGGQQSPQMSMISSFTGLSSASSFNTFHRGAWCTPPRLFLEDQFDVVPPENASVSSYGKKSVVEERVKKKNQPVKISPWTLARLNAEEVSKAAAEARKKSKIIKPVARRENPFVGLEASSSFGSSGRRNFPAKFEAANSSNGKHQRRQSKRIRLPAELPLEPLMNVQTRAAIMETSTSSGLGPLQLEARSAFQTSRAMSGSGGGVMVTSSPESSLDSHDIQPFRVSSEAEDSAQLNGFSSAVGLMSQLRGQQQQQQQQSMMMMPLSRSTSDGYDASGGEDSDQVPSRNIHKSR >A09g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24236483:24252204:1 gene:A09g508650.1_BraROA transcript:A09g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKKMSGSPELVAGATVCRDHVQLDTTVRNPRPPSCSSRRDEAVDTNHAAIGARTKPLEPPEVSPLHERETHAPSPSVGATAHPGHSPPSPTNVCRSRRARPPSVRRRLANSPTRRLSRPGEGFSAVLFEKSRGTEIYVLGRVFSGVHRRLYYAALFVGIAGEMRYAIIALVVACLVHLTYVDCFVWCNRRRVCFMLELGLHFSSAMNSVSGLRFSIPIPHWAIPLSLTPPSFPFQMPPRKRVVRTQTVRDAREVEAEDEHVQPAVPQQAAPPIDQDALRQMVQDAARQAAQEAVQQAAQEAARVAAQEVVRQMAAVQQGQQIPHGPQVQVQQGPQIHMQQAPPVQVQHDHQIPHQPAPAPQYPQVPVPSVPGVFQVPPPPPAFPVQVPEVDETFIGVLGQMKYVSLEHFSGTTEPTVAHDWKHSLDKCLKTISCPPRLKLNIAELYLRGDASIWWDGVRLMHRGELTYDDFLYAFNKKYFPREALHEKKNDFEHLRQGAKSVREYEREFNQLRRFVGNTIDEEDLIRRFLDGMRVELRGRCSVVTYTSLEDLVEKAAVQEKCMVEEQKFSKAVQPKAGGTSGSQKRTWEQTGVPHCGRCRRQHFGECLQCFNCGLFGHISKNCRKPPRTQVAAPAAAVAPAAAARNCYGCNQPGHIYRDCPRRGNAALPPPPKRPAIAPRVRDRRAGVIIPDRCFWAFTTIELWTSITFIVLSLLDLWTPIYRLCYLVFRTFGLCRTLNFRSYRYWAFRSLYYDPFPPRLGVTQSYRRLYLYLAVFERYGRRVSHTPHQSLGGLGILRGVHRQVLTLIGCRTGSKTARSTSPKTRKNRDLRFYLNALNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGGFVMAQLSPRQSHHTPGHRQARHHHQPQLRIAGNRRLLSFDFVHPSSCFFRRDEAVATDHAAIGVRTKPLEPPKVSPLRARELHAPPPKIVSAAVARAAPTPRTTGRRRACLLRFLSVACRPPSPRVSHRRSVTVAGLTGLTFDQRVDFSINLDQTRFKPFKRRSDSISRPDFRFGMPPRKRVVRTQTARVARQAEDEHVQPAVPQQATPPIDQEAMRQMVQDAARQAAQEVVQQIAQEAARQAAQEAARVAA >A06p036000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19497189:19505902:1 gene:A06p036000.1_BraROA transcript:A06p036000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNQTFFLILSICYFLSPALTAATTALRAGASNKAVNFIQSSCKTTTYPAVCFHSLSAYANAIQTSPKRLAETALAVTLSRAQSTKLFVSRLTRFKGLKKREIEAIKDCVEEINDTIDRLTKSVQEMKLCGSAKNQEQFAFHMSNAQTWTSAALTDENTCSDGFSGRVMDGRIKNSVRARIVNVGHETSNALSLINAFAKKPDSVWVQWFKEVILKGSVHNYWTTSPQQSYSWLVNKLDKLRNEVFPLIKSGFIMVKRLGSATQDLGYRTKQLHLPYVLMAPGSFFPLELRHKYSSMPTLQLFNHHFLASLLITITITTLKSVHTTTTTNTEFVKSLCTFTTYPRLCVTSLSTQSSLIQTSHKLMAHAALNITLASAKATSAMMVRVSSSSRLKPREVSAMRDCVEELGDTLEELRKSIGEMGQLSGSNYEVYMNDIQTWVSAALTDEYTCSDGFEGDEMNGKVK >A02p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13391083:13392507:1 gene:A02p027580.1_BraROA transcript:A02p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g74700 [Source:Projected from Arabidopsis thaliana (AT1G74700) UniProtKB/TrEMBL;Acc:Q1WW71] MESETETKKKKHLMEIEGYPIDGLSIGGHETCIMFPSLRLAFDIGRCPHRAISQDFLFISHSHMDHIGGLPMYVATRGLYKMKPPTIIVPTSIKECVESLFEVHRKLDSSELKHNLVGLDIGEEFIIRKDLKVKAFKTYHVIHSQGYVVYSTKHKLKQEYVGLPSDEIKNLKASGVEITNSITTPEVAFTGDTTSDFVLDENNADALKARVLVMESTFLDDSVSVEHARDYGHIHLSEIVKHAEKFENKAILLIHFSARYTVKEIEAAVSALPPPLKGRVYALTQGF >A03p037540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15709889:15712884:1 gene:A03p037540.1_BraROA transcript:A03p037540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSGPPKLPQSKQAPSCPNLNELSLSRPTVFFLRSISQLFLFSSLIEHIWKMSLKATIVLLGLLWSFQASLGIRFVIDREECFSHKAEYEGDTLHVSFVVIKSDSQWHFNEDGVDLVIHGPTGEQVHDFREQISAKHDFVVQKKGVYRFCFTNKSPYHETIDFDVQLGHFAYYDQHAKDEHFTPLMEQISKLEEALYNIQFEQHWLEAQTDRQAIVNENMSKRAVHKALFESFALIGASVLQVYLLRQETRMSRGNPPEPLDFFIWTVEDVGSWLEEINLGSYRQIFKESSVNGEYLESMSVFTTEQILHFIRRHHMKWGDFITLCKELRRIKVACLKGEQRVRRPWWAPSCLSVVFVKAAKRNQQSRVVSLKLES >A05p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12346062:12346578:1 gene:A05p025380.1_BraROA transcript:A05p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPKLTLLLRLCVVWRPKGHDGYIFQASWSRFVGHGGYARHVKFGQWRWFVPITSTVPARPGELETPVVEGSEQDLQKKRRHDLRTVAASWILGFLFVPGLLRFT >A09p049960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44060247:44061077:1 gene:A09p049960.1_BraROA transcript:A09p049960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTCLQSHIRLQIKTIFHHFIHFEDSKKHPQKEEMKDRMQRCVVLPFSFGCSKQSSVAVADSTHQHKKPNQLIKSYGDEEREEREAEMEIGFPTNVKHLSHIGVDGTMTTFNVSSSSFPFAGLHLTAV >SC124g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:81011:88748:1 gene:SC124g500040.1_BraROA transcript:SC124g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVGSKGLWKHITSGEAPKLITQEGDKESVSESEAEKWQQEDMMLVDKDEEGETEDTLAEEKAVLAIPTGPITRAMTRRLKEAEAMGSEGDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLGEGREGDGKALVTYSATPNIRGNDHDFIRRSEMDALIKMLKENALRVVKMLELSTPLLEMLG >A03g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24235457:24236364:-1 gene:A03g506820.1_BraROA transcript:A03g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRWLALLSPHLLLVHLSLVLTGLRPDPVTKWTFGCGFSCVGSGSDPPRRLGGMKCVAVSSHLASAPLPSLSPPSAATLELPRFVPMRRKDESEELIGDLSRISSDNNHGFSWCVPRGLCCIICVAWMVMEPVVPPTLDRNLCFREKNVSTGLNFCLPAEIARICWLTSSSSGALERKPGASVDACLEPL >A06p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18071489:18072945:1 gene:A06p042880.1_BraROA transcript:A06p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSESDSSQLIKAVNSGNCVPELDGVVADILSFASIFEFISFVWISRERNGQADMLAKLANLVANLLTIHARVTSRVVETDGNVGHDKMESIPEMMFAAREEPLEFVF >A07g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8369501:8370305:1 gene:A07g504260.1_BraROA transcript:A07g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDLLMKERLTKLAILDTLLAKNQPLTEAEEIEMGRYSYSQPSLSEDYCGDSSDGGYSSTEELIRRDQEELIRRDQEELIRGDQEPPQYPPQPEVEFGFPQTCYCGGAPKLATSKTLNDRGRLYFTCDQADDLCIRICNAL >A03p029730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12503797:12505459:1 gene:A03p029730.1_BraROA transcript:A03p029730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPKDQHTPEKSSTDPPSSAYPPSGCCTNCGGPTISEPSPLASLPEMSPPPNYRPIRAPAINLPHNSQAIILSPVPHTEQVPVLSPPYQFERPVKRIHSPDDIRRFQESPSFKNFLGFVVSLSESIRGHKISDPCLVSPTVAAVVSILETLLQWIDEIPPVQMSSRYGNISFRSWHERLAQRGESLILELLPEELRGSTIEIVPYFFDSFGNASRIDYGTGHETNFAAWLYCLARMGVIKEEDYHGVVARVFVKYLELMRKLQMVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLVDHKYMKPKSIHNDDILENFSGEYMYLSCIAFVKKVKKGLFAEHSPLLDDISGVPNWKKVNSGLLKMYKVEVLEKVPIMQHFLFGCLIKWEE >A09p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15184810:15186744:1 gene:A09p026890.1_BraROA transcript:A09p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLVNYTPLHSRSEGDNEIEDHRVQKTMMINGMPVNKKILKRKSSHQENFNIGFKKRSLEEEASNRSSSGSVVSNSESCDQSNAWETIFPCKKRTCVVVGRPKAASSVEKLTKDLFSILQEQQQSSCLSGCSEEDLLFENGSPMVIGHGSVLMRDEESEASSLLVESSKSVSVRSVGFEVNRVQRSLNFGGNDIKHEQHKSKPQVLGRPSLPLCNIDLKDVFNFDEFIEKFTEEEQCKLMKLLPGVDSVDLPDSLRSMFECSQFKDNFSLFQQLVAYGVFETLSSSSSSKPEEFKKLAKLALSDPNKSHLLESYCMLKEQRKGSEDSVTTSERPSESLNQNFSETRGVMKSPKEVTKMRPKHIGTEEIVENSVYSFNNHMRYGGPMVFSCEDNDICDEEDVLVDVPSNGSFPQAELLHMI >A10p032400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19173874:19179085:1 gene:A10p032400.1_BraROA transcript:A10p032400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ with tetratricopeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12430) UniProtKB/TrEMBL;Acc:F4K0Y5] MSNFGDLNPVFSASGRSTNNPDPAFNSRLSKPRFSKVRRQVKPPSVTSDSLPPAPHQGFNPFLHRGSPDDAGFGCGVHEGFAFGGSSSGDQVLEDLGKLKIQVEDVHFASRLPGRNVDDDELQSLLKNKLNLDPSFGSSSSSWSTGGRVIHEGLEKLNISEKVMNDNAKGKASMDYVGEKILSDDLSGKLNVGGGLATDGINIGRSSAAESLQGGVSEKKVHGFSSSCPMNYSFVGTEPSEHRNAHDAASTVNTSAFSFSSNGKSGGTSFMEFKTPNLKPNPFSSLDQKLGFNAKKDSVGASRGRRKGVKQPVKVQLNIGNEFAFAESAFPQGTSEASDSYSPMDVSPYEETADSRDFNAGSDQFANPDNIPPSALNDAFDAELAAATERMDINEEDEVNNYQAEGLNTGECAEGDDLAEDSISGAETESFKTAAEEMETSSDTFASASESEVTSSYRPDRQENDNHSLFNSNAASSSFTFSASSFSGVQGPQSTSKRISRKKYPVPLGQDPYILIPNASSFKSSQHSPVTGVQSHLSMGKPSERDPFTRHHKPINNPVMDKARDEKDVSNAAQEACEKWRLRGNNAYKNGDLSRAEESYTQGIDSVPKIETSRNCLRALMLCYSNRAATRMALGRMREAISDCTMASSIDSNFLKVQVRAGNCYLSLGEIEDASRYFKKCLQTGSDICVDRKISVEASEGLQKAQRVSECMHEAGCRLQLRTSTDAEKALEILEEALLISPYSENLLTMKGEALLMLEKYEASIKLCEQTIDLAGKNSLPVNANKYPDSDDTPKDINFGIWRCRLMLKSYFHMGKLEEAINSLEKQEQLLSATKRDGNKPLESFIPLAATIRELLRLKSAGNEAFKSGRHAEAVEHYTAALSCNVESRSFTAVCFCNRSAAYKALGQFSDAIADCSLAIALDQNYSKAISRRATLFEMLRDYGQAASDMHRYVNILTKQMEEKTSGIHDRVTNLANDIRQARMRLSELEEKSRKETSLDMYLVLGVVPSCSASDIRKAYRKAALKHHPDKAGQSLTRNESKDERLWKEIGEEVRRDTDKLFKMIGEAYAVLSDPAKRSQYDLEEEMQNSQRRRDGASTSGAEPDVNYPFSNSRRNWREGWSSSRRDPSAPRWFEQNRSNRYPL >A03g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24504827:24505382:1 gene:A03g506920.1_BraROA transcript:A03g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPISFTVGKRGSQRDSGIIFHLDEKKLLFHLGSWILVDFQILSVRVSVNSSVHFKFLRTPMILMMDRLKNSKPKWSTTMTTDQTIKEKIILRVVSKFTGEVLACCVKVYDDYEITEDDDEDVKGGS >A10g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13509108:13522203:1 gene:A10g505390.1_BraROA transcript:A10g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQVLEYHMEFLETFGCIWSSKEVFKRHDEVAVPYLSERPNRSDVLKSLCLTSRSDHVGATRSDLSQRHPEVAPEAQSDVLERLAEVAARRLYARIHVFSRAFLSFHYAPTRRQMIFVLRKTTKNLWKVISLNQLINFVIEILCSFYFISKLDHPRSNPYIHEFSFPIVKKRGLTATPQGRSSWERGFESDTPWSLAFSSSDQEKSPQSEVLERGRRVAPAGSDIMGAIPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPTRSSFRPCSLFLTTHSPFPFIQSKVKMVKKTKGRLEAERQEAENQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLSTELWKLITGNRHSTWVDKNSHIRHPSVRYLHRLLVHAFYPRKQADNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGQDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQECFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKYKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPPHCCAASAEHEIDEVESQPWYGGSGSASGELKAEAGATSRSDTLRSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEISDSKLDHPRSNPYIHEFSFPIVKKFKNPSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICLRSLENS >A10p029270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17991647:17992810:1 gene:A10p029270.1_BraROA transcript:A10p029270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIEDDNDDGEVLIPPANFSMVEDGVYRSGFPQLENFGFLSTLNLKSIIYLCPEPYPEENLKSLQSNKLFQFGIQGKTDPPTPMPKDTVLSALRVLVDVRNHPILIHCKQGKHRTGCLVGCLRKVQNWCLSSVLEEYQKCAGLKWRQRDLRFIEEFDVHGLRQCLYSIIYQYNGYGLKRRKLLYQEENVVVQEQHKPQATKGW >A06p008490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2939778:2940820:1 gene:A06p008490.1_BraROA transcript:A06p008490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSGLSQAYSPYDKQGNIFLVKVDYTWIPYTCERCGCLGYKEKRCLQPLKTPENSILVSNSVAISDDVPIVDIDIIIQYNENAASSTSTFQQKELSDQERLPSANLAYEAPTIQNQSSELDGLLVTSQQKKFTTVKTAPSSATGLISDNEPLVVASDASTEGQVTPAARFNAISFSLQIQQDNPTAKLIHFYIPISRFSVCSHYNTFSGNFSIKQYQQGGDVDEVEIEPMSSLGLKRGGRETKLPIKYQDIEWKTVQERGNHGHRGCGSKC >A03p051470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20489551:20490126:-1 gene:A03p051470.1_BraROA transcript:A03p051470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSNRQTTRLTCGFKVNTNSPEWHKSMTKILKKIKGGNFWIDVDEGMAYVTGQGDPNKLLKLMASGRGKDAEMAFVKTGIHSYFGQTTPYWPVDMNLSYHPSSSRSYYLSGPPMMQPYQHQYPYQAAGYGYSYY >A04p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6974258:6975180:-1 gene:A04p010910.1_BraROA transcript:A04p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDEHTKHSVVICRDTFPNNPRTEGTNMDENVNPTYTIDYALNLSDVKVICANINLNYETSQEEFLILTESIVGFMTARGITDWILIKGEESKIFLEMLVKREIWNGSMMSSNTFPNNPRTEGKNKDENVNPTYTIDYALSLSNVKVICANINLNYQTSQEQFLILTESIVGFMTARGITDWILIKGEGSKIFLEMLVKREIWNGSIEVEDLDRMFVTSTTRERLRFELSTPEDEEFAMEDSLGGIERERERERERERERKLRDSREGNMI >A09p050970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44605938:44607533:-1 gene:A09p050970.1_BraROA transcript:A09p050970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLANAVGAKTARACDSCVKKRARWYCAADDAFLCQSCDTLVHSANPLARRHERVRLKSASPVATKYSSHNHSASSPPLEAATWHQGFTRKPRTPRGSGKKNNLSIFHDLVPEISAEDQTDSYEIEEQLICQVPVLDPMVAEQFLNDVVEPKIEFPMITIDDQEDEDNAESCLNGFFPTDMELEEFAADVEILLGRGLDVTESYAMEELGLSNTEMFKIEKDEIEEEEGEETKAMNMGIGCGEDRGDGDGTVAFELRFDHDSHNTYEEEAIKNAECIKVEEEEQKNVLMLSLNYESVISTWGGQGQPWMSREPPERDIDISDKPVVSMEINGGESHHKHYVGGCLPSSGFGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASLALSAASSPLGVNY >A06p051010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26817860:26818421:1 gene:A06p051010.1_BraROA transcript:A06p051010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVETQQWSAWKKSMWVVCAEVSAGLMLQILVGVTRVGDSYGSELLSMVSGRYECVASVSEGSGPSDGPSRVMKFQVFGLLDVID >A02p051030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31422708:31425619:-1 gene:A02p051030.1_BraROA transcript:A02p051030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLLKKKSLSFSVTESLAAESIKLLHPISLAIQIIWSVERHLHVLPHRLLLVSTNGLRQRLLHSARVIIFSQTLFIHEFSDTSSNLTFAQTENFNSQAESSSKNIATKASGTCHSVSSFVDEILDKDQEMMSHCRDIRCSMGGNGIIALVRVVVSLVIIVFLLVGILANAAQSVPSTEKVKSLRFSGKDVNLFHVSKRKVPNGADPIHNRKETSRQPPRV >A03p003650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1605338:1606210:1 gene:A03p003650.1_BraROA transcript:A03p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQQPQVTLPHDNEVTESRGAVMELESESGSCFIENIDHDSDSYLNNLCDFAESDDDSGIEFRDIRLVTVESGSDDDDPEDEREIWGIDLNESDVYDDDDDDDVSVTIHSLEVEDLGGAAVELDWEEVDGGADVSPMLSLEDLARDERRDGSGNLEWQVLMNSHTLEINFDAENRELYIVGGDQYDLFFEQFAQAGITNLRFPPASEAFVKNLPTVQLGVENDDCAVCKDEMGIGSRGVVLQLPCNHKYHGECIVPWLETRNTCPVCRYELPTDDVDYERRKSHLRTS >A08g508900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:16775592:16775840:-1 gene:A08g508900.1_BraROA transcript:A08g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFGKIFFFFVALVLFVEASHARYLLPPITDLINGEIVELHPMMKKVVDGEANKKVKSRYIGGTRRFRVRKPSLMRMSMF >A01p054710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30920928:30922060:-1 gene:A01p054710.1_BraROA transcript:A01p054710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGAAEKHYKKWKSENHIFPDAIGHHIKNVTVHEGESDSHGSIRSWNYTWDGKEEVFKEKREVDDENLTLVLRGLEGHVMEQLKVFDVIYQFIPKTEDTSS >A06p025190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14917116:14917789:1 gene:A06p025190.1_BraROA transcript:A06p025190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRLSLPCRLVKDGPSDNVQVRPNQMDARGKDKDYCGTVRMNVELVGEDGLWFSRFGRLDVVPTEAPIGTHAGRLGQSDRYSRMNEPQSNCSERPDLHAGWLQWTDPRTGAHQRQSKGVILISDEIIFYEHETLKLDKPHNNALIIELEIGRPRFPKRLVDTRSSSNVLSHKALTSMNGHNPPVTHGISPLSSSTEL >A09g511390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34171147:34178500:-1 gene:A09g511390.1_BraROA transcript:A09g511390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFSTQRWSVGVRQHTQDVRGCPCVSISTHRTSVAFHQYTYQHVGPWTQHAGPSRGLTSVGVRQHTQDICGCPWLAHIGRPWLSVCVRVCPSAQARGCPCVSVSTHRMTVAVCVCPSAHTGRLWLSINTHISTLVSGLSTMAVPVDCLGDFGPRGLSVQYTQDVRGCPPTHTGRLLLSVSKHRTSVSVRVCPCVSVSTHKMSVAVHKYKYQHAGPWTQHGGPSRGLSGTHRTSVGVRQRTQDICVCPSAHTGRPWVSVDCLGDFVPRGLSVQYTQDVRGCPPTHTGRLLLSVSKHRTSVAVRVCPCVSVSTHKMSVAVHQYTYQHAGRWTQHGGPSRGLFGTSVGVRQHKQDVRVCSSAHTGRPWLSVCVRVCPSEHTGHLWLSISPSRGLWLILAHVGCLFRTHRTSVGARQHTHDIRGCPWLAHTGRLWLSVCIRVCPCVSVSTDRTSVGVRQHTHDVPVCPSAHTGYLWLSVCVCQHTQDVCGCPSVHISARWSLDSARWPFPWTVWVIFAHVGCLFSTPRTSVGVRQHTQNVCGCLWLSVSKHRTSVAVRVCPCVSVTTHKMSVAVHHAVALPVDCSGNFGPRGLSVQYPQDVHVCLSAHTGRLCVSVSTHISTLVVGLSMLTLPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGSPWLSVSKHRTFVAVRVCPCVSVSTHKTSVALHQYIYQHAGPWTQHGGPSRGLFGTSVGVRQHTQDVRVCPSAHTGRSWLSVCVRVCPSAHTGPLWLSISTHISTLVLGLSTLTLPVDCLGDFCPRGLFVQYTQDVRGCPPAHTERLWLSVAVCQQTQDVRSCPCVSVCVRHHTQDVCGCPSVDISARWSLESARWPFPWTVRDVHVCLSAHTGRLCVSVSTHISTLVVGLSMLTLPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGSPWLSVSKHRTFVAVRVCPCVSVSTHKTSVALHQYIYQHAGPWTQHGGPSRGLFGTSVGVRQHTQDVRVCPSAHTGRSWLFVCVRVCPSAHTGRQWLSISTHISTLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGNPWLSVSKHRTSSCQCVSVSTHMTSVVVHQYTNQHAGPWTRHGGPSRRPWVSVSTNRMFMCVCKHTQDVCGCPCVSVSTHGTSVAVHQYTYQHVGPWTQHADPSLHTRSPWVSASTHRTSLAVHQHTHDFCGCPCVSVRTHMTSVAVYQYTYQHAGPWTQHAGPWTQHAGPWTQHAGPWTQHAVLTGRPWVSASTHRTSVAVCGCPSAHTRRPWLSVCVRVCPSAHTGCLWLSISTDISTLVLGVSTLALPVDCSAHTGRPWLSISPHISTLVNGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCPPAHTGHLWVSVAVRVCPCVSVSTYKTSVGVRQDTQDVRVCPSAHTGCPWLFVSVRHHTQLSISTHLSMLFLGLSTLALPVDCLGDFGPRGLFVQYTQDVRGCPPAHTGRLWLSVSKHRTYVAVRVCPCVSVSTHKMSVPVHKYTYQHAGPWTRHGGPSRGLFGTSVGVRQHTQDICVCPSPHTGRPWLSMCVRLCPSAHTGRPWLSISTHISTLVFGLSTLTLLVDCSYTRDVRGYLPAHTGRLWLSVCVRVCPCVSVSTFKTSVGVRQDTQDVRVCPSAHTGYPWLFVSVRHHTQLSISTHLSTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRLWLSVSKHRTYVAVRVCPCVSVSTHTRCPCLSISTHISTLVLGLGTVALPVDCSGDFGPRELSVQYTQDVCGCPSAHTGHLCVSVTTHRTSVAVHVCPFVSVSTHRMSVAVHQYTYQHVGLWTQHADPSRGLFVHTGRPWVSASTHRTSVAVRVCPCVSVCVRQQTQDVRGCPSVHISAHWSLDSARWPFPWTAWAGIQATQMDGLRFKNSDWTGWTDGYGAARRTRWRGRWPICSFPWTVRVILAHVGCLFSTHRTSVGVHQHTQDVSGCLWLSVSTHMTSMAVRVCPCMSVSRHRTYVAVYQYTYQHAGPWTQHTALPVDCSGDFGPRGLSVQYTQDVLGCPSAHTGRPWLSVCVRVCSCVSVSTHRTSMAVYQYTYQHAGPWTQHAGPWSQHAALPVDCSGDFGPRGLSVQYTQDVRGFPPAHTGHPLQSVAISQHTQNVCGCPCVSVYVLQHTHDVRGCPSVYISARWSLD >A10p009270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5072872:5074366:-1 gene:A10p009270.1_BraROA transcript:A10p009270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSRLGGAAAARADEGGLVPLPAGIRPLLRRRLEEMKKRSHANVFKGNDTLSKTELLRHNSSEDGDEKEENVDSLKLSAKVAPTPDHHVEEKKEVIYEKISSIDEIKEEKEVVKKQDEKNDDAINVNKEGNNGTNHDGVLIAKKEGDDGVAHDDCINLDEVIIAKKEGKKGVDHDEGRMSNLDERMICPGSPSFRVYCIDVASDDDEEEKEGEVPRKSMETESVIIEPKEEESIVKKEKRERKGNIFGIALPRKYLANVTAQCYANAGCMGNNTHTRRVQEKSSQ >A05p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17125157:17131740:-1 gene:A05p026890.1_BraROA transcript:A05p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVDIVCVNILFREDTLTLRHRQNSELVCTKSSSPHSYLRVRLSGRISQPGKGSSEKEGSKELELENKATLTTIVNTLDIIFRKFDQVDSRLEAYELDRNRPLMDQKTIDDRVNALLEERLKDLGIGKILENHDNPSPPLSNPSPPLSNPSPPLSKASPVVRTHQESVNSPALVAATPRQKKNLAKELEKEPGVKRALDEEFGGVDKDNDLDFLLISPAKATKDDKSTKDDKAAKDPAYGRGCRRTRIVKGEEADEKKKAAQADAAFKKKEKAEAKKKAAENKKKEAEAKKKEAAAKKKVVEAKKKEAELKKKQEAELKKQNQAGSKYKKVTPPPDEQDDFAPESDVENSELVRSAIIKEFREKYNKNVFFIGRFKKFLQTPFERGKKNTETVHLFKWVDECLVEEVDDIKSLISGMNKDISEFRVNVALLEKEIEVMKTAYVGKGEECMSQGRCLRN >A05p055050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32512205:32514995:-1 gene:A05p055050.1_BraROA transcript:A05p055050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRKKGDDGGGEKGEEMITDSRFSKAHTDPRFRRLPRRESKVTIDSRFKGVLTDKASAPVDKRGKRRRRGSAKDSLKEYYRIEEDDKKKKKQKKEEEESEDGESGDDDESEGEEKLIALEAEARLRKSEEQSIEQESDDELKKKKLPLKLASSDKESDDENSESEDNEEDVSEEEEVDTDVDDEDMYDDDEPEVAEEEIASIEKETHRLAIVNMDWKHVTAKDLYVVFNSFLPKDGRLLSVAVYPSEFGLERMKEEEIHGPAIGGDKENEDNSDDDEEEDKDVINESIRDYEKSRLRYYFAVAECDSSATADHLYKSCDGIEFERSSNKLDLRFIPDSMEFKHPPRDIATEAPATYQGLDFQSRALQMSKVNFTWDEDEPHRVRTLNQRFNPDQLAELELKEFLASDESESDDDGGDDDEGKRKEKYLALMESGDVDSDKDEDEENDQDMVVEFNTGLEDLSNKFREKKEEKPETVWDAQLRKMREKKKARRMQKKDEDDDDSSDDDDDDSSSDDEDDYNDDDHKKKKKKKMMKNKKKKGLEEKLAAEERSRAELELILADENGGDGKGLKGYNIKRKSKKRSKEMAEDKIPSADPEDSRFSAAIMDPNYALDPTNPQFKRSATYVKQLTQKQKEDPRSQEQVKDVETKKASTTEGTEGSSKKRSFAESATVKSLKLKMQQKGSEKKKEGTTDLAQRLKKKAKALSNK >A09p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14255906:14257525:1 gene:A09p022720.1_BraROA transcript:A09p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSPVFVPMEVCGLILTANSQWNKNPSVHFTLLCLRLNLMSLVEAQCWMQLLLGTMNCLGRCRMLLKHFRRLCFRLRREYLFLARIMFPQKDRCACSQQQDYTDTDIDPIAWFLQLHSEGHDAYQFCLQLTGAPAFIGNTICPKDDLEFYIVRENIRDKLNCLCDKKPLRKLTRLQYLYSKLAGKLRREDEFDILAALHPTPAVCGLPGEEARVLIKEI >A06p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8869825:8870904:1 gene:A06p018240.1_BraROA transcript:A06p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSSFSSSRQGGCCSLCHLGASHLSLLSILSRFLVLQTIATRLHLGMCHFATTCLHEGHQAGEIGQEEEAKDASSTFYVKKHQTI >A06p018420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8941904:8945002:1 gene:A06p018420.1_BraROA transcript:A06p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFLQTQNAVMDTEFMDGLLLDGCWLETTDGSEFLNLPPSTPFDPSSFMWSPPTQDTSAICTSGVVSQTYGQDCADEFQWNKRWWIGPGGGGGSSVTERLVQAVEHIKDYTTERGSLIQLWVPVNRGGKRVLTTKEQPFSHDPMCQRLANYREISVNYHFSAEQDDSKALAGLPGRVFLGKLPEWTPDVRFFKSEEYPRVQHAQDCDVRGTLAIPVFEQGSKICLGVIEVVMTTEMVKLKPELESICRALQAVDLRSTELPVPPSLKGCDLSYKAALPEIRNLLRCACETHKLPLAQTWVSCLQQNKSGCRHNDENYIHCVSTIDDACYLGDPTVREFQEACSEHHLLKGQGVAGQAFLTNGPCFSPDVSNYKKSEYPLSHHANMFGLHGAVAIRLRCIHTGDADFVLEFFLPKDCDNMEEQRRMLNALSTIMAHVPRSLRTVTDKELEEESEVVEREEVVTPKIENTSELHHSNPQNLGLVFDGGDKLPSDGFGLKRGYDYTRESNINESNTFTSGGFNSMAEKKRTKADKTITLDVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVEGVSGPLPIGSFYANFPNLASQEPSQQPKTSPPPPPPPPLQLSKSPVSQYSHSSSSSQCCSSETQLNSSATAHPSQGDTFKKVSSEVDLQSSVLTLSSLENIPQGQGTHLLSSSSQDDDSLRIKVSYGEENIRFRMKNSRRLSDLQWEIGKRFSIEDMSRYDLKCLDEDNEWVLLTCDEDVEECVDVCRTTPSHTIKLLLHASSHHFPERSSPTGYTLWQ >A01p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3543648:3546986:-1 gene:A01p007050.1_BraROA transcript:A01p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACDO1 [Source:Projected from Arabidopsis thaliana (AT4G31390) UniProtKB/TrEMBL;Acc:A0A178V5V0] MESIHCNSFVKPNFSLNHTLRRKHLSILDRRDAPSRQRRTFSAVRTSNLSVTSAAASTDVSTSTRNASIGNRNPKDAAIMTTGMERVGKSSAALEQLDIERGVCIPFRKYSPETVRSKVLESRGSVVSLASRGVEIVWNLGLYWSTLVYDFLVGRDEEVVPFRARQLRNLLCNLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNEVAFKIIEEELGQPLESLFSKISSETIAAASLGQVYRATLRATGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGFSLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPGVYKNLCGPRVLVMEWIDGIRCTDPQAIKDAGLDLNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYGEMANDFTRLGFLAKGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGQFNKLVYDFPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGNFQWKRLENLISLAKENVGQMSSNPALRVKRVESKLDLTDTIKDGARLFLLDEGIRRKLILALTEDSKLHVEEVYTLKHHQSYRFIDAWASKAKSLTVLLIFQLVDVYKLVEEEVDIPTLAMQVVQDLPNVFRDFVLSWSNSVLSDR >A05g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:202764:203904:1 gene:A05g500030.1_BraROA transcript:A05g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRTAPAPSRFRPPPDPPPCGRFHGSLQLQPSSSVSNFKKQLSSPMAPVVTASSPSPLHLPPPLLRLRLPPDLPPPWSSATVPFESLSPPEPPDPPDASLSLVIHRLFDTPFTLSQASFNIPNLASDGVVSLVLVDGTIFGSKCLYPAVCSAFFSRLVVWRRHCSSLTCVGSLTLPFILVCLLSSISVCSLVEWSERFVVYVAPDLSVMDLDYNVPMNFVSFGSTSMPVDGSQVALVRSSTAVCSLLSVFSPALGAVISCYLSWWQIEGKLVGTLIPVNRVMKEFHYPMDSFVEQFLFPIFPSMWSELDGQASLVLQGYSSWLMLFSAFVAVFVTFEVTRP >A08g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15085464:15085932:1 gene:A08g508250.1_BraROA transcript:A08g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQMLGTAGGQLNPVNGAFWFGSVWASPGRLLGEPIVRVQDVSTKWVLVLGQGVAKLPECELRLSDRFAKGRKGEKPPMGGYGAVMGRFWEERMGFW >A02p054680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33190592:33191256:-1 gene:A02p054680.1_BraROA transcript:A02p054680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKQSQSISGVSYRFLKAVRPNHFSQARLSKSDAAWRIRSTLAGISWSFYHANGEMRVSHSKAISFVISPFVAEGLPMRRTMEHAGSLGLTKMIFKSNSQQLVTAIGRSSSFLDLHGIVSDIKLMADWMESVSFRLRHRSNFFLRMV >A09g502780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9393546:9393758:1 gene:A09g502780.1_BraROA transcript:A09g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWDLIQRRTVWGLRMRSRRSSMEALRGSSSAVVGGGGLAGVFFLGDVSLDFSGDGERCQDVTTRVPRC >A03p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12736147:12738393:-1 gene:A03p030360.1_BraROA transcript:A03p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNCDSVFAPAIPTDIHGVKILRKPSDAKLVELGVASWPVWESIPRKFPWKFKKTETMYFLEGKLKVTIDEQQKEEKEVAFELTAGDLVVIPKGMTVVVDVTEAVKKHYYRDSEIVKSESPSKA >A02p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9391035:9393203:-1 gene:A02p020120.1_BraROA transcript:A02p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVSKVVPRSPILAKRASVSRSQSVLLTFPAIRGSSAEELQGLCCTKPLTFVTSRRSSSTVYFLGKSQDTETKPHEDCLDLPNSKTVQKEVEKEVMPRSKSNSSQVLVEYVSNDAKFVNERARSDFVLLSRGIVRLDARARQDVAILGSGFLKLDARAREDTEKIDRDVKRKAERLHHIATILKNIAQSKLKNAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFIKNIHDMMVQKMVDSLVTSETGTTDRISLEKNGKALEFFLGEVSSDSISAIEEAYKSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKSSASLLAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASRAISALKKQWEVEEGDALRFTNPNDDEDGDSDHDEI >A09p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11626686:11627870:1 gene:A09p021550.1_BraROA transcript:A09p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDDAAAARGGSRHLVDPSLSISVPLYGALGLTHHQYEEQGGAFGAHTPPLLGFHHHLQQHQQQQHHQQAPAETIPGPDGESFSRKRYRSVDSSKEDGEGKQNENKSLKESEPPAAATGAPMWAVAPTNRSAGGNTFWMLPVPTTAAGNQPAAMESSSNASRAHMWPFGGGGAGAGGGGATHFMAGTGFSFPMDQYRGSPLQLGSFLAQPQQPNQNIGLSIPDSNLGVLAALNAAYPRGGNANAEQVNNAVEHQEKQQPQQSDQDDDSRDENSNSDG >A09p062370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51050193:51053294:-1 gene:A09p062370.1_BraROA transcript:A09p062370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g56550 [Source:Projected from Arabidopsis thaliana (AT3G56550) UniProtKB/Swiss-Prot;Acc:Q9LXY5] MCEKARVIVRMLQGCNSMTKLRKIHSHVITNGLQHHPPIFDKLLRFCAVSVTGSLSHALLLFQHFDSDPSTTAWNYLLRGFSVSSTPLSSLLFYNQMLLSTRPDIYTFSFALKACEKLRSVPKSLEIHGSVIRSGFHSDNIVSTGLVRCYSIGNIDIACKVFDEMPVRDLVSWNAMISSLSHAGLHHQALSMHKRMEKEGVCIDAYTLVALLSSCAHVSALNMGVMLHRKACDVRCEGSVYVGNALIDMYAKCGSLENAVSVFNGMRKRDVSTWNSMIIGYGVHGKGIEAISFFREMVTFGVRPNAITFLGLLLGCSHQGLVKEGAEHFKMMSSEFCLSPNVKHYGCMVDLYGRAGEFDKALEMIHASSCHEDPVLWRTLLGSCKIHRNLELGEVAMKKLVQLKAFNAGDYVLMTSMYSAANDAQGFASMRKLMRSHELRTVPGWSWIEIGDQVHKFVVDDKMHPESALIYSELKEVVRRAVLAGYKPEVSNVTGSGFSDRCLGSAFHSEKLAIAYGLMRTPAGTALRITKNLRVCRDCHSFTKCVSKAFSRDIIVVFVLVTTTGDNTVDYALCKIYMTPRGIKKKAEEEEERKREEFSRRNLPPQPCQFSSDEFREMFNSENIPDDVDWLLCEEIVKEGLISYQVYGDLLRKIWIRKLLFIGFVIQLGDQNPNEACKVTERRLLPSSEPTVTTSFFLRC >A01p019960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9778037:9780970:1 gene:A01p019960.1_BraROA transcript:A01p019960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSPFLGKILPSMCAAMSSRVGNAMRFKSNVQALKKVMERLVEIKGNINDDHKDKSFSLKLMGWQRKSDEVITEARSELEERVSCGKSLKSRLSRKLVTILNEAKMLEKEGLDLLDMIAVATAHERVEHVPGVSVLHQTTASNMLGKIIDGLRSSEVQKIGIWGMGGVGKTTLVRTLNNKLHEETATQPFGLVIFATASKDFDPRTVQKQIAERLDIDTRLEETVERLARRIYARLEKETNFLLILDDVWKDIDLDLLGIPEEKKGSKIILTSRSLDVCRSMRTNLDIRVDCLCEEEAWELFCQNAGEVARSERIERSAKAVSRECGGLPLAIITVGTAMRGKTDVKLWEHALEQLSRSVPCFRSIEEKVFLPLKLSYDFLEEKLKSCFLMCALFPEDYSIDVKELVMYWIAEGFMDEQDSHEESMNEGITIVESLKDYCLLEDGWRSETVKMHDVVRDFAIWTMSSSQDDCHSLVLSGKGLQEIRQDKFAPSLRRVSLMHNNLERLPGLSEKYCMEASTLLLQENYLLQEASDGFLQAFPALRILNLSGTCVNSLPHSCLQHSKLHSLFLRGCTNLTELPSLETLAKLELLDLHGSRIKEFPKGLEKLESFKHLDLSGTVHLKTIPAGIVSRLSSLETLNMKLSNYHWSVKGEEQEGQATLEEIAYLDCLQVLSISLICSPSFLKKSNPWIKRLKKFQINVCFSYVLPMIHDERTLAISSLNLSQVSMEWLLACTTSVILNSCQGLQGMMKKLVTGSKTFVNLKSLTIVKTSINSSGGGIEKATTKSPDILPFLEELRLHEVNFVSLSELQVQLGLRLVALKLLLVSKCNNLKTLVEIDMFTMPNLEEMEISDCDSLHHLRQTIDGPQEPLLPKLRVMKLRNLPELESVCYEKETWECLEQVKVMNCGRLYTLPISSKTCGRIKEIKGAVSWWKHLRWDDPSSTLKTLDPCFKPLRLLGEEMAPIFGEHYLWDS >A06p007600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2627305:2629494:-1 gene:A06p007600.1_BraROA transcript:A06p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylamine--glycine ligase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G09830) UniProtKB/Swiss-Prot;Acc:P52420] MSLCTFNFHPSSSSSINLFCNNNSNSPKPFLNSLRFTTSTSSSSVAPLRFSTTNHSLSSPFSSTRIQRHQLWLRCVSKESEQSTSVVPGGAEESIVVLVIGGGGREHALCHALKRSPSCGSVLCAPGNPGITTSGDATCVPDLDVSDSSAVISFCQKHNVGLVVVGPEVPLVAGLANDLVNAGILTFGPSSQAAALEGSKNFMKNLCRKYNIPTAKYKTFSDASAAKEYIKEQGVPIVIKADGLAAGKGVTVAMELEEAYEAVDSMLVKGVFGSAGCQVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELKDVVMESIIHPTVKGMAEEGCKFVGVLFAGLMIEKKSGLPKLIEFNVRFGDPECQVLMMRLESDLAKVLLAACRGELSGVSLDWSKDSAMVVVMASKGYPGAYEKGSIIRNLEEAEAVAPGVKVFHAGTDVDAEGNVVASGGRVLGVTAKGKDLEEARERAYLAVQEIKWPGGFFRSDIGWRALREKQVATKE >A03p035560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14929681:14932782:-1 gene:A03p035560.1_BraROA transcript:A03p035560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYVMMKCIQYGHEIVALANLLPVDDSVDELDSYMYQTVGHQIIVSYAECMNVPLFRRRIRGSSRHQKLSYQMTPDDEVEDMFVLLSEVKRQIPSITAVSSGAIASDYQRLRVESICSRLGLVSLAFLWKQDQTLLLQEMIANGIKAILVKVAAIGLDPSKHLGKDLAFMEPHLLKLKELYGSNVCGEGGEYETLTLDCPLFTNARIVLDEFEVKLHSPDSIAPVGVLHPTIFHLEKKGSTDSSSLENEPGLVFEVQGDGPNTTEATRQLDNGIVDHTRTRVNLSKTGKDNTFSIFCWLEDFSESPTEKCPFGVPSRSTIELPLVQAGLGKAYVEVLVANDQSKRVLHVQSISSWAPSCIGPYSQATLHKGVLHMAGQLGLDPPTMNLRNEGAIAELNQALTNSEAIAEAFRCSITSSAILFVVFCSERTKESERNQLHEKFVSFLDLAKSSRRVSSVMDPIFLYILVPELPKSALVEVKPVLYVKEDSEDDDETRKDQLGEGDFSCWGYKPEKWHQDCLQTRVVDGKICVNVLSISAEVMKKLDEPSGDEEQQLKRVSRFCVYLLNKTLSENSFSWQDTTSLRIHFSTSLGVSVERLSDIFETAFKVLNEMSGGVIFNGLKEAIFNLVPVLGAGNSSASLDNIITCELFSLRS >A02p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7641400:7642529:-1 gene:A02p016990.1_BraROA transcript:A02p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 7 [Source:Projected from Arabidopsis thaliana (AT5G53660) UniProtKB/Swiss-Prot;Acc:Q9FJB8] MDFLKVSDKTTTPYRNNSLFGLSQQHMEIHPHPHPHPHVITPHAGNGVMGCYYYYPFTNAQLKELERQAMIYKYMIASIPVPFDLLVSSSSYAPPCNNKNAVGDLEPGRCRRTDGKKWRCSKEVVSNHKYCERHLHRGRPRSRKHVELPYSRPINIGGGSEKNRVLSSIKDTTVVEPKEVSSALSNYRGLEIFPASASNEQENKYLNFIDVWSDGVRSSEKQSTPASSSPNGNPSLYSLDLSMGGNSLMGHDEMGLRVIGPGRDDPHGYGPYGGVVSSSLDEMSRWLASTSATPGGPLAEILRPNPSSAFSGEMEGNSLTATATPSTSPSRVVKKVTGSVSDDSSKI >A06p054090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28412810:28420042:-1 gene:A06p054090.1_BraROA transcript:A06p054090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVLLLCILLLVSTTPQSLSTEPVTCQDLDGVGSLNTTCTLNSNLRFDSDVRVFGTGNLNVLAHVSVDCPLQGCTITFNVSGNIHVGQSARIVAGSVILAAVNLTMDGNSSIYTTALGGAPPSQTSGTPFGRDGAGGGHGGRGASCVKSNVSTYWGGDVYSWSSLHEPWSYGSEGGFKLKAGGKGGGRVKLVLKDTVVLNGSVAADGGDSGEEGGGGSGGSICIRAVKLKGYGKISASGGRGWGGGGGGRISLDCYSIQEDVRVLVHGGASIGCPKNAGAAGTYFNAELFSLRVGNDNMTTETETPLLDFPTRPLWSNIYVDNHAKVLVPLLWTRMQVRGQISLYRGSSIVFGLSKYPISEFELVAEELLMSNSVYGALRLVTKMLLMLNSVIKIDGEGNPAVPSSVLEVRNLAVLTEKSVITSNANLGVYGQGMLTLTGPGDAIKGQRLSLSQFYNITVGPGSMLQAPLDDDESKNAVTRSLCESKTCPIDLISPPDDCHVNYTLSFSLQICRVEDILVSGFVKGSIIQIHRARTVVVTDEGLISASGFGCSGGLGKGLYSNGAGSGAGHGGRGGSGIFNGRVCNGGHTYGDPDFPCELGSGAESPDNSYGNVIGGGMIVIGSIHFPLLTLNLRGSLSSDGQSLGEPITKANRSLVGGVGGGSGGTILLFLQMLELSKNSSLTVRGGRGGPIGGGGGGGGRLHFHWDMLHTGDEYFPVATVKGSISNRGGAGDNGGRFGEEGTMTGKKCPKGLYGTFCLECPIGTFKNVEGSDKRLCTPCPPEHLPSRAKFVYVRGGVSEPVCPYKCVSDKYRLPNCYTPLEELVYTFGGAFPFALLLSGVVVVLGLLLSTLSIRLLRLSFYGANSIEQQSAHCLPHLLSLSEVRGAKSDDTQTHAYRMYFMGPNTFREPWHLPYSPPDAIIEIVYEDAFNRFIDEINSTAAYDWWEGSVHSILLVLANPCAWSWKQWRRRRKIHRLQEYVKSKYDHSCLRSCRSRALYKGMKVGATPDLMVAYVDFFLGGDEKRVDMVSIIQKRFPMCILFGGDGSYMSPYSLHSDALLTNLLGQHIPPGVLHRFVAGLNAQLRTVRHGSIRSALLPVIRWINSHGNPQLEFHGVRIELGWFQATASGYYQLGILVFVGDFPMSDVSRSVSFSRSDDDTPRSSSTCPSKSLIELQQNLIQPGHGLSRKRINGGINGGLINEISIESLEYRRDLLFPFSLLLNNTRPVGRQDTLLRFISILLLADLSVTLLALLQFYWLALAAFLAILLILPLALLCPFPAGLNALLSREMRRASLTRIYALWNATSLTNVIVAFICGVIHSGFFSDQLSEMTNIWDAIRDDDKWWVLPTALLLLKAIQARFLDWHVANLEVPDFSLLCPDPDTFWAYESGA >A02g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22733513:22734885:1 gene:A02g508360.1_BraROA transcript:A02g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEQSKVTKFLLTKVVTRYTQSLYSNQKHGTCLKVSLVLPQFRNLSNGYRQNHSLALLPYAKGRSLGHRLLTRSYLSDIFEEFDEAPVASGSIAQVHRGTLKFQYPGHKVKSSEVAVKVRHPCVEETMTRDFVIIKMAAKLTTFVPGLNWFRLDECVQQFSLYMLSQVDLSREAYHLSRFIYNFRGWKDASFPKPVFPLVHPSVLVESFEHGESVARYVDGFEGHEWLKSKVAHIGTNALLKMLLVLPLESFRTTVHIIFLDVGMTAELSKTDRDNHLGFFKAVARRDGRTAAERTLKLSKQQNCPNPQTFVEEVEEVFRFWGTAEGESVHPADCMHLGSKKRAFLAPWR >A09p013460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6963066:6972050:-1 gene:A09p013460.1_BraROA transcript:A09p013460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNMFRGRDGAILLFNGSDPNPVSIWTVMKGGSISFLERDDKSLLPLAYVNGSYEYVVFDPSSDETHERSAEGLAGNEETARVRVYVNADEPLQFERRAGFANGDVIRVTLKYEDLHRHCFTCKRISHEEGTCPELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKDTTTLRERRAPRETRCQVDASWTQEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A09p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21077335:21079996:-1 gene:A09p034870.1_BraROA transcript:A09p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TT8 [Source:Projected from Arabidopsis thaliana (AT4G09820) UniProtKB/Swiss-Prot;Acc:Q9FT81] MPGKAYARRKHIWLRGANEVDNKIFSRAISAKVKESEEFVEHIKSFFHNHPKSNIKPTLSEHFINEEHEEDEEEVEEEEMTMSEEIRLGSPDDDDVSNQNLLSDFHIEATNSLDTHMDMMNLMEEGGNYSQTVSTLLMSQPTSLLSDSVSTSSYVQSSFVSWRVENVKEHQQYQRVEKAAWSSSQWMLKHIILKVPFLHDNTKNKRLPREELNHVVAERRRREKLNERFITLRSLVPFVTKMDKVSILGDTIEYVNHLSKRIHELESTHHEPNQKRMRIGKGRTWEEVEVSIIESDVLLEMRCEYRDGLLLNILQVLKELGIETTAVHTALNDNHFEAEIRAKVRGKKPTIAEVKIAIHQIIYNNKL >A04g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13459326:13460086:1 gene:A04g506310.1_BraROA transcript:A04g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSLSDTIILELFVPEAVIVVSGLNWKTSDSVSIHVLMEKQKENDSSTGKMVLDAVTFQLMLFTSSLRITILRKAKEKEFHVIDLSGGGEESDNGQRICRICHFGSDQYSDRVSGKSVSVDLIEIGCKCKNELGLSHFHCVEAWFKLRGNSSSALNVPVRLTEEEWSEIRDTTTGEGRRRGSGQSCCIFMVFLLTIILFHWFFKKMSGYYQNT >A06p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14405129:14405852:-1 gene:A06p025950.1_BraROA transcript:A06p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDNVWNSHPKKYGPGSRTCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >A01g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4120010:4120846:-1 gene:A01g501080.1_BraROA transcript:A01g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYYLGNGGKASFWYDHWSEMGPLIKHFGPSGPFQTGIRLDSTVAGACSEDGWLLRPARSSAAEAFQIMLCSMTLPSLSTIPDSLRVNHCPSTLRKLTVQAVIYRLWRERNQRLHNGPSTPPQVCFKEIDRLIRNAILARKNRRNFRHLMGTWLMHE >A02p023640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11800548:11802102:-1 gene:A02p023640.1_BraROA transcript:A02p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTKSPAVRLRSCHEKYLFAADDEKTIRQSSDGASRQSVWTVEMVPRKPNFIRLKSCYGKYLTASDSSFLLGMTGQRVIQTPPFRQAEHESNWEPIRDDLPVKLMSWNGKYLRGNGGSPPWKNSVTHDREPAMAATKKWILWSIETVESPEKVSFADRFSSPASSFNSSVSSHESTNESTDKKSFKYGSSNSIGSDLGSVSSPKLMFTPTVSGTLSPKPTERKDLKKNVSAMDIFRDARSVRLRSSAHEKYLVADDDEETVIMGRNGSSKEARWRVELVPGSEKTIRLKSCHGGYLTASNERLMLGATGHKVVQSRRIRTGEPAGEWEPVKEGSKVKLRSRNGGNYLRANGGVPPWRNTVTHDTPNRTATQSWVVWDVDVVEIMERSHGTG >A10p017870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2267746:2269818:-1 gene:A10p017870.1_BraROA transcript:A10p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAKQALSAKIGFSNPLSRRNPSSPLQRSPLAASFPSTDLPKRTVLAVSKPLHLSPMRAKPPARREAYEGDKSEPQPIDDAAETKSEAAKKLKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLMMLISWAVGIVETPKTDFDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPTSVYLSLIPIIGGCALSALTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWIDGWQKALSDVGPQFVGWVAAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFRTTVQPVNALGAAIAILGTFLYSQPHDDPLAVWQSFERARHAVFQSDVELVDFG >A06p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6366187:6369007:-1 gene:A06p014100.1_BraROA transcript:A06p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRGRRSPSVSGSSSRSSSRSRSSPSRSISRSRSLSSSSSSSPSRSVSSGSRSPPPRSKSSAGPASRRGRSPPPPQSKGASSPSRKAAPIQESLVLHVDSLSRNVNEGHLKEIFGNFGEVVHVELAMDRAVNLPKGYAYVEFKAREGAEKAQLFMDGGQIDGNVVKAKFTLPPRQKVSSPPKPVSREAPKSDSAGADIEKDGPRRPRETSLQRKPVLSPRRRSPLPRRGASPRRLPDSPPRRRPSSPIRRRGDTPPRRRAASPSSPPRRRRSPPRGSPRRIRGSPVRRRSPPPLRRRSPPRRLRSPLRRSPIRRRSRSPVRRPVRSRSRSISPRRGRGPAGRRGRSSSYSSSPSPRRVPRKISRSRSPRRPLRGKRSSSNSSSSSSPPPRKT >A09p019220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10177932:10179647:-1 gene:A09p019220.1_BraROA transcript:A09p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEETSDESRTSIDKAIEAISSLISLSHSIKSFTVKWQLIRTKLDELYSGLAALSNLDSSGHDPSLSSLISAVLTSLTDCYDLATRCVNVTYSGKLLMQSDLDVMAASFDRHARSLSRIYSAGILSRGFAIVVSKPGGGACKEDVRFYVRDLMTRMKVGDLEMKRQALVKLNEAMEEDDRYVKIVIEGNDLVNLLVGFLDSEMGVQEESVKALFFISGFGSYKGVLVRSGVIGALVRVLENGSSVGREASAKCLMKLTENSENAWSVSAHGGVSALLKICSCGEFSGELIASSCGVLRNLVGVEEIKRYMIEEDDTMTTFVKLIGSKEEIVQVNSIDLLLSMCSKDEQTREVLVRQGGIQELVSVLSDPNPLSSSKSKEIALRAIDNLCFGSPGCLNALMSCKFLDHLLYLLSNGEISVQESALKVTSRLCSLPEEVKRIMGDAGFMPELVKFLDAKSFDVREMASVALYCLISVPKNRKKFAQDDFNIGYILKLLDHDDGRNASSDSGSTKFLISILMSLTSCNSARRKIASSGYLKSIEKLAETEGSDAKKLVKKLSMNRFRSMLSGIF >A07p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15139720:15143489:-1 gene:A07p026500.1_BraROA transcript:A07p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKERELELESAMYTNCLLLGLDPNVIGLGSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSSKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFPADVASNPLPSSLTDVSFSHAATLLPVTKARIAVERRRFLKDAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLNDLRNKVKQEGEVWDDLVSSSSLNSHLVSKSTRLWDSIMARKGQHEVLASGPIEDLIAHREHRYRISGSALLAAMDQSSQVSRAELLSAHSDDSASLADDKELSDGSYANMHEHSLVDSFETNSQASDETLSRVDDRGGRNNQTVDVAEIIRRWTHALQRIHKQSLQLAKANDGDGPDILRTANDGGTSGHVESLAATLAEHQQHLASFQVLINQLKEVSPAIQKSILECTEKVNSLPPTLPPATRSNGQTASLLQSQEGVSDDVAGLTSTMSNVQIEKVSASPTLKLPQLFSSAPTSSGKGGNGQKRHQMASQINKMESLSEKNTTDQPLSNTRADNLPTDTNSSFVQNLKKSVREAALLIPSSAGSSRDSQSDEGSEHYFVPLSGAGFSRFPSETKGLPLRGSRLQTSLSEPSFLEHNVPHSLAPSKYSDIPDTFDDLDSFKDYDNGNGFLSVAGSNSVASDPQQSFYDVEDQVFSPPLLMDSSLLSDAYEDLLAPLSETEAALMEH >A09g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18467271:18468392:1 gene:A09g506100.1_BraROA transcript:A09g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDYFDFGKPVTLRKAVNSLAFICCSLIQRPYRTQFLTIMAGTDMSMFSRKLKEKVKKIKNVHRHGHGHEHDRGEQHIPDDHDLDRKMLTITTYILVFTVYWICVGSMRAHHIHDPMKEIRRR >A03p053950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23162292:23163398:1 gene:A03p053950.1_BraROA transcript:A03p053950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKEDLSLSLSLGFAQTHHPHKLMNLKPTSSPISNLQMFPWNQTFVSSSDHQSQQLFTKINVNSLPVDLEEETGVSSPNSTISSNVSGKRRSEREGTSGGAGDDLDVTLDRSSSRGTSEEEEEEYGGEACRKKLRLSKDQSAVLEDTFKEHNTLNPKQKLALAKKLGLTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTEENRRLEKEAAELRALKLSPRLYGHMSPPTTLLMCPSCERVAGPSNHNQRSVSLSPWLQMAHGSTFDVVHPRS >A02p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1984837:1987165:-1 gene:A02p004570.1_BraROA transcript:A02p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-gulonolactone oxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11540) UniProtKB/Swiss-Prot;Acc:Q9LYD8] MRFSHTISLSIFCLLVTISTVRSVPPQPPVRCDQTGCTVSSAYGTWPDRKTCKAANITYPTTEEELRKAVAYASERNLKVKTVTKFSHTIPKLACPSGSDAMLISTSKYNSVIEIEPDRLTVTADSGVSLRELIDKVEAAGFSIGTSPYWEGVSIGGLISTGSHGSSWSGRGGSVHDHVVGISLVVPANSSERYAKVIRLEEGRDDKLLNAVKVSLGVLGVISKVKLSIEKAFKRSITYNFTSDVALEEIFMEHGKRFEFGDITWYPSRKTAVYRYDVRSPVNVSGNGVNDFIGFQSNPILISKGVRALEKSLEASKSESGKCTTADTTLAYKKLTGNGLKNNGLLFTGYPVIGNQGKIQTSGSCLYSSSFRIDVSCSWDPRYNGLFFYETTAIFPLPRFRDFILDVKKLRDMKPERLCGIDIYNGILIRFIKGSKAYLGQGEDSVVIDFNYYRADDALTPRLNQDVMEEMEQMAFLKYGAKPHWGKNRKVGFFGVKQKYGPNFDKFLEVKNKLDPKMMFSSEWSDEILFGREGLKYDGCALEGNCVCSEDRHCSPSKGYFCRQGLVYTQARVCRFSSAKVSMA >A06p023220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11809238:11810563:1 gene:A06p023220.1_BraROA transcript:A06p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIILHGRRSVELQKWRNLRVSLNILQNAFSFSSANVNPQGNTFTVSYLVESLGLTTKLAESISRKVTFEDKLNPDSVLNLLRSNGFEDSQISRIVTTYPRLLVEDAETSLRPKIQALQHRGASSSELAEIVSKVPKILEKRVGKSLSLYYDFVKDIMQQGKLSHSWTEGKVKNRIRNISVLKELGVPQNLLFSLLISRCQPVCGKEKFDETLKKVVDMGFDPTKSKFVEALHVVYEMSDKTIEEKVNVYKRLGFSEEDVWRIFKKWPFFLKFSEKKIAQTFETLKKCGLDEEEVHSVLKLRPECIRSSEEKILESVDTFVGLGFSRDEYKIMIKRFPQCFGYSAESLKKKFEFLVKKMKWPPEAVVLVPSVFGYSLEKRIVPRSNVIKALMSKGLIRGGNPPMSSVFVCTDEEFLSRYVMKQGKLVPELMAMLTGQRVS >A05g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15232070:15238470:-1 gene:A05g505490.1_BraROA transcript:A05g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLWCLDIDRWYLCTLIDINLHLSRHLLISIVSTDAHRSIVLPLVDDLYVVSSGEMSFKLQNAPKYKVNALPWEYRLQNARILDRISDQDWTGFHESKLNGGCHQRALKIAKSRFELFYWSLYESSLNGVTFQTCLKNLIPCIPSPKTSDYVRSTEVKDSVEGSMVKPSWSAMILGRILTDAPVSHIEWANSTPLTTHGIANFPGSLFFVNLIMCLIFSLT >A06p015080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6716973:6718137:-1 gene:A06p015080.1_BraROA transcript:A06p015080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNNIVIVFDFDKTIIDVDSDNWVVDELGFTDLFDQLLPTMPFNSLMARMMKELHDHGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTLFIETVLEHLGIREYFSEINTNPGLVDEQGRLIVSPYHDFTKSSHGCSRCPPNMCKGLIIERIQASFTKEGNKMKMIYLGDGAGDYCPSLRLRAEDYMMPRKNFPVWDMISQNPTLVKATVRDWTDGEAMERILMGIINEIILSPEEEKMLSSDHCKISVGIVHEPLVPLALQVPLSLVK >A03g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29734876:29740532:1 gene:A03g509010.1_BraROA transcript:A03g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATTQTNVEVTAPWNTRVGESGHMSGVEAVNMWVNEQADYDYSSKTCASGKHRGHYTQIVWKNSVRKGCAKVRCDYDPQGTKLNPIKTHHKKEIRPKENHKTRLSYIYISVEDSREFPSRVDEITQSNLRQTSGFMVFYGEIEYEEEEANNVSSGSVRFCTICVDFYNGIRKMQCYGLHLLYDYANQLAVGSCSLEPSSGPYGENLAMGAETCQQLRLLRCG >A08p021250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14273389:14274209:1 gene:A08p021250.1_BraROA transcript:A08p021250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNAITDEEGTQIKSLPKNPSLPLPADDHEGEPVHGDPVENPDNVNEYEKEEEVDMPDDVGYEEELAVEEDVVGSEDELADFIVDEDEIGHLRKRDYKKKKYMEDANEIFGGDVQRLRRVKISEESTGSPPVDERSIDEESSWK >A01p020400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10010532:10011185:-1 gene:A01p020400.1_BraROA transcript:A01p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNKIPLYVYYIFMLGSTQLYDGSLSGDHTDFLIGIVLVLVGFLGLLYERYFVVDDNDENDHQDHGIGTSDDHVIINIKELAGVNPSVLLRSIPVVDFNSRNARDGVKCVVCLFELADGDKAKFLPSCKHWFHARCIDPWLESHATCPICRTRVRLLQTNEPSSLISRRYSPVEPAVVTQELNYGNLTSDDEHPVDLTAVVVDIPATFEIGDLGPL >A09p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1856331:1858200:-1 gene:A09p003160.1_BraROA transcript:A09p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYWLVNVEYFSCKLPLYKLRHSDKTKQRSHENSGNCLLCKIKFLTFAMALDGNGGAVWLNSSGEEEASWGGNQEHVGASSLSHFKAPMLEGDWFSNPQDLHVLQNQQDFRFLGGFPFNPNDNLLLDSSSSQPFTLDTSQPSFFLPSNNKSCLLSAPSDTNPFDNAFEFGSDSCFLGPLTQGFTPLELQGFTSPAKVLKPLEVLASSSGGQPTLFQKRAAMRQSSETKFGNSERKLSDDGEIESVQSRGGKDKKKGLPAKNLMAERRRRKKLNDRLYMLRSIVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPTPPGSLPQTPQSLSCHVKEELCPSSLPSPKGQQARVEVRVREGRAVNIHMFCGRRPGLLLATMKALDNLGLDVQQAVISCFNGFALDVFRAEQCQEGQEILPDQIKAVLLDTAGYAGLI >A07p041600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22432570:22438921:-1 gene:A07p041600.1_BraROA transcript:A07p041600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAQLAVTCFFIVLVLPHALSQVAEFISIDCGGSSNYTDPKTGLGWVSDSEIITQGKPVTLASTNSMQYSRRRDFPTDNKKYCYRLSTKERRRYIVRTTFLYGSLGSEEAYPKFQLYLDATRWATVSVTEVSRVYVEELIVRATSSYVDVCVCCAITGSPFMSTLELRPLNLSMYATDYEDNFFLKVAARVNFGAPSMDALRYPDDPYDRIWESDVNRRPNYLVGVAPGTIRINTSKPVNTLTREYPPMKVMQTAVVGTQGMISYRLNLEDFPANARAYAYFAEIEDLGANETRKFQLVQPYFPGYSNAVVNIAENANGSYTLYEPSYMNVTFDFVLSFSFGKTKDSTRGPLLNAIEISKYLQISLKTDKTDVSVLDAIRAMSPDSDWANEGGDPCVPVLWSWVSCSSTSPPRVTKIALSRKNIRGEILPEINHMEALTELRLDGNGLTGVLPDLSKLVNLKIMHLENNQLSGSLPAYLGHLPNLQELFIESNSFRGTIPSALLKGKILFKYNNNPELQNEAKQKHYWLILGISIAAVAIILLLAGGGLVLLCVLRKKKSADKGNSTGTKKKGLAAYSAVRGGHLLDEGVAYFISLPILEEATENFSKRVGKGSFGSVYYGRMKDGKEVAVKITADPSSHLNRQFVTEVALLSRIHHRNLVPLIGYCEEADRRLLVYEYMHNGTLGDHLHGTSDYKPLDWPTRLQIAQDAAKGLEYLHTGCNPSIIHRDVKSSNILLDINMRAKVSDFGLSRQTEEDVTHVSSVAKGTVGYLDPEYYASQQLTEKSDVYSFGVVLFELLSGKKPVSAEDFGPELNIVHWARSLIRKGDVYGIIDPCIVGNVKIESIWRVAEVANQCVEQRGHNRPRMQEVIVAIQEAVRIERGNENGLKSSSSSSSKAQSSRKTLLTSFLEMESPDISRNSLAPAARPMMQVVIVAIQEAVRIERGNENGLKSSSSSSSKAQSSGKTLLTSFLEIEMALRATASKARSFTLSSCHLMVVSSLGALLLEAQAVPPATGSASSLTSRIGVLAFVGLAIVLLRLLTAMQYWYIIQR >A08p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14980916:14988261:1 gene:A08p022730.1_BraROA transcript:A08p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSFGDRVAKGRPQELEDKLKKKKERDVVADDTVSARRSKRRRLREESVLTDTDDVVYQPKTKETRAAYEALLSVIQQQLGGLPLSIASGASDEILSLLKNDAVKNPEKKVEIKKLLSTEDDQIDQVFDQLVSIGKLITDFQEGGDSVGGHANEDEGLGNAFGVAVEFEGSEEDDDDGELDMVEEEEDEEDEEPQKTGGMQVDAGINEEDANEGTSLNVQDIGAYWLQKKISQAYEQKMDSQKCHVLAEELLKILAEGDDRGVEDKLLMHLQYEKFSLVKFLLRNRLKVVWCTRLARAEDQEERNRIEEEMRGLGLEVAAIVEQLHATRATAKEREENLQKSINEEARRLRDETVGDGGRGRRDVADRDLESGWLKGQHQMLDLESLSFDQGGLLMANKKCDLPPGSYRTHGKGYEEVHLPAVSKKVDVSEKLVKIAEMPDWAQPAFKGMQQLNRVQSKVYETALFKADNILLCAPTGAGKTNVAMLTILHQIELNRNDGGTFNHGNYKVVYVAPMKALVAEVVSNLSNRLKDYGVTVRELSGDQSLSGKEIEETQIIVTTPEKWDIITRKSGDRTYTQLVRLLIIDEIHLLHDNRGPVLESIVARTLRQIETTKENIRLVGLSATLPNYEDVALFLRVDLKKGLFKFDRSYRPVPLRQKYIGISVRKPLQRFQLMNDLCYQKVVAGAGKRQVLIFVHSRKETAKTAHAIVETAMANDKLSKFLKEDSASREVLQSQIGLIKNGELRKLLPYGFAIHHAGLTRGDREIVEALFGEGHVQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWRELSPLDVMQMLGRAGRPQYDRSGEGIIITGHSELQYYLSLMNEQLPIESQFISKLADQLNAEIVLGTVQNAKEACHWLGYTYLYIRMVRNPTLYGLAPDALVKDVVLEERRADLIHSAATLLDKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTIATYNEHLKPTMGDIDLYRLFSLSEEFKYVSVRQDEKMELCKLLDRVPVPIKETLEESSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLVRALYEIILKRGWAQLAEKALNLSKMVGKRMWSAQTPLRQFHGIPNEILMNLEKKDLVWERYYDLSSQELGELIRSPKMGRPLHKFIHQFPKLVLAAQVQPITRTVLRVELTITPDFQWDEKIHKYVEPFWITVEDNDCEKILHHECFLLKKQYISEDHTLTFTVPIFEPLPPQYFVRVVSDKWLGSQTVLPVSFRHLVLPEKHPPPTELLDLQPLPVTALRNPNYESLYQDFKHFNPVQTQVFTVLYKTYDNVLVAAPTGSGKTICAEFAILKNHQEVLLRQEDDATMRVVYIAPLEAIAKEQFRIWERKFGKGLGLRVVELTGETALDLKLLEKIQIIISTPEKWDALSRRWKQRKYVQQVSLFIVDELHLIGEGQGGTVLEVIVSRMRYISSQGDNKIRIVALSTSLANAKDLGEWIGASSHGLFNFPPGVRPVPLEIHIQGVDISSFEARMQAMTKPTYTAIVQHAKNKKPAIVFVPTRTHVRLTAVDLMAYSQMDNPQSPDFLLGKLEELEPFVKKISEETLKETLRHGVAYLHEALCSMDQEIVTQLFEAGRIQTCVMSSSFCWGTPLTAHLVVVMGTQCYDGRENSHADYAVSDLLQMMGRASRPLLDNAGKCVIFCHAPRKEYYKKFLYEAFPVESHLQHFLHNNFNAEVVAGVIENKQDAVDYLTWTFMYRRLPQNPNYYNLQGVSHRHLSDHLSELVENTLSDLEASKCIEIEDEMDLSALNLGMIASYYYISYTTIERFSYLLSSKTKMKGLREILTSASEYDMIPIRPGEEDRVRRLVNHQRFSFENPNCTDPHVKANALLQAHFSRQDIITNLEIDQREVLLSATRLLQAMVDVISSNGWLNLALLAMEASQMVTQGMWERDSMLLQLPHFTKELAKRCQENNIETVFDLVEMKAEERLELLSISDTELLDIAKFCNRFPNIDLTYEVVGSEDVTPGKEVTLQVMLERDMEGRTEVGAVDAPRYPKTKEEGWWLVVGDTKTNQLVAIKRVSLQKKAKVKLDFQVPSEAGEKPYTLYFMCDSYMGCDQEYAFSVDVKESMEE >A02p021060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9963349:9964862:-1 gene:A02p021060.1_BraROA transcript:A02p021060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKTICIIVFFVFLIASYSIYMGTVDPSPYFTQLQSLPRGISSSPCNSSTISPQPLRVFMYDLPRKFNIAMMDPHISDVEPITAKNLPPWPQTSGIKRQHSVEYWLMASLLHNGEGEREAVRVFDPESADAFYVPFFSSLSFNTHGKNMTDPDTEFDRLLQVDLMEYLENSNYWQRSGGRDHVIPMTHPNAFRFLRQQVNASILIVADFGRYPKEMARLDKDVVSPYVHVVESFREDGVSVGVGDGDGDDSTPDPFEARSTLLYFRGNTVRKAEGRIRLKLEKLLAGNSDVHYEKSVATTQNIKVSTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCIPVIISDKIELPFEDEIDYSEFSVFYSVKEALEPGFILDNLRQFPKEKWLKMWANLKNVSHHFEFQYPPKREDAVNMLWRQVKHKIPNVKLAVHRNRRLKVPDWWL >A06p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4023718:4024827:1 gene:A06p010900.1_BraROA transcript:A06p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFIISCLSFFFLSKSFSLPPWASETKTLLSFYFSKSLFTKTLHPTTPDPASPVDHQMSVLDLPDLALDRILELLPPSGLSSMAKVCSSLKERCVSDHLWEKHLVSKWGKVLGPAAHREWKCSLSSSYHLDSPSHQIGGHPLGFDRIISLIRSVSSVFLNDDYNKRKRYAASSLPLDATMSFYLSLETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDTLTDTFQARYPPHGRRAVAVEKGVTWERLRAAPIDASPHHLHVSDSLNELKPGDHIEIQWRRNKEFPYGKLISLYCKLGLTRIWF >A06p057300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29801061:29803778:1 gene:A06p057300.1_BraROA transcript:A06p057300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPVNARGPRGGCWERCNAKINLTIVRFIEENTKPVKNETGDKSKTDATTVKTKVLQDLIINNGGGLINSWVDSMRACSPTHLKSLMKQSSWLTEHPSALDMFEEILHVSEGKQIVMFLDYDGTLSPIVDDPDRAFMSKKMRRTVRKLANCFPTAIVSGRCREKVYNFVKLTELYYAGSHGMDIKGPEQGSKDKDDKSLLCQPATEFLPMIDEVYQKLVEKTNLTPGANIENNKFCVSVHFRRVDEKNWSDLANQVRSVMKDYPELRLTQGRKVLEIRPIIKWDKGKALEFLLESLGYANCTDVFPLYIGDDRTDEDAFKILRERRQGLGILVSKIPKETNAFYSLQEPYEVMDFLQRLVEWKQLRSGA >A02p026510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13917137:13919896:-1 gene:A02p026510.1_BraROA transcript:A02p026510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFYFLLASTAVLATTANASEPVVDADGDLISDGSYYAVPVSDNEGGLTLASGGGNQCPLYVGPELSTKNKGLALKFSNWGSWAEFVPESENLNIEMNVPSTICGQSSYWWLTETQSKGLLFIAAGPKPETGKDSSKSFFQIKKAGDFLSGYKFVYCRNDKSCYEFGMVVDRYGYNRLAPANLPFLVEFVKAVKTETSSKSKTETSPSYIQI >A04p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7960948:7961397:-1 gene:A04p008920.1_BraROA transcript:A04p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEIQRVKEQEEQAMREQEFIELVKRGSTAEDLVYLLTCLSATLYRAPRPWEDPSTLTSSQTEDAVPARLPADTSVTKTVEDGPDDTERNQMKDRHDERNPAKRKKGRET >A09p080200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58818461:58820129:-1 gene:A09p080200.1_BraROA transcript:A09p080200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSYPTVSEDYQKAIEKCKRKLRGLIAEKNCAPIMVRLAWHSAGTFDCASRTGGPFGTMRFDAEQGHGANSGIHIALRLLEPIREQFPTISFADFHQLAGVVAVEVTGGPEIPFHPGREPPPEGRLPDATKGCDHLRQVFTKQMGLSDKDIVALSGAHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLVSDKALLDDPVFRPLVEKYADDEEAFFADYAEAHLKLSELGFADA >A01p057780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32756971:32767863:1 gene:A01p057780.1_BraROA transcript:A01p057780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSRRENASDLVQSLWMSRSGMWCTATSCRRSERSLRQCSSKDHSNHLLLSSKCTQMSPETPCDTQRPRLVALTSRSRLRERPRWVAARGRSEAISCLREKWRETSPCRSGKVAPSFGSDFSTSLWKVAPRSEFALDESLRDVVQSDLMPSLREVAPGSARPKTTLVTSFELQMHPNVSRNSCGTQIPDRDIYHFPKVFGGFLRTKDHLPPGGLQSNKT >A10p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9659564:9661233:-1 gene:A10p006310.1_BraROA transcript:A10p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATAASKFQDPDLRPVSQTPEFKPETAHDGLRFWQFMIAGSIAGSVEHMAMFPVDTIKTHMQALRPCPLKPVGIRQAFRSIIQKEGPSALYRGIWAMGLGAGPAHAVYFSFYEVSKKFLSGDSSSSNSAAAHAVSGVFATVSSDAVFTPMDMVKQRLQMGEGTYRGVWDCVRRVMREEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKKALVEISPERVGDDEEGWLVHATAGAAAGGLAAAVTTPLDVVKTQLQCQGVCGCDRFTSSSISDVLKTIVKKDGYRGLLRGWLPRMLFHAPAAAICWSTYEGVKSFFQDFNGDPNTA >A05p030830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15978966:15979519:-1 gene:A05p030830.1_BraROA transcript:A05p030830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYTIEISYSLSNPMDAFIYVVFLCSSRQLENRPLLKHSGGASSEIQGRTINKCFGAMKICTIEIPYSLSNPTDVFLCSSHQLESRPLHKQ >A01p057040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31957720:31958122:1 gene:A01p057040.1_BraROA transcript:A01p057040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WVVKGAMNFDIGADPSAEEGGEDEGVDDQAVKVVDIIDTFRLQEQPPFDKKQFVMFMKRYLRQTVPKLESEKQKIIKKHIDSATKFLVSKLKDFQL >A07p002780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3609229:3611702:1 gene:A07p002780.1_BraROA transcript:A07p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSSFVFRGFWEDYDEKTGGIVVLRRILDRDQRGKRFFGYQRFRSNWFFWIGKELGVYEGDFPRLQIMELWRLDRVVAHWYQGSDKEGVVIQRDLYDCLRYAFSDLEKWNNLEKYLNFDRIWYFRLIIVISMEPVSMWRYGSVVGKVWWQEGSSLGLKISIPKFDNSNLIAEYSKTDQGPSMLSYKGAVESQGRESGVVVDGSNWRNGQQVMRNRDYKGKGVAYENNNYDGSKKPGFKRSYGDQDGAYSRNMRPSGRLPPAEAPARHAMATSGLSKLGSQDVGQHLDDQQKLMLEAFRSGKSGEKNQFSASKARKALTFEGNSSGMASFELKGAEDVTMEESETKDLEETTLISDHLDAAAAEEKTLDKEEWEGVEEGEKESMIEGVGTEIVVSEQTEDVVFTEVTEEGGAAGGDVILTEADIVGEEEDQLLETEAQEVANVDEGKERQANKKKLGKAIGSVMGGTLKKRLVQSVVSPRKKHTLSRGVRWGRRAHYPQRRLQSSQILIRIKELLNF >A04p030250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17948922:17949522:-1 gene:A04p030250.1_BraROA transcript:A04p030250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSDEAAPAVVVPPVAEPSAIPEDMDLLTALELTLRKARAHGGVVRGLHECAKLIEKRSAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEDTTALNIVKKHIESN >A06p006480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2163693:2165120:-1 gene:A06p006480.1_BraROA transcript:A06p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP111 [Source:Projected from Arabidopsis thaliana (AT1G08560) UniProtKB/TrEMBL;Acc:A0A178WAC8] MNDLMTKSFTSYVNLKKSAMKDLESGPPDSDLEMANNTTDSSNLSSFLEEAEKVKSEISLITETLSRISQYNDESKSAHKSDSVKSLRNKISNEIVSGLRKAKSIKATLEEMDRANREIRRLSGTPVYRSRVAVTNGLRKKLKEVMMEFQALRQRMMSEYKETVERRYFTVTGERPDEEMIEKIVSDGGEEFLARAVQEHGRGKVLETVVEIQDRYDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDEIEHHVMNASHYVKDGAKELNTAKKHQRSSRKWMCIGIIVLLLIILVVIIPIITSFTSS >SC147g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000030.1:1393:7040:1 gene:SC147g500010.1_BraROA transcript:SC147g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCNFRKNKLYEVIYTKKYSLGFKPNDRPARSLRSNQARAKARSLRSDRVIVPLGRYVATELSQARSLRNDRAIVPLGRYVATELEPKLGRYVATERSSRSVATDRARAKARSLRSDRAIIPLGRYVATELEPKLGRYVATEREPKLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRPIPCCNVHTQIRNKIYFALFSISYFYRCYSRFPYLNGNRQCEFRFPQFGARRRGIRINLTRKSHTESDMSTNDADNVQTPLNGGSSTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKEVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSARESSPFEKQMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEITSDEGKSSVNANASDVEARHKSEAHATTQPKHPENSNYIRDENSGRGFKATNGQSPKYKYVEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNQDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A08g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10591508:10594145:1 gene:A08g506180.1_BraROA transcript:A08g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSCISFLTVDISTYSSAKREREKREYGLLFKTSHHPRTLGPTQLKRTIPPLFLLLPVKSPALVGPPPSSSGSDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYLMLWPRISAVFERYGRRVSFLSTLPREVRETGSEIYDTTRPPPPHAVAHGEERETRPGERERREGIAAKREREERRGEERERGERRHGRERRSRRLGHPVSGNSLQGFASRFLMREKEVGACFYRGRGRENPRVPHRPKRHGRACGRKPLFLLVHSACVFLRARDAL >A09g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10050506:10051250:-1 gene:A09g503070.1_BraROA transcript:A09g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAENSESQSSPVLTLLLHYTNGSNASFCVSGKYGTLKKAEELMRVDTLPLDEKAGCCSNTVEVRVLRFKIGRELMSVDILFLKKFLLRFDYRTKLNLEAFLEDWEPTKDSFLVVRALGMSLLKILLTETKLHG >A10p033240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19496515:19498337:1 gene:A10p033240.1_BraROA transcript:A10p033240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSQLPRFHLSIFTKPPSSSLSSPHFNFLNHRSNPPVSLARTIFSFAPKSNLATVEPIPLPVVSDSSDMDEAPVEISLDKLFIPPETDISGDDPSSLTARILKGSNIVLSRYARDAQVAQADYVKSSVRTEDCPADGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDNWYLVDLPGYGYASAPHELKQDWNTFTKDYFLNRSTLVSVFLLVDASIPPKQIDLDYASWLGQNQVPMTMVFTKCDKRKKKKNGGKRPEENIKEFQDLIQGFFETTPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >A09p080440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58893889:58897537:-1 gene:A09p080440.1_BraROA transcript:A09p080440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH 10, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G07510) UniProtKB/Swiss-Prot;Acc:Q8VZI8] MMFSKLARSARSKGLVYGRSAILSEGRRVGAPSGGANQVDGGLGFLRRRFASLAARKGGGVDANDLSRVFANPGLRRFFSSQAPKKKKKNYENYYPKDAKKGSKNEHKSESREGSKKSENENAGDFNTKEFQNLLVPLMAIALILSSFSLGSREQQQISFQEFKNKLLEAGLVDHIDVSNKSVAKVYVRSSPKSQTEEVVQGVPTKGRGGHYKYYFNIGSVESFEEKLEEAQEALGIDSHDFVPVTYVSEMIWYQELLRFAPTLLLLGTLVYGARRMQGGLGSVGGPGGKGGRGIFNIGKAQITRADKNSKNKIYFKDVAGCEEAKQEIMEFVHFLQNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESAVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYFSQRLAALTPGFAGADIANVCNEAALIAARHEKGTVTMAHFDSAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSDKIGLLSFPQREEEFSKPYSNRTGALIDEEVREWVAKAYKRTVELIEEHKEQVAQIAELLLEKEVLHQDDLAKVLGERPFKSGETTNYDRFKFGFEETDKENVTVKPVEDDGASPPLEPQVVPT >A04p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2746218:2750583:1 gene:A04p005250.1_BraROA transcript:A04p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDRSRAFTKNVKRIVVKVGTAVVTGKDGRLALGRLGAICEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQNELDGKACAAVGQSSLMAYYETMFDQLDVTVAQMLVTDKDFRDKDFRKQLSETVKAMMKMRVIPVFNENDAISTRKAPYKDSTGIFWDNDSLAALLSLELKADLLVLLSDVEGLYTGPPSDPNSTLIHTYIKEKHQEEITFGEKSKLGRGGMTAKVKAAVSAAYGGIPVIITSGFAADNIAKVLNGLRVGTLFHQDAHLWAPVVDTTSRDMAVAARESSRKLQALSSEERKNILLDIANALEANEKIIKAENDLDVAVAQQAGYEESLVARLVMKPGKISSLAASIRQLAEMEDPIGRVLAKTEIADGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPKTVGGKLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSCNLDMAKRVVSDAKLDYPAACNAMETLLVHKDLENNGVLNELIHALQASGVTLYGGPRASGKLNIPETQSFHHEYSAKACTVEIVEDVHGAVDHIHNHGSAHTDCIVTEDSEVADIFLRQVDSAAVFHNASTRFCDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWIMRGEGQVVDGDKGVAYTHKDLPVLKRT >A04p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11827734:11829911:1 gene:A04p019660.1_BraROA transcript:A04p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSECPGKTSWPELVGTNGDYAASVIERQNSRVNAVVILDGSPVTADFRCDRVWVRVDKEVEGIVVKTPTIVKTWPELVGTHGDDAASVIKRENPSFNVFVLLIGSIVTADLRENRVRVWVDTNRIVVRVPTTG >A08p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11822798:11823948:-1 gene:A08p016720.1_BraROA transcript:A08p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVAAVTSESEKLRLVLTPEQTVSQRSGVRRVDLMRSKASFLQTADAGDATISSTAELAITEKFASIKFVVSKKPDGLYPVVSGTGNVAKEGFLWCYVLAEARHLALIWAIESMASHRLNKVIFETEDADLVGAVKRPRAWPSYRAYAMEIKTALSNMHEWELEVVSRKSNMITFLIARSVTMDMRLQSYVARGEVAWLRGLFAEERLTC >A05g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12547118:12548357:1 gene:A05g504580.1_BraROA transcript:A05g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSTQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPNAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLDSLECIRSKDFVLATIEGGMAVVRSFQSETPPTLEAEEARLSDCKGDMAAEDGDFGLILADLKSACFLPTCSEEPEGKDPMVGENGSDAAPGSDEAAGEEGA >A10g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13211300:13215938:-1 gene:A10g505260.1_BraROA transcript:A10g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFSWVQNIRYFGSRWMIGFVAFEKQYGDKEGIEDAIAGNRRFQYEDEVRKNPLNYDSWFDYVMLEDTVGNKDRIREIYEMAIANIPPAEEKRYWQTHIYLWRPFVLEGAQIPSSSLIIHVFSGNAPDDDVDMRIQSKVVISQMNGQYYGSSCLYRWKDDLEDGSQRLQPQNEIQPRCFFSHCSDVGRPNLFSDLANDNENSSRGSSDHDLIFVVYVTSIRSYGGMRFDLTAKSLWNGNPLVTAVPQVSSVVMRLRREYLFLARIMFPQKDRITLLFYLLAISRIITDTDIDPITCPKDDLEFDIVRENIRDKLNFDILAALHPTPAVCGLPEEEARLLIKEIVGAQ >A01p029290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20804990:20806214:-1 gene:A01p029290.1_BraROA transcript:A01p029290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVITSLSLSFKQVPPTAVPAFLDSVLSSTGVSPSTLFESLIKELPFRAENTINGDKKFTSDDCNHIASLVSVGVSMGMLQQEESDFIKWGELLLRDSLTLLFKVTKQLERLLSQSVEHRSCAVSFLLPAIFKAFSSQSSLKISLQGNVYIISSYKFQEWLQENNMGMLQEAVLGWINRGLSSGSWTDGTESYVLEKGRVEFDFRSEHELVLGRNQERLDMAKVPVIRTDSFTF >A07g506000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:14026979:14027242:-1 gene:A07g506000.1_BraROA transcript:A07g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGPAFSTKRQKILNCMQIIRPSGSEDDKTMGFTQTKPRASSATRQTSPTVTTSVKEKGKAAKGTEPSPPKMQLSNRYDALKDKDD >A05p012160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5271775:5274240:1 gene:A05p012160.1_BraROA transcript:A05p012160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGWCQRFRQAASSQSDDSASDLERNNHHCNHMSLPSSSSPSSLNPFAFNIQHGESNAPYFSWPTLSRLNNAVEDRANYFANLQKGVLPEIVGRLPSGQQATTLLELMTIRAFHSKILRRFSLGTAVGFRITRGVLTKTPAILVFVARKVHRQWLNPMQCLPSALEGPGGVWCDVDVVEFQYYGAPAATPKEQVYNELVDGLRGSDPCIGSGSQVASQETYGTLGAIVRSRTGNHQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDQWYGIFADTNPETFVRADGAFIPFAEDLSMSNVTTVIKGIGEIGNVHVIDLQSPIDTLIGKQVVKVGRSSGYTTGTVMAYALEYNDEKGICFLTDFLVIGENQQTFDLEGDSGSLILLTSPNGQKPRPVGIIWGGTANRGRLKLIAGQEPENWTSGVDLGRLLDLLELNLITSNHELEAAAREQRNTSVTAIGSTVCQSSPPDPVASGDKQDESFEPFIPPEFRIEEAIKPTPEVEEHVFIAPLSFNESTSTSRGQEKLKVDDLVALKSSSEEEDEVSISLHLGEPKLKKSKFS >A03p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4803875:4804207:1 gene:A03p012040.1_BraROA transcript:A03p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWPVFFKREWKRCWPFLTGFAVTGVLITKLTAGFTEEDAKNSKFVQQHRR >A06p047520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25226602:25228584:-1 gene:A06p047520.1_BraROA transcript:A06p047520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVSFQPLPSSVIQRRLSTVYLHRLTRSSSSSCAPLRAQQSGITGGSESVSSALEGKASEQEVVIYQDEVVASQGIRIRRRPLTGPPLHYVGPFEFRLQNEGNTPRNILEEIVWHKDKEVAQMKEKRPLYTLKKALEAVPPSRDFIGALRSAHQRTGLPGLIAEVKKASPSRGILREDFDPVAIAQAYEKGGAACLSVLTDEKYFKGSFENLQAIREAGVKCPLLCKEFIIEAWQIYYGRSKGADAILLIASVLPDLDIKYMIKICKILGMATLVEVHDEREMDRVLAIDGVELIGINNRNLETFEVDIGNTKRLLEGERGELIRQKDILVVGESGLFSPEDIAFVQEAGVKAVLVGESLVKQSDPGQGISALFGRDVSG >A06p010850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4034094:4038539:-1 gene:A06p010850.1_BraROA transcript:A06p010850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLTVSLLFAALSYPLVEAAYYSNSYYTTPKPLLNPIDSCWRRNPNWASNRRALADCAVGFGKAAIGGKYGSVYVVTNPSDNPENPRPGTLRHAVIQSKPLWITFARDMVIVLQNELIMNSYKTIDGRGAKVEIAYGACITVQHVNHIIIHGISIHDCKPGKSGRVRSSPTHIGSRKGSDGDAIAIFDSSHIWIDHCFFSRCQDGLIDVLHASTAVTISNNYFTQHDKVMLLGHNDNNVEDRIMRVTIAFNHFGPGLIERMPRVRLGYAHVANNRYEKWQMYAIGGSANPTIFSEGNYFVASDDLSKKQVTKRIESGYDSKRWKWRTSKDVFKNGAYFVQSGYGTVTPLYERAEWFPVSHGSLVPSLTSSAGPLLSLLFALFFSPLVESQNPIDSCWRTNPNWESNRPDLAGCAVGFGKYASGGKDGSIYVVTSSEDDPENPSPGTLRHAVIQTEPLWITFERDMGIVLKNELIMNSNKTIDGRGAKVEIAHGPCITIQHVSHVIIHGISIHHCKPGKSGLVRSTPTHIGRRGGSDGDAISVFNSSNVWIDHCYFSQSEDGLIDVVLGSTAVTISNSYFTQHDKVMLLGNHDNIVVDENMKVTIAFNHFGPGLIERIPRVRRGFAHVANNRYNKWLKYALGGSADPTIFSEGNYFLAPDDPSKKQVTKRLESGNDRSWKWISSKDVFLNGAYFEPSINGKVTQVYEGEEEFPVYDGSLVPNLTSSAGPLSCYSGRIC >A01p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4992216:4995088:1 gene:A01p010240.1_BraROA transcript:A01p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 41 [Source:Projected from Arabidopsis thaliana (AT4G17690) UniProtKB/Swiss-Prot;Acc:O23609] MPSCIRGFQIRNLEFPCSHNQVKKYHMPPLAPPQPCALTPTSRRKTPKYCARRLNSSETFSLLPDVCSNTLNQNECDDNMLRSIPVINYWLRHGNVEVQGFDPIEPLTPSSNSTVLSVTMKAKLAFEIHLVSLRSFVEFKTDRVNFSAKSSHIGLLLLGVAQGPRASHQKLLAGNNPVASHWCINVVFDYQLFLRTIALGIRVKLLHGVLHLAELDSPLIGFISLCFIMLSTFVVPSSMTPESYVSVIDIRLMIFTMSSFLPVIFLVLVFVPSILSEPVTSLTKDYYQETCPDFSKIVREIVTTTQGPQGRTAAGILRLFFHDCFLEGCDASVLIAKNALNKSERDDELNHSLTEETFDIVTRIKAALEESCPGVVACADILAQSTHDAVTMIGGPSYEVKLGRKDGFESKAHKVRENLPLPNHTVHDMMSLFQKKGFTLKEMVALSGAHTIGISHCKDFISRVIGPQPDPDIEARYAEVLKSLCKDYTVNETRGSFLDPVTPDKFDNMYYKNLEKGMGLLASDHILFKDNSTRPFVELYANDQTVFFEDFARAMEKLGMVGVKGDKDGEVRRRCDNLNKPNGSVAEPEKSFTGGNISFELNL >A06p045220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24266882:24267724:-1 gene:A06p045220.1_BraROA transcript:A06p045220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDLIAGGKSKKTKRTAPKSDDVYLKLLVKLYRFLVRRTGSKFDAVILKRLFMSKVNKAPLSLSKLVEFMKGKDGKIAVLVGTITDDLRVHEIPAMKVTALRFTERARARIEKAGGECLTFDQLALVAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRAKGRKFEKARGKRKSRGFKV >A01p029310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20821929:20824112:-1 gene:A01p029310.1_BraROA transcript:A01p029310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKLKRRGRPKQLDNIKLTPVEGPTIPAIGESTEADADSVEAPDVDALKELESRLMNAIRDGLKEINKKVESLGNQLTLLEKEVRSLTMSVPNATGVLDIAKQMQSEHGEGNDEEDGSSKDDDMEEDSSSKDDDKADMSAMAEKFEMSEKAKNDVAKVGEKENEKENEKEKESGDVQTETKTKKRGRKADGKEGGGVAKKAKRNKR >A06p022640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12122321:12125655:1 gene:A06p022640.1_BraROA transcript:A06p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLIELDIKSSHAYGFTDETDVQALLEFKSQVSEDKRVFLSSWNHSVPLCNWNKVTCGHKHKRVTQLDLGGLQLGGVISPSIGNLSFLISLDLSSNSFVGTIPQEVGNLFRLEYLNMSFNLLEGEIPVNLFNCSRLFDLELDSNQLGGGVPSELGSLTNLLYLYLGQNYLKGKLPASLGNLTSLMQLSVTGNKLEGGIPNEVARLNQMVLLTLSVNNFSGIFPPSIYNLSSLEMLNIFSAGFSGRPNPDIGTLLPNLQELYMGNNHFTGAIPATLSNISTLEILAMEYNNLTGVIPTSFGKLQNLEVLQLHENSLGNHSFGDLKFIDALSNCTQLLILSVGFNRLGGELPTSVANLSTSLNELKLQMNHISGSIPHDIGNLINLSKLVLYGNILRGTLPNSLGMILELGYLSLDSNRLSGVIPSSIGNMTQLETLYLNNNSFEGPIPPSLGNCRRLLYLYIGCNRLNGTIPREIMQISSIVHIYIEDTPLTGSLPNDVGRLQSLVILSLANTSLSGQLPQTLGKCLSMEELYLQENSFVGTIPDIRGLVGVRRLDFSKNNLTGSIPEYLAKFSKLEYLNLSINNFKGRVPAEGKFQNSTIVLVYGNKNLCGDIKELKLDPCIVHAPSMMKKHSSLSKKIVIGVGLRCCEESPANRLAISQVVKDLNSIKE >A09g514350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42928455:42929591:-1 gene:A09g514350.1_BraROA transcript:A09g514350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLAWHSGPPAGGPHDGLLAQWRIDGAIQVRSNVDPTFYSLVGSGRSGGDHHGSSLLENPYIPYQCMDSYLSSAGIVPFEPFFHAFPRGLEKAAINRIFLILPSRKEEREILFPFRLEMGAEGGNKHTWRAQYNGELCDDLLHGRGLWFKSRMAQLRQGKEYKKDLTPSCMLHLARGDIAQLVELRSCNWVVAITGWVSNCPGGNDSILYLNRWLTFSK >A08p006890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3986055:3986243:-1 gene:A08p006890.1_BraROA transcript:A08p006890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDAQKKETKLCPYKISHSSKQCFPILCRNECKKKYPPSGSGVCSDSKGFCDCNAPCKGSR >A05p031930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18130069:18131635:1 gene:A05p031930.1_BraROA transcript:A05p031930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHLVCLYSQNSKSLSQSPVTAKQQLHFFELRAGPFGSGLSGKLAMSRKEGHNNPGDDVRGAGPVSQISPAGVHIVLPDTSTVLTMLFLLTAEASPNYLQMMPQLRKVAPLQRSNVVAKSAILYSIQIESGPTTTLLSLLLKFS >A06p015510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6960026:6964803:1 gene:A06p015510.1_BraROA transcript:A06p015510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSVDLDGAPDLRVVSNKSSDAEPSPSQDSHHNPEFKPFAGFSNWLSSVAQRKSSSTTASSNANNSSSTGDEASLERGGGGAPVVSESVMKDLGSGTSKDPVVEEEYQIQLALELSAREDPEAAQIEAIKQFSLGSCPPAPENSPAELMAYRYWHYNCLGYDDKIVDGFYDLRGVINESSLEKLPPLVDLQGTLVSDGVTWEAVLVDRSKDSNLLRLEQKALDIAAKSKSVSSSGFMNSELVRKLAVLVGDYMGGPVVDPDSTLRAWWSLSYSLKATLCSMVLPLGSLTIGLARHRALLFKVLCDSVGVPCRIVKGQQYTGSDDVAMNSIKTDDGREYIVDLMGDPGTLIPADAAGLQLDYDDSVYSASPRDVDSSHVASSSSWVETSFEEPAESWSEEHPSRTKGSWEEKQSEGGGDLMIRPNTFKAVVGSQKAPVQHLSSKPTHSFAHARSPSWTEGVSSPAGRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPRNLFSEVYSESMEATVEIIPVAESNDEKGDDFGTIQQGRVQSNLGPVRFLPPLPRPQSKANTHDQREHSGPLGHLSDSSHSETSTDYPRNVPVAVAAAAVVASSMVVAAAKSANSDSSTLELSVAAAAAVVATAAAVGRQLELDSQSNEDGGSGGPYGPSSGGERISDRSTGNESSKSDAAIDDVAECEILWEEITVAERIGLGSYGEVYRGDWHGTAVAVKKFIDQDITGEALEEFRSEVRMMRRLRHPNIVLFMGAVTRPPNLSIVTEFLPRGSLYRLIHRPNNQLDERKRLRMALDAARGMNYLHSCNPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKLSTYLSSKSTAGTAEWMAPEVLRNEPADEKCDVYSYGVILWELFTLQQPWGKMNPMQVVGAVGFQHRRLEIPESVDPRIADIISKCWQTDPRLRPSFAEIMTSLKQLQKPIMSPNVQRATPSTSSMITEQEQ >A03p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16780857:16790413:-1 gene:A03p040270.1_BraROA transcript:A03p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA200 [Source:Projected from Arabidopsis thaliana (AT3G13330) UniProtKB/TrEMBL;Acc:A0A178VC52] MHLFNGWLPPPVAEETKKEKESFARVVRCVKELHRPDDPESVYATLKWISVIELYVRAKSDLAVEDVTELVEIGLQIFHSSQDKLYAQVRWGNVLVRLMNKYRKKLTLKVEWRPLYDTLIHAHFSRSPGPEGWRLRQRHFEAITSLTRSCRSFFPQGAAADIWSEFMSLLENPWHNSSFEGSGFVRLFLPTNPENQDFFSEKWINNCLELWDSIPNCQFWNSQWAAVLARVIKKCSSIDWESYLPMLFSRFLNMFEVPVANGSGSYPFSVDVPRNTRFLFPNRTMTPSKSIAQSIVYFLKPGSTAHEQFKKLVNLLEQYYHPSNGGRWTYSLERFLLHLVVAFQKRLQREQQNPDSLSKVCLGKPERIAFVEVVLKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFVASRFHLALETTTATHQLKTAMMSVAFAGRSILQSSMSTAKQELGGDMDNSVFLDLIGISLSNALLGMDANDPPKTLATMQLIGSIFSNMAVLDDSSDDISIMTMASFSEWLDEFLCRLIALLQHLEPNSVINEGLSSSATSGTFLVEDGPYYYCMLEILLGRLSGSLYSQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVQIVEPMILAVISSLKDTPVTGFGGKGSADTLGSNKKDKQTLSPALEAAIDYQLKVLSVTITYAGSSLLRYKHHFIEAISSAFNSSSWKVNGAGDHLLRSLLGSLILYYPMDQYKCLSRHPAAPALEEWISTKASSKDEQVAHSRWHVPTKEEIQFANELLDLHLQSALDDLLRICQSNIHSDAGDEKTHLKVTLLRIDSTLQGVLSCLPDFRPSPKHDMVEELPFFIAGASGSSVGSAEIRERTAETIHAACKYLLEKKSDDSILLVLIIRIMDALGNYGSLEYDEWYNHRQAWKLESAAIVEPPANFITEFHSKGKRRPRWALIDKAYMHNTWRSSQSSYHLFRTDGNFSPPASLTLLVDDLLTLCLHNYETVRVIAGKSLLKLLKRWPPLLSKCVRSLSENLRNPDAPENVVLGSCGILSSQSVLKHLTTDPKSFSSFLLGILSSSHHESMKSQKAIIELFVKYNIHFAGLSRNILRSLDSHVEGSTSGDLVSQISSMSFDSSSLHWRYNLMANRVLLLLAMSCRMDPAFSFKILNETAGHFLKNLKSQLPQTRILAISALNTLLKESPHKMQGKDQPPVSSQENANSSLDLALSQIFQEEGFFKETFESLSHIHITDTDSSSRGNHGSSSFQSMADKSITRFYFEFSASWPRTPSWISLLGSDIFYPSFARIFKRLAQECGVPVLLALKSPLEEFCNAKERPKQCVAAETLAGLLHSDVNGLQSEWDSWIMVQLQNVILGQSVESIPEWATCIRYAVTGKGKQGTKIPMMRQQILDCIVAPLPPTATTTVVSKRYAFLSAALIELSPPKMPVSEVKLHIALLDELIRNMSHSSAQIREAIGVILSVLCSNIRLRMSYQQKHPSEEGRTDVDSQLKEENWFKLISARASEAVTNIQQASISDSMDTSADVDMENAQSNGDSLDDVKWMETLFHFIISSFKSGRSSYLGDVIAGFLYPVISLQETSHKDLSTLAKAAFELLKWRVFPGSHLQKVIEVILSSADDTNWRIRSSTLTYLRTFMYRHTFILAHEEKQKIWKTVEKLLVDSQVREHAAAVLAGLMKGGDEDFAADFRDRSYAEANSIQKKRNRRKSSSTQSIAAVHGAVLGLVASVLSVPYDMPSWLPDHVTLLARFAGEPTPVKSTVTKAVAEFRRTHADTWNIQKDSFTEEQLEVLADTSSSSSYFA >A09p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2945552:2947628:1 gene:A09p005390.1_BraROA transcript:A09p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFETPAGFAVFKVLDEGKLSNVEDLGNVFSSAESARKMVKLKAFDKFDNTSEALEAVAKLLEGTPSKGLRKFLKANCEGETLAVADSKLGNIIKEKLKIDCVHNNAVMELLRGVRSQLTELISGLGDQDLAPMSLGLSHSLARYKLKFSSDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIISDNILYAKSVKLMGNRINAAKLDFSEILADEVEAELKEAAVISMGTEVSDLDLMHIRELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTSLVGELVGARLISHGGSLLNLSKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASVVGQAAPKHKGKISRSLAAKAALAIRCDALGDGEDNSMGVENRLKLEARLRSLEGKDLGRLSGSAKGKPKVEVYDKDKKSGSGGLITPAKTYNTAADSLIGQASKAEESVKEKKDKKKKKKKADAEEEEEAKTEEPSKKKSKKKKAEVEPETEEAITEEPSKKKKKRKHEEEETEMPAKKKEKKDKKKKKSEA >A10p027110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17104664:17105421:1 gene:A10p027110.1_BraROA transcript:A10p027110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSKSAAFMLLLLNLGLYFVVTIIAAWAVNHGIERARESASVLSLPAKIFPIYFPVGNMATGFFVIFTLIAGVVGMATSLTGIMNVLEWDSPNLHSAAASSLISWSLTLLAMGLACKEINIGWTEANLRTLEVMTIIVSGTQLLCTGAIHVGVGETVDAVRPHVGRA >A10g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3688336:3690491:1 gene:A10g501290.1_BraROA transcript:A10g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKKYDCVCNDPNSDPKNSGRSPNPFPEIHVSGSPDLVAGATVCRDHVQLDTTVCNPSGSPELVAGETVCRDHVQLDTTVRNPRPPSCSSRRDEPIDTDQTAIGVRTSPHAPPEDHSSPARETDAPPSPATVLGRRHPPPRSCCRIAAAPPPSPVTFPVRPMSRSDYTGPVLLGFYYYRALDFYHFYRFIAFGPLGSYLSFMLSRLLDFRFRSYFIFRMLALLGL >A10p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1866795:1871809:-1 gene:A10p003750.1_BraROA transcript:A10p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sucrose-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G04920) UniProtKB/Swiss-Prot;Acc:Q8RY24] MAGNEWINGYLEAILDSQAQGIEETQQKPQTSVALRDGEGEFFNPTKYFVEEVVTGVDETDLHRTWLKVVATRNSRERNSRLENMCWRIWHLTRKKKQLEWEDSQRVANRRLEREQGRRDATEDLFEDLSEGEKGDGLGEIVQPETPRRQLQRNSSSVDIWSDDKKENRLYVVLISLHGLVRGENMELGSDSDTGGQVKYVVELARALARMPGVYRVDLFTRQICSSEVDWSYAEPTEMLTTASAEDCDGDETGESSGAYIIRIPFGPRDKYLPKEILWPYIQEFVDGALAHILNMSKVLGEQIGNGKPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIKRRIEAEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMAVIPPGMDFTNVVVQEETLDGDGELASLAGGAEGSSPKAVPTIWSDVMRFFTNPHKPMILALSRPDPKKNITTLLKAFGECRHLRELANLTLIMGNRDDIDELPSGNASVLTTALKLIDKYDLYGSVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDILQALHNGLLVDPHDQEAIANALLKLVSEKNLWNECRINGWKNIHLFSWPEHCRTYLTRVASCRMRHPQWQTDADEMAAQEDEFSLNDSLKDVQDMSLRLSVDGDKPSWNASLEPNSTDPVKQIMSRMKQPETKSKPEVQGKKQGDNVGSRFPVLRRRERLIVIAFDCYNEEGAVDVKSMVTMIQNIIKAVRSDPKMAKNSGFALSTSMPLDELTSFFKSAKIQVSEFDTLICSSGSEVYYPGAEEGKLLPDPDYASHIDYRWGNEGLKNTVWKLMNTTAVGGEARNKGSPSLVEEDKPSSNEHCVAYLIKDRSKVMRIDDLRQKLRLRGLRCHPMYCRNSTRLQIVPLLASRSQALRYLFVRWRLNVANMYVVVGEHGDTDYEELISGTHKTVIVKGLVTLGSDALLRSTDLRDDIVPSESPFIGFLKADSPVNEITDILKQLSKAAT >A02g510130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27175283:27183338:-1 gene:A02g510130.1_BraROA transcript:A02g510130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVCEIPVQSGYELMTWRHRLRRSLLWEGKAIMCNLAFMQDWVSTEEESMSHTNCDMSLSDDDDSSEELSCTNPITATTPDETPEIDDQILPSSVTVHKNHSPEDVIGGVLDDRLKRYLTTKGFQLMRHTPNQETPKLSLISTSNMQPRRSSRLRQSLENQSSLPSPINPESSSHQRKYSRKRLRRPTPATPPPPEPEVESLSEDNTNDDESDASLEEAASDDSQDEQPDEFLPKGPRYEESRQDFQTLIQANPALLRPSRAPINSRFATVEATERYRDPKNRKFLVQYRLPLDEENLQDVKKVIVDSGLIYTVIDSDPFKPSVIRQFIANLVDAEPREDGVAVYVKGSLVNFSPSLINSLYLIPSCEEDPDWSTYNMDRVCTFLTNKRIRRWEDMSSKFLTATNQVLYKLVCANWIPTTSYTAMNPERLRFIYMLYHDRKFDFGKLVYNQIMAMAENTRTERTRRIIFLNLIQQVLLFQRNVPPDSDDEEFTGMPKKVVKDKKAGLGSGTESRSPNLEEDIEHAIAGLKAISMRLRRGEYPHQQQNEDSDGGSD >A10g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9590668:9591612:1 gene:A10g503780.1_BraROA transcript:A10g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRMVNQQFHLFELKAGRSCQYMEPFCRQSVVRRLFRFWKTRNVKKGGELMGFIWLCDLSRFDVTKTNQSFRLSDSHVSIRFTDQTKFHELPENRGLIPMELFRFGDYDHSMLLANTNTDLPGNSLFSPLIPIMEVNVCLSIFVNLAMQLLDKWVIHGVEPRVIAATNINPKLAGGMHVPD >A07p012430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8139083:8140661:1 gene:A07p012430.1_BraROA transcript:A07p012430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMSSDNINGLILAVSSSIFIGSSFIIKKKGLKKAGASGVRAGEGGHGYLYEPWWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILQEKLHIFGVLGCVLCVVGSTTIVLHAPHEQEIKSVKQVWKLAIEPGFLVYSAVILIVVLVLIFYYEPRYGKTHLIVYVGICSLMGSLTVMSVKAVAIAIKLTFSEMNQFKYFQTWIFIIVVTDWASQSGLKIATQLCGFVTILSGTFLLHKTKDMGNSASGRGASSTPRDNPVFTSPGSGRSSTSDKIAS >A08p043090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24069605:24071288:-1 gene:A08p043090.1_BraROA transcript:A08p043090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGSLTCVVVAVDGSEVSMEALKWALDNLKLSPSSPDSSFVVLHVQPSPSIAAGVSPGTIPFGGPSGVEVPAFAAAIEQHQKRITDAILEHARQIYADRSVNVKTQVVVGDPKDKICETVESLNADLLVLGSRAYGPIKRMFLGSVSNYCTNHAHCPVVIIKPKEDTSG >A07p051380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27278678:27283495:-1 gene:A07p051380.1_BraROA transcript:A07p051380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLASNLSSSNNGDNSAFKALKVSYEALDEIEKKIFLYVALCFNGVYMDRVRKVLDLCFVSSRRRVLPTRPSIVALMEKCMISLSKAKRLWVHDLLQDMAEEIICKAKMRGHGNSLPPQFCTSFLVELNLSHSSIQTVWSGSQQDLGNLRSLNLISCKHLNEFPDLSKATNLESLKLSNCDNLVEIPDSSLRQLNKLVHCKLSNCKNLKSLPNNINLKSLRSLHLNGCSSLEEFPFISETVEKLLLNETSIQQVPPSIERLTRLRDIHLSGCKRLMNLPECIKNLKFLNDLSLSNCPNVTSFPELGKNIRWLNLNKTGIQEVPLTIGDKSELRYLNMSGCDKLMNLPPTVKKLGQLKYLNLRGCVNVTESPNLAGGKTMKALDLHGTSITEKLVGSNSEEPPQCEVPVIRRFFMRNVREHIKKRKSNR >A10p028890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17814412:17816299:1 gene:A10p028890.1_BraROA transcript:A10p028890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSNKKATRRESISLTPNSQRRRRRRKCSLSLTCLVARITREKTSSSSPFSLSNLYLLTMDLTWLSALIVGAALGFCIGTRRSKPPPVEAAVDGDTNKLIPKSPLEIEKLADILDDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLVRRAPKALDWYLSIWEECAQPKVVVKIETEDEMLELQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVQVVDEVTGGLKLL >A08g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2133166:2133563:1 gene:A08g500830.1_BraROA transcript:A08g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNNPGVSAFPEEDNIFCWKGAIKGSKETVFEGTEYRLSLSFSNDYPFKPPKIKFQTTCFHPNVDVYGNICLDILQDKWSSAYDVRTIL >A01g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18991752:18992194:-1 gene:A01g506550.1_BraROA transcript:A01g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISQLYISNLEIGRFEDVVVTRLLQFWESSTLIQGTITAHRLYSFKNLLSEGTVYKLTVFYVARSNNHFKVQTVRLSCLYSIYRAYQLCRGCQPDG >A09p060470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50147011:50147895:1 gene:A09p060470.1_BraROA transcript:A09p060470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLSLRRALSLYLTNQQPRLPLFQGRLSQSKPLLSRDYAYVGLLQRHFCVSREASEAAAVTNSCCNSSNSVSELSKAPSTSPATTAAEDLIVKYKSQLKINPRHDFMMVFTCKVCETRSMKMASRESYDKGVVVVRCEGCDNLHLIADRLGWFGEPGSVEELLAARGDEFKKGSMDSLSLTVDDLAGNKISDE >A05p051280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29865341:29868596:1 gene:A05p051280.1_BraROA transcript:A05p051280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase 22A1 [Source:Projected from Arabidopsis thaliana (AT3G66658) UniProtKB/Swiss-Prot;Acc:Q0WSF1] MAFWWPLIVLAFAYAICRFLLMLIPPNVPSIDVDASDVLAHGKETEENSFIYIPPRGRSQQSDKKVQCYEPATMKYLGYFPALSTSEVKERVALSRKAQKTWAQSSFKVRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGERWLKPEHRSSGRAMLHKVSRVEFHPLGVIGAIVPWNYPFHNIFNPMLAAVFSGNGIVIKVSEHASWSGCFYFRIIQAALAAVGAPENLVDVITGFAETGEALVSSVDKMIFVGSTAVGKMIMRNAAETLTPVTLELGGKDAFIICEDADVSHVAQVAVRGTLQSSGQNCAGAERFYVHKDIYTAFITQVTKIVKSVSAGPPLTGRYDMGAICLQEHSEHLQSLVNDALDKGAEIAVRGSFGHLGEDAVDQYFPPTVLINVNHNMKIMKEEAFGPIMPIMQFSTDEEVIKLANDSRYALGCAVFSGSQRRAKQIASQIQCGVAAINDFASNYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRFWPLIKTKIPKPIQAKNAFEFQEALVETLYGLNIWDRLRSLIDVLKFLTDQSSHVSRTRKSH >A07p022290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13040541:13042260:1 gene:A07p022290.1_BraROA transcript:A07p022290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSLSLLKFLRTQDSPLLRIRTMTITSNPSPVRDDLLCSDQQQQSDAQIEKPLEAAAPASLGAPGRVLSIDTRVEQAWAHWKKLGRPKYIVAPMVDNSELPFRLLCQKYGAQAAYTPMLHSRIFTETDNRNKEFTTCEEDRPLFVQFCANDPDTLLEAAKKVAPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSLVEKLAQNLTVPVSCKIRIFPNLQDTLNYAKMLEDAGCSLLAVHGRTRDEKDGKKFRADWGAIKEVRNALRIPVLANGNVRCIEDVEDCIKETGVEGVLSAETLLENPAVFAGFRTAEWAKDNEGEGYVDGGLDQGDLVVEYLKLCEKHPVPWRMIRSHVHKMLGDWFRVHPQVREQLNAQNILTFEFLYGLVDQLKELGGRVPLYKKRKIDTLQESP >A09p009210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4759841:4762613:-1 gene:A09p009210.1_BraROA transcript:A09p009210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARDMDAVEIVDVDDEEEEEKDCEDWCFVCKDGGSLMLCDYKDCPKVYHACCVEKNISVQNNEESLICMWHSCYLCNKKPKLFCLCCPHAVCQGCVTHAEFIHLKENKGLCSHCQEYVITLEEIQEYDATGDKIDLTDRDTFECLFLEYWEIIKNQEGVTFDDVIASKSRKKAAKAKSRYKDDPKFELHHVNSSKSPKKGIKIKDDDDDGPEFSLTNYGVDDVEDYKTTLKPKRMEFIGWGSKPLIEFLTSIGEDTREEMSQHTVDSVIRRYIRQKNLLDDEKKNKKKVRCDEKLFSIFRKKYVNQKRIYSLLNAHFKENADHLEYISLLERGFGEKNENVSVPCKKQKTETSEDEEPCQKEVKPEMRPTGLATISADNIKLVYLRKSLVLELLNKSKSFRNKVVGSFVKVRNDPRDPIAFQILQVTDIKTADDKGMFLYLAGIASDVSISRLDDSDITKEEIDDLKQKVMSGLLRQPTLVEMEQKAKALHEDITKHEYLEERELLQKPSEQERLLRQTPKIIEELIEIEQDPPAASSESSKVGNISGLSQEVVMIDID >A04p031220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18459865:18460942:1 gene:A04p031220.1_BraROA transcript:A04p031220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKATGRPRGFGFVSFSDPAVIDRLLQSKHHIDNRDVDVKRAMSREEQSPGGGSRPVGSFDNVRTKKIFVGGLPPALTSDEFRAYFETYGPVSDAVIMIDQTTQRPRGFGFVSFDSEDSVDLVLHKTFHDLNGKQVEVKRALPKDANPGVVGGGGGRGGGGFSGYGGGGYEGRVDSSRYLPPQNAGSGYPPYGGSGYGYGSNGVGYGGFGGYGNPSGAPYGNPGVAGAGFGSGPRSSWGGQAPSGYGNVGYGNAPAAAPWGGSGPGSAMMGQGGASAGYGYGAVGGRPNSLGGGYADGSDGSGGYGNHQGNGQGGYGGGFGSGHQALQQ >A09g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16934057:16934554:-1 gene:A09g505350.1_BraROA transcript:A09g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLRNHKFEQKQNTERPQPVAPTSRSRLRERPRCVAARGRSGLVLASPGDENASDFSLSLWYGRSELRERLQHVALEATSCRRSERSLRQCSSKDHSNRLLLSSKCTQMSPETPCGTQIPDRDICMQNAT >A03g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31947994:31948660:-1 gene:A03g509960.1_BraROA transcript:A03g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSYLISFRLSKMGHDYSYSQPSSSSESLDITSLLEAECQIYADEADSSYCNSLPVQYPRQPEADDGIPTTCYCGAQPVLGCSYTSQNPYRRYFTCDNADDGDCHVWKWWEVAVMEEMMEFQRQLRDLKVQGYESEQKVLHLEKTVHELSKNKSGVKLTESLEMFQRRVSVLGIFCNKV >A02p026160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8056386:8056664:-1 gene:A02p026160.1_BraROA transcript:A02p026160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREDFDLGYQPEDMHREDFDTGHAPTGFRFRQSTRGATNRYMWSDQNEGEDEDLGMDDVCVNIGRFFRRRALTKKMTEMRRSRPDYPFLEP >A02p057170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34388281:34391896:-1 gene:A02p057170.1_BraROA transcript:A02p057170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKPARSLSEHLSQPPTPSPAVAVAINGKKKSKYVAFWALEKFIPEGFSDFKLIYVRPPVTYIPTPMGNAISISELRADVVSAYRQEVDWNTNETLRPYKKMFERRKVRVEILVLESHDPVAAIAEEIAGTRVTKLVIGMSLRGFFSRKIDMSSMIATAVPRFCTVYVVSKGKLASVRPSDSDASGTIRIERIERDSFTSGSTDSPSPRVPSDYQDFLSFVSEAQSRVSHFLPPAKHSEVGSSAVVQMDTSSSETDQSEVSTGRAMQIVPSGGNEEKKSNTSNESFSASFPMGAEAYHAMSFASKWRDHEDRRSIMSSSSSNNHDITNMDWGAVVPENYSFVSHQASNMSEGLLSVHSVTNNNQVNLNFEIEKLRAELKHVQEMYAMAQTETVDASQKLTELNQRKHEESEKLMELKEKEELAKDTASKEKQRYEETMKEAEKVKELMMKEALRRREAEIKAERDAKEKDKLQASLVSPGIQYQHYSWEEIAVATSDFSEDLKIGMGAYGTVYKCNLHHTTGAVKVLHAGETQLSKQFDQELEILSKIRHPHLVLLLGACPERGCLVYEYMDNGSLDDRLMQVNDTPAIPWFERFRIALEVASALVFLHKSKPRPIIHRDLKPGNILLDHNFVSKLGDVGLSTMVNQDDAASKLTVFKKTSPVGTLCYIDPEYQRTGIISPKSDVYSLGIVILQLITGKPAIAITHMVEEAIGDDAEFMALLDVKAGPWPISETRELAALGLCCTELRRRDRPDLKDQIIPALERLRKVVDKAQNLIGRTPSGPPSHFICPLLKGVMNEPCVAADGYTYDREAIQDWLRENDTSPVTNLPLPNKNLLANYTLYSAIMEWKSNK >A06p009120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3207225:3211264:-1 gene:A06p009120.1_BraROA transcript:A06p009120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGKSPRKSPTVVTVQPSSPRFPITTTPTAGAQRKIGIAVDLSDESAYAVQWAVQNYLRSGDAVVLLHVQPTSVLYGADWGAIDLSPQWDPENEESQKKLEDDFDIFTNKKASDVAQPLVEAEIPFKIHIVKDHDMKERLCLEVERLGLSTLIMGSRGFGATKRSSKGRLGSVSDYSVHHCACPVVVVRFPDDKDGEDEKSGENGVENLGESDKLHTVPEVAEEEGDKEEYHDASDKQQQQQAASMLQKETSLRSHRRRRKAFMCPLVCVLDLVFGVWTKSFELFCLSVDVLSFSTELKDSETLVSSPDGFRFGFFSPVNSKGRYAGVWFNNIPVQKQAVVWVANKDSPINDSSGVILISKDGNLVVKDGRGLVHWSTNLSRPLGSPNTTHARLLSTGNLVLQEGDKKLWESFEHPQNAFLPTMTISTDARTGKRLILRSWKSLSDPSPGRYSAGVIPLPFPELALWKDGLMLWRSGPWNGQNFLGLPERDSRIDLYGFKLANDNRGSVSMSFGYNQSMYHFLLDTEGHAMEKYWSKMNQEWSSGLMFPINCDIYAKCGQFTSCQSSLDPPCKCIRGFEPRSNEEWSRGNWTRGCVRKTPLQCHNNGSREGDGFLRLKKMKVPNNPHRSEVNEQECPGSCLRNCSCTAYFYDTGPGCLLWSGDLIDMQEFLTSGVTLHVRLAGSELKTSSNRSLVIVITIVGCTFLVAVIVLLALRKVAKRREKNRNARLLFERMEALNRNESGAIAVNQNKLKELPLFEYQVLAAATENFAVTNKLGEGGHGNYGMMEKPPLLWILSSLKSVLKTKYEDVCT >A10g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14915119:14915537:-1 gene:A10g505780.1_BraROA transcript:A10g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIIQRPSSTTNLHELRSCLVQDLFEVLNVSGFIGYPFRFGFGSDNTHNPKYHKTRSIRYLRRVRIGSGSFLSDRIRFGFSGSVYLSSPT >A05p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2263867:2266615:1 gene:A05p005850.1_BraROA transcript:A05p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGKKIYAAKNSFGRSNFPEGFLFGTASSAYQYEGAVNDASRGLSVWDTFVRKHPERNCYSHADQAVEFYHHYKEDIQRMKDINMDSFRFSISWPRLFPHGKKSKGVNKEGIKFYNDLIDELLANGITPLATLFHWDTPQALEDEYNGFLSEKAVDDFRDFATICFEEFGDRVKYWVTLNEPWCKDGKIGIAHCPVWFEPYDSNCPDDHEAVERAMEFMFGWHMDPTVYGDYPQVMKRSIGKRLPSFSAAQSQKLKGSFDFVGVNYYSAFYVKNVTDVDHNTPNWRSDACIEWKKQNKAGEILGPRGGSEWDFLYPQGLRKFLNHAKNKYGSPKFMITENGHCDIDYEKKAKLSNLMDLQRTEYHEKHLQSIHQAIKEDGVQVEGYYAWSLLDNCEWNAGYGVRYGLFYVDYNNGLKRYPKMSAMWFKEFLKKEEIEDSEKEGLMLNSLVNKKRKRFLTSSGLPSCYIPKMSESSKALELFF >A03p022160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9325134:9326189:1 gene:A03p022160.1_BraROA transcript:A03p022160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTRTLKSTLSFTRCQFSPDKTNTPGRLALFVTVNAIANADALGDDGDELRRSNYRKIKLLSNYYCQNADCSSMLLVATKALAFAHNKAVHLTAREDSYVPVNKDSYKSMTTIMIQRTLASCWGCMLRGSFRCCLRPSAITPVPGGDGPMTIAILLCNAARPSGYPSDK >A01p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10861854:10864862:-1 gene:A01p021930.1_BraROA transcript:A01p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAATATSLTTLRARSPAIIPSSTRNLRSKVRCSSSSSLRASLSNGLLSPYTGGSISSDFCGAKLRSESLNPLNISSSKPKRGVVTMVIPFSKGSAHEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKNGEKLGYDTEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLTAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIARKEIRHIKTEMVKLYSKHIGKSPEQIEADMKRPKYFSPSEAVEYGIIDKVVYNERSSQDRGVGDLTVDQGDIDTEPK >A07p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1481944:1482371:-1 gene:A07p006760.1_BraROA transcript:A07p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIVYHTDDSKLLLSVRQALHDDKYEELKDSKLGLFIKFKELNFSWTSRLVHYMVCFQLNIKKKYEHCGLVGPQTMRCEVTDEMASFWEVMGVDVDSGPTSEHIIAACERCEEWPQ >A06p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20885941:20888284:1 gene:A06p038840.1_BraROA transcript:A06p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIKVANQEGNVGKKAEPTTREDDHGTLSDIDQWLYLFAAEDDHLHRDNAFTPPYSSSSLMTSYNREMEMSAIVSALTHVVAGNVPTHQYGDGSGGGGGGKGNSNSSSSSGQKRRREVEEDGGGGKAVEAANTLTVDQYFSSGSSTSKVREASSNMSGGSGPTYEYTTTENGTTETSSSSGDQPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDNAESAARAYDEAALRFRGNKAKLNFPENVKLVRPAATQSVPQTAVQRPTQLRNSSSTSTLLPIRPASEQIVHSQPLMQPYNMSYSEMTRQQQQFQHHHQQQSMDLYDQLSFSLPFGHTGDSTMLSTSSSSSHSRPLFSPAAVQQPPESASETGYFKELKWSTDKTNNNNYSNSPSS >A10p035920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20534587:20543813:-1 gene:A10p035920.1_BraROA transcript:A10p035920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPHHTTQHNTHHHRLLFALFLLTYYLYLFITQKDKRTPRISCPDLLESEVAMSCTSNVLLSPNGCVLASPKPLGRFLSARSVGRKLFVSVVRASSDDPDCNAEECAPDKEVGTVSMEWLAGEKTKVVGTFPPRKRGWTGYVEKDTAGQTNVYSIEPAVYVAESAISSGTAGSSSDGAENTAAIVGGLALIAIAAASSILLQVGKDAPSKPKAVDYRGPSLSYYINKFKPSEVVQASTPILTEAPPVAQEETSPPETTASEAQPEETPSVQATSSTS >A04p014490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5540064:5542050:-1 gene:A04p014490.1_BraROA transcript:A04p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase cytosolic isozyme 1-1 [Source:Projected from Arabidopsis thaliana (AT5G37600) UniProtKB/Swiss-Prot;Acc:Q56WN1] MLLLCYFICQIRVGGSGMDMRSKARTLPGPVSDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHAAAKVFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPIGGFPGPQGPYYCSVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDEIWVARFILERITEIAGVVVSFDPKPIPGDWNGAGAHCNYSTKSMREDGGYEIIKKAIDKLGLRHKEHIAAYGEGNERRLTGHHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYIVTSMIADTTILWKP >A09p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14933573:14936043:1 gene:A09p026040.1_BraROA transcript:A09p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCTRNFFERFCVEEYNIDTIKHSSFLSADLLPSLGARINQSTKLRKHIISPFNPKYRAWEMWLVFLVIYSAWICPFEFAFITYKKDALFIIDNIINGFFAIDIVLTFFVAYLDSHSYLLVDNPKKITIRYLSTWFAFDVCSTAPFQPLSLLFNYNGSELGFRILSMLRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPNPRKTWIGAVYPDFKETSLWNRYVTALYWSITTLTTTGYGDLHPENPREMLFDIFFMMFNLGLTAYLIGNMTNLVVHWTSRTRSFRDTVRAASEFASRNQLPHDIQDQMLSHICLKFKTEGLKQQETLNNLPKAIRSSIANYLFFPIVQNIYLFQGVSRDFLFQLVSDIDAEYFPPKEDIILQNEAPTDLYILVSGSVNFIAHVDGQDQIQGKAVVGDMFGEIGVLCYRPQPFTVKTTELSQILRISRT >A09p001150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:913425:914357:1 gene:A09p001150.1_BraROA transcript:A09p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSNSNDFNMAMGEHMFDKVLTTSDVGKLNRLVIPKQHAENYFPLEEIENGTMLEFQDRNGKMWKFRYLYWSSSQSYVMTKGWVRFVKDKNLESGDTISFHRSYVPDDTEPGKRIKKLYVDWRHRADRNLVHNINHHHHYPLLMPPTYPTAGYYPLSGYSMPHYQRLPPFYHNQFQERDFSGYGYGGPYYARSPLDHHHHYQYGRSEPLVYNSYPVYPTTGVPSSSARLPPYPPPHQPPQEGTAKKLRLFGVDVEESSSSGEARGEMAVAGYSSSSPVVIRDEESSSAMQLSEDEEYKRKGKSIDF >A01p021730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10602664:10604192:1 gene:A01p021730.1_BraROA transcript:A01p021730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLGSSIAIQHHTKSIPLLIRRFSLPSTRKDPDLESALSRNKRWIVNSRLKNIILRCPNQVAPVKFLQKKFKTLDLQGKALNWLKKYPCCFDVYLEDDEYYCRLTKPMMALVEEEELVKEAQEPVLADRLAKLLMMSVGQRLNVVKLNELKRSFGFGDDYVARIVAKYADVFRLVNYSGRKSSMEIELLSWKPELAVSAVEAAAVGNECGGSEASFSCSLPTTWTNPWERFMEFNASPYISPYREPGEVVEGSKESEKRSVGLVHELLSLTLWKKLSMVKLSHFKKEFGLPEKLNGMLLKHPGIFYVSNKYQVHTVLLREGYDGSELVHKDPLVVVKDKFGELMQQGLYEYNRRRYLANLEKKREKGIESVKSVVRKRDGIEHGDDEVDEQENNRGRPGGMFDPEERKRCGLVVPRECNFKLKSDYSLGAAKMLSFRVRIKREELAELNVLSSKNSDWGVYYTS >A08g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18562218:18563286:-1 gene:A08g509390.1_BraROA transcript:A08g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRNAFDALRLGRSSQFVIARLLRFWDSENMKNMYYRTSYSLKETDVAVIGILTHKVTITLHAQVCFNFWVGYAYLSLWKQMKVKSQEQKLAVPEPALIKDLDSSIFDLLTVSRKEPAILMTIVITEKDTKKFELGFS >A06p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15598125:15599939:1 gene:A06p024230.1_BraROA transcript:A06p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSEANDFTVIFTTLAFISLSGNKNRRKRKYRPMESEAWKAHVALVCVQLFNGGYHVITKVALNVGVNQLVFCVFRDLIALSILAPLAYIRDKKTRPPLNRRFILAFFFLGLTGIFGNQLLFLVGLNYTNPTYAAAIQPSIPVFTFILALIMGTERLNLFKLEGQAKVGGTLVCVAGAVLMVLFRGPALFGETEVEFLGHGEIRHPEASGSGHLVSSFLSGIGRWNLGVLCLIGNCTCMAAFLAIQACAPVLKKYPANLSVTAYSYFFGTMFMVTSAFFMTNESTNWSLTRSEFFAVVYAGVIASALNYGLLTWSNKILGPSLVALYNPLQPAASAFLSRIFLGSPIYLGSILGGCAIIAGLYSVTWASYKEKKAAATGTIPITSKEAEPLIYKDHKNKPMGHLFTNIPISSPKSAD >A02p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5828971:5830742:-1 gene:A02p013320.1_BraROA transcript:A02p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSCAFPFCLGSIDFAAMDYELVRSKKSMKNNKWLEHNLEDMDKSVKKMVKLIEEDADSLAKKAEMYYQKRPELMSLVDEFHRMYRSLAERYDDLSSSDLTTELNNNRLGRPPSRRAPGFDYFLGNNGGGGLPSDLYHKDVDDAASVTDSEQEWDDSSSLASYPGYVSVGSDFQSLTKRVADLEIDLREAKERLRMQLEGNTESLALTRVKSESKFVDYPAKLAACEQELRDANEKILNSEDQIFMLKNQLARYLPSELDDENGEGGVDSTQEMDVESLSEELRIASLRLREAERENGMMRREVEKSKSDELRLKSLQNMLESAQKEAAAWKSKSTADRREVVKLHDRVSMLKHSLAGRDHELRDLKTALSDAEEKIFPEKAQFKEEITSLLEEKANRDEQFSELEAQVRYLEEEIRRVTNEKTEEEERLKGEIEVLTMEKAVKEICIETVSNKVSKLESEMLLLEYEMKAKDSKTMEMEEEVEKLRSKLEEVAEEKREVIRQLCFSLDYSKDECKRLHTTPIQGIDH >A07p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8127343:8130359:1 gene:A07p012460.1_BraROA transcript:A07p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ECERIFERUM 26-like [Source:Projected from Arabidopsis thaliana (AT3G23840) UniProtKB/Swiss-Prot;Acc:Q9LIS1] MPRLQEEGSGPVHGFWMSTVGSARPTETGTVHQPTGLDLAMKLHYIKAVYIYSAEMTRDLTVMHVKETFFTVFDQISWITGRLRRRDSGRPFIKCNDCGTRVVESQCDLTVDEWLCVPDRSVDESLVYHQPVGPELAYSPLIYIQMTRYKCGGLALGLSWAHIMGDPFSLSHIFSLWTRALAGEVIYCPQTSGSRRGFPKPNSTGKEPNSIKQVDPVGDLWVAPNKTKMTTYSFKVTVNDIKTNFPAKGFEFEILSGILWKCIAKARGDSELVTITIVRSDPNELKPRAVRNCQMISSVHVDFSVAEADLEEIVKSIGEATDERFWIDEIGERDDGVLDFVVYGAELTFVDLTQVDFYEAKIRETSPVSVYCNVQGIGDEGAVVILPAAKEGERVVTVTLPEAEMDKVKCELKKCGLITPLVNGGG >A09p058140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49090634:49091822:1 gene:A09p058140.1_BraROA transcript:A09p058140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTTFPEGDAEAELNPGQNKSFEVDPVSSEIASDKIQQNLERKENAKAKRDATQTLKKTIIISAVIVAVAGAAFAITKKLKEK >A01p002740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1260704:1262689:1 gene:A01p002740.1_BraROA transcript:A01p002740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDQSCSVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEKCRIGYEERNEWYFFSHKDKKYPTGTRTNRATIAGFWKATGRDKAVYDKSKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENAPPQEEGWVVCRAFKKKPTTGQAKNTETWRSSYFYEELPTGVGSAMKPLNYVSKQKQNIFAQDVLFKQELGGSDIGLNFIHCDQFIQLPQLESPPLTNMKRPMSSTSITSSENIHNKYKRPLIEEDELINSQNKDKRKRTSVVTTDWRALDKFVASQLMSQEEGVLDFGGHKEDGSNKIGHCNNEESNNNGIEMASSSLLSDREEENRFISGFLCTNLDDDLYRDLHV >A04p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:213770:215824:-1 gene:A04p000430.1_BraROA transcript:A04p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPLGVVSQLNLQTTVKTESSTLAEPLALGPPNAIYIIEDSQHVIASRRVAIVKRKEEAVMVITTSSSTILIGEEGVEIHRPSEESTPTHSHRRRWSTPIESGVESLDERLTFRSLTDHDGVEQRGADDFYLGGEISAWGPFGPPLLKESRPVAPPQMPLPKKTEAVVELRRGRSVTRKRDLPPYLTTLDCNGRPRFHHRRVRSEGRLEIASVAVSIPEIVSVRGREGLRIGTEAENFSLVLPNKLMEEEELGKAATPRAKKDVAPGRVVDTYAAQCDACHKWRVIGSQEEYEDIRSRVLEDPFTCEKKKQVSCEDPADLDYDSSRTWVIDKPGIPKTPKGFKRSLVLRKDYSKMDTYYITPAGKKLRSRNEVASFIETNPEFKDAPLGDFAFTVPKVMEDTCPPDAKLASPIPTTDDVSEKSIKPKPFKG >A02g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17412865:17417452:-1 gene:A02g506040.1_BraROA transcript:A02g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDVPRHQSFPTKLSRLKRIITFLIFGQASHLRPNGYFLLFLILFLISSIVLLKDRRDAMEYYSEEEDSFDSSQCSDIDETDQAWSSKEDGCERSCSADEYSSSEYGDDPGEESPEPKPPDHSQGNTRFYKKGGCRENKSWSIDTDSEISMGEEDECDPYPTQACNRLKKALKPASYGVTSYIVSVQPKPLSARKTQSTTAATKRQDRTGRGSIPDYLVFSGSSKDPEVYLKWEDDMKQWLRAKSIPKEEKLSYALDMLIGKAYTWWKQEDAQTYYSNPVLDWGDLKARMYKEFVRKFRASNKILTRPMYQENQWSSMSTPKARPAADKRHAHSPDPRKSLSTSKKADEVKKLSPAKKYQGWTSTTKKHHHQATSRKEVSNLKPESASMPMSSHGLKPKKVTSSVPTLHKGAMRSSQTEKFQERPIPTLLMGSQRIQEVCQRSKGTLNQQENIRSQGKSSNSKNLTDQTCYRCHRRGHFAAVCPSKKLKETSLGEKTEISKISDSLIQSDLLVSNACIMHLSMPKGVNTGPKEHESIEEEPPGEILEMDQNKAQDITQHMFPKEINSEASILPNPTSTTPGLETQKKFTAQRQRTPVLVLGTSLDLNKRTDSLVPLKLSNSGFMHLSLPKSFDPGIRQGDGRPSHGKRLKENQGKHLTCPRNVEGDARSIKSKQAAKEQNILQLAKTIWVNLNFTCLIYKFSNPDIIHLFPAKSVEFISGTEAKHHIDDQRKEITKCLHAKRKQEVVLSNLLILDVPEDKIPPSRVPDQNRGVASSFLLREEPSDDMRSVKTVCLTNQEEFGNETNFHAFNTQQGVRNNWNHLQSYSYQEDMNFTNPRFSNPSICEYPSLEVVSSPKKKRSDPKQNVYFKIDLLSSQQAQEEEKRPGKSQDTIILPEPVKPSNLWNDWTINWSNCFQTELNQPGRILHDPRLPEYTSNRPEEPPLIFPYTSKHRISRIFIYNNLPYRYVFPKRSSEDQQLYCFDYCLLNTFRMNLDVPRHQSFPTKLSRLKIIITFLIFGQASHLRPNGYFLLFLILFLISSIVLLFLSII >A02p035350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19317782:19319436:1 gene:A02p035350.1_BraROA transcript:A02p035350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVHIHVPWRLGFQERGDHYKRAMESSSKGENPLGVFLGRAEADAKVLMGKRHVWLFPFLIFSFCSPSSLPLPFRYVRSSRSGLAFPVEAPVARSLHLVEVAPSPDLRRGLGERGFVSRLVRWCSAAPNLWLCACVGYSGSYLGSLRVGEIPCLVLFLVCLPLVLSRVVVYGYKGFPSCPKTPSSSLSRLLVQFIPCVGDGLLSRYSLALHCGITLRWLSGLFVSWLRNCSLVLKECGCGDGSRESLVVSESTSSPSSHPGSVVLVLSQSAVVRWMAEASACSFRDVVQTSCGVTSFRLWWHCIALIEKVTCLWRSSGTSYSRDVKGNPGIRGNEENLTFVKIALMVENSYRYRRISKNKLVEYAGFIG >A09p051620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45469134:45472970:1 gene:A09p051620.1_BraROA transcript:A09p051620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEFVCKFCNKKFPSGKSLGGHIRIHSPQSSVHSDGFIGKNNNSKKRLVDQRALASHNYEGKKMEMDTQSASETTTTTSSGPTTMIKRSKKQSSSESFSTVSWSSDPGIDQGSKDLMFLHLGRKDFNLPVNSLVPDSSENNSEILETKSSSGELLKTPDKVAVGDDSDNGSSDSDYFMSGPKKSDVSVGGSLRNTGVGSGFNSFKNGDELGVKEGGSKHELGKSKRVSPSYGSDSYEDKKNSKFHRSSDGGMVKKASGGDKNSKGHECPVCFKVYSSGQALGGHKRSHTIANQAQQRAKRNAADMQFGLYLPAEEDTMSTSHMNRPFLILLLLFVLLLLSTSLTAEENRPTSRNFPYRTHRHVPSVHHPYHVSPHGSCDSFSRPYARSMCLELQRIHRNSRKQPFSLPPPPPEIDPRYGVDKRLVPSGSQVNKRGLLHSPKPDLCQKVSSFELLMIL >A08p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2492860:2494487:1 gene:A08p004320.1_BraROA transcript:A08p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPESEALNNLLKSNGLHENLAKIYISNAKLTKTVQVLVKSHIGENKRLLVVYISLAHSHCTSSLVTRACNPSGDVKVMGHWADLFGPDSERLSTGLPVPKRRRLREAGFTEQRPPPAFDGGRITGRSSRKLASILLKPQPLLLLLLHQLPRPPLLTLLLHLPLKITDMRLVYSRVESKVNGFGTKLR >A03p068720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30090354:30092788:1 gene:A03p068720.1_BraROA transcript:A03p068720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSKFSPLPLLPIPNDDDEEFSYSFQTDISFANEYTGPSINVPRANPLKVDEIPTAHPLPSSSWFSGLSYHVVQPLVSVTKKPPDASPSVVLRLHHVVSGSSSSSSPSESEVNANRVRFVNECDESSYMTDENSVAAIPRAERKGKKGSSYRCLMGNMFTEKEVCIVCEPKSLSPIELSLGKCSRMLKHLLTDSELQKVMHDEVTCKANQLYAQLISVNGRPLSEEELDKLQTCPYPPKKLKPGDYWYDKLATYWGKVGEKPCQIISYNMNIGGAIMEKAINGDTEIFINSREITKTELMMLKESLIFRSMQMELINRKVRTVSWGTSGKRGRFACDVLSLPFPQTSSAVEPTDEHPIYNPKMLNRLLLIGNEKCGATTIYKQARSLYEVPFTKDELEKIKFIIQTNLYAYLAMVLEAHEEEMDTNQADTEGETSCKTVSSMSPRLKHFSDWLLKEKEEGNLKIFPASSRENAQTVADLWRVPAIQATYKRLRDTLPRTAVYFLGRIFEISRSEYDPSDIGYIKS >A01g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2521356:2526447:1 gene:A01g500670.1_BraROA transcript:A01g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVGTLLSLLPPSTRTVRVRSVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANCYAFYTQEGVQDNWNWVKTITEKEVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKTITEVKRSLASFHKAQDQEKWPRKLGVMINSPEPAKPTSSMKSLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHSGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLYYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNEPDRPSSSPSRPSSRSIAVRPSCPEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDIKTGPEIQKDTNSTSLLRSKVVHDLSPRDKEILNPKEEAPSSQGKSSKSEDLKYQTCYRCRKKGHYAVVCPTKQVLIETSLEKKTDLSMKSDSFIQSDFLVPNSCVMHLSLSKGVLTGIKEHEFKGEEPPGTTPVMNQEKVQDTMQSMLLKEAKPVNKVSNQGKCQTPPRETGIEVCVLDVESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKETPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKFKSRGEGLNHEANFYAFYTQEGVQANWNWVKIITEKEVMNFTIQRFLNPSICEYPTLEEGSSSMKERHEAKPIT >A09g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10008303:10008957:-1 gene:A09g503040.1_BraROA transcript:A09g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLRPRCKGFWFLPTSLLQRSAHEVSFGCGVRQSLESRRGVRRVNLLRMFLSPASVCYSSIRRLKGRCRRWWRVRSSVSWCLSTESHPAGIWLVFVVFVLVGCCLVLSWFAVIAWSLKIFLSVLVGFLNPLVVVVLKRGESWVSRGYS >A06p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5150396:5153505:1 gene:A06p002450.1_BraROA transcript:A06p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINPKPQSSLLTFILLLLLTSSAYSSPNHPGSDEESDDLEQLLAVDEQLQQDLPLQHQQSEAETVSRAQRIVLELSGDNARRVIGGNEFVMVLGYAPWCARSADLMPKFSEAATALKEIGSPVVMAKIDGDRYGKAASEMEIKGFPTLLLFVNGTSKAYTGGFSAEEIVIWVQKKTGAPIVTVNTVDEAQRFLKKYHTFVVGLFNKFEGSEYNEFVKAAKSDDEIQFVETSDSEVAKLLFPEFKTSDVFIGMVKTEAERYTSYGYFFAVDDDKSYVVHVAGSYKMENILEFLSKNKFPLITKLSESNTAWVYSSPVKLQVMIFAKADDFQNMAQPLEDFARKFKSKLMFIYIDITNENLAMPFLTLFGIEHANKTVVAAFDNKLNSKYLLESDPSPTNIEDFCSGLADGTIPQYYRSEPVPDNENASTVTVVGKTFDELVLNSQENVLLEVHTPWCVNCEAMSKQVVKLAKHFKGFENLVFARIDASTNEHAKLQVNDYPTILLYKSGEKEKPLKISTKLSAKDMAVFINEELKPRGGSAKDEL >A03p031320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13200100:13207874:1 gene:A03p031320.1_BraROA transcript:A03p031320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNSLAIFLVLNILFFALTEAARSGCPPGPYKPKPTPPAIQTCPKDTVKLGVCVNALNLLNVTLGAPPVKPCCSLIDGLVDLEAAVCLCTALKASILGININLPINLSLLLNFRYKKMASRTSLALFLAVNLLFFTYTSATCYKCIPTPATPTTPTTPSTPSTPSTGSCPRDSLQLGVCANVLKLVDLTLGNPPVKPCCSLIAGLADLEAAVCLCTVLKANILGIKLNLPINLSVLLNAQQEKHRTIELQTDPQIQSTATIIINTDAAWKEETKTAGIAWIFSDVNGKPFRQGSGTEEWVSSPIMSEALAIREALLQAQSHGISTLQSDRTPRY >A03p037830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15811512:15816267:-1 gene:A03p037830.1_BraROA transcript:A03p037830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein recA homolog 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10140) UniProtKB/Swiss-Prot;Acc:Q8RY99] MILTMKLLHPLSSFTTLPPKTRQSKLYLVGQSEKGNVLKGLDLGVEGMRVGENERLYINSKRLVIVPPELAYGKKGVQEIPPNATIEETKLCFLVDPVFYQDANVDIRWDMMNETDGSYSANITISNYVMDHHLEQPWGLAFKWVQRESLLSTLGYEGTPHGFISFGEGEADTYCLNNVTFMDSPRQTDRNCIGGTVIIPRLEKAHLAKSSSSFQVSVSHSNRGYRGQLPSDVTLMTTRGFEYESECDSMEQDDVHSLHSQSYQPSLKSLRQGGVAASVGTHAAGRKNRDMGRLSLTSSIQRFRFFSYLSQHNVRRGVLACSSYGSHYLSSLAEASDFELDESPEDDTNITEKDTNLRSALSQLSVSGIFDQDSKLWLQRFSRTRRVSVISTGSLNLDLALGVGGLPKGRMVEVYGKEASGKTTLALHIIKEAQKLGGYCAYLDVENAMDPALAESIGVNTEELLISRPDSAENMLSIVDVLTKCGSVDVIVVDSVAALVPQCEVGVPLGESYRDRQSVIMTQALRKIHYSVANSRTLIVFLNQVRSHAKANMRFPHSEEVTCGGNALRFQAAIRLKMIRTGLIKTDNEVSGLNVCVEVVKNKLTPGKKKSELGIHFGRGFYVEREVLELACEHGVIAREGNSHLIEGEVVDGKDAAEKYLLENKEVLDTVISILRKQLF >A03p000460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:309538:311303:1 gene:A03p000460.1_BraROA transcript:A03p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRNPFHHHEHQLYHLIQQQQLPLPPQSTMAAMDSGGAGGGERIPQWSIEETKELLGIREELDQTFMETKRNKLLWEVVAAKMADKGFARSAEQCKSKWKNLVTRYKACETTEPEASRQQFPFYSEIQSIFTARMQRHLWSEPTEGSTSSKRKHHQFSSDEEEEDQVEEPNQDINEELLSFIETDKKEAEVITTSTSTDPRKRVKKGKGIFTGSTKAETAGSTLKEILEDFMRQTVKMEKEWIDSWEMKEREREKREHEWRRRMADLEEERAAAERRWMEREDERRLREEARARKRDTLIDALLNKLNRDQNDDHYQGF >A07g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:704305:708638:-1 gene:A07g500310.1_BraROA transcript:A07g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEKVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRVKSSVNANASDVEARHKSEAHASTQPEHPENSRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPQDDPLPCHHRENRSGPPRYQEKPGWNPRTLESSLVGLRPPKTSARTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A06p049300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26060862:26062673:1 gene:A06p049300.1_BraROA transcript:A06p049300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRTEEENHQEYKKGLWTVEEDNILRDYVLTHGKGQWNRIVRKTGLKRCGKSCRLRWINYLSPNVNKGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNHWNTHLSKKIVGDYSSAVKTTGEENDPPSLLITAATTSGHHQQDKICDKSFEGLVSASYENKQKADLTHTNDSSLYFKERNNFDSSNAFWFNDDDDFEMNSFAMMDFASGDTGYCL >A02g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15833800:15839441:-1 gene:A02g505060.1_BraROA transcript:A02g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYADGKSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYACVTF >A02p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2233921:2238074:1 gene:A02p005160.1_BraROA transcript:A02p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLIVTVTIALLLHITSLVSLAKECTNTPSQLSSHTFRNELLRSNNESLKTEMFSHYHLTPTDDSAWSSLLPRKMLREEEDEYSWTIMYRKIKNSDNSSGSFLKDVSLHDVRLDPSTFQWRAQQTNLEYLLMLDVDGLSWSFRKAAGLVTPGDPYGGWEGPDSELRGHFVGHYLSATAYMWASTHNETLKEKMASLVSALSACQEKVGTGYLSAFPSSFFDRFEAIKPVWAPYYTIHKILAGLVDQYKLAGNSQALKMATWMADYFYVRVRNVIKMYSVERHWQSLNEETGGMNDVLYQIYSITGDSKYLLLAHLFDKPCFLGVLAIQADDISGFHSNTHIPIVVGSQLRYEITGDPLHKEISMFFMDIVNASHSYATGGTSVGEFWQDPKRLATTLQTENEESCTTYNMLKVSRNLFRWTKEVSYADYYERALTNGVLGIQRGTEPGKMIYMLPLGKGVSKAVTYHGWGTPYDAFWCCYGTGIESFSKLGDSIYFQEDGESPALYVTQYISSILDWKSSGVLLSQTVKPVVSWDPYMHVTFTLSSSKGGIGKESTLNLRIPVWTNSETAKVSLNGQSLKVPASGNFLSIKQNWKSGDQVTMELPLSIRTEAIKGVATVLNLHYNALNQLILSTSDDRPEYASLQAILYGPYLLAGLTSRDWSITTQAKDNIWISSIPETDNSHLVTLSQQSGNTSYVLSNNNQTITMEASPAPGTQAAVAATFRLVTADLKRMILGPEELIGSQVMIEPFDFPGMLVKQATDSSLAVQGSSSDKEASKFRLVAGVDGKQGTVSLMLESKKGCYVYSDQPMKAGMKLRLKCDSDGSDEKFKQAASFVLRKGMSQYNPMSFVMNGVQRNFVLSPLFSLRDETYNVYFSLQT >A06p019430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9517611:9519551:-1 gene:A06p019430.1_BraROA transcript:A06p019430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLITVTNMRHVMSLQKQSNLSFFLFILLILIFLSSSLLTERNKTFSKPWTMSMLMAAKSTSLCYSNPSISSRTKQNPRAVRYAYPTVRMQSRVHRLIEEQGAVLIPGVYDALSAAIVQQTGFSAALISGYALSASILGKPDFGLITPPEMAAAARSVCSAAPGIPILADAGKFGLFDIFFADTGGGNALNVQRTVKDLIAAGAAGCFLEDQAWPKRCGHMRGKEVIPAEEHAAKIASARDAIGDSDFFLIARTDARALSAKTGLSDAIDRANLYMEAGADASFVEAPRDDDELKEIGKRTKGYRLCNMLEGGRTPLHTPDELKEMGFHLIAHPLTSLYASTRALVDVLKILKEKGTTKDHLEKMITFEEFNRLVNLGEWYELETKYSNLRNALGTNN >A03p044090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18431152:18433939:-1 gene:A03p044090.1_BraROA transcript:A03p044090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRELQYLEYTYRNNRPTTGLLNSIFMTTVNTAARSLVSVASTASTPDLASRRWSASDQLSFASGLLTAVAENALVPVSAPSSSSTSSTALVKYSGSGNLSMMMADGVDAPSVSSLGRALCHVLALMNEIPVTSRKYHFTMGMAEKIMEENALSCNAELLDVNRTALASSFARTTARLQDSLKRSRTADESFGGLPLRLVSALPLGGYVASSVRGLTTAINTARSLADMAGNLLSQSKRRESALVRAGGSQENEVELAVEKLAEELLWMTEKLRRYGAIEEGIKRWSYASGLASLSLTAAPRVQGLMVKISALLIGELARDTTQVPGQVKFRLLANWLPLFSHARNGLAFPVLTGYERVEVERAIDKAISTLPALDQEILLTNWLQDFSVSASEWPDLTPAYDRWCHSIRQMAV >A06g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24587570:24590021:-1 gene:A06g508690.1_BraROA transcript:A06g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSNISVSASVENLITKYCKIKGVWEDLNEVLFDFIKTGFMRRVESGAVESRSTATNAGGYNGRPNQQSTGRNEVKGKGIDYEGGKQAGLAKGGPVRKYRDQGRSTTRYVRQAGYLPPQELRDSYAIATGGINGLKNQEVGSHLDAQQKLMLDAFKSGGKGEGSESKARKALLFEEEIAGEEHLETTGEERVVGPQVQEVMETLALPKELSREVAKSMGEVAESKCSGVENGSNHVEQRGNKVEEVIPEMVAGLDDEEDNLEYEMMEDGEDDVALDQVVSDQTFSNDVEMVTEGITHLEEGLPTDESAELAGEKEHQVPKKKNGKLNAAVMGGNAKKRLVQGLVSPRKKVMAKQASKGVEKGPAHTKKASVKPKSDQD >A09p083220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59954566:59955971:1 gene:A09p083220.1_BraROA transcript:A09p083220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 33, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02280) UniProtKB/Swiss-Prot;Acc:O23680] MGSLVREWVGFQQFPAATQEKLIEFFAKLKQKDMNTLTVVVMGKGGVGKSSTVNSLIGEQVVRVSPFQAEGLRPVMVSRTMGGFTINIIDTPGLVEAGYVNHQALELTKGFLVNRTIDVLLYVDRLDVYRVDELDKQVVQAITQTFGKEIWCKTLLVLTHAQFSPPDDLSYETFSSKRSDSLLKTIRAGSKMGKQQFEDSAIEVVYAENSGRCSKNDKEEKALPNGEAWIPNLVKAITDVATNQKKAIHVDKKMVDGSYSDDKGKKLIPLIIAAQYFVVKMIQGAIRNDVKISGKPL >A05p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10310368:10317028:-1 gene:A05p021720.1_BraROA transcript:A05p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVELSSLEELTLNAEQIQDDLLEKILRLNSNTEYLRRFLHGSNDKELFKKNVPLANYEDVKPYIERVANGEPSDLISGGPVTRFFRSSGTSGGKQKIFPVNDEAIEQLGYVLALRSLVMSKHFSDNGVEQGKTMEFHYTKPESTTPSGLSVAAAFTSFFKSDYFKNRPSKSQYTSPDQVILCPDNKQSLYCHLLCGLSQRDEVVRVGATFAHALVRAIDFLESNWKELCGNIRLGHVSEWITDLGCRSSVSAIIGGPNPELADLIEQECSHKSWEGIVTRLWPKAKRIECVLTGQMAQYIPILDFYCNKLPLVSTVYGSSESIFGFNVDPLCKPQDVSYTFVPNTSYFEFLPVDHEGDMTSIVDLVNVKLGCYYEPVVTTCFGLNRYLIGDILEIRTSKEYGFKCKTETTTEDDILKALARATFVLESSNLMLLDFTSSADISTFPGHYVFYWELKGKEVNDVLVLDEKTLMGCCYVLEESFGSTYRLKRRTGLIGALEIRVVEQGTFNSLTDYFISRGSSRAQYKTPLCINSSEALAVLENKVLARFHSENGGGRCKERRWSHGGSELGIVWVTAVEVMVATARSTPEGSWIRGCEGRRRHEFAANVERVTSNRSCAVL >A07p048410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25866092:25867650:1 gene:A07p048410.1_BraROA transcript:A07p048410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLPLIFLMFWLSAGVESTSFIMENKCEYTVWPGLLSNAGVPPLPTTGFTLQKGEQRTITAPASWGGRFWGRTLCSTDTAGKFTCATGDCGSGTLECSGSGATPPATLAEFTLDGSGGLDFYDVSLVDGYNVPMLVVPQGGSGQNCSSTGCVVDLNGSCPSELRVTSVDGVKQSMGCKSACEAFGTPEYCCSGAFGTPDTCKPSSYSLAFKNACPRAYSYAYDDQSSTFTCAKSPNYVITFCPAPNTSQKSSQDQSPDTKPTTPPGTSTTPAGGSTTWTPEDTSMIYEGALDQSKASPSSYRLSLYGITVTLALAFCRMWWLF >A07p012670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7995002:7996049:1 gene:A07p012670.1_BraROA transcript:A07p012670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan endotransglucosylase/hydrolase protein 16 [Source:Projected from Arabidopsis thaliana (AT3G23730) UniProtKB/Swiss-Prot;Acc:Q8LG58] MGQFLNLTVLVTVLVLTTVGTAYSGSFKEEFDLTWGEHRGKIFSGGKMLSLSLDRVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSEGPTHDEIDFEFLGNETGKPYVLHTNVFAQGKGNREQQFYLWFDPTKNFHTYSLVWRPQHIIFMVDNVPIRVFNNAEQLGVPFPKKQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRGFNAAACTVSSGSSFCDPKFRSTFADGESQVPNELNAYGRRRLRWVQKYFMIYDYCSDLKRFPQGFPPECRRSRV >A06g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24259809:24261996:1 gene:A06g508600.1_BraROA transcript:A06g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPNGKSPVSSVNDHEVMFFKDISRGPHYNPVKISQKLKVIITRRDGAVHVLRQGFSVSGKPEMLRKVVSSWAVDGKDPSKHVFHLATDKLKFGTMNMWFLLNSPGKATIHVENVNSLMQHMRLNSTLAMRSVLGGNHNPADDTPGATCVFCKSSEGMKLTGSYWPCS >A08g510470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23725268:23725539:-1 gene:A08g510470.1_BraROA transcript:A08g510470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNMMSSVEAKKSANPSPTQVKKTDGERNKPTKEAVEKAKKEMNKMKMDIALGREVEEKNP >A03p049990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:21468138:21469688:-1 gene:A03p049990.1_BraROA transcript:A03p049990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PNM1 [Source:Projected from Arabidopsis thaliana (AT5G60960) UniProtKB/TrEMBL;Acc:A0A178U8V9] MPPPLPSLQLRRLLLRTFTSSSSSAPPLRIIPPTTSFPHPPPPRFPLFPSRLFSSETNAADPQTPDPTQIALSFSKELTGTPEADPHSISQRFHLSFSHIAPTRDLILQTLNLSPEAGRAALGFNAWLDSNAAFSHDDETVSLFVDYFGRRKDFKGMQEIIAKYKGVAGAKTLDSAVDRLVRAGRAKQAVEFFERMEIDYGLKRDRESLTLVVKKLCEKGHASFAEKMVKSTANEIFPDEKICDLLISGWCAAEKLDEATRFAGEMARGGFEIGTLAYNVILDCVCKLCRKKDPFRLQAEVEKVLLEMETRGVPRNTETFNVLFNNLCKIRRTEEAMELFGRMSEWGCQPDAETYLVLIRSLYQAARIGEGDEMIDKMKSAGYGKALDKKEYYGFLKILCGIERLEHAMIVFKNMKADGCKPGIKTYDLLMGKMCANNQLTRANGLYKEAARRGVAVSPKEYRVDPRFLKKKSKEVDSNVKKRETLPEKTARKRRRLKQINMSFVKKPHNKMRRRM >A09p057800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48586858:48587213:1 gene:A09p057800.1_BraROA transcript:A09p057800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGFMAVLAVSGSVVFVASQFHKHLLSDYLDKFELEIRSPENAVMKKKVRFAADVVEPSGNNKEYRRRHSSKAKFNRELKMAANI >A06p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21599720:21600738:1 gene:A06p039930.1_BraROA transcript:A06p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSNFRSDVHFYKDKKRHVITTQSEHTWLDLQRLKEAIRPDAGLVSTEAEDKIHIIPLMNGGGQERGTLATQQVFGFGVAWELKIWRSMMRSGLRGGSLLMGLKEVAVSSASACTSVSLEPSYVLRALGVDEDMSHTSIRFGIGRFTTEEEIDKPVRAYG >A04p035650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20857727:20858987:-1 gene:A04p035650.1_BraROA transcript:A04p035650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYTSQIRSVINGPIMFESEAAREAFLERNSRPQFRMSVEGFNPYRPEDEIRRELVNHFESCGEVFRVIVPTDPIVDRRAFVILFGHDAEEKALQLNGSDIGDWNALVKSAPMDQEDEYLMAQRYKKSLVDALVNDKKFKIGIAVWGYDTSLPEDEVESVLRRHFSSCGGITHVYIYGKRANIYFSEEHEETSALGLHRSEVSGFRITTRRLATARRNHSLAPGQSSRRIGYTRPAIMIEFAPEIGRKVKAFKKIKRIVKKVMALRRMKEAGKEKVMASRRIK >A03p039890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16596336:16597787:-1 gene:A03p039890.1_BraROA transcript:A03p039890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEVQVACAAGPTDDTASVHFEDPQLIWGGTMRLGSRRTHFQVHDCMSAKLYGNAKFVDERHRHRYEVNPDMISEIEKAGLSFVGKDETGRRMEIVELQSHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGCLEAILQASGKLNKVSTDSVANRPAMGRVYQNGNVYSNGNGLHH >SC182g500050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:48846:49262:-1 gene:SC182g500050.1_BraROA transcript:SC182g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRCLIHGLTSSRLTLSAHMSLSMLRSQVSRHLVLLGVNQHAVLSWASTPPASLATYHARQVTSRPYLIDKASSSFSVHSTDFDPSGEFSSRDQSRIFFYARSDEMNIFNKLQVNPDLDGKYFSSLRLLRKIDNTQN >A02p022630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10823821:10824569:-1 gene:A02p022630.1_BraROA transcript:A02p022630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNQITVSRREKRERSKVDLLVVPVSGRRVCARAVAGTAVLSYKSFLKASLSYPPSSPCPTSGSGLIHGAWWSFVWSEDAVVGRFSMEERRFVEALFAPPPSVLSSGGGGLPSSVVAVLSPEGGGYSSSTLPVWNPLEIWVLAVKVRLGFCSCRLGWKRDSADEILEEDEALLGGPDESAVCPMTLSVEDHREGRCFVAGYEIRRNEARLDGSDGVSRRRRSG >A05p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10951193:10952706:1 gene:A05p022760.1_BraROA transcript:A05p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGKGILLEEDDDEPIQLPDQADEHLIREYNLSYKEGTSSYSRVKQSSHSSRVNRATNPRNSRYNPYSYVRKQDTAGRYQNASKERVWKEKQLRPLKINHQTRDTSSGSTGEGTKPRSGITGSIEPKSRTATEQPLIDINVTFRSNSSTRTLVSYVEATTEDLIPPYNALNAAMNECDNDNEEGDAADADMEEPIGNELMIMEEMTCWEKIFKPKNADKDEADENAAEKTVTRSITCSLFPTLQLGNQRRASP >A01p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1330771:1332632:-1 gene:A01p002880.1_BraROA transcript:A01p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVIKKFAGGGGEAITILPMDPTSSSSSSLRWRILRKALINRSDSQSQAEIKRVSRKATQGFNLIPCQLVASALEDSREACVCYTIPIPPSPKLYLTQRVDNCSDLNDFEISNRHNIDNTGLVCQWPSEEVLAYFCMSQPDRFRGKRVIELGSGYGLAGLVIAATTEASEVVISDGNPQVVNYIKRNIESNSMAFSNNTSVKAMEFHWNQHELSELTNTTFFKEFHKDLASIIKVLLKANEPSEALFFSPKRGDSLDKFLKEIEDIGLHYVLTEKYDDQVWKRHETLVKGDDESWPSYDKSHWDVIFKWGGFES >A03p063110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27406023:27407206:-1 gene:A03p063110.1_BraROA transcript:A03p063110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIICYGRNTMEARPVQRSGSMEIINIARTTSTGEESFIRSENNNTHLMSKLSVQTHRLISSSNIGAVGHICSSTSSGFSTNLHYSSTVSHEKHGSSSNDTSWCHDSLHGGRFLDLPEASHVDDGGIGSAFDDIQKRNDWHESADHLITDEDPLLSTNWSDLLLNTSFHSDSKVQIPPQAHQTVQQQPSLSVELRPVGTTSSSSNNGTGKAHMRWTPELHEAFVEAVNRATSKGALKIMKVEGLTIYHVKSHLQKYRTARYRLEPSENGSSEKKLTPLEHITSLDLKGYYRGSETSDGNAEPTP >A09p063410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51501809:51508086:-1 gene:A09p063410.1_BraROA transcript:A09p063410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKENTEVAAAVPMDKGGEILKPRTDKREYRRIVLKNSLQVLLISDPETDKCAASMNVSVGSFSDPEGLDGLAHFLEHMLFYASEKYPEEDSYSKYITEHGGSTNAYTSTEDTNYHFDINTDSFDEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDSWRMRQLQKHLSREDHPYHKFSTGNMDTLHVQPEAKGIDTRSELIKFYDKHYSANIMHLVVYGKESLDKTQCLVEGMFQEIRNTNKSVPRFPGQPCTQDHLQVLVKAVPIKQGHKLTVSWPVTPSIHHYEEAPCMYLGHLIGHEAEGSLFHALKHLGWATSLYAGEADWTMEYSFFDVSVSLTDAGHEHMQDIIGLLFRHIKLLQQSGVSQWIFDELSAISEAEFHYQAKIDPISYVVDISSNMTIYPTKHWLVASSLPSKFNPEIVQKILNQLSPSNVRIFWKSNNFEGQTDKVEPWYNTAYSFENITELTIQEWVKSAPDVNLILPTPNVFIPTDFSLKDVKNKETFPVLLRKTPFSRLWYKPDTKFFKPKAYVNIDFNCPLAFTSPEAAVLSNTFTAGLDYGLSISDDGFELYLVGFNHKLRILLGAVMQKIAKFEVKPDRFSVVKETMTKAYQNYKFQQPYQQALSYCSLVLQDNTWPWTEELDALSHLEAEDLASYVPMLLSRTFVECYIAGNVEKNEAESMVKYIEDVLFSGPKPISRPLFPSQFLTSRVVELGKGMRYFYHQEGSNPSDENSALVHYIQVHQDEFAMNSKLHLFSLIAKQATFHQLRTVEQLGYITSLSRSLKAGVYGVQFIIQSSVKGPGHIDSRVESLLKDLESKIYKISDEEFKSNVTTLIDMKLEKHKNLSDESYFYWEQIQNGTFKFNRRDEEVAALRELKKEELIEFFNEYIKVDAPKKKSLSICVYGSQHVKELASDKDEVTPPFIEIEDIVGFRKSQPLYGSLKGWNQLKL >A03g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28352684:28353434:1 gene:A03g508100.1_BraROA transcript:A03g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGSVPEEWANLTPDCLFTIFSKLTVEEMLEGPLRVCKPWSDTGNKSVFSVFDVGSRTVEPFDWTDMEEKMDALLKVFADKSDGGLKSIKIKLCTDQSISYVAEKCPVLEVLWLTTCPTVTDDSMLKIATKCNKLKHLRIRQAPGITEKTLEMVKKNCRDVVIDLQD >A08p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11934108:11935031:-1 gene:A08p017070.1_BraROA transcript:A08p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEKNQRVLKKKAKKIEDMLTSIESKRNEDEKYRQWNDFDYGRDHGKDREMVEAEKDKKKAETGKKNSENGEKDEENSGKDEEDEENSEKSEKDEENSEKGEKNVEESDEKDSLLRLHERVRVQAEEFWRTTDDESNDEKKAEKEAEKEVQEEKEAEKEEFKGTPTSTGVVIITPCGRTKEADARKVNTTPPEIVVVRKEKTSEQEAMVTEQEAIQIEIVELAEKEAKVEVIHTEQEVIQTEIVEKEAEVTEKDVEVAEKEDQDVDEEEEKAEERSFSSSSSTS >A07p003380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3303539:3304370:1 gene:A07p003380.1_BraROA transcript:A07p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDESGNEGDGYTMSDSPLARWRIEFSKSFQNYLDRSAPHLVRRWLVTLIAAVIYIYRVYYAYGFFVVSYGLATYILNLLIGFLSPKVDPELEALDPASASASASSESSKDSDEFKPFVRRLPEFKFWYAATKAFVVAFVMTFFSFLDVPVFWPILLCYWLFLYFLTMKRQIVHMVKYRYVPFDFRKKRYGGNDKPSSSNSPQGDENTAQT >A09g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18357149:18358330:1 gene:A09g505960.1_BraROA transcript:A09g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit H, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG01110) UniProtKB/Swiss-Prot;Acc:P56753] MKRPVTGKDLMIVNMGPHHPSMHGVLRLIVTLDDIGAQTPFFYIFREREFVYDLFEAATEVVEYQKLITRNPIFLERVEGVGIIGGEEAINWGLSGPMLRASGIPWDLRKVDRYESYDEFEWEIQWQKQGDSLARYLVRLSEMTESIKIIQQALEGLPGGPYENLESRGFDKKRNPEWNDFEYRFISKKPSPTFELSKQELYVRVEAPKGELGIFIIGDQSGFPWRWKIRPPGFINLQILPELVKRMKLADIMTILGSIDIIMGEVDR >A03p061780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26815054:26818774:1 gene:A03p061780.1_BraROA transcript:A03p061780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSKIKSVDFYRKIPRDLTEATLSGAGLSIVAALSMLFLFGMELNNYLAVSTTTSIIVDRSSDGDFLRMDFNISFPSLSCEFASVDVSDVLGTNRLNVTKTIRKFSIDSNLRPTGSEFHSGEVLSHVNHDEAGEEVVEDSVSLTSRNFDTLLHQFPISVVNFYAPWCYWCNLLKPSWEKAAKQIKERYDPEMDGRVILAKVDCTQEADLCRKNHIQGYPSIRIFRQGSDLKDNAHHDHESYYGDRDTESLVKMVIGLVEPIHLEPHKLALEDKSDNASKTLKKAPSTGGCRIEGYIRVKKVPGNLMVSARSGSHSFDSTQMNMSHVVNHLSFGRKILPQTFTDLKRLSPYLGQSHDRLNGRPFINQRDLGPNVTIEHYLQIVKTEVLKSNGHAMVEEYEYTAHSSVAHSYYLPVAKFHFELSPMQVLITENSRSFSHFITNVCAIIGGVFTVAGILDSILHQTMTLMKKIELGKNF >A09p001920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1448998:1455405:-1 gene:A09p001920.1_BraROA transcript:A09p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRSSSSASKRFCSSSSPEPSASSPRPSKRSKVKIDAASTAIESASAAAEPAGSSSASEVPIENQGPGSDPGSESGEPELRSSDPQQGVDAAEKPVVLTDVPLREASPETDANPEVDVLATPTVAEEVVADGEKSKAGKKRAKAPWAKLLSQYPQNPHRIMRGPVFTVGRRGCDLSIKDQSMPSTLCELKQAENGGPLVATLEITGNGVLVQVNGKCYQKGALVHLRGGDEVIFNISGRHAYIFQPLKDENQAAPDRAPSQILFEARGGRVHSETRAGESSAVDGASILASLSKYRNLHLRPPIAKSAKRQQNPEVPQEPPSCNDCTSDTEMNDADSNNDHAAAITSVEKTAASTSYTANENLNADGSGLDPFQEADGGNPPASGYEIRPILRLLGESSSLDIRGISKLLDERREVRELLKEFDISSTISTRRQAFKDSLRGGVLIAQNIDVSLDNFPYFLSATTKDVLIASMYIHMKGGSKFAKYASDLPTMSPRILLSGPAGSEIYQEMLAKALAKNFGAKLMIVDSLLLPGGSPAREAESSKEGSRRERLSMLAKRAVQAAQALQHKKPTSSVDADITGGSTLGSQALPKQEVSTATSKSYTFKTGMMGDRVKFLGPSSSAISSLQGPPLRGPTIGLQGKVVLAFEDNSSSKIGIRFDRPVPDGNDLGGLCEEDHGFFCAASSLRLDGSSGDDADKLAINEIFEVALSEAEGGSLILFLKDIEKSLVGNSDVYATLKGKLESLPENIVVMASQTQLDTRKEKAHPGGFLFTKFGGNQTALLDLAFPDNFSKLHDRSKETPKSMKQITRLFPNKVAIQLPQDEALLVDWKEKLDRDTELLKVQANITSILGVLTKNRLDCPDLGTLCIKDQTLLPESAEKVVGWAFSHHLMNCSEPIVKDNKLVISSESITYGLQMLQGVQNENKSLKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFDKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMINWDGLRTKDRERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDATNRAKILSVILAKEEIGPDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKEKTAAEAENRPTPPLYSCTDIRPLTMTDFKAAHDQVCASVSTDSSNMNELQQWNELYGEGGSRKKTSLSYFM >A01g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25019410:25022125:-1 gene:A01g509190.1_BraROA transcript:A01g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLMEMGEVVSVRFCRDMALGDRINLKSVIRLSFDAEAGNEFTTLLCLRFGSWKRCVGKLFKRTDDVLYVDKTMRGEFF >A01p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4514390:4515704:1 gene:A01p009330.1_BraROA transcript:A01p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA27 [Source:Projected from Arabidopsis thaliana (AT4G29080) UniProtKB/Swiss-Prot;Acc:Q9ZSY8] MSESVAAEHDYIGLSMDASQMSDNKTKTKTRDNNNNKNDDVLNFKATELRLGLPGSESPERVDQRFLPLKSSCPVSGAKRVFSDAINGSTKWVFSPGSATDVKDIKPAVPVKEKKSSAAAAPPASKAQVVGWPPIRSFRKNTMASSQSQKQGGGDNNNSETEGEAKSGAEPCLYVKVSMEGAPYLRKIDLKTYKSYVELSSALEEMFSCFTIGQFGSHGGCGRDGLNESRLTDLLRGSEYVVTYEDKDSDWMLVGDVPWEMFICSCKKLRIMKSSEAIGLAPRVMEKCRSRN >A02g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12402985:12403924:-1 gene:A02g503730.1_BraROA transcript:A02g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWEVYVVVILLFVHLAASRVESMFGEERTVKITNKLDGGLKLTLHCKSKDDDLGVQTLAPNNSWSFNGESHWFDIYIDDRDRVTDNPCYLCWWNINRSFPCRLDENTNRFDQCYDWNKTNYISNRLGGGLTLILYCKSKNNDFRVRTLAPDSSWSFDFRPNIFGTTLFYCRLMGAPEAHSFDIYND >A02p036130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20612435:20613231:1 gene:A02p036130.1_BraROA transcript:A02p036130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLYKIIPEKCIYKVLSTKVQIRLAKAEIISLGPLLNIAKAKLFCLNPCHIRPVYPSSKPRKESDKLEAEVKKQEKDEKLDGDAATNMFFSDIYQSAEEDMRRAMNKSFEESNGTVLSPNWEEVGTKKVESTPPDGIELKKWEYLFKYSFLCLVNNSDKYLNFNLFWFLLEFSLHSCGAV >A10p025630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16414020:16414756:-1 gene:A10p025630.1_BraROA transcript:A10p025630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYLYTPWRESFLNRCFLQNDNLTFFYLLVVLQAQLLKACGTTPATPVKLRNASENLETPNVENNLHLPSLILGSPVTLMSDAVFHLDEKTPEAYGEEVSEQTPSRFGVSLLWKRKGASKDLVIHHFSHKPIFHTPYGQAY >A06p010220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3646027:3647205:1 gene:A06p010220.1_BraROA transcript:A06p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12750) UniProtKB/Swiss-Prot;Acc:Q8VZ48] MPSSKNGGNNTQWTAWLTPVIVLACIAVFIVVMFINDCPKTVAGANGDCVPRFLRRFSFQPLRENPLLGPSSSTLEKMGALDRSKVVNGNEKWRLVTSMWLHAGVVHLIVNMFNVVLIGFRLEKQFGFIRMGLIYLIAGLGGSILSALFLQNSISVGASGALLGLLGAMLSELLTNWTIYANKLAALFTILFIVAIDLAIGLLPWVDNFAHIGGFLTGFLLGFVILVRPQYGWEESGNSPSQYGAPRARSKYNPCQYLLFFIAAVLVVGGLAVGIVMLLKGENGNKLCKWCHQLDCIETSRWTC >A09g502870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9706001:9706384:1 gene:A09g502870.1_BraROA transcript:A09g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRCLFCFFSGRWCRCFKLVDRSLEGSSELPSVLVRLVRCGFSVAGTRARRPVVGLVNHGCSFRWQHRRDYKYQGLYIGLPPSRFDSRRSLFSLSSWCRFGGVWRLWWLLLQIKLVLVCVGALAG >A03g509750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31403890:31405012:1 gene:A03g509750.1_BraROA transcript:A03g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGFNIVIRLLRVNPYDTGSSHASIAEWTRESASRVSFSPWRFFVRRDSRIIFSSFFDLIDVVLSRRRSEMDPAAEIRDSKRTKEYINMLSSVADSEYGIPTRCPCGGSIIHEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVEEQIERLTKRLEEVEVVINWVSEVNNHIQRLEAEVKDLTREVDNLTGQVYNLSMQVEVLEKLCFD >A02g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1464764:1468544:-1 gene:A02g500480.1_BraROA transcript:A02g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMETDQQTEEMDVEVLSSMWPEDVGGTEPDNQFNVEKPAGDSDTLKEVEFAEKRTMADLKRLPDLLNTTDQGSSQLTNLVKQWEYMQDHAVRLLREELKILTKQREEAEAKELKIIEEHNFETEEPENVPVLDESSDLFRRFKEKKRDKLVGRKRIEIDEEFDTVAYWKQKALSLEKMLEASTERERRLIKKLNESLKTMESHSAPVEELTQNLKRAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKFPTLREHVRDKDILGKTDVEIFHGGGVKESEDFKREVLEKGKASKREITFETDLFGSKTFLIYVEPVYNKAREKIGINYMGMEVTDQVRKREKMAKLREDNAVRKAMESELTKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTKLDKEQRQLLNVMMSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKELTLEGNIADEVPILVVGDVLRIRQILTNLISNAIKFTHQGKVGIKLKVIPEPSFANGLELNADAEEQNGLTETETSVWIRCDVYDTGIGIPENALPCLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSQVDLGSTFTFILRYKVATSNDHSDDQDEFSDMVDHQPEPDDSTEGYFQFKPLLGSIYSNGGPVIGNNFLPHKAMLPTPVKLINGNTRQSESGYMDDTRLETGSGHCPESTHQYENGNGPCPSKESESCSSSQASSEMESEFTVSSPREDVKTETEIKETSKQPKILLVEDNKINIMVAKSMMKQLGYTFDIANNGVEAITAINGSSYDLVLMDVCMPVLDGLKATRLIRSYEQSGNWDAAVEAGVDIKTLEDKQLCVRSTNRLPIIAMTANTLSESSEECYANGMDSFISKPVTLQKLKECLKQYLH >A08p045120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24798026:24800066:-1 gene:A08p045120.1_BraROA transcript:A08p045120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDRKKIIIDTDPGIDDAMAIFVALKSPEVDVIGLTTIYGNVYTALATRNALHLLEVAGRTDIPVAEGTHKTILNGTKLRVADFVHGKDGLGNQNFPPPEGKPIEKSAPEFLVEQAKLYPGEITVVALGPLTNIALAVQLDPEFSKNVGQIVLLGGSFAVNGNVNPASEANIFGDPEAADIVFTCGADIIAVGINVTHQVVMTADDRDKLASSNGKLGQYLCKILDLYYSYHLDAYEIKGVYLHDPTTIIAAFLPSLFTYTEGVVRVQTDGITRGLTLLYNNQKRFEEETEWSDKPSVKVAVTVDAPAVLKLIMYRLMES >A06p023440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11736776:11738111:1 gene:A06p023440.1_BraROA transcript:A06p023440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTTGLIQSHHLSWRTHRVKLLSCSTDLPTRKLIKESMLSRDSSRKIKVVDYAPLIESLNRRRLPDEAHEIFIQMKSDNLLPNYRTLSALMLCFAQNGSVLRSRAIWEEILNSSFVPDLLVISKLMSAYEQIGCFSEVSKITRDISARHPKMLPVVSSLAICCFGKNGQLELMEDAIDELDSNEISLDSATANAVVRCYSVFGTLEKMEQAYSRLKKSGVVIEEGEIGAVLLAYLKQRKFYRLREFCSDVGLGRRDLGNMLWNSVLLSYAADFKMKSLQREFIEMRGAGVSPDLTTFNIRALAFSRLALFWDLHLTLEHMRVFDIVPDLVTFGCVVDAYMDKRLARNLEFVYNRMNLDDSPVVLTDPLVFEVLGKGDFHLSSEAVLEFGQRRNWTYRKLIGVYLKKKLRRDQIFWNY >SC376g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000218.1:1561:2839:1 gene:SC376g500010.1_BraROA transcript:SC376g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIDPLTSIFPTTLEITNRKRTVCVRQHHRTSVAVTVSNTQDVPAVQSVHRIRTLRGLVRDSVQHTQDVRGCSVWGFRCVPSVHTRTSVSNTQDVRAQTANVPRQHNAGRPWLSVCPSAHADVPQYTQDVRQHTKEVRQHRRDVRGCPCVAVCTVQYTQDVPQDTQDGPTAQTHRTSVGRPSVHTGRP >A03g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18756274:18756781:-1 gene:A03g505210.1_BraROA transcript:A03g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLDVKGGNAHLRINHLLPLQEGPVYELNGLIPRSNPTFFADAAVSIKFTDHTAFVEVTDITKLILNPEGELQVPQYE >A09g512810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38483338:38485525:-1 gene:A09g512810.1_BraROA transcript:A09g512810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQHTQDVCVCPSAHTGHLWLSVCVRVCPSTNTGRMWLSISTHISTFVLGLSMLALPVDCLGGFGPHGMSGQYTQDVCGCPPAHTGRPWLSVAVHQHTQDVRGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLTHGTSMGVRQHTQNIRVCPSAHTGRPWLSVCVRLHTQDVRDCPSVHISARWSLDSARWPFPRTVRVILAHVGCLFSTHRTSVGVRQHTQDVCGCPWLTHRTSMGVRQHTQDVRVCPSAHTGRLWLSVCVRMCPSANTGRMWLSISTHISTFVLGLSMLALPVDCLGGFGPRGMSVQYTQDVCGCPPAHTGRPWLSVAVRQHTQDVRGCPCVSVSTHKTSVVVHQYTYQHAGPWTQHAGPSRGHTHRTFMGVHQHTQNVRGDFGPRGLSVQYTQDVRGCPPAHTGHSWLSVAVRQHTQDVHGCPCVSASTHMMSVAVHQYTYQNTGPWTQHAGLSRGLFGTHRMSVAVHQYTYQHVGPWTQHADPSRGLFG >A05p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18563985:18564860:1 gene:A05p031300.1_BraROA transcript:A05p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKEYNTYYKIMEESKRPPCCSRAILMDWFSANRSIPFTILLCTVDCSSTMASLVLLKWDGIAGTSLLAISMKLSLRKLLMLWSPLAWLILGYIHVNIDDCWSNLLRDSKGKLVPHPETFPQGNKLLADYVHSKGLKLGIYSDAG >A03p060980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26462189:26464334:1 gene:A03p060980.1_BraROA transcript:A03p060980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVADSAENGATNFPENESLTPSGVTASSLLNEASVAFPESNQPDSLASETAPDANDSAAERWPGWPGDCVFRVIVPVSKVGAIIGRKGDIIRKMCEETRARIKVLDGPTTTPDRIVMISAKEEPEAYMSPAMEAVVRVFRRVSGLPDSDDDEDLQNAGVAFSSVRLLVASSQAINLIGKQGSSIKSIVENSGASVRILSDEDTPFYAAQDERIVDLQGEALKIVKALEGVVGHLRKFLVDHSVVPLFEKQYLARVSQVRQEEPLANNKSALHAALSNAIESDLLARREALFLERDTRVESFVQPSGASIYSQDPALSARHSPGLARVSAAFVTQVSQTMQIPFSYAEDIIGLEGANIAFIRRRSGATITIQESPHPDQITVEIKGTSSQVQTAQQLIQEFISNHKEPASVSGGYARVDTGYVPAYPQLSNLQEPLASSYMGTETVQYRPTAYSQLAGPSASAYTPSLNGQTYGTEYRPASDAGGYNTYNL >A02p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28750544:28752565:-1 gene:A02p045910.1_BraROA transcript:A02p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLALKSKCKWNPHIHNVRNVRPQLRAASMHPGRTPASSQPTIPSGVVGSSTSYAAPPPPPPPPTYATRTEEALLRAPTRINQPHLHLDKINGALWIGVDLEVHEFIRATWQRNFWGRGKAGLKMHVHGAGPHTFANIVYNMVVEEGLEGQVSYPDLVRKTHCRKDGTFLDERAEALVLEVQQAVERLPQELQRPSPKRRIHQAWTDTGTIYCLGNLHLETLTQEIKSDVHALKTDFNEGTARTQSTLNMILQLLQARTSAAQASQSQHHSPSHSAAPPQGQAQPEGHGQAPTPPHDQPQDPGDAQPQHRITTNNSALDRWCNELGL >A01p043380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24920296:24922490:1 gene:A01p043380.1_BraROA transcript:A01p043380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIQFSTPSRTLHLPPTRLHPPLSSFSFRQFPLTSTFKHTSLRASSSPSPDSSSSSSLLHSPNGTPAVKSEERSSGIDVDTVTEAELKENGFRSTRRTKLICTIGPATCGFEQLSALAEGGMNVARLNMCHGTRDWHRDVIRSVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGEASAKAEDGEVWTFTVRAFDASRPERTISVSYDGFAEDVRVGDELLVDGGMVRFDVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVINHLKSYLAARPGGGDIGVIAKIESIDSLTNLEEIILASDGAMVARGDLGAQIPLEQVPAAQQRIVKVCRELNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRSDALMLSGESAMGQFPDKALTVLRSVSLRIERWWREEKRYEATPLQAISSASSDKISEEICNSASKMANNLGVDAVFVYTKNGHMASLVSRCRPDCPIFAFTNTTSVRRRLNLQWGLIPFRLSFSEDMESNLNKTFSLLKSRGMIKSGDLVIAVSDMLQSIQVMNVP >A04g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14983581:14985501:1 gene:A04g506680.1_BraROA transcript:A04g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILFTRMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPSGQTSIRPYWRCYFPNTQAVIYVVDSSDTDRIGVAKEEFHAILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTCAVKGEGLFEGLDWLSNTLKSGSG >A01g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6617853:6620854:-1 gene:A01g501840.1_BraROA transcript:A01g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSYTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSCLDFQEVVWTSRKSSGLPGSRLDFQEVVWIFLSILVFQIWKTSGTTYLLVVWKSSRSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSKLPGSRLDFLEVSSGLVYSSGIQACLCRGMIYNSFKFDFWDDLHFSRLVKIKIIIFFLKVDDLQLSRHRLVLQLKKKTSRFNYIHTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIVKITSALTRRLPGKSSTARRLPGKSSTARRLPNIHKASPSTQNTNESHPPRIVSFYDSMNHKKFRIKILVFFSSLWRESERYVVFSSQEWKKKKRKSILGALRASNWLFMVVVVLISMAIL >A06p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13823206:13824017:-1 gene:A06p026550.1_BraROA transcript:A06p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWGEEFELQYRELTAMELRSGVVPAASCMVDSTHERLKKTMVHPRVTTTIASQIHPSSPVILNESPCLWLDAIQRRYLAGVKEVV >A07p014520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9053956:9055396:1 gene:A07p014520.1_BraROA transcript:A07p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLDFPDGTSPPSHILRSRKSKSPGKHASKPSPSSSSSRIWNEEDELTVLKGLVDYQAQKGIEPKSNWADFYRFLLGGGSFITGKFSKEQVLSKIRKLKAKFIASMHKGNTSQSEAFLLSKSIWGLLNESHQSAEEMANHEPSNNEVTEGNDESSWAVRDAFETMVSKGLSDYQKKLQLQKLMNLGSAKRKELSDEWNELCAEEVKLNIKRFKFSAMLAEAAKCRAGQLRDALKVLTLLMHREPDLNLTCYCHNKALFLCKENQIVEVRKWGKA >A06g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8704967:8706419:1 gene:A06g502620.1_BraROA transcript:A06g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFCGDTLCPWWRFIGLFWYLSMKLEARAASRLSVVVLCYRKPGLQIFNGSEDCELNLINKSQANHMRSFGQGQQLWQVECLDCKLLKECCSSRCEIEVFRHLELCRKHVAHDLSVTFKGNYFIWCSAHEYVEPNVRSDNDNSGAVSVAAKVKAGGSSQDEGASDKVKKARKAPLSILLKLFSRKPELDVLACLTLNCRPL >A07p030970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17177600:17179301:-1 gene:A07p030970.1_BraROA transcript:A07p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLISLLSPIPPSDRWWSEETTAVVTGANKGIGFEVVKKLLKLGLTVVLTARNAENGSIASDSLRRAGFQNVHFFCLDVSDPSSISAFVSWFRLNFGILDILVNNAAVSFNAVGENSIREPETIIKTNYYGAKLLTEALLPLFRRSVSVGRILNISSRLGALNKLRNPSLRQTLESEKLTYEQIDATVTQFLEDVSRGTYEKNGWPENWSDYAVSKMVLNAYSRVLARRYDGKKLSVNCFCPGFTRTSMTGGQGNHTAEEAAATVAMLVLLPPEKLTSGKFFMCVEPNKIISRL >A04p037970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21630905:21638716:-1 gene:A04p037970.1_BraROA transcript:A04p037970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHTDEMTYSFEIDNFSQRNTIFTTPIFSTRSCNWFVYVYPKGDTISKNMSLWLKVPDPLLRPLGWSRQTSFRFVVVNPSDVNSSRKSIDSIFYKGQPTWGFITDLSLSKLQEGKFLVNDKLKIEPPVALIYHIFCFSLSYYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTCFIQSLSDLILMFVIASQSKRQFRCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNKRHPQSNQQAVPANENSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQPFAETILVAEENTEQSASSGVIAPSEPAETPPSRVYVSKVPYPIPPRHLMDPISEEQLIGFNEMVRRLPKELAFEDALQIRPLLKFFKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKQKVVPEKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVDPHVLPEKKKETVCVNGFQVLDSQVKSAKWIFETYPETALYIQPQDPQLKTAYMNILLRILEKLYNSPLEKLTESELSNVSKGLLDLTQAGFKLEWLREKLEKVSVERKKLSGYEAQAKELEKQLKSLELMMCNLKAEIKLKAES >A09p073670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56094584:56098552:-1 gene:A09p073670.1_BraROA transcript:A09p073670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHPRDEAYLSAVIEKRIRLFEEFQAKQLAEIQSRPHEPIKVTIRDGGNVKEGKRWETTPAEIARQISVGLANSALISSVNDVLWDMNRPLEADCSLEFFSFDSDKGRDTFWRSSAHILALEYGCKLCVGPCKARDEGFFYDAFYGDLGLNEQHFPETEAGDAREGHPFERIEVTRGQALDMFPDDNTFKAELLTEDKTTVYRCGPLVDLCSGPHIPNTSFVKAFKCLNLRSVLLGHHHQLFFCHPLRFSPGSWFFEKHGTRVYNKLMHFIGNEYRKRGYEEVISPNIYNMKLWETSGHAANYKENMYTFDIDKQEFGLKPMNCPGHCLMFQHRLRSYKELPIRLADFGVLHRNEASEALSGLTHTRRFQQDDAHIFCTKDQVSGELKRALEFVDYVYTKFGFTYELKLSTRPEKYLGDLTTWDRAERDLEEALEDFGKPFLVNRGAGEFYGPKIDITVSDAMKSYVQCATLQLDFQLPALFELEYTAMAEGSSDTPVMIHRAVLGSVERMFTTLVEHYKGKWPFWLSPRQAIVCSLSKDSHEYAEKVREQIHEAGYYVDVDITDRNISKKVREAQVAQYNYILVVGAEETTTGHVTVRRRNEDLSEFPVLSVENLLDEFKLKTANFL >A04g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:355523:358168:-1 gene:A04g500070.1_BraROA transcript:A04g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITKFMGLVHRQPEAKTGLMMMIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p072110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31850679:31854693:-1 gene:A03p072110.1_BraROA transcript:A03p072110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEMDQELKWLEAQKIEISVDLFSASKQQLQFLGTVDRNRGLYDGPELERAIYRYNAYWLPLLANYTESSSTCQGPLVPPLDCEWIWHCHRLNPVRYKTDCEELYGRVLDNSGVLSSVNGSCNLQTENLWKRLYPMEPYDLDSDKAISEPVLEKRTTTYDLVSAVKRQCPFYYQVSRAHVDNEVFLQEAVARYKAFLYLIKRNRENSIKLFCVPTYDIDLIWHTHQLHAVSYCKDLTKMIGNVLEHDDTDSDRSKGKKLDTGFSGTTSQWEETFGRRYWKAGAMNRGDTPKPVTTSPYVFLGKKLPSKEDAIKFPEVEVTEVVLEIVGVKNLPDTHKGNVFVMFSKTQPDSLFKTERKLTACGERQVAMFKCGPTGELSFQLMSSESKTLLGSASLSLSEFLSPVTRLSVEKWLELTTPVQRGKAISLRVAVSFTPPTRSPTVLHLIQARPSLNDSSSIRVVDETETEVISLHMRNDNNARQVIGVKESGDTLVLATYDGCVWSLLDAKWSLKQTSNPDGPVFEILGVRMVKVYYGRKLEYETKHWAKLRSEQDFMTAVEFSKQHPYGRAVGLLDLKFGSFEANEKWLVLPGLVSAFILSDLLKKESFCGADQDIVKGNEITLKLEEETMMHVKINGGARCLSKELSSGNMVEEEGGHCGGCGGCGGCGGGSGCGGGGGRCGNMMTNENVTTGGGSCTGGSTGCGGCGGAGGGCGNMMTNENVPSLVAEAPIDAVAA >A07p031330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17352666:17353636:1 gene:A07p031330.1_BraROA transcript:A07p031330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGLSSPDLLPIDDLLDFSNDEIFSSSSTFVSSATSSAASSSGNPFNFPSPASTSFHTSPPPPPLTDFAHDLCVPSDDAAHLEWLSRFVDDSFSDYPANPLTMTVRPETSFTGKPRSRRSKATAPSVTGTWAPTPEAELCHSVAKRRPTKKLEAAEGGGARRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEQLESAVHLLPFQPQ >A02p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12176893:12177299:-1 gene:A02p025580.1_BraROA transcript:A02p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLCCIIVFNFGAGHTVDERSTSISLKTADSHYFICAIPGHCAGRMKLSVNVGSAASSDGCGDSGDGNTLRTTPSPTVEGRKAAPSAYATAMLKPFKALVVTCVVALLYALALS >A09p054030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46691332:46692875:1 gene:A09p054030.1_BraROA transcript:A09p054030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G22670) UniProtKB/Swiss-Prot;Acc:F4I2Y3] MNLVALVLLILHIFIVSCVDAGTVVLMNSNITQSFEDMEGYFSPSEEATVETGVLYVADPLDACQKLRNKPKQSTNGTFPFALIVKGGCSFEHKVRNAQGTGFKAAIVHDDVDRDFLLAMEGEEYGINIQAVFVTKAAGETLKKYAGMAETRVMLVPSLEDSGLSLLATTALVVSLGMFVVLTTCIYICRRCTSPITSQFHGMSSRTVKAMPSATFTSVRGYTTTALSCAICLEDYSVGEKLRVLPCCHKFHATCVDVWLTSWRTFCPVCKQDARISTDEPSASESTPLLAGSSLVHIDPPPVGSSLLPTTSSYSQLSFRSSPSHESWPSPINVSHISADFRQQAASPLRSSSQRSYIACIGSLDSPFYSNIASLNEMMLPYQTSPSNASPGFVQSIRHQFNSSLSRESEGSSSHFASAHSPEEC >A02p013110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5748452:5751480:1 gene:A02p013110.1_BraROA transcript:A02p013110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C 4 [Source:Projected from Arabidopsis thaliana (AT5G58700) UniProtKB/Swiss-Prot;Acc:Q944C1] MGSYRMCLVFTRKFRVTEPDPVDDVRHVFQKYAEGEAHMSPEQLQKLMADETGTGGGGGSSLEEAERIVDEVLRRKHHIAKFTRRNLTIEDFNYLLFSTELNPPIVDKVHQNMDAPLSHYFIFTGHNSYLTGNQLSSNCSDLPIADALRRGVRVVELDLWPRGNDDVCVNHGRTLTKPVKLGKCLESIKANAFATSKYPVIITLEDHLTPKLQSKVAKMITQTFGDMLYYHDSESCKEFPSPEELKGKILISTKPPKEYLEANDSKEKDNGEKGKDSDEDVWGKEPEELISTRSELEKVATNISYISQDDEDRGSRDFDASCPLQAPEYKRLIAIHAGKPKGGLRMALKVDPNKIRRLSLSEQLLEKAVASYGADVIRFTQKNFLRIYPKGTRFNSSNYKPQVGWMSGAQMIAFNMQGYGRALWLMQGMFRANGGCGYVKKPDFLMNVGPNGQVFDPNENSSPKKTLKVKVLMGDGWHLDFKKTHFDLYSPPDFYVRVGIAGAPADETMEKTEVKYDTWTPIWNQEFTFKLTVPELALLRVEVHEYDANEKDDFGGQTCLPVSELRQGIRAVPLFNRKGVKYSCTRLLMRFDFV >A05p053300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30802195:30803861:1 gene:A05p053300.1_BraROA transcript:A05p053300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPSSASSSSSSASQYTYAANSYYSAPYQPPQPFVAPSPPPVATIPGATVYPQPIGPVPAVYSYPQYQAHQLFQRDAQTITPEALENVKAALASSETEHKAETKKRAIPRKAAGQSWEDPTLAEWPDNDYRLFCGDLGNEVNDDVLSKAFARFPTFNMAKVIRDKRTGKTKGYGFVSFLNPADLAAALKEMNGKYVGNRPIKLRKSSWKERTDQEAAERQKANHHSNKKQKTVKKKSVLHK >A09p055160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47261579:47262415:1 gene:A09p055160.1_BraROA transcript:A09p055160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSESCILRPCIQWIESAEAQGHATVFVAKFFGRAGLMSFISSVPESQSPALFQSLLYEACGRTVNPVNGAVGLLWTGNWSICQAAVETVLRGGSLRPMPELLTRDGGFGGFPSTTSDEASEICTEMLNDCGDRSAYHHCRFSSSRTSRPTASPPNRKRLASEQQQRQSSELDLSLLPTFPIKTTPLKEETVRPETPSMYSGESVTTTPFMDNIAGERFVRVGGETTKLLNLFA >A05p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8165911:8168360:1 gene:A05p017930.1_BraROA transcript:A05p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARALLYSPNLHPLYTSNRPPETSPRNRRTLKPDPKSLRIWIHQRNRSSVFRVLVRSSDKRESSNSSYAETIKEGREIVNGNGVVSDSLSSVPWWEEFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKMVLGFGVVWWSIATILTPIAAKLGLPFLLVVRAFMGVGEGVAMPAMNNILSKWVPVQERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWLTLWLTKAESSPIEDLSLLPEERKLIADNCASKEPVKSIPWRLILSKPPVWALIGCHFCHNWGTFILLTWMPTYYHQVLKFNLMESGLLSVFPWLTMAISANAGGWIADTLVSRGFSVTNVRKIMQTIGFLGPAFFLTQLKHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVLGTAATGHILQHGSWDDVFTISVGLYLVGTVVWNLFSTGEKIID >A01g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1599307:1600949:1 gene:A01g500390.1_BraROA transcript:A01g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFRMESYIPSSTALSELWYCNHPQRWLSFWSNDASDWRFPTEMGVACMFSFREGEAHSDLSSPAFGHGEWRLIKLRAAVLKPEVLWVVVSRLRCEKYGLLLVAVLGSPVLGLWWFIVGGDLVRAMDLSGEKTERSRMVMVYAGGSSGSELW >A06p005470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1647458:1648248:-1 gene:A06p005470.1_BraROA transcript:A06p005470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEYDQVLHSVAHVKIADQTGDSADVKQDEDGVKVSCFTEVLDDVTLHFQIIRLAKQIYVWIGYNSAKFGNLYAAASTRPSNTVSVATVLGGTSDNTGSGIAHRLVMKTGLNIIMACNIPKNNPLLEAKAEKMLIRKLIDLGYTMPTPLRAT >A02p042180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26471838:26472241:-1 gene:A02p042180.1_BraROA transcript:A02p042180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNIEVFDLIGELILMKGESKEDEDHVRGLEKNLIKYLEENKIILETEQFEAIRHLNMDKLIDSQLKIIDNRIEKNNKLIEAMEASSREDCLCSSMATLLTNKNTNN >A10p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9877941:9879233:-1 gene:A10p006760.1_BraROA transcript:A10p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYNKLSEVTYNPAVKAWRFRVKLHRIYPFYSYVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERASPCFKTTSSPFRLIASRVTQVRIIEPLKNRLFFDFKSIHAIPRMHWRDLKYPIDTMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGLV >A09p062270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51025420:51027919:-1 gene:A09p062270.1_BraROA transcript:A09p062270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKKKQSRSTEKKTLEPKLLPIFHSKLASGKDMKHMIRGMSSIPVPGLSSQSRVVRCPKCHKFLQEPVDVTIYKCSGCDSILQAKSWEMESEEGGGSKTPMRSSYRKYSSRASSSPLFERGYHSKTAYIRREWMTSATPSPSSYGYTSSPFHGSQREGWFQESSAAASPQSRMHDSQLSITKKEDTTLLDLLRFVDSRTSFAEDSASDSHHLSSSDKGSGDRVCNVSTDAVKWETFEEDMGIKEEIRSISSKFIDLTFQPQWNHKLRAQASLLGDGEILVERMSDSTETDADRLVEPLNNKEHVCETNLMSENTQEFDLGELKRQDIMGEKRGLLLEKSPRFSLWLNHKEDMESQNESCYEGVYGREDRAGLHLEEYETNYENYYSNPFEWTTPTFHVPEYEPEEGGQARSESSSFSDHEEEPWLVDYNKTKELQVVGVVVEDGPSLHFDKCGYESTTLEETLESTPKFYLHEPELLEPDNEADGSSESSSTGSFNSHESSKLRAVFHQEEEEPQVMSDSRTEMILGALLEPNDHVIPKEREMDHEEEDDDPWLVDDCETKALKVHVMAEDGASLHLEKFENEKMLLDQRVEPKEDIFRLSLDDTVEEEWVTFDLETSQEDKEVNLRQTFKQGDTEENTPTPASASGLVLSEEEILRDHLEHFQKENEKSRRTSEVVGLRHALYQTQTSPLSTLPIDTPIGSPRHILMRSHMVSPLRSLTNSSGSLSDVLSLPKKT >A05p002190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:701218:703901:-1 gene:A05p002190.1_BraROA transcript:A05p002190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSRSKSTGTTKFPDVKTTRPGPDIYENIHHDDYDYEEEHSTTSTDYYDVSTPLSSHGSRSGPGSGQYTILDIFAAVLKKSLVMSCTMERGDDDVAASMDIGWPTEVKHVSHVTFDRFSGFLGLPSELEPEVPPQAPSASVSVFGVSAKSMQCSYDNRGNSIPTILLRMQKRLYSEGGLKAEGIFRINPDNGKEEHVRKQLNRGVIPRGIDVHCLAGLIKAWFRELPTGVLDVLTPEQVMKCNTEDDCNKLVTLLPPVESALLDWAIGLMADVVEHEQFNKMNARNVAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILMTLKERENADAKARWIEKQTSDPSEEWEQQHSEILAPEKPNHNPKFLRVATLCRLEADNEENFWNTEKRNDHKDTTESTGTVQRLCKHPLFKSSRSTKKPLVSNHDEGRRGRDAWGSRLSSLPW >A07p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9779795:9782489:1 gene:A07p015830.1_BraROA transcript:A07p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFISLLFLPLLSVTILSGLEQALASDNTLLKNTNHRNSTADGGMSSLRGKKQRSGCNLFQGRWVFDASYPFYDSFSCPFIDGEFDCLKFGRPDKQFLKYSWQPESCTIPRFDGAAFLRRLRGKRVMFVGDSLSLNMWESLGCMIHASVPKTKTTFVKGTPLSTITFQEYGVTLHLYRTTYIVDISKEKIGRVLNLGAIEGGADAWKNMDVLVFNSWHWWTHKGQSQGWDYIRVGSSLVRDMNRLDAFYKGLTTWGRWVDQNVDTTKTKVFFQGISPTHYEGREWNEPRKSCNGQMQPLDGSNYPSGQPPSAGVVSKVLSSMKKRVFLLDITTLSQLRKDAHPSTYGGDGGNDCSHWCLPGLPDTWNQLLYAALSM >A04p008580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8137787:8140606:-1 gene:A04p008580.1_BraROA transcript:A04p008580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGSCTVEQALTPEAANLVKQAMSLARRRGHAQVTPLHVASTMLSAPTGLLRTACLQSHTHPLQCRALELCFNVALNRLPTSTGSPMLGVQTSPFPSISNALGAAFKRAQAHQRRGSIESQQQPVLAVNIEVEQLIISILDDPSVSRVMREAGFSSPQVKSKVEQAVSSETCSKTTSSSKPKEGQVRNEDVMNVIESLVDKKRKNFVIVGECLATVDKVVRTVMEKVDKKDVPEALKDVKFITLSFSSFGQPTRFDVEHKLKELETLVRSCVGKGVILNLGDLNWFVESRTNSNNNNNYCAVEHMIMEIGKLARGLVMGDHGRFWLMGLATSETYVRCKSGQPSLESLWCLTTLTIPTTSSLRLSLVPESEVEVKKLENSPFQLHPLGEQLSFCEECSPKFEAEARFLQCSNSKSNVTIAALPAWLQQYKKENQSSHNDSDSIKELVVKWNTICGSIHKRPSLKTLTLSSPTSSFSGSFHHLQTNGDWPVIETNKYLHHHSVTSGASELRLFIPEHDSKQRTELFCSNPNTTSNSAASSSDGMEVEHVSSRFKEMNVENLATLCDALQSKVPWQKDIISEIAKTVLKCRSGSSRIKINGIDDTKEDTWMFFQGLDVEAKEKIARELAKLVFGSQDNFVSICLSSFSSKDLRNKRPRDEQNWSYIDRFSEAVSFDPRRVFLVEDIEQADYLSLMGFKRAIERGRVCNSSGEEASLRDAIVILSCERFSSRSRACSPPVNQKSSDGSDQSEDKNVATCVVLDLNLSLDDGVCEKESCDEIGLLEAVDGRFHFKCSST >A05p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7517946:7518725:-1 gene:A05p016880.1_BraROA transcript:A05p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDKAASTFNEAKESVTATAESVGASLTEAQKTVAASAETVTTSLTDAGENAAASAETVKRSLTDAGETVAASTETVKSEAAAAPEKVSNVSTQAREVVDNVLSKGFEGFNTLLHGFEEKKTDISSKIVDVATKAVAGASSSTTVESRDVPISTDNQPLLAGERAAETTPWWKNCCGVLDLLKTSTSK >A04p008530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8177501:8181519:1 gene:A04p008530.1_BraROA transcript:A04p008530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDLTKLSLLQCRNGAVSQTLDLELLSVNKPSDDLLLRYTSLRDILPSSTATANSPAVSVALWGRLYRNRDTEADLIERKKLLEVFLGRAEAVAEDQNLRWENVAQKQTKLFEEVLEELATVKEKNESLEQEARKLRKVQQKRLPRFVHGSTSESGAKGAYQEVRRDVRQEVRQEVLHCAAVSNKPKVVHQCNNMKVRQEVLKYGCAAGTRKETDRCISNCVRPSKKQHRMCCWLCGKVGQKKVECFAREKSRNMVKKVNKMFTKPKRVEDVLLAKSGLLDEIKEETSEEGCSSVRSDLEVDQEASSLEPGPEVVCGTKGKKIKRALGADEEGLMVKETTHEGSLVLNRSWSKDSSTGASDRDAGENGDILVQRMHISWGRKAWCGAHLVGEKSTFGMKVFRDRDTEADLIEREKLLEVFLGRAEAVAEQAACAYLQPATPTPSSNSSFFRRVSSSFLRILSALFGSILRLFPSPRRIFRA >SC122g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:299931:305351:-1 gene:SC122g500200.1_BraROA transcript:SC122g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSRVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIRVKVKPLKEVAAEEGQTARLEVHEAKGVIYSLRQGKKELYQLVGRLREVESELSMVKTHTTSPSWCQGRRKQDVIFGFLIGEICELVEHMCDVWEINKKQDRWKRGTSCKKGKLRKISKIWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWNEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSREEQVGPASSEEEQVEPASVSVSLCVDMIMDQHKDGDEEFKDKSREEDDALVIPPGPITRAKARRLKEAVGIPREPVPFNALENMEPVPYFSI >A06g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9780832:9781787:1 gene:A06g503060.1_BraROA transcript:A06g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGLCWFSPRDTFLDLAGHNQTANLDAGRLDGWAVGLNGWACPKRHEWPKVTSTNGCELPKGVSNQGLRTPSGTSTKGYEDQEVPKAEGVRVPSGKYTVRLGQGKSRKVRSAIGLTGLGVRLTRPLDPGPRLDQVRKSVGPLSRTSSRPVAPRFYPNGRIGLQDDPDCSCELRMVLVKPRSREGSVSERLCNVWVDDARDELVIVYETVKKLCIRSHVSK >A01p059460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34175554:34175888:-1 gene:A01p059460.1_BraROA transcript:A01p059460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFFVCINTIELHHPSTALQHSVTKPHRYRDWRVAEVFEGLTFVTVIGAGHEVPLSKPHAAFELFKYFLRGKPLPK >A02g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18394046:18394808:-1 gene:A02g506570.1_BraROA transcript:A02g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDLPRSLQKVFCPNYLNVFEYIKFSDLNQTLENFSEDSWKTLRRLLGKFSNAFYARRRPTKSLRSLPKFSAQSYTNFGYTLKDFSEDSWKTLRNSRKTLGRLLEKSCNVFYARRLPRSLREFFQSLLPKVVQRNDVKWRPSLSMLRNDI >A03p051370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20523149:20524329:-1 gene:A03p051370.1_BraROA transcript:A03p051370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHTPYNTSTQGQYCHYCAMFHHHSQSCCYNNNNHSNAGVYEQNGEDYYSSSVVDCTLSLGTPSTRLCEEDEKRRRTTSYGPSSCISNFLDLIHTKNNNSNTKPSFDFPSFSTGNPIKPTRGCPSGNGGGDSLLARRCANCDTASTPLWRNGPRGPKSLCNACGIRFKKEERRTTTASGNAVVGASPIAGDPYGHHNASYNNYNITTSSNNSGTSWAQHTTQRAPCNYPANEIRFMDDYSSTGANNNGDSDGSHGGVPFLSWRLNVADRASLVHDFTR >A03p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6843369:6847986:1 gene:A03p017090.1_BraROA transcript:A03p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein ClpC1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G50920) UniProtKB/Swiss-Prot;Acc:Q9FI56] MSRPSTSKKMSGRTNKSGNVYINGKGIINMRKIDVVHASSNINEVMAMATRVMSQSTPLSLACSQRNAPSRGSGTSKRSVKMMCTQLQVSGTRMQGFLGLRGNNVLDTLGRSRQSFGGKVRQAMNVPKGKGSRGVVKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGENNEVTANVGGGSGSNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKELRQITKEKNEAVRGQDFEKAGTLRDREIELRAEVSAIQAKGKEMSKAESETGEEGPMVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADILLQEVFERLKKKEIELQVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSEGKVTVLNGGSGTPTTSLEEQEDSLPVA >A02g513020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35771901:35773220:-1 gene:A02g513020.1_BraROA transcript:A02g513020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTRRSSRLMKLKNVESTPMNPLDFSSGSSSGKRSRRRVSAGDTAPLPPNIALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPTRRPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLIDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTFNEPKATQIIAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRRGDYEQHVPHPGFEENDEQDEDEEDA >A10p025030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16105499:16118316:-1 gene:A10p025030.1_BraROA transcript:A10p025030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNSAHPFGYSTPSDTRRRWWWSRPIATLPAPEDRKATSKELAAYFSPLWGGLLTAIAMFLIFLFTDEADPHAKFSIQSIAISPSTATYHVDFLVRNPSSRYSIYYDDRDASVRFGDVNVAVFKIIRERSYRDHTAFSLAFDAGEVINGTDVELHIKLRGMHERYIDYDEAGHFDITCHIRSKENIEKINCHSGFTHMRMLCTSCGRILQHCQCRRQASTTVSSSADNTAGELTPACCCSCYTGWTIVFYLLFLMFSGKQFMGKAGCYLELFASSVTVSNANANTNISTADWRVGLVAKSPVTRCKISLHTSKSRLLRGDHEVVSGSAPWLDGFGQFFTSDNTYEPVTSVDFKGVEMPGVVGDLVRGYKVEIAVAVKADGKHVFLIVLCGDLPVKLTADPKGNVIGSLLGNMKRCEYVVGDNLNIHYMDSQTTSGNPTSSDTRRRWWWSRPIVTLDHEQTFKEFAVFISPFFAGFFIAVAIYLILLFIDKAHSQSHPKFSIQSITVSPYSTTCHVDFLVKKPSSRYSIYYDVGDASVRFGHTNVDVFNITRKRNSRDHTAFSLDFVAGEVNGTDVVSQELHIKLRGKHKRYVDSTEAGHFDSFKINMAISQCTSCGLNLQHCQCRRQASTTTYRRAFDPPIEVTPVCYCGCYSCWSIVVVLVFLFLSGKLFGEGGCYLELFAHSVSVSNTNVNANISTADWRVGLVAMSPVTGCKISFHTIKSRLLRGEEVVSETSPSVDGFGQVVTSDKTDGPVITVDFNGVVTPGIISDVVRGYRVEIVAGVDSDGFLMVLCGDLPLKFTADPAGNVIGSLLGNMKRCDYVFEDNLNIHV >A01p017590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8591136:8592793:-1 gene:A01p017590.1_BraROA transcript:A01p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSGSDPESSSSGWSRAPGLVVKTLVLIGGAVLLKRLTKSTTRWDHSHVVSRSLSGEKFSKEQASRDPDNYFNIRMMSCPAAEMVDGSQVLYLEQAFWRTPQKPFRQRLYMVKPCPKELKCDVEVSSYAIRDAEEYKNFCDRPKDQRPLPEEVIGDIGEHLTTIQLSCCDRGKRCLYEGSAPPGGFPNSWNGASYCTSDLTVLKNNEIHLWDRGFDDDGNQVWGPKEGPYEFKPAPSSSSINNDVFSPLNIFPQSTLDKPIKGSFILQE >A05g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5616596:5617011:1 gene:A05g501570.1_BraROA transcript:A05g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHISEVRYLWDPAALFLCLASNTEPLPHKGPDLSAGAFKYSLYATYSLKRVTTSSMSAPIQRLYGKAKTLLTWLAWKATLYWLWNERNSRLHNNTFRSVDSLSGV >A04p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:44516:52666:-1 gene:A04p000090.1_BraROA transcript:A04p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP63 [Source:Projected from Arabidopsis thaliana (AT3G63400) UniProtKB/Swiss-Prot;Acc:Q9LY75] MCSSRGKQTTPPSGSEQPYLLASLIGKRRSEQESLSHTHIPLAMSSSKKKNPTVFLDVSIGGDPLERIVIELFAHLVPKTAENFRALCTGEAGLGKTTSKPLHFKGSSFHRVIKGFMAQGGDFSNGNGTGGESIYGGKFPDENFELDHEEGGVLSMANCGPNTNGSQFFILFKRQPHLDGKHVVFGKVVKGMEVVKKMELVGTSDGKPTSNVKIIDCGEVSQLKAEDAAEKDKGKLKKSSKDSREKRTKRKRRYSSSSDSYSSSSESETDSSSYESSSSSDGKRRKRRSTKRHKGRRGERKIKGRKGKKNARGDRPPQRRNKDSSSDTESSNSDDERMGHEKAKKSKKAKDGVPVRNAADSSPAEKKFSGDKPDSLLNENELVGNGKATKVDNQRADLVKSRSMSPVSRRGQNSRSKSPASKSPVRDVGNRSTSPLERKSSKSPSPNGEPKRIRKGRGFTERYSFARKYRTPSPERSPPRRWPERRSFQDRNTDRYPSNRSYSERSPRRRFRSPPRRRSPPRYNRRRRSISRSPDGQRRGLRESQSPSHRSPSRSPRKRQPISQDLKSRLGPQRSPAESLSASPSTSPSGQRDLRAKEGCEWVTIGNREHHRSRVTSYRIEMEEAASVGDAHARGKHRILAELGRVEQEVRFLELTTLQKELEEVGQTDIVSTVCEELLCVIEKSPDPLLPLTKGSLNLGWDRCLVNVAITEPITDLGATPGVDIGVNPMGPAPGPTTWGTEDAYEPGPGGTGYALLDGP >A04g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1906210:1907685:1 gene:A04g500660.1_BraROA transcript:A04g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCVVRCRLWFPIPKIIVRVLDHFEVAISQLNPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKGTDKYRLVHRSFLSVVKRFISNFNSWKKFFFFVRIDAASVEECCIPLFRRLPNDRPFINLLAPFPEDIIEVRDLLRNGSVFLDFFYAEVSSEGVESPNAAPTVATGWNSSKGKDIKGKDIDLGDIEFSMDDFMLPRWGPDLAYGDGSGTSTVPIPDFDDFFAGLPSGFDGPPPMNESGRPKVSFNLLGSAIEVIHREAMVYHFKAEKAERDLARMQDEMLERDAQLARDHAMAIRKAERKGKREIVEVMKTRASQFQVEYGNLKDAFTSVGNFRAHSLDRREDPRILGFHVSPDTVLKRSRPGFPMVVARKCHADAFEEVDSHADAFGASLSRDFYFGS >A09g510920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33368071:33368867:1 gene:A09g510920.1_BraROA transcript:A09g510920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSNGTNDRCCPHPPSLNLQVDEGIEEPVSYPDLVRKTLTRKDGTFIDEQAAALVLEVEKARGQTRMVTIYGLSNLQYKNKHPSGSVPAALKQNIDMEMRVSGLETLTQEIKSDVHALKTYFNEGTSKT >A09g515490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45822489:45826002:-1 gene:A09g515490.1_BraROA transcript:A09g515490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRVAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNKNHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFHKKI >A09p053690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46543071:46544340:-1 gene:A09p053690.1_BraROA transcript:A09p053690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLLKIQTYSLRVSIHCEGCNKKVKKLLQRIEGVYHVKVEAEHHKVTVSGSVDSATLINKLLKAGKHAELWSPNPTTNPNQPQKPKTNDVIKNNQKGQKQGSAKSGLETFKPKNNPKGAACVKEEEDEDGGEEEDGEVQLPKAVNQQQQNVKKNSGGGGPMNNGNNGVNASKKVNQKQNSQNQNNSQAMATAMRMRNAAKVNSGVENNEIGALMGLAGFNGAATNAAVNPQNGIQQLQAPPLNNINSVTTHNMNNGNGGPSMMMNMNGYNPMNMQNRPLMHHHQPQQMMYQRPAFVPPPSNGYYYNYTPSPYTYYPYYPYPSDQHQQISHSSATNMPSDEDTSNNSSCNIM >A01p000120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32469:32987:-1 gene:A01p000120.1_BraROA transcript:A01p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELVEADIVVPMHLSFKKTQAYEKYPKGQSRGRWKHLKQILQADPDHHPFYINIESPPSTQPCKRICDVTGFEAPYVDPRTNLRYANAHVFKTLRSLSTHQVHHYLSIRNAAPLLK >A05p012520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5453000:5455604:-1 gene:A05p012520.1_BraROA transcript:A05p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFSVDLNKPLVFQVGNLGETYEEWVHQPIVTKKGPRFFHSDFWEFLTLTVWWAVPVIWLPVSVWCISMSVNRGLSLPEIVPLIALGIFIWTLIEYTLHRFLFHIKTKSYWGNTAHYLIHGCHHKHPMDHLRLVFPPAATAVLCFPFWNLVKLFTTPSVTPALFGGGMLGYVMYDVTHYYLHHAHPTRAVTKNLKKYHLSHHFRIHDKGFGVTSSLWDILTR >A02p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11110203:11113242:-1 gene:A02p023160.1_BraROA transcript:A02p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTQSHHTTRHIPGSKSRTSLLHKPPLVHHLHTNPPPFPSSTQQNLNLVPSIAERKNQQQQKTQVSEHKANSSNIKVSGSTEKALVVAKRPDASGQEGSVIYLLANHFLVKFDPSQKIYHSNVDISPHPSKEIARMIKQKLIETEPSSFSGAVPAFYGRKNIYSPVEFQEERLEFFVNLPIPSCNNTLMKCGDLREKQPQKKVDKLFRVNMRLVSKFDGKEQRKEGENWAPLPREYIHALDVIVRENPMENCTSIGRSFYSSSMGGSKEIGGGAVGLRGFFQSLRQTQQGLALNMDLSIAAFQESIGVIAYLQKNLGFLKDFSRNKGRELSLEERREVEKELKNIRVFVCHRETVQRYRVYGLTDEIIERLWFPDRVGKQLRLVSYFKDHYGYEIQFKNLPCVQISRTRPCYLPMELCMICEGQKFLGKLSDDQAANIMKMGCQKPNERKAITDNVMAGPVGPSSGTQTREFNLEVSREMTLLKGRILQPPKFKHDRSRNLLESRAFKGTRVERWALMSVGGSPDQKSTIPKFINELTQKCEHLGVFLSKNTLSSTFFEPSHIRAEIQRAASNSLQLIICIMERKHKGYGVRKRIAETRIGVVTQCCLYSNITKLSSQFVSNLAVKINAKIGGSMTELYNSIPCHIPRLFRLDEPVIFMGADVTHPHPFDDCSPSVAVVVGSINWPEANRYVLESQARNHSRY >A03p052200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20190956:20192747:1 gene:A03p052200.1_BraROA transcript:A03p052200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General transcription factor group E6 [Source:Projected from Arabidopsis thaliana (AT3G52280) UniProtKB/TrEMBL;Acc:F4J6V6] MTESVPESGHIPAGESKILPVEAETIKQRVEEVVQWVDSLEHKLTQVENFYSTIAVSNSSPRHVVGIRKVQQEAARREAVAAKRMHDLMRQFGTIFRQITQHKCAWPFMHPVDVESLGLDDYYEVIDEPMDFSTIKNQMEAKDGTGYKHVMQIYADMRLVFENAMKYNEDASDVYSMAKTLLQKFEEKWAHFLPKVQEEEKIREEEEKQAAMEALLAKEASHTKTTRDLSNELSVQICNVNDELEKLRNVVVGRCRKITSEEKRNIGFALLKLSTDELQKVLGIVAQADPRFQTRAEEVTIEMDVLDEPTLWRLKFFVKDALENAKKKEETIRTKQNNEVSNKRNAVNKLTERRTKRTCL >A09g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24322561:24324976:-1 gene:A09g508750.1_BraROA transcript:A09g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGWSLWHGDAFRAKRAEFISADDNMCDRCFWIKEVGLFFRDFEPISLIRDTRNYINVTLMDYFPILELHEEIQALVVERVVSNSITDLYGLRASCRSTKALAERSRVNHFYDVLSVPWRLNMPPELFKTCYTERNLSTLYMKGVLFLFTFNLQEEGLAFMKLAADEGYEHAVYTYAMTRKIDRIGKLVQSLKWAWGSFHGDEFRAKRDEFISTIVPSFYSYQCVPVLERDWVGLFFREFEPMSLIRDTRE >A08g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6586656:6589784:1 gene:A08g503960.1_BraROA transcript:A08g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDTRETNCVYVDTSLDTHLLVLLHDRETISEFKVKLCKEHHECFPELGEIDISAVKVNRENTRGLLFDYHLPDSMLLSMVFNGIGRNCWFLFVDAAVKVENCLNHEEDKNDSLLFEEEDLEVEKTRKKRELELSDGEKKTEMGEKSVEDDVETHNLVSHQANHLATRSDTEKKRSEDDINQSSAAVFFTTSNVDSVAATPESLPASGGENRGNPFAEATQKEIEKSVEDDTETENHLDTNSRLTARPDAEKKRSEDEANQSSATTAITTSRDIVNEIGKFPGNVPESLPISRGENLDDSFAEATQKENELVQISSIDDVGRDQTETDNLVRHTTNHLDTVSIPSSTEASQKGDVISRVETETIHDTLGCGKDIPSAADNIQASNAEADADEAKSVKSNTKTKKSKTPAEEEDTLVVSSDAHNVDESVKAVEGEEPDSIIRNETEENNASLEVADSQELSVDDVNNAALEVADSQEFSVEVNNAAPEETPLIKDAESDASLLRKCADDAVDKSLSKKSLTDDMGDNFGCSQNQEKPDQVAGGAKSKKEKKGLDLHPSGSSNGSLSSMKPKEKRGRAQQPASSSTDPLQSRVPNDASDVTFMSRKLRFSGSLQDILRRSSSYKKALTRAQSQPDIDDDSPVDCIPSYLLSFSGLVLTKNPVMMFKSHVLY >A10p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6510086:6510402:1 gene:A10p011210.1_BraROA transcript:A10p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALMCAQDTTTAAPRLMISLSYLWHCESDARPCLGLSRRFAGWLFSQSVGVCLGLYEFQVVRLRTICDCPYEAMVYRFLW >A03p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1450648:1451271:1 gene:A03p003360.1_BraROA transcript:A03p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASWSRVTEDYSVPWSAPKGLWKGLDEDEPTTYDDPTSQEVTKKEKSRVKFAENAVHIIPFVLLACALILWFFSIPNVDVRVKEESIAARIKGLTIEGDIDNDSDGTQTGFLGTATEVGGSYKSKLKRDVNKRHRRIQTSRKW >A04p039500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22279542:22279929:-1 gene:A04p039500.1_BraROA transcript:A04p039500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNGLSKMAAATVLLVIMSIVPAAVAVTYSVGDFNGWTSGVDYTVWLTGDILEFKYGPAHSVDMTNKAGCDGCDSSVSTENHSDGDTKIELKTVGRN >A02g510990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29159091:29159355:1 gene:A02g510990.1_BraROA transcript:A02g510990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKSVQATTWILLLLFLTIGSWQSTVCRTGRWTTSEFIRILCNAFSTGGFGTIDIFNYT >A01p031480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:53823:54356:-1 gene:A01p031480.1_BraROA transcript:A01p031480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAQTVCRSDRRRANTRRNNTRRRLSSWNPNPSQQDFLAKFKSSFDQLQPYGVPDPRRLQLPVQNRASSRSHHRQLEFSINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKTK >A07p020210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11979412:11982015:1 gene:A07p020210.1_BraROA transcript:A07p020210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RETYRKRNIDQMESEKMWGGLMNRVKPYLAMISMQFGYAGMYIITMVSLKHGMNHYILAVYRHAIATVVIAPFALFHERPVLDQNLYYVGMTYTSATFASATANVLPAITFVLAIIFRLESVNFKKVRSIAKVVGTIITVSGALLMTLYKGPIIDFIKFGGGGGGDGAGGPHSGAASAALDKHWVPGTLMLLGRTFGWAGFFILQSFTLKEYPAELSLTALICLMGTLEGTAVSLVTVRDLSAWKIGFDSNLFAAAYSGVICSGVAYYVQGVVMRERGPVFVATFNPLCVVITAALGVVVLSESIHLGSVIGTIFIIVGLYTVVWGKGKDKRMTDDDSKELPVKISVKEVDANRVLAGRLEMKTKEGQETNKANQAGI >A04p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5594774:5596332:1 gene:A04p014540.1_BraROA transcript:A04p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 60 [Source:Projected from Arabidopsis thaliana (AT5G37660) UniProtKB/Swiss-Prot;Acc:Q0WPN8] MASLRNTLSLVFCLLAATGPWLCSATSATDTFVYGGCSQQKFSPASPYESNLNSLLTSLVNSATYSSYNNFTIMGSSSSDTARGLFQCRGDLSMPDCATCVARAVSQVGPLCPYTCGGALQLAGCYIKYDNVSFLGQEDKTVVLKKCGPSEGYNTEGISRRDAVLTELLGGGGYFRAGGSSDVQGMGQCVGDLTVSECQDCLGTAIGRLKNDCGTAVFGDMFLTKCYARYSTDGGKYNAKSHNYKTNYGGERTFAIIIGLLAAVVLLIIFLLFLRGVCSRGGK >A07p042000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22631432:22632983:1 gene:A07p042000.1_BraROA transcript:A07p042000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) UniProtKB/Swiss-Prot;Acc:Q9C9G4] MAKQIWLLHVMMIITALFLYSAPNVHGWGIEGHTIVCKIAQARLDETAAKAVKELLPESAQGDLSSLCVWADHVKFRYHWSSPLHYINTPDVCSYQYNRDCKDEDGVKGRCVAGAIYNYTTQLLTYNTAAASTPPQYNLTEALLFLSHFMGDIHQPLHVAFASDKGGNTIEVHWYTRKANLHHIWDSSIIETAEADLYNSELDGMVDAINKNITTEWADQVNRWEICTRKTACPDIYASEGIKAACDWAYKGVTEGDTLEDEYFYSRLPVVYQRLAQGGVRLAATLNRIFGGRS >A06p031520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000240.1:4:1358:-1 gene:A06p031520.1_BraROA transcript:A06p031520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRPNKGQLWALGCKWPRLQPFGQVMPIRLVSCTCRSQIERERERDRPEKGRLWWFSISGKENGLGERRPWGYGYPRHRERSVEGLQPRGSAGHTYRPDSPYGQLGRMVGTSEWVRVAKGHELPRGTSVQRVLVPKGFEFQTVPLDQGLGRTKWTVCGCIVKRMDGFGSDQPQRLSGISYNKPWGETHMMAMEGRLYQYLLSRRWLIKSGSRIMFHDD >A06g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9784231:9789433:1 gene:A06g503070.1_BraROA transcript:A06g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLLALAIEKWWLPLPRVPSDGLSEQSRKKLYHTPDFTNQILKSHKHTLKLFQRRPCLGDFLIENITSDNFSADYLIGVNRSFFWHTPIFLATTRTTKPRAPPSNFYSFGMVPSGSSHKKNRSSNQSLYFNVVSDHGPVRSSTGRFTVTRQGSMNCQDCGNQAKKDFPHMRCRACRKGQGEASSGGGDDHDDDDREGDEKGAQGGGGGGGSALTCIRVVHASSSGSASSVATTTAVTAIIPHQLTFLRFTRRQRLRSTLLSPPVNPSLHLLGWSVNNYPTRNLKFEMHKIVMVNGEMSLEPGSMWMKEWLKENVKEEEYVVMKAEVEVLEEMMRSKTIKWWISLLGMQANKAKGENMETDSRKKLYHTPDFTNQILKSHKHTLKLFQRRPCLGDFLIENITSDNFSADYLIGVNRSFFWHTPIFLATTRTTKPRAPPSNFYSFGMVPSGSSHKKNRSSNQSLYFNVVSDHGPVRSSTGRFTVTRQGSMNCQDCGNQAKKDFPHMRCRACRKGQGEASSGGGDDHDDDDREGDEKGAQGGGGGGGSALTCIRVVHASSSGSASSVATTTAVTAIIPHQLTFLRFTRRQRLRSTLLSPPVNPSLHLLGWSVNNYPTRNLKFEMHKIVMVNGEMSLEPGSMWMKEWLKENVKEEEYVVMKAEVEVLEEMMRSKTIKWWISLLGMQANKAKGENMETDYFVVL >A07g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6650860:6651581:-1 gene:A07g503390.1_BraROA transcript:A07g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFHETTKAIQMDDEWWNDRIQQIPDAAKLRAHPLTDIDRLDQLFGGKHISTDDGYYPGSGVDQNTESETVTENEHDTVNLEDDSDAPSRNQNETPSSYNQSSKRVYGNTSRSSSSARKRGTPKVSYDFVTNEAYMKRTELYEQAQDNKLECAIASLQTLPGLQYDSSLYWGAVTVLQSNETHARVYLTLPDDDARIKYLERMTGIDREAE >A07p014300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8931272:8931607:-1 gene:A07p014300.1_BraROA transcript:A07p014300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKSAKMKQFVTPTRRRSDDIEAKEREAIGHRRTGKVDQRYQENSREKPPPPSKRNTTRRQKPNSPVRREREPPETKTGYTRRIDRSIQETKSPKGIGDGDLGLNSSSFS >A06g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15648512:15648928:-1 gene:A06g505280.1_BraROA transcript:A06g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWGQTHICLLCGEPNKTRGHLFFACPFTYTVWTTLMNPFLSNRLSKLDARLVKMVFQASIYWSWRERNGRRYLHPPHSAMYIVRTVHREMQNRLIALQVGSGDGVTNEGLSRWNTKTVLH >A06p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25338748:25345720:-1 gene:A06p047820.1_BraROA transcript:A06p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREKTESVSSKSSCNIHVIFRFADWIDIVLMVLGTVGAIGDGMSTNVALVFASKIMNSLGYGQHNHHTFKDEVQKCSLYFVYLGLAILGVAFMEGYCWSKTSERQVEKIRRTYLKAVLRQEVSFFESEDASISEIIHTISTDTSLIQQLLSEKVPIFLMHTSVFITGLVFAAYFSWRLTLVALPSLVVLLIPGLIYGKYLVYLSNKSYNEYAKANSIVEQALSSIKTILSFTAETQIIKSYSEILERHKKLGLKQGLAKGLAVGSTGISFTIWAFLAWYGSRLVMHKQETGGRIYAAGISFILSGLSLGTALTEIRYFSEASVAAARICRRIDRVPEIDGEDTTKGIIPNAKIEGRVEFEHVTFTYPSRPKSIILRDFNLRADAGKTVALMGASGSGKSTVIALLQRFYDPAEGFVRIDGFDIKTLQLKWMREQIGVVSQDHALFGTSIKENIMFGKDNASMDEVISAAKAANADEFITQLPDGYDTQIGDRGGLLSGGQKQRIAIARAIIRNPVILLLDEATSALDAESETLIQVSLDQVAAGRTTLVVAHKLSTVRGADLIAVLENGSVKEMGSHEDLMTKNNHYAKLINIQRQFSSQEHRQDLQDGSKTPEGRQYWSARNSFNRLSIRSTPDLIASPIPFESIHASEPDDNLPSTSFTRLLPLVSPEWKSSLLGCISAATFGAIQPIYALNIGGMISAFFATSSKQMQDRIRIYSLIFISLTFISMTLNLIQHYSFAKMGERLMQRLRLRMLEKIFTFEPAWFDVEENSSGELCSRLSNEASTVKSIVSDRISLLVQTISGVLIAMVIGLLVAWKLALVMIAVQPLSVLCFYTKKVLLTSISRNYAYAQNRSSNIASEAVYNHKIVTSLGSTKKIIEIFDKAQDEARRKGKKAAWLAGFGMGSAQCLTFMTWALDFWYGGVLVQKGEISAGDVFKTFFVLVSTGKVIAEAGSMTSDLAKGSAAISSVFKILDRPSAQDNSNHGAKIEAITGRIELKKVDFSYPNRPSIPVLQQFSLDIKPGTSIGLVGTSGCGKSTVIALIQRFYDVEKGCVKIDGVDLREIDIKWYRKHTALVSQEPVVYSGSIRENILLGRPESGEDEVMEAAKAANAHDFISAMEDGYETECGERGVQLSGGQKQRLAIARAFLRNPVILLLDEVTSSLDSDSEQAVQEALARIMASRKMTTVVVAHRLNTLKKLDRIAVIADGTVVETGSYDRLKNMGGQFSRLLHAHDLKS >A02p042390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26626870:26627602:-1 gene:A02p042390.1_BraROA transcript:A02p042390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFLCHLIIFAIITFVVQGLCGLDNVTLQQSKSGMVQNKPVWKVTLMNPCRCPLTNLKLSCTGFQSVVPVDTLTKTGDVCLLKKDILGTFVFTYVWDTSFELKVISGTIKFKVVNGTITGCT >A04p003430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1688737:1690195:1 gene:A04p003430.1_BraROA transcript:A04p003430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G58010) UniProtKB/Swiss-Prot;Acc:Q9M2P7] MALLQHCSVSATSAVRLSFSSSASPRASLNLHPEKKSHPRRMICRAMVQEAAAQGIPSVYAREMERLSAKESLILAFNDAGGFEALVTGKITDLQKIDVNERITTLERLNPTPRPTTSPYLEGRWSFEWFGSNTPGSLAARVIFERFPSSFVSLSSMDIVIKDASTRATANVKLLNMIENKVILTSKLTVEGPLRMKEEYLEGMLESPTVIEEAVPEQLRGLLGQATTTLQQLPEPVKDTLANGLRIPLGGSYQRFFMISYLDDEILIVRDTLGVPEVLTRVETSSSSSVVENVEYNS >A07g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4080765:4081041:1 gene:A07g501940.1_BraROA transcript:A07g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFPFLRSIFSVVATTTACHHNDKAEELDGGGGNRTVVWLEDVGALMVKGLRRRRKKTIMTSRLS >A04p038580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21923144:21924924:-1 gene:A04p038580.1_BraROA transcript:A04p038580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPALFAQCLPGLLPQDRGGVSALSEKDLQVPTPAVEIIPSKTSAHNRYSGENLDVLGLPVFKGKVSVADIIGLSGSETAPSKYEGSVKSWDSSIVLVDVLKNEIRDGQLSFRGKRVLELGCNYGVPGIFACLKGASSVHFQDLNAETIRCTTIPNVLANLDQARDRQSRQPESPLTPSRQAVSPSVRFYAGEWEELPTVLSIIRTDVFEPAAMSLSFSEEDFNDGCSSQDGSITGQQQQDFFSRRSRKLSGSRAWERANESDQGGECGYDVILMTEIPYSVTSLKKLYSLIKKCLRPPYGVVYLAAKKQYVGFSNGARHLRNLVDEETILGAHLIKETTDRDVWKFFLK >A10p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16275273:16279832:1 gene:A10p025350.1_BraROA transcript:A10p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAETEGSLADDREVISGFETKSPWKTTASPVETVDAPVMGAHSWPALADAQQPRPKNLPTAAPPAKSIPTSIPAPAQGVAGQGKSKGGGKGNPAHKNLSGRHSKPGPKSNPSGPPPPPPPYVMHGVPYHPSPFPPMVPPPHATGPDYPYAPYPPYPVPGAPVAESGSEKKAQASPLPPVLPAPQGDHPGQPWQDQRGFGPRNMPHGAAGPRNFVRPPFMGQAPGFMVGPGSGFPGPVYYLPVPPPGAIRGYPLRYAPYPVNQADSSGNFLEYFSALSPSLLVNWVAPHLAMLTICVVLPFFSDENLQNDKYLISLMDKQEGWVPIKIIADFKRVKMMTMDVEFIVYALGYSSSVEVQGEKIRRRDEWAKWVPASKRSDSEEKVGDNDGDSPESTTSRDNSEKQSNDTSKPTACSSEGAQPSRTNANGSDILKSSSSEQRNMDDLIEDEDDDIAVDDHDHDIQKLVIVTQNSGRSDGTGISGTKAKNIPKELASTINDGLYYFEQELKKNRPGRKKNNSHLDSRDGKVKGGGLNIKLGENSAANGGEEHSIRRKQNKGTHKNQMAHVRRFFSGNTRNHGAVSESPPSSSIGFFFGSTPPDSHGHRLSKLSSSPQYSLSGSSPPVGSLPKSFPHFQHPSHQLLEENGFKQEKYLKYRKRCLNERKKLGSGCSEEMNHLYRFWSYFLRETFVPSMYEDFQKFALEDAAGNYNYGLECLFRFYSYGLEKQFDEDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHYRGQKEPIKKHPELEKLLKEEYRSLDDFRAKDSVTSQKENKSH >A09p080650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58957853:58958726:-1 gene:A09p080650.1_BraROA transcript:A09p080650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NMTLSTNWEDVYQLVDDFPWVQFYSTGWAYSLMRDMNAACRIANLIGPESLSVTYLVQYMSEFSTLTDTLGIPFLESVRPFLMYEGMVLDDDYQRLFAQFLRLPAIPLPSGIRRFVVTDMRVHTLDLYRNAEEFETKFIDVMRYSPVTASTSQTMLLYLSCHAMFATGIGKSQGVPYVRFRDSSGLIDGGFMHVELGMGVIDQFVELIGAYIMM >A10p000370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:196068:197167:1 gene:A10p000370.1_BraROA transcript:A10p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIHYKSSYQVKRNSFSLATYFIKAKESLSGIIVVLNKCEEKNIHFCVCLSEEGKSIKRSRRGSRYSVCLAAFLGGLVVSFKEQVHTDVLVHPGDQSPPIPTHKAILSSRSKVFRNMLDSDECKASAEESITLPDLNHDELHSLLEFLYSGNLIAPYNQYRALYLAADKYDIAYLQDVCRDHFIASLTSRNVLDILELASIPSDTILKAAAINLVVKHMEDVVVPMKYETFVQRNPNLGVEITRAYLREIKSLTKDSRSASCNHRPHSRIY >A10p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2215135:2219706:-1 gene:A10p004210.1_BraROA transcript:A10p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYTSCADPTESAARKERLRQAEAQDQVEESAVQMVKASIARENAEAESLAAVGVSSQERIPTISRLGPANVELMREPNDAQTGENQDVRIPVVDRLVHTTADVTTAENPLTTKEAGGKKKKPGRPAGRRKVASSPRLAPDHKPLLTFFDNGAKRCRGLFRYDRRLCKNEEAKKVIAEAWNGTANASVSGKLSFTRSAISAWDRTQDRNSQDLIDQRKRDLDASPQTRLPPGSSGTCGRHVTNLSLKVVRIPLKIRLSISISLAKEWCSNQKKEPSAPSKLVRNPPQELLPAGTVVIRSDAAWRLQGTAAGLGWVVHSPNGIRHFKKRVNHVSSALLAEGLALLEAVRTGVEEEQRNVSFESDSALVIKAVNSGTCVPELYAVVSDIQSLYLCSNLSLLFGSLERGMAKPIC >A09p050280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44196689:44199475:-1 gene:A09p050280.1_BraROA transcript:A09p050280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFDEFGRPFIILREQDQKTRLRGIDAQKANIAAGKAVARILRSSLGPKGMDKMLQGPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERQLDRGIHPIRVAEGYEMASRVAVEHLERISQKFEFDVDNFEPLVKTCMTTLSSKIVNRCKRSLAEIAVKAVLAVADLERRDVNLDLIKVEGKVGGNLEDTELIYGILVDKDMSHPQMPKQIEDAHIAILTCPFEPPKPKTKHKVDIDTVEKFETLRKQEQQYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGMVREKSFGTTKERMLYIEHCANSKAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNKSIVYGGGAAEIACSLAVDAAADKYPGVEQYAIRAFAEALDSVPMALAENSGLQPIETLSAVKSQQLKENIPFYGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISNSEY >A10p020640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14013176:14015080:1 gene:A10p020640.1_BraROA transcript:A10p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORP4C [Source:Projected from Arabidopsis thaliana (AT5G57240) UniProtKB/TrEMBL;Acc:A0A384LHK8] MAEEEEGMRKQVVLAKPFSLDDEKDSEHTTSNLIQRILSLFKNVRPGSDLTNFQLPPQLNLPRSQLQCYGEMVYSLNGQDLLGECGRREQPIERLKSIVTWNISTLRPLIFGLAPYNPIVGETHHVSNGHVNVLISHHPPVSALHATHEKVNIDVTFCQYFTPKFRGAYVDVEVKGKRVVKLLNQKETYEMNQPRLVMTFLPVTGAHWAGKIVIKCLETGLEAELQLLSDSFLSRFTGNNKRSIKGKIFESSSGKRLYELFGQWDRTVTAKNLKTGEVEVIYNASENIAELKTPIVKNLQEVSASESAMVWSEVSEGIMKQEWEKAREGKRDVEEKQRESRRQREASGQSWIPKHFSVVRAGKDWDCVPLQPRVPQAPIVVPL >A07g502930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6031298:6031546:1 gene:A07g502930.1_BraROA transcript:A07g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNRPRTSSSMAIGPQTSQARSIRGDQACTQLGRYVATELSQARSLHSNRASVSLSLRSGRAFASLGRYVVTERSFRSVAT >A10p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2384590:2387708:1 gene:A10p017580.1_BraROA transcript:A10p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQNRGGLVLWFILISGFLVISSLEVNLDKDEPFLTPFVAPSTGMVNEPVVESSWTQSCWQDSDCVKEAVAVFNLCLPASRELFGFKHSHLRQTLLGCIQEQAKLNGLNLKYLNLLPYLFDTPRRNLASRPVSLSPSPSPSPPPKRSRVPPTRSRSPSPSNSFFPPSRSPPPAKKTASSAKRKEEHEKTIIIAVVSTAVSTFLLAALLFLCCTRVCGKGSGGRKNDERPLLSLSSSDHSVGSSINYGGSIKGGNQSFNIYSNQGKMSSFDGSNSDASDSLEERLSHEGMRTHGLPPLKPPPGRTSSAHLGKPPSGKVEPLPHEPPKFLKVSSNKGSHHPQPPVPPPPMPSSAGPPRPPPPAPPPGSGGPKPPPPPGPKGPPPPPGPKGPRPPPPMSLGPKAPRPSSGPAKSPSDDDGAPKTKLKPFFWDKVQANPEHSMVWNDIRSGSFQFNEEMIESLFGYAAADKNKNDKKGAAGQAAPQFVQILEPKKGQNLSILLRALNATTEEVCDALREGNELPVEFIQTLLKMAPTPEEELKLRLYCGEIAQLGTAERFLKAVVDIPFAFKRLEALLFMCTLYEEIAFVKESFQTLEVACQELRGSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGTDGKTTLLQFVIQEIIRTEGRRAARTIRESQSFSSVKTEDLMAEEASEEMEDSYRNLGLQKVSGLSSELEHVKKSANIDADGLTGTVLKMGHALSKARDFVNSEMKSSGEVSGFREALEDFIQNAEGSIVSILGEEKRIMALVKSTGDYFHGKAGKDEGLRLFVIVRDFLIILDKICKEVKGKPVKMARKQGSTASASSETPRQAPSLDPKQKLFPAITERRMDQSSSDSD >A10p015360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3654360:3656129:-1 gene:A10p015360.1_BraROA transcript:A10p015360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDDMARSRKRIRNMVAGPYNAATLFSRPDPSAYSSGTASTQEHVPESQSQGRSLQATLAPYVPPAPYEPAPYYPQFLMDNCLGQTVSDIIKANFWHTHPNLSLTLYHVWRTCFNKNKLVYCCHRKGEGSVKGMSRLKNNVGDWKDGANPIYVINDVWKGLKAYWNLPKSVRRFLKCSAARLTSDAEDNLPIPIHLDSPHTLGGGTYKLRTTMPTGTTLGPWWGCSMLLQRQTRPLHRCGRLYVRTLTPNPLWKNREI >A03p036670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15352050:15353200:1 gene:A03p036670.1_BraROA transcript:A03p036670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQCKCCSRYPSSSSEAASSRGHGPTSKPQNDAVPKPLSSTTIHVPSPSFEMVYSVLSQRGYYPDSPDKENQDTYCIKTELQGNPNVHLFGVFDGHGVFGTQCSNFVKNRVVELLSEDAALLQDPEKAYKTAFLRVNEELHSSEIDDSMSGTTAITVLVVGDKIYVANVGDSRAVLAVKDGSHRNRILAEDLSYDQTPFRTDECERVKGCGARVLSVDQVEGLKDPSVQTWASEENEGGDPPRLWVQNGMYPGTAFTRSVGDSLAEGIGVTAEPEVSMVKLSANHLFFVVASDGIFEFLPSQAVVDMVGRYADPRDGCAAAAAESYKLWLQHENRTDDITIIIVQIKNLSNE >A09g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17068028:17069626:-1 gene:A09g505380.1_BraROA transcript:A09g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVSGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIEFLELLQPLQPPFPTPPSTLSALEGPLSLLLVGSSDSRIPRTLTRMESLWASPFSSLMNWGHISLEGEPLATMLPLCFNPTAGFCHRPPLNLKSEEKWSAAFWKMVT >A01p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24380657:24382970:-1 gene:A01p044410.1_BraROA transcript:A01p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRCYKKTEASGLGAICVYSAEHREAYLNKAGSLKLEVEFEGLLVSILRFDSWLCLGFRMVVTVSDEETSSLCFFASESTTSQTFWTERPPGSYSLKIQNLAQLIGEKYHTRGFLVNGYNWRLIIYPKGNEKDNGSGYISMYVEIDSTSEVFAYLTFFVYNKKVDKYLCIHDTQVKRFNALKKVWGSSQMLPLELFNDPKNGYIFEEDQCEFGVDVTIDSTVTNWEIVSFNENFCYPKFFFSVKNFTMLNEHLYFMSNTFSVGGNTWVLRFIRKCFSTLDDKWISIFLHLADNERLLPDERIYTRGHFRVLDPYGSNHITEKFNCWHDQSNSGCGHDKVVSMDKLQEVYLDEEDTLSVAIEFEVISATNYSPINYSAII >A07g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21221433:21223749:1 gene:A07g507670.1_BraROA transcript:A07g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDMQRAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQLISSHPDLSKPTPREGSVQLKANQMKIISDENQVNTEKASSVQSSIIYDCDAEALSNSIRPSQSYSMMIKWRYCPELVQNHGFRSVEVLLDTSPGSPKNCPEARRGYVRVQISLYRPVSVFMEKPNFCPRQDQSSPVKSSIGFWPSLLRSTSCFSPRTLFPLSLKIVSQREAGLSGVECLVRIWNFIVTLGSRLSLCCVSGADSSDVKSKSGLGTGLGLMCISFPLLEARSWQEAKSNLVTVALGKDDRIASCWTLGPPV >A05p003890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1507961:1508815:1 gene:A05p003890.1_BraROA transcript:A05p003890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSFPSSSLALLPSLRALGSSQLKKLNFLQSHYLSPKLLLLSTKQSNVSRHRAFSLSSSSPPEETVSMVDFHEKDWSFLESMETQQTQKLEKIVKAGEVTESSRVLVSFSSEAFVDRLVESSPSQLLLIVHDSLFMLACVKEKYDKVKCWQGELIYVPEKWSPLDVVFLYFLPALPFGLDEVFKTLSQRCSSGARVVISHPLGREGLKQQRKEFPDVVVSDLPDDSTLKSVAKKHSFELAQFVDEQGLYLAVLTFSKQ >A06p024710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15337664:15341566:-1 gene:A06p024710.1_BraROA transcript:A06p024710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLNLVYLLFMADPREIVFFLITCLPDLGCLSRFKLFSASDSQPMRLRLRAELFLASFAVREESIHSEEGGGAYISKYIKGILKSRLSRREQSGWNIIDDTTYMAFFEEFSSLNSVFHTFLFYGRLPSPFSRERARNDLLKDHKVLKWQAFLGGSIGGCGHDVVIRSATEPEVNPKPYSTSQGANKDIHALQMPYLTNQEGLNHEANFYGFYTQEGVQTNCNWAKIFTEKEVMNFTTRKFLSPSICEYPTLEGDLSSSKERPEAKPIIEVKRSLSAFHKAQDQEKWPRNYEVMIQSPKPVKPVLDLPQLEANRFNQLQTRHWRPGDHFNQSGDILGVQEEFCKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFSLQIRHEIRTYKAPKKVPRKLSYPLKPSRSATEPEVNPKPYSTSQGANKDIHALQMPYLTNQEGLNHEANFYGFYTQKGVQTNCNWAKIFTEKEVMNFTTRKFLSPSICEYPTLEGDLSSSKERPEAKPIIEVKRSLSAFHKAQDQEKWPRNYEVMIQSPKPAKPVLDLPQLEANRFNQLQTRHWRPGDHFNQSGDILGV >A03p052460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20045855:20047390:-1 gene:A03p052460.1_BraROA transcript:A03p052460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLSNADADSLQRLLNLSLGAKENTAKIVNGSRSANSTNPQYQPLDIEFVEKILNYKFKDKSLLLQAFTDATFDENCVSYERLEFLGDTVLNMVITKYLYNRYGDCSPGLLTNLRAVNVDTEKLARVAVKHNLHRCLRHKKPLLEDQILEFTKAMETYPLHSRGLLKVPKSLADIVESTIGALYTDCDSFETVWKVVMPLLEPIISLDKLENHPMTELHEMCQKKNLKLRFDDSSWEVDKRVLVFIEDKLVGRGHHLAKKDSAKNCAAKDALDNFSYFFTKI >A07p027360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15630286:15632977:-1 gene:A07p027360.1_BraROA transcript:A07p027360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGVLLPSLIPSLQSVYVLVSYFVYLAVAGELLPGKVIRGVVLSDGSQLRYRCNGLFALTLLVAILGISAKLGIVSPLVVADRGLELLSATFIFCVLVTLVLYITGRSSSDKSSSLKPHVSGNLVHDWWFGIQLNPQFLSIDLKFFFVRAGMMGWLLINLSILAKSVQDDSLSQSMILYQIFCALYILDYFVHEEYMTSTWDIIAERLGFMLGWWLLHNKVELTVPAIVANCFVFLIGYMVFRGANKQKHIFKKNPKTPIWGKPPVVVGGKLLASGYWGIARHCNYLGDLMLALSFSLPCGISSPVPYFYPIYLLILLIWRERRDEVRCAEKYKEIWAEYLRLVPYRILPYVY >A07g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11694641:11698731:-1 gene:A07g505330.1_BraROA transcript:A07g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGIQLIEPTTEAFHTNDTCGWENAHGGRKGTEIGGNQSHQEVLQNQRADGKGIAVSPSRFSPLQDIVEDEEDEEGEEEILKEVEDGEILESKAAGKKVQRTQAVSSRRSVAVGKQARGKVARSKDLLYVGMQGTTKKTSEHPDFLATVKDVWDTTEPIYHSRSALSRFHKKLKLLKQPLRALNKTHYGDLPARTKQAYEELCNCQNTVLQDPMWESLARRLIGNSINPDWQWTLHRIQRMSQGKADTVLVKLLLQTTIYHIWRERNGRRHQQSRVTTDHMRRRIDKAVRNRISSLKYRFDHKYGGLLSRWFQLSM >A06p025350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14847933:14852161:1 gene:A06p025350.1_BraROA transcript:A06p025350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MIAEKPVWVKHEGLQQIFSIDVQPNGERFATGGGDHKVRIWNMKSVDRDLQNLDPKERLLATLRDHFGSVNCVRWAKHGRYVASGSDDHVIQVHERKPGSGTTEFGSGEAPDVENWKAVMTLRGHTADVVDINWSPDDSMLASGSLDNTVHIWNMRTGICTTVLRGHLSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSMAHRTVGHWEKSLGTTFFRRLGWSPCGHFITTTHGYQKPRHSAPVLERGEWSESFDFLGHNAPIIVVKFNHSMFKRSTSSAQEMRRAGWSNGSSKSAGKDLQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFGQSVVDLSWSPDGYSLFACSLDGTVAMIHFEPKELGVKLTDTELDELKKNRYGDVRGRQANLVESPAQLLLETVSTKLAGGKRAASDVQANQVTAKPSANAESTAKKSKSQVDDQNKKEEACGDTLSKASTPSRVSNPVNQKVYRRPDGRKRIIPEAVGVPQQENNISINEHSNTFPPAPSAAPSKINSRDFPVEPPSIKDSSTKEIAIRNPDLNNERPRITARATISESLVIEKVPGASARDGVLNVEQSVGIKGSSSRDLLIRVFDWKQGEATAPVCLEACPKEHALDTVGVVSTSTIKETEVVCKRSGETLWSDRIMGSVTVLAGNPNFWAVGCEDGTLQIYTKCGRRAMPTMMMGSAATFIDCDDSWKLLLVTRKGSLYVWDLFNRKCILHDSLSSLVSSDTNLSSAVEGSIKVISVKLSKSGSPLVVLATRHAFLFDTSLMCWLRVADDCFPASNFSSSWNLGSAPCGELAGLQVDVRKYMARKPGWNRIADDGVQTRAHLESQFASSLALESPNEYRQCLLAYVRFLAREADESRLREVCESFLGPPTGMAEAATSDTNLCWDPYVLGVKKHKLLRNDILPAMASNRKVQRLLSEFMDLLSEYENTELAEAATKESAPTIDCSGVPSSLDQMDSDPPAVTATTPLTIDNDKQVSLETGIMCEKSGSEAQERHDQSLRDPGA >A07p005540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2073181:2075129:1 gene:A07p005540.1_BraROA transcript:A07p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMQNLLEKLRPLVGARAWDYCVLWRLNEDQRGYRFVKWIGCCCGGTQLIEENGTEEFSFEGCRDVMFHHPRTKSCEILAHLPSSIPLDSGIYAETLLTNQTGWLSESSEPGFMQETICTRVLIPIPGGLVELFATRRVAEDQNVVDFVMGNCNMLMDETVTINMMVADEVESKPYGMLSGDIHQKGSKDEEMMNLPSPYDISTDQMRLNFLPQMSEYEAQQHLKVKSDYHHQDLGYLPENGSKEMMGMNPFSTEDGMSVMGEPSLLVNEQQIANDKEMNENGTGSDCSDQIDDEDDPKYKKKTGKHSQAKNLLAERRRRKKLNDRLYALRSLVPRITKLDRASILGDAINYVKELQNEAKELQDELEENSETEDGANRQQGGVSLNGTVVTGFHPGLSCNSSVPNLKQDVDLENANDKGQEMEPQVDVAQLDGREFFVKVICEYKPGGFTRLMEALDSLGLEVTNANTTRFLSLVSNVFKVEV >A06p004460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1171806:1173164:-1 gene:A06p004460.1_BraROA transcript:A06p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFHAFMYPYFAFGHMIPYLHLANKLAEKGHYITFLLPKKSQKHLESLNLFPESIFFHPITLPPVEGLPVGAETASDLPPNLTGKVISDAMDLLRDQIEAKVCALKPDLIFFDLTPWVPEMAKEFGVKSVSYQIVSAACVAIALAPGVELGFPQPAGYPSSKVGLRGHDANLYSIFMNSHKRVFSRIITGIKNCDVVSIRTCAEIEGKYCSFIERECQRKVFLTGPMFLEAEENSVRPLEDQWNRWLNGFEPGSVVFCALGSQTILEKDQFQELCLGMELTGLPFLVAVKPPRGASTIQEALPQGFEERVKGRGIVCGGWVEQPLILSHQSVGCFVNHCGSGSMWESLVSDCQIVFIPQSGDQVLTTKLLSEEFEVSVEVQREDSGWFSKESLRDAVKSVMDKDSEIGNIVKRNHMKLRETLACPGLLSGYADKFVEALENEVSKTSYSS >A02p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1106343:1109141:1 gene:A02p002640.1_BraROA transcript:A02p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAPVTMDHVLLALRETSEEREIRIRSLFDFFDNSSLGFLDYAQIEKGLASLQIPPEYKYARDLFRVCDANRDGRVDYHEFRRYIDAKELELYRIFQAIDVAHNGCILPEELWEALVKAGIEIDDEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVCLIDIGEQAVIPDGISKHVKRSRLLLAGGLAGAVSRTATAPLDRLKVVLQVQRAHAGVLPTIKKIWREDKLRGFFRGNGLNVMKVAPESAIKFCAYEMLKPMIGGEGGDIGTSARLLAGGMAGAVAQTAIYPMDLVKTRLQTCVSEGGKAPKLWKLTKDIWVREGPRAFYKGLFPSLLGIIPYAGIDLAAYETLKDLSRTYILQDTEPGPLIQLSCGMTSGALGASCVYPLQVVRTRMQADSSETTMRQEFMKTMRGEGLRGFYRGLLPNLLKVVPAASITYIVYEAMKKNMALD >A10p024640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15942087:15943217:1 gene:A10p024640.1_BraROA transcript:A10p024640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPHEKVVQAILYGHSCAKRLKLRLEDPMADDRSVSSYDLAKSIVHCFSNAISILSDQPKSEDDQVSELSSMDSSPPLPHSKRRKINSTNSTKNWRDDSPDPYYDGFLWRKYGQKSIKNSKYERSYYRCSYNIDHDCGARKHEQQIKENPPVYRTTYFGHHICKINHNHDAVFTAVEDQVDDAGSSRIIRFGKELDQEKGSHSTGFSLSAKHEESIIKEETCTDQYREITGDDKDCQHVMEENQSSLSSSYTPPLSSVSETDMFDSDLLLNNLDSWDRYGLFDFGVH >A04p002620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1261254:1263230:-1 gene:A04p002620.1_BraROA transcript:A04p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIILFEAVLVLFFHNSHGYFIPTGSVGIGYNGYFTLTNTTKHTHGQAFDSKPVTFTNSSTNITTSFSINFFFAIVPEHDQQGSHGMAFVISPTRGLPGASSDQYLGIFNETTNGKASNNVIAIELDINKDEELGDIDDNHVGVNINGMRSVVSASAGYYDDKDGKFRNLSLISRNVMRLSIVYSQPDKQLNVTLFPANISVPPRKPLLSLSKDLSPYLLEEEMYLGFTASTGSVGAIHYMTGWFITGEIKFPRLEFGMLPILPPYPKKSSQRTKTILAVCLTVSVIAAFIASWFGFVFYLRHKKVKEVLEEWEIQYGPHRFAYKELFDATKGFKEKQLLGRGGFGQVYKGTLPGSDAEIAVKRTSHDSRQGMSEFLAEISTIGRLRHPNLVRLLGYCRHKEDLYLVYDFMPNGSLDKYLYYRNNENQERLTWEQRFKIIKNVAAALLYLHQEWVQVIIHRDIKPANVLIDHEMNARLGDFGLAKLYDQGFDPETSKVAGTLGYIAPEFLRTGRATTSTDVYAFGLVMLEVVCGRRLIERRAGEEQEVLVDWILELWEKGDDILVAVEDNIRQEENRGQLELVLKLGVLCSHQAASIRPAMSAVMRILSGVSELPDNLLDVVRDENLRGWPEKPMELLFDVNSLGTSTYTDSLVSHGR >A09g505760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18041862:18042221:1 gene:A09g505760.1_BraROA transcript:A09g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYGIAGLFISCYLWCTILWNVGSGYDLFGRKEGIVRIFRWGFPGKSRRIFLRFLMKDIQSIRIEVKEGVSARRVLYMEIRGQGGIPLIRTDENFTTREIEQKAAELAYFLRVPIEVF >A09p059240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49631062:49632848:1 gene:A09p059240.1_BraROA transcript:A09p059240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPIEIAATKNYAEKQASLPLLNERILSSMTHRSVAAHPWHDLEIGPEAPIIFNCVVEIGKGSKVKYELDKTTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPIDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATAAYEAVQHSMDLYADYVMETLRR >A03g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21842399:21843061:1 gene:A03g506110.1_BraROA transcript:A03g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRVWNVCERVNQEACKVVETMWMQDAELVWNKLEEQVYTMEKRQEINLWTKFMPWGICAFQEEKNGITIKWLWSRVYSLLGVFLGRAGVLAEVLMTERQIWVD >A01p045730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25795171:25796957:-1 gene:A01p045730.1_BraROA transcript:A01p045730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGENLIVSKPYLFDPSLYLKALKLCSCQKVEKQFVLIHGNSVTNAFVSNLQLNNSLLNLYLRQGDVKHARKLFDRMPKRDVGSWTAMISGYSQRGYHRRALLLFKEMRREPLRANDFTYGSVLKSCKDLGCLREGKTPDCFTFEGLFRASIQVKCLEMVSELHGFAIKLGLERSHELIRSLIDAYGKCGSLVNARKLFEGPMRRDLISCTSLITGFAQQNSFTSDAFDIFKEMIMMRNQMDEVVVSSMLKICTTIASSSIGRQIHCFALKSSQIRFDVALYNSLIDMYAKSGEIEDAVLTFEEMEERDVRSWTSLISGYGRHGNIEKAIDLFNRMEQGTKPNDVTFLALLSACSHTGETELGWKIFNTMINNKYGIKVREEHLSCMVDMLARGGNLEEAYELIRSENGITSLSSSAWGAFLDACRRHGDMHLSEVAAAQLLSMEPKKPVNYINLASVYADTGDWDNALKTRKLMKECVSCIKAPGYSIVY >A03p011550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4620298:4620843:-1 gene:A03p011550.1_BraROA transcript:A03p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 12 [Source:Projected from Arabidopsis thaliana (AT5G60480) UniProtKB/Swiss-Prot;Acc:Q9FKJ9] MGFLYNECLKNQAVSFGGYALDGCGEFMPKSTTILTDPPSLSCDACGCHRNFHRRDPSSVFIHRTNSPPPPPPLQPATSTHLLLSLSGSGFSGPSDQDMGKISTVDRKRKRTKFTVKQKVMMRAFAERAGWKINGCDDEYVREFCREVGVEREVLKVWIHNNKYFANGRNRNTTSSMFQKL >A05p021290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10109435:10111010:1 gene:A05p021290.1_BraROA transcript:A05p021290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSMLVRSSSSAKQSLLRRNLSSGSVPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSEVVGYMGDDNLAKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCSAIAKYCPHALVNMISNPVNSTVPIAAEIFKKAGMYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQATPQANLSGDVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKPELKSSIEKGVKFANQ >A07g501540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3150586:3151287:1 gene:A07g501540.1_BraROA transcript:A07g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLKLGSRMINLPPSGLTGPLYPWIFWVLWTSRNQLMFEDKSFSETEMVLKAIKAAKEWQESLPQRKKRSASSKDCHTSNSLSQVPTNAHIVFSDAAWNSSTGAGGLSWICTDAEGAHRFQGTDTRRYIASALVAEALALKAGLSQAISSGIKDVICVSDSKCLIDLITGNKTVVALRGMLHDISVLSQSFSSISFRFIPRTCNEPADRLAKNSLFQFSKHFSEMTNSVIA >A09g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28903313:28905139:-1 gene:A09g509930.1_BraROA transcript:A09g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKLLKENGNTHGYSFGASMIAKTIETSPCVTDIVRMDRDPNVRSRNQHESSPAPVGTNQSPHLDREGGRESETQEDGQDGAGLSEEEEESVSVSHNQGEQSQEDEEHQSGIQEPSTQDEP >A05g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2898095:2898627:1 gene:A05g500810.1_BraROA transcript:A05g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSLCLQEKLRLLSKQRGGWTEGFDEGKANGEGNSVQFNGNEVHDFVSCGESHPESPEIYSLPVTKYNLNFELVDEEDCCYFQATKKHVVQARGGDIFDVYRKKR >A08p019990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13571233:13571679:1 gene:A08p019990.1_BraROA transcript:A08p019990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFLTFALMSLLLAFSFVYGGGESESPTHSAASPSSDPTGGSSGASGSAHGPNWGYDWGWGSTPEGGYGYGSGSGSTPDGKGKGTGFGFGSGSGSGTGFGFGLGGGGATGGGTGHGSGTGHASEGGGSGGGNGGASPGRRERSQHR >A07p035660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19267106:19275024:-1 gene:A07p035660.1_BraROA transcript:A07p035660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT3G48110) UniProtKB/Swiss-Prot;Acc:Q8L785] MAILSLSFPLIISSFLRPHASPRFLHLPRYLPRSPDRRFHRTAVSSNVHHHTSHRKPDGDSDSNSVSIPTFQQAIQRLQVGAGTMNPSTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFINSLSALGIDVTQHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPVSVEITYGLERILMLLQEVDHFKKILYADGITYGELFLENEKEMSSYYLEHASVDRLQKHFDSFDEEARSLLALGLPIPAYDQLLKTSHAFNILDARGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGHPLGVASEHIPPIHHGAALENVAEKVPEDPRSFIIEIGTEEMPPPDVINASEQLRVLVVQLLENQRLRHGVVKAFGTPRRLVVLVDAMSPKQLEEEVEVRGPPASKAFDDQGNPTKAADGFSRRYGVPLEKLYRKVDGKTEYVHARVTQPARLALEVLSEELPGILAKISFPKSMRWNSSVMFSRPVRWVMALHGNLVVPFCFAGNTSGNVSFGLRNTASATLLERKKIILDKSNALAKSVNGRVVVQQNLLNEVVNLVEAPVPLIGKFKESFLELPDELLTIVMQKHQKYFSITDESGKLLPYFIAVANGAINEDVVRKGNEAVLRARYEDAKFFYEVDTRKRFSEFRDQLKGILFHEKLGTMLDKMNRLEKMVTKLCLALEVDEDLLPVVEEAASLALSDLATSVVTEFTALSGIMARHYALRDGYSEQIAEALLEITLPRFSGDVIPKTDAGMVLAIGDRLDSLVGLFAAGCQPSSTNDPFGLRRISYGLVQILVEKDKNVNFKHALEIAASVQPVKVEANTLDDVYQFVTRRLEQLLVDSGVSPEVVRSVLAERGNDPCLAARTAYEMEKLSKGEIFPKIVEAYSRPTRIVRGKDVDVGVEVDESVFETSQEKELWSIYTSIKDRIHSGNSTSFSNTGMVQSYKLKFLQRLPILYPGIGIEEFTVISTQLVEPLEDFFNNVFVMVEEEKVRKNRLALLNNIASLPSGIADLSFLPGF >A02g512530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33972325:33976629:-1 gene:A02g512530.1_BraROA transcript:A02g512530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFLFLICSTESLYTTAGPDFEIVDGVADIEIPTEIFEDKSEATGSDKEVVLKLLSDLEQIDAIVVDVNNTVERIEEGPMNGGGEVLQTRKDGEWTQNGKPSSPRENLQSQGECRVVSPNGFQVLSGIREEGEIDEEEEENGTMRNDGFHLQEVGGNKEERGDASESQERELQTSHAPLYHSRSALKLFHSKLKSLKGDLRGLNKDLFGDLPSRVKLAYEDLCLKQAVNLERRNLVSLDQLWFVKYEQRQDGLFVELATDNSERLSTRLKLFS >A09p082640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59761943:59765864:-1 gene:A09p082640.1_BraROA transcript:A09p082640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylcrotonoyl-CoA carboxylase subunit alpha, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G03090) UniProtKB/Swiss-Prot;Acc:Q42523] MSMTVWALRRDVRRKSYSILVRYISSSASSGGRAKIKPPNEQCIEKVLVANRGEIACRIMRTAKRLGIQTVAVYSDADRDSLHVKSADEAVRIGPPPARLSYLSAAAIMEAAARTGAQAIHPGYGFLSESSDFAQLCEDSGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGHEQDIDHMKSEAGKIGYPIIIKPTHGGGGKGMRIVESEKDFADSFLGAQREAAASFGVNTILLEKYITRPRHIEVQVFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPNISEKFRASLGQAAVSAARAVGYYNAGTVEFIVDTESDQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPISQAEVPLLGHAFEARIYAENVPKGFLPATGVLNHYRPVAVSSSVRVETGVEQGDTVSMHYDPMIAKLVVSGGNRGEALVKLKDCLSNFQVAGVPTNINFLQKLASHKEFAAGNVETHFIEHHRTDLFADESNPAAAEVAYKSAKHSAALVAACVSAIEHSAWNESNQGKLPSIWHSHPPFRVHHEAKQTIELEWDNECEGTGSNLVSLGVINQPDGSYLIQEGSDSPSLEVRVTRAGGNCDSRVEAAGLSMNVTIAAYLKDSYKHIHIWHGSEHHQFKQKVGIEFSGDEEGVQHRTSSETSSHPPGTVVAPMAGLVVKVLVENEAKVDQGQPVLVMEAMKMEHVVKAPSSGSIQDLKVKAGQQVSDGSALFRIKG >A07g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:886567:887015:-1 gene:A07g500380.1_BraROA transcript:A07g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMHKSTRSNHVIVDVYKLSSRDPVRPQMKKRINKSTTHARSEGSNDLRNKLRRKSQTSDRTCDSHGDLRATIEKSKARKIEDSSVRPRLRPRVIDLREKLNSNLEDLRIKLNSKSEDLRIKLNRPNIQTYEEESRR >SC140g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:64997:69637:1 gene:SC140g500040.1_BraROA transcript:SC140g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHVKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQYSREEQVRPASSEEEQVEPARRSSLDKVSSQLERLETGCKVDSI >SC245g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000095.1:116900:117192:1 gene:SC245g500030.1_BraROA transcript:SC245g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRAITAEVTQLRQGGRHNGPRPPGRNQPDPHDTDSNEDRRREAKPGWEEERARTPGPSRRRPRP >A08p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8326172:8328526:-1 gene:A08p013210.1_BraROA transcript:A08p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGGGGGNEVVEEASPISSRPPANMEELMRFSAAADDGGGLGGGSSSSSSGNRWPREETLALLRIRSEMDSTFRDATLKAPLWEHVSRKLLELGYKRNAKKCKEKFENVQKYHKRTKETRGGRHEGKTYKFFSQLEALNTITTAPPSSLDVTPLSVANPVQQPSSQFPVFSLTQTPPPSQPLPPHTVSFTPNPPPPPSVDPPFPGGTFSSHSSSTASGMGSDDDDDDDMDVDQAGPSSHKRKRENRGGKMMELFQGLVRQVMQKQAAMQRSFLEALEKREQERLAREEAWKRQEMSRLAREHEIMTQERAASASRDAAIISLIQKITGHTIQLPPSLSSQPSPPPPAAKRPSSQAVEPPHSQPIMAIPQQQVLPPPPHQPPPQQQQQEMIMSSDQSLPSSSRWPKEEILALINLRSGMEPRYQDNVPKGLLWEEISTSMKRMGYNRNAKRCKEKWENINKYYKKVKESNKKRPQDAKTCPYYHRLDLLYRSKVLGSGAGSSTSALPQDQISTVQKQSSVPAMKPSQEGDVNVHQGEEPREETPQGAEKPEDLVMRELMQQKQQQQEDSMIGEYEKIEASHNYNMEEEEMDEELDDDEKSAAYEIAFQSPANRGGNGHSEPPFLTMVQ >A08g510680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24879559:24880966:1 gene:A08g510680.1_BraROA transcript:A08g510680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRGRGAWILGSAAMPHLKKRAQNSLVALQDSYLSTKDLLERQRVVFTVATSVASVATAWIGYSLRHYNETRIDQRLESIENAMKHTHELERGELKELVDPVGSRFTSTIATAGTTLIIGYGLGWRGGIWYANRKFKREQMRLAGQLKPREWKLLLGRIKPRAWPTSRFLRRPFPRQNKTSTENALKTPPPEGAA >A06p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2392346:2395129:-1 gene:A06p006950.1_BraROA transcript:A06p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILSVVSLVLIGLVAIASAAVIFEERFDDGWENRWVKSEWKKEDKSAGEWSHTAGNWSGDANDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYTTKKVHAILTYNDANHLIKKDVPCETDQLTHVYTFILRPDATYTILIDNVEKQTGSLYSDWDLLPPKKIKDPNAKKPEDWDEQEYIPDPEDKKPDGYDDIPKEIPDTDAKKPEDWDDEEDGEWTAPTIPNPEYMGEWKPKQIKNPNYKGKWEAPEIDNPDFKDDPELYVFPKLKYVGIELWQVKSGSLFDNVLICDDPDYAKKLAEETWGKLKDAEKAAFDEIEKKKEEEEFKDAPAETDGEDEAEEDEGEESDTESKTEAKSEVSEETAEKDATAHDEL >A02p058370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35025745:35028327:-1 gene:A02p058370.1_BraROA transcript:A02p058370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKKTDMAFIASMNDDLLQNILLRLPAKPFAFASCVNRSWNIVCNRILSRPKMVSAFSRNPHQFEAVEEAIDKALSDPIRPDFVIANITCGNMEDTLSLITKRVGTRVPVIVSIVAGVLGKEVCNDKAGEVKQNDQGMHICPSFAILLTIGYLPGIKVDVVPVIQSKEETEAMIGDKFVMDIRNFVSEVSDHAAPACLMLFGEDTHATEPIIQKLDYAMPAETIIVGDQKGEFLHKRANELRTVELHKDESRVLAGLIFARDRHRPIAEAGRVQFHSAISRGLSPVDLRYKVANAISTLPKWPATLMTAKRIGEAEVLNGEQILDDIEANLLGNPLWEADPYIGVIKRRKYSVGLDQKPKIMASLVFHQVTGADEQYLTVNGAGIKTGDHFQVYIPDLEVAEASLTAVSSQLRNIMSKPNKQEVVGGFVFAGSGRGDSFFGRPNADTSPFLENFPELRFGGVFCDGEIGRSLSVEEGEEKQVTISQRCLHVVSSVYLIVSYACS >A04p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4432829:4436597:-1 gene:A04p013420.1_BraROA transcript:A04p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASSFLCRSIRIPSTAFTFTRPPVSYVCLVSDKRDGVRVSPTRKVFCSGGSSATKRKPRRKSNVSDKLRPRKVEKRKDTESVSVSSETCVVEQPKEKGRELSLRALNQNGDPLGRRDLGRNVVKWISQAMKAMASDLANAEVQGEFSELRQNAGSGLTFVIQAQPYLNAIPMPLGLEVICMKACTHYPTLFDHFQRELRDVLQDLESKNVLENWKETQSWKLLKEIANSAQHREVARKAHQPKPVAGVFGMDSEKVKAIQARIDEFTCRMSQLLQVERDTELEVTQEELDVIPTPDESSDSSRPIEFLVRHGEAPQELCDTICNLYAVSTSTGLGGMHLVLFKVGGNHRLPPTTLSPGDMVCIRICDSKGAGATSCTQGFVHNLGDDGCSIGVALESRHGDPTFSKLFGKSVRIDRIHGLADALTYERNCEALMLLQKNGLQKKNPSISVVATLFGDGEDITWLEQNDYVDWSEAELSDEPVGKLFDDSQRRAIALGVNKKRPVMIVQGPPGTGKTGMLKEVITLAVQQGERVLVTAPTNAAVDNMVEKLSHLGLNIVRVGNPARISSAVASKSLGEIVNSKLASFRAELERKKSDLRKDLRQCLRDDSLAAGIRQLLKQLGKALKKKEKETVKEILSNAQVVFATNIGAADPLIRRLETFDLVVIDEAGQSIEPSCWIPILQGKRCILAGDPCQLAPVVLSRKALEDGLGVSLLERAASLHDGLLSTKLTTQYRMNDVIAGWASKEMYGGWLKSAPSVASHLLIDSPFVKPTWITQCPLLLLDTRMPYGSLSMGCEERLDPAGTGSLYNEGEADIVVNHVISLIYAGVSPMAIAVQSPYVAQVQLLRERLDDFPVADGVEVATIDSFQGREADAVIISMVRSNNLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHADPGSLGGSGLGLDPMLPYLG >A03p012980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5117944:5122337:-1 gene:A03p012980.1_BraROA transcript:A03p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDEFGDLYSDVLPPFQPPPPPLRSIDLNLRSHEQDAAEPNSSSVARVPDTTASKPSTTPSHDAIGDDKDMNFDIEEPDADSTPAIPGLATDQGTAQVGEGGYRGQGDDWDSDSEDDLQIVLNDNVADRRARMGDNEDDDEEPLVIVTDADPNQHMEEQQLWGEEAVEGEAVKGSAAGPTKAGYNSHGYHHPFHSQFKVRVNATMLSLYIRPGAAPVSGSVASAAGQVRPPANLGPMAGRGRGDWRPMGVRNASAAQKGYHQTWGGNTAGRGLDFTLPSHKAAFPLFDVYLLRISRTIFEFDVDAFEEKPWRYQGVDITDYFNFGLNEESWKDYCKQLDQHRIETTMTSRIRVYESGRTDQGYDRDLPPELAAATGAQVVPVDSSNLVKLDTAQGDSANILPPLPPGRPIPVETGSGERPSSIDTRAPRMRDLEAIIEIVCEDEPSGENGAEQADSGQSFRSSSPVGDRGTRSSSVDREDVRDEAGKDSENEEDEHKMSSAVPRLAAEEDDGGESKTERSSESSKARSGKSHRDHQQLKDVAEEEVIQDKKHFTRPASNKKHHVNNAPHQDRGKDMERTRAESKGRSEYANPHNDVDSRYIYSIASGEDFDGRKENDTFSRRGGDEGSRKRDRGDDLGSRQRGNKMRESEIRSKDDHVPSRNHVVDDGGLRNSYELDDHIRKRRKDEEYLRRNHSEKNENTYGQRESISSLKRERGDRLDHQKKDVQHKNRDDMENYASLRHRDDFYMQRDGNERVREREDLDKLKLTHEDGLSAPGRERQVAARGHRGSEDRSSSRMKDEYKEHLTKDTTRQTKQTKKREHPGEESSSYHRGREDDIVSNEKEQRLERTSVKSDKVADSLDGQRLQDRKHKDSRRKIEEQREDTDSLRNKQGEQNGSSQVTGLKRTNEARNYRSEISQQHNATKRHKEDTSSGDELQDSKRGRTKLERWGSHKERDDDITAKGYSSTSKIQEKEKGTNGRASEPNLGSIGKNRNVTEGKSGHDLAETKDGSEKGLGDRHLDMIVKFKKRSERFKLPMPTEKDNKAGVKKMESEMLPSAKIEGPADSEVKAERLSRKRRWTSS >A03p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8566471:8566789:-1 gene:A03p020820.1_BraROA transcript:A03p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYPGPIITPCYSTNGCSLVLSVPSLWRERNARIFRNVSLTPLAFFKLVDRSLRDRLLSITRDPSQALSLLQFYFWFLVLIANR >A03p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11288540:11292742:-1 gene:A03p027100.1_BraROA transcript:A03p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFRCRRHGKDTNGRDLVKRPKIPFALALIIADAILVTLIIAYVPYTKIVWDAYMSQVSGFLGGEREYGSLKGDTGPLVYPAGFLYVYSAIQNLTGGQVFPAQRSCLYKDECTLVCFAFVASPTKVTAALVQVSLYYLLQIPIILQLLTASPIYIAGFGFGYDENQNQAAIAHQSTALLPHKERPCRQPRKRGMDRITMFLLAATLAAVRCGCDANYTRNDFPHDFAFGSGTSAYQWEGAYDEDGKKPSVWDTYVHSRNLDNGDITCDGYHKYKEDVQLMVETGLDAFRFSISWSRLIPNGRGPVNPKGLQFYKNFIHELVSHGIEPHVTLYHYDHPQSLEDEYGGWLNCRIIKDFTAYADVCFREFGNHVKLWTTINEANIFTIGGYDGGGTPPGRCSTCSSGNSSTEPYLVAHNLLLAHASASRLYKQKYKDTQGGSVGFSIFAIGFRPSTNSNDDELAIQRFKDFFYAWMLGPLTYGDYPDGMKRIIGTRLPVFSEEESELVKGSSDFVGVIHYLAASVSDAQSKPFLPGDSKFFADMGVLLTYVGNFTAFEYEIAPWAMEGVLEYIKQSYGNPPVYILENGKPMKQDLQLQHEDTPRIEFVHAYMGAVLKAVRNGSDTRGYFVWSFMDLYELLSGYEYSFGIYSVNFSDPHRKRSPKLSAHWYSAFLKGNTTFLDSQDIMSNLSSSATSL >A04g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:183056:184721:-1 gene:A04g500020.1_BraROA transcript:A04g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEKGSYDVQSVKRTSLSKKKLGFSLPDPITEAKSHAVFLDAGKAFGCRRRVLFSVACFALLWFYFFVLVNCWNRVSAVSYGSAPSCPLVSTSLTPRLTTASPTPLWVASSSHGSLRLTASPVSRRLTVSSAVHLHVTTHPLRTRRSAKALNTRSARLSETAEPTLECQSEPPLLTSVNVHHIPPLDALLSVQAEPPDLRIPRVDSSDLGVYAYLKRLIPSVPELNPDRFLELSFRNVAIGVWFSSGLDEIYGSRYGNIGVHFLSWSLVRTPSWLIFRNIASPLPRRLRIPIPSESRWYSNDTCFGLNQNYLWSLNLLIVINLSHYSFSEASCLFTVCHCASVQRVHLAQNRDVVLKLPLFVHPSQVSRVFISSHFVTGAIRFHGPSYMFVSVKSRTFILSGSVEIHLVSSWNLDVGARVVHALSTSFQTLQFGIINVGFDYFMLVVVTYSGIHLMLPTVLQWMSKTLSFSFVITCFMLCFMIIKPSRIPPVLILLPLSLAPDVMV >A03p054690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23542294:23544009:-1 gene:A03p054690.1_BraROA transcript:A03p054690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTSLGTVLVSVIFYLSSASPFVICSSLDVTIDNHSPRHLKNNGSLLSNQMITSIKLHGILLWASMGFLMPMGILFIRMANKANENGRNVKVFFYLHVIFQILAVVLATIGAIMSLRTLENSFNNNHQRLGLALYVAMWLQFLTGIFRPSRGSKRRLKWFLLHWILGTIVSIIGIINIYTGIRAYHMKTSSSRDSSIWTILFTAQLSFLVVVYLIQDKWEHFQKQRVVIDELDHHQNTNTSGRSNDQTIQVVTGNDQEQKVMVPQPCRKSNALVNLFKLI >SC133g500050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:103807:105327:-1 gene:SC133g500050.1_BraROA transcript:SC133g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEEELTIPFFYEKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALESVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTHIGMIYEVLD >A06p025770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14544410:14548655:1 gene:A06p025770.1_BraROA transcript:A06p025770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase Nek5 [Source:Projected from Arabidopsis thaliana (AT3G44200) UniProtKB/Swiss-Prot;Acc:Q0WPH8] MESRMDQYELMEQIGRGAFGAAILVHHKAERKKYVLKKIRLARQTERCRRSAHQEMSLIARVQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKDDVRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCIYEMAAFRPAFKAFDMAGLISKVNRSSIGPLPSCYSPSLKALIKGMLRKNPEYRPSASEILKHPYLQPYVEQYRPAINAASITPEKPLNSREGRRSMAESQNSNSSNERDNFYVSDKGNRPVGPSNGNKVAETDSVSVDEEDIVDHMQQQQPVENENVQSVSATKTDSHGILKPSQSDQRPEMIQPRHPKTIRNIMMVLKEEKARENGSPMRTQVNRGRTSGVPTQKNNTETPSKIPKLGDIAHSSKTNVNTPSKLASDSAKTPPPKHPQMPMIDSTSKIKPRNDRIPLAPAAKQEPEEAMSAKRRQRTPPTLPRRTSSRQLGGDNPNLVAKDTTKLLYPCQPSEHENNTHHQPRVQAEPKRTSVGSSKGVQSESSNSISSSLSMQAFELCDDASTPYVDMSEHTTPDDHRRSCHSSEYSSSFPEISSEMLIRREEHSTSMRLADTVRVPDVQNNISQHQPGGSSPPPASKESGSPLTLQSYEPNASGDDKFTVKEFVSPVPSDNKTTGVVSQNSTLERSNNPSVVDDVIHVIRHSSFRVGSSDQPVMESVEVGVQNVDVGKLINVVRDELEVRKVATPSSDTNSEPPPPPPAEEPDPITNYSETKSFNSCSDFSPPETRPNSFMPEEETTPVPAVKETLDIKSFRQRAEALEGLLELSADLLEQSRLEELAIVLQPFGKNKVSPRETAIWLAKSLKGMMIDDINHNNCGTSRNCS >A01p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:236521:239264:-1 gene:A01p000560.1_BraROA transcript:A01p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Developmentally-regulated G-protein 3 [Source:Projected from Arabidopsis thaliana (AT4G39520) UniProtKB/Swiss-Prot;Acc:Q9SVA6] MSTIMQKIKEIEDEMARTQKNKATAHHLGLLKAKLAKLRRDLLAPPTKGGGAAAGEGFDVTKSGDSRVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVITYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCIIIVLDAIKPITHKRLIEKELEGFGIRYIIITHFFISSGRNTDGLMRYRLNKEPPNLTFRKKDKGGINLTSTVTATHLDLDTVKAICSEYRMHNADITLRYDATADDLIDVIEGSRIYMPCIYAVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLDKIWEYLDLTRIYTKPKAMNPDYDDPVILSSKKRTVEDFCIRIHKDMLKQFKYALVWGSSAKHKPQRVGREHELEDEDVVQIVKKI >A02p008860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3656275:3664175:1 gene:A02p008860.1_BraROA transcript:A02p008860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRWMFLLAVFAFLLSFSSGTNVLKLKSDDGKPLYNHTLTMTLVEYASAVYMSDLSELFNWTCKRCNGFTKGFEVIEIIFDVEHCLQAYVGVAKDLNAIIIAFRGTQEHSIQNWVSDLFWKQLDLNYPDISDAMVHHGFYSAYHNTTVRPAVLGAVQRAKKSYGPNINIMVTGHSMGGAMATFCGLDLVNGGEENVQVMTFGQPRVGNAAFASYYSLLVPKTFRITHDHDIVPHLPPYYNHFPQKTYHHFPTEVWLRDVSSLNHSVEKVCDNTGEDPTCSRSVKGNSISDHLRYFGVELHCETWRQCSIVMSHEMDRFSKKDSKGNLIMSRTVPSTNEQKDDVCCVYCHLLVEEHGTKEMVLLLAGTYCLSSFLFMCESFSLLVEVLKLKSNDDGRPVYNHTLALTLVEYTSAVYMSDLAQLFTWTCERCNGLTKGFQVIEIIVDIEYCLQAYVGVAKDLNAIIIAFRGTQEHSIQNWISDLFWKQLDLNYPDMPDAMVHHGFYTAYHNTTVRPAVLGAVKRAKKFYGENISTIMVTGHSMGGAMAAFCGLDLVVNEGEENVQVMTFGQPRIGNADFASYYSLLVPNTFRITHDHDIVPHLPPYFYYFPRKTYHHFPTEVWVRELSVLKLVRFGVEKVCDNTGEDPTCCRSVMGRSISDHLTYFGVNLMCESWRQCNIVMSNEVERYSRKDSKGVSTTGGDAKSELLVATKSSLVAISTLRNIYDRHELVRQDVKHVICSVCDTEQPVAQVCSNCGVNMGEYFCNICKLKKNSSIVMTAESVVGGRENFFHCKKCGSCYGIGLRNNHRCVEDSMRHHCPICYEYLFDSLKETTVMKCGHTMHSECYHEMLKRDKFCCPICSRSVIDMSKTWQRMDEEVEAISMPSDYRDKKVWILCNDCNDTTQVYFHIIGQKCGHCRSYNTRAVAPPVFPQ >A09p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3908417:3910115:-1 gene:A09p007440.1_BraROA transcript:A09p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGAGGGLGHASPDSPTLDTSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRSINPQTIMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLSLAAKYNKAVQEEDELSPEKLAIVNVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >A09p068750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53763666:53766497:1 gene:A09p068750.1_BraROA transcript:A09p068750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRIITKVSNERELSSLRTLLVRAYTSPQWRLQSSYAGSFARRVRDRGEFNEAAQLRDLFRRNDPEAVIRMFESSPTMHSNPSALTEYIKALVKVDRLDNSELVRTLQRGIVGGASQERESFGSLAALGKATTTKDGVGVLGSAAAPIHTISTERSSFKEQLWSTFRTIAVGFLLISGVGALIEDRGIGKGLGLQEEVQPSMDSTTKFTDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKCSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVVAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKAGDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKDVTMSDLEFAKDRIMMGSERKSAVISDESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMALGMVSQLPDKDETSISRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLEQATKLARAMVTKFGMSKEVGLVTHNYDDNGKSMSTETRLLIESEVRLLLEKAYNNAKTILTVYNKELHALANALLRNETLSGKQIKELLADLNSPQIIKTRQEVVAQQSQPFPPSTPNPASSAAAAAAAAATAAATAATKGKDMAPVGS >A08g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9209086:9210852:1 gene:A08g505470.1_BraROA transcript:A08g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRLSLRALLLHLPSPSFQFSRSRTPTINTPLHLDSIELPPTLTDLDLTVNRLSELDSRIAHLSMLKKLSLRQNLIEDSAVEPLSRWDALSDLEELILRDNKLAEVPDISIFSRLLVFDVSFNEITSLEGLSKASSTLKELYVSKNEVYKIMEIEHLHDLQILELGSNRLRVMVNMESLTKLEELWLGRNRIKVVNLCGLRCIKKISLQSNQLTSMKGSEDCVALAELYLSHNGISKMEGLSALVNLRVLDVSNNKLTSVDDIQSHTKLEDLWLNDNQIEILEAITEAKMDANVTANCVHPGIVRTRLTRDRDGLITDFVFFLTSKLLKSVPQAAATTCCLATSPRLRNVCGKYFSDCNEARTSNPDRAILKLRDCGLLLSCWSLQLPLPMFIKPLTTF >A04p029730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17764112:17765082:-1 gene:A04p029730.1_BraROA transcript:A04p029730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTSETPWGEVPRRERSMLKRKARVHDDGQGNVAEETTTTPESVVKLMKKYEGYDANQIMEVKELKATDLDPNQGRLSLPKHVDRIFLSIGELGTLATGPKKGVHCLFIVSEGNVHAVELRDWANGLVLAKGWRKVIEEEVFKIGRKYPLWSFRSRNNEDKLCFTLVKPDQVDPIEVLPNVDDKDAKLSDTEEEEVANKNEAESSLAGHDHPPESPAGVSSLPGEDLDLSYQDANGDGYLPTEAELNSFHILP >A04p034940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20210847:20212194:1 gene:A04p034940.1_BraROA transcript:A04p034940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRTHGLAKRGQLIRVIDLLSVLNFSMDTYSSQNRSVAKGPIRFESEAALEAFIEKSSRPRMSVEGFNPYRPEDEIKRELLKIIGLCYNRRAFVILLGHGAGEKALQLNGSDIGDWNALVKVAPEEEEEEYLMVSRYKESLFDALLNDKKFRFGIMVKGYDTSLPADEVEREALALGLHGSEVRGFRITTRSLAKVRSNHPLAPGQTQTRRIGYTVPAHIIEFAPEIGRKVMAFKRIKRIMKKVAAFKRMKRTLKTRSGLL >A09p071160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55020703:55021857:-1 gene:A09p071160.1_BraROA transcript:A09p071160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPHLIIHLLLLTMVTGEILRPRFYSETCPEAESIVRNEMKKAMIREARSVASVMRLQFHDCFVNGCDGSVLLDDTPNMLGEKLSLSNINSLRSFEVVDDIKEALEKACPSTVSCADIVIMASRDAVALTGGPDWEVKLGRKDSLTASQKDSDDIMPSPRANATFLIDLFERFDLSVRDMVALSGSHSIGKDRCFSIMFRLYNQSGSGKPDPALEPVYRKKLNQLCPLGGDENVTGDLDATPRVFDNQYFKDLVSGRGFLNSDQTLYTSRETRGYVKRFSEDQGEFFRAFEEGMVKLGDLQSGRPGEVRLNCRVVNRMHNDVLLGDS >A03g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22115690:22116333:1 gene:A03g506200.1_BraROA transcript:A03g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIKIKADQNVIASVITTKSAFSFFLLNESSLLLFICNNILIHFLCPLLRWLNRWRARSSNSSTTSPPLLSSLHAIPSALPPVRPPPSQPQSASPLISFATIFSSTSTTVVTSSRHLFFSSTSYGSFFSFPSTLATISSPPPQVVSLHSFSDSYPSLSDKMNNKKLCFLFLDLT >A04p005960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3098640:3103705:1 gene:A04p005960.1_BraROA transcript:A04p005960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRRATIKITNDKISVKLSSLLEDTIRDEDTRPARVREGRWSLPAQRFILRFTNTLNKRRINGWGRSGIVSFWIWGRRIGVLAVEDEQLGFWFEGGIGNFGNGFKGGAKVLGIKLNSTSRSRERRYCRGVGIGFSRCCSSLSRARNFTYGAPRRSLKQTSRSFWRTRSRGVERGETGERKGVIRVWVGGEGVRGVIISGAKQRRQNGVTVPKEPGVRHPFIGFWLGFNSKACSLAVGAVDGLVEAISWASSTLWVSSRLWTAEKIMRCLKIGLKNAPTDVAAGFGVIHGGSTKLVVAVRLCAPPNILWDCRQSVLRSGCFCAKPLAVRKMSRDEAFTGFSPVYDSRWFGLLILGSGGLLNTVFAGFSLASHRLRRCCGRVWSRFFSGESDSLDRLRLSIFSLLKLWSNGLTHQNRCLAVVIVVASCSGRFPVEADYSLRRRLLVGEGDDVSAWHVSLLHYATYTARKTAMPCLLEETQYIFPAGSPTLVELLKDCDSFRKEDSSSVTRSDDTAHHIIDIDALHVPPAVPFVLAFINLAYSVILRQRFGSSSTSVKTLLDDVSGEACDGDILAVLGASGAGKSTLIDALAGRVAKESLRGSVTLNGDNVLQSSLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKMERVEALIDQLGLRSAADTRIGDEGHRGVSGGERRRVSIVIDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLKRIAQSGSIVIMSIHQPSARILDLLDRLIILSRGKSVFNGSPANLPSFFSDFGHPIPERENITEFSLDLVRELEREPNEGTRELVDFNDGWQKKKFARDTTQTASQQALSLKEAIDASVSRGKLVSGSSGSMETISSYANPSLFETFILAKRYMKNWIRMPELVGTRIATVMVTGFLLATVYWKLDNTPKGAQERLTLFAFVVPTMFYCCLDNVPVFIQERYIFLRETAHNAYRTSS >A06p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18117919:18121387:-1 gene:A06p033650.1_BraROA transcript:A06p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Betaine aldehyde dehydrogenase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G48170) UniProtKB/Swiss-Prot;Acc:Q9STS1] MAIRVPRRQLFIGGQWTEPIRRQTLPVVNPATEDIIGYIPAATSEDVELAVEAARKALTRNQGKDWSKASGAVRARYLRAIAAKVTERKSELANLEAIDCGKPLDEAAWDMVFSLSSCEPSADDVAGCFEYYADLAQGLDSKQKAPLSLPLDTFKGYVLKEPIGVVGLITPWNYPLLMAVWKVAPALAAGCTAILKPSELASVTCLELADICREVGLPPGVLNILTGLGTEAGAPLASHPHVDKIVFTGSTATGSNIMTSAAKLVKPVSLELGGKSPIIVFDDVEIDKAVEWTMFGCFWTNGQICSATSRLLVHKIPVKYQEKIADEFLDKLVKWTKNIKISDPFEEGCRLGPVVSKGQYDRVVKFVSNARKEGATVLCGGARPGHLKKGYFVEPAIISNVTTSMEIWRDEVFGPVLCVKTFSTEDEAIQLANDSQYGLAGAVLSNDLERCDRVSKAFEAGIVWVNCSQPCFCQAPWGGTKRSGFGRELGEWGLENYLSVKQVTQYISNEPWGWYKPPSKL >A06p048340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25614972:25616399:1 gene:A06p048340.1_BraROA transcript:A06p048340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLSMMKSSVSSPATVFLAHRRELSTPISHTLPRASFSVRCSLPPSKPPPRSGASSVHAVMTLAGSLVGKKRVDESESLTLEGIRNSLIRQEDSIIFGLLERAKYCYNADTYDPTAFDMDGFNGSLVEYMLKGTEKLHAKVGRFKSPDEHPFFPEDLPEPMLPPLQYPKVLHFAADSININNKIWNMYFRDLVPRLVKKGDDGNYGSTAVCDAICLQSLSKRIHYGKFVAEAKFQASPEAYEPAIKAQDKDALMNMLTFPTVEEAVKKRVEMKTRTYGQEVKVGVKEKEEEEELNNESQVYKISPILVGHLYGDWIMPLTKEVQVEYLLRRLD >A07p028480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16099730:16101475:-1 gene:A07p028480.1_BraROA transcript:A07p028480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRNKPSGGATTASVKHLIKQRGGGDTAADDSSLLTDTQEPSIDTDKLSYEIFSILESKFLSGSSEPEPVNPAAVTGPAKNQRGKVCILSIDGGGMRGILPGKALAYLEHALKSNSGDPNARIADYFDVAAGSGIGGVYTAMLFGSRDGNRPIFKAEDTWQFLTKNAKGLYGSFVKRVMRTGSLGSSGTGKLKRVMKECFSELTLKDTLKPVLIPCYDLKSSAPFLFSRADALETDGYDFRLWEVCRATWAEPGVFEPVEMKSVDGTTKCVAIGGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGMGQLIDVSYEYDRIIKWTSKHWARPAALISNDGAADTVDQAVAMAFGHCRSSNYVRIQANGSSLGPWKPNIDTDPSGSNVNMLVGVAEEMLKQKNVESVLFGGKRINEQSNFEKLDWLAGELVLEHQRRNCRIAPTVAFKQSVHRAEQKTRGKDIGVTARER >A04g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14847291:14856848:-1 gene:A04g506550.1_BraROA transcript:A04g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKRLCFFVLFFFSLLVELNRAQNNKRTEVHIGVVADVGTSYSDGALLCVNLSLADFYSSHRHFQTRLVVNIGDSREDVVGAASAKKENPWFSPDRPAALIPPPTTAGSPPPPLPDPPDPSPSPPAEHFPTLQSTIVSPPLTKKQISRAFETASAVLVGASFETTCASPMAQDLLPTTDCPPLEGSDFLPTPIVNDLFKGFTVHIPKNSIPFPPPTAAPKNQPPKPSPQKSKTPNKRIPLLVLPNRPTTHTKAPFDQNPTQNTCQTPQSYAQKAKAIANTTLQRLAPLTYSETGIPQVIIPDEVFLRGAELHKDFIQGFFFAKMPSYQALQSVLNFMWGKGTKLDIRTNPKARSFLVRIPNEYIRAKVLEKKIWYVGTAMFQVSNWNTSIAESAPLSIPTSIPLWAHLKGLPLDLRSLEGLSFAAGLIGEPKETDEFTKNLTDLELAHVKIEADLTKPLPGLIELKRSNGETFPVEVLYPWIPPSCSHCRELGHIMKDCLQANPAWVKKQTNSQQKTPHEENSGPMEVESPSTENIEVAVTPPIPPTVTPPIPPDTTPLIPPEPTIEPVPSDVEPTDVEPTDVEHTTDSMLATTAEASNPTSEAYLEDQQTFENDLREILSPEHSTIPVSASNPGHYSSFTATSTLIFNSKPDHDPSLFHPINQTNLPSKGLQVIPPPRPPPPPPPPSITLFNPFSLLEATQNKATKHSESSDPSNHSKFTFSAFSPSPPKIPVSLPFPVRALTDPSTENFQEEQTLTAKLNFLRSIEESYFRQRSRINWLNVGDNNTTFFHRLTQVRNSFNSIRSFCLANGNQISDPEAMGQIAISHFQNILAPHPPTTTSSSVTWYQTTKKILRVRDYAYRWIKILPGNGKDTRFWSDNWSPFGNLRLFLRLPASSNIGIQQTATLHDLYRNDRWRLPQPRSESQLSLHVYLSTVTLTEESDAQVPLVTYAATSPSLTSLRSPYFFRATYEDSFQVDAIKAIIKLFGWREVVPVYIDNTFGEGIMPRLTDALQEINVRIPYRSVIAQNATDHEISLELLKMMTMPTRVFVVHMYSSLASRFFIKANEIGLMEPGYVWILTNGVADELPWMNEMSIEAMEGVLGVKTYIQKSKNLDNFKARWRKRFSTMELSVYGLWAYDAITALAIAIEEAGIDDLTFSNADPRRNVSELESLGLSQYGPKLLKTLSQIKFKGLAGDFRFINGQLQPSMFEIINVVGTGEKSIGFWTEENGLVKTLNQKPRSMSALSTWKNHLKQITWPGEAESVPIGWEIPTNGKKLRIGVPKRAGFTDLVRVTRDPITNSTSVTGFCIEFFEAVIGAMPYDVSYEFIPFEKRNGKAAGDYNDLVHQVYLGRYDAVVGDTTILANRSSYVDFTFPFIKSGVGLIAPIKDQVKRDSFTFLKPLSWKLWLTSFGFFFIVGITVWALEHRVNPDFRGPPNYQASTILWFAFSTMVFAPRERVFSFGARILVIAWYFVVLVLTQSYTASLASLLTSQQLHPTITSMSSLLERGERVGYQRTSFIFGKLKEAGFSSSTLVAFDTPEECDELLRNGPEKGGISAAFLETPYLRLLLGQYCNTYKMVEEPFNIDGFGFVFPIGSPLVADVSRAILKVAESPKAMELERAWFKKKEERCPDPVTNPDPNPSLTSRQLGVDSFWLLFLIVFVVCIITLGKLTLCFLWKTEGNRFWKWKWKEFQQRDNDSYINQVEMSPCSSSRPIPDNN >A05p007940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3242744:3246899:1 gene:A05p007940.1_BraROA transcript:A05p007940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDRSRAFAKDVKRIVVKVGTAVVTGKGGRLALGRLGALCEQLAELNSDGFEVILVSSGAVGLGRQRLRYRQLVNSSFADLQKPQHELDGKACAGVGQSSLMAYYETMFDQLDVTAAQLLVNDSSFRDKEFRKQLNETVKSMLDLRVIPIFNENDAISTRRAPYQDSSGIFWDNDSLAALLALELKADLLILLSDVEGLYTGPPSDPNSKLIHTFIKEKHQDEITFGDKSRLGRGGMTAKVKAAVNAAYAGIPVIITSGYAAENIDKVLRGLRVGTLFHQDARLWAPITDSTARDMAVAARESSRKLQALSSEDRKQILYSIADALEANEKTIRAENELDVATAQEAGLEESLVARLVMTPAKISSLAASVRKLADMEDPIGRVLKKTEVADGLVLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEARRSNAILHKVITDAIPETVGGKLIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKNTTKIPVLGHADGICHVYVDKACNVDMAKRIVSDAKLDYPAACNAMETLLVHKDLEQNAVLNELIFALQSNGVTLYGGPKASKILNLPEARSFNHEYCSKACTVEVVEDVYGAIDHIHRHGSAHTDCIVTEDPEVAELFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGKGQVVDGDNGITYTHQDIPIQA >A04p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19490973:19493173:-1 gene:A04p033540.1_BraROA transcript:A04p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQQVQQQTEPEEQPSAAKIPKHVFDQFQPQTAASTALPLFTPDPTPSKLSSLSADSSARFPKMGSFFSWAQWQELELQALIYRYMLAGAAVPQELLLPIKKSLLHLSPSYFLHQHLPHYQPAWYLGRGAMDPEPGRCRRTDGKKWRCSRDVFAGHKYCERHMHRGRNRSRKPVETPTVNATTTTTMASAAAAAAPAPAPTTTSFAFGGGEEVGQGGSPCFFFSGASNSSSELLNLSQSCSEMKQDTNNNNKRPYESHNGFGNNNTSDGGHTLRHFFDDWPRSEADNNNSSPMTSATCLSISMPGNSSSDVSLKLSTGNEEEARSNGRDQQNMNSWWSGGGPHHNNNNHMGGPLAEALRSSSSTSPTSVLHQLGVSTQAFH >A08p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20559938:20560860:1 gene:A08p034620.1_BraROA transcript:A08p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDAIFKLEEVLTLSPGKHQALWCLGNAYTTQAFLFPDADVAKGHFDKAVDYLQRAENEDPGNEIYRKALDVAIRGPEILMELNQNGMMQQALGGGGGGPSASSNATGGENIKTKKNNDFTYNVCGWIILACGIVAWVGMAKALGPPPPPAR >A06g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18648648:18650542:-1 gene:A06g506710.1_BraROA transcript:A06g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITDIAMASGRQRLKNLTPRNSYVRNFLPQSDPSASTSGTASGQETVPESQVPTVESYIVTDLFACMENMFDMMIETTPNVNPALASRWQSIRPTFVSEPTPEEQADLERRADEHSSDLFDEINLNT >A09p071870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55304405:55315955:1 gene:A09p071870.1_BraROA transcript:A09p071870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTKKERKDGEEKSQHSAATVCDQTNIVALLFALPEDANRAIELKNGSTVGGRRITVKQATHRPSLKERRTKAAQGVSLPDSSQAESDDKKDSLVPETVTETETDKQVPPPEKKIEKPLERKKPTKPAKLLVDLADKETCSDKQRVARTVIFGGLVNAEMAEAVHSRVKKIGTVCSVRYPLPKEELQQNGLTQDGCRAEASAVLFTSVKSACAAVATLHQTEIKGNLIWARQLGGEGSKAQKWKLIIRNLPFKAKPSEIKEVFSAVGFVWDVFVPKNIETGLPKGFAFVKFTCKRDAENAIQKFNGHMFSKRPIAVDWAVPKNLYNGAADAATAPEDGEKDGSDGDSDNSSVDLEEVDDAVESHQSSGDDTDNDEEDGSNKPSESDALVKDAETDVNFEEEADVARKVLKNLLGSSKVTIASQGGETEESDINILEDSSTKPVVESSGVSEPLKSSKTKEAAPKETQENDDFKRTVFISNIPFDVSKEEVTQRFTAFGQVESLFLVLHPVTKRPKGTAFLKFKTADASDAAISAASSASGVGVLLKGRQLSVMRAVDKKSAKDIGLEKTKEKNIDHRNLYLAKEGQILEGTPAAEGVSAEDMDRRRRLHENKMKKLQSPNFHVSKTRIVIYNLPKSMDEKQLQKLLVDAVTSRATKQKPTIRQIKFLQNEKKGKVDTKNYSRGVAFVEFTEPDHALVALRVLNNNPETFGPQHRPVIEFAVDNVQKLKVHKANQQQQRNRYNESREQRENGEAQGEDNHPGNDLKRRTRDGDNTGSVEENANGYKKRKPMHPREQRREESKPEEKSSLSVKEDGGNKRPARTQGNTKEPASNQKGQWKKRQQEASEKPDEKEISKDVSDAPRKRKFEEVRGGENVNGQRKRKNQNEKKKKQGGPPEVVDKLDMLIEQYRSKFTQSSAKTGPQKQSSGQVISSIYLLLVVFVSVSVCETQHEDDILIRQVVNGSESEPTVMSWEDHFTLFKRRYGKVYNSLEEHQHRFWIFRSNFMRATRHQRMDPFARHGVTQFSDLTHSEFRRKHLGLKARIRFHSTLNKPRFSIQRIFLGACGSSWSFSTTGALEGAHFLATGKLVSLSEQQLVDCDHQCDPEVEGLCDSGCNGGLMNIASEYTFETGGLMREEDYPYTGTTDGDGICKLDKSKIVASVFNFSVVSSNEDQIAANLVKNGPLAVAINSAYMKTYVGGVLCPYMCSRSLNHGVLLVGYGSEGSRFKEKPYWIIKNSWGETWGESGFFKLCKGRNICGFGSLVSTV >A08p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19275877:19279480:-1 gene:A08p031590.1_BraROA transcript:A08p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKTFPTFFLFLLTLSFSSQASTSQTHQLLSFKNALPDKYLLPDWSPDKNPCTFEGVTCKADKISSIDLSSKPLNLGFSAVASSLLSLTGLESLFLSNTNINGSLSGTKCSASLTTLDLSRNSISGPVSTLSSLGSCIALKSLNMSSNSLDFPGKISGGGLKLSSSSLEVLDLSKNLLSGANLVGWIVSGGCGELKHLDISGNKISGDADVSPCVNLEFLDLSSNNFSTVIPYLGDCSALQHLDISGNKLSGDFSTAISSCTNLRSLNISSNLFTGPISSSLPLKSLEYLSLTDNKFTGEIPELLSGACGTLTGLDLSRNDFHGTVPPFFASCSLLESLVLSTNNFSGELPMDTLLKMRGLKVLDLSFNKLSGELPESLANLTLSTLDLSSNNFSGQILPSLCRNGENTLQELYLQNNAFTGKIPPTLSNCSDLVSLHLSFNYLSGTIPSSLGSLTKLRDLKLWMNMLQGEIPKELMYLTTLETLILDFNDLTGEIPFGLSNCTNLNWISLSNNRLTGQIPRWIGRLENLAILKLSNNTFNGNIPAELGDCRSLIWLDLNTNYFNGTIPPEMFKQSGKIAANFIAGKRYVYIKNDGMNKQCHGAGNLLEFQGIRPEQLNRVSTRNPCNFTRVYGGHTQPTFDNNGSMMFLDMSYNMLCGYIPKEIGSMPYLFILNLGHNLISGSIPEEVGDLRGLNILDLSSNKLDGRIPQSMSALTMLTEIDLSNNLLTGPIPEMGQFETFPPGKFLNNSGLCGYPLPRCGDAKADAIAHRSHGRKQPSLAGSVAMGLLFSFVCICGLILFGREMRRRRRMREAAMEDPGDGTTANNNTDWRLTGAREALSINLAAFEKPLRKLTFADLLKATNGFHENSMIGSGGFGDVYKAVLKDGTAVAIKKLIQISGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEFMKYGSLEDVLHDPKKKTGLKLNWFTRQKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGRRPTDSPDFGDNNLVGWVRQHAKLQIKDVFDPQLIKEDPAREIELLQHLKIAVACLDDRAWRRPSMLDVMAMFKEIQTGLGIDSQSTIGSLEMVDMSIKEVPEGKF >A08p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2249777:2252276:1 gene:A08p003910.1_BraROA transcript:A08p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYSTKGAAPTNGSLYVSNLPPGTDENMLAEYFGTIGLLKRDKRTGTPKVWLYRDKETDEPKGDATVTYEDPHAALAAVEWFNNKDFHGSIIGVFMAESKNNKSGGDHPSESFGFDGGAANKEIDGGAGRGRGQGDSSAKPWQQDGDWMCPNTSCTNVNFAFRGVCNRCGTARPAGASGGSMGGGGRGRGRGGGADGGPPGKGASTGLFGPNDWSCPMCGNVNWAKRLKCNVCNTNKPGQNEGGVRGGRGGGYKELDEQELEETKRRRREAEQDDGEMYDEFGNLKKKYRVKTHQADARPAVAAGRAGWDVEELGVDRDGRERSRDRQRDRGDKHRDHHHYDKHRRRSRSRSRERERGKERDYDRDRDRDYGRGSRYRN >A06g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7973353:7974402:1 gene:A06g502270.1_BraROA transcript:A06g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGILFVGMILILIFAEALALYGLIVGIILSSRAGQARAE >A07p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23635200:23636613:1 gene:A07p043270.1_BraROA transcript:A07p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNNGMSFFPSNFMIQTSYEDDHPHQAPSLAPLLPSCSIPQDLHGFASFLGKRSPIEVGNNMNGEEDYSDDGSHMGEKKRRLNMEQVKTLEKTFELGNKLEPEMKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDTLKQQFDALKADNELLQTHNQKLQAEIMGLKNKEQIESINLNKETEGSCSNRSDNSSDNLRLDISTALPSVDSTITGGHPPAPQTVGRHFFPPSPAAATTTTTTMQFFQNSSSGQSMVKEENSISNMLCAMDDHSGFWPWLDQQQYN >A09p071640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55216349:55219302:-1 gene:A09p071640.1_BraROA transcript:A09p071640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription termination factor MTERF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21710) UniProtKB/Swiss-Prot;Acc:F4IHL3] MLLHCNASCCTSTFSLVSSSLRRQDHSEDSQNTVIRRRHNARSLSLFTRHNQNLKQNKNLNESPETFVPPRHDDDDRSKLLELSLVTRRTPQFPGSIYAQSASDPDIASSLPSLRNFLDEGESEREMIAKALEIRRRVTKEIIKESLVRKGRFGITYATNVTDRLGEFVDHVMIEAAALKRLPEFSETRFNLRARTVIEDSNFVPLVRWLKYHEFSYNRIGKIICMSKGNLDSIRIMIEWLKTIHVKGEFIGVAFLRSGDNILQRSREELDEIVEYLESNGVRRDWMGYVVGRCPELLSFSLEEVKTRVDFFLQMGMNQKDFGTMVFDYPKILGFFSFEEMDKKISYLKEFGLSTEEVGRLLAFKPHLMGCSIEERWKPLVKYFYYLGISKEGMKRILVVKPILYCIDLEKTIAPKVRFFQDMGIPNEAIGNMLVKFPSLLTNSLYKKIRPVVIFVLTRAGVSQKDIGKVIAMDPALLGCSIGTKLEPNMRYYVSLGIRIHQLGEMIADFPMLLRYNVDILRPKYSYLRRTMIRPLQDLIEFPRFFSYSLERRIIPRHTTMVENRVNFKLRYMLACTDEEFERRVRDKVERRERFEAGLDSEDSLLLDETISDEEVASSDSPEVGRMSRIRT >A06g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16187963:16190667:1 gene:A06g505630.1_BraROA transcript:A06g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPNGKSPVLSASDEKVMFFRDVLLGPHETHLRFRLIHFWEAWNPIKKTLIGLGMLLIDKQGTVIQGFISPGRIEQYLGKLKRRTVYKLDNFYGSSNKSVYRVSDHAVTVSLSWNSKLSVLEDITTPFDEDRFRFHSYEVFEANCDLKGDLYDVVGHMKLVNVHTLTERPVLDKVQIAAARHLLVHLQLYDGPVIKVYLWDNVATEFCRKFKSCETTPTVLLITTVNTKRLGGTLALTSMSSSRVFMDYDVQPTIDYFAWLGSNPEVAGKVNADVVTKREQLTIGEIFSYIKQESAKEAFFECTATIDDVLHGSAWYCARLSVYDNSDQAVFVLLGDAGRALTGWHASELVSSYFEANADIGVDQEAPVSEALINTIRQRHKFSVKVTEYNLSGKTRALTVTKILPLDTPTETETSAGNSIAATPDETFQTGNDVCEASKSRVGSADVGSKRSCDNAKVEEAKRPKPGN >A04g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2408823:2410143:1 gene:A04g500870.1_BraROA transcript:A04g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSNNKAIMLKVMDQLVQGHELATKLQQLLSQHGSGRGAAGDLVAKISASFSDTISDLDSFEPIPSSLFTAVEGSQNASCNNDGKLEDSVDSRKRFGPVKGKRGCYKRKSYFRCTHKYTQGCKATKQVQKLELEPRMFSITYIGNHTCDTDEVTPNINPCVHHDDGIMDSEEFKCPSLRTSMNEEEDNHHHGLSTESDLQLVWQEMLVFGEEHNHHHHEAIYGCGETSASIYGLDTTDLWSWQRFPVQR >A07p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14930136:14931320:-1 gene:A07p026100.1_BraROA transcript:A07p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative NAC domain-containing protein 94 [Source:Projected from Arabidopsis thaliana (AT5G39820) UniProtKB/Swiss-Prot;Acc:Q9FIW5] MDDEESNNVERFDDVVLPGFRFHPTDEELVSFYLKRKVLHRSLPFELIKKVDIYKYDPWDLPKLAAMGEKEWYFYCPRDRKYRNSTRPNRVTGGGFWKATGTDRPIYSLDSTRCIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLSDSHRSSSYPSYNNKKQHHNINNKEIPSSDAWAICRIFKKTNSVSSQRSVPQSWLHPAIPETSQYNSVSQTTTLFASTDVFSNIPTRQNLSSSPVDEPASFTESAAAYFASQMLGVPYNIARNNGTGDAMFLRNSETENVLFPSNNEDNYFHNLAGGLTHELPNVRSMVETGFETTASEMSATYSPPNT >A08p041010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23254198:23255799:-1 gene:A08p041010.1_BraROA transcript:A08p041010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSTSCLHSLAGHERYFLSCKTSQFSKPSFIKPSLKKPRFSVPFCIKQSDRDQKQIQQESSREEDKEDDEDYWVVTAVRSKYNEIVIVDTVDARYLLLDSTKNAHSVINKGGDNWTDSYWDEFASLPPIVPDGPIAIYGLGGGTAARLILELYPSVQLEGWEIDDILIEKAREYMELSELEKPTPKGGSLRVLVDDALSPSEDVSGKYAGIIVDLFADGKVLDQLQQVQMWHDLASRLMPNGRIMVNCAGIEEEKVVTNEKPKLVLGDSVWMLNHTIKVMSEAFPGQVCWKRTPDSEGLNFVALTGGVPDLSDWSNKVPVRLSEPVKQWKLCEDL >A01p049500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27796104:27797606:-1 gene:A01p049500.1_BraROA transcript:A01p049500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIATWSASITKRFLLYRHRLHIAPPSSRLCGSRAFSNHRDTPRSDLHNLHLDEALELFTRMSHSRPLPSLGDFTRLLTAIAAMEERYDVVISLFDQMRRIGVSPLLYTCNIVINSLSHSSRPYYTAFAFLGKMLKLGFEPDVFTFTSLLSGLCNRNRVDDAVALFVKMVEIGCSRPNVVTYTTLIHCLCKNRHVDHAVELFNQMERVGVRANVVTYNSLVKCLCGCGRWGHAALLLRDMMERRIRPNVITFSALIDAFAKAGKVLDAVELYELMREMSIEPNVFTYNSLINGFCKHGRLEEAMSMFNLMERKGCVPDIVTYTTLIHGFCKSKRVEDGVRVFNEMSLKGLAANTVTYTVFIQGYCLVGKPDVAQEVFNQMGFSHNAPPDIRTYNVLLDGLCYNGKVEKALMIFEYMRKREMDVSIVTYTIVIQGMCKVGKVEDAFDLFCSLFSKGVKPNVVTYTTMITGFCRRGLIHEADALFRKMKQDGFLPNERVS >A02p031680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16633485:16638149:1 gene:A02p031680.1_BraROA transcript:A02p031680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHKIESDKLADWLAKKIVEEIEKRANEMAKQKNEELKKVRKEKNEELKKKVMKEKKEELEKVINDNDKMLEPGGGAFTYELQEAHSELIRGFRDLSGEGSVIGVKRMGEVDEKPFLKVCEQRFNGENVGLQHAMLCSEWQKNINDSAWYPFKLVVTGEKMKEVVDDEDEKLKKMSEEWGEDVKNAVTTALEELNDFNPSGRYSVPALWNFEHGRKATLSEERRNIRATKNKNKMDVDEDTKRIEEELNETMVEIEKLKKELKEEMKEIREEIEKLKKELKEELKETREKIEKLKKELKELKERKEDLEKKIEEKLKKIRKEHNEELKNVITEKNEMVRIVMKDNDKKLEEKRCELEELEDTNSTLIIKERQSTGEIQEAFTELIRGLRDLSCEGSLIRVKRMGQVDEKLFMKVCKQKFIDENVEVEYAMLCSKWQNALNDSAWHPFKRVGTGENMKEVVDDEDEKLKSLREEWGEDVKNAVKTALEEMNEFNPSGRYSVPVLWNFEHGRKATLKEGIAHMTQQIKNLKRSRMINDG >A09g512390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36335450:36339120:-1 gene:A09g512390.1_BraROA transcript:A09g512390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSRSWSKVCDSDRIVPSPSRSASGQWCWVGRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRDVRGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVDCLFSTHRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPTAHAGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSTRRTSVAVRVCPCVRQHTQDVRQYTQDVRQHTKDVRGRPSVHRGRPWPSVSTHRTSVSKQRTSVAVRQHTQGVRQHTQDVRVCPCVRQYTQDVRQHTQDVHQYTQDVRQHTQDVRGRPSVHISACWPFLGTVRHAGPSRGLIRVLIRVLIRVLNSYQHADHTYQHAGPSRVLIRVLIRVLIRVLNSYQHADHTYQHAGPSRGLSVY >A08g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8107845:8108558:1 gene:A08g504920.1_BraROA transcript:A08g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKWSFIRFKRFDLELKKGISGSLRKIKIGSEWRMISTIINTESQFYEGYIFEYAYLLFISVLRGFGRINHRYGLNKRWRLWYFWNVGLECINLHHSGTGKLGEYMDTALGSSRRERGKHIMELH >A01p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11940978:11941262:-1 gene:A01p024210.1_BraROA transcript:A01p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPVLQTGVPGVCHSTFESLRLGRSSQSIASGLLCFWDSLNFKKDSEFMGITVLFFDEKIL >A05p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9586473:9588458:-1 gene:A05p020330.1_BraROA transcript:A05p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELGRFHSSSLRKSFTFVLNLSPSYSLSQVMAGSAPEGTQFDTRQFDQRLNEVLEGQDEFFTSYDEVHESFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDFSLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRSDSIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A04p035770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20812980:20818857:-1 gene:A04p035770.1_BraROA transcript:A04p035770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPITGKQASGSAKEEGELSTSDDDVQPSTRAPLTEHVSAPSSNTNIQRRLQPGKAESLLKKPEVSAAPLQGKKLPLRGNDNNLVIDFSDDDNGSESESKGRKQVSKIQPKGTMSWNRIPSTLLQTKLKGPFRIDNRAVAKKAPSASTFGHAATSKPPKVIRRNSHTYERKVSKDTPRPEQIVDPNSSKLQELELRQRIAQREQWEIERKLKAVQTKKDDVIPKISQTRSLVMVSGNGKQLEPNEPAKKRFKVSGNDTSQPVIDSRVPASTAAPMKAPAIGKSLLSGINANASCKQIGSNSGDTAPRVISQHIVQGNTSSSVLQKSGSKTNHSEGVRCGQPDPPVQLTSRELETVKNVGSNLSSDQLPKIVNGNHQPSLDNSGFWNIPGNTIAPGHSQLDMLYLTNLEESLDRDLEEAQERKRLCEIEERNALKVYRKAQRSLIEANAKCAELYSKRETLSAHYGSLIVRDTRLLWPSIHHEHPETGLRYVSNSAENIDLATKTDIPQHTQLGTNHTHNNEYGGIHSLHRPHSGQNLGSEPCSDHDASTSDGLPYSEKQTASRLCSPSSDAHMLAEDESFPVNHESTEGNIGHQAENLEQALGNQNSLLIEASLRSKLFDRLSMREESRGGTCANGETVVDRGDESDVASERTQRDGSSPVSEKVQHSDSKKPDANKLQGSPSEPPVERSTIKENFGSSVDMESHEISPEDDLLLSVASAGPLFRSTINHLKVPGSSITSLGTESTLENKSYSVYSDVRQRSSLTRTPVYERKVDLYTSNLKMDPFRPLCMYELRGRCNNDECSWQHFKDFADDGLHQSQNDPPDCNVASSLHEKQGSQIFDVVHSPTYLVCLDTMKVDSWSYESLLAQRHGQIWGKHFSVCLASSNLLYKNIPARENEGRIEVLGNPRTHSSYLRIKHSLMNLLNQGSVAALESVEMALTALSVLSRGLEGDPASEILWIVYLLIYYSHEGSDGKDMLSLGVNLCSGSYVIWLMYINSRGQLNDQLTAYDAALSALCNHAPGSIDRDHASACILDLSLQMFNLMCISGNVSRAIQRISRLQAPAAVSEDPDFSILSQILTCLTYSDKCVFWICCVYLVVYRKLPDSVVQRFEMEKELLEIEWPSVDLVGDLKQTALSLFDKGMRSEELCRSDDSWGNGKQERTAGLFALNHALFMIAVDELEKCRDIVKASVELYPACLELKLLAARMKPKETLSSVFEELLKQEPKDASGTQWIWNQYAEYALQGGSNDSARELMSRWYTSVWDVSSRKKKTALTNEETEEESESVASDQVDVMFGYLNLTLHNLLQSDWTGACSAIDQALKATAPGHFTHCLREHAVFQLINSLQATGEFPINLQLRLLNSYLDQASSLPVKEPLSWKFISNSAEKPRVRKLVSNLLAPVSSEVLVVNTVLEAWYGPSLVPEKLSKQKELVDFVETILGLVPCNYPLALSVSKLLRKEEESGSSGVHFWAGLNLVSTISCAMPVAPEYIWVEAGEILSNINGFKTRAERFLSKAVSVYPMSVKLWRCYGSVARSIEEKRGAKIEEEARKKGITLVD >A03p056580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24484958:24485431:-1 gene:A03p056580.1_BraROA transcript:A03p056580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICLACSQQDHPIYDYEDEPTDKSKLWGDLEEEEEQEEEMDEEELEDGMESVDTLSSTPTGTETPNAIEEKGIR >A03p034650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14609403:14610285:1 gene:A03p034650.1_BraROA transcript:A03p034650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIHINYNHNRFVNDKGKRDEEHKHKVNHTRYRVSRPRGNIDVGGSKYEGYGLMLRGSEPLLMGRKLKGLKPMESGTKKRSTKASRDSTKEIDNLMRSDYPSRMKGRKRTPIHN >A07g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5315332:5317522:-1 gene:A07g502560.1_BraROA transcript:A07g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNKWAAIGDFNRVKNLTHRFFLCVVGHNNKARSARESRKVILTALLRPFPMATKRNFKAFSVAAASSPMNGCKSRKLTEKILKEAYAQQKEVEDEENAPMSAFSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDAITSSLEGKHIAATGAAFVSVTDYYRKLGEFMSLYTNGKMPKALNHLTRLENWESLLKLTQPESWSPNAMYKATNMFASSSKAERFYELFLLPRVREDIRIHKKLHFCLYQSLKKALFKPKGFFCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPEKFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVAAHFLRFFKETKVMPVIWHQTLLAFVQRYKHELRKEDKKSLTSLLEKQNHELITPEIVRELASSRNRGETVDNSHSASTINNKPIKEDWFDMPQVPMEED >A09p069840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54334581:54339041:-1 gene:A09p069840.1_BraROA transcript:A09p069840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MSTLCIGSTHIYHNALRLSPTSRSPRRLAWTSVTTKTVRKVLDETATPLEQLELVDKLQRLGVSYHFESKIDNILTDFYRNNVRECGKEDLHATALKFRLLREHSFNVTEGLFDVFISKIEDGTFESGDIRDSSDHNIYDVNVLELAVEALEMPYHWRMKRLETRRYIDAYSKHDVLIEFAKIDFNIVQAVHQEELKYLASQVLETLSRYQQHVVRCSAIVLRLANDLGTSPDELKRGDVLKSVQCYMNETGASEEKSRAYVEDMISNTWNEMNNEIISHDSSLLPRGFVEAAINLARIVAMATINSSESAKASIFFGLLMDETTEQQRPSASFSYSNWVTNVKKSTKEAYEAKPHSHWILLTLSCTSMLTAFPASSLLSRLYFSNGGQSKWIISWVAVAGWPITCLILLPLYIFRKIKPTPLNAKLVLSYTLLGFLSAADNLMYAYAYAYLPASTSSLLASSSLAFSALFGYLIVKNPMNASVINSIVIITGAMAIIALDSSSDRYDYVTNRQYFAGFFWDIMGSALHGLIFALSELVFVKLLGRRFFHVALEQQVMVSLVAFAFTTLGMVVSRDLQGMSHEAKSFEGGESRYVQVLVWSAVTFQLGVLGATAVLFLASTVMAGVLNAVRVPITSVAAVILMHDPMSGFKILSLVLTFWGFSSYIYGSSSRTPSTQAASSSS >A05p001350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:197702:198595:-1 gene:A05p001350.1_BraROA transcript:A05p001350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g47590 [Source:Projected from Arabidopsis thaliana (AT2G47590) UniProtKB/TrEMBL;Acc:Q058P5] MKEEGVEVKYFWGSTLYHLDDLPFKVEDLPSNYGAFKDKVQKLEIRKTIAALDQLKSLPSRGDVQLGDIPSLLDLGINPSARTSQEGKPTMVGGETEALTRLKSFAADCQARLAKGGNQKGGNNSVFGANFSCKISPWLAMGSISPRSMFDELKKTISASTTPSAPRNGPGDTGLNWLMYELLWRDFFRFITKKYSSAKTQVEEPGPATACTGALA >A04p005740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3050605:3051288:1 gene:A04p005740.1_BraROA transcript:A04p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSASYIHMVHHMIEKCLIFNMSKEECVEALFKHANITPVITSTVWKELEKENKEFFKAYEERQSKQEQMSEEETTQLIQKIISDSSKESDD >A05p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10936601:10938158:1 gene:A05p022720.1_BraROA transcript:A05p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYKEEQEMEIEALESILAHDFKEIHSSESGLNTSNPCFQITVTPQDDDLEESSIPPVQLGLVFSHTDNYPDEVPLLDVKSIRGIHVSDLTILKEKLEQEAAENIGMAMMYTLVSSAKDWLSEHYGQDDGDDYAEEETAKEDEVIIPHGEPVTLETFVAWRERYEAELALERAKLMPESALTAPKEKKLTGRQWFESGKARGTVVAAAQESDEEDDEDIDFEDDDFEDDEEDMLEHYLAEKSDARA >A07p049930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26638717:26639923:-1 gene:A07p049930.1_BraROA transcript:A07p049930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKINGEEREKTLSDIARACEEWGFFQLVNHGIPLELLNKVKKLSSDCYKTEREEAFKTSTPVKLLNELLEQNSGEKIESVDWEDVFTLLDHNQNEWPSNTSGLKEAMLEYRGEVRKLASKMMEVMDENLGLPKGYIKKAFNEGMEEDGEETAFFGTKVSHYPPCPRPELVNGLRAHTDAGGVVLLFQDDEYDGLQVLKDGEWIDVQPVHNAIVINTGDQIEVLSNGRYKSAWHRVLAREEGNRRSIASFYNPSYKAAIGPAAVSEEGSEKKYPKFVFGDYMDVYANQKFMPRFLAVKPL >A09p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6366319:6373773:1 gene:A09p012480.1_BraROA transcript:A09p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWISVSQLKSLLSRAGGFLLGSALNAPSLTSSASSSSPAAMETHKTKVCIVGSGPAAHTAAIYAARAELKPLLFEGWMANDIAPGGQLTTTTDVENFPGFPEGILGIDIVEKFRKQSERFGTKIFTETVNKVDFSSKPFKLFTDSRTVLADAVIISTGAVAKRLSFAGSGEGAGGFWNRGISACAVCDGAAPIFRNKPLVVIGGGDSAMEEANFLTKYGSKVYIIHRRDTFRASKIMQQRALSNPKIEVIWNSSVVEAYGDENGKGVLGGLKVKNVVTGDVSDLKVSGLFFAIGHEPATKFLDGQLEVDEDGYVVTKPGTTKTSVVGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEGPHSEQEERLSTRICSLHAVMETHKAKVCIVGSGPAAHTAAIYAARAELTPILFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGIDLVAKLRKQSERFGAKIFTETVNKVDFSSKPFKLFTNTRTVLAEAVIVSTGAVAKRLSFPGSGEGAGGFWNRGISACAVCDGAAPIFRDKPLVVIGGGDSAMEEANFLTKYGSKVYIIHKRDKFRASKIMQKRALSNPKIEVILNGSVVEAYGDENGKGVLGGLKVKNVVNGAVSDLKASGLFFAIGHEPATKFLDGQVELDEDGYVVTKPGTTKTSVAGVFAAGDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIGSQEVELGQVVRATPLTVYNEVSTSTPVTVYNEVSTSTPLTVYNGASTSTPFTVNNGESTNVATKTTNDERESNSRSRLENLWALNERDIAERNKLSRIELLRSLLGKTEKLSEKEETLKNKLIDEML >A04p014020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5257718:5259561:-1 gene:A04p014020.1_BraROA transcript:A04p014020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCLSKKTSPSSAIDKPQQPETEAQAKKLEVEESKPKNEAENINPRKEVFVIKHRRSHERSKTTTTTDPDDSTASEEKSTGTTNQTPADVDAILIQCGRLSRSNSAAAKTRRYSGSKRSFDFDKNERSRDGDADEADAEDGGEEEAERRIHRQRQRGGGESPRERRRRTPSREREDSKSYRSGSRERGSGSRRVSRSPGRRSETNPNPNSCAGSGSSVNSSNNNRPAKFVSVPATDKDKSNHNAAEASIKRVAVKRHVASPRSLSPARAQSPQRSNAQPSPSKLRRKTEHSPYRRNPLGEIDPNSLAYPQPHGNKKMMNRDNESANLEGQKMNAKTATQAPIRRSASPSRVTVTKEQQEAVEECKIVVSGTEIPKPQIMSRSRSLRKSRDFDFSPEALLSNNIDNNNNNAPASYAALLLEDIQNFHQKSVNVNAISSSMSKACSIVEAVSDLNSTTNKHQRSELNSFTSAAAVKKADLMEPSFEKYVTVKRGASSLEDMEEQESSGSNSITGSSCVVQRKGYSTSSSWEPNSAESTDRVSGRSSNKERDRSPFGVQEFDPLKKNGVGAGGKRVATTTRVAV >A09p048980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43418739:43421614:-1 gene:A09p048980.1_BraROA transcript:A09p048980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) UniProtKB/Swiss-Prot;Acc:F4HWL3] MMMLRYTLACLLIFSFGLTSSSARQAPYALRISCGARHNVKTPPTYVLWYKDIAYTGGVPANATQPGYITPPLKTLRYFPISEGPNNCYNIVRVPKGHYSVRIFFGVVDQPNFDKEPLFDISIEGTQIYSLKSGWSSHDDQVFAEARVFLMGGTSTICFHSTGHGDPAILSIEILQVDDKAYYFGQGWGQGMILRTATRLTCGTGKPRFDEDYRGDRWGGDRFWNPMRSFGEGGDSPRSTENTIKKASVSPNFYPEGLYQSALVSTDDQPDLTYSIDVEPNRNYSVWMHFAEIDATVTAEGKRVFDVVINGDTFFEDVDVIKMSGGRYTALVLNATVAVSGRTLTVVLQPKGGGHAIINAIEVFEIITAEFKTLRDEVSALQKMRKALGLPSRFGWNGDPCVPTQHPWSGADCQLDKNTSRWVIDGLDLDSQGLKGFLPNDISKLKHLQSINLSQNSIHGGIPASLGSITKLEVLDLSYNSFNGSIPETIGELTSLRILNLNGNSLSGKVPAAVGGMLLHRVRFNFTDNAGLCGIPGLPACGAHLSSGAKIGIAFGVSVAFLLLVLCALIWWKRRQNILRAQHIAARGAPYAKKRTNVSHDIQMTRHGHNNNHGQARTAVENGPSLLS >A07p030210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16873519:16874150:1 gene:A07p030210.1_BraROA transcript:A07p030210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVGGGFALLLRDSFVVAREGMSAFLKAGIFRRCGVTAAVLRSLLSLSASKLSSNQLSFGLVGDRSPVGHSGGSLSRDLEAAISKSQTERRHRRMVSLPRAAGVCGSRVDLSACACDSWGGMLFVVGLGSVGRCCTRVWGMHPSLSFSRRPVCVRSLSLLWSKLALLASISTV >A09p007650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4000482:4005764:1 gene:A09p007650.1_BraROA transcript:A09p007650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEREDDFVFHGTPIEREEEIGSRKKKAVAGASGNLRTLPAWKQEVTDEEGRRRFHGAFTGGYSAGYYNTVGSKEGWAPQSFTSSRKNRAGARRQNISDFLDEDEKAELEGQSLSASSQFDTFGFTAAEHSRKQAEKEQHERPSAIPGPVHDELIAPVSESIGVKLLLKMGWRRGHSIKDVRAGSDARREARKAFLAFSADENTKESSDSLVLETEVKTSLDPQINEDTKFSETTPVYVLNPKQDLHGLGYDPFKHAPEFRENKRSRLSAGKEAGYRKPLSMKESLFGPNRKIGPGFGIGALEELDAEDEDVYAGYDFNQTYVIEDEQPVRPHNDNTLRLTSKEHNVLPGFGAASNSDYSVERFDPPKIPKDFVARHKFLGPREAETKPTAVPPPDVSPPEDKNLKLLIDGFATFVSRCGKLYEDLSREKNESNQLFDFLRGGSGHDYYVRRLWEEQQKRGDQSNLQLDVKVPPSVEKMTAEKRGSLLGERPLQKSLKETETSASSGGSFQFPTNLSDTFTKSASSQEAADAVKPFKDDPAKQERFEQFLKEKYKGGLRTTDSSRFNSMSKSARAQERLDFEAAAEAIEKGKAYKEVRRATERPIDFLAGGLQFTSGGTEQIKDSGVVDMKSSKTYPKREEFQWRPAPLLCKRFDLPDPFMGKPGTAPRARNKMDSLIFLPDTVKAVSDLQEPKKETTVVEPEVEVQVENVERPVDLYKAIFSDDSEDDEEQQPMNGKRQEGQEKKNEAAAATTLNRLIAGDFLESLGKELGFEVPSDVTYPEGTKPMEEDNKSKRKSDAASERRPATKEKPEEKTSSLKLRSEEETDTKKREKSPRNWSGEEKSTKKREESPRNDLSSSDSSGDERRRKRSKKDRHRNNDTESDSSSDYHSRDKRSSRSRRKRRESSREKRSSHKKHHTKHYKTNDSSSRYSMDEDRKESRLEKRRHRD >A05g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15582989:15583948:-1 gene:A05g505590.1_BraROA transcript:A05g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVWCLDINRWYLCTSIDINLHLSRHLLISIVSTDAHRSIVLPLVDFYVVSSVSIIGCKDFRQVSGAAGSVTKIGQASMNQNLMVVATKFCSLLFDLYPRVLCESEPSRLPPPSPVSNSFIGVFMNQALMVLATKSCSDSS >A09g502280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7707338:7707613:-1 gene:A09g502280.1_BraROA transcript:A09g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELPKRVYAEGLEPQVKKINNCCRMELIRDLKKAMFAEYDNVKIDPVFKHIIAIAENKLKFSGKLVDRSLHFGPSLTPTYLCIIRLPIV >A02p027240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13208729:13232811:-1 gene:A02p027240.1_BraROA transcript:A02p027240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 15 [Source:Projected from Arabidopsis thaliana (AT1G74190) UniProtKB/Swiss-Prot;Acc:Q9C6A8] MNIAIVMKYANGATAVTGDSDREVLLSFKSYLQSWNPTERGKYNEWETTEKQGVCQWPGIICTPEGSRIIGINLRDSTISGPLFGNFSALTQLAFLDLSNNTIGGSIPDDLNRCHNLKHLNLSLNIIEGELSFSGLSNLEVLDLSVNRIEGDVHSSFPLLCNSLIVANLSTNNFSGRIDDIFNECRYLKYVDLRYNGFSGEIWSDFRRLVKFSVSRNRLSGNISASMFRGNCTLQVLDLSGNGFAGEFPGQVSNCQNLNVLDLWGNNFRGNIPAEIGSISSLRGLYFGKNEFSRDIPETLLNLSNLVFLDLSRNNFGGELQEIFGRFTQVEYLVLYGNSYVGGLYSSNILTLPNLSRLDLSYNNFSGRLPSEISQSLMFLVLAYNNFSGDIPHEYGNIPRLQALDLSFNRLTGSIPASFGKLTSLLWLMLANNSLSGEIPREIGNCSSLLWFNVANNQLSGGFHPELTKMGSNPFPTFEVNRRTMDNTISRSGECLVMRRWIPAEFPPFKFVYATFTNKSCRTLWNHVLKGKCIFPVCPAGSIVDPLDISGYLQLSGNKLSGEVPANISQMKKLSMLHLGFNEFEGKLPIEIGLLPLAFLNLTRNKFSSQIPQEIGNLSSLQNLDLSYNNFSGNFPTSLNDLSEMSKFNISYNPFISGVIPSSGQLATFEKDSFLGNPLLQLPGFFNQPGNNNTSSGERDNGREEDEDDGAAIDMLVFYWSTFSFYVVALMGILVLMYLDCPWCRAWLRLVDAFTVSMKRTMCLFHNLIWVILLMGHLHGYESCIEKERNALLELKKYLISQSIEEESNSVLPTWTNDTKSDCCLWESLKCSRISGRVTEIAFGGLNLKENTLLNLSLLHPFEDVRSLNLSGGALNKFNGLFDDVEGYNSLKRLTNLKILDLSSNKFNNSIFPFLNAATTLTNLFLRSNNMGGSLPAKDFRDLTNLELLDLSRNRFNGSIPLQELSEMRKLKALDLSRNEFSDSTELKGKNIQELDLSHNKLVGQFPLCLTHLSGLRVLDLSSNQLTGTLPPSLGSLKSLEYLSLFDNDFEGFFSLGSLTNLSNLRVLKLCSKSNSLQVVSDSSWKPKFQLDVIALRSCNLDKVPQFLLHQKDLRHVDLSDNNISGNFPSWLLENNTKLKVLLLQNNSFTSFQLPKSPHHLLFMDVSVNKFNHLPENIGWILPHLRYMNISNNGFQGTLPSSLGNMKNTEYMDLSRNSFRGELPRSFINGCDSMAILKLSHNKLTGEIFQEPPNFTNILGLFMDNNLFTGKIGQGLQSLRNLSLLDISNNNLTGVVPSWIGELPSLTALLIANNLLEGNIPTSLFNNSNLQLLDLSTNNLSGGIPPQHNSQNGVVLLLQDNHLSGEMSDTQLANVEILDLRNNKLSGSIPEFIKTQNISVLLLRGNDLTGRIPHQLCGLINIQLLDLSRNRLNGSIPSCLSNTSFVTGKKCTSYDYDFGISFPSDVFDGFSLQQDISSTNDSSRYFKSLLMLDPFSMDYKASTQTKIEFATKYRYDSYMGKNLKLLYGLDLSENELSGEIPAEFGELMELRAFNLSHNNLSGAIPESFSGMKNVESLDISFNRLQGRIPPELTQLSTLSVFKVSYNNLSGAIPQGRQFNTFDTQSFVGNNLLCGQPTSRSCNSGTFQEPDSGVEDDDESTIDMESFYWSFAAAYVTILVGLFASLSFDSFWRRFWFDMVDAFIQKVSLNQYLIWVMLLLLGQLHGYKSCIEKERKALLELKEYLISTSQDGDRDFVLPTWSNDTKSNCCLWEGVKCTRTSLRVTEIAFGYLFLKEHSLFNLSLLHPFDEVRSLDLSRCAFSALFDDMEGYKSLSRLRNLEILDLSSNEFNNSIFPFLNAATSLTTLFLRNNYMNGPFPVKVNFQCSDCTSDHSYILLDSLSELKNLTNLELLDLSVNDYNGSMPEFTHLKKLKALDLSGNVLCEMKNLQELYLSGNEFVGQLPLCLGSLNKLRILDLSYNYLSGNLSSSFSTLESLEYLSLSDNNFEGLLSLDAIANLTNLKVFKLSSPADIIQVDTESTWIPKFQLTIAALPFCGLEKIPNFLMYQKKLRVLDLSSNRISGNIPTWLLANNPELEFLDFSANDIGGVLPDYFGHVLPSLLHVNGTHNEILGNLPSSMGEMKNISFLDLSHNNFSGELPRSLFTGCVSLQILQLSHNQLGGHILPGQTNLTSLIVLRMDNNLFTGEIGTGLLTLVNLSVLDASNNRLTGAIPSWIPEESHMIMLLLSNNQLEGTLPPSLLAVYHLQFLDLSGNLLSGELPSSHVNSMYGISMFLHNNSLTGSIPVKLLENAKILDLRNNKLSGSIPQFVNTGEMRIFLLKGNNLTGSIPWKLCDMRNITLLDLSDNKLNGTIPSCLYNLSFGSREEEEMTSSSFGAFDFGFVESLEFEFYKYTFLVEEFSAYYDTFMIVEIQFAAKQRYDSYTGGTLDYMYGLDLSSNELSGVIPAELGELSKIRAMNLSRNFLSSSIPDSFSKLKDIESLDLSYNMLHGDIPSQLTSLTSLAVFNVSYNNLSGIIPQGRQFSTFNENSYLGNPLLCGPPTDKSCEAKKSTKEADNGGEEDDDEAIVNMMAFYWSTASTYVTALIGFLLLMCIDCPWRRAWLRLVDSFTASAKSVFFRKYLICVIVLLGRLHGYKSCVENERKALLELRKYIFSITKEEEFEPKEYYVLPSWTYDTKSDCCQWEGVICNQTSKRITEIAFGGLKLKENSLLNLSLLHPFEEVRSLNLSKSKFSFLYQFDEKGFDEETYNQFSGFFDDVEGYKSLGRLRNLKILDLSSNTFNNNLFPFLNAATSLTTLFLRDNYMDGPLHAKGIFCLLHTVYSARILSLKFRLYPSYIPWTPFRTLRFDKPGAARPQY >A05p020540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9731640:9731843:-1 gene:A05p020540.1_BraROA transcript:A05p020540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKELRLYNTMTQQKEVFKPINPSKVGMYVCGITAYGFSHIGHACASVSFDVLYRHLGMLYWVI >A09p020630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10954995:10956348:1 gene:A09p020630.1_BraROA transcript:A09p020630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMTVPNLAIGSDHHLMPVLGFGTAASPPPEPLLLKQTVLDAIKLGYRHFDTSPRYLTEEPLGEALAEAVSLGLVGSRSELFVTSKLWCADAHGGLVVPAIQRSLKNLKLDYLDLYLIHWPVSSKPGKYKFPIEEDDFLPMDYEAVWSEMEECKRLGLAKCIGVSNFSCKKLQHILSIATIPPSVNQVEMSPVWQQRKLKELCKSKGIVLTAYSVLGSRGAFWGTPKIMESDVLKEIADAKGKTVAQVSMRWAYQEGVSMVVKSFTKERLEENLKIFDWSLTEEEMHRISTEIAQCRIVGGEVYISKKGPIKSLEEMWDGEI >A06p052330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27668038:27668590:-1 gene:A06p052330.1_BraROA transcript:A06p052330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSMRLISTVLLLFMIFVATGMGPVTVEARTCESKSHRFKGTCVSSTNCGNVCHNEGFGGGKCRGFRRRCYCTRHC >A05p054290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32150499:32151446:-1 gene:A05p054290.1_BraROA transcript:A05p054290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARTVGVGMDYSPTSKSALRWTAENLLDDGDTIILIHVQPQNAEHTRKILFEETGSPLIPLEEFREVNFSKQYGLAYDPEVLNVLDTLSRAKKVKVVAKVYWGDPREKLCDAVENLKLDSIVLGSRGLGPLKRMLLGSVSNHVVTNATCPVTVVKAN >A09p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4283681:4284562:1 gene:A09p008320.1_BraROA transcript:A09p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSAENATKAYLSTLKTDQRSKEPNVAEFISALAAGNNARKIAVACAGAANTDILVALIAAANQTRGQVVCVLRGIEELIISKKMLEPSEIHHIHFVVGESSDNNLINDHFGEADFVLVDCNLENHQDIVTKIVNHHEENARSDGGSGVAVVVGYNAFSRGSWTFSDGRKTQFLPIGGGLLVTRVNDNGNYNQQMMMNKNNHHHRHHDRVRKSHWVVKVDKCTGEEHVFRVRVPRGEAIIEA >A01p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:819373:825504:1 gene:A01p001830.1_BraROA transcript:A01p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cadmium/zinc-transporting ATPase HMA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37270) UniProtKB/Swiss-Prot;Acc:Q9M3H5] MCLQGWNKNWDEWVSADRLLKHTEENLVKQKALDKKQGVEKGAKSGRSAQTKTRSSADTKAEKDDTKNNDENVLSVAAAKGKKRKNESGNEKDNVSADKLLKIQMPATLKKQLVDDWENVTQKDKVVKLPRSPTVDEILSKYLEIKTKKDGMITDAVGEILKGIRCYFDKALPMMLLYKRERQQYEEAIVDDISPSTVYGAEHLLRLFVKFPELFSYVNMEEETWNRMQQTLLDFLKFIQKNQSTFLLSPSAYESDKVVSDGKGKGKDERRRSSSNMEPAALTRSSSLTRFPYRRGLATLRLARVNSFSILPPKTPLPLRISASLSLPRQSIRLRAVEDNHHDHHHHDGEQEHHHHHHHHHGCCSVELKAESKPQKALFGFAKAIGWVRLANFLREHLHLCCSSAALFVAAAACPYFAPKPYVKSLQHAFMIVGFPLVGVSASLDALMDIAGGKVNIHVLMALAAFASVFMGNALEGGLLLAMFNLAHIAEEFFTSRSMVDVKELKESNPDSALLIEVKNGNVPNISDLSYKSVPVHSVEVGSYILVGTGEIVPVDCEVYQGSATITIEHLTGEVKPLEAKAGDRVPGGARNLDGRMIVKATKAWNESTLNRIVQLTEEAHSNKPKLQRWLDEFGENYSKVVVVLSLAIAFLGPFLFKWPFLSTTACRGSVYRALGLMVAASPCALAVAPLAYATAISSCAKKGILLKGAQVLDALASCHTVAFDKTGTLTTGGLTCKAIEPIYGHHGGNNPSVITCCVPNCEKEALAVAAAMEKGTTHPIGRAVVDHSVGKDLPSIFVESFEYFPGRGLTATVNGVETVAEASRLRKASLGSIEFITSLFKSEDESQQIKDAVNASLYGKEFVHAALSVDHKVTLIHLEDQPRPGVSGVIAELKSWAQLRVMMLTGDHDSSAWRVANAVGISEVYCNLKPEDKLNHVKNIARDAGGGLIMVGEGINDAPALAAATVGIVLAQRASATAIAVADILLLRDNITGVPFCVAKSRQTTSLVKQNVALALTSIFLAALPSVLGFLPLWLTVLLHEGGTLLVCLNSVRGLNDPSWSWKQDIAHLINKLSSRESTSSNNSLSSVETAH >A05p001600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:320096:320404:1 gene:A05p001600.1_BraROA transcript:A05p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPB1 [Source:Projected from Arabidopsis thaliana (AT2G47270) UniProtKB/TrEMBL;Acc:A0A178VV95] MGVTLEGQRKESVWVSMRRQRARRALVKKIMIRPKKNLEASRRPCRAIHKRVKTLKELVPNTKSSEGLDGLFRQTADYILALEMKVRVMQTMVQVLTETDCM >A05p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19319061:19319849:1 gene:A05p034380.1_BraROA transcript:A05p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKDGSIMALILPKKQHDSLSISSLIRKKQSTHNFIYIIIYIVLTSIIKNISYPKSVVVYLSSLLIKLIINKHLFYSLKETTTTQTIKTPKTRIPKKTKETNTATLEDTRDNPERHKDGNNISTCSLLLSYKNSLHAQCQQANA >A02p060260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35971176:35971572:1 gene:A02p060260.1_BraROA transcript:A02p060260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVDLDNSSGDARSVSRNLPGMPDPEAEVIALSPKTLMAKNRFVCEICNKGFQRDQNLQLHRRGPKQTTLDFLGLGRAVGNGSSNPGGGLSALFGTAGEFSGKDIGRTSS >A02g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10882184:10882483:1 gene:A02g503290.1_BraROA transcript:A02g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVANTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLSNQFRSWLKP >A10p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3860417:3865019:-1 gene:A10p015000.1_BraROA transcript:A10p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVIGELFHLTSICDSENNCGCINIDEGVPGLTYQEIAKIPLGEGSMRHGQVLEVNGEKAIGQVPEPLPEEALSKMSTPPKSESPIITPNDLAEADGFVFGFPTRYGMMAAQFKVFLHATGGLQRTQSRGHELISNIADTMANNISSPLKDQSAHVYIICDGGNIEGFATKMTEPQPKYSTTEKQYGWDRNNIRSQGWHHVILQLVMAS >A03p048810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20860824:20862604:1 gene:A03p048810.1_BraROA transcript:A03p048810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRIELCIEIVKKTMDFAVVVAEAAKVFLRNAPQAPPALLRQGPYYYSASTPQKRGMLESVQEREMPKHLIRGLHGYLNSGFSI >A03g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2250599:2251415:1 gene:A03g500720.1_BraROA transcript:A03g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEAILNLLFHSSTKVTTEPYLHHLFLDLPPSTIYYTDVLRSVTTKLDTHEPPPHESSNNKEMRTAFSLPADRTAKSYIASGAGLGRGLGTAGYGGLTRKDPPEIETAAGRATAGRVVPIVYLVDKSKHIHF >A07p029320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16439135:16462478:-1 gene:A07p029320.1_BraROA transcript:A07p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISERVPTWAAVPAVFAVLAVISYQTLITPYNLEGAKNVLPMGKTIPLPVDGPESIEWDPQGGGPYAAVVDGRILKWRGDDLGWVEFAHTSPHRGNCSRHGVVPTCGRPLGLTFEKKTGDLYICDGYLGVMKVGPEGGLAELVVDQAEGRKVMFANQMDIDEEEDDLYFNDSSDKYHFRDVFYVVFNGERSGRVIRYNKKTKEAKVVMDNLRCNNGLALNKDRSFVISCESSTGLVHRYWIKGPKAGTRDIFAKVPGYPDNIRLTPTGDFWLGIHCKKNLIGRLIVNNQWLGKLVEKTVKLEFLIGLMNSFKPHGVAVKISGETGEILEILEDKEGETMQYVSEVYERDDGKLWLSSVFKPAVWMTTYGDRVKSTSINGVKLYHVSCAPNVATWLNPKKQRALRKNPHYMQRVELIQDLKFGNATTRIKATPDGEYLIASGTYPSQVKVYELGQLGLKFERHLDSAIVDFEILDDDYSKLAFLCADRSINLHAKYGKHHSLRIPRMGRDLTYDNQSCDLLCAASSPDLYRINLEQGRFLSPLSTQSPALNVVSRSNLHGLIACGGEDGAVECFDMRMKSSAARINAVTHGGDAAAEVTAIEFDDSEGLQVAVGSSAGKVFIYDLRTSAPIQMKDHMYESPILSIKWQRTLNTQEPKLITTDKHIVRIWDPNTGEGMTSIQPTTHMSLSGGEINDICVFPGSGLMLLALNSSLIPSYFIPELGPAPKWCSPLENLTEEMEETGQTTIYDNYKFVTKEELEKLQLTPLIGTDLLKAQMHGYFMKHHLYKDALAEVERFAYDNYKESNKQKKLETERSQRITKKIKLPKVNRDLANTIHNEEGAEEEKKSVEEAVKKVSTKKKKPGLSGEDFSCGRFDNMFHNPDFQIDPESYEYRALHPVASSSKKQPSLLDEHFEAVSDGDDENSDSDVSRGSDDGRPSKKWKTPKLFVVKNKRHAEAFHNGRSLAKEDSLPMGERVKAMEDQRGNFGASKDVKFGPGGSREISFNAGRSSTYKEDRDDEDGDGQRSRRRGVQSLGLKQDVVRGGFRSRGGGGFRGRGGGGRGRGEPFGWALTGKCASYSTNDGTSFLDSPVPKTYGVAHHQTSLGVSVSSEGNGIDNNSVMIKKLSHNANERYRRKQMNSLFSTLCSCLPASYQLNKLSIPQTVLRTVKYIPDLQEQVKKLTQKKEDLLVRALGQRDMESYVKQHPQAVASYVSTVFATKNGDNEVMVQISSSTIHKFSIYNVLSGLEEDGFVVVDVSSSSSRGERLFYTLHLQVGKTDSNKIICEELSQKILYLYEECGNSKLVFDQAKMCELGPALFPKFGMELTGEHESYSTNATSFLDFPVPNTHGVAHHETSFGVSVSSEVNGIDNNSVMIKKLCHNANERNRRKKINSLFSTLRSCLPASDQLKKLSIPQTVLRTVKYIPELQEQVKKLTQKKEDLMGRVSGQRDTDRYIKPQPQAVASYVSTVFATKHGDNEVMVQISSSKIHKFSIYNVLNGLEEDGFVVVDVSSSSSRGERLFYTLHFQVGKTDSYKLICEELKKVEYSCDRFTELEAHEQVKKL >A05p055150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32600560:32601636:-1 gene:A05p055150.1_BraROA transcript:A05p055150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVLVKQSDVAIKERFGKFQKVLNPGLQFVPWVIGDYVAGHLTLRLQQLDVQCETKTKDNVFVTVVASIQYRVLVDKASDAFYRLSNPTSQIKAYVFDVIRACVPKLNLDDVFEQKNEIAKSVEEELDKAMTAYGYEILQTLIIDIEPDQQVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAGNVPGTSAKDVLDMVMMTQYFDTMRDIGASSKSSSVFIPHGPGAVADVAAQIRNGLLQAHQTNA >A06g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17856171:17859913:-1 gene:A06g506290.1_BraROA transcript:A06g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTGMLRCPCSNCKNKKVIKQWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPAREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVGFDYRFLFLGISSEFSEEIPTKLPISSEFPRKIPRKFRGTWGFKPKTTFYGLNNTYITFIKCLNQIMMFGTRNFGVLSNNKHFYDCMNENHTT >A04g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13519588:13520404:-1 gene:A04g506320.1_BraROA transcript:A04g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESAYNCQNQAIVSVNFRFEAPQTTLRPVKAVEDVHRRLCSLSYLKGARLYASEGPKDYNLLGNLTPTSPQLDVKKPQDIVRPGSLSLLAEGYDRCVQVCSEYAKTFNLGWDLLLLSLLSFMSTTYMSTFYISIFSLSTNN >A07p044730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24347902:24349650:-1 gene:A07p044730.1_BraROA transcript:A07p044730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD4 [Source:Projected from Arabidopsis thaliana (AT1G71340) UniProtKB/Swiss-Prot;Acc:F4I8H8] MAIFEWRRQRRRPLDGGGGTRRRLPFRPLYSRKLKRMILFAVVFLAIVPPLFFHFKLRRIRQNVAKKCDWLQHPPRVCAHGGDSTLAFPNTMDAYSYAIGSRVDCIEVDVSRSSDGVLFALHNRDLQRIARNSSVQVGDLSMKQIKELDVSQIVKGTLDNRRIPTLEDALAAISTSVRQVILDAKVGPPMYEKGLAQDILSVIERAQCKNCIVWAKSDSLARDLIRQAPDLTVGYIVMVDQLTGVRSKLLRMKGASVVGVYHPLIDENLITVVHRRKKEVYAWTVDETDPMKRMLHLGVDAVVTSNPAMFQGLMEDLRTECLEEGFSLRT >A05g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21604668:21617917:1 gene:A05g507560.1_BraROA transcript:A05g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSLYQVLEYHMEFLETFGCIWSSKEVFKVIIGRAAHESDQSGATQSSRSDLPIRATLPERQGGVARVFITRRRENESGATSPERHNQVALISLSERPYQSDMEELLAFSSPRDARTSPERPLAATQRGRSRSLERLVGATSRATRRRIIFVLKKTTKNLWKVISLNQLIGFRHLKVAPAGSEVSRATLEVARLKDVGSKNTLGARARVAPAGSDVMGAIPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRITTRGNDSGATSPSDTLTSLPNRSRPNRTTLNLPPTPATPSLSLSPPLTNSQTLSNSPTPKIPKPSQFSPKSTNKGKLEAERQEAESQEFALRGKALACEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEESETESEDEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNKHSTGADKNSHIRHPSVRYLHRMLVHAFYPRKQAGTVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGSASGDFKEKELMLHFAYMSPYQVLEYHMEFLEHLDAFGAQKGVFKVIIGRARHGSDQSGATPPSRSDPLLERPYQSDREKSLAFHHRRRENEPGATSGATQQVAPRATGKVARVFITRRRENEPGATSRSDTARSLPKPGATCRSDGPRSLRVLFLLELMISQGPFGHFIMHPPGGGSSLFLKNHQKPLESDLFESIDHSKLDHPRSNPYIHEFSFPIVKKFKNPSKSLVALRLSDYLHSRCFDIFQNWFDNHLYYNICLRSLENIKS >A02p025340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12289344:12289672:-1 gene:A02p025340.1_BraROA transcript:A02p025340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKIWLMSLMITGAILADVIPGVTITKTAIACPLYCLEVEYMTCPSSGDEKLPPRCNCCLAPKDCTLHLSGSTSIHCTK >A06g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13242294:13243793:-1 gene:A06g504210.1_BraROA transcript:A06g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFASTDALLSDCSDLAIWIDMSSSETNARNREIRSKRRFDETSSSSNPQRPPWPRAKNTPFDLRCMPDEAFLRNPRNMSRRPPSIHRTRAQDAQAPPLPDFPNIPDIPMHDQGAFQRFVVDALQAIWARVSCQSRRATGAQAPAPAAARRDPSP >A03p053260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22850135:22852590:-1 gene:A03p053260.1_BraROA transcript:A03p053260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRRNFHNFEDPTLRTRKKKNAANVDTFESPSIVQGTEGGGKYNCDYCQKDITGKIRIKCAVCPDFDLCVECMSVGAEITPHKRDHAYRVMGNLTFPLICPDWSADDEMLLLEGLEIYGMGNWAEVAEHVGTKRKQQCLDHYRNIYLDSPFFPLPDMSHVAGKSKKELQAMASSLTVEQHMKEEYPFSPPKVKVEDTQKESHTDRSFGGKKPVTPGNNSLVELSSYNHKREEFDPEYDNDAEQLLAEMEFKQNDTPEEKKLKLRVLRIFSKRLDERKRRKEFILERNLLYPNPFEKELSQEEKEQCRRLDVFMRFHSKEEHQELLNSVVSEYRMVKRLKDLKIVLDWLEQEAQMAGCRSTAEAERYLGRKRKRESEEGMNRGKESSPVQASSSYVNDLDMIGFKESQLLSESEKRLCSEVKLVPPVYLQMQQVMSHEIFKGNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL >A08p036640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21449753:21453219:-1 gene:A08p036640.1_BraROA transcript:A08p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTVSSTVPCSRLSPISTTQTKFLTLSAKTQPIRRRHDLSFTPFPTLTHSNHPLRHAFSNPKSSFHRPHCSGEAGHSDTTEQTSVTSVDDNKEARRSESHVALFVRMLGLDNDPLDREQAVEALWKYSLGGKKCIDAIMQFHGCLNLIVTLLKSHSTSTCEAAAGLLRSIASVNLYRELVAESGALEEITALLSRPSLATVVKEQSICALWNLTVDEGVREKAADFDILKLLITFLEDDDVNVKEAAGGVLANLSLSSSNHKIMVEVGVIPKLAKLLKGENKGSKVIRKEARNVLLELAKDEYYRILVIEEGVVPIPIIGADAYKSFRPDLYSWPSLPDGIKVEQTAKSPSRFGASELLLGLNVDEDVDKVDEAKTKAIVGRTNQQFLARIGAIEFEKEIKSERPGDSQQNQNQNQNQQLTLLPCVDGVARLVLILGLADEVAVSRAAESVADACINEEMRVSFMEAGAVKPLVQLLANNNGEGVKIPVIRALKNLSLSRTVCKRIEAEGAVPFFINLLKQPEISLSITEQILDVLAHILDPSKEMESKFYEGPVNGSKADSRKEALDGSVISRLVQIAKTASPNLLRKAISVIEFGTVVDPTMDTIISEDITTLLDVALRQRVLDEPENEAEELEKHLLELEEAGLTISAASRLLTKLLDSESFRQTVDVTLFTELLRKILRSNLPLHYKDWVASCLVKLTSLSSPSPSINNPINVEVTLYKTIPSLVEQISFSSTPEAKEEAVLELNKIVSEGVPESTQALASHGGIEPLVKLLEERNERCVEASLSVLYNLSMDSENHTAIMRAGAVPVLRRIVMSQRPQWEKALRLLRDLPV >A07p041260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22306680:22307256:1 gene:A07p041260.1_BraROA transcript:A07p041260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAENLVLRLMEDPEVRDRKAREHIYEMHERCKKIKEMWALPIRPYGFWTFERHNAQLRWDPQISQAEDVL >A04p017650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10714207:10715131:-1 gene:A04p017650.1_BraROA transcript:A04p017650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKRFVLVHGVCHGAWCWYKIIPHLEAAGHRVVAVDLAASGISTIKVEEIQSLEDYSKPLLELLSSYDEEQQVIIVAHSMGGITAALAADISPRKIAAIVFVTATMPDITNPPAYPFEKFVPNIPQEELLDTVFRSYGSLHTVLFGPEFMAKKLYQHSSVQDLQLAKLLARENPALATDNLAGTTSFSEEGYGSVTRIYIICGEDNLIGEEYQRLIINNFKPKQVMEIEDADHMAMLSKPQELCACLLEIADKYA >A06p023340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16005904:16006863:-1 gene:A06p023340.1_BraROA transcript:A06p023340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRVIYSVETYDFPGTFWSFVLTIHNASSKSATQKLIDRHFPPKSLKLDHPRSNPYAHEFSFPLVKKVSFFSTCILGALISLRTGSTITYITTFVLGALKTPNINRRYAEA >A09p016710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8750115:8758119:-1 gene:A09p016710.1_BraROA transcript:A09p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQSEKMALKRILKELKDLEKDPSTLYTAGPVAEDLFHWQATITGPSDSPYSGGVFILTIHFPPDYPFKPPKVAFRTKVFHPNVNSNGIICFHICHDVLKEQWSPALTISKVLFSIWSLLRDPNADDPMVPEIAHMYKTDRGKYESNARSWTQNSSISEHPSFLATTKTRILHFLRIVVVMAETEVPVYCYWKGCIKYGPEGVYYEGPAPKKIIVHPKIALNRLLDEMYVLTGVDVDKQRSKVKIFGRYPSVVGQSTFQYLLLPVVNNSSLETMLEVPRKHPSIKIVELYLEVKSEGVTGPAACSSKRQKTVKVERDSSTGNIGDAAVDAEMTDVNNISGSNAVAQVINLAGDKELNPGVPKPCLSSLWLDDHDLRVGLCFKDADELKKAVDWCSIKGMQKRHPTLSFSELQNWWKANIGDELKTSVVRAAKVEAIKRVFGDQSFEDLPKLMTALCSSNGLLVDWKYDLFPNPKFASFCGVFWAFPQSVQGFQHCRPLILVDTKELKELESLVKQAGETGQKEEFETHIERIKKENPEAWRRLKQIPPNQWALVHDSGRRYGITEIDTESLFAECRGFESGDHTLTGSVMLLFDELRDWFENGSHFSRASLNSGDVYTKPVTDKLEEFRTATVTYVVMPLDNNAFKVAEPSENDEWIVQLSDCTCTCGEFQSYKFPCLHALAVCKQLKINPLQYVDNCYTFERSYKTYAATFSPVPELAAWPEASGVPRLFPPVSPKARPLQKLTTRRADKRIVVVMAEREIKVMCYLDGCIKHGPDGVYFEGSTLKQMRVKQNANFSSFLDEIYLITGLDKTTSSNFRIFTRYPLVVSQPMAKYVLVTVMCDSDLERMLEVPSKHPSINTLELFLDVKPTASVVPPPADFSWSMAKPSGPSKRQKRTQPAKKDEPKNYSYADGFDEDALACEIKRVVSVHPTLSVSELHKWWKDKHGFGLDGFDQVDDAQGLMQEAKDKAIKSLFGDWDQSFRFIPKLMSALHSSNGLLVDWQYDDSLPNPEHASFRSVFWAFSQSVQGFQHCRPLVVLDTKHLKGKYNMKLMIASGFDAANSFFPLAFAVTKEVSTDTWRWFLTRIREKVTPRKGLCLILRHHPDILAVVNEPGSQWKEPWAYHRFCLTHLCYQFSRLFPDHHHHHHTEYLVMKAGSSSQKAEFDSYMKDIKEKSPEGWKWLNQIPPHQWTLAHDGGLRYGIMEISTEALFAVYRSSPRITMTGGVMLLFDELRDAFHVSFKCSRRSLNRGGVYTEFVMDKLRKSVKDSASYVITPLEGDAFQVSKKKGRALMGKYEEEKECIVQLNDSTCTCGKFQRKKFPCLHALAACKKMKIDHFQYVDDCYSAESYYKTYEATFSPVPELSAWPEASGVPTLFPPVIAPPPLKKKTQETCVLSSREGTPPGGRVSTNERLEIMHVIEPLVGMIGCYMLILVESFSRWFSSTAPELSSTVRELSSTAMELSSMAIKLYVGGDGALSHSDLLFECVGFSNTLLIKLVVSDFRFLCLYVFNLNDPRCKRKEPQSRRVQSRAIQ >A06p057570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29948791:29949168:-1 gene:A06p057570.1_BraROA transcript:A06p057570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPKDETQNPPPNPNPKPKPAIASSCRRKVKEDATFFEDVKDHIDEFIHASMDEHKTCFQKTISKMFGLSKAVAEKQAEESKGGVESQLPLQTTVSD >A03p045940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19315825:19316747:1 gene:A03p045940.1_BraROA transcript:A03p045940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGSSNSSGQLSGRVVDTRGKHRIHAELKRLEQEARFLEEELEQLEKMDTASASCKEFLDSVESKPDPLLPETIGPVNATWDQWFEGPPEAKGCGCFIL >A07p004330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2894043:2894588:-1 gene:A07p004330.1_BraROA transcript:A07p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFLEGGIIFKRSTQGLLYHHHCCYRVYSTPHHHTGAGKEKQWCDIAASPVKRGAKVAEDDDDTGRIIGGGVRDGGWWKRIADGVADSVFEASMVEDPEQTDEAEVELYGKGG >A05p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31508577:31516323:1 gene:A05p051640.1_BraROA transcript:A05p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPFGKDVGPAMSSKPSPFTTFGASDPTQSTSDSPIQPATSQHHSGYAGRSFGPGGIQSGPPIQRAPSPSASQNPSPFVGQPYRPGGVQSSPTMNRTSPPVAFQNPSHSSGQSYRPGGTQRSPGPVSVHWGPEAFPRPSTSVRPYKFPGVQRSYNSSRKTEQGLAECYRPTLNPQFGHDESRNFQNDHSERSLATSSASTSHTLSRSATDVVEIGRSQDSKRKSRSDVLPDRSLGVSRRNHSPGSGFGNGRLVDDFQQSSSQTWIRSPSSADNNPVRSRINPNRLIHQEQSPSSSFPYAHEAAETQEATKRKSSVTPSDSPLHNNPVFSQDDTRRSSTSPPGTKSFMLSRSSDSQFTGQSSVNNFSNAVKTSSSPATKRTRSPPLNPVEDDIQGNSFLSQSFTEGEEQARAKRLARFKGELDPVPTRPVDTQLAKSSPNKTVKPLDNKQIFNSQEANRDVHKGDALSDFESSEQPSLIVGLCPDMCPESERGERERKGDLDHYERVDGDRNQTSKSLAVKKYTRTAEREAILIRPMPILQNTMEYLLSLLDRPYNENFLGMYNFLWDRMRAIRMDLRMQHIFNREAITLLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKRGITIPTEKEFRGYYALLKLDKHPGYKVEPSELSLDLANMTPEIRQTSEVLFARSVARACRTGNFIAFFRLARKASYLQACLMHAHFSKLRTQALASLHAGLQNNQGLPVSDTSKWIGMEEEDIEALLEYHGFSIKEFEEPYMVKDDLFLHADKDYKTKCSKLVHMKKSRTIVEDVSAPSIEEDVPASSPLSSLSIEANKGYQQETPPPQSLKKQTSLRLVDKEMADSKTSLLLEEDKPVKTSVINPVRPSDVKPAVDQQKGNHFTPAGEFHSPPKFYSPGFPQAESLNLKKQPNDGHTSISPAEINFPFAEHMQTNLVPVPTLQQSPKSMPMENVSVATKIESPRSVENIFALEESVPEAAMTVTLEEGFHDIEQEDEDGHEDITSQYDEEVAKAKLKLIIRLWKRWSSRQSELRERRQLAAAAALNSLTLGTPIRLSKTDQSRACGEFDIDQAMKRRFEEREKSWSKLNISDVIADILVERNPDSKCIWWKVILCTQIKSVDSSSQDTHAAASRWLSSKLIPNTEHSISDNSLLFSSPGVSVWNKWVASGSASDSRCCLSVARDVEAENDLFESTRGATAALFLASKSLPMNLQREHLNRIIESVPNGSLLPLLVLTSSSNGESVGPDANLVSELGLHDIDKSKIASFTVVSIANNSQKGHEVRFFSDSRLRDGLKWLAGNSPPQPNLHHVKPRELVLTHLSFSLELLKQMRDQEVGPNICISAFNDALETSKRNIASAAEANPICWPGPETMLLEDNRKEHLMAKRYLPNVDWSSAESIEPLNSVLENCKLPCFEDDLTWLTLGCASGAEIENHTQRLEGCLVEYLTQTSNLMGDLLASKEAGVMLQRNTTLELHNSSYYHIIPRWIGIFQRIFNWRIMGVLDSSSSSAYVQKSDLAISASSYADKFLSEDASYQSSRPNLYEMVQISCTPLKSSPRVESAQTERLVDDHRDIDESMLEKSREASRRIDMMITEDDELAIKRKEAAEKKTVKDRDSERLNELLEKCNLVQNSIAKKLCIYF >A01p050130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28182171:28183227:1 gene:A01p050130.1_BraROA transcript:A01p050130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNDSGGHKDGGGASSREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKVYLQKYREVEGERMTPGGRQGDKEGGGGSGGGMYGGAVTMGHHHHQGHVYGGSAIEKVVATVTGWLICIISEFYHKRPSTA >A03p059970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25944631:25947459:1 gene:A03p059970.1_BraROA transcript:A03p059970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSPLVVKVSYGGVLRRFRVPVKANGELDLDMASLRGKIAALFNLPVDDGFSLTYSDEDGDVVALVDDNDLFDVTSQRLKFLKINVQLNTGVTTNSIATERSGRSSSASGMPDIQNPVAIIQKGINDVMMAVPNPMRDTISKVYIDLTSKAASSSPVVGELFDCISKLGKLSISQEGTPCSPVTKPCSSVPSAGEKKDISKKSQTGKKPAIFGHVPTSMGVGASFNECPFSGGIVNGSRLNPMNLNKYPLGVCHSKEGSNGDYWTSSLGVFHKGIQCDGCEVVPIMGPRFKSKVKEDYDLCNNCFSVMGNEWDYTRMDEPVSARHLHPFGGLRAPFSNPWLGPALPPHHGVSDFRSTWTKLDSRFVLDVNVIDGTVVAPSAPFTKIWKMRNNGSLVWPRGTQIFWIGGDRFSNSFAVDLQIPAEGVPINGELDVKVDFVAPELPGRYISYWMMASPIGVKFGQRVWVSINVDASLKGTGANEFHGLNLNAFPDETFAREFTGTNVNYEPAQTGSSSVNGTLKGADLEREAAGPQIPGNDDLLVGDVEPVAPNTLTPSSSSSSSSCKIVGFRNMRTVEALGGGYSFTMDTPAPLQEDIEKNDLETTMLKELEEMGFKEIDLNKEILRDNEYNLEQSVEALCGVSEWDPILEELQEMGFCDDVTNKRLLKKNNGSVKGVVMDLLTGDKEA >A02p054090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32919932:32924319:1 gene:A02p054090.1_BraROA transcript:A02p054090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA binding protein 5 [Source:Projected from Arabidopsis thaliana (AT5G27630) TAIR;Acc:AT5G27630] MAKASATLAYPDRFYAAVSYLGLDGSVPSSVKQLSSKFSNDTALLLHALHKQATVGPCNVPKPSAWNPAEQSKWRSWQGLGAMPSIEAMRHFVKILEEGDPSWYPNPTNSAPEPAIDVQISQSTKAEPSVENGGSFGETMTTATEDGRLMETQDKDVVLENPNTISVYNQWTAPFTLGQPPKARYEHGAAVIQDKMYMYGGNHNGRYLGDLHVLDLKNWTWSRVETKVVTESQETSSPATLTHCAGHSLIPWDNKLLSIGGHAKDPSESILVKVFDLHTCTWSILKTDGKPPISRGGQSVTLVGKKLVIFGGQDVNKSLLNDLHLLDLDTMTWDEIDAVGSPPSPRSDHAAAVHAERHLLIFGGGSHTNCFSDLHVLDLQTMEWSRHAQQGEAPTPRAGHAGVTIGENWFIVGGGDNKSGACETVVLNMSTLAWSVLTSVQGGVPLASEGLSLVVSSYNGEDVIVAFGGYNGRYNNEVNVLKPSHKSSLKSKIMEASPVRDSVSAVNNATTRDIESEIGVSQESKVREIVMDNVNSGSKVEGKSERIITSLRSEKEELEASLSKEKIQTLQLKEELTETETRSAELYKELHSVRSQLAAEQSRCFKLEVEVAEVRQKLQTMETLEKELELLHRQRAVASEQAAVNMNGKRQSSGGVWGWLAGTPPPKT >A05p012260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5329764:5331647:1 gene:A05p012260.1_BraROA transcript:A05p012260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g35030, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G35030) UniProtKB/Swiss-Prot;Acc:O64766] MQSRAWSRLKSYYRRATLPISDHVRSNQLSNPLRSISSSSYTKPSVTRPEWLISSLCKEGRITEARKLFDELPERDVITWTDVINGYIKSGNMREARELFDRSDSRKNVVTWTAMVRGYLQSKQFSAAEMLFQAMPERNIVSWNTMIDGYAQSGRIDKALELFDEMPERNVVSWNTMIKGLVMRGRIDEAMSLFERMPVRDVKSWTAVVDGLAKNGKVDEARRVFDCMPERNIVSWNAMITGYAHNNRIEEADQLFQVMPERDFASWNTMITGFIRNWEVDRACVLFNWMPEKNVISWTTMITGYVQVKENEVALKVFSNMLRDGCVKPNVGTYVSVLSACSDMAGLVEGRQIHQLVSKSVHQKNEVVTSALINMYSKCGELVAARKIYDSGIVSQRDLISWNSMIAVYAHHGRGKEAIEMFDQMRKHGFKPSEVTFLNLLSACSHAGLVDKGMEFFEELVRDKSLSVREDHYTCLVDLFGRAGRLKDVFKFINSVDAKPSGSVYGALLSACNVHGEVSIASEVVKKVLETGADDAGTYVMMSNIYKRSGKREEAAEMRMKMKERGLKKPPGCSWVKVGFGWHAFVVGDFSHPRFEALDWVVTGLSNKMRKSKNMTSDVEEDEFLPI >A08p022410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14843183:14844657:1 gene:A08p022410.1_BraROA transcript:A08p022410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCKINRKKICKEIQTCVQRNQIEKKNKMEKKKKVVPKRLQGKVAIVTASTQGIGFGIVERLGLEGASVVVSSRKQKNVDEAVEKLKAQEIDAFGIVCHVSNAQHRQILVQKTIQLSFWLEFQRYGKIDIVVCNAAVNPSTDPILSTQESALDKLWEVNVKSSILLLQDIAPHLEKGSSVIFITSIAAFQPQVPTAMYGVTKTALLGLTKALAAEMGPDTRVNAVAPGVVPTHFASFITRNSEVRRASEEKTLLNRLGTTDDMAAATAFLASDDADYITGETLVVAGGMPSRL >A03p035680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14974044:14975000:-1 gene:A03p035680.1_BraROA transcript:A03p035680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVATPAPAPLTAPERNIVLVPSVKSSAESHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAITTVVTISEILKNNGLAIEKKVLTSTVGMKDETKGRMVQKAKASIEIVLGKSDKFDSLVPPVTNGKTPEEVAKAETDAAIEAQEEAAATEA >A05p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17877962:17879052:1 gene:A05p032320.1_BraROA transcript:A05p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKKISVAAYDDLKISQSCLWSRAFFTEYLSFDAFENNLGEPFNAAIRIARTKPVVEMLEDIRRKHCGNCGQTRHNKMYCKKYPFSKPPKNQPGGHTRNRRKWFASASQPEHDIPSLSSGLPKRGPGRPRKKLSEGVYIISFCSII >A01g510120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27692931:27694091:1 gene:A01g510120.1_BraROA transcript:A01g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNLPWELVEEILSLVPPKSLVRFRVVCKQWNDLFHDKRFIKTQLDHACPQFVLFDQNKIFLIDVNLDDLAIQMHQISVDIPCVLSMTPFSTTYCDGLLICDLFFNGTAVWNPLLRRGRQIMTKNIRFKLCGIGYDSNRSEISYKLFGYHYYYEHDYKLEIYECASNTWKYINALYEEWPIKEPLDNHISLGGNSYWTAYNIETSKYLIRKFDFSKEILNNFCILPCKKNHEGDTHYLSVFRGNRFSMLEQCNRTSEIEIWVTEKKIKNGDKEDVVWIKFMSVSIPDIPRYEKSFVLCYTDETNMFAFISPREVIFVTKA >A01p018070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8805222:8807702:-1 gene:A01p018070.1_BraROA transcript:A01p018070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKPGTASAALNSEYFLTRPYACDPSSLPIYPPNKEMDAKNRDDMRRKRANLKLRESGVGRKHKRPHREEQDPNSYAKLPIRQDTFEDKNITNEGPRATTTTHGNYYKLSDLPMTTGPASGFSWAMKRRKDPDNISTLTYYQPSSRSQLSETSAAFAKNTFGLNLKPENESVYEIEGDNDDQIMEELPCEDKLSRTGKRHGSLDGSGLDFSQRGEGSPLKKNLVIASTVRKTEHIRTANI >A07p009780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6063617:6067249:-1 gene:A07p009780.1_BraROA transcript:A07p009780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFAGKTNKDGAPEKKKKNESAAEKKKKNESAAEKKKAAAEKKKAAAVKRRREAKKTETAEKKRKQDSSSSESSSNPTKRRRTASSPEQHSDPDHSPAPSAELLSQDDREGTPSPSFPIEPQKAPTQTQSEAENPLQAPITSNNREDPNRESHSPEAAINNEAQRTFWNFYDNIELTNRNREQIGSNNRSVEDVELQDDSDKADIAVDSWNRILIEPEGTIFWEDVFEMDVRTRPTTQQQSEPHGIFEGQEEERVCEESGAGGEAGRESVKELELRLNKRMDDGFALRDETIRLLAARVKELEQDKIQRENWSFQFGEYETCEASGGKGRDNMGNGNEDGEAVAEKDGEKQVEEEAEKNGTKEAEKDGAKEAETTPEDAEGEEEADKDGKNSESDAITAAVHTPLPTETTPEEAAKEAYEVAGNEDEVGQKEGETEADKEGETEEGKTDVEDSPSTLQVMAEAAEKLEKEVDDKAAAEKAADELAAAEKAASDKEKVGDEEETRPKRTHKPSRPL >A01g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6578174:6579256:-1 gene:A01g501820.1_BraROA transcript:A01g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSARQTVRTASRGSSSSNRGSAALFELVGVEFFFTLRARRCRFLLQSHHEDLAQTAGDPRHSLRSSASSSSSDSPDSSASSSSPAASGLVGVEFFSSCITIWTPRAEIVEYLLLGLMIYLTIDICSPKRM >A08p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23637365:23640346:1 gene:A08p041940.1_BraROA transcript:A08p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAVTAVDNLQQPLLEPTKSEVDFRMESVLTDTHLPYLRRLYFAALIELKFLFNLAAPAIFVYVINNGMSMLTRIFAGRIGSMQLAAASLGNSGFNMFTFGLMLGMGSAVETLCGQAHGAHRYEMLGVYLQRSTVVLFLTGLPMTLLFIFSKPLLTSLGEPADVASMASIFVYGMIPMIFAYAVNFPIQKFLQSQSIVTPSAYISAATLVIHIFLSWLAVFKLGWGLLGLSVIHSLSWWIIVLAQVLYIKVSPRCRRTWTGFSWKAFDGLWDFFRLSAASAVMLCLESWYAQILVLLAGLLKNPELALDSLAICMSISAISFMVSVGFNAAASVRVSNELGAGNPRSAAFSTAVTTGVSFLLSLFEAVLILSWRNVISYVFTDSPAVAEAVAELTPYLAITIVLNGVQPVLSGVAVGCGWQAFVAYVNIGCYYIVGIPIGYVLGFTYDMGAKGIWTGMIAGTLMQTIILLIVTFRTDWDKEVEKASRRLDQWEDTQAPLLKQ >A10p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9598176:9600179:-1 gene:A10p006190.1_BraROA transcript:A10p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKMFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLVIIPCTVLLETLFFRKKFSRKIQFSLTILLLGVGIATVTDLQLNMLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVAGPFLDGLLTNQNVFAFKYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLKDPFNWRNILGIMVAVIGMVVYSYFCSIETQQKASETSSTQLPQMKESEKDPLIAVENGSGVLSDGGGGVQKTAAPVWNSNKEF >A01p003450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1525222:1528739:-1 gene:A01p003450.1_BraROA transcript:A01p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVLALLSSFIVLSGDVIVLSEGASSRPHHINVGAIFSLSTLYGQVADIAMKAAEDDVNSDPTFLNGSKLRILMYDAKRNGFLSIMKALQFMETDSVAIIGPQTSIMAHVLSYLGNELNVPMCSFTALDPTLSPLQFPFFVQTAPSDLFLMRAVAEMITYYGWSDVIALYNDDDNSRNGVTSLGDELEGRRCKISYKAVLPLDVVIGSPREIVDELVKIQGMESRVIIVNTFPKTGRMIFKEAKRLGMMGRGYVWIATTWMTSLLDSANPLSLPKVAKSLTGVLTLRIHTPESRKKRDFAARWKKLSNGTVGLNVYGLYAYDTVWIIARAVKSLLDSGANISFSSDSRLNHLKGGTLNLAALSMFDQGQQFLDYIVETKMSGVTGPVEFLPDRSMIQPAYDIINVVGDGLRQIGYWSNHSGLSVIPPELLFSKPSNRSSSNQHLKNVTWPGGGSVTPRGWVFPNNGRRLRIGVPNRASFKDFVSKVNGSNQIDGYSINVFEAAVKLLSYPVPHEFVLFGDGLKNPNYNDLVNNLTTGVFDAVVGDIAIVTKRTRIVDFTQPYIESGLVVVAPVTKLNDTPWAFLRPFTPPMWAVTAAFFLIVGSVIWILEHRINDEFRGPPRRQIVTILWFSFSTMFFSHRENTVSTLGRIVLLIWLFVVLIITSSYTASLTSILTVQQLNSPIKGVDTLISSSGRVGFQVGSYAENYMIDELNIARSRLVALGSPKEYATALQNGTVAAIVDERPYVDLFLSEFCGFAIRGQEFTRSGWGFAFPRDSPLAVDMSTAILGLSETGKLQKIHDKWLSKSNCSNVNGSASDDDPEQLKLRSFWGLFLLCGIACFIALFIYFFKIVRDFCNHHKKPEEEEATVPSPEVSRSKTLQTFLAYFDEKEAESSRRLKRKRNDDLSLKSS >A02p029270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14939598:14940223:-1 gene:A02p029270.1_BraROA transcript:A02p029270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLFKGGIIASLRFHFEKIEIPNLPDDILTQILEIIGADGSWRLGPFLRAGKRSYDLVHQPQILCNCSVFSMCRDPNDIFIGGRCRGFFEKCLNVGNIDAVYFESLCLASRHRDLEAAVALLEKNVPNDDESTLAYGVLNMCLGDLVKASEAFQQFIQHHDQFH >A07g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25334087:25343243:1 gene:A07g508900.1_BraROA transcript:A07g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALFSRRFVVGSSSSSQLTKSLMKKKLAFSHSSQSRHILSHLPSSSFVTRFVPSLLSLSEKAHGSGSVLEARAGFFYTQLPPPKPWVFTGFQKRGWKSWFNGANGVVFGLIIANAAVFTMWKVYDREWMIKNFALSLKSFMTGHIHTLITSGFSNVGTSQLIMNMIGLYYFGTRIARTLGPVYLLKLYIAGSLAGSLLFLSAHAVMAILKSQGVSYKGQSKPIGLLGPQGSVYAIALLDMCLYPKVTTYFAFICRVPVMLVILSFENKVLKVLDGEQKSITAGMIHAVGGAMVAAIAWRRIKKEKDKKKMHALFSRRFVVGSSSSSQLTKSLMKKKKLAFSHLSQSRHVLSHLLPSSGLASSSSSVGLRSEKINGFFANTLRRNTHLKLKPGSLLEARARFFDPQLLPKPWVFTGFQKRGWKSWFNGANGVVFGLIIANAAVFAMWNVYDKLWMLSLKTLMTGRIHTLITSGFSNVDTSQLIVNMFGVYYFGSSIARTLGPVYLLKLYFAGTLAGSLLFVSVHGVMAILKGILGLGHDLLKVLKGEKKSVTIDSMHTGGGAMTKEMHTILPRRFVVFGCCSWQLTKSLMMEKKPTFSYTSHSHHNHFLSHLLPSGIASSSSEIHGFFASTLRRYTHLKLKPGSLLESRAGFFDPQLPPKPWGFTGFQKRGWYIIARTLGPVYLLKLYFAGSLAGSLLFISVHGVMAVLKPITLLDIFLYPKVTTYFAFIFRVPIMMGKKKSLTMASIHMGGGAMVAAIAWRQIRKGRFYR >A10g500100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:272174:272434:1 gene:A10g500100.1_BraROA transcript:A10g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNEQQVGPLSPLTPNHHHFHMEPETPLAYGKKTGLEVVGETSSYNLTRGGRPIKPTQKVQEMEWTNVRGRGKKGRRGRRNYFH >A06g507730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21657305:21657514:1 gene:A06g507730.1_BraROA transcript:A06g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLLSGGSSQRDGVCVGGSCRRWKTVSCLSVALVGVQNSEISLSWWLSETMENDYCCFAGESEGKEA >A09p007510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3928139:3928507:-1 gene:A09p007510.1_BraROA transcript:A09p007510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLLSSSLHLFLLLSVFISDGIYTVYSSRNLLQTTEKVPCPLNFQFMNYTMITSRCKGPHFPHVECCDAFKEFACPYRNYINDESTDCLTLMLSNIKLYGGYPVGLFSTNCLAGRPLIDC >A01p051490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28883300:28889503:-1 gene:A01p051490.1_BraROA transcript:A01p051490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGDCNKGDCNKGDCSRTLCEIVGLLKSWLPWRSEPATVSRDFWMPDQSCRVCYECDCQFTLINRRHHCRLCGRVFCGKCTANSIPLATSDLRAPREEWERIRVCNYCFSQWELGDGGTHLSNIPGISSSSSETSLLSSKTITTANSSTLGSMPGLVGPYQRVKRGSDVSLHGVASKEQGKETSRSNSFIATDVEDPSRFGLNRSDDEYDEYCAYQTDTETSHSPQANKYYGPMEYEEMSHCKHLSFETTADQKSVSGSPLIHESLESVIGEGSEQFQKKDESDGRDESEAPSPPDISDDQVAEPVDFENNGLLWVPPDPENEEDERESSLFDEEEDNEGDASGAWGYLRPSTSFGSGEFRSEDRTSEEHKKTMKNVVDGHFRALLAQLLQVDNLPVSDEEGKEGWLEIITSLSWEAANLLKPDMSKSGGMDPGGYVKVKCLASGFRHDSMVVKGVVCKKNVAHRRMKAKIEKARLLIIGGALEYQRVSNQFSSFDTLLQQEKEHLKMAVAKIHAERPNILLVEKSVSRFAQEYLLAKDISLVLNIKRPLLDRIARCTGAQIIPSVDHLSSQKLGYCENFRVDRFLEEHGQVGKKAVKTLMYFEGCPRPLGFTILLRGANEEELKKVKHVVRYGVFAAYHLALETSFLADEGASPELPLNSPITVALPDKSTSIERSISTVPGFTVSSIHDKSPTMISGFEPQRANSVPASELLSTTANLSFQKDINPMISNVSGWQARETNPGFVFSRCNVSLTLPDRVIEGRNPNMSERDALADESNPSIEAADILSEGFVRKTYQSSTSVIVEPQDNGSDLTTIQQQNSEDSKEPQSQKEEFPPSPSDHQSILVSLSSRSVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQGYRCRSCEMPSEAHVHCYTHRQGSLTISVKKLQDYLLPGEKEGKIWMWHRCLRCPRPNGFPPATLRVVMSDAAWGLSFGKFLELSFSNHAAASRVACCGHSLHRDCLRFYGFGNMVACFRYATIDVHSVYLPPSVLSFNYENQDWIQREIDKVAERAELLFSEVLNAISQISVKGSRRRIGELEELLQREKAEFEENMQRMLQREVKEGQPLVNILELYRLRRQLIFQSYMWDHRLINASNLQKLESSDDTKREENEKPPLAKSQTLPEMNAGTNTLLAGSEDNPNRDSGSTGDTGSLNKVQKEADGNLDLIQEKEDEGEVSPSNTLPDTSDPVENKLDVRRTQSDGHLVMKNLSATLDAAWIGERQTSEEIPANNKILLPPSSMSISEGLKPIDLPEQQKESKVAAYPLSPALPSKNYENSEDSVSWLGMPFLSFYRSINKNFLLSSQKLDTFGEHSPVYISSFREAELQGGPRLLLPVGINDVVVPVYDDEPTSMIAYALMTPEYQRQISVEGESLVSFPSELNIPRPVDDTIFDPSRSNSSVDESILSMSSSLRLDPLSYTKAFNARVSYGEDGTLGKVKYTVTCYYAKRFEALRGICLPSELEFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISTKSPTFLAKILGIYQVATKQLKSGKETKMDVLIMENLLFGRTVKRLYDLKGSSRARYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLAVSFTLLQILVASLLFLGDVMDYSLLVGVDEEKNELVLGIIDFLRQYTWDKHLESWVKFTGILGGPKNEAPTVISPKQYKRRFRKAMTTYFLMVPDQWSPPEVIADNSRSDQPEETSQTGTQAE >A09g519060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58428197:58438215:1 gene:A09g519060.1_BraROA transcript:A09g519060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLMGLQNAGKTALINAVDFDMVVRRNVGYSEDLDPTSMCYMTHLVCEIPVQSGYELMTWRHRLRRSLLWEGKAIMCNSAFMQDWASTEEDSVSHSDCDMTLSDDEDSSEEFEMGLNKLKRYLNAKGFQLSCHTPNRETPQLSLIPTSNMQPRRSSRLRQSLETQSSLISPINPSSSSCQRKSSRKRLRRPTPATPPLPEPEVESLSEDNTDDNDSDGSLEEAASDDTQEEQRDEFLPKGPRYEESRQQFQILIQSNPALLRPSRAPINSRFATVEATERYRDLKNRKFLVQYRLPVDEENLQDVKKVIMDSGLIYTVIDSDPFKPSVIRQFIANLVDAEPRGDGVAVYVKGSLVNFSPSLINSLYLIPAFEEDPDWSTYNMDRVCTFLTNKRIRRWEDMSSKFLTATNQVLYKLVCANWIPTTSYTAMNPERLRFIYMLYHDRKFDFGKLVYNQIMAMAENTRTERTRRIIFPNLIQQVLLFQRNVPPDSDDEEFTGLPKKVVKDKKAGLGSGTESRSPNLEEDIEHAIAGLKAISMRLRRGEYPHQQQNGDSDGGRSSSTISKSLYCLHILTLESFCPGRYVVDAADVDNLFISKKELHKLLSKTSLNGIPLLVLGNKMDEPGALSKDAFTEEMGLQLLSDREVCCFMISCKNYTNIDQVDFAVRTLTILTSETWSLHHDNILSDLGEEEEAGRVEWT >A09p074910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56645898:56648461:-1 gene:A09p074910.1_BraROA transcript:A09p074910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDESTPVGKTVCVTGAGGYIASWIVKLLLERGYTVKGTVRNPDDPKNTHLRELEGAKERLILCKADLQDYDALKAAIDGCDGVFHTASPVTDDPEQMVEPAVNGAKFVINAAAEAKVKRVAITSSIGAVYMDPNRDPEAVVDESCWSDLEFCKNTKNWYCYGKMVAEQAAWETAEEKGVDLVVLNPVLVLGPPLQPTINASLFHVLKYLTGSAKTYANLTQAYVDVRDVALAHVLVYEAPSASGRYLLAETALHRGEVVEILAKLFPEYPLPTKCKDEKNPRAKPYKFTNQKIKDLGLEFTSTKQSLYDTVKSLQEKGHLPPPPPPSTSEESSQNGIRIES >A05p006450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2573683:2573937:-1 gene:A05p006450.1_BraROA transcript:A05p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:Projected from Arabidopsis thaliana (AT2G45070) UniProtKB/Swiss-Prot;Acc:P38389] MVGSGAPQRGSAAAAASMRRRKPSGSGGGGGASGGGGAAGSMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAVLHVMGKLYFVK >A08p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22239272:22239808:-1 gene:A08p038400.1_BraROA transcript:A08p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEGSQTLLPVRKPANGGSDRKRAGYKLWVLVAVLLLALGSMLTGSVSLKGLGLFHSVDGKFGFHVSDDLDVLEIEEREKVVRHMWDVYGRSGGVRVPQFWREAFEAAYEFLVSDSAGVRNGAISDIAKLSLVRSLKPDSSLAQPNRR >A05g507700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:21811839:21812027:1 gene:A05g507700.1_BraROA transcript:A05g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKQCNNEIDSINHVLFECIPAQDILRIVNFPPSTTPARSLGDNMSIALELMHDCSVPGNL >A08g502750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5683151:5684674:-1 gene:A08g502750.1_BraROA transcript:A08g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha, chloroplastic [Source:Projected from Arabidopsis thaliana (ATCG00120) UniProtKB/TrEMBL;Acc:A0A1B1W4S8] MVTIKADEISNIIRERIEQYNREVTIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESNNVGVVLMGDGLMIQEGSSVKATGKIAQIPVSEAYLGRVINALANPIDGRGKISASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVAPDTILNQQGQNVICVYVAIGQKASSVAQVVTSLQERGAMDYTIVVAETADSPATLQYLAPYTGAALAEYFMYREQPTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLSSELGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFSQFSSDLDKATQNQLARGQRLRELLKQSQSAPLTVEEQIMTIYTGTNGYLDGLEIGQVRKFLVQLRTYLKTNKPEFQEIIASTKTLTAEAESFLKEGIKEQLERFLLQEKL >A07p025900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14867493:14869860:1 gene:A07p025900.1_BraROA transcript:A07p025900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKANPFFSKKLKSFPVLFRLPTSLIFLSFSGKEKTFSLLLLQPTLPNLILYLSSFRMGLKLARGPGKDKSALELRPHILTYLTTNSYLRNLVSKKRRRLTMGGYDLDMSYISDKLLAMSFPAERMRAVYRNPLWQVKSVLDMRHHNHYKVYNLCIEECYDPENFYGRVERFPFDDNHVPTLKMIQLFCESVHSWLSLDPKNIAVVHCMAGKGRTGLMVSAYLVYGGMSAEEALEMYASRRTTNNNGVSIPSQRRYVKYWSHLLSFCKRIGNRPPEVKLPQEHSRELLRIRLYDTVNVDSVFFVVSELQEVPNEMYPPSVELSRGCCRQFKKGYCRSLSPRYYISHSHMNCDSEEDEVLKNRQEPRLVVQMDTESSIIDEKTCLDFYFDKPVRVSGDIRITFYQKMIGSRLFYTCFNTAFITNGLLQFSIGELDKVGGNGRSISGPDFSLELLFTPASSKSGKLLSRDDLCLP >A03p061880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26885056:26888017:1 gene:A03p061880.1_BraROA transcript:A03p061880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHQYVYTATSFPTLSSSTGAAMEAINVLHLLLISLFSAFLFAQATDRITPNQTSKDGSFELGFFSPGGSRNRYLGIWYKKVSLQTVVWVANRDSPLYDLSGALKVNGNGRLSLFSGMNSLIWSSFSLKKIDARDPIVQILDTGNLVVRNSGGDQDYIWQSLDYPGDTFLPGMKYGIDFLTGLNRFLTSWKSPDDPSTGNYTNKMDPNGVPQFFLKRNSVDVFRAGPWNGLRFTGMPNLKPNPIYRYEFVLTEDEAYYTYRLENYPSAITRMQLNPSGALQRYTWVDSHWNFYLSAQMDSCDLYKLCGSYGSCNINDSPACRCLKGFVPYSPEAYSDGDWSKGCGRRVKLSCGEGEEDFLKISKLKLPDTRASWYDKSMDLNECKRTCLRNCSCSAYSPFDIRDGGRGCIIWFGDLLDIREYDENGQDLYVRLATSEIEKYNIYDVKGKKRMMLIIALSISLFLICLCICLIVCNMMKRKKLATLDTLQRDLDRVSSRRQEEEDPELPFLDLEVISEATCGFSDDNKLGQGGFGPVYKGTLSTGEEIAVKRLSRTSRQGIEEFKNEIKLIAKLQHRNLVKILGYCVEEDERMLVYEYQRNKSLDSFIFDQERRKELDWPIRLEIIKGIARGLMYLHQDSRLRIIHRDLKASNVLLDSDMNPKISDFGLARTLGGDETEASTTRVVGTYGYMSPEYQIDGYFSLKSDVFSFGVLVLEIVSGRRNHGFCNHEHKLNLLGHAWRQYREDKASELTDEAFKESCTDISEVLRAIHIGLLCVQQDPIDRPNMSMVVLMLSSEMLLLDPKEPGFYNERNLLFSDTTSINLDVPSNNLQTVSVMEPR >A01p037760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17704189:17705870:-1 gene:A01p037760.1_BraROA transcript:A01p037760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLCGRARRFVSATSVYSNGCLQRLQQTGSNRFQLGEAKVIRLLPRRTNMVQELGSREDFLQENRDTETSYDFLGEMRQRFLRFKRQKYLPQIEKFQALAVAQSPKVMVIGCADSRVCPSYVLGFQPGEAFTIRNVANLITPIQNGPTETNSALEFAVTTLQVENIIVMGHSNCGGIAALMNHQNHLEQPSSLVERWVMNGKAAKLRTQEASSHLSFDEQCRNCEKESIKDSVMNLITYPWIRDRVKSGEVKIHGCYYNLSDCSLEKWRLSSDKNSNEFYVSDKEIWN >A09p066360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52711029:52712148:1 gene:A09p066360.1_BraROA transcript:A09p066360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSPTTCLYLHKHRLKLPSHGRLRITASIPEASDEKHPKLIARREMILRSSELAMIGAIFQLSGKKPEYLGVQKNERLALCPATNNCISTSESVSDRVHYAPPWNYNGGRKTPVSREVAKKELVNVIKSTKPDKFTPRIVEKKGDYVHVEYESPILGLVDDVEFLFSPGKKSTVEYRSASRKGNFDFDVNRKRIKALRQELEKKGWESENSF >A02p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11734217:11734742:-1 gene:A02p023540.1_BraROA transcript:A02p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 12 [Source:Projected from Arabidopsis thaliana (AT3G23605) UniProtKB/Swiss-Prot;Acc:Q9LUG7] MINREAAIATTSTQPLLLKRITTKSTVRYRSMAKRSFAMLERQSLFENLSSFVDIPNTQNVVPRISVRLPDGRRVQRSFLKSESVQLLWSFCYSQIDQSERNKPFKLFQAIPGYYKNLSYGSYTSFEQSGLASSLVSVTWM >A03p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11652613:11653837:-1 gene:A03p027870.1_BraROA transcript:A03p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNNQRQEERTGKTGTPRLQYLQELVSQFQNATDERMTTLVLNTKERIVANLANFSYDPYNYTILRQLNVLELFIDCITEPNEKLVEFGIGGICNACAEPKNAATIVEADGIPLIIKCLSSPVRNTVNYALGALYYICDYNRATREMILRAEVLDLIERYAAAETVCVSFSNLAKAFLDKHAHAIT >A05p008640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3569082:3571933:-1 gene:A05p008640.1_BraROA transcript:A05p008640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGNHTYSKTGNMDKLSTPPGFVSQTTFVLRNVHQDRDSSRSMTPGQEQITGFGMDDASFKLSLSSRPWIVHDDHTKPISETLKPIKPEDNTLELDLFYYAYRRMRMQERERRIQRVSKNVTLEEAPVFNPTEEEFSDTLSYIASLRDKAEPYGICCVVPPPSWKPPCLLEEKEVWEVSKFDTQVQLFDAANDTTIKKEADDDDDDDDNDDEDHTSEENVKFCRVERGPRKTLETFKNFADSYKKRHFSVEDEVLGSKSSSTPSLKQEPTVADVEKEYRQLVESPLVEIEVLYGNDLDTRTFSSGFPLPGASESCKYKTSGWNLNNTAKLPGSLLSYEDCESVGVPRLSVGMFLSSQLWKSEKDRLYSLCYLHMGAPRVWYSVAGCHRSKVMNLFPEMSGEQQSKISREPVMIMSPYALNMEGIPVTRCVQNPGQYVILFPGSCYSAVNCGFNCLEKANFAPIDWLPHGDVAVHQNQEKKKKSLISYDKILLGAAREAVKHLKEYSLSKKKTADNMRWLNACGREGLFTSIVKSRVKQEKSRREFLASSLKSQRMDKSFDDVSKRECCFCFVDLHFSAVQCSCSDERFSCLSHVRNLCACPSGKKRFLYGYTIDELNVLVEALELQKLSAMFRWGNFDRNYYASSPGIKISQPGDKGKRADEVVPCSDNNNNTRKDVEAGGKEQGKTKVKARSIVEIMKGDDDESGPVHPCSNKPNKTCDGSAVNTAKKPKHG >A08g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6570573:6572213:-1 gene:A08g503910.1_BraROA transcript:A08g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTTKKTVPTGSTSKREHSTKNNETIANNENSAILCKSSFAYVYKDIGFVAQANIVSTQSTINSATSDFSKENTIIQEPAQRLKTTDNIFSQANSQIPPISLSSRSIAQRLRYKRKRTTNSIEGEVMVLSDITNQVPSGTTHTRQSYLTATHDERTIANTRIHSTTSPTGTKTDYQVKGNPCASAKRLRKNDSFSSHSNLHTPPTSFPTSSIPLSDTTDVSPSVRTQTQQEVLTPTFESSKSRLTAKGDYYLCCSAYYLYCSAYYSEKPWEKKEKEKLLPLKKKSKTVHATETEGIGFHGDGYSSEENDESIYHDYEGAYEVNGEQHYDCSSEESDMESESNVNFDIESDTVGPSKRKTSSKGKNSRLNFFFL >A04p006270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3235390:3237223:1 gene:A04p006270.1_BraROA transcript:A04p006270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA-binding protein ARP1 [Source:Projected from Arabidopsis thaliana (AT3G54770) UniProtKB/Swiss-Prot;Acc:Q9M1S3] MTTSDNVSGCFGDTKLTKVFVGGLAWDTQKEAMHDHFIKYGDILEAVVIFDKLTRRSKGYGFVTFKDAEAAKRACEDPTPIINGRRSNCNLASLGGRHRRSPTTMASPQQGSKNVSRATSGHVGNNQAQWYYPAGFTHQQHQHQHQLQRQHNHQAVPFYGYPSSYVAPNMTFNQKVGYVGGTYMNGYYAQPQPQPLPQPQYYHHMYGGGRVMVAASPTMMPLYTVYPYHQSPAIGFPQPSFTKHIPTHHISGIFVILS >A02g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7081927:7084511:-1 gene:A02g502170.1_BraROA transcript:A02g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILKTKSSWPRTVVRKWLNLRSSAYEFHSDYQVKGERLARAVTEPTQPRRKSCSDGDYYMIVPGKYPGWLGQANGDLNQSMGEHVARVDDKLDLKMFVGTWNVGGKSPHDGLDLKDWLKSPADTDIYVLGFQEIVPLNAGNVLGAEDNGPAAKWLSLIREALNNNNNISHKDLELSINHRTFLELAKSSQQPRHSFSSLPDDNPFACNSTPLRGYSLAASKQMVGIFLCVWIRDDLRKRITNLKVSCVGRGIMGYLGNKGSISISMSLHETSLCFVCTHLTSGEREGDEIRRNLDVTEIIKRTRFSRSSKDFQPESIMDHDKVIWLGDLNYRLRASSDVHEQLKNHDWEALLEKDQLKIEQRAGRVFHGWEEGKIYFAPTYKYFINSDNYVVQTEKSKEKRRTPAWCDRILWKGDGMKQIWYTRGESRFSDHRPVQSLFSFHIDLTQNQSNRKTRLIHQNHRPNPVLPYTCHGKVHAEEILLLTRAQSCIVTQPRLISSAIH >A03p055490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23947192:23950837:1 gene:A03p055490.1_BraROA transcript:A03p055490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTENLQYPLTCPSSLPPPEYQHPELYIGSFTNLVTSYTKIPFSNDVHSYLVFKTTQIMKIIVTLPSSFRSCGYHYGYGSLAREATISKNSPDTMKPPLPLIFSYKPQAATVDDSESEEPVDDGSQIALPQYFGSDFYHDPCFMPYGERSHHQAPTNTTSKLIDEGSNFETLTENIIMHYGQCLQGSNKNNKGDPNTIDGFFFSGFRTIHLILSEDLAMRLALSSDPSHTFPLVMNWQMCALCKNGIAGLVGMMATDNFQRISSLSSSLRKPQPQNPHPVDYIGSFMTLVSKYGLRYQGFLYHLNHDEKTLCLINVICYGTEGRNFIGFHIPPSYELYSYIVFTTTQIKAIVDSPASLARGLGSKIYRPPKPPLPYVYSSYNQDGAKIRQKVPLLISNEKLSKTEAIYDYDASLNMGSVNDGLQIACKPLSLQQYPGSNYYDLWLNHVYQPVPCAPLYQDPAYAAPSSIASQSFFTTYPSVPFSLGQGNGGFDFEALTENFEPYKLWGPEEDNKQEDQTSYTVDGSSYQNETWNYRGNNKQEDQGAYSDDGSSYLNEISNYLVNNNQEDQTAYTINESSYMNSRKPFDPIGIPWNPFGPIERSFSYLPSSHELADLGFV >A05g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22971855:22980683:-1 gene:A05g507880.1_BraROA transcript:A05g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRISFGRYYKLEAGRELSRTGSKHDGIEARQENPKFGENPNFGIHLEFIKNIHLIRTGVEKYSGLIAGRKFTGRVEISRMDREARAGLIYEIRTSTRCRETCDRSMLSDMCALSVNLDEVRPWIRHLEGMVSLCMMSWSCHQTCGARGAATHASGAMRGDTRAATRLRKLEKESSSFDRFRVFSAVFENSYSTRFESTSKRGSARLKISSEVGLLVKVKKGFGMQKTDSGSRPRSTKNSKGRGGQSNYRGNSGVCYTCGQPGHISRVCPNNQRNNQQGNQQGYPQIRIEDVTCFSCGKKGHYASSCPNKPIPATPLAIRAPPSRPAIEPAPKKQNLGGRIYALEIENPDNEGPSHGPITGREVSTTGSKHDGKTQSLAKTLILGIIDFSKKPEAREIFSIKVRIHLEFIKNIHLIRTGVEKYSGLIAGRKFTGRVEISRMDREARAGLIYEIRTSTRCRETCDRSMLSDMCALSVNLDEVRPWIRHLEGMVSLCMMSWSCHQTCGSRGAATHASGAMRGDTRAATRLRKLEKESSSFDRFRVFSAVFENSYSTRFESTSKRGSARLKISSEVGLLVKVKNGFGTQKTDSGSRPRSTKNSSHYLEVGSWQEA >A10g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9675530:9675844:1 gene:A10g503840.1_BraROA transcript:A10g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKVLDLVHDFQCLSKSVTEQIDSHKTWLHELEFKEDMEATLSSNMNTTQLSDNGPGHNFAASVGIVIAIACFYWKIL >A07p015080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9387613:9390965:-1 gene:A07p015080.1_BraROA transcript:A07p015080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGFDMQVFQIWKTSRTTYLLLPFKSSGLLENRLDFLKVVWTSWKSSGLPESCLDFLEVVLWRFFCNQTKSDDLTFSRLRKHISKSIAKITFALTRRLPAHIRLLQEHRILNESDPPRIVSFFDSMNHKKCRIKILGFFSLMWREKWRKKKGKSILGALRASNWLFMVVRVLMTMAIL >A09p059760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49802990:49803350:-1 gene:A09p059760.1_BraROA transcript:A09p059760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLAKCLFCSLRNHLAFELDFLYTGRLFFNGTSGTHIYFDSESLRQMQAKNAIHNGSNQTSSSSKLIHAQKLDALTISELNQYVLSADPQ >A05g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8648989:8649413:1 gene:A05g502860.1_BraROA transcript:A05g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRKYGTCVSNNTNKWKCIFCMKETNGGISRLKHHLVGGNTSVTVCPNCPEHVRAELQNYAIKKAEERAAESLRYEPVLNDGEADVEVEPKQKANPNKRKKRGPLDRFIIYILVCHVNSS >A01g509670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26345350:26347649:-1 gene:A01g509670.1_BraROA transcript:A01g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERESVFSFCHLSLETSPFSYRSGECDWRCSLPRRGVETWFFLLPFLILLFLINLRRHGSQSVSFPISFGAMAPNDDESVKLFLSIGLDEKTATTTINNPKVTANLTAIIYEMRSNYCKSVVHVSFFDNHAPEDFKLNEFEEACGVGVEVYAVDIEKAADEVFEENKKTIVEQRYRTNLGQLLEHVRKSLPWADPKIVKDVIDRKMYELLREKTAADHSLATYATGTTHLRELHFAPATVSASVTDKPCGRAVRCKKSGGLEFPVTTHHRHVDSDLYSANVVWPISLSQNSVDIVAEVLELEA >A03g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12432493:12434311:-1 gene:A03g503650.1_BraROA transcript:A03g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREPSFVPEWLRSSGNGSGVGSKNHIQSSSARSDNNKSRNPRTETDVDSVRSPFLDRSSSTDARRRFSNGSTKNAYRNFNVQRRGKDRRMEKDRPTYKDPWHRDSRFPFSTFLDGRNQEQLRRSNSMTTRKHDYHLAQGFSMGFKNPTSSKDFPRLKTEERNEGGSDAVRISSPGLSLAVESLSVDDDSGMTAGEGRTSAPAEVPNVIKKSGSDSDITSNTLMGQTRNMAEALLQPPRTGTFPQGYSMIKRLEDQALKLIPVVPSAPKGSVSKTKPMVRSGAIGLASFRNTHQQSSIRLGNLHSNYGKPDSTKKLVILKPAVKESGGPSRITNSVAAGFQMIAAPPAPFITSVAQTQSRNAFYSALKKKTSTNISTSFCIFPSVEEKADISKELVASNPSCVHAAERDDGFEPADLPDEEEAEFLKSLGWDENNTEVEALTDEEIRAFYEQHKKLKPSLMKKLPIITEATEDANPSS >A07g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1429991:1431129:-1 gene:A07g500750.1_BraROA transcript:A07g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPFLNLVLLFVTVASIFSTFAEANRGFGWGWGGGSNYSSSSGSSPGSGWGWGSSRNGSGWIWGAGTNHSSGSSPWSGWGPNNGQNTGSGGSGSGWGPKNTNNSGSGSSGSGWGWGWGGHSKGYNATYNAPRKIIVGGDKEWTYGFNYSYWASKTAPFFLNDILVFKYNPPAPFTHSVYLFSNPLSYEKCDVKKGKMIASPKQGAGNGFELVLTKMKPYYISCGEHDGAHCSNGTMKFTVMPILARW >A05p049820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28907150:28908857:-1 gene:A05p049820.1_BraROA transcript:A05p049820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGPNWDGLLKWSLSHADGTQPTRQLSEEDRKWFMEAMQSQTLDVVKRMKEITLVMQTPEQVLVEHGVTPEDIEDLLDELQEHVESIDMANDLHSIGGLVPLLGFLKNSHANIRAKAADVVSTIVQNNPRSQELVMEANGLESLLSNFTSDADVHARTQALSAISCKPGLTLIRHNKPGVTAFKLANGYAGLRDALASGSVRFQRKALNLLQYLLQEDDSDRSIATGLGFPRVMMHLASSDDAETREAALRGLLELTREKNDGSGSSSIEKGDEKLRQLLEERIEGISLMSQEDLGTVKEERQLVDSLWRVCYNEPSSLREKGLLVLPGEDELPPDVASKLFEPPLRAAAASRDATEKKEEPIKLLGPAP >A02g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15004225:15007092:-1 gene:A02g504620.1_BraROA transcript:A02g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRSSVEEGEGGRTILVGVKLDAPSRELLTWALVKVAEPGDTVIALHILGNEIVKNSSLLSLVKTFDSVLDVYEGFCNLKQVDLKLKLCRGDSARKIIAREARSFCAWKVIVGVSKTHHAIRSSASLAKYLAKKLPKECWVHAVNNGKVVFQREGSPPSLAINHSQEVPGRSPLGQGREPVAKSRLEHGKEDVRRNTLLSVLQRSVTLSTPTRVVSHCEEDQSCGQSLQQALEAARFESCSVCGSDSLSPNDTRTPKELSRDDDESHKAKEIVPVKGLEELVRKQAEPIPGWPLLRRAFSSTGQPITTHKQIPVAQWALKLPPRNIKQIGYDSSPDNSPRKLPEELERLYKRFSSTCQFFKYKELVSVTSDFSPDNFIGIGGSSRVYRGSLSNGREVAVKILKQTEDVLNDFVAEIDIITTLHHKNIISLLGFCVEDKNLLLVYNYLSRGSLEENLHGTFFNQLLRFYYDQGSKQKCLVPNSGSRKDMLAFQWRERYKVAVGVAEALDYLHNSASQPVIHRDVKSSNILLSDDFEPQLSDFGLARWASISTTHIVCSDVAGTFGYGRVLYLAPEYFMYGKVNDKIDVYAFGVVLLELLSGRKPISSGCPKGQESLVMWAKPILEDGKYSQLLDPSLRDNKNNNGDQMQRMVLAATLCIRRSPQARPKMSSILKLLKGDEDTLKWAMQQVSSSSEESEMLEDEQSQRSDLQSHLNLALLDVEDDSISMGSFEQGVSVEEYIKGRTSRSSSFD >A06g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5168921:5169836:1 gene:A06g501390.1_BraROA transcript:A06g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADVDDVPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A04p037950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21615513:21618367:1 gene:A04p037950.1_BraROA transcript:A04p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGNDTTTGTGSPCGACKFLRRKCASDCIFAPYFSSEQGAARFAAIHKVFGASNVSKLLLNVPIHDRCEAVVTIAYEAQARLHDPVYGCVSHIFALQQQVAYLQAQVMQMKAQIAGHQTSAAGDLRNSSESTHQQYMTCQQTTGSPIGNAYSTAYNHHHQPYYGHVNPNNPVSPQSSLEETFSNTSSDVTTTANVRETQQTGGGVYGHGGLGFHEGYPNKKRSVSYCNSDLGELQALALRMMKN >A03p001840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:908422:915651:-1 gene:A03p001840.1_BraROA transcript:A03p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LESIGLVDLENIMNRRQNATTRIDVFESPLQKACTCSQLEIVKLQLQEMTWPNQTSESLAVDIAAGNGDLVTVKNLCNEKNLGLVSEKRPAGCKLAVPVVRASNAGHKKVTRHLFDLTPLEVLMHEDGYWATCLLLDAIFYGFLDIVLELFEKVRKDVDPVVSKKVPYLAATKYSSQRSSPLRLLALKPDLFRSHDDLGLWKSLVYTCIGIDLNFPTDFETPKPSLYQRLFWMSVKALPKWFGFKQIYELKDKHLKADTLLRLMCKSAKEIRDPVNDKSWRDMIYEALLEAVENGNKEFFIEIIKYNPQLLWIFEAVSGRNLFQLAVVFRKEKIFNLIHGLDNRKVALLRSLDKDNNNILHIVAYLSPRPDHLSKISGSALKMQREIRWYMEVKSLVSEREVVQKNNEKMTPRQVFEVSHEPLRKEGEEWMKYTATACSFVAALIATVTFQAIFTVPGGYDETLGKPLLLRDLHFTAFIISDSLAFFTSCTSVLIFLSILTARYSFDDFIVSLPRKMIFGLAILFFSIASLLVGFITALSSTMRQKPTLVVPMKPLAALPVLLFLMLQYPLLKEMISSTYGKRLFHRDTKSWLELPGQDQHANVTSVYVGSSHGQHSVNNSAGLKETFRRSPFKFANTKVPQGGLGDSFTPIKEAPEDEAPNDHLNIQTPFIESALSASRLQGKNTNVYYDYIQLSQGISQGRVEAVKDFLNQRPDAVDEWINFYETPLLKACACGKPEIVKELLRRMTPEQMLPKMSQNASYHTPLTVVAVSGNMEIAEVLIAKNPKLLEIPGNNGQIPVVVAVENTQMEMARYLYTRTPVQVLLDEDGYHGSLLFLNAIFYKMLDIALDLFSMCRRLAVTKHLQIESIPIIVLASKPDLFPGGCYLGPLERFIYSWLEVKLPTLPEASRSSKDQHSTFLYTSSMSIYMDGDGSGIDEVYRMKVMHLQAKKLLLGISEETLAMGLNERSETVDEALLFAVRFGNVDFLVEMIKNNSELLWSTRTSSSSTLFLLAVEFRQEKVFSLLYGLDDRKHLLLADKDCYGNGVLHLAGYPSPPSKLSNVVGATLQMQRELQWFKEVERIAPEIEKERVNTEEQTPSEIFTKEHEALRKEAEKWMKDTAMSCSLVAALIVTVTFAAVFTVPGGTDDNKKGIPFHLKDRQFVTFVVSDLVSCFASCTSVLIFLGILTARYSFDDFLVSLPTKMIAGLSILFVSIAAMLIAFSSALFTMFDDEKWIVPPTILLACFPALLFVLLQYPLLKEMIFSTYGKGIFDRNMKCWS >A06g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9711462:9711797:1 gene:A06g502990.1_BraROA transcript:A06g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKMVDTKVVARDEEMSCGLDQWLSWSMCAWILFDVVGRVVDEDLVVSGCVDVVDVTGRVADEALSGGYENGNRGRGETGGGD >A07p042400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22973965:22975290:-1 gene:A07p042400.1_BraROA transcript:A07p042400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLVIFVVHAIFIPCFSFDVPGKDLPLTLDYYKSTCPTVFDVIKKEMECIVKEDPRNAAIVIRLHFHDCFVQGCDASVLLDETETLQGEKKASPNINSLKGYEIVDRIKNIIESECPGVVSCADLLTISARDATILVGGPYWDVPVGRKDSKTASYELAATNLPTPEEGLVSIISKFYYQGLSVEDMVALVGAHTIGKAQCRNFRSRIYGDFGVTSALNPVSETYLASLRELCPEISGEGDSNVTAMDNVTPNLFDNSIYHTLLKGEGLLNSDQEMYTSMFGIQTRRIVSKYAEDPVAFFEQFSKSMVKMGNILNSKSLVDGEVRKNCRFVNT >A06p015790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7054188:7060179:1 gene:A06p015790.1_BraROA transcript:A06p015790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) UniProtKB/Swiss-Prot;Acc:Q84M92] MKAAVFPSKIANLGLPSGHRCALFFRSPPALSSSFLTTPCRKLTRGIRGSGGFMSQSSSQLYSSLSNSISSQSDSELPQVQLPSPKPKSPPPQLPWLIVGLGNPGKKYQGTRHNVGFEMVDALAEAEGISMNTVNFKALFGKGVIGNIPIMLAKPQTFMNASGESVGQIVSFYKIPLKQVLVIYDDLDLPFGKLRLLPKGGHGGHNGMRSIIDRLKGSRDFPRLRIGIGRPPGKMDTANYVLRQFNKQEQEELDYTFQTGLEAIRIMLLEGFNKSATFVNTQKSMQQLNSREHSPCLNPPTSSPAAMYGGDEVSAIVVDLGSHTCKAGYAGEDAPKAVFPSVVGAVDGIEAMEVDADSAKNNSNSEDSKTNESEKEKGKRKLYVGSQALNYRRDHMEILSPIKDGIVSDWDLVDNIWEHAFRGCLMIDPKEHPMLLAEPPLNTQQQREKAAELMFEKYKVPALFMAKNPVLTSFATGRATSLVVDCGGGSTTISPVHEGYVLQKAVVSAPIGGEFLTDCLLKSLESKGIKIRPRYSFKRKEVRPGEFQVEDVGVPDTTESYKLFCQRMIVGDIKDSICRVPDTPYDDKSYANIPTTSYELPDGQTLEIGADRFKIPDVMFNPSIVQTIPGMEKYGDMIPTVRGLPHMVMESINKCDVDIRRELYSSILLAGGTSSMQQLKERLEKDLIEVLCSPSLFCARLLTFLDFENGSSSALFRSFQESPHSARVKVLASGNTTERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYIQRKCP >A03g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24166255:24167805:-1 gene:A03g506760.1_BraROA transcript:A03g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSFHKEREEEINILMEKLEQASSSSSTVNLSQFFITLTSDIMSKVALGRKYSSDEGTVNIKTVVRTFSRVVQEHDLDVDKKRSGFVDRLLSIQREQTTPFDMFIGGTATTSSLLEWTLTELVRHPECVKKLRDEICSVSAHNSYVNEDDVEKMNYLNAIVKETLRLHPPLSIIVPRLLSEDVRLRGYDIAAGTQVMINAWAIQRDKATWGPDAEKFKPERHLNSSLDFQGQDYKFIPFGSGRRLCPGIRLALVLVEVTVANLVKRFDWRVQVGPYGVDKLDLAEAAGIEACRKYPLIVFPTSVVFPI >A04g504740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10202806:10204449:-1 gene:A04g504740.1_BraROA transcript:A04g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRIPKKTKETAPAATQTRDTTAASTSAQPESDELREAAPWPHDPLTPFSKLPTIHNRHISSKKELRELASYAHRDYYAGWSDYHCILYNGLQRMRFKPTKFICDYTTKELGIVRDVKKMWKNMGLGTLGYNPQPLYPDLVIQFLSSVELHYKSEVNKVASEGKLTFLCRGLLYEMSIHELCILFGFETRHEACSLPKFPCAYLLWSQIADSSYVSREAKLAMLRNPVLRVVAKYLGHLLLGKSEAGSVTEDEAQLIHYGLPLALRPTYGVADEPPAELSVNMGALFAQMLFERKFRGLRPLDRKPLDEPIGSLLTWIFMHHDIDLSDTPCVDTIDRFDAQFFLNTKILHSGKIYHFTMPDGTILHCKLPQPAITSLTSVENMEFMPPAEVLYTPPPPASKRHRGSSSSGPAQTECEDDTIPDISVNHTPNPSMEYLLPPYTGQFDSGAPPLDGTQQQQFAWTADTLVKLSTMMQTVWSALAKIRCPPTPFCCRAPKTSEAAGITRDDAGNEPSDEATDEERGSRLHRSRRAPGQSRSCSPDDHQ >A03p029700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12490607:12492029:1 gene:A03p029700.1_BraROA transcript:A03p029700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGQGGIRHLQRSSTASFTTVSASSIEKGQNRVVDASLTLIRERAKLKGELVRLLGGAKASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELKDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEEPLRPLVLGGDHSISYPVIRAVSEKLGGPVDVLHLDAHPDIYDCFEGNKYSHASSFARIMEGGYARRLLQVGIRSINKEGREQGKRFGVEQYEMRTFSRDREVLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVGADVVEFNPQRDTVDGMTAMVAAKLVRELAAKISK >A03p025640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10787951:10790864:1 gene:A03p025640.1_BraROA transcript:A03p025640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTAVLRHLRVPVTGSNQSQIGFLGSIRAFSSHDDHLSKQEVVDRVLDVVKCFPKVDPAKYKSLNHVFVVVGNGIPQVTPDVHFQKDLGLDSLDTVEIVMAIEEEFKLEIPDKEADKIDSCPLAIEYQLSLLREGFPLGIIPAFAPSSDKRLGSFSLNSLLLSPSSSNWWLGLVGQFKPKKLFTDIKASISKAEEWDLQLFKDTTKHIVDKSLYSIGLWTQIALGSSSSLLLSAERLGDKEGLRKKLMFVHPLEKHDLTVEAAWPDLFLDHKGLFWDVPESLNFDLSSLAPETGLQYRFGVHKSKGDPHPVNAAGESGVGGEAPASLLPGLCAKAAVSYKAKRDLWRPKAEEDNNTEEDDDDDTPVFLPYDIRLKEPHAAVSGIVGSSLAAWITGRGEKRSLISADVFGSACYTFQKGRFSKLYGDLTRVDARVDVSSASALAKRIFSAVRRSKGSNKTDDDTLGSPRLSLIFQQQVAGPIVFKVDSQFQVGEGKFGAQMEDLIYSLNYSLRLLESGKVVAWYSPKRKEGMVELRVFEF >A07p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7496007:7498360:1 gene:A07p013410.1_BraROA transcript:A07p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGKKKPVIVLVCITAVVLVFVYLFFGSSNHGASAIEYGRKLGLGGDDDDASKKDEASSTSFYVDDDDANGFTPRSFPVCDDRHSELIPCLDRNLIYQMRLKLDLSLMEHYERHCPPPERRFNCLIPPPPGYKVPIKWPKSRDEVWKVNIPHTHLAHEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASMANMLKFPNNILNNGGRLRTFLDVGCGVASFGGYLLASDIMTMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWTIAAKRNQTVIWQKPMTNDCYQEREPGTQPPLCNSDSDPDAVCEAFSTYPRTYDLLHAWDIISDVKKRGCSAEYLLLEMDRILRPSGFILIRDKQSVVDLVKKYLKALHWEAVETKAASDSDHDSDVILVVQKKLWLTSESLRDLE >A04p035890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20743767:20745488:-1 gene:A04p035890.1_BraROA transcript:A04p035890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKAVGVDEVVLAINYQPEVMLNFLKDFEAKLEIKITCSQETEPMGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPLREMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKLYVGNKINAGIYLLNPSVLDKIELRPTSIEKETFPKIAAAQGLYAMVLPGFWMDIGQPKDYITGLRLYLDSLRKKSPAKLTTGAHIVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGVRIKKHACVSSSIIGWHSTVGQWARIENMTILGEDVHVGDEIYTNGGVVLPHKEIKSNILKPEIVM >SC152g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:139990:142104:1 gene:SC152g500070.1_BraROA transcript:SC152g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWLDRTHSFQISPNPGTKSVKENATKQPAFANPETVFVRKQCCNSTERADMCTDGQPDVLCVLTDGHGRPMCADGRPRTSCTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPVRPRNSDLCSMQKTWLEAKENYENFPENSFNHPYEACKKSDSNSKGRHSLEPPTPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNFPGTFPANFLVDRFAPNFKFSRLHGLGLVSSVFQLLF >A04p016580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10190257:10191771:-1 gene:A04p016580.1_BraROA transcript:A04p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRELRSEESVGGTCLLLSLPEDVFAATSRFLSPSDICNLRLCCKSLCDLVDSEKVWFVQCELVKALPLSDIVRWRIGISSYKALCRFLVEVVKPLVGVWVHQEPELGNVVYVMPGFLSVVGCRIIPQKVGPLGIQEGRVMWSPVFEIICDHDDGSTKFFLHGRGREGSCVYPGSVTGIDKTCNVLSLEVEPSQEKNLCSGVPFPKLDDSDRRNLLEVVTSHVGVHVSEPLSGKLFPTRREDEGMLLERRTMLLKMHKFGKNHAPPQVDINEMGASPCVNRRRFLSAGDTFGLSLKASYTEMSSYKGWPRMDLNRFCLHKLPIKSLGEDQEYAGLWGGTFGWPPGRCNEDKTGHAFYLLMLSYEESRKNNGKRLVGTKILEGNDFVQHPNGTPMFVVDIDTPSLEPFPFEADGRDFQHCYKGKGISNGYGFLYPGSKPGSLYVISDDHLAFVWHGTKDVFTLKRLDLDQIMKKGFGLWVPPLPPGKNFTCMETSYKNVFTKSV >A04g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6103686:6104007:1 gene:A04g502570.1_BraROA transcript:A04g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLSLRRRILDNRGGGYWWLEFSWCLDGHGAFHPNPSELGRLCSFEDVNSLCSLSSNALKALSRNEDIEK >A03g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13019469:13024197:1 gene:A03g503770.1_BraROA transcript:A03g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRLVDCSEEPEEPDMQKIPEMMFAAGEEPVGVRILTYQSSTAPQRILNALDEEELVMVAAVPALTEVVQDSCSSSDSDSEDIDGSGRDIFTKKRTLNPAHARNLDKRTDVIVHSILVQDPERPIDEAILVRHDEVHDSRVDNLVEAIRRNYQFNNSCFRGGIRKIDVVHMREKVKSSAKCKRPKKGITTSSEAENSVIVDLVLDKIKPQIDVLESNIKIGSSRVDAIEGGVRKQVETLLGKFKGEMLSSLKDIVSEVCKDHLAAHKGPGNYQPSSPTNLIVPGCHTSHVADANAKTIENVLRDISQYSTPPRSNRICESVNPTPTRKQQVESGNVCGTPVIQSGAQSANSENRSRQQSFQHKLTPQNNKDNIAEEPSFSLGLTQEEQIQEDIPILGQTCPDHVQLSQTNVDDNIEGISSSRRSKRQKTVPSGLVEDYLCGPHLLSRAKESQRSIFATLDISELVRKFTNLESKMKSNLVINVSGLAVSRREILLICERQRNYTAKVVDILIRVLRSVILDQLPSEGSQSAEFLDTKFGAAIMKNFLRFLKSKNKESYIFPKSLSGIFPTKESPKVNPRKYYFPLNVGNKHWVGICFDAVCGTVTILDSCLALHKQKALEKIINPVIQTLPYLARYASLDIETDPVIQCYDVARPKSVAQIKNEADSGLMSLLFMARHALYGPEACKNIGDDVLVEESKSAAILAYEFKENVTLHHGAWRRADDGHWTFHRNPSDLGYTVMVKPTDTLEDLETIIRARYKLKPETPMVLAYHLPEWMLEPEGTRTPPITLTTTPQVETMMQIRSWWSELKLCVSSGAENVAHYQFLSQTTFTISGATFVFKGYNEKELIASKEVLEECFKEEEMVPIYRVHLEIEKAKSEERGAQGLPSMATEAEGSGSSPSGSQGYKLR >A09g515400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45708111:45708650:1 gene:A09g515400.1_BraROA transcript:A09g515400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREARPVPRRASTVESRPINPDQSLLNAAVFVESFTALLTCEGKPKGGRCRNTVEVRLLKFWEDRNVMKRGELMGVDMLIFA >A07p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9824355:9825348:-1 gene:A07p015890.1_BraROA transcript:A07p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKIPAWRSVVACEVRLQSPTLKAEYGASSPYGLCGIYPIVGLFSLTFEVLNNSGVWRAAEGYCREEELVQWLLRVEAIERGGSFEAPDEGAVEFCLFLGLSSPSFGLGFRGSSYVLPALVFHPCMLTKYQ >A02p036100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20605920:20606294:-1 gene:A02p036100.1_BraROA transcript:A02p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMTRQEGGLIAIISHSQVLIAILPRRPSPLSSLPLSLFFSLHCPSLIAPHRHVSSSPWPTLPTLIDGTSSPLPLYLPSSPGPPSSRRTSPISLIVLATSSCSLIAHALFLSPHRRGVITQVP >A07p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10136691:10137852:1 gene:A07p016450.1_BraROA transcript:A07p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHNDAVKDDEAEEWGNCSVENVSSFQEESAKVPYVGDKEPLSSLAAEYQAGSPILLEKIKMFLEQLDDILLGSDDYEELVNRSRDQSVSDYIVMFFRFVTAGEIRTRAEFFEPFITGLSNSTVDHFCKTSVEPMGEESDHIHITTLSDALGVAIRVVYLDRSSCDSGGVTVNHHDFIPVGTSEKQEEASAPFITLLYRPGHYDILYPKPDSKVLDNVEKMIV >A06p008180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2821139:2822923:1 gene:A06p008180.1_BraROA transcript:A06p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIENMHVGEVEKAFVLWDMGNCPIPDGHDPLTVVAKIQMAVEKSGHVRRNGQISITAIGSKLTEIPGEDVMSRLSSFEISLKHSHHSALCFPRAVYIHDLDEWADANPPPSTMMIIDDHERIGWIASNLSDFEDAGYRILLAYPQRTTPLPRSFSKQWDWDALVTDEQETTTTTTSLVYSQGRYNDEHPLACEVCFVAVKSFEDFTAHLKSVEHEYGEWDRFACKNGVDRTIRENLPFGRSSEMDLLLTQDMDRRLMKRKERSCGRRIRLNPTQSKAILADALNLVKSLPFEADALVLGALLGACKIHEDAELGNEVGKQLIGLQPEHYVALSTLKALGNNWAEAEEMRKVMVEAGIRKSLHIVSCLNVFKKKM >A02p039090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:22307439:22308011:-1 gene:A02p039090.1_BraROA transcript:A02p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRIIFLIAVSTSVIVVLLLALFSPVPDYDPPESLFSFSLYVQQTQIPSSSYISRRSSQRMAETHRRGGGGGGALIFRRTLTEGPDNNSRIVGKAEGFIIPHEDFANSNFNVIYLTLESPEYTGSVSIRSRDMAHKLEEVMEVVGGTGAFAFARGIAMFAEVGAEEEAVTTYRVKLLLRFPHTSKIDPQ >A04g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17998116:18000962:-1 gene:A04g507340.1_BraROA transcript:A04g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14730490:14733103:-1 gene:A03g504180.1_BraROA transcript:A03g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDGSENVPRWENMDKYILSNIFKKHHDPNLQASDSRYQLRKIITEINKFARTVPNSFFFNVYCSVAEEDLAIISNGMPNIQKLALPMWTSLYINSIQSAFSRGGRTLLTTGALMDPPLAFSKWQNLQTLIIHPSIAMTVREVSSVELQAIGENCRNLTTMKFTTMLSKDLANIIVCNFPSLERLSFRCNYACIDASISLIIGLPNLRIFNLSHCIFTQNTGTGKSGKPVFLLLRFSASYPADTCQGRTRIGRPYLRHVRLNIAHLLFIFGPINMALGMRPKDELVHAGTKKLVRFMVCCSDCTICQDVWKHANNLNRYGLEFRYVNEEWWKTDEIKELEL >A03p010930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4361556:4363345:-1 gene:A03p010930.1_BraROA transcript:A03p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRGPSSKSDNTKFYEILGVPKTASPEDLKKAYKKAAIKNHPDKGGDPEKVFNGTLHVSLQFKELGEAYGVLSDPEKREIYDQYGEDGLKEGMSDRHDPFDIFSSFFGRNERRQRRGEDVVHPLRVSLEDLYLGTTKKLSLSRNALCSKCNGLVPDTVTGDIVFVIQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFVLTHLDARQLLIKSSPGEVVKPDSYKAISDEGMPMHQRPFMKGKLYIHFTVEFPESLSRDQTKAFEAVLPKPAKSALSDMEID >A09p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5230224:5231028:1 gene:A09p010280.1_BraROA transcript:A09p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSENCILRPCIQWIETADAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLYEACGRTVNPVNGAIGMLWTGNWKVCQAAVETVLRGGSLRPIPELLTNGGGFPSATSEEASEICTEMLKLQQNDGSSDRNIYHHSRFSSSRSRSTLDSSPRKRKLEISLNPSLPMKAVPSSTRQRSRTPSMNSEESVTTTTTFWDNFASGAQHGNGGGETSRLLNLFV >A09g519200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59039768:59042152:1 gene:A09g519200.1_BraROA transcript:A09g519200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGQKFQLGTIGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALWMKMFEHKPFDPRAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFRLALKVLSLAFCAFLRKIQFSLTILLLGVGIATVTDLQLNMLGSVLSLLAVITTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFVTGPFLDGLLTNQNVFAFKYTSQVVFFIVLSCMISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLKDPFNWRNILGIMVAVIGMVVYSYFCSVETQQKASETSTQLPQMKEGEKDPLIAAENGSGVLSDGGAGVQKTTAPVWNSNKDFQA >A02p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17225968:17227371:-1 gene:A02p032810.1_BraROA transcript:A02p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVVPINIYPSLAPKAVASPALGEGPTLDMDAHILPSGLLRVLPWFTMAIFVHIGGWIGDTPMSRGGLSITAVRKERSKKYTIIMQSMGSLGPTLFFLSQLNRVKTPAVAVLCMTCRQNQSKVVSSSDIKTHAVPSRALKHSPSRVSTLIIKTLPPRYAGVLLGLLNTAGVLAGVFGTTATGYILQRGIYSIHNLDILRLCTYYLKWSRYRIILKFLRYFNVNPYYRFLRRCAQSSSLFNWSSSLELVRNRRGNYCSGLPRAFGSWLGTGSIPRFRIL >A02p008200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3428850:3429960:-1 gene:A02p008200.1_BraROA transcript:A02p008200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGSSQSPTGQGRSPSAPSNSTAPARSRWSPKPEQILILESIFNSGIVNPPKDETVRIRKMLEQFGAVGDTNVFYWFQNRRSRSRRRQRQLQAATAAAVTSRGAEDQQNMTTMSMHHPYRNSEINLGFGSCSNSSANYFFNDPSSQVSSFLLGHSSSSSNGGCESNNGMENLFTMYGHESDHPHLHQYSSNDATISNPSDQNSSFHYQQGLMTVFINGVPTEVTEGAIDMKAMFGEDLALMHSSGLPLPIDEFGFLMHSLQHGQSYFLVTQFNSLLSI >A04p014930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5805129:5807920:1 gene:A04p014930.1_BraROA transcript:A04p014930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MPFSLSTTTTTPRSPNPNFRSRKSRMSTPPVLSLALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPINSVQFSNHTGYPTFKGQVLNGEQLWELIEGLEANDLLFYTHLLTGYIGSVSFLNTILEVINKLRSVNPNLTYVCDPVMGDEGKLYVPEELVHVYREKVVPLASMLTPNQFEAEKLTGLRINSEEDGREACAILHAAGPSKVVITSITIGGLLLLIGSHQKEKGQKPEQFKILIDKIPAYFTGTGDLMTALLLGWSNKYPESLDKAAELAVSTLQALLRRTLDDYKRAGYDPTSSSLEIRLIQSQDDIRNPNVELKAERYR >A09p015540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8070709:8076547:-1 gene:A09p015540.1_BraROA transcript:A09p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNINLEQDANMSGIYAPSDATSFLSFDGSETRSSLDYTKKGHQNLVEWLNQTLPYLNLPSEASEDEVRACLRDGTVLCNLLNQLSPGSMKMGGSFEPAYVKIERFLTAMDEMALPRFEVSDIEQGDMVPVFQSLKALKASFSDGGNDKNSLCARRRWSLPEDHSDSRGDDRNFTDGFQSKEGFEIDVSDAKISELLKSNSLRNAPTRTLFDMLDKLLDESVKKMNGHVSHAMASLLSALVQVIEQRISNQADNLKNQNILFRVREDKYRSRIKVLETLAAGATQENEIVTNCMERTKLEKNRIEERERSEEKDVVRLKKEKELSDAEIRKLKQELKVVKETHANQCLDLEAKSQNSKVELESKLKNAELQVAESTRKVKELEKLYLSKSQKWEKKECTYQSFIDNQFGALQALNATSVSIKQEVLRTQKKYFEDLNYYGLKLKGVADAAKNYHVVLQENRRLYNEVQELKGNIRVYCRIRPFLPGQNNKQTSIEYIGENGELVVANPFKQGKDTHRLFKFNKVFGQASTQEEVFLDTRPLIRSILDGYNVCIFAYGQTGSGKTYTMSGPSITSKEDWGVNYRALNDLFQLTQIRQNTVVYEVGVQMVEIYNEQAASIENFLNLRTLGVWNTALPNGLAVPDASMHSVRSTEDVLELMNIGLMNRTVGATALNERSSRSHWFVNIFPQLVYLLVLCKHSFTRIIKAKTLLPILYSVLSVHVRGVDVETDSVLRGSLHLVDLAGSERVDRSEVTGERLKEAQHINKSLSALGDVIFALAHKNPHVPYRNSKLTQVLQSSLGGQAKTLMFVQVNPDGESYAETVSTLKFAERVSGVELGAAKSNKEGRDVRKLMEQVSSLKDVIAKKDEELQNVQKLKENNATVPKRGLSNLRLLGPSSPRRHSIGPSQNGLRGKPSGLYGRSTSDVDNCSEYSSKHSDSGSPRSSDELKLRKDLHQLSKFAGVSKEIDLEDDIELIGLGDADSEDRLSDISDSCLSMGTETDGSISSAVELTLFPETVKPLEITEEPETHLAPEKPEKSAKTVKTVPKDNRTSIPSKIPKQTLKPPGQTRPSRLSIATSSSSKALTSAKRPTISTSTSMKPLNRRR >A06p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12884237:12886968:1 gene:A06p027190.1_BraROA transcript:A06p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIKFCVAVAVFIIVTEIASCHFVFEVQHKFAGKGKNLAHFKSHDTRRHSRMLASVDLPLGGDSRVDSVGLYFTKIKLGTPPKEYHVQVDTGSDILWINCSPCPKCPSKTNLGFSLSLYDVNASSTSKKVGCDDDFCSFISNSDSCQPDLGCSYHIVYADQSTSEGNFIRDNLSLDQVTGNLLTGPLGQEVVFGCGSDQSGQLGKSESAVDGVMGFGQANTSVLSQLAAAGDAKRVFSHCLDNVKGGGIFAIGVVDSPAVKTTPMVPNQMHYNVVLMEMDVDGASLDLPPSIVRKGGTIIDSGTTLAYLPQALYDSLIETITSRQPVKLHIVEETFQCFTFTKDVNQAFPPVNFHFEDSLKLTVYPHDYLFSLESEMYCFGWQVGGMTTEDRSEVILLGDMVLSNKLVVYDLENEVIGWKDHNCSSSIKVKDGSGGVFSVGADNLSSAPPLLLIGKLLAILSTFVAVAFN >A04p040870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23048746:23053498:-1 gene:A04p040870.1_BraROA transcript:A04p040870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESGLNGDGNIVEDVSETKRSREEDKEVKKTEKKEEHEKTKTVPFYKLFAFADSFDILLMILGTLGSIGNGLGFPIMTVLFGDLIDAFGQNQNDSNVSDKVSKVALKFVWLGIGTFAAAFLQLSGWMISGERQAARIRSMYLKTILRQDIAFFDVDTNTGEVVGRMSGDTVLIQDAMGEKVGKAIQLLSTFVGGFVIAFLKGWLLTLVMLSSIPLLVMAGAGLAIVIAKTASRGQTAYANAAVVVEQTIGSIRTVASFTGEKQAINNYNKHLLTAYKAGVIEGGSTGLGLGTLFLVIFCSYALAVWYGGKLILDKGYTGGQVLNIIISVLTGSMSLGQASPCLSAFAAGQAAAYKMFETIERRPDIDSYSTNGKVLDDIKGDIELKDVYFTYPARPDEQIFRGFSLFISSGTTVALVGQSGSGKSTVVSLIERFYDPQAGEIIIDGVNLKEFQLKWIRSKIGLVSQEPVLFTSSIKDNIAYGKEDATIEEIKAAAELANASKFVDKLPQGLDTMVGEHGTQLSGGQKQRIAVARAILKDPRILLLDEATSALDAESERVVQEALDRIMVNRTTVVVAHRLSTVRNADMIAVIHQGKIVEKGSHTELLKDPEGAYSQLIRLQEEKKGEKNPTDEQKMSSIESFKHSSLRKSSLGRSLSKGGSSRGNSSRHSFNMFGFPSGVEGNDVTQDQEEGTTEAKTKPKKVSIRRVAALNKPEIPVLILGAISAAANGVILPLFGILIASVIKAFFKPPKELKEDTSFWAIIFMALGFASIIAYPAQTFFFAIAGCKLVKRIRSMCFEKVVHMEVGWFDESEHSSGTIGARLSADAAAIRGLVGDALAQMVQNLSSILAGLIIAFLACWQLAFVVLAMLPLIALNGFLYMKFMQGFSADAKKMYGEASQVANDAVGSIRTVASFCAEEKVMNMYTKKCEGPMKTGIRQGIVSGIGFGFSFFVLFASYACSFYVGARLVDDGKTTFDSVFRVFFALTMAAMAISQSSSLSPDSSKADIAAASIFAIIDRESKIDPSVESGRVLDSVKGDIELRHVSFKYPARPDVQIFQDLCLSIRAGKTVALVGESGSGKSTVIALLQRFYDPDSGEITLDGVEIKSLRLNWLRQQTGLVSQEPILFNETIRANIAYGKGGDASESEIVSAAELSNAHGFISGLQQGYDTMVGERGIQLSGGQKQRVAIARAIVKDPKVLLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKNADVIAVVKNGVIVEKGKHESLINIKDGVYASLVQLHLSAAS >A02p027680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13441467:13442668:-1 gene:A02p027680.1_BraROA transcript:A02p027680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEYREFSGRKLQKTPSFSQTCSRLSRYLKEKGSFGDLSLGMTCNPDVTGVFAVSRQPTMMNLFPCEEASPTQDVKPTHKVPRQSSFSSSSSAGAKGEVEKIIETKSVKVESQSAPLTIFYGGQVMVFDAFPAEKAKQVIDLANKGSDYAQNIAKNQKEIASTTPNPVPSLAKTAAAPELVQTNTSSLACELPIARRASLHRFLEKRKDRITSKAPYQIDGSTEASSRPDTSWLGSQ >A02p042100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26408008:26410570:1 gene:A02p042100.1_BraROA transcript:A02p042100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTAGAIGKMLNGEVTEKEMMPIVQVTELKLIQQARKNQESMERYKLSLSDGIQSQEGILNNTLNLLVKEGRIQIGSVLRLTHFVTNSVQTRRIVIVMELEIIAEQCNIIGNPLPAHPGKPRNSVQTQQPGAVSVNTQTNGGFEQQQGRSELNNGTVRHGGFEQQQQATRSESELNGAVRHGSAQQHQVGERRAFGTASEFPETTTPSARPFAGYGSSRQEQTRAPPTTYSRPQVQSGYQAQPPSMYANMGPVARNEAPPTVVPISALNPYQNRWTIKVRVTSKGELRRFNSTRGGEGKVFSFDLVDAAGGEIRVTCFNDVVDMFFDMIVVGNVYLITKGNLKPARKEYNHLPNDYEIHLDNASTIQQCYEDDATIPRNQFHFKDISAIESMESNSITDVIGIVSSISPTGSIMRKNGTEAQKRALQLKDMSGRSVEVTMWGNFCNAEGQRLQSLCDSGVFPVLAVKAGRISEFNGKQVSTIGSSQLVVEPDLPEARELRAWYEREGRYAPCISISREFSGAGRQEARKVITQIKDENLGTSEKPDWITVSATISFMKVENFCYTACPIVNGDRPCSKKVTNNGDGTWRCEKCDKCVDECDYRYILQLQLQDHTGATWATAFQEAGEEIMGMPAKDLYYMKNEDRDEEKFEDIVRKAAFTKYIFKLKVKEETFSDESRVKATVVKAEKLDYSSDTRSLLEAMNKLRTEDANPLTVNPEGSNYRSGAFNSGVGTSGTRETSSADVPGREFGLPAANQVNQFGNQQSSESRPLGGVTLCDACGSNAHVFANCPSFLSEPQGQHTNSAYGNTPGRNAGGGMPRQHVGSY >A07p014810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9213484:9220302:1 gene:A07p014810.1_BraROA transcript:A07p014810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:Projected from Arabidopsis thaliana (AT1G29940) UniProtKB/TrEMBL;Acc:A0A178W5B4] MVIKGKETVPNTEDFKELRNLVTHHIESFDYMTEKGLDVMFTRINSVNVYDPSTENNLENPKVFSPQKESLSSNSRKESLYPFECRQAKISYTGALMADVCFQYDNGLGSGLGAVVREKFEFGELPIMLMNKAQFHDSSLLYFSYYGKSLLISVRFVQSNRCHLQGADCRKLLKCKESTSEMGGYFILNGIERVFRCVIAPKRNHPTGMIRNSFTGKREGYSDKAVAIRCVRDDQSAVTIKVYYNRNGSARVGFWIGGREFLLPVGLVLKALTETNDEEIYESLNCCYNEHYGRGDGSVGTQLVRERAKIILDEVRDLGLFTREQCRKYLGEHFKSDIDGLEKESYPVVAEAVLKNYIFVHLDNDDDKFNLLIFIIQKLFSLVDQTSLPDNPDSLQNQEILVPGHLITIYLKEKLEEWLRKCKSTIEEEMRNIKSKFDFENHADIKKLMNKNQPRSIGTSIESLLKSGCMTTRSSLDLQQKVGYTVQAERLNFLRFLSFFRAVHRGASFAGLRTTTVRKLLPESWGFLCPVHTPDGEPCGLLNHMTRTCRISSQFDSNGNIRDFRKIRRSVVDVLVGVGMVTSLPKLVRAGPPKVIHVLLDGQVVGSLSSNLVPEVVAYLRRLKVEAPSIIPEDLEVGYVPTSMGGSYPGLYLASCPARFIRPVKNTSIPSNNLELIGPFEQVFMEISCPDGGNGGRNNSSLATHEEIDPTGMISVVANLTPWSDHNQSPRNMYQCQMAKQTMAYSTQALQFRADQKIYHLQTPQSPVVRTKTYTAYNIDENPTGTNAIVAVLAHTGFDMEDAMILNKSSVERGMCHGQIYQTEAIDLSDQKSRSGSGNKRFRRNNAVKSAHSCVDADGLPYVGQKIYPNETYCSIYDGVTNDYKPMKRKGTDPVIVDFVSLDMKSKNHPQKANIRFRHARNPIIGDKFSSRHGQKGVCSQLWPDIDMPFNGVTGMRPDLIINPHAFPSRMTIAMLLESIAAKGGSLRGEFVDATPFRDAVKKTNGEEDSKSSLLVDDLGSMLKQKGFNHYGTETLYSGYLGVELKCEIFMGPVYYQRLRHMVSDKFQVRSTGMVDQVTRQPIKGRRRGGGIRFGEMERDSLLAHGASYLLHDRLHTSSDHHIADVCSLCGSLLTSSVLNVQQKKLIREIGNLPPGRTPKKVTCDSCKTSKGMETVAMPYVFRYLAAELASMNIKLTLQLSNSGEGSDSEGV >A06p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000166.1:2463:6318:-1 gene:A06p029040.1_BraROA transcript:A06p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTYGYSFGASMIAKTIETSHCVADIARMDRVKNNEQARHEIQMWGGGTNMRVLLPLLKPISHLTLIVRGKGTLKLKKMVHGRGSLLFTARDSLSKTRTSQSHTRKLPLDPNVGRRNQHESSPAPVEANQSPHLDREGGRDSETQEDGQDGTGLSEEEEESVSGSHNQGDQSQGEGEAQAEAPEP >A04g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6050964:6054828:1 gene:A04g502530.1_BraROA transcript:A04g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRILIKKAFDEAYFTHRLWMFFRETKVTVEDIRRVFHQVREKMKHMITLTKKRASVSILPRIMADQLGGIIRDLEVQIGNALVPVDFHVLDIELNWNSSLLLGRSFLATVGAVCDMNNNKLCLTLIDPNIHNNPIQPKTKVINYVDYRKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVDLPVDHFAPPNHCYPHFVFQPPSKRGCDDYSIGSWADSGFHESFAVDTVFTSPNEEHTEEYDEDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPFTSIDTHTGTSIDIRAAAKIQEQENIPSPTRFINTYLKRFAPLKPPPHTKADTQAEKTNTLPSTSTGKSMKSNHLKNTSSAEITLPSIDTSVSTSIDTTLNPNLSISKLNDNANIDYGFLTPDEYGIFRDPDGNVRAIDGRILQVSREDIADILQVANGPDNLYSQQRGTPAVIQTEPNNHVGVATKEINPDLSYPPKGQASIDGTTETLIDRVTSTSNDKDDPTSIDKRYECGNRAFDMYGARKFTWERRDEKLLERASLFEESYICLPEHVTSFTLTRLAPELYTKDEINEMVTGISGDQEKLGEELKSLVEDTHHPLDRGYNELFRSMVEMRTEIESLRQQLEKEATTSTSIDAPHAPSIDVSLPTAQIPAEPQCSAKHKDEWEVSYIDTRIYPLNNNVDWLSTKIELLQQDLDTICKKDQQPATSIDVCTITSLDAKVSAMNERLRTYEDMHDRVVSPVMLDLNKLSSQIIHAQRDIDNITNQKFLQANSSSIDRLRGPWIEGKYSEELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDMKRAKSLDDKSPRSTDEHIIASIDAESTPAGKQLIHKTIESMRKELTELSAYAYDNIGWHQVSIDNVQDRLQNISNVLEKMDDKWTRNDEATRNSTIDAKADQPINYTLALKRMK >A03p012870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5094198:5095678:-1 gene:A03p012870.1_BraROA transcript:A03p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQLKQLTLSATRRNAHLLRLPRLYSSPAVSQSLTTAKPSKRLSRDDRRLVVESFVSKYRAANAGKFPTLKVTVKEVGGGYYVVRDILQELKLRPNAPISRVPASIANDASSLKQDHTLEPSHVRSETVTASLDKDVDSKCDGSPPKIQTLKVAEEWLKNSETEEEGSLTQIASQEPKADHLQEANVLTTHGTRETETAPPSNDVDSICDDSPQLPESQTLKVAEECLKNSETADEGILTQLVNPEPKADHLEEANVLPATHLKGETETARPQNDVDSKCGGSTLLPDSQPSMLSEECLKNSETKEEGNLTHLGSQEPKAEDCHEGGAASANVLPTETRQVPEEGDGEVKTGENSSAWSSIVSFAKGFANFWRKG >A05g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13607985:13608417:1 gene:A05g504890.1_BraROA transcript:A05g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVV >A02g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11539617:11545287:-1 gene:A02g503430.1_BraROA transcript:A02g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACQHTHDVCVCPSAHTGRQWLSVCVRQHTQDVRGCPCVSVSTLRTFVAVRVCSSAHTGRHWLSVCVRVCPSAHTGRPWLSISTHIRTLFLGLSTLTLPVDCSGDFGPRGLSIQYTQDVRGCLSVHTGCPWVSAITHRTSVAVRVCPSPHTGRPWLSISTHISMLVHRLSTLAHPVNCLGDFRQRGLSVQYTQDIRGCPPAHTGRLGISVAVRQHTQDIRGCPSVQISARCTHTMSVAVRQHTHDVSGCPCVSVCGRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCSGDFGPHELSVQYTELHTGHPWVTASTHRTSVAVCHHTQDVRGCPPAHTGRPWLLVAVREHTQDVRVCSSAHTGPHTGRPWLSVCVRQHTQDVCACPSVHISTRWSLDSARWPFPWTVRVILAHVGCLFSIHGTSMGVRQHTQDVCVCPSAHTERSWLSVCVRVCPSAHKGCPWLSIITHISTLVLGLSTLTQTVDCSGDFGPHELYFQYTQDVCGCPSANTGRPWLFPCCGRQHTQTFRGCPAVNISAAGSLETQHAGPFRRLFGLFGPHWLCLFSVHKRSWVSFSNTHEVCVCPSAHTNVSGLSVFVPSAHTDGCGSLGVSVSTIGRSWLSCVFLSRTHRNVRAVRGCSVCCPVSTHRRPWPVHSVHISARWPLDSARGPLPLTARGILAHVGCLFSTHRTSVGVPVQVHISAKLSLDSARWTFPWTVRGVILAHVGCLFSTHRTFVGVRQHTQDVRGYPCVSVCSVHTGRLWVSVSTHRTSVAIRVFPSAHTGLSWLSISTHISTLVLGLSTLTLPVDCSGYFGPRGLSVQYTQDVCGCPSAHTGRPLSTLSHLVDCLRDFRQRGLSVQYTQDIHGCPTSVAIRGCPSAHTRHPWLSIIHTGCPWLSVSTHMTSVAFRVWPCASVSTHKTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHRTSVAVRVCLSAHTGRPSLSISTHQHVVHTGRPWVTASTHRTSVAVCHHTQDVRGCPPAHTGQPWLSVAVREHTQDVRVCSSAHTGRLWQFVCVRVCPLAHTGLHTGRPWLSVSTQMTSVAVRVCPSVSVAVHQYTYQHVGPWTQLKTRQGIVTPPILNRIVGTAMVRGNMQASLRTSRQAFHGRERSWTKWTSSSASSMKLGSVHTSSVPTKSAPLAGLLAHSAEAAESQLISARRTVRALGRWSGSGSVAGCEVRP >A08g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10102615:10103512:-1 gene:A08g505850.1_BraROA transcript:A08g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSALLGSLLTKSSGLPGSRLDFQEVVWIFLSVLSSGSRLKVVWKSSGSRLDFLKVVWTSCKVVWKSSDFLKVL >A04p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6183434:6184390:1 gene:A04p008290.1_BraROA transcript:A04p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EWDVRLLEDYVAPANIPFIRSLAISSAHHRDTFCWSYTKMASTRWVLDIYIIVQWVWMGLDGQLGKGSTSGDMKLPMARVCLAFRNGSTTMDDEDYAPAFNMSELWDGLQRFDRHN >A09p011800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5988222:5989643:-1 gene:A09p011800.1_BraROA transcript:A09p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLHFPTASSSAVSAVSLRPGFHQRLFPSFNPLRLAPNPLVSPKRRATTISSYQSPSSPLLYGFQIKGSKASLAPFTVASSYPTSPGSVSGDSEVDKAKLAQVAKRLEKTSRYFKRLGSVGFWGQLVATLVAAVILSFSVAVTGKPTSPATFYATASGIAAAFVSVFWSFGYIRLSERLRRTAADPAKVSVSASAAAAASIKTVVVRFADADAAADAAAYYIATAGFIGVCRRTRRSDAAFNRRMLTLPLPPAPPRADVVKGLRSGIMVNLVGMGAALLGMQATVGFLVAKALTTSASPFYQGVSQGYSPVLALDVFLASANTLLSHFLGLVCSLELLRSVTVPSSESIAVPKVA >A09p056350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47932238:47934896:1 gene:A09p056350.1_BraROA transcript:A09p056350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFIDRYYKDGTPKNPDLVVVTSVWFLFFFDHNLKKLWETNQQASEDSGVDNLRHFSVYLFAGRTGVLRLSKKTDDVEAHTSDAPKLIPQHNYKFECREFRELILGVMPHHWEHTPAGIDLLRKIPKLTGKAVRYDGSSTPNKGIQYILTITNYTKLWWVPTVVVAHQKKGIKAIHLSTGRILCKFHLLEGGLHADINGDGVLDHVQRTIVSGSMEVLKPRWAVATSGVPVREQLFNVSICHHTVFNSMHYREHSRNFVDTSNTSSLEISTPILIPRRGSHGDKKATWSHLPSPSGLTESGTGVPTLKPFLLRIHDNHPMILARGDQAAVILSLGGSVLASIDLPFQPTHAVIADDFSNDGLTDVIVMTSNGIYGFVQTRQPRALFLSSLVGYLLVVMTVIFIAQHLNSIEVKHRSSTSF >A01p048890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27510809:27512082:1 gene:A01p048890.1_BraROA transcript:A01p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g17170/K14A17_29 [Source:Projected from Arabidopsis thaliana (AT3G17170) UniProtKB/TrEMBL;Acc:Q948R9] MESILHSSSSLVSLGPRIDGRDSFINSPRVCFIPSLGRRGSKSLPLLAAAKKKKSKRDDNHSFSARPDEATGPFPESILLKEKKIDEEGDLLPEFADDEEKELYEFLDLQLQSDLNEERMRHYEVVYLIHEKHAEEVKSVNEKVQEFLKEKKGKVWRFSDWGMRRLAYKIQKAENAHYILMNFEMEAKHLNEFKGMLDGDERVIRHLVMKRDEAITEDCPPPPEFHSVRASMDEDDDFDDDDEEEEFEEEEEGDDVEYEVDEDGNVVMVVYEDEEGEGEEDGDDEQEQGLGQSNKDLRENRRTVNV >A01p027980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18585988:18589998:1 gene:A01p027980.1_BraROA transcript:A01p027980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flap endonuclease GEN-like 2 [Source:Projected from Arabidopsis thaliana (AT3G48900) UniProtKB/Swiss-Prot;Acc:Q9M2Z3] MGVKYLWEVVEPCKKRYPLDHLQRDLISVVRRNKRVCVDLSCWMVELHKVNQSYCATKEKVYLRGFFHRLRALIALNCSIILVSDGSIPGIKVPTYRRRLKARFEVADDGGVEPGKQTSLRRNKGSEFSCMIKEAKVIASTLGILCLDGVEEAEAQCALLNSENLCDACFSSDSDIFLFGATTVYREICLGEGGYVVCYEMEDIKKKLGLGRNSLIALALLLGSDYSQGVRGIRQEKACEIVRSVGENVILEKVSSEGLSFVKNKRNSKKQIRPKKGTLPLVAVNGSSHDPEGLQEIKEVIDAFMNPKCHNADSNTVSRALAEFTFQRTKLQEICHQFFEWPSEKTDEYILPKIAERNLRRYAILQSRSTEVGVNRPLHKLQVPEKCPVSEIIKTRKVQGRECFEVSWNDLEGLETSIVPADLVERACPEKIIEFKEKMEAKKKKKKPKQKQPKSKEKETSSPTKASSLIELSLQLQQIDLSSTSDLATSTIEEAQQEKEQQKSMKHDYLRLIDSPAKENSSIGWSTIGRFGVGPSSYSFYPETEVIDLISPFPEARSRNVSRSYQEQKSQEQKCLDHKLENVIELSDSETDDDDDDDDEHCRKARELRMFLQNIRKDVIL >A09p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3560376:3562201:-1 gene:A09p006790.1_BraROA transcript:A09p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGSRSAAAGVVPESATEAVKQTLSHLEKLKPQLEKMMTSLAKPEVLAQMQPLQRAKTMYLLAEAATTIFTLRLRCTGGNPDDHRVKSEIERLNVYREKLHLCMDPSKDPLRPTTVLNRQAATRFIEHSLPDLTSTQKQNIRDLSIGEQSSMTYSATTAKKRKCQSDEKQSVQSAAKEFLEKAARELNNGDNEDDLKGPLMAAADGSDDAEINLLAK >A06p028640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000135.1:2905:3201:-1 gene:A06p028640.1_BraROA transcript:A06p028640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETANYYSRNRTEHNPTER >A05p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2076641:2079429:1 gene:A05p005350.1_BraROA transcript:A05p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIPSLLRFATILFLFSSSSSSADQRLHSRHLLHQPFFPIPTAAPPPYQPPPPSSEPPSPSPRSHHHHKNHPETPPPHEKHPFSSASNPPPPPPPPSPPHPNTFFPSSDPSSPTSHPPPSPSPPHRAPVPTFPANISSLVFPTHNKPHNSNPHVAKLLAITIAVVSAAILLSLLAVFTIFLRRHRRRASPAKSTKTDARHLFNAAPSDVPLKHKQPPKYASSNTSSEFLYLGTLVNSGLVDQQKSPGSVAGVLELPPAQGSSSSTPSYSQYQKLGSPELRPLPPLPKLPVYTPNYRSTELLNPKGQDFDGDDNENDEFYSPRGSPGRKQSPPRVKNSGSQGASLKPPKSSVSPSLSLNSSNGSVSKKPVPTRPPPPPPPQIHVLPATNSVSEEKDETFKPKLKPLHWDKVRASSSRVTVWDQIKSNSFQVNEEMIETLFRANDPTSRTKESVTTDVVQSTSHQFLDPRKSHNIAILLRALNVTADEVCEALVEGNSDALGSELLQCLLKMAPTKEEEDKLKELKEDDDESSPSKTGPAERFLRALLNIPLAFKRINAMLYIVNFESETEYLKRSFHTLQAACGELKNTRMFLKLLEAVLKTGNRMNIGTNRGDAHAFKLDTLLKLVDIKGADGKTTLLHFVVQEIIKSEGARVSTTPTQSPVGVNMAEQSAFQDDLELKKLGLQVVSTLSSQLTNVKKAAAMDSTSLSDEAAELSKGITKVKEVIMELKQETGVERFLDSMNSFLNKAEKEITEVQSHGDSVMKMVKEVTEYFHGNSESHPSRIFTVVRDFLTVLDQVCKEVGRVNERTVYGSVPRASVSNQVVTPLFPVANNDNNSEKSHSGSLGNQEDEDLF >A06p057280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29758415:29760995:-1 gene:A06p057280.1_BraROA transcript:A06p057280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAFPPLIADQLHYLLNHSPDSIKIENVRSGNRFNPRILDRFTLVIPYCLDAIKWDVIYNSEYPAAPPDFIFGPDDEDFMPCSTTISPVDSLLDKALSEWNNQDSTLLLVLIQGLRDQYVAYQRKRVGQVDDDRVKFEISTVLTRKGIEMQMTSGADKPEEVKFAVPLVMDMSINKLVVGCPWKNEQKIYLQVVYPILRKYESAPSSPRLKLVSSSDLKALFSVEDVKLPPWMDGMCLAEYLPHLEETLERQILEAVSAIVVRRSFVEALALFLGRPLEADPTFCRKASFLAASGPFPFVVHFFFPTQFPKQQPALMLQSCQHLNQLSEPVKLNLLTDYPWSPRWEVGRMAERLCDFLTDEAVNFKKYCNEALLQH >A05p001400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:218812:221166:1 gene:A05p001400.1_BraROA transcript:A05p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin/Brf1-like TBP-binding protein [Source:Projected from Arabidopsis thaliana (AT2G01280) UniProtKB/TrEMBL;Acc:F4IN86] MMVCGLLLCDSCRVVLENFSFAGQSHSVQCGIRSTYESRISAVREELMKFKDALQIGDGDDSDDSGGVVGIASRLFVRSDDKNFIKGRRSELVLASCLYYACRKYELPLLLIDLSSYLGVAVCELGSVLLQLCKEAFGVDYYEDLVDPSVFIPQFTNGLLKSGLDDESTTKVIGTAINIMASMKGDWTQTCRKLSGICGAAIYIAALSHDIADVGEETITSILIEFANTEAACLTVEGLKKSDSTLLEKPFTPRPNSDKEVVNCKHKDSKSFGYGLCEVCYVKFIEVYAAFQQAVKERKEKEENEEKKSEALDDLDGDPVESKKLSHPASTAFEAWTRMHQSKGRFDHSRIKKRKIKKRMKNKTRSNTKDKT >A03p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10466687:10468527:-1 gene:A03p024890.1_BraROA transcript:A03p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTTSCSSPSSPRLFATKPQLYKAPLSSFSTPKRRRSPARRNRNSNDSTYSDSNLLTISTYSSYEEQSLSLTLDVNRISSLATSRFKLFLDSGKDAVSDLQTLVSLDDNKRVVVTCRKSTMQFVGGVVLLGLVFGFAIKVLVHLGTAFKGGLQGRPKLVVRRDRSLGGKEVVVAVDTIRSSSSSSIASSSGQASRSNSVARNLKLRAQNNLPKWWPASLPSQSLEVDKEDYQREANRIVRGSFFKLLSFFPAIVDNRTSGRDITENDIIQLRRVCRIAGVQVSIEPTNTRDSFYRTSVDFVLNACSRAPWESSSVEICSEDAREFIAGLAENIGLAKIDAARMVSAAVAARTRSWFLQAWALEIQGKHSESVAELSKICLIHRIFPPEEYSAEMEMVARGLEKLMKLEERQSLMRTFVGMCCSEESQRSAAEALGLIPS >A09p081500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59282787:59286246:1 gene:A09p081500.1_BraROA transcript:A09p081500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGAGEVRRVRLVYFLSRSGHVDQPHLLSVHHISRNGVFLRDVKRWLAGVRGDAMPDAYSWSCKRRYKNGYVWQDLSDDDLITPISDNEYVLKGSEILLKPPKEDSPHAAKKAWETGNDGISAKTTHKESPVFCSQRSTATTSTVTDESTTNVEDVVVLKKPDREKVSGERHVSTGNGSGNDIESGRPSVSSSTSSSSSFIKCKSYSSLRASHVLRNLMKCGGMDTNDVVLVPLNKSASGAFGAAWEDERRFQYHQQQNARKSLEGAWSGIKMKETIELCKPKVASSKPTMAPLCSNIQGNPSGHPPGDVHLTACIFYHQLSDSHGLGKIKNSWLSNIPISDKNLTEMEIAQMYAVSLYYASVLLSTIAEVGISSFSAEEAPNTIKKPKTENLSKNCEQQARQGRMNYENPRIIDVQNYRILTATWNVAGRSPPSDIKPY >A01p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9190834:9192440:1 gene:A01p018900.1_BraROA transcript:A01p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLMMERSSNNNNVVELSRVAVSDTHGEDSPYFAGWKAYDENPYEESHNPSGVIQMGLAENQVSFDLLESYLEKKNPEGSMWGSKGAPGFRENALFQDYHGLKSFRQAMASFMQQIRGGKARFDPDRIVLTAGATAANELLTFILADPNDALLVPTPYYPGFDRDLRWRTGVRIVPIHCDSSNHFQITPEALEQAYQTARDANIRVRGVLITNPSNPLGATVQKKVLEDLLDFCVRKNIHLVSDEIYSGSVFHASEFTSVAEIVENIDDVSVKERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVRTARRMSSFTLVSSQTQQLLASMLSDEEFTEKYIRINRERLRRRYETIVEGLKKAGIECLKGNAGLFCWMNLGFLLDTKTKEGELALWDVILKELKLNISPGSSCHCSEYGWFRVCFANMSEKTLDIALKRIHEFMDPTSGG >A09g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10570660:10573116:1 gene:A09g503300.1_BraROA transcript:A09g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNPYTPAFLEGMLHLYSTIGREQVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCTAYLVDIDKNDICLTLVCRNEKARQTENENVVAVRDTTGHPAATQYDKL >A06p038110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20578598:20587048:-1 gene:A06p038110.1_BraROA transcript:A06p038110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LNK1 [Source:Projected from Arabidopsis thaliana (AT5G64170) UniProtKB/Swiss-Prot;Acc:A8MQN2] RPVLVEGELHVFAIELDNNISEEFHGNDDGIVPNSGNEASSRKKQRHGDKGSDKTHLKSNTFIKKKGDMLGRNPWPENNRAVSPVSRDAGSDKDVQDMQLEDAKISDHGFNGGHVNEAENFCSADPMLCDSSTATHDGVYNNYSVDNIPDAENNLSFLNSGDKESNDLFYGWGDIGNFEDVDNMLRSCDSTFGLESINNEDNLCWFSSAQPNDDTQIAMTDDIKPDTMGNQRTPLLQVEDFLNNSESNHGVEDEYGYTAVGGSTQGNSSENVYDTSMQKKDILILDEEANLEKKQTGHLHNLDGYSDNSFTLQHSGLSSEKMDTNQYYPPSAFQQPGVPYSHFSFEQTSNQVSACESNSGIKSENIPNPSSASNESYTSNQAQSVESLQGPTVDDDRCRKGFEKRVSLQAGQDLPPYAASTRNSSKTNPMVFPDAATIQKIGLEDDHRKVAAKVETSNKQESSCVSSVVDDISLEATSFRQLQQVIEQLDVRTKLCIRDSLYRLAKSAEQRHHCMDPNGGNRQVKGAGSHLENGETDKYVGFLDIETDTNPIDRSIAHLLFHRPSDSSLSSDHDVLSYKSHPMIPQPNSSPSLRIEKEEETQELRTEAVASDNKGENLEMIRSFRLTSLLSAAKPLRSFACSSSPAREPTPCASFAEAKSTPSPNSLSITPPKTPLFLRTPSHAAPLSEVWKWHDWAKDLASSVEDSSPKAEDSLDSILLLRELKWLIEDSMEDNHPVITRTETEGEKNVKLRASLEELYDLWRQRIEKRRPFQYVVGCEHWRDLVLCVEEGVLIPRPETEVIVDMVEEVVTRDEWFKRGTWADLGTGSGAIAIGVARVLGSCGRVVATDLSPVAIAVAGNNVKRYGLEGMIEVREGSWFGPLKDVEGKLVGLVSNPPYIPSDDIPGLQAEVGRHEPILALDGGVDGTDSLFHLCDGASRMLTSGGFFAFETNGEKQSKMIVDYMTNDLKNSFSDVKIVSDFAGIGRMDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRFIMRNVKGPVREGDILTLLESEREARRLPTKT >A06g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22273717:22274708:1 gene:A06g508020.1_BraROA transcript:A06g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSTRILSTTAVEATSPLNHHHPGSYQVTAITVFNPPRISGKKINSRDGEIVKKAQRVLDGQRYKDGFRQEMKGRSFLLGPSHAKGIVHQKTVRICNGIEVKQPNSVIRKCARVQLIKNGKKDEFFISEGHVVGDIPGVRYKVVKVSDVSISTLYKGKEQLKS >A07p047060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25323881:25325649:-1 gene:A07p047060.1_BraROA transcript:A07p047060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTSLSFGSPFLSLDLCLSILLFISLFVFWLTPGGFAWALYKARFHTRPESKPLPAIPGPSGLPIIGLLLAFVNNALTHRILANIANSCKAKALMAFSVGSTRFVITSEPGTAKEILNSSAFADRPVKESAYELLFHRAMGFAPFGDYWRELRRISSTHLFSPKRISCFGDSRRKIGENMVGEIKKAMKSYGEVHIKRILHFGSLNNVMSSVFGKTYDFNDGSIVNSKLEHLVSEGYELLGIFNWSDHFPGLRWLDLQGVRRRCRSLVSKVNVFVGNIIEEHKSKRSLRDNKEEEGTNDDDFVDVLLGMQGNIKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMILHPDIQAKAQAEIDAIEGEMGRQVSDSDLSKLPYIRAIVKETLRMHPPGPLLSWARLSIHDTQIGTHFIPAGTTAMVNMWAITHDEKVWPEAHEYKPERFLGAQESDNFPIMGSDLRLAPFGAGRRVCPGKYMGIATVELWLAQLLGRFKWVSCGEVDLSETLKLSLEMKNSLVCKAIPRA >A05p051040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29691521:29691856:1 gene:A05p051040.1_BraROA transcript:A05p051040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKHLLIAFSFAIFFLISNVHCIDTIPGIGIKMSKICYDTVSCAGDGAQCRTFCTGFKATGVCISEACCCIFRNKILK >A05p053680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31053064:31054048:-1 gene:A05p053680.1_BraROA transcript:A05p053680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent aldehyde reductase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G04000) UniProtKB/Swiss-Prot;Acc:Q9SQR2] MAASSSVSSPALCLAGRVAIVTGSSRGIGRGIAIHLAELGARIVINYSTSSTEADKVAEAITTNCPNNDEAGEVTGKRPRVIVVKADISEPNQVKSLFDEAERAFGSPVHILVNSAAIADPNHSSISDTSEELFDRIFSVNTRGAFLCAREAANRVKRGGGGRIILLTSSLVQSLKPSFGSYTASKAAIEAMSKILAKELKGTQITVNCVSPGPVATEMFFAGLSNEMVEKVKAQNLFGRLGETKDIANIVGFLASDAGEWINGQVTIANGGSIL >SC252g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000102.1:7154:8150:1 gene:SC252g500010.1_BraROA transcript:SC252g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACYKVHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDIRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRMSVSTRRTSVAVRVCLCVRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVSVCPSAHAGRPSVHTGRPSAHKGRPWPSVSTQRTSVAVRQHTQDVRQYTEDVRARPSAHTGRPSAHVGRLCVSVCPSVHTGRPSAHTGRPS >A03p004420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1869692:1869964:1 gene:A03p004420.1_BraROA transcript:A03p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRREEMITEAEMEAAQHLIELSDEESSLDIIKKRKIEELFGKDDIYQDQCTKEMVIARVLSSNKKKKKFRTLESIYKATRPMRVVIR >A01g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23818780:23819952:1 gene:A01g508690.1_BraROA transcript:A01g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECIATRPQRFNENKTEEDPSNFDANLLNQQSNHIPQQFVWPDHEKPTDDVQPLQVPLIDLAGFLSGDSVLVSEATRLVSEASKKHGFFLVTNHGIDETLLSRAYLFMDSFFKAPACEKQKAQRTWGESSGYASSFVGRFSSKLPWKETLSFKFSPVEKCHSQTVKDFVSEKMGYGYEELGKVYQEYAEAMNILSLKIMELLGMSLGIVRRHFREFFEDNDSILRLNYYPQCKQPDLALGTGPHCDPTSLTILHQDQVGGLQVFVDNRWQSIPPNPKAVVVNIGDTFMALTNGRYKSCLHRAVVNSESERKSFAFFLCLKEIKC >A04g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10819213:10819797:-1 gene:A04g504980.1_BraROA transcript:A04g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNLVPALTLDYNRTHAITVGKRTRTSWGCVRQLSRDYQPLPSQLSICSGASVTKVNNGTQLNLLVMVNSQIHLSEMKPGRSKQVVFTRLLWFWGSRMLRKEES >A10g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5398903:5401986:1 gene:A10g502050.1_BraROA transcript:A10g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSGQSASCSRSYARFTEEWSVCLARGSCREEKAASIDAALCTSIDGDLRSYLHISTRAMKRGFLGPSRKKPAGQCTIRKSKGEVSIDSLQAASIDSIDKKSIWRDEEGRPCSLTGQLINAEGSVISDIIDVAETNTFNLTSQWEDIKEAFIGKIFSEAVATRSKRLDYMIKNREKGIMISMSQILDFVYSEENGDIGTPTTHVKQPNIQVHHADESKQKDKLNREKLVNHDTVEDDEYHVSGEQSKVEEADTKDPTAASIDSSNSESNDIRTSETIDTNICHRSIPSTIPGATTVSASRRSALQNTVLELHPAYISLVGQHSFHGFPHEDPTSHLETFVDLASTTHL >A09g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13085701:13086081:1 gene:A09g504190.1_BraROA transcript:A09g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRKDTCDLFSQFTTSSRYVWGESELSTLYLLFTGKLLRYRCDTRQVDDQERSRSSHQNVAVAYKLAGEDDHLDLLLELNNEAVKLLQQLNADPFLLYKSRLR >A06p032570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17429526:17430597:1 gene:A06p032570.1_BraROA transcript:A06p032570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYNMLANVMYNTSITSWHFRVKILRIHSFRSYVSGCGSNWSYILVDEAVSGTKMEMTVYSEIDHVYQGFNTTNSRFKLSATPDNKLHIIDPLKNQHYMEFKCIHDIPHIKNINYPLGTNANYKNIFQIIYIYNGSGLQHEVHFDDTAGPKMVFYIRDNIESEIKCVATGGHANAFQDGFENMRGRGEVILVLKMWRIRRYFDDDDKWLETKGRLSDFRFNPVCRRLRSSGNHYLTVTLMFGDMGAMRPL >A05p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3157744:3160697:1 gene:A05p007800.1_BraROA transcript:A05p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDIKKCRLTPKQMITATPPLSLSVDDVIEQVMPYITDSKDRDSASLVCRRWFKIDSETREHVTMALCYTSTPDRLSRRFPNLRSLKLKGKPRAAMFNLIPENWGGFVTPWVNEIAASLRRLKSVHFRRMIVSDLDLDVLAKSRLDELEALKLDKCSGFSTDGLLSIVKHCRRIKTLLMEESSFLEKDGQWLHELALHNTSLEVLNFYMTEFNKISPKDLETIARNCRRSLISVKVGDLEILELVGFFKAATNLEEFCGGSLNEDIGRPEKYMNLTFPPKLCRLGLSYLGANEMPILFPFAAQIRKLDLLYALLETEDHCTLIQKCPNLEVLETRNVIGDRGLEVLAQHCKKLKRLRIERGADEQGMEDEEGLVSQRGLIALAQGCQELEYMAVYVSDITNESLESIGTHLKNLCNFRLVLLDREERITDLPLDNGVRSLLIGCKKLRRFAFYLRQGGLTDVGLSYIGRYSPNVRWMLLGYVGETDEGLMEFSRGCPSLQKLEMRGCCFSERAIAAAVMRLPSLRYLWVQGYRASTRGQDLRLMSRPYWNIELIPSRRVPEVNQLGEVREMEHPAHILAYYSLAGERTDCPPTVKVLKEET >A03p008290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3346296:3348783:1 gene:A03p008290.1_BraROA transcript:A03p008290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-5 complex subunit mu [Source:Projected from Arabidopsis thaliana (AT2G20790) UniProtKB/Swiss-Prot;Acc:Q8W0Z6] MPSGCSIRALWILNNHDTVVFSRRFPVVEKRWRSAFNTENENTDPPRLPTDQQLAVAFARRKRREGSSRGCGLRVSQSTKGSDSWVDDPITRHIISLSLSEDDDNESNENDDTNILWPIVIHAKALYHILVLPLVEPREMKDFVNLSNRSDCGSAVGEDLSLSSLLLNISSITGAFMVAHSFGDIVSGDTAEPEVVVAASPSVGGLFDSLTGSIGISTRPRPVAAAPVAASSSQSSITGAAASDAPKGGLLDKDLLRNFIASAMPFGTPLDLSLSNIFAMKANGFSSAEPPHQDLKQPAWKPYLYKGKQRLLFTIHETINAAMYDRDEIPDSVSVAGQINCRAELEGLPDVSFPLAGLSKARIDSISFHPCAQVPAHGIDKQNIVFQPPLGNFVLMRYQAGCGLGPPVKGFYQLSMVSEDEGAFLFKVGLMEGYKSPLSMEFCTITMPFPRRRIVAFDGTPSAGTVVTTEHSVEWRVVGSGRSLSGKSLEATFPGTIKFSPLKSRRRGDGDDDEESDGEGTENVVNVEDVLVQKMNKDLPAVEMEEPFCWQAYDYAKVSFKIVGASVSRMSIDTKSVNIYPTTKSPVEYSAQVTSGDYILWNTLGKAPSAAAV >A02p049560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30707404:30709535:-1 gene:A02p049560.1_BraROA transcript:A02p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWVIGAFINIFGSVAINFGTNLLKLGHNERERLALQDSGGGKTTLKPIIHFQTWRVGILVFLLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYVVLNKMVTVKVLVATAFIVLGNVFLVAFGNHQSPVFTPEQLAEKYSNVTFLVYCGILIIIVAVNHFLYRKGEVLLISVPGQEISSYWKLLLPFSYAVVSGAIGSYSVLFAKSLSNLLRLAMSSSYQLHSWFTYSMLLLFLSTAGFWMTRLNEGLFLYDAILIVPMFQIAWTFFSICTGFIYFQEFQVFDALRTTMFILGMMCVFIGISLLAPDDTRGNETKDNTSSLDSIVSSEEDRLIPQSFEDGHSKDTRVAVQGMYMKAADLIAKTKAACLAALGFGEDSINASAILVMPMVSSKITGFRGNGLERAKILSMRGGSGWSKLAMQEEGTRMLEKTSHHHPSKA >A03p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10588763:10589765:-1 gene:A03p025170.1_BraROA transcript:A03p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQLDPFLNELTSMFEKSKDKGSVWVTLKRSSLKSKLQKRKLSSAGESIEYRCLIRATDAKKTISTSVGAKDHLRFQASYATILKAHMTALKKRERKDRKKSTEAEKKESTSTTTSKPTKKL >A04p017060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10477015:10479698:1 gene:A04p017060.1_BraROA transcript:A04p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G39830) UniProtKB/Swiss-Prot;Acc:Q9LU10] MQVIASCSLRPDAFTPSENDFVGRRQLLSSACSRISQGDVVSDRPASSVKIGRDWKSSLNELAMKSVPRRIWLTSVFMNLCCNPSRYLSALALGDPSVATVEDVIPPVVFPAGPLFPTEGRIVQLFEKNTYSVVNIFDVTLRPQLKMTGVVEIPEGNGSGVVWDEQGYIVTNYHVIGNALSRNPSPGDVVGRVNILASDGVQKNFEGKLVGADRAKDLAVLKVEAPETLLKPIRVGQSNSLKVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIYTQTGTSAGVGFAIPSSTVLKIVPQLIQFSKVLRAGINIELAPDQVANQLNVRNGALVLQVPGDSLAAKAGLRPTSRGFAGNIVLGDIIVAVDDKPVKSKAELMKVLDEYSVGDKVKLAIKRGSDDLELQISLEEKSS >A08g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18154018:18155817:-1 gene:A08g509260.1_BraROA transcript:A08g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKKKKKQSLPFGKASSRLPSLISGGFFRKSAPPSSGSSASVKAPVTVSPPVTVTPPVTVAPPVTVTPPSPTSKSELVSSKPDFSKTKVLATAQDFCVVEGSSSDTASPEESSLATDAPPVGPTPPVTVTPPSPTSKSELVSSKPDFSKTKVLATAQDFCVVEAPETERKENFEVAKIWIKVNLLGELPSRIVTGFSNGKEIEIAISYPWLPTKCSQCDKFGHDVDHCPRSVALLRNADSKKDSSSQRSTPRPRSRESKSKRRFTRDKTPPPCNDTTLQSSGPSNEDAPASSRAVETGKLNVLSSSQPPHEEITNPVTADQSAPDDVLLSETLIISDPAVEDVVPSPVFCLDRPMGYNASVIVFHDEKGSSAAQIQSDEDTSNNPFSLVLNRKCSRKATTN >A07p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15579080:15579353:-1 gene:A07p027250.1_BraROA transcript:A07p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVTPEISWKLQLYFIGLKREDHAPASAESLRKEVMEDELNTKEELTKKHTRFIQES >A03p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14434689:14436612:1 gene:A03p034160.1_BraROA transcript:A03p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESACLMQPFSYAAPQGDSLGALGQSVSFGRFMSERLDWEKWSSFPTQNRYVAEAERYSRPGSVAQKKAFFEAHYKKLAAARKAAAEEALLLRQQTSDELVPVQQEKDINGVGKKESDPPVLEIPRASLDADMKVAAEKESDPVLEIPRASLDAEMKVVARKVSRSGNRQSDEKENRGKDESKINGKGSTVKEEQQVEKTPMIPKKKKSKEAQPKSSTKPRVSKLNISERTPSKKPSNKSSSYNFTPAKEFNRLVSIIRKIDGSSRASSSKLQTKECKTPLRTPSSNNNKVSAKGIVEDSLFTTPLSSNRRSRKMEFPPCRKLEEKFKAMEAQKEKKEKEEERSVEKEESKLRQRLCFKAKPLPNFYKHRPKSTDQTKKALLR >A03p014460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5745258:5747879:1 gene:A03p014460.1_BraROA transcript:A03p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDDHHFVEWKEHFVSQERGNRVVHYFLKDSSGESILAVVGTERSVRHMFYVVSEDFVRAYGAENSIDSGFKWRSRREVVDWLTSMLSKQNTQGNWSKSPKCDSGESNGSPEFPGYGFAAQRAQATEEARFPINLRVHNWEIMWSGTSWMCGKQLKHYPSFCRNGITIGVQSFVFVMSKADDLYVAYLEDMYEDKRGLKKVRVRWFHHTKEVKGAVSLKNPHPKEVFITPHSQVISAECVDGPATVLTREHYEECIASFPNSLLARVHMCYRQLKKNKIKPFDLSKLRGYLDQPIMSCLSSMEADPVVCGMNKEEDEQWSEGENGAERSKKKQAHLLTRYESSCKRLKLDPSGKSFPSLVDAHKNPCYNGVIKPDAKIEFLCQDSGIRGCWFRCTVLEVSRKQVKLQYDDIEDEDGYGNLEEWVPALKSAMPDKLGMRSSNRPTIRPAPPDAKIADFDPTIGEAVDAWWNDGWWEGVVIATDKPNAEDLQIYIPGENLYLTVHRKDVRSSRDWVGDSWVDIDPKPEILSLISSDVSPEAKLFMSSTLANDAKAKPIAMADIVEVAKLRGDKLDLLGEQNKELKDDGAVKENDESRQEDKEIGSNQTNAYVNNEKTVQDHNEDDVTVNDEKVRKSESDFTLTDTTTTLIDIVCRQKKRSDSWFGLVDAERRVGKGCIEN >A09g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14488598:14489801:1 gene:A09g504800.1_BraROA transcript:A09g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIQCIYAHIHSIVLRRMSVIIHAWFAPDKNRGVILIFKGIIESIPEVYVLLNVMRILGLLVQGVVAAKAIRLNLSSYSSLSPCQTSVNQKQKTIVTFLTSCRKGKRRSLLTVKSVLNNTIPSFKDNGTAEEPSKILLDKLFARTHEHTNENLVYPPDEALSYSTLGGLGLTFKLR >A04p013890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5186975:5190252:1 gene:A04p013890.1_BraROA transcript:A04p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G36940) UniProtKB/Swiss-Prot;Acc:Q8GYB4] MGFMVDTQQEGGEHHQWSCIRNLVRRKQVDSSNGKAEHQLAKALTFPHLIAVGVGSTIGAGVYILVGTVAREHSGPALAFSFLIAGVSAALSAFCYAELSSRFPSAGSAYHYSYICIGEGVAWLIGWALILEYTIGGSTVARGISPNLAMIFGGEDCLPAILARHQIPGLDIVVDPCAAVLVFVVTGLLCIGVKESTFAQGIITTVNVFVMIFVIVAGSYLCFKTGWAGYQLPSGYFPYGVDGMLAGSATVFFAYIGFDSVASMAEEVKNPQRDLPLGIGLSLLLCCLLYMMVSVVIVGLVPYYAMDPDTPISSAFATHGIQWAAYLIALGAIMALSSTLMGSILPQPRILMAMARDGLLPSFFSDVNQRTQVPVKGTISTGFCAALLAFFMDVSQLAGMVSVGTLVAFTMVAVSVLILRYVPPDEVPLPSSLQERICSAPFLRGEENSSSHVGTSISSKQPLLFEPGDSTVEKKEASWGWVLSKENRRKFAGWSIMFICIGNFLISYAASSLLFPGLLRYSLCGVGGFLLLFGLIVLSCIDQDDARHSFGHSGGFICPLVPLLPILCILINMYLLVNLGAGTWARVSVWLFIGVLVYVFYGRRHSSLANAFYVTTAHADEIGRNSGYSLA >A07p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13910467:13911497:1 gene:A07p024140.1_BraROA transcript:A07p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKWLEALLRTNYFNICPRHCETPRNECNMFCLSCQNAAFCIYCRTSLHIDHPVLQIRRSSYHNVVRVSEIEKVLDIRGVQNYVINSARVLFLNERPQPKNSSHGPTSSTTKTISYFCETCCRTLLDPARFCSLGCKVEEMRKNKEDEEERLRKERQQETHKGTHPPTHTSNSRRRKGTPHRAPFAS >A01p048290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27199267:27201021:-1 gene:A01p048290.1_BraROA transcript:A01p048290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSFAVTRFSGLSSKTTLSPDFDHSPRRTSLPPNRVALKISSSSPSDSEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDASKVINVTPRYARLRTGSAKTDVIGWQNIELISDRPLETMLKEFKQLKQEYPDRILIASIMEEYSKTGWEELIDRVEQTGVDALEINFSCPHGMPERRMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITEPARVSLKSGCEGISAINTIMSVMGINLKTLHPEPCVEGYSTPGGYSYKAVRPIALAKVMNIAQMMKSEFGEKDCSLSGIGGVETGYDAAEFILLGSNTVQVCTGVMVHGYGHVKTLCADLQDFMRQHNFSTIEDFRGHSLQYFTTHTDLVRRQKEAIEQRKAERRGLKSDKDWTGDGFVKETESMVSN >A09p071240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55053981:55055738:1 gene:A09p071240.1_BraROA transcript:A09p071240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP4 [Source:Projected from Arabidopsis thaliana (AT2G22310) UniProtKB/TrEMBL;Acc:A0A178W1M0] LKSRTVCARCLIMGVAGSKLEKALAEQFPEGERYFGFENFGNTCYCNSVLQALYFCAPFREQLLEYYSTNNKSVENLLTCLADLFYQISSQKKKTGVIAPKRFVQRLKKQNVLFRSYMHQDAHEFLNYLLNELDDILEKEAKTDNETSSSPEPKLLYANGVHKEPTVTWVHKTFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLNNFCSTETLHSEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVCPLELKLENTVEEYADIEYSLFAVVVHVGTGPNHGHYVSLVKSHNHWLFFDDENVEMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGTR >A09g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14411357:14412129:-1 gene:A09g504760.1_BraROA transcript:A09g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRWDPGIGAGGWVVSIWQDHNLDTGYQGCYSDQSRIDLGFKKGICGVLWKVKIWFEWRWASIIIKTESQIYTGCMMDRDPLFSFLYLIEIYLHYHWMGSIGAWGLCFFWEERSNCINLQRYEMTEDFVWNGNMENWGRYNGMITAFLWCIILHYFSNSKGLNGNMDVLGVLWGVIYGNKGCWIICLLAGTLDFGAIMVVAKKNMEPQDERSMLEHRNCIKVLLFWSYMGIMVSMQREPFGN >A01p018720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9111770:9113278:1 gene:A01p018720.1_BraROA transcript:A01p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCISSLLVGLAQALCESMNMAERRAGHKTDLKQAISDLETATGELKAIRDDLNLRIQRDNLEGRSCTNRVREWLSAVQAAEVRTESILGRFMRREQRKRARRRCLSCLGCAEYKLSKKVLGTLKSINDLRQRSEDIETDGGSIQETSMEIPIKSVVGNTTMMERVWELLSKEEEERGIIGIYGPGGVGKTTLMQSINNELITKGHQYDVLIWVTMSREFGECTIQQAVGARLGLSWDEKETGEGRAFKIYRALKQRRFLLLLDDVWEEIDLDKTGVPRPDRENKCKVMFTTRSMALCSKMGAECKLRVDFLEKQYAWELFCGKLGRRDLLESPLIRRHAETIVTKCGGLPLALITLGGAMAHRETEEEWIHASEVLNRFPAEMKGMDYVFALLKFSYDNLESDLLRTCFLYCALFPEDHSIEIEQLVEYWVGEGFLISSHGVNTIYQGYFLVGDLKAACLLETGDEKTQVKMHNVVRSFALWMASEQGTYKELILVEPSMD >A03p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5842917:5844409:1 gene:A03p014770.1_BraROA transcript:A03p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNWIRGPIIGRGSTATVSLAITNSGEFFAVKSAEFSSSAVLQREQTILSSLNSPYVVKYIGFNTTTENNKLMYNLLMEYIPGGSIHDMIKNSGGELPEPEIRFYTRQILKGLMYLHGRGIVHCDLKSENVLIGEETAKIADFGCAKMAGNGSLEFSGTPAFMSPEVARGEEQGFPADVWALGCVVIEMTTGSSPWPELNDVVAAIYKIGFTGESPEIPEVLSEKGKEFLRKCLVRDPKERWSVEDLLRHPFLEEEDQSQTQFGSCLKNYSPSTVLDQGFWDSCETSRSQFIQADSSSLWESSATDRIKKLVGDENPGETTAEDGWIEVRGNGEIEKSNEDDNEDVDCVEATSLEEDEVGGFENWIWDQEDSLFLEYSSSENNIFYFYSNDLFHEDNIILYYEHLEDGSVHKDETFLDDNTKNHFFNHITMIIQARLPQKNIGDYVNTYDLSSLIDYSFVA >A01g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14084087:14085019:-1 gene:A01g504720.1_BraROA transcript:A01g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDSLLEKLKQEELYLSTNRQFPPPTRASPLSSSFVSELSLVKLVLNALQGVESSLISIHKLSYVLCSEPADRANPPKSELVASAFAIAMKKVLEGYISGLDTLCASAELRRSSNIVVL >A03p071650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31598421:31599071:-1 gene:A03p071650.1_BraROA transcript:A03p071650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCNLSLEVDSMSEIEGQIDNNRLKIHVHHDRKFLTGLYKELEEERSASVDAYIDAGKGGELAHEETMIIIGALETANGGRLIY >A01p057290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32435758:32436307:-1 gene:A01p057290.1_BraROA transcript:A01p057290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQEKETRVQNLFQDGCFYLSTKQHLASLLQRTLHLVSYQHQQQSWEKHSSQSITKKAKEGGKSFNELLSAN >A05p031080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16100297:16102794:1 gene:A05p031080.1_BraROA transcript:A05p031080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDGSWTHDTLFNGCGWTWKNSKELSMCQAFGTNCKDLISMIQDPQAWLNFSTELKELSRLKNRFSDFSIVFISCSGNVSFDSLTKIAKKFHMDLYYIGCHIIRKHGAFLDTCRLTKQNVSCSSYYCDLPHEIFKNFNRRSISVFCPSTDSIDLTTKPDLEDEGASRNEEFIEENNAEFVEQAMVEKMRDCVLSRGSWVKDDDEYPLYQPGSYDLNWRWKPDGCDLPWGSKIKAMWMLDVTTPSMESQMSVDFAKLFTNSSFYRRNHDFIKKLSIPPPELKYLYFRTKYSQLFFTQTKACFLKHVMLGLEQDMNNFFGAMYAAILFLGATNAATVELLSPLSVLFSTEKELLECGRGNHEQHGTTGVYVLILYSMIGYDWTVAKSMGSACPSSLVSGITSPVYSDQDRYVANNDMVEMIAGVGDMCLKTLLKYGFGFEHDFLPVVADVHIGYILLLFVFGYVFAYGIKFLNFQKNVKEK >A04g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21082801:21088086:-1 gene:A04g508180.1_BraROA transcript:A04g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECDGKEVAFKLSENCVLKIVKGDIIEWSVYGSSDAIVNPANERMLGGNGADGAIHDAAGPQLRAACYEVPEVLPGVRCPTGEARITPGFNLAASHVVHTVGPVYNAEKNPKKLLESAYRNSLRVAKENNIQYIAFTAISCGIFRYPLDEAASIAISTVKEFVGSVSLPKLNSSSGATLILAVKTFHTAALRLRSHWSSSSRVITVSSMASGAVFSLSDSSILKIVKGDITKWSVDASSDAIVNPANERMLGGGGADGAIHRAAGPQLRAACYEVSEARPGVRCPLGEARITPGFNLPASRVIHTVGPIYDSALNPKESLTNSYRNSLRVAKENNIKYIAFPAISCGIYGYPFDEAAVVGISTIKEFANDFKEVHFVLFAEDIYSVWVPALGNDEKNIRTAAAAHDEKKNLSRSRISTKMTFKRRNGGRNKHNRGHVKPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASLYAKTQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFVRRKEDAPKPGQPGQAPRPAGAGAAAPRA >A03p042560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17800176:17801607:-1 gene:A03p042560.1_BraROA transcript:A03p042560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETTTTQSYSEQWYWDERYTNESDPFDWYQNYASLAPLINLYVPHRPHPVLVIGCGNSAFSEGMVDDGYEDVVSIDISSVVIDAMVKKYSDRPQLKYLKMDVRDMKAFGDASFDAVIDKGTLDSILCGSNSRQHSTQMLEEVWRVLKDKGVYILITYGAPDYRLRLFKDSCSWTTKLHVIDKSSLETSKWELTKPIPLDTEGSSVESAIGKSPDVHYIYVCIKDESLKREADSA >A09p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6069965:6079953:-1 gene:A09p012040.1_BraROA transcript:A09p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLLTVQNLTLLIISLYISKSHLLLNISSALMALSIFFFSLFLFLFSNSPADAESFIGVNYGLLADNLPSPSDAAKLLQSTSIQKVRLYGADPTIIKSLAGTGVGIVIGVANGDLPSLASDLNVASQWIGTNVLPFYPASDIILINVGNEILLSNDLNLVSQLLPAMQNVQKALEAVSLGGKIKVSTVHAMTVLGQSDPPSAGSFAPVYQAGLKGILQFLSETGSPFVINPYPFFAYLGDQRPETLSFCLFQPNPGRVDSNTGIKYMNMFDAQVDAVHSALKSMGFENVEVVVAETGWPTSGDSNVVGPSVENAKIYNGNLIAHLRSMVGTPLRPGKPIDTYIFALFDENLKPGPSFERSFGLFKPDLTMAYDIGLTKTSSSGSQTPQSPPLGKSATSVGWCVPKEDATEEQLQASLDWVCGQGIDCGPITPGGVCFEPNNVMSHTAYAMNLYFQKSPENPTDCDFSQTARITSNNPSYSNCVYPRAGWSGDESLGGAMNKYVTSDKAIEKNGSECSSSFLSLPLFMIIFFFVLPTEEEREMGWFVKERRGGAWKRGWLEETLFSSSAPPLTLLTLFAIISLLLFLSSYPRYSSSIEFSSDPLTTPEQIRLRPFLEKATFPG >A04p007660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8724678:8727168:-1 gene:A04p007660.1_BraROA transcript:A04p007660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQINGSVHQNGKTEAMLLCGGLEKTKVTVAADPLNWGAAAEQMKGSHLDEVKRMVEDYRKPVVNLGGETLTIGQVAAISNVGGGVKVELAEASRAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKNGTALQTELIRFLNAGIFGNTKETCHTLPESATRAAMLVRVNTLLQGYSGIRFEILEAITSLLNHNISPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPSGESLTAEEAFKKAGITSGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVQSVLAEVLSAIFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAVAAIGKLMFAQFSELVNDFYNNGLPSNLTASNNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVAICQAVDLRHLEENLRQTVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLKVVDREQVFTYVDDPCSATYPLMQKLRQVIVDHALSSGETEKNAVTSIFQKIGAFEEELKMVLPKEVDATREAYGNGTAAIPNRIKECRSYPLYKFVREELGTKLLTGEKVVSPGEEFDKVFTAMCEGKIIDPLMDCLKEWNGAPIPIC >A04p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21995499:21998685:-1 gene:A04p038680.1_BraROA transcript:A04p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDPSGSPLISFLSLHQTYSSEHEIGVVMCSGGEGKQWSCGKAGVVSLQKVGSLVRDLSEPCLSQSHIQVVIITIGKMLKPEKWQATFDSEGRGIDPSIRAEVWEFLLGCYSLSSTSEHRDQLRAARRKRYNDLLKQCQTMHSSVGTGSLAYVVGSKVMDMRKSYRDEAVKVATTDEEACLDDHDNANTESHQSDWSNNNGGADTSHVHRRGSSSESVDLASGRESPESVVYNTSSFVSASSPYGFPSPDGYFDFPSLPVTDLFGRNSLDQIEVSTPDKDASLHRELRSGDLVREQQRSTSEIEELHPDSVGGLRISDVASVKETPSRVGNVTEEGVSEWLWTLHRIVVDVVRTDGHLEFYEDPGNLGRMSDILAVYAWVDPATGYCQGMSDLVSPFVVIFEDNADAFWCFEMLIRRTRANFQMEGPTGVMDQLQSLLHFAFRMLFVLFRRELSFNEALRMWEMMWAADYDETVAETLENDCLEPLVIHLPRKSKSEVSEETIYDRIKREPSTSKSGPISKSSGLLSMSGLLPKSGPLPKTTGPLSEESGMKSSSSSSSSAYHFCGLTRSLWSRNDRTTTTHAPCVVSSIRKGDDALPVFCVAAILIMNRHKIMKETRSIDDMIQIFNDKVLVFSVRRCIRTAIKLRRRYMYKVIKTKSHTNQVQIEHQTHMKSKKLEETQSQRQTQSLHHSSATQNGD >A09p074360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56417210:56418912:-1 gene:A09p074360.1_BraROA transcript:A09p074360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SRG1 [Source:Projected from Arabidopsis thaliana (AT1G17020) UniProtKB/Swiss-Prot;Acc:Q39224] MESKGAAQWSSIIVPSVQEMVKEKMVTTVPPRYVRPDQDKTEVTDGSGLNTEIPIIDMKRLCSSTTMGSEVEKLDFACKEWGFFQLVNHGIDPSFLDKIKLEIQGFFNLPMEEKKKFWQQPNEIEGFGQAFVVSEDQKLDWADMFYHIVQPIEERKPHLFPKLPLPFRDTLETYSTEVQSIAKILIAKMARVLDVKPEEMLKLFDDVDSVQMMRMNYYPPCPQPDKVIGLSPHSDPNGLTILLQVNEVEGLQIKKDGKWVPVKPLPNSFIVNIGDVIEIITNGTYRSIEHRGVVNSEKERLSIATFHNPGMYKEVGPAQSLVERQKVRKFKSLTMKEYMDGVFSRTLDGKAYLDALKI >SC122g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:189785:191827:-1 gene:SC122g500160.1_BraROA transcript:SC122g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGNEFLFSLPAKFIKDREARAHASEGTSGAGSSSRGAEGEGREGDGKALVTYSGAPNTRGNDQDFIRRSEMDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A09g519010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58194678:58197112:1 gene:A09g519010.1_BraROA transcript:A09g519010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSITMIMLMAVLVWSITLETCIARRGRHWRHNHRSSSDLSDSLSSKKPKSHSHHHSSHNNNHNHHHKSKPKPKPKLKTPPKTDHTKSPVVSPPPKVQPPSLPPPKGSKVFNVMDFGAKGDGKCDDTKAFEAAWAAACKVEASMMIIPPEYTFLVGPISFSGPYCQANIVFQLDGTIIAPTDSKSWGKGLMWWIDFTKLKGIKVQGKGVIDGRGSGWWQQDYPFIDGETKLIVPLNNSVPMPIRNEFDWKMPSIKPTVRKEFWDCEVVISLALRFYGSVGVEVSGITIQNSPQCHLKFDNCVDVLVHDMAVSSPGDSPNTDGIHLQNTRDVLIHSSTLACGDDCISIQTGCSNVYVHNINCGPGHGISIGSLGKDSTKACVSNITVRDVAMHNTMTGVRIKTWQGGVGSVKGILFSNIQLNEVQLPIVIDQFYCDHTTCKNQTSAVAVEGVTYERIKGTYTVKPVHFACSDDFPCVDVQLSGIELKPVQERYHMYDPFCWQTFGELNSPTLPPIDCLQIGKPARNRVQSDHDVC >A10p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15271912:15273001:1 gene:A10p023400.1_BraROA transcript:A10p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLDMSLDDMIAKNRKSRGSGPARGSGSGSGPIRRNNPNRKSNRSAPYQSAKAPESTWEHDMYSDGSQAFPSRSSAGIETGTKLYISNLDYGVMNDDIKELFAEVGELKRYTVHFDRSGRSKGTAEVVYSRRGDAIAAVKKYNDVQLDGKPMKIEIVGNSNLQSASAPSGRHGNGNFNGASRRGGQGRGGPQRRGGGGGGQGGRGRGRRSGKGPTEKVSAEDLDADLDKYHAGDMETN >A10p024240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15706961:15711158:-1 gene:A10p024240.1_BraROA transcript:A10p024240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSINLLHYHYLPSGHNQSSSRFCLTAPSSYRWSSPEDDCSILAMPTTTLPRSISPFNVPHSVRTKKPNGQQKKEEIEKEVWMLREMLDEEEKTREILERVQKHEHPSSSSVTLPASLPPKMKELITELSLVEGEISRPEIQISHLQINLKQEQDETLRQATTSSSRRAWQANESYNNDDITSHQTPELPKYPNFPPPSPIVNKGMMKNENNNSRSPTSHHQENATFETRTLHFINKAIKGDYTTQSFHKSNEKVGLVEKENHRSKLQENTNTKKVIRTMKSPSPLREPRYSSPKPNKDRVALDTSLDLPPKSLSSTILMEDGQNIQKWHPNKLAEDIMKCLNFIYVRLLRTTRVMELEKSGPVSRSAHFSLSSKSFRVDNTASGLSKSVNLVSYKESRQQDPYGIFDVEASLARDIGPYKNLVIFTSSSMDSKCISSSSSVSLIRKLRVLMNNLETVDLRVLSHQQKLAFWINMFNACIMHGYLQHGVPKTTEKLQSLVYNKATINVGGKNISANTIEHFILRKPANSTMSKDREERIIRKLYRVETTEPNIIFALSCGTRSSPAVRIYTGDGVATELEKSKMEYLQASVVVTEAKRVILSELLVKHAADFVDARADNSCGEMGSLVKWVCNQLPTSGSLRKSMVDCLKNQNSKASSSSLVVEKIHYDFEFQYLLAI >A09p066830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52875968:52877970:1 gene:A09p066830.1_BraROA transcript:A09p066830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWRRLLKTETIPRIGQSTRKLFSTDGSSSFAERLRNLPKEFPATQAKRDASLLIGRTPLVFLNRVTEGCGAYIAAKQEHFQPTCSVKDRPALAMVADAEKKNLITPGKTTLIEPTSGNMGISMAFMAALKGYKIIMTMPSYTSLERRVTMRSFGAELVLTDPTKGMGGTVKKAYDLLESTPDAHMLQQFANPANTQIHYDTTGPEIWEDTLGNVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPAESNILNGGKPGPHAITGNGVGFKPDILDMDVMESVLEVSSEDAIKMARELALKEGLMVGISSGANTVAAIRLAKMPENKGKLIVTIHASFGERYLSSILFDELRKEAEAMKPVSVD >A10p025440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16335348:16336434:-1 gene:A10p025440.1_BraROA transcript:A10p025440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLPGLLRLLRLSELRLPQSDLAAVPQFDGRRRRLRMDTFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTALLGITAITMADTIAGEETVHKLASLLLVFLGGSYILLFLAGKGGHNHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSKPMMILAIIVLLISTILVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGVLTLLFHDHDHHHGHEAHQLHRKIITL >A03p020280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8313421:8314032:1 gene:A03p020280.1_BraROA transcript:A03p020280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSSESHLDDYRRRPQAKATARAVNNIKNIKKKRVKEDLDDDEKINVSKHQSFRGVRMRQWGKWVSEIREPKKKSRIWLGTFPTAEMAARAHDVAALAIKGDSAHLNFPELAYHLPRPASADPKDIQAAAAEAAASVVIDMDVETSSPSTGATELSPPAVASTADDAFSDLPDLFLDVNHKNDGFWESFPYEEPFFSGSY >A09g517070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50199639:50204767:-1 gene:A09g517070.1_BraROA transcript:A09g517070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISGLSDELLVKILVLVPTKVAVSTSILSKRWECLWMWLPKLKYGHMYCSESEWEKLQCFLDRNLPLHRAPVIESFRLELFNTRLKPENIKMWLVLALSHSLRELEIVYVSYPNRLNILPSNLFTCKSLVILKLGGRILLDVTRLVSLPSLKTLRLLKVKYFKDETLQRLLSNCPILEDLMVDLHERDTTTTKFTALVPSLQRLTLRIPDNPHIDGFMIETPALKYFKLSGNIRNYHYCSIENMPNLIEAHLDVEFPDIMSLIGSITSVKRLTLCSKTLLAEGFVLNQLEHLEVCTCPMIFSNQLVRLLKASPKLKRLDISVVNHHKSIGMDEWNQPSTVPECLLSSLQSLSWSGEYTGEPQERDIVVYILKHALHLKTATIKSYESAVPKFEMLKELSLSSRASAACRLINEICSAWVSTAVSHCLRELDITRGSDPPAKSNILPSNLFTCKSLVVLKLVGGILLDVPPMVSLPSLKTLKLQSVRYFNEETLQRLLSSCPILEDLVLGLWEGYTTRKLTVVVPSLLSFSLYLSHCIQIDGYVIETPSLKYFKLIDYSESDHYYLIENMPHLVEAYLDVNLPDLTSIIGSITSVKRLKICLEAMMLDEGFVFNQLEHLEVCVCKEHSPKQLVRLLNASPYLQGLRLFFIKYGHYLQGDMDGWSQPTTVPKCMMSSLQTLNWSSYTGEPQERDIAVYILKHALHLKSATIKSSELEVPRSEINNGGTL >A03p044780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18702956:18703340:1 gene:A03p044780.1_BraROA transcript:A03p044780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLIVIQGFVKVSFLFDHALGERKEDDVSYIFYQHVVDLCLCSEKDAEMCYFLAVQTWGFCCDLVGYCSKKSAGVPGVLAVVQDTALRHLITVHNRYNLQVPLN >A08p033700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20172564:20175650:-1 gene:A08p033700.1_BraROA transcript:A08p033700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLLFLLFPTVFSLNQEGLILQQVKLSLDDPDSSLSTWNSQDASPCRWSGVSCDNKNSSSSSSVTSVDLSNANLAGPFPSAICRLPNLSHLSFSNNSITSELPLDVGACKSLKTLDLSQCLFTGEIPHTLADLPSLTSLDLSGNNFSGDIPASFGKFENLEALSLISNLLDGTIPPLLGNVTSLKMLNLSYNPFAPGRIPPELGNLTNLQVLWLTECNLIGEIPDSLGRLSKLVNLDLALNNLVGPIPRSLGGLASVIQIELYNNSLTGGIPVELGNLKSLRLLDASMNRLTGSIPDELCRLPLESLILYENDLEGELPKSIALSPNLYDLRIFGNRLTGALPSDLGANSPLNRIDVSENEFSGELPAGLCAKGELEELLVINNSLSGVLPEGLGDCKSLTRVRLAYNRFTGRVPAGFWGLPHVSLLELINNSFSGEISKTIGGASNLSMLVLTNNEFTGSLPEEIGSLGKLNQLSASGNKLSGSLPDSLISLIELGTLDLHGNRFTGELSPKIKSWKKLNELNLADNEFSGRIPDEIGSLSVLNYLDLSGNLFSGEIPVSLQSLKLNQLNLSNNRLTGDVPDSLAKEMYKNSFLGNPGLCGDIKGLCGSEDEAKSKGYAWLLRSIFVLAVIVFVAGLAWFYLKYMTFKKARAVERSKWTLMSFHKLGFSEHEILESLDEENVVGAGASGKVYKVVLTNGETVAVKRIWTGSVKETEDNTDPEKGERPGSVQDEAFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGTLGWETRFKIILDAAEGLSYLHHDCVPAIVHRDVKSNNILIDGDYGAKVADFGVAKVVDLTGKAPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILEIVTRKRPVDPELGEKDLVRWVCSTLDQNGVEHVIDPKLDSCYKEEISKILNVGLLCTSPLPINRPSMRRVVKMLQEIGGGDDESLNKTRSGKLTPYYYEETSDQGSVA >A02g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25424854:25425365:1 gene:A02g509570.1_BraROA transcript:A02g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLSHYSSPPRSPNEVPVTCIIQSRVVSRTKSESDALNDKVRQLLKSLHRSAITTLNFDF >A09g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11099663:11103342:-1 gene:A09g503530.1_BraROA transcript:A09g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFILISPIPLQAYCFSNLSYLSPRLSHLSHLSPICLPPRLLLVSPPPRSHSHHHHVGEARFMVAGGGEVYGGLRTEVTWRLEEARLMAVGRWARLWRRRLQSFFLFFLGLDLVQCNPGWKVLLTSRNEAVTTGILQKDLTCFKVDCLTPQESWTLFRRIAFPKENTNDVNVDVEMEEAGKEIIKHCGGLPLALKVLGGSYEIHIAYAELPIYLKHCFLYLAHFPEDYEINVEILSYYWAAEGIPRPRYYNGASIRDVADGFIEELVERNMVISERDKTSRFTTCRLHDMLREVCLRSGEEENFLQIVDASTAASNENSNSRRLIVHSSDNTCHLDGYLQNPSLRSLLFIQKISSLNWTASGLSFRRLQLMRVLDLSRAEFKGGKLPSSIGKLIHLRYLKNFSTKHTSVDDLQRMTELNTLSILFHCDGCTVETLSTYLGELRHLKNLTIIDKSASDARGFVLDCILLKQLKLSIHMPNLPDEQHFPSRLTTICLRHCLVEEDPMPILEKLLHLKNVELWDQSFAGRRMLCSGGGFTKLHQLELSGLKELEEWIVEEGSMPVLHTLSISHCDNLKELPDGLRFITSLMELSCGYMGSKWEERLTDGGTDYFKVQHIPLLIFNWA >A07p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2220463:2221681:1 gene:A07p005350.1_BraROA transcript:A07p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSFDLVCSLKTLPHHTTHWVQVLYIGTVRDMSKEIQLPGFRFHPTEEELLDFYLKNIVYGKRCKVEVIGFLNIYGHDPWDLPRLSTIGEREWYFFVPRERKHGDGGRPSRITEKGYWKATGSDRKIISSSEPKRMIGLKKTLVFYTGRAPGGTKTDWVMNEFRMPDNLTLPKSVVLCKIYRKATSLKVLEQRAEMETKMNQTRPSSPLSPSETNCLAGKEEDVMMTSFPFPQAEAMKQPNHILMFQEHNENAKNKEKQREAERKQPSSSLKLPSGVLPIPELQLPKQGFELGQDQFLSISPWLQNLTPIINLLYF >A03p064840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28252613:28256926:1 gene:A03p064840.1_BraROA transcript:A03p064840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEASHVNGSQLGSFARFVVGVILTSEATLVNGTSPRVFILYRQAFATIFIFPFILFTRGRSKLSNLDLRSFSLIFMASLVGITLYQNLYFEGIYLASSSMGSAMGNIIPAFTFLISFLAGYEKVNFRNTRGLAKILGTVICVVGAVSMTLIRGPKILNSEFSLPIAKSLLGDIKDQNLWLFGCLLVFVSTLCWSFSLIIQVPISAYYPDHLSLSAWMCLFSTIQCAIVTFFLEKDPNAWILHSYSELATCLYAGVVTSALSTTVQAWVISKRGPLFSAMFNPLCTVIVTILASMFLQEEMFTGGLIGGLFVIMGLYMVLWGKAKDVDVMIIQEQIDNNKNSEVKIQIEDSSDTEKCNNDLKKPLLS >A03p005010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2090246:2092675:1 gene:A03p005010.1_BraROA transcript:A03p005010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNHFNHFTDQQHQPPLPPPPQQQEHHFHESTPPNWLLRSDNNFLNLHTPASAAATSSDSPSSAAANQWLSRSSSFLQRGGGGGGANNNNVGSGDAMENVAGGEESMIGENARHKAEILSHPLCEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSTLDAASQGLISGDDKELDHFMSHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSFTGVSPGEGTGATMSEDEDEQVESDAHLFDGSLDGLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTASKNKRRSNAGENSGRER >A03p027480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11505583:11509976:1 gene:A03p027480.1_BraROA transcript:A03p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRKIEIVSCLLLQIVVAATAANVTYDHRALVIDGKRKILISGSIHYPRSTPEMWPDLIQKSKDGGLDVIETYVFWNGHEPHKNKYNFEGRYDLVKFVKLVAKAGLYVHLRIGPYACAEWNYGGFPVWLHFIPGIKFRTDNEPFKAEMQRFTAKIVDLMKQEKLYASQGGPIILSQIENEYGNVDSSYGSAGKSYMKWSASMALSLDTGVPWNMCQQGDAPDPIINTCNGFYCDQFTPNSNNKPKMWTENWSGWFLGFGDPTPYRPVEDLAFAVAIFYQRSGTFQNYYMYHGGTNFERTSGGPLISTSYDYDAPIDEYGLVRQPKWGHLRDLHKAIKLCEDALLATDPTVTSLGSNLVASVYKTSSGSCAAFLANIGTESDATVTFNGNSYHLPAWSISILPDCKNVAFNTAKINAATESTTFSSQSLKPNADSSEELGSQWSYIKEPIGISKADAFVKPGLLEQINTTADESDYLWYSLRMDIKGDETFLDEGSKAVLHVQSIGQVVYAFINGKLAGSGNGKQKISLDIPINLVTGKNTIDLLSVTVGLANYGAFFDLFGAGITGPVTLKSAKSGSSIDLSSQQWTYQVGLKGEDTNLGSGDSSEWVSKSPLPTMQPLVWYKTTFDAPSGSDPVAIDFTGTGKGIAWVNGQSIGRYWPTSIARNNGCTDSCNYRGSYSSNKCLKNCGKPSQTLYHVPRSWIKPSGNTLVLLEEMGGDPTKISFATKQTGSSLCLTVSQSHPAPVDSWASDSKILNRTSPVLSLKCPVSTHVITSINFASFGTPTGTCGSFSHGRCNSPRSLSIVRKACVGSRSCKVEVSTRVFGDPCRSLAKSLAVEASCS >A03p017470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7058866:7061468:1 gene:A03p017470.1_BraROA transcript:A03p017470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTESHPLRFRHVVAMPWPGRGHINPMMNLCKRLVLRDPNLTVTFVVTEEWLGLIGSDPIPDRIHFATLPNLIPSELVRANDFIGFVNAVHATLEDPFEQLLDRLDSPPPTAIIADTYVLWAVRVGERRNIPVASLWTMSATILSLFLHSDLLISHGHALFQPSESKEEEIVDYIPGLPPTKLRDLPPLFKDYQVFKKCELCFDELRRANCLLFTTANDLEPTAIDFLTAKLDIPVYATGPLIPFEKLSDGSEPDYIRWLDEQPESSVLYVSQGSFLSVSEAQMDEIVAGVKESGVRFLWVARGGESKLNKAFEGSSGVVVSWCDQLRVLCHVAVGGFWSHCGFNSTLEGMYSGVPMLAFPLFWDQILNGKMIVEDWRVGIRIERTKKAEVLIGRDEVEEVVKRLMDRESEDGKEMRRRACDLSEICRGAVEEKKETETCKKGNWKLSTSFGRKTLYHDLLLAENNRRDKIVKAYYIRAWRWGKQPSPVTVSDNINAKIALQLENFVRRNVSKTQSIHVPAAAQLMPNKTIIA >A07p021710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12718560:12719267:-1 gene:A07p021710.1_BraROA transcript:A07p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSCRLLRLIDYIRSFFCCAVQVIPAMMWGGTSSIGSGFPDYLVRNCGAIAQLHAIFE >A03p049580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21243154:21244796:-1 gene:A03p049580.1_BraROA transcript:A03p049580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFNKFQKAVGVLAKSSTFAKNPRQLQFEADINKLFMYTSFNRLGREAVETDAEEIIEMAGKTSLSEQQKQVQDNIHYQVENFCSLMDAILCPDVSKKEPGSQPATPPPRQSGLTFAVGGSNHASPAADKPFVPETKPLKLAQVSQRLMEQLGYTLEIKPSVIPHKDAGQGCFIKGEADAGTVLAFYPGVIYSPAFYRYIPGYPKVDSQNSYLITRYDGTVINAQPWGLGGDSREVWTGSYTPAVKTDTKTSENGSDRLWKALSKPLQGSGKAKEVLERRNPLAFGHLANHPGKETNPNVMICPYDFPLVEKDLRPYVPNVSFGDSGEVKMKRFGSFWFKTGGSNGLEAPILKTLVLVATRALRDEELLLNYRLSNSKRRPDWYTPVNEEEDRRRWS >A03g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28154100:28157999:1 gene:A03g508020.1_BraROA transcript:A03g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSFILTGERSEVMYGVQCPQCEGMAHQFPKRILQEGAETQMDKINNTCRRTLLKAVKVALKDENWKGSGKVSYQDITSLESHFDKLFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDLGAESRKKQLLCQRAAEHNSGVSSEMKTFIEGLFTASFNSFKEVVQKDIHERFDNVANEVSQLKEQVSQLKGLSETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGKGKGKASANVDPPPVRRSPRPVREPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLNKDFLAAMVSK >A09g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27598863:27603147:1 gene:A09g509380.1_BraROA transcript:A09g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNSLLSPTRRRCLFESSAAISVHEQFTERASVLICVLTWCISCPKSVHEQSMGRTSMLICVVSVLIY >A03p070850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31170734:31171452:1 gene:A03p070850.1_BraROA transcript:A03p070850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFVTFANAETVRTILARGNPHFICESRVLVKPYKEKGKILQNKWQQQQLQQLLDLYECHLGPKMFSRNTDEMMRRKADLQHAIEVELQRRRFLALQLPERENELSFSIGSPSHLPPRFNHSLLFNSESSMEETTEGDSDRGESHLHLVPNNNNERGYSSEF >A01g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21662284:21665221:-1 gene:A01g507610.1_BraROA transcript:A01g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD1-29 [Source:Projected from Arabidopsis thaliana (AT1G61380) UniProtKB/Swiss-Prot;Acc:O64782] MRKMSMVLFACSLLLIIFPSCGYAAINTSSPLSIGQTLSSPGGFYELGFFSPNNTRNLYVGIWFKEIVPRVVVWVANRDKPVTNSAANLTISSSNGSLILLDGKQDVIWSTGEAFTSTKCHAELQDTGNLVVIDDVSRKTLWQSFENLGDTMVPQSSLMYDLSLGKKRVLTSWKSNRDPSPGGFSLEITPQVPLQGLIRRGSAPYWRTGPWAKTRFTGFPQFDESYVSPFSVVQDLAAGAGSFSYSTLRNFNLSYINLTPEGEMKIYWDQGKRWMHHVTEPEHSCDLYGACGPFGLCVRSSTPKCICVKGFVPKSDEEWRKRNWTSGCVRRKELSCQANSQGKDTDVFYHMNNVKTPDMHQFVSFLDAEMCYQGCLGNCSCTAFAYISGIGCLVWNGKLVDTVQFLSNGETLSLRLARSELAGSSRTKIIVGAITSLSIVAILIFTAFMFWRYRGKQNDAWKDEFEPQDISGVNFFQMHTIRTATDNFSSSNKLGQGGFGPVYKGILLDGKEIAVKRLSSSSGQGTEEFMNEITLISKLQHRNLVRLLGYCIEREEKLLIYEFMVNKSLDIFLFDPTLKLEIDWPKRFNIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEEMNPKISDFGLARMFQGTQFQYSTQRVAGTLGYMSPEYAWAGLFSEKSDIYSFGVLMLEIISGEKISRFTFGDERKGLVAYAWESWCESGGFTFLDRDLNHSCKAFEIARCVQVGLLCVQHEAADRPNTLQVMSMITSTTDLPIPKQPIFAVQTQNVETSNDGSMSKDLFSVNDLTHSVIQGR >A03p012400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4934455:4934948:1 gene:A03p012400.1_BraROA transcript:A03p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRFLTCLVTVCIVASVGAPISCGTVVQSMSPCITYLSGRMDLTAACCGGVRDLNAIAQTTPDRQQTCKCLQAVAKKIPGFNQTRASDLPGKCRVSFPFPISISTNCDNVHHEDEEYMVYVQ >A01p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:186319:187594:1 gene:A01p000500.1_BraROA transcript:A01p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSKTDQKAALDIASWLFNVVTSVGIILVNKALMATYGFSFATTLTGLHFGTTTLLTTFLTWLGYIQPSQLPWPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDKVRYSRDTKLSILLVLAGVAVCTVTDVSVNLNGFLAAAIAVWSTALQQYYVHHLQRKYSLGSFNLLAHTAPVQAASLLLVGPFLDFWLTNQRVDAFNFSFVSLFFLILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVLGFTFFGKEGLNMQVVLGMLIAILGMIWYGNASSKPGGKERRSLSIPITKAHKLSSLSETAESDEKV >A03g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28108940:28110604:-1 gene:A03g507990.1_BraROA transcript:A03g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSFWLRHVINSVKESATPVLPFKTSRLISKLTRSPFGVSAVPRKIFVLPHPLIKHWIFVLRNDQTHSPIFRFVQIQPLLGSAIEFKNKYSGTCFRRTSAGCLGTRYNRWEAYQNQLGLCPSAQPEADYQPLSSQLSICSGASVTKVNNGTQLNLLAMVNAQIHLSEMKPGRSKQNVKKGGKLIGLTWCMLQKSVKASFSPLYATLTSLFISSSHTRTFKLFINAKKQNIIIKQKNINL >A09g515600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46045937:46047800:-1 gene:A09g515600.1_BraROA transcript:A09g515600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIGTPPGALTTSILPLPVRSCFFNLSAPAHRSGAYESPFLPRKPEPPNQDTTQAQTELCGIDFDKTLVKLNELSSTLLHNSSTLTSMKEAVAGGLIRRHPAERSAKAYIFCGTAVSLCSSATPRSDERHLRSASSPSSLIAATKLPRSGGANKMKFSAHILTS >A07p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6157467:6158257:1 gene:A07p009880.1_BraROA transcript:A07p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLPCFIKAWKLSCLKTCLTAVHILVMKINRRVMWNVRRVCGSSRLCPYPSHHGPARPDHIQVNIPQTRWTCESYQATIRDPSFGGLVSHIKHQLKSGILEAFRNLRATLQSTSSPSGLGSCLDQTRSRRTPGRSHLLFRVRPSPYCRAYIKPG >A09p020400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10789277:10789785:1 gene:A09p020400.1_BraROA transcript:A09p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFEKQLGHKKHHHYISTHDGAVSAYRKSSKRAIFLDYDGTLVPETSIVKDPSAEVMSALKTMCTDPNNTIFVVSGRGSKQSSCHQEMLEEGNGPDFVACIGDDRLD >A09g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11370736:11373410:1 gene:A09g503650.1_BraROA transcript:A09g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCLLDPQRLVLLSLLVTAIRILTRWMTLITTTHNRKRHVMLDAKCEHREKTKKTLRKDSAGCSQQADTMDDAKKKVADTNDMYTNTVATADANINIERSHEVETAAIIFDFNDQDPSELDMV >A04p026560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15993225:15994000:1 gene:A04p026560.1_BraROA transcript:A04p026560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRQILEQPQSPFIQRIKSSGTISINGSPMNDDKEEEFSRSALALFRAKEDEIERRKMEVKDRVQKKLGLAEEATRRLAVIREELEALTDPMRKEISAIRKRVDAIHRELKPLGQSCQKKEKEFKEALEAYNEKNKEKAMFVNKLVELVTESEKLRMTKLEELSKSIDISLH >A02g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1584361:1585365:1 gene:A02g500530.1_BraROA transcript:A02g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKILDRYGKQHADDLKALDLQSKAPKYGSHHELLELVESKLVESNSDTELMLKLVDSLKEKEKLLKEENQGLASQVTKLHSSYMQKLTSTFTHTLILADITCTIDIILKVL >A07g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11146598:11148202:1 gene:A07g505170.1_BraROA transcript:A07g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FASVVIQLTKKDDSVYPGTDHPFRKSIVTDVPREKSYHLKPRLWGKIAVVNCKYEVISIKIKKEVSMRPAYPSSKKGKDYDNLEAEVKKNRRMISLKETLLLHNQQVESIRIVLSIDWKDVGAKKIESTLHDDLALKTWEI >A06p036270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19688972:19690131:1 gene:A06p036270.1_BraROA transcript:A06p036270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYARTEFQASLDSEQEGSTVSESGSCDSYEQPRPSFADEHGLMELLEGDKAYDLIYRNCKSGLGDQCQLLSILRNGFRTIGSRAKLKTFQVFQEAVEMKHVGEGGGGGGSRVKYGWCAVTKTELKSILEYGFSQPSNDGSYGRGLYLSPDNALLEWAQTNLFLKSVKGSAAESEDGMRFLLLSRVILGKSEVVPRGSTQSCPSSPEFDSGVDDLSSPKKYIVWSTHMNTHVLPEFLVCLKTPFNFNSPRRLRSPWMPFPLLIKALSKFLPPSQIFIIQKHYRDQQNMRISRSELIQRVRRITGDKLLVHIIKAVGNKVQQQ >A05p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5603714:5606652:1 gene:A05p012840.1_BraROA transcript:A05p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYNNDSCKLVSTLMLLVGLCVFAAASDGKTSPVEDGLVVNGDFETPPSNGFPDDAMVQDSTEIPSWRSDGTVELIKSGQKQGGMILIVPEGRHAVRLGNDAEISQELAVEKGFIYSVTFSAARTCAQLESLNVSVASDEPIASQTIDLQTLYSVQGWDPYAWAFEAVEDRVRLVFRNPGMEDDPTCGPIIDDIALKKLFTPDKPKGNAVVNGDFEEGPWMFRNTTLGVLLPTNLDEETSSLPGWTVESNRAVRFIDSDHFSVPEGKRAVELLSGKEGIISQMVETKANVPYKMSFSLGHAGDKCKEPLAVMAFAGDQAQNFHYMAQANSSFEVSELNFTAKADRTRIAFYSVYYNTRMDDMSSLCGPVIDDVRVWFSGSSRIGFGLPVLVLVLALVFI >A03p022660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9557049:9559211:-1 gene:A03p022660.1_BraROA transcript:A03p022660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVHNKAPSATVKHHLIKQSNNGGATAATEMQEPSIETDKLSYEIFSILESKFLFGYDDDPKLMESRSRDPSPEQETSPTAVNGVVPGSIKNQRGKVCVLSIDGGGMRGIIPGKALAYLEQALKLKSGDPNARIADYFDVASGSGVGGIFTAMLFASNDGDRPIFKADDTWRFLAKNGKSFYKSQPGKILNRVMKTGSGSKLEKSMKESFAELTLKDTLKPVLIPCYDLTSSAPFLFSRADALETDGYDFKLWEVCRATWAEPGVFEPVEMRSVDGKTRCVAVDGGLAMSNPTSAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLVDVKYECDKVMKWKAKQWARPAVRISADGAADTVDQAVSMAFGQCRRSNYVRIQADGSSFGPCKPNVDTDASPSNVNMLVGVAEEMLKQKNVESVLFGGKKINEESNFEKLDWLAGELVLEHQRRSCRIAPTVAFKQSTDRRSDQKTIFKDIDCMF >A04g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7462357:7463765:1 gene:A04g503430.1_BraROA transcript:A04g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYAKDAWRKYLIQLQAHPLRTKAITAGVLAGCSDAIAQKIAGVKKIQFRRLFLLMLYGLCYGGPFAHYFHKLMDALFKGKKDNTTVAKKVLLEQLTSSPWNNFLFMSYYGLVVEGRPWKLVKQKVGKDFSTIQLTAWKFWPIVGWINYQYVPLQFRVLFGSFIASCWSIFLNLKARSAVIKNA >A09p061680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50761036:50763461:1 gene:A09p061680.1_BraROA transcript:A09p061680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISKEEVSKTRRYSSSLWRGVKTIFVLFTMLLSFILFSAPIFLAVADAILPSAILSSSSSLLRLSPATVSSHLSNYDFRYSLIDIPIISIIRSAIILCVYGLCDGPKLSRGPYLTITMFCSISSLIYVSCKAAFVFGEPVIGGGSFRTEEVALFVCSSVLAIGHIVVAYRTSCRERRKLLVFKIDIESVSACKNVFPRYQKILLQERLK >A01p059520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34161335:34163830:-1 gene:A01p059520.1_BraROA transcript:A01p059520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFHSKHSSPAGPPRHLRRRLDTAVHRSHPQNNHKPHVLPSPPPHRRVVNSSPKKHRNKDDDAPRSRTTGVSLRSGLTHGNVEAEQVAGGWPSWLSSAAPEAVHGWSPLRAEDFEKREKIGQGTYSNVFRACEVSTGRVMALKKIRVQNFETENIRFIAREIMILRRLDHPNIMKLEGIIASRNSNSMYFVFDYMEHDLEGLCSSPDIKFTEAQIKCYMQQLLLGVEHCHLRGIMHRDIKAANILVNNKGVLKLADFGLANIVTPRNKNQLTSRVVTLWYRAPELLMGSTSYSVSIDLWSVGCVFAEILTGRPLLKGRTEIEQLHKIYKLCGPPDEEFWEKNNKLHSQTKMFRPQHQYEGCLRESFEEFPKTAVSLLEKLLSTNPEKRGTASSAIMSEYFNTKPYACDPSTLPKYPPNKEMDAKYREELQRRRRVVIRKRDNLAPKKSGKSRRTIKEPTNKLPTQQEGKKEAETEIIVQTPSETSQATTRSEFPYTGLSQTTAPASGFAWAGTKKRKENDAASTLTYNQPAGSASHVSGMSMAFAKNTFGLTMNEDKPSLLRPHVSLDSSDVLLFPGVHHKKSDTGLTNAGANPKIFQTNGMNEILRRTESDAIVDVRRPPRIERGLK >A05p045840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27354550:27355763:1 gene:A05p045840.1_BraROA transcript:A05p045840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme COP10 [Source:Projected from Arabidopsis thaliana (AT3G13550) UniProtKB/TrEMBL;Acc:Q0WNL1] MMTTPGGSGRFKPLPTAMYAGYSGTASSWVAKTSVSASGKRIQREMAELNVDPPPECSAGPKGDNLYHWIATIIGPSDNPALPVIARLYLSDREKHEEVAKEWTLRFAK >A04g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8156833:8157516:1 gene:A04g503810.1_BraROA transcript:A04g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESACGWSVPSLTGNLSKDLSVPRLWRREGLNRHQSSQMLAQIDGVFYLFFLEARVPARISWSHPDPPCSCEVMWLVLSRGYVWEASSFGVVGRVLEVSVAAREGSRDSIRVSLVSMSPRVGFLIVATFSLALGPLASSKVASSGEGVSGKSHYSAYVVG >A01p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7605558:7607973:1 gene:A01p015570.1_BraROA transcript:A01p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTSCLALAVDEFPSWIEKNFLQTSKVLKMNANLVVAKDGSGDYVTVKEAVGAAPENSPTRLIIYIKQGVYSEIVEIGSSKTNITFVGDGQDSTILTGSLNKKDGVKTFFSATVAVNGDGFVAQDLCFQNTAGPSKSQAVALRVSAERAVIYRCRIDGYQDTLYANKGTQFYRDTYITGTVDFIFGHASAVFQYCQIVARKPNPGQSNMVTAQNRDNPSENSAFTLQQCNITASADLSPVKSTVKTYLGRPWGVMSTVVVMESFMDDHIDPAGWYPWDIGKEPSPSIYYGEFGNYGPGANTSERVTWKGFRAIQDPKEAERFTVGQLIHGELWLNTTGVPYETGL >A03p042230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17699006:17699544:-1 gene:A03p042230.1_BraROA transcript:A03p042230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYRALTNFRQNTSETASRQYETDPSLDENIDALLEEEEAMMVAHRKEMEDTLRLFARGEEQPGSLIESYVKQLSFVLSRQAAGLVSLQAKFARSIIYNQCESNSIFSVY >A02p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5395985:5396773:1 gene:A02p012340.1_BraROA transcript:A02p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFYSSIWLHQESLDEMRQNLQNTLFELETLKMEANEKSRTHTEEVNQLLTLLKSTQQERDQARQQLSQFLTQTQNSNSRSITESNTFSRDGSSSPSSSELSSFLNIHPPQPSMILNDPTAHKQHQLDPMDALVMGKAFPETGKLLKAVVEAGPLLQTLLVAGPLPKWVNPPPQTQSQRFDLPTLTTPFSFKRSDVSNSSLTCSGSVMRFGLGSSSVIDQTMLTGKRQRLE >A07p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14084352:14085144:-1 gene:A07p024470.1_BraROA transcript:A07p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKWVLYWQPNQGSTVSTQILNEAAQCVESINGVKEGRWKAALNYYKPMLRDQSTHPDLPRDFLGISLADQPTKYYFLIRSQRLVVEADASIRLIMEKLQSYKSKVSIYFDGFQYLLGDFRLRVGRVVPVHSETIRGIVMEVEYLPISSMEKARKVMEEFVEIWHEALSKTSLSGRFVNIELNFGEFGLADNYTPQHTGVQYAIVMAHMIATVQPAARG >A01g511290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31509005:31510609:1 gene:A01g511290.1_BraROA transcript:A01g511290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNVWRWVLGLTYILAVATIWIASSFVVQSVVDAGVSPFLITFICNSLFVVYLPIFEISRYLEDSYGSSILFWRSKRSHLLELPESEKEALLGQDVVLSDASEVSRVVVREDANGLDEKGRWTRMRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLLFLGERFTWLKLFSVLLCMSGTIIVSIGDSESDSTASAKNPLLGDILSLVSAALYAVYITLIRKKLPDDDERSGRFSMAQLLGFLGLFNFFIFLPAALILNFTKRERFDALTLEQFGLVVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDSLSGNKPSFTDYIGAAAVMVGFAGINIPSESKETAIELEPETLVS >A09g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5497037:5497768:1 gene:A09g501540.1_BraROA transcript:A09g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQASTILHKAGFVVFMISLPLELLCKSVDSPDLLTTSPLRLHHSAPSQASDGSSQNPLGLLRLQIIGLNPVMLSSEIGLRTLNVAYGSLELLALLLVNIQNSSYRCINIAFDYQLFFRTIAMEIKVEFSFGFLHFAEHDLPFADSIFSFL >A08g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13818869:13819433:-1 gene:A08g507870.1_BraROA transcript:A08g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRWTENKDPRGFAAARTNAAVPTSPSLQNNTGNQPSVHPDPPELTDSTPSPPPNVFNTSEPNFGEHQSTDRDLIRPHSSQSPRGRLCVNHHR >A08p024110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15740756:15743482:-1 gene:A08p024110.1_BraROA transcript:A08p024110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLGKRAASAELTQWRIRPGTDPKPDPLRTLRGLQKGFCVGVLPDGVDRNSEAFSSNSVAMEGILSDLRSHIKKVLVGGGEEAVKRNTSRNKLLPRERIDRLLDPGSSFLELSQLAGHELYEEPLPSGGIITGIGPIHGHLCMFMANDPTVKGGTYYPITIKKHLRAQEIAARCRLPCIYLVDSGGAFLPKQAEVFPDKENFGRVFYNESVMSSEGIPQVAIVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGASVHCNVSGVSDYFAQDELHGLAIGRNIVKNLHMAAKRETKGTFGSEKLEYKEPLYDINELRSIAPVDHKQQFDVRSIIARIVDGSEFDEFKKQYGTTLVTGFARIYGQTVGIIGNNGILFNESALKGAHFIELCSQRKIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKSRFSVHVAKRQNRRHGRRSGVLSQIERATKKRQGIKWTEEEEEEFKKKTVDAYEREASPYFSTARLWDDGVIDPSDTRKVLGLCLSAASNRPLEDTRFGVFRM >A02p058630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35160434:35163581:1 gene:A02p058630.1_BraROA transcript:A02p058630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSGGGCEKPATATDAEGSALVIVGVNPDEWSREVLTWSLVNVTRLGDRIIALHVLDYSLEGSTSLISLVKTFDTMLGVDLKLKFLRGKSARKVLVQEVKSCGATSLIVGSSKRHHTIRSSASLAKYCARNLAKDVSVFAVKSGKIMFRRVPSNNGAEGSHMKLPSLVSASPNVAIEAAKIGNTFSPARTSSRWTRTSRTSSLQSPESLGVDNSLALVPVPTNKTDSGSPESGPGWHFLRRNWTKVSAKKAVLQWVSKLRGRDSPAVAYLDRKRSDSGCDEDCSSSIDGSELMQSPLSPCVGSNNIPEELEGLHEKYSSTCRLFTYKEVLSITSNFASDNLIGEGGNSYVYRGDLPDGRELAVKLLKPCLDVMKEFKQEIEVITSVNHKNIVSLFGFCFENNNLMLVYDYLPRGSLEENLHGNRKDAASFGWLERYRVAVGVAEALDYLHNTHDPEVIHRDVKSSNVLLADDFEAQLSDFGFASLASSASQHVTCGDIAGTFGYLAPEYFMHGKVTDRIDVYAFGVVLLELLSGRKPICVDQSKGQESLVLWANPILESGKFTQLLDPSLETDNSNDLIEKLLLAATLCIKRSPNDRPQMTLVVKILQGDEEATEWGKQQVRASEDAREYLTNIESHINLALLDLEDDAASDSSPEASSISVEDYLKGRWSRTASFNFN >A04p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2704955:2706045:-1 gene:A04p005170.1_BraROA transcript:A04p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPPVAAASSDIHSPCLSAFERPMEKALVSESTLSHLFPNEFPYEFAFTSPEDSAETEDDEDDFLAGLTRRLALPSPPPIDEAKVNSTESTRSGNKSPNGPFSQALSPPETPCRKDDSVKVVSAAAGEVHKIKVDAKPNRRPPFPQNAAFYNYHYYYYYWLRQTQPALSTYHYPVGGVFAAPTAVKQPRVGTGVFTAPTAVAGTGVLTAPTAVKQPSVGTGVFLPRNCTNPSGSRKKAGKCVKLPTKVVQTEHPKTEKLSGGIQSRSQAHLSAGCSKLDRGTKSAVTGGCPKEESNLPEEWVY >A10p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19030826:19034947:-1 gene:A10p032020.1_BraROA transcript:A10p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 5 [Source:Projected from Arabidopsis thaliana (AT5G13130) UniProtKB/Swiss-Prot;Acc:F4K2G3] MDSSPKINPKKPMLVVGSTTNPKPYGVIGPPPGFSSKPGLKRYSDDRDSNNLAIKKSRTVVSAQDGKKIVALDVKPLAIVEADTPRLSRQFWKAGDDNEDEPVPRYCSNDAAVRVHPQFLHANATSHKWALGALAELLDNSLDEVCNGATYVHVNSATNEKDGKSSILIVEDNGGGMDPGRFRECLSLGYSRKRNMANKVGQYGNGFKTSTMRLGADAIVFSRCRGTNGNKTTQSIGMLSYTFLYETRKCEAIVPTVDFELVDNTWKEITYNSTDEWFDNLETIVKWSPYSTQDQLFEQFDHLEEQGTRIVIYNLWDDDEGKLELDFDTDPHDIQLRGVNRDEKNIEMAKTYPNSRHFLTYRHSLRSYVSILYLRLPPNFKIILRGKEVEHHSLLDDMMMTEDKTYRPVRSAECSSNEEMVADLKLGFVKDAHHHIDIQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGLVEANFIQPAHNKQGFERTAVLAKLENRLLSYQKTYWTSRCHEIGYAPRRKQANHVTSSIETTRQGDKKSEVPFNNVKHDKGPSSNAAPARFMQNGQSSGGNQLNSQGVTQPRVVGDRMIPDNRIKIEKHGHGTSFNEARSVNQAAELQKVKDESAKHVAELQRQRGQLESQVNKQLKAKIQDLEKSKDDSQKLVSKLLNQLKQSAAKIQDMEKTQKVTDNNSGKLVVELSNQLKQSNSKIQDLEKTQKFKDDESAKLVNELKIQKALLEEGLKKVRDGSTKLVDELQREKAMLEEESHKLKQSEAKIQDLQKSQIEVTAIFQEERARRDVIEHDLRKMLWESSDTIRALTMKVNSLEAQKAKP >A10p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16313211:16324245:-1 gene:A10p025420.1_BraROA transcript:A10p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVWWLVTVVMVAVHSASAGVVELNWEVEYKLRWPDCKEGIVIAINGEFPGPTIDATAGDTFIIHVTNKLSTEGVVIHWHGIRQNGTPWADGAAGVTQCPINPGETFTYNFIVDKAGTHFYHGHYGMQRSAGLYGMMIVRSPKETLQYDGEFNLLLSDWWHQGSHAQELYLSSRPMRWIGEPQSLLINGRGQFNCSLAAYFNEGGLKECKFKDNDDCAPTILRVEPLKVYRLRIASTTALASLNLAVEGHNLEVVEADGNYVAPFTVNDIDIYSGETYSVLLRTHNPTPSRKYWISVGVRGRKPNTTQALTLLHYADAPEYEHLPYPPPVTPRWDDYDRSKTFSKKIFAAKGYPPPPEKSDEQLFLLNTQNLMDKYTKWAINNVSLSVPATPYIGTIRYGLKTLNYQKPPGKKIVEDYDITKPPVNPNTTKGSGIYNFQLGRVVDVILQNSNVLNGRGSEIHPWHLHGHDFWVLGYGEGKFQPGVDDKRYNLTNAPLRNTVALYPYGWTALRFVTDNPGVWFFHCHIEPHLHMGMGVVFAEGVDQIAKMNIPNEVLGCVTAVMVAAHSASAGSAGVVESYWEVENQFRSPDCTEGVVIAINGAFPGPTIYANAGDTVIIHVKNKLSTENVVIHWHGIRQNGTPWSDGAAGVTQCPINPGETFTYKFTVDKAGTHFYHGHYGMQRSAGLYGMMVVRSPKETLQYDGEFNLLLSDWWHLSSTLQELSLSSKPMRWIGEPQSLLINGRGQFDCSQAGYFNEGGLKECNFTKDDPCAPTTLRVEPNKVYRLRIASTTSLASLNLAVEGHQLEVVEADGNYVAPFTVDDIDIYSGETYSVLLRTHNPSPPRKYWISVGVRGRKPNTPQALTVLHYAGASESERLPFPPRETPSIHPNSHT >A05p020910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9875798:9876172:1 gene:A05p020910.1_BraROA transcript:A05p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIIALIVCACVAIIAVVLILCCLQNRKKKKTWSPPPRPPVKDVEKGRSSVARDGGLVVLTGTAVTTAVVATAVTTGTADEISGGGGGGEGGGECDGGGDGGGGCGGCGGCGGCGGCGGCGG >A02g511060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29242080:29244187:-1 gene:A02g511060.1_BraROA transcript:A02g511060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSPFSSSSLFTTLHEIFMAMEACRRKTCRRRLNLRLRAREPRRKNQGPQRQQLEPRAPIRIAITKEALGFWTVKLFRKMGCRCYCFPISEMGDACYAATCIFQVKVHFFFNFYAIVNLNVMLKVVSTMDTPLAMGAFVSARFLKFLLSTTVRNRDWSLRVRDVQCMDELIFLVLEVDCRTVSPRLLTDFGFPCSKGGPQTIQNLTKQFHPSLTEELRNLWHWLRRAEPENVFSEFISWVWLEEHTVPGIKAVLRVGGDVVNGRRSAMVILAATLFSSTYGSASANAGVIDDFYERSKPTKELNDKKTLATSGANFVRDPL >A01p011760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5699683:5700816:-1 gene:A01p011760.1_BraROA transcript:A01p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIKRHRDLVMALANKKRLSEIDKKLAMIESTFKINYGYWLKGMIDDSSSSATPDSKVRRSKTSRVETRPDLPEQPIRGFVNESLFLKNFLLKGKGSEEFKTLAIVGKFGVGKTTLCQDVFNDKDVKEAYLPRVWVSMYSEETREEGDQKVAVLKRILRSLGVEDEALDSIRTEADEEKRKNAEEGRRDEETVKEKELFRLLNALNSNLFGKRYLIVLDDVWEDNEWSQRLDDEKKPQEKTHLSCGFPKGSGGRVIVTSRDEGLAKKIVGEEENVQRLFPRSDVESVWEIYKDAFGEPMKDENGEVVKDAAGEPMKDAVEKHVIGDDGRPTKWVVNPRYPGRYKKELMDKSGGVPWAAQMLAKIEPLKNDEDKDM >A08p028680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17869238:17871080:-1 gene:A08p028680.1_BraROA transcript:A08p028680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLTGGRGGGGFSGGRGGGGYSGGRGGSSGGRGRGGGRGFGDRGGRGGGRGMSRGGRGDRGRGRGGPGGRGGMKGGSKVIVEPHRHAGVFIAKGKEDALVTRNLVPGEAVYNEKRISVPNEDGTKTEYRVWNPFRSKLAAAILGGVDNIYIKPGAKVLYLGAASGTTVSHVSDLVGPARIVALNASFFLKTGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPAEQVTLEPFERDHACVVGTYRAPKKAKAATAA >A03p005590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2336873:2337847:1 gene:A03p005590.1_BraROA transcript:A03p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSKPQSRNPSFSSTLLDEIYNSIDPKTKKTQPFVGSVKKQSISVTRSVPDRKLHQDRFFGSVSSSSDSNSSIFSSSDTELSHGTKKITSSRPLCFGPSKTKQSKTEDKALFHQNRATRVFHDCDYASGNQKKLKTPSSPGVRIVNFINALFSKQSTAVNSYPRKTSYEDSAFSRKRTEYYYPSTACSSASSFSRSCLNKRSEKSSGRTKPSVRFSPVNVIVEEEDYLSSGYVRKSVKKNVEDGGRRSVEEIAREFLRDYHKNHENSLVKNNNDLEDYEDEDDDVGSDSSSDLFELDLVGTRHHNLYEDELPVYETTFAGLIL >A06p058080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30176849:30178003:1 gene:A06p058080.1_BraROA transcript:A06p058080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAVFLWQSHPLYPFLLFLNRDEYLNRATEALRWWQDGETLGGRDLVGGGTWLGCTRHGRIAFLTNFRETSSIPDAKSRGDLPLRFLQSQKSPAEFAQEIEKEASLYNGFNLVVAHVFSKSMFYVTNRPLSHGDKQQQQQQQQLVTLVSPGIHVLSNANLDSPWPKCLRLRDGFNQLLTQHPRGEFPAKTMVEEVMTDTVKDQEPHVFPPETQYHLSSIFVDIPTPAGRYGTRSISALTIKSHGQVCFYERHLEPGGGSWKEHTQHFVIQNQTST >A04p020430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12408831:12411363:1 gene:A04p020430.1_BraROA transcript:A04p020430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEKKTGIMINLLQSLKRSLAYAVIWMLFMILDCVLSVNERHKHCAPTFSCGHKIDLYYPFWTSDREECGHPDFKVNCSDGFAELTISAVKLRILEMNSKSRIIRLARMDYINDLCPNKPENATLNNQVLPFSEDTELRTFYYRCRLSPKVDVTNSGHIRQLDCGDDSGRPSFSVSSHLYSRKREIINELRESCGSIVNVPVSRSALRIEERNQSAEALTKALEKGFELSFNRDCSRCRRSKGACGYNNTLGGFVCYCINESHKHTCEKNGLSKPEKIGIGFVCGFLGATLLAACLLCFYIRRRKKLAAQYTNKGLSATPPTSISRSNHALMPSSISNLANRSVDYGVQVFSYEELEEATDHFSRELGDGGFSTVYYGILKDGRAVAVKRFFEKSLKRVEQFKNEIDILKSLKHPHLVILYGCTTRHSRELLLVYEYISNGTLADNLHGDQAQSRPISWPGRLNIAIQTASALSFLHASGIIHRDVKTTNILLDSNYQVKVADFGLSRLFPPDLSHISTGPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLAELISSKKAVDITRSREDINLANMAISKIGNDSVHELADLSLGFARDPSVNRMMISVAELAFGCLQQEREARPSMDEVVKVLREIQKEGESDAPGVVEVDVRSGDEAALLKHGVPPVLSPVSEKETSGSNTTTSSSSRTRKSSF >A09p072740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55659497:55664723:1 gene:A09p072740.1_BraROA transcript:A09p072740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSSYLICSNQASLHSEIALSSSVETVLKRIYGKHNHPPIKAESRRRLSSIPHELALQTLSKVFNVEYVKGTLDGFIKYLLDQTVSVYSSPLQCSGESPVLSPRTPGKKCCRKAIVRAEMSLLDYEVPSPKSLKLEVEGGSSLHVPPQLLALSELEFKKAFLLLSYIPGKNLGQVIITAEEIRQWKDLSMVAYEAAVWDRLGRHSCPSTDRRVSLQWDSGNTHYYQCHVSPDGSYRFKGPLMENTGTHLHNVLGDENVLTVKFADVPGEATYCNDIYSTYKEIAKKGIMLGLRRYQFFVFKDGGKEEKKKDFSGKGVKCYFIRTDSTSSNDMGKPYIFSGKSIHEARMHFMHVHTLPTLAKYMARFSLILSKTRKLEVDTSRIAFQQIDDIHCHDQNNNDVLDKNQKPCIHSDGTGYISEDLARMCPTDIFKGKHVRSDNMQATTYGKEPPLLIQFRMFHYGYAVKGTFLLNKKLPPWTVQVRPSMVKVSEDPALYNFSTFNSLEVVTTSNPPRRTKLSRNLVALLSYGGVPDEFFLDILRSTLEESKTVFDNKRAAVRAARNYGDMDEYNSLQMIMSGIPLDEPHLKDHLSILLNTEKNDLKAGKLLVTESYYLMGTVDPTGKLKQNEVCVILESGQISGDVLVYRNPGLHFGDIHVLKATYVKALEEYVGNSKYGVFFPQKGPRSLGDEIAGGDFDGDMYFISRNPKLLEHYKPSEPWVSSSPPSKSYTGRKPSELSPEMLEEELFRMFLKARFHSSNVIGAAADSWLRIMDQFLTLGDDRVKETAERKSKMVKTMLKAIDIYYDALDAPKNGAKVDLPLDLKFDSFPHYMERKNKKNFKSTSILRLIYDTVVSQNEEEPPPCEIKKLQCFEDELVPEFYMEKCSRWYQEYKTEMSQAMDENNKKESASEVILKYKQEFYGAAGFEESKKSLEELYLQALALYNIVYDYAIIKNKVRSCGFVWKVAGPVLCKLYLKKAEEKSIPCSVSVLKELWG >A09p070280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54520017:54521813:1 gene:A09p070280.1_BraROA transcript:A09p070280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKQQHFVLVHGACHGAWCWYKVKPLLEASGHRVTALDLAASGIDTTRSITEISTCEQYSEPLIQLIASLPSDEKVVLVGHSFGGFSLAMAMDKFPDKISVSVFVTAFMPDTKHSPSFVVDKLSLTSKINLNFNSMITYKFKRETPPEAWLGSELKPYGSDNSGVSMSFSTEFMKHALYQLSPVEDIELGLLLKRPGSLFIKDLSKVENFSDKGYGSVPRAYIVCKEDKTLTKEIQWWMIDNYPTKLVKEMEDTDHMPMFCKPQLLSDYLSEITEKLA >A03p074850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000172.1:3060:3405:1 gene:A03p074850.1_BraROA transcript:A03p074850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESGFRYLWAVFRLEAFTTISFDKERTFRDSIEGLTRMHGLVSSRKSSVATQRPNFGSSTVASDRAGRTLGHYVATRSLRSDRAWRV >A06g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22415220:22416034:-1 gene:A06g508070.1_BraROA transcript:A06g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCIPGNLRICIPTLVILSQTMHLEYLQVATSSHDMVTKTNLLVLYKLMHIYEIFGLFRISRFIVGVNKNMEAIKHIFSLGIRFRMRFKGEESPERMVRFQELEIYLHNCQLLNGDHCNYRFPIQDCLFLFRSNGMSQQQSRDPAESCHLPLFQRLLNNHNQSARDQDQSNHPEKQLKNTYGVPSWQEPITPSAANEPQQKLTT >A08p015520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10163370:10164908:1 gene:A08p015520.1_BraROA transcript:A08p015520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT14 [Source:Projected from Arabidopsis thaliana (AT4G13990) UniProtKB/Swiss-Prot;Acc:Q84R16] MEKPISVVTGKFRSSSSNNHSNVWFVVPLFILLCFILLCFDYSALYITDTDEAASSFSDTTQNSVSRESTKDVNLSRFLDDPLPDSCSGRYIYLHDLPSRFNSDLLASCSLITRGTENNICPYLENFGFGPEIQDPDNILLKQSWFVTNQFMLEVIFHNKMKKYTCLTHNSSLASAVFIPFYAGIDMSRYLWGFNITVRDSSSHELMDWLVKQREWSRMSGRDHFFVAGRIAWDFRRQTDNESDWGSKLRFLPESQNMSMMSIESSSWNNDYAIPYPTCFHPSSVDEISEWQDRMRSQKRRYLFAFAGAPRPEYKDSVRGIIIDECLESDDQCYLLDCNYGKVNCDNPVNVMKVFRNSVFCLQPPGDSYTRRSMFDSILAGCIPVFFHPGTAYAQYKWHLPKNHNSYSVYLPVKDVKEWDIKIKERLLEISEERVVKLREEVIKLIPSVVYANPSYGSEGSEDAFELAVKGMLEKIEEVREVMRQGKDGGEGFDDRDDYKYTFSPYEAQVLT >A08g510360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22982813:22983740:-1 gene:A08g510360.1_BraROA transcript:A08g510360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGWTNKDRKREINSEHNAHYSVISCESTTVPETRDECLINKSQHKNKPKKNVAQPFKERLREEPQNIDLEIRHAFLGRFILDWVHWPQRKESKRLPEEGPYVISDYLPPRSLHCVSVRQSHASYSLVLSTARNHIS >A09g517790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53487013:53489941:-1 gene:A09g517790.1_BraROA transcript:A09g517790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTEVVLLGSLICLSFGTTVNSDNGATLLEIKKSFKDVNNVLYDWTASPSSDYCVWRGVTCDNVTFNVVALNLSDLNLDGEISPAIGDLKSLLSIDLRGNRLSGQIPDEIGDCSSLQNLDLSFNELSGDIPFSISKLKQLEQLILKNNQLIGPIPSTLSQIPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRGNNLVGNLSPDLCQLTGLWYFDVRNNSLTGSIPETIGNCTAFQVLDLSYNQLTGEIPFDIGFLQVATLSLQGNQLSGKIPSVIGLMQALAVLDLSGNTLSGPIPPILGNLTFTEKLYLHSNKLTGSIPPELGNMSKLHYLELNDNRLTGHIPPELGKLTDLFDLNVANNNLEGLIPDHLSSCTNLNSLNVHGNKFSGTIPRAFQKLESMTYLNLSNNNIRGPIPVELSRIGNLDTL >A08p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20364842:20366481:1 gene:A08p034160.1_BraROA transcript:A08p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNDTVKDDAELAASMSAEQWGCCSVEEPSFQDDEAAKVPYVGDKEPMSSLSAEYQAGSPILLEKIKVLDSQYVAIRRTRGDGNCFFRSFMLSYLEHILESQDGAEVDRIKVNVEKCRKNLQSLGYTDFTFEDFFALFLEQLDDILQGGEESISYDELVNRSRDQSVSDYIVMFFRFVTAGEIKTRAEFFEPFITGLSNTTVDQFCKTSVEPMGEESDHIHITALSDALGVAIRVVYLDRSSCDTGGGVTVNHHDFVPVGTTNEKEEASAAAPFITLLYRPGHYDILYPKVLNNVEK >A05p045390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:27159544:27160005:1 gene:A05p045390.1_BraROA transcript:A05p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSFHAFLLAQSQQQDQDQDRRQSQSSPPPPHSFWESQSPPPPTPQFNTPPPPQSTVGIISPPPPPPSPSPPPPPPPPSELASPPQSHRNKPRRLRPPPPVRTFNQSEKSGRLNTGKIVGLVFAGIAALLQICVVAFLVFKRNQLLRMTHTY >A04p011430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6720473:6722761:1 gene:A04p011430.1_BraROA transcript:A04p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPTERISLKMTDMELLNDLEIKKQSSYLDRLHQVLRWALGSVEIFLSRQYPLWYGCSEGRLKWFHRMAYINTIVYPFTSLPLVAYCTLPAICLSTGKFIIPMFGHFSSALLEFLEMRREGVFPSDFTFPCAFKAAASLRSSVTGKQIHGLSVKCGRILDVFVGCSAFDMYCKTKLRGYARKMSREAVRAFIEFRKIGGHANSLTFCAFLDACSDELLLSLGEQLHGLVFRSGFDTDVSVCNGLIDFYVITFVSISGISFLPSFVITGWI >A04p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21511864:21513794:-1 gene:A04p037710.1_BraROA transcript:A04p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGADEEPAGPPANQYNSAPPNKAGNPNFGGGNRGEPRNTNAPRSGGPAKVLPIEIPAVALDELNRMTSNFGNKALIGEGSYGRVFQGKYNGDDVAIKKLDASSSEEPDSDFTSQLSVVSRLKNEHFVELMGYCLEANNRILIYEFATKGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGMEFLHEKVQPPIVHRDVRSSNVLLFNDFVAKMADFNLTNASSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDFPPKAVAKFYNADFSLWMVEQLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPESTS >A02p030300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15418719:15420301:1 gene:A02p030300.1_BraROA transcript:A02p030300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTPVRKPHTSTADLLTWSEVPPSDSPSSAARSAVRSHQPSDGVSKVVFGGQVTDEEVESLNKRKPCSEHKMKEITGSGIFTRNQEDDASELSSAPGGRQALSGISHISFGEEEDLSPKKPITLPEVAKQRELSGTMESESASNLKKQLSDAKYKEISGQNIFAPPPEIKPRSGATRALALKDNFNLGAESQTSGEEDSSVKTAKKIYDKKFAELSGNDIFKGDGTSSCGEKQLSEAKLKEIGGNNIFADGKVESRDYLGGVRKPPGGETSIALV >A07p009840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6134770:6137875:1 gene:A07p009840.1_BraROA transcript:A07p009840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKYGGKIPEDVTGEIQCCSSGRGKVVIGSSDGSVSFLDRGIKFDSGFQAHSSSVLFLQHLKQRNFLVTVGEDEQISPQQSGMCLKVFDLDKAQEESTSSSAPECIGILRIFTNQFPEAKVITSFLVLEEVPPILLIAIGLDNGCVYCVKGDIARERITRFKLQVDGVSDKRQTPITGLGFRLDGLSLLLFAVTPDSVNSFAMQAQPPRLQTLDHIGSSVNTVTMSDRSELIVGRPEAVYFYEVDGRGPCWAFEGEKKFMGWFRGYLLCVIADPKTGTNVFNVYDLRNRLIAYSLVVDKVSNMLCEWGNVILITADKSLLCVAEKDMESKLDMLFKKNLYTVAINLVQSQHADAAATANVMRKYGDHLYGKQDYDEAMSQYINTIGHLEPSFVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNTFIRKEDGIGELKFDVETAIRVCRAANYHEHAMYVAKKAGKHEWYLKILLEDLGNYDEALQYISSLEPSQAGVTIKEYGKILIEHKPKEAIDILMRLCTEQGTSNGVYLSMLPSPVDFINVFVQHPHSLMDFLERYAEIVKDSPAQAEINNTLLELYLSKDLNFPSISLSENGVDQNFTDQSVAAAMSKTGSGKKKIADSNDTIEKDCVERQQKGLELLKLGWPSDQEQPLYDVDLAIILCEMNSFKEGLLYLYEKMKLYKEVIACYMQNHDHEGLIACCKKLGDSGKGGDPSLWADLLKYFGEIGEDCTKEVKEVLTYIERDDILPPIIVLQTLAKNPCLTLSVVKDYIARKLEQESKIIEEDRRAVEKYQETTKNMRKEIEDLRTNARIFQLSKCTACTFTLDIPAVHFMCMHSFHQRCLGDNETECPECAPEYRSVVEMKRSLEQNSKDQALFFQQVKSSKDGFSVIAEYFGKGIISKTSD >A06p046780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24981680:24982105:1 gene:A06p046780.1_BraROA transcript:A06p046780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWRSPYAFIAVSVIVMFLIIGSEATSGLNDECPGVCHSDIVPNCDTLCISLGFTGGFCKGLTCCCNPKSPKTLNILPP >A09g515540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45900511:45900766:-1 gene:A09g515540.1_BraROA transcript:A09g515540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKTANTPLMSVTNNRQVRKLIELSKTHFVRLCVSSLRQIH >A04g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16056851:16059517:-1 gene:A04g506940.1_BraROA transcript:A04g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIGSADVSGHLRLIDGQHFPILQTFKLKEGPFINYQVHPMVSREPKQWCINQFNRGDQGGDFYWRYLGMTVSRGTVRSPTMATRLIFDVVFHVLFSLFVICSCFVDDKEEKKGSRRRVLLMISESVEKYKTKVKEYSTDHKLDLLDELDRIQEAGGRVIYWDGRRVLGVLATSRAIGDNYLKPYVSSEPEVTVTERIEEDEFMILASDGLWDVVTNDAACATVQMYLNKKGGRGGGRRREATECEERKEEKAPAADDDDDMDPFGDETEEEKKAAEEKKGGKDTKKPKGRKMKSAAYLTRRLMKAETDVQPEALKVVNLEDLYGTLATTLVNFEDL >A06g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:833582:836099:-1 gene:A06g500150.1_BraROA transcript:A06g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVIWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLEVKSSGLLKSCLVLSTQVESKLVFDLRGLTRISEKNSGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLFQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFNSLWRESERYVVFSSQEWKKKKSKSILGALRASNWLFMVVVVLMTMAIL >A09p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11723811:11724771:-1 gene:A09p021680.1_BraROA transcript:A09p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTGTAFIYAVRCLHTLRSRNAISTHRIRHRFSSYVRTVKAPTPDLSLPLTALTIDRGPTPFVGPPPVGHRNFPTTGVNFDMGASTVYRSGSSDIAIAFACDCVWLGQAHILAYESPISNPVQLTYIFVVRELCLRHLSTSTPPLDITGFLASSPVLTTPFLFAVSTMQECGFARFDHYVIAASTSYSIIVLPPSHYAVSIDGSVSQLCDLQTGAIFFIVEALTTSCRPDLRMTPSPPLSQVKLVSLSPPLYTV >A08g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5117160:5117637:1 gene:A08g502310.1_BraROA transcript:A08g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLKRSKVYTTYRFKCDFLQEQEDNCCSTHKKASAERTVMEMIHQTCQVEVGEAGRYGQHQY >A06p025070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15003540:15006284:1 gene:A06p025070.1_BraROA transcript:A06p025070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 12 [Source:Projected from Arabidopsis thaliana (AT3G44910) UniProtKB/Swiss-Prot;Acc:Q9FYC0] MFMNTTTYIEDCRPLLFNISSNGIWENLKSPDVIFGYSLPLMEIQILLIFTSVVMTHMFLRCIGISHIASYMIAGLIMGPQLFDLREKSTWKLSLDPIMNGNGPLRSFSACGFMMFTFLMSLRTSRRVVFNNGPLPVVIGILTFIVPLVVGLCFRNVLADNINPHYMPPKKALAERTVIIATQSSILLPTITYFLSELNILNSEFGRLALSASVINDILGVSFIVLAYSLGTYKNMSHSTAYIDLIAVIIFFLLVFFVVKPAAEWIVQQTPENKPVANIYVHAVTLTVLGSAVYTTVFHMKYVLGPVMIGLVIPEGPPLGSALEAKYEKLTLNVFLPISIAFSTMRCDITRIVYELDDILYNIFLMVLTIALKLVAGIAPCLYCKLPLKESIAVSILLSCKSFPEIFFYESTLDDKYISQATYSFLILYTLLNSGIVPVVIRSLYDPKRKYIGYQKRNIFSLKPNSDLRILTCVHKPGNISRAISFIQLFSSPNQEFPIIVTVLHLVKLVGQIVPILISHDKKSKQLINNSYIHTVNLAFSKLMQESFDSESVAMFTALSHENLMHEDICMLALDQTTSMIVVPSGRTWTIHGEFMSDDVAIRRLNRSLLERSPCSIGILVDRGQFMRKDKRKDYINVCAIFIGGKDDREALSLVNRMKHNPKVQVAVIRLMSNQETESTNWDYILDHEVIKELKEPESNKNISYTERIVTGGPEVATMVRLLSEEYDLMVVGRNHGMSSPDFSGLLEWMELPELGVIGDLLAVRDLRSSVSVLIVQQRHQA >A07p011200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8875047:8875775:-1 gene:A07p011200.1_BraROA transcript:A07p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNANKEGNRPDDQRHDRYTWQICERCLEFATVALVDADSDQVEYCGSYYGLFYTDPTMYKGTMKVEVIVHCQKIPNYQLLYKNV >SC138g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:157335:160495:-1 gene:SC138g500040.1_BraROA transcript:SC138g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTFIQGHDTLVSRSNDLTGASPRRLSRLALPVDCLGDFGTRGLSDQYTQNVRGCPPAHTGRPWLSVSTHRTSVAVRQHKQDHPGPWTQHTGPSHGLFGTHRTSVAVHQYKYTLVLGLSTLVLGLSTLTLPVDCSGDFGPRGLTVQYTQDVRGCPLAHTRRPCVSVSTHRTSVTVRVCPCVSVSTHRCLWLSISTHISTLILELSTLTLPVDCLGDFGPRVLSVQYTQDICGCPPAHTRRPWLYVCVRQCASAHTGRPWLSISTHIRTLVLGLSMLALPVDCLGDFGPRGLSVQYTQDHTEDVRGCPSAHTRLPFVFGSKHWTYVAVRLCLSAHTGRLWLSISTHISTLVLGHSMLALPMDCLGDFGTRGMSVQYTQDVRGCPWLSVSTHRMSVAVPCWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDICGCPCVSVCVRQHTQDIRGCPSAHTRRPCVSGSKHGTSVAIRLCLSAHTGRPWLSISTHISTLVFGLSRLALPVDCLGDFGTRGLSVQYTQNIRGCPPAHTGRLWLSVAVHQHTQDVRGCPSAHTGLHTGRPCLSVSTHITSVAVRVCPFVSVSTHRTSVAVHQYTYQHGGPRTQHAGPWTQHAGPWTQHAGPSRGLFGHPWLSVAVHGCSSAHTERPWLSVCVRVCPSAHLGRLWLFISTHISMLVFGLSTLALPLDCSGDFGPCGLSVQDTQDVCGCPSAQTGRPWVSVSTHMTSVAVRVCPCVSSAHTGRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLTRQYTQFIRGCPLAHTGRPCVSVSTHRTSMAVRLCPCVSVSTHMTSVAVHQYIYLHVGRWTQHADPSRGLFG >A05p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19697397:19703702:-1 gene:A05p034860.1_BraROA transcript:A05p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFHLPVRFSIYKSLLIVYVSIKIHNILSSNYLDGIQRQFYSLLLPRRLRRNLTARVLFHLTFRRSKNLLFCCWSLLRAWRPIDVLQRCFIRPTRSRAEARNPNTKELIGQEMLLIDEEGTVIQGFITAGRVGMYKLTSGSVYKLSNFFGSRSKVQFWVADHRATISFSWNSDLKVLENHPVPILEDRFRFHSYEEFQANCDRRVDLYDYVGHMKLVNGQTITDHTALDEVDIAEKRHLCVHVQTHDEPVMKLYLWDNAASEFCQKFILYGRTPTVLLVTTVNPKHLGGTLALASMASSRVFMDTDVQPTKDYLAWLSSNLEIANKFTAEVVTKPEPVTLEELYSYIKQETAKSSSWYYISCGGCNSKAIKGPTSLICNNKKCVKREVTGVLQYLMKIAVYDKSEQAVFAILGDAGKELTGKHASELVTKYFESNEGLGADHPVPVPQALLDTIGHTRKFINKVSDHNLTGKTQTITVTKILPPEATLAIAHLEEGTTPAVSDDVLKGVGDESGPSTGFEHSAGDRVRKSSENLESLEAKRSRVGCEDRRLNRMDSPLSDQPIEYAVKSYIQHIPQRIHKLHEGISVRPITVCIRSVWDIRKHQTNNTQLCIGFMCYDHHGQLLDGRLTGNIQPNDPKNMTEGDIYEFSGFSVIHNSRHRKLTQLPYYIQIDQKTIASKVIDVGPIFSVHPFSPQNYKNLLRLATTPTYLPDVVGQILIIQKINPYYPEINTDATIGLRLNRSTMVKLILCDKQAADFSILQSKKNRKFKVVIITSIIPKLFQGKLLLSSSPASNFYFNKSFNYIKHFKGRIRDHSKACSKE >A01p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8956881:8962077:1 gene:A01p018440.1_BraROA transcript:A01p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRLVIRLVPVPRADWAGKMKIKCPETDLEAELHLVSDSFIERFRGSNNRAVKGKISESSSGSKLYDIFGHWDRTISAKSLKTGEVEVIYNANGSISGLKPPTVNNLKEVMENESAMVWSEVSEGILNKDWKRAREAKKAVEDRQRESLKQREASGESWVPKHFSVVRNGKDWDCTPLQPTVPRAPLTEEEETRKNLVIAKPFALEDDKDSEHAASNGIRRILSLFKNVRLGSDLTNFQLPPQLNQPRSQLQCYGEMIYSFCGQDLMGECSRRDLAIERLKSVVMWNISTLRPIVFGMSPYNPVLGETHHVSHGHINVLTEQVSHHPPVSALHATHENENIDVTWCQYFTPKFRGAYVDVEVKGKRTMKLLNRKETYEMDQPRLVVRFLPAPGAHWTGKIKIKCPETDHEAELHLISDSFIERFKGNNNRSIKGKISLTSSGDKLYDIFGHWDRQVEVIYNAKESISGLKPPTVKNLKEVTETESAMVWSEVSEKILNKDWERAREAKKAVEDRQRESLKQREASGESWVPKHFSVVRNGKDWDCTPVQPTVPRAPLVITEAQEEIMN >A10p023500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15340507:15341095:-1 gene:A10p023500.1_BraROA transcript:A10p023500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRREAVYHCKKNKEEDVIGQKVNSSWNQSKGVKTRKSDGEIGFEEMEFSKFWAFESLTLCIKEGLPAPV >A02p018640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8603236:8605246:-1 gene:A02p018640.1_BraROA transcript:A02p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSDLCDKLDENVLEELASNVKQVQDNVLEEILTLNAGTEYLRRFLHGSSDKELFKKNVPVTTYEDVKLYIDRVANGEPFDVISGKPITGFLLSSGTSGGKRKMFPRNNKYLENLKFIYFYRSLVITKHIDGLEHGKGMVFNFCTPEQNTPSGLPASSATTSFFKSDYFKNRPSYWHWSFTSPDEVILCSDNKQSLYCHLLCGIVQRDEVVKVGAAFVSILVRAITFLEKFWKEICTNIRCGHLSEWITDISCRDSVSKILGEPNPELADLIENECNQKSWEGIIPRLWPKTKFIESIATGQMAQHIPTLKFYSNNLPLISSSYVSSETMFGINMNPLCKPQDVSYTFMPNFSYFEFLLVDAGDKVEIVDLVDVKLGSHYEPLVTNHSGLHRHKMGDVLQVTGFYNSAPQFRFVRRGNLVLSVHLEITTDEDLLNAVTHAKMVLESSNLMLIDFTSYADVSTTPGHYVLYWELKGKYNNDIAEIDNKVLVECCYVVEESLNNFYKEFRSKDGSIGALEIRVVQQGTFDSLMEFFITQGASSTQYKTPICIKSSEALAILEEKVRSRFFTDKVPFL >A01p042010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22639429:22640678:1 gene:A01p042010.1_BraROA transcript:A01p042010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMPNYTGSVSGAFPRLLGMVPGISHGPSAATTSMSNLSQVPMAPSAAAMAAAQAIVAAQTLQAHASQIRQRLFRYCVMSCHSSPEKEVKGEALKKYLQVGNLSPQLFSFCGTVVDCTITDSKHLAYIEYSKPEEATAALALNNMEVCGRDLNVEIAKSLPQKPSLDNSSSSSLPMMMQQAVAMQQIQFQQAILMQQAMATQQAANKAATMKSATEFAAARAAED >A06p044290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23827872:23834357:1 gene:A06p044290.1_BraROA transcript:A06p044290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYNALLFWVLTKRKEEKSPDNDKKRVQKFKNVFLTIQLITHLLYFYKNVLNFKLTSNLYESPSQTLSAEFPGKTLTNHSYNMTLLPLPVLFLLFTSLPFSVISQINERSTLLALKRGLGDPPSLRLWNTTSSPCDWSGITCVDGNVTGISFYNQNFTATVPTNICDFPNLEALDLSFNLFSGEFPTVLYNCTKLRHLDLSQNNFNGSLPADIDRLSPELEILDLSANGFSGDIPKKIGMFSKLTVLNLYMSEYDGTFPSEIGDLSELQELRLADNDKFLPAEIPAEFRKLTKLKYLWFSEMNLIGEIPAVVFANMTDLEHVDLSANSLSGRIPDVLFGLKNLTVLYLYVNNLTGGIPKSISATNIVELDLSYNNLTGSIPEAIGNLTKLEFLNLYINQLTGVIPPAIAKLPEMKEVKLYTNKLTGEIPGDFGLHSNLERFEVSENQLTGKIPENLCKGGKLLGVVVFSNNFTGAIPESLGNCGSLLSVQLYNNRFSGEFPSGIWTARDMYSLQISNNFFTGKLPENVAWNLSRIEIDNNEFSGEIPRTVGSWSSLEVFSARNNRFSGEIPTELTSLSRLISIFLDSNNLSGELPEEIISWKSLVTLSLSKNKLSGNIPRALGLLPGLVDLDLSDNELSGEIPPEVGSLKFTTLNLSSNMLTGEVPDQLDNLAYETSFLNNTNLCADTPVVKLQDCRKVLRRSKQLPGKIIAMILVIAVLLLAVTLVVTFFVVRDHTRKPRGSRGLETWKLTSFHRVDFAEHDIVSNLMEHNVIGSGGSGKVYKIHIGSSGENVAVKRIWDNKKLDKNLEKEFIAEVEILGTIRHVNIVKLLCCISREDSKLLVYEYLEKRSLDQWLHGEKKGGDAEANSLNWAQRLNIAVGAAQGLCYMHHDCTPAIIHRDVKIADFGLAKLLVKQNQQPHTMSAVAGSFGYIAPEYAYTSKVDEKIDVYSFGVVLLELVTGREGNNGDEHTNLADWSWRHYQSKKPITEAFDEDIKGASNTEEMTTVFKLGLMCTNTLPSHRPSMKEVLYVLRQQGLGATQKTATEAPEAPLLVSLSGRRTTSKRVEGEALVVENCN >A08g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14339989:14340294:-1 gene:A08g508060.1_BraROA transcript:A08g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVFSLLGFVFFLLLLLGNSLVLASKQESLPRAGRRMISYQTDGQIDTGPSYSGRGGGRNP >A06p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23582465:23586911:1 gene:A06p043800.1_BraROA transcript:A06p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNDWVNSYLEAILAAEPGIGDSKYSDSKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWIQAAATRSPQERNTRLENLCWRIWNLARQKKQVAGKYAKRTAKRHLLRERARLEATADMSEDLSEGEKADVPGEILTPTDSSKGRMSRISSVDVFENWFAQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSAPDVDWSYAEPSEMLNPLESDTDQEHGESSGAYIIRIPFGPKDKYVEKELLWPHIPEFVDRALSHVMQMSKALSEHIGGGKPVWPVAIHGHYADAGDSTALLSGALNVPMVFTGHSLGRDKLEQLLKQGRPKEEINSNYKIMRRIEAEELCLDASEIIITSTRQEIEEQWRLYDGFDPVLERKLRARMKRGVSCHGRFMPRMVVIPPGMEFHHIVPHDVDNDGEGARDDENPQSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNLVTLVKAFGECRPLRELANLTLIMGNRDDINELSSTNASVLLSILKLIDKYDLYGQVAMPKHHKQSDVPEIYRLGAKTKGVFINPAVIEPFGLTLIEAGAHGLPIVATKNGGPVDINRVLDNGLLVDPHDQQAIADALLKLVSDKNLWTRCRQNGLKNIHLFSWPEHCKTYLSRIAACKQRHPQWQSTDFENSDPDSPSDSLRDINDISLNLKLSLDGEKGEGKSTNLDAEENSGERKAKIEKAVSTLAPKSTSPDKVYGSGKIPTLKRRKYIFVISVDCDKASDLLEVVKTVIDVGGRNGSSIGFILSTSMNVSETHSTIISGGLNPQDFDAVICNSGSELYFTSSASEDKTKLPYALESDYHSHIEYRWGGESLRKTLVRWISSVHEKKKRQHDGEILSEDESSSSNYCLSFKVKEPTLVPPVKELRKLMRVQALRCNAVYCKGGTKLNVIPVLASRSQALRYLLVRWGVDLSKMVVFVGDSGDTDYEGLLGGVHKTVIVKGVASDATARVLHGNRSYPLEDVTPVNSPNITEAEQCDRDCIKAALEKLGVKI >A03p035880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15039067:15040019:-1 gene:A03p035880.1_BraROA transcript:A03p035880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLSRVTLRPFSLTGAHDFLRWASDVRSFTSEEEALVFMRDACLPHPWRRSISIDDRSIGFISVFPETGDDRFKAHIGYGLSHEYWGKGIATRAVSIAVPQVFNDLPHVLRLQAFVQTQNKASQRVLEKVGFQREGLLRKYSYVKGEIHDVFVYSLLSPDLLPLP >A07p023900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13795991:13799958:1 gene:A07p023900.1_BraROA transcript:A07p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVSRFPIDSDALEASGLPWGLTVTPFAAKDENGIAPARGSNGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLPSDAIARYSNPHSIPEMSSSFIDLELPLDGSEEEMTQARPVYVAAIDLSSSEEFLELTKSSLLAALEALSPGSLFGLATFSHKIGLYDVQGPVPVVKNVFIPPDAESKLPLELEDAMPLLQFLAPVETCKDRIAAALETLRPITSWERSSGAAQGMDGVLMGGRGFGTAMEALFNYLGSEFGNTFALARVFAFLSGPPDYGRGQLDTSRYGEQYASKRVDADRALLPEQTPFYKDLATIAVQSGVCVDVFAVTNEYTDLASLKFLSIESGGSLFLYSSTDDSTLPQDMFRMLNRPYAFNCVLRMRTSTEFKPLHSFGHFFPDPQYENLQHIICCDSYATYAYDFDFADNTGFSRHSGYPPVVQIAFQYTVVVPPEGLSSSELPSASSRGKHTLQRRLRIRTMQFSAAQNINEIYDSVDHEVVLSLLVHKVILVSLEDGVREGRALLHDWLVILTAQYNDAFNLVQYKNVNKSMSSQIDITFSQCPQLEPLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSVLEPSALHCGIYPSLMSYSTPDKQAYPRHSLSRAALMTSGSPIFFLDAYTTLIVFYSSTADPSLPFPPPQDCLLRKTINEVKQGRSITPKLMFIRGGQDDATAFENYLIEEQDVDGSGFASAMGFVAFLDDISQSVAEYMK >A08p043810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24346522:24350484:-1 gene:A08p043810.1_BraROA transcript:A08p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRSLLDGFKGFWEERLSFLENYTRFTKRDTPLPSWSSSDVDEFIASDPVNGPTVLPPLSLSLKTAREAAAFGATGAALGAVSTAAFSWKYSRSPHGTALSFLGGGLFGWTFGQEVANHTMQLYKLDTMAAQVKFMEWWERKSQGRIGRGRRVMSCSMRSSSASCFNVLLIMSLMVLSLSADAYKNYTVGESKGWFDIQERPSVNYQKWADSKSFSLGDFLIFNTDSNHSVVQTYDFKTYKSCDYNNNEDNSTKEWSAAKPSATSPVPVSVKVPLVKEGSNYFFSGNYDGEQCKFGQHFMINVTHGQGLPALSSPDEDDETAPGPGQSSQSGDDEVAPDTIVPANFDHPKDIESDDGDSLVKGRKNSSSIAKYNLLCLVFMGFLASFF >A03g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32378700:32379760:-1 gene:A03g510100.1_BraROA transcript:A03g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLAVVALDGLVDADRPCTDAIFYGKLSQFPYVGVKNGCDEVNIQNISSYDELCGRNTRHRRKARIKVERSLYSDRARVPLGRYTRHRRKAQKKVARSLRSDRALPKRRYDTNPCILVYPFMLSPEDRSEPISFVINASSQKTAQRDLRHDSKPTLRFLNQQHVNHRTVYAWFATKGKCQVSADKYEILKIITEIGKNRISPFLGYDGLRAEGEKPNLALRAIRQLLVFFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCKRSYANSE >A03p068860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30169867:30170524:1 gene:A03p068860.1_BraROA transcript:A03p068860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLQLVKKLGFVFLLVSASMFAFSSAGRPSILIYSQDDNHQELVERRIHEHERILKMNSRDYGQFNPTPKLFRPPSKLIPN >A05g510240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31784292:31786397:-1 gene:A05g510240.1_BraROA transcript:A05g510240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSSSLFNLSLIRFRSLSSSRFLRLPPSPLRSVSAQLSPRKFRAFSGGAAMTTDAKDAGMDAVQRRLMFEDECILVDETDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSNYELLLQQRSKAKVTFPLVWTNTCCSHPLYRDSELIEENALGVRNAAQRKLLDELGIVAEDVPVDEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVEPNPDEVAEIKYVSREGLKELVRKADAGEEGLKLSPWFRLVVDNFLMKWWDHVEKGTLGEAVDMKTIHKL >A07p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19579884:19583198:-1 gene:A07p036490.1_BraROA transcript:A07p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 15 [Source:Projected from Arabidopsis thaliana (AT1G73670) UniProtKB/Swiss-Prot;Acc:Q9C9U4] MGGGGSNLVDGLLRWLFFQRRPSSSSNTHDQIHNPDNLVDNDGDSKNPNGIEDLDPSKLKLIKVPKRDHSPMDAQKKIQQVVGKGSYGVVGSAIDTHTGERVAIKKINDVFDHISDATRILREIKLLRLLLHPDVVQIKHIMLPPSRREFRDVYVVFELMESDLHQVIKANDDLTPEHHRFFLYQLLRGLKYVHAANVFHRDLKPKNILANADCKLKICDFGLARVSFDDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLLGKPLFPGKNVVHQLDIMTDFLGTPPPEAISKIRNDKARRYIGNMRKKQPVPFSKKFPKADPSALRLLQRLIAFDPKDRPSAEEALADPYFKGVSSSEREPSTQPISKLEFEFERKKLTKDDVRELIYREILEYHPQMQEEYLRGGNDLSFMYPSGVDRFRRQFAHLEENQGQSGRSNALQRQHASLPRERVPAPKNETDEESSNDIERRISAVVASTLDSPKASQQPEGTDNGGGYSARNLMKSASISGSKCIGVQSKTNIEDAIAEEQDEIVEKVASLHNT >A09p070930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54901516:54907637:1 gene:A09p070930.1_BraROA transcript:A09p070930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGDPRKKSGWVMLQVLLLHLLVQSVHSQNSRNKLNITNPGQSIPGLAVQLRRVSNEKVVVDNGIIQVTFSSPQGLITGIKYNGIDNVLDDEIDDRGYWDVVWYEPEKALEIDKLEGTKFEIITQNEEQVEISFTRTWTISKRGSLVPLNVDKRYIIRTGVSGIYMYGILERLEGWPDVDMDQIRIVFKLNPKKFDFMAISDDRQRSMPSMADRDNAKILAYKEAVLLTNPKNPMFKGEVDDKYMYSMEDKDNNVHGWISSDPPVGFWMITPSDEFRLGGPIKQDLTSHAGPITLSMFTSTHYAGKEMRMDYRNGEPWKKVFGPVLAYLNSVSPKDSTLRLWKDAKRQMAEEVRSWPYDFVNSEDYPLSHQRGTIEGQLYIKDRYVSRLNIYGKFAFVGLAPCGEAGSWQTESKGYQFWTKADRKGRFIIENVRAGNYSLYSWGYGFIGDYKYEQNITITPGSQMNVGPLVYEPPRNGPTLWEIGVPDRTAGEFYIPDPYPTLMNKLYVNPLQDRFRQYGLWDRYADLYPQNDLVYTVGVSDYKRDWFFAHVTRNVGNNTYDSTTWQIIFNLENVNRVGLYTLRIALASAADSELQVRVNNPKSDHIFTTGLIGKDNAIARHGIHGLYRLYSINVAGDLLSVGDNTIYLTQSRSVGPFQGVMYDYIRLESPFTT >A01p004910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2124381:2126859:1 gene:A01p004910.1_BraROA transcript:A01p004910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At4g33700 [Source:Projected from Arabidopsis thaliana (AT4G33700) UniProtKB/Swiss-Prot;Acc:Q8VZI2] MAAELVCCEANFFIHIAVIAFLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPQHRKYAEKILPVVKNQHLLLVTLLVCNAAAMETLPIFLDALVTAWGAILISVTLILLFGEIIPQSICSRYGLAIGATVAPFVRVLVFVCLPVAWPISKLLDFLLGHRRAALFRRAELKTLVDFHGNEAGKGGELTHDETTIIAGALELSEKMVKDAMTPISDIFVIDINARLDRDLMNLILEKGHSRVPVYYEQPTNIIGLVLVKNLLTINPDDETPVKSVTIRRIPRVPETLPLYDILNEFQKGLSHMAVVVRQCDKIHPLPSKDAKDERVKEVQVDVDGEGTPQERMLRTKRSLQKWKSFPNRASSFKRSKTKKWSKDNDADILHLNGNPLPKLAEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDS >A07p043250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23605335:23606362:1 gene:A07p043250.1_BraROA transcript:A07p043250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGAFGGNRGLRPIPPEKGIFPLDHLHECDAEKKEYLGCLKSSSNKSEQCRHLSKKYLQCRMAKNLMAKQDMSELGFSGVKELDSAGDKNKESLDH >A09p038170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:2297:7934:1 gene:A09p038170.1_BraROA transcript:A09p038170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYSTEKASSVQSAILYDCDAEALSVEVLLDTPPGSPKNCPEARGDQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVLTLSPKSGLESRLRVEAASSLSNIRSWSKVCDSDRIVPSPSRSASGQWCWVGRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVRPNSPTLRTRTVRYTQDVRQHTQDVRGCPCVSVSAHRTSVSTHRTSVSTRRTSVSTRRTSVAVRVCPCFRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVCQHTQDVRQYTQDVRQHTKDVRQHTQDVRGCPCVSVCPSAHAGRPSVNTGRPSAHKGRPWPSVSTQRTSVGRPSAHTGPSSVHRGSPWPSVRPTQGVRQHTQASVFVRVSVRYTQASVSTPGRPSVHTRRSSAQTGCLVGVSVSKPSMLALSVDCIGMLTTISAAALLRGLSVPCTDLDKLMPPCQLHLIAAGPSRMD >A06p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6478973:6481090:1 gene:A06p014420.1_BraROA transcript:A06p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKGETQWSSIIVPSVQELVEEKVITTVPLRYVQSDQDKSGVTDDSGLIPDIPVIDMKRLCSSAAKDSDSELVNHGIDQTFLDKTKLETHDLFSLPMEEKKRFWQQPDEMEGFGQAFVLSEDQKLDWADIFFFTMQPTQLRKPHLFPKLPLPFRDTLEMYSAQVKSIAKTLIAKMGDALQIKPEEIEERFRDDMFQSMRMNYYPPCPEPNQVIGLTPHSDAGALTILLQVNEVEGLQIKKDGKWVFVKPLPNAFIVNVGDVLEIITNGIYKSIEHRVVVNSEKERLSFATFHNPGLNKEISPAKSLVEKQKKCAKFKSLITKDYLKGLFSRELYGKAYLDAMRI >A04p037980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21639151:21640228:1 gene:A04p037980.1_BraROA transcript:A04p037980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPSYTMEINNFSQRNAPIRSNLFRSYSCNWYVTVYPKGNGINTHMSMYLDVANSLALYQGWGRRAKFRFVIVNQSNVARSKRLATSHTFNKTWPNLGFKKALRLTKLQEEMFLVNDKLKVEVYVYVYDIMGILDTHVLPEKDTTVCVNGFQVLDSQVKSANIIFETYPETALYIYPQDPQLKTAYMNILLRIYETLYNNPLEKLTESELSKVSKDLLDLTQAGFKLEWLREKLEKVSVERKKLAGYEAQALELGKQLKNLEMMMCNLKAEIKLKAES >A02p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12898417:12901777:-1 gene:A02p024210.1_BraROA transcript:A02p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLNPEPSFSISSLQIKRSTYSDALPPSCLRFPLTNFNGRSRRRKLLRPHGGGLKMKAVLDSAMMEQFGLKESDIKNPALSSTYRRSEIPKANPTVLDAQARVCTGPTQTRPLSEEQAFKVFDTILRSARGELKGEEPVSKAQLGAFFAGMTIRANAFPEETQWSEGEKRAMDVFWPLLVRALPPDVLFIADPEGSLLGTGNSVGPSFVGNEAKEMRLVGALREVLAGGHLGYEEVKGVLRDVLPLGSEDGGLASGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGGAPVADVKSLTHYGEPYDGNTRFFRSTLFVATVRACYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTSLSVHQAKELIEVPTIVLTNVPQGSIASLTLYDPDEKAGFAYLSLREARPSLYSLMGMREHIKKRPPLATTEKVQQFVRATGKESIVAGFYHEGYEEPLLMLMRRRGVHSGLVVKGEEGALSMTTRVRAATASKGFPVNYCSGFRSLSSETALEADGVSRQSFNLEVDARNYGFEPTETPRTDRSVSKNIELGLAALRGEKGAAYDRIVLNAGIVDHLLGSEGAEDVAVAMERAKEAIDSGKALKKLLNYIEISRKMK >A06p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1988826:1998841:-1 gene:A06p006010.1_BraROA transcript:A06p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g08070, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G08070) UniProtKB/Swiss-Prot;Acc:Q9LN01] SQSCRLEGREHGKSRGLVTYPYKIVCLKSLNKLFISLSVFSKIEQKMESSSVQCIFFVFIILNFISLSTAASSHGEVEDEHEFNYKKNDDKGPERWGEIKPEWEMCGKGEIQSPIDLMNERVKIVSHLGRLIRDYEASNATIKNRGHDIMLKFEAGAGSIKINGFQYELQQLHWHSPSEHTVNGRRFALELHMVHEGKNGRMAVVTVLYKIGRADTFIRSLEKELEAITDLDDAEKHVRMIDPKQIKIGSRKYYRYIGSLTTPPCTQNVTWSVVRKIRTVTREQVRLLRVAVHDDSLTNARPVQPINKRVVRLYRPRDEREFNYKKNDDKGPERWGEIKPEWEMCGKGELQSPIDMMNERVKIVSHLGRLIRDYEPSNATIKNRGHDIMLKFEDGAGSIKINGFQYELQQLHWHSPSEHTVNGRRFALELHMVHEGKNGRMAVVTVLYKIGRADTFIRSLEKELEAITDLDDAEKHVRMIDPKQIKIGSRKYYRYIGSLTTPPCTQNVTWSVVRKIRTVTREQVRLLRLPDQCEAGSTNKQAPGSLIQTKISLSYPFHFLPSSCDPPYDILQTHPSLSLLSNCKTHQSLRETHAQMIKTGLHNTNYALSKLLELCVVSPHFDGLPYAVSVFETIQEPNLLIWNTMLRGHASSSDPVSALELYLRMVSIGHLPKPYTFPFLLKSCAKSKTFEEGRQIHAQVLKLGCELDRYVHTSLISMYARNGRLEDARKVFDTSSQRDVVSCTALITGYASRGDVRSARKVFDEITERDVVSWNALITGYVENGSYEEALELFKEMMRTNVRPDEGTLVSVLSACVQSGSIDLGRQVHSWVVDNGFGSNLKIVNGLIGLYSKCGDVETASGLFEGLSCKDVVSWNTLIGGYTHMNLYKEALLLFQEMLRSSESPNDVTMLSVLPACAHLGAIDIGRWIHVYIDKRLKGVTDGSSLRTSLIDMYAKCGDIEAAHQVFNSMLSKSLSSWNAMIFGFAMHGRANAAFDLFSKMRNNGFEPDDITFVGLLSACSHSGLLDLGRHIFRSMTHDYNITPKLEHYGCMIDLLGHSGLFKEAEEMINTMSMEPDGVIWCSLLKACKMHGNLELAESFAQKLMEIEPENSGSYVLLSNIYAAAGRWEDVARIRAVLNGKGMKKVPGCSSIEIDSVVHEFIIGDKLHLQSREIYRMLEEMDVLLEEAGFVPDTSEVLQEMEEEWKEGALRHHSEKLAIAFGLISTKPGTKLTVVKNLRVCRNCHEATKLISKIYKREIVARDRTRFHHFRDGVCSCCDYW >A07p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12334471:12335905:1 gene:A07p020950.1_BraROA transcript:A07p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEGTEVYEEGEDSIDVNNEVELEKEGGGGDEKVLQFLDSLDEYLTLMDSLNSKLRDGWFDLASARHSMGTLRINSTLLDLKFHPAASTLQVTEQDVESLGSVPRFALSKWASKGGSGKGKDFSTDADSEIGSPRSPQLRHRGGVSEEKPSAMGETVLAADEEVKREREKSLSVFGGLVSPKLRGAQLSFETALETLVEIANTRSSMLTAFERITKK >A01p017910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8711749:8713943:1 gene:A01p017910.1_BraROA transcript:A01p017910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSPKVSIFGAAFPAKVSTIAIAIGGLASFFVFGLLLRLSYPIGSSVSGVFYGNATPELVQVPLSLSNHTVEGLYTGSDVSVSDQNLTSHSSSGGPDAVASENIPPPGFDSDKKLLVDGKEETAEKKTDIGSGEGEGEGDTNVSKAGDTPSVVSSPPHDDSKTASAEPGTGLFKDECDLYQGSWFYDPEGPVYTNNSCPVITQMQNCQGNGRSDKGYENWRWKPSQCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQANCCFQVETPVNRGSRKMQRWLFKSSSVMIARIWSSWLVHQFNEKFDYAPEGVTKLKLDLPDERIIEALPKFDVVVLSSGHWFAKQSVYILNDEIVGGQLWWPDKSKPMKVNNVEAFGISVETILKSVATHPNYTGLTIVRTWSPDHYEGGAWNTGGSCTGKEEPILPGKLVKNGFTEIMHEKQATGFNRAVEGVSESSKVKLKLMDITEAFGYRHDGHPGPYRSPDPNKITKRGPDGRPPPQDCLHWCMPGPVDTWNEMVLELIRRDVEGGKRNS >A05p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20086661:20090040:1 gene:A05p035460.1_BraROA transcript:A05p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFCHSSYRVIEILECVIVDKKPVKSLISNKKTIFLILYRIFCFVHHQEMGKRDEKAEAVLRLLRKQTPLTLKQEKFCNRDCVERFLKGKGDNVKKAAKQLTSCLSWRQNFDIERIGAEEFSAELADGVAYIAGHDGESRPVIMFRFKHDYQKLRSQKQFTRLVAFTMETAISSMSRNAEQSVVLLFDASFFRSSSAFANLLLATLKIIADNYPCRLHKTFIIDPPSFFSYIWKGVRPFVELSTVTMLISSLDYDEQLDISHVSSSACLRSASLRFDPSSIKSTAKIGSASSRFAFTVSHNSMKPWYLSLTDTSPFHAAVDSTASKVSPLSVRSLSFASPAGRGLRDPKPAACRKSLFPSTPLPEKTKTVPHRKTPRPSFFQSPAMFFRGEKNVGGGEKSSREAFVPYLKFYRRPYDETAYRSKLRGPRGFVSVVSSHRRCRHVSLSQRF >A03g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19343857:19345999:1 gene:A03g505440.1_BraROA transcript:A03g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVPLGSHPPQHDRAFDSLRLGRSSQTIHARLLRFWDTPNMINSNEIRGITMVLLDEKDSSINAFIPAEWAKLYRQCLQEGQIYEVAHFEVDSCPYMYKTTEHTFVIRFIAQTSLHKVINNGPVINLHKFMIRNSDHLHILANTNLELPDVVGVIKSVKGFGLLSTDIISPILIRFLISPNVEVYLSLLDEAAARFKGLLNSGESTKSVMVVTSLNPQKKGDHLYLNSTAATKFYFGNNLAAITEFTMSIDSSLSDAIGDDLPSLNAETVITTKELSPPGDLSKFLSNSSTQEAYFTCIARIVEVVAQKGWYYVSCTHCGKEVGNSATSHPCNQCHDTTATTVVRYKVELLVDDGENYATFLVLDNEMMKLTKQGAATLLDDEVNRRLRNRLPKCIAELQGQKFIYHVNVTTDNLTDNRPTFTVSGMSAILNKEILTINGKQKKGKMEYGESSTSAAATYTSANEADKDGPTYSTE >A07g500400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:914145:914486:-1 gene:A07g500400.1_BraROA transcript:A07g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWANKDPLATWLSGMSKTCSPYGELVRPGPAHHMARWSVQGPARHMASWANQDPLAIWRADIYNPTHHMANRDCTLSISCTLISSLLLPPSSLGYIVSCFISIGVTVETLR >A02g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18130489:18132271:1 gene:A02g506390.1_BraROA transcript:A02g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERCLGANRCRRIQRALRHLKVTVLCLVLTIVVLRGTIGAGKFGTPEQDLDEIRQHIYTSRKRAEPHRVLEEIQTGGDSSSSSSSSGGGGGGSNNYETFDINKIFVDEGEEEKPDPNKPYTLGPKISDWDEQRSDWLAKNPTFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKDYNLVMHGWNEMVYDEKNWIGLNTGSFLLRNNQWALDLLDTWAPMGPKGKIREEAGKVLTRELKGRPVFEADDQSAMVYLLATQRATWGNKVYLENGYYLHGYWGILVDKYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNPLEMKDELGLLHPAFKAVKVQQTNQV >A10p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21223401:21224337:1 gene:A10p037700.1_BraROA transcript:A10p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHPLLSHVPTSDHRFVVQEMMCLQTSTWTKEENKKFERALAIYADDTPDRWFKVAAMIPGKTISDVMTQYSKLEEDLFDIEAGLVPIPGYPSAGFDQLVSPYDHDLYRKRPNGGARGFDQDRRKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVGSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTVNLLNTNITRPSSDHDRFLQQPDESKLGFTDKGNAEEGVMFLGQNLSSVLSPYEPAFKFTGTNVYGGGAYGLARS >A10p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11145765:11153349:1 gene:A10p008130.1_BraROA transcript:A10p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQLDNPHQQLWLHLLFTTFVIPRFAFLAVCHMISLLQALSFPLRLPLSHKLKKPQHQQSISDFPFLPLPADAAFQILNNPQMDTSYRPSLVEGNGSNTQVLPVSGSDIFLLHGAVMSLLWLRIPLVQYDGDSKDATTTKSLVRRNSHSPPRKSSSADAPPRPPPSSSSYAGKAKMGTDRSLERLAPLPFNDKGVPQVKIPDVVFNRGAEAHKDIVLGVFTGKTPSYSQIQSVLTHIWGKGSKLVIHLRPASNSMLVKIPNDFIRQKVVEQEIWHISSSMFFVAQWSANFAINPPTMDSIPLWAHVRGVPFDLYMKEGLSLVAGLIGQPVEADEFTIRMVSLEVAHLKVRADCTKPLPPVNRCPNAKWAPASKVPPPYSDPDTGSGLPNLNQESSSNSNPTMATPSKSRRKAKSKLNPAAVLDPSALSKTAPGSVSTSFQAAPTDSPANSAPAGPLQMVMLNQDSQAAIIPYASGVGPSEGKPFINSASKKRKGSHLSKRSSPDPIGGDSIPSLFTELSLVNPFAILETRNLGRHNASTFSFNSKEPDGDMGISIPDSDSFANSHESSTPAKGSPPPGEENFQNFNDEDGRIIIFWTSPAAVTVMHKTRQSCSVTYPGIPTFIMTAVYTDNTVEERKILWNTLLEEKDNVSFHNSPWILGGDFNEIIHPAEHSSPSFNSSSPQMIEFKACLDELEVRELRYHGPPFTWINSKPDDPIAKKLDRVLINEEWLLTFPHSLAHFIPPVISDHTSSIINLEVEPPVAGTKPFKFFNFLTSHPDFLATILEGWENGESWTLAPARSPEMEQVQIYLSIITLLEDPDYPEWIQNNASSANTNKFISAQIYDSIRESRPQVPWHRIVWLKKGIPKFKTLTWMFVQDRCPTRNRLLSWGLQTDPLCLLCNLHPECRNHIYFQCSFSMGVWRNLSSKLGLIVSSDEWDDILQALIGLTGNKHLRYLTILAWQSAIHEVWRERNNRLHRSSFKSIDVIISTISSIIKNCISAMRQTQPLESSACMKLWFSLP >A06p047060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25047677:25049391:-1 gene:A06p047060.1_BraROA transcript:A06p047060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMVSFSTSTSHAHPPSSAYNRRPAERKVAVRCVSFSPREPKHTSNDSSLALRETRQRKWVKSTEEAKERYSKEIQDEVNSKIASRKAISIILRREATKAIIEKKKGPTNSKKLLPRTVLEALHERITALRWESALQVFELLREQLWYKPNVGIYVKLIVMLGKCKQPEKAHQLFQEMIGEGCVVNHEVYTALLSAYSRSGRFDDAFTLLELMKSSHNCQPDVHTYSILIKSFLQVFAFDKVQALLSDMRRQGIRPNTITYNTLIDAYGKAKMFVEMESTLIQMLGEDDCKPDSWTMNSTLRAFGGNGQIEMMENCYEKFQSSGIEPNIRTFNILLDSYGKTGNYKKMSAVMEFMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMQSERIKPSCVTLCSLVRAYGRAGKADKIGGVLRFIENSDVRLDLVFFNCLVDAYGRMEKFAEMKGVLELMEKKGVKPDKITYRTMVKAYRISGMTSHVKELDGVVESIGEAQVVLKKPDF >A05g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8084632:8085150:1 gene:A05g502570.1_BraROA transcript:A05g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFHKLLTLSFESDKERGWQVVPLLLKNSPNLETLVIKGLVHQVTDKCGDACVCIAKKKKKMEEEEEKVCCLSTCQVKVLNISGYGGTGRELKQMRHFLVNLKCLETVKVGLVAENHHEDNSVNNNYQRITNALTKLPRASSNCQIHFF >A03g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15633675:15634651:1 gene:A03g504420.1_BraROA transcript:A03g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMHPDVYVPPSLEIKFELEAKGRNIYRRRDNLEKLKTQLASREKFYGKFLLSNLSTSKFQLECGVSVPDDKRLFQLVSMSGALEELYYRGKTSFPKK >A05p054730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31321747:31324080:1 gene:A05p054730.1_BraROA transcript:A05p054730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAEKCLYHRKLLEMKVKYLGETKIDKFKISLVFRSRMSLWMIRVMTILLIWSCFVHLVALGGMWGPRLLKGWPCCFNHHGFLMAAQEKSSLPMKIALPPKRIYQNNGYLMVSCNGGLNQMRAAICDMVTIARYMNVTLIVPELDKASFWNDPSEFKDIFDVDHFITSLRDEVRILKEVPPRLRRRVELGVYHTMPPVSWSNMSYYQDQILPLVKKHKVLQLNKTDTRLANNELPVEVQKLRCRVNFNGLRFTPKIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCSHGCNRYEEEELTRMRYAYPWWKEKIINSELKRKEGLCPLTPEETALTLSALGIDRNVQIYIAAGEIYGGKRRLKALTDVFPNVVRKETLLDSSDLSFCKNRSSQMAALDYLISLESDIFVPTYYGNMAKVVEGHRRFLGFKKTIELKRKFLVDLIDEYYEGLLSWEVFSTRVKASHGTRMGGPKKRLVIPSKPKEEDYFYANPYECLQLLRESSGNSPEETM >A09p054620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46988924:46993183:-1 gene:A09p054620.1_BraROA transcript:A09p054620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 1.3 [Source:Projected from Arabidopsis thaliana (AT1G22150) UniProtKB/Swiss-Prot;Acc:Q9FEP7] MSGSAHPMDGEGELSAADMSSPRQTNTPFVHKVEVPPKQNLFNEFMYTFKETFFHDDPLRHFKDQSLSKKLMLGLQSVFPVFGWGRNYNLKMFRGDLIAGLTIASLCIPQDIGYAKLAGLDPKYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGTLLRAEIDPTTSPNEYLRLAFTATFFAGVTQVTLGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTSIVAVLQSVFSSAHHGWNWQTILISISFLIFLLVCKFIGKKNKKLFWIPAVAPLLSVIISTFFVYITRADRKGVKIVNHLDKGINPSSLRLIYFSGDYLAKGIRIGVVSGMVALTEAVAIGRSFAAKKDYQIDGNKEMVALGAMNVIGSMTSCYVATGSFSRSAVNFVAGCQTAVSNIIMSMVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLIDVNAAVLIFKIDKLDFVACMGAFFGVIFASVEIGLLISVGISFAKILLQVTRPRTAILGKIPRTSVYRNIHQYPEATMVPGVMIIRVDSAIYFSNSNYVRERIQRWLIDEEEKVKAVSLPNIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLVLANPGPLVIDKLHVSNFADMLGYDKIFLTVAEAVNSCCPKHSDEV >A07p047720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25570609:25573779:-1 gene:A07p047720.1_BraROA transcript:A07p047720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAASSSCYASPLCTWFVAACMSVSHGGGDSRQAVALKSSGRSRRSRQQLTKCSGSGSSTTSFGPCNHYNALSSLFGSSSVSLNRNQRRLTRAATASSGGGAMAVAMDMEKEAKVDNKPPTEQRRVVVTGMGVETSLGHDPDTFYENLLQGNSGISQIENFDCSAFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALVDGGVTEEVMAEFDKAKCGVLIGSAMGGMKVFQDAIEAMKISYKKMNPFCVPFATTNMGSAMLALDLGWMGPNYSISTACATSNFCILNSANHIIKGEADVMLCGGSDSVIIPIGLGGFVACRALSQRNNDPTKASRPWDSNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIERALADAGISKEQINYINAHATSTPAGDLKEYQALAHCFGQNPEIKVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLESPDNGVDTNLLVGPEKERLDIKAALSNSFGFGGHNSSIIFAPYK >A09p031930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19353359:19354887:-1 gene:A09p031930.1_BraROA transcript:A09p031930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFSLRRQISNSNGIYRRNIHSGLEDAGPMASKLKSRSVVRFSGPDTVKFLQGLLTNDVRRFGESAGEKSSTVPTPNMPSVSTPPMYAALLTPQGRFLYDFFLYSPTRPDEKLDRTGSGPGSDPGRDGSVELFADVDVSVLDELLETLKKRRNLFLVKGLALTCSVCGMFDRYRLRSKVDIENVAEEFSCWQRYGRNLSGSSSVGWGGGVDRAGESTASGNKYGWQWYEDPRLDCLGYRSIFPSDATPPLVEADKETDESNYLLWRLEHGVAEGSSEIPKGEAIPLEYNFVGLNAISFDKGCYVGQELIARTHHRGVIRKRLVPLRFIDSNGKEVNQKIAAGAEVVESGSGKKVGTVSTALGSRGMGVMRVEEAFRASGELSVSSGSEEVKVEAIRPTWWPAEWFQQNQSGVASA >A09p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16946849:16949270:-1 gene:A09p028130.1_BraROA transcript:A09p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVQVQHYNLGSADSYIGSSLHDLNSVDGPPRDIDGIGGSVAHEGDRLDNDGHSSSANCMHESYTNSLQIHNDGVEEGGSNMDNKEPSGTSYNMLTIEDVSPIESARGRFLQIIVDYFISQHVVEVCENKRDHETDSGSSNKSKRKSDDTQYEGDPSFALPLMYIANLYETLVGEANVRVGSLNGIREKTLGVALEAAGGLYRKLTKKFPKKGTCMYRRRELATSLETRTRFPELVTHGGEKRVRFVVVNGLDIVEKPDDIPVEDAEWFKRLTGRNEVAVSARDYKFYCPRHKHRRVHSSACSIHGLPTFPGMDPSTLATVSEDQSQQQQQHTPSPSKHHMSSMSHHPHQFHQSIHQSHHQHHQSVYQNQHAATHFPGQNHQCDPELSQAQHQSPSISQHMACLQPLTGGHVMATGPAKFCDQCGAQYLRETSKFCSECGAKRLGI >A10g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2406619:2407651:-1 gene:A10g500820.1_BraROA transcript:A10g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLSRHVSDYRFFRGLHWPVTVFIGVDFFEIRVAVFFEIFLTRALPLPMFCYYSIIMNLSTISAVVAIHHPVMYSSASYISRSSMVPPSLTLLSLTPPTLTPLSLTPPSLTPPSPTLRSTLSASVDRSVHCWSPHPVLGFSEHQQRRIPEPNEATNNGLSGSTEAESVDHRVGDGGVSNGGVRDSGVSDDSVRDSGVSEGGT >A02g506030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17411057:17412070:1 gene:A02g506030.1_BraROA transcript:A02g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNHEANLYGFYTQEGVQVNWNWAKIFTEKEVMNFTSQRFLSPSICEYANLEEDSSPKKKRPEPKPIIGVKRSLLAFQKAQDLEKWSRKLEDMINFPKLAKPALHLPYLEDSGFTSNQPQEWQPGDLLSHSEALYNIIGSTLPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQFGSTQGYLWEPGDTLDHSEYIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEEFLQSFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQISLLEGSKSSLTAIFHGVIKAFAPKTLSSSYFVSFYHFMTVRVCPCRAYKALVFSSL >A10p028710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17771739:17774203:-1 gene:A10p028710.1_BraROA transcript:A10p028710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPRKGYPLGGLGQKQGGGVVITGVEGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLRFTKTAIQAKPDSVYFVVSRGAEVDVKKLNKRSAPPRFGRKLTETQKASIARATHICLDCGFIYTLPKPFDEQPETYVCPQCIAPKKRFARYDVNTGKAIGGGLPPIGVIVGLLAGLGAVGALLVYVMSKAKDFKEAFKKMDQYNNGEISWEELNFHGIRNRSLPMTMSQVDNMFGELETDGEDRVFGSASKHLVNQDHSSLPVKHEDVINEELKKEVSILKHDNNAKKIENQVEIPKTPVVEEKSSKELEDWLGPLKPRTCETRTPILFPFSSSFLYYALDLTCSPRIKKNRNQNSSPHKPSRTEMALKWVVLGYAAAAEAIMVILLTMPGLDGLRRGLIAVARKLLKPFLAIVPFCFFLLVEIYWKYHTRPSCYGDSCTPSDHLRHQKSIVKSQRNALLIASALTFYWILYSVTNLVVRIEQLNQRDDRLRNRV >A02p022420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10644681:10645861:-1 gene:A02p022420.1_BraROA transcript:A02p022420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAICGRLALAPSSLFNSKSGDKHSVSKGPWVNNRGVLMIMSAMGKGGGVLDKPIIEKTTPGRESEFDLRKSKKMAPPYRVILHNDNFNKREYVVQVLMKVIPGMTIDNAVNIMQEAHINGLAVVIVCAQADAEQHCMQLRGNGLLSSVEPDGGGC >A09g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4249661:4250200:1 gene:A09g501280.1_BraROA transcript:A09g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTNIRSVDALLLLVLRTKPCSLRLLRRWNRIRERASGGSATLTQSDLANTNTDLPDNVGELMGIQTIFNEKSQSTKRIMASWQR >A03p023750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10026592:10027754:1 gene:A03p023750.1_BraROA transcript:A03p023750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVKHQGLVTTSTDKGKSTAEDSESSSDSGPPEERPAVAYTKRKNGPDLEKLDALYMPDILAMRSWSEDEYEEYDDYLIVKWEINGFDKNDKVISEASSLGSPLQLGGSIVSNFQHVAADLFHLFSKGRPDLKNMHNWSGEDYDSYDLFLINKWKVSGFEEKYAAYVGIRDPALWFSRPPRHTRRLHLTKVLSTHLADNFSFIKKKKDICFTSSECCLLFFLLCLPNFLDVQESPC >A09p053590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46479131:46479780:1 gene:A09p053590.1_BraROA transcript:A09p053590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 8 [Source:Projected from Arabidopsis thaliana (AT1G23140) UniProtKB/Swiss-Prot;Acc:O49303] MEDLVGLLRIRVKRGMNLVSRDSQSSDPFVVVTMGSQTLKTHRVENNCNPEWNDELTLAFNDHNQPVILEVYDKDTFTSHDKMGDAEIDIRPFLEIQAMGLQELPDGTEVKRVKPSTDNCLAQESRITFSNGKIVQDMILKLRNVQSGEVEIQIQWIRVP >A09p060130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49978730:49979773:-1 gene:A09p060130.1_BraROA transcript:A09p060130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKDASARPSTMMPHEKLRRRQQMQAVLAIQRQQQQFRHQVLIADQYITQNCCQGNPLQLVEKSNLEGLIPSFDPNSSLELGDSGNFSAAVDNSSEFSVLYRLQDVVAKVRDITLVIRLARMTRSAIPKEKSRYRPLDMLAAKHMEG >A05p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9457482:9461164:-1 gene:A05p020150.1_BraROA transcript:A05p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSFDVTDYEWDTEKSSDLGSVSGSSPLTSNLGKRLKAKRISLMKKRSSNRLTSVSGEREPAARLDRQDSTALTALRFISKADGVTVLLAGPPSRIGLLRSRPLVVDCCQGMDSIDFALELFDALARRRLMTLDTIDGDQLREFWEQISAQSFDSRLQTFFDMLRLLIFIHFDLLIDSDANGRLTEDQVRQIINLSSSTNNLPNIQKRTDEYAAMIMEELDQDNIGYIMIESLETLLSYAETQHIRRDSEGSKKLSHMLSLKLNTTRDPKPLKGWYSGLRHFVSDSLQMTSMYLAVPVAFPACEILIRPFRSSVSTVTIRKVAIYPGNVLTLHLSRPKNFKYESGQYMFVTCPTISTFEWHPFSITSAPQDEDLSIHIKVAGDWTNALKEVCEPPPVRDKYLRAYSCEEMNPYSFPKIMIDGPYDAPAQNYKKYNVILLVGLGIGTAPMMSIIKDIINNVEAKEHSQINQMEEGTQRHQQGEKESMKTRKAYFYWVTKDQGSYSWFQNIMNEVTERDTNGVVEVNNYCTSIYEEGDVRSVFIRMLQSLNHSKNGVDIVSGTRVMTHFAKPNWKNVYKQIAMDHYGSHVGVFYCGEVSLAEELRQLALEFTHKTETRFSFHKENFYPPC >A06p023420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11739394:11742427:1 gene:A06p023420.1_BraROA transcript:A06p023420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLIKNWSMVDVPIGGKPVSSASELTDILTWNCYMLERKEVDNSRCIHILYNQYTYKDVFEQYELRYSLKASPLEPVTKITKGLKENCILRVGGFGKVYRVDILDGSEIAIKRVYLMVQNKECKSRFQKFATVGRLGNMKLVQLCGYYKHEISDEDERAVYGGNWYRDVYKETCLFLKHVVGTFFMKSLKMGCSLALSLVGAVAHEFMVLHLAMNQTTRQTPHTAAEPSLQNRVASSASFRSVKMPAISSNGDLVVEHVNMILYELFHSLRPPKTSAQDIALLLSF >A09p059850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49855250:49856807:-1 gene:A09p059850.1_BraROA transcript:A09p059850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPSNGGILYHEVQEANLCAVHCVNTVLQGPFFSEFDLAAVASDLDGKERQVMLEGAAAGTFSAGDFFSEESHNVSLGGDFSIQVLQKALEVWNLQVIPLNCRDAEPAQIDPELENAFICHLHDHWFCIRKVNGEWYNFDSLLAAPQHLSKFYLSAFLDSLRGSGWSIFIVKGNFPQECPLSSSEASNGFGQWLSPEDAERLLKTTGAVRRSSPSSASGNRTSDNVDQQRPYEALSREEVRAFSEMEDDDLKAAIAASLLDACAAGANPGAVGSSSQKESEKQK >A10g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4466586:4467290:1 gene:A10g501610.1_BraROA transcript:A10g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILHLSIVTDVPREKAYHLKPRLFGKFHLMRKVSMRPAYRSSKKVLVNDKLEAEVKKNRRMISLKETLL >A07p036600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19636542:19640563:-1 gene:A07p036600.1_BraROA transcript:A07p036600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQGSVDGILDFLKKNRFSKAEEALRNELNNRSDLNGFLQKLIVEEKDSSKGLEPRDSEVSKELIVKEVDFGTGANGSVTKWENGEKPSKKVISREMSFTFSENSGDPPAAAPDARSCKFTTTSGNGTLDSCRSADDVGGSSLVDLYALEQSRRGDVADIDKKVVETGEDIVFFGNKTASWSGSTSKGNSGSKVNETDRLIENFGKHENYMGSVLLRTEDVLDTRTSDNWKECSVETLFGSSRGGASTSYNLATSLDKREGKKKTDTSDVREAIKQQESEVARALFFGKTQSTFDDKSISSLGFPLVYDARKEEFPRLPPVKLKSEDNPLSLYCEEKFERDGSGSRLINDEEEALLIGSYLDVPIGQEISSSGGKKSAGGNWLSVSQGIAEDASDLVSGFATIGDGLSESVDYRNEYWDSDEYEDDDDIGYVRQPIEDETWFLAHEIDYPSDHEKGTTRGSPDHHERDTTKDEEEDQSYTEEASYLSGEQYPQAKDTEPISSENDRRLTVSEIYPASKENDLISQYDGQLMDEEVLSSMRNEPVWQGFVAQTNELLTLGGDKKGVNVHRKSHLDDVCLEDDQHDSVRSIGVGINSDAADFGSEVRESLAGGSSEGDFEYTRDHDAVVSRFRQLYSESDKKHIDGENKNKQKDYIVDNDSGESFHVKTQTDGGGFSFGSSRKDGQLMHAESSKSLWSGNNKTVIRDKNAERLSATTANDDMVATWRRKSSDSSSSQSSVKEDNPTSPSSLSNYACEERKRADKEDDRNDSSEREDDNATAIDDEEAVAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEEKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLQTGMDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLVHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPASLLARVMGIIGTIDQEMLTKGRDSHKYFTKNRMLYERNQESNRLEYLIPKRTSLRHRLPMGDQGFTDFVAHLLEINPKKRPSASEALKHPWLSYPYEPISA >A09g512130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35523077:35525934:-1 gene:A09g512130.1_BraROA transcript:A09g512130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEIWKTSGTTYLLVVWKSSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKLSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGLPVKSSGSRLNFLKVFWQSLDDLKLSRRRLVLQLKKKTSRFNYTQTTYNSVVHQTTEIISEKSPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30259555:30260834:1 gene:A05g510000.1_BraROA transcript:A05g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A08p019670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13372824:13374008:1 gene:A08p019670.1_BraROA transcript:A08p019670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKQEQASSTLKPNNNHGTTEEEAVRLVKDTDERIEITDSSHDHDKSSSSRSSGSGSSSSSSDDESQEVKREDGDKVETQVITPVPSQPVPVAGDAPFIIGSTANAIVENTGLMDSTTPSDPNTENIVEISSVDSVLSNEPAAEVSLASDESEQASSSKKESKCVPEGSKESEVVISHEEEEAPVRPIHGVAQRTSWLSCCGLFDVMTRSSR >A02p035170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19216201:19218183:1 gene:A02p035170.1_BraROA transcript:A02p035170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWKRIMCAKQVISLVETRKSAFFSQICSSRKLTCKSSGCRRLTWKRLTWKSSVRRLTLKSSMILFRDSGQTLLILDDFHVSQDPSEDFLEVVWKSSSALYFRRLSRRLPKRLSIGLPKSDPDLKNIYIKPRYENMYIISKNIKFSVFKSKRLEWVWKVLTW >A01p034960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:290176:292908:1 gene:A01p034960.1_BraROA transcript:A01p034960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCFKTLFFASNGFSYTYTARALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGV >A02g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18614782:18615264:-1 gene:A02g506650.1_BraROA transcript:A02g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARTFNHLNPLIHYLIFIAAFIDRLKASLSCCRQVAKTRLGGLECKERGELMGVDMLLRDGKATVIQVSINMHSPNGFKHILID >A01p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2142676:2147951:-1 gene:A01p004990.1_BraROA transcript:A01p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-like-specific protease 2A [Source:Projected from Arabidopsis thaliana (AT4G33620) UniProtKB/Swiss-Prot;Acc:Q0WKV8] MTTQPSVHPRGKREQIGVFDYTDEDEHVEEMSKKLLRKFDSPKTPRAIDKYDFLRLFAVAKDTQSEGKALDHIVIDVEDNIPAKEERSRCEPSGYKTCDLIDVASDDSRGRIGISSSSSSSLSENDDASNGEEATSVTSGSREVDSENSQVLIIPDFIIYGDTYCTNSKLTFSRNCMSVESSSVNATKGTFSCRWAIEDIVRIESQWCSELETAVVNVLLKSRDPNGVDNAKEISGIDLLKFSVYDAKWSKEVETIKLLDSRYKDIWFDTITESEESACSGHNLETSLTNLAGSFDNLVYPQGEPDAVVVRKQDVELLKPRRFINDTIIDFYIKYLKSRIPPEERGRFHFFNCFFFRKLANLDKGSPSSFGGREAYQRVQKWTKNVELFEKDYIFIPINFSFHWSLIIICHPGELVSSSVENPSRVPCILHLDSIKGSHKGGLVNIFPSYLREEWKARQGNTTIDLSRASNMQLLSLELPQQENSFDCGLFLLHYLELFVAQAPAKFNPSLITTSGNFLTRKWFPAKEASLKRAYILELLYNLHKGHDPSIIPANSKSKPPHCRVSNENDEENESKNVTEICKWRKPFHGSSAIVPYIPQTKNCSADQILSKEVFYTRGYDLPEASKRRKSFMSPIVEEVQESGEKEEIHLPMDTEESICQEMETLRKGECMLYIEDTDDEDAVVEYVPDSQDSCEVEMKEEDDDELILFTGASKNIHKTREIKSASALIEKGVHKSKSRGLAARSCCNNILLVLSDDEGSSAASDELHNKENFSSSRCNVMAKKPKTIYRR >A09g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2162110:2165114:-1 gene:A09g500530.1_BraROA transcript:A09g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAIIKSPTILLLDEATSALDSESERVVQEALDNASLGRTTIVIAHRLSTIRDADVICVVHNGRIVEAGSHEELMENLYGQYTSLVRLQQMDNQESDGNVSVRVQGGQLSILSKDLKYGPKLSSESGSNMLTSSSIESNLPSSVPNRKKPPVPSFKRLMAMNRPEWKHALYGCLSAALHGGVQPMYAFVSGSMVSVYFLTSHDEIKEKTRIFVLLFLGLAVFTFFFNIIQHYSFAYMGEYLTKRIREKMLSKILTFEVNWFDEEENSTGAICSRLAKEANLVRSLVAERVSLLVQTISGVAIACTIGLVLIAWRLAIVMIAAQPLVVVCFYAQRILLKSISKKAIKAQDESSKLAAEAVSNIRTITSFSSQERILKLLKRVQEGPRRESVCQSWLAGTVLATSRSLIICTWVLNFWYGAKLIDDGKMMAKAFFEIFSIFVTTGRVIADAGSITTDLAKGSDAVGSVLAVLDRFTTIDPESPNGYVTEKIKGHISFVNVDFAYLTRPNVVIFKNLSIEIEDGKSTAIVGPSGSGKSTIISLIERFYDPLKGCVKIDGRDLKSYHLRTLRQHIALVSQESLLFAGTIRENIMYGGGASENVSESEIIEAAKAANAHDFITSLSNGYGDRGVLLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERVMIGRTSVVIAHRLSTIQNCDIIAVLDKGKVVECGNHSTLLAKGPSGAYFSLVSLQRYLC >A08p043310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24144102:24146965:1 gene:A08p043310.1_BraROA transcript:A08p043310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G09420) UniProtKB/TrEMBL;Acc:F4I0Y8] MSLTSCLLPFSQSATAPTASTCSCHLPASSSNFPVSSRNYYSSFRSESLVLNGGGSNLCRRFCGLKLWILKSLNLRQVSHRKHQPLKELKINSEHTFLSDAGFLEETGASEETILGTDLVSGSHKAGDSPSVTKQFLEGLSDVPRGASLCIAVVGATGELARGKIFPALFALYYSGYLPEDVGIFGYSRKNLTDEDLRSIIASTLTCRVDHQENCGDKMDSFLSRTYYINGGYDNREGMTRLDKRMKQIEGVSKANRIFYISVPQEALVDVAFNIGDKAQAPQGWTRIIVEKPFGFNSYSSHQLTQSLLSKFEERQIYRIDHMLGRNLIENLTVLRFSNLVFEPLWNRTYIRNVQVIVSESVAQTAKYSDGYGIIRDIFHSHILQTIALLTMEPPISLDGEDIRNEKVKVLRSTRRLDPADAILGQYKYTSGDKNEAILNSVGPTYCAAALYIDNARWDGVPFLVRVGTGLIKHRVEIRVQFRHVPGNIYRDNIGINIDLGTNELILRDEPDEAILVKINNKVPGLGLQLDASELNLLYKDRQVFGFLIICVPSHNHLFMRSDEVAEAWDILSPVLEEIDKHHTAPELYEFGGRGPVGAYYLWAKHGVPWADE >A02p057890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34823441:34825560:1 gene:A02p057890.1_BraROA transcript:A02p057890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFHRQEKSKEWSQITKTNVSPSFCAATLSLSRRRSSQKEVFSWKTMAGWQRNLQVIRQVARRVKNSNVSTAHYSSARNLESPFSKGYLQSLLRPTYPSTPLHHYLQQVGISTSRTFKASEEPISSPLSSPALLGSGKEEEQKIIPKRKKVQAVLKAIKQSPKKVNLVAALVRGMRVEDALMQLQVTVKRASHTVYRVIHAARANASHNHGLDPDRLIIAEAFVGKGLFKKRISIHGKGKCGLMIRPECRLTVIVREITPEEEAEIAKLKVHNFKKLSKRERRLVPHKLIETTPIWNRRGTKASHRSSELVPSR >A05p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7316091:7318818:-1 gene:A05p016440.1_BraROA transcript:A05p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYCO ARATH [Source:Projected from Arabidopsis thaliana (AT2G31170) UniProtKB/TrEMBL;Acc:A0A178VWT1] MASLLNLFKSCRPFTPIQLPSRLRPGKLTQPRRSFTPLSSSSTNNGDSTPSVKDLWLHNTMSRKKELFKPKVEGQVGMYVCGVTAYDLSHIGHARVYVTFDVLFRYLKHLGYEVSYVRNFTDVDDKIIARANELGEDPISLSRRFCEEFNRDMEQLQCLDPSVQPRVSDHIPQIIDLIKQIIDNDYAYEVDGDVYFSVDKSPTYGKLSGRKLEDNRAGERVAVDTRKRHPADFALWKTAKEGEVSWESPWGRGRPGWHIECSAMSAAYLGYSFDIHGGGMDLVFPHHENEIAQSCAACDSSDISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLMGTHYRSPINYSDFLLESASERVFYIYQTLHDCESVLGEKDSTFDDGSVPSDTLTSINTFRSEFVASMSDDLLTPVTLAAMSEPLKTINDLIHTRKGKKQPRREESLKALEASVREVLTILGLMPTSYSEVLEQLKEKVLKRAGLNEEDVLQRVKERTEARKNKEYERSDEIRKELANVGIALMDSPEGTAWRPAIPLALQEPSTTT >A01p056600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32120394:32122517:1 gene:A01p056600.1_BraROA transcript:A01p056600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-galactosyltransferase GALT3 [Source:Projected from Arabidopsis thaliana (AT3G06440) UniProtKB/Swiss-Prot;Acc:Q9ASW1] MMMQSMSMVKLKFGFTSVRMRNLSVGISVMVLTLILIILQPGHKLTKKNQTVDEFDIEEESTVVQKPHLITMEDLDYLFSNSSFYKEEDESNVFLAWSLMRPFLERRDALPETGQGIEEAALAIKDLVSLINKEKLASASGMVSRRTCPDFVNAADVDLSGSRPVLELPCGLTEDSSITFVGVPDEHSRSFQIQLLGSGVLRYDVNYSKQSIVQNTWTERSGWGEEVRCPCHGSFKNYIVVDELPLCNEQTSRITLDDFPFLKGSPFTATLWFGLEGFHMTVNGRHETSFPYREVKNDSTKSLQKLEPWLVSAVKVSGGLKLLSASATRLPVPDENHSSLAIEEKLKAPSLSGTRITLLVGVFSTGNNFKRRMALRRSWMQYEAVRSGEVAVRFLIGLHTNEQVNLEMWREAKAYGDIQFMPFVDYYGLLSLKTVALCILGTKVIPAKYIMKTDDDAFVRIDELLMSLKEKPSNALLYGLISFDSSPDREQGSKWYIRKEEWPLDSYPPWAHGPGYIITQDIAKFVVKGHLERDLRLFKLEDVAIGIWIQQYNQTVKRVMYMNDKRFHNSGCKPNYILVHYQTPRLLLCLWEKLQKESQSVCCE >A07p006600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1588799:1593655:1 gene:A07p006600.1_BraROA transcript:A07p006600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMETIQDLIEEAKIRTVWWALCIFSVTYFLTHTSKSMWMNLPMAILILGALRILLNQIEFRWKVMPDPRQSRLSYPDKKQLSLNDPRLSTTPPPPRWKKKIDSPVVEAAINDFIDKILNDFVINLWYSLITPDKEAPELIRGVIMDALGEISVRVKEINIVDLLTRDIVDLIGDHLEIFRRNHAAIGTDVMKTLSSEERDERLKYHLMASGELYPALISPESEYKVLQKIVAGILSVVLRPREAQCPLVRTIAREIVTCLVVQPLLNLAAPERINEVLEIIINIIKEGNFEQFSGEEQSVYSASLSASDSQAKSMNLAKVDEQETPSVDDERHPELRIQQHSGDWARMLEVATQRRTEVLTPENLENMWTKGRNYKKKEHKKSLKTASSVSTTTGAEEKAVVHLPPRVSVDKHSLAQIEEDISRTASCEGGRHMYEVGVRNEPPSDGNKNRLKRSNSTSDLLQPETRLALLGVGEGPLITDFYTSAYIKHNENHTCDSKSPNIVLHKESQQCSKLKCRVLGAYFEKLSSKSFAVYSIAVTDTENKTWFVKRRYSNFERLHRQLKEIPNYNLQLPPKRIFSSSTEDAFVHRRCIQLDKYLQDLLSIANVAEQYEVWDFLRESSKNYSFGKSSSVMKTLAVNVDDAMDDIVRQFKGVSGGLMRKVVGSPLEENDQVPARHLSWSVHDINTQLAKETATESMHSSISDNEDIDKLGENTQGEGRLVSEANGWHSDNELDSKCFPPRVVRRLGEPENMPFDKENDYKAKSEVRGFSDSQHADPSTSVAHSPTGVPEWNPPNVSVPILNLVDKVFQLNRRGWLRRQVFWISKQILQLVMEDAVDDWLLREVCWLRNEDTVAHGIRWAQDLLWPNGVFFTRVGDGQEASDRTDPSDNAFQIAGQLGGMKEVKPSSFEQQFEASRRASEIKKFLFDGAPTALVSLVGHNQYRRCARDIFYFTQSNVCIKQLTFAILELLLRTVFPELKDLLRDIRENSNGRSE >A02p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3997579:3998142:1 gene:A02p009460.1_BraROA transcript:A02p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEQTEQRVRSSAVIRMKEDIQKMVAVGLVWGATNALIRRGALAWDKKSSSSQSPPLQIPSNFRRKILAALRDWINLLLFWQYSVPFLVNLSASAAFFALLGDSPISIAVPVTNATTFAATAAFGVLLGEETQIGLALVETSLCFLLDFRNASFLF >A02p060500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36074756:36076543:-1 gene:A02p060500.1_BraROA transcript:A02p060500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLELLEVASMPIVQVLLISVLGAFLATDYCSLLSADTRRSVNKLVFVVFTPCIMFANLAQTVTLQDIISWWFMPINVGITFLVGGILGWLVVKLLNPKPQLHGLIIATCASGNMGNLMLILVPAICDEEGSPFGNRSVCRSIGLSYASFSMALGGFYIWTYSYQLVRSSATQFRALEAAGLAKSPNKEIDSDPRTLLLKPQQNQDLEIQVKEKVSTGTYIKDLLHQILEELFAPPTIGAILGFVFGATNWLRNLIIGENAPLRVIQDSVKLLGDGTIPCITLILGGNLIQGLRSSAVKTSVIVGVICVRYIILPVVGVGVVQLAWNLGYLPPDPLFRYVLMLQFTLPPAMNISTMAQLFDVAQDECSVIFLWTYLVASLALTVWSTIFLSILS >A06p018220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8864446:8865796:-1 gene:A06p018220.1_BraROA transcript:A06p018220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYKNASEEFKNVPEHESTPKVATTEEPSATTGEVKDRGLFDFLGKKEEVKPQETTTTTTLESEFEHKAQVSEPPAFVAKHEEEEEKEHKPTLLEKLHQKHEEEEEENKPSLLQKLHRSNSSSSSSDEEGEDGEKRKKEKKKIAEEDEKTKEDRKEVMEQIREKFPHGTKTEDDTPVIATLPVKEETVEHPEEKKGLMEKIKEKLPGHSEKPEDSQVVDTAAAVPVTEKTAEHSEEKKGLMEKIKEKLPGYHAKSTEEEEKKKEKESDD >A05p038240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21774102:21775619:1 gene:A05p038240.1_BraROA transcript:A05p038240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKVRNFLKSRKPNQRKEKDEQEQARSDNTVPCVTDSTKGDEIEDDEDDDFITNEVKRRLKELRRNSFMVLIPEEDEEEDKESYLGEDEEGEDKCSNEWRDVVAEGLQWWGGFDAVYEKYCERMLFFDRLTSQQLKESGIGVASCPSTPSPRSATKKLPSPFRCLSLKKMDLPEEDMDPLQQTGVDPCQDLETAYVAQLCLTWEALHCQYTQLSHLISCQPETLTCYNHTAQQFQQFLVLLQRYIENEPFEHGTRSELYARARNAMPKLLQAPKIQGTDKKEMEKDTDFTVLAEDLIKLLESSILTFNVFLKMDKKKPNGVTTNLFGNHNNMNSTTPLQLVQSSIDKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAAIDIKLATRVLRMGKISKEQLLWCEEKMKKLNFSSGKLQRHPSPILFPSC >A05g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29258339:29261992:-1 gene:A05g509730.1_BraROA transcript:A05g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKKKNSYTNKVSYISVPAQIIKSVSSSSLRDNKSSKRNTTKFLFLLLRNPKLWAFCFLSLSVLGIVSRLGPCLSPSGPHQESQLRSSDSIAYTRSNSTHAEIPNADDPSLDMIPKPPVAVVEKNETFGGDSKLITASRHAFWKQPDGLGYKPCLDFSAAYRRESKRVVRERRKYLMVVVSGGMNQQKNQIVDAVVIARILGAVLVVPVLQVNLIWGDESEFSDIFDLERFKSVLADDVKVVSLLPANKIMTRPTEDGGMPFNASPQWIRSHYLKRFNRDGVLLLRRLDSRLSKDLPSDLQKLRCKAAFEALKFSPSVMELGKKLAERMRSKGPYIALHLRLEKDVWVRTGCLTGLSSKYDEIARLEGIKRPELLTAKSSMTPNERKLAGLCPLNAKEVTRLLRALGAPRDARIYWAGGEPLGGKEALRPLTSEFPHLYNKYDIALPLELKPFAKRASIMAAIDYIVCKESDVFMASHGGNMGRAIQGHRAYEGHKKLITPNKRQMLPYFLNTSMTETEFEKMMKKLHRQSLGQPEIRVSKAGRDVTKYPVPECMCNNQSTPTI >A04g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1939229:1939917:1 gene:A04g500680.1_BraROA transcript:A04g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNSGNPRAEVREAPAFLAVQLQDLLDATRMLVPRTRPGRESDTDPEDLEHAEKLRQVKAVIEEVL >A08p028420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17800185:17800816:-1 gene:A08p028420.1_BraROA transcript:A08p028420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ribosomal protein [Source:Projected from Arabidopsis thaliana (AT4G26230) UniProtKB/TrEMBL;Acc:Q0WRN2] MSDKTKGRKEEVVTRDTFKKKAPKAIKEITKFAEKAMGRKDVRVDVKLNKQIWSRGPPRRIRVRVARKRNDDEDAKEEFFSLVTVAEIPAEGLSGLGTKVIDEED >A09g512900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38735857:38737084:1 gene:A09g512900.1_BraROA transcript:A09g512900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQPMIPHSFNIHHPSQAITQTHTGQRQAYTNQIHTKPNPVPKQDLRLNGSITLYDTLTQHSNLGPGDFVFLLSIGNILSPYHKGQKKELSTDRGPHGIHTKPTHSVLGEKQLVDRRGLGQNPRDALTGLD >A07p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1996079:1998832:1 gene:A07p005700.1_BraROA transcript:A07p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKNNKVDNVKQRIIVLVGIKIDESGKEILKWALEAVARKHGECVVVVIHVCSTFHSALKSKSALDRYLELYTEFCSTKKIELKGDVLKGNSVQGVLVKEANRYNAMSIIVGVKHQRKLSLKVAKGCSKELPPTTDVLAIHRGDIIFRRSNHSQPPLAQNISSRPSSELFDGLSDKELKLKSGESMVKNRELQRTSQEKRRVSGRSLSLPSVEVVDQKPGWPLLRTTTLAPPVVQHQTRKISVVNWVMSLPERFPYHPNHTSQPSFCDSQLKDILKEINRWFSYDVLKTATSGFSSENIIGKGGCNEVYKGVLEDGKAVAVKILKSSGKEAVKDFVQERKQKPSLRNSKKRLLGLQAKPVIEKGAAKELLDPNISWTFDEAQFQKMVLAAKHCLTRAATHRPNIREILKLLKGEDEVEKWVKKVGEDDDCFDDEVYPNSNKELHLSLAMLDIEDNDSISVGSLERSNNSLFSSSPSQELQP >A05p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11547545:11549096:1 gene:A05p023800.1_BraROA transcript:A05p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKKHIIQDGSSIFYHQPSSLQQMNLSVQTFDSYCKLESSPGTKSHPCLNNNTSSTTSFSSNGNSSELNHSPQDNNNNSPLSGSSATNNNEVELSLMLKDLETAMEAELDNSFNGYEFGQQQQQHRAVSSAMHRSMEMISKGDLIGTLYECAKAVENHDLQQMVSVSGEPVQRLGAYMLEGLIARLASSGSSIHKALRCKDPTGPELLTYMHILYEACPYFKFGYESANGAIAEAVKKERFVHIIDFQISQGGQWVSLIRALGARPGGPPRVRITGIDDPRSSFARQGGLELVGERLGKLAEMYGVPFEFHGAALCCTEVEIQKLGVRNGEALAVNFPLVLHHMPDESVTVENHRDRLLRLVKRLSPNVVTLVEQEANTNTAPFLPRVVETMNHYLAVFESIDVKLARDHKERINVEQHCLAREVVNLVACEGVEREERHEPLGKWRSRFHMAGFKPFPLSSYVNATIKGLLESYSEKYTLEERDGALYLGWKNQPLVTSCAWR >A08p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11919643:11920060:1 gene:A08p017030.1_BraROA transcript:A08p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVGTSKGILEIAKFGFYVAVPIGLMYTFANNSTNIKKFMGNRSYVVYPEEAPRPPSPEELREMARELARKKNIHGVDDK >A08g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6786391:6791881:1 gene:A08g504180.1_BraROA transcript:A08g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLNISTEVHVFHRTGQTDRAVYWTVPHTSGKELWLEPWPDERSDHTGACLSRSTSHLKTYGRARIHFGRAGRGDTYLGELYELSELSDTTLELDELSELNDTSLELNEPINTEDGAGSAAGRNGPFQPKEKFIKKLVLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRKSDPYFGSIKWYQSHSSGEDQTLESVRFLSTNFCVEIFQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFNKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKDFCVSKSVFYNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKRNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLKTENDFRDLEFCGSVLQLDLLSFETDKTWYFLRSFRDNGVVLNVGKDMPILKMNTVVAYLDKILVCNIYFDEHLDRLKNVQFVLAKDILICDLNKYLSCTFDPGLLVFILSIQERQVQPLRFESIDRAQQSEFWRRFVETGYLDTSDRGSVQGGYLNIPKAFCHESNFPRKPTQTVFTEALNRMKIFTDEEVMNFPNWRFFSPSIREYQISKRDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELCSLSCALKEILFRKRHEPKLLRPKNSFDFVHDDNFSNLALSLSFHNSLSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKCLEPVFGVLRIEKPFDYSFTRFDVVSLVALNKQDKHDQFLRRANTNGRQSTLDLRTNPFEEGGNDRPRSTDQYMEPNQPGDQNVLNISTEVHVFHRTGQTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGACLSRPTSHLKTYGRARIHFGRAGRGDTYLGELDELSELSDTTLELDELSELNDTSLELNEPSNTEDGAGSAAGRNGPFQPKEKFIKKLVLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFGLFRNP >A08p029620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18313431:18314863:1 gene:A08p029620.1_BraROA transcript:A08p029620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraketide alpha-pyrone reductase 1 [Source:Projected from Arabidopsis thaliana (AT4G35420) UniProtKB/Swiss-Prot;Acc:Q500U8] WCDSIGYRRMDQTKGKVCVTGASGFLASWLVKRLLLEGYEVTGTVRDPGNEKKLAHLWKLEGAKERLRLVKADLMEDGSFDNAIMGCHGDEILKPAIEGTLNVLRSCRKNQSLKRVVLTSSSSTVRIRDDFDPNIPLDESVWTSVELCKRFQVWYALSKTLAEQAAWKFCEENSIDLVTVLPSFLVGPSLPPDLCSTASDVLGLLKGETEKFQWHGQMGYVHIDDVARTHILVFEQEAAKGRYICSSKVVSLEELVSFLSTRYPSLPIPKRFKKLNRLHYDLDTSKIKSLGLEFKPLEEMFDDCIASFVEQGYLSHVVS >SC236g500040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:21668:21853:1 gene:SC236g500040.1_BraROA transcript:SC236g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDLNGERRTISTETMDLRKRDGGSDGERDGGSDGERDGGSERREKKDHDGGEEGDDRLK >A01p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8251562:8253700:-1 gene:A01p016720.1_BraROA transcript:A01p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPLSFFSIVLIALLFLHHHALAASHFEGFDAEDDEFSEDSTDLHHSLPPPLITQSQSTLPDPDPSPEPDSKSDPSPTQTPPKKPSSTSFDFWDEDEFEGLPENESPITPSPPDQLTPDPEPASASPDLDVIPTKKKLSSYTVEIVSVSILIAYLINYFTGKRENENLALSWATKFGLKDSIFEKNFSFLGVGEGEDSPLLLKEATNVFKFYASGRRFCHGLLATMELKSRHDLISRLYNCVVPCKDEISFEVYMNEEAMDHVVFAMARKKMAKMMHKELRDLQRFGGVVAAPGGRKWVAEELAVISESKEVAGDMITDVVLDQVFGDKSFEKFGKYFISMHFSDQLPGKHRKMLLFKFALPDAKHMDDMVRLVALIPYYIDLIGRYKLSSQARNKTDGARQKAAQEAYKELENVRQEALQRKKAEKKKLLEEAEAKLSAEALRKKEAKERARQMKKSMPKVKMSRGH >A08g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4568091:4569819:1 gene:A08g501960.1_BraROA transcript:A08g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYVWSCLCGLRWPWASCRCSVLSLVHVTGLLSFLPVDGSSSFFILQSFRRKETACFLPTRCLETGTFYFLALGWVNRDLARCLSGVCAASGVDFKRLWWPDQDLVTPASPVLHLKSPLGQSAVPLTVLRLSTLLIPSLVTGSGKKTSKKTQTWRRNHNFLLSKKKNIKTLLPSMVKTWVLNISMLDWRRTQLPGQKLLEEHPQHFDRQDAYEYMVASGTKKQVASKRVITMEDTSKMNGESQVATQPTLINKPFVCVVSSGEKRPLTVPKRPNFHCIHVPKSCCTNRVALLV >A03p019900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8170419:8172238:1 gene:A03p019900.1_BraROA transcript:A03p019900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMGSGPDHLFNLRNTFYLGAYQTAINNSEIPNLSPEDAVERDCLVFRSYIALGSYQLVISEIDEAAATPLQAVKLLAMYLSSPDNKESTISSLKEWLADSTIGNNAILRLIAGTVFMHEEDYNEALKHTHAGGTMDLHALNVQIFIKMHRSDYAEKQLRVMQQYDEDHTLTQLATAWLNLAVGGSKIQEAYLIFQDFSERYPMTCLVLNTKAVCCMQMGNFDEAESLLLEALNKDAKDPETLANLVVCSLHVGKSSSRYLSQLKLSHPEHVLVKRVSSAEDNFERALQSIA >A03p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4909459:4909867:1 gene:A03p012340.1_BraROA transcript:A03p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDTYYIVEEKIVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDITKRQTFDNALRCLKEQTLNDDYIFV >A04p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19058333:19061620:-1 gene:A04p032670.1_BraROA transcript:A04p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XEG113 [Source:Projected from Arabidopsis thaliana (AT2G35610) UniProtKB/TrEMBL;Acc:A0A178W347] MVEGWRNGFREATNSKPLFVTIYATVIIGVLVSSFYVFSAVYSPTNGSTSWLSSPPLSTAGRIHKLPQDNATSQSLPVALPPPAPEEEAQGKSSLGKIWVSPPKDKKMPPLEAFKLTKELFGERVKDNVIIVTFGNYAFMDFILTWVKHLTDLDLSNILVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSVLPFGYELLMCDTDMVWLKNPLPYLARYPDADVLTSSDQVVPTVVDDSLDIWQQVSGAYNIGIFHWRPTESAKKLAKEWKDILIADDKVWDQNGFNEIVRRQLGPSVDGDSGLFYAYDGNLKVGILPASIFCSGHTYFVQAMYQQLRLEPYALHTTFQYAGTEGKRHRLREGMVFFDPPEYYDAPGGFVSFKPSIPKSMLLDGNHTIESHFTLVNHQMKQIRSALAIASLLNRTLVMPPIWCRLDRLWFGHPGTLEGSMTRQPFICPLDHVFEVNIMLKEMPEEEFGPGIGIREYSFLDNPSLPKQVKESWLDVQLCQEGKEGCVASNITSSSGVLKYPKRSNEDTFKAIFSSFNDVKVIKFSSIEDAFTGFSDKGREERFRRRVKRYVGIWCCEENKTPGHIYYDMYWDEKPGWKPVPPQTPEEDHPPL >A07g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11426692:11433545:-1 gene:A07g505250.1_BraROA transcript:A07g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILHTYVSIRYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRKDMPRLKADSLIDHLPSLVRYLITQGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNRLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLEQPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATSRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAESIHNASFELATQKLINRHFPPKRLSEYLHSRCFDIPQNWFDNLLYLHSRCWSVETSVSLLA >A01g506490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:18853770:18853955:-1 gene:A01g506490.1_BraROA transcript:A01g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETFRNRSVLLSPSEYYSRPSTLAFIRHRLCGSPEFVYKIVMDKILVVKRIVVKRIVL >A06p041800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22501028:22504442:1 gene:A06p041800.1_BraROA transcript:A06p041800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSDGGEGNGGFSPNSSFGAFPEMPMDLDLDELFFDGCWLETTDLKQSEETASASNAMNDNTPFLYFAENPFQENVSNEQTERTANQEPLNQAATSSEQAEEFLLEEAEVARRWWIAPRGSEGPSSSVKERLLRAISGLDEAVPDKDFLVQIWVPFQQEGKNFLTTLAQPHLFNQKYSSLAKYRHVSETYNFPADEGSKDVGLPGRVFLQKLPEWTPDVRFFRSEEYPRIKEAQKCDVRGSLAVPVFERGSGTCLGVVEIITTTQKMNYRPELENICKALEAVDLRSSSNLKTPSTEFLQVYNDFYYAALPEISDFLASVCRSYDLPLALSWAPCDRQGKGGSRHSDENFSQCVSTIDSACFVLDEQSKCFLEACSEYHLLQGEGMVGKAFKETKLFFVPEVTIFSKTNYALSHHAKVSGLHAALAVPLKGKSNGLVEFVLEFFFPKSCIDTEAKEKMLKSLSVTLQQDFRSSNLVIDKDLELEVVLPDGEDMVLSESPVNGAETEGSLREVHMQDSSQMIKANEKGKDKKDESKLSSGMDNSQLDSVPNNVPSGAEPGGLRVDAGPSTEPASTGGGSMLGSRRPGDKRRSKNEKIIGLEVLQQYFAGSLKDAAKSIGVCPTTLKRICRHHGITRWPSRKIKKVGHSLKKLQVVMDSVQGAQGSLPLDSFYSSFPALSSPNMSSNGASLKSKEQIQHLAEDKQPAPRSPSSSCSGSSTNTANTLQVAEEADAVLKKAHSEAELHKTFKEPPLLENLAGSSSNKSLRAGGGIKVKATFGEAIIRFTLPPSWGYRELEQEIARRFSIDDVSWFDLKYLDDDKEWVLLKCEGDLEECIDIHRSSQSQTIKISLHDAFQVKLGGSFGSTGAS >A02g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20718213:20719376:1 gene:A02g507300.1_BraROA transcript:A02g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGELLVLKLVATCFSKLLLCVKRKPLAEAIPYGIKHILLSSGRHVTARKTRENSERKRERKEKNLKNQGREVSLDDPFSPSCDFNQCVLVWLRAEGLVFKSLESPKFFSLGFYRLKFISTKFILQLEEIVLNIIKYGSINHVKSYYKPVFQNISV >A09p082140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59560045:59561292:1 gene:A09p082140.1_BraROA transcript:A09p082140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIKVKSGLLKYDVFLLEHPLHYEKWIEYENAENSLGSRGKAYNVYERALEILTHLVDLWVHYCKESINIGSNYNASPLWNTIIGFETKHDDWNRLAMVYTSLLMHLTQHLDDYLTRPEYWVRYVLCMHKRHLDHYVDKLIDRATKLVFPKIKDALKVLKPLLNGGNDDKFITDSDVLTRLYQLLARDDTEGIQKRFCWIISRLTNGKGAPIEDVLGTEIYDKTVNVLKMRKSRTCGMLFGSN >A07p009990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6210818:6211964:-1 gene:A07p009990.1_BraROA transcript:A07p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVRSNLRDLGGRRSMDPRIWHKVAAVSGMAALGLGTYGAHVFKPENPSYKQVWQTASLYHLVHTAALVSAPSTKYPNIVSFFLLFFPLFIPHTYLFLPQFGGLLTAGIVAFSGTCYMVALREDRKFSTLAPFGGFAFIAAWATLLF >A08p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4004584:4005047:1 gene:A08p006940.1_BraROA transcript:A08p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVVTRVSAEEPPVKVTANEVTLAAEAAASSFKSSAGEAAQGARTWADWATSKFRNAGVNFEKAPDSE >A04p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7635832:7637008:-1 gene:A04p009610.1_BraROA transcript:A04p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQKGSGDPGAMLTSLLNKREKLRQDLRSIEKQVYELETSYLQESSHIGNALKGFEGFLSSSKSTASAKRSRKFQPEDRVFSLSSVTSPAAEELGVGREDGRAELGPGRSKGGLSTGQGKPKKGRGHGVARDAKRNRPSEPDYDDEDDPDASVYVPGSLI >A02g510730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28482468:28483861:-1 gene:A02g510730.1_BraROA transcript:A02g510730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSLTPKVENKLNRRCDLASTFDVQSINQHEFQVTDGSRNYLVDLQQMTCTCNVFNVDKIPCKHAAKAATSRDFNPGLYMHQYYSKANIGAAYSESIRPIDEFLEASEIPPHVVAYKWFPPDLKETTTRERPHVGRNRARLLGTRRRCLPMSERRHYPVVETTEEDVEKIHAQQHKTSRRISPAIFSIVTIVTSTSLSTARTS >A04g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12166286:12167462:-1 gene:A04g505860.1_BraROA transcript:A04g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVERKGYGFAYDLNPERFHELVTCISMPFVGCGNLIPVKDGDLLLIRKKEEELRTTTCEDFGIDQNDVELELSYLPMELISTIDCPPVIIGNDRQVKNFLTYVRGKASSRLCVSISPLNANNDNIELDKEQSNASGRDRREPPSVSPRDDIGSSSQSSKDGEDECNLNALKENEDADLSGKEEDRGKSVRFTLKHVVKTGETFQNKSKLKAALEMSAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A08g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6737870:6738162:1 gene:A08g504130.1_BraROA transcript:A08g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNVSMNLTKLGIKILVFLDEFEKRSKNRNFGALRASNWLFMLVSVLMAMTILEILGEDEDDK >A02p044450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28022678:28024108:-1 gene:A02p044450.1_BraROA transcript:A02p044450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta-1 [Source:Projected from Arabidopsis thaliana (AT5G47080) UniProtKB/Swiss-Prot;Acc:P40228] MYRERGTVGSRPEVVDRKRINQAVERPSPSTSLQVNGKGKGTVTASAHSVKQPHDHRDSRSASLSKNNVSDDESDTDSEEESDVSGSDTSWVSWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSVVPYYEYALDLILDVESSHGEMFTEEQNELIESAAEMLYGLIHARYILTTKGLAAMVLDKYKNYDFGRCPRVYCCGQPCLPVGQSDLPRSSTVKIYCPKCQDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGNLKPPKATQNYVPRVFGFKLHKP >A05p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19280843:19282816:-1 gene:A05p034320.1_BraROA transcript:A05p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKADGGEEVGNGARGGTTPNTKEAVKSLTTQIKDMAIKFSGAYKQCKPCTGSTTSPMKKGHRPFPDYENASDGVPYPYMGGSAGSTPAWDFTNSSHHPAGRSEPKKFTSVYGNDRESISAQSCDVVLDEEGPKEWMAQVEPGVHITFASLPTGGNDLKRIRFSREIFDKWQAQRWWGENYEKVVELYNVQRFNRQALQTPARSEDQSQRDSTYSKMESARESKDWTPRHNFRPPGVNVPHHFYGGSSNYGHNGGPLMDASRTTTSSRDEAPSMSNASEMQAEWIEEDEPGVYITIRQLADGTRELRRVRFSRERFGEVHAKTWWVQNRERIQTQYL >A03p017700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7215265:7216832:1 gene:A03p017700.1_BraROA transcript:A03p017700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWICCGGHRRGDSDISNDDHHLKTQWQQPDANKTRPQAVAKPEAPKEALPIEVPPLSVEEVEEKTDNFGSKSLIGEGSYGRVYYATLSDGKAVALKKLDVAPEAESNTEFLSQVSMVSRLKHENFIQLVGYCVDENLRVLAYEFATMGSLHDVLHGRKGVQGAQPGPTLDWITRVKIAVEAARGLEYLHEKVQPPVTHRDVRSSNVLLFEDYQAKVADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKSVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKPPAPAPVPES >A10p025960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16569393:16571878:1 gene:A10p025960.1_BraROA transcript:A10p025960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADVCMLVLLFGFGLEEKYNAYTGKRKCEAPVDKVTSVLVKDTEKIISLTSEVDALKVPDNNQVLAYWLSNSAMLLKLLQHTFTSAAIPASRGCMGGDVVSSLEIQMQVDAKCPATLFKQQLIGFLEKMYITIRENLKIEVFSLLRLCTQAPQAQENNVPQQDLTGHWESIVERLSSYLNLMKANNTPPFLVRKLITQIFFFINLQLLNSILLSDDCCYFVNGEYVEAGLAKLKQWCIEATDEYVGSAWDELSHIRQAAGFLQAIIQKQEMTLDKITRELCPVLSIRQLYRISALYFDDIYDMSSVSSDVISSMMIRLIDDLTDGVRSDFLLEDDLSNPFTVEDLSKSTEQGDVNDIDTLALIHENPSFSFLLTCGEGSSS >A01g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14063299:14064657:-1 gene:A01g504700.1_BraROA transcript:A01g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSVSKPCEDVSPESGSALKRLVIQSNDRNFFIFFIKLLCARANVNEALRGLWLQKELLRRLISKAAQGYISEILRVSGQETNIKDIQGPALALVLAKVRPGFVQESFESSKAMAGAVSKGRALQTKGSRPDQIPFVHVIAIQSQSLLNTKDSKREGDRWFIELNLRSYGQDRFMILRPEDGRTKW >A03g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24098514:24099716:-1 gene:A03g506730.1_BraROA transcript:A03g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHCHGSEGDSDTERISNLSYPWSLKPLITRKSEAGSALHAPSKEGDVVIHSQHLKSFTLDELKNATGNVCPESLIGEGGFGFVYKGCINGGPRIDLAVAVKMLKTEGFQSHKEWQREVNYLGRLHHPNLVKLIGYSLEDENCLLIYEYMPNGIFENHLFERGSNVLSWLLRMKIAIGAARGLCFSHDAKNQVIYRDFKASNILLDSGFNAKLSDFGLTREGPKDDRSHVTTAVIGIQGYTAPEYLPSESNRLPYRFTKTQEVASH >A07p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19618932:19619337:-1 gene:A07p036550.1_BraROA transcript:A07p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVITSRAYRRRQIGEYGMFQIPRVQHSPKANVKICLRWGGCSDGGLSAKFLSMPMKWKPPRRCVVSSSPTHMAMSSSVSSD >A07p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4730837:4733062:1 gene:A07p007710.1_BraROA transcript:A07p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPITLSLLLFIFNSQKLFSAATRILCRSDQRDALLDLNVSRNSFSRCIPSSIGDIPNLTFLDLSRNKFVSKIPSSLGSLLHLTSLDLSNNSFFGEIPFSFGNLSNLISLNLFDNNFEGKLPSSLGNLSYLKFLDLSVNNIVGEIPSSLGNLTHLAFFYLHFNNFSGNIPSSLGNISHLTALTLSFNYFVGEIPSSLGNLSYLKNLILSHNSFVGEIPPSFGSLSHLAFLNVESNNLSGSFPLELLNLTKLSILFLSNNRFSGILPSFNNTLDFSNTSLSSKLRELYIDNNNFIGPIPISIFQSVSLVRVHLGNNHLSGKLSEIFFNGSNLRSLHLGHNQLVGKLPRSLSSCSSLEVLNLEHNRINDTFPFWLESLRNLQVLVLHSNEFHGLLQYHPNVTPSFSQLRIIDVSYNSFTGTLPSNFFMYWSAIFSKGNHSELNYVGDRSYDQDSLALMNKGLKMNLSNITVSHNQLVGQRPQSTQFQTQDASSFEDNMGLCGRPLSITCGDIDTEISEEPETEEEEEEEQAVLSWTAAVIGLAPGVIFGLIIGNFVTLQKPQWLM >A06g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10678296:10678716:-1 gene:A06g503510.1_BraROA transcript:A06g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNITPLELYTVSFFSVGDTITVSARHKVAASEYYSGLRGSREPNEVQATIIGVVKLLVSLSFSFIQILMVHGS >SC179g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:237060:240866:1 gene:SC179g500110.1_BraROA transcript:SC179g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQSMSIQECCLAFYQTPCDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYIRVSRSLSAASCVTIRSTYLEKLEIRSRSTGVIGLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAALQKDEQPVQNVMVPFKQAIVPEETPREPQTACKTRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWSHRNNPIVIEKKSAATTIVFGDLLPSEAKGMHVSAQQYFHYETNWRMLRTLSWIQQTGKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWAGRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDFQKLQYHFRDCQIKSGDGDFIRVNEYEYPRVLPSILPDSLRARMKASKSALVITS >A06p038380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20667918:20672524:1 gene:A06p038380.1_BraROA transcript:A06p038380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSSADSSEDVEKKNHTDSFMKKKKKSKRDKMNADDSDAAAAAAAPHPGVACTGKDMEKRKKRKASDKERKRAALDNDGDLPRPAKPPAAVVSESNSDGPETSSAAAASSSLPELPLSYFRDLASPEGSVREAAATSLVTRLQEIQKQYEMLPDKESVDGGLMLEAEKNDGLDNCAPHLRYALRRLIRGVSSSRECARQGFALGLTLPVSLISSINVESLLKLISDSLSVSSSMKGQDVKECLLGRLFAYGALARSGRLVEAWKSDKDSQIIKEFTNALIGLAAKKRYLQEPAVHVLLDFVEKLPAEAVVTHVMEAPELHKWFEQATEDGNPDALLLALNLREKISVDHPVFGKLLPVPFSSGKFFSADHLSVIGDCLKESTFCQPRVHSLWSVIRDMLLPEAVVQGEDVSSAPSSSKKQKRNRKSNPIEEEATNNSRSFCEIFMEGTLLSSSHDRKHLAFDILLLLLPKLPASFVQHFLSLKFVQCLMDILSTKDSWLHKVATHFLAELIDWVKDDDTKRVAVTMALQKHSEGKFDNITRTKTVKDLAADFETEEGCTLFLQNLMNLFVDEQHVPEEPSSMKWALEPSSLNSDQSQTTDDNSEIGSNEEKDSIGTTVNSDVLKSWVIESLPGILKHAKLSPEAKLRVQKQILKFLAVQGLFVASLGTEVTSFELQEKFKWPKTATPTALCKMCIEQLQLLLSNSQKIENPIPKESGLEQPEDPVSYFMKFLSTLQSIPSVSLFRSLNEADEKAVKELQETESKLSEEERNCGLSADASKYHALRHLVVQLLLQILLHPGDFSEAASELSVCCDKAFSSSSTDGEGEADGEEEPAVMDVLVDTLLSLLPHSSAPMRSSIEQVFKYFCQDVTNDGLLRMLRVIKKDLKPARHQDDQDSEDLDDDEDLLAIEDEEEEEENEEMGETGESDDPTDDSETVTGVARMAVDREAPENSDDSDDSEEEEDDGMDDDAMFRMDTYLAQIFKEKRNQAGGETAQSQLVLFKLRVLSLLEIYLHENPGNPQVMTVYLNLAQALVNPSTAESSQQLLQRIWGIIQKKIFKAKELFKDESIELPALASLLEKNLKLAAKPFKSKKSGVDPSKKMQSAAWNRHKMIANLAQNSTYWVLKIIDLRKFSETELEKIVDVFRSVLVGYFDSSKKSQIKVDFLEEVFRRRPWIAHQLFGFILEKIVNPKVEYRRVEALELISEALRSLAPIGKETQEESKKKMKSHLVKLSHFIKVLVTNMPEKQARRAKVRKFCGRVFRMVSSLKLTKSLLKGLGEDGQTACETALGHLFMNLKNTED >A10p023210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15195701:15197587:-1 gene:A10p023210.1_BraROA transcript:A10p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLFRSSPPQEKQTAHQSLAETAVQECMSDITTVISKWTSSSDEFLFSTNSRSEAEEFVEVVRHLHSTMHRLVAVNPSSEKLIYAQNLMQSAMNLLESEFHRVLKENKQYLHPESVSLRSCRSSRRFSTSTVTSISDSEDEGGGSYEENPAAADEHRFSGGDSDAMDDLKMIADCMISTGYAKECVKVYKTVRRSIVDETLHSLSVERLTLHQIQKMDWEILEPKIKSWLQAAKLAVRKLFFGERILADHVFSSSTNIVEASFTDITQEGALTLFAFPEHAAKIKKLSPEKMFRFLDMYESLANLFVEIESIFYFDSAAAVRSQVINSLAKLGDAVRFMMSDFETAIQKEASKTLIVGGGVHPLTRYVMNYLSFLADYDESISVIFENWQLTVPSPLPESLFISGGGDDAHPEDLYSSPVSKRIAWVILLTLCKIDGKAQPYKDVALSYLFLANNLQYVVVKVRSSNLRLLLGDDWVVRHEGKVNQYAEKFEKLAWGKVLTSLPENPAEEITPEEARALFARFNDEFEAAYRKQISWVIPDPNLRDRIKISLSQKLMLVCTEFYEVNRFGLGGDAFNARYTPEDVGNYLSDLYFGSRGSGSSSTTTGSGSGTGSTTTGKSRGGRSH >A01p010950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5344209:5344397:1 gene:A01p010950.1_BraROA transcript:A01p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNIAIRNELVKRAASMHLQSSTIVSAPDTNWFKNFCLKAKHQAADCLRPVYRILTFGLS >A05p013230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5763087:5764121:-1 gene:A05p013230.1_BraROA transcript:A05p013230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQSHCQDLFEQQEILSLYQRFCQLDRNAKGFISSDEFLSVPEFAMNPLSLRLLKMVDGLNFKDFVAFLSAFSAKASLKQKVKHLLVSVIFQVYDSDCNGKVSFKDIMEVLRDLSGSFMSDEQREQVLSQVLNEAGYTNESFLTLEDFIKVFGSCKPEMDVEIPVD >A08p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13871775:13872847:1 gene:A08p024850.1_BraROA transcript:A08p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYNKVILMLTYNIMDGTIYQAPQRCSAFAARVVCFFILSIYVHVLLGGHRLSKGFFLVAAKVFFVFFSFSFKLSVDAKNQNMPSETKPPMVHNLSHHFSSDDVFVEIRSNTPYIRKKKELNSIYVEEVKSSLSNAHLMVANAADGRAVLCRNGESIDLSRDHKPIYLP >A09p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2527467:2529616:1 gene:A09p004380.1_BraROA transcript:A09p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCVNYISPPRASLSPPPSRSSFSGDAVHSLLREPTLLLRRHSRSPSIVAPRFQVVAAEKAEPPLKIMISGAPASGKGTQCELITQKYGLVHISAGDLLRAEISSGSENGKLAKEYMEKGQLVPDEIVVMMVKDRLSQTDSQQKGWLLDGYPRSSSQATALKGFGFQPDLFIVLEVPEDILIERVVGRRLDPVTGKIYHLKYSPPETEEISARLTQRFDDTEEKASPYETLSYSIYAKLRLKTHNQNVSDVLSMYDDITIKIKGNRSKEEVFAQIDTALSQMLQQRNTTSPSSLSRF >A09p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2741262:2741839:-1 gene:A09p004950.1_BraROA transcript:A09p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQVYGFSTLVAILLLSLTLTVTSTDEVVSCTMCSSCDNPCNPVPSYPPPPPPSRPPPSPSTTTACPPPPSPPSSGGGGSYYYPPPSQSGGGKYPPPNGDGGQGYYYPPPYSGNYPTPPPPNPIVPYFPFYYHTPPPGSGSDRITVKSSEFAGKDEEVSTMWQIGRSTIAL >A09p032550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19720859:19722268:-1 gene:A09p032550.1_BraROA transcript:A09p032550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPTNPIKALCSLSLLTHSSHTGKNKVGEVSGRDNSASPMITYMQDNPSMFRLRASKFLLLSVLILSQFLSTQLLAQRSKSPWQTLTGEAPLVIARGGFSGLFPDSSVTAYSFVSATSVPDAVLWCDVQLTKDGVGICFPDVTMSKDSNIECAYPKRKNSYHLNGVPTQDWFTIDFTSKDLKRVSLIRGILSRSPAFDDNRNVISTVENIATQFKPAGFWLNVQHDAFYAQHNLSMSGFLLSVSKTVTIDYLSSPELKFFRDIGSRFGKTGPKFVFRFLEKDDVEAFLVDFKKQSPYETVYQVEETLGDIFDSAIEDIKKLADAVVVSRLSVYSVSASFFLTGQAKLLQLLH >A06p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5704771:5707596:-1 gene:A06p012460.1_BraROA transcript:A06p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTHGVDEGGGKAMISQAERDPSIQIRLPGSSHSSPSSPPSPSLPRSKSTSQANQTFVQRISWIVLSVILRRRRRILLFAPVIYISCMLFHMRTASFDAGPTIHRRPAPGSVYRSPQVYAKLRAVIDADNATADAISTIWKRSYKGVEWKPCVNKSNGVLPESNGFIFIEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFGDIYDEEYFISTLANDVRVVDSVPEYLMERFDYNLTNVYNFRVKAWAPTSYYRDSVLPKLLEEKTQVLEVFVVFSWHRVIRISPFANRLSFDAPRAIQKFRCLANNVALRFAKPILTQGETLVKKMKKLSANNTGKYVSVHLRFEEDMVAFSCCVFDGGNQEKQDMIAARERGWKGKFTKPGRVIRPGANRLNGKCPLTPLEVGLILRGMGFNKSTYIYLASGPIYGGNRTMAPLLEMFPNLQTKEMLASEEELAPFKSFSSRMAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLAVLFDNPKLSWRSFKHQMLNMRSHSDSKGFELKRSSDSIYIFPCPDCMCRRNKTTATT >A07p046010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24903246:24904982:1 gene:A07p046010.1_BraROA transcript:A07p046010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C 16 [Source:Projected from Arabidopsis thaliana (AT1G72770) UniProtKB/Swiss-Prot;Acc:Q9CAJ0] MEEMTPAVAVTLSLANSICDSSPVDITQLKNVTDAADLLPDSTMEEQPKKGSCDGSVVDEDEVEDTSAVISEGLLVVDAGSELTLSMEIDNGRVLAKAIILGESSIEEVPTAKVLIQDTKIEDCSGVTASEVVIRLPEENSSNNHVAKGRSVYELDCIPLWGTVSIQGNRSEMEDAVAVLPHFLKLPIKMLMGDHEGMSPSLTHLTGHFFGVYDGHGGYQVADYCRDRLHFALAEEIERIKDELCKRNTGEGRQVQWEKVFTSCFLNVDGEIEGRIGRAAVVVGGSSDVVLEAVASETVGSTAVVALVCSSHLVVSNCGDSRAVLYRGKEAMPLSVDHKPDREDEYARIENAGGKVIQWQGARVFGVLAMSRSLGDRYLKPYVIPEPEVTFMPRSREDDCLIIASDGLWDVMSNQEVCEVARKRILMWHKKHGAPPLAERGKGTDPACQAAAEYLSVLALQKGSKDNISIIVVDLKAQRKFKTRA >A06p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22179323:22180272:1 gene:A06p041110.1_BraROA transcript:A06p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQTVSKQLEPWCELKDKVVLVTGASSGIGREICLDLAKAGCKIIAAARRVDRLKSLCSEINTTGVQAAALELDVSSDAATIQQAVKEAWKVFGKIDALVNNAGIRGTVKSSLDLSENEWDKVFKTNLMGAWLVSKYVCILMCDAKQGGGSVINISSVSGLHRSLSYGAVAYASSKSGVDTMTRAMAIELGVYNIRVNSIAPGLFKSEITQALIQKKWFKNVTDRIFPLTAEQTVDPGLTSLVRYLIHDSSQYVSGNVFIVDAGATLPGLPIFSSL >A05g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8397709:8401189:-1 gene:A05g502660.1_BraROA transcript:A05g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGWDPGTDGEIGICREIFLPFAIGRVWNQDGFVVIRILGVMEVQLGNDFGSVEIWNWETQSWKIRGKALVIFTTRTFLLLSTEKKKDIRDEPVGRREDRARSYRGTLSYEKALRKKESRCPTKARNRSLRSDQARVKARSLRSDRATVPLGRYVATERSSRSRPSTRSLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPMRKDKCQIGKNGISPFLRYDGLRAEDCDSIRFSRLSVTRTRNLADSSRAQAYTLFEENHQDKGKDQRSYQGKGKGKMYEEESQWVRVPERGHKRYSTYRGSNRADEGNMRSRNSRWEQPRNHTQDARERGHRGTRRERSPREEPKEEGDIQDTGSANRGVTREGNTSASNNLQLSQQEERRGYGNVVKVISKPVGVVNSEITAMVSENIGTGLELAQKQIGVGNDSLVNEGMDLEETGNHIDGEVGLMCDDDGFQNLTDGEMEELTGTQEVALVEVAEDSHAKEVGEKGTQAGEDEKKKGTRKLLLKQTVMAAGTSKKKFVQALLSQNKSVQSRQGKRQGEGIRSQEEKGPLHRKPTSSKPLNAPHG >A08p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2815298:2817238:-1 gene:A08p004840.1_BraROA transcript:A08p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESRRGNLRRRARQINGEDVDRPTNRRRQNELQNDGVQAGDHVEPLPLELSLGSTSHSSQIPPMTTSSLPLAPPSPFALPLTTSSLPLAPASPFAPPMTTWQTSGSIAQYFASSQSHYMTYPPYLSNSIYQTRPSTPMQPPFGQSFNLFPSSSFVPTRPVMRPAASGAVSRRSYRSQSSVNRNDDTIPPPFPWATNKRGWIQSLENLASKQITTITGEVQCKHCEKVYQVSYNLREKFSEVENIFVMGKWIMRERAPPIWTNPEPARCDLCGRDKAVKPVIAERKYQINWLFLLLGQTLGFCTLEQLKNFCKHSRSHRTGAKNRVLYLTYLGLCKMLEPNRELFQRETARRLKEENPREGKRDSPPHVSITRQPEDTRQNEEQHTFVGEESRRPVKDYSDREIFNTTTSIETQPPHLSLQGRDHHQSIQSPLISSAEEGETITKMK >A03p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14938705:14941576:-1 gene:A03p035580.1_BraROA transcript:A03p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSDSLSLFTPDPFFVHTPDLIANKSAHQLFQRDAQTITPEALENVKAALASSQTVHTKRRLRRELAIPRKAAGQSWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFARFPTFNMAKVIRDKRTGKTKGYGFVSFLNPADLAAALKEMNGKYVGNRPIKLRKSSWKERTDQEAAERRKAYGEEEEPTPQVINPWYSEGRDVQLSCSDFYKCGRISLEVAGPRPK >A04p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2154137:2155722:1 gene:A04p004320.1_BraROA transcript:A04p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGIVVSDLVLSFMWTWAGVLVNILVHGVLGFSRKDTTGEIVRYLFSVISMFVFAFLQKLSKGGLYNPLTALAAGVTGGFSNFIFTVLVRIPVEVIGSILGVKHIIHVFPEIGKGPKLNVAIHHGALTEGILTFFIVMLSLGLARKIPGSFFMKTWIGSIAKLTLHVLGADLTGGCMNPAAVMGWAYARGEHITQEHLLVYWLGPIKATLLAVWFFNVVFKPLTKEEEKPKAKTD >A05p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27584348:27591328:1 gene:A05p046420.1_BraROA transcript:A05p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 7 [Source:Projected from Arabidopsis thaliana (AT3G13100) UniProtKB/Swiss-Prot;Acc:Q9LK62] MKQSYTMDNSLDFLLYQSIYFSMFSIFFSLLLLLGMFGSWVYKKRLACESNDVVMTERFKKTSLTYNKLVLVCCVTFSALSSVLLLSSCFHLHANGWDRNKLMNLLDLLFAALSWGVISFYLSRNGQKFPLLLRVWWVSYFMVSCCSLWVDIVLYKKQELESLHLLIYHAVAVTVGLFLCYSCLQKKQGEGERINLLEEPLLNGGAEEEVVTPFSNASFLSHMSFSWMGSLIALGNQKIIDSEDVPQVDASDRAEKLFSIFRSKLDWDDGERNITTFKLIKALFFSARRDILFSTLFAFVYTLSCYVAPYLMDTFVQYLNGNRQYSNEGFVLVTTFFVAKLVECQARRNWYFRLQKGGIGMRSVLVSMIYEKGLTLPCHSNQGHTSGEIINLMTVDAERISAFSWYMHDPWILVLQINLALLILYRSLGLGSVVAFAATFLVMLGNIPLAKLEEKFQGNLMESKDERMKKTSEVLLNMRILKLQGWEMKFLSKILGLRRVEATWLKKFVYNSAGISSVLWAAPSFVSATAFGACMLLKIPLESGKILAALATFRILQTPIYKLPDTISMIVQTKVSLDRIATFLCLDDLQQDVVERLSSGSSKIDVEVSNGAFSWDESSPIPTLRDISFKIPRGMNVAICGTVGSGKSSLLSSILGEVPKISGDLKVCGSKAYIAQSPWIQSGKVEENILFGKPMQREWYERVLEACSLNKDLEVLPFRDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFREVLLGLLRNKTVIYVTHQLEFLPEADLILVMKDGTITQAGKYKEILDSGTDFMELVGAHTDALAAVNLFEKGYETAQSATSKEKKVSDDEDNKQEEDLGGTPKGQLVQEEEREKGKVGFAVYQKYMSLAYGGALVPVIFFVQSLFQILNIGSNYWMAWVTPVSQDVKPPVSGSTLIIVYVVLATASSLCILVRAMLAAMTGFKIATELFNQMHLRVFRASMSFFDATPIGRILNRASTDQSAVDLRLPSQFSNLLVTAINILGIIGVMGQVAWQVLIVFIPVIAACTWYRQYYISAARELARLSGISRSPLVQHFSETLSGITTIRSFDQEPRFRSDIMRLNDCYSRLRFHAISAMEWLCFRLDLLSTAAFALSLVILVSIPEGVINPSFAGLAVTYALNLNSLQATLIWTLCDLENKMISVERMLQYIDIPSEPPLVIESTRPEKSWPSCGEITICNLQVRYGAHLPMVLHGLTCTFPGGLKTGIVGRTGCGKSTLIQTLFRIVEPTAGEIRIDGVNILTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEHSDEQIWEALDKCQLGDEVRKKDLKLDSPVSENGQNWSVGQRQLVCLGRVLLKRSKVLVLDEATASVDTATDNLIQETLRQHFSDCTVITIAHRISSVIDSDMVLLLDQGLIKEHDSPAKLLEDKSSSFSKLVAEYTATSDSRFRRSC >A06g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11662702:11663700:1 gene:A06g503740.1_BraROA transcript:A06g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMTHNRGVGVGALQRCEPSIFHPGKLLGLMERELIIRDAMSVIACEGPERFARPETYKQWQGWKGRVLYALPCWKPAKKQ >A08p032290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19562148:19565349:-1 gene:A08p032290.1_BraROA transcript:A08p032290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMEDNESCGSRGISDILPTSQAAIDRRERMKTEVFDEVISRLRQSDTVDTHLPGFVDDLWAHFNRLPARYALDVNVERAEDVLMHQRLLHSALDPHNRPVIQVRLVQVQPPTGNISADSISLDSLRTNEPDQASTRKSIHPPPAFGSSPNLEALALASTISHDEEEGDSSVPNNSRPLHEITFSTIDKPKLLFQLTALLAELGLNIQEAHAFSTTDGFSLDVFVVDGWPYEEVDRLRIALEKEAAKIEDQSWPMQQSFSPEKENGQTGARTVAIPTDGTDVWEINLQQLKFGHKIASGSYGDLYKGTYCSQEVAIKVLKPERLDSELEKEFAQEVFIMRKVRHKNVVQFIGACTKPPHLCIVTGIANIPYEYMTPLQAAVGVVQKGLRPTIPKKTHPKMRELMERLWEKDPTLRPDFSEIIEQLQVIAKEVEEEEEEKKRSPTGGGGGIFAALRRSATHH >A02p034900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19103734:19104394:-1 gene:A02p034900.1_BraROA transcript:A02p034900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTLFPAKKRRRSDRGIITNTLELLQCWDCDIPCPTLYSPISTILSTLGLRRLARMDLLLVSFLKGLTFLCLISDVRLNLLSHILPLKLRTTFISFHDLLTFLQHTFSFLFYPFLLKGYFSLLASLCQTALIPIVGIVKSHVQLYILRLVRYCPLWALGSWPAWIYFWFPSQKASYY >A09p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24492858:24495754:1 gene:A09p036550.1_BraROA transcript:A09p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIARMKKSSGRRGDKTPTRRLERRDALKHINYDAASTSAEDISASLVTRSLELPDRTSFRIFGGGDVEMDQIYKSLGLSGPDDLAISFDAWEACKKRSSSDVINRFKSFELDLHNFPVQGLSEAAPTYGNELTRSDSSEFGRSCRNLVVGTEKKERTGYLGENKPCLERTPTITVKSRGYLVPNQSDVASGGGAGEDKRGLVRTPTITVKSRGYLVPNQSDVASGGGAGEDKRGLVRTPTITVKSRGYLVPNQSDVGIKGVRPAVLKPPPAKRPPIDHQGSSWDFLTHFAPEDGIVRRPSSSSTSDNGEETLEEEVDTGDEACSFSTNEGGGDSSSTVSNTSPIYANGGSIITSWQKGGLLGRGSFGSVFEGISGDGDFFAVKEVSLLEQGSQAQECIQQLEGEIALLSQLQHQNIVRYRGTAKDGSNLYIFLELVTQGSLSKLYQRYQLMDSVVSTYTRQILDGLKYLHDKGFIHRDIKCANILVDANGAVKLADFGLAKVSKLNDIKSCKGTPFWMAPEVINPKRTDGYGSSADIWSLGCTVLEMLTGQIPYCDLENPVQALYRIGRGVLPDIPDTLSLDGRDFITECLKVDPEERPTAAELLNHPFVRRPLAYSGSGLGSASPHVHRRG >A08g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7609539:7611033:-1 gene:A08g504620.1_BraROA transcript:A08g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGARKFTWEQRDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSHRSTHHMQHRSTDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPFFAGKISIDRQATRPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTLAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNDEATRSFIASWSRMCRDDVDACFPTSSCFSTQ >A08p043420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24169329:24172296:-1 gene:A08p043420.1_BraROA transcript:A08p043420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intermediate cleaving peptidase 55, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G09300) UniProtKB/Swiss-Prot;Acc:F4HZG9] MQVLARNLAQRVSRSQVISRYAYSTQRSKDIGQPTPASHPHLMSEGEVTPGIRVEEYIGRRKKLAELLPQNSLAIVSSAPVKMMTDVVPYTFRQEADYLYLTGCQQPGGVAVLSSEHGLCMFMPEPTPNDIAWQGEVAGVDAASEVFKAEQAYPISKLPEILSDMIRSSSKVFHNNQTASQKYANLDEFKKSASLGKVKSLSSFTHELRLIKSPAELKLMRESASIACQGLLKTMLHSKGYPDEGILAAKVEYECRIRGAQRMAFNPVVGGGPNASVIHYSRNDQRIKDGDLVLLDMGCELHGYVSDLTRTWPPCGKFSSLQEELYDLILETNKESIKLCKPGTTIRQLNTYSTDMLCDGLMKMGILKNRRLYHQLNPTSIGHYLGMDVHDSSSVGYDRPLVPGSVITIEPGVYIPSSFNCPERFQGIGIRIEDEVLITETGYEVLTGSMPKEIKHIETLLNNHCHENAAQSFAGFSS >A03p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14919603:14923826:1 gene:A03p035540.1_BraROA transcript:A03p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMLLNDERVRRACEKTRELKIPDEKTLLVLRKLLTENGENWGIIRLDNYTALIDAIYSLDEENETPSNNNRGKSAAVGIETSHRVKRNEKQSEGSSTGIRGKNVVVNVVDSPPSPALKKQTKISSYVNRGKNALSLGTDHPLPSVALKKQSQGSSNCNRGKNDVVLDSSHSLTLKKQSQGSSNREKNAVVLDSPPSATLKKQSQGSFNGNRGKNAEPIDPSPSATLKNLSQGSSNVNREKNVNPPATLKTIYETRFATSSSSVEEAHKQQQTSNGVRKRKYKTIIHDITKGSESVEISLVDDVGTEKLPTFTYIPHNIVYQSAYVHVSLARISDDDCCASCKGDCLSADFPCACARETSGEYAYTREGLLKEEFLDTCLKMKKAPDTFNKFYCQDCPLERDHGKCQGHLIRKFVKECWRKCGCDMLCGNRVVQRGIRCQLQVYFTSEGKGWGVRPLKDLPKGTFVYEYIGEILTNTELYERNLRLSSERHTYPVTLDADWGSEKDLKDEEALCLDATICGNVARFVNHRCGDANLIDIPVQIETPDRHYYHIAFFTIRDVKAMEELTWDYMIDFSDESHPVKAFRCNCGSELCRDKKPIGSRGKSGERRKVVHAKKQPGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >A07p018100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10898380:10899152:1 gene:A07p018100.1_BraROA transcript:A07p018100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRDDEVDRGKEKEKEEAEEEQDGMSVLSPCKALPSSASSLSKEQSQVELELTLLEALEIYPPVKLRGKFSHSIHRHFVLYGLMEYLGRSFDRQFTADEVLQLLDRFYNIEMLKSDDEDIDILNHEEDFTLPQSYFDKEEQ >A07p026770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15256475:15257370:-1 gene:A07p026770.1_BraROA transcript:A07p026770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRRAVLDNGPPYYYYPRATEERVPLSSAQTVSSGVVVVDTNLETSSPDAYIPPPLPTPFHVAIGVPQTPGNAEESACVVDIREVSVETANTEPSQETVDGIILGVPTTCPCESKLQTEIDLESTEDLDPKKLSKDVFVPIEEEEDCPICLEEYDMDNPKLVAKCEHHFHLACILEWMERSETCPVCNKEMVFDSPLD >A09p057000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48256020:48260193:1 gene:A09p057000.1_BraROA transcript:A09p057000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYEVRFQRGRFGYLFNKLRHVDVDKKKQWSEALNSVADRIGFCFDGKSDENKFIHSIVEKVKQALRKIQLDESKGNSVFLSKNTSLRLGRENNEIYGLKQRLDELEEKFDLDCQETRYLGVVGMPGIGKTTLARELYETWQCKFVSHVLIQDIRRTSKELGLDCLPALLLEELLGVRNSDVKSSQGAYESYKSELLKHKVLVVLDDVSDRKQIEVLLGSCDWIRQGSRIVISTSDKSLIQDVVDYTYVVPQLTHKDGLGHFGRYAFDHHSSKHNNEVIMKLSKEFVHYVRGHPLALKLLGADLNGKDEGYWKTILATLSQSSCPCIRDVLEESYNELSQEHKEIFLDMACFRREDESYVASLLDTSEAAREIKTLMNKFMIDVSDGRVGMHDLLYTFAKEICRRAHAQDGKGGHRLWHHQDIIDVLKNIEEGENVRGIFLNMNEMKREMSLDSSTFELMHDLRYLKIYSSRCPQQCRPNNKINLPDGLNFPLKEVRYLHWLEFPLKELPPDFNPCNLVDLKLPYSKIERIWSDDKDTSKLKWVNLNHSSNLRVLSGLSKAQNLQRLNLEGCTKMETLPHDMQHMRSLLVLNLKGCTSLNSLPEISLVSLETLILSNCSNLKEFRVISQNLEALYLDGTSVKKLPLDIKILKRLALLNMKGCTKLKEFPDCLDDLKALKELILSDCSKLQHFPANGESIKVLETLRLDVTGLTEIPKISSLQCLCLSKNDQIISLPDNISQLYQLKWLDLKYCKSLTSIPKLPPNLQHFDAHGCCSLKTVSNPLACLTTTQQICSTFIFTSCNKLEMSAKKDISSFAQRKCQLLSDAQNCCNVSDLEPLFSTCFPGSELPSWLGHEAVGCMLELRMPPHWRENKLAGLALCAVVSFPNSQVQMKCFSVKCTLKLEVKEGSWIDFSFPVGSLRNQDNVVENAASPEHVFIGYISCSKIFKRLESQHFISPDPTKSTLSSKCSPTKASFKFTVTDGTSEIPGLEVLKCGLRFFIGGESSGDCLKKLEVKDAEQNPSAQKLSDNWTSESDTTTEVAVSPENANSAEFQMEISTTPREGQPRPYIKTSKWVCFACFDSRKHP >A10p026620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16870175:16871346:1 gene:A10p026620.1_BraROA transcript:A10p026620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSDLHTEQGVKSVEEHLAGKTYISGDQLSVDDVKVYAAIVEKPSDAFPNASKWYDSVASHLAKSFPGKAVGVSIGGSAASAPAQAEAPAAVADDDDDMDLFGDETEEEKKAAEEREASKKDTKKPKESGKSSVLMEVKPWDDETDMKKLEECVRAVEMPGLLWGASKLVPVGYGIKKLTIMLTIVDDLVSPDNLIEDYLTCEPNNEYIQSVDIVAFNKI >A09p006150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3268263:3268568:-1 gene:A09p006150.1_BraROA transcript:A09p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKLVFRSSTGVDCYYLGAYGEYLEKRQMFLRSYQFSRKQSFTEKATRSARRVKRFVWTKLRTAKRWKRVVWSRLRTAFFYRRKRFFRLLHLHDEPSYCF >A10g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13296302:13298429:-1 gene:A10g505330.1_BraROA transcript:A10g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGHKFLTLIIIDGGSGYCKFGRSKNDSPSGQSATFLEFGNIEIPIYARLQQFFVTIFNRMQVKPSMQPIVVSLYFSAILMRRCKRTTLPSYRSTLFVPWFEKASASSAKALSATERGPHRLGTSLPGERLLLMTMSDAGAGTSRGGSQGGQGQQADPGRKYGIMVNNNINHWKCIFCNKVLTAGVSRLKQHLVGGNKNAKKCPVCPEHVRAELGNYMAVRAAERAAQSMRYEAAVNEDDVEEVDGDQPVRKAAKRKNRGPLDKFVMSLPPDILKGRKDRKAVFGASDKDLRDIVCGGIARWFYDAGIAFNAASHDSFKQMTNLIGQYGMGLKPPSMYELRFPLLQNEVANVEAELVPNREEWAVKGCSIMSDGWRDSVVQKDIVNFLVNSPKGSVFIRSKEVSEVVKDATLALWRRGARR >A03p043200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18037728:18039227:-1 gene:A03p043200.1_BraROA transcript:A03p043200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFARFLSLLSVITLSLFLLASNASSRSLSTPHETTVLNVVSSLQQTQHILSIDPTTTTRPEFESKSIPFFLNASSPLTLELHSRDTLLASHHKDYKSLVLSRLERDSSRVAGIAAKVRFSVEGVDRSDLKPVYNDETMYNPEELTTPVVSGASQGSGEYFSRIGVGTPAKEMYMVLDTGSDVNWLQCKPCADCYEQSDPVFDPAESSTYKPLTCAAPQCASLEVSTCRSNKCLYQVSYGDGSFTVGEYATDTVTFGDSGKVNDVALGCGHDNEGLFMGAAGLLGLGGGALSMTNQINATSFSYCLVDRDSGNSSILDFNSVQISDGDATAPLLRNKKIDTFYYVGLSGFSVGGEKVEIPSSVFEVDDATGDGGVILDCGTAVTRLQTEAYNSLRDAFVKLAKDFKNATSSISLFDTCYDFSSMSSVNVPAVAFQFTGGKSIDLPAKNYLIPIDDAGTFCFAFAPTSSELSIIGNVQQQGTRITYDLENNLIGLSANQC >A08p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16959425:16962854:-1 gene:A08p026720.1_BraROA transcript:A08p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSSSPLYLMSAIIKGEPVVSDPANASAYESVAAELTSMLIENRNFAMIITTSIAVLIGCIVMLVWRKSSAGNSIPAEPLKPLVIKPPQEDEVEDGRKKVTIFFGTQTGTAEGFAKALGEEARARYEKTRFKIVDLDDYAADDDEYEEKLKKEDVAFFFLATYGDGEPTDNAARFYKWFTEGSDRGEWLKNLKYGVFGLGNRQYEHFNKVAKVVDDILVEQGAQRLVHVGLGDDDQCIEDDFTAWREALWPELDTLLREEGDTAVTPYTAAVLEYRVSIHSSEDALNEKHLANGNGHVVFDAQHPYRANVAVRRELHTPESDRSCTHLEFDIAGSGLTYETGDHVGVLCDNLNENVEEALRLLDMSPDTYFSLHSDKEDGTPISSSLPPPFPPCNLRTALTRYACLLSSPKKSALVALAAHASDPTEAERLKHLASPAGKDEYSKWIVESQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPKIAETRIHVTCALVYEKMPTGRIHKGVCSTWMKSAVPYEKSENCSSAPIFVRQSNFKLPSDSKVPIIMIGPGTGLAPFRGFLQERLALVESGVELGPSVLFFGCRNRRMDFIYEEELQRFLESGALSELSVAFSREGPTKEYVQHKMMDKACDIWSMISQGGYVYVCGDAKGMARDVHRSLHTIAQEQGSMDSTKAESFVKNLQMSGRYLRDVW >A09p056990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48245711:48255600:-1 gene:A09p056990.1_BraROA transcript:A09p056990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase FERONIA [Source:Projected from Arabidopsis thaliana (AT3G51550) UniProtKB/Swiss-Prot;Acc:Q9SCZ4] MVEVYISFDRCEDKVRYSFISHLSAAFHRRGISSFVGGSDPKSDGLSKGDMEKSKACVVVFSEKYSSSKPCLEELVKVSERRGYEGGHAVVPVFYRATKSSVKKQIWKSSDLTSEWRSALLEMVDLPGHESSVTQSESDLVEEIVADVREKLNTTENIGVYPKLLRIENLLQPCGVCRIGLWGMAGIGKTTLAEAIFDQMSGGYEASCFIKDFNKKFHEKGLHCLLEEHFGKTLREEFGVNSLITRPVLLRNVLGQKRDIKHENLQKLLPKVIEYADGNPLALKYYGRKTRDDPKEELEMLKRIKLCHSRKLVDIQELENARNIEVIDLQGCTRLEKFIDTGHFHHLRVINLSGCINIKVFPKVPPKIEELYLKQTAIRSIPTVALSSQDNSFSYDHEGHKFLDLEDSSESIMVYLEQLKVLDLSRCIELEGIQVIPKNLKKLYLGGTSIQELPSLVHLSELVVLDLENCKQLQKIPLRLSTLTSLAVLNLSGCSELEDIEDLNLPRNLEELYVAGTAIQEVPLSITYLSELVILDFQNCKRLRRLPMEISNLKSLVTLKLPRLFTVETGMSNLISAFNENVCQRQDYLPQPRLLPSSRLLHGLVPRFYALVSLSLCNASLIHIPEEICSLPIVMVLDLSRNGFRKIPESIKQLSKLHSLRLRHCRNLMSLPELPQSLKLLNVHGCVSLESVSWASEQFPSHYTFNNCFNKSPEVARKRVAKGLAKVASIGKEHEQELIKALAFSICAPADADQTSSYNLRTGSFAVLELTSSLRNTLLGFAIFVVVTFMDDSHNNDGLGVRCISTWKSKRKVISKMEKVFRCWAPREAPEVQKDHMFVFYEDAETHRSGGGGEGNETNVLADQVEFEFQAVNGRNKVLGGSCMVSECDVCVITAATGAASLSVISASKDMSLSKKHSPKLSSLLGKLRFRRTGRFVDREETMTSTEGGIRSLLSLLLLLLLSITTLISAADYTPTDKILLNCGGSSDLTDTDNRTWIPDVKSKFLSSSGDSKTSPAATQDPSVPTVPYMSARIFRSPFTYSFPVASGRKFVRLYFYPNSYDGLNATNSLFSLSSGPYTLLKNFSAAQTSQALNYAYIIKEFVVNVEGGTLNITFTPESTPSNAYAFVNGIEVTSMPDIYSSTDGTLTVVGTSSGVTIDNTTALENVYRLNVGGNDISPSADTGLFRSWYDDQDYIFAASLGIPETADPNMTIQYPTGTPSYIAPADVYSTARSMGPTPQVNLNYNLTWVFSVDSGFSYLVRLHFCEVSSNINKINQRVFTIYLNNQTAEPAADVAGWTGGNGIALHKDYVVIPPEGKGQQDLWLALHPNPIDKPQYYDSILNGVEIFKMNSSDGNLAGPNPLPGPKVTADPSKVLQQRTSHTKSHTAVVAGAASGAVVLGLLVGFFAVAAYRRRKSGEYQPASDATSGWLPLSLYGNSHSAGSGKTNTTGSYASSLPSNLCRHFSFAEIKAATKNFDESRVLGVGGFGKVYRGEIDGGTTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTMREHLYKTQNAPLSWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEALCARPALNPTLAKEQVSLAEWAPYCYKKGMLDQIVDPHLKGKITPECFKKFAETAMKCVLDQGIERPSMGDVLWNLEFALQLQESAEESGKGICGEMDLDEIKYDDDNCKGKNNDKGSDVYEGNVTDSRSSGIDMSIGGRSLASDDSDGLTPSAVFSQIMNPKGR >A08p029850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18398176:18400690:1 gene:A08p029850.1_BraROA transcript:A08p029850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEQRKIMNREEVDKEENDNQLSLQEEEQETEEEMSGRTIEPWTKQITVRGVLVSIVIGVVFSVIAQKLNLTTGIVPNLNSSAALLAFVFVQTWTKILKKSGFVSKPFTRQENTMIQTSAVACYGIAVGGGFASYLLGLNHKTYVLSGPNMEGNSEKSVKEPGLGWMTAYLFAVCFIGLFVLIPLRKVMIIDLKLTYPSGLATAVLINGFHTQGDAQAKKQVRGFMKYFSFSFLWGFFQWFFSGIEDCGFAQFPTFGLKAWKQTFFFDFSMTFVGAGMICSHMVNISLLLGAILSYGLMWPLLDKLKGSWFPNNLDEHNMKSIYGYKVFLSVALILGDGLYTFVKIIYVTIISINARVKNKPNDLDAVGDKKQQKFRKEDENFLRDKIPMWIGISGYLTFAVVSTVVVPLIFPQLKWYYVIVAYVFAPCLAFCNAYGAGLTDINMAYNYGKIGLFVLAAVTGRENGVVAGLAGCGLIKSVVSVSCILMQDFKTAHYTMTSPKAMFASQMIGTVVGCIVTPLSFFLFYRAFDVGNPNGEFKAPYALIYRNMAILGVQGFSALPLHCLQMCYGFFGFAVLVSVVRDIAPAKVGRFMPLPTAMAVPFLVGAYFAIDMCVGTLVVFIWEKRNRRKAQVMVPAVASGLICGEGLWTLPAAILALAGVKPPICMKFLAS >A07p048620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25940817:25964866:-1 gene:A07p048620.1_BraROA transcript:A07p048620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEHLLWELEESILSRIPLKSVARFRSFINNHLARPQFVLISESKTCSVDVNFDGPSIEVHDLPSDIPNYEHRMVMRMHYCEGLLIYATSTGFGICNPWLRRIRWIKSKPSFCWFIGMGYDYSKPDNHYKIFGSRMNYDTRYVNTTEVGSNAWKSYEFSSHSWSMIWSSYTISLNGTLYWIAVKKSARQPFILSFDFSIESLKPYCNLPGKNDLSNVRVLEIYRRDRFSILEQDWNTRNIEIWVTKDTIKNGDGESVEWMKFMNVLVPIWSNILVDDSQRPSYFIDEKSNGLALCCINKNGNTCIYIVKGDKFHEIEINELVGFRTRHLTYYPSFVPLPTGANDAKSHFVFPRGVEATNGVGENEWDDGFFDNVKKISVGQSDTGVAFVKFDYSNNKAVVIGAVHGNATHITYDDVLIDDDDYIEAVEGTYNDNYITSLTFRLHKRKIAPRYGPEDGTPFVLRGGGGRKIIGFYGRNTDVYLTAFGVHRNQEHLLWELEESILSRIPLKSVARFRSVCKRWNTLLNDLKFINNHLARPQFVLISESKTCSVDVNFDGPSIEVHDLPSDIPNYEHRMVMRMHYCEGLLIYATSTGFGICNPWLRRIRWIKSKPSFCWFIGMGYDYSKPDNHYKIFGSRMNYDTRYVNTTEVGSNAWKSYEFSSHSWSMIWSSYTISLNGTLYWIAVKKSARQPFILSFDFSIESLKPYCNLPGKNDLSNVRVLEIYRRDRFSILEQDWNTRNIEIWVTKDTIKNGDGESVEWMKFMNVLVPIWSNILVDDSQRPSYFIDEKSNGLALCCINKNGNTCIYIVKGDKFHEIEINELVGFRTRHLTYYPSFVPLPTGANDAKSHFVFPRGVEATNGVGENEWDDGFFDNVKKISVGQSDTGVAFVKFDYSNNKAVVIGAVHGNATHITYDDVLIDDDDYIEAVEGTYNDNYITSLTFRLHKRKIAPRYGPEDGTPFVLRGGGGRKIIGFYGRNTDVYLTAFGVHRNQEHLLWELEESILSRIPLKSVARFRSVCKRWNTLLNDLKFINNHLARPQFVLISESKTCSVDVNFDGPSIEVHDLPSDIPNYEHRMVMRMHYCEGLLIYATSTGFGICNPWLRRIRWIKSKPSFCWFIGMGYDYSKPDNHYKIFGSRMNYDTRYVNTTEVGSNAWKSYEFSSHSWSMIWSSYTISLNGTLYWIAVKKSARQPFILSFDFSIESLKPYCNLPGKNDLSNVRVLEIYRRDRFSILEQDWNTRNIEIWVTKDTIKNGDGESVEWMKFMNVLVPIWSNILVDDSQRPSYFIDEKSNGLALCCINKNGNTCIYIVKGDKFHEIEINELVGFRTRHLTYYPSFVPLPTGANDAKSHFVFPRGVEATNGVGENEWDDGFFDNVKKISVGQSDTGVAFVKFDYSNNKAVVIGAVHGNATHITYDDVLIDDDDYIEAVEGTYNDNYITSLTFRLHKRKIAPRYGPEDGTPFVLRGGGGRKIIGFYGRNTDVYLTAFGVHRNVPF >A06p009240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3231409:3234541:1 gene:A06p009240.1_BraROA transcript:A06p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFIFFLFFCLLQSCTCDDTIMRTHSMRDGDVIFSQGKRFAFGFFSLGVSNLRYVGIWYAQISEQTVVWVANRDSPMNDTSGVIRFSSSGNLCIYASVNTTEPLWSTNVSESILEPTLVARLSPLGNLVLLDSVTGKSFWESFDHPTDSSLPSMRLGFTRKDGLDRVLTSWKSPGDPSSGAFTYRINRTGFPQLILYKGLTPWWRTGSWTGLGWSGVPGMSRRRGSSIFHSSFVNNQDEVSTTNRVTDASVLTRMMVNETGNVQRLTWVETEKKWNVYWSVPKEECDNYAHCGLNGYCDPTGSASFVCTCLPGFEPKMPRDWLLRDTSGGCTKKNNASICGREKEGFVKLKRVKIPDTSVASVDMNITLKECKQRCLGNCSCVAYASAYHEGVGGAKGCLTWHGDMLDARIYMNTGQDFYIRADREEIERWNKDGLLRKRRVIIIVISLIAAVMLLAVISFCYVRKRRKSNRERRSSTTLAPGSPFRFEEDRGREWELPLFELNTIVTATNNFAFRNKLGEGGFGPVYKGVLEDGAEIAVKRLSKNSGQGMEEFKNEVKLISKLQHRNLVRMLGCCVESEEKMLVYEYLPNKSLDCFIFDEEQRSELNWPKRMEIIHGIARGILYLHQDSRLRIIHRDLKASNVLLDNEMIPKIADFGMARIFGGNQIRGSTNRVVGTYGYMSPEYAMEGHFSVKSDVYSFGVLILEIITGKKNSAFHKESLNLVGQIWDLWNKGEATKIVDKLMNQKIYDESEVMKCVHIGLLCVQENASDRPDMPSVVSMFGHKANDFPPPKHPAFTSGRKTHVKNDDSTSGAYPSGENSNSVNDITLTDVHGR >A01p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5143061:5144233:-1 gene:A01p010520.1_BraROA transcript:A01p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGSSSLPPGFRFHPTDQELIGYYLSRKIEGLEIELEVIPVIDLYKFDPWELPDKSFLPNRDMEWFFFCPRDKKYPNGFRTNRATKAGYWKPTGKDRKITCRSSGVITGYRKTLVFYEGRAPLGERTNWFMHEYRLNHDDLSQKSPKFKGAFALCRVVKKNQLKTKTLKNKNEQAVGSGCSSLATSPCRDGTMQFQSFSPSSSTTYKSSSMWISPDFILDSSKDYPQIQEIASEYFPNFHFPVNAANHHVGLRESSSYLNADQDIDQSMQTGYWPNYEYDQTGSFGYSNLF >A06p019440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9525842:9527569:1 gene:A06p019440.1_BraROA transcript:A06p019440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSAELSAAAAYRNPKLYSLNENGYNTGGGTSVEMFDPDRSKNTCLTDDSYPSQSYEKYFLDSPTDDFAQQHPVGSGGASVSSFGSLESFPYQSRPVLGCSMEYQLPFDSTSTSSMSLFGGYQVATQSPSMEFDDEQMRSKIQELERALLDDEDDDDKMIGIDQLMEIDNEWSPKESSSEDSNSHASSKEVISQSTTTTPKQILISCAHALSEGKSEEALSMVNELRQIVSIQGDPSQRIAAYMVEGLAARMAASGKFLYKALKCKEPPSDERLAAMQVLFEVCPCFKFGFLAANDAIIDSIRGEEEVHIIDFDINQGNQYMTLIKTIADLPVKGRPRLRLTGVDDPESVQRSVGGLRIIGLRLEQLAKDHGVSFTFKAVASKTNIVSPSTLGCKPGEALIVNFAFQLHHMPDESVTTVNQRDELLHMVKSLNPKLVTVVEQDVNTNTSPFFPRFMEAYEYYSAVFDSLDMTLPRESQERMNVERQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFSPRPMSGRVRSNIETLIKRDYCSKYKVKEEMGELHFCWEEKSLIVASAWR >A05p055000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32497863:32500435:-1 gene:A05p055000.1_BraROA transcript:A05p055000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MTO1 [Source:Projected from Arabidopsis thaliana (AT3G01120) UniProtKB/TrEMBL;Acc:A0A178VB36] MAVLSFQSPTNFSATSIPGSSRRRFDSTAGISSSFTGDGPISSMILRFPPNFVRQLSIKARRNCSNIGVAQIVAAKWSNNPSSGLPSAAAAASSVSAAASAPAAAAPPVVALNGVDEEVVAAEGAREIGLKKDSKPSFLSSDGSLTVHAGERLGRGIVTDAITTPVVNTSAYFFNKTADLIDFKEKRSVSFEYGRYGNPTTIVLEEKISALEGAESTLVMASGMCASTVMLLALVPAGGHIVTTTDCYRKTRIFMENFLPKMGITVTVIDPADIAGLEAAVNKYQVSLFFTESPTNPFLRCVDIELVSEICHKRGTLVCIDGTFATPLNQKALAFGADLVVHSLTKYIGGHNDVLGGCICGPLKVVSEIRNLHHVLGGTLNPNAAYLMIRGMKTMHLRVQQQNSTASRMAEILEAHPKVSHVYYPGLASHPEHHIAKRQMTGFGGVVSFEIDGDIERTIKFVDSLKIPYIAPSFGGCESIVDQPAIMSYWDLTQEERLKYGIKDNLVRFSFGIEDFEDVKADVLQALEAI >A01p039100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14969872:14971121:1 gene:A01p039100.1_BraROA transcript:A01p039100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGAERGGDRGGFGRGFGGRGGGRGGPRGRGRRAGRPTEEEKWTPVTKLGRLVRDGKIKQLEQIYLHSLPVKEYQIIDLLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGGIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLQKTYGFLTPEFWKETSFKKSPYQEYTDFLTTKADSAAKVITEVEDQA >A10p029310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:17993846:17994157:-1 gene:A10p029310.1_BraROA transcript:A10p029310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKELQAKADAALTNRGGGKAGLADRTGKEKGGHAKYECPHCKITAPDLKTMQIHHESKHPKLPYEEPKNLHEVLAAPESSKPKPGIRGSLKK >A03p032120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13592597:13594711:-1 gene:A03p032120.1_BraROA transcript:A03p032120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 702, subfamily A, polypeptide 8 [Source:Projected from Arabidopsis thaliana (AT3G30290) UniProtKB/TrEMBL;Acc:F4J5G3] MLYVFELWIVIVSLLVLNLCHWIYQWRNPKCNGKLLPGSMGFPIIGETFEFMKPHDVLQFPSFVKKRVIRHGPVFRTSLFGSKVIISMDNELNMEIAKTNRTIGVPKSITRLFGDNNLFVQSIESHKHVRSLTFQLLGPQGLKLRVIEDIDLLARKHMEEGARNGFLNVKEAASKILIECLAKKVMGDMDPETAKELAICWRKFPSGWFRFPFKIPGMGVYDMMKARKRMMNLLKEVVLKKRASGEEFGEFFKIIFGENERGKEKMSVENAINYIYTFFLIANETTPRILAATVKLISEKPRVMQELQREHARIVGDRTEKDAALTWEDYKSMTFTHMVINESLRITTTVPVVLRKPDHDIQVGDYTIPAGWTFMGYPNVHFNPEKYEDPFVFNPWRWKGKDLSALVSKNYVPFGAGPRLCVGAYFAKLLMAIFIHNLCRYRWSMKVETTVTRSYMLMFPQGCDVQFSEDTKVD >A07g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26007433:26009445:1 gene:A07g508970.1_BraROA transcript:A07g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDMKAHKAYYNMLHFVSEAQQGIPKLCPCGSITKEFVDEEDSYDYLPGKKYFICKDYQNDGMHFRQPWVMGVQQEIERLKHRMMEQEKLQLECEALKNQVKMLLQRVSELEKLEFKVGQSVGNFVETRIVRVSPCRSVPEPEERPIGVKAAKAAKKRKKTGKEEELAKLESLLEIKKQISKQSLLESLLAKPEPLSEMESALKIKLMSAFLDVKYKTMCLLKTKAGISSLFSHGWFVSFSLYFDVNQVTGVFVSLSLYFHVNQVVYHVAFISLFM >A09p000220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:133532:134943:-1 gene:A09p000220.1_BraROA transcript:A09p000220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 31 [Source:Projected from Arabidopsis thaliana (AT4G00210) UniProtKB/Swiss-Prot;Acc:O81322] KHYVLLENMSGSTPSGGSPCGACKFLRRKCVAECIFAPYFDAEEGTAHFAAVHKVFGASNTSKLLLMIPANRRPEAVATLSYEALARLRDPVYGCVGHIFALQHQVMSLQEELAVVKTHLTTLERLPPQPKQQNNSQTEAASSTKAPLIATADHKKNNVSSSLLHIYGMSQEQQQQQPQEGTEVPNESVDFSTLLGLEDPLDRDGDLNTLAREFVSKYLTDGKYRSSSPI >A01p042590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25356257:25356993:1 gene:A01p042590.1_BraROA transcript:A01p042590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCICKLKLTSPMKGLLKGLRYIARIFEDDKEPEMQIGKPTDVKHVAHIGWEGPSATTPSWMHDYKSPTAEAKGSSNKKPREKQRNKGRRKASTGTNNSPAESPSRVGGSTRPSRRSTGKQREQSTGSGSESGSGLDLPQQNDQSVGQKQSRQKKSKGTTGGGGGSSIGPAKTDRSVRAVIPSVGGLESSTGR >A01p021000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10255283:10256296:-1 gene:A01p021000.1_BraROA transcript:A01p021000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor CRF4 [Source:Projected from Arabidopsis thaliana (AT4G27950) UniProtKB/Swiss-Prot;Acc:Q9SUE3] MMMDEYMDYRPVKYTEHKTVIKKYTKKLSVENKKKKKISSGRDSAKLVRVCVTDHDATDSSSDEEEFLFPRRRVKRLINEIRVEPSPSDEPSAASNKNGNSLAVEPPLKKVSVSGDDQSERKFRGVRRRPWGKYAAEIRDPEQRRRIWLGTFSTAEEAALVYDNAAIRLRGPDALTNFAVPPEPKPEQEPESKSSVSVSSASESMDYSNHHLSSPTSVLNYGVSEPIDEPVKPVKQEFIKPEPVTWPFEEGNGNDIDGSFPLDIPFLENYFNESSPDISIFDQSMSHIQSPENGFFDDLMLFDHNNMGGENYCSDIEEIGSMFNSVDDFFISDFLVV >A05p045180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27047059:27049694:-1 gene:A05p045180.1_BraROA transcript:A05p045180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGTSLQTLTSAATMERLGGGNIVQPSLSTRDALDKYHIVTQKMEDLVANNSGDGEIQVLEFLVHKLLYWEELSLVEFSNTAMKETGTSLQTLTSAATMERLGGGNIVQPSLSTRDALDKYHIVTQKMEDLVANNSGDGEIQYSEVLEIILRCISRDEAALVVAQSSLHVSANLAIFVTIREVSNFCNI >A05p052960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30461950:30463285:-1 gene:A05p052960.1_BraROA transcript:A05p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDNKLLPKRIILVRHGESEGNLDTAAYTTTPDPKIQLTESGLLQAQEAGARLHSLISSNPSSPEWRVYFYVSPYDRTRSTLREIGRSFSRRRVIGVREECRIREQDFGNFQVEERMRETKVDRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHINPSHELNFVIVSHGLTSRVFLMKWFKWTVEQFEALNNPGNTEIRVMELGQGGDYSLAIHHTEEELEKWGLSPEMIADQKWRVNAHKGEWKEDCKWYFGDFFHDLADSDKECETEAAVEEREVHEEVENNMVTNSEDNNEEELCNGQCS >A06p025760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14568392:14569510:1 gene:A06p025760.1_BraROA transcript:A06p025760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKECEHHHDEDEKMRRRIGAAVLGLLAAGLFVVFLVWAILHPHGPRFVLQDATIYAFNISEPNFLTSNLQVTLSSRNPNDKIGIFYDRLDIYASYRNQQVTLATLLPATYQGHLDVTVWSPFLYGTSVPVAPYFSPALSQDLTAGMVLLNIKIDGWVRWKVGTWISGRYRLHVNCPAYITLAGHFSGEGPAVKYQLVQRCGVDV >A05p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14214858:14215095:1 gene:A05p026690.1_BraROA transcript:A05p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTQIVVATRSCSLLFDIYLFLEFCESSLNGCSHQVMGEKGKLDPYRLLPSRLEGGIRSNVYQAPIQAD >A05p015160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6705471:6706793:-1 gene:A05p015160.1_BraROA transcript:A05p015160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNISSPPISHRNPHFLSPLNHLPPRSKTHLSKSHLYSAFPNQTLKNRASFGFKTPPHGDRLRLRPKALPGIDLGSFESVLEATAVLTAIIVVHESGHFLAATLQGIHVSKFAIGFGPILAKFDFKNVEYSLRAFPLGGFVGFPDNDPDSEIATDDENLLKNRPVLDRSIVVSAGIIANVIFAYVIIFVQVLSVGLPVQEAFPGVLVPEVKTFSAASRDGLLSGDVILSVDGAEFSKTGPDAVSKVVDIVKRSPESDVLFRIERGNQDLVVRVRPDKNFDGTGKIGVQLSPNVRITKVRPRNVPEAFRFAGKEFMGLSSNVLDGLKQTFFNFSQTASKVAGPVAIIAVGAEVARSNTDGLYQFAALLNINLAVINLLPLPALDGGTLALILLEAVRGGRKLPVEVEQGIMSSGIMLVIFLGLFLIVKDTLSLDFIQEML >A02p039170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22332546:22335319:-1 gene:A02p039170.1_BraROA transcript:A02p039170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEPEKMISEPEKTISEPEKMIPEPETSIPESEKMIPEPETTIPESEKMIPEPETTIPESETTVPVRSQEEAMFFKLLTIFFFSLLFQSSSKTLNFTYDGFPQRSYISIQGIAAVTPNGLLRLTNTTVQQTGHAFYNKSIRLKNSPNGTVSSFSTTFVFAIHPRIPGLSGHGIAFVIAPNTRLPYATPSQYMGLFNITSNGNKTNHVFAIELDTIRSTEFNDINDNHVGIDINSLTSVKSSPAGWWDKNGQFNNLTLIGSKPMQVWIDYNGRTHKINVMMGPLNEEKPKKPLVSVVRDLSFVILQDMFVGFSSATGTVPAEHYVLGWSFGVNREAPPLDLSKLPKLPLLHPTRISYFCRFGIPSISIILIFSSIFLVWFIARRRRMFQEELDDWETELGNNRLRFKDLYYATKGFKEKDLLGSGGFGRVYKGVMLETNLEIAVKKVSHDSRQGLKEFVSEIVSIGRMSHPNLVPLLGYCRRRGELLLVYEYMPNGSLDKYLYNTPEVTLDWKQRSKVILDVASGLFYLHEDWEQVVIHRDVKASNVLLDGELNGRLGDFGLARSYDHGADPQTTNVVGTLGYLAPEHTRTGRATTATDVFAFGAFLLEVVCGRRPIEIQHESDEVFLLVDWVFRLWNNGNILDAVDPNIGSQYNEKEVEMILKIGLLCSHSDPRARPSMRQVLHYLRGDAKLSDLSPLDLSRSGMMFGVQDGFSELRMLYASSVCKRFTSGSSIADSLLSGGR >A01p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17084939:17092001:1 gene:A01p033570.1_BraROA transcript:A01p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSACVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKKKEKEAELPKKKKEEEAELQRSAECVVTNDEKMSSSLYVSGNTYFHRRHVERGTPKHCWCGEPAELCTSASRANPGRLYYCCRKGYIKRHLFKWADECLVEEVEDMKSVMSDMTKGISDLRVDVGRLEKELGKAEKMKCLMFPVVMDLQCGIPRTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDIIEIIRIIECLRMKS >A09p000760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:484162:485046:-1 gene:A09p000760.1_BraROA transcript:A09p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSSSLRAYLCDSNDNSKKSETNKALRNHQVLLAAHLEEAAELAAMIQGLSWALKLVVKSIQFFCDDDSIILDYTSLTSCQTLPLLRSDDDINSSSLIKLVRDAIASQTTWHTNTEEYETCPDCYAHVSPRHKLEDNELELVRHKLDLVSLEEYSTYRLCSKGLVSEEVIKNVSTLVGGSGMSLCYN >A03g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20964553:20966187:1 gene:A03g505840.1_BraROA transcript:A03g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLIRNRSAHYAVHDDYPWIVPFWVQLVGFPLHLWTDGNLRNIGGRLGHVDTLELTEGRMLIDIDSRKPLKFSRKVEYKGDEVTLEIKYDKLFKHCSTCGMLTHEKDYCPTTDVRTRIQPMERRDVFSRVQTPQEHNARQHLNRNVQAESHRSSQSSMDRDRPLAHISTPHNPRFNDRYHYNENDVDDRGNQREERGLQSRSWYDNRWNGNDGRKGHADRIIRRRDEHPRSSRYGGSRSGTGPYDRPQRPVWRAKPRSWVTGKDDAVVSYEQSSRSLALVIHSSEDNKCSGSQDTRKIASAIVTPSRLDRPMEDNVTVRNKGEGQALAFSPFDGQDPPSADDQIIGALSDMELVEQSTDGFEDDIDRDDLLAIDLMEMEGNNLQDATEDKGTSKGMKSTRTKKHGGKRNAPLGIKNRKFEILRRGSPSRRSTSSRAH >A09p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3329562:3330856:1 gene:A09p006320.1_BraROA transcript:A09p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWVFGYGSLIWNPGFDFDEKLIGYIKDYKRVFDLGCIDHRGTPEHPARTCTLEDSAGAICWGAAYCVRGGPEKEKLAMEYLEGRECEYDSKTLVQFYTETDTTKQSKPILTGVIVFTSTPDKVSNKYYLGPAPMEEMAMQIATATGPCGNNREYLFKLEKAMHDIEHEDEYVIELANEVRKHLDLPKEVKALLKPVVSRVSIKSHSQAHVSTLQRVFAS >A05p045610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27247580:27251964:-1 gene:A05p045610.1_BraROA transcript:A05p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAIAAAASALFLLGFLVSSVSASVSYDSRAITINGERRILISGSIHYPRSTPEMWPDLIRKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLVQQSGLYLHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAQMQRFTTKIVNMMKAERLFESQGGPIILSQIENEYGPMEYELGAPGRSYTNWAAKMAVGLGTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKAYKPKMWTEAWTGWFTKFGGPVPYRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLERQPKWGHLKDLHRAIKLCEPALVSGEPTRMSLGNYQEAHVYKSKSGACSAFLANYNPRSYAKVSFGSNHYNLPPWSISILPDCKNTVYNTARVGSQTSRMKMVEVPVHGGLSWQAYNEDPSTYIDESFTMVGLVEQINTTRDTSDYLWYMTDVKIDSNEGFLRTGDLPTLTVLSAGHAMHVFINGQLSGSAYGSLDSPKLTFRKGVNLRAGFNKIAILSIAVGLPNVGPHFETWNAGVLGPVNLNGLNGGRRDLSWQKWTYKVGLRGESLSLHSLGGSSSVEWAEGAYVAQKQPLTWYKTTFSAPAGDSPLAVDMGSMGKGQMWINGQSVGRHWPAYKAVGSCGECSYTGTFNENKCLRNCGEASQRWYHVPRSWLKPTGNLLVVFEEWGGDPNGISLVRREVDSVCADIYEWQSTLVNYQLHSSGKVNKPLHPKVHLQCGPGQKMTTVKFASFGTPEGTCGSYRQGSCHAHHSYDAFNRLCVGQNWCSVTVAPEMFGGDPCPNVMKKLAVEAVCA >A04p015840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9802509:9804092:-1 gene:A04p015840.1_BraROA transcript:A04p015840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDLFEASISLFCFVIFYYFFIKKNFGYLLIKKTLQSYAWNWPVLGMLPALFVWHNGIDDIIWFIEKKNLTFLFKGPWFTRMDALITVDPANIHHIFSSNFSNYIKGSDFNEIFDVFGDAIFNTDSELWKNQRMSIQAMLSHQDFQNFSMSITTSKLKDVLLPLFNRYSEEGTIVDLQDVFRRFTFDTALVTITGSDPQSLSFEMPDVEFAKAFDNAGDAILIRHIMPKFLRKLQNRMELGQEKTLIEAGATFDRICAKYISAKREEIRSQGFDHDHDHSNGESEDLLTSHIKLDTSKYELLKPNDDKFLRDTILTFIAAGRDTISTALTWFFGLLLKHPYVEAKIHQEINTNLPKSTSSQERPWSDIDRKACLNKLVYLHGALCEAMRLYPPVPFQRKSPIKSDVLPSGHKVDANSIIIIPIYVLGRMRSVWGDDALEFKPERWISETGGLRHEPSFKFLAFNCGPRTCPGKHLALTAMKAIIVEILQNYDVKLIEGQKIEPKPRLVLQMNHGLRVTLTKKCSA >A07p036800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19709163:19713063:-1 gene:A07p036800.1_BraROA transcript:A07p036800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PEPR1 [Source:Projected from Arabidopsis thaliana (AT1G73080) UniProtKB/Swiss-Prot;Acc:Q9SSL9] MKKNLGSFESLFLFILFVSTTHIVSVSCLNSEGLTLLSLLKNLEKVPKEVTSTWKKLNSSPEATPCNWFGITCDDSNNVSSLNFTRSNVSGQLSPEIGDLRSLQILDLSTNSFSGTIPSTLGNCTKLVYLDLSENEFAGEIPYTLGNLKSVTDLYLYENYLTGELPESLFLIPVLQTLHVDYNNLTGSIPESIGEAKELLELSLFENEFSGNIPESIGNCSKLEILYLHKNKLVGSLPETLNMLENLTDLFVGNNSLTGPVRFGSANCKNLLTFELSYNEFEGGVPHELGNCSSLDALVIVSGNLSGTIPSSLGMLKKLTVINLSENRLSGSLPPEIGNCTSLKMLKLNNNQLGGEIPSSLGKLKKLDSLELFENRFSGEIPIEVWKIPSLAQLLVYQNNLTGELPLEMTELKHLKKVTLFNNGFYGEIPSALGVHSSLEEIDFISNKLTGEIPQNLCHGKKLTVLNLGSNQLHGKIPTSIGHCKSIERFILRENNLSGPLPEFSQDHSISFLDFNTNSFEGPIPRSFGSSRNLSSINLSRNKLSGQIPPELGSLVRLGYLNLSNNLLEGSLPSQLSNCVNLERFDVGFNKLNGTVPSSYSAWKGLATLILTENRFTGGIPSFLPELVKLSDLQMGRNAFGGEIPSSIGSLQQLIYGLDLSGNGLTGELPDKLKDLIRLTRVNVSNNKLTGSLSVLGNLTSLLHADVSNNQFIGAIPEKLKNQSISDPASFLGNPGLCIPRSFPISNNSELSYCEDQSRNGKSGLSTWKIVLISVLSSLFVLVLVLAIVFICLRRRGGGHEERPKKDAIVFTEEEGPSLLLSKVLAATDNLNEKHIIGRGAHGIVYRASLGSGEVYAVKRLIFASHIRANQSMMREIETIGKVRHRNLIKLEGFWLRKEDGLMLYRYMPRGSLYDVLHGVSPKEDVLEWSARYNIALGVAHGLAYLHYDCHPPIVHRDIKPENILMDSDLEPHIGDFGLARLLDGSTVSTATVTGTTGYIAPENAFKTVRGRESDVYSYGVVLLELVTRKRAVDKSFPDSTDIVSWVRSMLSKSSVDDMVSTIVDPILVDELLNSDIREQIVEVTELALSCTERDPARRPTMREVVKVLCDAQGLVRCSSGSVR >A10p024400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15811452:15813533:-1 gene:A10p024400.1_BraROA transcript:A10p024400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKNLTLTLRILWRLVLGITGAHIIEDDARSEHHAVMKYSIADTATTKLRILFKLNSSLDMIFLDMMFLRSYARFVRQNKTSSKTAPPVVYVWGSTSAQNANFSTMIFPRSNITAMTVGYAGPEEKKTSSIAKDVDVATPKFWRTSTGVWKAHCITIARFVLRDITVLRCGHAMHLECTKDMGLHNRYTCPLCSKSICDMSSVWKKLDEEVAAYKIPKVYEDKMVWILCNDCGSNTNVRFHLIAHKCSSCGSYNTRKTQRGPNTHSCSSGVPQVVGSTSKSMSYIDKKIRLQIFRTHPNRQRNSFEFSDLYVVGFY >A05p011380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4829251:4830195:-1 gene:A05p011380.1_BraROA transcript:A05p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSTVTDLISTVHQDIIEAHILTRLDGPTLASLSCASTLLHKLASNELLWSKICRSTWPSTATISDEPRSFFSDVYSVLDTGGSVSDLDRPFPELISAVDLHYRGKLILSRVVKTETTTAWFLSSPLRIDLVDAKDTVETPIKRGRWTEDTCRDLEQDLTLSWIVIDPVGKRAANLSSHRPVSVQRNWLSGEVEAKFATVVGSVECVITVVTCGEEEMHVKEVSLKVEEMEGTSLNGKDSLVILRSVMEGKRGNGRRREAESKWRHEEFMEKKRELKEKKMRVEWVFDILTVVVGVLGFGTLVGFCLWSR >A02g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22494332:22499751:-1 gene:A02g508150.1_BraROA transcript:A02g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFKLFDTCDLDTQGLIAALNATTDAFYVSGAGLGLRIETAGCGGLTRKEPPERETTAGKRKASDEEEEEEEERLNKKMFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLACVNKAQSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSEAINHSFTYESPLPVGRLVVHLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFADSSREDLIKDAILAVRETLQGETLKSSLCTVSVLGVGEPFHFLDQETIQNVIDTFEKVADEEEGEGEAEPAAPAEEGGDGTGDQDVAPMET >A03p024920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10484587:10484950:-1 gene:A03p024920.1_BraROA transcript:A03p024920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITMKTLVLFVSTVFFIVFFVDCHTTTTTVTPANTPGYGINWATKVCFQISSPCDINGRFGCSKFCDKWGYEYDHCEPYKCCCHR >A01p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:411099:414304:-1 gene:A01p001080.1_BraROA transcript:A01p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRHRGRSHRNQSEDSRETAPKPLKPDAPFDSTIQNRTWSNLDFEEYYKEQRIVRAEEWDSFIEILRKPLPAAFRVNSNGQFCDEILSMLENDFVKSLQAEAVESGEVEAIKPLPWYPKSLAWHSNFSRKEIRKNQTLERFHEFLKLETEVGNMTRQEAVSMVPPLFLDVHPDHFILDMCAAPGSKTFQLLEIIHESAEAGSLPNGMVVANDVDYKRSNLLIHQTKRTCTTNLIVTNNEGQHFPNCNSKRALSIDQLLFDRVLCDVPCSGDGTLRKAPDIWRRWNSGSGNGLHSLQVVIAMRGLSLLKVGGRMVYSTCSMNPIEDEAVVAEILRRCGSSVELVDVSDKLPELIRRPGLKKWKVHDRGGWYRSYKDVPKVQRDGVLRSMFPSGKSDKDSTAGGGSSSGEIASDESAEEVCDLPLERCVRIVPHDQNTGGFFIAVLHKVSSLPDFQEKRRYTRGRNSSENKEEIVVEEEAASDNGLKLEKESTIKEGTVELGKEVPPPVKGKWKGLDPVVFLRDETVINRIKSFYGINDESFPLNGHLVTRNSDTSTKGNVKRIYYVSRSVKDVLELNLAAGEKIKVASVGLKMFEKQSARECAAGSCSFRITSEGLPVILPYMTKQLLYATMVDFKSLLQYKSIKFNDFVHPQFGQKAAEVAEGYCVVVLVDGTQKDGSEAVKVSSSTVAIGCWKGKASLTVMVTTVDCDQLIQKLAC >A04p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20163256:20168372:1 gene:A04p034860.1_BraROA transcript:A04p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEEAIVASGNDNEGDQTNGNHTGKTDEYDPSTGSALSNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKNFKNHVIQWFEVLDGLLGTYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQTEGVKHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSASAVYWAFGDALLNHSNAFSLLPKNGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPFFMPSWTAMYVLNAFVVIWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKSPVPAGAAHAPVSALHHRL >A05p051700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31532467:31533211:1 gene:A05p051700.1_BraROA transcript:A05p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFLGYDPVEKQHKVLAMTSRNDRSKEHQVLTLGTGNLTWRMVKCGKPHPFPEVYASMGFCITLRFPILSEKYSFVKPPPERNLKMEKLINFQGKLASVRSRIFDSEESLSLEILILKDPKKHEWAIRIFNLPPMWKDGAAGKYLDVVGVTATNELVLSPRFPSYLYYYNFVSEDISRVDIRGIGAFEKEPRAHVILNHVEDAKIMELF >A04p028480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17104679:17108656:-1 gene:A04p028480.1_BraROA transcript:A04p028480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSKFGSKQINSSHWGKVHGPFMMEDLVCQNQNMMISKGIFKNSNPLKYATPLLFLQMSVIIITSRLIFRFLQPFKQGMISAQVLAGIVLGPSFLGHNVTYMNMFLPAGGKITIQTLSNVGFIIHLFILGLKIDASIIKKASSKAILIGTASYAFPFSLGHLTVFFINTTVGLHRDVFHCASTVIFLCSMTSFPVTTTVLTELNILNSELGRLATNCSMVCEACSWFVALAFNLYTRERTIKGVWGIVMIGGLIGVIVGVFRPLIIYLTERKSKSMNKKDIVPFFPVLLILAIASTSAEAMGVHAAFGAFWLGVSLPDGPPLGTELAMKLELVASNMLLPCFIAISGLQTNFFEITESHENHVVLIEVILLVTYGCKFLGTTAASAYCQTQIGDALCLAFLMCCQGIIEVYAIVVWKDAQVVDTECFNLVIVTLLLVTGISRYLVVYLYDPSKRYKCRSKRTILNTRERNLQLRLLLCMYNVENVPSMVNLLEATYPTRFNPISFFTLHLVELKGRSHAVLTPHHQMNKLDPNTAQSTYIVSALQRFEQKYQGTLMAQHFTAAAPFSSINNDVCTLALDKKATLIVIPFHKQYAIDGTVGQVNGPIRNINLNVLEAAPCSVAIFIDRGECKGRRSVLMTSTWKNVAVLFIGGRDDAEALALCMRMTEKPELNVTVIHFRHKSSLQHEDYSEMAEYNLINDFKSHAAANKGNVHYIEEIVKDGVETTQAISSLGDAYDMIFVGRNHDLESSVLYGLTDWSECPELGVIGDMLTAPEFHFSVLVVHQQQGDALAMDDSYKLPVEDQKSGDTKQQERFSVEEGFTTIDLDKS >A03p048990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20932240:20940426:1 gene:A03p048990.1_BraROA transcript:A03p048990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DRD1 [Source:Projected from Arabidopsis thaliana (AT2G16390) UniProtKB/TrEMBL;Acc:A0A384KTB7] MADTKQDIETSEDERKIVKISSFRKKAISASNRFKKSFRRKSRRTSSRIVSEPGGINAEDLRSIDAFRQLLLQDDLLPTQHDDPHMMLRFLRARKLDTEKAKQMWSDMLQWRKDFGAVTIIEDFEFEEIDEVVKHYPQGYHGVDKEGRPVYIERLGQIDANKLLQVTTMDRYERYHVKEFEKMFNIKFPSCSAAAKKHIDQSTTIFDVQGVGLKNFNKCARELLQRLLKIDNDNYPETLNRMFIINAGPGFRLLWGPVKSFLDPKTTSKIHVLGNKYQSKLLEAIDASELPQFFGGRCTCEGKGGCMRSDKGPWNDPEILKMVKNPEAKFSTISEDERILVEDEISMVFEPLERKKKRTIKVNVSEKHIATVDKFMAFSLPEKPPKTVKRGNGLPKKDDGFLVGGVIAFVMGILAMVRLSKHVPRKLTEAALFGNSVYNDESKMTNPDQDQLSTPVSSSEYVLMAKRMSDLEEKYMSLDSKPADDGLEKEDKLQAALNRVQVLEHELSETKKALDETIAKQNGILEFIEKKKKKKRLIINFLSQTVDDSLKKMMVHLVSSVTDTSQVISGIAGLIPLLSVIGHRKRKPDDALSLASEAKRLRNSSKVTDFSHPFAVSNMLEALDGGKFGSVTKELEEVANLRMELVKRCVWLYPSLAHTVFGAGDGQEELVSLENQLALDSVIDLDGGDGTEKALCVVPSTEIVILDSDEDEDEGVESEKAKYPFQSSLVQHQKSQGDVQLVTPQFAFEEVVLGKGKEMSCAITALVEGQSSRGNLLALENGMAIDKERKREKVLAIENGVVNDKGVYVGVEEDESGDESEAADEDLGNIWSEMAMSIVCSKDVDNSRNESKTDEVEDCEHSFILKDDMGYVCRVCGVIDKSILDIIDVNFSKAKRSTRTYASEARMKKFGESDFEIKLSEEGLMIGGLSAHPTHANKMKPHQIEGFQFLCSNLVADEPGGCIMAHAPGSGKTFMIISFMQSFLAKYPQAKPLVVLPKGILSTWKREFVRWQVEDIPLLDFYSAKAENRAQQLGILKQWMEKKSILFLGYKQFSTIVCDDTSTDSRSCQEILLKVPSILILDEGHTPRNEDTNVLQSLAQVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSKSIVKRILSRAPISDVRSHLGGSSDVSAAFNEIVEHTLQKCQDFKMKINMIQDLREMTKKVLHYYKGDFLDELPGLDDFTVVLNLSPRQLTEVKKLRREKRKFKVSAVGSAIYLHPKLNAFSEKTDNVSDTTMDEMLEKLDVNEGVKAKFFLNLIDLCDSAGEKLLVFSQYLVPLKFLERLAALAKGWKLGKEVFVLTGESSSEQRELSMERFNNSPDAKVFFGSIRACGEGISLVGASRILILDVPLNPSVTRQAIGRAFRPGQTKKVHAYRLIAGSSPEEEDHNTCFKKEVISKMWFEWNEYCGFRNFEVETIDVDDAGDMFLESPALREDIRVLYKR >A06p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24856300:24856845:1 gene:A06p046470.1_BraROA transcript:A06p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHSDKVSKPRAEDMIEQNKTSSSNEKKTCAHCGTSKTPLWRGGPAGPKSLCNACGIRNRKKRRGVEDKKQQSKKSNSGGGGDLKQRMMGFEMGKRSTVEKQRRKLGEEEQAAVLLMALSYGSVYA >A10g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:846091:846763:-1 gene:A10g500230.1_BraROA transcript:A10g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCQYAVSGKLQKEGKKLSPAQKDGIIEWRKMSVFQRISGGQLYVPLLISPGSLRTKDSGEWARCLLPHVRDKKVRLEGRCKSTPEALGIT >A05p020550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9732996:9733172:-1 gene:A05p020550.1_BraROA transcript:A05p020550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNQRHGPRLTTRIELEEGVIEVVMIAQQEAEIAELARRSQSRLAIKEGEETRSRTR >A08p026730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16968275:16970869:1 gene:A08p026730.1_BraROA transcript:A08p026730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLDGAAGDSSKCSEMSVEEKRQLVYELSKQSSHLAPEVLQAWSRQEILQILCAEMGKERKYTGLTKVKLIETLMKIVSEKNAGECDENKKKRDSDCCLPVQRSAKRPRKVDNPTRYVAPTSNNNASGEEKTTYCKNLACRAVMRREDSFCRRCSCCICRKYDENKDPSLWLTCSSDPPFEGGSCGSSCHLECAFESEKSGLAKRSEECCFCCVSCGKPNSLLECWKKQLTIAKEARRVDILCYRLLLVQKLVKGSSKYRNVCEAVEKAVKCLEADVGPLTMKMGRGIVNRLNSGPDVQKLCSSALESLQTLETKPPEVAALPSPRSSNGLSSEISADTATTVPPTKIRFEDVNATSLTVILASNEVASPANIVHYSIWHRKVTEKEYQEKSTCTLFTPNARFVVSGLAPASEYCFKVVSFSGTRELGVDVINVVTRSPEERSESPLTNCSTLSSNPSSVEAESNNGKKDSPSTEENAAKRTVESDLVEAEKNVEGVVLLDEVEEEAVQDKNVAVTTTNGNSSPVTPFKSDQTKNRQVRNKKLVKDNGNNGDHHSANGGAESGLEHCVKIIRQLECSGHIEKDFRQKFLTWYSLRATPQESRVVKIFIDTFTDDPVDLAEQLVHTFSDRVSVKRSAIGGGASAVVQSGFCMKLWH >A02p020620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9681554:9682090:-1 gene:A02p020620.1_BraROA transcript:A02p020620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRHIHNLPLRIQRKALGARESRIIQDAPPVLESFLHEAPMLHFNMFQGGVFHLSGITGPDGS >A01g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17327893:17334313:-1 gene:A01g505810.1_BraROA transcript:A01g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISADDRYQEMPRHMKINIDRCRDIRHATFGFSRLKTQGQAKLPKCPDECMPSGTRSNKEKDLLFSDDPAHLECTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNRSTMIDITPRTSIDTVSSKMVNIIILTHDEIGNLYDQAGHLRNATGQKMDAQGTLIPDADATGAAQPERDFEIESSMSLGRSQWCRPMSMNSHQSTDHDEDRWTDYSSRRSTSSAESTDCNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESGIDRNNPPPINRRAPLTYRVRLPSIDNDYINALRPPPKPLTNPPEPKPNPLNSSPEPVQENQETKGRVLRRRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRITLTKKSDPGKFAIPCVVKGVEFLHSTCDTGASVSIFPRIMADQLGLTIEPSTESFTFVDLSEKRSGGMIRDLEVINSVDYGEELGFIGACHCGAEYESEYKTEYSESIDTPTFPSIDSNESTVTDDRKNTSLDVKHPVDHFAPPNHCSWADSGFHESFAVDTVITSSNEEHTEEYDEDYWKERAIEMSLQDERFETHEFTNTFPTSFDAVHSTSVDTLPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTRFRNTYINRFAPLKPPTHTRADTQAKKMNTLPSTSTGKFMKSNHLKNTSSKEITLPSIDASVSTSIDTTLNPNLSISKLNDNAKIDYGFLTPDEFGIFRNTDGNARAMDERILQVSREDIADILQVANGPDKLFSQQRGTPDVIQTDPNNHVGVAQQKSIQIYHANQKGKHRSTEQLRHRSAGKFTWEQRDEYGVYRDECGHARGVAGEMIPVTRDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVFGICGAQEKLSLQQCSAQHKDEWEASYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDTKVSAMNERLRTYEDMHDRFISPVKSSSINRLRGPWIDGKKPLELLPYKAAEVDKITSKIYTAIDTMEERFDKHCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSIDGNSPRSTNEHLIASIDTEPTLVGDQLIHKTIDSMQNELT >A07p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10315777:10320161:-1 gene:A07p016790.1_BraROA transcript:A07p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTIIPGLTDDVAVLCLSRIPRSNFRVLSQVCRRWKTFLRSEHFAAVRKLTGTVEEFMCVLMETVPGKKVYWEVFDSSGNKLGQIPNVPGPLKWGYGVTVLGGEKILFIGGYTGIGGCVTNRNTPLALADVYEFDPATNSWGKLPDMNIPRYNFALAEVDGLLYVVRGYTNDSYCLLNSDVYNPETNQWTLMDCPQFRNISGFAFSFKSKLYALGNGSCTVDIYDPKTKTWEELELEKSMSVYSYTVVRNKVYFLDKDLTGRLGVFDPEENSWTTVFVPTVAGGFRFGVGQWNNKVLLFSRLSVHETIINDFDKEKGSKWRYCSQIKPSGSHFFDAKEQLKFKMEESSTSIIPGLTDDVAALCLSRIPRSNFRVLSQVCRRWKTFLRSEHFAAVRKLTGTMEEFMCVFMKSIRGRKVYWEVFDSSGNNLGQIPPFPGPLKRGFCVAVIGGEKILFIGGYTGMKYWDFSRIPPIASGDINEFSLSTKRNPPLASADVYEFNPATNSWRKLADMNIPRYDFSLAEVDGLLYVVQGFSNDGYCLYNTEVYNPQTNQWSLMDGPVAFPIGGFAFSFKSKLYAFLPTVEGGYRSGLGQWNNKVLLFSRLSVRETLINGFDKEEGFKWRDCDQIKPSGSYLIHVLINF >A05p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3915602:3916111:-1 gene:A05p009410.1_BraROA transcript:A05p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G38140) UniProtKB/Swiss-Prot;Acc:O80439] MASLLIGAPPRLTVPSSSRRLSSSHSETVGASLPRFTQQLSLSASSSPSSIPLVYCGRGDRKTARGKRFNHSFGNARPRNKDKGRGPQRVPVPPAPPRKDKFENDEKIKIDIDESLFSN >A01p000610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:245526:248660:-1 gene:A01p000610.1_BraROA transcript:A01p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSPEISIWSLPYDLILNIIARVSRMYYPTLSLVSKKLGSVVSSPELYQTRSRLNRTESCLYLCLRYYRDHPLDPNPHWFALCMKPNRTLTDRLFIPVTSPDVRHERSTGLTSKDVSIVAAGSNIYKMGGYTPSSSEVLVLDCRFNTWHSAPVMREKRSSPAASLVEGKIYVAGGCEDVNSENWVEVFDPKTQTWGSVTNPGAEIRPSSGAELESFGIEGKLYLFGHNRKCVVYDPKEARWNPMIELGMGMDMDVVMGMGMDMYEAMDMEMDMNGVASAVSYFHCVIGDVVFLWNEREFRWYDFNASSWKKLNGVEDLPDFDGDCKMVDVGGKMAVLWKVFGRGEERSIWCAEIALERRGDEMWGKVEWFDVVLTTHEQCGLFDADILSATLIPTMSFPVKKRKTMKKPSVNKNKKAPPPPESTPNPSLPDDLLLSCFARASRLYYPTLSLVSKSFASLVSSPELYKTRSSSGRTESCLYVCLSFHPDPIPRWFTLCRKPDRTLTTKKKPSGYALAKLPTPPSRSAHWSGLVAVGSDIYNIGGPIDEADEPSSSVSILDCRSNTWREGPSMLVERDYPHANVIDGKIYVAGDLGACVYPCCGDARQTRYTYKKNGRDRRKGTHFGIRLSFGLRYEGRSLGKCGEGDEFGLRTALKGVKGLDKIPPCTVTLADFGGKMAVFWDRAVASTGGDKMISCAVIALERRNDEEIWGKVEWSDTVLEVPESCIIKHALAATL >A04g502370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5664376:5664579:1 gene:A04g502370.1_BraROA transcript:A04g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKRFHLISDLKPFKEVWHVQVKLIHSWIQNPPYADETLEMALADQTVSSTLCIFLNFSKVDQDD >A05p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1039562:1040704:1 gene:A05p002930.1_BraROA transcript:A05p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRCHFCHADLVVEISLQPWKVFKPDGKGHIIFSPPQSAADVDQVKEFIPEESVPYVGEALKRLRNEVGNEGVVLGFVGAPFTLSSYVIEGVSSKNFTHIKILGFSQPKVLHALLQKFTTSMITYIRYQADSGAQAVQIFDSWATELSPVDFEELSLPYLKQIVEAVKQTHPNLPLILYASGSGGLLKRLARNGVDVVSLDLTVDMAEGRDRLGRDIAVQGNVDPGVLFGSKEFITRRIQDTVEKSGREKHILNLRHGIKVGTPEENVAHFFEVAQGIRY >A09p062050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50927310:50929340:-1 gene:A09p062050.1_BraROA transcript:A09p062050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVRAEEFEKKAEKKLNGWGIFGSKHEDAADLLEKAANSYKLAKSWDLAGKAYLKVADCHLKSDSKHDAANAYAEAAKCYKKVDTNEAASCLERAVNIFCEIGRLNMAARYYKEIAEYYEADQKIEQAIDYFEKAAEFFQNEEVTTSANQCNLKVAQYASQLEQYEKAIKIYEEIARHSLGNNLLKYGVKGHLLNAGMCHLCKADVVSITNALEKYQDLDPTFTGTRECKFLSDLASAIDEEDIAKFTDVVKEFDSMTPLDSWKTTMLLRVKEKLKAKELEEDDLT >A08p009500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7489870:7490405:1 gene:A08p009500.1_BraROA transcript:A08p009500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWSVLIRTQKEPSFTSGRLSELPGSLSKLPANKNEPPDRLCVVLHTSGRMRGDTWASTCPVACLLYMPEDTTTSTWLSACLGFMRHDTHASACRFACADHMYYDTLFFCFSSCMEMLHARLHLLFLLTLSRLNG >A10p013910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4478771:4483569:1 gene:A10p013910.1_BraROA transcript:A10p013910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPLSPATTSLAKLDKWDGPPGFPPLFPELSKQDQKMAMLYISHADETERLARIERVRQGIAESQEESSARITRITRDLDKGKGHVFSFQEAVEKRPRVGPLQIGNSPRGNEASENEAESGSSSIQATAFSAPTKVPMGFHLGPSSEGRVTGNLNSSKSQRRRPPSWKRKTSTKLGAGSSALVSLPVSDLMVLNSSVWDTRKLQELFVDEDIPFILQIWMARNLFCFEQRRLNAEAVIDKAMEEAAVWLHLHSFIPDDPPEITVEEKTSQAWEKPPLGYFKCNVSTVWEAQTGNVGAAWIVRDSFGEALFHSRRSFVGIRSQVEATMIGMVWTTEALNDIQVRRIILETSSPQAQKNFSQASLPWSLNSLWKRFRRALDRFETYRVVRINDGCNTIAQNIAESALQVQWQQSYLARNGPEWLDARINMEASVVV >A03p027280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11375241:11377322:-1 gene:A03p027280.1_BraROA transcript:A03p027280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSVQVKAESPSNTGASPKYMSSEANDTQSMGSKCSSVSVRTSPRTEGEILQSPNLKCFSFAELKAATRNFRLDSVLGEGGFGCVFKGWIDEESLTASKPGTGMVIAVKRLNIEGWQGHQEWLAEVNYLGRLSHPNLVKLIGYCLEDEHHLLVYEFMPCGSLENHLFRRGSYFEPLSWNIRLKIALGCAKRLAFLHSAETQVIYRDFKTSNILLDSNYNAKLSDFGLAKDGPTGDNSHVSTRVIGTYGYVDPGYLLNGHLTTKSDVYSYGVVLLEMLSGRKVVDNNRPPREQKLVDWAKPLLANKKKVSRVIDNRIRDQISVKEAHKVATQVFRCLDVDKNQRPNMNEIVFHLENIQASREEGGNKTEKRMRRRRDSFAQQTGVGGIATAYPRPSASPLFV >A03g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9781104:9782031:1 gene:A03g503120.1_BraROA transcript:A03g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKQVLHAAVSDLRSLGIQIRCKKPIFTASQLEQIAEILVWLLLDRGLQGLSLLLQESLISVTESFKEEEWVSSCKNIANSLASRFEPPFLLVAIMLVVLLEHKRREFDELALMSINLKEKSCNLFRTYMMLVLSENWLLSSKLVEEKTILRDMWAVFLRNCFCHINSTDLRPFASKVRTKRQYFLQGCRSD >A01p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5644459:5648518:1 gene:A01p011670.1_BraROA transcript:A01p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQMELGGESSPQRKAGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKGTIERYKKAISDNSNTGSVAEINAQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLDRSVNRIRSKKNELLFAEIDYMQKREVDLHNDNQLLRAKIAENERNNPSMSLMPGGSNYEQIMPPPQTQPQPFDSRNYFQVAALQPNNHHYSSAGREDQTALQLV >A02g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7395822:7398964:1 gene:A02g502290.1_BraROA transcript:A02g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLISRLSKLRLGKSRRSPLLSNGFSSSLRKEQTPPCYIVLAELCEPYKAGLGKLDISRVHNDMIDRERKVVHGELVYNDIFGTVVTIGASNGWVATLNGKDGILRLQDDLNPYASYTDPKRIPLPPLVTLPRCQTQIVTNVSMSSSSPEDEDCVVAVKFLGPQLSFCRPAHTKPEWVNIRIDNPCFYSSRVMFSKKDNVFRILGAGGHLMGSWDLQNHKHKIQRLRFENIPVLSKPTNEFMDSCCTYEHLVESVTTSETFMLKQYKKTSEIVEGVASMKTRNLMVFKLDDEGNAVYTQDIGGLVIFLSMSEPFCVPASSFRLLPNSVETLDSCEHGFVDLDDRYAYTNIGCPNPAPFFIPPQNIDYRLSKLRLGKSRRSTLPLLLSNGFSSSLRKKQTPPCIILAEPCEPYEAFLGKLVRYNVRAELVYNDIFGTVVTIGASNGWVATLNGKDGILRLQDDLNPYASYTDPRRIPLPPLVTLPRCQTQIVTNVSMSSSSPDDDEDCVVAVKFLGPQLSFCRPAHSRNEWVNIRIDNPCFFSSRVMFSKKDNVFRILGAGGHIMGSWDLQNHKHKIQRLRFENIPELTKTTNELMDSCCTYEHLVESVTTGETFIVKQYKKSSEIDKGVAKMKTENLMVFKLDGEGHAVHTRDIGGLVIFLSMSEPFCVPASSFPDLFPNSVESLDACENGFFDLADYYVVTTISPTAAPYFIPPQNID >A07p048500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25883748:25889990:-1 gene:A07p048500.1_BraROA transcript:A07p048500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLAGVEDEEKWLAEGIAGIQHNAFFMHRALDANNLREVLKYSALMLSELRTSKLSPQKYYDLYMRAFDELRQLEVFFKDESRHGLPVVDLYELVQHAGNILPRMYLLCTVGSVYIKSKQAPSKDVLKDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLPEIGSEYEGDANTVMDAVEFVLQNFTEMNKLWVRIQHQGPGTVREKQEKERNELRDLVGKNLHVLGQIEGVDLEMYKETVLPRVLEQVVNCKDELAQYYLMECIIQVFPDEYHLQTLETLLAACTQLMVGGLSDLSVLPLTILPTVDTKIVLTQLMDRLSNYAASSPDVLHEFLQVEAFAKLSNAIGKVIDTQIEMPIVGAMTLFVSLLTFTLRVHPDRLDYVDQILGACVVKLSSVPKLEDARAMKQVVALLSAPLEKYNDIVTALTLSNYPRVMDHLDDGTNKVMAMLIIQSIMKNNSCISTADKVEVLFELIKGLIKDLDGTDTEELDEEDFQEEQNSVARLIHMLDNEEPEEMLKIICVVRKHLMTGGTRRLPFTVPPLVFSALRLVRQLDSQGGDITGEEVSATPRKIFQILNQTIEVLSSVPCPELALRLYLQCAEAASDCDLEPAAYEFFTQAFILYEEEIADSKAQVTAIHLIIGTLQRINVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDIDGIKDGERALLCLRRALRIANAAQQMANATRGSSGPVTLFVEILNKYIYFYEKGNPHITPSDIQSLIELINTEMQSDDNGNRRIHSDPFFTSTLRYIRFQKQKGGVIGDKYDLIKL >A07p036630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19655590:19656085:1 gene:A07p036630.1_BraROA transcript:A07p036630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLTINHKAATQADSSPASVPLPLRVEPKPKSGIRQQDLLRNVVEVKPKRPKVSTSSSASLSPPKRSDLGPTEAKVQRDKQKEEPNAVSKKLDRPEEEQPGGGKAAESNVHGQNALKGLLGLAYESSDEED >A07p049830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26587749:26591081:-1 gene:A07p049830.1_BraROA transcript:A07p049830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) UniProtKB/Swiss-Prot;Acc:Q8GW61] MAGGVLADEGALKRAHLYEHRITAYFIFACIVGSLGGSLFGYDLGVSGGVTSMDDFLIEFFPGIYKRKQKHMHETDYCKYDNQILTLFTSSLYFAGLISTFGASYVTRIYGRRGSILVGSVSFFLGGVFDILRLRLQAVPLYLSEMAPAKIRGTVNQLFQLTTCIGILVANLINYKTDQIHPWGWRLSLGLAVVPATLMFIGGLFLPETPNSLVEQGKLEEAKAVLIKVRGTNNIEAEFQDLVEASEAARAVKNPFRNLFARRNRPQLVIGAIGLPAFQQLTGMNSILFYAPVMFQSLGFGGSASLISSTMTNAALVIAAIMSMYSADKFGRRFLLLEASVEMFCYMVVVGVTLALKFGEGKELPKSLGLVLVILICLFVLAYGRSWGPMGWLVPSEIFPLETRSAGQSVVVCVNLFFTALIAQCFLVSLCHLKYGIFLLFAGLVFGMGSFVYFLLPETKQVPIEEVYLLWRQHWLWKRYVEDHDEKTDQ >A06p052360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27688659:27692356:-1 gene:A06p052360.1_BraROA transcript:A06p052360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAASFFGVRQDEQSHLLPPNSSAAVPPPPPPPHHQPPQPQQPLEAPPQKKKRNQPRTPNSDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPSCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLTSLPSHHFPYGQTTNNSNNNTPSMILGLPHIGNPQNLDHQSGDVLRLGSGGGGGGGASRSSSDLIAANASGYFMQEQNPSFHDQQDHHQHQQQGFLAASNNIKPSPMNFQQSLMQFSNDNHNSPSSNLFNLSFLSGNNGIASGTSNPNAAAVPSANHMLSNHFGGENAVGGSGGGSTGLFPNNLMSSAGRINSGAVPSLFSSSMQNPNSASHMSATALLQKAAQMGSSTSSSNNNNTNNASSILRSFGSGMYGENESNLHDLMNSFSNPGATGNSANGVDSQFGTYGGVNKGLRADKQNMTRDFLGVGQIVRSMSGSAGFQQQQQQQHGNGGRERVGSSSDSADRNSMNVNPGGGGSTSSPPYGIHHASF >A09p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1528049:1532214:-1 gene:A09p002090.1_BraROA transcript:A09p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 1C [Source:Projected from Arabidopsis thaliana (AT4G02710) UniProtKB/Swiss-Prot;Acc:Q9ZQX8] MEVVAKSNSKRMYSWWWDSHNTPKNSKWLQDNLADMDSNVKKMIKVLEEDADSFARRAEMYYRKRPELMQLVEEFYRAYRALAERYNHATVVIHKAHQTIAEELPNQVSFIFGDESHAGADGDPQTPDMRPPIRARGDPDDVPFGKARKGLKFDDGDETVSESERATKAEAEVAALKDFISKMEAEKEATLAQFEKNLERLSNLESEISRAQEDSARLNDKASSAEAEIQTLRQVIEKLESEKESTLVQYQQCLQRIADLEEARKDAGEVEAETLALKESLADKEAALENYRQCLTTIANLEERLRKAEENAWVINERAEMAGVEVVNLKQTISKLTEDKEASELQYQQCLNIIADLKLKLYSTQEETKRLSSELEDEAAKLKFSEEKCTVLERSNQNLHSELDGLLEKLGNQSQKLTEKQMELVKLWSNVQEEHLRIQEAETAFQTLQQLHSQSQEELNNLAAELRSKSQIINNLEVRNNELHEEIQQAKVESITSVASVESLQEDVSSLKETILKLEAEVELKQEEMYCLKEENSNLRECNETEKIALVEKNLMLENSISHLNIELGAAKGKLKTLKEACQSLSVENHHSAIEKLVLVEFFRQVKSEAEKKSTARKTIDKLIDAETENLQLKSNLLSIRSAKDRLEDRLREKEKELEEVKREVLKERCRVELWESQAATFFCDKEVLAVHETLNKTMTHEVAEAYNGLKSRSVDVDKRRAIVLLNESIRSLEDYVFVNGPSKGADSKNESLKVEDMCLRIKAIAEAITEKEKLLVLENSNAYSMLETALKQVKELKTVSGGGERSMRKLSGKTRKQSNEIEVVVTKDIVLDQTSDGSSYEITSKRDTLELDSHSFFELKPVKTHKTETAVKAKAKGKSLSEESLVVDKLEIFDGFMDPNVEVNMRKVLERLGSDLKKLENLQITVKDLTIKVETEESEEYATIKGQLKEAEEAVEKLFNVNEKLSTKVESEKDGNRSRRISEHARRGSEKIGRLQLEIQRIQFLLMKLEGEKESKAKSKVSDTKSKVLLRDYIYGGSRSVPMKKRTAFCGCAQQSTSP >A06p039910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21573731:21576329:-1 gene:A06p039910.1_BraROA transcript:A06p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGGDQRDSPAIAAEASTVTTNKRFWTPGRFVAILCIVNLINYVDRGVIASNGVNGSSRTCDAKGLCSAGTGIQGEFRLSNFQDGLLSSAFMVGLLVASPIFAALSKRFTPFKLIGVGLTVWTLAAVGCGFSYNFWMIAVFRMFVGVGEASFISLAAPYIDDSAPAARKNLWLGLFYMCIPAGVALGYVFGGYVGNHLGWRWAFYIEAIAMAFFVVLSFCIKPPQLKGFAAKESKKPSTSIETVALTHAEASQIKNVTPKPKTQNLVVLFGKDMKALFSEKVFIVNVLGYITYNFVIGAYSYWGPKAGFGIYHMKNADMIFGGLTIICGIIGTLGGSYVLDRINATLQNTFKLLAASTLFGAAFCFAAFCMKNMYAFIGLFAVGEILIFAPQAPVNFVCLHCVRPNLRPLSMASSTVLIHILGDVPSSPLYGKMQDRLKNWRTSTLIITSILFLAAIIWGIGIFMNSVDRSNEKSDDEVEEEEKLETKADNNSVPV >A01g501960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6894178:6895389:-1 gene:A01g501960.1_BraROA transcript:A01g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWALQPPRRPLSFRICSSSRSRALFTVRMTLSWSKLSSISYFSGGSRLQFSLASSTQRHQRHSSVGPAPSGIRSVRLNLPLLPTVSPRLESPLRHSPSIPPQVQLQASSSNVCKPGVRGAPQLTRVLDLEFCRLSRLCSPYVSSITTVAGLPTPLTAPLSSSQPYQLNIAASLLRRDSSQFIGFFDRGRLSHFVHEMGYFHFAVGLTSPIKLQGSHLFLAVSQPTLMWGGLVSLTNLLKMFGGFTSVFTETYLHTKFHLSCSKSSLSFHLPVGSLGPSFSSSASFLRSAFPPILWRCLSISITVLISCGAVRSGPEDAAGFVSTSFRGADWMSTSQFKVTISLLPDHVVKATLTHSSTVLSSLSSSSFEDLSFLSYAVVVYVFNQRGWTIPSIICNQAS >A06p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21159478:21162770:1 gene:A06p039320.1_BraROA transcript:A06p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSTHFVPPSRVGIYEPLHQFGMWGEPFKNNIGNGGSMNTPSHIIIPNNQKLDNNNLRILPMELLTCLIKKLPRPDIQIKYRDGLRRTARLLGKVACARRLMSSSWKQADTSSLGFSETMNPGIAAFEMEYGHWIEEQNRQICELRTVLHGHVTDVELRSLVENTMKHYFELFRMKSAAAKADVFFVMSGMWRTSAERFFLWIGGFRPSDLLKVLLPHFDVMTDQQVLDVCNLRQSCQQAEDALSQGMEKLQHTLAECVARGGLGEGNYIPQVNSAMERLEALVSFVNQADHLRHETLQQMHRILTTRQAARGLLALEGQTERYKRNLITKLQVRFFRYPQNSRVNSSSSSSIAIATMLSLSITSPGTAVTFLRGNVSANSTSSSFHGVRIQHQVSARVPISSSSRKPLTVMMSKREAELKEIRAKTTEELNEEVIDLKGELFMLRLQKSARNEFKSSEFRRMKKQVARILTVRREREIEEGIGKRLSRKLDRQWKKSIVVRPPPSLKKLQEEEAAEEAAEAAKSA >A07g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6553022:6556442:1 gene:A07g503320.1_BraROA transcript:A07g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHHEFASTTNALSRMSSASDQGDENSALGDKIPEDDAYVLCWRRTPLGKKRSQDSNESREDRRHKCMIKNRKSTAHSRSTKQMLGSKTVKTKLTSKSTQNTNMSIFPRQTVLGQKNVATYVQIPFGSNTSCPTDMETLYATTAHAHCPVNAKRPSSNQAQSLRSNRASIPLGRYVATELEPAADVSAANTPANAATLEEFKKMFATYEHRSEEHDKLMSTLTKKVETLTARTRAIRPCGTTKVRGKRLDFATPLDRPGTVRERPSGQNPSEKSPIEKGNIESPPPLVKDSEDNEVEHVDLDPSDVSSDTEEDVDRHPRRTRSRSARESSSFDKPMTEEEEIIYWNELEELAEKQTELTRSKRRQARKSADETNTIQHHLPTRRLQTSKFNTTPKSTL >A09p059440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49713784:49716721:1 gene:A09p059440.1_BraROA transcript:A09p059440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 15 [Source:Projected from Arabidopsis thaliana (AT3G53840) UniProtKB/Swiss-Prot;Acc:Q9M342] MEFPWHFLTTFTLCLLLSFSSTAESFKRCPNCGATRVPYPLSTGPGCGDPDYKIRCDIRSSLWFDTLNGSTNPIKLIDPSGQRFVLAPPGFESNTCVSVDIKSHGIQLDPNLPFNVSTSNTVIIMNCTKDGLDGYISQGFNCSDNSLCHKFLNENLEARGKCRGVTSCCWYKTGASVNTYKVYRARTDKCTAYQSYMNLDLTMPVSKWGEPAVEILWEAPREPVCKIPGDCTGLVNSVCSVDPKSLGQKRCLCKKGLQWDSVNAVCEVKRCSNGKKCKRRSNLPLIGGLAGGVGVLLIAGGLMKIIISKQNRIVAGSQSWASIRKLHRHLLSTNSAGLDRIFSGKEIVKATNNFSKSNLLGFGGFGEVFKGDLDDGTTVAVKRAKLGNEKSIYQIVNEVQILCQVSHKNLVKLLGCCIELDMPILVYEYVPNGTLHEHIYCNGSYNPLPWKRRLVIAHQTAQGLAYLHSSASPPIYHRDVKLSNILLDENLDVKVADFGLSRLGVSDVSHVTTCAQGTLGYLDPEYYLNFQLTDKSDVYSFGVVLFELLTCKKAIDFNRDEEDVNLVVFLRKALKEGKLEEMIDPVMLKGATEVEMESMKELGVLAERCVKETRQSRPTMKAAAKEIESILNGLASAP >A03p010020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4001701:4005312:1 gene:A03p010020.1_BraROA transcript:A03p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTGCGFPTIQTFERAPSAAEFESCVELTNFPAVFRGCASDWEACSKWNPFSNGLDYLEERAGSVEVEAMLSRTAPIFNGDIRSHERVSMPFSDFIRLCKQHMISKENTVGVDAKSSCLNPPLPHDPTTPGQIYLAQFPILNDGKEEKVQLKILRQDIQTPTLLGGKSLSSINFWMNSAQARSSTHYDPHHNLLCVVSGCKKVVLWPPSASPSLYPMPIYGEASNHSSVGLDNPNLSDYPRAEHSLKQSQEVTLKAGDAVFIPEGWFHQVDSNELTIAVNFWWQSNIMSNMPEHMDSYYLRRITRRLIDKEMSLLVSRPSSTDLKQPYDHLDQCKIGQSEGGDDNSRNKSIEKGIGTSREKTPLHDLDPSASHALHDLISLVHDHVNAVGTSRELQHTSPSSSDIASERDNSKILVNALSSLEDDRIAHMLWNLEASRLRDVLLAMARYFPRTLEALIIHMLSPVAAEVLTQKFDEIDQQSGEEDRSQFYREFYGAFDDESAAMDIILTRKETLAFQAFKNVLDKYLGVNITSPTTNL >A07p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25700289:25702077:1 gene:A07p048070.1_BraROA transcript:A07p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCCVAVKDRRKVPPPPSSSGHHHHHAASGGASLHRNSACSPQWSFRRDVNRRRVADEIEGSPYYSREGLSMDKTSSLGSERGTRSEGGTPPPDGTPFLKSGATEMGSNSMMVPPSSDSSLASHDPIEVKSFAASPSIVPSALSKPLFSSPSLSTPVCDLSSAHTRLLPPKSTPSRRARRSPGHQLFRQVSDSQILGFKSPNNNYSVSEGRSSFKLSTCSNDFANGSHYASSEGGWSLNTFSELVYYSQRERWSFDSEHLGSGRRKLSGGGSSRFSFSPSVVVDQQNCGACSKLLTERSSVANFELPIAAVLACGHVYHAECLETMTTEIEKYDPACPICTIGEKRVAKITRKALKAEAEAKAKHYKRCKNRVVDSYGESECDEFMFQKTGKREGKALKMEPSSSSKGPSKSFLKWHFASLSSKWSNNRSSKDSALKKGFWSRHRNNRSSSSIEGLNQTSQL >A02p050850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31343475:31344080:1 gene:A02p050850.1_BraROA transcript:A02p050850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLAQGRGRGKEGAVRFLVRLFGFVSGRRRLLQLRRCRFLSPRGRGYLSSDVIGLDPGGFTVLACLGGWRSRRRVIEARPQLTVLGCAKLLSRLVFTGVEGVCGGDVWIEWFPILSGESTVGLPGDVSKGDDVGAVAFVRAAAGLLRVDRVS >A08g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3443071:3444838:1 gene:A08g501350.1_BraROA transcript:A08g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLASKTKIYMVLECVTGRDLFDRIVRFMYTVSKGKLSETEGRKMFQQLIDGISYCHNKGIFHRDLKLENVLLDENGHIKITDFGVRAVPQHFREDGLLHTTCGSPNYVAPEVLANKGYDGAASDIWSCGVILYVILTGCLPFDDTNLAVLCRKIVKGDSPIPRWLSPGAKTMIKRMLDPNPVTRMTVASIMANTGSNTTILLPVVMLKMNHPQPKKIGKQYTFLSSFTLFQDCSLLTTKMCITLTQTVSERQIGFMSNRLATDVMGKIKTILMEKGFYVQKKHTMLKAIREERSRKGPGGLSLTAEVFEIIPSLNVIELRKSHGDSSPYKQLCERLLNELDASSQI >A10g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21928672:21930292:-1 gene:A10g507160.1_BraROA transcript:A10g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAGQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p041890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23667209:23668163:-1 gene:A09p041890.1_BraROA transcript:A09p041890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSQSSVNLKVIFTCCSILIFLVIFFARSNISSSKPISKTNLSQEKEEQDQAKPEGCPTTQQCTKMPISLSDALVHYATSNVTPQQTFDEISVSKRVLDKKSPCNFLVFGLGHDSPMWASLNHGGRTLFIEEDKAWIEIVTKKFPNLEAYHVVYDTKVKHSDKLMELGRSEDCRSVTDPRNSKCELALKDFPADFYETKWDLIMVDAPTGYHEEAPGRMSAIYTAGLLARNREDGETDVFVHDVNRPVEDEFSATFLCKGYMREQNGRLRHFTIPSHRARAGRPFCPVDVNRRR >A03p058830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25537083:25543237:1 gene:A03p058830.1_BraROA transcript:A03p058830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKVIVLLLLLLSMKDSIRNSFLTFLSVAMICFASEKICSTIRASEICGSASYRAMDSSEFVFWNRLYLELPDSDDNKIFRGHRIARYIRSAKFIPRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRSIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRCFYLKPFCNNADAFVGLENTLNYALERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIKSIGASYEVTDGERLPLAVKDLGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKIFNKNFQERKSIRPTFSAEKIFGGSLLAMCSSDFICFYDWAECRLIQRIDVTVKNLYWAESGDLVAIASDTSFYILKFNRDLVSSHFASGRQTDEEGVEDAFEVLHENDERVRTGIWVGDCFIYNNSSSKLNYCVGGEVTTMYHLDRPMYLLGYIANQSRVYLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDKANEILPTIPKEQHNNVAHFLESRGMIEDALEIATDPDYRFELAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYATDLSGLLLLYSSLGDAEGMSKLASLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVARSVEANAVEARGVYSAAENYATQADQPFITLVEAFRNLQVEAEEPLENGDGDHEVAEENGDAENEGGEEEENEEEVNQEEGVVDEDSTDGSAVLVNRSEGEEEWGTNSKDNQSA >A03p016850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6705954:6707513:-1 gene:A03p016850.1_BraROA transcript:A03p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREKMPTSPKSPLRRRRSSWTGSWLNHPTTSFKEVVSAVIQAQSPRSTSKPQTSDFDFDFNFDSSKVDRTLSLPDSLLLRILQKLPDSRNNNNDVSLVCKRWLSLQGRRLRTLKLLDYDFLLSEKLVSRFPKLTSIDLSNACMNPNPNPNPNPPRILLCHKSTSCHVSSDSSNWEDNLLPSEVIDRGLRVLGRGSCDLLKLVVTNATELGLLGLAEHCSDLQELELRKCNDNLLRGIAACEGLRVLRLVGSVEGLYTSSVSDIGLTILAQGCKGLVKLELSGCEGSFDGIKAIGQCCEVLEELTICDHRMDDGGWLAGLSYFGSLKRLRVLSCRKIDSSPGPEKMLRSCPGLESLELVRSCLNDKEGLRALFKVCDGVKRVYIQDCWGLDDDSFSLAKAFRKVRFVSLEGCSVLTTGGLESVILHWEELESMRVVSCKNIKDSEISPALSSLFSLLKELTWRPDTRSHLSSKLEGAGIGKRGGKFFKKR >A03p068000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000028.1:119857:125688:1 gene:A03p068000.1_BraROA transcript:A03p068000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQNLSQARSLRSDRAIVPLGHYVATELEPKFGRYVATERSSRSVATDRAQPKARSLRSDRAIVPLGRYVATELGQARSLRSNRAIVPLGRYVATELEPKLGRYVATKRSSRSVATDRARAKARSLRSDRAIVPLGRYVATELGQSSRLNLSEHRYDISPCILVKPSNAISQRPNRGKLVANVSSRKTAQRDLKHDSRPILRFFLTKSLINIKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDCDSIRFSRLRVTRTRNLADSSRAQAYTLFVNFGSHSLALEGGEVRINLTRKSHTQSDMSTNDADNVQTPLNGGSGTDLHSPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKICGKRLDFATPLDRTRVTQERPSGQNPREKSPIEKGNSESLPQRTQRITKPNTLTWILAMSPTTPTRMSTDIQEGPGADLLGKKKRSPIGTNRRSWLKGKPSLLAIRRGKRRTLVTTSTSITRGKISKGRITMRSIRIKAGPRATHGLAIKGMTKTPSASSTNPEDTPRPTAKSWEQDWLQSYSLESFRNAPGEDKVKSSINANASDVEARHKSEAHATTQPEHPENSENSKQNIRHINKPHKAARDSKPPTASPVKVPGQRNTERIRGTIHFLATIGKPGRNLLGIRGNQDGIPEPLNPLVYRRDKRLGMGTFVHATLHQAHFLFKHIVIGSPSPNTSDRTAALAKVTHRGKGILEVPILNLELRGTSLHHLDDFPFAFLFRFADFPCMITSKLCFSLQHLALHTSEIPLRFLRFETVDHGFSMAEPSKLRPCKID >A02p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13305784:13307668:1 gene:A02p027410.1_BraROA transcript:A02p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLKSFTGLRQSSPDQTSFVSNVPSSLSLPQRRTSLRVTASRASPNLANRKLRVAVIGGGPAGGAAAETLAQGGIETILIERKMDNCKPCGGAIPLCMVGEFNLPLDIIDRRVTKMKMISPSNIAVDIGRTLKEHEYIGMVRREVLDQYLRERAEKSGATVINGLFLKIDLPENWDTPYVLHYTEYDGKTGATGQKKTMEVDAVIGADGANSRVAKSIGAGDYDYAIAFQERIRIPDDKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSKRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMIDESDLRKYLEKWDKTYLPTYRVLDVLQKVFYRSNPAREAFVEMCGDEYVQKMTFDSYLYKRVAPGSPLEDLKLAVNTIGSVFRANALRREIEKLNV >A06p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6566239:6566633:1 gene:A06p014700.1_BraROA transcript:A06p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYLSVALVLLLIAFFSSKYSVEGRSLSRMKNSSQAMRDFQTRKDMKEAKPLVGENDSLRRRVPRSGSNPIQNKVFLFCIKCNPPIVVEGSRKQQITTARKP >A01g511130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31259068:31261472:1 gene:A01g511130.1_BraROA transcript:A01g511130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1722490:1722836:1 gene:A10g500540.1_BraROA transcript:A10g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLDGVATLALLKSKDVLLVTELSLSVETKPSFSVIDFVYRWRRRSRRRRRSSPRRRRRWRSAKVKLPSFPLICLMRFVLY >A05g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11344006:11349354:-1 gene:A05g504080.1_BraROA transcript:A05g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNENDTPTPMDTSDVIQTPLNAAATGVTTAGNITASTTAATTSTFLPAGNAADETTRRTLFGAGLYQTAQRKREFPTRAPPPPSGRLGAWAWDWVEGLWPDKNYSFWTKLSSKNSIQFYLKRQVVCLENKNVMHVILSKVLNEIRESLEEEVSELKFPRSPRDSRPRAAAVAGSGSSPSL >A02g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23436677:23437893:1 gene:A02g508700.1_BraROA transcript:A02g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYGIGVFNFWGKIKKISFLSFHETPRVGLSLGDCDDEALLLSSVCSPLLLLSSGRSPLLLPSSVCSQLLLRDSALDKIRFESLTLDRQEMNNEDTMNLNDTEDYMSGDELMDQNSDGDEDEAVAVEDTLMSTAETRVKR >A06g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23257567:23257921:1 gene:A06g508230.1_BraROA transcript:A06g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTTDLAQHTLEQIRCQFLLFELGDLLPSLSLSNLTKCFVETIRNFFAALFLASTGMLINMHFLWNHVDILVAAVLLVIVIKT >A08p028870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17976543:17978345:-1 gene:A08p028870.1_BraROA transcript:A08p028870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRGKGMLRAAGSGLLRAAGRGMLGAAGRGMLRAAGRAMKRTGVAKGGIQDPFASSPSTAAGNASVSLGGGYVHRVGSNNLRISAASGSLLNLPVAITSRWTGGAFSFNSYGAYEDFEWVTVEGTEEEEEEDDSVFSSVPSVDEVEDAVSALKPVFDGGGNQSPMPTGMVHQVPSFGTELDWVEPSMELCHSRILQPHAYDHVYNAFDLLRTEPSVQRMVLSLSSDKAVWKAVRNNEVVQEIKDLYYNGINQDEESSDDESSDDTPRKNNTPTDFIKWVFDSTVVKATEVLKKIIKLAIELLNSFKVNKKRKRGKLNNWFEEDLKTSVFLSILVMLVVMVSRACNNSMNDLNC >A09p043620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35540583:35540951:-1 gene:A09p043620.1_BraROA transcript:A09p043620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTNQTRVLNNARVLHLESANQVAHVNCGSCRMLLMYQYGARSVKCAVCSFVTSVGGSTSTTDLKFNS >A05g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6811809:6816353:-1 gene:A05g502120.1_BraROA transcript:A05g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRDPFPPPPPKQVPPVSRKATSSSSSRSKPKTHTHPQPPDHLEEAPLPSSYPPPPSLFTDLPFRICEPSNRTQPARCSSSVSSDTNRSSKAHSITSQPVKAPVVFRSGGEGNFVKLNLNGKRGKKFPSKYKSASKSRSKFAYRGKRYKKSEANGEEEEGETWSDEERDNGFISSVEEAVLAGWAVAGYQDGSFWEFNHACVAYWCWQVSLLPDSGYDSPLVSLMIDQLKHLPSVIKGGLLSSSQRPEEATETLRKLKEGIIKVLFVSPERLLNVEFLSMFRMSLSVSLVVVDEAHCVSEWSHNFRPSYMRLKASMLYSALKADCILAMTATATTMTLQAVMSALEIPSTNLIQKSQLRENFELSVSLSGANRLKDLLILMESQPYKKIRSIIVYCKFQYETDMISKYLRDNNITAKGYHSGLPAKDRVRIQESFCSNKIRVVVATVAFGMGLDKGDVGAVIHFSVPGSLEEYVQEIGRAGRDGRLSYCHLFYDEDTYLKLRSLSHSDGVDEYAVGKFLTHVFSSDTKQHEKICSIVIESASHKFDMKEEVMQTILTHLELGEVQYLRMLPQVNVCCTLNFHKSSPNILAARNIIVAAILKKSHVKQGLYVFDIPTVASSTGVATTDVLAEIQTLKMKGEVTYETKDPAFCYTILESPKDTSSLSGHLTKWLAEVESCKVRKLDIMSSAAMAAINVSNTSETSSGAKQTLSLQSRILDYFNGDENCDNKTTQNCSFLRADIKVFLQSNRQAKFTPRAIARIMNGVGSPAFPNSIWSKTHFWGRYMSVDFRAIMEAAQTELMNFVDRNAALAS >A01p012890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6320158:6322338:1 gene:A01p012890.1_BraROA transcript:A01p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMKLPKPPNLPEKPLKPNFFHGHRKPSRNRPVVHGGLFSNRQNLSRDSPQSQSNRTPFDLRKWDPQSRPRPSPPPPPPSATISAASERLSPIARFVLDAFRKNQNHWGPSVVSELNKLRRVTPSIVAEVLKVGNNAAVAAKFFHWAGKQKGYRHDFASYNAFAYCLNRTGNFRAADQLPELMDSQGRPPSEKQFEILIRMHADNKRGLRVYYVYEKMKKFGFKPSVFLYNRIMDALMKCGYFDLALAVYDDFKEDGLVEERTTFIILVKGLCKAGRMEEMLEIVQRMRDDLCKPDVFAYTAMIKTLVSEGNIDGSLRVWEEMRRDEVKPDVMAYGTLVVGLCKDGRVERGYELFVEMKEKGVLIDRDIYRVLIEGFVADGKVRCACDLWEDLVGSGYIADLGIYNAVIKGLCTVNQVDKAYKLFHIAVEEELEPDFETLSPIMVAYVVMKRLSDFLELLERIGELGYPVADYLSQFFKLLCADEEKRAMALDVFDVLKSKGHGSVCVYNILMEALYKMGDIEKSLSLLTEMREFGFEPDSSSYSIAICCFVEKGEVQEACSYHEKITEMSCVPSIAAYLSLARGLSQIGEIDAVMLLVRECLGNVESGPRAFMYALRVCHVCKASSAEKVMEVLDEVNQEGVCISEVIYCAIISGMAKHGTIKAAREVFAELKRRKVMTESEMVVYDEMLVEQTKKKTADLVLSGIKFFGLESKLRAKGCRILD >A02p056020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33840142:33843592:-1 gene:A02p056020.1_BraROA transcript:A02p056020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIETGTVSGNLPSKETFVVHYPGYPSSISRALETLGGIQGITTARESTSNKLELHFRPEDPYAHPAWGERRSCNGFLFRIYKEDVKRVPETQPVLATSGACLALCADIVARVSESYCFDGMVDYQHVIPIHADVAQQRKRKWMEVKPLAGNDDLMDMADEDVMMLLPQFFAPKDMPDNLVLKLPGTSGPKKKDEAPTQNLCEYEAMFVCYFSVILDIDDLSLAFIQIPKVLNWEDFIVPSSDQWQWQVAVSALFDERPVWTRDSIVQRLLDKGLKCTHHMLNRFLLRSAYYFSGGPFLRFWIKRGYDPRKDPESRVYQRMEFRVPPELRGYCDANASNKSKRNWEDICAFKVFPFKCQTFLQLFELEDEYIQREIRKPPKQTTCNYKTGWFSEALLDNLRLRVAVRFVSVFPEPGFEDVFKSIQDEFERSEKTRIQKDSLTSCKPDQLEKTKGGEDLKKHKNRNKEKEVSADEDAEDVDEEYEELDVTADDDDEISLSSHGCILQIINFANTSRLMNDLYIRELVLVIL >A04p010480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7186808:7187912:1 gene:A04p010480.1_BraROA transcript:A04p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGAILELAVVLDWSAFGLDLPQISEESVTCDPTQSSRTAGLERIPSGDVSDVLAEVSDVLASLGYPLMWMGPKIVMENARLLVLTRIAHLALMGVIVLQKRPRPWVPIIGQFSHAKDCPIIEDPYSVAHLVRHFKPDGCLLPSLQNMTERDTYVKMVIAHAKAMEAKLRVYDFGETLARHSSLRRALRDEESRSRVEA >A03p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5194477:5195509:-1 gene:A03p013260.1_BraROA transcript:A03p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSTNKVIVFTLSLILVAFQVVHADYYRPRPPVTPTPYVPKPWIPLPSPKPVYRPPTIPRLPAGSIARQFLDPHNALRSRLGLPPLVWDSKLANYAKWWANQRRYDCSLTHSTGPYGENLFWGSGSSWAPGFAVQSWVVEGSTYNYNTNSCDGSGMCGHYTQMVWRDTKRLGCASLVCDNGAGVFITCNYDPPGNYVGEKPY >A05p016300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7241484:7242524:-1 gene:A05p016300.1_BraROA transcript:A05p016300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCAKCDVEVHAANKLASKHQRLFLDSLSTKFPPCDICLEKAAFIFCVEDRALLCRDCDEGTHAPNTRSANHQRFLATGIRVALSSASCSKEVEMNHFDPPNQQALSKPPTQQPAAPSPPWAGDEFFRYSDLECSNKKEQLDLGELDWFAEMGFFGDQPDQEALPAAEVPELSVSHLAHVHSYNRPLNSNVSNKKPRLEIRYDNDEEHFLVPDLGLNYGRN >A09p082990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59886546:59890754:-1 gene:A09p082990.1_BraROA transcript:A09p082990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase MEDEA [Source:Projected from Arabidopsis thaliana (AT1G02580) UniProtKB/Swiss-Prot;Acc:O65312] MLFRGFVQENHEGENLPPELNQIKEQIERERFLHIQKSFADRPSVVTHAAYHHSLASTRGAGVNNDGGDNNMLSLRIKTPLHIFKYNPPEEFTSPLPKKQVIKLPIVKQLPRAITWVFTDSNKLMAESDSVIGKKQIIYVKGQAEELSSNDEEDEEETEKEKLEFSKDADREVGQKHNLDDLVVQSALSKFFELDVSDILERYNDLKKLKINEGDTGEVSDVRIFTSSPETTERRFCRRCLRFDCRVHEEDQPEIYNKENQSNLFEKEDVRKQCSNHCYLKLKGVTEADHMVDNDNYVSNKKGKNVVSEMSQAYNEWTSVEMDLYLKGAKLFGKNSCLITRNVLPGLKTCLEVYNYMHEQDQYKMLLEHEETSETDNQVNKETSRKKRRLVRKKVKLQKHIYPAAIKNTMNEIEKSYKQYTPCTCEPVCGDQCLCFRNGNCCEIYCGCPKTCNNRFGGCNCTKGQCINLKCPCFSNFRECNPDQCRSCSLSCGDGHGSLGETSKTSECKNMQFLLKKHKKILLGMSNVHGWGAFTRHSLKQNEFLGEYTGELVSVDEAEERERADHKLGYSYLFNLNDKFVIDSRRQGNKFRFLNHSSNPNCCAEVMIVKGDQRIGLFAGKAIREGEELFFEYKPGEADRSPSISSDSGSSKSENSSSST >A04p037480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21382640:21383320:-1 gene:A04p037480.1_BraROA transcript:A04p037480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAFPLYAIGEDFANRENLIEEAVRLGVELSLYAAESMFLVCDDIRSVLLFCERLWLAVEKDMSQPHDSPVIERLLRVFHYVYFYLKHIKPKNGGVFRDGGTSVHWKLAMASFEDINAGIRDLDVFVREGSREFTPTSIDKALKNIEKKLRHAKAVSKANGFARDAMESDVLVMWKSLFDKPTEDLWTVKLKRLGILNDMFHPLNKERAEANSFVRSVSRLNICN >A06g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:900137:900373:1 gene:A06g500180.1_BraROA transcript:A06g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAVGLYLSGTSDHHRRTTQVPYRCLRNCKWWVNNAITTKPTKPNFVVNPM >A05p005100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1992950:1996465:1 gene:A05p005100.1_BraROA transcript:A05p005100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFASRDKGFGYQDIPTEQQMDGLSFGSADMLNSSELMNFESFSTWFNTPSPTDLLFSQYGLTHPPEPRAGLTRSFHDLESSYHTEERSTSSQIHCSLDINELSGKRRRVINQTIPRSLSHPLDEKMLKALSLFIESSSGLGEGILAQIWTPIKAGDQYILSTCDQAYLLDPRLSNYREVSRKFTFASKPNQCSSSPGLPGRVFISGVREWTSNVRYYKRDEYLRMKHAVDNEVRGSIAIPILEEASGTSCCAVMELVTSKEKHDFDKEMESVCRALQAVNLRTSEIPRPQYLSSNQREALAEIKDVLRAVCHAHKLPLALAWLPCSNGPNSGVLCVEETACYVNDMEMEGFVKACLEHCLREKEGIVGKAFVSNQPSFASDVKVCDIGKYPLVHHARKYGLNAAVAIKLRSTYTGEDDYILELFLPISMKGSLEQQLLLDSLSGTMQRICRTLRTVSDVGATKRIGDISTCARNVQTIVLDCELNANSSDKDNSSTGSQATFEQDMSKARTPEKKKSTTEKNVTLSVLQQHFSGSLKDAAKSLGVCPTTLKRICRQHGIMRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLRFDSARGEFVAAGPTPKDPSSNDDNAYLRGQEVMAEDTSFEILKAKSVDSAIKLEDDIITNDSFMDASGQQWDWMNEQCSFNGSGGIKNNGKLSSPVTDGMETTIRSMSDSSNSSGAVVLGSSSTSMDDRNQTRTQGESGSRTLTIKATYREDTIRFKFESSAGCSQLYKEVGKRFKLQEGSFQLKYLDDEEEWVLMVTDSDLQECLEILYGMGKHTVKFLVRDLPAPIGSSGGSNGYLGTDMPEIEAQDSKCLREYGGDVGFGFCAPRIFPTFCYTRCRENKGAKGGRCRWGQGTNVTCLCDYCNDQP >A07g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11511923:11512760:1 gene:A07g505270.1_BraROA transcript:A07g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFNQMIIIFYSFKSRSINFRYVFSDLEDFWTDLHVSRLKYNALDDFHFSRLDFLEVVWTSWKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLHVSRLKYNALDDFQEVFQTTSILV >A02g511680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31400016:31402933:1 gene:A02g511680.1_BraROA transcript:A02g511680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVIWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLEVLLRSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHENFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p053410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30849446:30859225:-1 gene:A05p053410.1_BraROA transcript:A05p053410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIFETAEEDARLEKIAKDHFAQGNHIKALEVIEDAFSKRGKDNVPGMFHYLQGSILYHLAKRAKKGDVTFAFLLGCVEPFTDDFGLHAFSACALLEMAKQLDSELYYKKAEKDAELRLSLLESCGELDPADLETQRTLANISNEAELKILHGCTGKSPVPALEESKEARGMIMSDSNKAADKRLRSQWASMSVEAKKNFMKVSVSKLRGYVERLYGEEGKKALDEVLDSTRIDKKWRFWLCRTCSRKFFYVKKFRNHLEQEHGAKHKRSTAKNMPEMVNEAWAGMILDGGWEPVDAVAAADMIKTRLESVKEFVYEEGWSKDWPLAEDEERSNLLKQIQYHLVLLWESKVLCFTTLEWMMELPILARFEVTESLIAECGLVGTPQRICFWDCHELKRIRDFLKLTKFERDDGTDLVCRAVERLCGRTGVKEKIEFDEQFSFMLLDKRLLRGKIDPFDDEGTIDACKHDYYAKTQPQGDDIITWLLDYPLIDGSFEFPRSARAHNLDIWVAILRAIHYTCRDWGTKYARKLENLYYDKVLTDAKNLCTRENERRRIAPEGEANVAYASLLGDRCEELKTDNGDPPNGRNFVFAVRDVLERAPDPTFDFDDLEASLDLIHGLKDLSDGTVLESIDHLKSVVTNKVLLADSKILLVEKSRISLLNELTRLSAFDYRSYIRNLLRRFMRNELNEIVKMDALAKVAAAEADLLSSEKQEKEKKSGSKSKKRGVIKDELNEIVKMDVLAKVAAEEADLLSSEKQEKEKKSGSKNKKRGVIKKTSTSTSTDIEQNVKPESSPPLKPVEEDCVEPEDTLNIAANTDNQEETAKDLQNMPGEDLLSKHMESPRVAAATRCNLALDMTLKALCKIKVLKEYLVLNQDEFADNQEGQVPYALRDFFTAVASKTIKEGMYSYLLGNVLSSMEEAHSMSRDAAELLVSILEFWPCWRTPDIESCVTHIFTLEEYERMSCSKCRKNPNYPEQSSYGVVVAADSIRDLRLEDILKLIRMEYKMLCDAKTEGCGKTNFVHHIISRCPPIFTIVLKWEKNETEKEIHETTKALHREIDISRLYEGMEPNTTYRLVSMVGCSEEEEYICLSFKKNQWVGYRHEALAKKAVGDWKNVVRFCEKMKVRPEILFYEAEDARLHKIARDHFAQGNPIKALEVLEEVYSRRREDDVPGMIPFLQGCILYHLAKRAENSDMKFLFFLGCVEPFTQHFGIHAFAAESLFEIAKDLDSAFYYKKAAKHAREGLCLVESYDELDSLALQTKGMLANILNDAKSKIRHGCTGKSSETALVESKEARDTIRSCDSNNAEGKRLRSHWASMSVEAKKNFMKVSISRLKGYVERLHGEEGNDALEEVLDSTRTNKKWRFWMCRTCAQKFFYVKKFRNHIEQEHCAKFKPATRNLTPEMVNEAWAGMIFDGGWEPVDAVAAAEMIKTRLEFVKEFVYEEGWSKDWPLAEDEERSNLLKQIQYLLVSLWERKVLPFSTLEWMLQFPILSQFEVSVSLTTECGLLGMPQRICFWNCHELERILDLLRLFKFERDDGTNLVCRAVERLCGRTGVKEKIEFDDQFSFMLLDRRLLRGNIAPFDDEGTIDPCKYDYYAKTQPQGDDIITWLLDHPLIDGSFEFPRSIRAHNLDIIVAILRAIHYTCRDWGTKYARKLDNLCYYKFLTDAKDLCTSEDERRRTAPEGEGDVLYASLLGDMCEKLKTDNGGIEFVFAVRDILEKASLPTFDFDDLEASLDLIHGLKDLSDDEVLKSIDSLRFVVTNKVLLTDSKILLIENSRISLLNELTRLSAFDYRSYIRHLLRRFMRDELNEIVKMDALAKVAAAEADLLSSEKQEKEKKSGSKSKKRGVIKKTSTSTSTDIEQNVEPESSPPLKLVEEDCIEPEDTLNIASNTDNQEETAKDMQNKPGEDLLSKHMESPLVAASTRCNLALDMTLKALCKIKVLKEYLVLNQDEFADNQEGQVPYALRDFFTAVASKTIKEGMYSYLLGNVLSSMEEAHSMSRDAAELLVSILEFWPCWRTPDIESCVTHIFTLEEYERMSCSKCRKNPNYPEQSSYGVVIAADSIRDLKCAFGKMKFEDILKLIRMEYTMLCDVKTEGCGKANFVHHIISKCPPIFTIVLKWEKNETEKEIHETTKALHREIDMSRLYEGMEPNTTYRLVSMVGCTCGEEEEYICLSFKKNRWAVGGWTNVVRFCEKMKVRPEILFYEAVPKLDQ >A08p025120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16254889:16257238:1 gene:A08p025120.1_BraROA transcript:A08p025120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGNRRDEGSMPIQNTNLFAALDTRKKKKKSDKAGGKSKGSSSSQKEPEPQVFWAPTPLKAKAWADIDSDDEDDDYFVTTAPPQALWNASEASRSDVKETHVEESESEEDILDEGDDDDLEEEQETQVHPEAEPEVKKSPEVPAPPKEAERQLSKKERRQKELAELEALLADFGVAPTGQDNTQDNQEKKEVNGEGEKKENATGESKASKKKKKKDKQKEAKESQEEVKSNADAAGGESAEQEQEEASSSMDIQERLKKIASMKKKKSSKETDAAAKVAAQEAAARKAKLAAAKKKKEKSHYNQQPRLRKRLVRPIRWGSRNVEKSDRYCRGIHSVSMRPRLEALALSDDPTQYTGPSFEEPDEKMRDVFHSFLEERRVNERVSSRFFRHGFM >A02p045100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28350636:28352253:-1 gene:A02p045100.1_BraROA transcript:A02p045100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLRSFTCSLTCSNSTEEQHHPHRKWVGPSGHKVITVSLNGHAQFRSVQGAVDSIPKNNNMSIVIKIAPGYYREKVVVPATKPYITFKGAGRDVTVIEWHDRASDRGPDGQQLRTYQTASVTVYANHFSARNISFTNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIASRFGSIAAHGRTCPEEKTGFTFVGCRVTGTGPLYVGRAMGQYSRIVYAYTYFDALVAHGGWDDWDHKSNKSKTAFFGVYNCYGPGAAATTGVSWARALDYESAHPFIAKSFVNGRHWIAPRDA >A09g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22164166:22164837:1 gene:A09g507640.1_BraROA transcript:A09g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWCTQGNAQGSTLTLCDVPRHFKSGLAPKTAAVRLSLFRADLFVGKKLQLTQRGTVQMLTLSKDTALEVESLVQVLDSYGGSLRCHSMIVFQHFLVSTTLSRDDTV >SC163g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:319171:325100:1 gene:SC163g500230.1_BraROA transcript:SC163g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSIHLRRRPYPSSPSTHPRPSDPGRDSHSRAAPPVMNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSGNGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A06g500470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2021614:2022282:1 gene:A06g500470.1_BraROA transcript:A06g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRNQCLPPTGILTGSLFPWIMWNLWKARNRFVFEGFSATPEDTLSSAIVLAREWNEGHKLENNLKRKHPQAEVVSPVNTAIVRSDAAWAEQRNCAGLGWVLFAEDQIIQFSEQKAFVNSPLIAEGLAVRKAVLTCQRRELKKVRIESDSQTLVKALNSGASGAGLYGIVSDILKMAEAFEYVCFVWIPRERNVMADCLAKTALNVVVPLVVGEAFIASN >A03g501990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6647883:6648344:-1 gene:A03g501990.1_BraROA transcript:A03g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMGRARKMNGLTAESPKEETRYTTGKTRMPLKNGANEEQPTTRDATTCCRTVTRRHHAPSLVPRAATGESGRSSRTRPPKNPTRLHHRPPVEIKGTDPRLARSQIRTDTEALRTTAATLSRRKGTQAPERPQRRHTHLHSHKNSTLAEEN >A05p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8304514:8307615:-1 gene:A05p018190.1_BraROA transcript:A05p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETQVLVDDKSSVRILTLNRPKQLNALNSNMITLLMQLFLEYEEDPNVKIVILKGQGRAFCVGGDPLPVFRDIVIQGLGKSWRVGADFFSEQYKLDHFMATYSKVQISILNGIVMGGGAGISVHGRFRIATEKTVFAMPETELGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSSRLNALEADLCKVGSSDPVTFASAILNAYTKHPKLKQHSAYYRQVLDVIDRCFSRRTMEEIISALEKEATEKPNEWISATIRALKKASPASLKISLRSIREGRFQGVGQCLIQIITTTCLTMQGCRAVVIDKDRNPKWEPRSLEEMKDNMVERYFERVDEEDGWEDLKLSPRKNLPALIIAKL >A02g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1937312:1938900:1 gene:A02g500700.1_BraROA transcript:A02g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCLSMWFSFCKVESLSSSSEFLFSKSFTLVSNSPNWGPGYSPQHVWVIASCLGVLLKKGS >A04p001140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:577572:579278:1 gene:A04p001140.1_BraROA transcript:A04p001140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSMDCTSTIDVTDDDEEIHQDRHSYASVSKHHSNNSTNANAASGLLPTTTSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKNRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYGKPKHETVCDFRPYSCPYAGSECSVMGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFYCFGEYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYNYSLEVGGYGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQHSGEAGGGGACIPNLS >A09p076800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57392603:57404383:-1 gene:A09p076800.1_BraROA transcript:A09p076800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRALSEYRKNPSELKKPPPEGPNSGVLVIQDEESLTTCCFGMCNETLFRGLPFPQNAALTVIHNYGSHRDNVRRDPAVFIPVLGQPLSSNLYYTYERCGYYSSRESSTSTEKEVERVTCCFCIKFNHVHMYKKPQPDPYDIHRQVVITTTSAPSCSYYQTKPVDPNAILPAFLMRSWTIENSTSTLRDFGLIDDAKGLNVELRSMLPSLDMSVVVGKWYVPFLFVKEGDIIDQVKISMYYNMTLQQRWEEVFFYENVRNEDCIQVVVDVNLEAQVIKVEGQKINIGTTYLDAKGIVWFQVFDHEGKNKKIGLRSMIVERMESVEGNFGWKKIDGNLLTVKKLDRFECGSSHWKSYKCYVLVESFELKRMDGSLMYVTRRLSEYQRNPLELEQRPPEGPNSGVLVIQDEESRPLSCFGLCYGQDLKGLPFPQNAKLTVSYSDGDDSYHDPVLFIPVLDQPLSSNCYYAIIRRGKHSGEASASAKEEDRVPCCFCFNYVPEAKPRQADPYDIYQQFEIHQRKSYYYSATSVAPDGVPPWFLKRKNWRAGYSTSQDFGLIDDAKGINTMLRSKLPGDFNTSVVVGKWYVPFIFVKERDAKAQIKKSTYYSMTLRQSWEEVYSCGNLDYKKENGEVVVDVEIESELVKLEGQVIGKEIRGVDENGVVWFEIAGKKIGLRTMVVERMKWEEERFGWSKETDDIKSSIKRSERFEGDAMLWQSYKCYVLVESFELKRMDGSLVLTFEFRHVDKLKSKRLSEYQRNRSEIKQPLPEGPNSGVLIIQDEESKPTCCFGSCYGSELKGLPFPQNAKLTVNYIIAANNTTIVYQDPVVFIPVLDQPLSSNRYYAIKRSGKHSGEASANAKEEDRVPCCFCFSRVPEAKPQQADPYDIYQQFEIHQRKSLSRYYFATSVAPDGVPPEFLKRKGWTVEYSTSEDYGLRDDAKGINAKLRSELPSDLNISVAVGNWYVPFIFVKDGDAKDQLKSSTYYRMTLYQKWEEVYSCESAYKENREVLVNVEVEPEVVKLEGQVIGKETIRVDENGVVWFGFANKSVGLRSVVTERMKWEEERFGWKSRAVAERRDRFDGGGSSWKSYKCYVLVESFVLRRMDESVVLTFEFKHADRRLSEYQSNRSELKQPASEGPNSGVLVIQDEESRPTCCFGSCYESALKGLPFPQNAKLTMKYTITVIKDLPMVFSDRVIFIPVLDQPLSSNRYYVIKRSGKHSGEASANAKKEDRVPCCFCFTRLPEAKPQQANPYDVCQQFEIHQSKSWDRGYFATSVAPGGKPPKFLKRKGWSVEYSTSQDYGLRDDAKGIQAQLRSELPSDLNTNVLVGKWYVPFIFVKEGNVKVQLKSSTYYNMTLHQKWEEVYSCENADKENREVVVNMEVEPEVVKIEGQGIEKETIRVDENGVVWFGVANKSVGLRSVVTARMKWEEERFGWKSRAVVKRSDKFDGSGSNWKSYKCYVLVESFVLRLWS >A04p003610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1774196:1776382:-1 gene:A04p003610.1_BraROA transcript:A04p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACGVLFAAASMTAVMAIEEAGAPAPGPASAASVALPALGSLVGASLLEMLRLFKRKKNKKKEEIHFQKNGSLLLEELIASFGGIYNPIPTFSSHQILQAINNFDWNHIVSEDRFVWYRGTIRNRPVLIKKYQDCSLFDADNFYRDIAVSSLMSSHKNVLKILGCCLEFQHPVLVCEYPENGALHCIKRARDGATRPFPWNARLRIAKEIAEAVTYLHTEFPRTIIHRDLKLANIFLDENLTAKLSSFSLSVLIPEGESSVKDMVCRTSSYIEPKYLNTGLVTENVDIYSLGIIMLILLTGKSEYTSEVAVYLPLLPVYVAKLLDKGLLTELIDPSLLESGEISEDVRMQMEAFIELAFRCVRFRPGKNELHMIDVAKELKKIEKHA >A01p043900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24633697:24635686:-1 gene:A01p043900.1_BraROA transcript:A01p043900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vicilin-like seed storage protein At3g22640 [Source:Projected from Arabidopsis thaliana (AT3G22640) UniProtKB/Swiss-Prot;Acc:Q9LUJ7] MAINKLTITLFLLISLAVFHCLAFRVEVQEFEPPRQEGQEGPGGGSGEGWDEEATKNPYHFGQWSFKNFFQSKDGFVKMLPKFTKRSSTLFRGIENYRFLFQEMQPNTFLVPHHLDADYVFLVVQGKGVIGFVTDTANESFQITKGDVVRVPSSVTHFFANTNGTVPLRLAKIAVPANVPGHFQVFFPAHSGFHQSYFNGFSKDVLTASFNIPEELLGRLIRGPQQEVGQGIIRRVSPEQIKELTEHEHATSPSNKHKDKKDKHKDKDRSTFGSPFNLLTQDAIYSNNFGRYHEAHPKRFSQLQDLDIAVGWVNMTQGSLFLPQYNSETTFVTFVENGCARYEMASPYTFQGEQQQPWFGPGQEEEVEEEMSGQVHKIVSRVCKGEVFILPAGHPFAILSQDENFVAVGFGIHASNSTRTFLAGQDNMLSNINTVATRLSFGLGSKMAEKLFTSQNYSHFAPTTPSHQFPEKPKPSFQSVFNLVGF >A06p028530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:71237:77519:1 gene:A06p028530.1_BraROA transcript:A06p028530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGFILPFYCNDKEELQGQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQARCLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVHDHLLVSPAQRLLLSPSLLGAITLTAFMMNRVKKALGGGSLDEVHRRKDERHALQSSSHGETELGWESCSLSIQDAQHIPSLHKPSTVLLIQTCRAHTLHNLIKTDPSDGWTGWDVRTLYGLEVRTLVLCLHPTSFYGHTMAVPNALSLHHTSIFSLTPPKPPHDQSKSFLDLTSQDNSFRTLLKLN >A05g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18740431:18742712:-1 gene:A05g506570.1_BraROA transcript:A05g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSLGCQMVIKGCCRHPFRPRNLDLCSMQKTWLEAKEIYENLPENSFNHSYEACKKSNSNSKYFVFYIKNTPGTQPMSTASYPPVPERVCSVGLLGSTLSRAGLRSMAGLSPVNFPGTFPANFLADRFAPSFKFLRLRGLGLFWFDRTHSFRISPNPGTKSVKENTTKQPAFTNPETVFVRKQCCN >A10p006180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9595434:9597056:1 gene:A10p006180.1_BraROA transcript:A10p006180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTLTYSSYVARNIASSAAARVGAGDVRSCFECLTRPRTFTHSQIPDIDKSPPRARPASSSMYSTIARELLEEGSKSPLVLGMISIMSPNLLGMNNNVLGISPFKTSSVIPFLRGSKWMPCSSIPATLSTDVGEIDKGGKASCDVVQVKMELGRDHKGSSFVGNGWVGKLLNICSEDAKAAFTAVTVSLLFRSALAEPKSIPSMSMYPTLDVGDRVMAEKVSYLFRRPEVSDIVIFKAPPVLVEHGYNSTDVFIKRIVASEGDWVEVCDGKLLVNDTIQVEDFVLEPMDYEMEPMFVPEGYVFVLGDNRNKSFDSHNWGPLPIKNIIGRSMFRYWPPSKVSDTIHHHEQVMQKEAVDVS >A05p017830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8137226:8138359:1 gene:A05p017830.1_BraROA transcript:A05p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTAIGSGEEPPSKKLAIEPTTNLPLPDELVLGCFARASRSHYPILSLVSKTFRSLTSSPELYQTRSLLNRTENCLYVCLQLPNDPCLRWFTLCRKPDKALNNKKKKKKSSTSGNVLGQVRILGSPPPVEWSNLVAVGHKLYAVRDGPCSSDVFFLDCRTHTWVETPSLRLAHTFPECDGMIYLPGGSESPDSLNFVQVFDVKTQTWKPVPPEKKIFRLRDLQGRAYQNNDVAAASSRRWLVVARLKDRTVSVEGSGTLCLIEKAFYRYASSSGELLWSNRSTESDVWRKVKGLEGLPKFTRYSNVYLVASGGKLVVFWDKYVPARGGYKEKMIWCAEISLETRSSEEVWGKVEWFDAVLTVPKSYKFVYSIAATL >A05p041610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25256497:25258812:-1 gene:A05p041610.1_BraROA transcript:A05p041610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPR repeat-containing thioredoxin TDX [Source:Projected from Arabidopsis thaliana (AT3G17880) UniProtKB/Swiss-Prot;Acc:Q8VWG7] MMDANQVAELRRFIEQLKLNPSLLHDPSLTFFKDYLRSLGAQVPKIVKTERDYEDTAETKPSFSPSYDDDEVTESDVDLDDSDVVEPDNEPPQPMGDSTAEVTDEDRDAAQLEKSKAMEAISQGKFDEGIDHLTKAIMLNPSSAILYATRATVFLAVKKPNAAVRDADMALQFNPDSAKGYKARGMARAMLGQWEEAAADLHVASKLDYDEEIGSALKKVEPNAKRIEEHRRKYQRLRKEKELQRAERERREQQEAQEREALSALEDGQVISIHSTSELEAKTKAAKKASRLLIMYFTATWCGPCRYMSPVYTNLATQHPKVVFLKVDIDEANDVAAAWNISSVPTFCFIRDGKQVDKVVGADKGSLEKKIAQHSSSN >A03p044970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18776859:18777572:1 gene:A03p044970.1_BraROA transcript:A03p044970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGDEAQASSGHLDWRFSQISGERSAGEEVQEVMGYQLGLGSGVNFPGPRTFKDQRSVSCTLRESWVSRLDA >A05g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28937201:28945554:1 gene:A05g509460.1_BraROA transcript:A05g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKDKKSCASSITMVLGTGRSLTFSTTTTSKSPTQTTSKEDTSRGKTLSKGTISLGKTPLLVSIIPTISLLKLKEVLHKLQLQIQVWMQCVVRFKTLTAANHGGLSIPLRPNEKMRNTLQVSQRSLGAVSSNRCENTLLPARFVLVAAVFEVLWQEAAVRGAAVLWSGLCCYLRDFTSTFHFSTLAYQKQAKDMGKDRGPKTDRNVDVGTSSRAGTRTNPPRAGRPTPHPPAQVYRRKAPQQKEKSPAEKATLEAEIEEMIEEGLRAETEDEEEETPAPKPAKKRKRVPPTSKPTSAQLYERLYDDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKEVSCQFLSTFFVQYHCDAHRTEGFGRISFEINEKTYKVGFKKLSSILGFSDNRGSFLPARSAIVDDIWAVITGWSRTAGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIGSFITPILEAFGIDLGPRDQAPASIDLAYLKKTHYLTGQSGDRYGYPFWSTDLEPAQLQIFLPCERLTTLSDPRHVLFAPAAHELIPADFGELETITKVRKKKTRASSSRAARPSDADDEGPTTPAPVYGTEKYHFQPYGGITPNIALRQALSQNAKLLRWNKMQDSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVSAGAGPSTLPYPVFYGPPRSPEYRLRRRRRNTAPTLRASSNEVRKMRDMKREILEI >A10p035680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20426742:20429112:-1 gene:A10p035680.1_BraROA transcript:A10p035680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLKGSFELPRGESSREGDVELGEQGNDQGLDDFFKKVQEIDKQYEKLNKLLKKLQAAHEESKAVTKAPAMKAIKKKMEKDVDEVGSIARFIKGKLEELDRENLANRQKPGCGKGSGVDRSRTATTLSLKKKLKDKMAEFQVLRENIQQEYREVVDRRIFTVTGQRADEDTIDELIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHDAVRDLEKKLLDLQQIFMDMAVLVDAQGEMLDNIESQVSNAVDHVQSGNTALQRAKSLQKNSRKWMCIAIIILLIVVAVIVVGVLKPWKNKNA >A05p004420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1731989:1732912:1 gene:A05p004420.1_BraROA transcript:A05p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDRAEKEMVNADHGIDELEKKKNHELKRENLELKEKAERLTGEIEEMRAVEAEMKQSFEEMETEIEQLEEEKKGLESISARAVELEGEVAKIHEDLVNALRDGDEREVAAAELKKEFMEKVESFERFEKEAEGLRKARGEGEKKGRDLERKVGVLEVRLMEERSKKVRAEEEMREKGNQKEGEIEGLKRKVSDFEMGLVKGVLEMESCEFEKKRAEEALSELKKREMELEVRKEELLKKVGEGEKTLLVLNERIMEEPTTNGVRDIKDCDQECLQLQWPVVAAGSVGALGLVAATVFVVCYSKRT >A06p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18262043:18268216:-1 gene:A06p033810.1_BraROA transcript:A06p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQYERDQEKEAKKSRPERDKWKNPLSKEETPSTRTEEKRNKGWERWLENFKAKIHQRITNPRPSPVLEEDQEAKDIKPEVSIVYVREESMDYQLYQEEDLCPVFDEDLSPIFDEEEDLGPIFDEEEEPKAVSVLLAVQKVVEDVVDSGPEADHEKDLTTAYASGDILGSLSSAKLVQPFVFKEYDPVELLRPEEGLQHFIFEPGIRPTAMYMYRSKAVVSSLRNAYSKISAQVGSSSIQFPWQVRSFSSRPECMLQLVLENAWSKKVEEGLKNPDTSLTHETAIYLLRKLDKHPEKAYSFLDWVIRESGLTPSSPLYSTMLRVLVQQRSMKRFWMTLSDMKQGGFYMDEETYKTLYSLLNKEEDNNSKADAAALAHFYERMLKENAVSDVAASVSAAVSKGDWSCEVERELQEMKLPLSDNFVIRVLKELREHPLKALAFFHWVGVGGGTSSGYRHSTVTYNAALRVLARPSSVAEFWSVVDEMKTTAGHEVDLDTYIKVSRQFQKSRMMVEAVKLYEFMMDGPFKPSVQDCSLLLRSLSAGPSPDLDLVFRVSSKYESTGKSLSKAVYDGIHRSLTSVARFEEAEEIMKAMREAGYEPDNITYSQLVFGLCKANRLEEARGVVDQMEAQGCLPDIKTWTILIQGHCKNNEVDKAFACFANMLEKGFDIDSDLLDVLVGGFLSQNRIEGACKFLMEMVRNANVKPWQTTYKALIDKLLEIKKGDEALDLLELMKKQNYPAYAEPFDGYLAKFGTLEDAKKFLDVLSSKDSPSFAAYFHLVEAFYREGRLADAKNLVFISPHHFKTHPKITALFGAA >A05p050280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29281098:29283044:-1 gene:A05p050280.1_BraROA transcript:A05p050280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDNGKLFIGGISWDTNEERLKEYFSTFGEVIEAVILKDRTTGRARGFGFVVFADPAVAEFVITEKHHIDGRLVEAKKAVPRDDQNMVTRSNSSSIQGSPGPGARTRKIFVGGLPSSVTESDFKTYFEQFGTTTDVVVMYDHNTQRPRGFGFITYDTEEAVDKVLLKTFHELNGKMVEVKRAVPKEMSPGPARSPLGAAGYSYGVNRVNNLLNGYAQGFNPGAVGGYGLRMDGRFSPVGAGRSGFANFGSGYGMNMNFDQGLPTGFTGGNGNLDYGRGMSPYYVGNANRFGPGPAVGYEGGNGGGGGGGGGSSSFFSSVTRNLWGNNGGLNYNNNNNNAANSNSNSNTYMGGGGGGSTSGNNNALSGRPFGNWGAPGNNAVGNENAKFGYGGNGDSGFGYAARSIGPSKAAAPSSSFSSANNTGYDGAGLAEFYGNGAVYSDPTWRSPTPPETEGPASFSYGIGGGGGGPSSDVSARSSSPGYVGSYKRQPNRGIAT >A05p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5623808:5630224:-1 gene:A05p012900.1_BraROA transcript:A05p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPALAGKAVKLSPGASEVFGTGRVTMRKTVKPTGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGDGPLGEAEDLLYPGGSFDPLGLAADPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHSADPVNNNAWALATNFVPGK >A06p047440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25201283:25203865:-1 gene:A06p047440.1_BraROA transcript:A06p047440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G48300) UniProtKB/Swiss-Prot;Acc:P55228] MASIAATGVLKVPPPAAASCEAVPTMTLSFSSSVSLRATVSHRRGSLLSRNRVRNPMIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVNKIYVLTQFNSASLNRHLSRAYATNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEERATAFGLMKIDEEGRIVEFAEKPKGEQLKAMKVDTTILGLDDKRAKEMPYIASMGIYVVSKDVMLELLRNKFPGANDFGSEVIPGATSLGLRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETASEKSLLTAKGSVPIGIGKNSHIKRAIIDKNARIGGNVKIINSDNVQEAARETEGYFIKSGIVTVIKDALIPTGTLI >A08p042890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23989747:23991644:-1 gene:A08p042890.1_BraROA transcript:A08p042890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAISSLRKSLVLPLHSNIRTVQTFSKYNAQAASALREKPLYQDDEYADVDWDNLGFGLTPADYMYGMKCSKDGEFTQGELSRFGNIQLSPSAGAIYEGTKAYRKENGKLLLFRPDHNAVRMQLGAERMLMPSPSVDQFVDAVKQTAYANKRWVPPSGKGSLYIRPLLMGSGPILGLGPAPEYTFIVYASPVGNYFKEGIAALNLYVEEEYVRAAPGGAGGVKSITNYAPVLKALSRAKSRGFSDVLYLDSVKKKYLEEASSCNVFVVKGRTISTPATNGTILEGITRKSVMEIASDQGYEVVEKSVHVDEVMEADEVFCTGTAVGVAPVGTITYQDKRVEYETGDESVCQKLRSVLVGIQTGSIEDTKGWVTCIN >A09p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40247182:40249207:-1 gene:A09p046000.1_BraROA transcript:A09p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSHSRFLLQTLLTRAQNLDKAVELDYQWIEFDDVRYHVQVTIKNPNILLLSVSLPNPPPEAMSFDGLPLGAIEAIKTTYGTGFQILDPPRDGFSLTLKLNFSKVRPDEAYRNSLLTKLASIREVVMGAPLKIILKHLASRTVAPELDRLVAIMHRPNETFFLVPQADKVTVAFPMRFKDSVDTILATSFLKQFVEARRAASLSSAPSCSWSPTAPQELEGAPKETLSANAGFVTFVIMPRHVEGEKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESMIQALDQAKPLEKTRSMNNKSFKRLGLNDANHTTSN >A01g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21253338:21254337:1 gene:A01g507340.1_BraROA transcript:A01g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A07p045500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24696936:24698465:1 gene:A07p045500.1_BraROA transcript:A07p045500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSTLVVYLAIVFFTAAVTPASSATHSVEWSLGNDYSSLATGKPYAVGDTIVFNYGAGHTVDEVSESDYKSCTLGNSISSDSSGTTSIALKTSGSHYFICAIPGHCTGGMKLSVNVGAAISSGGGGDGATAKTTPSPTLEGGKAAPTASATPVLKPFQALVVTCVVALLYALALS >A06p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26524886:26525710:-1 gene:A06p050430.1_BraROA transcript:A06p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSFNQAIELSDVKFMQEKKLTGKFHNLLVSFFSLEKKSVMNPKRCAACKYLRRRCPKDCIFSPYFPPGDPDKFACIHRIYGAGNVSKMLQQLPVQTRAEAVESLSFEAKCRVEDPVYGCVGIISLLQTEIQKTQTLLARTQAEIAVAQAKHSQTQVNEFM >A10g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10268354:10269732:1 gene:A10g504150.1_BraROA transcript:A10g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFALSFKYPQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPCGLRFLNQLLHTSIFPLLHTMVQVFLLSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKTPRGLAMAFVESESLDSHPPPTPSVHGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEVRESSPYTSASNESLPQVGFELRVELVLCGSYSSGSDEPGSDTI >A09p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3944647:3945070:-1 gene:A09p007540.1_BraROA transcript:A09p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNMYLTRMFLRLRECSVQCSILGEWSGLDYGVELQDTLMLGTDYYLTESGIASLLAEGKVPIGIGRETKIRTLMEFQRIFELKVII >A09p070580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54718895:54721479:1 gene:A09p070580.1_BraROA transcript:A09p070580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPYGFTISSSLRNASAANGRLFSFLSTSPSSSSSNLLSSLRGFSSSASLYRQHLRHQQQSRAKGLDKPETMAQKIGKSIRRAGAPSKARVYADVNVVRPKEYWDYESLAVQWGVQDDYEVVRKVGRGKYSEVFEGIHATDDEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEHVNNKDFKVLYPTLSDYDVRYYIYELLKALDFCHSRGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNTYLNRYRIELDPNLASLVGRHSRKPWSKFINSENQHLAVPEAVDFVDKLLKYDHQERPTAKEAMAHPYFYPIRNAESSRTPRG >A02p054700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33201343:33208181:1 gene:A02p054700.1_BraROA transcript:A02p054700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGSHCYTSPFTFITTRNSSLPRLLHFTPRGAIHIRSQSDSRRLLTCSASSSTIEEHRMNKDGSGPSRKKVKLNVRLNHQVKFGEHVAIFGSAEEIGSWKEKSPLNWTETGWVCELHLNGDHALEFKFVIVKTDGSLSWESGDNRVLNLPKAGAFSVVCHWDATREALDVVSQEEVGEGERVVGSSENGAQLRKSALGGEWKGKEASFMSSNEHGEREVGRSWDTSGLEGPGLKMVEGDRNSRNWWRKLEMVREVIVGSVEREERLKALIYSAVYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELENICSKKDATAEEVLVARKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLERITETPGKYGGDFVEQFRIFHNELKDFFNAGSLTEQLDSMKVSMDERGLSALTLFLECKERLDASGESGNVLELIKTMHSLASLRETIVKELNSGLRNDAPDAAIAMRQKWRLCEIGLEDYFFVLLSRFLNAVETMGGAVKLAKDVGSKDVSSWNDPLDALVLGVHQVGLSGWKQEECLAIRNELLAWRERDLLEKEGEEDGKTIWAMRLKATLDRARRLTSEYSDLLLQIFPSNVEILGRALGIPENSVKTYTEAEIRAGIIFQISKLCTVLLKAVRNTLGSEGWDVIVPGSTSGTLVQVDSIVPGSLPSTDGGPVILLVNKADGDEEVSAANGNIAGVMLLQELPHLSHLGEKIVFVTCDDDEKVAEIRRLVGKYVSLEASPSHVNLMLSTEDNKRTDKKSVSVNEEESKPVSSTSNSLLYSYKDISSGGIIALADSDVSTSGSKAAACGLLASLAAASTRVHSEHGVPASFKVPIGVVIPFGSMELALKQSNSEEKFTSLLEKLETATPQDGELDSICDQIHELMNSLQVPQETINTISKAFPKDTRLIVRSSANVEDLAGMSAAGLYESIPNVSPSDPSVFSASVCQVWASLYTRRAVLSRRAAGVSQREASMAVLVQEMLSPDLSFVLHTVSPSDPKNNLVEAEIAPGLGETLASGTRGTPWRLASGKLDGIVQTLAFANFSEELLVSGKGPADGKYVRLTVDYSKKRLTVDSVFRQRLGQRLGSVGFFLERNFGCAQDVEGCLVGEDVYIVQSRPQPLDCNEFFRFHHKHICLEVYNIF >A07p031230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17301003:17302343:1 gene:A07p031230.1_BraROA transcript:A07p031230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSADLNTTDDYQNILMLRHGDRIDRINPLWPDTASRPWDPPLVQDGLVRAFQTGQRIRSHIQFPIHRVFVSPFIRCVQTASEVIAALSAVDLNPNATSSKDVISIDKSKLKVSIEFGLSEMLNSMAIRPKVAPKDGKFDFKISDLEAMFPEGMVDGVVDPVYKEMPQWEETVEECTERFLGLVKTLADKYPSENLLLVTHGEGVRTTFATYKDVAVYEVEYCACAELRRQVLSQEGSTKAGDFEVIGQAGIKYHSLKTTAVPCVKWY >A07p028720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16200527:16204108:1 gene:A07p028720.1_BraROA transcript:A07p028720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFFIFTLFVSALDVSDVVAEAPAPGPGSGGDSFFPLSKKHVIIHNVVKNRQTLNVHCKSDDDDLGLIHIPWNHYWGFRFRVNIWSTTMFRCHFTWYGGGSHLFVIFDPWRDDDEFAKYPACDKCLWQVRRQDGDEAICRIGARGSDPYCFPWLDNVHWLCNGQSLLGDVNLANLELIIPSTGPSKTLLRTEGMLVALALEVSDAVADAPGPGSGGDSFIPLAKKHVVIRNVVQNRQTHECFVAILRGISADRTILIYLVHGDDNDFGKTPVCKECIWEVGRNNEDEAMCRITQDGSVRYCFTWDDDV >A01p007640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3754248:3754709:1 gene:A01p007640.1_BraROA transcript:A01p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPDPRAGQAFICLITLFLFLSIAVGGGCLIAYTVLPYPSIWLAYIGIFFVCLPWFFWILTFSYRIVSRTFGFRMVIGSGGNNNSANGEAKPRELDPPEQSLESPKDEPEAMARPQGHILMSMEGNQSKKRMSTSSVGSHESEMPLAISMGS >A04g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7676141:7677292:1 gene:A04g503530.1_BraROA transcript:A04g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPKAMVFSSSQSCRSHQLRLQSNFIAVKNLCLDLASFSCYVCCLHSQYVCYGSTTSTPLFAREIMTLFPSGTNSLGEALILFFQSKQFIDSLDKSLKPYQRVKIETLRCRFVFLITTKFLDILLQHGYKIWKEMYGEDLDDSNVALYSEWK >A05g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13288228:13289478:1 gene:A05g504770.1_BraROA transcript:A05g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDQPSIDGDTFPSGDVESEKSTDTELPTSIDTTQPEAGKFSLTKPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGENINFSMQDYLDPGRTYSNRSANKIPGDDTKKSKFNADYYRMVRQNPFRGSLPEHPQDHIETLEELIPDEYDRCKLFSFSLEGEALRWLNVLAAGSLTPQIDDNALSDTNDYLDEGTNCSDPYSVLNVDSFTQAYDTTVKSRTGRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGELI >A06g508790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24905588:24906901:-1 gene:A06g508790.1_BraROA transcript:A06g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHGDTNVMISLFAWNTRGFNKMRKQTALRSWIQSAKPSFGCLIETRVREENSTSILNSALPNWNFLTNYDHHRLGKIWVCWAGDVSQKIVLQDPNETTFEEAADAMTYWNHWAAIEENFLRQKSRIIWLQHGDQNTLFFFKIVQSRTSFNMIRRLTLPSGEIITDLHHIKLMAAAHFESFLQQSPVHVTAGTAPN >A08p045050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24762732:24770042:-1 gene:A08p045050.1_BraROA transcript:A08p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVRFPFLSRCNEESLLLLWIKMSNLTGFISIDCGIPSGSSYTDDTTGINYVSDSSFVETGVSKPSEKIFVCLGNKGKGTPFISTLELRFLGNDNTTYDSPNGALSDSSIRYSEDVYDRIWLPRKLGAENKEINTSLPVTSSNNSYNPPGLLMSTALTPTNTTAPISMELADTDPTVRYFVYMHFAEVEDLSLTPNQTREFEIYINQVKIAHVSPRYLQTDTFFLKPESQTNIKFLLVRTPTSTLPPLINALEIYVGNTYSQSFTSQDDDDAVTSIKMSYKVKRNWQGDPCLPNSYIWEGLNCSYASLAPPRITLLNLSSSGLTGHLSPSFSNLTMIQELDLSNNDLTGEIPESLSGLKFLRVLNLEKNKLTGSVPSDLLKRSKSGSLLLKVGDNPGLCTEVSCVKSNKKTLITAVGASLAALFILLLLSGVFWKIKKRSNKPVEEDTDNETRNRPKADSNTLLLTFSDIVKMTNNFGRVLGKGGFGTVYHGYYNDLQVAVKLLSESSAQGFKEFRSEVEVLVRVHHVNLTALIGYFHESNQMGLVYEFMANGNMADRLSGKCDHTLSWTQRLQIALDAAQWLEYLHSGCKPAIVHRDVKTSNILLNVNNRAKLADFGLSRSFQTESRSHVCFKTNELNEKSDIYSFGVVLLEMITGKAVISESETKRVHVSDWVITILKSTNDVSNIVDSKMGGDFDANSVWKIVELALASVSQNVAERPAMQQIVRGLKECSHRGMEFSREAGMMMENKRSVCSLEESSIKRHKSDLSFSSKRKDKAGDRISALQQIVSPYGKTDTASVLQDALHYIEFLHEQVKVLSAPYLQTMTAATQEELEQYSLRNRGLCLVPMEYTAGVAQSNGADIWAPVKTPPSPAFGVKSQSPFR >A09p063300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51450246:51451213:1 gene:A09p063300.1_BraROA transcript:A09p063300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDWEASSSSEEEAGFALDDDEQFHSGPKLQFRVGSSKARWVTELAMAEVEVKRGKLWTTTGVIRSGKTYCFLEEALYLSEIGELQMLGSEDDEVMIPLKSLYEKIAEEKDGCCWESYEVYRYLKGLGYIIRRHGVPWTSKDAAITTPSGECPEDKDDVTRLLGDMQICDARAVFDVYLPNSRFKKSSPGEPSFVACFSGDSPPSKEDVKVLQSRVAAPLMFCHVAQGRVSFFSFSSIDLPALP >A03p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9331038:9333637:1 gene:A03p022180.1_BraROA transcript:A03p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQKEKIVGSCFAVGAAFAVGASFLHLFLKGELPLGLGLGLPFVPFRKRKPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDEEIIANKGPPVTPLHERMIMVKAVKWVDEVIPDAPYAITEEFMKRLFDEYRIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSNSQSHSSLQRQFSHGHNSPRFEDGASSAGGTRVSHFLPTSRRIVQFSNGKGPGPGARIIYIDGAFDLFHAGHVEILRRARELGDFLLVGIHNDQTVSGKRGAQHPIMNLHERSLSVLACRYVDEVIIGAPWEVSKDTITTFDIWKVVHGTVAESDDFQKEEGNPYAVPKSMGIFEVLESPLDISTSTIIKRIKRNLKKEASEKKYYEQKSFVTGD >A08g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16834008:16834878:1 gene:A08g508920.1_BraROA transcript:A08g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSVLIVLGGGVKVWLPWFESAVSSELWRILLPRSRVLSLGYAGGDGGSTLFRHVGVTRTALNVVVYGSSAAVRRPSSLWTSAALSLYGSSGRGLTSTVFCFSVLDKQQMSMRIELLVFIGRWEAFYMEFGGEHKPDSCIVRRLRRRLGDSGIREVKPTSPGLTSIEENGHR >A09p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14192836:14199053:1 gene:A09p025430.1_BraROA transcript:A09p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUR3 [Source:Projected from Arabidopsis thaliana (AT5G45380) UniProtKB/TrEMBL;Acc:A0A178UG75] MATCPPFDFSAKYYNGGGSGCERRSSFFGDTTVLDQGVGYAVILGFGAFFAVFTSVLVWLEKRYVGAQHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVKARWGTATHIVFLVFCLATNVVVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYVHSVIVHVVLVVFVFLVYTSSSELGSPSVVYDRLRDMAAKLRTCTEPLSHHDQACGPVDGNYKGSYLTMLSSGGAVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPISADEANRGLVPPATAIALMGKSGSLLLLTMLFMAVTSAGSSELIAVSSLFTYDIYRTYINPRATGKQILKVSRSAVLGFGCFMGILAVILNKAGVSLGWMYLAMGVLIGSAVIPIAFMLLWSKANAFGAILGSISGCVLGIVTWISTAKIQYGRVDLDTTGRNAPMLAGNLVAILTGGFIHAVCSLGQPQNYDWSTTREIKVVEAEALGDDIDVPVEELKEEKLRRAKAWIVKWGLVFTLLIVVIWPVLSLPARVFSRGYFWFWAIVAVAWGTIGSIVIIGLPLIESWETIKSVCMGMFTNDRLMNKLDDLNHRLQALTKAVPEAERIYLLEIEKTKKTDEES >A03p016820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6699440:6699801:-1 gene:A03p016820.1_BraROA transcript:A03p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root meristem growth factor 5 [Source:Projected from Arabidopsis thaliana (AT5G51451) UniProtKB/Swiss-Prot;Acc:B3H5J1] MSSVHAVSVLLLFLLLHLSNSRHLDNVHITDSQISLDKDQNVVPRLTSKEPVRVSRFVPGVKKNRHHRPPLLFADYPKPSTRPPRHN >A08p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:951940:953127:1 gene:A08p001580.1_BraROA transcript:A08p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGDHITAQFMGIPHDLPPALKPGADVSRVASFAVDYASILGNGTRTPKNSVSKLNYLYIYYYNFTKTYPDADASAQQAYVISVINRLKYNLELLYSSRSSKFVVHNVALLGCLPIVRQEFNTGYECYEKFNGLAKKHNARLGPMLNKFAKAKSGFQFTLFDFCNVLLRRTQRNMNYRFSFTNISYCGIGSHNAHGCGLHNVHSKLCEYERYYLYFDARDDTEKAQESFAHLLSGTDPNVLQPMNIRQLITYPVNDDMSEFWKEPVEEREFIVRPWH >A09g513920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42126934:42131521:1 gene:A09g513920.1_BraROA transcript:A09g513920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKNVISGGKPGRKEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLAMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSITTWEVI >A03p040890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17058730:17064929:1 gene:A03p040890.1_BraROA transcript:A03p040890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRDTSNKTLSEIVGLVKSWIPWRSEPATVSRDFWMPDQSCRVCYECDCQFTLINRRHHCRLCGRVFCGKCTANSIPLAGSDLRAPREEWERIRVCNYCFRQWEEQGEGGAHVSNIPELSTSPSESSLLSSKTSTTANSSSFALGSMPGLVGPYQRAQRGSDVSLHGVSSMEAGTTRKGKETSRRNSFIATDVEDPSRFASNRSDDEYDEYGVYQTDIDTSHSPQANQYYGPMEYEETSIGGDGSCKHLSGETSDQKSLSGSPLIHHCLDSLIGEGAEQFQNKDEHDGRDESEAPSPPDISDDQVAEPVDFENNGLLWVPPDPENEEDERESPLYDEEDNEGDASGEWGYLRPSASFGSGEYRSEDRTSEEHKKAMKNVVDGHFRALLAQLLQVENIPVSDEEGKESWLEIITSLSWEAANLLKPDMSKSGGMDPGGYVKVKCLASGFRHDSMVVKGVVCKKNVAHRRMRAKIENARLLILGGGLEYQRVSNQLSSFDTLLQQEKDHLKMAVAKIHAERPNILLVEKSVSRFAQEYLLAKDISLVLNIKRPLLDRIARCTGAQIIPSVDHLSSQKLGYCENFRVDRFLEEHGSAGQAGKKVVKTLMYFEGCPRPLGFTILLRGANEEELKKVKHVVQYGVFAAYHLALETSFLADEGASPELPLNSPITVALPDKSMSIERSISTVPGFMVSAYEKSPTMLTGSEPQRANSVPASELLSTTANLSIQKDINPLIPNGSGWQGREGTPGFTFSRYNVPLNLPDRVIAGRNADLSERSALADKSNPTIEAADILDSSLRSSGQGFVPQSSQSSTNVVVENQDNGTEVTAIQQQNSETPKEPQSQKEEFPPAPSDHQSILVSLSSRSVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQGYRCRSCEMPSEAHVHCYTHRQGSLTISVKKLQDYLLPGEKEGKIWMWHRCLRCPRPDGFPPATLRVVMSDAAWGLSFGKFLELSFSNHAAASRVACCGHSLHRDCLRFYGFGNMVACFRYATIDVNSVYLPPSVLSFNYDNQDWIQRETDEVVERAELLFSEVLNAISQIAAKGFRRRIGELEELLQREKAEFEDNIQKMMLQREVKEGQPQVDILELYRLRRQLIFQSYMWDHRLINASSLSKIESSDKNEKVPLAKSQTLPEMNVGTNSLLAGPEVDQNPDGGSTDDTKVQKEADTHLDLNPEKEDGGEVSPSKTLPDSSEPLESKLDVRRTQSDGEIVMKNLSATLDAAWIGERQTSGEIPTNTKILLPPSNSSTFPPIDLSEQQNEFKVAYPVSPALPSKDYESSDDSVSWLGVPFLNFYRSINKNFLLSSQKLDTFGEHSPVYISSFREAELQGGPRLLLPVGINDIVVPVYDDEPTSMIAYALTSPEYQRQISLEGESLVSYTSELNIPRPVDDTIFDPSRSTSSVDESILSMSSSRSLDPLSYTKALHARVSYGEDGTLGKVKYTVTCYYAKRFEALRGICIPSELEYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISTKSPTCLAKILGIYQVATKQLKSGKETKMDVLIMENLLFGRTVKRLYDLKGSSRARYNPDASGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTAFLALGDVMDYSLLVGVDEEKNELVLGIIDFLRQYTWDKHLESWVKFTGILGGPKNEAPTVISPKQYKRRFRKAMTTYFLMVPDQWSPPNVIADNSRSDQPEETSQAGTQAE >A08p017890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12445089:12445367:-1 gene:A08p017890.1_BraROA transcript:A08p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMAVEFSQGYHWVSYALEVYLLEMAPECPSLECPGCTITTATSTISRYQSYIMCAGPSWLNQLIQEEAVIDVAPDWDSSKPFNVVGSLEV >A02g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17153303:17155327:1 gene:A02g505890.1_BraROA transcript:A02g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVRTTTSPCSTSTRMYRSPPAHDESRAQPSFLWISSNPKEDETLRGAVSALYSQLKELQKKDADMKERDKMLYSKGDTDSTSKLVARDTDLPLAATLLKAYAKVEPLTIAELNYLLSLLHPRTLTSYVPGELLALTWTKGGVMLHAPNAVKLQHTVSAIAFVQCDNAHAVGTFRYRVETAIADGTAEGTFFRFDGVVTKLHSLRASEAGQMLAEGVNPEDFKMPPFTTHIEAKTYTFQFSTFTITLILDERDRVPVPDVVDNIGNDDGDDMPDGNPIPVKVETGGSSGEAAFNADTDPVGVCRRRRPTHLLRWLRRRVWLEID >A09g513150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39968599:39969864:1 gene:A09g513150.1_BraROA transcript:A09g513150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFTLLGGECVCQSVGLAYSHLITNLKATALSPKFSWSIASMPKFLEAAYFSTGYLEPTSTLSLRQLPEKELFENLFGDDTRLLFTQAATCPENITSHLYVLLLVFRQRKDVVILDANVQRTSSMHEIFSFTCIVFDKPNVVGVLRYRLGLSVSDPTDDTVFVAFDMEMTFRQLKLRSFWCECSSGQRAPQSRK >A05p042850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25882809:25885333:-1 gene:A05p042850.1_BraROA transcript:A05p042850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVDVNFPWVDKYPCNFPIWINHYIIPRSVLSTCLSPSIPYYCFVYDFATFITTGCNIRKIDQAQAKAMAQKLDAKGGEKGDVWDDGVHENVRKVYVGKGQYCIAFIKFEYVDDSEVVVGDEHGEQTQEVEEFEIDEDDYIVYVEAFREKVTQETIVALKFETYKGKTNMHIETSPGVKFVLQGGKIVGFHGRSTDVLHSLGAYVSFSSTLDSLGNWIKVEQNGEGPGLRCSHAIAQVGNKIYSFGGEFTPNVPIDKDLYVFDLETKSWSIAPATGDIPHLSCLGVRMVSVGSSLYVFGGRDASRNYNGFYSFDTNTNEWKLLTPVEEGPAPRSFHSMAADETNVYVFGGVGATERLKTLDAYNIADQKWVQCATPGESVSIRGGAGLQVVQGKVWVVYGFNGCEIDDVHYYDPVEDTWTQVETFGEKPSARSVFASAVVGKQIVLFGGEIAMDPQAHVGPGQLIDGTFALDTETLKWERLDKFGGEEETGLSIHVGIPILGNVDVSLGNVLNEEEKETPDVRGWSASTSGIIDGKKGLLMHGGKAVTNDRFDDLFFYEFDSA >A03p027380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11429284:11431016:-1 gene:A03p027380.1_BraROA transcript:A03p027380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL6 [Source:Projected from Arabidopsis thaliana (AT2G28590) UniProtKB/Swiss-Prot;Acc:Q9SIB6] MGCFGRTGKSSKRSETKKKNNDFAKHNKLEIDASCVRKSADRDSQTHPSSGDGDVILFVNCCLEGSPRGDDVNKEVPSEEDQFSLDAKDSNVEDEVSGKKAMTFAFEELSVSTGNFRSDCLLGEGGFGKVYKGLIERINQIFFWWSLDLPNGKTPLSWNNRMKIAAGAARGLEYLHDTMKPPVIYRDLKSSNILLGEDYQPKLSDFGLAKVGPSGDATHVSTRVMGTYGYCAPEYAMTGQLTFKSDIFSFGVVLLELITGMKAIYKTKARKDQTLVGWARPLFKDRKNFKKMVDPLMEGDYPVRGLYQALAIAAMCVQDQPGMRPVISDVVMALDHLASCSYDATHKDKRTNVIENRVDDEEKKVTESNVCMEEEKQEIKICSAQAN >A01p014790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7152774:7154090:-1 gene:A01p014790.1_BraROA transcript:A01p014790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable xyloglucan galactosyltransferase GT19 [Source:Projected from Arabidopsis thaliana (AT4G22580) UniProtKB/Swiss-Prot;Acc:Q9SUW1] MVSTSTVTTLTIFSFFVCFIELTVSQQISTVDSECTGRWIHIRTLPSRFNLDLLSTCNHYPLTDDLCPYLANHGLGPKTHTRTRSWYRTDPLLLELIFHRRILEYPCLTPDPNLASAVYLPYYAGIDSLRYLYGSDVNSSADHGSDLLSFLTQDSPEIWSRRSGHDHFLVMARPAWDFSQPLTVDPPIWGTSFLERPEFFNLTALTLESRFWPWQEQAVPYPTSFHPHSLPFLESWIRRVRRSRRTSLMLFAGGGGTSSTPNIRRSIRLECTNVTETEPETSSEKIKTCDFVDCSNGICEHDPIRFMRPMLQSSFCLQPPGDTPTRKATFDGIIAGCIPVFFEDQTAKMQYGWHLPEEEFSEFSVTIAKEDVVFRGVRIADVLMSIPKEEVARMRERVIEMMPRVMYRRHGASMGLMNKKDAVDIAIDGVLQKISSRG >A02p000030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7072:11382:1 gene:A02p000030.1_BraROA transcript:A02p000030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDGLVPITRAFLASYYNKYPFPPLSDDVSRLSSDMASLIQLLTLQSPPSQGEASLIEEANQQPPHKIDENMWKNREQMEEILFLLQPSRWPVQLREPCTSEDAELSSILRHLKDNFDKALAAMISFQTKNSERVFNTVMTYMPQDFRGTLIRQQKERSERNKQAEVDALVSSGGTIRDTYALLWKQQMERRRQLAQLGSASGVYKTLVKYLVGVPQVLLDFVRQINDDDGPMEEQRQRYGPPLYTLTKMATAIRVFLTLLWQRYDTFKLNKEQMNLLSEAAIVYTSEFERFVAFISDVFANSPFFISADAAGILGSRENEEYKEIIVQAGRTYEVSLMVESENSYIGWDFSLMQGKISMDIGFSVEYISASGEKTLILPYRRYEADQGNFSTLMAGNYKLVWDNSYSTFFKKTLRYKVDCIPPVVEQKVEDEDGLES >A01p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13647262:13648037:1 gene:A01p030870.1_BraROA transcript:A01p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCFKLRSFSCPSPVSSVKDVRLLNSDSFFDMKSSSHQRPKRRSSSSSTAIPALVETAVSVAIAATVVGTAATLLVRRSTKASEEAEASMKECEVCGGSGICSECKGEGFVLKKLSDENAEKARLASKNMATRYTAGLPKKWSYCTKCSSTRSCITCGGSGKISF >A10p014520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4154324:4154515:1 gene:A10p014520.1_BraROA transcript:A10p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETVRSLRSDQTKGLVGRYVATDFFTGRSLRSDRLNGLVGRYIVTGSFADRSLHGDLVWILF >A05g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22400515:22400830:1 gene:A05g507760.1_BraROA transcript:A05g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHEVTLDEDFLTALEYGMPPPASRMRPGIDRQVMLLTNSARIRDVITFPVLKVQQ >A05g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8966540:8971932:-1 gene:A05g502940.1_BraROA transcript:A05g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLFFSFENASCLRLERQCERPVPSPTSPGNMKITTFPAQEPSPLQPYSCVSSAGLAVNNHHPRRLGPTQLKRTNPPLFLLHPYEVACPVYYDPFPPRLGVTQSYRRLYLYPAVFERYGRRVSHTPHQSLGGLGILRGVHRQVLTLIGCRTGSKTARSTSPKTRKNRDLRFYLNALNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGGFVMAQLLGVTQSYRRLYLYPAVLNATAAAFPILPTSPSVVWLEILRGVHRQVLTLVGCRSGSKTARAAVSVPDLALGNLSSPMTRKNRDLRFYLNDLNAGGYTPQNREAGGLAPAIGDLGCAGGGRRRRFLGVLVAAAALESRRASLGGFLMAQL >A03p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13973534:13975813:1 gene:A03p033000.1_BraROA transcript:A03p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMYSASRLARRFCATLASAPAAIVGEAAAASSTRKTAKKQRSVYKKLSNLGSRGGKMEETLNKVAMEGIPIVKHDFVRYAKDLRKNRLPQRALEVFEWMEKKEIAFTGSDHAIRLDLIAKTKGLEAAETYFNTLDPSSKNRSSYGALLNCYCVERDEDKAKSHFNEMVDLNLVTNSLPFNNLMAMYLRLGQYDKVPALVLAMKEKNIPPCAITYSMWIQSCGSLNDLDGVEKVVEEMKDDSSWDTFANLASIYAKAGLFSKAEAALKSLEEKMNPHKRDSYHFLISLYAGISNPSEVYRVWELLKKGHPKVNNSSSLAMVQALSRLNDFDGIKKVFKEWESTCYTYDMRVANVMISSYLKENMYEEAEAVFDGAVKKCKGQLSKARQLLMVYLLKNDQAGLALKHLEAAVLDQDKNWSWSSELVSLFFLHFEKSKDVDGAEELCKTLVKWSPLGSESYTPLLKTYVAAERTCNGMQKRLEEEGIEIDDEMEGLLSKICT >A09g509180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25732307:25742359:1 gene:A09g509180.1_BraROA transcript:A09g509180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGDFGPHGLSVQYTQDVRGCPPAHTRRLWLSLSISTHISTLVLGLSTLALLVDCSAHTGHPWLSISTHISRLVLALSTLILPVDCSGDFGPRGLSVQYTLDVCGCPSAHIGRPWLSVCVRVCPRDFGPRGLSVKYTHDIRGCPSLHISARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTQDVRSCASVQISARWSLDSARWPFPWTVHDVRVCPSAHTGRSWLSVCVRVCPSAHTGRLWLSISTHISTLVLALSTLTLPVDCWGDFGPRGLSVQYTQDVCGCPPSHTGSPWLSVCVCLCPSAHPGRPWLSISTHISTLVLRLSMLALPVDCFGDFCPRGMSVQYTQDVCGCPPAHKTFVAVTPPIIFQDRTSNAACTYTASRVRPHHRVFLILFRIAGTIHGLLSCPRSWIGSSGRTAVRGNAPVRGVPYLALTAPQETSTLTAPQETSTRQSHRGINLSDRFLLQFLSINLQTDLKEFSQPKFYLHVRVITVAIRVCPSAHTSACWSLDSARWPFPWTVWVILAHVGCLFSTHRSSLGVRQHTQDIRGCPCVSVCTHWTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHKCPSAHIGRPYVSASTHRTFVAVYVCPYVSVSTHDVCGGPSVHISAHCSGDFGPRGLSVQYTQDVCGCPPAHTGRPWLSVCVRLCPSAHPGRLWLSISTHISTLVLGLSTLVLGLSTLALPWTVSVIFNHVGCLFSIHRTSVGVRQHTQDVRGCLWLSVSTHRTSMAVHVCPCVSVSTHMTFVGVRQHTQDVRVCPSEHTGRPVAVRVCPSAHTGRLLLSISTHISTLVLGLSTLALPVECLGDFGPCGLSVQYTQDVRGCPPVHTGRPWVSASTHRTSVAVRGCPSAHT >A05p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21676374:21680062:1 gene:A05p038030.1_BraROA transcript:A05p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIEMFQLLFTIVAVEAALILTLGFGTPVRRVVVKLLDLLKQGRGPLVTKTVAATMLVLFGSVLFSTIQINTRVSESGGVANPTDQVMFANRLLESFLMGTVLFLALVMDRMHYYTRELQITRRNLEVAVKKTKTAA >A01p007810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3790177:3792397:1 gene:A01p007810.1_BraROA transcript:A01p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVHMALRRQFFSTSVKSATCERLLSALSEFYRQKNINLSPKPSHKISPHVKPVTIPISLRSIFSEFRWQNNPSLVSFLQYATSVDVSSLSSLSLNPNFKDTVSCHAAVLHVLTSHGISCHVPRIFISMTKMCRSVQESMLVADFYRRFKSQLTPKYCHALLDLLAKYDVVVEMKKVYTEILEKGMVSSRGTYTPNLMIESYCKRGYVVESRQYLCKMIQAGLAPDLVTFTSLVLGHCRRHHVEAAFHVFNDMVDHGCAQCYEKLILEICEVRNLEMSERVLDQMLKEGIIPSDSVFITLVNRCCMLQEYGEAVKIVEDMIRCGHLLPFEYCKTDLGSSLRQVAPGFGRDLAGSLREVAPRAISCLREPKWRATSPCRSGKVAPSFGSDFSTSLWKVAPRSKCVSPDVKTRATLCSRSG >A02p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18733553:18737141:1 gene:A02p034500.1_BraROA transcript:A02p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPIVRSYVRSKVPRLRWNSDLHNSFVQAVEQLGGEHRATPKMVLQLMDVRGLTISHVKSHLQMYRSMKLEESMQDEILAKRSVRVTGEVTWWQFQQYLHNYQRLRGNANLFQNQLRREDEMYENIITFGESSNGFKDVPSDYFTCTSLYESSRVRVSKSKADDDNGKIVCDIGDDGNVVTVSVKSTKASKGEEESSLELTLGLKP >A01p038230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14504788:14507040:-1 gene:A01p038230.1_BraROA transcript:A01p038230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLENDDHIRLIGLKEKTSFYLQIGIRWKVATLEMQMNLNQGKLKSENRNMIFQSLLLERVVRYLLLKPNLCGMNSNASKAEWMENHKCIIGEQQEEIQSTKKLEKPQEHKLMEKPLQRELMKILSP >A07p013640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7361747:7362528:-1 gene:A07p013640.1_BraROA transcript:A07p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVKNMMCTTLTRSMPAIAFFGRMAFALVFIISAIQDYADHFGGGGGPLEKTVGPAVNVMTKYGSKVLTFYTGMQVVAFDVRLLEFSLITAKGTAALWFIFGQSMPAYFLLATQMLSTVIPFPTNLNDFTQNLTLMGALLYYIGLKHSIDNLEEGGKSKEQEKEDDKPSTSKSKAN >A09p082000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59509434:59510718:1 gene:A09p082000.1_BraROA transcript:A09p082000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNMTLEKKIKADVTMNANVGKRAYVTFLAGNKDYWMGVVGLAKGLRKVKSAYPLVVACLPDVPEEHRQILVAQGCIIREIVPVVPPENKTGYSMAYFVLNYSKLRIWEFVEYEKVMYLDGDIQVFGNIDHLFDTPSGYLYAVKDCFCEISWCNTTQYKIGYCQQSPEKVTWPVETLGSPPPAYFNAGMLLFEPNLVVYEDLLRVVQITTPTYFAEQDFLNMYFRDTYKPIPSTYNLVLAMLWRHPEHVDLNQIRVVHYCANGSKPWKYDETEEHMEREDIKMLVKKWWEIYEDPSLDYKNFMETESKLNPITTAVLATKESDDGDVLTSLAPSAA >A06p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:220159:223310:-1 gene:A06p028570.1_BraROA transcript:A06p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLVSKLHVKHQEERGVAKFKSWRQHPKRSVQIMAQAMSLFGGSKTIDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLVSKLHVKHQEERGVAKFKSWRQHPKQSVQIMAQAMSPFGGSKT >A04g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22295338:22296559:-1 gene:A04g508480.1_BraROA transcript:A04g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGIAKSRIQLYLILLVRYCPLWVLEAGPHGFTFGFLPKRPRTIRTFLCLISDVGLNLLSHILPLKLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITDLRQPGSDTNCWDCEIPYPTLSYLISTILSTLGLGGRPKWIYFWFPSQKASITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEITFLCLISDVGLNLLSHILPLKLRITSISSVSFGESSLAPPCTGNRMASFENV >A09p063470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51536007:51537524:-1 gene:A09p063470.1_BraROA transcript:A09p063470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin 4.1 [Source:Projected from Arabidopsis thaliana (AT3G57540) UniProtKB/Swiss-Prot;Acc:Q93YN8] MLTLYSQESSSTEITTTSTDASDSRDETPPSETVVRDIHAMTTTELPRPQQRGGHLSPTRSVNFSDGASSAGENFTTVSREFNALVIAGSSMDNNNNNNGNNQSISHRDVINELTRISEDEDGGGGDQHHQVPEEDTNPWAIVPDGYDNRAGSENIVTTTPSSGQNRMVTAASVQRVKRDEVEAKITAWQTAKLAKINNRFKRQEAFINGWLNEQVHKANSWMKKIERKLEERRAKAMEKTQNKVAKAQRKAEERRATAEAKRGTEVARVLEVSNLMRAVGRPPAKRSFFSIS >A09p067920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53415196:53416463:1 gene:A09p067920.1_BraROA transcript:A09p067920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRGVASNISGTQLRVSVAIYLLLSLATTITTADYYSPSSPPVHHSPPPVQKPTLYSPPTYNPTLPSPVYTPPAYKQPHSPPAKIMCSEPGSYGKDKKDVVIYSDPTDSKGYFHVALTNIKDLLHCRVKLYTSPVGTCNNPTNVNKGIAGVPLSMYGYRYHSDKNLKIFSVGPFYFTGYKPALTTPKY >A01g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20377847:20381447:-1 gene:A01g506950.1_BraROA transcript:A01g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TENALDAAEGISREAERRGCPASIVSNDEFDSVWQGDSPDSFKEFWRFLLQRNLGNSWLQRVRFAVFGLGDSGYQKYIISQMVQIERSGATTDVMKNYFIGSVLSGGGSVPSPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNMNGATIFLHNVGLGVTRQSNHIVSLKVLFKTQLQQSQVEHQLRREVEIRSHLRNLNILRLYGYFYYQKRVYLILEYAARGKLYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGSQSSGCVACYREPRSTKTPLNDPLSNSVSQTVKNLSSLKISDQQAQLIWITSPFLLREEAYHPARLLNLNLFPETQIRCLSLPSSLESDNWSAASYDSLKNAEVMRPLITVSSLSRAALWNGALRSKNAHFVQHPTPTQVYFVCTTDF >A04p013390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4406790:4407296:1 gene:A04p013390.1_BraROA transcript:A04p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGVSFISLLFKKPVSWLSFIAPPLLHIVGLDFSQIILTSAAVFFSSFFFSSFLFPLTIQKPNPMIDSKVQAKDLGQQDTLGNIEISTSTDDIVRNKDEEEGTIPDEESLIELSLPSGHYVGQQFSTMTVHQDFGHIQLLAESEDDNLIEIDISIGSIKCSRFQFKA >A09p019510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10335056:10340878:1 gene:A09p019510.1_BraROA transcript:A09p019510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLSIGGRRFALLTTNKIWCYHNKLESAIYNALEKAQRERERDLLFQVLELSPPISSPLAMELPPKVKNILLLDSEGKRIAAKYYSGDWPTNTAKEAFEKAVFSKTQKTNARTEVEVTALENNIIVYKFVQDLHFFVTGGEEENELILASVLQGLFDAVNLLLRGNADKREALDNLDLIFLCFDEIIDGGIVLETDANVIAEKAGINSIDPNAPLSEQTISQALATAREHLTRFLLLFSSVLKKTATESILQIVHDTMAASSSTILCNASESDPCRDDSAAFLLKLVAIASILLSGAAGVSVPLIGRNRRFLQTDGSLFVTAKAFAAGVILATGFVHMLAGGTEALKNPCLPEFPWSKFPFPGFFAMVAALITLLVDFMGTQYYERKQEREADDDQLQGLVVPLVGEGECNVEKVFGEEDSGGIHIVGIHAHAAHHRHSHPHGHGSCDGHSKIDIGHGHGHGHVHGGLELGSSARHVVVSQVLELGIVSHSIIIGISLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPIGIGIGTAVASSFNSHSVGALVTEGVLDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQIVSYLMLFLGAGLMSSLAIWA >A09p081440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59264137:59265031:1 gene:A09p081440.1_BraROA transcript:A09p081440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAWTKTMVMIMMLTWTISAKEQLSGKECEDLGFTGLALCSDCHSLSEYVKDQELVSDCLKCCADDSEDSMSKVSYSGAILEVCMRKLVFYPEIVGFIEEEKEKFPSVNVQYIFNSPPKLIMLDEDGEHKETIRIDNWKREHLLQYMREKVKPTSASL >A03g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:580733:584186:1 gene:A03g500170.1_BraROA transcript:A03g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRHPATVNLLHQTRQTLTCEVSIPGCASFTYTAVYAANTRAERYDLWADLLNTYQAFSLQLVPWILGGDFNEITTHYEHSLRDVNSVTPQMIEFTDCLRQIGVFDLRFQGPLYTSSNHRPEMPIAKKLDRQLVNSTFISSFPNSTTYFLPSLTSDHCPSLTDLAQQLPVYGTKPFRFFNYLTKHPQFNQLVLEAWNEAGSVATTLTNLCWKLKTVKRVLKTINRENFSNIQVRVCEANTLLQAVQRPQIPSLPEWFQETIGHWALPPARSDEFLQVQIYLTTLQITEEEDRHEWVLTGSPSTRYITGEVYKKLRGEEEEVTWAGIVWTSGSIPKHSFLTWLFILNRCPTRDRLIQWGYRTDSACLLCNGDVESRDHIFFLCPYTWELWKTVSGRCGIIPARAWNDSVDQMKNLAGNRLRKRLTCIAWQATIYWIWSERNNRLHRRQFRSSDGIFTLLDRQIRDKILSFRQQSPVNASKLMQMWL >A03p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:231656:234072:-1 gene:A03p000200.1_BraROA transcript:A03p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 7 [Source:Projected from Arabidopsis thaliana (AT5G01760) UniProtKB/Swiss-Prot;Acc:F4KAU9] MRPSSSSSCCSASPSLTLSSLSVAVDKATSELLLTPDWTIIIAICDSLNSNRGHCKDAIKAVKRRLQHKSSKVQLLTLTLLEAMLKNCGEFVHSHIAEKHVLEDMVKIIVRKKGDFEVRNKILILLDTWNEAFSARKYPHYNWAYRELIRSGVKFPQRSKEAPLMLEPSSQSSSSSSSSSMNLMSIGSFKRLDEAMATEIESLSLSNLESMRTVMDLMSVMVQAVNPSDKSALKDDLIVDLVEQCRSNQKKLIQMLTTTSDEDVMARGLELNDSLQVALARHDAIASGVSRPMLQAAPETRDTTCSVLIKTGDADAAALEADPSSSSSSSENETYEEGDDVKNDFIQLTKSHALLNAEEEEEETLLLGNNNGNTAETEARTECKDLALFGTATSKSEQDIIELLSLTLSTTALPSPQTQAPPNTRFLADDHILMNSYVVPWAQSQAEAQVPKMAQFAPLGPQFQQQQQQQQFSFGYPQAQWNGGQANNYNDTTLWRQGGSENKVFERNLQYSNSFPARGGAGGPSGAEVEGQAQQQPQRPYVPPYKLFEDLNVFWKGDGGVRSSK >A02p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25766387:25768872:1 gene:A02p040810.1_BraROA transcript:A02p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVSPLIVNTTSRENYMAADFSDFTTEGLPDFTMVGEGSLDLLGGIDYYDDFFIGFDGDDALPDLEIDCDILGEYSGSGRDDEQEMEGNSSTASETSERDGGVVKLDGGDSTHKMVRRGKRKGKKNKDCLSVDNEIKKKPKVDWTPELHRNFVQAVEQLGIDKAVPSRILEIMNVKSLTRHNVASHLQKYRSHRKHLLAREAEAASWNLRRHATVAVAGLGGKKPWMAPALGYTPNVTQMHHGHFRPLHVWGHPTWPKHKHNHPSSSTHRTYQIPAVAAPPSSWPGQPPYWHQQALYPQGYGMATPNHSMYNNKSETSIGVPTRQLSPTTNPPIDIHPSNESIDAAIGDVITKPWLPLPLGLKPPSVDGVMTELQRQGVPNVPPLP >A10p036900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20937070:20938547:1 gene:A10p036900.1_BraROA transcript:A10p036900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-binding protein 7 [Source:Projected from Arabidopsis thaliana (AT5G06560) UniProtKB/Swiss-Prot;Acc:Q9FG14] MDLVRCCDCGCDCSLNASWIRSVKRKHDELENYKPELDLDSSSSNAKVQIENECELLRETVTSQQESIQELYAELDEERNAASTAANEAMSMILKLQRDKAEIQMELRQFKRFAEEKMEHDQQELLAFEDLVYKREQTIQALACEAQGYKHRMMSYGVDESEFDGERNEFEYELPAYDYPPLKCSLNENPDLEADVEDVEKYALTDSSPHGREDLKSLEQRISQMESGDVSGGGRTYLEKEVVGQSPSSSRDQGPDLSETGDNEMDDRVYTIDSIHHSVSQSDVSEQKFKDDGYGEKLNQPDDHSDPGITKLYARLQALEADRESMRQAIVSMRTEKAQMVLLKEIAQHLSKDMVPERRLPLQKASAVGAFSFISLFKWITSFLFWRKRARRSKYMNGMQGSNMGLQILLETTPRIRQWRCLSRTQV >A04p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2553910:2556948:1 gene:A04p004930.1_BraROA transcript:A04p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRSFFLLHLIIIPLLFFVSPGSSQAWDGVVITQADYQGLQAVKQEFIDPRGVLRSWNGSGFTACSGGWAGIKCAQGQVIVIQLPWKSLGGRISEKIGQLQALRKLSLHDNNLGGSIPLSLGLIPNLRGVQLFNNRLTGSIPASLGASRFLQTLDLSNNLLSEAIPMSLADSTKLLRLNLSFNSLSGQIPVSLTRSSSLQFLSLDHNNLSGPVLDTWGSTNNTSPSLRVLSLDHNSLSGPFPFSLCNLLDLQVFSFSHNRISGALPSELSKLTKLTLLDLSQNRLTGEIPDSITDLKSLTFFNVSYNNLSGPVPTLLSQKFNSTSFVGNLGLCGYSVSTPCPTINPPSPSPTKSSGRNLSTKDIILIASGALLIVMFILVCILLCCLLRKKPDKSKPKGLEAGPGTAKKTEKGGEAEAGGETGGKLVHFDGPLAFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKITKGQKEFENEINVLGRIRHPNLLALRAYYLGPKGEKLVVFDYMSRGNLATFLHARGPDVHINWPTRMSLIKGMARGLFYLHTHANLIHGNLTSSNVLLDENNNAKISDYGLSRLMITAAGSSVIATASALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPSEALNGVDLPQWVATAVKEESTNEVFDVELLSDVNTMGDELLNTLKLALHCVDPTPSTRPEAQQVMTQLGEIRPEEMAAVTTSEPLIDVPEASASTKSGDWQERAKVRKLMEERKVKKEAGYSWMEVKNKTYVFLAGDRSHPLC >A02g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26849003:26849271:1 gene:A02g510010.1_BraROA transcript:A02g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAIKYLECSSKTQQNVKAVFDTAIRVALRPPKAKKKIKPLRTKRSRTCFFF >A01p045520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25702365:25705497:-1 gene:A01p045520.1_BraROA transcript:A01p045520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQGHSFTLIFLLATLISLGESNTNRKLLQTPNNYQPLYSPLPSPVYSTPVDLPPSPSSVYSPPADLPPPPSQVYSPPADLPPLPTPVYPPPVDLPVPPTPIYLPPQAYKAFYYRKSPPPPPPPLSRQWVVTGLAKLQGKDDLASSIVGTPNMCPELLADIPHGYKSDIWSLGCCMFEVAAHQLAFKAPKKSLRSDERAEALESLLELMQVHLGKKSLNNSEGVLKPFGDESVSSREIAIWLTKSLMNVKRKRNDNETNP >A08g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21582557:21583570:1 gene:A08g510030.1_BraROA transcript:A08g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRRRLLRQFFFSLSSFPTVNRFFSDQIQSKSTLRERSILVLRLCGRACVAGEAKLPSDPSPKNEEEAMAGVGPMTQDWEPVVIHERVPTELKKAIMQARGEKKLTQSQLA >A05g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23574815:23575085:1 gene:A05g508060.1_BraROA transcript:A05g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTPLQLVQSSIEKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAGTNLSCVVVWM >A08p042660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23882120:23883206:1 gene:A08p042660.1_BraROA transcript:A08p042660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGGVSCLRSSEMLNVGIGGMEPPPLDLDEVHVLAVDDSLVDRIVIERLLRITSCKVTAVDSGWRALEFLGLDNDKASDEFDKLKVDMIITDYCMPGMTGYELLKKIKESSSFRQVPVVIMSSENVLTRIDRCLEEGAEDFLLKPVKLADVKRLRSCLTGDVKLSNGNKRKLPEDSVSVDTSLPPPPPPLSLTLSTDSSDSSPPLSPVEVFSSPLSSPEDDDDVLTSSPEGSPMSEESPIRRQKMASPGLD >A02g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20885380:20886432:-1 gene:A02g507420.1_BraROA transcript:A02g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDKKGRGRLNWRSSHAHLRLQRAVFYFQKMIIPSEARWRAGRCRAKEYPGDDIPGAGAVTTKLSQGVTREAPETSIGMVEGTTTATAVLPPEPVPSGFTAERSPLQEDTLLLFFSPFSFLSHCEILI >A09p040950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23151425:23155076:1 gene:A09p040950.1_BraROA transcript:A09p040950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGTERFNGRVGGSYPHNGASSSEHIAIGIRNGVGGATQHGKTNRWRRSVVRPERIRRLGIGSVVFVICLVLVLTVLAYYYISGFTNTGYDDKDSYEGDFLANVTRIDPEKVLEFGQGSVVHGRDSRYWDKDDRRRDDDYNEDDGVEHLSEDKHVVAEVTKVPVKGNVGFYNEAGRNELNKYEAEYQASLVNGGGGGDHEAVDVDPNDDDAIDSQGDEYVDSGHDEEDTHREKKPTEVLSKDHTEEKDSSKRPLEDSSLVSTGGKSGKKSRSDTKRRGRGRRSSGASCDMKLLNSSQPIVEPLNTRKSARFSLQYIENEDKPDGEEQWEPRFAGHQSLQEREASFFVQDKKIHCGFVKAPKGSPTTGFDLTEDDTNYISRCHIAVISCIFGNSDRLRPPANKMISRLSRKNVCFIVFVDEITMQTLSAEGNAPDRAGFIGLWKLVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQLDPLLILEYFLWRKGHEYAISNHYDRHCLWEEVAQNKKLNKYNHTVIDQQFEFYKADGLTRFNASDPFKLLPSNVPEGSFIVRAHTPMSNLFSCLWYNEVERFTPRDQLSFAYTYQKLRRMNPDKPFNLHMFKVKKDINNNKLFDARLKQWVALPPFDCERRKIAKLFRHRSEEKRNLIQAALQQ >A03p052210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20188873:20190223:-1 gene:A03p052210.1_BraROA transcript:A03p052210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKKVADVAFKASRTIDWEGMAKVLVTDEARREFSNLRRAFDEVNTQLQTKFSQEPEPIDWDFYRKGIGSGIVDMYKEAYDSVEIPKYVDNVTPEYKPKFDALLVELKEAEQKSLKESERLEKEIIDVQEISKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >A03p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1756006:1758806:-1 gene:A03p004080.1_BraROA transcript:A03p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQISCERLYLAAVTDLVVSSSSSFNSDMSKASVILYITVGILVLFLVSYSPKKNSDHDHHHHGGGHGQHHRLKLRSSFNFKPTRHDPIPFDPLVADMERRREDKEWERQHIDHSHPELATHDPAPGHESQPEWEDFMDAEDYLNDEEKFNVTDRLISLFPKLDVSPTDGFVTESELTEWNMQSSAKEVMHRTQRDMDVHDRNKDGFISFSEYEPPSWVRNSGNDSFGYNMGWWKEEHFNASDANGDGLLNLTEFNDFLHPADTKNHELLLWLCKEEVRERDSDKDGKIGFEEFYHGLFDTVRNYEEDNHNATHPYHDLPEDPAKQLFSQLDKDGDGYLSDVELLPIISKIHPTEHYYAKQQADYIISQADSDKDGRLTLAEMIEHPYVFYSAIFNEDDSDDDYGFHDEFR >A03g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30447148:30450316:-1 gene:A03g509430.1_BraROA transcript:A03g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALYWSDLLHRGSAPAPSSTCRRQLSRCGATWCSEVDGLKGQEELCFINANGTWYKKEPNFQYQNNYQQRPLYNNQQGGYQANQSPQTQGSSSQAQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNKFVVATAEAQIVMDAAIKTTKLKWLSFWTSPL >A02p024950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12534935:12536501:-1 gene:A02p024950.1_BraROA transcript:A02p024950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REEMYVMSAKNKRVSIQLLGGDKIQELNSASLPFLEAEHFLTLLVSFASSVPDLKLLDLTGNLISVWEFMIDHLFLAFRKWVLFDFVNKLVRRWSQKSGKPSTLLGQSYSMSRMVMSKLNDKPEEIELLHPALDNRFKELKKLHGLDVERASAENPLVWTYLHYSQMRWSIDRGKASIDRQVSRQYHGYN >A09p015790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8207703:8208723:1 gene:A09p015790.1_BraROA transcript:A09p015790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMGVHDERSKRRIIGAVANFHGVTTITMDSKDGKLTIIGDFDSRKILTKLEKGWINAEMATFGPYDPKKEAESAAAAAEKKRMEERERERLEGSRGNHNFYGPTPTPTHHQICVCTHDPYQGCVIS >A04p028210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17016992:17018718:1 gene:A04p028210.1_BraROA transcript:A04p028210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTIKLTIKFGGRSIPLSVSPDATTKDLKSLLQPITNVLPRGQKLIFKGKVLVETSTLKQSLVGDGAKIMLMASQGLHQGEGPVLKEASTRPISRTVVAQNKPGLVVDKNRADRWKATGVIALAQANLKEIPEEVWECGSAARVLDVSENFIREVPARISSFTSIHKLFLQGNGLSDESIQWEGIASLKRLMLLSISHNNLTVLPSEVGSLTSLRQLDVANNKLTSLPNEIGLLTQLEIFKANNNRITSLPESIGDCSFLMEVDLSANMLSELPETVTKLRKLKTLELNNTGLTNLPSVLFKMCLQLSTLGLHNTEITVESLRQLEGWSEFDERRRTKHQKQIDFRVVGSGQFDEGADKSW >A03p045920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19302139:19304001:-1 gene:A03p045920.1_BraROA transcript:A03p045920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQQQELTQEQIMEFKEAFCLFDKDGDGCITADELATVIRSLDQNPTEQELQDMINEIDSDGNGTIEFSEFLNLMANKIQETDADEELKEAFKVFDKDQNGYISASELRHVMISLGEKLTDEEVDQMIKEADLDGDGQVNYDEFVRMMMASG >A06p011110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3944914:3948266:1 gene:A06p011110.1_BraROA transcript:A06p011110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRWIAFNPSKISRVLSPFSSLFSTKLSSSSVAKLDDEPLSATNFTSDQRDYFGHEILFGMKKIGFREYLHGGQFRSVASELRQVHVEEIMCELMGESPDLSVWFFKELKDVYGFRHSRLSSLLVSHILAGQRRSGSASLLCELLLSTSFRKWESTNVVWDMLLFLSSRSKMVDDSLYILEKMRDLNLSVSTQAYNSILYNFRETDKMWDVYKAIESKNEHTYSTIVDGLCRQQKLEDAVSFLRDSEWKDIGPSVVSFNSIMSAYCKLGFVDTAKSFLCTVLKCGLVPSVHSHNILINGLCLAGSIGEALELAGDMSKHGVEPDTVTYNILAKGFHLLGMIKWVWEVIQQMLDKGLTPDVITYTILLCGHCQLGNIDKGLRLLKDMLSRGFELNSVIPCSVMLSGLCKTGRIEEAFSLFYRMQANGVRPDIVAYSIVIHGLCRLGELDLAIWLYDEMCAKRILPNSRTHGAMLLGLCRKGMILEARALLNSLISTGCTLDIILYNIVIDGYAKSGCIEEALELFRGVIESGITPNVATFNSLIHGYCKTQNIAKARKVLDVIKLYKLVPSAVSYTTLMNAYANCGDTERVDELRREMKANGISPTNFTYSVIIKGLCIGRKLEKYNQVLRDMASEGVTPDQITYNTVIQHLCRAKDLFRAFELLEEMKSRNLEPTPATYDILINGLCFYGYLKDAERFLCSLQERDVSLSKFAYTTVIKAHCVKGDPEMAVKLFLQVLDRGFDVSVRDYSAVINRLCRRELAKEAKFFFCLMLSRGVSPDLDICRVMIKSSDVLGWTIKAEKLSQEEINLSYRMVPSGANCINISFFNGSLSLMYCTVDKAPCKAALGFPQQTQSVIGGFASRFFGMGLKSLSLFLTVTSCKVVVLGVRTYLVNV >A09g514740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43975845:43976587:-1 gene:A09g514740.1_BraROA transcript:A09g514740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGDLDAMRALRSRSVRILVKGYDTSLHVDVVKSQLAKHFSSCGEVVFLSIPYVVQDGTPLPTDSAEEKALQLSGSEMGGRKIVATSTHEEFIKLTPRMAARLAEAKRTAASVIFSVTGYDISLLAADIKASLTNRFSSCREIVNFELYPMKYFPLTDQFVPSSSIFCFPPCNVQTIDC >SC179g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:246326:248752:1 gene:SC179g500120.1_BraROA transcript:SC179g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVT >A03g504390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:15497474:15497701:1 gene:A03g504390.1_BraROA transcript:A03g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVEGVVEEAVDTVVEAVVVVEAMVEDVSMDTMVVVSGGGCRIFIHKTLNIRPHPQDLHAQNLDSCVHIFPCP >A07p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12130151:12131376:1 gene:A07p020590.1_BraROA transcript:A07p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYWNQQRQHQPPMSSISHGGPPKRPRSDFGHDMHSYVSRDEDRGGNPHAVKDTRTIGSAYDLYLQSVQTSSTPSEEAGRFNNGVGMGRRGGDGVMPSPSMGELMAGRGGMLPPDFGRGLGFGQQDLVGRPSRELLRLPPDASNTLFVEGLPSNCSRREVSHIFRPFLGYKEVRLVTKDSKQRNGDPIVLCFVDFENPACAATARTALQGYRMDENEPDSKSLQIQFSRNPGPRPGQRGGRR >A02p054150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32937887:32938358:-1 gene:A02p054150.1_BraROA transcript:A02p054150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEEGQITELYVPRKCSATNRMITSKDHASVQLNIGHLDADGIYTGQFTTFALCGFVRAQGDADSGVDRLWQKKKVEAKQI >A09g517470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51912136:51912769:-1 gene:A09g517470.1_BraROA transcript:A09g517470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDKVEYDRYSSISLSLSLSLRRLHSIYLHLIHHGRPKRAFECGPHCYNARRDESSSRWSHKRPRSPQEEERRSNRSVQSPSQENRNG >A01p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24964744:24965095:1 gene:A01p043300.1_BraROA transcript:A01p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPGRAEQPECLFQRQKFLRKLQPLSGFSLISLFSLPAACGFDISSFSKPYSRTPLLGFAILKRETAPSLSSREDYPEPSFCYVILMQYYSVLMLVFSCVMAE >A04p029880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17808801:17813110:1 gene:A04p029880.1_BraROA transcript:A04p029880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAIAKVLVFSFPIQGHINPLLQFSKRLISKNVSVTFLTTSSTHNNIIRRSTAGGATALPLSFVPLDDGFEEGHPSTDTSPEYFAKLEENVSRSLSQLISSMEPKPNAVVYDSCSPWILDVCRKYPGVAAASFFTQSSIVNAIYIHFLRGEFKEFQDDVVLPAMPPLKGSDLPVFLYDNNLCRPLFELISSQFVNVDDIDFFLVNSFDELEVEVLEWMKNQWPVKNIGPMIPSMYLDKRLAGDKDYGISLFNAQVNECLDWLDSKPPGSVIYVSFGSLAVLKDDQMIELAAGLKQTGHNFLWVVRETETKKLPSNYIEEIGDRGLIVNWSPQLQVLAHKSIGCFMTHCGWNSTLEALSLGVALIGMPAYSDQPTNAKFIEDVWKVGVRVKADKDGFVTKEEIVRCVGEVMEETSKKGKEIRKNALRLMEFAKEALAEGGNSDKNIDEFVAKIASLLWYEQRENN >A02p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4992695:4995103:1 gene:A02p011510.1_BraROA transcript:A02p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSFLLHFILFVFICSDSASSRILNKPSNFSSSASLPSGNAERLIRSFNLMPEHDVNVIAKGSPDGPRLVESQINFQEMIGMRNTSGGPSVQEFGHYAGYYSLTRSKSAKMFYFFFESRTNNTDPVVIWLSGGPGCSSSVALFYENGPFTISDDLSLSWNDFGWDKVSNLIYVDQPVGTGFSYVSDLSDLRHDETGVSNDLYDFLQAFFKEHPKYAKNDFYITGESYAGHYIPALASRVHSGNKNNEGVPINLKGLAIGNGLTNPEIQYGAYGDYALEMKLISESDHESLKQDYVDCQSVTKQCNLNGGEECTSAYRTCTNIFDQIMSKIEGTNYYDLRKKCVGDLCYDFSKMETFLNQENVRKALGVGDVKFVSCSTTVYDGMLEDWMVNLAVKIPALVEDGINVLVYAGEYDLICNWLGNSRWVEQMNWSGQKDFGAAKTVPFLVDGKEAGLMKNHGPLTFLKVHDAGHLVPMDQPKASLQMLQTWMQGKLAAPGATGRP >A02p029990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15215666:15216794:-1 gene:A02p029990.1_BraROA transcript:A02p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRRSAASLFDHSQSLLRNLSFHGLRVQGIRVGNAEVPNHKPLKTGLQEVYGIGRRKSHQVLCGLGITNKLARDLTGKELIDLREEVGMHQHGDELRRRVGSEIQRLVEVDCYRGSRHRHGMPCRGQRTKTNARTKKGKRVAIAGKKKAPRK >A07g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16059436:16060083:-1 gene:A07g506610.1_BraROA transcript:A07g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRWSPAWERGGRRIITEVVEVEDVRERPPERLFATDRFPCERVNVYLAVDRVLWATDVLDETQIGDVLNGTPGMTKLMAACQIIDGATRKGEVFGMKKILIKMSITCLIC >A08p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:428112:430884:-1 gene:A08p000680.1_BraROA transcript:A08p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVKILAVCLCVATVTVVMVQAEDPYFHHVWNVTYGSASPLGVPQQVILINGQFPGPNLNSTSNNNVIVNVFNNLDKPFLITWNGIQHRKNSWQDGTAGTMCPIPPGKNFTYHFQPKDQIGSYFYYPTTAMHRAAGAFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTKSHTQLKKFLDSGRTIGRPDGILINGKAGKGDGSDQPLFTLKQGKTYRVRICNVGLKTSLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFGVIVTANQEPKDYYMVASTRFLKKALATTGLLRYEGGKGLASPQLPAAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKIDGKLRYALSGVSHTDPETPLKLAEYFGVADKVFKYDIISDNPTSDQIKNIKIEPNVLNITHRTFIEVVFENHEKSVQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNIRSENSERRYLGQQLYASVLSPEKSLRDEYNMPESSLQCGLVKDKPKINPYAGA >A06p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3367812:3369221:-1 gene:A06p009580.1_BraROA transcript:A06p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLALSLYVPYKYEQGLYILRRAEDSNALDLNNLPDDPSRDFFPFFEEGSSSSSPGGFREKQIKDDQKEYQCRFCSLKFFKSQALERETESLNKARELVLRNDTFPPHQGPPSFRRCAYWRPNNTIQTNDVSTKIILPLLSSSPATTTTCATLYVPPPARRPSSFPPRHTNDYYLYNNGTHHQTLTNSGCGGRAPPDSSYSFIGAPVANGSKVDPPISHPLPPHHGI >A02p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:329767:331199:-1 gene:A02p000550.1_BraROA transcript:A02p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPTYPDSSNWQQQHQPITTTVGFTGNNNISQQFLPHHPLPPQPQQTPPPLQHNGNGGGGGGPGGPGGLIRPGSMAERARLANIPLPETALKCPRCDSTNTKFCYFNNYNLTQPRHFCKACRRYWTRGGALRSVPVGGGCRRNKRTKNSGGGGASSSSGNSKSQDSTTSNDQYHHRAMANNQMGPPTSTSLSSLLSSYNAGLIPGHDHNNNNNNILGLGSSLSPLKLMHPSDFTDNFTLQYGAVSAPSYHTGGGSSGGSGGGGASAILTGLDQWRFPATHHQLPLLGGLDNSSSSGLYPFDHQNPGYGLVTGSGQYRPKNIFHNLVSSSSSASSAMVTATASQLASVKMEDSNNQLNMSRQLFGNEQELWNIHGSAASTAAASTNSWSDVSNNFSSSSTSNI >A06p036970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20036928:20038021:1 gene:A06p036970.1_BraROA transcript:A06p036970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHCYLEGNADAVEFCPHEPHANLLAASTYTLQEGDLPSRSGSVYLFDVEHSRLNLLHKVDTTGVFDIRWSRGGGGSLALAQADADGCLRIYKVDDTEDKGYCLREVAGEKISSSMCLYHDWDQSSTSIVVGLSDGSASVVSLTDSNLETVQEWKGHDFEVWTASFDLNNPSLVYTGSDDCKFSCWDIRDNPGDNRVFQNSKAHTMGVCCVSPSPSEPYSVFTGSYDETLRVWDTRSVSRPVNEVSVSLGGGVWRIKHHPSVRGVVLAACMHNGFAVVKVGGGKGEVMENYSKHESLAYGADWYKGKDQKRSLVATCSFYDKLLRLWKPETAFEI >A03g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14636086:14636474:1 gene:A03g504080.1_BraROA transcript:A03g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKTLNIGSLLNLVPYEGVNEIMMESKGGNTKSSSSSLFYEAPLGYSIEDVRPNGGIKKFKSSVYSNCAKRPS >A06p024220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15600732:15602173:-1 gene:A06p024220.1_BraROA transcript:A06p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEREKLWREVRELSLGSEIDRLHSPPSPLHFLRDYVSQSKPCVISNAISHWPALKLWSHPAYLSGALSNDYVSLHLTPNGSADAVTPVTSAGDLCFASAHVEKVPFPEALQAVRSSSSKRETVGYLQQQNDCFRTEYSTVASDCDGDIAWATEALGCSPEAVNLWIGTHLSVTSFHKDHYENLYAVVSGEKHFLLLPPTDVHRLYVQPYPAANYSYHRDTETFKLEIEQPLRHVPWCSVDPYPSPEREASERLKYPFFFDGPKPFHCTLKAGEILYLPSMWFHHVSQTPGDGGYTVAVNYWYDMQFDIKYAYFNFLQSVSYESSSLSPILSWREDEDSESGDGEV >A10p029170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17929710:17931689:-1 gene:A10p029170.1_BraROA transcript:A10p029170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLINFILTNGHCCWRALPKLSGLLRCGKSCRLRWINYLRPDLKRGLLSDCEEQMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPMTHKPLPEQDGSQQAQGSKSLVPHDDKNKKQDQEDKQTKEKQEHHQLGIDLDKSNKTISTDDGFCIDEVPLLDPHEILLDISFADHHTSDENAHINISNSTSPSSSSTSSCISSVPGEEFSKFLDEMDTIDLKWLSSDHSSEDNIIYKDDKFHNNVDTMNLWDINYLSSLELFMNDHDDGFVGSGCSRMV >A05g502190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6922305:6922580:1 gene:A05g502190.1_BraROA transcript:A05g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEENTKHKRRSIPESEQQLVEKPTIGDVKKANGKLVVDMEKTQKKIKQISEQKDFENQARGSLSKRESHDFKGNSPYKIVQFVHEEEIQC >A07p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16143067:16144593:-1 gene:A07p028590.1_BraROA transcript:A07p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHCPTPLPSVTKLQVDSVTFLPSVISPASSNPLFLGGAGVRGLDIHGKFVIFTVIGVYLDAVAVPSLSVKWKGKTTEELTESVPFFREIVTGSFEKFIKVTMKLPLTGQQYSEKVTENCVAIWKSLGIYTDSEAKAVKKFLEVFKDQTFPPGASILFALSPNGSLTIAFSKDDSIPKTGKAVIENKLLAEAVLESIIGKKGVSPGARLSLAERLSQLMKENKVEKDATKTDNQDEANDVSLGDKLAKEN >A09p006230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3292270:3297685:-1 gene:A09p006230.1_BraROA transcript:A09p006230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGSHFHGSPFTFTTTRNSSLPSLVHFTRRPAHLPRRSHRLKISPSRLTCCSSASSSTIEEQRKNRDGSGPKVKLNVRLDRQVKFGEHVAVFGSAKEIGSWKEKSPLAWSEKGWVCELELSGGEALEFKFVVVKKDGSLSWESGDNRVLKLPKSGSFSVVCHWDATGEALDLPLVDDDGGGEESSDSHDVGGGDNGAQLRKSTLGGQWQGKDASFMRSNEHGNREVGRNWDASGLKGSALKMVEGDRSSRNWRKKLELVSEVIVGSVEREERLKALIYSAVYLKWINTGQIPCFEDGGHHRPNHHAEISRLIFRELENICSKKDATAEEVLVARKIHPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLERITETPGKYSGDFVEQFRIFHNELKDFFNAGSLTEQLESMKISMDERGLSALKLFFECKESLDASGESSSVLELIKTMRSLASLRESIIKELNSGLRNDAPDAAIAMRQKWRLCEIGLEDYFFVLLSRFLNALESMGGAVQLAKDVESRSVASWKDPLDALVLGVQQVGLSGWKQEECLAIGNELLAWRERDLLEKEGEEDGKTIWAMRLKASLDRARRLTSEYSDLLLQIFPPNVEILGRALGIPENSVKTYTEAEIRAGIIFQISKLCTVLLKAVRNSLGSEGWDVIVPGSTSGTLVQVESIVPGSLPSTGGGPIILLVNKADGDEEVSAANGNIAGVMLLQELPHLSHLGEKIVFVTCDDDDKVADIRRLVGKYVRLEASPSHVNLILSTEERSRTPKSKPKSKSNASKKTDKKSLSKDDEESKPLSSSSDSLLISSKDIPSGGIIALADSDASTSGSKAAACGLLASLAAASTRVDSENGVPASFKVPAGVVIPFESMELALKQSNSEEKFASLLEKLETARPEGGELDSICDQIHEVMKSLQVPKETINTISKTFPQEARLIVRSSANVEDLAGMSAAGLYESIPNVSPSDPLVFSDSICQVWASLYTRRAVLSRRAAGVSQREASMAVLVQEMLSPDLSFVLHTVSPADPDSNLVEAEIAPGLGETLASGTRGTPWRLASGKLDGIVETLAFANFSEELLVSGKGPADGKYVRLTVDYSKKRLTVDSVFRQKLGQRLGSVGFFLERNFGCAQDVEGCLVGEDVYIVQSRPQPL >A01p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1919067:1919753:-1 gene:A01p004490.1_BraROA transcript:A01p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSAGSAARSFVSAAARAPSLRPPPATLPRLRPSQSSLPRRRFTNPRNLGELGCTQSLLPLHNVVASARLISHLNLNVRAFCELPNGNGKDG >A06p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5055214:5058699:-1 gene:A06p002630.1_BraROA transcript:A06p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVYSRGYIKWANPGLSHLSNPSASPSLASTRYSVSTFAMYRTAASRAKALKGSLSRGLAPARYASSSAVATSSSSSSLGFFGWLTGGSSSSLASLDMPLQGVSLPPPLADHVEPSKLKITTLPNGLKIASEMSPNPAASIGLYVDCGSIYEAPYFHGATHLLERMAFKSTTNRSHLRLVREIEAIGGNTSASASREQMSYTIDALKTYVPEMVEVLIDSVRNPAFLDWEVNEELRKMKVEIAELAKNPMGLLMEAVHSAGYSGALANPLYAHESALDRLNGELLEEFMTENFTAARMVLAASGVEHEELLQVVEPLTSDLPNVPRQGEPKSQYTGGDFRQHTGGEATHFALAFEVPGWNNEKEAVIATVLQMLMGGGGSFSAGGPGKGMHSWLYLRILNEYQQVQSCTAFTSIFNNTGLFGIYGCSSPEFAAKAIELAAKELKDVAGGKVNQKHLDRAKAATKSAVLMNLESRMIAAEDIGRQILTYGERKPVEQFLKTVDGLTLKDITDFTSKIISKPLTMGSFGEVLSVPSYDTVSSKFC >A07g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6640656:6641115:-1 gene:A07g503380.1_BraROA transcript:A07g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVNHQTTHKDELADHQINKPLKGHFIRADHIEVDERKNNRSMRISADDRYQEMPRQMKIDIDRCTKVPSIDVETLDTRRLDSADLKTKAQPNYQNALTSF >A05g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18539156:18539990:1 gene:A05g506490.1_BraROA transcript:A05g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYYGSDRIFTCRTSLSAGEDHGDSHELGGLGRSFSRDVRPRRTIMGKVFLPESSESEPEVIPAKRGEVRPQNEKLEPGMESVPLPPLGKPPKKKQGRPRKSSVPYEDTSNGSPKPCRCDVLVQKVQKPHTVVDYLEEFLDTAKRCKPKPAEEWCRLFKAGLRKDIHDKLDGQAIAAEELFAKMDHDAQIAPYVDPEEDPEPEDDDPEPAAGCARVVGPGSEADRVSPHHYG >A08p001950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1143105:1144223:1 gene:A08p001950.1_BraROA transcript:A08p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRSGDGINTPLDLTVEILHRLPAKSLARTRCVSKQWRTIIDDYIVKNSVVTRSLSQPSPDAPHFILDTLLDCGVVFSYTYSRQIRSERNQIVEKMFAMTATAREFQYVRGLIGFWSCTRGQFTLHNPTTRRSVPLPDTGIPPRRFYLFGYDPLRNQYKVACIARPTSEPEQSCKVFTLGDLGQEWRNIKCCIERHSPFGTAVCIGGTIYYTAKAENQRNVIISFNVVSEKFSHVFQVPEKLNVRYGKSSLVDYQGKLGCICYNYLNNEDMDVWVIENAEKQEWSKITHMAVLQGIPSSMCRFGVTHPGGEIVIVPYFYYYLGSEGYYYNPNINSRRSFVIQSPRLGGTDLVRIWPVTDPVENIMSLM >A06p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:67297:71183:1 gene:A06p030980.1_BraROA transcript:A06p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSGNQHPVEEVMPILLKSGQCASREEAAEKRKPRRSMQHSAHRSMEIPDRLRLTRPIGSRPKAKSGKGVRWAIEPDFIGRSHLDSTRLDGLVFGDDPDLFVCSVYLFWTIYLILSQRVELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETHTQDVCGCPSAHTGHPCLSVSTHRKSVAVRVCPSAHTGRPWLSISTHISTLVLGLSTLALLVACLGDFGTHGLSVQYTQDVRGCPPAHTGRPWLSEAVCQHTQDVRGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPRTQHAGPSRGQFGTSVGVRQHTQDVRVCPSAHTGPHTGNLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTPDVRECPPAHIGRLWLSVSVHVCPSVSVSTHRTSVAVHQYTFQHVGPWTQHAGPSRGLFGTSVAVHQYTYHHAGVGLSTLALSVDCSGDFGPRGLSVQYTQNVRVYPSAHIGRPCVSVSTHRTSVAVRQHIQNYIYQHADHTYQHARPSHGCTDFGQLMHHVSTHISTLALSVNCSCTDMDMSSSFDGLDCPSQSD >A08p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24008032:24009579:1 gene:A08p042930.1_BraROA transcript:A08p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFIRLTISNLALKLPLAAKLSSSAVHPSSSPCFCKIKLKNFPPQTAAIPYIPLETTQFPEIQTLAATFHLSSSDIKRLASRSIFASKPSLKIFIYTGSAGAACGVNSGGLLAEVSVPLDLAGTQSKPCVFHNGWISVGKGAGAGKASSAAQFHLNVKAEPDPRFVFQFDGEPECSPQVVQIQGSIRQPVFTCKFSCRNTTGDRTIRSRSLPTETSVPRSWLNSFGSERERPGKERKGWSITVHDLSGSPVAMASIVTPFVASPGTDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGGATDGLGYRFELILDGSSGAGIVLAESSISSHRGGKFSIELGSSPTGSGVGRTRSRGGGSGGASPANSPRGGSVQKGFVMAASVEGEGKCSKPCVEVSVQHVSCMEDAAAYVALSAAVDLSMDACRLFNQRMRKELCYHSESLG >A05p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3757694:3761376:1 gene:A05p009140.1_BraROA transcript:A05p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 6 [Source:Projected from Arabidopsis thaliana (AT2G38410) UniProtKB/Swiss-Prot;Acc:O80910] MASSSASATVAVDKATSDLLLGPDWTTNMEICDSVNSLHWQAKDVVKALKKRLQHKSPRVQQLALTLLEALVKNCGDYLHHQVAEKNILGEMVKIVKKKADMQVRDKILVMLDSWQQAFGGPEGKYPHYYWAYDELRRSGVVFPQRSPDASPIITPQVSHPAVRQPQGAYGSPQAGYGVPYAAYGAPQAGYGPPQAGYGVPPQAGYGMPQAGYGIPQVGYGMPSGSSRRLDEAMASEVEGLSLSSIEAMRDVMDLLGDMLSAVDTSDRQAVKDEVIVDLVERCRSNQKKLMQMLTTTVDDELLGRGLDLNDSLQILLARHDAIASGSPLPVLALKPADSSPKSSEAKDSSSIAGSSSPVPATVSTGKSPVDEEDEEEDEFAQLARRHSKTPASVTTDPASSESHNALALALPDPPPPVNTTREQDMIDLLSLTLTSTPPPPSSQPAHPPTVSDQNTHLYPQAVPQFESYVAPWAQPQQPQQQQPQTHQSYSQPQHPQTQQGYSQPQQHQAHHSYSQPQQQQPQAQQGYTQPQQPQTQQGYSQPQQPQTQQGYSQPQQIQTQQGYSHPQQQIQTHQGYSQPQQTQTQQGTHPQQQAQFQQMQPQARHQSPFEYPPPPWASTSANAYYTPRANATASYTETSAGRTLQQSNSFPARGGDPQATSAASNPGVSGGQKPFVPSYRLFEDLDVFGSTEGKHNNKSTNSNNASQAQQSMIGGRKMI >A02p031550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16580668:16582692:-1 gene:A02p031550.1_BraROA transcript:A02p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRQNPIQPKPFNNNRTGTLFLILTVSSSLLIFLAIIYFIYHLWTSLLNRSRTIPFFDVASSPPSKLQLFSYKELKLATNDFDESNVIGKGGSGTVFRGITRDGKLFAVKRLDSLSLQSETEFQNELQILGGLKSSFLVTLLGYCVEKDHHRFLVYEYMPNKSLQELLFNEEGSCLSWERRFGIILDVAKALDFMHFGCDPPVIHGDIKPSNVLLDSEFRAKISDFGLSRVKVEEGGGYGGVDLFSQELSESTPQTGVGTPTHHHEVDFSLALQASSSSKNSRTSRNIKGMHLNSMSLAMEEGEGKGKEVEEENEFEQSKEMSVSPNSVLDLGKQWGRDWWWKQEGSGELCSKDYVREWIGSQIHTENPDWDDDGKKITVSSSTPELGVSTRTVDKVESGLNEARFDTLEEKFAKEETSEKKKSRKKKKKKHRNMEEWWKEEEHQDKKKIRVLRIKFKNRLRVPHFRYCFHGKGENRVEDREGEAAGEFSFRRGWRRKSSSSSKKKNKSIGSEMWSGDLFSRELSSTTSMRGTLCYIAPEYGGGCCYLMEKGDIYSFGVLILVIISGRRPLHVLASPMKLEKANLVSWCRQLAQSGNVLELVDERLKDVYSKEEAGLCINLALACLQKAPELRPDISEVVRILRGEMELSATAFEFSPSPPAKNYGSRSKRRS >A06p018020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8749644:8749871:-1 gene:A06p018020.1_BraROA transcript:A06p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSLQRRYVKVREPLFDPETSKRIYWQELPFDNNNEVHDHDHGCFLFLKISIHRMIDKWFGPLFGLTKFDEFHY >A06p021710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10786848:10787213:-1 gene:A06p021710.1_BraROA transcript:A06p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLMQRFIRNQSCLRAIPRLTPNLIPHQKPCIIESLSDPFPLTNPVITFSGSGIKPDEALRFYPSFPIGYGLNPSVVHGSVVEEKEKEVVIHADSVKKKRKKKMNKHKFRKLRKKQGRKS >A09p062450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51093306:51096136:1 gene:A09p062450.1_BraROA transcript:A09p062450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:Projected from Arabidopsis thaliana (AT3G56640) UniProtKB/TrEMBL;Acc:A0A178V8H8] MTSSSSFSTADTKLYLSAGDHRRLISTVGFSSLSSSSSSFMEAKPKRRIVTENGDTGEDLVLATLIGNGDDVGPLVRHAFEMGRPEPLVHQLKNVARKKEAEIEDLCKTHYEEFIVAVDELRGVLVDAEELKSDLATDNFRLQEVGSALLVKLEELLESYAVKKNVTEAIKMSKICVQALELCVKCNSYVSEGQFYHALKTMDLIERNYLKIIPLKVLKLAIERRIPVIKSHIEKKVCSQFTEWLAHIRSSSKSIGQTAIGLIASARQREEEMLERQRKAEEQNTGGLGELAYTLDVEDSEQDSVLKFDLTPLYRAYHIHTILGVPERFRDYYYSNRQLQLDSDLEISYGQPFVESYQTFLAQIAGYFIVEDRVIRTAGDFLLADQVETMWETAIAKIVLVLENQFARMDSPTHLLLVKDYVTLLGATLRQYGYEVGPVLDALDKSRDKYHELLLEECRKQIVTAISEDSYQQMVIRKEADYENNVLSFNLQTSEIMPAFTYIAPFSSMVPDVCRIIRSYIKGSVDYLSYGVNTNFFSVLRKYLDKILIDVLNEVILETINNNSIGVAQAMQIAANISFLEKACDYFLRHAAQLCGIPSRSVEKPQASLAAKVVLKTSRDEAYHALLNVVNTKLDEFMKLPENINWITEEMPQGPHEYMNEVVIYLETVMSTAQQILPTDALYKVGVGAIEHISNSIVSTFLSDSIKRFNANAVSAINHDLRVIENFADERYHSTGLDEVYKEGSFRSYLVEVRQLINLLSSSQPENFMNPVIRERNYNTLDYKKVATICDKFKDSPDGIFGSLANRNTKLTAKKKSMDMLKKRLKEFN >A02g512790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35075663:35076295:1 gene:A02g512790.1_BraROA transcript:A02g512790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYGALVKSLSLSLSLSLAQNASASLSPSLLRNRVSPPLLVDSAGVSRFLTDRLESSASVSVESSSLSVVSPIVSSLSAEDASLQLSFTTPPLFLHLSSATPSVSLGGSISLSTMVRMKRTSMVITDQDTSRFDYNGNK >A05p039870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24375343:24376610:-1 gene:A05p039870.1_BraROA transcript:A05p039870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO2 [Source:Projected from Arabidopsis thaliana (AT3G19220) UniProtKB/TrEMBL;Acc:A0A178VCM9] MFRLYPNCSLPSLLFLPRHPPPRSFRCRATADIPLGDGIRIPREPDSSSDTARSRDVSAAAGGNGESGKWRKRRLLWSKSGVSYLVGDDDALPLPMTYPNTSPVSPDEIDRRLQCDPVVEDCKEVVYEWTGKCRSCQGSGSVSYYKKRGKEVICKCIPCQGIGYVQKITSRTDIDVMEDLDNEAS >A08p046490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25303295:25303870:-1 gene:A08p046490.1_BraROA transcript:A08p046490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGELACSYAVMILEDEGIAITADKIATLIKSAGVSCESYWPMLFAKMAEKRNVTDLIMNVGAGGGGGAPVSAAAPAAGVGGGAAAAPAAEEKKKKEEVAEESDGDLGFGLFD >A01g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15062403:15065932:-1 gene:A01g505070.1_BraROA transcript:A01g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVDGYLQIHASKLKPQRLHQIAETDAEQLVKKARTMTTESSMKDAFFLYADYLNNFIEPLRLGIESYRRSKVKGTIFRYGNFDSSSAESGMRNERERERVVKVSRDITMNSKKFIFQVHRLSKDNKEEVLEKAGKDLEAVREQHFSRLMKELQGTDFWKLRRAYSPGVCQRIITNHSSFKSTVNDGKFINLISLFPFNLEFFIIIIAGRSWKIIVATPMVAGAAVAAAAIAGRYGIHSWQAFKARPIVPRMRKFDEERQGGELKGVDMLLLDAKTRWPQSSRGQSTFKLSSLFQHILQEGLVYGLSATAEPSPEESFRFCKYESHVICQILLERLGASNPCKLIERFVFLQPSSSSPYDSLNESPPDQKIPFHHEMAQVPEFPSKLFFYCEVEPNSGGETPLVLSHVVYERMKEKHPEFVQRLEEHGLVYVRVLGEDDDPSSPIGRGWKSTFLTHDKNVAEERAAKLGMKLEWTEEGGAKTVMGPIPAIKFDESRNRKVWFNSMVAAYTWWEDKRNDPRKTVTFGDGEPLPEDIVHDCLRILEEECVAVPWQRGDVLLIDNWAVLHSRRPFESISEQATTSFYTIPLTLLFFYQSNKAQSTQRLMAAIKLDDR >A02p004280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1857679:1862755:-1 gene:A02p004280.1_BraROA transcript:A02p004280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDNNSINSVTTPKPEISSNLIVSAASCVKFLSRMLSLSMSVIRSCNHNDEDYDFRDDDENEEVNRQEVNTKTLVPLRAARRLQSPELCRRTLVPHFPPSISPIFINMRSPEISKRVLDAKLEACRFAFLKLNAVKTTKMKSYKQLRTLLMLKEISRRGADRDFLKDQENSVTRILCSVLKQVVSNADRSLKSLRGFHYETLDEQEKQHVNRMISSVQGMCSRKYESETIDHHLDDMTEPMEMEIYLGNGDDSFSDIVLEPISWPLESQLTPEWVESLTGLLNQFTWKNSVPSEFPSTLPFSVAASLVDCAAQILDKEANCVRISCCGESSRVVVVGDLHGQFHDLLKIFDQSGRPSESQWFVFNGNYIGRGGSWSLELFLVLLAWKILMPERVCLLRGSSETRVSAEELGFLKETCDRYGEHGPVLYTKCIDCFRTLPLASVVADSVYTTHGGLFQSSSEVDEDSASLLLGSLEELEKVERREVGENVTLDHVLWSCPWMADGLSESNYKGLLWGADCTESFLKQSNLKVIIRSHEGPDARSDREDMGNMLCGYSVDHEVESGKLYTIFSASNLSQGSRSYENEGSYAVLEPPSFTEPKFVSYTVENVPRSLHQNIGVGSSAQQEIMWENRTCHGFASVGISNPPSWTVSLPSEPSQILQLQEPPQVFEGLPLPDNIEEPHKSNYDYLFRLISALKQEVQIRDTREKELMDHLTKTKATLEVISQMSSSL >A09p016550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8679219:8679841:1 gene:A09p016550.1_BraROA transcript:A09p016550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEAYDSWWSLLFEILCAWRRLMCAKQIISLVGTMKSVFFSRSVRPDDFRMTYRKIVHDFIPRFWSTLAYLGRLPRKSSDGRIP >A07p027980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15887615:15891420:1 gene:A07p027980.1_BraROA transcript:A07p027980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEQNHLLPKKKKSETEDDKRRKRIVAGSLLKAVVRPGGGDSSPKDADQIIYHCTVRTLDGVVVESTRSECGGRGLPIRDVLGKSKMILGLLEGIPTMHKGEISMFKMKPEMHYAEKDCPVSAPGNFPKDDELHFEIELLDFAKAKARSHHQNQDNSALIASDDLGVIKKILNEGEGWESPREPYEVKAWISAKSGDGQVILAPKEEPYFFTFGTSEVPKGLEIGIGTMARKEKAVIYVRKQYLTESPLMHIAQDLEEVHFEVELVHFIQVRDMLGDGRLIKRRIRDGRGEFPMDCPLQDSRLSIHYRGMLLNEDKTVFYDSRIENGDQPLEFSSGEGLVPEGFEMCTRLMLPGEIALVTCPPDYAYDKFPRPPGVPEGAHVQWEIELLGFETPRDWTGLDFQSIMNEAENIRSTGNRLFKEGKFELAKAKYEKVLREFNHVNPQNDDEGKVFGDARNTLHLNVAACLLKLGEWRKSLETCNKVLEAKPGHAKGLYRRGMAYMAGGEYKDARNDFNMMIKVDKSSEADATAALLKLKQKEQEAESKARKQFKGLFDKKPGEITEVGSEIREETRTIEEVDETKDNDGDDEETQEEENTATVSTERKRKWSEKAWPILKNVMVQIGIQLCVALLGVYIFQFVSARFT >A10g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12944472:12948549:-1 gene:A10g505210.1_BraROA transcript:A10g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKVEKVKASRSSGARSEPLSRLIPLRLLSASTNQHFPAEPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNSKSPPSSLMVEQSIFDEFGVFSSERTKGSIRARKGAVSSRDSAENPDSIHHGAMMDTENMDLAQRLLRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGAKRGASENRVGPSGLEVVEATPIATEQARTGGSSQGKSSKKSKKSAGGPKDSSEPEHPGADGSSKKGGKKRKAGDPPSEDIPKKKRMKKKEPAPPRSSSVCEEELQALVPEAIPEVGTSEDDENETIALRRRRRESRVTEEVFRGALAGDLRSTEVPRGISTSGGQRDRLRNESPAHVTEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSSLRRAREQIRESEEAKKRMEEALRVSTREKADAIAREKALRKAFDETRTSDAAELQMCKESMNNLEFVPTDQDIDPAKQASAGAVVLKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKGDDALAGMPTADATAPAPTKFGRVSGPGEGDGRGNEDPPVVD >A10g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15241125:15242291:-1 gene:A10g505890.1_BraROA transcript:A10g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRALRLIRYEADLATRHFLAIIRVTRSLHEILVQIDDGESSPRPLMDPADERSHSKRQKEYFDMLQYTCDSEYGIPRRCSCGGRIIDEVRAKEEYDTLPGKRFFTCVNYEADGFHYRQPWVFGVQEQMERLLKRLEEAEEVMKWVPSLKNNIETLEAEAKGLSDQVDRLTGEVYNLTVQVSVLEKLCFD >A01p056910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32308680:32316060:1 gene:A01p056910.1_BraROA transcript:A01p056910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIYNLGAADKWVWTLNNSGTYTTKSGYFEAVKQQMQEEELRRMNQGLQQNSLLNFNWNKNIWTVKTAPKIQVFLWKIVQDALPLGMALQRQDIISSSMRLAREWQEAQQTTLIPSHNLGSINTHPLTNISTVASTLFTDAAWRISDKAAGCSWILHKSGERDTISGTSTELCVASPLMAEALAKVEIKVDINCGKCNSAILEAVTVIEGVNHISLDEEKNILTVVGTMDPVCVASRLKKIKQKPVIISVGPPPKPPEPPKPPDPPKPPAEPEPPKPPPPPPPEKPKPVCNCKPMFPNPYCASCDVVSVTTYESGSGCTIIMDKNNNIIETIKKVKRVEKIQSVLTLDTLPMENKVNQEAKLMEKEIVLQETEDVVKDKPVSDSNLKVTKEESTEERKDESESDEKKVAEQVELKEPTLVPEVNTEAVDVEKAEEKQIMESVVEEDNKDKEEKEVVDVSDEVGSKQVEPVDVQLVREVPSETVEDNLKDVEVLEVKPKPEASEKVETPLDKAKEVAPEVEVVKAEETPEDVSCPPDVIEKVITEEKHIVEEPSKDKQEKASEAKDVVTKLATEDENITKDTETPAAEDKSDEEETDEVPREKEAEGIKQEESVAYKVSEVVEVSDEVKQEAEVTTKEVAVKQKHSNSIMSKVKQSLVKAKKAIIVKSPSSKTITTEEAKEETKVKKKNVEADVLAKQILSVELALMASPTL >A06p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8979273:8986278:-1 gene:A06p018530.1_BraROA transcript:A06p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTAKSESKQALNPKTPKNVYQIGGLQVEFPYQPYGTQLAFMSRVISTLDRAQRDGHCHALLESPTGTGKSLSLLCSVLAWQQNHKSRLLKGNLSHSKAAPEAATDPLNHGGGFIPESQPADTPLASNKEPAETATKKKPKVPTIYYASRTHSQITQVIREYKKTGYRVPMAVLFFFSFMDPFLSCKASRKHYCTNRHVHGKENVDEECRLLLKDKTNIQCSEFKNFSKITSHPSLQQRGHNEIHDIEDLVKVGKSVRGCPYYAAWSLAENAELVFCPYSYIVNPVIRAGVEVDLKGAIIIFDEAHNMEDIAREAGSINLDEETLFKLQSELEQMSVAQPMIYQPLCEVVEGLISWIGRKKDSLEKRDFQHYFSSWTGDKALKELEESNITRECFPILLNCFTKAIRTSKEAEMESDMLHLSGISILTLEELFASLTYFFSRNGSHILDYQLGLQRSTKRGDSSGTWTHTFSLWCMNPSVVFKDLADLSLSIILTSGTLSPMNSFSSELGMQFGTCLEAPHVIDINLQVWAGAISNGPGNYPLNGSYKTADSYSFQDALGKSLEEVCTIVPGGSLVFFPSYKLMEKLCTRWRETGQWSRLCLKKDLFVEPRGGAQDEFDSVLKGYYDSIRGKNRLIGRNRRLKKAGLVKTEAQDDSKKGAAFFAVCRGKVSEGIDFADDNARAVVSFSKYCFLTSRFIDRHDLQVGLKKKYNDTYKSSKNLLGGSDWYCQQAYRALNQAAGRCIRHRFDYGAIIFLDERYREQRNRASISKWLRQSIKLYDNFEESMEGLRSFFNSAKERVDSKMLGSQDHTVEQNFSSEYLRMECIKKENQIQMECNHEEPKGKKVVKVEDNTSSNPKYDFMNEDWKPKIAEGSRSMRLSGVNYGTSISQLSSVGDIPSQTFIQVKEEEVIDLECDVQPEPGYCEVSSVTNCDEDPETAFVKEISGMFKGISGASPCSYSKNESSSPATGLRSPRSPDEFLKQRISTGNLRKSPLGAESPLNMSVNSHALKRRKFTSSPVIDLEEENSNAPSTSTRPTDLTSFTKRIEFGLGSTESRSQNDNVYAFPEVNQRVMKISCSVCRSSLGQPESHSPPKCVLTSSSKTYLLSLLKETLGTGPGEVPTSVSVVMTDCELVNQRLCRSSESAKGQGVWCQQDGCVFNTIFCPFCSIPNTCLGVQVKATDSSNVQFLSKILFFADQVYVTEDDASKEKALKHEESPDVSNTDADKSDVFKSIERFAYSPTPQQDSGGWRTTKSKLRLPKRTSKTA >A09p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9592742:9593618:-1 gene:A09p018340.1_BraROA transcript:A09p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVSASFFIFLIATVITLPPTIQACTPCTHPHPPVPKPPHQGGGGGGGGGGRRWRWRVEAVRWRWRGWRVGGNPPHHGGKGGGPPHHGGGGGGPPHHGGGTSPPFVRPPPVVYPPPVVRPPPISRPPPVVYPPPMVRPPPVTTPPGILPPITTPPGLLPPIINPPPITTPPPSSGYPPYHGGPPSGGGSAQPTCPINALKLGACVDVLGGLIHIGLGNPVENVCCPVLQGLLELEAAVCLCTTIRLKLLNLNIFIPLALQALITCGINPPPGFICPPLT >A09p079300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58411555:58414325:1 gene:A09p079300.1_BraROA transcript:A09p079300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRITSKFNMRCFFVWRFQPTVIKTSSCFLLKPLRPFHLSFDRTIHLARETIKGCENRGRRRRGRGSSSSESELSMNPRVQRYLVAIEYIGTRFSGSQQQAKDRTVVGVLQEAFRKFVGQPVKILCSSRTDAGVHALSNVCHIDVERISKRKPGEVLPPHEPGVVQRAVNHFLQRNDGDVMVTDVRSVPSNYHARYKARERTYFYRLLSGSDPLSILEKDRAWHVPEELDLRSMQEACRVLVGSHDFTSFRAAGCQAKSPVRCLDEFNVIEVPSTPYFPSIMERAESILNNGDDPLTYPSQTKTETASDTTNFGETFGIRRRHRCYVVTARARGFLYHQVRLLVGALKCVGTGELTVSDIERILEAKTVSAAKPMAPASGLYLARVKYELP >A06p046060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24683433:24685456:1 gene:A06p046060.1_BraROA transcript:A06p046060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSKYTHSPAHLAVVLHDHAALRRIVMDLPSLAKAGEVTNEAESLEAESRADAVTAVIDRRDVPGRETPLHLAVRMRDPVSAEILMSAGADWSLQNENGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRITASASRIRDFYMEITFHFESSVIPFISRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYASEDGKVLLPPGSLIVLSHKEKEVTNALEGAGTQPTEAEVAHEVAHMSQTNMYRPGIDVTQADLVPQSNWRRQERTETVGSWKAKVYDMMHVMVSVKSRRVPGAMTDEELFAVDEDRTANGAENDGFEDVLTAEERKQLDSALRMGNSEAAEDEENDVDDHGSGGEANGAPLKEKKGWFGWNKKGAKPGHDGGGAEETTAKVKKGSKLAPEEKEKEKGKSQRSSTLSDNNSKEDAGDGKKGKDKGGAKKKKNESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPAGTFPVKIAIPIIPTVRVLITFTKFEELQPSEEFSTPPTSPVFHDAKSSDSSSSASWVSWMKGTRGGGQSSDGDSNRYKDIEVDPFMIPSDYKWVDSAEKKRRMKAKKARIRKNRKQGASKPSSSSSRSNQEPE >A04g501960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4731762:4731950:-1 gene:A04g501960.1_BraROA transcript:A04g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSIFLDCRSEVLRILPIALDQQPKNTNALIVYNSRLFNPTKQYPIVSSSRQGLISYEIRT >A05p042520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25704336:25706751:-1 gene:A05p042520.1_BraROA transcript:A05p042520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPVALVWLLAFTNLLLIRVESNNAVCKKTFKLDPRPHSVSILEFGAVGDGKSLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGATILASPDPSHWDIVSPLPSYGRGIELPGKRYRSLINGDNLQDVVITGDNGTFDGQDSSDNVCLEDSTINVGHDTVSLKSGWDQYGINYGRPTTAVHIRNLVLKSPTGAGISFGSEMSGGISDITVERLTIHSSRVGVAFRTTRGRGGYIRNITISGVVLSGVDTAIVADGHTGAHADDKYDRDALPVVTRIVLGNFTGVGIGLAGNFTGIGESPFTSICLKDIHLQTSSESWVCSNVSGYAEDVSPEPCEELMSSPSACFAGGRIYGGETAGESYYSW >A06p011360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4180270:4182502:-1 gene:A06p011360.1_BraROA transcript:A06p011360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSSQRKFSNKLQQKKHNKHGGRSAQCRSRISASMPDVPMKRMSNASVRDFVHLDFEKGAAKMMCKRAEMSNANFHMTQLQWNCSQIDGNRMSHEEAWYDSFSYIDSDSDDGSNSSVFEDANASAMGQVIQYEEFYGSYLTIDGNKAETYSSKNEVSIKRNQVADESHHETYKTTTCEDHQDHRKTSSKVVMVSVRRTSIDSKSASSDFSSGEKLLYRPKACSVIQRSLGEKLINQGSWSELSPSSFKLRGLNFFRDKQKSPAPDCSPYTAIGVDLFACPKKINHIAQHIELPSLKPASSGICDVPSLLIVNIQLPMYPTSMFGDYDGEGLSLVLYFKLNENYDKEISSHFQETIKRFMDDEMEKVKGFTRESTVPFRERLKIMAGLVNPEDLQLCSTERKLITAYNDRPVLSRPQHDFFRGPNYFEIDLDIHRFSYISRKGLESFRDRIKNGVLDLGLTIQAQTPEELPEQVLCCVRLNKIDFVNHGQIPTLLTNKQS >A08p010150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7748567:7755399:-1 gene:A08p010150.1_BraROA transcript:A08p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQASKEETIHSSYRFYFAVSWLHQNGAEKHSLVTEIPIGATVITIGDHGEGLQVLHYEEHQKYEPHYDYFVDDFNTKNEGQRMATMLMYLADVEEGGETVFPAANMNFSFVPWYNELSACGKKGLSIKPRMGDALLFWSMRPDATLDPSNLHGGCPVIRGNKWSSTRPTVDNKPPSKGKNRTGIIVGVIVGLGLLSILAGVGIFIIRKRRNPYTDDDELFSMKIKPFTFTYSELKSATQDFNLSNKLGEGGFEPVYKVQTQMVQFSLKCSQVVDLLIRKLSSGSYHFTRLRGNFRSTGRSVGVEAQLTHLFSITQIIDTKLHQHLDKLGGGDYLCAIRIVLLHVFFSDLKFGRCSSVVEARLLRFWEARNVKRELLPFMYLTRARYGGVGPFQEATGIESLHLNGGSSCSSTVEVKLPCLALTGSLLYVSLREDVSPSSATELTQDAYDKLDVIGIDETQFSGDLYEFCCKYADDDGNTVIVAVVDGDYLRWSFGDVLDIVPIDDSVTKLTARYEVCGQKGFFTVRKTCDTRTELIGGVDVYMAVCLKHYINNQIVIKDICDDSSDSDENCRVTTEGTLTRRFWRVNKVVVKVTSSSDSDSVSDSGEKRRSKTKRVDPPTNVVRKKSGTNMMNKEQVGRRGMILMLPSLNLRMRNNSCAANDDKIRKEMWRMWSRVF >A06p051490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27078992:27082812:-1 gene:A06p051490.1_BraROA transcript:A06p051490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLYTSPLTSVPFTPASSPRNQPRLSHLQTAFLPRGGGLRSGVSCSWNLEKRCNRFAVKCDAAVAEKETTDEEGSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPAMLGDGGDLEIRIKPDPDNGTITITDTGIGMTKDELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWESVADSSSYVIREETDPDNFLRRGTQITLYLREDDKYEFAESTRIKNLVKNYSQFVGFPIYTWQEKSRTVEVEEEEPAKEGEEEGEPKKKKTTKTEKYWDWELANETKPLWMRNSKEVTKEEYNEFYKKAFNEFLDPLAHTHFTTEGEVEFRSILYIPGMGPLNNEDVTNPKTKNIRLHVKRVFISDDFDGELVRIMRKRLIRKTFDMIQEISESENKEDYKKFWENFGKFIKLGCIEDTGNHKRITPLLRFYSSKNEEELTSLDEYIENMGENQKAIYYLATDSLKSAKSAPFLEKLIQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELALFTGDEDEVKDREAKQEFNLLCDWMKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGWSANMERLMKAQALGDTSSLEFMRGRRILEINPDHPIIKDLNAACKNAPESSEATRVVDLLFDTAIISSGFTPDSPAELGNKIYEMMAMAVGGRWGRVEEEGSKNVNEGDEEEGSKNVNEGDDTKDGEAEVIEPSEVRAESDPWQD >A02g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14769466:14770739:-1 gene:A02g504510.1_BraROA transcript:A02g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMRDLSHTSFALSDTETTVSLNNCILNNNERVMCSVYFYFYILIWGFYELSKQAGGSGMDMRSTAKHTDLSLVYIYTLPGPATNHQNSQKRNYDGSNTGEAPGKDSEGPYYCSVGTDKSFGRDNVDSHYKKCLYAGINTSADQWRSHAWSVGVSSPPIGWVYVLWALLCSGSTVDGAMS >A06p042310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22733147:22735948:1 gene:A06p042310.1_BraROA transcript:A06p042310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPSKNEPGGGHSRNFTNWSPFVKSSGFGISPNRSYALLSLLTLLIVGAFISTRILLDPTVLLEKEAVTTKTRSHTIFPKYPPPTPVTTQSPKPEFTLHCSANDTNATCPRNNYPATASFGEDAPTATCPDYFRWIHEDLRPWAKTGITREALERAKKTANFRLAIVGGRVYVEKFQDAFQTRDVFTIWGFLQLLRKYPGKIPDLELMFDCVDWPVVRALDFAGVDAPSPPPLFRYCGNEETLDIVFPDWSFWGWSEVNIKPWESLLKELREGNERSTWINREPYAYWKGNPSVAETRQDLMKCNVSEEHEWNARVYAQDWIRESKEGYKQSDLASQCHHRYKIYIEGSAWSVSEKYILACDSVTLLVKPHYYDFFTRGLLPAHHYWPVREHDKCRSIKFAVHWGNSHIQKAQDIGKTASEFIQQELKMDYVYDYMYHLLTGYAKLLQFKPEIPQNAMEICSETMACPRSGNERKFMTESLVKHPAETGPCAMPPPYDPASFYAVEKRKQSTTKRILQWEMKYWNKQNQTGS >SC343g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000186.1:126:8533:-1 gene:SC343g500010.1_BraROA transcript:SC343g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRKDHKLPAYVSSPKVFLILDVLSSLFKTKPVHVPSETARNPDQLAFAKQTARVRGLSVHLGGPKTFFKNINFCIFGFSGDFGCPWVILAHVGCLFSTHGRPCVSVSTHRTSVAVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRDIRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSTRRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVSVCPSAHAGRPSVHTGRPSAHKGRPWPCVSTQRTSVAVRQHTQDVRQYTEDVPGRPSAHTGRPSAHAGHPCVSVCPSVHTGRPSAHTGRPSVHTGCPSAHTGRPWSSVSTHISMLALPVDCSGDFSPRGLSVQYTQDVRQHTQDVCACPLAHTDCPWTDPCTELISAC >A05p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5585790:5590739:-1 gene:A05p012800.1_BraROA transcript:A05p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLQPVTFNSDVYVNPKCKPSPVPVPVIDLTDADAKTQIVKACEELGFFKVVNHGVRPDLLTQLEEEANKFFAMPQYLKEKAGPPDPFGYGSKRIGPSGDMGWLEYILLNANHHLSSTKTTAVFQQTHAIFREAVEEYMDEMKRMACNVLEMVEEELSIEPKEKLSKLVKVEESDSCLRVNHYPEKEETPAKEEIGFGEHTDPQLISVLRSNDTEGLQICLKDGSWVAVPPDHSSFFIIVGDTLQVMTNGRFKSVKHRVLTNTKRSRLSMIYFADPSTMATDEPSLTRWSFLEFKTFYDAKFGRKKLPEEPQESKPEDGSSSNKEEDASQVATNPSQEGNTRLTNGIREKPKKSMFPPFESAETRALAESLSRQAILDIIRGNPNVKWESIKGLENAKRLLKEAVVMPIKYPSYFNGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIRVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLQKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPDPEARRGMFEMLLPSQPGDEPLPHDVLVEKSEGYSGSDIRILCKEAAMQPLRRTLASLEDTEEIVPEDELPKVGPILPEDIDRALSNTRPSAHLHAHLYDKFNDDYGSQIIK >A07p047500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25501021:25504494:1 gene:A07p047500.1_BraROA transcript:A07p047500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVFILVNNSVDLGFRWEPFGDFYFAVPQVEFNEFGGSSMLAATLAWDDELSWTLEDAIEALEETMLQVSSVVMRLRRESLGVSVLSKNHVPTKGAYYPAVEKALEIIKQKSSSLSKVVLARNSRIITDTDIDPIAWLAQLQSEGHDAYQFCLQPPGAPAFIALAATRPRSASTARDMEIERDLLTSPKDDLEFSIVRENIREKLNSICDKVVVKPQKTVRKLARVQHLYSQLAGKLRREDDEFDILAALHPTPAVCRLPAEEARLLIKEIESFDRGMYAGPIGFFGGKESEFAVGIRSALVEKGLGALIYAGTGIVAGSNPTSEWNELDLKISQVSPSQSSMRQQQQQRCRQLIEERTSSDVALSLSPEIPHSVRGGRNNNTRRHLQRVLPFHRFDLLPMAVNAPISSHPRTQFLSNPVLPRFQRSYSAVKAPASAFSVVSMAPQKKVNKYDAKWKKQWYGAGLFFEGSEEVNVDVFKKLEKRKVLSNVEKSGLLSKAEDLGLTLSSLEKLGVFSKAEELGLLSLLETLASTSPAVLASAALPALTAAIVAIVLIPDDSTTLVVAQSVLAGALALGAVVLLVGSVVLDGLQEAD >A07p052000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18169989:18171279:-1 gene:A07p052000.1_BraROA transcript:A07p052000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNSSVVDRGNVPVYLNVYDLTPINGYAYWFGLGVYHSGVEVHGIEYAFGAHEYPSTGIFEGEPKQCEGFTFRKSILIGKTDLGPLEVRATMDELADKYKGSSYNLITNNCNHFCDHTCMKLTGNPIPSWVNRLARIGKLFGFICNCVLPATINATKLGDNRVNQDKTCEAEANTKKLTSVSRRERSTTDTPSPSSSSPSVQIRGRSRKRRPRRAMQPSSTLILSSSTT >A09p040220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34383270:34383611:-1 gene:A09p040220.1_BraROA transcript:A09p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHIYSVCTFVKVGPSDNVKVHLNQMDARGKDKDAGGTVWMNVELVGEDGLWFGRFGRLDVVPAEAPIETHMGRLGQSDRYGRMNKP >A09p066460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52749439:52750175:-1 gene:A09p066460.1_BraROA transcript:A09p066460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKK >A03p069080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30310536:30311221:1 gene:A03p069080.1_BraROA transcript:A03p069080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTASMNGTWMTEECKRSFMEMKWKKVHRYIVFKIEEKSKKVTVDKVGAAGETYQDLAASLPEDDCRYAVFDFDYVTVDNCRMSKLFFITWSPEASRIREKLMYATSKSGLRRVLEGIHYELQATDPTEMGFDKIQDRTK >A08p030100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18449680:18451085:1 gene:A08p030100.1_BraROA transcript:A08p030100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFYDGASSKRQMWSTSKGSDGDSDNTICYLKSDHTLHQRNDKDIKLSRLSKIIFQEDKLLSGQVKLKEDEYLPKECFITNLRIETKENEKKPPIWIQQKQSEWRGIREVKQCPKQRRRKKGADVVDKIHRRDLPKIWNMSTCITTHMIKI >A09p011880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6014993:6015964:-1 gene:A09p011880.1_BraROA transcript:A09p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNKNTNLILFHHHNTSSTAVTVNHHRLLLLFIFTLSLFTLIFSFSLFSSSLHSTTPTSNFLSSSSSPSSSSLSPPILAALLHYTSSTPPNTSMSFSELSAISTVINSKAPTCNLLVFGLSHESLLWRSVNLKGRTVFVDENPYAVSRFEQSHPGAEAYDVVFPTKVSHAGKLLRYYKTRPECRPVQNLLFSDCKLAINDLPNFVYEIKWDVILIDGPSGYAGDSPGRMAPIFTSAVLAKSKDSGKKTRSTDVFVREFGRKLERVYSDEFLCEENLIEVVGEIGHFVVAKEKGGKIKQGNGFCRNSTKLSEPFTPVSGGDED >A09g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12068055:12070232:1 gene:A09g503800.1_BraROA transcript:A09g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFRDKMGSGLKSQLSRDSHIFGLKVWEVIGIAVALLIIAILSVLSFCLTSKKKSRRSKTGLPVIQIPQVSKEIKEVRVEHVSANNFAPREGILLTIQDRNNKDSDKVMVHLDMGKKRKNGGSSCSRSGSFHHLEITDKQSESGEELSLNQPSSSSSLYNIATPSPLAGLPESHLGWGHWFTLRDLEIATNRFSKENVIGEGGYGVVYRGDLINGTPVAVKKILNQLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLIGTSKALAYLHEAIEPKVVHRDIKSSNILINDEFNAKVSDFGLAKLLGAGKSHVTTRVNLVEWLKMMVGTRRSEEVVDPNIEVRPPTRSLKRALLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREERRRPRTREGSMDSDIDMSTPVSRSQSKRR >A05p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7826998:7836397:1 gene:A05p017370.1_BraROA transcript:A05p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATFVSSTARTSKAHTMISSRVLNSPAPKVRGGNGPSSAPVPVTRAIVTNADATGSASEDDMYTNSDDFSLANSRVSTNVGRRHGRNLSYCTCSEEFDADKFYTRVIPPFSGAAEESGEIKPARSGVQVSQGKTDDCVESKKTGHSTRLSAVSESFGPSDQDLVRMPTFHASARGTWLAVVSYDACVRLCLHAWAKGCMEAPMFLENECALLREAFGLTQLLLRSEEEMRVNQSSQAPHEGVAPKPNKNTGKMMVQVRRVETVLDAPTGLKPSLKKFEKSRGYFSNISTRISSGWRALRKNYLRVPANGSSLSRQSPGHVHARPECLKQVSCPLKVGVTSPCNSSTSYDDAQETYTCRLRLKSLTEDDPIIMQPGSDEGHVFFPDSHGDDLIVEILESNGKEFGRALVQLANFSEDSDEKLLWWSVFREPGHQLVGKLQLYIDYSANSDDNCHLKGGSVAETDAYDLVLEVALKMQWFQQRNLLLYGSWKWLLEEFSSYYGISDVYTKLRYLTYVMDVATPTSDCLHLVHDLLTPVIMKGNDKATLSHQENRILNGIKDQTEQILKLVFENYKSLDESSFSGIKDVVSSATGVPAPALAPAVKLYMLLHDVLSPEDKTNLCHYFQVAAKKRSRMHIGEIDEFVRKNNDPNFWDPSSRAAYQKMTMVCKNVKNEIYTDIEIHNQNILPSFIDLSNLSASIYSTDLCNRLRTFLVACPPSGPSPAVQQLVIATEDFQRDLSSWNIRPIQAGVDAKELFHLYIMTWIQNRRLYLLESCKLDKVKWCRVGTQHSATPFVDEMYTRLNETIQDYQAIISRWPEYIYVLESAIADVEKAIVEALEKRYADVLSPLKENSAPKKLSFKYVKYLTKRSAVSYVVPDELGILLNSVKRMLDVLGPDIEAQFQAWSSCVPDGSRNAAAGDRLSEVTVMLRAKFRSYLQAIVEKLVKNSKLKKETMLKKILQYSKESVGESDMRRKMQKLKEQLTNTVNHLHFVCSTDVFIALSRGYWDHMGWIVLSFLENKKEKRAWYKGSRVAVSILDDTFAAEMQKLLGDSLREQDLKPPRSIVEVRSILCKDTTVNEGKGMRWTQPSLKPNIITALLTHQPGIEPGSVPWQERRRRRLLQEMAIPMTRMMVPQVSSSLRLSHPNLSNSTRVPLLCRCAPSQLQPLRSGLSLSAGAHAIPHPDKIEKGGEDALFVSSYRGGVIAVADGVSSWAEQDVDPSLFSKELMANASRLVDDEEVRYDPGFLIDKAHTATTSRGSATISIVAMLEEVGVLKIGNVGDCGLKLLREGQIIFSTTPQEHYFDCPFQLSSEGSAQTYMDASFNIMEVKKGDVIVMGSDGLFDNVFDHEIVNIVTKHTDVAESSRLLAEMASSHSRDPGFESPYALEARAKGFDVPLWKKALGMKLTGGKLDDVTVIVARVVDS >A06p025140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14982846:14983501:1 gene:A06p025140.1_BraROA transcript:A06p025140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETPSKIHQLLLLHSLIFFLTLSPSTQQEQETHHCSKINTTNLFLSPFSNQSIVAANLITCRSGRLYFKTSVGLFHVSSIDYTTKTLILSHSSGREKKVKKLGARVSLEVEGHLPDLCMACERPDGNCGVALRCLCHPKECKNKVVNYATKSRALLSGNSQLVLVFSIALFLIS >A07p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21351607:21367231:1 gene:A07p040200.1_BraROA transcript:A07p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCINFLITQDNVIESNREREKKMRSHVPNYHHPYTFFFVFILFPASGVYANTLSPTESLTISNNKTIVSRNETFELGFFTPGSSSRWYLGIWYKKIPTRTYVWVANRDNPLSRPSGSLKISSDNNLVIYDHSDTPVWSTNLTVGASRSPVVAELLDNGNFVLNSNDPEGYLWQSFDFPTDTLLPDMKLGWDKKTGLDRVLRSWKSVEDPASGDYSTKLETRGFPEYYVFNKETIIYRSGPWIGNRFSCVPEMKPIEYMVYTFIASNEEVSYAYHMTKPDVYSTLSLNYTGTIQRRNWIEQAQDWKQLWYQPKDICDNYRKCGNYGYCDSNNLPNCNCIKGFGLENGQEWALRDDSAGCVRKTRLSCDGRDGFVVVKRMKLPDTAATVLDRGIGLKECKAKCLQDCNCTAYANTDIRDGGSGCVIWNGGLFDIRMYPNGGQDIYVKLAAADLDHVKITSHGTIIGSGIGLAILLLLSIIIFGYWKRKQKRFITIQTPIVDQVRSQDLLINQVVLTSERYISRENKTDDLELPLMEFEALDMATNRFSVANMLGQGGFGIVYKGMLPDGKEIAVKRLSKMSLQGTDEFKNEVRLIARLQHINLVRLLGCCVDKGEKMLIYEYLENLSLDSHLFDKIRRSNLSWPKRFDITNGIARGLLYLHQDSRFRIIHRDLKASNVLLDKNMTPKISDFGMARIFGRDETEANTRKVVGTYGYMAPEYAMDGIFSMKSDVFSFGVLLLEIITGKRSKGFYNSNRDNNLLGFVWRYWKEGKGIEIIDPIIIDSSSSALRTVHEILRCIQIGLLCVQERAEDRPVMSTVMVMLGSETTAIPQPKPPGFCVGRSLFETQSSSSTQRDDELSVNQITLSVIDARTMSATESLTISSSKTIISRSEIFELGFFTPASSSRWYLGIWYKKIPTRTYVWVANRDTPLSHSNGSLKISDNNLVILDHSNKPVWSTNLTGGTVRSPVVAELLDNGNFVLRHSNNYEYLWQSFDFPTDTLLPEMKLGWDLKTGMNRFLRSRKTPDDPSSGDYSTKFKTIGFPEVYVCNKESIVYRSGPWDGIRFNGIPEVRPVDYLVLNFSATDKEITYSYHITKSNIYSIVTLTPTGLLQRSTWVERLQNWRPLWYSPRDICNNYKQCGSYGYCDSNASPVCNCIHGFKPRNKWDLRDDFDGCVRKTRLSCDGTDGFVRLKNMKLPDTTKTIVDRGIGTEECEARCLKNCNCTAFANADIRNGGWGCVIWTGDMLDMRYFAEGGQDLYVRQAAADLDDKITNNGKIIGSSVGMSILFLLSIIIFRFWKTKQKRATAVQTPIVDQVRSKDSLTDEVIVTRKSYISRESKTEDLELPLMEFEAVAIATNNFSDGNKLGKGGFGIVYKGMLPDGKEIAVKRLSKKSLQGTGEFKNEVRLIARLQHLNLVRLLGCCVDKGEKMLIYDGYMSPEYAMDGIFSMKSDVFSFGVLLLEIISGKKTNGFYNSNRDLNLLGFVWRYWKEGKGIEIVDPIIIDDSSSAVLRTHEILRCIQIGLLCVQERAEDRPVMSTVMVMLGSETTAIPQPKPPGFCVGRSLLETESSSSTQRGDEVSVNQITLSVIDAR >A03p037400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15662284:15663500:-1 gene:A03p037400.1_BraROA transcript:A03p037400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIIQITIILCFSIAAVISISTDDESIYEILKQNGLPSGIFPKGVTEFNFDVKTGRFSVYLNRSCDAKYEAELRYEANVTGTIGDSHVADLSGISAQDLFLWFPVKGIRVDVPSSGLIYFDVGVVRKQYSLSFFDAPRDCVAVRGENEIVRGGLNQENL >A07p000490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:670039:672722:1 gene:A07p000490.1_BraROA transcript:A07p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVSRRLIQRPLSGGASIYSSSSPRSLHGVSDHLNGNDNRRYSSSLATKGVGHLARKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQVVPMKFDPRDEDSIKAVMAKANVVINLIGREYETRNFSFEEVNHHMAEKLALVAKEHGGIMRFIQVSCLGASVSSPSRMQRAKAAAEEAVLSALPEATVMRPATMIGTEDRILNPWAMFVKKYGFLPLIGGGTNKFQPVYVVDVAAAIVAALKDDGSSMGKTYELGGPDVFTPHDLAEIMFDMIREWPRYVKLPFPIAKAMAGPRDFMVNKVPFPLPSPQIFNLDQINALTTDTLVSDKALTFQDLDLVPHKLKGYPVEFLIQYRKGGPNFGSTVSEKIPTDFYN >A04p000540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:312162:312470:1 gene:A04p000540.1_BraROA transcript:A04p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S6 [Source:Projected from Arabidopsis thaliana (AT3G62930) UniProtKB/Swiss-Prot;Acc:Q9LYC8] MESVRSLVAEKPVVIFSKSSCCMSHSIQTLISGYGANVTVYELDQLSNGQEVEKALVQMGCKPSVPAVFIGEQLIGGANQVMTIHVKNQLAALLRRAGAIWV >A08p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7839922:7840735:1 gene:A08p010240.1_BraROA transcript:A08p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT1G43780) UniProtKB/TrEMBL;Acc:A0A178WN63] MASGKWMFFYVAVMVMVQWLGNNHNLAEGYPEEDLVTKLPGQPEVAFRQFAGYVDVDIKAGRSLFYYFVEAEKQQHTKPLTLWLNGGPGCSSIGGGAFTELGPFYPAGDGRGLRRNSKSWNKASNLLFVDSPAGVGWSYSNTTSDYTTGDESTGNI >A01g511680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34371278:34372474:1 gene:A01g511680.1_BraROA transcript:A01g511680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPATRESAELTVKDLILEETGEWNRGLIQTILPFEEERILNLQPSTKGAPDALKWLGTRTGEYSKCLPPTGLSSSPIVPWIIWGLWKARNRYVFENFAGTPADILSQAIVAAKEWASAQEKIAKCSQKSPEQTSRALGTIARSDAAWSETTKNAGLGWTVTSREQRTMLKKGIGFTPSALVAEGLALKEAVATCSSHGVKEALFESDSSQLISAINGDNPPLELYGIVEDIHIIASAFDDVVFGWILRERNEEADLLAKNALRLYEQEVVVALMPPPN >A03p053050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22773870:22777015:1 gene:A03p053050.1_BraROA transcript:A03p053050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPGVVGGSSNSDPFPSGLRVLVVDDDPTCLMILERMLKTCLYRVTKCNRAEIALSLLRKNKNGFDIVISDVHMPDMNGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRIEALKNIWQHVVRKKQNVSEHSGSVEETGGDRQQQQRGDDDDDGNNSSSGNNEGNLRKRKEEEQGDDKEDTSSLKKPRVVWSVELHQQFVAAVNHLGVDKAVPKKILEMMNVQGLTRENVASHLQKYRIYLKRLGGVSQGNMNHSFLTGQDPSYGPLNGFDLQGLATAGQLQAQSLAQLQAVGLGQSSSPLIKPGITSVDQRSFFTFQNSKSRFGDGHGPMMMNGGGGNKQTSLLHGVPTGHMRLQQQQMAGMRVAGPSMQQQQQQSMLSRRSVPETRSSRVLPAATHSALNNSFPLASAPGMMSVSDTKGVNEFCNPSYDILNNFPQQQHHNNNNNRVNEWDLRNVGMVFNSHQDNTTSAAFSTSEAYSSSSTHKRKREAELVVEHGQNQQQPQSRSVKPMNQTYMDGGGSVRMKTETVTCPPQATTMFHEQYSNQDDLLSDLLKQEGLLDTEFDFEGYSFDSILV >A03p054300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23302630:23303216:-1 gene:A03p054300.1_BraROA transcript:A03p054300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLTQAKLVDSLEELTGQDVYIHLFWSGPLPSSSSPGVNRYQGWCCDWSNDDAIVWRIGVVKSACSIGFRFREVEASRAPSPSVLFPGGGGFLSSAFAGLSLRGVKVVMCLASPASGFLFPF >A07p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23250304:23256389:1 gene:A07p042960.1_BraROA transcript:A07p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase DRM1 [Source:Projected from Arabidopsis thaliana (AT5G15380) UniProtKB/Swiss-Prot;Acc:Q9LXE5] MKKGAYRTNISSKTEDSPSMKFPSSSPFTSVALWSKAKHSADDDDDVNWNTDDEIDNFQSSPRNILHMDETVAKFIEMGFSMEMIGRAIEETGGENPEPMMILETLFKLSTSSEASSSKSKVIDELIGMGFSEELVIKAIQEHGEENLEEITNALLSYAEAEKMHETENEDINDNYLSDDNDDTNLYSGLSSSDEENELNSFHGDGRLQDLIKMGYPRKEASIALERCDAISVLATYSCIFSNSSGEIASLAEVVDFIFAAQMARQLDEFWAAPDEQEQRRINEPPPRRRRLNTDIASDDELIRLPNPMIGFGVPKEPGLITERPVPIPNIACGPPYFYYENVAMTPKGVWAKMSSHLYDIKPEFVDSLYFCAAARKRGYIHNLPIKNRFEIQPTPHYTIQEEFPLTKKWWPAWDKRTKLNCVLTCIASAQLTNKIRKRLEKHERDPAVQKDVVDQCKKWNLVWVGKNKAAPLEPYEMERLLGFPNNHTRGISRKDRYKSLGNSFQVDTVAYHLSVLKPLYPKGINVLSLFTGIGGGEVALHRLQIPMKLVVSVEISEVNRNIFRSFWEQTNQRGDLIEFRDVEELDDHKIEGLMDQYGGFDLVIGGSPCNNLAGANRVSRTGLEGDQSSLFYDYCRILEAVRSKASRMRRRDRYKSLGNSFQVDTVAYHLSVLRPLYPKGVNVLSLFTGIGGGEVALHRLQIPMKLVVSVEISEVNRNIFRSFWEHTNQRGVLIEFTDVEELDDQKIERLMDQYGGFDLVIGGSPCNNLAGANGSPQNHKNEKVKLKETMFLLRPRRLILLEFTPPLPLPSTDTTQLLHNAFCSKEHIIRRGRRYIRRLNSCLLNSTMQSYWDEIENFKNKVFDELTTKDEKVLEIGIGTGPNMRYFAARNVNVTLLGLDPNPKMKKYARKAAVRAGLNPKSFRFMQGVGEAIPLEDGSVDAVVATLVLCTVSDVTQTLIEIKRVLRPGGSFIFLEHVAAEDGSLFRRLQKLLDPLQQILADGCHLTRNTRECILEAGFSGGAEIETVSMYSFPWITRPHIYGVAYK >A06p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7560273:7562679:-1 gene:A06p016830.1_BraROA transcript:A06p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVDSSGVVNGGFRQVKSFCGDSSSEEELTVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVLEAPTGNEVDDDLDFENTLRNGSDMTSEDTLKPHKSKLRRQRSSRSSLKTLSRSLSSESQSKSSAFTPPQNMMKVDLSKLEMPALLKYWQHFNLVDAIPNPSKEQLIDIVQRHFMSQQMDELQVIMGFVQAAKRMKRACKLQSKEARNTDLNCIS >A10p008760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:290800:295871:1 gene:A10p008760.1_BraROA transcript:A10p008760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPESYKLKALKLHASSFEGVGAVLRSVVVRDSRLKFGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASRIAYEDDHLIRELGGEEYEEPTQEANQDEGGVENEGEESIGSDAEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEEYQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRS >A06p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11806360:11807995:1 gene:A06p023240.1_BraROA transcript:A06p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSSWIRRAKYSHTVCHRIITPRSDSLPITTYRDNKTCSGLKRMPLSSPSDLKSHVGPSQAKQQNRKRATSPSPQIPISDSFKEAKSGVKRFSTPHPIRVEATNKGNFSRKSSFEKLSHALRPLSFSGPLKDRPKSRKEMRSTKSFDHSGNEVTAMGVLDEHRVDTSHLTRGDLFAHGKFSQLYHGVYKGEAVALKITTAPDDCEDRFLGARLEKQFTKEATLLSRLSHPNVVKFVGVNIGNCIITEYLANGSLRSYLHKLEIKSLPLPQLVKFGLDIARGMEYIHSQKIVHRDLKPENVLIDKDFNLKVADFGIACDEENCDILGAETGTYRWMAPEVLSRKPHGGKSDVYSFGLVLWEMAAGAVPFEKMGPVQAAFAVMHKNTRPAIPKKCPAPMKDLIEQCWSVQTDKRPEFWQIVKVLEHFEKSLKNEGRLSLMPNQICPQAKKGNKYWSHIFGSVHHHHHGSSNNNNDTLCPATPKPRFA >A09p012280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6258676:6259484:-1 gene:A09p012280.1_BraROA transcript:A09p012280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNDVTGKVIVIMGVSGAGKSTIGKMLGTALSCDFLDADDFHSSSNREKMRQGIALSDEDRMPWLEKIQESLRERLLSGQTVVLACSSLRKQYREILRGSDPDYKPGSYSSYKVKFVLLEGNAEVIAARLQKRASEGEHFMPLTLLRSQFDLLEADDCEKIFKVSVVLTPEVIVNSVLELVSNDLNFKEDI >A06p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:417824:420223:1 gene:A06p033750.1_BraROA transcript:A06p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEESDSEEELDETNTTIEYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQWGCLSRTKIIPIRNSPEPFLSWVENIDNFIEKNQVPSWEKLRLAVSLLRGEAKAWWRAEEEARWYDEEPIYTWEELKEIMGSKYVPNSQWEETEFDFDLGESNLPYPSQEVPLSTFSAEKEGETQVLCIHVPDQTKRKEEVLEDSSTAAELVYAFVDQGESVKTLTCESLIRPLPYFQFNCVEYLRAVRGLQQVVFEPGGILCVFEGSNNIMGQNTASFKLNLGDLFSFGDQDGWRDLFKRKVISFSDKRGDLVSNMFFTSSITDQSMMGRGKHDLDVVKESPKLKNECGNLGTRPPNHMELSCQFMRRYGQGKHADTSKIFLRQEEGLQCLVFDPGERQEEGLQCFELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILRLVYTKPISTSEENQVKEALKIFNCSIFNTT >A07g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1193421:1194895:-1 gene:A07g500570.1_BraROA transcript:A07g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLFKKSKPQQDVYFLFKTVFEKEQLIFDKKQFASNGFDFVHKQNKRQNRCDDEKWVRSGDRPFTKAKRSNRDVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNNNTSSVPKQQSNSFSLSNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHRVGHYANKCQKQKSLVTLEKVETEPEKKTFYQFSMTMNMNLRKGQVESKIVIIKKDSLPFTNRTELKVSNVLIMEGNDVPQATDHYMEPAQHGVQDVLNISTKVHVFHRTRLDLGRARLSLGGEETKDGHAFSFGGPSGQSRRRPYLYPVHPSGSDESGHLDWSSPFSFIGVNRLVDLVVSQFIFVCCLVVSQRTTFFLRWLTLDRGYIKSHSASLDDPFNPYQFQTCRLPSRIISNTQLK >A05p011220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4771023:4772534:-1 gene:A05p011220.1_BraROA transcript:A05p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNKKNIINLVSNSTHLPAPLTPPPPEIYRLPHPPPKPPNNNTPIPPTLTLSPSPRHSLFLTFLESNLPHHQSLTPKSLTQFLRSHLRHHPLFAHHDFAVFTWASTLDAFRHDHDSFLWMSRSLAATHRFSDLRRLLLFIAANPCPCASGIFSCPKLETLFSSAVDAFCRAGKMDYALLAFETMKRLIDGKISVGVYNTVLNGYVKKKGCDMEKALGFYKRMGKERVKLDVCTFNILINGYCKSGEFEMALCMFKEMKERGCEPNVVSFNTIIRGFFGKGKVEEGVKMAYEMIELGCGVSEATCEILVDGLCRVGLVDDATRLVIDLTAKRVLPKGFDYGSLVERLCRDKKVDRAVEMVEEVWKNNGGAPCLIACTTLVEGLRRSGRAEKASEFMEKMMMNVGLVPDSVTFNLLLRDLCDSGRSMDANRLRVLATSKGFEADETTYHVLVSGFSKEGRRKEGEVLVNEMLDKDMLSDIFTYNRLMDGLSTAGRFSKKQVRLV >A03p014730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5822225:5822774:-1 gene:A03p014730.1_BraROA transcript:A03p014730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSKDSVGAAAAPLLITLLGVEMTEKQLAERFNKTCVKEDPLNEPFDPTRHNVVFHVPDASKPEGTFAHVLKASHFRRMNKIPLSSWTLPALPEKPWFCNICFHEVIKMEHQIHKNDMK >A07p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2186243:2189299:-1 gene:A07p005380.1_BraROA transcript:A07p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRNSFKSHSSYKQIRSPGDQSETSTPEHRPILHDPDMDHHKTESSSSIHEDCRDAPVERDPSYNFWQDNKTSEQAAAAGTSGREPTVMTRKSGRISRSFNFGSGKPPPLEESPTKMAGGEQRQWGGGGGEITVDVDQENEEDASRHTLPTPASTARTSFDASRELRVSFKVREAGSTTFTGSVASSSSTTPSSSSSATLRTNQDTQQQQEDEVVRCTSNTSFQRKSELISRVKTRSRLQDPPREEDTPYSGWRSGQLKSGLLGDIDEEDDPLADEDVPDEYKRGKLDAITLLEWLSLVAIIAALACSLSIPSWKKVRLWNLHLWKWEVFLLVLICGRLVSGWGIRIIVFFIERNFLLRKRVLYFVYGVRRAVQNCLWLGLVLLAWHFLFDKKVQRETKSKFLPYVTKILVCFLLSTILWLIKTLVVKVMASSFHVSTYFDRIQEAMFNQYVIETLSGPPMIEMSRIEEEEEKAQEEIFKMQNAGANLPPDLCAAALAPGKSGRVMNPKLSPIIPKTTADSGISMEHLHRMNHKNISAWNMKRLMKIVRHVSLTTLDEQMLESTYEDESTRQIRSEKEAKAAARKIFKNVAQRGAKHIYMDDLIRFLREDEAMKTMSLFEGAPETRRISKSALKNWLVNAFRERRALALTLNDTKTAVNKLHHMINIVTAIVIVVIWLVLLEIASSKVLLFVSSQVVLLAFIFGNTVKTVFESIIFLFIVHPYDVGDRCEIDDVQLVVEEMNILTTVFLRYDNLKIMYPNSLLWQKSISNYYRSPDMGDAIEFCVHITTPVEKIATIRQRISNYIDNKPEYWHPSAKIIVKNVEGLNMIRLVIWPDHRFNHQDMLERWSRRSVLVEEVIKILLELDIQHRFYPHDINVKTMPTVVSSRVPQGWSENLA >A05g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7159666:7159993:1 gene:A05g502250.1_BraROA transcript:A05g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHLAIFCVILIALFPLHEFVDGQGANAGFCVPVNCDTNDKNRSCATCHIASPRKTLSFKSLAECKAGCKA >SC266g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000114.1:23904:24827:-1 gene:SC266g500040.1_BraROA transcript:SC266g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAMNRSTTVKSRRSICSRTMMLNCLPLRWQWLLDWLKQTNICDEFSGKGCVFTYAAVGSYEKVGYSAQGSGSTLIMRSLTISSRVPARFCFLPVDLVNTVFASATERDIYTGDKLEIMILKADDIRTEVMELRKD >A05p044450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:26691584:26692876:-1 gene:A05p044450.1_BraROA transcript:A05p044450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVKPLDVVASGKGKLRRAFVKVINVKKLTGVVPEGDKDLVKNAANLSESFDKLEEEYEKRLAMEALLAKLFATVSSIKSGYAQLQYAQSPYDPAGIQRADSLVVSELKTLSEMKQSFLKKEFDSNPDRTLVLAEIQELRSLLKTYEITGKKMECQLKLKDSEILFLKEKFQDLTSQNKLMEKRFNQSDHNSPTHFVTYLHHTVKSIRGFVKTMVQQMRFSGWDVDTAADAIQPGVYYYKRDHTCFAFEHFVCKVMFEAFHLPYFSTESSSSKSKEMFLERFTELRSVKVREYIASRPKSRFSRFCRGKYLQLVHPKMEVAFFGHSHVRNQVSAGEFPETSFCGAFLEMAKRVWLLHCLAFSLEPEASIFRVSEGCRFSEVYMKSVSEECLSESEPRVAFTVVPGFRIGKTSIQCEVYLSRSKSTQDSG >A09p065200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52230170:52231793:1 gene:A09p065200.1_BraROA transcript:A09p065200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFIFFSICWPSLSQSFTHSLFIFFCFMQDPKSSPARKPWYQRAMAVARFAANWKTIPKSTPEITRPSRNPSVNKSSSNHQQLRKCTSLKVAANSFTRVCLCAPIGPYDDVFRKYVPPRRSSSYPPSKPLPAVTETAMVTAAARMSVDSGRRIFRGKSLKENALMRRFVAAEEEAMMESRRRDEMEIVRKRYQMRKKKKLGPSPLSRMVIAEEDQQAFHQ >A01p027210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19078327:19078880:-1 gene:A01p027210.1_BraROA transcript:A01p027210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISPAVSLPFPETQMEFAGIMGIMLRKGYCYSQYSTQDSHSVDSFPYTSCSVFGSHVAQYRERSTFQKKMISEQRAGVCLTSRLCLRRLILRSPTNSLIHGRFNPQSTAHFFGVYNSPGGSQVTNYCRERKS >A08p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22798526:22801385:1 gene:A08p039700.1_BraROA transcript:A08p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEITAVRKVFLIGFLILILNWVWRAVNWVWLRPKRLEKYLKKQGFSGNSYRVLMGDISESNKMDQVAHSLPLPLTADFVPRMMPFLHHTVLNHGKKCFTWYGPYPNVTVMDPETLREIMSRHELFPKPKIGYQVFLSGLLNHEGPTWSKHRSILNPAFRIDSLKSILPAFNSSCKEMLEEWEKLASPKGTVELDAWTYCHDVARNMLARASFGDSYKDGINIFQIHQEQIDLSLQTIRSVYIPGSKFLPTKFNKRLRETERDMRAMFKAMIETKEKEIQRGRDTNKNGDLLCSMLASNTKQIKEQGPASGLSLDDLIDDCKAFYLAGQSVTASLFVWTLVALSQHQEWQNKARDEISKAFGNNEPGFEGLGHLKVVSMILHEVLRFYSPAYFTCRITNQEVKLERFSLPEGVVITIPMILVHHDPDLWGEDVKQFKPERFVNGVASATKGRLSFLPFGSGPRTCIGQNFSMLQAKLFVAKVLQMFSVELSPSYTHAPFPAATTFPQHGVHLIIRKV >A02p001680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:733806:734239:1 gene:A02p001680.1_BraROA transcript:A02p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVTLEPTTSFYTGETFKGYSKDKTCVAEPQRTCSGQINSTEIKRYPIFEGLNWALIRCVIPPEMSDFYVFGGRPEDASSRGGNDNRYLECKAIGDHLEFESFQDIGLARREKFLDKSIKE >A01p053790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29846397:29847482:-1 gene:A01p053790.1_BraROA transcript:A01p053790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >A08p024480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15921715:15923666:-1 gene:A08p024480.1_BraROA transcript:A08p024480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTPYCGSKGCKEEHAASDVCGPICYKECPSICPNVDVTDLSPPPVIHHYIDENVKRILIISTTSIITTLFLLTLLVLCFKWYNRRRSIALSRRWSMEEARNWEFDGPSPVIVDHPVWHIRTIGLNPTVISSIKVCKYSKQDGVVEGTDCSVCLSEFEEEETLRLLPKCRHAFHVPCIDTWLRSHTNCPVCRAPVVAVSGDDSEGVEEILVRIPEEESGELDEEAERGGEEEADEFFSVVVSDEEEEKSLQRVRRSVSLSSLSGLRVSEVVAAGRGKEKVKRGNVGSSGRSSFLKRSISYNAATLVKLKEFLQLILCFRYNRLKKKRKLVQKNGLIERYLSALTFTEFKFKIFEDSKFP >A03p059310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25801118:25801682:1 gene:A03p059310.1_BraROA transcript:A03p059310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEVVVVGGERAGAEIVYGAEECYKNSVELLEELGFPKGVMPLKNLVECGRVRATGFVWMKQDTPYEHFFEATNTRVSYALEVTAYVDKGCMKKMTGVKSKQMFMWVPIVEMSMEEPKSKKIYFKTPMGLGKSFHVTAFMDEEEKRNFYLENPKK >A03p014540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5779635:5781785:1 gene:A03p014540.1_BraROA transcript:A03p014540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFNRKFLLFLFIILLDSSILSHSWGWFSSSEQNTDSSFSRPIKSNPEFSIEVFNDQKAVQVLENAKNQLVGPSSCWQTAYSYLISGCKDMVGTEEQRKRFAWHLSDCFQKESGRPEFPTCNDRSTMISCLKKLDDHEHKIYLESHALKNEIERLVNDLKRTAQRTEAKLDILESKSDELLQSTSKIHESLGSVDLVVKNVAHTTTTIGTQVSGLSQQTKDIYQEQKGITESQLELRKGQEKMGEAMKVGMEMFNDTVTDVKQGVDKLKNDTKQIGGEISVLGEKMTSKMTALENQTSAIGTITNTTLDKQQKLLDGQSVAIESIQSLNQFQSEALQESRSTLQRFVEFSQEQQEDLAKRQEELQQVHDHLFENSKSMLAAQEAFEAKQASMFVALDKLFALHNAMLVESRVIKAFFIYFLSIFVIYMFTSTKQTYTIRPRLYIGLCVTLALEVASLRYVNDAENRAWVINVIRSIFAALASAQLLHAAFTYRDYEVLNHDILLRLVDKVNSMQSKGDISWDEDSESEVDWNSWIDTDIIDDGDSLRDPDYKIPEQIMDSSVFTSTSRLYNLRAR >A09p015400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8013571:8016676:1 gene:A09p015400.1_BraROA transcript:A09p015400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNCCSLALRLSLVLGLFFVSCDGFASNEVGALRRFKEAIYEDPLLVMSNWNDPNSHPCSWTGVTCSPSKDHVIKINISASSIKGFLAPELCQITYLEELILHGNLLLGTIPKEIGKLKNLKILDLGNNHLIGPIPAEIGSLSSIMIINLQSNGLTGKLPPEIGNLKYLKELHIDRNRLQGSLLAAGPSGYPSKLFSSNSSANNAGLCKSSLLKVADFSYNFFVGNIPKCLEHLPRASFQGNCMQNKDLKHRPSSQCGNAQLVKTHETPSSPPKHQSADIVAKHHKTSRPKWLLALEIVTGSMVGLFCLVALFSAVHRWKNRPSLIIPWKKSSSVKEKFAVYVDSEMLKDVSRFTRQELEVACEDFSNIIGLSADSQVYKGTMKGGPEIAVISLCVKEEDWTGYLELYFQREVADLARLNHENAGKLLGYCKETSPFARMLVFEYASNGTLYEHLHYGEAALVSWARRMKIVIGIARGLKYLHMELDPPFTISELSSNAIYLTEDFTPKLVDFECWKTILARSEKNLRNINSEGAVCVLPNAMESRYLDVSGNIYAFGVLLLEIVSGRPPFCKDRGFLIEWAKEYLETPEAMESLVDPELKHFNQEELETVCEVARQCLNRDPNNNSNNNNKPSVQELCETLESRISLSISAELRSSSLAWAELALDS >A01p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19865979:19867470:-1 gene:A01p025910.1_BraROA transcript:A01p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYASYLRLARLGTSSGVNLSTLRSITCPKPTFDRTLFDHHDIRSSSSLFRQSHARFISQLVKTNGKRLHLVDTLALVRSLEAQGLPSQQAEAITGAITEVLNDSLGVVSQLVVCKGEMQKAEMTQESNLSKFKSEINSSLDHHFSLLQHENEKLRNDIERIRTDIRHEIDKVTAGQRLDLNLEKGRIRDELTNQNAETSNLTNKLDREIHTLRAQLEAAKYEVIKYCIGTLVSISAVGLAVLRIVM >A07g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20406172:20406785:1 gene:A07g507510.1_BraROA transcript:A07g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRRSSFAVLSSIALSEALVPPLSLTVVRFVEQQRVRLEVSGGEGAACMLRGGGFPGGGGLHRSTVAGSSFREGSLLQTRLRWILVTESGSLQSSTLPLRNPAEDISSFDGTLRREDSAVKNGYGFVGGLSVSKLRRTRISLVVLR >A03g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29629924:29631039:1 gene:A03g508920.1_BraROA transcript:A03g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTDLGSTNTCFPFLFPSFTIFNLPCLHSTRIIQGLDLVVVGGGENIGMDGKLVGREGIVVGNEGKVGIGIGGIEVGIVGRVVCGNVDGNGGIEVGMVGRVGCGNVDGNGGTEVGIVGKDGCGKVDGNGGRGCCWRRWREAEHMLIVIEKERVTKKAMRKILNDAIL >A03p035910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15044980:15046514:1 gene:A03p035910.1_BraROA transcript:A03p035910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRALKYIFGLRSDPIFSSSSSANSLFISGGSPIPSQHRSFTSTATLHGWMDSIKGVFTGNKDTPVEQSNLPVEDFTLLRFADELKNAKRLGKFKQYIVGRSSEATFADAFEKQETIMRYLGARDATGENLQASHKQEAAKQCNCTIADVENTLSKFTWARQAHKKMTELKDGGKSLPKNMGELQKMMGSTPMDMARSNLAKSGQISRNALCPCDVAQRIEETEVELKHPEIEFDPSSVILLLA >A05p018720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8724859:8725270:1 gene:A05p018720.1_BraROA transcript:A05p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPLLLLEIWQKIYLGYDVRAWKDPRIPTSTSPARSARPNVLVAHLIINTRNIPLSENLMDIHILKAEEYVVYVKPSIAKLQHRWGRIS >A09g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20569869:20572777:-1 gene:A09g507020.1_BraROA transcript:A09g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGKFTLSGDASSKKHSEPSGLSVGDPHSKKSKGDASASSPGLSKPIDTTCDSPGVSTGVSNSKKPDGPTVERAKTSVSSGVRGKSAVSSDVRGKAIVSANVVEVMFFKDVKFGPHEGEVRFRLIHFWEARNVLKKILLRLEMLLIDEEGTVIQGFIPSARIDTYLPHMKAGGIYRLNNFFGSKNKTLYRVAEPSVTLTFSSTSALSVLDNSPVCFPDDRFRFYGYEEFEAACDLKGDLYDYVGHIKLVNGEVPHESLVLDESEIASTAVFCFMFKHMTTGGTAKVILVTTLNPKRFGGALTLSSMTSSRVFMDSEVQATREYLNWLISNSDVANRVDADVVTKTETVTIGELFSYMKQAAAKVAWFEYTATVADVVHGSSWYYIGCGVCHTKATKGPTTLMCKKCGKSTIVGVPQFLANISVYDESDQAFFVLLGDAGQELTGKKAAELVESYFEANESVGDDHMVPVPQALSDTIGQTRKFIVKVSSHNLDGKTQTLTVTKVLQSEAPEVEGELEEDVIVTAAQKTLDDGGAEDGPSMDSNVEKVKRAAENDEAENPKRAKCG >A05p043880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26455997:26457263:-1 gene:A05p043880.1_BraROA transcript:A05p043880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIEVHVSEISRNNHRQNALVFNRPLIKRLQKAFFSIKQTLLNITLSLELSLSRSTKIYMEMNGKDLVTVILLVGTLSVGMCSNGWIRAHATYYGVNDSPASLGGACGYDNPYHAGFGAHTTALSGALFRNGESCGGCYQVRCDYWADPKWCLRGAAVTVTATNFCPSNNNGGWCNLPRHHFDMSMPAFFRIARRGNEGIVPVFYRRVGCKRRGGVRFTMRGQGNFNMVILSNVGGSGAVKAVAVRGSRGKTWRQMTRNWGANWQSSGDLRGQRLSFRVTLLDRKTMTFLNVVPSSWWFGQTFSGRGQFL >A04g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7616733:7617166:1 gene:A04g503500.1_BraROA transcript:A04g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRDLFVAARTASGGLFFVFSAVVVVSAVGGGEWPHSGSSPEFAFRSQISLETVRSGFVHVQVAHLQRVLLILLKRFVEYYLRNVLHEVGAILEFVY >A05g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28553881:28554229:-1 gene:A05g509390.1_BraROA transcript:A05g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSLPNGHNQLVSHTFRLLVILSFFFCFSRLAGREDSYTVEEKILKLQKKKEVVFEGQDRWWFSGGYRKVDSGRYVLYSLVLL >A06g507340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20297521:20308095:-1 gene:A06g507340.1_BraROA transcript:A06g507340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGMWRYPRNPYCCIYRVPNSIREVNPEAYTPQLVLIGPLHHSLKSQALKAIDLGDDITFTKSMAYLNMDECKKNYLADFAARFEGEMIIDGFKRMIEEEEETIRESYSESTAWIQSPEFVEMVLHDSVFIIEFTLRVTKGEWEIGDPLFDGICLLSTIINDLIMLENQLPYFILEYLFDPIVPRISQNQTFRELIINFFGYQGKIDKDSEFINFTDLSRLVSVETLPNHETLESKPMEHMYNTDKLDSGGVKFEAVGEEFSEELSLNVRFENGCLVMPSLMVVDKLELTLRNIMALEQCHYPFNSYVCSYINFLDQLIDTDRDVELLVEKGIIQNWLGQPASVAQMVNKLGLGISVDGSYYSDLAVEVNTYHRNPVNRSKAVLKRVYFGNLWIGTATIAATFLLVMTLIQTVASDIKLPRKMHRGMWRYPRNPYCCIYRVPNSIREVNPEAYTPQLVLIGPLHHSLKSQALKAIDLGDDITFTKSMAYLNMDECKKNYLADFAARFEGEMIIDGFKRMIEEEEETIRESYSESTAWIQSPEFVEMVLHDSVFIIEFTLRVTKGEWEIGDPLFDGICLLSTIINDLIMLENQLPYFILEYLFDPIVPRISQNQTFRELIINFFGYQGKIDKDSEFINFTDLSRLVSVETLPNHETLESKPMEHMYNTDKLDSGGVKFEAVGEEFSEELSLNVRFENGCLVMPSLMVVDKLELTLRNIMALEQCHYPFNSYVCSYINFLDQLIDTDRDVELLVEKGIIQNWLGQPASVAQMVNKLGLGISVDGSYYSDLAVEVNTYHRNPVNRSKAVLKRVYFGNLWIGTATIAATFLLVMTLIQTVASLIQVKQNAS >A07p025890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14864260:14866298:-1 gene:A07p025890.1_BraROA transcript:A07p025890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFKQGHSRGTSKGKIGNESIINCTPLFFVFFLLGSLTLPSPFSKRSIPSPLIFGERERDSETMVKICCIGAGYVGGPTMAVIALKCPHIEVAVVDISVPRINAWNSDQLPIYEPGLEDIVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSASDKIVVEKSTVPVKTAEAIEKILMHNSKGIKFQILSNPEFLAEGTAIADLFNPDRVLIGGRETPEGFKAVQTLKEVYANWVPEGQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCESTGADVTQVAYAVGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICQCNGLPEVAEYWKQVIKINDYQKNRFVNRIVSSMFNTVSNKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEEQIQRDLAMKKFDWDHPLHLQPMSPTTVKQVSVKWDAYEATKDAHAVCVLTEWDEFKSLDYQKIFDNMQKPAFIFDGRNVLNVDKLREIGFIVYSIGKPLDAWLKDMPAFV >A08p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15725718:15729331:-1 gene:A08p024080.1_BraROA transcript:A08p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATAMARYIDNEEEEDEDVCRICRTPGDADNPLQYPCVCRGSIKFVHQDCLLQWLNYSKAHQCEMLLRFLKRRSLVQVCKHRFSFTPLYDDKAPTRLPLKEFVVGIAMKVFRCRVSHASLRLSLVLLACLLTVSFIMFWTWRLSFVKSFGEARRLFLSHMSTVLVLTDCLLGFLLPATIIFILHKAASLRNFFRHLGRNFLFNELVRIQSPLFRLAKIVFAIVASNMIFLGVVIFVPFTLGRVIILHHVASADLLKGFVAGPSKLYDDVTTLTVGYMFVVFLYLGIIALIRYFKGQQLLNFDRLYGVAASILLIGIKLGAKVACYFGVFPLMCGWWLDVCTVLRREALFFLYDPEDDDDDESFQFLIEETVHKFARDVLFDSAKYGSLIFLLVVSDPFTEIPAGLLLFTICTQFIIKHFRLWTTVKSLVRCWFTSVCLALGLTDLLLPRPEDNVGQDNGNGEPGRQNRGPERAMDVLPATVDPNRSLVLAGNAEQCHSGYVFVLRVVLLLLAAWVTLLLFNTALIVVSVSLGRALFNAIPTLPITHGIKCNDLYAFVIGTYAFWTTISGTMYAIEHSKSERTLVLLNQIWRWCGVVFKSSVLVAIWIFIIPVLIGLVFELLVIVPMRVPVDETPVFLLYQDWALGFIFLKFWTTSVSTLFFLFFWLYHVTKNDNNGQIILLDDSWKAKFERVEEDGFTKLQGLWVFKEIVSPILMKLLTALCVTYVLAKGVFPMLGYPLVVNSAVYRFAWIGCLSASLFFSCAKRCHVWFINLHNSICDDLYLVGRRLLNFEEAALAKRKSSASEDGEGT >A05p003380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1223153:1235827:-1 gene:A05p003380.1_BraROA transcript:A05p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFFVLAISFALLLSLFDSSGESPKHGESMLTVHFPDFHLIPTTGALGPESFAFDFYGDGPFTGLSDGRIVKWIANESRWMDFAVTTPTREGCEGPHEHQRTEHECGRPLGLAFEKSTGDLYIADAYMGLLKVGREGGLANQVSTRQLDKPLRFTNAVEIDPRTGVVYFTDSSSVYQRRNYIGAIMSGDRTGRLMKYDPKTNQVTTLVSNLSFANGVVMSQNGDYLLVAETATSRILRYWLNDTSVSKSHEKYEIFAEGLPGFPDNIKRSPRGGFWVGLNTKHSKLTKFAMSNAWLGRAALGLPVDWMKVHSYWAKYKGNGMAVRLSEGSGVISEVFEGTIGNKWISISEVEEHDGTLWVGSVNTPYTGDEEKTDPLHCLNKMCALVPPLFPHFDWPCGDQSFFVNDDLTNTFLDFPLPDLEVAHQNASSERHGVLEVKNQVVMKKLNHNASERDRRKKINTMFSSLRSFSHALNYIPELQEQVKQLIKKKDDLCFQISGQKDLVYADQNGNPEKGGTRYASTVSATRLGETEVMVQISSLQTVKCSFGNLLSGTEEDGLVLMDASSSRSQGERLFYTLHFQMNNCNLNFEELNDRLLYLYEKCGKSST >A03p026000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10952051:10952431:-1 gene:A03p026000.1_BraROA transcript:A03p026000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSSEPFSHTPTRLVLYLKTHTHVRIPRLSRRRRMCWEEKEMEINNIKLYMENQHIIHENEKLKKKALLLHQENKALFSLLQTKTSSHVP >A03p060230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26109866:26117038:1 gene:A03p060230.1_BraROA transcript:A03p060230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase SRS2-like protein At4g25120 [Source:Projected from Arabidopsis thaliana (AT4G25120) UniProtKB/Swiss-Prot;Acc:D1KF50] MENHPPNRGMWNQEQSHTTRISQCFRIAKRPSENAANSSTPFPQRSKDSMATESHAHRSSFSRIPLMELSANTPPPKLLKLGFESSHVGDPSPSFSLDKEVINAPHTADKRVSLDPFVTPLKDESERVSLSYGGGCSTSSLLDDEIDDSILEEIDAICEQSVRKAACQTPNTSMTETPSRDYQSNSSLLDDEFDDSVLEEIDAICEESARKIACQTTSTTSMTQTPSKDNKSSDLEGGLDSRGVKMFKPDSEVKLELNEEASVAADPALITSMPEECSKYMQSLNDRQRDAACSDISTPLMVIAGPGSGKTSTMVGRVLVLLNEGLQPSNILAMTFTTAATAEMRERIGKSAGKKAAKEITISTFHSFSLQLCRMHADKLQRTSEFSVYGHGQQRRAIIEAVRLYEEGKNGSSTSGACESAEGQSGAGAGAVCPEYAKDRSKKWQKYVTQAKASGRTPEECRKMGNEIGAKILGNYSDILKACDALDYHDLISCSVTLLSDFPEVFKECQDTWKAIIVDEFQDTSTMQYKLLRMLGSHNHITIVGDDDQSIFGFNGADSSGFDSFRRDFPNYKEITVKECHNEEAQCAFVIDKIIEITNDGSTPCCSHGDIAILYRRQVSGKVFQNAFRQRKIPFNVHGVAFYRKKVVRIILAMLRTTFSECDDASYRRVFKALLPFEKEEKKRVIDHIDKISTSRKCSFISAASDIFSAKISGTFKRNQLTQGRKVLQTLGMVAKLVDREQSLSAVVTCVANMIPQKYLLEQRAVLDNDGGKLLNEDNDLRSVLQYLMDDVAEFLSTHCTTTGEEVEATKEKKGRNQLNSFINYISERETENFRLRRHDNQNSVTLTTIHQSKGLEWDVVFIIRANDNEIPLLHESNGTASEGGTSLEEERRLLYVAMTRARKKLFFLYVTVDSNWQVLQPSRFLKEIPSHLLQGDLSINDCRQVHQNLPNKTEQSVSDFGTELKHEDNKPTDKNMMNIPVDDATEESLEAACALNGNNFLKRFDVEARSVVSHLFHNWAKKQAFQDPKRLIDKVRFVIGERLAIKKEKHKDVLRALKSSLTSDEAFQYAEHVLRWEQLPADTRAHIMREKQEHFQKLRIENSMGTSEATSKQIAFLHSLGCTVVPTSRLHASRLIEQYKSL >A02g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18954656:18955620:1 gene:A02g506780.1_BraROA transcript:A02g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGIRPTLCLFSVFLCVSGCRRKPWRACKGTDLPSLYRRRGGYFLTIAFLRPRLIAHAHDPTTRALQPIGTHGSSTWPGHCPDPNQLPKTRTLSPAEFLMPLTLLPGYDTLVFGPYDHTGAPPRTAVRPDDPIQNRGHDRRQHFLGDRFTGRDGVTQGEGLGSWGLDWILPGGRKNRRTCTGDVAHLDITILLDIAGRRHLGGEKFLRENAMGT >A02p046800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29276374:29278957:-1 gene:A02p046800.1_BraROA transcript:A02p046800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLYHLRFSEIKKPKSDLTISPNSNTMKPPTTLLLFVGALIFFAAGNVRSDASDHRFKEGDSVPLYANKVGPFHNPKSCDAMDDIVISSSDDLYFDLPFCVTEGVKDKKEAFSEVLNEMRKNCKKKLSRKEVEQFRRAVEKDYYFQMYYHDLPIWGFIGKVDMESKSDPSEFKYFLYKHIQFEILYNNDRVIEINARMDPHSLVDLTEDKEVDAEFMYTVKWKETETETPFEKRMDKYAMSSSLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNYFMNTIFIFMLSLVGVLYPYNRGAIFTALVVIYALTSGTAGYTASSFYCQLEGKNWKPFLSTKRSSKLQSKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFATVWGHRIYTIYSILIIVFIILLIVTAFITVALTYFQLAAEDHEGWWRSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALLYVRHIYRSIKCETKKMKKEIRTL >A06p023350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11756336:11759239:-1 gene:A06p023350.1_BraROA transcript:A06p023350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABA2c [Source:Projected from Arabidopsis thaliana (AT3G46830) UniProtKB/Swiss-Prot;Acc:Q96283] MANRVDQEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTTQVEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNALRWLRELRDHADSNIVIMMAGNKSDLNHLRSVAEEDGHNLAEKEGLSFLETSALEATNVEKAFQTILGEIYHIISKKALAAQEAAAANSAIPGQGTTINVDDTSGGLVRFFSQRSFVSILRCCVINISSPVVDLGLRKVSSRAALLLRVLSSICGGLGGDCSCVETDLPSTNNIRYLCFHLSYVLGGPESVRWRLCCDSPPIVVYGSFYLGVKWTSKSVFKPYLKVLWIVKRSFLSDCEAWVLVVSWDGIVRQAIGGSDRSRLKRLCVMGFTSGWNRVVV >A03p042910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17908559:17910034:-1 gene:A03p042910.1_BraROA transcript:A03p042910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAATASLIQSPLLPHETSRNGAGSMFLTTSAPGFTPSGSKLQLRLKQNAFTRFSKPLQSSTTTAFKTRRSFVVKASSSSDDASSKPIAPLQLESPAGQFLSQILVSHPHLVPAAVEQQLEQLQTDRDSQGQNTDSSSSLPGTDIVLYRRIAELKENERRRMLEEILYALVVQKFMEANVSLIPSITPTSDPSGRVDTWPTKVEKLEQLHSPEMYEMIHNHLALILGSRIDSKLRVGQVYAASVIYGYFLKRVDQRFQLEKTMKLLPGSGGAEEKQPEDVLSHPEVGSFAGGVSAKGFGSEIEPSRLRTYVMSFDSETLQRYATIRSREGVGIIEKHTEALFGKPEIVITPQGIVDSSNDEQIKISFGGMKRLVLEAVTFGSFLWDVESHVDARYQFVLN >A09p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2252864:2259870:-1 gene:A09p003930.1_BraROA transcript:A09p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTADAKPVPPAEAEKKKEQSLPFFKLFSFADKFDHLLMITGSIGAIIHGSSMPVFFLLFGEMVNGFGKNQMDLHQMTHEVSRYALYFVYLGLVVCVSSYAEIACWMYSGERQVAALRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGITSKSRESYANAGVIAEQAIAQVRTVYSYVGESKALSSYSEAIQYTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRSGTTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIINQRPTIVQDPLDGKCLEQVHGNIEFKDVTFSYPSRPDVIIFRNFSIFFPSGKTVAVVGGSGSGKSTVVSLIERFYDPNNGKILLDGVEIKTLQLKFLREQIGLVNQEPALFATTILENILYGKPNATIDEVEAAASAANAHSFITLLPKGYDTQVGERGVQLSGGQKQRIAIARAMLKDPKILLLDEATSALDASSESIVQEALDRVMVGRTTVVVAHRLCTIRNVDSIAVIQQGQVVETGTHEELIAKSGAYASLIRFQEMVGTRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAESDRKTRPPQNYLYRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTDYVSMERKTKEYVFIYIGAGIYAVIAYLIQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEDEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIIEWRVSLLILCTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQSKILSLFSHELRVPQKRSFYRSQTSGFLFGLSQLALYGSEALILWYGAHLVSQGKSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSVLDRQTRIDPDDADADPVETIRGDIEFRHVDFAYPSRPDVMVFTDFNLRIRAGHSQALVGASGSGKSSVIAMIERFYDPLAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAATIFDNIAYGKDGATESEVIEAARAANAHGFISGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDAESECVLQEALERLMRGRTTVVVAHRLSTIRGVDCIGVIQDGRIVEQGRHSELVSRPEGAYSRLLQLQTHRI >A01p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3931042:3934602:-1 gene:A01p008170.1_BraROA transcript:A01p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPMKVSPFDLMSAIIKGEPVVVSDPANASAYESVAAELSSILTENRHLAMIVTTSIAVLIGCIVMLVWRRSGGSSGNSSKRVETTLKPLVIKPRDEEVDDGRKKVTIFFGTQTGTAEGFAKALGEEAKARYEKIRFKIVDLDDYAADDDEYEEKLKKEDVAFFFLATYGDGEPTDNAARFYKWFTEGNDRGEWLKNLKYGVFGLGNRQYEHFNKVAKVVDDILVEQGAQRLVHVGLGDDDQCIEDDFTAWREALWPELDTLLREEGDTAVTPYTAAVLEYRVSIHNSEDALNEKNLANGNGHVVFDAQHPYRANVAVRRELHTPESDRSCTHLEFDIAGSGLTYETGDHVGVLCDNLNETVEEALRLLDISPDTYFSLHSDKEDGTPISTSLPPPFPPCNLRTALTRYACLLSSPKKSALVALAAHASNPTEAERLKHLASPAGKDEYSKWVVESQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPKIAETRIHVTCALVHEKMPTGRIHKGVCSTWMKSAVPYEKDENCSSAPIFVRQSNFKLPSDSKVPIIMIGPGTGLAPFRGFLQERLALVESGVELGPSVLFFGCRNRRMDFIYEEELQRFLESGALSELSVAFSREGLTKEYVQHKMMDKASDIWNMISQGAYVYVCGDAKGMARDVHRSLHTIAQEQGSMDSTKAESFVKNLQMSGRYLRDVW >A09p058650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49340005:49341702:-1 gene:A09p058650.1_BraROA transcript:A09p058650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLFIMMFGLSSLQIQSQTIPRNISIFILAGQSNMAGRGGVYNDTAKNITVWDGIIPRECRSNPSILRLTAKLEWEEANEPLHADIDVNKTNGVGPGMPFANRVVNHFGYVGLVPCSIGGTKLSQWQKGEFLYEETVRRAKAAMVASGGGSYEAVLWYQGESDTVDMVDASVYKNRLVQFFSDLRNDLQHPNLPIIQVALATGAGPYLDAVRKAQLETGLENVHCVDAKGLPLEPDGLHLTTSSQVRLGQMMVDAFLAIPNSAELHSGFSVLVLFCLFFL >A01g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:440453:440895:1 gene:A01g500140.1_BraROA transcript:A01g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEQIRELRYGQDNATATRSDFRCGEDDRTANRFEIRYGEDATTSRSHLRCGKDDDKLSCLLCFVIMFSDVSIRDFTDDAQLEAVIKSYKLLSIC >A10p013120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5469727:5470270:1 gene:A10p013120.1_BraROA transcript:A10p013120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGRSRQFAFIGFRKEEEAQEAITYLNKTYIDTLRISVEVANPPSSFDSLEKEGKFNENGDKTSDANYAQGTIKIKKKPEGDDPRLQELLEDKRKFWSNDMFIPRKEKISPVIAIGAMRNKAKTLLLLVMIALFFYKTLPWAVRQNKYTMTEAN >A06g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7490670:7494848:1 gene:A06g502110.1_BraROA transcript:A06g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSKEFAVSVFDALARRRRQKLEKITRDELHDFWLQISDQSFDARLQIFFDMVDSNEDGRITSQEIKELLMLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQRDAYMNYSRPLSTTSVGMSGMSSPRRNLIRPRHVVRKCRQTLQCLVLDNWQRIWVLLLWVIVMALLFVWKFFQYRDKAAFKVMGYCLTTAKGAAETLKLNMALVLLPVCRNTLTWLRSTRARAFVPFDDNINFHKIIACAIVIAILVHAGTHLACDFPRIINSSPADFALIASYFHGVKPTFKDLMTGAEGITGISMVILTTIAFTLASTHFRRNRVRLPAPLDRLTGFNAFWYTHHLLVVVYIMLIVHGTFLFFADKWYQKTVSMLPGEVLSLIMSKPPGFKYKSGQYIFLQCPTISRFEWHPFSITSAPGDDQLSVHIRTLGDWTEELQRVLTVGKDLSTCVIGRSKFSAYGNTDSSQQPKLLVDGPYGAPAQDYRSYDVLLLIGLGIGATPFISILKDLLNNSREEQTDNEFSKSDFSWNSNTSSFTTITPSSTQGGKKKAVKAHFYWVTREPGSVEWFRGVMEEISDMDCREQIELHNYLTSVYDEGDARSTLIKMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFSSIARKHPNSTVGVFYCGIPTVAKELKKQAQEMSQKTSTRFEFHKEHF >A03p019830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8125386:8128462:-1 gene:A03p019830.1_BraROA transcript:A03p019830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGASEALTDPSSLYNVGNNDLSDTETVLNVRNALASVQNGESYLLYDQFVETIQINNRSDHALGAQLDALLKALSGSVACIDVNHHRRILSGIFGMSLWDHKPHVMDSLMDLIISLAATSGKYLDNCLNMLIRHFVPPPWVIDRLWQGRVIEQKQHVLSRVHGALLKISLLVPLAPSRLLPMLAHQLPKINKKDQVVVIYVENLLKLENSSIGQVGGGMIFMMVMERLRDLDLEIDWNDILQDDSNRGMFDMELEDAMNEGDELPVGSLNQDTSGGKIESLDKLMVTCFDHLESCNLHGRLDQVFEKLFDSFENFILNTYKSKVSQFLMFYACSLDPENCGVKFGSKLLDIFLSSNKPRPTRQVSLSSLWMSAVAYLASYLARGKFLPVSYVATMLKRLVDECADYCRTCNDDIRPEAHQLFYSGCQAIMYVLCFRMRSILDVPRFRSELIPLESILMHKLNPLMVCLPSVVAEFLRQAKAGGLFVVSDSFIFEDLLESELSRAFGGCERLDTFFPFDPCLLKSSNSNISGNFIYWSMVRPTYDKDDDEDDAEIIVNGDEESDEEDEGDLDYALNKMSITPKHSFKNNMERERLMKMPSMIRPSTSPESL >A08g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20674696:20674961:1 gene:A08g509760.1_BraROA transcript:A08g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSARKLLSHSLRRNRVAVGSPFMASTSTSSLPIPCSASSTGTLGTDSE >SC304g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000149.1:5366:7091:-1 gene:SC304g500020.1_BraROA transcript:SC304g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYPVFQPCMSLPDGECLFGSRGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSLCSQEVFLVHHPSELKEEDFAHCVEQWRVEREVVMRHWCEVSLKLTCKLGLILNPSLRRGVYLHDPRELGGYSRRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLICVSEHFNLTRG >A04p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4619729:4624182:1 gene:A04p013760.1_BraROA transcript:A04p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERNGSKEEGFQLQGCSSEPGLEAESVTEMNNKKTVKPIDREVNNITRKVLRSGSVAIDTDKHDVAVGEKGDDLSEVDEVKEGKALLSVANDTEHDVAVEEERKGDVSEVDKISCEVKEEANSELVESPVPKETHGKEAQVKRKRGRPRKLQISSQSDGNEEKTKVSLAEELKVKRKRGRPRKFPITGGQSDTTPDTVDSIDDSSRPKRNCGSRAKGPESDGRGRKMGHKRGRPKTKKKPGETDELDCKATMRLRPCESSLKSRHNNKPLGDGEMRSGEEKIDDGRRSKLQSKKRLSDRILQLLLAAGWTVEYRPRKERAYNAAVYVNPEGKTHWSVTKAYEVYKTNLERSMTDHYQVDSGLGLLPEEDLHLLRRKVHKKRSDTGKPRKDTDTTNEDTVVSRKRLGEKAQKKRKVSHKFERSSVSVRKIKREEKHNRKRGALSARSSLSDADSNENGYILFEGKRTMLGWMIDSAIVPLNGKVECKNTQGIITKEGIRCNCCAELFSALDFEVHSGGKPFKSLYVEGGNSILQCFLESWNKQSEAVLKGFHVVDFGSGDQNDDTCAICGDGGDLTCCDGCPSTFHQSCLGIKKFPAGSWFCCNCSCKFCEKVEAANHGTTTTLSPLLRCHLYHQACIKQDGTVPVESSTLPFCGKYCQELFDGLQLLIGVKHSLPEGFSWTFLRRFEMPREVSDSDISEKVAYNAKLAVAFSVMDECFSPLVDHRSGVNLLENIVYNFGSNFHRLNYSNFLTAVLERGDEIIGVASIRIHGNQLAEMPFIGTRYMYRRQGMCRRLMNGIESALSSLKVDKLIIPAVPELMDTWTSGFGFTPVSESARKTIKNLNLVVFPGVDMLEKSLAKDNGELLLAAEMSLPVDVEETKPEECKDELDCGTADAESPSYQVDSCLKSMDVVKGVNDTDLNLKSLEEKEEIGTGKLTDKEVDLPHVVVVDNQRESNLGVNDVTHEDQTDRKRQQSGDLKSSGVKAEGTEEPDEESDCFIIENSQPLGNGGTEKKIGSKALSLKKGVASRLRVSPRLIQGSWGTSRVNKRYTGTNAVLIGSSHGRCV >A02p050020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30946027:30946236:-1 gene:A02p050020.1_BraROA transcript:A02p050020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVLVGVVLFVILSPGLLFSIPGNNRGVDFGNLKTNGKAIAVHTLIFFAIYSILILAVNLHIYAG >A04p000930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:446062:446340:1 gene:A04p000930.1_BraROA transcript:A04p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASFSVRIALLFLVFCLIGLQAADGQAGGDICGGVPDPFACPTNCVQEDLVCGADGVTYSCGCDDAACNGARVVKRGACDASNAGSDSVRG >A03p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19219497:19220391:-1 gene:A03p045720.1_BraROA transcript:A03p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRSRSMQTLMANTNKKQSKTTPFPRLFTAFTSFKSFTENDAVSSPTSILDTKPLSVLKNPFGPDSLKTHEPEIRLKLEPKRIGLALVDTLIQEEPGLSQPRSGSILFGSQIRIRVPDYPRSSADFGTKTKNSPTSPPPEETKISVPGSGLGSARIFTGYFSTSDMELSEDYTCVTCHGPNPRTIHIFDNCIVESKPGVVFFRGSDPVHESDHLPPDSFLTTCCNCKKNLGPRDDIFIYRGDRAFCSSECRSLEMMSEENDF >A06g507070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19584829:19585673:-1 gene:A06g507070.1_BraROA transcript:A06g507070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYTLKDPTAHGRDYLVEPFNLAFNMNITYGIFKNKLDEFKKSYKMWKFLMKSTATPEWWDNHEAGCREMPKFWDIMVRCFALHDVYSQPQHSARQRRQEIMNERRADDSTHWCSDFDGNEKLDTEVPNTQENEEVYRVNLDDDSHPSNEFTHDAVRINIGRGEQRGRHGSSSHSSGRRGGSLHRSGGSSGTNVGSDSRGSRRKQSFETTMQETITGFRDFQRQSLQQLLPGAFD >A02p006040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2564968:2566997:-1 gene:A02p006040.1_BraROA transcript:A02p006040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKLAFCPPSPSSYRLVSDEVTGLLLMNPFPHRENVEILKLQTRKGTEIVAVYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLKVNLMGYDYSGYGQSTGKPSESHTYADIEAAYKCLEETYGTKQEDVILYGQSVGSGPTLDLAARLPRLRAVVLHSPILSGLRVMYPVKKTYWFDIYKNIDKITLVNCPVLVIHGTSDEVVDCSHGKQLWELSKEKYEPLWLEGGSHCDLEHYPEYIKHLKKFITTVERPLSSRASTCQSENQSSDVEMPRQSVDRREKPPRQSVDRRVKEKPPKGPSRKSKLRITFEQHLDRSRRSVDLHDKSRKSVDQHHQIERGRKSVDRLDRVRSE >A03p028350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11892202:11897032:1 gene:A03p028350.1_BraROA transcript:A03p028350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHQHHHPHLITKLLFLFLNFYCLHANELELLLSFKSSIQDPSRQLSSWSYSSTNEVCLWNGVVCNNFSRVVSLDLSGKNISSQILTSTIFRLPFLQTINLSNNNFSGPIPHDIFTISSPSLRYLNLSNNNLSGSIPRGFLPNLYTLDLSNNMFSGEISEDIGLFSNLKVLDVGGNVLTGHVPACFGNLSRIEFLTLASNQLTGGIPTELGMMKNLKWLYLGYNNLSGEIPYQLGELSSLKHLDLVYNNLSGPIPPSLGDLKELAYMFLYQNKLSGQIPPSIFSLKNLRSLDFSDNSLSGEIPELVSQLQSLEILHLFSNNLSGKIPEGLTSLPRLQVLQLWSNKFSGEIPASLGKHNNLTVLDLSTNNLTGKLPDTLCDSGNLTKLILFSNSLHHVIPPSLSECQSLERVRLQNNKFSGELPRGFNELQLVNFLDLSNNNLRGNLGTWDMPQLEMLNLGKNKFTGEFPDVSRSKRLKKLDLSGNRISGVVPVELVTCTELMDLDISDNEITGVIPSELSSCKNLVNLDMSHNNLTGDIPSSFSDFSVLSDLDLSCNQLSGEIPKNLGNIESLVQVNISHNLLHGSLPSTGAFLAINATAVAGNNNLCGANNVSGLPPCNVVRKTSTKSWWFVVMLTFVAFLAVLVSGFFIYLVVQRKHNVLKVERVEQEDGTRWEIQFFDSRFIKSLTVNAILSSLNEQNVFVDENGAKFVIKKVNKYDTLPDISEIMKLPEHKNIIKLVATCRSEEVAYLIHENVEGKRLSDILNCLSWERRKNIMTGIAEALWFLHCRCSPPVVGCDLSLENIVVDVKDEPRLFLGLPGLVCMDTAYMAPETREHKEVANKSDIYGFGILLLHLLTGRRPYGDEDIESGLRGSLLNWAKDSYSDCHIDTWIDSSIDMSVYEHHEILHVMNLALHCTLVDPQERPCTKNLLKALELTSSSCTTYFPKVLSLT >A07p028770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16239739:16241705:1 gene:A07p028770.1_BraROA transcript:A07p028770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVISYSRGILLPSVSSQRSSTLQRLKSSSIFGDSLRLTPRSQLKATKAKNNGAFTMTKCEIGQSLEEFLTQATPDKGLRTLLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGADQVAAAMGIYGPRTTYVLAVKGFPGTNEFLLLDEGKWQHVKETTEINEGKMFSPGNLRATFDNSEYSKLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLVENAGGFSSDGYKSVLDKTIVNLDDRTQVAYGSKNEIIRFEETLYGTSRLKNVPIGATA >A08g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2693164:2695876:1 gene:A08g501120.1_BraROA transcript:A08g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGLIIYKNGEQRFKKLRKIFFKTIRNKLRAERLREIDKDIELLFNKVSRKPKKTLNKEQNPGKFLISCSIHNHHLPNALCDIGSAVSIMAIDTAKLLELKMEPFKDSFTFVDSFRVNSADMIKNIKVEIGECIIPMDFHAMDIKSGKTSPLLFGRVFMATVGAICDPKRNKMRLTNVDETVFYDPMEKKKSEELISCIEKFEDPGPTVDSNCVPAIPESVSVDIRIAASSLESIDTKPSASVDTLRLSEQCEAEQSKSGGRTKNRKKKKNMNADAYSLSVVPLQFQKGSLENRVRCKGASEPFTKDRVLCDPELREKEEEPKLTSNTKLDTTACFGVWYAWDWILQTSLEGGIRTTIKAWFIRIPTKEFERDLVAASSRFDSHEFLDICQKEVNITWWQPLLRLDSWKYVQFWSMILQ >A09g518880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57737715:57738877:-1 gene:A09g518880.1_BraROA transcript:A09g518880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNHNATEDDLKKAYKRLAMIWHPDKNPSTRRDEAEAKFKRISEAYDVLSDPPKRQIYDLYGEEGLKSGKIPDSEASSSRTPHFYHHQHPPDAASFRFSPRDADDIYAEIFGPEGGGGGHRTFRDGSFRNSHYSELRKAPAVENPLPCTLEDLYKGVKKKMRLSRNVYDASGKMRVVDEILPIEIKPGWKKGTKLTFPKKGNQEPGIIPADIIFVVEEKPHPVYKRDGNDLLVSKEITLLEALTGKTLDLITLDGRNLMVPLTDIINPDHEVVVPNEGMPISKEAGKKGNLRLKLNVRYPSKLTAEQKSELKRVLG >A07p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6758363:6760728:1 gene:A07p010800.1_BraROA transcript:A07p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMVFHHMVLIFQSFKDASFSNLDLDMQVFQIWKTFELEDFQTTFRKSSDRVFNQMIIIFHLDMYVFQTTSKKSSRRFPGSPLTGSSSISSGSSRKSQISDTIRSNAKLTRFPYTTYMEVVLIFFLTNKDGRLPCKSSRKTYLKVNCKTNLCIDHKTSMKSSGRTDLEKKINFKVSTSEITCLAHKIILQAPKISNKSDPPRIISFNGSMNHKNFRIKILVFWMNMERKCIKSFKLVVHGG >A06g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25145872:25147245:-1 gene:A06g508870.1_BraROA transcript:A06g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPDYMIQLADKNQDDKRKSLLEVVKDTVLSHLTKKCPPHESRQELLRRVAAGGGAFESKDGNKLHLPGGNLNDIANQAGDLLETMETRPVVPDRILLARLVSRNMMGGGILDERNDRGFSTLPESEVLLLCPSHHVYELGALSLKQVQMILAMEKILQPRRKKAEGIKWKGKYDQLKHFLFNKKFLLNQRQAFISVLLGLLGSIQGRKPLPVRPGMFVETVTKVMGSIYSGNASGITAQHLEWVHQKTVQVFEEIAY >A02p050640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31216215:31217097:1 gene:A02p050640.1_BraROA transcript:A02p050640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQHSSFAGQTALKPSNDLLRKVGASNGGGRVVMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHLADPVANNAWAYATNFVPGK >A06g503370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10300119:10300325:-1 gene:A06g503370.1_BraROA transcript:A06g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMFIHLVPYRSGLVIERITAGMFRAGCGPQNAGPNPYHKTYRPSRTVPRMPPYQTAYYNFLYECSS >A04p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:612520:612815:1 gene:A04p001210.1_BraROA transcript:A04p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan protein 20 [Source:Projected from Arabidopsis thaliana (AT3G61640) UniProtKB/Swiss-Prot;Acc:Q9M373] MSLRKYAAVITLIVFAVVSPLAGAQSLAPAPSPTSDGTSIDQGIAYLLMVVALVLTYIIHPLDASSFF >A01p006620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3366398:3366790:1 gene:A01p006620.1_BraROA transcript:A01p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSERVVRLEQHDEITTGDDLVIHPIPQDLALAVSAIYDRMMVDVEELLFNQIRDTFLSYTSTPSYLGFVGLPDEECQQPRPALRNARRRRPPPARVSRRSSQVSPPVYLRHRFLPQSRKDSSSRRQR >A08p035030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20730275:20733518:-1 gene:A08p035030.1_BraROA transcript:A08p035030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGDGDATASITQPASSYPTLKVSASYKESGGGKSFSKRRPIKLSLDDGAAENEFITQLHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERQREKAVEELTEELAKLDEKLKLTESILQSKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTIEGALAKAAMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVADVEKLTQTVKELEEAVLAGGAAANSVRDYQRKFQEMNEERKTLDRELARAKVTANRIATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAITDRAAKSEAQLKEKFQLRLKVLEETLKGTSSSSIRNTSETRTMSSGPSRRQSLGGSENLQKIPSNGALSKKAPASQMRHSLSINSTSMLKNSKGTSTSFDGGTRSLDRGKALLNGPGNYSFNKASDESKEPESEEKTQSENTPAASEDSVPGVLYDLLQKEVVSLRKASHEKDQSLKDKDDAIEMLAKKAETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKDQDNRAKRFSNIKSSSNTAQILAGRAAGRSG >A01p000880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:356556:357256:1 gene:A01p000880.1_BraROA transcript:A01p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF581) [Source:Projected from Arabidopsis thaliana (AT4G39795) TAIR;Acc:AT4G39795] MLIGNRQMQRKPSMPRITIEVDDNHTAGQDSDVSMAVVDGGDNFDQRFLAMLSPRNHTRTERKDCGKSTLPSSSFLGSCGFCKRRLAPGRDIYMYKGDAAFCSMECREQQIEQDRQNSKQSARVVLSP >A07p027670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15760887:15767067:1 gene:A07p027670.1_BraROA transcript:A07p027670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 37 [Source:Projected from Arabidopsis thaliana (AT3G53480) UniProtKB/Swiss-Prot;Acc:Q9LFH0] MANMAGADEIESLRVELAEIGRSIRSSFHRHTSSFRSGSSRYEPDHDGEGNDPNAEYALQWAEIERLPTVKRMRSSLLDDGDESMTEKGKRVVDVTKLGAMERHLMIEKLIKHIENDNLKLLKKIRRRIDRVGMELPTIEVRYEGLKVEAECEIVEGKALPTLWNTAKRVLSELVKLTGAKTREAKISILNDVNGIIKPGRLTLLLGPPGCGKTTLLKALSGNLENNLKCSGEISYNGHRLDEFVPQKTSAYISQYDLHIAEMTVRETVDFSARCQGVGSRTEIMMEVSKREKEAGIIPDTEVDAYMKAISVEGLERSLQTDYILKILGLDICAETLIGDVMRRGISGGQKKRLTTAEMIVGPTKALFMDEITNGLDSSTAFQIVKSLQQLAHISNATVVVSLLQPAPESFDLFDDVMLMAKGKIVYHGPRGEVLNFFEECGFQCPERKGVADYLQEVISRKDQAQYWRHEDVPYSFVSVDMLSKKFKDFSIGKKIENALSKPYDRSKSHKDALSFSVYSLPNWEMFIACISREYLLMKRNYFVYIFKTGQLVMAAFITMTVFIRTRMGIDILHGNSYMSALFFAVIILLVDGFPELAMTAQRLAVFYKQKQLCFYPAWAYAIPATVLKVPLSLLESFVWTGLTYYVIGYTPEASRFFKQFILLFLVHFTSISMFRCLAAIFQTVVASVTAGSFGILITFVFAGFVIPPPSMPAWLKWGFWANPLSYSEIGLSVNEFLAPRWSQIQSSTNLTLGRTILESRGLNYDGYMYWVSLCALVGFTVLFNTIFTLALTFLKSPTSSRAMISQEKLSELQGTEDTTDYSSIKKKTTDSPVKTEGKMVLPFKPLTVTFQELNYFVDTPVEMREQGYANKKLQLLTDITGAFRPGILTALMGVSGAGKTTLLDVLAGRKTSGYIEGDIRISGFPKVQETFARVSGYCEQTDIHSPNITVEESVIYSAWLRLAPEIESATKTEFVRQVLETIELDEIKDALVGVAGESGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELVLLKRGGRMIYTGPLGLNSCHIIEYFENVPGVPKIRDNHNPATWMLDVSSQSAEVELGVDFAKIYHESPLFKSNSELVKQLSQPDSGSSDLQFKRTYAQSWYGQFKSILWKMNLSYWRNPSYNLMRLIHTLISSLIFGALFWKQGQKIDTQQSVFTVVGAIYGAVLFLGINNCASALRNLETERNVMYRERFAGMYSATAYALGQVVTEIPYLFIQAAEFVIITYPMIGFYPSTYKVFWALYSMFTSLLTYNYLAMFLISITPNFMVASILQSIFFVNFNLFSGFLIPETQVPRWWIWLYYITPTSWTLNGFFSAQYENIHEEIIVFGESTTASKFLEDYFGFHRDRLAVTAVVQIAFPIALALMFAFFVGKLNFQRR >A04p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:334391:335115:-1 gene:A04p000640.1_BraROA transcript:A04p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPATEPAHDRKELKGEEMVSREALIAYRALLRATRKSFAGDTEMLKASASEIRKKFEENRHVASDSDIPRLLEEAREATEFISTMIVQAKLNERGGYEVKASQEHAGATLELPTEEMLWKKSV >A08p014680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9836432:9838604:-1 gene:A08p014680.1_BraROA transcript:A08p014680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G35390) UniProtKB/Swiss-Prot;Acc:C0LGU0] MLLMQSLLFTIHFVSQLCLPTQAVTLSSFSRGYAPLMQVRSFDAMMVPVVSLLLLFLLSTPIHGLSDSEAIIRFKKSLVVGQPNALASWDDKTPPCTWAGVLCNRGSVWGLQMENLELSGSIDIDALAGLTSLRTLSFMNNKFGGPLPEFKKLSALKSLYLSNNQFEGEIPMNAFEGMGWLKKIYLAQNKFTGEIPISMATLPKLLELRVDGNQFTGKIPEFEHKLHMLNLSNNAFIGHIPENLSMMDPKVFQGNKGLCGKPLETACDTPINELPPQLEAPPKPSSSRTPLVIMAVVTVLMVVIIIGVIILVNRKNKNKQPPLGLETKPSSLQKKTGIREADQSRRDRQQSSHGNGSRRGKKMDTSGGGVENTKLSFLREDRERFELQDLLKASAEILGSGCFGASYKAVLSSGVSMVVKRFKQMNNEGREEFQEHMNRLGRLRHNNLLPIVAYYYRKEEKLLVCDFAERGSLAVNLHGNQSLGTPSLDWPTRLKVVKGVARGLLYLHQDLPGLMAPHGHLKSSNVLLTKNFEPLLTDYGLIPMIDQMKAQEHMVAYKSPEYLQHRRVTKKTDVWGLGILILEILTGKFPANNLQNGNKNEEDLVSWVNLGFQGVWAPSLFDKEMGKTSHCEGQIVSLLKIGLSCCEPDVEKRLEIGAAVEKIEELKEQQGDSEDFYSTYVSETDGRSSKGASTESINV >A01p042380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22875313:22886490:-1 gene:A01p042380.1_BraROA transcript:A01p042380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase reductase ATA1 [Source:Projected from Arabidopsis thaliana (AT3G42960) UniProtKB/Swiss-Prot;Acc:Q9M1K9] MIERRLYLKLFAVLECQSLLENLSPFVDPKRPKNFPDGESPTCLSPNSVIGFPELTEEPKSDSDRSVLCRLCVRLPDGRKVQRNFLRSESVQLLWSFCYSLMDESERRERSFKLIQAVPGEYRSLYYGSETSFEDSGLANSSSRDKGSSSSPAMDNETYVKNRIQELVRLIKVARVYRQDNVPSLIQQGLYLGSFAAASNKNALKSCNVTHILTVASSLRPAHPGDFVYKVVPVVDKEDTNLEAYFDECIHFIDEAKKQGGSVLVHCFVGKSRSVTVVVAYLMKKHGMTLTQALQHVQSIRPVANPNAGFIRQLQDLEKSLQAIITGGARGIGAATARLFTENGAYVIVADILDEEGVRMAESIGGCYVHCDVSKEADVEAAVELAMRRKGRLDVMFNNAGMAVNEGSIMEIDIKMVNKLVSVNVNGVLLGIKHAAKAMIKGGGSIICTSSSSGVMGGLGGHAYTLSKGAINGLVKTTACELGSHGIRVNSISPHGVPTEILVNAYRTYLHNDRIDAAEVTKTVAEKGSLLTGRAGTVEDVAQAALFLASEESSRFITGHNLVIDGGYTSATTTMRYIYD >A01p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25706474:25709125:-1 gene:A01p045540.1_BraROA transcript:A01p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor PLT1 [Source:Projected from Arabidopsis thaliana (AT3G20840) UniProtKB/Swiss-Prot;Acc:Q5YGP8] MNSNNWLGFPLSPNQSSLPPHEYNLGLVSDHMDNPFHTQEWSMINPHGGGEGGEVPKVADFLGVSKPDENQTDHLVAYNDSDYYFHTNSLMPSVQSNDVVVACDSNTPNNSYELQESAHNLQSLTLSMGTTGGNNAAAKASPSEATGDNTSSGALAVVETATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARSYDLAALKYWGPSTTTNFPITNYEKEVEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESSTLPIGGGAAKRLKEAQALESSRKREAEMIALGSSFQYGGGSSSGGSGSSSSRLQLQPYPLSIQQPLEPFLSLQNNDISHYNTNAHDASSFNHHSYIQTQLHLHQQTNNYLQQQSSQNSQQLYNAYLQSNPALLHGLVSTSIVDNNNGGSNGSYNTAAFLGNHGIGIGSSSTVGSTEEFPTVKTDYDMPPSDSTGEYGGWTGEPVQGSNPGGVFTMWNE >A02p036620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21024397:21025237:1 gene:A02p036620.1_BraROA transcript:A02p036620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRIFMYSLCSVFASVFDAVKMLSLATACATVSFLFDSFDEDSSRNSSLVNDVLSISHGKAWWRCCFAGLRFADILWGKNLLSE >A04g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8255475:8257872:1 gene:A04g503870.1_BraROA transcript:A04g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKALASLIFSSLSRNFFSIISHRFPWPLSYSRWLRRASSGTQRIAKFLPVSTLAVLVSKSIQSGLQDTCYLGLTSIFAYGSTEDIMVGFDSSAGKVVYRTTAALDYNGAGEIYENELPETETAEDIISRSECPPAVVMNLTEPGDKHQRYGAFITGVFSWALNNHPPANLILVVGDVAEHEYRFACVFSHLISSGYNVAFVQPENQASQMLFRLGRRRRIWLWEKLSLGEGPIRKQKPPNFHYEAKNSSNGLKLRIFKCGDSNNARGKNNSLRDINACQMPVGLDARDISASVNQTLMNMNYDGKLCLRLYGDTDVDKIGHTDDTLRFCGMPRTTILEQILVDLYGVSLFNRGTPFNMLLIVGDLSKDKAILDAFSLLPKRGWVNVLVCQPSAVEEAEFSSEVKLPPWGKIVDTAFAICEKKQKEREDSRKRSEETRASYLNGTAEVSRIFGEF >A01p024030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11865734:11872817:1 gene:A01p024030.1_BraROA transcript:A01p024030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 84A3 [Source:Projected from Arabidopsis thaliana (AT4G15490) UniProtKB/Swiss-Prot;Acc:O23401] MEPSLEHVMLVSFPGQGHINPLLRLGKLIASKGLLVTFVTTEQPWGEKMRQANKIQDGVLKPVGLGFLRFEFFNDGLADDDEKRTNFQAFRPHLELVGKREIKNLIRRYEQEEKQPVRCLINNAFVPWVCDVADELHIPSAVLWVQSCACLTAYYYYHHRLVKFPTKTEPEINVEIPSLPLLKHGEIPSFLHPSSPFAAYGEVILDQLKRLVSHKPLFVFIDTFQELEKEIVDHMSNLCPQVKFSPLGPLFKMAQTISSDVKGDISAPASDCMEWLDSREPSSVVYISFGTIVHLKQEQFEEIAHGVLSSGLSFLWVVRPPMEGSSVKPYVLPRELEEKGKIVEWCSQERVLAHPAVACFLSHCGWNSTMEALSSGVPMVCFPMWGDQVTDAVYLVDVFKTGVRLGRGAAEEKIVPREVVSEKLLEATVGKKAVEIRESARRWKEEAEAAVAFGGSSDRKFQEFVDELVTKSMELESSSHSSPVHVMLVSFHGQGSVGPLLRFGKLIASKGTVVTFVTTEYWGKKMRQANQIVEGELKPAGSGSIRFEFFYDGCAEDDVRRGTTLYMPRLEQTGKREVSKLVRRYEEKNEPVSCLINNPFVPWVGDVAEELNIPCAVLWIQSCACFSAYYHYQNGSVPFPTESAPELDVKLPCVPVLKHDEIHTFLHPSSPFTGMRDAILGQFKNLSKSFCVLINSFDASEQEVIDHMSKLFPIKTIGPVFKLAKTVISDVSGDFCKPSDQCLDWLDSRPESSVVYISFGTVAYLKQEQMEEMAHGVLKSGLSFLWVIRPPLPDLKLETHVLPQELKEASGKRLGKIVEWCPQEQVLAHSSVACFVTHCGWNSTTEALTSGVPVVCFPQWGDQVTNAVYLIDVFKTGVRLGRGAADERIVPREDVAEKLLEATVGEKAKELRKNALKWKAEAEAAVAPGGSSEKNLREFVEKLGVISG >A09p081000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59107721:59109124:1 gene:A09p081000.1_BraROA transcript:A09p081000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENAAVSESHHHDDAAASASPGFKLVGFSKFVRKNPKSDKFKVKRFHHIEFWCGDATNVARRFSWGLGMRFSAKSDLSTGNMVHASYLLTSGDLRFLFTAPYSPSLSAGETRTSATASIPSFDHVSCRSFFSSHGLGVRAVAIEVEDAESAFSISVANGAVPSSPPNVLNGAVTIAEVKLYGDVVLRYVSYYNGAVNFLPGFESVDDTSSFPLDYGIRRLDHAVGNVPELGPALTYLAGFTGFHQFAEFTADDVGTAESGLNSAVLANNDEMVLLPVNEPVHGTKRKSQIQTFLEHNEGAGLQHLALMSEDIFRTLREMRKRSGVGGFDFMPSPPPTYYKNLKNRVGDVLSDEQIRECEELGILVDRDDQGTLLQIFTKPLGDRPTIFIEIIQRVGCMKRDEEGKVYQSGGCGGFGKGNFSELFKSIEEYEKTLEAKQLVG >A03p056060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24222671:24225997:1 gene:A03p056060.1_BraROA transcript:A03p056060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNIFTFFLILSSVSLFFCSSSSSSLNLSLIRQAKVLVSLKQSFDSYDPSLDSWNIPNFISLCSWTGVSCDSLNQSITRLDISNLNISGTLSPEILKLTSLEFLNISNNAFEGELAPLDFSQMTYLTTLDAYDNNLNGSLPLSLTKLNQLDYLDLGGNYFNGEIPRSYGGFLRLTLLSLYGNDLTGRIPSELGNITTLQKLYLGYYNDFHGGIPSDLGRLINLVHLDLANCSLKGSIPGELGNLKNLEILYLQTNALTGSVPRELGNMTSLKTLDLSNNFLEGEIPLELSGLQRLQLFNLFFNRLHGEIPEFVSHLPDLEVLKLWHNNFTGKIPVNLGTNGKLIEIDLSTNKLTGLIPESLCFGRNLKILILFNNFLFGPLPEDLGQCETLWRFRLGQNFLTGKLPKGLIYLPNVSLLELQNNFLTGEVPEEEEGSVGLSSLTQINLSNNRLTGPIPSSIRNLRSLQILLLGSNRFTGQIPGEIGSLKGLLKIDMSRNNFSGKLPQEIGDCQSLTYLDLSHNQLSGQIPVQISQVRILNYLNVSWNSLNQSIPVELGYLKSLTSADFSHNNFSGSVPTSGQFYYFNNTSFLGNPFLCGYSSNPCNGSQNQSQSQLLNQKNANSHGENSTKFKLLLGLGLLGFFLVFIVLAVVKNWRMRRNSSNLWKLIGFQQLGFRSEHIVECVKENNVIGKGGAGIVYKGLMPNGEEVAVKKLLSISKTSSHDNGLSAEIQTLGRIRHRNIVRLLAFCSNKDVNLLVYEYMPNGSLGEVLHGKAGVFLKWETRLQIALEAAKGLCYLHHDCSPLIIHRDVKSNNILLGPDFEAHVADFGLAKFMLQDNGASECMSSVAGSYGYIAPGVVKIIDQRLSNVPLGEAMELFFVAMLCVQEHSVERPTMREVVQMISQAKQPNTF >A04g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8964803:8969540:-1 gene:A04g504360.1_BraROA transcript:A04g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQVNPWFPERGCDSGLNPGEYPVHPPDPPDPPDPDFPPLPSSPATTTPPTTSSKKMKIAATTSLQGPPIQVSANLKQTTNSESAFSKSRSEEITIQQTVQNPSRFTIHPPKSSSPLRTNPASSATNPSSSNVSEPPLPSSKLPVTDPASLPSGNSTTGSAEATNAPKPTTSHSNLPKEKNPKPPPQTYAQKVRSTIDRSLKRLAPTSTSPEGKPQVVVPDVVFQRGAELHKEYLVGTFLGKMPDYGPIQSVLNYMWGKGVKLEIHLQPQKRSFLVRIANEFIRSKVLAKQLWYVGTSMFYVSQWGSPTTSEIPEIVSIPLWAHLSGVPFDLRTKEGLSLAAGLVGEPIETDDYTKNLTDLNVAHVKVEADLTKPLPSSGELLRQNGDIIPISIEYPWTPPSCTHCLRIGHIRKDCIHAPAKDATKVFAQPSQVGVPDPPDSEELPADPMIDDVAAEQIMEPTLPEDSQEDIEIDQVSNTIYPITTGVPDPPDDDMIPVEAVEIIAVPSSDFPLSLPIDTNTLPPLNTDPLNNSVPNPLLSPNSNSPKTQLSDHSSSSPPSPVSNQTLHFASSPTKAKFVFGLAAPFAPTFVFFWNVRGLNDPDKHKPFAQWINYNKPLFGAILESHIKEPMLHSIISKTCPRWNYLSNHHSDEDGRIIFIWRSPVSVQLLHQTRQALTVEVSLPNHQPIIITSVYASNLVAERVDLWAELINLQQGLSLDLRPWLVAGDFNQIANPSEHSSPSVQAISSDMIDFRDTLLQTGLFDLRYQGILNTWSNNRPADPIAKKLDRALVNYEWISAYPNSSAVFLAPEFSDHTPCLLNLATPLPIAGSKPFKFFNYLTKHPMFLPTVTEAWILAGIFSSTLADLSWKLKSLKSVLQRLNSENFSKIQERVSNANNPEAMANLAVNHYKSILAPLTLPFAAVSINWFQNLISYRCSPDTRFGSLELCLHPKAHLDPFLQLRVFVGSLVQERSSKWVSKQLLD >A02g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16278594:16281907:1 gene:A02g505340.1_BraROA transcript:A02g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHDSSSEEEERVRRSDRRRDRGSDDRPRVRVSDVDDRKSSRRDLEIGRVADGDGERSRGDKVRADSSSDEESGGRSRKNRREVDAGSDDDDKERDRGKRLEVDDASVREKRASKERTSSDEEDENHLSKVAGDVDDDYERKRSPKGRERHDRERSHRGSRVLADKPSDDEADRQKGRRGGRESERKRRDHQASDDDEEGEIRNRRRGRERTDRGNEGLLKRDRRERDWSDRHRSDDGGRDEKERRHSDRYNDSQRDKLRKEEKSEAAKPKLPELNPSDSNAIALGKSGGVYIPPFKLARMMKEVEDKSSVEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVINAKFPEVAELLLKRVVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAEEIIALELVTVLLENPTDDSVEVAVGFVTECGAMLQDVTPKGLHVHLLLKDNVGCVWIFERFRGILHEGEIDKRVQYLIEGLFAIRKAKFQGHPAVRPELDLVEEKYSHDISIDDEINPETSLDVFKPDPDFLENEKKYEVLKKELLGEDESDDEDGSDASSEDNDDEEDDSDEEEDEEQMRIRDETETNLVNLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLQDPTMQESLESIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRLIMQQQKQVAESTSSSGSDSSGSESDSSTSSSSSSSSSDESDREKRKRRRRS >A09p009500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4888733:4889758:1 gene:A09p009500.1_BraROA transcript:A09p009500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSGGRSAPRPRPAAARAPAPQHVNRAPPPAQATSGGGGMFSGIGSTIAQGMAFGTGSAVAHRAVDSVMGPRTIQHETVEAASASAAPVGSSMFSSSCDIHAKAFQDCISSYGSDISKCQFYMDMLSECKKNSGSTIGA >A09g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23249343:23250648:1 gene:A09g508190.1_BraROA transcript:A09g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNKLSEVSAVSYPRLVAWCFRVKLHRIYSFHSYFTNSGPYYTYVLADEDGYKMELTVYGDYENFKGLEEEEEKWVEIFRVKVDRSGPGFQAVNSPFKLIGTRDTQVRLINPPVNDRVFMDFKNIHAIPHMSSKEQNCPIDTMGVVFNTEACFDLATPMMVFYIRDNIDSQIKCVATGEQAYAFWDGLEKMGRGQVIVALKMWRVRKHWNCSGPDDLSLETEGGISNFRFNPRLSEVEHFRQSLLNSDPYVKKYGLKVLCKTCCSNCYLTSSFS >A04g500360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1270235:1272010:1 gene:A04g500360.1_BraROA transcript:A04g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRELKVLQILRVLFFTLSLFAYYSNGKLIPEGAAVFDSSGFAVLTNTTKHSYGQVFNDYPFNLNQNFSFNFFFAIVPEHNHQGSHGMAFVISPTRGLPGASSDQYLGIFNEANNGNTSNNVIAIELDIHKDEEFGDIDDNHVGININGLRSVVSASAGYYDSSDGSFKNLSLISGKVMSLSLVYSLVDKQLNVTLSPAELSVPPLKPLLSLNRDLSPYFSDSMYFGFTASTGYRGALHYMLVMFGSGDTGSLQRDLNAIPILPPYPKKSFDRTRTVLAVCLAVSVIAVFIASWIGFVFYLRHKKVQGVLEEWEIQYGPHRFAYKELFNATKGFKDKQILGRGGFGQVYKGTLPGSDAEIAVKRISHGSSQGKSEFLAEISTIGRLRHPNLVRLLGYCRHKEDLFLVYDFMPNGSLDKYLNHSNTKENQERLSWDQRFKIIKNVASALLYLHQEWVQIIIHRDIKPANILIDHEMNARLGDFGLAKLYDQGIDPQTSKVAGTFGYIAPEFLRTGRATTSTDVYAFGLVMLEVVCGRRLIERRQAKNEEVLVDWILELWENGKIFDAVEKSIVQVEQNRGEIALEWRFAASG >A02g513050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35860048:35861796:-1 gene:A02g513050.1_BraROA transcript:A02g513050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKIYDNMKTQNANMQTLRERIVRHETANEDRGKAIKFSPEELFEVEILNGSKGSKGAISSKAGTSFTPSKAEKDMPVRSCGFSGGLWPNQAVRRERGNRRKDGGGDWNVRPIGAAPHDSHPNFGDYRNSEGLMEGGYYEAREDRNNNFERRCVKAAKIEFPPFDGTTDEPMLWSCYKSVMTSLRTKEYSVMMRKLRWEEFKRICKSRFGKADAVNPVGELSNLRHTGTGDEYCIDKQDSLEINNYGNSVPGFEYLCPETIFKAIEYARDNEYKIDSDKRTMTFGGWVLLDTGSTHNFIKSSLVEDLGIPIHRKSGRFVALPDGGKCLIQGFCQGMVMSVQGHQFKADCFAIPLKGRVIWDGLNKTIEFHHNSTPVVWHSESKARGKPQVSLHALECDGEALDN >A02p035920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20499856:20502294:1 gene:A02p035920.1_BraROA transcript:A02p035920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) UniProtKB/Swiss-Prot;Acc:Q2PGG3] MEAKKRHEYAADLSSIKEAHERIKPYIHKTPVLTSESLNSISGRSLFFKCECFQKGGAFKFRGACNAVLSLDAAKGVVTHSSGNHAAALSLAAKMQGIPAYIVVPKGAPKCKVDNVIRYGGKVIWSEASMSSREEVASRVLHETGSVLIHPYNDGRIISGQGTVALELLEQIQEIDTIIVPISGGGLISGVALAAKSIKPSIRIIAAEPKGADDAAQSKVAGRIITLPVTNTIADGLRASLGDLTWPVVRDMVDDVVVVEDGEIIEAMRMCYEMLKVSVEPSGAIGLAAVLSTSFRSNPCWKDCKNIGIVLSGGNVDLGVLWDSLKSSEILIRSLKQNLSSAASPVVVFGSSIPHIAIGALASYAPILHFDNIVPLTSFYDAIYQDFKAATKGDPEWLKEQRRQEVVII >A01g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5097227:5104220:-1 gene:A01g501330.1_BraROA transcript:A01g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKPPHLWRQTPQNQRTWVKQLFYSILDETKATLPMSLLGLQAFQISFVSSFEQILMDHVVRLGLPKKFLLTNYCTCCFNYLIIFIFRLHVALALSISGCNFRKNKLYEVISTKKYSLGFKPNDRPARSLRSNQARAKARSLRSDRVIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDRTRAKARSLRSDRAIVPLGRYVATERSSRSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPARKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKIYFALFSISYFYRCYSRFPYLNGNRQCEFRFPQFGARRRGIRINLTRKSHTESDMSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGAARERPSGQNPSGKSPIEKGNSESLPLPTKDSEDNEAEHIDMDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELLEITSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRVKSSVNANASDVEARHKSEAHATTQPEHPENSRLSVGTVTHPTLNQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A08p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24888339:24890939:1 gene:A08p045360.1_BraROA transcript:A08p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENKNKNNMKCALLLWSHLSLLLVLILASADLTASRSSCQSHCGNISIPYPFGIGKGCYLNEWFAIQCNNFTSGKLVPYLPKIDKEVVKIFLPEPTGYEGYNYGSLRIKTNITSMGCSNTSDEIKFGEPLNFTGTPFTIGRSNTFLAIGCNYKATLTHLEPRLVGCISTCEPKKIRDYTSCRGDKCCQADPPSGIGQIVGISMEEFSSNITRERGCRVAFLTDENEDPSAYPVAKFTDPQWFYDRQYVILQLRWAIPMTNLSFVNSLGCPHYEMRQYIDGINPCGCSNTDDGSSNVGCACNDGYTGNPFIMGGCKDIDECQLDSDYIKNCRRQGGTCVNTPGDFQCVVKKNKTVPITIGLCVGFGVLIVSGGTLWLYKIIKKQRKINRKKKLFKRNGGLLLKQQLTSTEGSIEKTKVFTSKELEKATENFSATRVLGQGGQGTVYKGMLVDGRIVAVKKSTVVDEDKLEEFINEVVILSQINHRNIVKLIGCCLETEVPLLVYEFISNGNLFKHLHGEFDESTMTTWEMRLCIVIDIAGALSYLHSAAASPIFHRDVKSTNIMLDEKYRAKVADFGTSRSVTVDHTHLTTVVSGTVGYVDPEYFQSSQFTDKSDVYSFGVVLVELITGEKPISFVRFQQSRTLATYFIVAMEENRVVDIIDPQIRDDCNLEQVMAAAQLARRCLNLNGRNRPSMREVSMELERIRSPTEDPQSHVHIEGSNAEEVAAEINIGVESCNNVGVSAPSGFQYNVDTTSLSDAEPLFPRQTW >A01p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18564946:18566819:-1 gene:A01p028050.1_BraROA transcript:A01p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTIGAHRGAGDSSSPQDSSAVTEPTTQPDILISATTDHPGATSAQLAAMTISDHPANTSTLVATTSDKPSMVATSDHQANTSTQPSMVATLALPAAVTISHHPASTMSNTRTMYSTQPDISLIFQTLLGRIDELARGTTSRLDDLAHSQIICNNRINELQSVEIGAPRSQQVDITPRLQRVLFNDVPTPATGSGQHRSIQANDLHAPIASSGQQFQTHLGTENDKLEPRNELGTDELEPKAKLDPTNGKLVPSASRPHSFTNNEPEVTTGDEFGVTMNKLGASGGELRPAVGKLRTSGSELRATVGKLGPAVGKLGTSRGKLGPAVGKLGTSRGELRSAGHQEAPITGSEKQETSSVPPSTSSEPTLTGSEQQAASSAPPPTNSSQTSYG >A09p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18496886:18499948:1 gene:A09p030440.1_BraROA transcript:A09p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) UniProtKB/Swiss-Prot;Acc:Q94AP0] MERSLLQWRLLPLLALIASLLSVFFASRSYEKETQCSLLPHDHYWISSKRILTPDGLISGSVEVNGGVIVSVVKEEDWYKEQRSRVKVIDYGEAVIMPGLVDVHAHLDDPGRSEWEGFPSGTKAAAAGGITTLIDMPLNSDPSTVSPETLKLKIEAAKKRILVDVGFWGGLVPDNALNSSALSSLLDAGVLGLKSFMCPSGINDFPMTNITHIKEGLSVLAKYKRPLLVHAEVEMDSEIDDATDNDPRSYLTYLKTRPTSWEEGAIRNLLSVTENTRIGGSAERAHVHIVHLSDASSSLDLIKEAKGKGDSVTVETCPHYLAFSAEEIPEGDTRFKCSPPIRHAANREKLWGAMMEGDIDMLSSDHSPTKPELKLLSDGNFLKAWGGISSLQFVLPVTWSYGRKYGVTLEQVASWWSDRPSKLAGLHSKGAIAVGKHADIVVWEPEVEFNLDEDHPIHFKHPSISAYLGRKLSGKVVSTFVRGNLVFGEGKHASDACGSLLLAT >A03p060960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26454528:26456216:-1 gene:A03p060960.1_BraROA transcript:A03p060960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGATYTLKTMKSPPSRAVSSHNTPSPSSTISESNSPPFTISTRRPRTRRKRPNQTYNEAAALLSTAYPNIFSSMRNTLFPGTKSPLSDYDEASQLLLPFETIDEVFNPAIQTEPYQFLEKKEFSFNSEVTRFELLDDFDAESIILDDEEIEEGIDSFMGSNGSNDGECTTMPWYRSSIGFSSPGNSPLGLGLRSSLRENDDDGSLCRYPIVELKHISPRIQTTTTAAALADDGKSNAVDSIKSEMIVTVAVEKKKKTKKKKKKVAAAAAESRSVEDLTTEKRVSTFLKLDYDRVLEAWSDKESPFSDEILGSHATEIDIHTMLAEIDLFGESGMREASVLRYKEKRMNRLFSNSKQIRYQVRKLSADQRPRMKGRFVRRPNVRNLSGLL >A03g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29332677:29334079:-1 gene:A03g508670.1_BraROA transcript:A03g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALYLPKVVIRTKLRRLGKPPSSPSPSSLAIPLPTISYSIFKALCTFCAYQTLSFSSNAFRALIYIESLDLLQPLQPPLPPFPTPPSTLSAFEGPLSPLLVGSSDSRIPGTLTRMESLWASPFSSLMNWGQISLEGEPLATMSSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGIRDEEDEEKCYLDLTLR >A07g504120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8041077:8041388:1 gene:A07g504120.1_BraROA transcript:A07g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNEMTKMPLRNHKFEQKQNTERPQPVAPGFGSDLAVSLREVAPGSFSRLRVMKTRATSPCRSGMVALSFGSDFSTSLWKVAPRCKCVSPDVKTRATLCSRSE >A04p030290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17964002:17965861:1 gene:A04p030290.1_BraROA transcript:A04p030290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPNYKIKNMMKNVKLLLFFISISLLLIAVAEAQDGHEGHSHSRTPKCECSHDHDQENKAGAQKYKIAAVPSVLIAGVIGVLFPLLGKVFPSLRPETPFFFVTKAFAAGVILSTGFMHVLPEAYEMLNSPCLTSEAWDFPFTGFIAMVAAILTLSVDTFATSSFNKSHCNASKTIPDGESGELVVDSAKVQMLRTRVIAQVLELGIIVHSVVIGISLGASQSPEAAKALFIALMFHQCFEGLGLGGCIAQGKFKCLSVTIMSTFFAITTPLGIVVGMEIANTYDESSPTALIVQGVLNAASAGILIYMSLVDLLAADFMHPKMQSNTGLQIMAHIALLLGAGLMSILAKWE >A04p018650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11186506:11187931:-1 gene:A04p018650.1_BraROA transcript:A04p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLFHSYSFGAPPLSRNETHQDNTKHALSQSVSFGRFATENLEWGKWSSFSHKKYVDEAEKYSQPGSVAQKKAFFDAHYKKIAESKKAKTALDESKQQQQQPESVAVLLNTLETLTKDEVKEEESGETELFSEEPETKCVVVVLEQEDVVVGSSLAEPVTEDDLQAVVEVLDEREEDEELLKKSSSVEEKEEERKFVTNKSSVFPSETPDKAMELVVTQKLSEDSIKKNEKSVRPRFSFLKLLMGSSTKAQRQNSKKKTDKKPNKPFLCLCFNPEMVGETEGPTKTQRRNL >A08p027760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17465199:17465488:-1 gene:A08p027760.1_BraROA transcript:A08p027760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSGSIRTIVCLNLPSFSGGQNPWGTPNPKKQCDRSLTPDDGLIEIIGLFRNAWHGLCSPCSMEQDLL >A09p080850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59050306:59051941:-1 gene:A09p080850.1_BraROA transcript:A09p080850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDPQPPNAVDPIPSSFHRRSRSDDMSMFMFMDPLSSSGPPPSSDDLPSDDDLFSSFIDVDSLSSNPHPTTIAFPNHAASNSVPSSSSRPRHRHSNSVDAGCAMYANEIMDAKKAMPPEKLSELWNVDPKRAKRILANRQSAARSKERKARYIQELERKVQSLQTEATTLSAQLTLFQRDTNGLANENTELKLRLQAMEQQAHLRNALNEALRKELERMKIETGEISGNSDSFDMGMQQVQYSPSTYMAIPPYHHGAINNGQDMQQMHGYNPMEAMSNSQSVSEFLQNGRLQGLDISSNNNSSSLVKSEGPSLSASESSSAY >A10p031260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18724387:18726402:1 gene:A10p031260.1_BraROA transcript:A10p031260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSIMRPAAELAVRIGRELLKVSATSRSPRTWSPSMEQTLHSLGFRHSISPSLVSRIIDPFLLNHHSLALGFFNWAAQQPGYSHDSISYHSIFKTLSLSRQFSAMDALFKQVKAQKILLDPTVYRSLIDALLLGKKAQSAFWVLEEALSTGREVHHDVCNRLLAALTSDGCTDYAQKLFVKMRQRGVSLNTLGFGVYIGSFYKSSGTDQVLRLVGEVKKSNFEINGSIIALLILHGLCKCSREMDAFYILEELRNIDCKPDFMAYRIVAEAFVVTGNLYERQVVLKKKRKLGVAPRSSDYRDFILDLISAKRLIEAKEVAEVIVSGNFPIDNDVLDALIGSVSAVDPDSAVGFLNYMVINTGKMPSIRTLSKLSKNLCRHEKGDLLIKAYEVLSSRGYFSEPESYSLMISFLCKAGRVREGYSALHEMKKKGLDPDVSLYNALIEACCKAEMIRPAKKLWDEMFVEGCRMNLTTYNVLIKKLSEEGEVEESLRLFRKMLEKGIEPDETIYTSLIEGLCKDTKLEDALEVFRKCMESDQIVARRVLITLVLNLCSNGHFGEASQLLREREHLEHTSAHVVLLKCVADAKEVEIGIKHMKWIKEVSPSLVHTICSDLSASFCSSSDPDSILPFIRALELS >A03p047990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22129044:22130662:-1 gene:A03p047990.1_BraROA transcript:A03p047990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGNSSATMKSPASGSLAFVNSKKRKSHRNPTNKSEKLLPSDPLLRRLSSAAAATRSFLQTNDLFLPPHQSLRIESLLSSLPIAPSHSTSTSTTWFHRFVNSASENDDPRWHHCFRMSKPTFSKLLSILSSSSDLPPLPSSSLAATLFRLAHNASYSSLAQRFGFDSVSDASRAFFTVCKLVHEKLGRLDDPKPDYSPRLLPNCCGALGFARFDVDGELLDSLIVQALVDSAGRFADISAGWPSTMKPEAILRQTKLFSVAEEDSRSFLNGAPCELGNGVSVPRYVLGDSRLPLLPWLVTPYGSNEEEEDGFEFNNVSRAALRAVEIAFAKVRARWRILDRKWKLEMVEFLPFVVTTGCLLHNFLVDCGDGDLQEECVVVARDDSVMMKDGECEEETRRYEGEEYIESSRIRDAIAENLSRVTSSENLLMC >A06p042220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22690958:22692672:-1 gene:A06p042220.1_BraROA transcript:A06p042220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFNTQNTWAFAFGLLGNIISFAVFLSPVPTFYRIWKKKTTEDFQSLPYVVALFSAMLWLYYATQKKDVFLLVTINSFGCFIETIYIAIFVAFATKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGSTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTKSVEYMPFSLSLTLTISAVVWLLYGLALRDIYVAFPNVIGFALGALQMILYVVFKYCKTPSDLVEKELEAAKLPEVSIDMLKLGTLASPEPAEITVVPTANKCICNDRKAETENGQGVKNGTHSTAAC >A08p028710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17879894:17882855:-1 gene:A08p028710.1_BraROA transcript:A08p028710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPGVDSTTDGAGHEALNMQRSSGINNMRIPTPTPMSFSSNSINIPGSLVIDGSASMQQHLSQQQQQQAGQSSVPMRENDYSHVDKKPRIEENCISYWRKFVAEYFSPRAKQRLCLSQYESAGHHALGMFQQAAPDMWQCDLCSTKSGKGFEATFDVLARLIEIKFASGIIDELLYLDHPREHRFTNGLMMLEYRKAVQETVHEQFRVVREGHLRIIFSQDLKILTWEFCARRHEELLLRRLIAPQVNQLLQVAQKCQNTISESGSEGVSQQDLQSNSNMVLGAGRQLAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGEHKVGPIEGLRRLLEQTATSKLQRQKVQEMEHMGNSGAMNGSAQAQMALTQGTMNGLIGNNNNNNSSNSNNHHQLVGRGAMNGSAQAAAALTNYQSMLMRQNAMNNPNSNVVKEEGFSTQNPTQSPSSSSHQRQNLATPGFPSSPQMQQQQQQRNMNGPPHHLQPPHSHGNNQGQQMLNQLLQEISENGPNLQQQQAFSGQSGGGNNNAERNPAASTSSISGGGGGRVPSRNNSFKAVVSNNNHHLPEDISIPELSHDFSEDAFFNNSDIYGSL >A04p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3485886:3487565:-1 gene:A04p006510.1_BraROA transcript:A04p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase V subunit 5C [Source:Projected from Arabidopsis thaliana (AT3G54490) UniProtKB/Swiss-Prot;Acc:Q9M1H8] MEEVMAIERSSENGVSTFDDGAHSHCIAKTEDKGGVESKRFYLARTTALEMLRDRGYQVSDAELSLSLSEFRSGFGEKPDLERLRISVPLRSNPMKKILVVFMGSEPVTVKTVRVIHSQVSSTVGLHGLILVLQSKMNHFAKKELATFPCTVETFPIGDLLVNITKHTGQPKIEILTKEEKEKLLSDHALEDKQLPSLKEKDSFVRYHGLKKGQVVKITYSKEPVGHFVTYRCIV >A05p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19253435:19254329:-1 gene:A05p034250.1_BraROA transcript:A05p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDTSIFLIRRHRHLFSGFHLIVSLSLCFTCFVNEFLVCLGFLSSFAVASYERWYFRSEDVETRATFLVSFDDDHTPSGKIDYVWFWCEYGSSMNLPFDDPLTNLCSPRTPHVSSHSTYRNDQRVSFGSGKSPWFHYGKGEVRRFCLESMLVSLEIGVKRILLSAGVEESNHLMYDLFQGMTLSALSWGEHDTISMSYVSDFAKLECSSFSAHVAQFLQVMKSHIVKLQHCFIHV >A10g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7030925:7035268:-1 gene:A10g502560.1_BraROA transcript:A10g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDDDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELTERQTELTCIRIKAGPWATHGLAIKGMTKTPSASSTNPEDTPRPIAKSWEQDWPRSYSLESFRNQKRPVRRRSKIVYQRKRSGLKVSGQRSVERIRGKIHFLATIGKPGRNLLGIRGNRDGIPEPLNHSSHSSSSSFPLQTHSHRLVSSKDFRPNRGTRESHPPRYTSLHHLDDFSFAFPLRFTNSPRMITSKFHLSLQHLALHASEISLRFPHFEVVDHSFSMAGLNGRAQQAQALQNQLACYKYIHRTITKKFSKN >A07p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24995151:24998963:-1 gene:A07p046250.1_BraROA transcript:A07p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPAPEAVVSHRFAAFLIWQSIPSTAIFLFFNLFLFSATSLASFLLTFLVFHASNLIFSISLALLSSLTSDSPLSPLQFALGLLRRLSDPDFRRRARVSLRIALFVSATGFAGFCSAAVFCLETGVVGRVGFRGFVTGLLYAVLFVVKQRWLLEFPIIQRPPFYSFKIGLPSALTQALKLSGVLYVLSTVMLLFLLDWSGGLVSVSRFLGEQVISYAGSFCLILSWEVTHHIHQVLHTKRFAFAPPKGSAAAETNPSEPLLAALEGSPPGSLEQYLSYLDLYMVSQNNVDTWRRAAFFAESSETYKRVITLCLKPLEELASKLAAGIDQTFAEIGESYIDPKFGESLKSFQLYAWCAQTAASLTSISHKEDSLGVAQLSGANADVVSTLLSLLLAVETFMGKKNNLQSPQQLLGPASIKWATSSMVRKDVKPIKKRSGALYSYAYAVSDVLRVSMYLIVSTFRDEMVSSDRTEILGRDWIGSKKPVFGTSEMLMNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRVGVNSIPGIEEVNIFKDDVVIQFINPKVQASIAANTWAVSGTPQTKKLQDILPQIISQLGTDNLENLKKLAEQFQKQTPGGADIPATIQEEDDDDVPELVGGETFETPATEEAAQPKATAS >A08g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17755564:17757408:1 gene:A08g509150.1_BraROA transcript:A08g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxygenase 1 [Source:Projected from Arabidopsis thaliana (AT4G26740) UniProtKB/Swiss-Prot;Acc:O81270] MGTATEIMERDAMATVAPYAPVTFHRRARVDLDDRLPKPYTPRALQAPDREHPYGTPGHKNYGLSVLQQHVAFFDIDDNGIIYPWETYSGLRMLGFNIIGSLIIAAVINLALSYATLPGWLPSPFFPIYIHNIHKSKHGSDSRTYDNEGRFTPVNLELIFSKYAKTLPDKLSLGELWDMTEGQRDAWDIFGWFASKIEWGLLYLLARDEEGFLSKEAIRRCFDGSLFEYCAKIYAGINEDKTAYY >A02g511610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31042231:31044566:1 gene:A02g511610.1_BraROA transcript:A02g511610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGNTYNSAKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRRIFFFRRNFLGIFSFNRANKPPNISRKLKLKILRKFRRKISCIPRDIPTTSSSEYSDDFPTNLFSSEFPRKFISSEFRRKFPRDFRGKMNFRGVISEDFFRRYVVGIALFRRHTDDFFPQYVAVFL >A02g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23562859:23567377:-1 gene:A02g508770.1_BraROA transcript:A02g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENYMDDMENGQVIGALQDMDIGESDGLVLRQHDASRDAEVLDEAADDLIGEDLEDMEDGDESHHVGSRSLNPAEARTKPSYKGSSRHVVTRGMPIRKTKFLRRGSPRNHGVSSSRHTNRHKHSHHKKDIPMIQSLAISPTHRRDTFCRSYTKNGQYTFKSGYWVTTNLMRADEDREAHTAEETEALNLENICLVDGSWTSTAHFRGMGWVWKDTMGKIQLMGAKNLRRRESALHLELEALKWAMESKIQHSTCQRFGIDCKDLIAMIEEPQAWPNFSTELKIIKTLRLCFSDFKNSHITQTQNEIADSLAMNARSFHRSLCFIGCSIPV >A05p039000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:23906576:23907727:1 gene:A05p039000.1_BraROA transcript:A05p039000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMISDLSRDLVEEVLSKVPITCLRAVRSTCKLWNVLSKDRVLCKTDITHQFIGFMVKEYRICSMRFSLHGVGSSIKEIGNLFNEAEICNIIHSDGLLLCVTKEDKTRSLVVWNPYLGQTRWIQPINNYHRFESSDKYAFGYDHKNRNHKILRVFDVNRYEIYDFSSNSWRILDIIPDDDIWSRQRGASLKGNTYFVAKEKTLVDEDVVGEVEIDEPHNLLLCFDFTREGFGLFLPLPFLHYNEDIGTLSTLRDEKLVVLYQRMVCPEVEIWVTTNIEPDVVSWTPFLNIDMQPLDRGFQFGLCSGASFFIDEEMKIAVVFYIYRAEKMAKTRSYHSVCITGENGYLDNLDLGDAVYRHVPMRENPYCCPLVCSYFPSLVQIN >A01g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21029760:21032959:1 gene:A01g507190.1_BraROA transcript:A01g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKDPKLPAYVSFPSSTSATASARPKNTSKSFSLSIRSASVSLSLYRRPHSYLIVILHVPETLVSKATFINLLLKFIPLSISPRYSLALQVYTTPAQHLDSTWSSPLTTTSASATTSATTSGQTSYIFNLPLLALCADQAQQSSGSATTLQPRSPPENFHTKCAFLPHEIISFKQSLQDLLIQLLHVSVNTLTHATERS >A02p009530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4022661:4024240:1 gene:A02p009530.1_BraROA transcript:A02p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDSGDVLDLTSCQLHSLDSIELPPTLIELDLTANRLSELDSRIAHLSMLKKLSLRQNLIEDSAVEPLSRWDALCDLEELILRDNKLAKVPDVSIFSRLLVFDVSFNEITSLEGLSKASRTLKELYVSKNEVNKIMEIEHLHDLQILELGSNRLRVMENMENLTKLEELWLGRNRIKVVNLCGLRCVKKISLQSNRLTSMKGFEDCVALEELYLSHNGISKMEGLSALVNLRVLDVSNNKLTSVDDIQSLTKLEDLWLNDNQIESLEAITEAVTGSKEKLTTIYLENNPCAKSSDYVAVLRKIFPNVEQIDSNLFA >A08g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6528668:6529716:1 gene:A08g503870.1_BraROA transcript:A08g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EINNSFKIKNGDNNNILFSLSFTNNNNHIINILPSHLKGSPSTSSITQERFKGSSKLLDLLLRDYTLNSFKNQHYTIKTGVLRRIHLPYNYSGINLDAIRFRCGSLRRYGAQLQEIHIGVGAVLEPCGERLVVVRQILGLKWSDIYYKNYDLSGYRLISPVLGLLAYNAINDVVLDGKVTFAGEVKPYVCAVKTNGHFGLVVTDDQDLSKSDGLVVAGAVVTAKKRRRRAKREEKVRKTYEEEALRVVTMVGHSRVFVASPTRTLPGFVEHECVPN >A06g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19092127:19094488:1 gene:A06g506910.1_BraROA transcript:A06g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKDVWRDAVDEDDDVMRLMIIQLRLRFSKLQSPLTKKIDVFKRELPLCMLLMNKAIERLKQEASSVQGVELETDNKKNWMSSAQLWISNSNSQSQSTNEEENRCVTQTCNNNNNTNQRGAILSFNRPPPPPPPSAPLSLPTMTITLEIMRHIPEHPNVVTLKETYEDEHAVHLVMELCEGVELFDRIVARGHYTERAADVVTKTIMAVVQVRHGFALCLSPDSSIDVLCLSLSSCAAPSSSSLAVTLQRDELGRFVSNKRGLTSLKMEGCSNLGGFSLTSNKCSSPNSTGV >A10g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18384920:18385532:-1 gene:A10g506420.1_BraROA transcript:A10g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHELLQSQRFLLKRAKRKLLPLMKLIKLLKRRRQKLLLPLFHFACTSEDINNLSHSLMLQEALSSVILPAMDGLIKSISLMAKEFAYVSMLSRTHGRGCSIS >A10p013970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4445353:4447151:1 gene:A10p013970.1_BraROA transcript:A10p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRILDSLRFPSSPSPSIIAAPLAVLYFGLICKEVAKVVPAQTLADQELTETMQRLDDKIGLMLESDGELFNKSFWDKRYLMRQIEKYGYLFSAFSEQLVVYLSNFLLSKLVSGISADIYTSRVSNFLNYTPFMYFRSQEQVKKLGRVPLINCAKTSMSSKLISGDRDFFANLVVDAVLSVKMTNQRGEIKYPIKGINILKAHGQSARDSYLLKGYALNTGSAAQGCH >A02p058020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34882805:34884100:1 gene:A02p058020.1_BraROA transcript:A02p058020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCIQVDQSTVAIKETFGKFEDVLEPGCHFLPWCLGQQVAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALANKANDAFYKLSNTRSQIQAYVFDVIRASVPKLLLDDVFEQKNEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKILQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVRDVATQIRDGLLQGSFAEQS >A06p004980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1438001:1438708:-1 gene:A06p004980.1_BraROA transcript:A06p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICTESNHQRISFSSDLGQSDNAPPPPPPPPVIEPPPALIRRDESLLDSSNSSFEFHISNNFDPGDSSPADEIFADGMILPFHVTPPSTSPKRLYKYELPPITSSLSPSPSPLPPQPSPPKHSEKDTSGANSDSEAEKSSKSFWSFKRSSSLNCDLKKSLICSFPRLTRSNSTGSVTNSKRAMLRDVNNHRVSPTSSSSSSSVCCNYHFRPQKQTGKKGEAGSFLRHSKKKKNKK >A06p045450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24349054:24350136:-1 gene:A06p045450.1_BraROA transcript:A06p045450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASVPHHHVIERIMERLPVRSLLSFKAVSKQWKSTIESRFFQERQSKHSQQCGDPDVLMVSSTSLRTLVFGSLPSSSVKMPWGNETYLVCQSSVDGLVCLYDSHKPGFVVNPITGWYRPLPLSRLQERMILKDGYIELGHLNFKPGFGKDEFTGTRKPVWLYNSIDIGLENATTTCEVFDFSTNSWRYVTPSAPCRILGGFPTPVFVDGSLHWFTECEETEVLSFDLHSETFNVISKAPFASTASHWQILLCNLNNRLCASQLKLSDQVIWSFNSLNKTWDKLWSICIVPTWRSFGFPTWYALSPLAIFVEKKKLLFYDPAHNHTLVLHDPETKSYDAAFSDKSIGYPVCYFPSLISI >A06g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14408852:14411557:1 gene:A06g504760.1_BraROA transcript:A06g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMLKQLASCLLLPFFGPSAGHSSKDHLKYVNFSSSCFTTFWKPKKNLENFREIERKKNRKFIQPQAYLGEEDQLRPSSPLVRLGKLWSPNLTKVWSFASPILFASPILSFQLCSRGIQVLSKSVSRLFFSSLETVYYMTMQCRIVHTGHSTMMVTFRRETLYSFGRKERPTSGSILLSLQRDRIYFENLGSTIREHRPCHFRLSTIGGVTKVMPPRQEHRGGDYLPIPISSSSDSSPPSTPAPLQTPSFEATPLGSSFETDPSEGSYDQTPVHMPLSPDPYLMDIEVDVVHDSPVHEDHPAAPASPAAHIPPAHAAPFPAAQPQPAPTDPAMIALLELMAEMVNF >SC179g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:632140:635113:-1 gene:SC179g500320.1_BraROA transcript:SC179g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGQITTGEAPKLITQGGDQEESLVAYTGASSSRSNDDYIKRSDLDALFKMQKENGNTYGYSFGASMIAYKDDHLIRELVERTSQDPRNHATTSPEVGSPSHLDHEGGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGVTQTPSQDEV >A03p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:927026:929475:-1 gene:A03p001870.1_BraROA transcript:A03p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNTIFSPTHSRPISRQACFPAQTPPPDLSHALFGDRRKFVEGVMPLLTIRNRVYASINSTDASSPSYPKSEDDEDFVPMPMVLIDQDADPEATIVQLSFGDRLGALIDTMRALKDLGLDVIKGTVTTKGSVKQTRFSITKLDTGRKVEDPDLLEQIRLTIINNLLKYHPECSEQLAMGETFGIKAPEKKVDVDIATHIHVKEDGPKRSLLCIETADRPGLVVEMIKVMADINIDVESAEIDTEGLVAKDKFHVSYQGQALNRTLSQELVNCLRYFLRRPETDIDSY >A10p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13756727:13757224:1 gene:A10p020270.1_BraROA transcript:A10p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKKQRSGMRLTFLNLVITVCTGESVQWGNIDCASSAASEIRLSYSSVRNQEMAELWTTLSAITRFLPYFGNMWFGSPNLRYYPQTKNLIAESVTADQIY >A09p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19789530:19790589:1 gene:A09p032670.1_BraROA transcript:A09p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCGRFAQVSSSDDEEDSLAKTRSQGQNSRRPEETMEGKMMKREKVSLNEESDGEEEETERKRKKDDEETPPEELEPDDAKPVGEPVKVTGRGTHYWQFEYGGNRYELEDSVLLHPEDNSLEPYVAIIKDITKKQDGRMIILGQWFYRQEDAKKKDGGNWVVNDTHELFYSFHRDEVPAESVIERCVVNFVPAHKQLPRGTGFIVREVYDTVAKKLWKLTDMDYAVAIQREIDLFVDKSLARLGDLPDL >A03p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6882100:6883396:1 gene:A03p017140.1_BraROA transcript:A03p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) UniProtKB/TrEMBL;Acc:F4KAG5] MIDISRVQKELQDCEKDRDSSGIRVCPKSDNLTRLTGTIPGPIGTPYEGGTFQIDITIPEGYPFEPPKMQFSTKVWHPNISSQSGAICLDVLKDQWSPALTLKTALVSVQALLSAPEPKDPQDAVVAEQYMKNYQVFVSTARYWTQTFAKNSSLEEKVKRLVEMGFGDAQVRSAIESSGGDENVALEKLCSG >A02p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9506228:9507655:1 gene:A02p020290.1_BraROA transcript:A02p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYTMAGGKGPNSYSQHSTYQRALLEVAKEKISEAISTKLEINSASNRFNIADFGCSTGPNTFLAVQNIIDAVGQKYRKETQKNPDDNIEFQVLFNDHSNNDFNTLFQTLPPTKGYFVAGVPGSFFGRVLPRDSLHVGHCSYSLHWLSQIPKGIADPNSPAWNKDIHCTGFSEEVAEAYLDQFKIDMGSFLKARGEELVSGGLLFLLGSCVPDGVKMSETMKGMLLDHLGNCLNDVAKEGLINQEELDSFNFPIYPAHVAEFKSVIEDNGCFTIEAFEKISHANEEFPLDPEFLATSNKVTFGGVIESRFGKEAMERTNELYEKKCPEILPELANAKSGMQFFIMLRRN >A04p026120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15806304:15809344:1 gene:A04p026120.1_BraROA transcript:A04p026120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLLREALSSICVNNQWSYAVFWKIGCQNSSLLIWEECYNETEGNENVQLLTNRMMLNNRIILVGEGLVGRAAFTGHHQWLLANTFNRDVHPPEVINEMLLQFSAGIQTVAVFPVVPHGVVQLGSSLPIMENLGFVNDVKGLILQLGCVPGALLSENYTTYEPAADFIGVPVSGLMPSQGHKILQSSAFVAETSKPHFNSTGSSDHNDEPFNYVDAQNITGYLAAEEVAVVPVPPPSNPDAWLNQNFSCMYNVDASEQQDSGSKRSDDLFDMLGLDDNKKNKGGCENNSWGVSEMRRELSDFRIIQDSEFGYELSGADHLLDAVVSGACSSTKQISDETSESCKTSSVTTPSHSSPQGLYEKKQGTHHVGTSSVYGSQISSWVEQAHSLKREESPRMMNKNETTKPANNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKCSIDALLERTIKHMLFLQNVSKHADKVKHTGESKIMKEEGAFEGGGGGATWAFEVGSKSLRCPIVVEDLNPPRIFQVEMLCEQRGFFLEIADWIRSLGMTILKGVIETRINKIWARFTVEASRDVTRMEIFMQLVNILEQTMKSGGISETMLDGIKATIPFTNTLPVTGGCSM >A06g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15907976:15914475:-1 gene:A06g505420.1_BraROA transcript:A06g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRINDPGIIAACHYGAEYEMEYSASMETHTATSINSGHQKSTDTQYEESVDSSPDDWENDYYNPTIAAYTRKHMHTEENAPSIDIPGSPSIDTQPSQRNRKRASTDIANYSSIDTKVNRVREGDYSIGSWADVQHHESCAVETTIYESGADELHEDTAWGRTRYMHPINRAHRPSIDINPSPSIDINPSTSIDNRSKQITTVSERDKFNNEYLTPDEIGIFRDPVGHARAIDRRILNVSQKYIEDILQTANGAENLSPHPTRPSIDIDIPTSVDRQPKFCRRAFDSHGTRKFYWEEKDQYGVYIDEQGYTRDLDGNTIRLHNMDIRRVLERASRVEPSYICLPEHANLFTQTKLVPEIYTKDEINEMFYGVYGEQEKNKEAFQIKLDGVYYPLNDNISWLTTCMEEMKQDLARIQHATDVARSSSIDRDQQTSIDVLQRTSIDNRMPTLVDNNPPCPHTMKSQQNFHTREEIDQLLEGIYIALETTEDRLDGRCDDIYFPMNLNISALTSNIEAIQGELVAIQSYIARRPEASSSIDRRNNKSIDILHRTSVDDATNQGRLVQKMTSDMSDTHYHGEEISADIYATLRRNQFNLESLEERLQRMENTTATMKEKWRTGDEAMRDFSGTRFSKRKEEMDTVRLNSSIFGNLEGSPYRKFSISWKGARLQGPNSGFLLEGTWSVPLSGTRGSGSSLEAGGNDTGAGPRTIDLAILGSNQSGTHSEPRSPRLRGWVPLSCFEILFPVQFHLLDACARVHSRRSVGNEAYESMDSLESLLDLTAEIKQLRNAAVGEALPPPGGDRFSPVGPLSLIGVEEVANLQTGERSFFESGFRDQIPSLVAEVSKVVKISPGQLNPPFWRILIAMQSLGDLESFTVGVTEVLYCYSVSLFSGSEWRYHLHPRSKEPPVREILKKDRKRFPAFEGNWAEKFTFTHLPGFSSIWQLEDLPHIDYSSGKDSIEQVLKLPLERRQIPFLVSKASFKRCSICGEMSGSKGDESLAEYKKALEVMSARKAASKRVAPSKDNDEMQIIRSSKRRAVAAASPSSSKNKSKASGSSPKGSPSAPYDWATVLTNLNTKVFPSTPVLLASEEDSSMAIQSLQDDLLQVASQLYHLGERMEGAVSTKVEMDTLASQLCEEKDASLAKDKEIKALKLKVRNQEEEGELVAAENVSLRSQLKNREEELNDLKDAAKTYEAEKAMAVNGAKVVARWELMREWLNGQTDSWDPVNTLEQYKTVKTTKAELLGLPAPSFEYDPRVPGEEEVKKTPEPAADDPHAN >A02p009760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4107407:4108615:1 gene:A02p009760.1_BraROA transcript:A02p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSQRCNKDTNTNIGFCLLPSELIQNILFHLCIPEIIRMKLLNKFVLNTISDQTFIRQLNHGSQRDTWIFVYTRRWRRDNGVLHGFSNRSVRWFKIPVNEILTGEVFPGEDLYLLTASGNFLLFASNTHSGILAVDLVDKSVRRINSCPLGPRGTSSWRRSGMKLVPDPSNPSHFRFMFAEMVNNRPVLFTYHSNTTTWTTKEAEEVNNWGFKKNNNVFLSLSNRPHESTVMSVDDVDGSMINRFPTILRPRMNQDAIRGCPSSVGFSRNDLESQLLHIHGDEYKVVIRLDTIELSKLKRMKSLEVWEISSNGEKWELVSRAPSEVISNKLCGVMMGCLERRLGVIRVALMTNREGLWNIIWLDYDKEKDKWEWVPLPHCRFLQGSNMAGISFSSGLTFSL >A09p070600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54727835:54732544:-1 gene:A09p070600.1_BraROA transcript:A09p070600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative calcium-transporting ATPase 7, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT2G22950) UniProtKB/Swiss-Prot;Acc:O64806] MESYLNQNFDVKAKHSSEEVLEKWRNLCGVVKNPKRRFRFTANLSKRYEAAAMRKTNQEKLKIAVLVSKAAFQFISGVSPSDYTVPEEVKAAGYDICADELGSIVESHDVKKLKFHGGVDGLSGKLKACPNAGLSGESDQLIQRQELFGINKFAESELKSFWIFVWEALHDMTLMILGVCAFFSLIVGIATEGWPQGSHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKITVQVTRSGFRQKLSIYELLPGDIVHLAIGDQVPADGLFLSGFSVVIDESSLTGESEPVMVNSQNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGMFMRKLSLSTHWRWSGDEALELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMNVQDVASKGSSLESDIPEAALKLLRQSIFNNTGGEVVVNKQGKTEILGTPTETAILEFGLSLGGKFQEERQSYKVIKVEPFNSTKKRMGVVIELPEGGRVRAHTKGASEIVLAACQNVINSSGEAVPLDEESIKYLNVTINEFANEALRTLCLAYMDIENGFSADEGIPASGFTCIGIVGIKDPVRPGVRESVELCRRAGIMVRMVTGDNINTAKAIARECGILTDDGVAIEGPVFREKNQEELLELIPKIQVMARSSPMDKHTLVKQLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRMPVGRKGNFITNAMWRNILGQAVYQFVIIWLLQAKGKSLFGLVGSDSTLVLNTLIFNCFVFCQVFNEISSREMEEIDVFKGILDNYVFVVVIGVTVFFQIIIIEFLGTFASTTPLTFVQWFFSIFVGFLGMPIAAGLKKIAV >A07p042240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22903310:22906951:-1 gene:A07p042240.1_BraROA transcript:A07p042240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTKQFEGQLVPEWKDAFVDYSQLKKDLKKIHLLTNKVEKKQTETSFIKTIKSSLGKLSIFGNKERESSRAIKVHTKLASSGSNSDVYETELLEKIADDTDAAKEFFVCLDTQLNKVNQFYKTKEKEFLERGECMKKQMEILIELKDAFKQKQANGESTQESKEDDSISCTISCEEDSDRSRTDQMQLQESCLEDLENNGVGALESPRSEEPIKINSEDSKLRTVSGRVFNCQGKNLKIKIPLTNPSRTFSAISYLIKEDLINQSSSKKCGPDGVNKLRISKKKLSHAEKMIKGALTELYKGLNYLKTYRSLNMLAFMNILKKFDKVTEKQILPIYLKVVESSYFNSSDEVIKLSDDVEEWFIKHFAGENRRKAMKYLKPHHRKESHSVTFFIGLFTGCFVALLAGYIIVAHLTGMYRKHSENTFYMETAYPVLSMFGLLFLHLFLYGCNIFMWRKARINYSFIFELGSKNELKFRDVFLICTASMSVIVGVMFVHLLLLAKGYSLRQVQVIPGLLLLVFFLILICPLNIFYKSSRYRLITVIRNIVFSPLYKVVMLDFFMADQLCSQVPMLRNLEYIACYYITGSYATQDYGYCMRVKYYRDLAYAVSFLPYYWRAMQCARRWFDEGETSHLVNLGKYVSAMLAAGTKVAYEKERSVGWLCLVVAMSSIATVYQLYWDFVKDWGLLQHNSNNPWLRNQLMLRQKYIYYFSMVLNLVLRLAWLQTVLHSSFEHVDYRVTGLFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFREVDEED >A05p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4466915:4467199:-1 gene:A05p010550.1_BraROA transcript:A05p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRSRDHTSCDTSQHPQVPYPHYPTEDSYRTCTREIMLFEEGTSALDAVSERSVQEALDQACSGRTSIVVAHRVIGLLL >A01g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17713599:17715725:-1 gene:A01g506020.1_BraROA transcript:A01g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIIVLDLTISGNVDGKEGNAPETHGTRNGTHGDVGKVEMCVLNPVPRNPGWKWEGAGPAIVNVEGEFEQLRVFGQDQINQKVIVPQCSGVSEVQEWDDGGSSWGKKDDGGSQEAKYEMLIRIRDLHRAKGLTVFVFTLHDVFTSASRARRKTHALRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVRRQILMPHFQRAAEYRRLYQGRGTFQFAPEVDTTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRRITGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRAAGPKQDGEQKSPVRSG >A06g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18505615:18511309:1 gene:A06g506620.1_BraROA transcript:A06g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQAETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIKKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRIKSSVNANASDVEARHKSEAHATTQPEHPENSRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPQDDPLPRHHQPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHPSEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKKTNPIDDARSFRNDSRPRRFFPSKRTKKKNFFHKLKFEMNFLTTDINFRGTNLCLSDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVITSLLIRHEDLLFKLGLSHINSIHHA >A03p065020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28340356:28343107:-1 gene:A03p065020.1_BraROA transcript:A03p065020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 9 [Source:Projected from Arabidopsis thaliana (AT4G30560) UniProtKB/Swiss-Prot;Acc:Q9M0A4] MFDCVKKSVKSQVISGQREKFVRLDSMDSRYSQGAEAGLNKCTLNLQGPSRANGAGQGNNNNASSGSFKKGFRRGSKGLWSLGRSIGLGVSRAVFPEDLKVSEKKIFDPQDKFLLLCNKLFVTSCILAVSVDPLFLYLPFINDSGKCIGIDRRLATIATTLRTFIDVFYLFRMALQFRTAFVAPSSRVFGRGELVIDPAQIAKRYLQQYFIVDFLSVLPLPQIVGALWYLLALERVNGCWKKACLVDGQNCTRNFLFCGNENMDGYAAWNTIKESVLQKSCPVNVTDGDNPPFDFGIYLRALSSGIVSSESFVAKYFFCLWWGLQNLSTLGQGLETSTYPGEIIFSIALAVAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPDLRERVRRYDQYKWLETKGVDEENLVQNLPKDLRRDIKRHLCLALVRRVPLFENMEERLLDAICERLKPCLYTEKSYLVREGDPVNEMLFIIRGRLESVTTDGGRSGFFNRSLLKEGDFCGEELLTWALDPKSGSNLPSSTRTAKALTEVEAFALIADELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAAIFIQAAWRRYVKKKKLEQLKKEEEEEEEEGEGPVASIRATFLASKFAANALRKVHQNRIAAKSTKELVIFQKPSEPDFSADDP >A10g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15225627:15226046:1 gene:A10g505880.1_BraROA transcript:A10g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATEENIFEFHLRYLLLRMDYQQEWWLLEDFTMDINKMAATKCNSAVRSCSDVVTTTT >A07p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7538025:7539451:-1 gene:A07p013290.1_BraROA transcript:A07p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWDFPFMQKAFRFERLVDGDVSRRKKKPFWLNPVSHGCYTIDRLSYIHRSPSADSVTVQREQLQSEEDLEVWFFAVSDAGTGREIVKYMQNHIFDKLHNEHGVLRKCKEIMRRAYVEEERSSGSAATVAVVDGEKLAMASIGDHRVVVCRDGEAYQLRAKSSTRKWSDFVFPVCYQGETDDESDSRDLELALVTEKISSDTEFIIIGSSGIWQVMKNQEAINLIRHMEDPQEAAKCLANEALNRISKSEISCIVIRFT >A09p025280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14123578:14125494:-1 gene:A09p025280.1_BraROA transcript:A09p025280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGMFLIFSAAALFLCLLRFVAGFRRSSSSKLPLPPGTMGYPYVGETFQLYSQDPNVFFASKQRRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPDSVRNMVPHIESIAQESLSSWDGTQLNTYQEMKTSKNRASPVFAFLKSKNRVSPVFLYQQYTFNVALISILGEDAVFYRENLKRCYYILEKGYNSMPINLPGTLFHKAMKARKELAQILANILSKRRENPSTHKDLLGSFMEDKEGLSDEQIADNIIGVIFAARDTTASVLTWILKYLADNPTVLEAVTEEQMAIKKAKQGESLTWEDTKKMTLTSRVVQETMRVATILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHNADFFSDPGKFDPSRFEVAPKPNTFMPFGNGIHSCPGNELAKLEISILIHHLTTKYRWSIVGPSDGIQYGPFALPQNGLPIALERKPEVDI >A07p028360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16040286:16041021:1 gene:A07p028360.1_BraROA transcript:A07p028360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTVVCGLIRRRPAGRPAVWPAKSTRDRPAVTRSTTNPLWYGTERDGRSCGACLGVPADTYARVCLENEQELPGSDGTQRREDLLGILPTRPQSRLYHVTDPSEFLQ >A09p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19464057:19466281:1 gene:A09p032130.1_BraROA transcript:A09p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGEDPPKKPSSSSQLNLPPSMNRPTVSLETQRINRLIDSNHYHSPSKPIYSDRFIPSRSGSNFALFGLEPSPGKDDGPGSYAGMLRAAMFEPDTPEKRDVVTGFSPSRKMFRYKTETQRPVNSFSPLGGAGDDEAPGVSRSPVKPTRNILKSAYKVLDAPALQDDFYLNLVDWSAQNILAVGLANCVYLWNACTSKVTKLCDIGIDESVCSVSWALRGTHLAIGTSSGTVEIWDALRCKRIRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRCQEDHVSKLTGHKSEVCGLKWSYDNRELASGGNDNKLLVWNQHSTQPVLRYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTSLNCVDTTSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKLATLTGHTFRVLYLAVSPDGQTIVTGAGDETLRFWNIFPSPKSQSRESKIGALSFGRTTIR >A09p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40501607:40503861:-1 gene:A09p046480.1_BraROA transcript:A09p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVFEMDNYQGDLRDILRGIDGGHVLSPELAPSPPRIWPLPQPSSSDLHPINPFGDPFVSMTDPLLQELNAVTNSGYFSTADNNNNNCFLVPKVLEDDHIKSQCSVFPRIRISHSNIISDSSPCNSPVMSAHVFAAAAAASPRGVINVDTNSPRNCLLVDGNTFSSQIQISSPPNLGPKRRKSQAKKVVCIPAPAAMNSRSSGEVVPSDLWAWRKYGQKPIKGSPFPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTAEHNHPWPIQRNALAGSTRSSSNPNSSKSSTATAPTNGPPNNTHSPSSASPSPLPASAVKDEQREEDMELENVENDFGNQFSPYRPELHDQHQPDDFFADLEELEEDSLSMFLSQGVAGYGSNKTTASNGISDFVGWSGANNSNDDRDLRSF >A01p013420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6561920:6564457:1 gene:A01p013420.1_BraROA transcript:A01p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g21300 [Source:Projected from Arabidopsis thaliana (AT4G21300) UniProtKB/Swiss-Prot;Acc:Q9STE1] MSISSVAKRFSPPIRHSSQLLRESLPRRLTLLLQSCSNPTLLRQGKQVHAFLILNKISGDTYTDERILGMYAMCGSFSDCGKMFHRLDLPRGSIRPWNSIITSFVRVGLMNQALSFYFKMMMFGVSPDVSTFPCLVKACVALKSLRGVEFLKDTVSRRGMECNEFVASSLIKAYLECGKIDVASELFGKVGKRDCVIWNVMLNGYAKCGDLDSVVKGFSAMRMDEISPNVVTFDCVLSVCASKSLTDLGVQLHGLAFVSGFEFEGSIKNSLLSMYSKCGSFDDACKLFRMMSRGDTVTWNCMISGYVQSGMMEESLVCFSEMVSSGVLPDAITFSSLLPSVSRFESLEYCRQIHCYIVRHSIPLDMFLTSALIDAYLKCRGVSTARKIFRQCNSVDVVVYTAMISGYLHNGLITDALEMFRRLVDVEISPNEITLVSILPVIGGLLALKLGKELHGFIIKNGFDKRCNIGSAVIDMYAKCGRMDLAHEIFRRLSKKDIVSWNSMITRCAQSDDPSAAIDVFRQMGVSGMGFDCVSISSVLSACASVASQSCGKAIHCFMIRRCSLASDVYSESTLIGMYAKCGNLESAMNVFERMEEKNIVSWNTIIAAYGNHGRLKDSLRLFREMVEDNGVRPDQITFIEIVSACCHAGDVDQGVRFFRSMTEDYGIQPQQEHYACLVDLFGRAGRLNEAYETVKRMPFVPDAGVWGTLLGACRLHKNVELAKVASSRLMELDPWNSGYYVLISNAHADAGEWGGVTKARSIMKERGVEKVPGTSWIEINKTNHSFVSGDENHRDYSHMHSLLNSLLEELKLEGYVPQPYLPMHPHSSRKLSPVEKGMVDANNV >A07p034200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18614493:18615548:-1 gene:A07p034200.1_BraROA transcript:A07p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGRDGNRGPSSSSGYSADLLVCFPPRTHLALTPKPISSPSRPSDSTNRRPHHRRQHSKLSSRGGGGGHGSPVLWAKQASSKNRGRDEIDEPTSPKVTCAGQIKVRPSKCGGRGKNWRSVMEEIERIQRSKPQSKFFGVKKDVMGFLTCLRNIRFDFRCFGDFRHADVTSDDEDDDDGEEEEEEEDGEEEDPKNAFSKWFMVLQEEQSNKDKDNNSNNNKCVLENSDAEPAVPPPNALLLMRCRSAPAKSWLEERMQAKTEQENREKQQEEETEEDNRERQREEETEDEEMMSKKDDKKDLRTLVEEEKMDLVLMRYDTDYYKLSSDIAKETWVVGGIQDPLSRSRSWRS >A09p026280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14708515:14710012:-1 gene:A09p026280.1_BraROA transcript:A09p026280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 8 [Source:Projected from Arabidopsis thaliana (AT5G46640) UniProtKB/Swiss-Prot;Acc:Q9FIR1] MDSRDIPQQFQPPLFHYPNFNTSAMMGPNSTSQSINHRLTFGSLTPRGTLQQQEQQMDQKTLESLGYVDEVSPSSQPMRSGIDQSQQQVKRKRGRPRKYAPDGTIALGLAPTSPLLGDGDSGRVNTNSAKRARGRPPGSIKKQYDALGTSGGMFTHVIEVQEGEEIVSKVAALSTQGPRTVIVLSAAGAVSRVILHNASGIQNYKGQFEIVTLSGSFSNYEVNGSIERTGSLTVALAGPNAQILGGLVGRLVAVTPVQIIVGSFVDEAKKLKQSTGNNAQGQNPEPVSAPANMLNFGSNSQGPSSESSDENESGSPSVQHHDNNNNGIYGNSMAQQQQLRQMQMYNLWPSSGQ >A09p066980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52965066:52966231:1 gene:A09p066980.1_BraROA transcript:A09p066980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESISAYGTEAKYVAYYTKSLTSFKAAKSKLDGLIMATRTRIFSFVLMMMMSFTVLLSSCSAKVYKVGDSEGWTAKDDVYYAWAESDHKEFHVGDFLVFEYNPSINDVTHVSGSLEYEFCDYSSPKTVYNTGHDVVTLTEPGFHYFITSNQTQCVLGQKLEVLVIHDPSSPVPPPTLSKILPVGNTYKVGDSKGWTNIYDSDFYNKWSEEKQFHVGDSLIFEYANEVNDVYEISGDLEFITCDPTSPVAVHKTGHDLVRLTEPGVHYFITSQSGYCEAGLKLRVMVKPEPKAVTYPNFPKKVDLSAMERLNNWLKNFKHQPHH >A04p032590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19038727:19039401:-1 gene:A04p032590.1_BraROA transcript:A04p032590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLIRTLQCIPPGGMYGHPSIPPGNTTGGNEGDATRQSEVKEKLPIKRSRGSLGSLNIITGKNSGASANGAYSKSGKSASDGSSEGSDANSQNVISLFHYTQYFSKNIMVCCG >A08p040010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22895026:22896250:1 gene:A08p040010.1_BraROA transcript:A08p040010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSSWDELRKQARKIEAQLDEQMHSYRRLVSTKSDGEESDLEAGIDLLLRQLQQVDAQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRHRSRLRAKQERASLLEDFKEFDRTRLDLEAGDGSSEQALLKEHVGINRNTSQMDGVISQAQATLGTLVFQRSNFGGINSKLGNVTSRLPTVNTILSAIKRKKSMDTIILSLVSAVCTFLIFIYWLTK >A03p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1152849:1155044:-1 gene:A03p002520.1_BraROA transcript:A03p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERTNEEIPMPTYFRFLTSLAFKIFAAEKVDVAILEVGLGGRLDSTNSVRKPVVCGVSPLGYDHMEILGDTLGKIAGEKAGIFKLGVPAFTVPQPDEAMRLLEEKASQLNKLGLDGEHQYLNAGLAVSLASTWLQQVGKLEVPSLTKKCILPEKFIKGLATASLQGRGQVVSDQFIESQTSGDLVFYLDGAHTPESMEVCAKWFSSAVNRDNQSESSEHLLSGSSESSHDQFSGEENCHQILLFNCMSVRDPTMLLPHLRNTCSNYGVHFKKALFVPNMSVYHKVGTAADLPEDDPQVDLSWQLTLQKVWESLVQSEIGEEDGESSGNSEVYTSLPMAIKWLRDSVHESSSATRFQVLVTGSLHLVGDVLRLIRK >A09p056470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48029339:48030663:-1 gene:A09p056470.1_BraROA transcript:A09p056470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKIASKAQTEKVAAPLKQMLKRCSSLLKKGKEEVIGRSKRSIQSKKVPKKGHFAVYVGQSRSRHVIPITLLNHPIFQMMLHEAEKEFGFRQERGITIPCDQNIFLFLLDIISNLTS >A04g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7944088:7946643:-1 gene:A04g503720.1_BraROA transcript:A04g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEASRGESGYVVCGSWIRRPKKVNWAIIAKAAKRRGSSSPPLLNIYSFDPITTSLSSSPLATHALNESDGDPVAISVHPGGDYFVCSTSKGGCKLFEIAGGATTGITILEKELPPLQNAGLQKCMAFSFDGSKLAVGGVDGCLRIMDWPNLSVILEEPKAHKSIRDMDFSLDSEFLATTSIDGSARIWKAEDGFPLSTLERSGEENIELCRFSKDGTKPFLFCAAQRGDVPVVNVYDISTWKKLGFKKLSRKSASTMAVSLDGKYIALGGKDGDISIAEVKTMEIYHYSKRLHLGQTIASLEFCPSERVMLTTSSEWGEMVTKLTVPKEWKGMLVAHLSLCESLSGRYMHYCFACSWHQWYLHTCSSRTQIRFGSYQWGKIKEDQRLVFLEIHPRLLKDHNRWNLDL >A09p017860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9289612:9294554:-1 gene:A09p017860.1_BraROA transcript:A09p017860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTTQKPCKESSRLLDLLPGNYTLNSFKNQHYSCKTCILRRSRVAVSSDVELNSQNFHIGLGAILEPCGERLVVVRKILRSNWSDINYNNNDLSAYRLVTPVSGGAKRKF >A07p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15948917:15949617:-1 gene:A07p028130.1_BraROA transcript:A07p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPMAMATPTDSVTRVWSMSSLRSALPSCRLPSSTSRRAVTLRLPVSTPSPLLPSFSGLSPVNPLISTGLPDWKSFESGFKIIDGGGRVYAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTRARASAMRKFVDKMITLAKDGSLHKRRQALGYIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >A09p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7092260:7097387:1 gene:A09p013690.1_BraROA transcript:A09p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEADEVVATYFDKMTQKRPRKMMVNVHALLVRCFQTTQQIVISQEGNQQSYEFLSLIVALDKLKQLVEDKDARGGGQRLQLGESQKAFPLTLDSHLANMLVESSFSASVETTLLNASEYQDPSPVFNWMSLAETYQMIHKPPDESQVSFLEAVPVPDAMNLDKLEHPKLQPSCPEKALTSLVYTRRKRSVRPGGTDDNSPGKCKKQDDSLDDSVVPVHNTGESIKGKNRFNNCLVYSRKEKRGITSCVPTIRANDVFISGHDCGETKRRRTRLDNCLVYTSRKKGGVKSHSCSFSEHVSGGTKISGDQAHSSEYSQMGHIVNPGEIKNSGHRPVYNQSKQLVKSNGDTSDGLLVYSRRKQRGKSIGFLVYTRKKPKANNIAADSCSSQSSSELASGSSKSGENEATGSDSDTDGSSSSPFRRCKRCDKAGTVEKMLICDECEEAYHTRCCGVRMKEVAEIDDWLCRSCLKKKSSKTKIKRRSRERKWRVTEPFVIGVRVGKEFQADVPDWSGPTMSDTSFVGEPLEIEQSEYMHDLKKAKNGKKPRSPVNWLQCREEDTNGDICGKWRRAPRSEVQTNDWECFCCVFWDPSHADCAVPQELETDEILKQLKYIKMLRPRSDAKTRKIGPKGGSRLHK >A04p018890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11270378:11270843:1 gene:A04p018890.1_BraROA transcript:A04p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIREGLHYNPYFPGGKIAMPKMLKNEAVEYEDGTPAATEAQMGKDVVSFLSWAAEPEMEERKLETKMISSQISEAGS >A07p025710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14762844:14777622:1 gene:A07p025710.1_BraROA transcript:A07p025710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFSIFILLFVIITCFLTTLSTSAASQSLHPDELNALKEIATTLGIKRLNLSAGDPCNIRTLMIIQEKQDVLLNSAKNNSIVCDCSFNNNMTCHIKVIELKTLSLPGKLPPQLAKLPYLQKIDLCLNYLSGTIPMEWASLRYLTSISVCANRLTGNLPRGLENFKNLIYLSVEANQFSGTIPDELGNMTSLTTLHLASNYFTGSLPSSLGRLVNLEDVRVCDNNFTGVIPEFIGNWSRLRKLHLYASGLKGPIPDEVARLENLTELSISDTTGINSFPYISSSAIKNLILRNVSLSGSIPSYIWNKTDLNTLDLSFNKLTGEVEAVKAPKNTYLTGNMLSGNISAGVFLNDDKSNIDLSYNNLSLPSSCQEKSDINTYRSSNWKDNLSGMLPCAGPIKCLGYQKSLHINCGGESVNIKNSLGKINYQADNIEPTASTNQHSINWGVSNTGDFTDDGNDDDTYIISTDSPLPGEYSDLYKTARRSALSLVYYAFCLENGTYNVNLHFMEIQFTEELYMSLGRRIFDVYVQGKLFLRDFNIKEEANGTMKPIVQELKDVNVTNHVLEIRLYWAGKGTTLIPNRGYYGPLISAISLCHSQERRCGVEKTKHHTNYPMISGAIGGLVAILLLALGIYAARKRYIEDKSTKERAQGLQTNCFTWRQLQAATNNFDQANKLGEGGFGSVFKGELSNGTIIAVKQLSSESSQGNREFVNEIGMISGLNHPNLVKLYGCCVEKNQLLLVYEYMEKNSLALALFGKSSHKLNWSTRQKICVGIARGLEFLHHGSTIKMVHRDIKTTNVLLDTDFNAKISDFGLARLHDGEHSHISTKVAGTIGYMAPEYALRGHLTEKVDVYSFGIVAMEIVSGRSTVKKKGSADDVPLINWALTLEKRGDIMEVIDPILEGDFKSEEAVRMIKVALLCTNSTLSLRPTMSEAVQMLEGDLKITSVMPDHGLYGHNLSISKLMDIDTTTHGSSSTTTGTTDLEMKSSVSSYDLYPLNPESTILNS >A04p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14029879:14031546:1 gene:A04p023130.1_BraROA transcript:A04p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMWNVEILIIALVVVRISQWLYRWSNPNVRCNGKLPPGSMGFPIIGETIEFFKPCELLEIPSFFQNRMQRYGSLFRSNIMGSKTVISTDSDVIFEIFRQENQSFELSYPDVFVRVFGKDNLFFQTGNIHKHIKKSTMQLIGSEGLKRKMIQSMNQATREQLKRKANEGTFDLRDAVSSLIASYLTPKLISNLKPETQAELIDHFKAFNIDWFRSPFAPSTWKIIYKVLKARREAMQLIKEALKKRKESREKHGDFLDTLLEDMEKEDSIYDEASLINLLLVIGVVSKDTTSVATALMVNLLSKNPEVLAELKREHGAILQKRKDKEAELSWEEYKYNMTFTNMVKHSV >A02g510380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27521580:27523933:-1 gene:A02g510380.1_BraROA transcript:A02g510380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLLSDLKAGRCSNTAEVRLLRVWEARNINKGMELMSLDMLLIDENSTVVHGTVSALLQLRFRQRMTEGSVYTLSGFDVTRSSPKYRLSDAPVAIRFNDGTEFEKLATTSRTIPTEHFRFRPYDQILGLANTGRQLPDVMGELSAIRSTITDRIPGAQRVMLNLRLGSDTTVCVSIFDSLALAFHSKLDVYGKEPRIVVVTAVNPKLVSGPMCFGRKVIFEWHFCDTYFFYSETAVGADAFARQASFLLFDNAIFFFFRYAFTFYYPCRLPSGGTDQSGSSSKVVHAQKIEPMTVSELNQFIFTADPQIIEFFCTAKVTEIQLDEGWCYIGCSTCSKKLIREETSFTCVPCNETNAVAKLKYRVILSVSDDTGAAAFLGFDEEIASLTHVLASDAAHIVGIGTNAQVDIDLPRSLANLVGSTYTFQLRLKDFNFGPNHRSFTISRIFPARDLAPKPTFSVSELEDGGEDTDQSIPQSVATGLDVGAGIVNNGADQLTEADGARMVHEAAASGEDAGEATARKKACVE >A04p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22498674:22503200:1 gene:A04p039780.1_BraROA transcript:A04p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSRICHGVQNPCVIISNLSKSNQNRSPSSVSLKTQQPRASSWGLKKSGTMLNGSVIRPVKVTASVSTAEKASEIVLQPIREISGLIKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDINYMLDALKKLGLYVERDSVNNRAVVEGCGGIFPASLDSKSDIELYLGNAGTAMRPLTAAVTAAGGNASYVLDGVPRMRERPIGDLVVGLKQLGADVECTLGTNCPPVRVNANGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVSAEHSDSWDRFFVKGGQKYKSPGNAYVEGDASSASYFLAGAAITEVLEKMGCKVSWTENSVTVTGPSRDAFGMRHLRAVDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVEEGSDYCVITPPAKVKPAEIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFQVLERCVHRRPDKIAWVSLKCNYYPPHTTCSERERMGTAISNMLGAGEGNESEHSGVTKLSSSAQWQLHFNGMKDSNQLLVIDFSASWCGPCKSFEPAVRHMAVKFTDVSFVKVDVDELPEVAKEFDVTAMPTFVLMKNGKEVDRIVGAKQDELENKVTKHRGGHVWLKRMNRLHIHFSCGVPTDGEVINGMRRDVNVLIFLDIKKALEDGTAFYISDNKVVLTEGIDGVVSVDYFQKIES >A01p042020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22642306:22642900:1 gene:A01p042020.1_BraROA transcript:A01p042020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERGRSRSKSLEVNNDSHEDINVAEDIKEERRGRSRSRSLETKHKSSRRNELDENKDTGSRRRSRSRSVDGKRSHAKETQSRDKTSKRSSGRRSRSVFSEGRHRRERRPSPEYSDENKSSSRRKGHSRSREKRESSRDKISKRHKRLRSASPGDDNGKGDRSPSPVSSE >A02g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19409658:19410768:-1 gene:A02g506880.1_BraROA transcript:A02g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFQTFFCLISDVGLNLLSHILPLKLRTTFISYISFGESSSAPPCTTNRRAFFENVSPTTSRSFEIVITEAGPHGFTFGFLPKRPRTIRVGHLFYILDSPCLILQCET >A06p043280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23274411:23275618:-1 gene:A06p043280.1_BraROA transcript:A06p043280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHTAKDTGMKRSASELALQEYLTKVMSSSSSALSRQESTSPLEPSFDLKNQDHTGELRDRHLLSETLFPAGVLLDAQSSICENLSADSPVSANKPEVRRRAQRTTSVSSHGHSDEEDAETEAGQSEMTNDPNHLKRMRRMYSNRESARRSRRRKQEHLADLESQVDSLKGENTTLYKQLIDATQLFRSAGTNNRILKSDVETLRIKVKLAEDLVARGSFTSSLNQLLQTHLSPSPQSINSVHYTGSTSPAVTVHSDHLCSLESHFLDRTQALDLVMYPAKLLAASQTSGHEPLLHM >A10p016010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3328214:3329242:1 gene:A10p016010.1_BraROA transcript:A10p016010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILNQPLELCLRAPVLVRCSPLHSNSLSSASLPQTQTLPKFIYCADPCRPQLARIMVRRDGDMIPLDFDPPYDFMEAPELIGSTNGWITSLVDGRVCLYTHRGKRPDHERIWLPALESLPLCQAQVVTNVAMSSSSPEEEYRVVAVKFLGPQLSICQLISRRHAQVEVEGIHIRISNPCFFSSPVMYSEKEDMFRIVGSGGHLVGSWDLRKHKDTPKIQRLQFQNLPEMSKAKRELLYSCNTSEHLVESRTTGETFMVKWYKRTSKTIERMETKALMVFKIDEEGNAVYTQDIGDLCIFLTMTESFCFPACSVRHMRPSRVKIMDVDEITIVDLAAQKWN >A09p074220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56351330:56352070:-1 gene:A09p074220.1_BraROA transcript:A09p074220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVILLDYWPSLFGVRTRIALEEKNVKFDYIEQDLCNKSALLLEMNPVHKKIPVLIHNGKPVCESLIQVEFIDETWPKANPLLPSDPYQRAQARFWGNFIDQMLPGLGKLMWGANGEEQEEGKKEFVEMLKTVESELGDRTYFGGETFGYVDIALIGYYCWFDAFEKYGNFSIEAECPKIIAWAKRCVKRDSVAKSLPDSEKVTELVPELKKKKRVE >A03p034050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14409023:14410432:-1 gene:A03p034050.1_BraROA transcript:A03p034050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSSSHNTIKTSSDSAVKLSALISEPDTTSPVKNDVTNPATINGNSFIDSTPRDSSEEITFFDSRGWLDSDCEDDFMSVDGEFTPSRGTTPVHHKFSDKTPKADEKKHEEPSPTDNKKRLLELFKETQDQDEDEGEDDVAESKARACLWLRTPVRSSAPATPYNNNNDTERQQLKRVKSSAQGSCVLRLVSCSSFTERRRKMMNHTPVHVQR >A10g502770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7769422:7770660:-1 gene:A10g502770.1_BraROA transcript:A10g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNKTHSQVTPLKNRKKKTKEKVMTVEHLQDQQSASASDEDSVENSLSSEEEEETDSKKGETSKTRANSSSPVTKPPPADSNKVETSKKRSSETDEGEEAKRVKRVSLSGDGDNKKSTSSSEEETDSESETESVADSKIVETSSKPKTTANSLSEVATVSSSYEDSSSSSGDEETDPPVPNPVVEPPADSSRKVVEASSKKQPSIETDEGEAKRDTKKNYFQRVWTEEDEIVILQGIIDYQFETGSNPFDDKNALYELLKKSVSFNPTKIQFLEKIRGLKKKFDSNLGKEKKKGEELVFSKSHDLEAFRLSKFVWKDHDGITANAIGVEPAVLKLVEPKQGSVSLVESVTRFGMDGLVAKKGWSRLSSEDKKSLEEEWEALALEEFKFYSRKSRIIHDAIAKMAEASQPDH >A06p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1312066:1313672:-1 gene:A06p004750.1_BraROA transcript:A06p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAASNQPSKWTSELFDCMNDGENALITCFFPFITFGQIAEVIDEGTSGYHVFTHAHSGPNSEANMCYRMLQLQIGSLIASVNIVHFAKNIVSSRTVVLILPLSDQGDVEGEATGTEVMEVR >A03p061170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26541711:26542009:1 gene:A03p061170.1_BraROA transcript:A03p061170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTNQTNNVGKAVPKPYCKVNNEFQKKKGGYWVLGWSSERTASSDCGGKKSKEEGDVAEELKKALKGAS >A05g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2801719:2802635:1 gene:A05g500750.1_BraROA transcript:A05g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILLSDLKFGQCCSSAVEVRLLRFWEDKNVKCGDELCSSKYDDNSGTNLASYFIDAWSLVATLKNLSEESLSTESKQTAMKDYGACSSQEAETGLWRLRSEPPSIDGYGGRIVTGVPVWCVLQRPT >A02p015480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6845868:6847820:1 gene:A02p015480.1_BraROA transcript:A02p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGDGRDEMEQFHQNEAISAVADDGFTAEEEDDDDYDDLYNDVNVGEGFLQSVRKNDEAGSRDEEKEEVKTEGEEDRVESVLGTSEAEVSIPGLVGEGVAVKEEAGGGGESGNGAQELKVSDVSDGLKANNVEAPIGNIVLPPPPSLGNNENLMRAVMGNANGVAPPGPGSNIPGNGAIIHMPGVVNGGGTGGGGAFVYVGDLHWWTTDAEIEAELCKYGAVKEVKFFDEKASGKSKGFCQVEFYDQMAATACKEGMNGYEFNGRPCTVDYTSPHSGKRMGEAHVNRNQQVQSGFSQAKKGGPADSPIKPLATTNSNNNVGSFQGGENRGFGRGNWGRGMGGRGPGGQMRNRPGGMGGRGFGGGGFGQGMGTGPPMNMMHAQSMMGQGFEQAFGGPMARMGGYGGFPGAPVPPFPGLMTSFPPVGLPGVAPHVNPAFFGRGMPMNGMGMMPNAAADGGHNMGMWDPNSGGWGGEDLGGGRAAESSYGEEAASDHQYGDRSNHVKEKEKGSEREWSGSSDRRNREDKDAGYQRDIPREKDVHGYDLPERRHRDDRDSGREREREHHHKERERSRDRDRERDRERDRHREERERYGGDHRNRHREEPELDDEWNRSRSSRGHSKSRLSREDNHHSRSRDADYGKRRRLTTE >A08p032430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19627233:19628768:1 gene:A08p032430.1_BraROA transcript:A08p032430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterogeneous nuclear ribonucleoprotein 1 [Source:Projected from Arabidopsis thaliana (AT4G14300) UniProtKB/Swiss-Prot;Acc:Q8W034] MDSDQGKLFVGGISWETDEDKLREHFSSYGDVSQAIVMRDKLTGRPRGFGFVIFSDPSLLDRVLQDKHHIDSREVDVKRAMSREEQQVSGRSGSFNATRGSGGDAYNKTKKIFVGGLPPTLTDEEFRLYFEQYGPVADVVIMHDQTTSRPRGFGFVSFDSEDAVDRVLQKNFHDLNGKQVEVKRALPKDANPGGAGRAMGGGGGGGYQGFGGSEGGFDGRMDFNRYMQPQNVGNGLPSYGSSGYGAGGGYGNGSNGAGFGGYGGYGAGAGAAYGATGMPGGGYGSSVAPRNAWDTPSAPSGYGNPGGYGNGAAQSGYGAPPAQTQYGYGGYSGSGDAGYGNQAAYGAVGGRPSVGGLNNPGGGYMGGYDPSQGYGLGRQGQ >A10p003340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1674665:1676196:-1 gene:A10p003340.1_BraROA transcript:A10p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHQKDHQTILTRFSKYSEQIPDDLITEILLRLPSRSIARFRCVCKLWASTISRQDFTKSFRARSSSRPQILFACGKNNLKLFLSSSPQPHQNTDVNSSSIAASYHMSLPCGRFYTLGHIGSLVYVREGMSLWKICNPSTGQCFTLPEVKIHSWIRTFFGYDPIEKQVKVLTITGEHGEDNHQVLTLETDKMSWRTIEYDTPHSPISAGVCINGVLYYRAYEHSLPDPCMMIVCFDVRSEKYSFIRVRESSLGAMEPETTTLINYNGQLASLEMQRSFSEASTSFDLWVPQDSGKEEWSKHTYKFPMYTRHVILYCRSVEIRGMKEVKGKKLFAYVDHIEDLKLILAASAAFSAEILLTIS >A04p032550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19028749:19029359:-1 gene:A04p032550.1_BraROA transcript:A04p032550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIDASASKLQSYDINDSKRKESLSSPPPPSSSSSSAADYDDHDLKDVKLRRLSSPHQKRESLVHFIPVLTLICFVILYLSSHAPSQSDLAQFNGFMRSSKRLESDEDGEISGLMIGTDASAAIRSSIRKLRETESLPRRRTSHRKTADF >A06g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15052267:15068236:-1 gene:A06g505110.1_BraROA transcript:A06g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEFPRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGHIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVVSSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQLFLTKKLYGRKNRDVRRRLETGRYVATELKPKLGRYVATELEPKLGRYVATKHSDRARAKVRSLRSDRALVSLGRYVTTGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVSLFKRKSTVRISVPTLYGRKNRDVRRKLETGRYVATERSSARSLRSDRARAKARSLRSDRAIVATELEPKLGRYVATDLEQKLGRYVATERSSRSVATDRARAKARSLRSDRALVSLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYETATQLGLAVLGLLELGISPTALKPRLIPC >A08g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20814721:20820853:-1 gene:A08g509820.1_BraROA transcript:A08g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSPETLREMLSIIREARNDLKKLRDTASMISSSLSKPEELNLKKKAIIPLSLEGDESVLLKLPNVCEVVDGRNHQMLARNVSGGREMSRNFENPLSNFVEHMVGQKQQEVSGIENPKMEWYNDGFGLQAPLSTSSVTSPLPKKPPDPPDMILGKSRNKGTIFPKYSCEDIYERMEMGLCVFCEEFDTPGHYQLKHKRSKIFMTECVDNLVSYDKVDSEAVVEETTSDDKMSLEQQTIPSTTSRVHATQSQGIESNDEQALDTTGQLNLSGEMTTLRLVDSGVMIVNAAGGVMDVTLSFTDCKSSELIDRKFSRQRSGQFTEPLSMKSVDYAINVNNSGPRAWEPGGLSAKAVQCYWREKQYSQDGMLQVTQKLHRTEVQVLSCLQEIGVRSKLDNVVKLFVGMNFHSMKFEAAVEIYLWTQHDSSRLILHNALKIKRLHKVDSFLCFDRMVSETMDFDGASVRNLLTKQSRNCSFFEFVLQWKWYPPKLSCQACSGWFLRLWTWQKRVRFFSSRWLIFGERVTSPSCIQGQRCTKLTQPHVDIVICISKEMTPVMNTWSFDDSFLVTEKHKYNGNGATLKLSYLIRGYGDRDIMKLQIICIYIMSLLLFYGSLPRPPEFRKIKKSLERLILLEDHKLLFSKTHKPTHSGAGFSTLYNNLEQMMIELQSCSEYKLLEDAAVIRFSALRHGHVENSFAEFHAYEVFHLQRPPEVLAEIIYWAKQKTWSRLLNGVEKNGLKDIAAVVYYGLLLSHVYHRWKNKVGDAVSGLVMFHLVVLTGSSSNFAGLSIATVIHSTFPKTGDLFKVTMFLEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A03p043420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18162566:18163866:1 gene:A03p043420.1_BraROA transcript:A03p043420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSVVGRPRHHGVMVGMNQKDAYVGDEAQSKRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLMKILTERGYMFTTTAEREIVRDIKEKLSFVAVDYEQEMETSKSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPGIVHRKCF >A07p029170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16392310:16393991:-1 gene:A07p029170.1_BraROA transcript:A07p029170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSKSQRIKPSPGSHRLVLLLTVFAFILLLSSVISTGKLSLPYQQTLIDYFATSPRGKRQHTLSDKYLYWGNRIDCPGKNCETCAGLGHQESSLRCALEEALFLNRTFVMPSGMCINPTHNKKGILDRSDDKATEEGWVGSSCAMDSLYDVDLISEKIPVILDDSKTWHLVLSTSMKLGGRGIAHVYGVSRHRLTESHYSNLLIINRTASPLAWFVECKDRGNRSNVMLPYSFLPNMAAPSLRNAAEKIKAQLGDYDAIHVRRGDKLKTRKDRFGVERIQFPHLDRDTRPEFIIRRIEKKIPHGRTLFIGSNERTPGFFSPLAVRYKLAYSSNFSEVLDPIIKNNYQLFMVERLVMMGAKTFFKTFREYETDLTLTDDPKKNKNWEIPVYTMEDERKESVS >A08p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2266922:2273706:-1 gene:A08p003930.1_BraROA transcript:A08p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase TIO [Source:Projected from Arabidopsis thaliana (AT1G50240) UniProtKB/Swiss-Prot;Acc:Q2QAV0] MGVEDYHVIELVGEGSFGRVYKGRRKYTGQTVAMKFIMKQGKSDKDIHSLRQEIEILRKLKHENIIEMLDSFENAREFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGPGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELYVGQPPFYTNSVYALIRHIVKDPVKYPDEMSHNFKSFLKGLLNKVPQSRLTWPALLQHPFVKESLEEVEAREMHTAVVDHKATWRQGNGGQQRNEKCDSATPVKDASAPGTLADVQEDMKRAVEVISPSPEDFLGFPTQEDIKSAGDATLDKLENTSRTAKGAKVICEDDKAMDVVLLSLERCSKSTQQSKGDKDVACSVQSLRIISNLVAARAIVSVGLIDKITCALLDFTDALVGKKSSEFNNIIPKSLSVTKNLVGHIEGSSIHSSYIRHWTKLVEIFVQVVGWEEGTGRILYEACSCITTMLSRVAENLKTSTPDSTSQQILEHANMSRIVDHLCLCLASSGSSLASGSSHMLAAACEACRAIWILIDTSETLFKNDNAYIFPLDALQSHRLSQLDQRNCEWGPLSEKLVDTVTRTFLRSKHLQIAVSHCLHQRVEAPLISAIQLLSRCCLHNGLMPSVLCGLPSSLPITTAVSGGEDGTVISEIFSILSYAASTIKDQQTGETNNIKGRLNNLVFHSCLLLATVAQCLNLSGRSSALLMLTTSPKKHLHRLSAIANHIASEDKIEASLQNHSASAMLALASILSLEKGSSAESSVSEMAVPLIPRATKLCYHLRPMPSNEGEVISPSAKSNLTKWHGLLDGCIGLLESRLKWGGPLTVQQLIASGTPSLLMNLLAGRLSNASPDDIKNTPNRTGLSPMGVIWAVSSLCHCLSGGTLTFRQVLVKTENMKLVSYLMSDAHLKLVKSWGGPGGGKDGARETINVIIDLLAFPFVALQSQPGPLSATASVNSGFILNVGSPGVRVCIEDRDLLKAIEEDMDKYIKVLVEVGVPSLILRCLEHLDLKDLVRPVAFLAKMVGRPRLAVELVSKGLLDPNRMKKLLNQSSPREVILDVLMIISDLSRMDKAFYKYIGEASVLQPLKEFLTHPDPNIRAKACSALGNMCRHNEYFYSSLAEHQIIGLLIDRCADPDKRTQKFACFAIGNAAYHSDKLYEELRRSIAQLANVLTSAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGALQTLLKLVADCSATALNPSKKETGSESPLKIALFSLAKMCSNHQICRQFVKSSELFPVIARLKHSPETNIAHYASVIVAKVGGDS >A10g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14199132:14199890:1 gene:A10g505590.1_BraROA transcript:A10g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHVRDCDLMMIRDFAEDDHQGVGQSTDLLPRTMIPETSEKSEDLEGTRFRHVFMTIGVTRRSMSL >A06g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10460295:10461215:1 gene:A06g503480.1_BraROA transcript:A06g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNHGGEFSKILGSQKRREMMAWICSYWIQRTYLKEGSMYFITGFDNVSGYVITRDAWSCRLTLNFQVFALYFNKETNAGESHFYKLVSSDTGHASAAPLLRGYAKVEPLTIAELK >A09p009810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5026859:5028005:1 gene:A09p009810.1_BraROA transcript:A09p009810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSCSLVSKETRLPLCDMTIVPSKRGLSSILSDFLLKSGDDSGKTFAREGSGVKFSKRLCLVVDDLVKESTRSTDTNDGSLVVDADSENLKESQGETNAVDGIRVGDDAVVELSQRECDNKGSNVADFASQTDAVTGEDLKETLYGSSNRESDDRLAMVATEGTGVLPVDSMGIVNHDVEADDELRSCSCSFCLKAAYIWSDLNYQDIKGRLSGKSSHYTAKLLIDNGYMNLCLTTVLKKSQKETSSLIQRNSKEEPTDVEFDVMGQWTSLFLNMNDILAREGSRLQDSFVAMKELRENCKIDLERATKPPQHNNS >A09p066860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52894349:52899612:-1 gene:A09p066860.1_BraROA transcript:A09p066860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTDRSIQLNAFEETKTGVKGLVDSGITEVPAIFRAPTVVLDNLKPPPASQLTIPTVDLKGGRVFLKKQEGSVTRRGVVVKIGDAAEKWGFFQVVNHGIPLDKMEKMREGIRGFHEQDTESKKRFYLAITPENGFTTATSISTPLGLRAGEIPSVATWPPILQHWTTCLRFVGKVLFRGVQSGYRFGFGSVIFGFRSVRFIYRRFSVYSLVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPIEKQHLWFRQFAGNIGRLQVLHDHYWVDVPPVPGAFVVNIGDLLQLISNDKFISVEHRVVANGAAEPRISVPCFFSSIMKANPREYGPIKELLSEQNPPNYRELTISEFSNMYGTKELNTSALHHFKI >A04p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5810121:5811189:-1 gene:A04p014960.1_BraROA transcript:A04p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSSAEDKPRTGILNLDVLSCPICIEPFTIPIFQCDNGHLACSSCCPKLSNKCPSCAWPVGHSRCRALETVLESTSIPCQNAEFGCTEKLTYGEESTHEKTCTFSPCSCPVQDCNYIGSYKDVYVHYKKLIHKNPQSTSQRYRVRCGESFSVKMNISDNLVIGTLYKERLLFTVQSFRKSNGVYVTVSCIAPSSPKAGKFSYCITYTMDGLSITHKSPELKKIQRVSFHTPPENYMLIRNSSLHEGKRDETNDIKGPHQKRRVFLKLTTN >A02p038590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21989520:21989831:1 gene:A02p038590.1_BraROA transcript:A02p038590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDEHGEPTEASQTAAEFQKQIDGLQGQIADMHRARETTGENPDLSSKVQGLKEKLDEQSKQLEQSAEKLSQLQSANTVFRDQNQALNAVDNKKRRFNTRV >A10p032950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19348033:19349137:1 gene:A10p032950.1_BraROA transcript:A10p032950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDGSPSSPDLDAAQSPYDRLIALTPSSADSHCNLDSVSAVYLAMKSSKLECVDERGQDCLITSVCNVEDEEDEEFDEFDPYLFIKNLPNLSSVVPTFRPVLLPKQTRSCPPISLVLDLDETLVHSSLEPCGDVDFTFTVHFNEEEHMVYVRCRPHLKEFMERVSRLFEVIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVFFDGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVENGVPIESWFDDPSDKELLHLLPFLESLIGADDVRPMIAKKFNLKEKIDAAVAAPEYPAEAGDPFER >A01p027890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18626731:18627658:-1 gene:A01p027890.1_BraROA transcript:A01p027890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRVARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRTGKGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTAEELANATQVQGDYMPIVREKHATELVKLTTEMKSVKAFDKIRLERTNKRHAGARAKRAADAEKEEKK >A04g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3786177:3786455:-1 gene:A04g501540.1_BraROA transcript:A04g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSWCRSGRSLRALAPTGRSGSGATLVGRFERSLQGHLRLFGVMRTRATSWRRSGRSLPCSVRPMVTFSPLLSSK >A03p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22366665:22371858:1 gene:A03p047560.1_BraROA transcript:A03p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACELSNPNLKKTKAEKRIPTKILLIRVLCGLVVLWLCLSLSLGFLCICKKKEAASDADDSSSSAKGMLFRNQSRSEIDAMLSLFFDSNQVTSFECRKESDGVTCSLSTRSEKEEDKEEEEAKRHVVAELMSSSENEEEGGVLHQVVLFYVMNKWHWWLVLCVLLVGGGRVIFVRKEVSSLVQDKQLQQQQCKTAGKWRKNMLLLGIIAGVSLSVLWFWDTNEKILFQRKETLTNMCEERARVLQDQFNVSMNHVHALSILVSTFHHGKTPSAIDQKTFGEYTERTNFERPLTSGVAYALKVTHSEREKFEKEHGWSIKKMDFEDQTLVQGFDPAPVQDEYAPVIFAQETVSHIVSVDMMSGKEDRENILRARALGKGVLTSPFQLLKSNHLGVILTFAVYNTNLPHDATEEERIQATIGYLGASYDMPSLVEKLLQQLASKQTISVNVYDTTNASSVIKMYGSEVGDMSEEHISSLDFGDPFRKHEMHCRFTQKPPIPWLAIMPPGFALVITLLLGYIFNEAINRIATVEEDYQKMMELKARAEAADVAKSQFLATVSHEIRTPMVGVLGMLKLLMDTDLDAKQLDFAETAHGSGKDLISLINEVLDQAKIESGRLELENVPFDLRFLLDNVSSLLSGKAAEKGIELAVYVSSNVPAVIFTQEKGHIFISVHLTNEVREPFETEDEILKQRLGSDETSCNTLSGYPAVNASGSWRNFKTFQDHSCDKTELLVTVEDTGIGIPVDAQSRIFTPFMQADSSTSRTYGGTGIGLSISKRLVELMQGEIGFVSKLGVGTTFSFTGVFGERERDSSVTALELFDQSIQEFQGLKALVIDSRNIRAEVTRYHLQRVGVSSVDIASSLATASSSCASKLVNLDLILIDKDAWNKEEYVAFNSGQEPSTRPPKIILLATFTTPAELSEIKSTGLVDEVVMKPLRMSVLICCLQETVGSGGKKRQTNRKPKNLGNLLRGKHILVVDDTMVNRRVADAVLRKYGALVTCVDSGKAAVAMLKPPHDFDACFMDLQMPEMDGFEATRRVRDLEEEMNKKEESRKWHTPILAMTADVIQATNEKCIKCGMDGFVSKPFEEEELYSSVARFFDK >A04p018630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11183264:11184769:1 gene:A04p018630.1_BraROA transcript:A04p018630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSIPLEISYEVVGWIAFTSWSISFYPQLILNFRRKSVVGLNFDFVLLNLTKHSSYMIYNVCLYFSPIIQKQYFDTYGDQEMIPVAANDVAFSIHAVVLTALTLFQIFIYERGPQKVSRFATGLVVLVWGFAAICFFIALPTHSWLWLITIFNSIQVAMTCVKYIPQAKMNFTRKSTVGWSIGNILLDFGGGLANYLQMVIQSIDQNSWVNFYGNIGKTLLSLISIFFDILFMFQHYVLYPEKKSPKSPETGEESNEPLIDPSHEHV >A10p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9285805:9287438:1 gene:A10p005370.1_BraROA transcript:A10p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYLSLIFYRICNPKSRYDYEEMAEARAEAERRGFEAELKRLRTISEAEELRLKTQLKRVEAERKRFGAEVNRLRRIILENNNSGGPPEFCLPSDLLAVILSRLALKDNIRSSAVCKTWGEIAASVRVRDPPCWLMYLDPCRNSYGFFDPIEKKKTKAMMVDLPESCYILYSNDGWLLMEDRASHARLFFFNPFTRERVDLPVFDTVLLMQMRFAFSCAPTKKGCVVFGITGASVSGREVEIITWRPGGASSTTWVKEHFPNPFPCDLVDTINVLYNTRDGLFYMSLGIALGVFDPSARTWNLVPVLQPIPCFQRHPMRWITEYKGEIFLVDASSVKPVVYRLNNSFKRSVWEKKETLEDGCSIFVSDGSCVMTCGLISNILYFWNNDINDRRPSPTKYQDFTFKKNRPYKYSLYSSSLCDDPEGFYFEYRPTNRNNGVWIQPPHNISIFDFPILPAEDAINTRLFI >A09g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8126592:8127506:1 gene:A09g502390.1_BraROA transcript:A09g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCLKVLLCLNLCVCAQVSYLFRKPEVSDMVIFKAPPILVEHGYCLNDVFIKRIVASEGDWVEVRPFNPIHLLIDPILISSIEVFVHLGSVYDGKLFVNDDVQEEEFVLEPMSYGMEPMFVLKGYVFVLGDNRNKSFGSHNWGPLPIENIVGRSVPVLATEQSIRHHIPRPSCCAEGTCYCFMIKNR >A02p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5833710:5836002:-1 gene:A02p013340.1_BraROA transcript:A02p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMQLHSMFDVGQVFTKSFLSTCLVSFLFVTTTFYSSAIADLNSDRQALLSFAASVPHLRKLNWNSTNHICKSWVGVTCTSDGTGVLTLRLPGIGLVGTIPPNTLGKLESLETLSLRSNLIGGNLPPDIPTLPSLRYLYLQHNNFSGELPPTFLSQNLSILDLSFNSFTGKIPPSLQNQKQLTKLSLQYNKLSGPIPILDTTTLRLLNLSNNHLNGSIPSALGKFPSSSFAGNTMLCGLPLKPCATPSSPSPLIPPVSSPPTLPPFPHKEGPKKKLHVSTFILITAGGAALLLLVSAVILFCCVKKKDKREDSIANAKTLTEKAKQEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVAVGKKEFEQQMEIISGVGEHPNVAPLRAYYYNKDEKLMVCDYYPGGNLSSLLHGNLGGERRFLDWDSRLRIILAAAKGVAHLHQVGGPKFSHGNIKSSNMIMKQESDVCVSDYGLTSLMAVPVTPMRGAGYRAPEVIETRKHTHKSDVYSFGVLILEMLTGKSPVQSPSREDMVDLPRWVQSVVREEWTSEVFDVELMKVQNIEEEMVQMLQIAMACVAQVAEVRPSMDDVVRMIEEIRVSDSSETRPSSDDNSKAKDSIVQTTP >A02g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22721268:22723284:-1 gene:A02g508330.1_BraROA transcript:A02g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFPLYLSLESPNSNLEEKKKIRASLHVRIATMMNLDFPDGTSPPSHIPRSRKSPRKNASKPSPPPPPPPPEPATEHVTNKKKKKKKALASSSRIWNEEDELTVLKGLVEYQAQKGNEPKSNWGDFYRFVGGSITGKFSKEQVLTKIRKLKAKFIANMQKANTSKSEAFLLSKSIWGPQSESDQSADHTKSGEEMANHEPSNNEVTKADFEGNEESWVVRDAFETMVSKGLSDYQKKLQLEKLMNLGSCKRRELSDEWKELCAEERLLNAVASRDLRVSKTLRVPLLLYSNQNNSYEKELFRLSTIIWAKNETEDASSENRQDQAKVLVLNKDVPLVEQKRVNDTRIDIKIRARKCRENSTEDAVSELKTFGAQRRKELAGDWKALLNEEMELHMQKLTFLQSFLVHEFL >A01p010470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5124535:5125455:-1 gene:A01p010470.1_BraROA transcript:A01p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17905) TAIR;Acc:AT4G17905] MESDPNPDALNQYINPRDCTQGFCSTFCPQWCSYIKFSPPPLSYEQFLTDGVSSNPSVSPLLIALIGILGSAFLLATYYTLVSRHCATDTNDEAASDTGRSDLIIDVNSPESQDHDNPFAHESSNAGLDDAVIKKIGCFKLKKHQSGLKINVTDCSICLGEFNEEESLRLLPNCNHIFHVVCIDRWLTSHSNCPLCRGKIIVPTTQETDHVFMVMNLDQFTSNAGPAVGNVMVLDPREEVSVSISSHHPRRFSAADIVMWMSRDGEEEERNYDLENGNRVKLVDYLKRSFSSGGLVLGTQVPTRRS >A10g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19908379:19910252:1 gene:A10g506800.1_BraROA transcript:A10g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGCVSTRLKVEFTTGIPQENNHEPLPQEAVNEAMGEVRDVMLQYTMCADPTEREARKERVRQAEERGQMEEAAIHMVRASLSSPNVTQPTTVERIPASHRLGPNPPMQDANMGVSMESPPSPHERLPASLRLGLSPQAESQRCDDNGTHQTELSRERIPTAMRLGASPHVVATTETVSGQNVVKRKPGRPPGVRKDPGKETGLASTAAKKRKVPNKPSPRRKIGTGSKTGGTRAKTASKDTEQGASKGCAKMTRQKRSSLTPGVQQLTPL >A01p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8153026:8154724:-1 gene:A01p016460.1_BraROA transcript:A01p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lysophospholipase BODYGUARD 3 [Source:Projected from Arabidopsis thaliana (AT4G24140) UniProtKB/Swiss-Prot;Acc:O22977] MMTRRAATVAGRWLNEAVSFLVFCLLDIVDLLLCVVYKVADYVFEAEWKPCYCLSAKEPITETRGKILLSHNNGVSKILTLSPLQELSGRRSKIELEDISETLYTRPSLLSDLSVTELNKRFVKVSPSDSECSHHHHEKTLTKNKRRKTIAKSSLTVNFTVVEMLREKIRPQNLSHDVSRWSDCDCGFCTSWASTSDKDHSLFVKTQIPKGIPAKEDVLFIHGFISSSAFWTETVFPSLSATSSAYRLFAVDLLGFGKSPKPADSLYTLREHVEMIEKSVLHKHNVKSFHIVAHSLGCILALDLAARHGDLIKSLTLLAPVSSFSKKY >A09p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1712282:1713281:-1 gene:A09p002540.1_BraROA transcript:A09p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYLTPVVKDGECRNWSELPFELMSSILCRLDLIGVFENAQKVCTSWRRVCKDPAMWRKIDMYNLGLDLGYNPEIICRHAVDRSQGGLVGINIWHFGTDSLLNYIADSSRNLRSLKFALISPITTEGLTEALVKLPLLEELELFYNRLLEDSLKAVGQSCPNLKTLKLNCLGIAPPWHVSDDDALAIAETMHGLRFLQLFANGLTDVGLKAILDNCPDLEHLDLRHCFNVHFSGDLKKRCYERIKVLRPPNDSTDDYPYIANDTSDDEDPFVPDANSDGNFPSDYSGDDLYDICMRCFNYL >A09p015290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7954653:7956637:-1 gene:A09p015290.1_BraROA transcript:A09p015290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSSRHWSSNRSVFTFQEKKNKTRNQIRLSLSLSLSLSVQNRDVVGIALLLLLVQSTISSSKFKKDGGVAKDSSFNAVFGLCKSSRRGQAHFVPNLTIGAPVIESLRKHTSAYLDCHLMVTNPMDYVEQMGKAGASGFTFHVEVAKENWQELVRKVKSAGMRPGVALKPGTPVEEVYPLVEGASPVEMVLVMTVDGGLGPSTIEAAAEAGANCIVAGSSVFGAPEPGKVISLLRTSVEKAQPTT >A02g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24224596:24226172:1 gene:A02g509070.1_BraROA transcript:A02g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGRESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHADSQETDNYYSRNRTEHNPTER >A10g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9500284:9500720:-1 gene:A10g503710.1_BraROA transcript:A10g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSILEKATSALSEAAKTDVFKDAVDNVVSRGIEGGKSLLHNLEEKKGEVSSKIVGAVSQFTGGSAVSSATTADRDLPVSTDNQPLLASGEKATPWWKSCCEILDLLKASSSSSN >A06g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24207782:24209773:1 gene:A06g508570.1_BraROA transcript:A06g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWLSVALVSPLNPAAPAGSFHRPPPFPDPPDPPDPSQFPPLGTIPPSRKSPFKPSPPSVSQSPTKPTVVQVVSSAVNSHPASNSASAASLLSSYFNPPLNLSNFNSRSVNSSTATVKFTEPYYNSGVSAPSPHGLLGSAPPPLQPLPPALNSSKPSPNLSNSPPPPPTTQTLPSTAPVLPTGSIQPNKTWVNKGHLMKNCPKKSQEWTLVKDKSRSSPKKTSNDFSDTALQHPSTAISILLPPTSTVSDPTTSQQQPPTSPDPPIASPQPSSMIVDNPPLPSLLEEKTLNICDSEMDDAPGPLPPDLILALPAPHISRPIIPSLPSSTPKLVTHVTSTSFNPFIPQSTPTLSPTSPNHRPKQSSPPSFSVVPTSNPFLPLSFEASSTPSTSGPSSPPSAQGKDDPHL >A04p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1035456:1037769:-1 gene:A04p002160.1_BraROA transcript:A04p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSAVGDLLAALSYRLENGNRAIEEELNESSSSMGRAISELNRSLTLDTNGEDSGFSVLDATVSLMCFKAPQVFDSAVEFLVKTIVSVLSCSSSCKVIRYHNDEALQFGSLSLPHCSEELIEISKDIIDKLGVNGRLATLLFQAVVRSAASTSRKLAGGRNMVVSKLLAYLPRESSIENDKIPLRILFWYQDPLSLKEDVSRILKDLVERPFLCLNKELFERGEWRDVVVCLALSPSMFINARALLHKWFLLTGLASVFDLLAALVSAVVDTVSRPTLWGIPMELASMLPFSDAYFPHQCQFLRILAGPLSSNSLLTLAHSCKPTPIKVQALDDKTNWALAINFPDWFYFASAMLFSGGSSLENIHHRYASHSQVSNIIPPCGVEGLSVAAATYIAWILNPASGTIQESLTKSLIRVSEMSRRSETTTGKRKKPASSTVDDLVREFHNKITNSFSCELDNMQNNLLVRRVVVGVLISSPYTLSDEEFELVLHYAATGKHLAAKKLRSNGFKQAKGSSKTSMLQSNEITKEEAVEGTRLVFNLTDTLESMCASSFEAEEDSHEFTNQFKLRTSKYLVKCIDRLIQLHCEEDGDLILNDINNRLEQWSMKGPEDPQLKEDLDTIAAKLAFIFSPV >A06p016740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7510085:7513235:-1 gene:A06p016740.1_BraROA transcript:A06p016740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGGASLCDSLVAACMSSASHSSGDRLTQFIWPRRSRLVNNCSLHGSQASSRNNNASSSLFESNNTSFNPKQRRFNRASTSGQVTTLEMEKDAMVNKPRRVVVTGMGVETPLGHDPHTFYDNLLQGKSGISHIESFDCSAFPTRIAGEIKSFSTDGLVAPKLSKRMDKFMLYLLTAGKKALEDGGVTGDVMAEFDKSRCGVLIGSAMGGMKVFYDALEALKISYRKMNPFCVPFATTNMGSAMLALDLGWMGPNYSISTACATGNFCILNAANHITRGEADVMLCGGSDSVIIPIGLGGFVACRALSENNDDPTKASRPWDSNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHITEPRPDGAGVILAIEKAVAHAGISKEDINYVNAHATSTPAGDLKEYHALSHCFGQNPELRVNSTKSMIGHLLGASGAVEAVATVQAIKTGWVHPNINLENPDKAVDTKLLVGLKKERLDIKAALSNSFGFGGQNSSIIFAPYK >A02p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1650344:1651724:-1 gene:A02p003680.1_BraROA transcript:A02p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLVGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >A09p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3693197:3694767:1 gene:A09p007060.1_BraROA transcript:A09p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIFKVEDIVQAPLPGYVAPTAVSFSPDDSLITYLFSPEENLNRRVYAFDVNKGESSLVFSPPDGGVDESNISPEEKLRRERLRERGLGVTRYEWVKTNLKMKFIVVPLPAGVYMKDLCSSPNPELIVPSSPTSPIIDPRLSPNGLLLAYVRDSELHVLNLLKNQTQQLTNGANGSTLTHGLAEYIAQEEMDRRNGYWWSLDSKFITYTEVDSSQVPLFRIMHQGKSSVGADAQEDHAYPFAGALNSTVRLGVVSSSGGGKTTWMDLVCGGRANNENEYLGRVNWMPGNVLTVQVLNRSQRKLKIMTFDVKTGRGKILLTEESDTWVTLHDCFTPLESGGFIWASERTGFRHLYLYNSDGTCLGGGLLAVNGWLSKSQG >A07p028550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16121712:16124553:-1 gene:A07p028550.1_BraROA transcript:A07p028550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFFFFRSSSGNGEKTADSKMRTQASNQTEQEFDSANSQGEASGGPALRRSLSLSSAGFTFDKFGETSTNELSQDRRRDHSSRCFTPERQVRERQFEVQQDSSGSSSTCSSNLSSKVLDRYIDGEEHLEQCKQKSTSSRSDVSSSINRRRLPPRVHCTSPSNNLNDKRKSHSFREAKGTRHRLASTDRVENGSRHGSPRSLARNVIERLSESHGKSNHEPITIQDVYGGSRDRTFDSSSDVTAQRYEAVKEYHAHGYGKHQQNHIDSELEMKVKEAEKRVTVFSEEMEQQRCLSDCDFDVSSLVGAIRKLEDERLKLAYENVNLLRSQMAERASAREEMRVLKSDWDFNIQRLEKERSELQAGLEKELDRRSGEWTSKLEKFQFEERKMLERVRELAEQNVSLQRELSALHENETESKGMITHLERRVAELTTAADELHDENSYLKQTLSQVKESYAGATEDIDFLRRNFEEKDQECKELHKSVAKFLRTCKEQEKTIEGLRDGVSEESKKQPSEKKLQVEQVRLTGIELSLRKEVESMKLETDSLRQENICLLNRLKGNGEEIDVTTLKLENELKMRISYLKDQGLPMLNESNHLCYKLLKFIKGKLTQFTETSQDTVLMKDGLTEQFMIESEMKVHGIRRGTENLKRSLQTVTSVVASNSESSCSSTGRPKEQRNQTVEDNLRAELRAETLITSLLREKLYSKEQEIEQLQAEVAAAVRGNEILRCEVQNTLDNLSVKTHELKDLKLQMLKKEENINRLELNLQEAAKDITSLKSVLPKVTDERDQIWRELRQSCEKNMLLSSENETLRGTIDRLEEKVLEKEGEITILQDTIGSKHVNIFSSPDFKY >A05g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11325744:11326023:1 gene:A05g504070.1_BraROA transcript:A05g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAVAQAKTSNSPPSSSPPLFSLLSSSADRISGATVGIMCHTGHRSRSKVIKAVTIQHRSSFSPTRNS >A02p007530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3188599:3190052:1 gene:A02p007530.1_BraROA transcript:A02p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-1b [Source:Projected from Arabidopsis thaliana (AT5G16820) UniProtKB/Swiss-Prot;Acc:O81821] MESGFRKVDPDQWEFANEGFLRGQKQLLKGIVRRKPQNQQQTQVQNSSVNACVEVGKFGIEEEVERLKRDKNVLMQELVRLRQQQQATEHQLQNVGQKVQVMEQRQQQTMSFLAKAVQSPGFLNQLVQQNSDGNKHIQGSNKKRRLPGDEEESCGELNRQIVKYQPSINEAAQTMLRQILNRSSSPVSNNPESFLLSDAPSSNSPNSAHSAMNQVHEAGLVDHPQAVPSPSQGGVAAASFWSPESELVGLETGDGVCFDPIMAALGGSLEIESDEVSPVGEGEMTELQNEVPKLPGVQDSFWEQFFADETAVIGEGDVIISEAVENCEMVMEQEPKEWKQQEMNHLTEQMELLSSEAQRK >A02p051460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31575857:31577479:1 gene:A02p051460.1_BraROA transcript:A02p051460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRMVKVTQDGSGDYLSVQDAVDSVPLGNTCRTVIRLSPGIYRQPVYVPKRKNFITFAGISPEITVITWNNTASKIEHHQASRVIGTGTFGCGSVIVEGEDFIAENVTFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHHGKQYLKDCYVEGSVDFIFGNSTALLEHCHIHCKSQGFITAQSRKSCQESTGYVFLRCVITGNGESGYMYLGRPWGPFGRVVLAYTYMDGCIRNDGWHNWGNAENERSACFYEYRCFGPGSCSAGRVTWSRELMDEEAGHFLHHSFVDPDHDRPWLCLRMGVKTPYSA >A02g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25250478:25251225:-1 gene:A02g509520.1_BraROA transcript:A02g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHEFLSYGPQKDGANVKPMFRKTKDGRIFEWKVVKDDPLCILQDAFVKVKRSVGFNIGLKFDDILCMEKKSYVVNEHAEGRPIIFSSFHPDAALLIRNMQIKGGLQGIVEQRTPNAVQRVKDSKLSLISYGQL >A07p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12590520:12591691:1 gene:A07p021480.1_BraROA transcript:A07p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAHPYVPRDLKLPGYVPISMSMSSILAVYLGASLFVVTFVWFLLGRKKAHLDRLLMCWWAFTGLTHMVLEGYFVFSPEFYKDNTSCYLAEVWKEYSKGDSRYAGRDSAVIAVEGITAVIEGPACLLAVYAISKGKSYSYVLQLAISLGQLYGCLVYFITAFLEGDNFATNSFYYYSYYIGANGWWVLIPLLISYRCWNKICESANGVETKMKKKIR >A04p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10636253:10644896:-1 gene:A04p017410.1_BraROA transcript:A04p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSSSMDPVFGSAHCALAHYWSLKMNKCDFLAYQASRRNGTLEIHLDKEKQRVLLRGKAVTVMEGYVLVNAFTDSAFKGNPAVVCILDGKNERDDSWLQSLAAEFNIPLTCFVIPITGSHSPHDVLLRWFTPTLEVNLCAHATLASAHTLFSNGFVGSDTIEFATRSGILRAKKISGDVKFEKGSFLIELDFPVIPTCDYNSSDKLMFSIAFNGATIVDILGTTTGKVISKAFNGASKASSTDKIIVVLQTWESVKELQPRMDDILKCPGKIIIVTAAAPERSVYDFCSRIFAPKLGVDEDAVCGSAHCALAHYWSLKMNKTDFFAYAASRRSGTVKVHYDKEKQRVLLTGKAVTVMKGHSEIREDTGMIKKKLVKYFVVDAFTESAFKGNPAAVCLLEEGHERDDSWLQFLAAEFHLPMTSFLLPITGSDPLHPPCFLLRYICGHATLAAAHTVFSNGLIGSSDTVEFSTHSGILTAKRVDDCEAKGSFFIELNFRVITTCEYSSSDLSMLAKALNGATIVDVVLSSWESVIELQPKTDDIMKCPAKVIIVTAAAP >A08p034950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20686387:20686992:-1 gene:A08p034950.1_BraROA transcript:A08p034950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKTEIEATMESTAAASTGGLSRQTSITKTNCLCSPTTHPGSFRCRLHRTPSLQRTKSVEATNVLQDSMAKPGASSTGDEPAKPIIFLDRTPKQVKLVDSQPPVFEIGSLQIFSIWMASRIVTFLFFISSLCLVFIGFMYYLRVCKTFKLTRC >A05p018230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8339304:8340751:1 gene:A05p018230.1_BraROA transcript:A05p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAVLDDIIRRLVEFRNTRPGSGKQVHLSEGEIRQLCAVSKDIFLQQPILLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDDRILCMHGGISPELTSLDQIRSISRPLDIPDSGLVCDLLWSDPSGDVKGWGANDRGVSYTFGADTVAEFLQKNDMDLICRAHQVVEDGYEFFAERQVVTVFSAPNYCGEFDNAGAMMSIDESLMCSFQILKPSDKRSPFQ >A03p000530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:345039:347255:1 gene:A03p000530.1_BraROA transcript:A03p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHRLLPSRILLFSRVKTPRYPFKPLRTLTTSSLAPAPEFETPSSSCSDSDPYLVDKLCFSLTQGNNNNNNTAASLRNHLTRLNPLSVVDVLYRCRNDLTLAQRFIYQLGSHLPNFKHTSFSLSAMIHILVRSGRLSDAQSCLLRMVRRSGVSREEVVSSLASTYTNCASNDSVFDLLIRTYVQARKLREAHEAFTLLRNKGYKVSIDACNALIGSLVRLGWVELAWGVYHDISRSGINVYTLNIMVNALCKDGQIDKVGDFLSQVQEKMGVYPDIVTYNTLISAYSSKGFIEEAFELMDAMPSKGFTPGVYTYNTVINGLCKHRKYERAKEVFAEMLRSGLSPDATTYRSLLMEACKKGDAVEVEEIFSDMRCRDVVPDLVCFSSVMSLCARSGDLDKALVYFNFLKGAGLVPDNVIYTVLIQGYCKKGMISEAMDLRNEMLRRGCCMDVVAYNTILHGLCKRKMLGDADKLFREMTERGLFPDSYTITILIDGHCKLGNLQNAMELFKKMKEKRIRLDVVTYNTLLDGFGKVGDIDTAKEIWTDMVLREILPTPVSYSIMVNALCSKGHLSEAFRVWNEMISKGVKPTVMICNSIIKGYCRSGNASDGESFLDKMVSEGFVPDIITYNTLIYGYVREENMSRAFGLVKKMEGEKQGGGLVPDVFTYNSILHGFCRQNQMKEAEAVLRKMIEKGVDPDKSTYTALINGFVSQDNLTEAFRFHDEMLQRGFSPDDQF >A09g517170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50452335:50453533:1 gene:A09g517170.1_BraROA transcript:A09g517170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLHLDVSNQTREEREEQWTLKVFHTRPKPLDFTTHSPIFLYDQYNLTTGCLRCFRGCRAVPTDDV >A01g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6708402:6710427:-1 gene:A01g501880.1_BraROA transcript:A01g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKVRQFEVCTQDGSVDRHGNPAIRAKTGKWLSAILILVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQGSFVVGLVMLSFSTAALLLEPSGCGVEESPCKPHSTFKTVIFYLSVYLIALGYGGYQPNIATFGADQFDADDSVEGHSKIAFFSYFYLALNLGSLLSNTVLSYFEDQGDWPLGFWASTGSAFAGLVLFLTGTPKYRHFTPRESPWSRFCQVLVAATRKAKIDVNYEDMNLYDSETQRTGDKKILHTSGFRFLDRAAIVTPDDDAEKVESGSTYNPWRLCSVTQVEEVKCVFRLIPIWLCTILYSVVFTQMASLFVEQGAAMKTNIKDFKIPASSMSSFDILSVAFFIFAYRRFLDPLFARLNKTEPNKGLTELQRMGIGLVIAVMAMISAGIVEIYRLKHKGTASNSSSLSIFWQVPQYMMIGASEVFMYVGQLEFFNSQAPTGLKSFASALCMASISLGNYVSSLLVSIVMSISTRDDLPGWIPGNLNKGHLDRFYFLLAGLTAADFVVYLVCAKWYKYIKSEASFSESTSEEEV >A01g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19019393:19019917:1 gene:A01g506580.1_BraROA transcript:A01g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKPEKWLVLGEDNTLLTRAGVDQILEKQRANDMMKLKIINLCEILSGQDLLFFFFRLLFGADDDDGVDSTGPLCLLWDLAEGALPVTATGVFTMTSCNLDGREINDGESESKMRESMQGFVSNGMLSAFHSLCAFSILL >A02p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27602585:27603289:1 gene:A02p043800.1_BraROA transcript:A02p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSQLKRTVVTPPRTQYSGVKKLETVSVAELNAYVLTSPISMLMRNNTFSFFLILDLFNPCNSFKCKLLSSYAMPKLFRLKQEMVGVTSHVPDFPEKNPVWSPPSHTLHYLTQMILVLSGLTYGSRLLYWDLLNYTLINFTDMVSKTQQGTL >A01p045080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23972333:23975484:-1 gene:A01p045080.1_BraROA transcript:A01p045080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPLLGLLLLVTLVGSPTRAEEGPVCPKTETLSRASFPEGFMFGTATASYQVEGAVNEGCRGPSLWDIYTKKFPHRVKNHNADVAVDFYHRFREDIKLMKKLNTDAFRLSIAWPRIFPHGRMEKGISKEGVQFYHDLIDELLKNDLTPLVTIFHWDMPADLEDEYGGFLSERVVPDFVEYANFTFHEYGDKVKNWITFNEPWVFSRSGYDVGKKAPGRCSPYIKDFGHLCQDGRSGFEAYVVSHNLLVSHAEAVDAFRKCEKCKGGKIGIAHSPAWFEPEDVEGGQRTVDRVLDFIMGWHLDPTTYGDYPQSMKDAVGARLPKFTKAQKAKLKGSADFVGINYYSSFYAKASEKPDYRQPSWATDSLVEFEPKTVDGSVKIGSQPSTAKMAVYAAGLRKLVKYIKDRYGNPEIIITENGYGEDLGEKDTDHSVALNDHNRKYYHQRHLLALHQAICEDKVNVTSYFVWSLMDNFEWQDGYTARFGLYYIDFQNNLTRMEKESAKWFSEFLKPGLKQKSSKSTFSEEL >A10p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18674723:18680357:-1 gene:A10p031120.1_BraROA transcript:A10p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAEALIKSIQGLSASPGDLSALHGILKKAEDSLRNNWDVQLATLEELDPSIHSLGYLYLLEGLTRGSVSKGKTSGVLLLMDRFISRCDAGQIRLASEKFVTLCKRFKDRVLELEDPLRGVAPLVSAVRKVQVSAKCLTALHPDCLQLCLQAKCYKAGFSVISDDILEVDQPRDFYLYCYYGGMICIGLKRFQKASELLYNVVTAPMYQLNAIALEAYKKYILVNLIHSGQFSNSVPKCASTAAQRHLKSWCIPYNEVGNRYNDGEISELEAVVVAHSSDFEQDNNLGLVKQAVASLYKRNILRLTQKYLTLSLQDIANMVQLANAKEAETHVLQMIQDGQIHALINQKDGMVRFLEDPEQYKTSDMIEVMDSVIQRTIGLSKNLIAMDESLSCDPLYLGKVGREMQKFDFGDDFDTVPQKFSMVSSLSQLRNRNSLSSSRSVPQRSLCVSSSSSDTLLAGGSSKEDERQSKISSRKEGDDTGDLKHWLDKNGLPPCKVLLKERPAHDQNHKPIHYVAASEDLQKGDVAFSVPDSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSVWYPYIRELDRQRGRGQLDVESPLLWSEAELEYLTGSPTKAEVLERAEGIKREYSELDTVWFMAGSLFQQYPFDIPTEAFTFEIFKQAFAAVQSCVVHLQNVSLARRFALVPLGPPLLAYCSNCKAMLTAVDGAVQLVVDRPYKAGDPIVVWCGPQPNAKLLLNYGFIDEDNPYDRIIVEAALSTDDPQYQDKRLVAQRNGKLSQQVFQVRVGKEKEAVQDMLPYLRLGYMSDPAEMQSVISSQGPVCSMSPCMERAVLDQLADYFMRRLAGYPTTLKEDDALLADPSLNPRKRVATRLVRLEKKMLAACLVATVDLLNELPDTTISPCPAPYAPSLK >A10p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9251501:9252291:1 gene:A10p005310.1_BraROA transcript:A10p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF094 [Source:Projected from Arabidopsis thaliana (AT1G06160) UniProtKB/Swiss-Prot;Acc:Q9LND1] MEFQTSFFSENQSQDSSTTTSWSSQESFLWEDNLLHDQSFLSVSPSYYFDDFSTFEESIIKEEEGATVAANKQEEERSYRGVRKRPWGKYAAEIRDSSRKGIRVWLGTFDTAEEAALAYDQAAFALKGHLAVLNFPVEVVKESLWNMENFIVVQ >A07p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18379458:18385483:1 gene:A07p033620.1_BraROA transcript:A07p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAPFLTHLEDEEEETSPPLNFDKIFEQSLSDFNLSQFLQVILVGLALTFDAQQIFITVFTDAYPTWHCVDHNVCTPATTDICKLPRSAWEWDGGFKGKSVISEFNLECSSSFLRSLPTSAFYMGSIVGGVFMAMIPDGFLGRKQLLFYTTLAMSLTGISIFFSTSIWSYAFLKLAIGVARSQTGTYAFNLIGERVSTKWRPRATMVPFTLFVLGFMSLSGIAFLVRHALLSLESEKMKMEIRASRSHSELEEDNKSNNKEAIEVLKRISPGKRGYLEKVSSRLPSKETLEQSPSSSIMDLFIRRWAFRRIIVIMIIMFGLGMMYYGVPLAVRDIKVNIYLSEALNAMVELPTFVITPILLEKFNRRSSVLVNCLVGGALGVFCFVLTTLGRTNIAFVFELCSFFCARIGFNLMAVYMIEMFPTCVRNFATTMLRQALVIGGACCPIIASVGRNVPSISFAVSGLGFFALLLPETKGAGLCDTMDEQEQSDQAMKSSHVSC >A01g506070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17914753:17915384:1 gene:A01g506070.1_BraROA transcript:A01g506070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSLERTIRVNLIRDQIEIEEVLYWVPPTQLEDTASDLNYLLLINECLDLICETIKPDDLRVEKLARIYLEICFYKNYLCASIDVESDFFMRENSRLLLELADLGDELDVDKHLIEIENPLFKNNHENVNICKFDFVFLPNAFDSQDHLNLKEHFIIDATYLVKLFDEK >A03g501270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3757370:3757965:-1 gene:A03g501270.1_BraROA transcript:A03g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGYVDTFPLRQLIPRCNKLPSRLEDAIENVKSLQKQIHAELKDSSFTYIIRTINPGNALTPLEQGEILFMILGSEPKFLKVPIEIMDFVIGVLDSVAKIFPAVGEAAEFGKIGITSHRVC >A03p072580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32093534:32094311:-1 gene:A03p072580.1_BraROA transcript:A03p072580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTNKEHFFGGFLKILKQQQVDVRLNACDKKAAISAHKLILSARSEVFAKMFEEDKCKSSSRLEIITLSELKQEELEAFVEFIYGDGSILSEKAKQHVMSLYRAADKYEIPHLRDLCRMELISSLNASNALKVLELSQIPFDKALSDAAISVIKINKDEISSSTEFKVFVVDHPDLTVEIVKAMLEFAATYYCNCGRYVCCSYCGSRSKRKTTV >A06p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3160462:3161476:-1 gene:A06p009010.1_BraROA transcript:A06p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDGEAGALAPPTRARHIGKRALKNKSVTVSFDEKDLKDFVTGFHKRKKKRRKEAQKQQEESLRRKRIEARKKRKLEQMMVAGNAEETEDVEAEEEEDAEIKEAEPDALTSGTTMYDTGELKVTVTTSEISREEDEPVRREKTQSTESGSTAKASTSQPAPLRKSKPAKQNRRHKSSTKTMKKRDKKKQARGIKSTR >A02p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30341344:30342242:-1 gene:A02p048820.1_BraROA transcript:A02p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSDAGASMASKIGNLRLASDIDINDHIDLSGKPCRVIDLYTKRGLCHLHTINILTGYFVRRIVPISTEFVIPDVSRDLYQLTGISYKDDSVTLLHHSGAYTRDDIFLPENENLRTMMVDGFNDDKRVIVGIVTSLGQDAVYAVDVYQTGSANDSDFVAKNVGF >A09g519290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59672063:59674261:-1 gene:A09g519290.1_BraROA transcript:A09g519290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSPGKSQLGKSTVAAYFNDISPGPAESEFRFRVIRFWEARNIAKAGAFIGIDLLLIDEHETVMQGFISSLRAPPYLPHVKAGATYTLQNFYAAKNKEIYCFTDQSLIVSFSNGSVLKPLDDIRLSFAAVRFRFHAYEDIQANCGLRGDLYGNLCYLLNQLHFNLCCWPLEADEWTVLDEAEIINMRHVLLYLWDQASKDFYKKSTSSEDTSTVILVTTVNPKRLGDVQPTIDYNWLSSNPKIVKRINADEVTRAETMTIGQILAYIKQEYAKEGSFDCIATIDDVERDSAWYYIACIGCQSKAIKGPYSLMCAKCGNTNYRAKISPSTTITTGFVILGDAGRELTGKNAVELVDNYFEANQDVHLSRTVDSLLMREMKVPITKRRSTEG >SC171g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000044.1:100047:104099:-1 gene:SC171g500020.1_BraROA transcript:SC171g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKISKKGSSSASAYEELIVPKMEFVPHSVHPAENEAWWVAHYGSMTPPKEKSFPVLNHRGVEKEDPSRSTDEFLAIMRSFYHIPDAVEFRVPYPGECANSPPEGYVTCYEAFVVRCRLWFPIPEILVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPQNFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRIRKALRFMHPGPASVADMGSDSEPDDQNPVVAPAAVPELSPWKGKDIDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDDFFAGLPPCFDAPPPAKESARPKGLNLLGSAIEASHREAMVYRFKTEKAERDLARMQGEMLEREAQLTRDHARAVRKAERKGIREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDSVFEKEMSLMKSGMNERAHAEALFPSIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFVWPRDLYGPVLAVFIAYRDWPLVALNPLPLFKRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYVTCMVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKVFGGRVRTIYDNFTNFGPDEALDKSLNVSRRVLKRGLRTRVEFRRPMHLRRQTILIRLSSGPGGFLSVFGGRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNS >A07p046570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25111986:25113032:1 gene:A07p046570.1_BraROA transcript:A07p046570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKLVHSLPVHLKSKEPPMASSISLFLLPLLLLLSHASEASTVIFYNKCTYTVWPGIQASSGQPLLAGGGFKLSPKRAYTLQLPSLWSGRFWGRHGCSFDRSGRGRCATGDCGGSLLCNGAGGVPPATLAEITLGHEQDFYDVSLVDGYNLAMSIMPVKGTGKCTYAGCVSDLNRMCPVGLQVRSRDGKQVVACKSACSAFNSPRYCCTGSFGNPQTCRPTAYSKIFKVACPKAYSYAYDDPTSIATCTKANYVVTFCPHRGR >A01p003330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1483997:1485698:-1 gene:A01p003330.1_BraROA transcript:A01p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVSATAARRIRSRHCHGGDGVVVDTISGLPDVILQHILTFIPTKYAITTSLLSKRWRHVWCDTPSLSFKSSPTLNAACINQTLTLYTAPKMMHFLLDINEKDDVPHIDRWIKFAMSRNVENMFLSLWVDKYSFPEFLYVSSSLKQLTLKHCDTSPKCSVSWTSLKNLSLVKCGVSDESMAKILSGCPVLECLTFNHCDELSNLDLSRSLRLRTLVVERSSSATGPKDINAPHIHYLYLRYSQFPCSLVDVSSLTEANVNICTNELSYNARLLQPAVMDMLEKLQHVEKLTFAGNLLQVLSVAQLRGVPFPMFKVKALTLKTRIFHYIIPGIRRVLQNSDDLKKLTVHTIHCDIKLAKRLDHYLAFQAFNKAQLRRRPKDAVHWDVKSKHLPLFVELVLKNTKTLDKMFLVLDHRYRMFRKIIGTLSRNDKVSISLDSY >A01p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5510396:5511397:-1 gene:A01p011320.1_BraROA transcript:A01p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DOG1-like 4 [Source:Projected from Arabidopsis thaliana (AT4G18650) UniProtKB/Swiss-Prot;Acc:Q84JC2] MRNRVEEKFLEFYEGWIFQLEQYLHQLLIAHNNINTMSEIELRGLISKLTAHHKAYYTAKWAAIGEDVLAFFGPVWLNPLEKSCFWLTGWKPSTAFRMLDRLRKSWRPTVVLVEAQVRRLEELRVKTRFDEERIETEMERYQVAMADRKMVELARLGCRVGGVEGESTVLVEAAVKGLATRLEKMVKAADCVRLKTLKGILDVLAPPQCVEFLAAAAAFQVQLRRWGNERHNVTHSYGS >A06p009890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3542786:3544444:-1 gene:A06p009890.1_BraROA transcript:A06p009890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFIYFLFPLAIFVVSFFIIAKKHKPSKWKLPPGPKTLPIIGNLHNLKGQPHTCFTNLSKTYGPVMLLRFGSVPVVVISSREGAEEALKTQDLECCSRPETVATRMISYNFKDIGFAPYGEEWKALRKLVVVELLNMKKFQSFGYIREEENNVLVKKLTEAALTRSPVNLKKTLFALVASIICRLAFGIDIHKCEFVDEDNAADLVHKFELLVDGIAFSDFFPGVGWILDRVSGQNKTLNNVFSELDTFFQNILDAHLKPGRTVSDNPDVVDVMVGLMKKQEQDGDSFKLTTDHFKGIISDIFLAGVNTSAITMIWAMTELIRNPNIMKKVQHEIRTTLGDNKERLTADDLNHLHYLKHVIKETFRLHPAAPLLLPRETMSDIKIQGYDIPKKSQMMINVYSIARDPEIWSNPDEFNPDRFIDSSVDYKGLNFELLPFGSGRRICPGMNMGIATVELGLLNLLYFFDWAVPEGKTIKDMDLEETGSLIISKKSTLELVSLLYNLNK >A01p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2395720:2397200:-1 gene:A01p005560.1_BraROA transcript:A01p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYVLKITADLENLTNLQPSGGCDDPNFPYLFKLKCEQCGEVTQKETCVTLNETFTPPGGRGTCHLVQKCKFCGREGNVTMIPGKGRPLTQEDSEAGEHAPLMVFDCRGYEPIDFGFGGFWKAEAESGTKFDEIDLSSGEEFTEYDEKGECPVMISNFRASFTVTK >A09g517640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53058869:53059798:1 gene:A09g517640.1_BraROA transcript:A09g517640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMISNTNNNTSQQQQPTFITTTTRPNATAANGGSGGNNNNTAATMETRKARPQEKVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSRKNKRSSTPLPSHSNPKLPDLNPPILFSSQIPNKSSKDLNLLSFPVMQDHHHALELLRFNGVSSRGMNTFLPGQMMDSNSVIYSSLGFPTMVDYKQSNNNLSFSIDHHQGIGNNTINSNQRTEDNNHTDDMNGASRILFPFSDMKELSSTTQDKSHGNNTYWSGMFTNTGGSSW >A06p054530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28575585:28576722:1 gene:A06p054530.1_BraROA transcript:A06p054530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDYENPHRVIKPKNRRIMGADGLEEEETHRWPAWLKPLLKEHFFVQCNVHSPKSECKMYCLDCTNGSLCSLCLAHHNNHRTIQIRRSSYHDVIRVNEIQKYLDIFGIQTYVINSAKVVFLNERPQPRPGKGVTNTCKVCYRGLVDDCFSFCSLGCKVAGTTRSFEKRVKPTPMEPENSSSNSSGVEDNIPNAQSLAPSTPHLPTSTSLRKRKRKGIPFQSPLQ >A09p052010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45668242:45669040:-1 gene:A09p052010.1_BraROA transcript:A09p052010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRKPDFKEVQRLNLWHEVFDTSLSEIPASSSLSLDVVVTEVPKLGKEAGVKIIKEWGQPKSKIKQVVICTTSGVDMPGADHQLTKLLGLRPSQGCFAGGTVLRLAKDLAENNRGARVSWSSPRSQPSPSALFRDGAATFIVGSDADISAGTHLRDGVCRANHAQIILPDSDGAIDGHLSEGGLTFHLFKDVPGLISKNIEKSLDEAFKPLGIAVIINSLISAMGKGSIFA >A04g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8247229:8247786:-1 gene:A04g503860.1_BraROA transcript:A04g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLNAFKYVKFSDLNQTLEDFSEYSCKTSQKTLVIHFMLKDVPRSLHEVFQSFMLKISQKTLGRLSKILGRLLANFLRSLLMYFMLEDFPRSLREVFQSLFAKVVQRNDVKWRPSLSMLRNDI >A02p043030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29139920:29141627:-1 gene:A02p043030.1_BraROA transcript:A02p043030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTASANSSALDPKRLGGVRRMFPEWEPCRQFSVIPHVFMDYDVQPTIDYFNWLGSNPEIEKLVNAEEGTKAEIVTAGQIYAYIKKIWPGKPATSWYYIAFSDCKTKATRGPSSLLCPKFGNINFPLDHKYLAKISYLRQNDQAVFVLLDNAGHELTGKHCSEIVDNYIEFRVKVSEYNFSGKTQTITVMKIVSAVVLPPLTTPPELPLAAKSKVALPSASNVGASSKSCVYVAEEGNKSTSNSNSDELQKAKCSKHVK >A06g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27872356:27872669:-1 gene:A06g509600.1_BraROA transcript:A06g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMFVLFEFVVATTLSSILLFTAYAVCGLFLSSGLNQAVSGSVSKCLNLPDYEGSVSGSLRCFFRVLGGGGLAVV >A04p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7066168:7067114:-1 gene:A04p010690.1_BraROA transcript:A04p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQTLEKKFSNLLVTNKKIMSTDETLTDSQFQLVPANGSSSNNIREEDVKRLVENGVHDKGIQLGSKIEGIIDGKFDKGYLVTINMGPQELTGVLYHSAPSETPRRRKKKAKLCHVYSLRPKFPRSGYNFFFSEEYKRLKAANAEQEHYLAKEIGNNWRNLSPSDREVYQEKGAEDIERYKREMAVYKSFVDSLAAGSVAATDNAVAKAEAADEAEDEAEAGL >A09p041120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23212497:23215569:-1 gene:A09p041120.1_BraROA transcript:A09p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTTPSPYDLLFEGLWLIPIRHYLYALILIWTVFFYNFVEFHFLGDAVLQYFRGRVNLIYHPDSPIYHGVVSRCRSLHGRYVATPWLASPHLQTCFLNFHGLPPVFTYTRKLFRASDGGTIALDWLTNSHVPVADGDPHNQNEISKEDTTPIAVVIPGLTSDSSSAYLKHLAYNTAKSGWNVVISNHRGLGGISVTSDCFYNAGWTEDVRVVLDHLQHEFPMAPLFAIGTSIGANILVKYLGEEGEKTPLRGAVAICSPWDLLIGDRFISRKFKQRLYDRALTIGLQGYAQLHEPQYTRLANWEGIKKSRSIRDFDNHATCHVGKFETVDTFYRKSSSTQYVGNVAVPLLCISALDDPLCTKEAIPWEECRANKNIVLVTTNHGGHLAFFEGLTASSLWWVRATNEFLGALSCSRYMHIQKIQESGSSGSRKQEEPSINQGPYLNIGEDGLVAAVNLEENTTRSKDATQVLKQRGPKVKDKRSFNVLCRQTKRSIWLLGYIGMVTGFPLVGMLMNYLFRKKQRPITASKS >A06p020350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9973580:9976028:-1 gene:A06p020350.1_BraROA transcript:A06p020350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDVVCVLRKMCLDAKTPHLSSTLPPTLP >A06p019740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9657899:9660013:1 gene:A06p019740.1_BraROA transcript:A06p019740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMNSTLVFFSFFLLPLFCLSALAETSQASDGSTILFTTMGSSTFEFDIFTLLTSRRPPSPSDEHRLTDGKSINFNGHLASPSPALISLLPNTSGIQPQDKSLLHLIYVTERDGAPTLHYDVVHGDNKGTRVQVPLLSEQQSGMSVNSMKDTPVVTNEHLVYVSTHENSGKPMASWAAVYSTELRTKATQQRLTPPGIADFSPAVSPSGNWTAVASYGEKGWSIVSKELSTDIYVFLTRDGTQRVKVVEQGGWPRWTDESTLYFHRKSDDGWISVYRAVLPKTGPVSTKTVTVQRVTPAGLHAFTPATSPNNNDFIAVATRRPESEIRHVELFDLKKNEFVELTRLLSPKSHHFNPFLSPDSSRVGYHSCRGDKTGRTNPHNLLQKLKTTSEDLSLFRFDGAFPSLSPEGDRFAFVTFTGVFVVNQDASGLRQILPNIGFGTVWDPVRRGIVYTSSDPSLNLTVPGLVGTHKINILAVNVDARNPSAAVKKLTIGGQNNAFPWPSPDGKRIVFRSDRSGTKNLYIMDAEKGEAGGLFRLTNGNWNDTIATWSPDNNWIVFASNREYIGTLLMDLYVVHPDGTGLRKVAQNLTGGVSMHPMFSPDSKRIVFTTTYAAISAEPIGNPKFNVASSEIFTVNLDGSDFMRLTHNSVEDGPPLWFPKIKATGDVAWPKRFGASCAFEDFKSQNTTVKMNKRSLMCPSQ >A04p030580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18169733:18170700:1 gene:A04p030580.1_BraROA transcript:A04p030580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLEAAKKALTWPMPNAKGILLGSCCVEAISLLMRFNVIPEADEPRRMMERPFVECCIPHLSSRNWMCISGVLYYAAAANSSSGRTMVACFDLRSEKFSFVNFSRAVPGSTTLVNYNGKLGLLMAGDSGDIGEAIARNMRIAGLVGVNEIVLAPWFENVPSYVIYFNVERKTITKVGIQGMEVFQGKRLDTHLNYVENVKLI >A03p036400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15248595:15250832:-1 gene:A03p036400.1_BraROA transcript:A03p036400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLLVGLIVGCWLILGTQANEYLDFNVTEIDRIEELEFGLSKYSSNLNPLLVGLTLIRGADSGAVCLDGTLPGYHLHRGHGSGANSWLIQLEGGGWCNNVRTCAAQLQFRGERIWRAAIDDLKANGMRYADQALLSGCSAGGLAAILRCDEFRDLFPGSTKVKCLSDAGLFLDTADVSGGRTIRNLYNGIVEFQSVKNNLPRICTNHLDPTSCFFPENLISQMKTPLFIVNAAYDTWQIQSSIAPKSADPSGFWHDCRLNHEKCTSGQMRFLQSFRDQMLRVVKGFSMSRQNGLFINSCFAHCQTERQDTWFADDSPVISKKAVAIAVGDWYFDRAEVKLVDCPYPCDKSCHNMVFR >A02p047670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29737165:29738412:-1 gene:A02p047670.1_BraROA transcript:A02p047670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYTOCHROME KINASE SUBSTRATE 1 [Source:Projected from Arabidopsis thaliana (AT2G02950) UniProtKB/Swiss-Prot;Acc:Q9SWI1] MVTLISSSASTPKISFDFTKDNNYPSLNVPVSSSPSSSCLRSKEEAVVTTKTLMEPCKPLIINNNPEDDHELGDEKKVVKKAPEEPEIGVFGAEKYFSGDMDSDQSSSVLSLTKPEVERFVVEMKQTEKKSTGTASVCTESSWNSQSLLLKNKLVNSCNGSLQDKKTNSGQIQKVNNNKKSFLSNLRCKCCSGVDDKISVKRSSDQDISASTRSKIENQLSSSVNLSTEPIKIQKQEELVHRKSPEVFGSPVNIEKKHTIPPWESRSEGISDYAPSEVSIEWSVVTESAAGFSVMSECATSPVRRNRSSHIPRVPTKNAPQIRKTNSGSRSGGFLLSCKGYKSVMVSGDSERRSSMNKNKNKTSPSYVPRFPMETTKPKSLETRRKFSVQL >A08g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4632218:4637583:-1 gene:A08g502040.1_BraROA transcript:A08g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFNKVTRREKKKKKRGQKLDFPNPNIVGLSAPLFHGDKDVDCVFSVVLPPVKSTTEPTEASLSTSASLCYSPLLSTYLRLLPPLRFSPPHCFSPPLSASLLLSPPLRLSPPLRFSPPLCLSASRCFSLILFTSPLLSASLLLVASLHFSPPFGFYPRLRLSHPAICSQFANVTGNGDVFLDAQYTREGEMEDERVDLVLERIRNKYDWSSTDWSVLDPEETKMEEPDSHDRADEETSSVKVAGKGKRKFLDEGAETRKKKVLCKRSAEKYLTFGPETKSFIEGLIRTSVTSLGDVLSMKMANMERVFTERMGKMEIEVSQLRDTISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGGQAPPKSKGAQAPPKRKGDQPTPRKKDGKKIATETNDFDFGLSTQDLRDLSQATFVEGFDLSQVKVETSSKSKPFNMAPLQWNDEEIDRTKEDSPDAALVFFREEDCEKVITWSTSSTLIRIGPATLDFEIANRLMDKSEWLNSLEIDAAMYVFRERTSLKRWRPHRVAFMTVVFSNIIKKEYGHLEAQGRKSYMLHNLLLQYGKGVLPPHGRTHEIWNIDVDRLYVPVHVSGNHWIALCISFVTRSIDVFDCSGRKRYKEVDGFANLIPRIVKARKKENHLFKWVDEALLDEIRRVEAEQGRIVEEIHDQKSSMTQIIEEEVRKQKKSLELGCLGSILWLFGRLRSQE >A08g503940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6580203:6580478:-1 gene:A08g503940.1_BraROA transcript:A08g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALAVREALLHAKTLQFTKICLKSDNQVLINALHTKIHPTEIYRLNLDIKNLSSCFSFLHFSFIPRRFNSVADLIAKDAACNSHSFLPV >A03p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15069924:15072357:-1 gene:A03p035970.1_BraROA transcript:A03p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBK2 [Source:Projected from Arabidopsis thaliana (AT3G05140) UniProtKB/TrEMBL;Acc:A0A384KHI2] MVVDKINVASPLCCVLPMYDSKHSSYVTEEDSDDLNHTTKHKRTNAMLSASAHDLRCLQVEKEKQDPESPRGALEACLNRCSISSSEDPPQNKEAIENADVDVRCKNHRASSNWGKFFKLWKRRSMKRLSSFPPLSCAPVISKRNKNADPDVDDLNLHDIYDFQSSLHSFSITDLEIATDYFNPENIIGRGGYAEVYQGILPEGKLIAVKRLTKGTPDEQTAEFLSELGIIAHVDHPNTAKFIGCCTEDGMYLVFRLSPLGSLGSLLHGPSKDKLTWSRRYKVALGTADGLMYLHEGCQRRIIHRDIKADNILLTEDFQPQICDFGLAKWLPKQLTHHNVSKFEGTFGYFAPEYFMHGIVDEKTDVFSFGVLLLELITGHPALDESQQSLVLWAKPLLEKKDIKKLVDPSLGDEYDQDELNRLTSTASLCIEQSSLLRPRMSQVVELLLGQESCVVTPREDKRKMMQRTYSEELFDSIEYNSTRHLRDLDRIREVALAS >A03p044370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18525107:18526580:1 gene:A03p044370.1_BraROA transcript:A03p044370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVVPKEGFVVMAKESISSSPSESPLRLQPQFPDLTIPLKEFFKSREAREFLSGALAGAMTKAVLAPLETIRTRMIVGVGSKTIPGSFLEIVQKQGWVGLWAGNEINMIRIVPTQAIELSTFECVKRVMTTAQEKLKKIEKAKIEVCGFSFSPSISWISPVAVAGAAAGIASTLVCHPLEVIKDRLTVSPEIYPSLSLAVPRILRDDGIRGFYAGLGPTLVGMLPYSTCYYFMYDTMKTSYCKSKNKKALNRPEMLLLGALAGLTASTISFPLEVARKRLMVGALKGECPPNMAAAIAEVVKERGVMGLYRGWGASCLKVMPSSGITWVFYEAWKDILLASNTKPLL >A09g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18634355:18638019:-1 gene:A09g506220.1_BraROA transcript:A09g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISEEQLIGFNKMVRRLSKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAIVDNLGIADVEQKLKVVPEKEHGDKGLELHWMGDGPAGTKEAANSAIWWFSRRTVLMTVPDSGATRVIVPRNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTCLHQVNHLVPDSRFNLFIKSVIAKVDVRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A07p032500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17810636:17815795:-1 gene:A07p032500.1_BraROA transcript:A07p032500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESELEEGEACSYNLTNDFGSSFDPDNDLSYIDHKLQNILGHFQKDFEGDFSAENLGAKFGGYGSFLPTYQRSPLWSYPKAPAKPQSSAGTRSPNILPGESQSGNAASSSLPKKATSELASSRIPKKSMKSKKPNSSSRHESATEKPGVFSKQNSLKLRIKMGADSLPAEKNAAAIYSGLGLDVSPNSVSGSEGMSGEPQGYSPLESPANILNVMTSLPVDPGQLLSPLSNDLIRFIEREKPEKGYKRTSPSRLFIESSSAMANRLEPQKAGEDPSVEKKRKMLERNNLSADTNVRSKKGLLDGTDGSVKKPTETNTSYPSGAEKETASSKLFDASKESYNGSLKGEMEGGEYKGSKALEPPHHENPKTSSAGSVRGDKKNRFGDDDASGRSRKEYKGAKAPELVKKETEAEKLKSGHKEHSSRKQKSDQTEREPQSSSKFSKQKSSVVNETKMNGQAEKKEVAALKPHNGGKKAEDTYKDFFGDMEDSEEEEEPPTLEDTPEKSRVPVTESQNVGPGPSVGKLGSDPSLPKANPVVIEEHWVACDKCGQWRLLPFGPVPKLPEKWMCTMLDWLPGANYCDVPEDETTKAVYAMYQIPAPDSQAPVQSGLKPQFNQGEDNTKKKRKGFKKLDNGMVKEGSRTAETSKKSILTSARNGTMHNSHGLSDFADEERQKHKQKERGKAVDHHSDHDSRSLKMNNKRNAERESSMLAKKMKIESFLFPDEGGASDSGVPVASADKKNKTRVSSKMPKEEGGGASDTGNSNSTGGSKKRKLKESHGSPRVYREKENHERKKARVLKEGKEPSFSHGSGKSEKKNKSHSRREYGHVAATSSSSHKPRNSSHEVKSSPVESVSSSPMRKKKVESHDTDFLAASSMRRFSDGEGDGGSDRSQTRRKNKHGSRESPVLDVCDNKGSLKAKVRAEPSLDANLENGGHKDHIHRRSNDSLAKKSGKGSSSRDGPRHIEKKINDGSNPDMVVKPNIPKPYDVERVSERSNRADLTSPSRPPSRGDSSRKKVEKCGTSAGNNSIQADDGTKGTAQVRRRNEPSPSPLRKEVTSVQAAHSILKEAKDLKHTADRLKSSISNLEFIELYFQACLKFLHGAFLLETSSNESARQGETMIHSTSIYSSTANLCGFCARESPSSSASDVDNVNNPAAVDRVGNSRCTSSPLVAGNHVISAQNRFNILRIIQFAQNVNLAMDASRKSRVALAASIENLGEDQQQGEGVLSIKSTLDYNFQDLEGLLRLVKLAMKANNR >A03g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1854123:1855158:-1 gene:A03g500630.1_BraROA transcript:A03g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLIQPPPMAASREAISRRTGNAKMLLPFINLNGKTLSFSDNPFRLRPMCIGKVTEQSSASSPNEQQVEEEEEEDITVSQIKEELYEALQGINRGVFGVKSDKKAEIEGLVKLLECRNPTPEPTGELDKIGGCWKLIYSTITVLGSKRTKLGLRDFVSLGDLLQQIDIAQGKTVHVLKFDVRGLNLHDGEFRIVANFKIISKTSVEITYESSTIMPDQLMNIFKKNMNLLLGIFNPEGLFEISYPF >A05p050070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28989229:28991849:1 gene:A05p050070.1_BraROA transcript:A05p050070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQPLAASHQSEPDAPPKQVAQAMERLNQAARVIADIRLGADRILEAMFVASNPRHNDAPLQLFLKEDASMRQHLQDLRSIGKKLEESGVLTESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHIDDGPKMEPGSKKQRASHSLLEHGGEEPVEYKTLPDIQSRLEKLVPNVKVSTYGRLSWLKRASSLPGSGSDDDPSEESKPIFQSSSKLRSGLQDEVVDKVAVIELSFPSVFRAVVSLNPAGSVDPDAVAFFSLDEGGSYLHARGFSVHHVYKHITEHAATALQYFLGFGSGTALYSLLLWICSFESLYSKPCSKCGKLLAMDKKSSLILPPLHRAYQELPLAANLSVCEAYHAGCSPDGS >A02g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15749502:15750407:-1 gene:A02g504970.1_BraROA transcript:A02g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPFIYTASKSPSVIREAIFSGDTYGEKLSPMAVAFTDEQTNSFMKLSVSSIKTQMGSLKIISHHPYFKWIYKQIFRY >A10g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19435699:19435954:1 gene:A10g506660.1_BraROA transcript:A10g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKIENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A02g512520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33964578:33969287:-1 gene:A02g512520.1_BraROA transcript:A02g512520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNPINSIISQAITSAVDGALAKHQHKQRDKDRTTQIFGEDDEDDVLSNNLSANTNSSQNLLHELEEPHDQVRDFVGAPIYDDYDDNFCREPCHKSDMMGKEEDMSLIDIHEINGNMTRETHLDRPIVTSNVGSYYVPITNLTDEPIYDVSDDEVFIDSNYCRDPLFIDEYEVQGSNKGGDFHVVVDDGNICVRKEHIDYGLREKDCPQHLRRKPPDRDQNKETSYVGTFETQERRSIGSTYTKLLEETGSVLKLDHGHHDCLRTENGLYRVITASQLTGSKDINLAATYLDAKSMVAHLRVCERSWKYDGGTLYDGLGVTPVSFRGIQGHLFSREKTMDPVIPNKEIVHLYTPICLDKLVVFQTVAKLSGEVSFMDVMFVIYPTSSTWLVYFSRGSLQNFVILGVDMSYCRHQHVCAIMHSDNLFLEKKKQQRRVNLLPLMGSVVFYVRDLKAIGKNEQVKVQIITCLVSLSCLRSCRWSFRRMQSVKWIFEWVRQENKLCWSLIFRATVSHVDLSVDLIKVEFERVRSYLYFVAYSRCVLTSFSSDVVLVFKYMVEHRFVLVYSTISSPIRWKHKHLDGRIEAFDMIQFVWVFGVYKTVAFLELQRSTPLWTLCIHFNVVSIYGILRSSAVWPLSHMDVTVPLVVFPSQWPQIELQWGVSKRKVEVVHTPHTVGHKLICGVVLKIFKSTHRLLPNKNTRNIFLNDVTRCTFYVGWDLIHSVEVSSENLDLRDKVFHRRLAIYDGELQLVQQKKSVWVAYQCGSRTFFVIKEGKMFSLVRQSWSSLALGSAYPTVHLLPSVSSLHQLHLRVFKREFDIVLLMNLEFVVVILAAISEQVDVTVVHVQSPTVQ >A05p049040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28588036:28591730:-1 gene:A05p049040.1_BraROA transcript:A05p049040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase 4 [Source:Projected from Arabidopsis thaliana (AT3G10340) UniProtKB/Swiss-Prot;Acc:Q9SS45] MDLCKQNNNHIVAVSADPLNWNAAAEALKGSHLEEVKRMVEDYRKGAVRLGGETLTIGQVAAVASGGVTVELAEEARAGVKASSDWVMESMNRGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGAGVGDTSLTLPKSATRAAMLVRVNTLLQGYSGIRFEILEAITKLLNNEITPCIPLRGSITASGDLVPLSYIAGLLTGRPNSKAVGPAGETLTASDAFKLAGVPSFFELQPKEGLALVNGTGVGSGLASMVLFETNVLAVLSEVMSAMFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILHGSSYVKEAQQLHELDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVAMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTEEAVEILKLMSTTYLVALCQAVDLRHIEENLKKAVKAAVSQVAKRVLTVGVNGELHPSRFTERDVLQVVDREHVFSYADDPCSFAYPLMQKLRHVLVDHALEDPDREANVSTSVFQKIGAFEAELKVVLPKEVERVRSEYEGGCSVIGNRIKECRSYPLYRFVREELETELLSGESVRSPGEEFDKVFSAICDGKVIDPLLECLKEWNGAPVPIC >A04p036120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20624716:20627261:-1 gene:A04p036120.1_BraROA transcript:A04p036120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKKTESHSNGSYNYKMFKCFNRKFKINEVQPTNDVRDAFCKFSVGGGGGGGDGDRSSGVMGAEQLCSFLDDHQVHSVTTVAEAQRLIDEVIRRRHHVTRFTRHGLDLDDFFNFLFYDDLNPPIKSHVHQDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPVIKALQRGVRVIELDLWPNSTGTDINVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLTADLQAKVAEMATKIFGQMLYYPESDSLEEFPSPASLLHRIIISTKPPKEYLESRNPLVKQKDNSNVSPSSEEETPEKEEIQTLESMLSYDDYETKSDSDQQEEEEEASEEQKPVYKRLITIHAGKPKGSVKEEMKVAVDKVRRLSLSEQELDRTCSSNSQDVVRFTQKNLLRIYPKGTRINSSNYKPLIGWTHGAQMIAFNMQGYGKSLWMMHGMFRANGGCGYVKKPNFLMKKGFHEEVFDPRKKLPVKETLKASQQQFNNTIKDVKVYMGDGWRLDFSHTHFDAYSPPDFYTKMFIVGVPADNAKRKTKIIEDNWYPIWDEEFSFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSELRPGIRSVPLYDKKGEKMKSVRLLMRFIFE >A08p035780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21082593:21083763:-1 gene:A08p035780.1_BraROA transcript:A08p035780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPEYLCTFLLTIAILSHVSQPLHFELKSGKSKCISEDIKSNAMTVGKYTVVNPNEPHPAPQSHKINIRVTSSQGNTYHHAEEVDSGQFSFTAVEGGEYSACFAAHDHKPDVTLSIDLEWGSGVHYKSLGSLAKKSKVEVMEFEVKALIETVNSIHDEMFYLRDREEEMQDLNRATNSKMAWLGLLSLFVCLGVAGMQFMHLKTFFEKKKVI >A08p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11778914:11783277:1 gene:A08p016650.1_BraROA transcript:A08p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTIFNHQPSCNRTTNLHELRSCLVQDLFEVLNVSGSIGYPFKFGFGSDNTHNPKYHKTRSIRYLRRVRIGSDSFLSDWIRFGKRRCVKSEKMARISTVGCEFRLLSSNPRNLASLMEHLSESQINEDVSLKNNPPDKEDTDKDTNMEQPPTSPRHRKVIARWLPNEAQRPIVDDAPVFSPSLEEFEDTLAYIEKIRPLAEPYGICRIIPPSTWKPPCRLKEKSIWEHTKFPTRIQTVDLLQNREPMMKKKPKSRKRKRRRNSRMGSSKRRSGSGSGSSPEAEEKFGFNSGSDFTLEEFERYALYFKDAYFEKKDSPSVEEIEGEYWRIVEQPTDEVEVYYGADLENRVLGSGFYKKVDSDKDQYAVSGWNLNNLPRLPGSVLSFEDCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYHHFGEPKVWYGVPGSNATSLEKAMRKHLPDLFEEQPDLLHSLVTQFNPSILKDEGVQVYRVVQNPGEYVLTFPRGYHAGFNCGFNCAEAVNVAPVDWLAHGQNAVELYSKETRKTSLSHDKLLLGAAYEAVKALWEPSASCVVKENTWKSFCGKNGALTKAIQARLRMEEERLGDLGKDSSSRLVKMEKDFDSNSERECFSCFYDLHLSASGCKCSPEEYACLRHSDDLCSCEVKDRFVLVRYTVDELRSLIRALEGELEDLKIWASKVLGTEHSDEDQSKTGSVINEEKKLEEGSFDLNIDLELNYHEDLKEEVSTSGELNASENFDVSVEPINLGFLNYGKLWCNKHAIFPKGFTSRVKFYNVLDPTRMSNYISEVLDAGLMGPLFRVTLEESPDERFFNVSAQKCWEMVLQRVKDTSTNLGFPTLSRFESINGLQMFGFLSPSIVQAIEALDPNHKLVEYWNHKNQSQSESKDHFISSNCTKGKLFGVDLM >A01p012330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6005710:6007699:-1 gene:A01p012330.1_BraROA transcript:A01p012330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G19660) TAIR;Acc:AT4G19660] MAAATAMEPSSSISFTSSHLSNPSVVTNHQSSSPNLEAASLAKLSTDLENLLTSSDCDYTDADITFEGESRAVGVHRCLLAARSKFFLDLFKKDRGSEEEKKKPKYHIKDLLPNGHVGREAFLHFLNYIYTGKLKPFPVEVSTCVDTGCVHDSCKPAIDFAVELMYASYTFKITELVSSFQRRLCNYVEKSLVENVLAILLVAFHCDLTQLLDQCIERVARSDLDRFYIEKELPLEVSEKIKKLRVKSMNTDEVADKLIERTGKVLKALDSDDVELVKLLLTESDTTLDQANGLHYVVAYSDPKVVAEVLALDMADVNYRNSRGYTVLHYAAMRREPSIIISLLKKGANASDFTFDGRSAVNICRRMTRPKDYYTKNAKGDEASKDRLCIDILEREIRRNPLASGGGDAPTCSHSMPEDLQMRLLYLEKRVGLAQLFFPTEADVAMDIANVEGTSEFTGFPVPPPSNGATGNLTQVDLNETPYMQTKRLLTRMEALMKTVETGRRYFPSCSEVLDKYMDDYMDEDIPDMSHPERGSVKERRWKRMRYKELKNDVKKAYNKDKQAKIARSCLSVSSPDSSLRGSLENQT >A05p055460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32806570:32808592:1 gene:A05p055460.1_BraROA transcript:A05p055460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVAAKLAFFPPSPPSYKVVTDKVTGLLLLAPFPHRENVEIHKLQTRRGTEIMAMYVRHPMANSTMIYSHGNAADLGQMYELFIELSIHLKVNLMGYDYTGYGQSTGKCLEEIYGSKQDDVILYGQSVGSGPTLDLATRLPLLRAVVLHSLILSGLRVMYAVKKTYWFDIYKNIEKITYVDCPILTIHGTADEVVDCCHGKQLRELCKNKAPDNAEPALGRVWTGERSLDRAECKAPPKSHESKKTSSSKLRISFDHHLGRRSRRSVDCHDKTRKSVDHSHEIENVDRVPSDS >A02p009640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4056280:4061836:-1 gene:A02p009640.1_BraROA transcript:A02p009640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDANQQAQLAMVLGSDTAPFETLISHLMSSSNEQRSSAEALFNLAKQTNPDTLALKLAHLLQLSPHPEGRAMAAVLLRKLLTRDDAYLWPRLSLPTQSSLKSSMMSCIQREEAKSISKKIFDTVSELASGILPENGWPELLPFVFQCVASDSSKLQESAFLILAQLSQYVGETLTPHIKHLHGVFLQCLSSNAVSSDVKIAALNAVISFVQCLSNSTERDRFQDVLPAMIRTLTESLNNGNEATAQEALELFIELAGTEPRFLRRQLVDIVASMLQIAEAESLEESTRHLAVEFLVTLAEARERAPGMVRKLPQFIDRLFAALMKMLEDIEDDPAWYSAETEDEDAGETSNYSMGQECLDRLAIALGGNTIVPVAYQQFSAYLVASEWQKHHASLIALAQIAEGCSKVMIKNLEQVVSMILSQFQSPHPRVRWAAINAIGQLSTDLGPDLQNQHHQRVLPALAAAMDDIQNPRVQAHAASAVLNFSENCTPEILAPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDVVMPYLKTILMNATDKSKRMLRAKSMECISLVGMAVGKDRFKQDAIQVMEVLMSLQGSELEADDPITSYMLQAWARLCKCLGQEFLPYMSVVMPPLLQSAQLKPDVTITSADSEDEAEDSDDESMETIILGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWVDQVALTLVPLLKFYFHEEVRRAAVSAMPELMRSAKLAIEKGQPGGPDISYLKQISDYIIPAMLEALHKEPDTEICVSMLEALNECLQISGNLLDEGKIRSIIDEVKQVMTASSSRKQERGERANAEDFDAEEGELIKEENEQEEEIFDQVGEILGTLVKTFKASFLPYFDELSSYLTPMWGRDKTAEERRIAICIFDDVAEQCRNAAFKYYDTYLPFVLEACNDESADVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVIQHPNARQSENAMAYDNAVSAVGKICQFHRDSIDSSQVLPAWLNCLPISNDVMEAKVVHDQLCSMVERQDVDLLGPNNQYLPKILTVFAEVLTRKDVVTEETGGRMVNIIRQLQQTLPQSALASIWSTLKPEQQMALQSMLSS >A06p019840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9708192:9710746:1 gene:A06p019840.1_BraROA transcript:A06p019840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGYTLCSILVFSLFASCVRSKETKEFVLTLDHTNFTETINKHDFIVVEFYAPWCGHCKQLAPEYEKAASELSSHVPPVVLAKIDASEETNKEFATKYSVQGFPTIKILRNGGKAVQEYNGPREADGIVTYLKKQSGPASLEIKSADAASEVVGDKNVVAVGVFPKLSGAEFDSFMATAEKLRSDYDFAHTTDAKLLPRGESVTGPVVRLFKPFDELFVDFRDFVGEALEKFVKESSIPLITVFDSDPNNHPYVLKFFEIPNTKALFFLNFNGEGAESLKSKYREVAASNKGHGLSFLLGDAKNSEEALQHYGVEQRQLPLIILQTVDDKKYLKTNVEVDQIESWINDFKDGKASPYKKSQPIPSENNEPVKVVVAESLDEMVFSSGKNVLLEFYAPWCGHCQNLVPVLDEVAVSYQSDPSVVIAKFDATANDFPHDTFDVKGFPTIYLRSANGNIVLYKGDRTKEDIISFIDKNKDTAGETKTEEKKTKEVKDEL >A05p050220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29036029:29037824:1 gene:A05p050220.1_BraROA transcript:A05p050220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRNAKDEDLKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYDVLSDPQKRAIYDQYGEEGLNSQAPPPGAGGFPGGSNGGASFRFNGRSADDIFSEFFGSSRPFGDSPFPFPEEVFSSYSRSAKGEASNAAPPRKAAPIERQLPCSLEDLYKGTTKKMKISRDVIDSFGRPSTVEEILTIEIKPGWKKGTKITFPEKGNEQRGIIPSDLVFIVDEKPHAVFKRDGNDLVITQKIPLVEALTGYTPQVTTLDGRTLTVPVNNVISPSYEEVVKGEGMPIPKDPSRKGNLRIKFNIKFPSRLTTEQKTGIKRMFSSS >A02p045310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28428234:28430148:-1 gene:A02p045310.1_BraROA transcript:A02p045310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSEEAIDGFLELIDQVEEPLKKTFENVHQGYLREHLSRFLKARDWNVCKAKTMLVECLRWRVDNEIDSILSKPIVPSELYRGVRDSQLIGMSGYTREGLPVFAIGVGLSTFNKASVHYYVQSHIQINEYRDRVLLPSMSKKNGRPITTCVKVLDMTGLKLSALSQIKLVTIISTIDDLNYPEKTNTYYVVNAPYIFSACWKAVKPLLQERTRKKVHVLSGCGRDELLKIMDITSLPHFCRRGGSSGSSHHTEGVDCFSFDHPFHQQLYNYVKHHYETQGQAEPSKQGSFHVGFPEPEAEIAKTIESELHKFENCNGLCQPAYDRKGCP >A04p014820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5733993:5736037:-1 gene:A04p014820.1_BraROA transcript:A04p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYEGASVHPRNPETNLQGSSLNAPILTSSSSPDLANEKGLKTRLKKMIFDLGLACFLPPPAGPRSIENSGNNSGSGGDNNKAWLLAETAPENINHDPHSVHSSFRFSLCSQTELEKMKGGEAPSLSASSSCRNLSVSGGSATVLMVNLENGVKETGKSTDEVTWTRARSLEKSISPVANTLVRFSYGEIVAATRNFSKGRVLGRGACSYVFRGKIGIWKTALAIKRLDKEDKESPKSFCRELMIASSLHSSNIVPLLGFCIDPEEGLFLVYKYVSGGSLEHYLHDKKKKKGMKAALPWSARYKVALGIADAIAYLHNGTEQCVVHRDIKPSNILLSSKKIPKLCDFGLATWTAAPSVPFLCKTVKGTFGYRSKPTMHEYLAPEYFQHGKISDKTDVYAFGVVLLELLTGRKPIEPRRSSGEGNLVVWAKPLLDRGIEAIEELLDPRLRCTRKNSVYMELMIRAAAACVINEESRRPGMEEIVTILKGGEGGLETRTYSSRKTNTSLSSMIDTYTQLQQTKSEMKCHLDLAMLGVTDLEDDGHLYER >A03p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18481962:18489929:-1 gene:A03p044260.1_BraROA transcript:A03p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISAQNPDISGDRQSGQDVRTQNVVACQAISNIVKTSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAELLKRANDLVRNKIHPTSIISGYRLAMREACKYIDEKLVTKVEKLGRVPLINCAKTSMSSKLISGDSDFFANLVVDAVLSVKMTNQRGEIKYPIKGINILKAHGQSARDSYLLKGYALNTGRAAQGMPLRVSPAKIACLDFNLQKTKMQLGVQVVVNDPRELEKIRQREADMTKERIEKLLKAGANVILTTKGIDDMALKYFVEAGAIAVRRVRKDDMRHVAKATGATLVTTFADMEGEETFDPAHLGSADEVVEERIADDDVVLIKGTKTSSAVSLILRGANDYMLDEMERALHDSLCIVKRTLESNAVVAGGGAVESALSVYLEHLATTLGSREQLAIAEFAEALLVIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHYSSMGLDLVNGIVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKEDGQGDEKEEDPWFTRVLQQTVVVKRQRRCILSGFNQNMQSGGDYSNGFHGELDRVEEKQLPGLSSFGRAKRRSTRGGAHDPRGGLTNGFMVSDQLGEQNPLETQKSPPPCTDFDVAYFHSYAHVGIHEEMIKDRARTETYKEAIMQHQSFIQGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANGLSDKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKPGGLILPSHATLYMAPVSHPDRYSHSIDFWRNVYGIDMSAMMQLAKQCAFEEPSVESISGENVLTWPEVVKHIDCQTVKIQELDSVIASYKFKSMMRAPMHGFGFWFDVEFSEPASSPAKTTSATSVASGSSSVSPSREGNHKKRSNPSDALTIVYLYDPVDVEQDQVIEGSVTLSQSKENRRFMNIHLEYSSAGRSFVKESVMR >A01p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7287220:7288734:-1 gene:A01p015010.1_BraROA transcript:A01p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G22790) UniProtKB/TrEMBL;Acc:A0A178UU74] MSKTSKLESFDPELCEGCSSKTSEPKVPEGCRSKTLTQSIVHELKLQMRIGLPLVVMNLLWFGKLTTTSIFLGHQATMEPICGQAFGAKNFKLLHKTLLMAVLLLFLISIPISLLWLNVHKILIAFGLKEEISFIAKRYLTYLLPDLPVLSLLCPLKAYLSSQGVTLPIMYTTAAATSLHIPINIFLSRAKGMEGVAMAVWITDLIIVVLLTGYVIVSEGLKENKWKEGGWLDQNAQDWLKLLKLSGPCCLTVCLEWWCYEILVLLAGRLPNPVESVSALIIVFNFDYLLYAVTLSLGTCVATRVSNELGANNPKGAYRAAYTTLAVAVVSGCIGGLVMIACRGVWGSLYAHHDVMIINSVKNLMLIMAVFEVICFPLFVCGDIVRATAKPSLGMYANLGGFYLLALPLGTSLAFKAKLGVEGFLLGFLVGAFVCLLILLIFIARIDWEKEAGKAKILTCNTEEEETPQDSRQDRIS >A01p029000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20689132:20691235:1 gene:A01p029000.1_BraROA transcript:A01p029000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SIS3 [Source:Projected from Arabidopsis thaliana (AT3G47990) UniProtKB/Swiss-Prot;Acc:Q8GYT9] MAMRGVDFKWYDGFFLSMLATSVYPSLLIYLCLSACWVVRKIIVAINWKRYHTCHYPLHIWIVVDYTTVFIFRVLMFVDNGLAAALGLDFGSQQRNIGFCGRVVVLSILSLLLYPFLWAWTVIGTIWFTRAKSCLPEEGQKWGFLIWLIFSYSGLLCIACICVGKWLARRQVHVLRAQQGIPSSEFGILVDMIRIPDWAFEAAGQEMRGISQDAAAAYHPGLYLTPAQASLVTEAVEALIQELPKFRLKAVPDDCGECLICLEEFHIGHEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPDLDLSALSNLQSSSTQQPPQGNTETTEARYTRSQPQSESYFLRLQYLIHPVHTDTALETAENGGVPPVLAGRSPSGR >A07g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5354979:5356363:-1 gene:A07g502620.1_BraROA transcript:A07g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIENKEFQSQVIFPPKLSLSQSKTVKNSSGHFHNLVWTWASSPLDPKCRVSNVSTSIDGTCVHRSILIFICRGISWCRSTALDAHRSIVLPLVDLYMVSSDEMSFKLQNAPNECFTLGVSIIGCKDFRQVSGAAVTKIGQASINQNLMSSLQKRALKIAASKSRFELFYWSLYESSLNGFSHQGRNLERENVINNLHKALPSRSVSRIRSHVYQAPRQAVMSGSVLEISFGYFIRSKQVCS >A06p040650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21992415:21994275:-1 gene:A06p040650.1_BraROA transcript:A06p040650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLFFLSLLSIFLFSFLIISKKLKSGKLPPGPQKLPIIGNLHNLNGLAHTCFQNLSQKFGPVMLLCLGYVPTVVISSREGAEEALKTHDLECCSRPETVATRMLSYNFKDIGFAPYGEEWKSLRKLVVMELLNAKKLKSFKYIREEENDILVKKLRECALTGSPVNLTKALFTLVASVVCKLAFGIDIHKCEFIDEDNVADLVHKFELLIDGFAFSDFFPGVGWFIDQISGQNKTLNNVFSELDTFFQKVLDEHLKPGGRVSESPDVVDVMVDLMEKQGKDGDSFKLTTDHFKGIISDIFLAGVNTSAITLAWAMTEVIRNPRVMKKVQDEIRTTLGVKKEKLTEDDLSQLHYFKLVVKETFRLHPAAPLLLPRETMSDIKIQGYDIPAKTQMIINVYLIARDPKIWTNPDEFNPDRFLESSIDYKGLNYELLPFGSGRRICPGMMMGIANVEMGLLNLLYFFDWGLPEGKTVNDMDLEETGSIIVSKKATLELVPFINN >A03p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1181623:1188815:-1 gene:A03p002610.1_BraROA transcript:A03p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLDQIRCNHEEIERLERLVVQDLQTHPSSSKDRLVQGHRIRNTIHSIMRAIEKLVETYEDKDGARDDEIAALGGHTATGVNVYSAFYDRLKEIREYHRKYPSGPLADATADYEALLQVKPVISFSGEALGALGLKVGGTLQQRAERLFLIKNTPLEKLDKKHFAKPALKGNQNGDAKAMQGADSSAKQIALTEAKVKKLCNLLDETIERTKQNVVKKQAMTYEEMEEEHKGEEERAEIESDDEEEEGGFYNPLNLPMGVDGKPIPYWLYKLHGLGQKFKCEICRNKIYKGRRAFERHFKESQHQDGMRCLGIPNTKNFNEITSIEEAKELWKRIQERQGVNKWRPEVEEEYEDGDGNVYNKKTYSDLKRQGVNKNGAVKNRRPLGDIGNNLVSVPVAQGGKPQPPINRPITRSFRAQLLANAQKPQPLAPRNNNQEAQKAVPKKNLVIKLKQPQQTKHAEVAEPPKKVEKKPAAKVTYSSVLSARSKAACSITAKPKILDIDESDKDNHLAAVEYVDDMYAFYKEVEKESQPKMYMHIQTDVNEKMRAILVDWLLEVHIKFELNLETLYLTVNIIDRFLSVKAVPKRELQLLGISALLIASKYEEIWPPQVNDLVYVTDNAYNNKQILVMEKTILGNLEWYLTVPTQYVFLVRFIKASMSDPEMENMVHFLAELGMMHYDTLKFCPSMLAASAVYTARCALKKSPAWTETLTFHTGYSESEIMECSKLLALHHSRCGESRLRAVYKKYSKVENGGVALVSPAKSLLSAAPLSA >A10p020370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13814054:13817560:1 gene:A10p020370.1_BraROA transcript:A10p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNVFDGAPPDYSSISVAVKGSIGDTVGGAASRRAVRWAVDNLLPHIDRLVLVHVMPTVTTIPSPSGSKIPVENLEESVVSMYKQDLRKEFEEVFVPFNKICGSIKVETLLLEHDDPAKALLKYISDSEVECLVIGSCSPSFLTRKKGQEMPLMVLGEAPETCEVYVIAKDRVLTKSTNQLSPVKLSSDSSYRFRTPKRAEAHTDPFNRTCSDKTGLAASYMSPSPARNQIRRPVSLPPSHQASRVFSPAQASTGIRLGHDEQVRSILGHNIVSTSNMQLNPGANMNTPKWQSNVMYEIEQLRKQVQTTLCMYKQACEELVHKQTQVQSLSYECIKDTKRVISALEKEEMLRKEAEEEKQKHLKAVKEIEEAKSMLAKEFCDRKLAELNALQQALKKQQVMDQLLLSDSRYRKYTKEEIVAATDNFSSSKIIGEGGYGKVYKCSLDHTPVALKVRRPDTIEKKQEFLRETSSCSHVVLLLGACPDNGCLVYEYMDNGSLDAHIARKKGKPSLPWFIRFKIIYETACGLAFLHNSKPEPIVHRDLKPGNILLDKNFVSKIGDVGLAKLISEEAPESVTVYRNSTIAGTLYYLDPEYQRTGTFRPKSDLYAFGIIVLQLLTARHPNGLLFCVEGAVKRGCFGDMLDGSVREWPMAEAEELARIAIQCSQLKCRDRPDLDTQVLPALKRILESANSRVKTEQEKARPPSHYYCPILKEIMEDPQIAADGFTYEGKAIKAWIQNNQNVSPVTKNRLRHCDLTPNHTLKSAIQEWRSRSGLDLPTTLGSF >A09p026120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14843130:14845401:1 gene:A09p026120.1_BraROA transcript:A09p026120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEEDGNVNADGNLAEAGEMDELLQEISDVLRSQGFFLFFRLLLRQCIYLVFDIASLPPVHEDESPLGEKKETTDTSASCSQGVDRSIQNISSDQETSNEQGTSTNQLFAVSSTTSNVPVTSVEATFQPSSSDITNVETKSVPVNSGEYEMFCRFGAPTMDDALKRRYNLVINESVTKAPVENDRPLPDDEDVTNSIDYTSSEYDETRDRLKMPLSLLPIENVDDSKELSKIDPSSSDHLEAEHVQSGTHESVNQPMPTRQSITTTASSPSRSLSVPMTKALITSTDFVPKRNQQAMNLPQTQRNLPQTQRNQQAMNLPQTQRPPSGQYGNITRPPYLPPSAVLPLQQHGGSTSNMAHYVTMVTSPYWRPEQNAIYNMPPQSAAAFQQHGGSNMAHYGNITNSPYWRPEQNGIYNMPPQSAAAFQQHGRSNMMTFPYWRPEQHGSYNIPQYSAASMVPSAYGSANTFGTWSDNNPANSRFGYEGGVSSNYLPSIQPHQSGDFNAWRPSSSHSPQEQQIWNDVYASMDQQNDRSDPTDETQQRSRPRDN >A03p005420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2262947:2265569:1 gene:A03p005420.1_BraROA transcript:A03p005420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDMEAMSLGGQEHLVETTYGPVCVAVCGDPDKPALITYPDVALNYMFSFQGLLFCPEASSLLLHNFCIYHISPIGHELGAPVIGVDAPLLSADDLADQIVEVLNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCQAPSWSEWLCNKVMSNLLYYYGMCGVVKELLLKRYFSKEVRGNAQVPESDIVQECRRLLCERQSTNVWRYLEAINGRVDLSEGLRKLQCRTLIFIGENSAYHSEAVHMTTKLDRRYGALVEVQGSGSLVTEEQPQAMVIPMEYFLMGYGLYRPTQSVSPRSPLSPTRISPELLSPENMGLKLKPIKTRLAL >A07g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10716520:10716871:-1 gene:A07g505000.1_BraROA transcript:A07g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSDATETSNFVAFDTEITKLTNIRATDVSTSICLSREVVDKTLGLGPSTRSTGMVALERSHRLTKLGRSGAFLNKTKKEVTKQNDLFVPFL >A03p046350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19557263:19559143:-1 gene:A03p046350.1_BraROA transcript:A03p046350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDYSAPNFLGESSGGNDDNNSGMIDYMFNRNLQQQKQSMPQQHQLSPSGFGATTPTFDKMSFADVMQFADFGPKLALNQTRNQDDQETGLDPVYFLKFPVLNDKIEDHNQTHNLMSQEGGECEGNIGNVFLEEKENQEDENDNNSVQLRFIGGEEGDRENKNDTTKEVKSKRKRARTSKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGNRQIGDMTTTMTSSSPITSVANPLISTGNVTELEGGGGGIREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNITSETRFTAEDIASSIQEIFSFIHANTTM >A01p051980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29127890:29128516:1 gene:A01p051980.1_BraROA transcript:A01p051980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGAIPTSSHASTSPVVDVESLSSDNQRTKPARAMPRRPLGVMLDVHAIGLPCSVSDASSRIKTNSNYFRMNYSIFLSIIYLIVIYSAVLLLLWTKKTYSFRWPLLIGCGVILIHTVVRKTEDLFLDEEAAATTETYWNRGLILALFLQICLG >A02p015800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6966674:6968543:-1 gene:A02p015800.1_BraROA transcript:A02p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VTC5 [Source:Projected from Arabidopsis thaliana (AT5G55120) UniProtKB/TrEMBL;Acc:A0A178UIM1] MLLKIKRVPAVVSNHQKDETAEEEEGEGCGRNILSKCCINVERLPLYTCKPAEENVTFLESLLLGEWEDRFQRGLFRYDVTACETKVLPGKYGFIAQLNEGRHLKKRPTEFPVDQVLQPFDVNKFNFTKVSQEELLFQFEADLPLEAGNSPSVVAINVSPIEYGHVLLIPQVLDCLPQRIDHKSLLLALHMAVEAANPYFRVGYNSLGAFATINHLHFQAYYLAMPFPIEKAHSLKITTTNDGVRISKLMSYPVRGLLFEGGNSIKELSDAVSNASVCLQNNNISFNILISDSGKRIFLLPQCYAEKQALGGVSSELLDTQVNPAVWEMSGHMVLKRKEDYEGATEEKAWSLLAEVSLSEERFKEVNTMIFEAIGCSAEEEEEELEEESSISGGFIRVNCCISVKEEAVSN >A03p066430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29342212:29343819:-1 gene:A03p066430.1_BraROA transcript:A03p066430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPVLPVSDPPLAGENNLDGKGVVEDNRLFKGSAMTKRGAYAALSYMACAVMLVLFNKAALSSYHFPCVNVMTLFQMVSSTLFLYTLRRKKIISFTAADSDNNVSAFVPLKTLFHTLPLSAAYLLYMLATMASVRGVNVPMYTTLRRTTVAFTMVIEYLLTGQRYTRSIIGSIFLNTTLNSALTQTICGNMKDVFTVGLGWLLFGGLPFDLMNVIGQLFGFFGSGLYAYYKIIGR >A02p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6125659:6126686:-1 gene:A02p014000.1_BraROA transcript:A02p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSPILISLFILSCSALILAGDFRKDIDIVRGSDKAKILENGQAITLSLDKASGSGFESKAQFLFGKVSMELKLVPGNSAGTVTSYYLASKGTMWDEIDFEFLGNLSGDPYTVHTNVITQGKGDREQQFRLWFDPTVDFHTYSILWNPKTIVFYVDGTPIREFKKMNTKNVAYPEKQAMRVHSSLWNGDDWATRGGLVKTDWSKAPFTAYYRNFEVQDCDWSAPGSNVSCGGGGPNSWLNEGIDENSRKRLKWVQSNFIIYNYCNDAKRFRLGLPTECVVMN >A02p010440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4494659:4497971:-1 gene:A02p010440.1_BraROA transcript:A02p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSKAICLLLLYCVSASAAATGGYLKYKDPKQPLGARIRDLMNRMTLQEKIGQMVQIERTVATPEVMKKYFIGSVLSGGGSVPSPKATPATWVNMVNEIQKASLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGVTRQGPPKLDPNLLKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDYRIVQQMTEIIPGLQGDLPTKRRGVPFVGGKSKVAACAKHFVGDGGTVRGIDENNTVTDKNGLFGIHMPGYYNAVNKGVATVMVSYSALNGLRMHANKELVTGFLKNKLKFRGFVISDWQGIDRITNPPHLNYSASLYAGISAGIDMIMVPYNYTEFIDEINSQIKKKLIPMSRIDDAVKRILRVKFTMGLFEEPLADLSFANQLGSKEHRELAREAVRKSLVLLKNGKNKGDKPLLPLPKKTGKILVAGGHADNLGYQCGGWTITWQGLNGNDLTFGTTILTAVKNTVAPTTQVVYNANPDANFVKSGKFDYAIVVVGEPPYAEMFGDSTNLTISEPGPSTIGNVCGSVKCVVVVVSGRPVVMQPYVSKIDALVAAWLPGTEGQGVADALFGDYGFTGKLARTWFKSVKQLPMNVGDKYYDPLYPFGYGLTTKPNKL >A03p072630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000129.1:27839:28719:1 gene:A03p072630.1_BraROA transcript:A03p072630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDQIRPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPRPDDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARLDQADHDLSNHFDDFMMIDASNYSKGRILKLSKDLGRAISSSNIHTSLGEVISKRTTSLCWTGASHPATFESLVVSLGYSATLSVTPDPS >A03p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2762774:2764484:-1 gene:A03p006630.1_BraROA transcript:A03p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKKMSDLPRDVEEEVLCRIPLTSLGLVRSTCKRWNMLSRCDHLFANKHLLAHLAAEAKRKDPLVVTMMNYRVELMRLNLSNEDKVAVVNPEAKLAGLDQIDVREIFHCDGLLLCIPKEDHYRLLVWNPYWGRGQPRWIEHTHNHDLLDTHLFGRLDRYSYDLGYNNSSSQYKILRFIDYPPYFVEFKIYDFNSDSWRILDLPPRPDNWNIKLDERGLSLKGNTYWFASEALNFDAICFLVCFDFTTETFSPPLPLPFEAFADDTLILSSSSSASPQQLVVLFQSMDTLEMEIWISNTIEKPNAALSWNSKVFLSANIKQLIHPHYNFLRKSACFFIDQEKKVAVVFDKNARMGAATRDMAYIFVGGGVDDDGSSSFKQQPRRKQEACLPELPDFQLSLRPEEFELPEIEAGSSEGNQTHLLSEHLEDYSDNHDDELDH >A10p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15040478:15041350:-1 gene:A10p022860.1_BraROA transcript:A10p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFDLQFMQRSALKEEKKKKIDDDNANFTPSPGSVSKKCVVITDWDPQPRALLGRLSFQSFNPSIEKLNEEALSGRKTDASPTGSSSNGGRMSFSEPKVETSRETNGDLKRKQSQEGVSEEQKHPSKSPRSSDKPSPSNKKGNGFKKPKSWQPKPQTKH >A04p026730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16081486:16089560:-1 gene:A04p026730.1_BraROA transcript:A04p026730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILFVLCRVEGRQSAGYCAMYDICGARSDGKVLNCPFNIPAVKPDDLLSSKIQSLCPTIAGDVCCTETQFDTLRSQVQQAIPFIVGCPACLRNFLNLFCELTCSPDQSLFINVTSTTKIKNNSTVDGIEYYITDSFGEGLYESCKNVKFGSSNSRALDFLGAGAKNFKEWFAFIGQKAGVNLPGSPYGIKFSPSPPVSSLMKPMNVSTYSCSDDTLGCSCGDCPSAAACSSTAAPPTQKRHACSIKIGSLEAKCVDFVLAILYTVLVSLFLGGGLFHRIKGKKNSSPLSEASRDRSSVNPQKADTIHAQMLQNTPQRNWAQLSAVQGFLANFYRKYGIWVARNPTLVLCLSVSAVLLLCVGLVQFKVETKPDKLWVGKGSRAAEEKQFCDTHLAPFYRIEQLIIATVPKSPHDKAPEILTDDNIKLLFDIQKMVDGLRANHSGSMVSLTDICLKPLGEDCATQSVLQYFKMKPGNYDDFGGVDHVKYCFEHFTSTESCLSAFKGPLDPTTALGGFSGNSYSEAYAFIVTYPVDNAVDNEGNKTEKAVAWEKAFIQLAKDELLPMVKSKGLTLSFSSESSIEEELKRESTADVITIAISYLVMFAYISLTLGDAPRLNSFYITSKVLLGLSGVLLVMLSVLGSVGFFSAVGMKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQEQDLLLERRISNALMEVGPSITLASLAEILAFAVGSYIKMPAVRVFSMFAALAVLLDFILQVTAFVALIVFDFKRTEDKRVDCFPCIKKPQPSDSSDKCVSQEKAGLLTRYMKDVHAPILSHWVVKILVIAFFFGLAMAGIALSTRIEPGLEQQIVLPQDSYLQGYFNNISTYLRIGPPLYFVVKNYNYSSESRQTNQLCSINKCDSNSLLNEIAKASLSPEVSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGTFCPPDDQPPCCPSDQGSCGLSEVCKDCTTCFRHADLSSDRPSTIQFKEKLPWFLNALPSADCAKGGHGAYSTSVDLQGYENGIIQASSFRTYHTPLNKQADFVNSMRAAQEFSLKVSRSLKMEIYPYSVFYMFFEQYLDIWKTALINLSIAIAAVFAVCLIITCSFWSSAIILLVIAMIIIDLLGVMAVFHIQLNALSVVNLIMSVGIAVEFCVHITHAFSISSGDRNQRMKEALGGMGASVFSGITLTKLVGVIVLGFSRSEVFVVYYFKMYLALVLLGFLHGLVFLPVFLSMFGPAPRHVEGDRQDHRPSVSSLP >A05p009450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3954119:3957071:1 gene:A05p009450.1_BraROA transcript:A05p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHEKRRRFEPISSYEIKDRSNQTVAADLDGTLLISRSAFPYYFLVALEAGSLLRALILLASVPFVYLMYLSISETLAINVFIFITFAGLKIRDVELVVRSVLPRFYAEDVRPDSWSIFNTFGKRYIVTASPRIMVEPFAKTFLGVDKVLGTELEVSKSGRATGFVRRPGVLVGQHKRDAVLREFGGVASSLPDLGLGDSKTDHDFMTICKEGYMVPRTKCEPLPRNKLLSTIIFHEGRLVQRPTPLVSLLTLLWLPIGFLVSLIRVYTNIPLPERIARYNYKLTGIKLVVNGHPPPPPKPGQPGHLLVCNHRTVLDPVVTAVVLGRKISCVTYSISKFSELISPIKTVALTRQRDKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSAMFAELTDRIVPVAINTKQSMFNGTTTRGYKLLDPYFAFMNPIPTFEITFLKQLPAELTCKGGKSPIEVANYIQRVLAGTLGFECTNFTRKDKYAMLAGTDGRVPMKKEKI >A04p034020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19755133:19759303:-1 gene:A04p034020.1_BraROA transcript:A04p034020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKAETMQKSKFKRICVFCGSSQGKKSSYQDAAVDLGNELVSRKIDLVYGGGSIGLMGLVSQAVHNGGRHVIGIIPKTLMPRELTGETVGEVRAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPTAREIIVSEPTAKELVKKLEEYAPSHESVAVTHFHMMNVSRPAVRPVIAVPVGPTGGANDRPPVRMKDIQGMPGTTGGLILRLSQFVSSLISLSVMLTTPDFHSFTAFYGLVFAVSLQSLWSLSLFIIDAYALLVGRSLRNHLVVRCFTVGDGITCLLTFAAASASAGITVLINDLDKCDGSHCTRFQTATAMAFISWLAVFPSFFLNFWSLATH >A02p000150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:107858:108340:-1 gene:A02p000150.1_BraROA transcript:A02p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHNVERLTTPEMKNRENIELLYRALQQGDASTVAKLVASDVEWWFHGPHNCQHMMRLLTGEPPSQVSFRFEPSSVQVVVPGHGCVIAEGWEGSQVYWVHVWKLKDGVVTELREYFNTWITVTDYSRGAIGWDMGGCTVWESVPRDLPRGSLPSLLLAI >A04p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21514741:21516116:-1 gene:A04p037720.1_BraROA transcript:A04p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGGNAFKESNSTGLDYEVKMSKVEANNKPARYGIHSSNGVYELLQILCILQFFRYCPNGHTLCSNCKLKLHNTCPTCRYELGNIRCLALEKVAESLEVPCRYQSLGCHDIFPYYSKLKHEQHCRFRSYNCPYAGSECSVAGDIQTLVDHLKDDHKVDMHDGCTFNHRYVKSNPLEVENATWMLTVFNCFGRQFCLHFEAFQLGTAPVYMAFLRFMGDEKEAKKFSYSLEVGAHSCKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNMALYFSGSDKEELKLRVTGRIWKEE >A06g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20822237:20822951:-1 gene:A06g507430.1_BraROA transcript:A06g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSNKSKSGDLKSRRTENTCKNEEADEEYFRTKKTMSSRKRRASRGDAKEIAQTEEGAVNRVKGRSLLLVLVFLQNCGRMYTNTCVHMNNQDFVHVYTRAGAYEQYPCVLRYNDIHMYTKM >A02g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17581995:17582829:-1 gene:A02g506170.1_BraROA transcript:A02g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLRVAELIPASKDGTISGKSGQQILFELLSKAFTQHLSIIMGDAEEVSQHYRLMSRHTRDFFGIYSSLKLKPPSTHHYSAFQTHTPSMATLNLMFSDLEAGCCANTVEA >A09p054990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47175179:47176001:1 gene:A09p054990.1_BraROA transcript:A09p054990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTTIFIMALLLCSTLTYAARLTPMTTTSSSREDSVKETEGVKAAEENCKGIGEEECLIRRTLVAHTDYIYTQNHKH >A09p071210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55041388:55042163:1 gene:A09p071210.1_BraROA transcript:A09p071210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQRERLRVHELTLKSLPNLGVVPSEVRLLCDLDQPEPTWFSQLLCIYSCFYLLIKNLDHRTVNHVGGAMRALDEAVPVTPGMQIVGVTAPGTPESYSEVAAAAASSFCEVFCSACALVETVYIYGSCADSFCGCCISYVGWRCYYIVRS >A07p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1532272:1533545:1 gene:A07p006730.1_BraROA transcript:A07p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLIGAVEWSSVWSFYRKLVGADKLGLRVLEREDDNEVSIDTQPAAAVVTPVSPEFQDIFLVEATNSPRRKIRRVSPDDYGVYIDEESNAHAMERKIINDSKEDIEAILELLNSLGGCYLSLPQYEGCFQMPRVHPTPSYRHNMLHTS >A01p049480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27786456:27796046:-1 gene:A01p049480.1_BraROA transcript:A01p049480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQFWGRGHTGAIKILPYLVSYMSSIMIHVQGDGILTIDSREVALSYLYDRWHRRRSRNMVSLATNPSHTGLDPAVVKTLPVFTFSDATHKDQTECAVCLSEFEEGESGRVLPGCKHAFHVACIDMWFHSHSTCPLCRSLVEPPATTTFTYNSLINGFCIHGRLPNIVTYTTFVHGFCKSKRVDDGVRLFNEMSLKGLAANTVTYTVFIQGYCLVGKPHVAQEVFNQMGSSHNGPPDIRTYNVLLDGLCYNGKVEKALMIFEYMQKREMDVKSITSPLVSTYKPRDEAKDGDDVFSLHVTHMRFNSQSLVLRLLLQLALFMLNQSPTVTYTIVIQGMCKVGKVEDDFDLFCSLFSKGMKPNVVTYTTMITGFCRRGLIHDANALFKKMKEDGFLPNERTCVLSRSHRPTMFFFAATDPSHTVSRGLDPDVLKSLPVFTFSDAATHEDPIECAVCLSEFEEGESGRVLPGCKHAFHVECIDMWFHSHSTCPLCRSLVVEEPHATTMTVEEQVTIMIAISREPRSGSSAMPPLDDLGREPAAVETTRRSFSEMLSRKGRSAPSSFAGAPSSSCQVVMNESGIERGGKEIKSDFRHVDEQVTVIAISPEHVSATEPVSSSGSGSSAMPLDDLRREPAEIETPRRIFSEFEDGLTRNSPVNHSPMSRMLSFTRMVSRNRRSAPSSFAGAPSQSPSSSCQVVMNESDIERGGEEIKIDFRHVGVP >A03p055110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23739494:23740326:-1 gene:A03p055110.1_BraROA transcript:A03p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRGLNVKDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLLGNKSDLRHLIAVQTEDAKSFAENESLYFMETSALEATNVENAFSEVLTQIHQVVSKKAMEAGDDSNSGNVFKGEKIDLDVSAVKKTGCCSN >A07p017090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10398772:10401696:1 gene:A07p017090.1_BraROA transcript:A07p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) UniProtKB/TrEMBL;Acc:Q8GYJ7] MGLLGLIKRVSRISRNNSRVRVYPARYFQSRDLSITNTFHGEDANLPVLIVGAGPVGLVLSILLTKLGVKCALVDKATCFSKHPQAHFINNRSMEIFRKLDGLAGEIERSQPPVDLWRKFIYCTSLSGSTLGTVDHMQPQDFEKVVSPASVAHFSQYKLTSLMLKRLENLGFNVQSSKESDGLELDSEQILMGHECVAIDANKESVTATVAFLKGGKRMERNIQCSLLVGADGAGSAVRRLTNVEMRGERDLQKLISVHFMSRELGEYLINNRPGMLFFIFNTGGIGVLVAHDLLQGEFVLQIPYYPPQQSLSDFSPEMCKMLIFNLVGHELSDLNVADIKPWVMHAEVAEKFMCCENRVILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAALVQGSAKSSILNTYETERRPIALFNTSLSIQNFRAAMSVPSALGLDPTIANSVHRFINKTVGSILPTGLQKAILDNVFAIGRAQLSESLLNESNPLGHQRLSRLKSIFEGGKSLQLQFPAEDLGFRYQEGGIVPDNESGAGDPEAPSGRRRDYVPCAEPGSRLPHMYVKVLSDSTREVIVSTLDLVSIDKVEFLLIISPLQESYELARATFEVAKEFKANVKVCVIWPSRSDDGAVRDSKSALAPCENVVDVMEVKEESGGEASWWSICKMTERGSILVRPDEHIAWRMKSSVPLEPTLHMRDVFNIMLGKQ >A09p082730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59818931:59829353:1 gene:A09p082730.1_BraROA transcript:A09p082730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLFLIVLFHMISVSSSDARNEIGLGFGGGIEEEEDMEMEEDEEEESTHSYVSCVDPDVALSYIDEKLENVLGHFQKDFEGGVSAENLGAKYGGYGSFLSMYQRSPACKSPPQAQNQVTLKLRIRVGSKSDLSLKNVSTYDGKQGLSMMPPSEVEEGLLIGTHDSPTKILMAMVSFPFHKDQLLSPLSDDLIQLGKKGNIMKDALRCVKKEKLKYMPPPSNRLDGSHIVSDTDREVDKESCEELVSKTMKLPLLSCLSPSSIHRAKEIDKVSDSYVEGTLRGMNNTDLDSALMGSKPELEDDVVAFPDQSVEGTESVNTRKDMEEGEHVDPVVKVSKTWNEEQMLKPKLPKAQKSRKSSSRNGLRGKDAAVNVVNTNVPDKLQEDIGDSGESKEQEQSSLVPKAKEEKLSEESAVKESFNGVRNVEEAWKCEPDSKHPIKWSDLNKDGNNTKESVRSEVTNKHSVEGGVKNVMEPERELSGTCKKPKTGKSRFSALDQPGSNRTMKDVGKASPHEDRKRKQKENKESGDCMREAAVMEPSGEKVRKQKRLKGSSCEGKELPESCDSAGDTRKRCREGEGYITMDKPGTTKKAAESLRDNKEGYCTESEPQQEKAKESRNKKRPARKVSMESNKEDSSREHQDPINKLENTNSTKTKVMRHDDHVGSSPLKKEITSQAASNSIKEATDLKHIADRLKNAGNNHESIGFYFQAALKFLHGASLLESSGTENATHKSIVTSKHIYGSTAKLCKFCAHEYEKDKDMGAAALAYKCMEVAYLRITYSSHGNINRYKSEFEASLQVIPSGESPSFASDGENPNKTLAAEKVALSTPVRSSPKVTGNHVLSSGNNSSLSQLLTFSQNVSLAMDASRKAQTAFAVAKGKSSDTRYSSNGITCIKRALDFSFQDMEKLVHAKVVEVDPKTWKSKCGTFECLKDILDVLKSDMFFEGVDVSFSSMKLIAKNVSTRSQALQLVCVVADRLKFLLDPKRRSLVEKMIEEIADGFEVNKCFDPDELFDFVYELMYDGLKNKSGFPEDNATIISELALKVLHKRMEKREVNQELIDPFLPLVMGCLSNQTLLPSALRGLKSLLKFPLPSLREEEEGDSLGDRLVGELSYIAAGGMMNISLDCSLSCFAILKWYISKEITMQQMSVLIKFDRLFEDIETDVHCRALSLIEAIIERRFEFEELPHFVSQVSYSLIHSVVEKISLKCQQILLEYLANYTLSDKILDGHIDIMLQYTRCNDQKKARALTMIRAFFSKFSEPGLGSKSKRKYLNKLSSRFFHKDQLLSPLSDDLIQLGKKGNIMRDAQKCVKKEKLNRLEGIILRGMNNTDLDAALMVQSLNWKMM >A03p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:745947:747009:-1 gene:A03p001500.1_BraROA transcript:A03p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGVRVIEPLIMGRVVGDVLDFFTPTIKMNVSYNKNQVSNGHELLPSSVSSKPRVEIHGGDLRSFFTLVMIDPDVPGPSDPFLKEHLHWIVTNIPGTTDATFGKEVVSYELPRPSIGIHRFVFVLFKQKQRRVIFPNIPSRDNFNTRKFAIEYDLGLPVAAVFFNAQRETAARRR >A10p034400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20013781:20016082:-1 gene:A10p034400.1_BraROA transcript:A10p034400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSAFILLFILSLVSEKSLASLFSSRLIHRFSDEGRASIKSPGSFPEKRSFEYYRLLTSIDSRRQKMNLGAKFQSLVPSEGSKTISPGNYFGWLHYTWIDIGTPSVSFLVALDSGSDLLWIPCNCVQCAPLSSAYYSSLATKDLNEFDPSASTTSKVFPCSHKLCESAPACESPKEQCPYTVTYASENTSSSGLLVEDVLHLAYSANASSSVKARVVVGCGEKQSGEFLNGIAPDGVMGLGPGEISVPSFLAKAGLMRNSFSMCFDEEDSGRIYFGDVGPSTQQSTRFLPYKNEFVAYFVGVEVCCVGNSCLKQSSFTTLIDSGQSFTFLPEEIYREVALEIDSHINATVKKIEGGPWEYCYETSFEPKVPAIKLKFSSNNTFVIHKPLFVLQRSEGLVQFCLPISASEEGTGGVIGQNYMAGYRIVFDRENMKLGWSASKCQEDKIAPPQEASPGSTSSPNPLPTEEQQSRTHAVSPAIAGKTPSKTSSASCCFSSMRLLSSSILVLLLVVSYM >A01g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19195200:19196397:-1 gene:A01g506650.1_BraROA transcript:A01g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVLTDEFLYSNEIERVVMTSKRLLSTRKANHIRRFRWNETVVSAFQLKDQLLSELYSRGHFPYEGTEKEVSTLKCLTNCSKVNVFAVDKFWCRAMYI >A03p053240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22848068:22849250:1 gene:A03p053240.1_BraROA transcript:A03p053240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHTLRCKSGKKVRDVLLDEKATEKAKNPSGGTRRCIKIILTRKQLQLLLLNSVEGFSFKLPETYGSCKRKWKPSLQTIVESSSWVFPCRSCDLVLSFNISPYSLYLSLDQQEMEIQARLMEYKFHVMITIIVIVVLSSLVYAAPRILDILAYFWPLFASTAAFLAMAITSGGFQQLSDEATGEGIMDYVAGRPEDFH >A07p017390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10550101:10552901:1 gene:A07p017390.1_BraROA transcript:A07p017390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKPYSTPPLFVTLMKMTIIVNVLFCFLCAIALVSAQQSPDLATMNALRDSLKFPKDFSWTGPDPCKWSGVQCDRSNRITRIQIGNKGMSGTLTSDLNTLSSLTVFEVMGNKLTGGIPSLAGLNALQTINAHDNSFTSISADIFTGLTSLQHVYLDSNPFSPWEIPMSLKSATSLADFSAANCFLSGKIPDFLGGQTFPSLQKLRLSSNSLSGELPLSFANSPVQTLLLNGQTPQKLNGSISVLQNMTSLTEVSLQGNAFSGPLPDMSGLVSLTKFNVRENQLTGLVPSSFTELQSLAVVNMTNNLFQGSTPTFKAKNIAVDITPRLNSFCSDSPGVPCDPRVNTLLAIVEAFSYPVRFAQSWKGNDPCSSKNVWVGITCTGADITVINFKGMGLKGTISPRFADLTSLRVINLSQNNLTGVIPQEITKLTSLATLDVSNNQLYGKVPVFGPNVLITTGNPDLGKDDPGHASGSSGSNAGKVVGYVFGVVLGLLLIGLIIFFVVKKRKQNRKKYQQQHSGEEDALKITIDNLCAGGSESGSNGLLVEPENPVMSIEVLRVATDNFDEKNILGRGGFGIVYKGELPSGKTVAVKRMESSVISGKGLDEFKSEIAVLTKVRHRNLVKVEGYCLESNERLLVYEYMPLGTLSSHLFNWQEEGLQLLEWSRRLIIASDVAKGVEYLHSQAHQSFIHRDLKPSNILLGDDMRARVADFGLVRLAPEGTQSIETKIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELLTGRKALDVKRSEEDVHLVTWFRRMFINKDSFPKAIDASIDINEETLPSINKVAELACHCSAREPHQRPDMSHVVRVLASLLDQWKPDEDISGNDYDAPPPPLLEMIQGTGNDSSFFGDNSLTSIPSRPRQIDNTFNTGQGR >A02p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23442208:23444228:1 gene:A02p039630.1_BraROA transcript:A02p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGEEAVRRRTAAVADYRKKLLQHKELESRVRTARENLRGSKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLGDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDNLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMDILKIHAAGIAKHGEIEYEAIVKLAEGFNGADLRNICTEAGMFAIRAERDYVIQEDFMKAVRKLSEAKKLESSSHYNADFGKE >A07p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24865585:24867083:-1 gene:A07p045930.1_BraROA transcript:A07p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAD1 [Source:Projected from Arabidopsis thaliana (AT1G72680) UniProtKB/TrEMBL;Acc:A0A178WLT3] MEESKPPMSSSGGDECLSWAARDPSGLLSPHTFTRRSVTSDDVSLKITHCGVCYADVIWTKNKHGDSKYPLVPGHEIAGVVNKVGSNVTRFKVGDHVGVGTFVNSCRECDYCEEGQEVSCVKGQVFTFNGVDYDGSVTKGGYSSHIVVHERYCYKIPVDYPLESAAPLLCAGVTVYAPMVRHSMNQPGKSLGVVGLGGLGHMAVKFGKAFGLHVTVFSTSVSKKEEALNLLGANNFVISSDHDQMKALAKSLDFIIDTASGDHAFDPYMSLLKIAGTYVLVGFPSEIKIQPATLNLGMRVLAGSVSGGTKVTQEMIDFCAAHKIYPNIEVIPIQKANEAIERVVKKDIKYRFVIDIENSLK >A09g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28708240:28710803:-1 gene:A09g509850.1_BraROA transcript:A09g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVSDRAGLVSRKEQMKSNAPEIKLSFRDLNYLPNMHGKPGKHVRKEMDLRGEAKAWWNVEKEARWDDEEPIYTWNELKIIMTFKYVPGFQWEEKELDFDFYLEEIAQPDSLPEDSLPMIASEQDGETPVICMYLPDQKKQDEKMLRESSTTPELAHALIDQGESFQSLNCGLLTRSILYFQSSLVEHLRVVKGLQQVVFEPERSLSVSRRSNNFLGQKTVSYKLDLQGSFTPNEQDLKSNVFEGREYRVILSICEWPKLQSEYGDHCARPPEPMLHKNQVKMCAGQGALRDIRSIVLLQHNGLVRTQKQAAKYLHVIGEPILLSDLVEERIHAEISTYEASVGYNKRVTVKIKLIKEKQQPDLEFVGIEQGLNHQIKTGVEKLNKPGAEKLIKLGAEKMIIVSDRAGLVSKKEQMKSNAPEIKLS >A01g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2336904:2339562:1 gene:A01g500530.1_BraROA transcript:A01g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLSHPHHLQICSSDDLLGKSSGCRRLTFQSSGCRRLTWKSSGQRRDYKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQETTSRRLYRKSRRLLGSPDDFQMTSRRLYRKSRRLLGSPDDFQTTSRRLTIWCFQVKEIRVGLESFSLGKKHKNLPKHSEKSRRIEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFQEVQTTNRSEKPANSKPFK >A05p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28000813:28007388:1 gene:A05p047560.1_BraROA transcript:A05p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVSDPDKINNQKEAGPSFHCPLYDTQLVHKISLTFLPGLATACVDNTTGDIFKTPGSVAADVKQEMIEYLNHRSETFVADHILLSSSSEIEPSSHDPYDVVSDFVDDFATSKRNLFSRVSGWLLSERREDNIDDFAQEMEVSGFWLNDHIEGIAQTLLKNVDFKGLSHCEMKFQTQGELEEHVLTCGYRTMDCGNEGCNAVFCANQRESHDAVCPFKIIPCEQGCLESGGIMRREMDRHCITVCTMKLVNCAFRGVGCLDDVRQCEVQQHYLDSVGSHLMCVLKGIYKEASLDDLKPRAEEIQQLSTRLSEARNARALTNLVKEIDAKLGALVIKPKKEKKALEEAEIKGKPETVSEKIPEDDVIAKEADVVVDDAMVEEVVKKVSEAEIAENVDKEGELKAQKLLEMDEFIKEGEDSSAADLAERTETKAPEVVVMDEDKEEEKSPETRTNETRGVETEANDVIDEENHKEAKISDETESEAPSKIVMDKEENEERVETKQTRTYETRGVETEANDMIDEENNKETKISAETKSEALMDKKGNEEGAETKQTRTNETRGVETEANDVIGEENNRETKISDETKREAPSRIVMDKEENEEGAETINSSASASDEAEALSKSSEASGRVRVFVWLVASMELSISHSPCLRFSSSSPRFLAASSHHHHRPSLHLAGKLLSRPKDVGFTSLSSSCMRALVARALIENTHLIKWSLVLKALSGLLALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVAGLTVVGVNFGPFITCLYSLGLFLGTIYSVPPFRMKRFPVAAFLIIATVRGFLLNFGVYHATRAALGLSFQWSAPVAFITSFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYISAISLAFYMPQVFRGSLMIPAHMILASCLIFQTWVLEKANYTKEAIAGYYRFIWNLFYAEYLWSQNHSVHAFLVSHSAVTLTQRNRLTFSAMAAAVEIDAEIQQQLTNEVKLFNRWTYDDVSVTDISLVDYIGVQAAKHATFVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDANPIQVIIDAIVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIFLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >A07p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18839065:18842988:1 gene:A07p034730.1_BraROA transcript:A07p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPSSKSFNTHLIFPRAFDDHPDSGVCSPPLWRTSPPKSPQANHQNLSPVSKAQVIARGQRELMEMVSKMPESCYELSLKDLVEVNTEEEEKDRKVFDEMPQRRKMQSKVVRKSKSDRWVDPVRNRGVNNSGFLLNLGFPVSLGAKKKTKKKDDDDGSVTSRGSWVSPRPSISEDKDWWKSESSRSQREVSRINSGGSKSSVGSSSRSNSDRSRSNRDRSRSSLRYYKMANDAAAWAERATNDMLIDPDWDSNIELCDINMNPSQAKEAMMVLKKQLGNTNPKVQILALHALESLSKNCGENVYQLIVDYDILIDMVKIVKEKPDLNVREKVLSLLDMWQEAFGGRGGQYSQYYNAYNELRYAGIEFPPRTQSSPPLLPPPQTQPVPSEEDVAIQALLLGDDVSSLSMEEILRAEGCLEHLIPGLKVDFIVDLVEQCRTYQRRVMTLVNTTTDEELMCQGLALNDNLQCVLQHHDDRGSRGSMTTPPVQLVNNNHDDDSDESDDYFQLSHRSNRNLDASSSTTTTYETST >A09p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3654795:3669014:1 gene:A09p007000.1_BraROA transcript:A09p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVLDSSEQNLDNNTASLETTMMPPSPDDQNPESNSSVETPNSTKGSEGDLKSEVTQMDVKFSKLNPMAREYVPQPLAPTLPVFVENSLWFTNSYAMQPVFVENSLWLTNSFAMQAFSAEDNDLFDTRRMNFGQWKQRMSKKTSLAQKEEVIRRTVHVLDIDQQVTEEQLAGLFQSCGQIVDCRICGDNKSSLRLAFVEFADEEGARSAVSLSGTLFGSYPIKVRLSKTAIAPVDPSLLPKSQDEREKCAKTVYCTNIDKKVTQMELEDFFKTACGEIQHMRLIGYCHHQTYIAFVEFKLVESAVSALNCSVDSCDQNLDNNTASLAATMMPPSPGDQNPESNSSVETPNSTKGNEGALNSEISHMGVKFSKLNPMAKEYVPQPLAPTLPVFVENSLWFTNSFAMQAFSAEDNDLFDTRRMNFCQWKPRMSKKTSLAQKEEAIRRTVHVLDIDQQVTEEQLAGLFQSCGQIVDCRICGDNKSSLRLAFIEFADEEGARSAVSLSGTLFGSYPIKVRLSKTAIAPVDPSLLPKSQDEREKCAKTVYCTNIDKKVTQMELEDFFKTACGEIQHVRLVGDCHHQTCIAFVEFKLVESAVSALNCSGIVLGGLPLRVSLSKTPVRLDQPDLN >A08p009290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7346928:7349204:-1 gene:A08p009290.1_BraROA transcript:A08p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT4G13345) TAIR;Acc:AT4G13345] METGASSDKNGYEVIKNGSWFIQFRNGYNPWMARYVYGLMFLLVNLLAWVVRDYGRGALTEMKKFKNCKDGLNCLGTEGVLRLFYFIMFLSTVATSKTHSSRDKWHSGWWFAKLLMWPGLIIFPFLLPSSIIDLYGEIAHFGAGVFLLIQLISIISFITWLNEFFLSQKDAERCHVHVMLLATIAYTLCILGVILMYIWYVPDPSCLLNIFFITWTLFLIQLMASISLHPKINAGFLTPSLMGLYVVFICCEPVGEICNRNAESSSRTDWLTIISFVVALLAMVIATFSTGVDSQCFQRVALQFRKDENQEEDAIPYGYGFFHFVFATGAMYFAMLLIGWNIHHSMKRWTIDVGWTSTWVRIVNEWLAVCIYSNLDVGGSNDTEEQTNNIRATCHLSVL >A02p035270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19249726:19250715:1 gene:A02p035270.1_BraROA transcript:A02p035270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRTGGDRVKLGSVRSAVEFQTTLLPPAKRQRIITMNVPWSSYNFCIESLTQKLLCAKCSYTPFLFLDLETDWVMRRRKSFLEVFSTRFLQNCNTILWNDGFTCRPYAIAEPGFNMPCNYAENIIGNEPSSCLSKESSVANFCISLQHLIHTINKRHLLLELLKHITACQFHANYPQRHI >A03p064270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27918166:27920526:1 gene:A03p064270.1_BraROA transcript:A03p064270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMLATIKSTTTGYLKLHLKFSVSVSQFVRGRRRIHQTLLCTRDPISSSSRVFAAASNTSNLVEEFDPEIPVERALTPPSSWYTDHQFHRCELDRVFYGGWQVIGYSDQIKANRDFFTGRLGEVEFVVCRDDEGKIRAFHNVCSHHASILASGSGRKSCFVCPYHGWTYSLNGSLVKATRMTGIENSALNEMGLKPLRVAVWGPFVLLKVTQDKSKKKEDVESDGLVASEWLGSSVGRLSEGGVDSTFSFICRREYTIDCNWKVFCDNYLDGGYHVPYAHKGLMSGLDLETYSTTLFERVSIQECGGGGSKAGEEEDGFDRLGSQALYAFVYPNFMINRYGPWMDTNLVIPLGPRKCKVVFDYFLDPSLKDDEAFIRRSLEESKRVQMEDVVLCENVQRGLESPAYDKGRYALVEKAMHHFHSYDKSLQQLQGFLSGLVAEEKLEKLEFRDG >A01p004580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1958852:1961424:1 gene:A01p004580.1_BraROA transcript:A01p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATASSAISVATKPLKSLSLSTRSPLPSAASVAFPTPRRRLVLVACTTGDGSKPTILVAEKLGEAGVKLLEDFANVDCSYNLTPEELNTKISLCDALIVRSGTKVGREVFESSRGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAEHGIALLAGMARNVAQADASVKAGEWKRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMRVIAHDPYAPADRAHAIGVDLVSFDEALATADFISLHMPLTPATSKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTKEPPAKDSPLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVILAEKLGRLAVQLVAGGSGVKNVKVSYTSARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADFTAKQRGLRISEERVLLDGSPENPLETITVQLGNVESKFASSLSESGEVKVEGRVKDGVPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRKQAIMAIGVDDQPSKETLKKIGEIPAVEEFVFLKV >A03g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3161807:3165116:1 gene:A03g501000.1_BraROA transcript:A03g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTIVMMMRRSLVYLLGFLYLLTSVNGLLSSNGVNFEVQALMDIKASLHDPHGVLDSWDRDAVDPCSWTMVTCSSENFVIGLGTPSQNLSGTLSPSITNLTNLRIVLLQNNNITGKVPSEFGRLTRLETLDLSDNFFLGEVPFSLGYLRSLQYMRLNNNSLSGVIPLSLSNMTQLALLDLSYNNLSAPVPRFAAKTFSIVGNPLICPTGKEPDCNGTTLIPMSMNLNDTGAPLYTGRSKNHKMAIAVGASVGTVSMIFIAVGLFLWWRQRNNQNTFFDVKDGHYHEEVSLGNLRRFGFRELQIATNNFSSKNLLGKGGYGNVYKGILGDNTVVAVKRLKDGNALGGEIQFQTEVEMISLAVHRNLLRLYGFCITQAEKLLIHQEKNLEVLVDKQLLKNKSYDEIELEEMVRVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQRSDSGSKYSNRIFEISDVTLLDETKDLGASNRRHLAALYCGATSGFELVHGLVDRIMEVMGVPFLPNGDKTGYHINRSEEPEFLPGGQASIIYKGEHIGNFGIVH >A07p033360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18242243:18243135:1 gene:A07p033360.1_BraROA transcript:A07p033360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNETKGNGASSLGGGGGFRAKMEHYVYSGEKKHVLAGIGIFTVIFGIPWYLMNRGSNNHRSHQDYMEKADKARKARLSSSPSPSSDK >A02g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9048750:9049305:1 gene:A02g502690.1_BraROA transcript:A02g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCSKGYGTSRIYLGLRAMRFLVFYLSLILGGKHSKGDDCARDCREKMQIMVAGGDGTGARVRQSLIQK >A02p054000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32850089:32855171:1 gene:A02p054000.1_BraROA transcript:A02p054000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVKEEKSLEEGLLKLKNQNDASGCRITACVILSTFVAICGSFSFGVSVGYTSGAEVGMIKDMGLSIAEFSAFGSFSTLGATFGALFSGKMAIMLGRKGTMWVSDILCITGWLCIAFAKDVLWLNIGRFSSGIGLGLISYVVPVYIAEITPKHVRGTFTFSNQLLQNCGLAMVYFCGNFINWRMMALLGALPCFIQGIGLFFVPESPRWLAKASTDKELENSLLRLRGRDADISSEASEIQVMTKMLESDSKSSFSDLLQRKYRHTLVVGIGLMLIQQFSGSTAVLCYANTIFRKAGFSVAIGSTLLGIFVVPKAMIGLILVDKWGRRPLLLASASGMCLFCMFIGLAFTLQKMQLLLELTPVFTFICVTLYIASYAIGVGGLPWVIMSEVFPMNIKVTAGSIVTLASWSSSSVVTYAFNFLFEWSTQGTFYIFGAVGGAALVFIWFLVPETKGLSLEEIQLSLIREPDEINHT >A06g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18866935:18868312:1 gene:A06g506770.1_BraROA transcript:A06g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDPVIRGNNFLWLHLCYRLTIFSNSHSECSEDEEATCKSRSTKKMGIQRCRGRMMLSVRDRSRKRRIKSLTSLAKKRAFQIRMELGEEIERGHFDYGCSPKFKKERLLLNASQNLRFMLQLALGLHAL >A01p050450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28415548:28415864:-1 gene:A01p050450.1_BraROA transcript:A01p050450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLYFVLMAVFLLFSVSKIWWETPNKRRVYSTMELVDKLFKSGKALLHIGFDEDQEYFKSYFLL >A06p042360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22761014:22765849:1 gene:A06p042360.1_BraROA transcript:A06p042360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G23880) UniProtKB/Swiss-Prot;Acc:Q9LKF9] MGTSVQVSPLCGVYNENPLAYLVSIDGFNFLLDCGWNDLFDPSLLEPLSRVASTVDAVLLSHPDTLHLGALPYAMKQLGLSAPVYATEPVHRLGLLTMYDQYLSRKQVSDFDLFTLDDIDSAFQNVIRLTYSQNFHLSGKGEGIVIAPHVAGHMLGGSIWKITKDGEEVVYAVDYNHRKERHLNGTVLQSFVRPAVLITDAYNALYTNQTQSHHRDTEFLDTISKHLEVGGNVLLPVDTAGRVLELLLILEQHWSQRAFCFPIYFLTYVSSSTIDYVKSFLEWMSDSISKSFETSRDNAFLLRHVTLLINKTDLDNAPPGPKVVLASMASLEAGFARDIFVEWANDPRNLVLFTETSQFGTLARMLQAAPPPKFVKVTMSKRVPLAGEELIAYEEEQNRLKREEALRASLVKEDETKASHGPDDNSSEPMVIDTKTTHDVVGSHGPAYKDILIDGFVPPSSSIAPMFPFYDNTADWDEYGEVINPDDYVIKDEDMDRGAMHAGGDVDGRLDEATASLMLDTRPSKVISNELIVTVSCSLVKIDYEGRSDGRSIKSTIAHVSPLKLVLVHATAEATEHLKQHCLNSICPHVYAPKIEETIDVTSDLCAYKVQLSEKLMSNVIFKKLGDSEVAWVDSEVGKTESEKRCLQPMASAAAPHKPVLVGDLKMQDFKQFLASKGVQVEFAGGGALRCGEYVTLRKVGPTGQKGGASGPQQILIEGPLCEDYYKIRDYLYSQFYLL >A03p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6087101:6090433:1 gene:A03p015330.1_BraROA transcript:A03p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRSRSAATTAARSLGYLRHSSALVHSPLLSGATATPVRHFTSPIVNPHLASYGVENPIYAVMQLAQTTMRSELGKITLDKTFEERDALNVKIVEAINVAAKDWGLECLRYEIRDIMPPNGVRVAMEMQAEAERKKRAQILESEGERQAHINIADGKKSSVILESEAAKMDQVNRASGEAEAILARAQATARGLAMLSQSLKETGGVEAASLRVAEQYIQAFGNIAKEGTTMLLPSSAANPANMIAQALTMYKSLVPNGGIQETSAVESSDQKGSFIVCFTVLPIVLCLFFFANTTMGACASTPKESDILETPATTENAVVESKNVQTEAVSQEKADEVVAEKKEESNVDESEIQKEAEPAKPAEVEPAPEAVKTEETQEAAVDEKASSGETEPPKQEEATTASDTKTNEEPLVTL >A05p025210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12288700:12290019:1 gene:A05p025210.1_BraROA transcript:A05p025210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLVLKGTMCAHTDMVTAIATPVDNSDMIVTSSRDKSIILWKLNKDEKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLATGASTRRFVGHTKDVLSVAFSTDNRQIVSASRDGTIKLWNTLGECKYTISDQGEGHKQWVSCVRFSPNTLVPSIVSASWDHTVKVWNLANCKLRNTLAGHSGYLNTVAVSPDGSLCASGGKDGAILLWDLAEGKKLYSLEAGSIIHSLCFSPNRYWLCAATENSIRIWDLESKSVVEDLKVDLKAEAEKSDVSAGTGNKTKVIYCTSLNWSADGNTLFSGYTDGVIRVWGIGRY >A05p002770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:970115:971677:-1 gene:A05p002770.1_BraROA transcript:A05p002770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDFGNGLSFAAIVPTVYKREDYKRTKHDAVFSNWKVLIGSEDWEDFKKGKDGVGRYRVQNLPRRSCPGLYELGVAVIDQARKLDSDDVLAAYLGQAESVRSRLQSYGRSGAHLRTVNNNNLDGCCESPPDKKAGLFEDVFMKHGSVVYRWALMGSKREAEATEGMLLSTFDYAWNKGSNGERRQLDLLNKLGDLKFIRNRNSGVSRVLFPYVVEEERKQSCDVVVGEEEKSTSTNFLTSVIKLSRSRPQPVSDKSDEIFSGGSCCSDSVCGVVLENGDCCSRSPVKGRKRCLEHKGQRICRVSPVKPQPETFITRRQDYNTDVVTTCGVILPNMEPCSKRPVPGRKRCEDHKGMRINAFLFLLNRTDREKTVKEEASKPSFCEATTKNGVPCTRSSPKGSKRCWQHKDKENIHPVVAAETQVTCGVKVFDGLVCERSPVKGRKRCEEHKGMRVIIMT >A07p017400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10560790:10562682:1 gene:A07p017400.1_BraROA transcript:A07p017400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIPTKGILKSEALKKYIFETTAYPREHGELKKLREATVHKYGKLSEMEVPVDEGQFLSMLIKMMNAKNTLELGVFTGYSLLTTALALPEDGRITAIDIDKEAYELGLEFIKNAGVDHKINFIQSDGLQALDKMLSENPKPEFDFAFVDANKTKYADAYERLMKLVKVGGIIAFDNTLWIGYVAEEEESVPEHLRVCRKTLMELNKQLASDPRIELSQVSIGDGVTLCRRLV >A09g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14670059:14676502:1 gene:A09g504840.1_BraROA transcript:A09g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRMSNVVVKNGASTRSRTCRDYTKTIKRALELLLLELYRIDARCKAMDRKELISSSRRTTSAEKNPQQSGEVSAMTVTEETSCSWIAGELAFGQTQDLHLGAVAERIRKRSRETQTHQNFPYLRAHLERLKLARAKEATGPPSYQSKARKSPALPEAEQARADFSTRVTETLLLARKLNCTDANNASAA >A10p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16769997:16771669:1 gene:A10p026400.1_BraROA transcript:A10p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSIPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEDY >A09g510460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31740480:31741524:1 gene:A09g510460.1_BraROA transcript:A09g510460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDLVHQLSKISTRTVTGKGQDADMCGQHADMSSVYGSVHGSVHGQSTGRASMLICVYTDQYTDQYTDSPRTRTVHGKGQHADMCGHHADMSSVHGSVHGSVHGRASRLICVYTDQSMDSLCVLRTGTTSCVCDGTSCGVTENRQPTWPKSPEQSTGRASMLICVLTDDHGRPVCADGRPVCTDGRPVCADGRPVCTDEHTDTHGRHVCADGRLVCADGRPGTSSVY >A09g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7363886:7367417:-1 gene:A09g502050.1_BraROA transcript:A09g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVDGYLQIHASKLKPQRLHQIAETDAEQLVKKARTMTTESSMKDAFFLYADYLNNFKSSMLYGFLFPTMRNERERERVVKVSRDITMNSKKVIFQVHRLSKDNKEEVLEKAGKDLEAVREQHFSRLMKELQGTDFWKLRRAYSPGVCQRIITNHSSFKSMVNDGKFINLISLFPFNLEFFIIIIAGRSWKIIVATPMVAGAAVAAAAIAGRYGIHSWQAFKARPIVPRMRKFDEERQGGELKGVDMLLLDAKTRWPQSSRGQSTFKLSSLFQHILQEGLVYGLSATAEPSPEESFRFCKYESHVICQILLERLGASNPCKLIERFVFLQPSSSSPYDSLNESPPDQKIPFHHEMAQVPEFPSKFFFYCEVEPNSGGETPLVLSHVVYERMKEKHPEFVQRLEEHGLVYVRVLGEDDDPSSPIGRGWKSTFLTHDKNVAEERAAKLGMKLEWTEEGGAKTVMGPIPAIKFDESRNRKVWFNSMVAAYTWWEDKRNDPRKTVTFGDGEPLPEDIVHDCLRILEEECVAVPWQRGDVLLIDNWAVLHSRRPFESISEQATTSFYTIPLTLLFFYQSNKAQSTQRLMAAIKLDDR >A05g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10493923:10495340:1 gene:A05g503630.1_BraROA transcript:A05g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPVSQTGISGVYHSTFESLRLGRSSQSIASGLIRFWDSLNFKKDNSVIHEFTPAGRTNHYMPSLKVGFIVKIDRFEVASCLSMYKITDHSFLICFISPTIIDEVATSAPEISHKLFDNLQVIVNTNLELPNVVGQICYVQGSDSPKKQLESLSASLLIRKKQSTHNSLYIIIYILETNTTTPGDTRVPTDSKATTTFQHAHSSCLMKIAYISNVNTLILLSSYEKYINSFEIH >A08p027290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17259880:17260117:1 gene:A08p027290.1_BraROA transcript:A08p027290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKFITEEFAKAQGIEKPIIIGTSTEVPHCKQKTCIKAKFFGGNCVPLPPPYNNFVIHNNYK >A06p055490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28968182:28969873:1 gene:A06p055490.1_BraROA transcript:A06p055490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSDDEDECFARFLESEVSSVEDEDKTKEPEPKRQRIDKDKAKAVDKDGDQKEDGSNRDRNVVKRIESGVFSKVPTELFRHIFKFLSSEVDLLSCSLVCKFLNFAASDESLWRRLYCIRWGLMPSTRMLRECAWKKLYIDRDEKDMIELVRSCPTDFKEYYIQMQAAKRSQAPLVAQMVDDQIVLDKTVLDKVSMWKKSKGLPDKAVVGHVCLGTKCDYHQIDDVFICQETGNVHVCDDNCKEVIFCRESGNMVCTISGLCSESLLVQDDSDADEEEAEQEAEVLTGRGRFGRAFEFGYNCESEQELDRSFGFC >SC122g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:482350:484690:-1 gene:SC122g500250.1_BraROA transcript:SC122g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIQEGAQTKTEHSWLCEEEGYSIKAASIVRRVIAIREEEEVRIQVVHKEWDTCNSPTTKNVKTKVLCHCISSLGHSLVYRKCSMGHYAMRGVSCETLYGDSNTLVPVSVLSLRGSLNAYDDPWQEAVQSSLGEYHCLSLTKDVPGQFLASLRWLRSLLRGGDPNHFSKMAVKSVERGRLQTGSMKR >A01p024520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12175053:12176929:1 gene:A01p024520.1_BraROA transcript:A01p024520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGQVMCVLLMCYIFGHIITSHNCGFIEARISSKFGDLEIEKKLRTINKPAVKIIKTIHGEKYGCVDFFKQPAFDHPSMKNHTYHYKMRPISHSEEKRKRETNNTGFGYLWENGVGCPIGTVPIRRITKDDILGLNSLEDIYTPRSSYNTSTVGTSDPYYDQHHFAVGRTPNKGMVFNGATMELCITAPKVKPSQFSSARLHIQMGDDFIQMGITVNPLLYKDDQPRLFVYTKAGGQQCYNHQCDVGMISVRQDYPMGLSMLPASERGAKTSYFSSFGLIKDKANGNWWFEFGTDAEEIGFWPSNLFRQSSGNYVEWGGEVFTASLPGPQMGYGVFPFEQVRYDAYVKRVSILDVNYNFDTKVDYMESFSDDNGGYKVIDFVKSEFQDAGHIIFYGGSGLNH >A10p034590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20086612:20088089:1 gene:A10p034590.1_BraROA transcript:A10p034590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDDFRTVMDNAGVDVWTFIDTAILVASLDYGHELKQRRDNIVERLYATSMANKCRNCDYGGGGGTVVEDRANGRVHEEETEEEEEEEGREKAVNGDDEEDDEDPFAGLFDDEQKSILEIKEKLEEPNLTEEALVELLQNLEDMEITFQALQETDIGRYVNRDRVRKHPSNEVRRLAKQLVKKWKETVDEWVKFNQPGDLHPPSLIADEDSPQQRAVHQQVPDFGYSPVPQASKHSNITKPERKPRPVAPPPPRRESPSPAKPSRPSPSQQQPIQREKEHKEIDFDSARKRLQQNYRHAENAKKQRTIQVMDIHEIPKPKKGGFFPRKGGSSQGGGRHW >A05g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27749119:27749629:1 gene:A05g509240.1_BraROA transcript:A05g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSRFLRISTTKNLKMELGSSSSRKSRNSGHKLCFCGLKASINQAWTDKNPARRFYGCPRFKFGNGCKYFSWFDEEEGTEWQKKALIEARDEIRKKDRIIEQLKVTIAEMRSDLEKKQMETVKDEDEIVRQFEECFV >A05p048740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28477108:28478040:1 gene:A05p048740.1_BraROA transcript:A05p048740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEALVLTENVPNRFETIASYLEMPLEVVKHHYDALVHDVEVIESGPIDYQDHPDGILSPETKHVEKDKKRGRPWTAKEHGEFLKGLDEFGRGDWKSVSRKYVKTRSARQVASHAQKYFQRQDMENHAKKRSSIHDMTLVDDNDADVNE >A10p012060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10557661:10559222:1 gene:A10p012060.1_BraROA transcript:A10p012060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFTFVDLSEKRSGGIIRDLEVQIGNALVPVDFHVLDIELNWNSSLLLGRSFRATVGAVCDMNKNKLCLTLIDLNIYYDPIQPKRKVINSVDYGKELGFIGACHCGAEYESEYLESIDTPTFPSIDSNESTVTDDRNNTSLDVDQPVDHFAPPNHCYPHFASNLQAREDEHTEEYDEDYWKEHAIEMYLQDERFETHKFTTTFPTSLDEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYIKRFAPLKPPPHTRADTKSEKMNTLPSTSIGKSMKSNHLKNTSSAEIILPSIDASVSTSIDTSVSTSIDTTLTPNLSISKLNDNANIDYSFLTPDEFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRDTPDVIQTDPNKHVGVAATEINPDQSCQPKGHASIDRTTQTSIDRITPTSIDKDVHKYHRSMSRHWTCDILDPADLKPKAKPNYENALTSF >A02p016740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7533814:7535618:-1 gene:A02p016740.1_BraROA transcript:A02p016740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWQFIKHFPCTNLSRHILRVKPRRCFTSSTPPPSSFSVTACLSTSSSSESFADAPYLSVRIHCPKHVVDPFSEALLCFGASSVAVDEDDEEDEDVTSGSSLASKEICIESIFPVNEEVKMCISQAANSIGLKEIPKFKVELGDEQDWVTKNQESFQPVEIAERLWIVPEWISPPVAEGVNIILNPGLAFGTGEHPTTKLCLLLLQSLIKGGEAFLDYGTGSGILAIAALKFGAASSAGVDIDPLAIKSASHNAALNNIPPEKLELHLAPSDNREMQLGKEQFDVIIANILLNPVMELADHILSFAKPGAAIGISGILSEQLPNVKERYSPLLEDISVATIGDWVCMSGTKKRS >A09p009830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5036756:5036917:-1 gene:A09p009830.1_BraROA transcript:A09p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIAILVVEEYERRVRQQEDSKAATVDFDWWKKLPGKMMTIGVDEKKIESFM >A09p073180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55901746:55904615:-1 gene:A09p073180.1_BraROA transcript:A09p073180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSWADQWDNSAKGGRIGGGAVSGGGGGAPSTSKTAKYKENLGQGLDKTKALASSGFKKLKTGSAIAKVKSPSLLFSSVPFQSLINRPKTAVTSNHQRTRNPKSPPTSVALDGDEEEKKKMSIEMPRGLPFSVDTFGPNTTTKRMKRHHFLTHAHKDHTVGISPSNAVFPIYSTSLTISLLLQRHPQLDESFFVRIEIGETVIVDDPDGGFKVTPFDANHCPGAVMFLFEGSFGNILHTGDCRLTRDCLNNLPEKYVGREGKAPKCCLDYIFLDCTFGKSSQRFPTKHSAIRQIINCIWNHPDAPVVYLACDMLGQEEILFEVSRTFGSKIYVDKATNLECFRSLMVIAPEVVSEDPSSRFHIFSGFPRLYERASVKLAEARSKRESEPLIIRPSAQWYVCDDDEDDYSQSVSQVQKKRKVRFNEAVKDEFGVWHVCYSMHSSREELESAMRVLSPRWVVSTVPSCRAMELDYVKKNCFISRFSSDDPFWKLLDIDMEVSSTTDTQTVTLSCCVVSDVDCTNTKMEPVIEASTIKKQLLSLSPEKNNLPVTLFGRARLSSQVSDQLHERKVIHSACLEKLNLEEAAEYDTKQETVEEVSCRSFSSSSSSKESRRDLSGELRKLYRSMNAPVPRPLPSLTELMNARKRSRKSFML >A02p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10115820:10117309:1 gene:A02p021360.1_BraROA transcript:A02p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHLSLSLFSCLLLVLGPTFIDSAHVSDPELVVQEVNQKINASRRNLGLLSCGTGNPIDDCWRCDKKWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDPSDKDVVNPKPGTLRHAVIQDEPLWIIFARDMVIKLKEELLMNSFKTIDGRGANVHIAGGACITAQYVTNIIIHGINIHDCKKRGNAYVRDSPSHYGWRTASDGDAISIFGGSHVWVDHCSLSNCADGLVDAIHGSTAITISNNYLTHHNKVMLLGHSDSYTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWQMYAIGGSAAPTINSQGNRFLAPNDHVFKEVTKYEDAPQSKWKKWNWRSEGDLFLNGAFFTPAGGRASSSYAKASSLSARPSSLVASVTGNAGALYCKKGSRC >A01p037840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15132159:15134474:1 gene:A01p037840.1_BraROA transcript:A01p037840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRKRKEFISEEDMATLLQRYDTMTILKLLQEMAYYAEHKMDWNEMVKKTSTGITSARDYQLLWRHLAYRDSLLPNAQPLDDDSDMECELETSPAVNVDAVSEAVAHVKVIASSFVRSDLDIPEDSTFEAPLTINIPYGHRGPQEPSDSYWSSKGMNITFPISLQKAAEGHNGNGLASSVAARKKRKKWSAEEDEELIAAVKRHGEGSWVTISKEEFEGERTVSQLSQAYLQCVHPVPSREHKPMVPTMVIHCKVNNSLSQ >A10p017040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2669537:2669797:1 gene:A10p017040.1_BraROA transcript:A10p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPWVLWHLWKVRNGLAFENRVEDPLSIARRAFEEASSWIDAHKLRQEVEVTTTKGDQEVGWKKPPVGCLKFNIRLLLDLTQHAQ >A06p049440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26127184:26129299:1 gene:A06p049440.1_BraROA transcript:A06p049440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB118 [Source:Projected from Arabidopsis thaliana (AT3G27785) UniProtKB/Swiss-Prot;Acc:Q9LVW4] MEFESMIKMHYPFLAAVLYDENLPLKDFHPSLTGNVSCLQNMHHKPFQVNLDRSHLTSIINLSPDLYHENLTSKISNTTSDHIPNLNIPPYHFDIFKGITPSPCIGTFEVYLQGISIKPDIFHLVYNTSSPPKDLPNILHAPSEITMWGNDNPQGIIFGYEPIFNLAMADSNLYNAPKPMCLLSPNEDAVMNQRQNNQILIKTDQIKRNKRFQMRRGCKPVKKASIIKGQWTSGEDRLLVQLVEHHGTKKWSHIAKMLPGRVGKQCRERWHNHLRPDIKKDGWSEEEDRILISAHKELGNKWAEITRKLPGRTENTIKNHWNATKRRQQSRRSKGKDKTSLAMCSSTLQNYIRSVTYNDDTLRTNATFDANANIKVSTKNMRSKGKVVIIAASDYDKSNECKYIIDGVMNLGLDDGNDTSSSAVTSTSGSTARPGSGLTAEFDESMTDSWMVMHGCDEVMMNEIALLELISHGRL >A02g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13427377:13428064:1 gene:A02g504060.1_BraROA transcript:A02g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCSKGYGTSRIYLGLRAMRFLVFYLSLILGGKHCFGSKNSDSCVKFSWFGVFETFAAKGDDCARECREKMQIMVAGGDGTGARVRQSLIHK >A06p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6600467:6601181:1 gene:A06p014780.1_BraROA transcript:A06p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYAKEVIKKGESCPKGSLSLSLELHILYLLEILKKGKFFLNLGKEKDCKTPLSSRQVSLGYI >A02p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10736986:10738097:-1 gene:A02p022530.1_BraROA transcript:A02p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP domain protein 12 [Source:Projected from Arabidopsis thaliana (AT1G68800) TAIR;Acc:AT1G68800] MFPSIDTNGYDLFDPFNLHEATMLPSFTTHILSPSSHHHYPFPSFPSSSDFLDESVLISQFLLQQQADVDSPRKLRRELEQEKKNEEWVDGTTSEKVSPRRTGKKRDRHSKICTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLISKSKASIKQVKESAAASGNHEHLQVSDNVNDETQKVSKGRTKRVDDSCKKKQSREKARERARERTMTKMKMRLSGLIDTSKTFADPNQESRKTKIIGGAQERENLEQERSIIEKFLGLTSESSSSTIFGDSEESYTSLGSIRETVSTTGMVIPREHNTTSTASVDEERNPISTFSLYDYLCY >A02g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17984443:17985338:1 gene:A02g506330.1_BraROA transcript:A02g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTTVMELKKDDLLLKERLSKLAILDTLLAKPGPLSEPEEVVKNKLLALQPSDSAEYGGETSEYSETEDLIRRDQEELSLQYGDTAQYPPQYPPQPEVEFGFPQVCYCGGAPKLATSYTRLDPGRRYYTCEHVDDGECHVWKWWDVAVMEEMRARDKHVLQLEEKVDNLNLLSDYETDEKVLRLEQLVCDLAKKESSFINGFEVFIGVMLIVLVVLGLVIGLK >A03p066150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29268922:29273868:-1 gene:A03p066150.1_BraROA transcript:A03p066150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDKELENQILEAGEQLTDPPSSLDELLLLLDKLFVWLIDVDQSPHESMQTALSPLMKALVAGKLFKHSDDDVRVAVAACISEITRITAPEAPYDDDQMKEVFKLIVSSFENLPDCFSRSYSKRISILETVAKVRSCVVMLDLECDALLIEMFQIFLKAIRDFHPENVLSSMEKIMTLVLEESEDIPPKMLSPILHYVREDDEVPQVARGLAERVLSTSASKLKKYLTEAVKLSGVSLDKYSKIVASICEGTFSALQHDQLVENEKEDSQGHLEKEAEVEAYISSLKSALRNKFPQQDKQEVIATPERTDAPKDESGKSGVSNGVAQQNDSSVDTESTKKQDDTNAKDDNPCNTDLDNTSEEKPDVEHQPQEKDPSSAIQVESSKTSDIKEEAEPGALLESKDVLSSPPGDSSVKAAISSENDKETNVQALPSKTSADETADVSSPSRGEDLVEENRPKETENDKETSVQALPSKTSAYETANVSSPSRAEDLVEESWPKKTENDKETSVQALPSKTSADESADVSSPSRAEDLVEENRPKKTENDKETSVQALPSKTSADETTNVSSPSRAEDLVEESRPKKTEDDKETSVQALPSKTSADETANVSSPSRAEDLVEESRPKKTENDKETSVQALPSKTSADETANVSSPSRAEDLVEESRPKKTANQKKKKSLTKEAKPSAVSATEEASEEPNTSEVKVTKNSRKKVAFSSKTKSTVPPKKSTSETKAAKQSEKKVVESENVQESSKPKEEKKKPGRGKAMDEDSLDTSSGDSEKPAVSSGKSASKSKKEVKQPIEGSPNTNTKRKRSLGKEKASDLQSHGEDLVGSRVRVWWPIDKAYYKGVVNSYDSAKKKHLVIYDDGDQEILNLKTQKWHFLDESETEQGEEAADQTGHEKEASTEPQRKKAKTVKQSKMESSGKKGGGAGSSKSKAAPASKPGKKSKDEKTESKPKDPKEASREEEEDSSEELSEEEETPKTVGKLGTSKSKKEISKSGTSKGSSKKTTTTPKSKPGGPSKSSSAKGKAAKGKANSTPASKGEESDAESESEEKPKAPEPATKGKPVGSGKSQAKSGKKRKR >A04p019300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11548715:11550780:-1 gene:A04p019300.1_BraROA transcript:A04p019300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPASMWSSIVKKDPPAKPPTTDVAPSAILGMVGNCKSTKGISVAVVDANAVIDGSQSLTNFADKFVTVPEVLSEIRDPNSRRRLEFIPFVIETMEPSPESLSKVIKFAKATGDLQTLSDVDLKLIALTYTLEAEVHGTKNLRDVPPPIQTVRVKRLPEKELPGWGSNVANLEEWEALENETEEKSNTTSKILPLKDLNMNILPPSDSCSEVGDVASQTEGQEEDEEEGGRRQKRYPPKKTEVKIEGKMVVEGIDASQGGNDDDEDGGGEWRPAVSSSTHRKFLRRKAKWEHYNALAEKEFQKDQEADKAGEKKCAKDSGKDDEELSSILNDMRVEEDSLKALQEEPEETNGEDDTEVDIASEGGDTFEASSMADDGSSEQSWSLRALSESSVACISGDYAMQNVIIQMGLRLLAPGGMQIRQLNRWVLKCHACYTVTPEIGRIFCPKCGNGGTLRKVAVTIGENGTIIAARKPRVTLRGTKFSIPMPKSGRDAITKNLVLREDQLPQKYLHPKTKKKASKAGDEYFISDDVFMNHHSDKKAPLQPPVRKAMAVFSQKRNPNDNHYSRSMH >A07p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3780139:3782778:1 gene:A07p002530.1_BraROA transcript:A07p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAFNTMSETTVELCLELSEDDPFYQHKKKLLSSKGLGVKETVSLSGPLSQQLLNAALEKLLQFGRIVNLDKVEVYFGDDACTPAGVYSVRNEISALTWIFSLIPASSCKPQTLEAALREALEVRIGEVVGAEEKEARVDDSYRCEKESRLVEWGQRNGVKTKLQIAQIDGYGRGAIATEDLKFGDVALEIPISSIISEEYVYNSDMQPILEKIDGMTAETMMLLWTMREKHNLESKFKPYFDSLQESFCTGLSFGVDAIMVLDGTLLLDEIMQSKEVLRERYDELIPLLSNHKNVFPPEMYTWEHYLWACELYYSNSMQIKFPDGKLKTCLIPVAGFLNHSICPHIVKYGKVDLETSSLKFPLSRPCNKGEQCYLSYGNYSSSHLLTFYGFLPKGDNPYDIIPLDVDVIDDDDDEDMESVSSWTTHMLRGTWLSSNHNIFHYGLPTPLLNYLRRAHGLVHHSETDLWENLEVEMGVLENLKSTFDDMMQNLGDADSMDRENVEWDVEMAMEFKERQRKIVSSILDSCSAGIKMVQEAIGKPPV >A07p023870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13787009:13790671:-1 gene:A07p023870.1_BraROA transcript:A07p023870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTQSSSSSSSSSVWQLQYMKLHFFTRIRSLLKSKTSSRKRNHQSSPEISSSQHDSENVVVPPEIISKPPEDQNEEVVLQKTVKRLHFGSLEEKEKAAIEIEKLAREDKNTRKLMSELGVLQVLVSMVGSDIAGQQIAAVRALIQLSHGSNTNKALMANAGICSKLPKNVEVLDQLTRHGFAELLLSLSSLTNAQLPVASSLILPFLMDTMNSDSTDMKCKEICLATINNLCMVLENIAPLVINGAVQTLISLMLVKDLAEKALASLGQLVVTQMGKKAMEECLAVPKSLIEILTWEDKPKCQEYSGYILMVLAHQSWSQREKMAKAGIVPVLLEVTLLGSPLVQKRAVKLLQWFKDERNVRMGPHSGPQTSRVSSGMGSPMSPRSGEEGKKMMKNLVKQSLYKNMEMITRRGNVNMEKEACRLKSLIISTSSKSLTY >A10p003170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1618807:1619830:1 gene:A10p003170.1_BraROA transcript:A10p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >A06p014990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6694865:6697075:1 gene:A06p014990.1_BraROA transcript:A06p014990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g17630 [Source:Projected from Arabidopsis thaliana (AT1G17630) UniProtKB/Swiss-Prot;Acc:Q9LNP2] MVHPSFWRFRLQEIPSRLRNRCFHTSPGAYTPISYPQRIVSVSSCWSLTTDNYDHQSLFRYFNLVLESCVTAQQCQQVHAQLLLSDLIYRSGSSLPAKLVSVYTRFGFLNDARNVFDTVPLVLYSDLRLWNSILKSNVSHGQHENALELYSVMRERGLTGDGFILPLILRACRRHSSRFGLCRALHSHVIQIGLLENLYVVNELLALYPKAGRIKDAYKLFVEMPVRNRISWNVMISGYSQEHDCESAVRVFEWMQREEFVPDEVTWTSVLSCHSQSGKFEDVIKYFDVMRMSVNVVSGEALAVFFSVCAELGAFGTAEKVHGFVVKGGFEEYLPSKNALTYVYGKQGRVKEAEQLFRQIRNKGIESWNALITSFVDAGKLDEGLSLFTELEEMNGFCNVKANVVTWTSVIKGCNVQGRGDDSLEYFRRMQFAKVLSNSVTICCILSICAELPALNLGKEIHGHVIRTSMSDNILVQNALVNMYSKCGSLSEGNLVFEAIRDKDLISWNSMIKGYGMHGFGEKALSMFDRMIKSGLHPDGIAMVAVLSACSHAGMVEKGREIFSSMGKKFGIEPQQEHYACIVDLLGRVGFLKEASEIVKSMPMEPNVCVLGALLNSCRMHKDMDIAENVASQLFDLEPEKTGSYMLLSNTYSASGRWEDSAKVRALAKKKDLKKLSGSSWIELKKKVYKFSSGSSEQSEFSSVYPVLEDLVSHMWKKGPTHDGHHYEDDHDLWTA >A07p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9744914:9750683:-1 gene:A07p015720.1_BraROA transcript:A07p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAQRDENNVPDHLLVLVHGIWASPSVWLYVEAEMKRRVGTRFLIYASSSNTSTKTFGGIDGAGKRLAEEVRQVVQKSKSLKKISFLAHSLGGLFARHAVAVLYSQANDDAVSKSGDSHILREKLAAPIAPFFIGRTGTQLFLTDGKADKPPLLLRMASDCEDLKFLSSLGAFPCRIVYANVSYDYMVGWRTSSIRRETELFKPPRRSLDGYKHVVDVEYCPPVSSDGAHFPPEAAKAKEAAQSSPSPQNTLEYHEIVEEEMIRGLQTLGWKKVDVSFHSTFWPYLAHNNIHVKSERLHKAGAGVVAHVVDSIKQQEIIMAKFVIFFLASALCFTTLLHFAAADADDFDRFHIKGSVYCDTCRVQFMTRLSKVLEGAKVKLECKGRENQTVTLTKEAMTDKDGNYEMEVMGDHEEEVCEIILVQSPDAECGDVNNQEFLRNAARISLTANDGIVSNETRTINPLGFMRKTPLADCPQAFKELGIVPDVTF >SC297g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000143.1:11309:13020:-1 gene:SC297g500020.1_BraROA transcript:SC297g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRISNWKTDETRPRPRKRKNLKLGAKRSAGKFVGKVPGKFTGDNPAIDLNPALDSVGPSSPTLHTRSVHTDVRVCPSAHTGRPWLSIRTHRTSGCPSVHISARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTHDVRGLSISTRISTLALPVDCLGDFGSRGLSVQYTQDVRGCPSAHTGRPFTVCVCPCVRQHSQDVRGRPSAHTGRPWTVHQYTYQHAGPSCGLFGTSVAVLQHTQDVRQHTQDVRGCPSGHTGRPWLAISTRPWLAHQYTYQHAGTVRGLPISTYISMLTTHISMLALPVDCPCTDFGQLMHHVSTHISILVLPMDCPCTEFGQLMHQVSTYISMLALPVDCPCTDF >A02g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10914817:10916673:-1 gene:A02g503310.1_BraROA transcript:A02g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNNIDNSKIDELSSKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPAKHIPTTTEQPTVVVRPAAEPVPTCDYVPKVPYPVPAKATRKDN >A08p006640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3811190:3811477:1 gene:A08p006640.1_BraROA transcript:A08p006640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLAKSNNEGKNKIKIPQDNETPDFVSTHLYIRRIPSTQALDREVVLRRIRQRRRANKVRSVFQLLFGFPFLSKKHEGNNDHDEDDASTVP >A09p003360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1981695:1982675:1 gene:A09p003360.1_BraROA transcript:A09p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQILGYESIDLSRPNIVPELKLFLHRHQLPLGKDSRTGITEMVSAVGHSCERSPDLLSQYMSYKVFDRCPDDWSLGQKLILRGCEPLPRRRCLAKTVAKQDLKPFPDSLWRPVSNKSVNWSGLGCKGFDCLKGKKIGSDCVGCFDLGTEKDRFVKVKGKNDFLVDDVLGLANGKIRIGFDVSGGSGTFAARMAERNVTVITNALNNGGPFSEFVAARGVFPLFLSLDHRFPFHDNVFDLVHGSSGLDVEGKPEKMEFLMFDLDRVLKPGGLFWLDNFYCASDVKKKELTRLIERFGYKKLKWVIGEKADGQVNLSAVLQKPVRV >A07g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13060636:13061390:-1 gene:A07g505750.1_BraROA transcript:A07g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGIRPTLCLFSVFLCVSGCRRKPWRACKGTDLPSLYRRRGGYFLTIAFLRPRLIAHAHDPTTRALQPIGTHGSSTWPGHCPDPNQLPKTRTLSYDTLVFGPYDHTGAPPCTAVRPDDPIQNRGHDSPIIK >A01p001840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:825905:826900:-1 gene:A01p001840.1_BraROA transcript:A01p001840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSRKNMERIKGPWSPEEDDLLQSLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRAFTAEEDETIIRAHARFGNKWATISRLLNGRTDNAIKNHWNSTLKRKCSAFTSEGQSCDFGGNGGYDGNLREEQPLKRTASGGGVSTGLYMSPGSPSGSDVSEQSCGVPHVFKPTARSGAVTEVTASTSGEDPPTYLSLSLPWNNRCETETVRVNEPTQVNENTVTDGGYTAELFPVRKEEVEEEKGISGFGGEFMTVVQEMIRTEVRSYMADLQRGNVGGGGSSGGSGGGGGGGGGSCMPQSVNSRRVGFREFIVNQIGIGKME >A02p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3054329:3055863:-1 gene:A02p007240.1_BraROA transcript:A02p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFSLTSPAASVYGATQASSQLPLPTTRSRLPRRVSFRLSAKPKLRFLSKPSRSSYPVVKAQSNQVGGNASSKASAPVKIDESSAEEKDSNSSSSAELATEESISEFLTQVTTLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPQSPAPYVMMQQPNQPSYVQATAPPPPSAPAPSTPASSPPPSPPSPAKSSLPTVKSPMAGTFYRSPGPGEPPFIKVGDKVQKGQVLCIVEAMKLMNEIESDQTGTVVDIVAEDGKPVSLDTVTSVCGSTVESTPQEMEKRGLL >A06g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14610356:14614852:-1 gene:A06g504910.1_BraROA transcript:A06g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQSPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGVMFRLHFAYMSPYQVLEYHMEFLETFGCIWSSKEARCALPLGATTLERRPEVARSFDETELKAEAGATSRSDTLRSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHSVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKFKNPSKSLIALRLSDYLHSRCFDISQNWFDNHLYYSICLRSLENS >A03p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1729379:1737124:-1 gene:A03p004010.1_BraROA transcript:A03p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEDTEPPLKRAKLLLADESNGNSSSIRATSSVNNSLGDLMARPLTSSSQGDDETIGSRGVIKKSEFVRIITRALYSLGYGKTGAMLEEESGIPMHQSVVKVFLQQVKEGKWDESVATLHRIGLVDEKAVKAASFLLLEQKFFELLKLEKIADALGTLRNEIVPLCVNTKRVHELASALISPSKFMSLSASGKGKESVSSRSKVLEELQNLLPASVMVPEKRLECLVENSLHIQRDSCVYHNTLDSDLSLFTDHQCGKHQIPSRTVQILESHTDEVWFLQFSHNGKYLASSSKDQTAIIWEINADGHFSLKHKLVGHQKPVTAILWSPDDRQVLSCGAEEVIKRWDVDSGDCIHTYEKGGIGPISCGWYSDGQGIIAGMTDRSICMWDLDGREMECWKGQRTQKVSDIAMTDDGKWVVSVCKGSVISLFDREATVERLIEEEDMITSFSLSNDNKYLLVNLLNQEIRLWNIEGEPKIVMRYKGHKRSRFLIRSCFGGYKQAFIASGSEDSQVYIWHRSTGKLIIELPGHAGAVNCVSWSPTNLHMLASASDDGTIRIWGLDKINQQNQKKQVQASSSNGWEVTVLGTSGGDVEIDGKAATAATKPSPAKPKKLPASDTKKKLLSFADDEEGEEDGPLRVTVKPKNSRDRIKSSSRYSHRLNSSTIEHRPSSSSSNVLPQAGSYTKEALLELQRNTRTLPYSRPSASSEPKVVLKGLIKPQEEQESVKDVVRQVSDLDFNEEGEEDRLDFEQAVILHDTQASAWRSATSNAPIVTSANRWSVLEPEKPKTSDLEPLVQSGESSSSEAAGKQLVEGSGEEVVDDWEKCWLTPMGEFLTHRFIMCSPAPDFISLDCSTTNRPAVEVISDEDTDFQGGFISALPHKGNEKAVFTANESMISSLSEDEDEEENLWEEEQLKKGISKRIDEGSNRTATSDVPLHPHQQPQVYAYHGGIPLSLVPPTIGPASSVDTLPMSQQAELAKKALQENVKRLKVLLYELSLFLVMNSSLMSITSLESSLSAAGEKYVFMQKLRDFISVICDFMQEKGSLIEEIVDQMKELNEKHASAILERRVADNNDEMVEQGASVKAAMAVLKQGSSTSEIAAATSAALAASASIRQQAQSVKLDELGRDENFQKRREAERRAAARQKRRARFENKRASAMEIDGSDASEEYSQLSRVKARFEKWKRDYSSTYRDAYMSLTVPSIFSPYVRLELLKWDPLHQEVDFFDMEWHGLLFNYGKPEDGDDFAPDDTDANLVPELVEKVAIPILHHQIVHCWDILSTRETKNAVAATSLVTNYVSASSEALAELFTAIRSRLVEAVAAITVPTWGPLVLKAVPNAPQVSAYRFGTSVRLMRNICMWKDIMALPVLEDLALSELLFGKVLPHVRSISSNIHDAVTRTEKIVASLSGVWTGQSVTRTHSRLLQPLVDCILTLGENLEKRHASGLDDAETMGLARRLKRMLVELHEHDHAREIVRTFNHKEAVW >A02p043070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27005989:27008633:1 gene:A02p043070.1_BraROA transcript:A02p043070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLLRAAVTGVPQLRRPIGAIHRQVSTASSFTAFAKPIGSAGEGGNLISGRQLRPILLLDSSPEKREILKPVRAAAGDSAGEAKVGFLGKYPWLVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAVHLFVGVVYCLLSWSVGLPKRAPINSNLLKVLIPVAVCHAIGHITSNISFAAVAVSFTHTIKALEPFFNASASQFLLGQSIPITLWLSLAPVVFGVAMASLTELSFNWLGFISAMISNISFTYRSIFSKKAMTDMDSTNVYAYISIIALIVCIPPAIIVEGPQLLKHGFSDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTGIAIAGVAMYSIIKAKIEEDKKKGKTA >A09g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14507546:14508098:1 gene:A09g504820.1_BraROA transcript:A09g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCLLAGRNEVVIEEREQGIQEIHNQIGEINKIFKDLAVLVKDQGVMIEDIGTHIDNSRAETAQGRSQLAQASNTHKDQNSSLLDVGKARTSVWNVFIDRKSINEYVT >A02p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7860647:7862104:1 gene:A02p017370.1_BraROA transcript:A02p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLRLFIGILEENLYEFLLLLLENLNMNSAQEMELPCCSIPLQCTLTFSRVFKKKSTEEFSCFPYVMTLLNCLIYTWYGLPIVSHLWENLPLVTINGVGILLESLFIFIYYCYSSPKEKVKVGVIFVPVVVVFFGLTAVISAVVFDEPRHRKSFVGSVGLVASISMYGSPLVVMKKVIETKSVEYMPFYLSFFSFLASSLWLAYGLLSHDLFLASPNMVGTPLGVLQLILYCKYKNKETPITTTVMSKWDDEKNKRELELVVDVDHDGDAKEKKFNNAC >SC122g500060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:96442:96792:-1 gene:SC122g500060.1_BraROA transcript:SC122g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A09g515200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45262636:45264967:-1 gene:A09g515200.1_BraROA transcript:A09g515200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLLRQRRSTSLSVVTAPPPSSLVISVRRAIEIQQIIDQPGCREDLLTASSECVYPSKGISSLDVPVSPCRDDEGIRETKCRTNCSAGCERVEHWEHPCNQSRS >A06g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8694313:8696307:-1 gene:A06g502610.1_BraROA transcript:A06g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLNPRLDFVYKELTGKFEALDTNVMTLDSQVDDILDNDSREVIEHENLEKEAFLVKIFISIGSSHWRQSTPSAEHRSTSSAEHRSTLSAGHRSTPPEEYRNGENLVTFRIFCSTPTPPISYSVKSDDIDRHHHDVIDRQQQRSTERHQQPSNDRQSPMTCQLRLPDLGAHRLNATQNPSQTSQPAYAPEQEQLTLVETSFLESVDRRYKPGVDRIKWTDTNLSWNSRQRKKGFQLGKERQVNKVEFDGFYKRVKSVLKDMSFEVAYHKYILGNFFRESRETDKDIELLFNKVSRKPKRTLKKEQGPGKFLIPCCIHSQNFANALCDTGSAVNIMAIDTAELLGLKMEPCQDSFTFLDNSNENSAGMIKNVNMEIGESSFDIQISALVDIQPSKSIDTKPLASVDTLRISEQIETEKKKNNVDADCVSLVPSQCQVGSLEYRVRCRGGPEPFTRVRVLCDPELREKEKIYARAFINCINKMRKRDIENCSEESSHSHPVQIKSPKVKLMTKT >A09g506210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18606324:18606500:1 gene:A09g506210.1_BraROA transcript:A09g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGSQVMTMTVTNTPSADLAFTNLAYCSSSDLRQFSVPGSDLFLANVADTFILSL >A09g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15788545:15794536:-1 gene:A09g505190.1_BraROA transcript:A09g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEGSVQLKINQVKIRSDGKQVNVPREGERNKVADLEYSRFSPRRPVPSNRLFYTIVTQKLCPNDFVQAKARGGSVRVQISLSRPVSLYMVKPRFCPSRDQSSPGQLSHPLGFGQVLSDQPAASRLEHCELVLFEFHLELWFPLSLKIVSQREAGLSGVECLVRIWNFSLRFPLLEARSWQEAKFNLVTVALGRGVKFVTLTGLSLARHVALPDHGVGLDGQSCSCLIVGWPVGLSSPTLGMKTLKWREFKAKPKPEAKEERQIKRTGQWYGLDRWEETKLRWERTSSVVGKTKIEKMVGVIRNEHGQDRIRAMIMQPDIWEEWWRPACVLDMQPAMWSTRCRRACVRSHAKRHTGCHQPEADWLFSTDFESTPREGSVQLKINQVKISSDGKQVNVPREGERNKVADLEYSKSVPLMIKWRYCPELVQFRSVEVLLDTPPGNPKNCPEARGGSVRVQISLSRPVSLYVVMPRFCPSLDQSSPGQLSHPLGFGQVLSDQPAASRLEHCELVLFEFHLEVRFPLSLKIVSKWEAGLSGVECLVRIWNFSLSDPKDCGLSRGDPNDCGPRSVESSFFWPLWYGDRILPIERRNTMSPGPRF >A03g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12907137:12909695:-1 gene:A03g503760.1_BraROA transcript:A03g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKTTSNMVWVVKSKQPAKMTTEKKTSSVMSDSSLLSDSFSKLQLSSKEHTLSLSDWSLLPEELLHIISAKLEDCFDFIHARSVCRPWRPVFPFPPSLLRTRYSLPSFDKFPRKGRGFCTLEKFPLFLFRVRSPDSLLPSEFFVGGIRPENHVEFPPSVQCSVKMKMTPDSPPTLLNIADCQIIPLGYKWRMVGHDPNSLATRYRGAAFLPLNKEKGEFIVLIGYSRHILVLRSTEMRWTRLQNSSEADCRDIVAFRGKFYAVFINGDVFAIDPYTLETTPLIPPEIVDCGRCNNLVPYGDDELYLVERIIVRNGVLCFSKLACRVSVLDEEAGEWVVVSDLGDRVLLIGQPGNCSGNGSCSAKDLPEGCGVSGSSMLFINEIFDVTYPYKYGVDTGNPEDGLNVWRCSRETRVTILNKSPMVAMRLEHAEPNLLQHKPHNNPIQQEENKRNKKQDRNRLSRWPCTREVELKKRWLDIGRSSDGKEAVGHEPGNSIAVRTMCAEEDLEAKNPHFPFLPYHVYGGLEDELYNAPGLRWKHS >A08p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23766344:23769858:-1 gene:A08p042370.1_BraROA transcript:A08p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAVLLLLVPLLATALASDSDHKYQADEQVTLWVNKVGPYNNPQETYNYYSLPFCRPSQNDVHKWGGLGEVLGGNELIDSEIPIKFLKNVDRNVICHLELDEAKVNHFKDAIESSYWFEFFMGFVGELPLDKNSGNGKHVLYTHKSIIVKYNKDQIIHVNLTQDNPRPLEAGRTVDLTYSVQWIPTDVTFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPWSLVLLSAVVGTGAQLALLVLLVILMAIVGTLYVGRGAIVTTFIVCYALTSFVSGYVSGGMYSRSGGKHWIKCMVLTASLFPFLCFGIGFLLNTIAIFYGSLAAIPFGTMVVMFVIWGFISFPLALLGTVVGRNWSGVPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILVIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A06p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7723702:7726292:-1 gene:A06p017260.1_BraROA transcript:A06p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRILHMNKGNGETSYAKNSTAQSNIISQGRRVLDETLKKLMTSSSEISSFGIADLGCSSGPNSLLSISNIVDTIQNLCPDLHRPVPELRVSLNDLPSNDFNYIFASLPDFYDRLKKKENSNKAALGFQRGGGPCFVSAVPGSFYGRLFPRRSLHFVHSSSSLHWLSQVPCGEMNNEDGVVITTNLDNRGKIYISKTSPESAQKAYALQFQTDFSAFLRSRSEELVPGGRMVLSFLGRSSPDPTTEEACFQWEILAQALMSMANEGIIEEENIDAFNAPYYAASSEELKIAIEKEGSFSIDRLDISPVDWEGESISEKSYDIVRSKSESLASGRRVAKTIRAVVEPMLEPTFGQNVMDELFERYAKIVGEYLYVSSPRYALIIVSLVRMS >A04p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2413720:2415268:-1 gene:A04p004690.1_BraROA transcript:A04p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIMSMIFSGINLVKELEFSLTAQESPESLSTSLGSVSTLFGGANERLKILLARRNAYVLTLPEPKPVPMSGLDQMMMQQIEYDLMQDYSLREGVMQGVKNIDSGPSCGFSTPRPRRRKKDEEEETVFVAAARMGNMDTPPDDNHTWRKYGQKEILGSKFPRAYYRCTHEKVYKCPAKKQVQRLDEDPYTFCVTYRSSHTCHFFTTSPISSTTDTTTTDGHYGSTVVKMAEALFGNLDSVVPFGEPYFNYRSLFHGRGGDGDT >A06p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14724968:14728486:-1 gene:A06p025570.1_BraROA transcript:A06p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINO3-like protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G44370) UniProtKB/Swiss-Prot;Acc:Q0WUC5] MAYRRVLLSHLSRSRHSCSSSLSPPHHVSSAPSMALGLFQSRLFSSPTDLGSGLDLGQEMIGATAASHDILTRHVISLLDSYHDLTGLPWWVVIATSTVAFRTALLPILILQRKQTKTISHFLPKLPPFWPPKGSGRSVVQQLMLFRSERKALGCPSFFWIPAYFSIQISCFFLWITSIRRMSLDHHPGFDTGGALWFQNLTEIPNGLYGPLFPFLIAGLHYTNTQITFSASSVHKVDKFAQLAKTYKIFLNFLTFALYFLAFQMPQGSLLYWSTNLSFSIAQQSIIKHPVVSAKLGLQGDDTVQKEAGNPILTNIEEAKLTDPSSKGRMISGHDLTPKELVAVSQCRGVGYSNNNEAFHIFVSIVNMFLQQLSAKYLSGGQKEKSIPLLRLALEKDPEYLQAMVILGQALYQKEQFAEAAKYLERAASKLIDACPTEVEEVDLLIVASQWAGVSNIRQGKTSEGITHLERVANMEEPDDPKSKAHYLDALVLYSSAIFNEGRREEAAKCLRRVVAYDPSFQELLKQCEEEDDSIPSADSTSTSNSTHKPS >A08p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21931135:21934713:1 gene:A08p037640.1_BraROA transcript:A08p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFGIPRELSPLQQLRSQYQPELPPCLQGTTVRVELGDGTTVSEASDSHIIARAFPHTLGQPLAHFLRETAKVSDAHIITQLPSIRVGIVFCGRQAPGGHNVIWGLYEALKVHNAKSTLLGFLGGSEGLFAQKTLEITDDILQTYKNQGGYDLLGRTKDQIRTTEQVNAALKACIDLKLDGLVIIGGVTSNTDAAHLAEFFAEAKCSTKVVGVPVTTNGDLKNQFVEANVGFDTICKVNSQLISNACTDALSAEKYYYFIRLMGRKHSHVALECTLQSHPNMVILGEEVAASKLTIFDISKQICDAVQARAGQDKNHGVILIPEGIIESIPEVYALLKEIHGLLREGLLLHPESDDSAQLSQIETEKLLAYLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYAMMTVKNWSQNAGSTSTSIGRPAIHPAMVDLKGKAYELLRQNADKFLMEDLYRNPGPLQYDGPGADAKAVSLCVEDQDYMGRIKKLQEYLDQVRTIVKPGCSQDVLKAALSVMASVTDVLTTISSSSNGGQQTA >A10p015140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3774983:3777004:1 gene:A10p015140.1_BraROA transcript:A10p015140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADGSLLDPNGTMTVPAIGPLTSSEDLSKKIRKPYTISKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTAEHLPPPRPKRKAAHPYPQKAQKNVQPQVPGSFKSTAEPNDPSYMFRPESSSMLMTSPPPTAAAAAPWTNNVQTISFTPLPKETAGAGANNNCSSSSENTPRPRSNKDTNVQANPGHSLRVLPDFGQVYSFIGSVFDPYASNHLQKLKKMDPIDVETVLLLMRNLSINLSSPDFEDHRRLLSSYDIGSETAADHGGVFKTLNKEPPEIST >A03p050640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21753177:21754646:1 gene:A03p050640.1_BraROA transcript:A03p050640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFFTKDIPLANAAGISAVPLMILTGLALAGTAVVLMVMTPVFLVLSPILVTAVITSSFLVTGFLASGRLGASAIALFVWLYKELIKKEEYSRSIMHARVRPNEDNIAKLSRGDKPPEEERLPEINKTADEINSTGRDKAEEYKPSEIHKLSQRDHNPAEEDKSLGVKHAEEGPLISEISKILLVEQNSIGSKRSGPGTFKRSHELGFAMDPGKVQSIFMKEYQKVPRVGAFAYKYFTSRDKKYINHFHI >A09p061520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50692918:50694752:1 gene:A09p061520.1_BraROA transcript:A09p061520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMETSCSTPPVYFEHQSSGDLGPTVLDCLKRRLLHHLACPLGTSRNVRTFKYVLPRFSNCSEDSEGFVLIAGSSVHGSKSNLKTAAKREGSHIESLEAAIYRGTVNEHRHSDLIKKSYHIFYSVKARYTWKNEPSSTHNTVTFLYEDDSKKIVNSSKTKVAEQCEYNSDIYSIYSH >A06p024020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15686196:15686909:1 gene:A06p024020.1_BraROA transcript:A06p024020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAVVLQAEAAVMYPVARVSTNRYVLVSINLYGPVSFGYLVQFVLVNDVPQSDVLNTSTKVHSFDRAGHTNRAVKRINPRASGMELQLELRPGDRTDCTEAHLSRPTRQDKIDGQARINLGRVNSDSDRSFSLLARLARIACTDDRSDDLASLFDPMMDFSFGYFSKARILKLSEDLGHTGTQLVRSERPAALTDRPVALADRPAHVLILTALDTASSDEPGQ >A05p043190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26072965:26074194:-1 gene:A05p043190.1_BraROA transcript:A05p043190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWAIAVHGGAGIDPNLPVERQEQAKQLLTRCLNLGIAALRSNVSAIDVVELVIRELETDPLFNSGRGSALTEQGTVEMEASIMDGTKRRCGAVSGITTVKNPISLARLVMDKSPHSYLAFSGAEEFARKQGVEIVDNDYFVTDDNVGMLKLAKEANSILFDYRIPLGCAGAAVTDSPIVMNGLPISIYAPETVGCVVVDREGRCAAGTSTGGLMNKMMGRIGDSPLIGAGTYASELCGVSCTGEGEAIIRSTLARDVSAVMEYKGVGLQEAVDYVIKHRLDEGFAGLIAVSNKGEVVCGFNCNGMFRGCATEDGFMEVAMWE >A05p012360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5350378:5353107:1 gene:A05p012360.1_BraROA transcript:A05p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLKPSFFFSSFILIFLLINSFDSGSASTPKCISTERQALLTFKQSLTDLSGRLSSWSGQDCCSWTGVLCDARTGHVVNIDLRNPSQAVNSDEYKRGCLRGKINQSLTRLKFLSYLDLSSNDFNGLVIPEFIGHIVTLRYLNLSSSSFSGEVPASLGSLSKLEFLDLYAESFSDSGPFALRASDLKWLPSSLTYLNMGYVNLSGAGETWLQDFTRLTKLKELHLFNCELKNLPLSLSSSANLKLLEVLDLSENSLSSPIPSWLFDLTSLRKLFLRWDFLQGSIPSGFKNLKLLETLDLSNNLGLTGEVPPVLGELPQLKYLDLSANELNGQIHGFLDAFSKNKYNSLVFLDVSSNKIAGTLPESLGALRNLQILDLSSNSFTGSIPSSIGNMLSLKKLDLSFNAMNGNIPETLGKLAELVDLDLMANTWQGVLLKSHFANLRSLKSLRLTTEPNRSLVFKVPSTWVPPFKLELINIENCRIGPKFPMWLQVQTELNSVTLRNTGIADTIPPTWFSNIASDVTYLILANNRIKGTLPQSLAFPKLNTLDLSSNSFQGPFPLWTTNATELRLYENNFSGPLPLNINVLMPRMEKLYLFHNSFTGPIPSSLCEVSGLQILSLRNNRFSGSFPNCWHRSFMLYGIDVSENSLSGEIPASLGALPSLSVLLLNQNALQGRIPDTLQNCSGLTNIDLGGNKLSGKVPSWLSKLSSLFMLRLQSNSLDGQIPDTLCSVPNLHILDLSGNKVSGPVPKCISNLTAIARGTTNFEVFQNLVFIVTRSRKYQEIVNSINLSGNNLSGEIPEEILDLSYLRILNLSRNSMAGRIPGGISKLGRLETLDLSRNMLSGAIPESLAGISSLQKLNLSYNKLEGRIPQLLKFDDASVYIGNELLCGKPLPKKCPKDVNRRML >A02p023620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11791070:11793378:1 gene:A02p023620.1_BraROA transcript:A02p023620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSRLLTATTSFSIILNGLVTLTLTASLPQLHPETCNSKDPVSCGGPNKLQFGILLLGLGFLSIGSGGIRPCSIPFGVDQFDQRTEEGIKGVASFFNWYYMTFTVVLLITQTVVVYIQDQVSWIIGFSVPTGLMACAVVMFFAGMKLYVYVKPEGSIFSGIAQVVVAARKKRKMKLPAEDDGTVTYYDPPVKDSVLSKLHHSNQYRFLDKAAVIIEGDLSSEGVPANKWRLCSIQEVEEVKCLIRIVPVWSAGIISLAAMTQQGTFTVSQALKMDRHIGPNFEIPAGSLSVISLLTIGVFLPLYDRVLVPFFRRITGHKSGITLLQRIGTGIVFAILSMIVAGLVERMRRTRSINAGDSTGMTPMSVFWLSPQLILMGLCEAFNIIGQIEFFNSQFPEHMRSIANSLFSLSFAGSNYLSSLIVTTVHKFSGGHDRPDWLNKNLNAGKLDYFYYLIAVLGVFNLVYFWYCAQGYRYKVGLQMGGFEEDKSFSDVEMSSKKQLK >A04p025730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15571450:15573010:-1 gene:A04p025730.1_BraROA transcript:A04p025730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTQPSVPKSTKPPTTTLNPHAAEFVPFTLRSPSSSRLLAESSASRHSDDEASQFWNHQLPDDITPDFKLMTLDDSSYDDSESFSLAALSLNEAEQFPSAERERNPFGRNSRDNVGEMEVDPVDYLASQFPGFAAESLAQVYFANGCDLHSTIEMLTQLELQVDGGGMNQKMSPKSFAAPNLTPMDFPSLSPQGQQNDNNMFFSSSVSQPGSIDYASAVKKLASQDSGMWKYERADSSSIGSSRNSQPLPGAAYKRSIYSDKLPNRPAPVWLETGDAVGNMYSGYREEARDYARLRNVYFEQARQAYLVGNKALAKDLSAKGQLHNLQMKAAHEKAQEAIYRQRNPGGQGGSERMIDLHGLHVSEALQVLKQELSVLRSTARATQERLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEGEGLDYSEPQAGLLRVII >A01p053190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30242780:30247304:-1 gene:A01p053190.1_BraROA transcript:A01p053190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKRKLDCVSVGFDYPNIPKAPRSCRRKVVNKRTDAMDLLASLAGKLLEECESSSASSNAFEVNTHENCRKEIKQEDHLKSESSDQGNSVSRPTYEKTCVVNSFSFPDDGILERTPVCETKNVKCEGVTEERGDVIVNTGFEQDGGLGADACNLEDATALDVQFPKSVCVDSDLKSPSCLNKTSNGSLDTQGNHFKLGRDDDDEKLYSYHKFSSKFKSYRSPTIRRIRKSLSSKYWKQMAKEHYRADVGVKALYRKRKSCYGYNAWQRETIYKRRRSPDRSSVVTSDGGLSSGSVSKLPEKRDSVKLSIKSFRIPELFIEVPETATVGSLKRTVMEAVSVLLSGGIRVGVLVHGKKIRDDKKTLSQSGISSEENLTNLGFTLEPGPSKAPLPLCSQDPLVPIDPTNLSERSAVSPTLDTQTPHPDDVANPGNTVDNNHLELVPYQKEITVDEEEPSTDPRALVPLPALEVEALAIVPLNQKPKRSELAQRRTRRPFSVTEVEALVQAVEELGTGRWRDVKLRAFEDADHRTYDKWKTLVHTASISPQQRRGEPVPQELLDRVLRAYGYWSQHQGKHQGRGGGAHKDSDMNRGRAVEAEVLLVLLLFVCIFSLFTVCDDVIDFSISYQVEFMAEDEMVEIVPNMNMDPLNFIAGDYGRFVPQIPTQVPLWLAVVPDALFLAVQVRSLVEDIRDVRLHKLETNLGSFRGTSAVKIENVSAMEVILVRPFVRRALEAFYKHDKPEVDAEADTRSSSRQPREANNEPRRPLKATLRSFLGIENIKHIYLCVDSFKYMG >A09g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2488664:2489049:-1 gene:A09g500620.1_BraROA transcript:A09g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEMLVTSLDYREIKIHRHRHCMTLHPKESCELTDIAAPRSDRSLSSARKMRTLLAITEKEQARETNIDDSSLKISSAKMAGKELNRCTAVAF >A07g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8087811:8088514:-1 gene:A07g504160.1_BraROA transcript:A07g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLSLSQILATHSDRLLCVGSRRIWGTVGLFDGKGAFPRYVATELSSTRPSLSSSATELSSTAPSLSSTVMKLSLGGDRALSLFLLLKSKSAWLEGTRRKNREREECKAEQY >A06p024500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15434704:15439081:-1 gene:A06p024500.1_BraROA transcript:A06p024500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRGSHLILMIFFVHLIFISSQKEETGFIYNGFGQADLSTDGVAKILPEGQLQLTDGSGQKMGHAFLKKPFEFTSPESLSFSTHFVCALVPKPGFIGGHGIAFVLSASMDLTHADATQFLGLFNISTQGSSSSHLVAVELDTALSAEFDDINANHVGIDVNGLISIASTPASYFSEIKGKNESISLLSGAPVQVWVDYGGNVLNVSLAPHKIQKPSQPLLSRSLNLSESFPDRMIYLGFSGATGTLISYQYILGWSFSRNRESLQTLDVTKLPRVPPHKARNERPSTLLIVLLILLAVILFLVLGAAFAYRRRKYAEVREEWEGEYGPHRLSYKTLYKATKGFHKEGLLGKGGFGEVYKGTLPSHGEIAVKRVSHEAEEGMKQFVAEIVSMGTLKHKNMVPLLGYCRRKGELLLVSEYMPNGSLDQYLFYDDKPPFSWRRRLIIIKDIASALSYMHTGAPQVVLHRDIKASNVMLDAEYNGRLGDFGMARFHDHGADPATTAAVGTIGYMAPELVTMGASTATDVYGFGAFLLEVTCGRRPVEPALPEERRSLVKWVCQCWKMASLLGARDPRMRGEISAEEVETVLKIGLICMNAVAELRPSMEEVMQYLNESLKLPDITPNSPGIGSFVPLIMGSYPLPASPTTETLSASLYSSSSANDSTFVTHSIVYGHGREEERSTTKLF >A07g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19948312:19953822:1 gene:A07g507400.1_BraROA transcript:A07g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADFLLDLANGVCQIDAATEREKPNVRQTLAVAYDTLLAPNVKTYIDATPSRENVRFLKTETNVRGIMSGFTTWFSQLCILLHRLIKERRHESFDALRVFQVVAASLLAGLMWWHSDFRDVHDRLGLLFFISIFWGVLPSFNAVFTFPQERAIFTRERASGMYTLSSYFMAHVIGSLSMELVLPAAFLTLTYWMVGLRPGLVPFLLTLSVLLLYVLASQGLGLALGAAIMDAKKASTIVTVTMLAFVLTGGFYVNKVPSGMVWMKYVSTTFYCYRLMIAVQYGNGEEILGMFGCELKRTEGAARTDGCRFMEEEVVGDIELWTSVTVLFFMFVGYRMLAYLALRRIKL >A08g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6835943:6842659:-1 gene:A08g504250.1_BraROA transcript:A08g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVEQCLLVTGGAGFIGTHTVVQLLNKGFKVSIIDNLDNSVLEAVHRVRELVGPDLSTKLEFNLGDLRNKGDIEKLFSNQRFDAVIHFAGLKAVSESVANPRRCFDNNLVGTINLYETMAKWCFRRLQQFMANQKDIHAAEPEWRIVLLRYFNPVRAHESGRIGEDPKGIPNNLMPYIQQVAVGRLPELNVFGHDYPTKDGSAVRD >A01p053290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30098540:30100411:-1 gene:A01p053290.1_BraROA transcript:A01p053290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKRAGSFNSNGGGGGFKKSKQEMESYSTGLGSKSKPCTKFFSTSGCPFGDNCHFLHYVPGGYNAVAQLTNMALPMPQASRNMQGPGGVGGGRFAGRGGESGPGHVSSFGASATAKISVDASLAGAIIGKGGVSSKQICRQTGAKLSIQDHERDPNLKNIELEGTFEQINEASVMVRELIGRLNSAASRRPPGGGGGGIGGGVGSEGKPHPGSNFKTKMCERFAKGNCTFGDRCHFAHGEAELRRSGVA >A03p008600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3475370:3476071:-1 gene:A03p008600.1_BraROA transcript:A03p008600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKFVCFSVLRVSKAGQVAPFDESETQGLNGVTECEPVCVLPREAEEREAPRLLRTPARFLLAFCISSIQLIGRPDASQGAALYLLNMCLLLSLLISPFCEILTVENRITTTGSAAGRPSVFILSLAEEPYMVTRRSLQGDVSSECPIWTADCDRSGSRAAIGTNLGAGLVDLETEAGSYFLPSESHVLVLQFHQSGNIVH >A08p046420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25272941:25275858:-1 gene:A08p046420.1_BraROA transcript:A08p046420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 6 [Source:Projected from Arabidopsis thaliana (AT1G02400) UniProtKB/Swiss-Prot;Acc:Q9FZ21] MVLPSSTPSLTTGKKAKASPKCNFPVIDFSSLDRSKLSEEITKACEVNGFFKVINHGVKPEIIWRFEREGEEFFNKPGTEKQRAGPASPFGYGCKNIGFNGDLGELEYLLLHANPTSVADKSETISHDDPFKFSSATNDYIRAIKDLACEIIDLTAENLWGQRSTKVSELIRDVRSDSILRLNHYPPAPYALRGFSQIGFGEHSDPQILTVLRSNDVDGLEVCSRDGLWIPVPSDPTCFYVLVGDCLQALTNGRFTSVRHRVLANTAGKSRMSAMYFAAPPLDAIISPLPEMVSPENPRRYNTFTWGDYKTATYSLRLDVDDRCLLCNSNQESRDHLFFTCNYSYDLWQIIARRLQLLPQRDWQATLDQMLSLSTPAPQRILTLLAWQATLYWLWTERNARLHTNTFRTVDQIFKLLDRQLRNKINSFRDSNPNRSSTMLQSWFRFG >A09g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1104270:1105159:-1 gene:A09g500310.1_BraROA transcript:A09g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKANIESMMARIREMCESKGKLKKKHRVHRLSQKLLVKVII >A06p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6211088:6212047:1 gene:A06p013740.1_BraROA transcript:A06p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLSNLSPSILLQTGKSPNLRPIFTPSQSTSSSTGYVFDEDSLSTLSLSSVQSPPLQDAQVKTKPTPQDKHNHDRDEFYINLGLAVRTLREDLPLIFAKDLNYDIYRDDVTFVDPMNTFSGIENYKLIFWALRFHGKILFRDISLEIFRVWQPSENMILIRWTLKGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPHQLKPAASVLDLVTASPASSPHPTFFSGPVDSCSSSWIEFYQAVKRTVDKTEYMLVTDRLITCS >A09g516080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47622345:47623197:-1 gene:A09g516080.1_BraROA transcript:A09g516080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVFIDERGRDPQVKERAETDISVPHAPVRAATKGLGEQKASDSTEGKDHDDHRKTLFPLTSWQVGKVCLMLLNVSLKIFQHIPLYES >A06p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19710824:19713191:1 gene:A06p036310.1_BraROA transcript:A06p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKREFEAGKARVEGGSTPEDKRRRFKSVVQEVMRLQTVKHFLEPVLEPLIRKVVKEEVELALAKHLTGIKWICEKDIHPLESRNLQLKFLNNLSLPVFTSARIEGDEGQAVRIGLVDSSTGQIVSSGPASSAKLEICVVEGDFESHSGWTAEDFRNNIVKEREGKKPLLSGNVFVVLSDGIGVMDEVSLTDNSSWTRSRKFRLGVRMVDQFEFVKVREAITESFVVRDHRGELYRKHHPPSLFDEVWRLEKIGKDGAFHKRLNYSNINTVKDFLTHFHLNSPKLRQILGTGMSSKMWEITLDHARSCVLDNSVHVYQPHGFHKETAVVFNVVAQVLGLLVDFHYVPAEKLSETEKAQAEEMVIAALSHINEVISYDDVSSMMRNFLNVPSSQTSVGIDYSGLSLTSMDGYGFVPNLHNTAEGSGHVDMEVTPQGLYDDFNLWNCSQILGLEEPQSDLQSALDGFIMSEKNVVVGKAHSRRWTKLFSVSKWLSVFKNVTVRKNLK >A03p052860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22672149:22674844:-1 gene:A03p052860.1_BraROA transcript:A03p052860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAINRKRTEDSLNFNQQSPSSQASKKKRRFSFGIVSPDSNKPSSSTISRISRYPDAKAPLRREIHAPSRASLRYALPKPKPNDYSFKRRAFDALRFFTKDKEIIDLGDEEEEPEIEIEIGKEAVCEDSSVEVVDCDVDEKEENVEPSMLDSMSLVRQEAASSLEAYKKLLQSAERRNSKLEALGFEILFNEKRLSQLRQSRPKPVDKPLKVPDEPFIPLTEEEEAEVYNTFSGKNRRKVLVTHANSNIDITGEVLQCLTPSAWLNDEVINVYLELLKERETREPKKYLKCHFFNTFFYKKLVSDSGYNYKAVRRWTTQRKLGYALIDCDMIFVPIHRGVHWTLAVINIRDRKFLYLDSLNGVDSKILNALAKYLGDEAKEKSGKDIDVSSWDMEFVEDLPQQQNGYDCGMFMLKYIDFFSRGLGLYFSQEHMPYFRLRTAKEILRLRAD >SC139g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:2147:5427:1 gene:SC139g500020.1_BraROA transcript:SC139g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDPSATTLGLADSFVGGGASKPDGSPAKKSSNVQTNKEKELLPQVEVRNELLNHRHKFPRDKPMLIRILDNDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPACGCNFRKNNLYEVIFTKKCSLGFKPNDRPARSLRSDRARAKARSLRSDRARLGRYVATEPRYYVATELEPKLGRYVATERSSPDRAQPKLGRYVATRSSRSVAIAPARSLRSDRATVAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEHRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWKTRVFLVSLFKRKSTVRISVPMGKESEEEAELVRKNKMLREQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPIRQEHAGSQETDNYYSRHRTEHNQTER >A03g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15895557:15899537:-1 gene:A03g504450.1_BraROA transcript:A03g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCNHCGKSVSGIRPYDGALACNQCGRILENFNFSTEVTFVKNAAGQSQASGNVVRSVQSGVSSSRERRIRLARDEFMNLRDGLGLGDERDDLIDMATRFFTMAVEQNFTKGRRTELVQCSCLYLTCREKNIPFLLIDFSSYLRVSVYELGAVYLQLCEMLYLTENRNYEKLVDPSIYIPRFSNALLKGKQDKEVMRTARDIIASMKRDWIQTGRKPSGICGAALYTAALANGIKCSKTDIVGIVHICEATLTKRLNEFGDTEAGSLNVEELSEREREMHKRSFATNQTSSKEKVHCMHQDSKPVNYGLCEECYRDFISVSGGLVGGSDPPAFQRAEKERMEKEKAAREENEGGISSLNHDEQLNSKREPVYSSVSKSAKPCSEKGDGEKDVDGSEVSDESGNFSDVSDTEVDCYINNEEERRYKKVVWEEMNKEYIKEQADKEAALKAANDALNASSSNLPEHARKLVEASRAAVAKSRKEKQQKRAEEEKNAPPPATATEAVRRMLDKKRLSGLINYDLLDELFDTSPTEKPAKISRTETVMEKMKEEKKEVKSNKQEDGENEEEDEAEEDQEGYVESYDINTDFPDGEKLNEEEDEKEEDGYDLGLY >A09p065240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52245439:52251577:-1 gene:A09p065240.1_BraROA transcript:A09p065240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHPPVNGQKTSIVVVTLDSNEVYIISTLFSRPDTQVIYIDPTTGALRYNGKPGLDNFKSEREAVDYITNGSRGVSRSSVYGRAILGYAVLGSFGMLLVATKLNPSVPELPGGGCVYTVAESQWVKVPLHNPQPQGKGEVKNVQELTELDIDGKHYFCDTRDITRPFPSRVPVQSPDDEFVWNKWLSLPFKNIGLAEHCVILLQGFAEYRPFGSSGQLEGIVALMARRSRLHPGTRYLARGINSCSGTGNEVECEQLVWTPKKHGQSIAFSSYIWRRGTIPIWWGAELKMTAAEAEIYVAAKDPYKGSTEYYQRLSKRYDTRNLDAPVGENQKKKAFVPIVCINLLRSGEGKSESILVQHFEESMNFIKSGGKLPYTRVHLINYDWHASVKLKGEQQTIEGLWMYLKSPTMAIGITEGDYLPSRQRLKDCRGEVICVDDVEGAFCLRSHQNGVIRFNCADSLDRTNAASFFGGLQVFVEQCRRLGISLDTDIGYGYNSGSSYTAPLPPGWEKRADAVTGKSYFIDHNTKTTTWSHPCPDKPWKRLEMRFEEFKRSTILSPVSELADLFLQQGDIHATLYTGSKAMHSQVLNIFSEESGAFKQFSAAQKNMKITLQRRYKNAMVDSSRQKQLEMFLGMRLFKHLPSIPVQPLPVLSRPGGFFLKPVPSMSETSNDGSSLLSIKTKDITWLCPQAADAVELVIYLSEPCHVCQLVLTISHGADDLTCPSTVNVKTGRHLEDLKLVVQDASIPRCANGTNLLIPLPGPIRDEDIAITGAGARLHEKDTSSLSLLYDFEELEGQLDFLTRVVSVTFYPAGSLKIPMTLGQIEVLGVSLPWKGMFTSERTGGRLAEIAGKTKEDEVPFSSCSDSNPFAAKSLQAETVSTPVQQNDPFPSNLLDLLTGEVSASDPFPQPAVECVASGGNDMLDFLDQAVVQYSGPETVPSMSSSQDKSSRESGSHLYLNCLKSVMGPNMGRKLEFIEAIKLEIERLRLNISAAERDRALLSIGIDPATINPNSSHDELYIGRLCRIANALAVLGQASLEDKLIASIGLEKLENSVIDFWNINGIGEGCNGGVCQVRAEVNKNSVGSTTKSLGGEPGSVFLCLQCRKKACKSCCAGRGAVLLSKSYSRDNANGSGSLADGSATSIGSDQYMCKNCCNTIVLEALIVDYVRVLVSLRRSGRVDDACREALNEVFGSNLTNHLAVRGQPSPKPEDFNVLRQILGQEESLAEFPYASFLHKVETGTDSAPFLSLLTPLNLASSSSYWKAPPSSSSVEAVIILNTLSDVNSVILLVSPCGYSDADAPTVQIWASNDINKETRTLMGKWDVQSFVKSSPELYGPEKSGRAPRHIKFAFKNPIRCRIIWVTLRLPRLGSSSVSLDRNINLLSLDENPFAPPIPRRASFGATTENEPCLHAKRILVSGNSRRDKALASLQSVDSMIVRNWLDRPPRLNRFLIPLEAERPMANDLVLELYLQPGSPLASGFRLDAFNAIKPRVTHSPSSDIVDIWDPTSIIMEDRHVSPAVLYIQVSVLQDQYKMVTIAEYRLPEARVGTQMYFDFPKQVQGRRVSFKLLGDVTAFADDPAETDDLSGRASPFAAGLSLANRIKLYYYADPYEVGKWASLSAV >A06p020690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10179717:10182634:1 gene:A06p020690.1_BraROA transcript:A06p020690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESVMAVRLSTGFSSPAALLQYRPAPSTEEGVSCFHYASRRVFHPQRLNNTASWSGYLKYNSDYLTKRHPRKNRTQATAEYVDSASDPEKQTGKSRYHPSEDIRASLPQNAGDSRLSPAETTRTIIEVNNKGTLMLTGSTGDGVLENILWPDIPYITDQNGNLYFQVKEDEDVMQSVTSENNYVQVIVGFDTMEMIKEMELMGLSDSDFETEDDESGGEDDSEEDGDEGEDDEEEWVAVLEDEDEDEDDDEDDYDDDDEDDDESLGDWAKLETMRSCHPMFFAKRMSEVAANDPVDWMDQPSAGLAIQGLLSHILVEDYSDIQQKLADIKSTSTKGDKNAENLEEKLEDTNKADSDDESEKTRKVVAFYKLEMIRIQLITAQGDQTEVEVEDVRKAQPDAIAHASAGIIRRLEESGDKLAEALRSLCWRYYGIQAEEVKLIGLDSLGFDLRLCAGAKIESLRFAFSTRATSAEDAEGQMKELLFPTTNQSKPLEPKGTSQKESS >A06p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21907800:21909463:1 gene:A06p040490.1_BraROA transcript:A06p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRKKSVNAKLPPPRSIGESLLPANFSKATSEPIEVHEDVSNVPMVSIDQFGSNDSSPKPTFFQDNSATIGSILTTHVEMSNALEKMNDAQDEMRSTTREAATLQEEDLSLVKEVPLLQSKQTFSPLVENSSTPVSEKIMEETPSTMVITVVNNPEDDALINPHLPLFDRSQECGAHTASRSRFGSVLWKAIERRLWLGLTSVSNRDFLTPACLRPLLS >A05g510580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32853983:32854425:-1 gene:A05g510580.1_BraROA transcript:A05g510580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFLIPVRQYILPIFFKRAHLQDLDAAEYEETPALPFNLAAEMEIGSTTSYPGDSEILDEVIIRSRGEFRHTSNPKVTSSSKPVNNRSLSQVLSPRDGELRSGQMSPRVIEYSPNFKPG >A03p072560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:26022:27000:-1 gene:A03p072560.1_BraROA transcript:A03p072560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFIYFPHLANNRQGTSSLTPSTCEYICAPPSIDMERITSIDSKRVTSIDMERITTIDVTIPDLLTAYNTIDAKVDRPKEPKLTSNTNLTSLLILGLGIHGIGFFRQVWKTHINGSEHGIGGAIFKGSAFAKNSVGLVKTSEHKLGGTTIQV >A09g502850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9677958:9678869:-1 gene:A09g502850.1_BraROA transcript:A09g502850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTSLCPNFFYPVFSVEALLQSIHGDLVFLEKIIVSSDLSSLTSFLSSSFSFSHTEQRNRSVLPQGVSSDGSVHYEIEVFFLQFHLHLMFLHTRSERFMKNQAATLNQHKSSHLGWLVSSDRIKNEVSQSKPGLSTDVLASVFGGS >A09g507420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:21558245:21558517:-1 gene:A09g507420.1_BraROA transcript:A09g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFPILEMPEEIQALVVERVAGNSFQDLYGLRASCKLMKALADRRRVCVTGWEAGSMRWLGDGAILSVRMKTGWKDGEDGRLAVPFNPI >A02g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16437810:16443144:1 gene:A02g505520.1_BraROA transcript:A02g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISASHLFSKYQEVAESEISFAKLRRRSVTAWDHIFSDHIFSDNIFSNYDFQDVRRLLGRLPGSLLCDCLTPDEYEDLKASKLGVFIKFKELDFGWTSRLVHFLLCFQLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATLASLARLAKDLTQTGYTVDGFIQVLQVWAYYAMPELGANYGSPIPNRPSPLLLAYKGGKRQRKCFKAAIHKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKNHVDVEVDSIRRFGGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPEELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECYALGIEFPTAFDKKHGKTIREKMALDIFRELPKCHEWENQDNDENLATYD >A07p048890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26034405:26035322:-1 gene:A07p048890.1_BraROA transcript:A07p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVFRRSLSFPNKPTVRPPPPSKPRVSHHTRSISLPCRSHPLISHINHEISQIKSWSSSFDRRTTAWLTDGLSLLRDVQETLSDILHLPQSQESLRNRPVFFENLLEDLLRFVDAYGIFRTSVLSLREHQSAAQVALRRKDDVKISSYVKSRRALARDIAKLTSSIREPKTKYNRCHVDVLNGSYGEAELASVIGDVIEVTVLVSVALFNGVYLSIRSSKTTTFVGFLKRSEKRDKNCEAIEELKQVEEKSLVGLSKKKNEEVMILTKKMMELENSIVEIECGSEKVFRGLISTRVSLLNALTH >A09g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18328542:18330006:1 gene:A09g505900.1_BraROA transcript:A09g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSSNINRLIVSLLYFTKGKKISESCFRDPKESTRVLPITKKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPNKRRNIINLNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKRAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFLRKISRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILWDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFFWNSTRAIRSFFSDRWSELHLGSNPTERSTRDQKLLKKEQDIWDVIRFRRMNWIWTVPIRFHS >A07p046150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24960259:24962558:1 gene:A07p046150.1_BraROA transcript:A07p046150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFRITPQGHSKCPRGICSKRCDNYLDSFKIGLSDAINANLSLVDAINPTVGPLIPFFFYFNRSLAMEGVPGMNHVPNPNYYDKSIVLDVKPLRSLKPVFPNGNQGPPFVGCPPFGPPSSPSGPSPFYPFGSQQPPDLNQTQDTPPPTFVTPLQSYRSPTVVSNGPSSSSGTKKGVGRPKRNAVSIAPSSSSSGTKRGAGRPKGTGNAKKKDKTSLDVVQVVKKHFDSGISAPEREDGNSDLVSSVLMRFDAVRRRLSQVEHGKAGTSKAAGVLMSNGVRTNMKKRVGTVPGIEVGDIFFSRIEMCLVGLHMQTMAGIDYITSKVGADEEPLATSIVSSGRYDGEAQDPESLIYSGQGGNADKSGQASDQKLERGNLALEKSLRKGNGVRVIRGEEDPASKTGKIYIYDGVYTISESWVEKGKSGCNTFKYKLVRVPNQPPAFGVWKAVHKWKEGLTPRANLILPDITSGVESKPVSLVNDVDDEKGPSYFTYISTLKHSKQTQTNTIGCSCHGSCAPGNLDCSCVRKNGGDLPYLNGVMLVSRRPMVYECGTTCPCHASCKNKVIQTGLKFRMEVFKTDHRGWGLRSWDPIRAGSFICEYAGEVLLRMEQEDDEFVFDTSRVYNSFKWNYEPALVDEDPSDEVPEEFNLPSPVLISAKSFGNVARFMNHSCSPNVMWQPVFVEGSGESVVHIAFFAIRHIPPMAELTYDYGVSLASEARDGSLLHGKRKCLCGSVKCRGSFG >A02p036420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20871153:20874303:1 gene:A02p036420.1_BraROA transcript:A02p036420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYRTLIFVVLSLIIFLNGQSIFVARAVAESKVHIVYLGEKQHDDPEFVTESHHQMLCSLLGSKEDAHGSMVYSYRHGFSGFAAKLTKSQAKKIANLPEVVHVIPDSFYKLKTTRTWDYLGLSASSPKNLLNETNMGEQIIIGIIDTGVWPESEVFNDDGIGSVPSHWKGGCQSGEMFNSSHCNKKLIGAKYFINGFLEENKSFNSKESLDFISPRDLNGHGTHVATIAAGSYVQDISYKGLAGGTVRGGAPRARIAMYKGCWYLDDLDITTCSSADILKAMDEAIHDGVDVLSLSLGSVVPQHGETDIRDGISTGAFHAVLKGITVVCAGGNSGPEAQTVTNTAPWIVTVAATTLDRSFPTPITLGNNKVILGQAMYTGPELGFTSLVYPEDPGNSNETFSGTCEDLSLNSNDTMVGKVVLCFTTSSSSGSVSSAAGSVKKAGGLGVIIARHPGSDLEPCLDDFPCVSVDYELGTNILLYIRSTGSPVLKIQPSTTLVGQPVGTKVASFSSRGPNSIAPAILKPDIAAPGASILAATTTNTTLNDGGFIMLSGTSMAAPVISGVVALLKALHPDWSPAAIRSAIVTTAWRTDPFGEQIDAEGSSRKLADPFDYGGGLVNPEKAVKPGLVYDLGLQDYVLYMCSVGYNDSSISQLVGERTTCSNPRPSVLDLNLPSITIPDLKEEVTLTRTVTNVGPPSSVYKVKVEPPLGVQVTVMPKKLVFNSKTKKLSYHVRVSTRHKINTGFYFGSLTWSDSVHNVIIPLSVRTQILQNYYDEN >A07g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22213807:22220610:-1 gene:A07g508090.1_BraROA transcript:A07g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISPSHTSKRSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A02p041160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25982161:25984497:-1 gene:A02p041160.1_BraROA transcript:A02p041160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDLAGVHQERRATPTICVSPTCLNRSRWALLRQESKFADWQRVRMQETSKEIPAGSLPRYLDVILRHEIVEQARAGDTVIFTGTVIVLRPDISALASPEERAECRRDSSQQKSSTAGHEGVKGFKALAVRDLSYRLAFIANSVQIADGSRNTDMRNRQNDSNEDDQQQFTREELDEIQNTPDYFNKLVGSMAPTVFCHQDIKRAILLMLVGGVHKTTHEGINLRGDINVYSRHSAKICLHIWEVLFCCWVEFCIEYNFNLPPAILSRFDLVYVMIDGPDEVTDYHIAHHIVRVHQKHEAALFPEFTTVQLKRYIAYAKTLKPKLSPEARKLLVDSYVDLRSGDTTPGTRVTYRMTVKPSHVLLAVTLLKTSVISVESGDVDLSEYQDANGDNIDNTDDIENTGNRDEEQHNGAAEPASATADKGEAPPNLVISEEEYDKITHALVIRLRQHEETVSKESKQHHSLFNSPY >A01g511610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34315126:34318889:-1 gene:A01g511610.1_BraROA transcript:A01g511610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLLPNPDSITTTPLVSNLRSFRRFFSLRRSSLPRNSSSSSLPLVALCSLSATAAKPTTATRWREKHELAESDSISILNERIRRDLGKRETARPAMDSKEAEKYIQMVKEQQERGLQKLKGVRPGSDGGFSYKVDPYTLLSGDYVVHKKVGIGRFVGIKLDVPKDSSEPLEYVFIEYADGMAKLPLKQASRLLYRYNLPNESKRPRTLSRLSDTSVWERRKTKGKVAIQKMVVDLMELYLHRLRQKRFPYPKNPVMADFTAQFPYNATPDQQQTKAEKEAYLEMIKHGHLNIIVGTHSLLGSRVVYSNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERIPIKTHLSSFRKEKVIKAIKNELNRGGQVFYVLPRIKGLEEVMDFLEEAFPDIDIAMAHGKQYSKQLEETMERFAQGKIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAYLFYPDKSLLSDQALERLSALEECRELGQGFQLAEKDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEELRIFSVPYNLVKIDININPRLPSEYVNYLENPMEIINEAEKAAEKDMWSLMQFTENLRRQYGKEPYSMEIILKKLYVRRMAADLGVNRIYASGKMVVMKTNMSKKVFKLITDSMTCDVYRSSLIYEGDQIMAELLLELPREQLLNWMFQCLSELHASLPALIKY >A07p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8381869:8385251:1 gene:A07p012040.1_BraROA transcript:A07p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLKRSSQLKIPRDQRRTTSPHAQGLDKGALYPIIYGHVIVPDRSQIDKNNQTCASQLQQQNHSFFFVFPLILKHFRRRRRRMCGIFAYLNFHANKERRYILDVLFNGLRRLEYRGYDSAGIAIDSSSLSSPPLVFRQAGNIESLVNSVNEEITNTELNLEEVFYFHAGIAHTRWATHGEPAPRNSHPQSSGPGDDFLVVHNGVITNYEVLKETLVRHGFTFESDTDTEVIPKLAKFVFDKANEEGEQTVSFCEVVFEVMRHLEGAYALIFKSWRYPNELVACKRGSPLLLGVKELDQDKSNTHVFKDAHFLSKNDHPKEFFLSSDPHALVEHTKKVLVIEDEEVVHLKDGGVDILKFERRNGSSRPASVERALSVLEMEVEQINKGKYDHYMQKEIHEQPESLTTTMRGRLIRGGSSKPKTVLLGGLKDHLKTIRRSRRIVFIGCGTSYNAALASRPILEELSGIPVSMEIASDLWDRQGPIYREDTAVFVSQSGETADTLLALDYARENGALCVGITNTVGSSIARKTDCGVHINAGAEIGVASTKAYTSQIVVMVMLALAIGSDTISSQTRREAIIDGLLDLPNKIREVLKLDEEMKDLAQLLIEEQSLLVFGRGYNYATALEGALKVKEVSLMHSEGILAGEMKHGPLALVDENLPIAVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVSSSGSCRAIEVPQVEDCLQPVVNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >A03p061850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26861612:26861933:1 gene:A03p061850.1_BraROA transcript:A03p061850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLDFHGFSGGFTTVRQFLSRCWSSSEMLQGVEKEGLHMRGGLVLWLGANGGVWRVSPSCGGVEPLGFKGSDVL >A09p060270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50025215:50032871:-1 gene:A09p060270.1_BraROA transcript:A09p060270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein NDC80 homolog [Source:Projected from Arabidopsis thaliana (AT3G54630) UniProtKB/Swiss-Prot;Acc:Q9M1G5] MRGGAAGKRKTTTGFSGAPQPQPPPSVDYQRQLFNSRDSDASFASSRPSSVGLSRASDIHTDRSHQSSTIRSVNAFLASHNSPISLRAHPVPPVKDISETLVFLLSTVDFHCDDRKWDEDVVFFLRSLSCPLKLTKSTLRAPNSPHNWPNVLAVINWLVQYARFRQHLSSSLASVAPDANSMSSFGIRSYCHFIRGEDDSVNNLDSEFLGKLEAEKVTIAETISNSEKVAAELEAKLDALRKGPSKKEALEKVKSDLEKDVNKFRTMVAERSERTRGMEKVVEEKEKEVVAKEEDRGRIFEENKELKKSVEVQCFNVRDVERMKRELQAVERDVGEAEVARDGWEQKAWEVNSQISNQFHQIQRLAIDCNQALRRLKLQVNEVEEKMKLVKKETQELASNCDLEVKTMAESLRTDAMNLEVVEKEAAEMLKASEVRLEEAVKQSEKEVQAVASRLFALIDSISKHKEYMDSKILEVKTGVADTATAVAEIYKGSLKRHFGGSSRNDCSHEAS >A06p013510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6120065:6122698:-1 gene:A06p013510.1_BraROA transcript:A06p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp6 [Source:Projected from Arabidopsis thaliana (AT1G15960) UniProtKB/Swiss-Prot;Acc:Q9S9N8] MASGGSTSFSNSNSNKILVSEKKSWKNFFSYLGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYSKVPNFMLWVVAEIAVVALIGTAFALNMLFNIPVWIGVLLTGFSTLILLALQKYGIRKLEFLIAFLVLTIAVCFLIELHYSKPDPKEVLYGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVTGIKEACRYYMIESGLALTVAFLINVSVISVSGAVCNASDLSPEDQASCEDLDLNKASIFILLAFLCLPQNVVGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLNLTIRPWLRNFLTRCLAIIPSLIVALIGGSAGAGKLIIIASMVLSFELPFALVPLLKFTCSKTKMGSHANSLLISSVTWIIGGLIMGINIYYLVSSFIKLILHGHMKLVAVVFLGILGFSGIAIYLAAIGYLVFRKNRESSTLASSNSQTAETLPREDIVNMHT >A03p011260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4520570:4527401:-1 gene:A03p011260.1_BraROA transcript:A03p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLKISHLSNTLEPRDQCRAGRTFEFSSICFRGGHWSTEMSSPKLRTRCAGSDAGISGGRSVPEWTYTGSKDETFSDLEIDDGDDDGNGDSGGNNGGGGDDDGEEEEEKEFGPLVKLDEVMKETERRGIALPEDMSEAAKSVGIRKLFLLPAMEDSQVPSPSRNLDYAVNHTKNIPLDLVLDILSRLPAKPLIRFQAVSKLWFSIIRSKDFVGTFLTRSKTRPRLLLTFKHFDSRKRFIFSAPEHEDDKTSSTVMARHDMTISDLVYYIESRPVNGLVCCTRGSSIAIYNPTTRQIVTLPDLTLNGRDMYARLGYDPVGEQYKVLCVMMFDGFDSCTSDDIKQEHFVFTLGSQQRKWKKIETVTKDPYRCMKGEVCIDGAVYYGIGHTRIARFDVRSEKIEFIQVPEDYNAVSSYSRLIIYQGKLACLSYDFYLTSEMYMWILQDAEKQEWSSVVTCDVHSEWKDLLTEERVLCTGEIHTNEAILVSRSLRSSELFCVYYCDMISKRVRRAEVDGIADDEFRGVHGIGKYGRDEMWCFPARVVELITKPAMKDSQPSLSRRRRDLYHVGNCTTTTIPLDLIIEILSLLPAKSLLQFQSVSKLWFSTIRSKTFVDSFLTRSKNRPRLLFTFYLKNSWEKFLFSAPEYDDDKSSSVLARYDMTISDLDEICGSVNGFVCFRSVVSSKPVCNNKITVYNPTTRQIMKLPDVTSGRRYVDALIAYDTVEDQYKVLCVKMFDRKTQQQQEHFVCTLSSSQKQEWRKIENTTGGSYKCVLLGHICIDGALYYRIDQSRIVRFDVRAEKIEIIKTPKESHVSVAYDSALIDYNGKLGRLVGSCANNLVTLWVLEDVEKQEWSSMTHAVPYQCGSIHRDRVLVVCEAGIHAGVTMMFRLPFRVCYYDLNKKNIREVEIRGMEDGDLRRVHGFGVLTEPRDQCRAGRTFEFSSICFRGGHWRTELSSPKLRTRCAGSDAGISGGRSVPEWTYTGSKDETFSDLEIDDGDDDGNGDSGGNNGGGGDDDGEEEEEEEKEFGPLVKFD >A05p009990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4170585:4170896:1 gene:A05p009990.1_BraROA transcript:A05p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >A10p034700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20122436:20124718:1 gene:A10p034700.1_BraROA transcript:A10p034700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the MYST family 2 [Source:Projected from Arabidopsis thaliana (AT5G09740) UniProtKB/Swiss-Prot;Acc:Q9LXD7] MGPSAKSDTNGTASSNRNPPATNGGDASLNQPPPLATNQAITESDPSKKRKMGMLPLEVGTRVLCRWRDGKLHPVKVIERRRIHNGGPNDYEYYVHYTEFNRRLDEWTHLDQLDLDSVECAVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGKYEIETWYFSPFPPEYNDCVKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKDQS >A01p053860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29814754:29815979:-1 gene:A01p053860.1_BraROA transcript:A01p053860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCGVVGESEPAAPVDSVTRTSLKRRLDLLPSIKIVAPPLETRRKRQKRETPSLVSTSPDLESNSISSSATEEAESSFFSDAPKIGTTSVCGRRRDMEDAVSHHPSLLHKNSEDLHFYGVFDGHGCSHVAEKCRERLHEIVKHEVEAMASDYEWKETMAKSFQKMDREVSQRDASRSAKISCRCELQSPQCDAVGSTAVVSVVTPEKIVVSNCGDSRAVLCRNGLAIALSSDHKPDRPDELNRIQEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPDPEVTVTDRTEDDECLILASDGLWDVVTNETACGVARMCLKGADVAEGGGDSDAAHNACSDAALLLTKLALARQSSDNVSVVVVDLRKRRNN >A09p000900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:543296:549015:-1 gene:A09p000900.1_BraROA transcript:A09p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKGKRIRSEEDPPRSSDKRRKTRKKEEENVVSRRGSSSSEGRRRGRDMSSSLDKDESEGRRKYAGLTCHQCKIMMSSKTDLVFCSICVNKRYCKDCIKRWYPERTPEGVKAACPFCMGNCNCRACLREPLAVKIQSGKDDNVKLKQLQYLLVKVLPVLRAIYTEQSRELEIEAAIRGVPVTESDVAKCEIHPSVRIYCDLCSTSIANFLRSCQNQDCSSDICLSCCNELRDGESFSSWKLNSDGTIPCPPKERGGCGTSTLELRRLRKRDLVQKLITDAEELTQQFKPPDVDTAHECSSCSDSITRQAASRKNGHDNFLYSPTALDLAEDDNAHFQSHWIKAEPVIVRNVLEKTSGLSWEPMVMWRACREMDPKAKCKGEAKAVKAVDCLDWCEVEINIHQFFDGYLKGRMHCNGWPEMLKLKDWPPSALFEERLPRHNDEFMSALPFFDYTDPESGIFNLATRLPDGSLKPDLGPKTYIAYGFHEELNRGDSVTKLHCDVSDAVNVLTHTAKVDLTPRQYQKIKLEQKSYAKAQLRKQQKNKSLGEVDKDEIILIENEEDLKNCNGLLGEHSLKDKAAKEESSYSIPGPSSSQKGECINIGRADDPKEGSSSLNSCTVAMESGHDTKVDVGLITQKNVTVKRESVADENHDDVCLKTEISSPSQREADSAVENELNMPTLPSTLPQPVVSTSAESIEEEKLDAPIETNGNANESTKAVHGGAVWDIFRREDVPKLIEYLKRHKHEFRHLYNEPVKSVSHPIHDQSMFLNERQKKQLKEEFDIEPWTFVQHLGEAVFIPAGCPHQVRNIQSCIKVALDFVAPESVEECLRLTEEFRRLPKDHKSNEDKLELKKIVLHAARSAIREAQDLMQTKTVTKDRKGSST >A04p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6967073:6968172:-1 gene:A04p010940.1_BraROA transcript:A04p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPIPKIRVFGQRSIAPSFLNRNSIPVEDDSSSKKVDKCASFSEFLDSKLNNKSVLFNAAQKSSQEGPRLFTSLVSSKDVTQLSHGDGGLEKTMLRQFKPRETQISEQVMDKPKLSLEPLDQATAEEVDLLTTCFTDVNDDIIVKDDKLPKKRKDPFEGMESMDRTRKPVLVFGDNNSKSVQEEGERGGSNSTSCKKLKPTYNHYANGSGFWDCDMEGVDSEEVGHSEVWEGVGSTTFGDIVDWH >A01p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24461740:24466206:-1 gene:A01p044260.1_BraROA transcript:A01p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAAEQARSKRQRANGPSDGQIKMDSHGTSYGECEERDSIDCCSSSSHMGADRLSDMIHTPLNSFTPDSLHRVFSDYNPLLIRIHIPICVDPCRKDLCSGDHLHLCKRHHLPSPPYESDVVRNGENEMESNIVSDRGIEMKSVTEKSSIDTKQVNKMEHFYISSAAVVEKPPQGPYTSPPPIGYPTRDAMLSDPPPPAVETKSKGDGCAAICCCCVLDACF >A04p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22873742:22874312:1 gene:A04p040510.1_BraROA transcript:A04p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKAKLALVLAAKSRSLLYTSSPATPCVFASPIHTLASVPFCWEDQPGKPKNPLLPFSYPKCLELPPRLLLPGEFAQMPLPERKHGLFGFMKKKGRGEVVVRGSHVFPSEKERAGEINNMKIMKFSRSGSFHGDGFWASLCKGLKQAMPWKNKSMRSKSL >A01p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13674874:13677649:-1 gene:A01p030850.1_BraROA transcript:A01p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFQRYIYATKNYSENLKELKAKRLEKNKTHVLCQEERVFFSRLLGTIMAHHNEDEGSIGTSMHGVTAREQVFSFSVQGEDDGPSSHTVRFDDPTAKFALPVDSEHRATAFKPFSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPIIRDNLDLTKSDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFLLMLSAPTVFSMSFVSDAGGYLSVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHVIKLAGATPFTAWRIAFFIPGFLQVIMGILVLTLGQDLPDGNLSTLQKRGAVSKDKFTKVFWYAVLNYRTYVLFLLYGFSMGIEMTINNVISEYFFDRFQLELHTAGMIAASFGMANFFARPLGGYASDISARFFGMRGRLWTLWILQTSGGIFCVWLGRATSLPIAILSMMLFSVGVQAACGAIFGIVPFVSRRSLGLISGLTGAGGNFGSGLTQLIFFSSSKFHTADGLSLMGIMGMIFTLLVAFIHFPQWGSMFFGPTSDEKKSKEEHYYAAEWTEEEKRQGLHEGSLKFAENSRSERGRKAASDGSSPSTPPENGNPAHV >A06p016420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7347692:7350568:1 gene:A06p016420.1_BraROA transcript:A06p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGEMMRPQQISKLSSSARSFFLSGSRSSAADGSPSAFNDDEPCVSRRQQLRHEAALAEKLPSSIIIRKPLVAGSILPGETTTKPVVVKKVDGSGRPSLLPQHVCSSSPALPSKPHSVTYASIREEEEEASSSAPIGDQIFRAGYAAVSILSDLANFKLPSSDGGSSEVFGLGKSCMVDPARPITSVKSVIRREELTKAYPRSPAAKESSAGKIRNSSSNFRGTKEGSKQVSVQKRYHHHTSGKRTTSMLQRHNNIDSNRFVPNEMMKAPSSRQYCNVKEVENVSSILKTFRWGPAAEMALENLRLTMGPYQANQVLKKMNDYGNALGFFYWLKRQPKFKHDEHTYTTMVGNLGRAKQFRAINKLLDEMVRDGCRPNTVTYNRLIHSYGRANYLNEAMNVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVAMDMHQRMEAAGISTDTFTYSVIINCLGKAGHLPAAHKLFCEMVDQGCTPNLVTYNIMMDLHAKARNYQSALKLYRDMQNAGFRPDKVTYSIVMEVLGHCGYLEEAEGVFTEMRDNNWVPDEPVYGLLVDLWGKAGNVEKAWYWYQAMLHAGLLPNVPTCNSLLSTFLRVDKIGEAYELLQNMLALGLRPSLQTYTLLLSCCTDGRSKLDMGYCGQLMASTGHPAHMFLLKMPSAGPDGQNVRNHVNSFLDLMHSEDRESKRGMMDAVVDFLHKSGQKEEAGSVWEVAAQKNVFPDALREKSSSYWLINLHVMSEGTAVTALSRTLAWFRRQMLVSGSCPSRIDIVTGWGRRSRVTGTSMVRQAVEELLNMFGSPFFTESGNSGCFVGCGESLNRWLMQSYVERMHLL >A01p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21793463:21796390:-1 gene:A01p040850.1_BraROA transcript:A01p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRATRQRGKVKAFPKDDPTKPCRLTSFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTVIETPPMVVVGVVGTVWAQHLSEEVRRRFYKNWAKSKKKAFTKYSKKHETEDGKKDIQSQLEKMKKYCTVIRVLAHTQIRKLKGLKQKKAHLNEIQVNGGDTAKKVDYAYSLFEKQVPVDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYRLGKVGQETHTAMTEFDRTEKEITLMGGFPHYGIVKDDYLLIKGCCVGPKKRVVTLRQTLLKQTSRVALEEIKLKFIDTASNGGHGRFQTAEEKAKFYGRVKA >A06p042640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22914918:22916501:1 gene:A06p042640.1_BraROA transcript:A06p042640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREIRTYGPRLGRSRAEYINAGLYLFATVVLIGGFTATGFSWEPRSGLVLILLALALITAVNVHDLVAHLAGIDYRLKLMEYDLQLGLVEFAVPLVQIAGSVVFFLGILFVFNQAETKHGNSGREKHALNMLIAGPLLWVIGSIHNSCQIYERADSHVQILQQCVHIPFLVGSLLFLVSSLLNSFDQSGSSHTALKLLGRRWIWLGLSGSICLFVGGLMNVVKVFNFVQITGLRLEKLRGGAQDRLLEEREGYLPLVAEEERIRKMEAEHASNRAKTRSHLDSKEGAGATESVMGTSQTPYKDVLLGQS >A10p027760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17408522:17413846:1 gene:A10p027760.1_BraROA transcript:A10p027760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDSDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQSLVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDSFEAELEGLSVKKGKTRPPRLTHLETSISRHKDHIIKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQDDFDEFSDVDELYSTLPLDEVEGLEDLVTAGPLVKGTPLSMKSSLAAPVPAPQVRSISLPDDTTVPDSNSETLPKTPPAKNGASLNSAPSTPVGGRSSLNVPVINVPNAPVVVSTSIPVQTSTESMGSLSPVAAKEEDATTLSSRKPPSSVPDAPLRGIGRVSSPNQSQPSQPLSPSPANGARISATSAAEVAKRNIMGVESNVQPLSSPSKMVLSPAAKVNDGTASDSNPGDVAASISRAYSPSIVSGSQWRPGSPFQSQSETSSAMSPHGSMGFQAPGFSVMSSASLQQPSNAMTQQMGQQPSVADVDHGRNDDQLLQNLPYDSSSLAASKTIPNEDDSKGLFDTPSGMPSYMLDQVPVTRDGPDFSPGQPIQPGQPSSSLGVIGRRSNSELGAIGDPSALGPMHDQMHNLQMLEAAYYRLPQPKDSERPRPYTPRNPAITPQTFPQTQAPIVNNPLFWERLGSDAYGTDTLFFAFYYQQNSYQQYLAAKELKKQSWRYHRKFNTWFQRHKEPKIATDEYEQGAYVYFDFQTPKDESQDGGWIQRIKNEFTFEYSYLEDELAV >A06p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24900740:24902398:-1 gene:A06p046560.1_BraROA transcript:A06p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNCNFIIVVKFFVTFLIFVSPNKILAFYDRFYGYAEEPMGPSFSYEVFDVSTFGASPNADTDNTLAFEKTWWAACNHQGKSKFYVPEGRFLVGEIQFKGPCRTESTMEVEITGDLIAPTGIKDFPSNQWIGFSQLNDIFLYGSTNLDGRGDVEAWKQKSCEDSKCDKLITSLSLDNVSNSIIENISLSNAKGFHFRLHSASNVTVHNVSISSPWDSPNTDGIHVHNSSFIYITNSTIGAGDDCVSISTGSLDVLVSGTHCGPGHGFSIGSLGKVKNEEEVRRIKFQNCTVNGADNGVRIKTWPTSPPSEASDITFEDILMINVSNPIIIDQEYCPSNSCNTTSASLVKLSNIEFKNIRGTYRSDFGVNLRCSSVVACENITLIDVNLNGTNSTYKTPKEKWEQEKFSTKGSLNGLAVFNSTFN >A10g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:876761:878986:-1 gene:A10g500250.1_BraROA transcript:A10g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIAPRNPERCRTLRLKVFERFKKQFEKQERDHDQGENQKLSLYQAFSLKTHLADYLLLIAYKGTQISQHHLMYTKKKIYALFGIDYLSHPLLIVKCMINKKAIFCIPRIGGCVRMRIVALIVSAFELSSLKCRYCASVSTYIFLVAKAEEDNDEKHKPSTQALSYHNVSQEFRVVIPRPKLLPGLHVQSTYGYSKKWFFLSVWSHAQLTLASHCVIYVVTMQPVSAMCDWQVWAYLQICSLHELLFFFLKLQPDGFFSH >A03p067940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29806100:29807272:-1 gene:A03p067940.1_BraROA transcript:A03p067940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGALFRNAASDAARILRSHRTSTANPLGKLDLLPRGGDVRRFQPRPYFRTPQLLGRSKEARVPPRSLISGFCSSSSSSTASTASFVKTGFLGWYLSMLKSRPVLTKSVTSSLIYIAADLSSQSIPQASSESYDLVRTARMAGYGLLILGPTLHYWFNLMSRLFPKRDLITTFKKMAMGQTVYGPTMNVIFFSLNAALQGENGSEIIARLKRDLLPTMMNGVMYWPMCDFITFKFFPVHLQPLVSNSFSYLWTIYITYMAGREKPTAIAS >A07p031680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17480404:17482200:1 gene:A07p031680.1_BraROA transcript:A07p031680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIRLVHQGVEGMKTNNNDEQRKTLPFPGKYILKSTVFIVASLFISAALLGFLGYLNFNAFAGFKLIPKRFKPRFPYQCDVQDQTHQILFSQNLISRLHPNRSQPSSCPSYFRWIHEDLRPWKETGITRDMVEKASKTAHFRLVIHHGKAYVKRYRKSIQTRDDFTLWGILQLLRWYPGRLPDLDLMFDADDRPVVRSDDYTGQQNKDPPPLFRYCSDDASLDIVFPDWSFWGWAEVNIKPWGKSLEAIQEGNNITQWKDRVAYAYWRGNPYLDPTRGDLLKCNVSENEEWNTRLYVQDWDKETKEGFKNSNLENQCTHRYKIYIEGWAWSVSEKYIMACDSMTLYVKPRFYDFYIRGMMPLQHYWPIRDDSKCTSLKFAVHWGNTHVDKAREIGELGSRFIREEVNMKYVYDYMFHLLNEYAKLLKFKVKIPSDAEEITPESLGCAATERWRDFMAESMVMSPSEEFPCEMVPPYDRLALKEVIERKAN >A08g500180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:331175:331498:-1 gene:A08g500180.1_BraROA transcript:A08g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCPYAEVLWSESRRRFRDTVPVFTNWPELVLWTSSSSNAASSHLRMMVVQALFYNIWKQRNNMLHNQALVPPTATFREIDRHIISSIYAFRKRKKFTNLMARWLI >A04g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11866082:11873636:-1 gene:A04g505610.1_BraROA transcript:A04g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGPEHIARRRARGRVVEVAEIITGVPTHYKKDESYRSIGLALGEVDSVDVDNGRVRVRINVDEPLQFKRKAGYANGDVISVTLNDEELHRYCYTCKRISHEEGTCPELSLEQRESNRIARLKQKEKEELAAREAFSVPIRGFETHARIDSQARFHRTYDLDRKPLDSHMHKSDCFKTEQKQNPDHDDLGFRISGKIESLARTVWNRLDHNYAGKVPRDRERYHPYQNDLRADFRYTKRITETPIKQGRYGDSASSSSWRVKGSSPQNQNRVQERSREWRRPNPPSRSNRSPDSQRTISEPHRILRSDPPRRRIGQYSSYEPRLEWQPVRVATRSREEQHQEANEHTNEQEMERETEEDRRRRIKGKAIARNPGDKEGNGFFDGGASGTLKINEPLPNKVTVPKEIPVTQGNTIHNIQSTQSQERGLAAQKTPTSSSPEQAEKRDSQSPISERLKIGLMGKHSSAQDTDLLTEEEINQIADQYASVDFDMDEDMLNEDDLLDEELEENTVIPETQEFEMQSNLPQREEDRAGRDVRKEKEKERLTTKTPRPAASKEQELTKKAQKDMRPPISINKHRGTRSPDTKGAAASKKLAIRGPYSKKTSSRKSCRGRGDCATRGKDLGATTEILKSTHYKGRGRNTEEGASQILERATHLLRSCCLPILRFFLDRSHLLHSSMLLWVKITGVPTHYKKDESYRSIGLALGEVDSVDVDNGRVRVRINVDEPLQFKRKAGYANGDVISVTLNDEELHRYCYTCKRISHEEEKEELAAREAFSVPIRGFETHARIDSQARFHRTYDLDRKPLDSHMHRSDCFKTEQKQNPDHDDLGFRISGKRESLARTVWNRLDHNYAGKVPRDRERYHPYQNDLRADSRYTKRITETPIKQGRYGDSASSSSWRVKGSSPQNQNRVQERSREWRRPNPPSRSNRSPDSQRTISEPHRILRSDPPRRRIGQYSSYEPRLEWQPVRVATRSREEQHQGQRTYQ >A08p035600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20967934:20970301:-1 gene:A08p035600.1_BraROA transcript:A08p035600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CAULIFLOWER [Source:Projected from Arabidopsis thaliana (AT1G26310) UniProtKB/Swiss-Prot;Acc:Q39081] MEKVLERYERYSYAEKQLKAPDSHVNAQTNWSMEYSRLKAKIELLERNQRHYLGEDLESISIKELQNLEQQLDTSLKHIRSRKNQLMHESLNHLQRKEKEILEENSMLTKQIKERESILRTHQNQSEQQNRSHHVAPQPQPQLNPYMISHQASPFLNMGGMYQGEDPTAVRRNRLDLTLEPIYNCNLGYFAA >A06p012890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5865178:5865402:-1 gene:A06p012890.1_BraROA transcript:A06p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNNKKNMKMSSGQESGNGTTNIVVTETKNKKVERKTSMDVDQCAEAFIANFRKQLLLQRLESIDNMLSRGL >A09p041500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23544900:23547998:-1 gene:A09p041500.1_BraROA transcript:A09p041500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G34130) UniProtKB/TrEMBL;Acc:W8PVA0] MATNGSPKPEPMAAKKASPDLLNSSFSFKSLKLKTKQQELLLRVSILGLVYVLAFIARLFSVLRYESMIHEFDPYFNYRTTLFLTEKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYWTLRFLRFFVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAALIAICPGYISRSVAGSYDNEAVAIFALLLTFYLFVKAVKTGSLSWALGSAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFLLMQVFYFLDWVKHKLNDTKLFQTFLRITVTSAILVGGIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDYHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSLYFAGVMVRLILVATPAVCLISAIAVSATVKNLTSLLRTKQKVPQTGSTKGVGSSKSSSKVTLDQSQPFHKNGAIALLVGVFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRIIFDDYREAYYWLRQNTPTDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYDIMRSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVYPVIKEPDYLVNGEFRVDKGASPKMLNCLMYKLCYYRFGELVTEYGKPTGYDRARGVEIGNKDIKLEHLEEAYTTSNWIVRIYRVKPPTNRL >A09p066100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52573211:52574054:1 gene:A09p066100.1_BraROA transcript:A09p066100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGLSSPDLLRIDDLLDFSNDEIFSSSSTVASSAASSSENPFSFHASPPPPPPLLTDFTHDLCVPSDDAAHLEWLSRFVDDSFSDYPANPLTVNVRPDASFTGKPRSRRSRAPSSPSLAGTWAPMPESELCYSVAKRSPSKKLEVESVTAEGGGGRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEQIESRFQPQ >A06p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10167724:10172773:1 gene:A06p020670.1_BraROA transcript:A06p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49170, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49170) UniProtKB/Swiss-Prot;Acc:Q5G1T1] MAMISFSLPSPAKLPITSPPSVPNRINIADRLILRHLNAGDLRGAISSLDLMARDGIRPTDSATFSTLLKSCIRARDFRLGKLVHSRLAESDIEPDSVLYNSLISLYSKSGDLAGAEDVFETMGRIGKRDNVSWSAMMACYGNNGKELDAIKLFVGFLELGLVPNDYCYTAVIRACSNPENVAVGRVILGFLMKTGYFESDVCVGCSLIDMFVKGENNLENAYKVFDQMSDLNVVTWTLMITRCMQMGFPKEAVRFFLDMVLSGFEADKFTLSSVFSACAELEDLFLGKQLHSWAIRSGMADDVGCSLVDMYAKCSADGSLDDCRKVFDRMEDHSVMSWTALITGYMQRCNLDAEAINLFCEMISQGRVQPNHFTFSSAFKACGNLSDPRVGKQVLGHAFKRGLASNSSVANSVISMFVKSDMMEDARRAFDSLSEKNLVSYNTFLDGACRSLDFEEAFELFHEITERELGVSAFTFASLLSGVASVGSIRKGEQLHSQVVKLGLSCNQPVCNALISMYSKCGSIDTASRVFNLMEDRNVISWTSMITGFAKHGFAKRVLETFNQMMEAGVKPNEVTYVAILSACSHVGLVSEGWRNFKSMYEDHKIKPKMEHYACMVDLLCRSGLLTDAFEFINTMPFQADVLVWRTFLGACRVHSNTELGEISSRKILELDPNEPAAYIQLSNIYASTGKWEESAEMRKKMKERNLVKEGGCSWIEVGDKFHKFYVGDTSHPNTHRIYDELDRLIREIKRCGYVPDTDLVLHKLEEEDDVAEKERLLFQHSEKIAVVFGLISTSKSRPVRVFKNLRVCGDCHNAMKYITVVSGREIVLRDLNRFHHFKDGKCSCNDYCVESKKGLEYMAMQCRKHKAVLTDFGAVGDGKTSNTKAFRDAIAKLTPQAADGGVQLIVPPGNWLTGSFNLTSHFTLFIQQGATILASQVESEYPMIPRLPSYGDARFASLIYGTNLTDVVITGNKGTINGQGKSWWLKYRSGGFNLISRPLLIEILYSENIQISDINLVDSPMWNIHPVYCKNVIIKNIKIDAPIDSPNTDGINPDSCTNTLIEDCSVTSGDDCIAVKSGIDQYGIATAIPTQQLSIRRLTCVSPDSAGIAIGSEMSGGIKDVRIEEVTLINTQSAIRIKTAIGRGGYVKDIFARRFTMKNMKYVFWMTGSYKLHPIGFDPNALPEIRNINYRDMTADNVTISAKLEGIKKDPFTGICMSNVTMDLSPTTKKLQWNCTDVAGVTSRVKPEPCSLLPSKGPAMDCHFPTDKIPIESVVLNKCTA >A06p050730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26679677:26698353:1 gene:A06p050730.1_BraROA transcript:A06p050730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71B21 [Source:Projected from Arabidopsis thaliana (AT3G26190) UniProtKB/Swiss-Prot;Acc:Q9LTM2] MSMFLYFFLSMPLLFIFFKRLSNSKGKFPPGPMGLPIIGNLHQLGKSLHRSFHKLSQEYGPVMFLRFGVVPVVVFSTKEAAEEVLKTHDLETCTRPKLSATKLFSYNYKDIGFAQYGDDWREMRKLAMLELFSSKKLKAFRYIREEESELLVKKLSKSAETQTLVDLRKALFSLTASIICRLAFGQNFHECDFVDMDKVEELVLESETNLGSFAFTDFFPTGLGWIIDRISGQHSELHKAFARLSNFFQHVIDDHLKPEQPQDHSDIIGVMLDMINKESKVGSFKVTYDHLKGVMSDVFLAGVNAGAITMIWAMTELTRHPRVMKKLQQEIRATLGDNKEKITEQDLEKVHFLKLVIQETFRLHPPAPLLLPRETMSDIKIQGYNIPKNTMIEINTYAIGRDPNCWTNPNEFIPERFIDNPIDYKGQHFELLPFGGGRRICPGMATGMTIVELGLLNVLYFFDWSLPDGMTIEDINMEEAGAFVIAKKVPLELVPIKHSKWNLPPSPPKFPVIGNLHQIGGLPHRSLERLARKYGPVMLLHFGFVPVVVVSSREAAEEVLRTHDLDCCSRPKLVGTRLLSRDFKDIAFTPYGEEWKERRKLAVRELFCLKKVQSFRYIREEECNFMVKKLSESAVNRTPVDLSKALFWLTASILFRVALGQNFYESKFIDKEKIEELVTRLNDVFLKLDDLFQRVIDDHKSPGRSKEHEDIIDAMLDVLHKQGENDSLKLTVDHIRGVVSNIFLAGIDTGAINMIWAMTELARNPKLMKKVQSEIRDNLGNNKETITEEDIDKVPYLKMFKASAIGRDPKLWTNPEEFNPERFIDKPVDYRGQHFEFLPFGSGRRMCPGMPMGMAIVELGLLNLLYFFDWSLPDGMTIDDIDMEEAGTLTIVKKVPLKLVPVRRAISIP >A08p042530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23835820:23837318:-1 gene:A08p042530.1_BraROA transcript:A08p042530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPKREIGSSSGLGQSEVDVEKLSFFKIFQGDDLSSESMRAFPYDFIRNVPQNDYSSNMVIRTQWGVSWEVKVSMNPRFYYMEKRGWNQFVNDNALGDKELVTFTHTGLMCFNVNIYEENGKELVRPRTMASLSGIKKEVGESSKKDVKKAEETGGVRVKSKRFEEGKTSKKKKKKKMKKSNNDEDTVPVFNITITTSYLKFLPIPRYFADVHIPNKSKMVVTIHHSNGNCSWEVVCLVRKARAIFSGGWARLVREYPLSVGDFCTFKFIKPTEFHLDVSKKVEEIMSD >A05g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15503662:15505551:-1 gene:A05g505580.1_BraROA transcript:A05g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSRKSSRRFPGSLPDDFHFSRLDFLEVVWTSCGSRLDFMEAFCQSLLFIIDLSVLVFNQMVLIFHSFKADDLTFNHLKKQISKSIAKITSALTRRFPGKSSTARQLTAHISLLQEHKISDESDPPRIVSFFDFMNHKKCIIKILGFFSLMWRESERDVFSS >A10g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19099247:19099884:1 gene:A10g506600.1_BraROA transcript:A10g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYINNYLFEDGILIGLRLLLHDEAIDLLEMLKREEEMLSAIKEKQLKGLLMIAHRKLNLAHIFFECSTWMTNVFLQDDGCLSMENMLR >A10p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4089763:4092581:1 gene:A10p014630.1_BraROA transcript:A10p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESTNQQIVDATIEDAIYCHQCRHRRSDAVGTCVTKEGTKTCGLKFCPKCLLSRYGEIGEEVALNDNWVCPRCRKICNCSKCMKNKGEKPTGMLTATAKKNGCSNVSEFLKKEGSEKYFYRGKVKPENVIGQGNCAEGINDSTAGCSEENAAARTKPVLKEKEEFQLAEVKLPQGIQSITVSSVDLHPENAGSVLQFLEFCLTFREALGLRDGQAHSVVHEVLSERSTSQEHSMLTQTIIQLLTLILADRGEISVGISATDDRWFTILGECLAESEKKRAEEKLNAAEAKGKELYQKIKDDFSKTEADNNGVALTIEQRVAIISQMSAESEEVHFEKKKALEMQPKSQEYNDALRTNPVELEDNGLILWNLKSYNEEPTILLQDLGSYSDICPHEKWYSFSSEQKPQVEKYITFKRKKSLLEEKRKRKEKKRKTVFQ >A02p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8667360:8682257:1 gene:A02p018730.1_BraROA transcript:A02p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFKTSYLEPKPAVPVSTGSNHASPSQSLTVAVAISGSTKSKNVLKWALKKFASEKNIIFKLIHIHPKLTSIPTPSGNIVSITEAPEDVAATYRRQVMEETKETLLKPYKKMCERKKVVVELLVLESNSVAVAITRDINQHLISRLVIGRSCNVGLYGNNDITAKISHYVSNLCTVYVVSKGVYILSKEKLPSASDTERNEILRDTGSERSSSCSSGSGTISDAMSSTNALKSKSLGLSNKRLQHLPTIVRGVSGRVETDSDETRSVCSDAAEEVSKRETSYTDERHDGMSHISSNPEYENVTDQGEDYFTDDQETLQEITKLRDELRQAQEMYAVAQVETLDASRKVFPEASKKKWLKELKLEELTIKGQGTKGLAQKETQQKRMEEKETAQRREAEMKVAHEAKEKEKLVESCLVAPKLAPKVQYQEFTWEEISTATSSFSQDLKIGEGAYGAVYKCSLHHTVAAVKVLHSPESNLSKQFDQELEILSKIRHPHLVLLLGACPERGALVYEYMENGSLEDRIFQVNNSQPLPWFVRFRIAWENNDDDELIQILDKKAGDWPMEETRKLAALALHCTEIRAKDRPDLEKQILPVLESLKKVAEKARKTIASAPKQPPSHFICPLLKDVMKDPCIAADGYTYDRKAIEKWMEDHRSSPPLSSGAVEISKANKSERTVRNDFVFFISPMRIYHVIFLVVSLFSSAFSDELSDPSSILQYPSEEPKRVDGDEVNLHCTSWRFAAETNNLAPWSTIPAECADYVKDYVLGEGYVADLERVSEEASVFASTVDLSAGDGKDAWIFDIDETLLSNLPYYIDHGFGLELFDHSEFDKWVERGVAPAIAPSLKLYQRVVDLGYRVFLLTGRKESHRLVTVENLINAGFHSWDKLILRSPDEQHKVATLYKSEKRDEMVKEGYRIRGNSGDQWSDLLGSSMSQRSFKLANPIRLTSPESNQRPLTLLSQPCVKDYVLGKAYVADLERVSEEASIFASTVVGFNGAGDGKDAWIFDIDETLLSNLPYFIEHGFGLELFDHSEFDKWVERGVAPAIAPSLKLYQRVIDLGYRVFLLTGRKESHRLVTVENLINAGFQNWDKLILRSPDEQHKMATVYKSEKRDEMVKEGFRIRGNLGNQWSDLLGSSMSQRSFKLANPMYYIP >A04p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:312745:313129:-1 gene:A04p000550.1_BraROA transcript:A04p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYRIARALPFSALLRFVQLHLLFPGDEDVTVLSHFQFYRQLEKEAETVISVLRPGPLGIIEHKSTPQEILQAKATVCRSVDNWPRHSNHANGVLNHFIYK >A07p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27518771:27522545:1 gene:A07p052030.1_BraROA transcript:A07p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKDSSWDDIKNEGIDLEKIPIEEVFTQLRCTREGLTSDEGHTRLEIFGPNKLEEKKANTLTKTFLYHFISVSCLPRNPESKILKFLGFMWNPLSWVMELAAIMAIALANGGGRPPDWQDFVGITVLLIINSTISFIEENNAGNAAAALMAGLAPKTKIIELCNLREDTKKRAHDIIDKFADRGLRSLAVGRQTVLEKDKNSPGEPWQFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESISSIPVDELIEMADGFAGVFPEHKYEIVKRLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVMGFMLLALIWKFDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGTYLAVMTVVFFWAVESTDFFSAKFGVRSISGNPHELTSAIYLQVSIISQALIFVTRSRSWSYAERPGFWLIAAFFLAQLIATVIAVYANWDFARIRGTGWGWAGVIWLYSIVTYVPLDILKFIIRYSLSGRAWDNVIENKTAFTSKKDYGKGEREAQWAQAQRTLHGLQPAQPSEMFNDKSTYRELSEIADQAKRRAEVARLREHHTLKGHVESVVKQKGLDIEAIQQHYTL >A04g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16029878:16032484:1 gene:A04g506930.1_BraROA transcript:A04g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFFRSGFNMQVFQIWKTSGTTYLVVWKSSGSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILRALRASNWLFMVVVVLMTMAIL >A02p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8009111:8011734:1 gene:A02p017730.1_BraROA transcript:A02p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIQGSMLSPNGGSVSTRSDKLLKPASFAVKVLGNESKKCGRVSVRGRRAVDTTVRSARVETEVIPVSPEDVPNREEQLERFLEMQKFSDTSVGMWSKPTVRRKTKIVCTVGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHKKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPIMLDPGQEFTFTIERGVSTPSCVSVNYDDFVNDVEAGDMLLVDGGMMSFMVKSKTKETVICEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAQVVHELKNYLQGCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPILQVSACFSFKINQENELLKFNSFAIDMQERIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAGVMHTVALRTEATITTSTEMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIYAFTNEKKIQQRLALYQGVCPIYMEFSDDAEDTFTKALATLLKQGMVKKGEEIAIVQSGSQPIWRSQSTHNIQVRKV >A06p008400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2909712:2910882:1 gene:A06p008400.1_BraROA transcript:A06p008400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID REDOX INSENSITIVE 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10522) UniProtKB/Swiss-Prot;Acc:Q9XIK0] MASLDVALFSSRIQFRSSSSIRYALPTIFNLSSPAVSSCATKSTQFLKRRLRAKSTNFSLSSLPRRGFVCRAAEYKFPDPIPEFAEAVSSFNFFFPSYSILTDCVIQETKKFRDHMAKKLSKRDLFEDSVDEIVGVCTEIFETFLRSEYGGPGTLLVVPFIDMADTLNERELPGGPQAARAAIKWAQDHVDKDWKEWTGTD >A09p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11017983:11024456:-1 gene:A09p020770.1_BraROA transcript:A09p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANNQSKKWEQEEISNEMNSWELTEEQSFDWRGRPSNPNKHGGTRAALFVLGLQAFEIMGIAAVGNNLITYVINEMHFPLSKAANIVTNFVGTIFIFGLLGGYLSDAFLGSFWTILIFGFVELSGFILLSVQAHLPQLKPPKCNPLIDQSSCEEAKGFKATFFFMALYLVALGSGCVKPNMIAHGADQFSQSHPKQSKRLSSYFNAAYFAFSMGELIALTLLVWVQTHSGMDVGFGVSAAAMTIGLISLLSGTMFFRNKRPRRSLFTPIAQVVVAAISKRKLVAPSDPIMLHGGNHASNDVVPSSTLPHTPRLRFLDKACIKVQETNTKESPWTLCTVSQVEQVKTLISLVPIFASTIVFNTILAQLQTFSVQQGSSMNTRLSNSFHIPPASLQAIPYIMLIFLVPLYDSLFVPFARKFTGQDSGITPLTRIGFGLFLSTFSMVSAALLEKKRRDSSVLDGRILSIFWITPQFLIFGISEMFTAVGLIEFFYKQSAKGMESFLIALTYCSYSFGFYFSSVLVSIVNKITSTSVNSKGWLGDNDLNKDRLDLFYWLLAVLSLLNFLSYLFWSRWNIKSSRSNNSTVVGGDNI >A03g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28914188:28917077:-1 gene:A03g508480.1_BraROA transcript:A03g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVKVVWTSRKSSGLPGSRLDFQEVVWTSRKSFFRSGFNMQSSGSRLEVIWTSCKVVWKSSELPKSLLTKSSKLPGSRLDFLEVVSFAIEKKTSRFNYIQTTYNSAVHETTEIRLKCKSSGEIKLLKLSIDDLTFSRLRLQISKSITKITSALTRRLPGKSSTARRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPRIVSFYDSMNQKNFRIKILGFFSSLWRESEIYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLISMAIL >A09p031100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18882789:18884969:1 gene:A09p031100.1_BraROA transcript:A09p031100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g04370 [Source:Projected from Arabidopsis thaliana (AT4G04370) UniProtKB/Swiss-Prot;Acc:Q9XE98] MIKPSSLLNSTKSFNSLINHLSSHGAHHQVLSTFSSMLANRFLPDAFTFPSLLRACASLRLLSFGLSLHQQVLVNGFSSDSYTSSSLVNLYAKFGALDHARKVFDEMRERDVVHWTAIIGCYSRAGFVGEAFSLVNEMRFEGIKPGPVTLLEMLSGVKEITELKCLHAFALVHGFGCDVTVTNSVLNLYCKCDCVVDAKVLFDQMEKRDMVSWNTMVSGFASVGDMSEILKLLCRMRDVGLRPDHQTFGASLSVSGAMSDIDLGRTLHCQVVKTGFDVDTHLRTALMTMYLKCGEEEASFGVLETIPEKDVVCWTVMISGLVRLGNAEKAMNVFGEMLHSGTELSSEAIASVVAACAQLGYLDLGASVHGYALRQGYKLDTLALNSLITMYAKCGRLDKSLALFEQMNERDLVSWNAIISGHAQNGDLGNALFLFKEMKFKTAHGVDSLTAVSLLQACSASGALRVGRLIHCVVIRSFIRTCTLVDTALVDMYLKCGYLEAARRCFYLISRKDCVAWGTLIAGYGFHGKGETAMEVYSEFLRSGMEPNHLIFLAVLSSCSHNGMVQHGLETFYSMVRDFGVEPSHEHLACVVDLLCRAKRVEEAFKFYKENFTKPSIDVLGIILDACRANGETEVEDVVCRDMIDLKPVDAGHYVRLAHSFAAMRRWEDVSESWKQMKSLGLKKLPGWSKIEMNGRTTTFFMSHSSHSDETASVLKLLSKEMKQIK >A08p036900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21583881:21586864:-1 gene:A08p036900.1_BraROA transcript:A08p036900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKIVEINSEANQREELSKWFIKYLNRQGDWLEKTRGNLMVAATVIAGMSFQVMVNPPSGVWQSDICSPGHQTGVCKAKVWGAIVQTSKRGFYHGMVTSTTVSFSASMTQILLIISGLRLSSSSLYIGGILLCGFGSIRRSSHHSYTKNLFGNLDCIFYLYTLNPTHSFHMLADLNRLIMAILVTFMTVAVLCISAAFYCAVLVQSDDEVITRILKIYLGIWIVFFICILLIQLIRFTCWLICFICYDLHNLLYN >A08g504330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6882097:6882300:1 gene:A08g504330.1_BraROA transcript:A08g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRAGEVSGTACEEPVGVRDLTYQSSRAINTVLNSLHEDEIRYLWASSFGKLVEIAEKPAFYGRFA >A03p030990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13047366:13050771:-1 gene:A03p030990.1_BraROA transcript:A03p030990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGSSTGSVRGELLHGNLDICVKEAKNLPNMDRLGKFTRNNNTSDPFVTVFIAGAKIGTTFVINNNENPVWMQHFYVPVAHHAEVVKFVLEDSDQLGAKFIGDVGIPTEELCSGNRIEGLFPILNSSGKPCKKGAVLSLSIQYSPVEMMKVYQMGVGNECEGVPGTYFPLRKGGRVTLYQDAHVEDGTLPSVDLDGGMKYIHGKCWEDMDYAIRKAKNLIYITGWSVYHPVRLVRRNNDPTDGTLGDLLKKRSKEGVRVLLLVWDDPTSRSFPKYRKQGVMNTSDEETRHFFKNSSVQVIICPRSDAEGLHGLVKKSEVETYYTHHQKTVIVDADAGQGRRKFVGFVGGLDVCNGRFDTPNHPLFTTLKTLHKDDVHNPNFVPNAEDGPRQPWHDLHSKIDGPGAYDLLTNFEQRWEKAVKKQHKIWKHRSCSDDALLKINMIPEIMGLSEASSTNDSDPESWHVQVFRSIDSTSVKGFPKDPKEGTGRNLRCGKNILVDMSIHTAYVKAIRSAQHFIYIENQYFFGSSFNWCSHKDLGANNLIPMEIALKIASKIRAREKFAAYIVIPMWPEGDPTGMFMQGILYWQYKTMQMMYQTIYKALVEAELDGMVVDDEFVLIGSANINKRSLEGTRDTEIAMGGYQLHHSWAKKGSRPRGQIYGYRMSLWAEHLGFLEQGFEEPENMECVRRVRHLSELNWRQYAAEEVTVMTSHLLKYPVQVDRTGKVSSLPGCKTFPDVGGKIIGHVLWAGTKPRHLEVTL >A06p046750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24974461:24974956:-1 gene:A06p046750.1_BraROA transcript:A06p046750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKFPSLIFSLVMVTALFLMPIISGQMVPCLPGECTNSSVCNSACKSKGYRGGVCVKMDLGAKSGACCCKRYFESQDSSMSYDANVLIPN >A07p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15238109:15240217:-1 gene:A07p026720.1_BraROA transcript:A07p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPASMWSSIVKKDPPSKPPTTDVAPAAILGMVGNCKSTKGISVAVVDANAVIDGRQSLTDFADKFVTVPEALSEIRDSDSRRRLEFIPFTIETMEPSPESLSKVIKFAKATGDLHTLSDVDLKLIALTYTLEAEVHGTKNLRDDPPPIQTVRVKRLPEKELPGWGSNVANLEEWEALENETEENSNTASKILPLKDLNMNILPSDSCYEVGSVVSHTEDDEEGARRQKKTDVKVEGKMVVEGIDASQGENDDEDGGDWRPAVSSSTHRKFLRRKAKWEHYNALAEKEIQKDQEAANFTNDQMSNDAEDKCGKDRGKNDEELSSILKDMRLEEGTEETNLSNGEDDDEVEAERIDVASEAGDTFEASSMADDGSSEQSWSLRALSESSVACITGDYAMQNVIFQMGLRLLAPGGMQIRQLNRWVLKCHACYTVTPEIGRIFCPKCGNGGTLRKVAVTIGENGTIIAARKPRVTLRGTKFSIPMPKSGRDAITKNLVLREDQLPQKYLHPKTKKKASKPGDEYFVSDDVFMNHHSDRKAPLQPPVRKAMAVFSQKRNPNDNHYSRSMHC >A05p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19414437:19419191:1 gene:A05p034530.1_BraROA transcript:A05p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICMDKEPDGVVVYANGDSCNPIQENVSVLPPLESVPSDEANGNTELLSTEESIEVKEYDVKECTNEIPVAKPLEDGNMEIATLRKDAKSVNKSVVLHAKHVSKPGRGSNKIRNTVPQPFALATAKRASSATRESHEVADMYSKIQKQVPRKPLQPKNKKLSDEEEDSCSVASYATSAAKSAKSRTIATAAPSFRSTERAEKRKEFYTKLEEKHQAMEAEKTQSEARNKEENDAALRQLRKSLMFKANPMPNFYHEGPPPKVELKKPPPTRAKSPKLGRRNTKEGNRSKGVSRRHETRKTLIVIAKEDNDDATTQKSDQINQFAAEEVNRNLKPEPETAFAC >A07p049910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26628401:26632328:1 gene:A07p049910.1_BraROA transcript:A07p049910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAATDGGSASGESSKPSAKLLTAGDRRLLKVELREGETTYVSWKKLMKEASKGNCSLVSAIDPPPPNANPNLESRIAPGVPVEGETVDQPHSNRFNAVIEKIERLYMGRDSSDGEELDGAPDDDEYDTEDSFIDDVELDEYFEVDDSAIKHDGFFVNKGELERIAPSTTTTSNKQPKKRKRKESAKPCGDVVDVSSKQAKIAKTVGGKDQSAASGLCPKKKSNDTKTVQDSASPLKVQSGNDSLSLENVKHSDKANHQPRNTTSPKSKAAGSSGALHLKCSKSAHQQSNSVPGKSRPIVLEKSTVARQKENNGMHDQDNATVSRQSNQITKKGGSNVKPKTSTLEKAFRELEKVVAESRPPTATDNQDADTSSQVVKRRLPGDVKLKLAKVARIAQASQGNLSGELINRLMSIVGHLIQVRSLKRNLKIMIDSGDSAKRENDTRFQSIKNEVIETLKTQVPLMEPQATNQEAGTSDDFENPPPSTKKKFVMDEALEDKLCDLYDIFVDGLDEDSGPQIRKLYANLAELWPNRLMDNHGIKRAICRAKERRRALHANLGKEMDQGKMKKKQTQLVQKSESTACPDKASGVGDKTTGVVPSASTTSLVQTQPTVDKSRDKSKQKHEKLKGSSSSSDPSGEAKATKRKKEKSAEESHIPTVKQQIRPQAPLDLNLPS >A03p009870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3931904:3932182:1 gene:A03p009870.1_BraROA transcript:A03p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAGWTPVPQFGGWDQKGIDATDYSVVFTKARANRKLNKADVSHSLGSEQELMASARRHHYQQHLNNRRETQDDDPVMVTHLSLSLLTLLL >A02p048370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30067500:30069607:1 gene:A02p048370.1_BraROA transcript:A02p048370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFLFFLQPRKRKIEMGLPSLKPCLLLIFLFLLNVSTINSKRSKVEPVLFGGNFQALYVIGDSLVDSGNNNNLNTSVKANFAPYGSDFEGGKPTGRFSNGKTIADYIAIYYGLPLAPAYMGLSEEQKNNISTGINYASASCGIFPDTGTRLGKCLSLSDQVDLFEKTIDNNLKKKFKTQSELTKHLAGSLFMTAIGVNDYAFYFKETTDPNEFAEKLLHDFLMQIKRLHELGARKFFINNLKPLGCYPNYIVANTVPRGSCSKYLNQGVAKYNAKLRKSLTHLKKKFSEASFLYSDYFNFMLGLRGPLTNQVSSNLINSISPCCPSVYDGDKRTSCPPGSSSCKVPDTHIFFDPFHPTELANFMYSIGCFQQRKVCEVV >A06p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6417766:6418274:1 gene:A06p014220.1_BraROA transcript:A06p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDNVIGGKLKLKGKALDVKAGGVKKKKKQKKQEEQAFKITDHDELIEGENTEALGKLMEGEEDEAGMSELAKYDDDQLTPAERRYIEQKQILDVQKLAKEANKSHRNRIEDFNQYLANMSEHYDIPKVGPG >A09p003400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1989860:1993320:-1 gene:A09p003400.1_BraROA transcript:A09p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQAPAEDSYDKLVEEKRAREKEIDAWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMAQLGWGPGVVILILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDLVCKDCKSIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWSASAAKGVKENVEYGYKAKSTAGTVFNFFSALGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALVGYWMFGNSVDDNILETLEKPAWLIATANMFVVIHVIGSYQIYAMPVFDMMETVLVKKMNFRPSWYLRFVVRNFYVAATMFVGMTFPFFGGLLAFFGGFAFAPTTYFLPCVMWLAIYKPRRYSLSWWTNWICIAFGVCLMVLSPIGGLRTIIIQAKDYKFYS >A07p011830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8461303:8462427:1 gene:A07p011830.1_BraROA transcript:A07p011830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSQLLPDKLVEEEILCRVPATSLKRLRATCKLWNGLFNNRRFAKNHFDKSAKQFLFLMLTKEYRIRSLSVELKGELGLLDPLHSVQFKITHVFHCDGLLLCTYEGYHRLVVWNPCTGQTKWLNHPVSRYKGYITNTPGGNITYTLGCYEDKKSHNSSYKILRLVEWEKNQRFEIYEIKSDSWRILDVNPDCILMYNHSSVSLKGKTYWFALDEKELDPVVLLVSFDYTTERFARVCLPNPYGIYRIMSLSVVREEKLSVLLRRRDALESEIEIWVSNPIDEHKVVSWSKILVGDEPRLGLCRGTSFLVDEEKKIALCCDRGICNQDTTKDYFFLYIIGEDNELTQVDFGASSTWQLHSPLLFNYVPSLAQIQ >A06g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15648961:15649265:-1 gene:A06g505290.1_BraROA transcript:A06g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIGRTAKVADATDAQGWKLWRCRGLIQRLAGIVLSRSKVRDNMKRSLHQNLPGSSFNQLMMWWSGSALFGFLKLFLARRSSLG >SC186g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:101441:107776:1 gene:SC186g500070.1_BraROA transcript:SC186g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLVIEFKSSRVDQEAMGSEEDDEIFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRREQRRNRQGQREHAGSEETDNFSEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDCLDLVHVQGSLYLSVSQTLILI >A05p041470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25192374:25195641:-1 gene:A05p041470.1_BraROA transcript:A05p041470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKKMAAEAKSSGKRKKSEDDLEQANILVKRKLKDNLETKHMILKKHKVAVKKKTILVGRVPTHAGIADIIDFFKDVGQVVRVQRIVKPWLKANTRIAFVKFASSKEAEKAAQKLENLQIFGQIIASYRPSRLKYCKDHIVWNKDYILGKEDETPPNFVENVLFVSNLSPQTKIFHIIDYFSYVGEVVTVRLIVNPEGRQVGYGFVEFDSADEAHNALELMNGEYLLDHMVFLDVAKLPPYRLLHQYNLAEKLCYEDYLRRAITKGRLDETSYEEEEEGLDGTPSFIEAVAVRRKTISVGNLPCPTVIRDIIDLYKDVGQVVHVRLVTDCEGKQNGMGYIEFASAKEAEKAMKEKYLHGQKLYPYPAEEFPNLPRPKYCIDHNVWYEDQLGRENRLIDEELEEGFGETHDFAEEVALRKKTLFVYNLSPNVTTCQISAYYKNVGEVCRVQLVVNREGEHVGCGFVEFASAVEAKKALLYESRALNIHIISDVVEMSPYPIRPKYNLAEKLWRNEEYLLPVSLPIEGDYLEKPEVTKLFCGKRITFSDDD >A09p011520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5818968:5819594:-1 gene:A09p011520.1_BraROA transcript:A09p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At2g13570 (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13570) UniProtKB/TrEMBL;Acc:C0SV44] MTEESPEEDHESPEGAETNLGSSSLKNNNINNKEQDRFLPIANVGRIMKKVLPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVAPLKIYLGKYRETEGEKVNSPKQRHQQQQPQHNHHFQFQEQDHNNISCTSYISQHHPSPFLPADHQPFPNLPFSPKSLQNQFPQQNDNTDSMGQWSV >A03p003580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1572761:1575468:1 gene:A03p003580.1_BraROA transcript:A03p003580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A 70 kDa DNA-binding subunit B [Source:Projected from Arabidopsis thaliana (AT5G08020) UniProtKB/Swiss-Prot;Acc:Q9SD82] MENSVTQDGIASVLSLDSSSAQPQIVVQVVDLKPVGNRYTFNANDGKTKIKAMLPATLTSEIVSGKIQNLGLIRLLDYTPNDIPGKSGEKYLLVIKCEAVASALDSEIKTKASTGIMLKPKQEFVAKSASQIINEQRGNAAPAARMAMTRRVHPLVSLNPYQGSWTIKVRVTNKGILRTYKNARGEGCVFNVELTDEEGTQIQATMFNAAAKKFYDTFQKGKVYYISRGSLKLANKQFKTVQNDYEMTLNEYSEVEEAGSEEMFIPETIFNFVPIDELGPYVNQKELVDVIGVVQSVSPTMSIRRKSDNEMIPKRDIVLADETKKTVVVSLWNDLATDLGQELLDMADKYPVIAIKSLKVGDFQGVSLSTISKSDVVVNPDIPEATKLKSWYDSEGKETSMSAIGSGMSPSANNGSRSMYSDRVCLSHFTTNPSLGEDKPVFFSTRAYISFIKPDQAMWYRACKTCNKKVTEAMDSGYWCEGCQKKDKECSLRYIMAVKVSDSTGEAWFSAFNDEAEKMIGCTADELNILKSEEGEVNEFQTKLKEATWSAHLFRVSVSQQEYNSEKRQRITVRGVAPVDFAAETRLLLQDISKNKMTSQ >A10p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1188359:1189713:1 gene:A10p002300.1_BraROA transcript:A10p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAKGSTKKSNLDRFLHCTTPLVPPQSLPKAEIRKLNRLWHPWEREKVEYFRLSDLWDCYDEWSAYGASVPIHLANGESLVQYYVPYLSAIQIFTSHSSLIREESEDGESYLFSDSGSDESVSEEGLESNNGVLHLNDRLGYLYLQYFERSAPYTRVPLMDKINELAQRYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQGLHTLSLANVSLVYVRSGPSGPAFNSNHNGGLMLSHDTADMEPEENGGEKERMRKEGEDITLVPFGMATYKMQGDVWLSHNHDDQERLASLCSVADSWLKQLRVQHHDFNYFCTMSMTTPRG >A05p007540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3047549:3049212:-1 gene:A05p007540.1_BraROA transcript:A05p007540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVIMAPESPVYFPSPLVFSPTSVKTPPSSPRWTPPKKIMVACPPRKPKETTSSSDSNTALKRKRPPMLDLKLPPAVAPWCSTTAKTPGKADEVIEAEEDGVYSVYCKRGRRGPMEDRYVAAVDPGERVRKKAFFGVFDGHGGSKAAEFAAMNLGNNIEAAMEAVRSGEEGYSVERAIRDGYIKTDEEFLKEGSRGGACCVTALISEGELAVSNAGDCRAVISRGGVAEALTTDHIPSQAKEFKRIEASGGYVDCCNGVWRIQGTLAVSRGIGDRYLKEWVIAEPETRTLRIEPEFEFLILASDGLWDKVTNQEAVDVVRPFCVGVENPKTLSACKKLAELSCKRGCLDDISLIIIQLQQFVA >A05p001290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:144908:145528:1 gene:A05p001290.1_BraROA transcript:A05p001290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 6 [Source:Projected from Arabidopsis thaliana (AT2G47670) UniProtKB/Swiss-Prot;Acc:O22244] MNSYLIMYTLLSLLLLALSPNPSLASTRNYTDDTNASIVPRYSRYVKDACNVTRYKQLCLRTLWPFTIVAKNNSSKWARAGVAVTITDTKRILRLLLKTRNSSAVGKRERIALSDCRELYVDSLDNLYQSLSVLRKLDADQFQQQMSDLATWLSAALTDEDTCLDGFEETMSKSSTVRMIKRKATRCMHLCSNALALTNKLAYDGL >A02p003240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1362965:1365553:-1 gene:A02p003240.1_BraROA transcript:A02p003240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSGDYGRLGLGSLESRWRPAVCSALSDHSIRALACGGAHTLFLTETRRVFATGLNDCGQLGVSHVNTHALEPLEVSGIENDILHISAGYYHSAAITVDGELYMWGKNTSGQLGLGKNAARVVHVPTKVQALNGITIKTVALGSEHSVAVTDGGEVLSWGGGGSGRLGHGHESSFFGILKIFLYCACSEFTPRLIKELEGIKVKSVAAGLLHTVCTDENGSAFMFGERSINKMGFGGVRNATTPSIISEVPYAEEVACGGYHTCVVTRSGELYTWGSNENGCLGTESTYVSHSPVRVEGPFLESTVTHVSCGWKHTAAISDNKVFTWGWGGSHGTFSDDGHSSGGQLGHGSDVDYAIPAMVNLGKNVRAVHISCGFNHTGAVLEHF >A03p003720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1615167:1619408:-1 gene:A03p003720.1_BraROA transcript:A03p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKSIQKEKKKVISLAPIAKPLAGKKLQKRTFKLIQKAAGKKCLKRGVKEVVKSIRRGQKGICVIAGNVSPIDVITHLPILCEEAGVPYLYVPSKEDLAQAGSTKRPTCCVLVMLKPAKGDLSAEDLEKLKTDYEQVADDVKELASDLPPGPPPSKVLDSDSFTTLEVGSGIGNVRVVQDYLGGMEESRESPPAEHGFYMPAEWEPHAQTWIGWPERQDNWRHNALPAQRVFVDVAKAISKFEPVTVCASPSQWENARKQLPEDIRVVEMSMNDSWFRDSGPTFVVRKRPLKLSAINRNIAGIDWNFNAWGGAEDGCYNDWTHDLLVSKKILAVERIPRFQHSMILEGGSIHVDGEGTCLATEECLLNKNRNPHMSKQQIEEELKRYLGVKTFIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSVEALSVFSSSVDARGRKIQVVKLHVPGPLYMTEEEAFGIVQEGCEAKPRIAGTRLAASYVNFYIANGGIIVPRFGDAKRDEDAIRVLSETYPHHSVVGIENAREIVLAGGNIHCITQQQPAEPSSVADNGH >A09p069120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53952647:53954976:1 gene:A09p069120.1_BraROA transcript:A09p069120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKNPDPPTDSSVSGGGGNKSAKSFDRSASKAAATFDEDMAIFINRALELKEEGNKLFQKRDNEGAMLRYDKAVKLLPRDHAEVAYLRTGMASCYMHMGLGEYPNAINECNLALEASPRYSKALLKRARCYEALNKMDFAFRDSRIVLNMEAENVSAKEIFERVKKVLVGRGVDVAEMEKGFVDVQPVGAARLRKIVKERLRKMKKKNNNNNKKNSNSNAEEKKSNEGGVVVENADVEDGEEADSGKRGDKNLEDKVVVEEKKVSHVMDKEVVIASDATVTRTVKLVHGDDIRWAQLPLDSSVRLVRDIIRDRFPSLKGFLIKYRDSEGDLVTITTTDELRVAASTREKLGSFRLYVTEVSPNQEPVYDGESNDKFAKGSSSVADNGSVGGDYVESEKPSACIEHWIFQFAQLFKNHVGFDSDSYLDIHNLGMKLYTEAMEDIVTGEDAQELFDIAADKFQEMAALAMFNWGNVHMSKARRQIYFPEDGSRETILEKVQAGFEWATNEYNKAAEKYEEAVKVKSDFYEALLALGQQQFEQAKLCWYHALRNKIDIESEASQEVLKLYNKAEESMEKGMQIWEEMEERRLNGISDSDKHKTLLQKLGLDGVFSEASDEDNAEQTANMTSQINLLWGSLLYERSIVEYKLGLPTWDECLEVAVEKFELAGASATDIAVMVKNHCSNENALEGMGFKIDEIVQAWNEMYDAKRWQIGVPSFRLEPLFRRRSPKLHDILENVFTGPQ >A08p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4387519:4388346:-1 gene:A08p007440.1_BraROA transcript:A08p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACAGKTPSYKQFSGAFTGHRLTVHCPDIFIGLDYIPIELNLEILLRLPPKSIARFRSVSKLWASILDSPYFKDLYLTKSSAQPRLIFAIEENVTPEFHMQFPPDGMQIFDHDDRQFSCGYASGLIYFYGVWTDEERVPVICNPKTGRYETLPYISRYRRSSSVLGFDPIDKQFKVLFIAHPCCCDDHRIRTLGTRGMRWRKIGCSLRVEIESEGVCINGVLYYLGYM >A03g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1555636:1556556:-1 gene:A03g500530.1_BraROA transcript:A03g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTITTMVTRLFPWSCDCATAQELDNSIKIVVLKKVGFDKFEPESVYMAKDFETQFKDNICLFAEVTLPQLPHDMVQKLLVSCLNPSFWFQPEPRVFMNSRNYANQSLEAVYPTFPYSMPMTKKSEFFEHDKLEKMKLRQDYRSL >A04p001000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:489107:489436:-1 gene:A04p001000.1_BraROA transcript:A04p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFLRRSSAVHPVLGGDGVRRENVETGGKNGCLRIKVRMRRDKLEELLYLARRGDQSDGDGGNIGILILKECMEGRLPARVLSSDEYVSPQPCETYLVSRRLSSIKEE >A03p058670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25431190:25432437:1 gene:A03p058670.1_BraROA transcript:A03p058670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAYMDEGDLEAIVRGYSGSGESSGGSCLPFETASFYEPEMETTGLDELGELYKPFYPFSTQTILTSSISVPEDLRSFRDDKKQRTHGCLLSNGSRVDQIRITESKSKKSKKNQQKRVVEQVKEENLLSDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERNPQNPEKFTITYTNEHNHELPTRRNSLAGSTRAKSSQPKPSITKKSGKLVVSSPTSNPVITSADESSVAVQDMGISEMSTYQATEEIEGMSTSLPSDLLSGMGNFPCFTSDFDELLNSQEFLNGYLWNY >A10g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15313306:15318955:1 gene:A10g505910.1_BraROA transcript:A10g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRDATEFAEEFYEKLGWKRQTETKNIIDKEQLKIEKGRFEGDLAVASVVLAGNTPPVLRDLVSLRDSFSIKSPKTAEARTSPITELVPHRFALTLSAIVSSKPIDSPQGDVFPSISTSGNFKDVITDAEFVRACEMKDERVDLIIDMQRNKYDWSKHVWAYKETVKPFQYSSEEDGSDEEAAVETSETEIEEEIESIRVSPTKKRKNRFRDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQSMFNSSFTALGLERRRSFHLRLRVVRLLILRLVVLIRFGTSAAAAGAAAAATAPASVSGRPPAPTRASTEAPASVSTPGLAPSRSAAAAPYRSRASATAHNGGPANAAKTRSQTKDADLSDVFGSLFSTLDVNIGTQEYLQKTMGNLTQESNVDGFDPSQDKQSEGPSDFTTPMTSFRPQIFKTPFLIDSDDIEVRCKAKDYELVFLPEEKWAKLTEWTLNPTVLQIGPSTFDAELASRIIGPNIWLKNFDMDAMMYLFREKTTLRRWSPDRVAFLNCMFSNQIITAYGNRPTRKLLKPDPEPGSTHPQRQKRGLGFFVCESCESRNELTITSSTTSSARFPRISNHGVPTRCWCGEGITTFGSSTAENRYRRFYRCQIARDVNLEIARVAQDMKQKLKITTVAMVVVGAIVGIWTSLT >A03p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3716509:3718019:1 gene:A03p009280.1_BraROA transcript:A03p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMPLTVVSLLLLFLAVIPPSTAEIKSLTISDDARPMILFEKFGFTHTGHVTVSVSSVSVASSDPNADPSRLGFFLLSEESLLQVLLEIQQNSRFCVLDSHYVTHLFTFRDLSPPPNSRFNQSYPVTSPDEYSLFFANCLPETKVSMAVRTEMYNRDPNGSKDYLPAGSTQLPSLYSFFFLCYLSFLTYWSYTCWTNKRVVHRIHLLMAGLLLIKSLNLICAAEDKHYVKVTGTPHGWDILFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEKEKNVLIIVIPLQVLANIASIVIGETGPFIKDWVTWSQVFLLVDIICCCAIIFPIVWSIRALRETSKTDGKAARNLSKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYRWVSFAAEEIPN >A04g501000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2733430:2733666:1 gene:A04g501000.1_BraROA transcript:A04g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQRNRRERSWNRLPRAAGEKGDLNLETHGSYSFRNPISYDLHKESQSRTRLLTQEVLPRQHEEGPKPAMTSFGKDN >A06g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16854202:16855160:-1 gene:A06g505830.1_BraROA transcript:A06g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWHINHKLPVCLFPFSLINFDWLETASWEGKDSVLQMMKQVANCLLLAMLCYELNPQVKQPQLVFNPPPAASHVLKPTEKPREFQRGREKEEQKNQSEVQPQAYLGEEDQLRPSSPLVYLGKLWSPSLTKVWSFASPILSFQPCSRGTQVLSKPVSRLFF >A03p055580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24005199:24009766:1 gene:A03p055580.1_BraROA transcript:A03p055580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFEKCLTTSDLSNLDTNVVTANEDVGKYFRLVTVVVRGTEQILRIEDEEGKPWQFGFTDLNVSQRYVLTKGWSNYVKEKQLGVGDFVFLQRLFTDSSRLFIGFRRREAVLGQCQDLTSLATASEKLKEVETPLQLRSPRHSVTGFDGILLVSGGDNQDSEERYFISYISKELCLHIRGQSGSFRRAFSRLEHSVLSSQVPTLTAINKYQYMKGEDVILAKSIVSDVCLLLNSETNMKLRGRLQIQSILSLLNCSHFSAPHIVGLWGMAGIGKTAITREIFRRQAERYDVCYFLPDFHIVCQTRGLSHLRDEFFSRISGEEKVTIDACDTKLGFIRDRFLSKKVLVVLDGVSSARDAEFLVGGFGWFSGGHTLILTSRNRQVLVQCNAKEIYEIQKLSERESLQLCSQFATEQNWKGSTSLVSELNLENLKRIILSHSRRLIKFPRLSKARNLEHIDLEGCTSLVKVNSSILHHHKLIFLSLKDCSHLQTMPTTVHLEALEVLNLSGCLELEDFPDFSPNLKELYLAGTAIREMPSSIGGLSKLVTLDLENCDRLQHLPPEIRNLKVVVTLSAKRPAASMNLSSVEDKAPPYTRCRLKRVIESVILSLRKKKRENTVPRADMRVNEKTMEEKEVREKSVDGDNDDDFDPFATLCDDRKKSILKIQEKLEDPDLSEEALVELLQKLEYVDITLKDLQGSNIWRLVNLVQRRRTGNAKRLAQQLIKKWKETVEKTLTDKQRSDLKPPNLIGKRKECNSESQNKHRSHPFHHPKDTETSVPEGSLNLEGLPLRPGKPVCASYFHTGSCISGPTCIFDHPSLIPVHKTTSLYSNQLGLSSSIGDSSSDLVGPATKQRRIHKNTSSMASETLHSSPLGFASSIGDSSSELVEASTKKPPVHKNTSSLVPQMPHFNPLGFSYSIGDSSSELVEASTVSEITHTNVGRNPSDSWDDEEDSQFWLHL >A09p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4945372:4946380:-1 gene:A09p009610.1_BraROA transcript:A09p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRKLGRHTGHRMSMLRTLVSQLVKHERIETTVTKAKEVRRLADNMVQLGKEGSLDAARRAAGFVRGDDVIHKIFTEFAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSNPPTPQPPQRVPLDPWARSRLMRQYAPPKEEKNSDSDL >A10p010330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5780163:5780327:-1 gene:A10p010330.1_BraROA transcript:A10p010330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHDYRLGLVTLPRFLLRDIAQIWPDLFSMVVDCAPLVVLWSPSFLMMSPSGY >A03p041380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17293977:17300351:-1 gene:A03p041380.1_BraROA transcript:A03p041380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSGSPSGRKSKKLAAICEEEYNKNHGEPKDRDDAPPADSELRRSSRVRRIPSILDASPPPAKKRRRLNRSSRGSSSSRVVVEEEENDDSDNWKSRLRSRRRKAGSQARSVVKRKRKLVFGNGDGNEVRDKATNGGKLMKGKKRVGVKESESSEDESDNSNAEEESASESEESDQAESEAGEEDEKVKKATKRSVVLESEDEAEVDGGEAESEEEAESTENETEDSESEAQGSAEKEGSEVEGNLDGTAADTDVRMEEVENESGDQVEGLENEIEMEVEGTESKELGAMVSASGNGTGMPGDDSEVADNGKTKQGDTLDPELLQKDAIEVNESLKQTDDIGEQDASRTTSTDKANDKVGESVEMLDGLPIQNETCNKVVDSVCTSSDRLGKPPFKQARRCGLCGVGTDGKLPKKLIQDNGESDVEAHSGSSSSGEPNYDILDGFGDEPGWLGRLLGPINDRYGISGTWVHQHCAVWSPEVYFAGVGRLKNIRAALCRGRSLKCTRCERPGATIGCRVDRCPRTYHLVCYYSLSGFPFPTSEILANKLAAVTISVSFSDNFLLVFCVLQPCARANGCIFDHRKFLIACTDHRHHFQPHGRQCQVRVKKMKTKKMRLEMRKHSNDAWRKDVEAEEKWFEKCGDDEEFLKRESKRLQRDLLRVAPEYIGGSESENGKAFEGWDSVAGLEGVTQCMKEVVLLPLLYPEFFDNLGLTPPRGILLHGHPGTGKTLVVRALIGSLARGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKCQPSIIFFDEIDGLAPKRSRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNYPDAIDPALRRPGRFDREIYFPLPSLDDRAAIISLHTRKWPKPVSGYLLKWVAKETAGFAGADIQALCTQAAMIALNRSFPLQESLAAAELGISRSNRVALPSFSVEERDWLEALSRSPPPCSRRGAGKAASDIFSSQLPVYLVPSLLPSLCSLLVAFHLEERIVLPPLLAKAAVDFQNVICSALGDKKITDACWWSHVDSLLQDVDVVKDIVQRLSYAGILDGGCDLVRSVSSTPGAGDCNSGSAQFMVHRVRRHPGLGNASSESMNNSGFQLLIAGEPRSGQRHLASCILHCFIGNAEMQKIDTATISQEGNGDLVLGVTHLLSTLLTGKSVRPVVKCSSRKSCVVFMPRIDLWAVETETQLDEEVECDDDSVKENSSPVSPETVEKMELQYSSRVSHAWNTFFEQVESLRVSTKIIILATSSMPYELLPPKIQQFFKTDLSKEYQPSMSEAVPQFTVQVGENSDQDMAIDLSATELSRRAIQVFLHLVHQGTHTHYDLQKAYKREDPEQGCRDPSYENNPDHGAEEEVGIKSKPPEDCSLKVPPIPISINAKPKSTLELAVSTFGYQILRFPQFAELCWVTSKLKVGPSADVSGPWRGWPFNSCIIRPCNPSEQTITASGSNNVRGKDVSGTARGLVAVGLSAYRGTYTSLREVSFEVRKVLELLVGRINLKIDAGKDRCQYIRILSQVAYLEDLVNSWVYAMRSFESNAQTESMNPLSCSVADATVRDEPTEQGTSDRSKGDLKEDTQNMNCPDPIASTDLTDNHQPVVEITDGLVLIKENGDDTSNSAVLIEDSGVVSLHQAVLLDLNSPAADHEQNETQTTATVTCLQEKDNSENNHVGSGDPNSISQEDLKKSADSSNGEAVHGLESANSMPEPVKQVETPATTTPLDDPSLVCFYRCCPQCVSILQDSMRKLVTRELRLGSSHITTEGIHDAVSLLSVELIAAVRKFISARATQEVEVEERDGSSEKEACPCKSSPSNFLASAECCSHSAEEQGSLDKANASPSAKSWLEPVFVFRDGILVPLSSSEDDSALHCRYDSFCLGSLIELVATEMKPF >A03p025480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10725552:10727906:-1 gene:A03p025480.1_BraROA transcript:A03p025480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2A [Source:Projected from Arabidopsis thaliana (AT2G44180) UniProtKB/Swiss-Prot;Acc:Q9FV49] MEIEKLDVEASTEESGGVESSSGKEDLLLASDLSDNLDLDEDEKEKNQEEERSKAESSTKKKKKKITPKADDCYRKKKKKSSLQQTDPPSIPVIDLFPSGEFPEGEIQEYKDDNLWRMTSEEKREMERLQKPIYNSLRQAAEVHRQVRKYMRSIMKPGMLMIDICETLENTVRKLISENGLQAGIAFPTGCSLNNVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDSAFTVAFNPMYDPLLAASREATYTGIKEAGVDVRLCDVGAAIQEVMESYEVEINGKVYQVKSLRNLNGHSIGRYQIHAEKSVPNVKGGEQTKMEEGELYAIETFGSTGKGYVRDDLECSHYMKNFDVGHVPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIEPCPPLCDVKGSYISQFEHTILLRPTCKEVISKGDDY >A05p038720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23744436:23745116:1 gene:A05p038720.1_BraROA transcript:A05p038720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAPLNSPKWHQQLQRRWLASTPGHRWRRSSTGQTFSYLDKEQVVDPNRHRRSSSCGLSRLHPAKRHIGTTRILVIQKANCQSKGLQEMKHKDPHEEHRKQEGERQSRTGNRESPWLLSLHLFTRPEKRSSTKTLDKQLAETYWSETTGAGTATTAMRLMFRRSRILKLTQLRVQSATNKRPKARVRW >A06p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2518195:2519349:1 gene:A06p007250.1_BraROA transcript:A06p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNHITNHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSPEEENLIVELHAVLGNRWSQIAARLPGRTDNEIKNLWNSNIKKKLRQRGIDPNTHKPISEVDKDKTTTTSHKSPPSSSSPTNQDFFLEKPSDFSDYFGLQKLNFNSNLGLSVTPDSSPLCSMIPAQFSTGNMVGSVFQTPVCVKPSVTLAPDNTSNFFDSGGFSWSGPNSSSSSSSLVKPNHNLEEMKWSEYSMNTPFFNGSTQNSQPIYIKSEAEYLANVSNITDPWSQSQNENLNTPEDSDVFSKDLQRMAVSFGQSL >A03p015450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6107711:6109957:1 gene:A03p015450.1_BraROA transcript:A03p015450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGFSSENIIVATRTGTTYKAVLPDGSALAVKHLRVLAWGEGVLLAPRLGVCVVEEEKKMGQKLHALMFPWFAFGHFTPYLHLANKLAEKGHRVTFLLPTKAKKQLEPLNLFPDSIVLHPITIPHVDGLPAGAETPSDIPITLWKFLIVAIDRTRDQVEVAVRASRPDLILFDYAYWVPEVAKEHGVKSMMYNVISATCIAHDLVPGGGFGVPPPGYPSSKLLFRAHDAHAMSSFSVYYKRFYDRFTTSLTNCDFISVRTCEEIEGMFCDYIGSQYKKNVLLTGPMLPELDRSQPLEDKWNHWLSWFGPGSVVYCALGSQITLENDQFQELCLGMELTGLPFFVALTPPRGAKTIQEALPEGFEERVKGRGVVWGEWVQQPLILAHPSVGCFVSHCGFGSMWESLMSDCQIVLIPYLADQVLNTRLLTDELEVAVEVQREETGWFSKENLSVAVNSVMDKDSEIGSQVRKNHSKLKELVVSPGLLTGYTDKFVETLENLLKVQNFNELL >A06p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15209673:15211392:-1 gene:A06p024880.1_BraROA transcript:A06p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEDGSIGSSLHGVRAREQVFSLSVEDGPSSQAIRSDDPTAKFAIPVDSEHRAKVFKPLSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPVIRDNLDLNKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLLLSAPAVFFMSFVSDAGSYLAVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVYHVIKLTGATPFTAWRFAFFIPGFLQIIMGILVLTLGQDLPDGNLSTLQKSGQVSKDKFSKVFWFAVKNYRTWILFVLYGFSMGVELTINNVISEYFYDRFHLTLHTAGIIAASFGMANFFARPFGGYASDVAARLFGMRGRLWTLWILQTVGALFCIWLGRASSLPIAILAMMLFSIGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGVTQLLFFSSSRFSTAEGLSLMGVMAVVCTLPVAFIHFPQWGSMFLRPSQHEERSKEEHYYGGEWTKEEKSLGLHEGSIKFAENSRSERGRKAMLADIPTPVTGTPAHV >A09p081610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59328333:59329777:1 gene:A09p081610.1_BraROA transcript:A09p081610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIMSSPMCDHCNMGKAVVYCKTHLARICSQCDRKLHHYVTMDSPDHSRLQLCEKCVSQAADVQCLEQGLCLCQTCVPNATVTSRFPFCNVSNNHSGYSFPRDLDLDSFSSSLSSSLTDLSWGYHFVPLSPKNGDSSSSSSVIFQNFDNHTKNNSDQRGQMLQPDYMDNSKDFSYSGLEGYETKDIENVWLNNFDDNKAVLLDQKEYLYREELILTDQLIDAIMKHNDETTTEVIHTDYNIEALGNASCEDSNTNQMIQSKAKEETNNNVGILFPNAHIHDECRPSQLILTDVDEMLPWDDQLLESPIYTPQYRLEAKKRYLEKKKKRKFGKKIRYESRKSSADTKKRLKGRFTKTDAEYDYDPRANNTTKEVYKTKT >A02p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16873917:16875766:1 gene:A02p032170.1_BraROA transcript:A02p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMLSRRTLGTDTPVMTQIRKLMAELTNPMSLAQVPSPLSNSSSSPLEIHTLGFGVVHWQPPKKALDKVKDIVWDPIVSSYGPDEGIPELRHALQIKLRQENKLTESAVMVTAGANQAFVNLVLALCDPGDSVVMFQPYYFNAYMAFQMTGVTNIIVGPGHPDTLYPDADWLEKTLSESKPTPKVVTVVNPGNPSGTYVPEPLLKRISKICKDAGCWLIVDNTYEYFMYDGLKHCCVEGDNIVNVFSFSKTYGMMGWRLGYIAYSERLDGFAAELLKIQDNIPICASIISQRLALYALEEGAGWITERVKGLVKNREIVKEALEPLGKENVKGGEGAIYLWAKLPEEHGDDFKVVRWLAHRHGVVVIPGSASGGSGYVRVSFGGLKEEEMRAAAGRLRKGLEELVHLGMVE >A09p049010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43444783:43448941:1 gene:A09p049010.1_BraROA transcript:A09p049010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxysomal processing protease, glyoxysomal [Source:Projected from Arabidopsis thaliana (AT1G28320) UniProtKB/Swiss-Prot;Acc:Q8VZD4] MDPSKVFTFSRNFAVLVKLQGPDPKGLKMRKHAFHQYNSGNTTLSASGMLFPRSILTGDVSAKLLCEDGQDMALVLTVASLVEPFLTLGHRTSISQEPVKLIPGARIEIMVEGQLNSEKEDPFWVPAQLLSLVDVPASSAALQSLIETSVGSKDSGWDVGWSLVSGDTVSQPSTNMKHYSKPLMQRDEPNDAKFMAKSATRMALLGVSLSLLDQPNISLASPSSKGDTLLTLGSPFGILSPLHFFNSVSTGSISNCYSSGSLKNSLMIADVRCLPGMEGAPVFGKNGHLIGILIRPLRQKNSGVEVQQLVVPWGALTAACSHLLLKEPSDEILRIKPDASIPVQVAVGKAMESICLITVNDGVWASGVVLNEQGLILTNAHLLEPWRFGKGGVVYGEGDDNGLRPHVLGAQEFSSTRSRFWEQESQTLPRKAPADLHVKKYKHSFLQSGHRDIRVRLCDQDSWTWCPAKVVYICKEQLDVALLQLEDVPGKLQPIAANFSSPPLGTPAHVVGHGLFGPRCGLSPSVCSGVVAKVVHTKKRLYTQPCFQDATEFPAMLETTAAVHPGGSGGAVVNSSGHMIGLVTSNARHGAGTIIPHLNFSIPCAVLAPIFKFAQDMQNMEILQTLDQPNEELSSIWALMPSLSPKPKPNTAHYLPKLLKDVNNKQKKGSQFAKFIAESQEMFVKPTKLSRDVIPSKL >A09p014290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7340650:7345530:1 gene:A09p014290.1_BraROA transcript:A09p014290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERCCAKPSCNTDMKGGDVLAVHRGDGNTDDLLRYPKKTDVEDECKSEVFRDGDDHNALDMIHQVEEEVGTTLRRQLVVETETKHESPKQWVNRDEEEQAVVLERDNEESASGSPERDDDDDPNTVGREIKELDIKVANGHLEVDGTEEDTGRDENRVLGKDGEDNTSPMLMTKDDEPQSLRSETQTDDAVVTGEQDSPSCASSDAVKAAGETFQNVYFSGPVLPQSPSLGHKHSQSEMETPGHRRTNSFQRLKTQMHKAWRGVSNLREDNRPTFNPEVLANQKRQWYQLHSSKALDQTKYKEPTSLFEHFIIVGLHPETELKPVEEAFRRRKKWEMEMSRYEVADYRILRHRGPQFPILEPQILFKYPPGKKVAMRPKDLATFCFPGGVKARLLERTPSLSDLNELVYGQEHLGKDDSSFIFSFKVADDATLYGVCLHVSEIVQRPPGVLSTASPLHSSGGGSRFLVSAPRCYCLLTRVPFFELHFEMLNSMIAQERLKRITDFVSEMSLAAACHSPSVSRMNGCVSSPRSNPDNWMASAIPVDGVMALTAAAAGLISDSDIANFAEPQSPDSVVTSDASDVSHIKEIERDGRKVFHCYDDSSSEVSENHLDTPERRFQSFEKGHDSPEITCTDPRTQPIEHVESCESVFSSARSVMSDEVDDISNSENDFGDDLILEWAKEHNNDALQLICGYHSLAIPSRGSEVVFQPLEHLQSIEYTRPPVSALGLSEECICSSDSAGINARLAAAEEAMGLSMWTTATVCRILSLETILSLLSGVLLEKQIVVICPNLGVLSAIVLSLVPMIRPFQWQSLLLPVLPGRMSDFLEAPVPFLVGIHSKPTDWKVKTSNLVLVNILGNQVKVCNMPTLPQRRELMAQLTPIHAALAHYSSTARKHPVYKCSEVQAEAATKFLRVMRDYMESLCSDLHSHTITSVQSNSDRVSLLLKDSFIDSFPGRDRPFIKLLVDTQLFSVLSDSRLSSFENERL >A10p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20189458:20193889:1 gene:A10p034880.1_BraROA transcript:A10p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-14 [Source:Projected from Arabidopsis thaliana (AT5G09360) UniProtKB/Swiss-Prot;Acc:Q9FY79] MVFKLKISNTMVNTLTIVFSLFVFLTSQIAQAKIHRHTFTVLHGARQVRNPWSDGPEYVTQCPIRPGERYVYRIDLTVEEGTIWWHAHSQWARATVHGAFIVYPKRGSSYPFPKPHREIPLILGEWWKKKNIMDIAGNANKTGGEPAISDAYTINGQPGYLYPCSKPDTFKMKVVRHRQYLLRIINAVMDEELFFAIANHTLTVVAKDGLYLKHFNTSYLMITPGQSTDVLLHANQRSGRYFMAARAYSSAFGAGFDKTTTTAILKYKGHSLTDELNRKTPVLVLPYLPPYNHTEATTRFTNQFRSYRTRTSNRPVNVPVNINTRLLYAISVNLMNCSDDKPCSGPFGKRFSSSVNNVSFVNPTVDILRAYYRRIGGVFQADFPRKPPTEFNYTGENLPFPTRFGTKVVVLDFNSSVELVLQGTNVLASDNHPIHLHGYSFFVVGSGFGNFDSMKDPLKYNLVDPPEETTVGVPSNGWTAVRFVANNPGVWLLHCHIERHATWGMNAVFIVKDGPTKSSRMLKPPPDLPSC >A01p019000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9237560:9237739:-1 gene:A01p019000.1_BraROA transcript:A01p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMKMRLFEAVLVAMVAFSALQHAAAVEAPAPSPTSDASLSIPAFVATVATLAFGFLF >A04p038920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22074791:22076558:1 gene:A04p038920.1_BraROA transcript:A04p038920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSLFSAIKSVFTPQSKEKLGNQEAERKSGKEKSKKKGFGKLKRGESSSFLPIFREPSSIEKILAEAERDHNLVFRPPTPPDQSNPPSASPPPPLRPASPQSPPPRDNDLPRLDSSRSLSQNPPDESKPSPASSSSPLKPGVLSPKPTSPRVVYPQTVSIKPPSPRPTSPKPPSAREASPRADPPRLDTPRPPSPKPPPRAEPLTLDTPRPTTPKPLSPRAELREEIVSRPEPTLLAQHASATKIQAAFRGYTARRSFRALKGLVRLQGVVKGYSVKRQTVNAMKYMQKLVRVQSKIQSHRTKMSENKTQVEKDAVNDHWDDSVLTKEERDARSQRKIDAIIKRERSMAYAYSHKVTPKSAHDVGLPLWWNWGDQQLPLASPAPSHYMLTPTRLSPRYSRGRLRGGSPFKDDDSLTSCPPFPSYMAPTLSAKAKVRPNSNPKERVMGTPSSVSSEKRRVSYPQAQRGQDVFRWNKGSLLMSNKGGPGSSSPGGVVVLEKRKTVKSVGNLSVDSTVSMPSKPFNRYV >A09p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3355957:3357204:-1 gene:A09p006410.1_BraROA transcript:A09p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G26140) TAIR;Acc:AT5G26140] MEENQRFKKVCVFCGSNSGHRDVFSLAAIELGNELVKRKINLVYGGGSVGLMGLISRRVSEGGCHVLGIIPQALMPIEISGETVGEVRIVADMHERKAAMAQEAEAFIALPGEFSKTSKQELLEIITWAQLGIHKKTVGLLNVDGYYNNLLALFDTGVEEGFIKPGARNIVVSAPSAKELLEKMELYTPSHKHIASHQSWKVEQLAGDS >A01p013710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6687088:6688771:1 gene:A01p013710.1_BraROA transcript:A01p013710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 5 [Source:Projected from Arabidopsis thaliana (AT4G21600) UniProtKB/Swiss-Prot;Acc:F4JJL3] MRLSLRQWVASVLVLTQLVHGALCWGKDDHYTVCKIAEGYFEEETIAAVKKLLPESSQGGGDLASFCSWPDEIKHLSQWKWTSAMHYVNTPEYRCNYQYCRDCHDSHMQKDWCVTGAIFNYTRQLMSASVNSESLVHYNLTEALMFLSHYMGDVHQPLHTGFREDQGGNTIIVHWYNSKTNLHNVWDDLIIESALKQYYNSSLPVMIQALQAKLMNGWSNDVPSWKSCQFNQTACPNQYASESIDLACKYAYRNATPGTTIGDEYFLSRLPIVEKRLAQGGIRLAAIINRIFSAKTKLAGA >A04g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15706984:15707910:-1 gene:A04g506860.1_BraROA transcript:A04g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVYAKALKATNYLNGFQKIKYPTGNIFYQLVSLELDIDEINVWNLLSFMLDSSPKLQILKLDGSCREYCPVGCEWIQPKCVRECLLLHLETLVWTRYEWEREDVKQVATYILKNARQLRKATFYPTCVGPKELEKLEMRREMLNELASVARGSTSCHLVFESE >A08p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:399786:400600:1 gene:A08p000620.1_BraROA transcript:A08p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPPSPDAIIGPPEMVESSGGNHATMMISNNGLPHQQMDVDQAPEDHNIIDLSVVPSSPKTREDEVSNIIGTLRTGRYNEGVIIQMESEENNLDDGYSWKKYGQRLIMGNQNTRSYYKCTFAGCDVKKHVERRADNVKLLVITYYGNHEHDAPVQRRKCYSLKKRSGSSMFQDASNRTPRVNSSEGETV >A04p038090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21708377:21713067:-1 gene:A04p038090.1_BraROA transcript:A04p038090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLHKDEDDLVKKQEIYLAIQDMIHELGLDTIWDVKALVNGSDIIKALSHENRGRPIIRLEDLEDQAADLRELTRDENLRETGTEDTVNGDVPSDSESESPIPTPRPSEVLKTPSLASVGLHCSNEELPRNGVLHEMSCYGASNILWSTGSFSEPIPNGFYSVILDDRLNLFKSIPTLEELRALGDEGLKADVIVVDLDKDNKIKWLKKLAIVLERSNPAKAVKIIGDLVVDFYKLAASRSTSKTRQSLGSYGFPLLGQISNGSCHARAILFKVLADAVNLKSKLVKGCPSDLNSSATVDSHNHMSVMVMINSVETLAPISVAGKCSCHSPLKPQSQIERGRSVGDVNASLIQTLSSKEQGDNDSTESNDIHIWKEVLASPMFQNKPLLPNEECIIDFSTLILRKTRVGFGCSAEVFRGTWNETEVAVKIFKDQAVTVENIKDFCNEIFILSRIQHPNVIMFHGACVKPRQLALVTEYVEKGSLYHLLHKTDGIKNLSWRKKINILHDICRGLMCIHGMGIVHRDLKSGNCLLCNDGTVKICDFGLSVMMEGTTTLNDIVPAGTPEWVAPETTRNEPLSKKCDIYSFGVIMWELCTLTKPWEGVPQAKVLNIVAKGARLDIPEGPLAKLIEACWSEVPEQRPRCEEILTYLATCEKSLP >A09g506420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:19109393:19109617:1 gene:A09g506420.1_BraROA transcript:A09g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLDRCGLSPLGDGYYLDQTNFSDNNGRSLITRAHQLLMEGFNWWHVMNAHLNCSRLCHRHDWSVYLIITVD >A03p044730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18687492:18688584:1 gene:A03p044730.1_BraROA transcript:A03p044730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRGYGDGPDGRETGPKRQRMSAQGPPGPFYGPHPGSAFMYNPYGFVPPPVFPVVKLRGLPFDCAERDVLDFFGGLDVVDVLFVHKNGKVTGEAFCVLGYPLQVDFALGKNRQNMGRRYVEVFRSTKQEYYNAIANEVAESRVHGTVTGGGDGGGGGGSGGRGGVSGGRSPRRQVQRVRPSDDGKENAEHTGIMRLRGLPFSAGKEDILDFFRDFDISEDSVHVTVNGEGRPTGDAFVEFRSAEESRAAMVKDRKTLGSRYIELFPSSVEELEEALSRGR >A09p032440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19689304:19692731:-1 gene:A09p032440.1_BraROA transcript:A09p032440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAMSMALIIAAAFLVEFNKVDGVQILSKSKLEKCEKTNDSGNLNCSTKIVLNLAVPSGSSGGEASIVAEIVEVEDNSSSNMKTVRIPPVITVNKSAAYALYDLTYIRDVPYKPQEFHVTTRKCEPDAGPDIVGICERQISFFTIYIVLSCLALTVNTYRNRLRDDKGNVLVQTQPVCCPCGPQTRVPSSCGDIFEKLIKGKANTAHCLRFPGDWYHVFSIGQRSLGFSVRIELKTGTRVSEVIIGPENRTATANDNFLKVNLIGDFAGYTNIPSFENFYLVIPREVAAEGQPGNLGGNYSMWMLLERVRFTLDGIECDKIGVGYEAYNNQPNFCYAPYWSCLHNQLWNFHEADVNRISRHQFPLYGLEGRFERINQHPNAGPQSFSIGVTETLNTNLMIELRADDIEYVFQKSPGKIINIAIPTFEALKQFGVAAVTTKNTGEVEASYSLTFDCSKGVAFVEEQFFIIKPNDVTTRSFKLYPTKDQAAKYICTAILKDSQFNEVDRAECQFSTTATVLDNGTQVTNPFQIPETLPKGFLESIRLVWTKLVNGLVDFITGESCRNQQCVQKQMLEFLRLQLPHSIRLLELDGYVRPPPNSLPYHLCPSLALAPKGTL >A04g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4880885:4881605:1 gene:A04g501980.1_BraROA transcript:A04g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITIAGTSTKKVRKPSKRSKPSPLPSQYEFTPRTTEPPPRGNRRAGPTVSDYPPPRQLFEESTPRTQPRAASTPLSQPAPPPQPRGSQT >A02p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:980095:989041:-1 gene:A02p002350.1_BraROA transcript:A02p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVTPPPVDQQEDEEMLVPHSDLVEDPAQPMEVSETETAVSTVENQPAAEEPPTLKFTWTIPHFSRINTRKQYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADAASLPYGWSRYAQFSLAVVNQVHTRYTIRKETQHQFNARESDWGFTSFMPLSELYDPSRGYLANDTVYIEAEVVVRKVLDYWSYDSKKETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDAPTASIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNKYHAEGHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDSMVKINDRYEFPLELDLDREDGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDLKRALEEQYGGEEELPQTNPGFNNPPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRVRLKKEQEEKEDKRKYKAQAHLFTIIKVARDQDLKEQIGKDIYFDLVDHDKVRSFRIQKQTPFQQFKEEVAKEFGIPVQCQRFWIWAKRQNHTYRPNRPLTPQEELQPVGQIREASNKANTAELKLFLEVELLDERPIPPPEKSKEDILLFFKLYDPEKPELRYVGRLMVKSSSKPMDITGKLNEMAGFTPDEEIELFEEIKFEPGVMCEHLDKKNSFRLCQIEDGDIICFQKPVVNKEIECRYPAVPLFLEYVQNRQLVRFRALEKPKEDEFVLELSKLHTYDDVVERVAEKLGLDDPSKLRLTSHNCYSQQPKPQPIKYRGVDRLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHSTKEEVVIHNIRLPKQSTVGDVINELKTKVELSHPDAELRILEVFYHKIYKIFPLTERIENINDQYWTLRAEEIPEEEKNIGPNDRLILVYHFAKETGQNQQVQNFGEPFFLVIHEGETLEEIKNRIQKKLHVSDEDFAKWKFAFMSMGRPEYLQDSDVVYNRFQRRDVYGAFEQYLGLEHTDTTPKRAYSANQNRHAYEKPVKIYN >A08p001220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:738361:742804:-1 gene:A08p001220.1_BraROA transcript:A08p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWGRLDLRFKGSPSALIRSQRFHLQATKMRLLKVATCNLNQWAMDFECNMKNIKASIVQAKAAGAAIRLGPELEVTGYGCEDHFLELDTVTHAWDCLKELLLGDWTNDILCSIGMPVIKGAERYNCQVLCMNKRIIMIRPKMCLANDGNYRELRWFTAWKQRGELEEFHLPIEISEALSQESVPFGYGYIQFIDTAVAAEVCEELFSPVPPHAELALNGVEVFMNASGSHHQLRKLDIRLNAFMGATHARGGVYMYSNQQGCDGGRLYYDGCACIVVNGDVVAQGSQFSLKDVEVITSQVDLDAVASLRGSISSFQEQASCKVKVSSVYVPCRLTQSFNLKMTLSSPKKIMYHSPQEEIAFGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKTDAKRIGNYTNGEFPTDSKEFAKRIFYTVFMGSENSSEATKMRAKQLADEVGAWHLDVCIDGVVSAVLTLFQTVTGMRPRYKVDGGSNVENLGLQNIQARMRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRLFLRWAATNLGYQSLAEIEAAPPTAELEPIRSDYSQLDEVDMGMTYEELSVYGRMRKIFRCGPVSMFKNLCYKWGTKLSPAEVAEKVKYFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSKWPYQFKKIDEIVDGLNGDSVAFPGEEASYGKEVGVVAANSGDPSAGL >A05p045450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27183557:27184648:-1 gene:A05p045450.1_BraROA transcript:A05p045450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNSMFSKIITIGPADRRSSHYAHHHSPSRPTSGSTRGNYIEETEKGRKVGSNPPTFTGFSSQAIYNDSYLLLFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGSRNLFFDWYRILGWMGNGVYASIVIFALNLGIFHVQSFCYERYEWHERLSIK >A03p040390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16829136:16831876:-1 gene:A03p040390.1_BraROA transcript:A03p040390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSPADQSADMLQNLSLDSQPKAASEIPEPKKTAVYQYGGVDLNGQVPSFDRSLTPLLPSDAVDPSVCYVPNAYQQPFYYGGYGTGDWSEYTGYQTPEGVDMTSGYGYAAYPYSPATSPAPQVGGDGQLYGAQQYQYPAFFPTGSFASSVATPTQGDLAANKAGGLKPAESKNVASAAGMAKGTNGSAAGKPNNQTAFNTSSNMYGNGATAGGYAAGYQDARFNYDGYYGTGYSDVQRPVASSYSKANSVTSSRNQNYRSNSNYTGVHQPASMTSYGTHGYYSKMYQSKLYGNYGSSGRSGMGYSSSGYDSRTNGRGWGSTTDNRYRGFGRGNSFFYGNENNADGLNELNRGPRARGAKNQKENSEDSLEVKEQTSESNVAEAVETENTCIVPDREQYNKEDFPVDYANAMFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLAAAYQEAQQKPGGCPIFLFFSVNASGQFVGLAEMTGPVDFDTNVDCWQQDKWTGSFPLKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLKIVKIFKEHTSKTCILDDFSFYEVRQKTILEKKAKQHQTQKQVSEEKTTTDEKKETATADLANKESPPAAQTTGDVKVDENGSVAKPVGVVANGC >A09p029820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17747831:17753555:-1 gene:A09p029820.1_BraROA transcript:A09p029820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1A [Source:Projected from Arabidopsis thaliana (AT4G05420) UniProtKB/TrEMBL;Acc:A0A178UYD4] MSSWNYVVTAHKPTSVTHSCVGNFTSPQELNLIVAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGETQDFLFIVTERHKFCVLQWDAESSELVTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLFGCAKPTIAVLYQDNKDARHVKTYEVSLKDKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSANAFKAIPIRPSITKAYGRVDVDGSRYLLGDHAGLVHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVFVGSSYGDSQLVKLNLHPDAKGSYVEVLERYTNLGPIVDFCVVDLERQGQGQVVTCSGAFKDGSLRIVRNGIGINEQASVELEGIKGMWSLKSSVDEAFDTFLVVSFISETRILAMNLEDELEETEIEGFLSQVQTLFCHDAVYNQLVTSNSVRLVSSTTRELRDEWHAPAGFTVNVATANASQVLLATGGGHLVYLEIGDGKLTEVQHTVLEYEVSCLDINPIGDNPNYSQLASVGMWTDISVRIFSLPELTLITKEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFQLDTTTGQLKDRKKVSLGTQPITLRTFSSKSATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSYMCPFNSAAFPDSLAIAREGELTIGTIDDIQKLHIRTIPLGEHARRICHQEQTRTFGICSLGNQTNAEESEMHFVRLLDDQSFEFMSTYPLDAFEYACSILSCSFTDDKNVYYCVGTAYVLPEENEPTKGRILVFIVEDAKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDTYLGAENNFNLLTVKKNSEGATDEERGRLEVVGEYHLGEFVNRFCHGSLVMRLPDSEIGQIPTVIFGTVNGMIGVIASLPQEQYAFLEKLQSSLRKVIKGVGGLSHEQWRSFNNEKRTVEARNFLDGDLIESFLDLSRNKMEEISKSMNVQVEELCKRVEELTRLH >A03p060030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25974209:25976439:-1 gene:A03p060030.1_BraROA transcript:A03p060030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAEGTQQPHLILAHKLFLLTHPDVQDIEKVQLKSDVLDSIKSDGMAPLYETLAASSVLELDQSLLDSMRANNEEELKKLDDKIADAEENLGESEVREAHLAKALYFIRISDKEKALEQLKLTEGKTVAVGQKMDLVFYTLQLAFFYMDFDLVSKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAASLFLDSISTFTTYEIFPYETFIFYTVLTSIITLDRVSLKQKVVDAPEILTVLGKIPFLSEFLNSLYECQYKAFFSAFAGMAEQIKFDRYLNPHFRFYMREVRTVVYSQFLESYKSVTVDAMANAFGVSVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >A09p078670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58119984:58126608:1 gene:A09p078670.1_BraROA transcript:A09p078670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNQMSRMSLSEVQKPKAPQTNWSEHVKVLDGSPSSVTKHLSSNFLYALESQKPGRCRDMVARSIVFPSVNAHTLAHPQIAKAWRALSSLSVNTTYLRPGITPPVDDSGTNGSYSARERSTVKVTSSTGGSLYSNNRQNQSQMGVPGTGRYSHSFPSSIPGDDKIAAEKFSRVNAEVREPETSCTHSNGVEKPFRNSAFPAEQLESGEACLDEIDDDDILQNIDVDQILMQHYQSTSTPQPSVSSFPLRTPPVDRSASSREEECCLPHELCCNCSHGIKLGLCPEASTHLEQMKNALIAISNELLDDDTDLSPDRIQELRQERLQLKKQIQQLENHIRDKERETSKFLSSTATPSFQYETPKATNRNMDDPQTDSRAQFSEQGGYASGSWNMPRDSSFSVDRYGLSSAPVEREQYVPRIIDVTYTEGSSDKKWSSREFSWTKKLEVSNKKVFGNHSFRPNQREIINATMSGSDVFVLMPTGGGKSLTYQLPALICQGITLVISPLVSLIQDQIMNLLQANIPAASLSAGMEWAEQLKIFQELSYEYSKYKLLYVTPEKVAQSDSLLRHLDSLNSRGLLARFVIDEAHCVSQWGHDFRPDYQSLGILKQKFPNIPVLALTATATASVKEDVVQALGLVNCVVFRQSFNRPNLWYSVVPKTKRCLEDIDKFIRENHFDECGIIYCLSRMDCEKVAEKLKEFGHKAAFYHGNIEPTQRALVQKQWSKDEINIICATVAFGMGTGINKPDVRFVIHHSLPKSVEGYHQECGRAGRDGQRASCVLYYGYGDYIRVKHMISQGGVDQSPMAGGYNRVASSARLLETNSENLNRMLRYCENEVDCRRFLQLVHFGEKFDSTNCKNTCDNCCSSQSLIDKDVTLITRQLVELVKQTGERFSSSHILEVYRGSLNQMVKKHRHETLQFHGAGKQLSKIEVSRILHYLVTEDILVEDVRKSDMYGSVSSLLKVNNSKAASLFSGSQTIMMRFPSSVKVLKTTKPAPTPAKAPVTSADTPPEDLNLSAIMYTALRKLRTLLVKEAPDGVMAYHIFGNATLQQISKKIPRTKEELLEINGLGKAKVTKYGDRLLETIESTVNEYYGTSNKDSMISPDSGKRRRDENTSPNVADDDDDFAEMSIQSCKKTARNKSNEIGMVSEKLDFDFEDEDGSEIRPEGRVLPW >A09p004540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2572146:2573890:-1 gene:A09p004540.1_BraROA transcript:A09p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRF-like 10 (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G03780) UniProtKB/TrEMBL;Acc:C0SVN2] MTRSKSLPIRSSRKSLDPSPASLPEESNQGVSNKRYPPQIEIGDDDVEIVTDTFDGRDKKRHCVGTSEPLSLEACIVCETSDELVYRCCGADCLLWFHEECLNAEFGSGGEDPANPFCPYCWFQILAVESIRLKEKAVGAETAVFKYLDKEMRSKGQEDSVGTTDIVSDQEVEVDEKCSDESRGEDMAALVEETDQSEGGKENFEVCTDRVVDEEERVVTENFLDDEDDEAAGDQTKGNADAGTERDVSPFLSMQESFSGKEHDQVQQSEKRRRKRRLILNAFDSDVSSNGSTNEPNGEDAVENITSLALVVTSPLGMMKNQQREDSRTTKVDNSKTVRDIPIFKMDQKRRLLWTPEEEYMLKVGVEKFSAEAKKNIPWKKILEMGQKVFHETRTPSDLKDKWRNMTGARLKNKQDSTTTVPGM >A09p043320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35359694:35360795:-1 gene:A09p043320.1_BraROA transcript:A09p043320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPKRWDSEMLANYVCLEDIPLIQSLVISQGYHQDEWMNMGNFLGNNSISWSTKPAAKLTLSLGAGGPLMSDGMMLQLSTCQTFSTDCKDLVSMIQDPGAWPNFSTELKELMKLKSRFTDFPIVFIPHSENVSSDSLAKIAKSFHRNIYYIGCSVPIWFSRSPQT >A04p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6713909:6717196:-1 gene:A04p011440.1_BraROA transcript:A04p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVKEDEKNERIIRSLLKLPDNKRCINCNSLGPQYVCTTFWTFVCTNCSGIHREFTHRVKSISMAKFTSQEVSALKEGGNQHAKDIYFKGLDPQRQSAPDGSNVERLRDFIRQVYVNKRYTNDDKPPRGPMGDNETRSSSGSRSPPYEDAYERRYSDRSSPGGMSPGFEQGNRKSPSRPEVLNDWRREDRFGGRKKTEEESHSPEQVKDLGSASPPIARPVREILGDSVIPLRVIEPPKPQVSRNSDSSVIAKPAASSSSLTTTNESPPEVKMETAMSLIDFDADPEPPAPSVAIQAPISATHQPAPPAQPASASNDNWASFDAAPITPTLNVSQPPPCGNSVDSLLSQLAAPSSGPVQTSTLSSGPAHLGHSTSQIFAPPPNGQSNEQPWNTGLSSNVQRSMSAPSLHPLQGVPSEVKPSGRTELPADLFTATYQSYHAAAPGWQAGPPHSMHYGMPYGMQQYNNTMPYQNVPQPARSMNPFDFSSEPPSVTQTETMFPSMASLQGALPPSGMMPSQGLHNHFSMPPQVSGHPSAMPPRYISPQIPGSMPPRLEA >A03p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15150941:15153367:-1 gene:A03p036220.1_BraROA transcript:A03p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGYDDDSKRKRRYVIISISSVLLISMVVAVTVGVSLNKNEGNGDSDAQITSSVKAVKDVCAPTDFKKTCEDSLNKNGNNTTDPVELVKTAFSATMKQITAAAKKSQTMMELQKDPRTKMALDQCKELMDYALGELSNSFEELGKFEFHLLDEALINLRIWLSAAISHEETCLEGFEGTQGNAGETMKKALKTAIELTRNGLAIISEMSNFVGQMGIPEFSSRRLLSQEIPSWVDQRGRRLLQAAAAYSDAKPDIVVAQDGSGQYKTINEALKFVPKKKNTTFVVYIKAGIYQEYVQINKSMTHLVFLGDGPEKTIISGSKNYKDGITTYRTQTVAIIGDSFIAKNIGFVNTAGPAKFQAVAVRVQSDESIFFNCRFDGYQDTLYAHSHRQFYRDCTISGTIDFLFGDAAAVFQNCTLLVRKPLPNQACPITAHGRSDAREATGFVFQGCTISGETDYLAVKATSKAYLGRPWREFSRTIIMNSFLPDFVQPQGWMPWMGNFGLDTLFYSEAQNTGPGAGLANRVTWAGIKKLSNEEILKFTPDQFIQGGIWVPGKGVPYTPGLLAANPNAATTAPSGSAASGTPSFTATSGAGSVSPSAAPVSQVAAPVSPAVAPVSPAAAPEGSLKMASTETNGAGSVSPAAAPQGSIRMASTDSSGASSVSPAAAPEGSIRMASMERPGAGSVSPVAAPESSIRMASMERPGAGSVSPAATPEGSIKMASSETSGAAAPVYPPPPPFYPDIALSN >A09p060930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50373984:50374443:-1 gene:A09p060930.1_BraROA transcript:A09p060930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLLRCQLSNAQEELLLRNETSPVDQKMIRRWALRRQSPEHLRLQDKHPQRSQPQSRRKAKQPKVRNPRLPRCSSATDMML >A08g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9016291:9023468:1 gene:A08g505370.1_BraROA transcript:A08g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNLFRVFTGSGTFRRNMVILESFGAFGGAELHRCVRCLAMYRDHPTSFELSFQCHRSQVNKHHVAEVMPVLLKSGQSASREEAAEKRKPRRSMQHSARRSMEIPDRGPCIFYDCVKPRNNHKLPECPWMTRNPIYVISKPLGPGDLLIPHAKQSEHDILTTKYKNLLSLCLSPRTPYILAPKSVYAFTLLPLSHHSKKWRYSIFSDLRNYHQNCVFIRGNLTFIFDPRTGQLINAEGNEIPDVIAVAEMNTFDLTSQWYNWGSEDLFRGLPHENHIEELEGLVSRRKQKQISEDLILCKIFPYTISGDAFSWFSKLQPRSLTCWEDIKGAFLGKYFSEVVATRSKRFDYMVDKMIEDHEKGIITSLSQISISQLMDFSYSEQDEDFEIPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVEDDEYHVSGEQSKVEEADTKDPTSASIDSSNSESIDVRTSETIDTDICHQSIPSTIPDATIVYVRTERLKAIRDYNIGVADISDTSSSSIDILTITSIVTPTSSSIDPSTSEMIDTDFCHRSIPVEIPERSSCLQDIANSTQESIDESSCDHTSDVDKVTLKDFLELEEWLRQKLDDQLASGKGLENSLKADDIDRHKLDEIDRHPPYNIDLQSPKEHTSGASHLAVPENLRPPLCEEEAVGICKRVKRIHDPVKFVVPYEVFEAESPIPPDKSLELSSYGGVFDDNKYVEASQRGLRFRDEVDNCPAEVPSSDINRTKSIDTIISSSIDTGQIPSIDTLRKSEQKEFEVCPNLFNGGTTMRSDKSGRKKRRNWKKRKRIKGDPQLSLIPHFSDGVKKPRVRSRCFSQPFAKLKALLIAEMIDKGEGYKEKIRERRIVQKILKWINLSTMFTLAEIV >A05p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8843369:8844715:-1 gene:A05p018900.1_BraROA transcript:A05p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNNRENTKAHSPRRRRCLWPPALTLQPPLSLSSNSLCNIVLCFIVCSVAISALCSTHSDIHPLRALLAEKRSSKSSALLITTYNRRDPPTSSSCFVNLRTFDYPSQIEKVKSRSYGSTLNQRDIRLTLLYEYMSFLMVPPLRKALVSKEPPCHASTQHHQYGVLDCLSSPRVAQPVVRSPGLSSQSLHCVSFHHQWSKVQTGNFPLIGSIMFARSPSPFTSHNKPLCPWSPLSPTSKLREPYVHLNSKVVPSTTLCVVLLRQPIHSSLQCHLNSASPDFVNCNLSETVSSTTVCSPSPEASLHLTATSGDPYVFRDGYQQQSKPIGWALGIQMKIFCGLLLSLATSLFRYGLIMLAYQFTVEYLSGYNRFSLLDV >A08p007960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5261587:5263425:1 gene:A08p007960.1_BraROA transcript:A08p007960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSFNFPRPTTTADDLENLHEVHGSIGYYRAYLSFSHSCGLIFPIPEPILEILAEHGLSLSQLFPNFLRHIIAFLVKAREEGLAFGVSEFRQFILVKRNNQTPGTFLVSPRPGRHVIEHIPYRDEKWREQFFVFRMDRASMGDFNFSRLSRRWAENIIPAGSSSMLDEICGMMRILRRCRSNWFTFDQTRIQTVFALPVGTGVAPLIEKSEDKTGHSQEVAGASSGQDQSTDRLNRQLVRRSSFQTSGSASRSQASGKSPLISIHDFDDDDVPEESQPPASLSPGMDEETVVSDLISLAGCMRSAGCRLPSLASSVEKEAYAKVAVASSKVMEAFNEYVVMTEDHVAASRNEKEIERIGSEIKRLSEELEATKREGKEDAEKIEALTEDWKRVHLVNKALKSRMVAKRARIVALEVERDRDVRRASRIARRDTAAKYREILERNVVANIDLLTELKDGGLTVDAELAHLKEMERECADLPASAAVPDWSISELDLL >A04g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8234550:8235060:1 gene:A04g503840.1_BraROA transcript:A04g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGIRQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLGTAGGQFNPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLGLGQGAGKLPECELRLSDRFAKGRKGEKPPRGGYGAVMGRLWDGFGKKGWDFGNCSPRRLGTV >A07p005090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2487993:2489081:-1 gene:A07p005090.1_BraROA transcript:A07p005090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 5 [Source:Projected from Arabidopsis thaliana (AT2G17230) UniProtKB/Swiss-Prot;Acc:Q9SII5] MSSPAINLFFALFSFLIFSVSSSLPLSQSQSQTKNPATVQTLNVPAAEIKMVNPMLPPRSLSLTSSKRYEGSSDLVHLRYHMGPHLTRLSIQEVIASAARSASFPVDHKNGMYLVLTSHDVTMQDFCRAVCGFHYFTFPSMVGYTMPYAWVGQSGKQCPEVCAYPFALPGYMGHGGPGELRPPNGETGVDGMVSVIGHELAEVVSNPLINAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMQDRGGKTFNMNGKGGRKFLVQWIWNPNLKACSGPNSVD >A09p073110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55871417:55873305:1 gene:A09p073110.1_BraROA transcript:A09p073110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF017 [Source:Projected from Arabidopsis thaliana (AT1G19210) UniProtKB/Swiss-Prot;Acc:Q84QC2] MNGGGLWPNQAKRVRTYTKVQKRGSVGRSIDVTRYSGYEELRNDLAIMFGTEGHLEDPQPSDWKLVHTDHEKGVCELRAKYKDTIITFFAVVCTAKKQTEESAKVMGEEGSSMQSKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPEKAARAFDAALFCLRGSGANFNFPDNPPAISGGGNMSRSEIREAAARFANSEENVAREKDEEMMQQECTTPSAASSSMMMMTMDVDDSEFLSMLPTVGSGNFAADFGLFPGFNDFSDEYSGERFREELSPTRDYESYDGSAVHLWNF >A10p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1609822:1612578:1 gene:A10p003150.1_BraROA transcript:A10p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVGGKFKLGRKLGSGSFGEIFLGVNVQSGDEVAVKLEPLRSRHPQLHYESKIYMFLQGGSGIPHLKWFGVEGEYNCMVIDLLGPSLEEFFNYCSRSFSLKTVLMLADQMLNRVEYMHIRGFLHRDIKPDNFLMGVGRRANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYMLMYFLRGSLPWQGLRAGTKKEKYDRISEKKRLTPVEVLCKSFPPEFTSYFLYVRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQFGSSSSSSSSSKPRPGLRPALNIPAPSAEKPEKPSTGKETRDRYSSVFEAYTRRTGLGTGLQADRSSRPRTSENVLASRDALNQERSMTSSRNQSSSRKAVAGSSVRATSSADFAENRTSSRLVPSNGRSSTTQRTQFAPSSSKAAPSRIPPDVTLEFLSIGNGKRK >A09p077160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58013073:58013371:-1 gene:A09p077160.1_BraROA transcript:A09p077160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSGNPLGFELDIHVPEDKVVIYQSKGSLMKTEMASLGPPGYEPDQTPKPVTKAAKRNERKKEKRRLSFKDML >A05p022690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10928527:10928933:-1 gene:A05p022690.1_BraROA transcript:A05p022690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSVHKHDRNLLYGVCARGSCTFWSFLRCRTAKIRHKLLMDGYLFTVRLSSYFDTRSIFALAFQCNRFEVSQYPISEVMPVLLKSGWSASREEAVED >A07p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1606827:1620050:1 gene:A07p006580.1_BraROA transcript:A07p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGFHHQQQQQLISLLSAALPTNNPSSSSSDPVAPPSTSAAAAAASTNASSPFKSKKPKKTKKKISSAPLGPRDSKPERNLDSARLAALKSLHRAITYPPNSILIANSASYLCSGLWQLLSDKSYDVRQASVSAYGALCAIVCSIPIEFIGRQSLLMLVDSFIDWALPLIRDVSVQDGSTVLALESVREFLSVGDVHVIDRYALPILDACKSLLEDERTSIPLLNQALGVLFLISSKFFILFHPHFLDIVDMLLGWALLQDQPDSDRQIVLDSFLQFQKHWVGNLDFSVGLLSKFLGDMDVLLSDGSEGTPEQFHRLLALLSCFLAILRSTASGLLENNLLYKMDEPLSQMIPRLLGFLSTMGQRFGWAKWIEDLWKCLTLLAEILREKFSTYYFPSLEMLFKSLEQNDNVNVAVHGKMTTSQIHGTLKTNLQLLSLQKQGLLSSCVPKLLCFESPLSRLRLHQNRLVTAGSSDTYIFLLQHESEEIIQQAMELLMNELELLKTVLVDSSGSENKTFDVTNYEAFSKNELLAMINFDMKVLLSCVSLGGRKSLSDVPKIAALYLKRSEKLISFVFEKLNPFESYVDACSELQVSIMRMLECLAAVELLSNCSVLVQPGSKLLKETNHGKTPVKHTFFTMVVEHLRKYSLTMVKALRFSSPLDVKLASLEWIQKFCENLMAISKNLNMDAYFCETFPYAGAVRDIIMAVLDAAFDTEPKVRSLSAKVLELLLVVKLIHPISFYSLAEILLEKLGDPDTSIKNAFIKLLSHLLPATQYVCGMHSEVEHMALRPEVLMLGNGYLHWKQVFALKQSDHHFQSQQLVSVLNYVSQRWKVPFSSWIQGLINAFQGTKDTDDGHPDENLIKNGWLAIKAEKGSIERICLANNLAGAWWAVHEAARFCVSTRLRTNFGGPTQTFAVLERMLLDITTVLQVDSEQNVVGSSGAHLLPMRLLLDFVEALKKNVYNAYEGTAVLSSAPQQSVLFFRANRKVCEEWFSRISEPMMNAGISLQSHNATVEYCTLRLEELKSRAILVKKEKFKVQAQITSDISGILRKMSLALSQNHDTHALLGVNKWVSMNLAPLVAEESDNQKRSDELTLFPWITGLIYQSDGRYEKAAAYFAHLLEEEDCLSSMGSDDIHFVIERIIESYAALSDWKSLDSWLSELQALRARHAGKSFSGSLTAAGNEMNAIRALARFDEGDIQGAWACLDLTPKTSAEPSLNPKLALQRSEQMLLQAMLFQAEGNIQKVSHTLQKARSMLDETSLALSFDGLSETAPYATQLHCLYAFEESHQMRESEPKQKHNNLMLSSCVWSMQSMINRIHRDCSPWLKVLRTYRTISPTAWVTLKLCMDLFGFARKQGNFLLANHLKVYLNDHVSSCDEVKLRDFLISNLQYQGALLMYEENKIQDAVVDLWSFVQPEVTALHPVCLDTGVAFLKAKACLKLATWLKGDASSLDLEKVVFKISADFNRTEIPSSVSSEPLVYESSKPSIKAISEEMIGTVTKVSTQLCSAMGKSWISYASWCFRQATESFSKSNESTIHTSFSSRILAQELQPGTFRLTEDETQSVESIVMQFLQNDESTDLTNIGQDGNHHTTTADHSEARMKALQKQVIETIENAAAAPGGEGCDWDSLSVHLASQLTDTLLCAKENGEDTDIAPIVKRLIEVWWSLRKRRVSLFGHSALGFTQYLRYSSKNLRTSEFTGVDYDPLNKKAGSHTLRSTLYILHILLNYGVELKDTLRHALSMVPLEPWQEVTPQLFARLSSHPEEVVRKEIEGLLIMLAKLCPWSIVYPTLVDVNACDEKPSEELLHVKACLAELYPRLIQDVQLMLNELGNVTVLWEELWLSTLQDLHMDVIRRINLLKEEAARVSENVTLSQSEKNDINAARYSAMMAPIVVALERRLASTSRKPVTPHEVWFYEEYIERLNSAILTFKTPPSPAALGEVWRPFDSIATSLASHQKKSSISLKEVAPSLSLLSSCNIPMPGLEKQPTLSESDTSLQGIVTVSSLSDHVTILPTKTRPKKLIMIGSDGKKFIYLLKGREDLRLDARIMQLLQAINTFFCSSRATDGGTIGIRYYSVTPISGRAGLIQWVDNVISIYSIFRSWQTRAKLSQMPPSAPGSAKSPDLPPVPRPSDMFYGKMIPALKDKGIRRVISRRDWPHDVKRQVQLDLMKEVPKQLLHRELWCASEGFKAFATKFKRYSGSVAAMSIVGHMLGLGDRHLDNILMDFCSGDVVHIDYNVCFDKGQRLEVPEIVPFRLTQTMEAALGLTGVEGTFRANCEAVLGVLRQNKDTLLMLMEVFVWDPLVEWTRGNSHDDAAIGGEERKDMEVAVSLSLFSSRVQEIRVRLQEHHDLLLAALPAVELSLERFSKVLNQYEIASSVFLQADQERSKLSLRETSAKTTVAEATCNLENIRASFEIQAQEFSRAKALVSEKAQETAVWMEQRGKILGALRRDMIPEITAPTVLTDILGSLSLTSAVLVAGVRLTVVPEPTQAQCNNIDKEISLLVNDLSDGLSSALAALQTYSLALQRILPLNYHTTSQVYDWAQVLQLAARALSTDILSLAKRQAGEQYAKVHGDDSQSVRNCYDDLWRKVEKYADDVKKLEEEYAELEASSGTGPESKAKNRLFYGLINYVQSPGFVESTNAGLSDKYEAAKRVNLQDSGKRTLKDSGERTLKALDLLHTSISSLYDRSKEKVHYILNASTERNDLNESLVSESRSLSTNLEAQIEMCMIVVDFLNEVKHYAGQEISSVGESLAGSAHRVEENWALIFHRTLLSSKTLIAQMTDVVVPDVLKTYLLSNSDLMDAFGLISQVRGSIDTALEQLVEIKVERDSLVELEQDYLKKIGHITEGQLALEKAALKSREHLSWEEVEEFASQGEACRTQLDQLKQSWGQRLSLLVKKEEQVKNALVSAEKQFRLLTNADECKKLNNLRISGILVELVKPFRELEQLDKKLSSLSSSAVSMSDLLPALGNLLSCELSPAESIWRFRNVLNDHSFFIWKVGIIYSFLDSCIHDATLSVDQTLGFEQLILFIKKKFEFQLQERVDCYLAGSVAPAFLSQMDKENERLKHFSEERSTREDQVKPEYSHLKQVHTMLEEYCNAHETTIAAKSAASRMKKQVKDITDALRRTSLDIVQMEWMNDATLTPSQNIRTSLQQVFVSDDKFYSSFLDLNRAKLLETIRSAIPQITRSIERLQACEKNSIAAEGQLERAIGWACGGSSSVAAGNSSAKMSGIPTEFHDHLSRRRQLLWDARERASNVAKICMSLLEFEASRDGIFRNPCEALEGDPRIRGDSRAWQKTYMNLVARLEVTYQSFTHVEQEWKLAQSTLEAASTGLYSATNELSIASVKAKSASGDLQSTILSVRDCTHEVSVALASFLRASRGHTALTTETGALLKEVLATTGDLHDVHSLGKEAATLHRSLVDDLSKANAILVPLDSTLSKDNALIAEVLARESETDIEVSSIHGQAIYQSYGEKIGEIYQNLRPLVPSVASSVKGLYPMLARLAQIASEQSEVQSDVIASPPTRTHTRTTRGKNAYALSVLKSMEIKIDGRNIIADNREVSVPEQVDYLIKQATSVDNLCNMYEGWTPWI >A06p021090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10420838:10424359:1 gene:A06p021090.1_BraROA transcript:A06p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLANSTSRSLLGSGRDFQSEDYRKPRRCVKMMYTSQTSVLSIQSFSGLRASNALDRVARPSHDFFGHNYIGSEHLLLGLLREGEGVAARVLENLGVDASNIRTQVIRMVGENNEVTASVGGGSSGNSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILARRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDEAIQILHGLRERYEIHHKLRYTDEALVAAAQLAHQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELERQLRQITKEKNEAVRGQDFEKAGSHRDREIELRAEIAAVLAKGKEVNKAETEAGEEGGPTVTESDIQHIVSTWTGIPVEKVSSDESSRLLKMEQTLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTLVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVVRLKDKEIELQVTERFKERVVDEGFDPSYGARPLRRAIMRLLEDSMAEKMLSREIKDGDSVIVDVDAEGSVVVLNGKNGSGDGFVAEEAMEDPIPVL >SC304g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000149.1:2090:2614:1 gene:SC304g500010.1_BraROA transcript:SC304g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A10p021270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14337377:14340878:1 gene:A10p021270.1_BraROA transcript:A10p021270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKEKEDHVKSLITSREMLLKLQQNIETATTGPSASTSADLQNLSNEIQKHLMKTAATAQDPNKPDPSKATSHIKDELDKVFTVEEVGHKCDLCGRDLASDPERPNVSLRSLQEVCVLDCGHVYHFKCLKGTTLDLDNRSTNPSCIFCGHLTFNNPAVQGTFVGVYDGHGGPEGSRFIADNLFPNLKKFAFEGGEVSEEVMRNAFAETDEDFLS >A02p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3305234:3307880:-1 gene:A02p007880.1_BraROA transcript:A02p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPELPGFYFDEEKKKYFAIRGPIPGSKPSSSSSSSSRTKQKPDPKPLKEPNYQKRNKLKALKLLCSRELSGSVLVNKKKSNFKEEIEKTQASNPLVWRYDSTENLGDAALKEFQVDIQTSQGLTRKNILVAGSTGGCLSILRVSKAGQVPPFDEFETPALYGGTECDPVSVLPYKENKREAPRLIWRPAHSHLHAPSSISSIQLIGSSYPSDNSHHIKRALITTLGSSGRGSVFILSLAEEPYIVTPRSLRGNVSSECTIWTSDCSVSGTLAAIGTNVGAALVDLETGAGSYFLRSESDVLALQFHQSKGSIVQCGLRNGAIVSVDVRERPSRLTRHQIRSQSTSGTSQATARKKEWFKLKGNINPSHVLYMPSSLTCMKTLKTYDQYLMASSMDGTIKLYDQRMVKRGVAVQTYEGHVNSHTRIEFGIDPSERFLMSGGEDCYTRIWSIKSGQLLSENKFSNSVPSVVCWSAVEGQSELKDSIVHGTWLGSREAIFNMF >A06p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24098722:24099753:1 gene:A06p044910.1_BraROA transcript:A06p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMQMIQSARSVLRRTQPSSTPSLARFYSKPAPYAVKVGIPEFLSGIGGGVETHVAKLETELGDLSKLLVTRTLKLKKFGIPCKHRKLILKYSQKYRLGLWKPRADAIKA >A04p040370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22782408:22784447:1 gene:A04p040370.1_BraROA transcript:A04p040370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYSCCISNRSYEAKQPSSYRAQRRVCSGRIITLCSGGGATAVSSPRLRDLVFVVNPQGANGRTAKEWKKLLPYLRTRLGEDCNICESLTSGPSHAIDITREAIRDGADAVIAVGGDGTLHEVVNGFFWEGKPVCNLNSEGSHSAALGLIPLGTGSDFARTFGWKNDPCEAVERIAKGIRSRVDVGVIDQEGRDSHYFINVADVHLSAKAGFYASKYKKFGSLCYVIGALQAFMGHHNRDMRIKVNGGEWEVYPQVTALCVGNAKYFGGGMKITPNASPGNGNLEVVVLQDFKWYDFILKLHKLYNGTHLSVNNVSSRSVQSIEVEEVSESGSIYVQSDGEHLGFLPRKFQVLPGAIDMIS >A09p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:166796:168179:1 gene:A09p000310.1_BraROA transcript:A09p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G00310 protein [Source:Projected from Arabidopsis thaliana (AT4G00310) UniProtKB/TrEMBL;Acc:O23071] MRIRKNMKLSSMLLATAGYGGDKLETYVCPLNQSPWDVVPLTSSLDDDNDDGAAELTNLIDSSWFLPSPSPSSSSPSLTRQFAGEDNFNGNVSLGDSNGASQRLNGSFGNNHTDERLNLIAPESSPEVEDPSDDSDKKSYSVQPHSPVKTSGDDYQAAVSVPAPPKRGRPRGSGKKAQASSTAASNNNPYEFYYYSGFGPRWGRKRGGSGDDEKIVLTDDKNGGEDNMSGKKSNSSGEESSKTAAFEHGSSSFDGFEFMEEDYDVVDQSTGHGKKMKSTMAMKKMKRGRKPVKERSLKSLM >A06g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14516502:14516858:1 gene:A06g504830.1_BraROA transcript:A06g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAYVGPTLAHMILVNRLRTYNECALLYERERRAEFQFVKVEDRGRGQDDCLKELEAQ >A01p057980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32846869:32855802:1 gene:A01p057980.1_BraROA transcript:A01p057980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 47 [Source:Projected from Arabidopsis thaliana (AT3G04070) UniProtKB/Swiss-Prot;Acc:Q84TD6] MISKDPRSSLPPGFRFHPTDEELILHYLRKKVSSLPVPLSIIADVDIYKSDPWDLPAKAPFGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKLIAVPNREGFNENIGIKKALVFYTGKPPKGVKTNWIMHEYRLAESLSPKRVAHARNGSQVNNLGDRTLKSTEYSMRLDDWVLCRIYKKSHASLSSPEVASATSEDQEHEENDNEPFVVSETLLPNLANDQTLKRQQSSFSNLLDATDLTFLTNFLNETPENRTESEFSFLFGDFSNPDIYGNRYLGHKLPQLSSPTSETRVIGNKRERVDYAEEMMNNSKKINNFSYNNSIDHLDHSLIQQSSFLNQELLIKIAKMGEKEFYFFCQRDRKYPTGMRTNRATLSGYWKATGKDKEIFRGKGCFVGMKKTLVFYRGRAPKGEKTNWVMHEYRLDGIYSYHNLPKTARDEWVVCRVFHKNAPPPTTTATTTTTNQLTRIDSLDNIDHLLDFSSLPPLIDPGFLSQPGPSFSGAGQQHDFKPIPHHPTTVQINNTYPSAQTLTYPYNSVPNYGFGSGYGTGSGNNNNGMIKLENSLVSVSQETGLSSDVNTTATPEISSSYPGMVNTAANAAMMDGNKTSYDDDDLGIFWDDY >A06p022140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12412680:12418935:1 gene:A06p022140.1_BraROA transcript:A06p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKKPPAPRSKQSLSSSAAEAQPSSEVSASTSSEEPSNLAEIKAECEKALTSFRRGSYNKAIRLMKESCSRHQHSALIHRVQGTVCVKVSSVYEDAVTKQKYIRSAIESARKAVELSPNSIEFSHFYANLLYESASDGREYEEVVQECHRALAIENPIDPAKDSLQDESQHKISTPEARIANVQDELRSLIQKSNLGSLSTWMKHLGNGEGEFRLFDMRKMAEDPIESNLVQTRRPNEIKKATKTLEEKRKEIEVRVAAGRLLQQKSESSSVDSVNSKGSDPALGSGQRSGERRKHGNARKNGSTVERRDRVRSYWESTSKEMKKELLRIKVSDLKSHFSASKEGDANDIITEALSFCEANKTWRFWVCCKCSEKFKDSESYMQHIVGEHMGNVFPKMQMVLPQSLDSERIEMLLTSPWKPLDFPAAVKLLCSQQKIQNSEFSEFYAGGYMDDGDDCFQDAWNDTSPEKENIGDACNGYEKNESEKDRLSNPFCLTDEWPISDDPERTKLLKSIRAAFELLIKHSYLATSHYDKVIQFTLDELRNLPSVSQFLNRGLGQSPICIRFLGATQLKKILQFLQDLSHACGVSRYSEQSNPNDEVDLGLQITEEIFLDGEGSCLLLDEKLLGSECIQEKHMGSALNNGDIASSEDIANGNNVSAGADGFLSWIFSGPSSGEQTAIWMRTVEEKTNQEQKTMEILEKEVHDLQNLFERKCEHLGYEGALQTVEDLCLEEGRKRETSPDFTHESYESVLRKRREELSAHELEFFSSSFELEAIKNVLRDADTLKRNQFGYEESYTCTSSQLRDLESGEADEWGMKDSFSEADSFIETAIQKQKEQLSTELNRIDARMMRGVTVVQQLELRLGPASSNDYQIVMLPLVRSYMRAHLEALAEKDATEKSDAASKAFLVELALDSKKEARGRNDHSKHTQEKSKDKKKNKHSKKLKDSKASVGNDHRFNVDSVEHSLPSVASFGDPSEADVVPEAVESLKEQEEECRRRTELELDEIKLEETLEYQRRIENEAKEKHIAEQQKKYSSLVTTSDAEAVHDICRDGVFVDLDLREQEKSLSQENLVQRNGLSHDLEVTHVYTNGDCRSTNHCAIPDAATVQDVKSQKVVANGVATQAGVLQSDQRIGRRGRRQKTSNKVVGPSESTGKERESETLRSHGDVGTKTLRQLQAEEDEEEKFQADLKKAVRQSLDTYQGGRNMTSCLRTPLEVNSHEISDSTKESPSSTEVGIFGTGLQNEVGEYNCFLNVIIQSLWNLGVFRAEFLRSSTLDHHHVGDPCVVCSLYEVLTALSAASREIRKEPVTPSTLRIALSNLYPDSSFFQEAQMNDASEVLAVIFDCLHRSFAQSASVSDTDSSESNYTGSWDCANRTCIAHSLFGMNIFEQLNCYSCGLESRHMKYTSFFHNINASALRNMKVTCPETAFDELLNLVEMKHQIACDPETGGCGKPNHIRHFLNTPPHVFTAVLGWQNTCESVEDIAATLAALNTEIDISIMYRGLDPKSIYSLASVVCYYGQHYHCFAYSHEHDRWIMYDDKTVKVIGSWSDVLSTCKKGHLQPQLLLYEKQR >A07p002680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3673701:3674209:-1 gene:A07p002680.1_BraROA transcript:A07p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHETQNLLSLMKTWEGQRRSLRRKRGHSLRRCTRSSSFREFDGTDVSHERTVRVVKELAESIGTNGLVAGQAMDLSGEGLDQNDAGVEELEFIHVHKTGSLLEASAVTRVVIGGGLEKEVEKIRRLATCIGLLFQVVEIENLLWI >A06p012090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5542955:5545391:-1 gene:A06p012090.1_BraROA transcript:A06p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEEEQSLIEQGSIQEELKLYAEDGSIDINGNPPLKDRTGNWKACPFILGNECCERLAYYGIAINLITYLTAELHQGNVSAARNVTTWQGTCYITSLIGAVLADAYWGRYWTIASFSSIYFIGMSALTLSATVPYLKPSDCIGDFCPSPTTPQYLTFFLGLYLIALGTGGIKPCVSSFGADQFDEKDPSERVRKSSFFNWFYFCINTGAFGSFLLVLVQENIGWGLGFGIPTVFMGLAIISFFFGTPLYRFQKPKGSPITRICQVLVASYRKTNLKVPEDRTLLYETETQELFVASRKLEHTDDYKYLDKAAVLSLEEARSLEFFNPWSLCTVTQVEEVKILIRMLPIWASGIIFSSLYAQATTMFVQQGRAMNCNIGSFKIPPATLGVFDCVTVLIWVPLYDRFNVPVAKLLTKTDNGFTVLQRMGIGLFISIFGIAAAAVVEMVRLGMAETGTDVSLTVFWQVPQIFIFGAAEVFYFVGQLEFFYGQSPDSMRSVCSALGLLANALGSYLSSLILTVVTYFTTKDGGDGWITDDLNEGHLDYFFWLLAGLSTLNALVYVFSAVNYKQKITS >A09p022640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12471329:12472138:1 gene:A09p022640.1_BraROA transcript:A09p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQQRVHPVLQMEANNKKISPAGGKTEHLPTQRPFPPPATVENKKASPAREKTQQLLPVQRPLPPPPLMVRNKKRNLCCRIFCWGLSLLIIALIALAVAITVIYFVFHPKIPQYEVNSLRVSNLGINLDLSLSALFKVEITARNPNEKIGIYYEKGGRLQVWYTKTKLCEGPIPKFYQGHRNVTRLNVDLAGRAQFGNTVLSALQQQQQTGRVPLDLKVDAPVSVKLGKLKLRKVRILGNCKIVVDSLSTNNRINIKASDCKFRLKL >A07p019760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11770068:11776805:-1 gene:A07p019760.1_BraROA transcript:A07p019760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTSYYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKITGKSKGYGFVTFREPESATKAVADPNPVIDGRKANCNIASFGQPRPSTPRGRGQGGSPSQYQGRGQSGYSGMPGSLQQAATAQLMYPSYGYTYNSEYGYHQALYNTQLQQAQAQYYQQQMYGGGATSPSSSNIMPSPYYYLQAPSPRPYSHQHYAHHINHQQQQQQRLTSASSYLIHPSNFGVPTTFSNAPSSQEPISSSTDDLLGKSSGCRRLTFQSSGCRQLTWKSSGQRRESQAPHQVSGGGEIDVTDAPESTTTDNREYTTSS >A10p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:918915:920837:1 gene:A10p001790.1_BraROA transcript:A10p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSFSTVPRFCYSSSVPESCRFRGVKVQLCDQSLVPVRLSIRIRRRRRTPRTLVSCSQKRDVTVVDGSCMDEIYDKLAERLVPTSAAMFSPDVKRLVGLAGPPGAGKSTLAYEVVSRINRLWPQKAASFDAEVMPPDVATVLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFNPALLLNCLKKLRNEGSVYVPSFDHGVGDPVEDDIFVSLQHKVVIVEGNYLLLEEGTWKDISDMFDEKWFIDVNLDTAMERVEARHISTGKPPDVAKWRIDYNDRPNAELIVKSKTNADVLIRSISF >A05p053740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31068129:31073734:1 gene:A05p053740.1_BraROA transcript:A05p053740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRSGARRSRRIEDHQPNPQLLQNILLPPPPPQTATTRRRGGGRGRGNAALAKAAAVPPRPTTAAAGRGRGIRLTDLEPEPCEVLPAAGVLGAADKDLAAEGGSPEKIAGMEEDSSMGPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGSDRIGADAIEVALKLEHRNSKGCNFGPPYEWQVYNTLNSCYGIPAVHHKGRQGDFYILVMDMLGPSLWDVWNSFAQSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPETADEKKLYLIDLGLASRWKDSHSGPHVEYDQRPDVFRGTIRYASCHAHLGRTGSRRDDLESLAYTLIFLMRGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEVVTNMKFDEEPNYAKLISIFDSIIEPCAVSRPIRIDGALKVGQKRGRLLINLEEDEQPRKKIRIGSPATQWISVYNARRPMKQRYHYNVAETRLPQHVEKGNEDGLYISCVASAANLWALIMDAGTGFSSRVYELSTVFLHKDWIMEQWEKNYYISSIAGANNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSEQVVELDFLYPSEGIHRRWESGFRITSMAATADQAALILSIPKRKITDETQETLRTSAFPSTHVKDKWAKNLYIASICYGRTVQVGNSPVYKTERKLGKGGLGQVYVGRRVSGGSGRIGADAIEVALKLEHRNSKGCNFGPPYEWQVYNTLNSCYGIPAVHHKGRQGDFYILVMDMLGPSLWDVWNSLAQSEWIEELMNAIIGESSVSHAKSTIDPEG >A05p049540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28832341:28836878:-1 gene:A05p049540.1_BraROA transcript:A05p049540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH10 [Source:Projected from Arabidopsis thaliana (AT2G06990) UniProtKB/Swiss-Prot;Acc:Q9ZVW2] MTMSTIMESPETLGKRKASSDETPTPEPTTKRRSSQKRACVHEVAVPNGYTPSKEEAIHGTLDNPLYNGDMAKTYPFQLDPFQSISVACLERKESILVSAHTSAGKTAVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELQHEFHDVGLMTGDVTISPNASCLVMTTEILRAMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICYLHKQPCHVVYTDFRPTPLQHYAFPMGGSGLYLVVDENEQFREANFIKMHDTFPKPKSEGKKSANGKSGGRGGAKGGGGGGGDSDVYKIVKMIMERKFQPVIIFSFSRRECENHALSMSKLDFNTEEEKLTVEEVFNNAMLCLNEEDRSLSAIEMMLPLLQRGIAVHHSGLLPVLKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRFIGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMDVLKDMMLGKPAPLLSTFRLSYYTILNLLSRAEGQFTAEHVIKHSFHQFQYEKALPDIENKVTKLEEEAAILDASGKAEVAEYHKLKLDIAPLEKKLMSEIIRPERVLCFLDTGRLVKIREGGTDWGWGVVVNVVKKTSVGTSSQGGGYIVDTLLHCSTGVSENGAKPKPCPPRPGEKGEMHVVPVQLPLITALSRLRISVPSDLRPLEARQSILLAVQELSSRFPLGFPKLHPVKDMNIQDTEIVELVSQIEEAEQKLLAHPMHKSEDDQQMKSFQRKAEVNYEIQQFKSKMRDSQLQKFRDELRNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSNEKVELKNELNRPLQQLKDSARRIAEIQHECKLEIDVEEYVESTIREGLMDVIYCWSTGSTFAEVMNKTDIFEGSIIRSARRLDEFLNQLRLAAEAVGENNLASKFAAASESLRRGIMFANSLYL >A01p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19663088:19663874:-1 gene:A01p026320.1_BraROA transcript:A01p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTKLHAAILANPGMGHVITVIELGKCLAGSDSFNVTIFALEADAACAQSHFLNSPGRRHEFNMLTFVFIASNARILALLMYYLAFEQDVTDKHIVKKNPLVVLECEPLQFKDSLIYVLNCPASCTRLKAIRHDLSCTDLSYWSYVMGLLIHRIPAIQFKIR >A09p073310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55940533:55941489:1 gene:A09p073310.1_BraROA transcript:A09p073310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQAVATCVMGNVKLQALARGREIRRSDIGVEVHSKCRLNQETKLPEDSVVDTHTYLGIKKLTSNVFARKENKKSVGKKDFTVTATQTTECEESNNGNENSSQGLPSYMQATKSEKAKLSLQGSSTPRQQGDEKATRRYSLPSSGKKAPVEWKR >A04p028750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17241678:17245067:-1 gene:A04p028750.1_BraROA transcript:A04p028750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYSSRVIAPKAPFDSEAAAKDEAIDPWVRISVEGYRFNKDTENEMRIKLVNLFKSCGHVSRVDFPTELLLDSRAFVIIFGDGAKEKALQLNGSDIGGWNALVKFAPEEEDEEYQAESEYKQFVINELLNDKRFRFGICVVGYDPSLLEDEVEEALTAHFSSCGVIIHVDVDLLDKMTSIYFSEEEGEASAMNLDGSEVDGFKINTMLVPTTARSNPPRPPGETHCGYCAPAQMLEFADEIQEKMDFYMTEWRLNAMTKKLSALKKQRARALKKQKARALKKQKAWALMTQKARAKEERVDILEKRKCEKPTYGPNQTTISTNRSIVSLLLRKNSITSVPGFSHGRPTDEIKSELINHFKSCGDLISVEVPTDPILESRAFVNYHGHLAKERALQLNGCDIGNWKALVKFAPREEDLDYQVSLRYHRSLWYGIAVLGYDTSLPEDQVKSILTEHFSSCGLITHVFVCTPDECTNIYFSKKEDEASAMDLNGSKVGGFKITTMLLATAISNPRLAPGDEPTVGYSIPAPFLEFAREINKKRDDYMTEWRVKARRERVIKARREQAFKASQ >A01p041830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22546078:22547975:-1 gene:A01p041830.1_BraROA transcript:A01p041830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKNYSEPNFLGESSGGKDDTSAGMIDYMFNRNLQQEKQSMPEQHQLSPSRFGETPTFDKMSFADVMQFADFGPKLALSQTRNEDDQETEPGMDPVYFLKFPVLNDKIEDHNQTHHLMSREGGECEGNIGNVFLEEKENQEDENDNNSVQLRFIGGEEEEDRDNKNVTTKEVKSKRKRARTSKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGNRHLGDMTTTTTTSSPITSVNNPQIITGNVTDSEGGGVLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKITSETRLTAEDIASSIQEIFSFIHANTTM >A07p034040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18535672:18535989:-1 gene:A07p034040.1_BraROA transcript:A07p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERGKVKPEPMKVVFINTQYVETDTRSFKTVVQELTGKDAIVAPGPFESPSTSDVRCYGGGSKIVEDSRRSNGGGGEVGTTTEFDRFFKEMPPMEELYKLWSDN >A05p008910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3681129:3682817:-1 gene:A05p008910.1_BraROA transcript:A05p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELVELFEAAKKAADAAAIDGVTSSGPEVSRSLDALKQLKKFPITYDMLVATQVGKKLRSLSKHPIEEIKTVATDLLETWKKLVIEETSKSKLDRKDVDKTPNPAPVKVQKLQRGDSAKSIKVEERKEPDNKVNAGASKENQSSTKAPAKAPLNGAPKLTSMVKCNDPVRDKIRELLVDAMSKVHDESDDYDRARVVGCDPIRVAVSVESHMFEKLGRSTGAQKVKYRSIMFNLRDSNNPDLRRRVLTGEVSPEKLITLSVQCLGVSFSRGVYNLVIVNSSYLGHG >A08p037950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22064970:22065623:-1 gene:A08p037950.1_BraROA transcript:A08p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPDTTREPCPDRIIDDMGGAFGMGITGGSVYHFIRGIYNSPAGARLSGGAQYVRMNVPKLGGSFAVWGGLFSTMDCAMVYARQKEDPWNSIIAGAATGGLLSLRQGFRASGRAALFGGAILALIQGVQLAKDKVASAAQQQQVFMGEAASLPPAQVYGQSVPVPETSSASEAGSGSWFGGLFGKGKQKGSEDKSGSKTQVLESFDAPPVPTYEFN >A03p069940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30723053:30723369:-1 gene:A03p069940.1_BraROA transcript:A03p069940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKNILIAFVFTIFFIVSNTDFEDQCYPEQLCAPNDGICEHWCASMSIPLVGECVSGKCCCLSKRPN >A02p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27756672:27758472:-1 gene:A02p044080.1_BraROA transcript:A02p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRWDPGNQWRIQVRVDLCQDDKIRVTWNRQGYMVTRVLPKSLRDFSDSRRIYWYTGKIGIDRLRLSLRGTSQEELGRSCRGSKTEISPFCNIREDPHEEGEIQENVLRSVQKGQDQITKPIPSVAQLETNLAQTDPTEVIMNFVEMENGLVLANKALEVDVKVLDEDVMEVSEEQVNMEKTGENEGIDNDFKNLTDGEEKDKSLDGASGVAEENNSIENVDQLKKGKKLWEQFVILDFFVSNVLMKYWNKNGYYAYYYRLFPLISIDTVWWIFIGAVSHWCLVFIWNLRGRFGVTWSHCFDINFLVVLVATHGSLAASQFLCLASSTQLQRSSFDITTVMELFFMVAQVDDKVSIKLDVYHFPVVITILSEQMRSRYDVCMVYLVNGKSFRKGNNYVTDLSGRGAERTWCLVYVNWFATDYFSYNALEFDFGNGLYFLIQTILWKWFSLVWRLSDIKGQGFLQIRLRSDGMRRLTMLLLMCLASLSQTQTLLKYTEKTVMGVDYGCKVFQMMLIGPVSDVFVKLKMFVVVFVEIYADTNDIS >A08p005320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3071867:3074638:1 gene:A08p005320.1_BraROA transcript:A08p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRIYLCASSCRGKKKTTRHTHQPPSPTPSLLLLNLFSLIHLPFASTLLMASSLTSKSILGSTKPGYSSLSPELRRLSSPAVKISIRTQTKKSLQIQATGSSYGTHFRVSTFGESHGGGVGCIIDGCPPRIPLSESDLQFDLDRRRPGQSRITTPRKETDTCRISSGVSEGMTTGTPIHVFVPNTDQRGLDYSEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAPGALAKKILKQFAGTEILAYVSQVHQVVLPEDLVDHENLTLEQIENNIVRCPNPEYAEKMIAAIDAVRTKGNSVGGVVTCIVRNAPRGLGTPVFDKLEAELAKACMSLPATKGFEFGSGFSGTFLTGLEHNDEFYTDENGRIRTKTNRSGGIQGGISNGEIINMRVAFKPTSTIGRKQNTVTRDKKETEMIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYAQCHLFPINPELQEPLRTASPVELEQPQNAAAL >A03g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3439881:3443692:1 gene:A03g501130.1_BraROA transcript:A03g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASDSDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPEQAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVLKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGHEGYRNVMENCRENMIVLREGLEKTGRFNIVSKDEGVPLVAFSLKDSSSHTEFEISDMLRRYGWIVPAYTMPPNAQHITVLRVVIREDFSRTLAERLVIDIEKVIRELDELPSRVIHKISLGEEKSDANGDNLMVTVKKTDMEKQREIINGWKKFVSDRKKTNGIC >A07p038640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20560848:20562228:1 gene:A07p038640.1_BraROA transcript:A07p038640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLPLCLIISMIAYSNAAYCVCKDGNEQVLQKAIDYACGAGADCTQIQQNGACYQPNTVKAHCDVAVNSYYQKKASSGATCDFNGAAIISSSPPSTASSCLTGSSSSGTPSTGTPTTGTPTTGTPTSGFPSTGTPSTGTPTTGMPNTGTPSTSTGMPTSGTPTNGMPTSSSSSVFPGTTLGPTGSGGLDPSGGEKISVRTNSVFLLLAGAAIMLVV >A03g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28944499:28945539:-1 gene:A03g508500.1_BraROA transcript:A03g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSTRILSTTAVEATSPLNHHHPGSYQVTAITVFNPPRIFGKKINSRDGEIVKKVAQRVLDGQRYKDGFRQEMKGRSFLLGPSHAKGIVHQKTVRICNGIEVKQPNSVIRKCARVQLIKNGKKDEFFISEGHVVGDIPGVRYKVVKVSDVSISTLYKGKEQLKS >A01p027480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18930748:18937486:1 gene:A01p027480.1_BraROA transcript:A01p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIEHGAVSGTLPSKEAFVVHFPGYPSSIPRAIETLGGVQGITEARGSISNKLELRFRPEDPYAHPALGEQRPCSGFLLKISKQDIKKPGSQPVVATSDVCLKEASPALCADIVARVSDAFHFDGMADYQHVIPIHADIARQKKRKWMDVDPLAGGSDLMELADEDVMMLLPQVFAPKDIPDNLALKPPATSGPKKKDDAATQNFYEVSLSALFEERPIWTRDSVVQRLLDKGLKCTHHMLNRYLLRAAYYFSNGPFLRFWIKRGYDPRNDPESRVYQRMEFRVPPELRSYCDANATNKSKPRWNDICAFKLFPFKCQTFLQLFELDDEYIQREIRKPPKQTTCSHKTGWFSEALLDTLRLRVAVRFVSVFPESGFEDVFKSIQEEFERSEKIQTFKETHKPSLVKHKEPTKGSEDMEKFKSTNDDVDVIVNEYGDDEELDEEEEEDEEELYVPTADDEISIDSHGCILQSLASSFFFFFLSKVGFVLMNLRPEMTSQGLLLATIHLSISSLTCFFSAAAFSCNLFASFQQPLGTMSDRSSRSIYVGNLPGDIRESEIEDLFYKYGRIVDIELKVPPRPPCYCFIEFEPPRDAKDAVDGRDGYNFDGCRLRVELSHGGRGQSSGDRRGGYGGGGGYRGGGGGGGGGGGGSARFGVSRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDRDGAYGVVDYTNYDDMKYAIRKLDDTEFKNPWARGYIRVKKYESSQSRSPSRSRSRSRSRGRDRSRSRSISRSKSPRKDMSKSPRRSPARSISKSRSPSPDRKKSPLRSRSRSRSLSKSPAKVQQGSE >A10p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11708549:11719888:1 gene:A10p011630.1_BraROA transcript:A10p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVKSILKPDEMIRVRGSFLGPIMKLSERGLKLSAKIVYTILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGGSFPLEYAKNAQDMTHPWRKEAYIVLLKSIQNAVANHLENKSNFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWKNRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKILYIFLNAFLIFDKQRSSRTPLYPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQPSSETPLSPMSQQPNLTHEETMNESDDETPALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSFSLSSLIALVIEENKNALSETETATQYFSTSEGEHTQSSRKNQAEEYLKDTTEPTTELVSIDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTDVSKMPPITLQTEHLQTSAIDFSETNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKRTLRKRRNENAAWFHNYKIPKACFLPMEFFHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKKQCLDWDGNSFEEEKNHMLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLRKSLLLDASPQLIDVLLIEIKDHLKVTCILPPISNDPEADVFMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYSVPSLDEKKRKRSVETRVSIDRIRPQPPPERPGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSTEQIYFNHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEMEVKQGKSVKPSQDDHAKKGKPDVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLAHWMDLRGIYRVPFYINEKEIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVGYAYESVRKPHKKKQTLLEGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSYHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A06g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18066531:18068786:-1 gene:A06g506470.1_BraROA transcript:A06g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISISVKRHHFSVHRHPSHIRVERGCSVSKLFDGSTTARSNKTRERRCRIGRKEKGPKANQVLFIAEMIDEGEEFMKAFTSSAMKLKKVRCRRYGGVSIDINTLVSTDIDARRGVEFISLPTWAQKSPQITKFLMDYIITSFYWGVVTTWL >A02p053850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32731375:32742262:-1 gene:A02p053850.1_BraROA transcript:A02p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAALEADLRALSAEARRRYPAVKDGAEHAILKGEVLDSSNAKRINNLYVWLGRAHMRELRSSSSASDLSSNEDILRIFLMACGVRNTKLSVIGLSCLQKLISHDAVEPSSLKEILDTLKDHSEMAEENIQLKTLQTILIIFQSRLHPETEENMVLGLSICLRLLDNNRLPSVYNTAAATFRQAVAMIFDQVVSAESLPMLKYGSSSQTARTGSVTGDLSQNINSSESLEKDVISGRSTMRDTLSETGKLGLRLLEDLTASAAGGSAAWLHVTSLPRTFSLELIEFVLSNYISVFKILLPYEQVLRHQICSLLMTSLRTSSELEGEMVEPYFRRLVLRSVAHIIRLYSSSLITECEVFLNMLVKATFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMNPKNTNVVESMVKALARVVSSIQFQETSEESLAAVAGMFSSKAKGIEWILDNDASSAAVLVASEAHAITLAIEGLLGVVFTVATLTDEAVDVGELESPRYEHHPSSDYYTGKTSHICISMVDSLWLTILDAFSLILSRSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSYLASLCKFTIVLPTDAERRSFSSLVQSPMSKRSEIQVDQKDAIVLTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHATTQEVATAVPKLTREPSRQYADFSILSSLNSQLFESSALMHVSAVKSLLSALHMLSHQSMTETSGSVSSASSRQIGSISFSVDRMISILVNNLHRVEPLWDQVVGHFLELAEHSNQNLRNMALDALDQSICAVLGSEQFGDATLDVESKSIELRSVECDVLSSLRALYFSAQKSDVRVGSLKILLHVLERCGEKLYYSWPDILEMLRSVADASEKDVVTLGFQSLRVIMSDGLPTLPEDCLHVCIDVTGAYSAQKTDLNISLTAIGLLWTLTDFVAKGLHHGSLMEKESGLNTDDTIQQQTIGEGVEDSNKPDYEARIQVVNHEKLLFLVFSLIQKLVDDERPEVRNSAVRTFFQILGSHGNKLSKRMWEDCLWNYIFPMLDGASHKAATSSKDEWQGKEIGTRGGKAVHMLIHHSRNTAQKQWDETFVLVLGGIARLFRSYFPLLESLPNFWSGWESLLAFVKNSIFNGSKEVSLAAINCLQTAVVSHCVKGNLQLRYLNSVMDVYELVFQKSSSYTGDTASKVKQEILHGLGELYVQSLKMFDDKMYMQLLGIIDLAIKQAIISSETFETEFGHVPPVLRHVLEILPSLGPPEHLSSMWLILLREFLHYLPRVDSPLPNEEGDIEQSTTGHRASSVVSEHKADYSPDKTIPATRITSNMFAEKLIPALVELLLRAPAVEKYILFPEVIQNLRRCMMTRRDNPDGSLWKVAAEGFNRLLVEDVKTCSVGGDTDLKTSKTARIRIWKEIGDVYEIFLVGYCGRALSSNSLPPAALKANETLEMALVDGLGDIILKSSVDAPREVLERLVSTLDRCASRTCSLPIETVELMPAHCSRFSLKCLQKLFSLSSFSSETENWHTTRAEVSRISIITLMERCEFILSRLLIDENNLDNRPIPTARLEEIIFALQELDRLTIHPEAASVLPLQPYLKTILRDDNRDTRAHLLVLFPSLCEIVLSRETRVRELVQVLLRAVATELGLEKVSLSS >A06p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23245424:23247876:1 gene:A06p043170.1_BraROA transcript:A06p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKPEADVTAERETVNQESQRTEMGRKRHGEADVSSEREAPKQKTQRTEMGRKRHSEAHVTAEIEAVNQESQRTEMGRKRHSEAHVTAEIEAVNQESPRTEMGRKRHSEAHVTAEREAPKQKTQRTEIGQGSGGSGDGDGGYSSRCPDNSGVVVPQRHELQEADLLKRKMMLIWDYENAEIPTELDHSLARQLIIKKLLDAGFSGPVEFHVSVGYLNSIQPKMDDIVVYYANQCNRPTDRVTLGNQASDIAMTVWTEDWLDDHTEPQNVTFISGDGGFSKTLDLLTEAGHLVVLVTNAREKDPRAYLQWTMRECLSLPPDRVYKNPSEAKQAADKKVRSKAHLKTVRKSRVEARKEELEKAKTDPVPKSIVQLPDGSVQISIALENHTQKTVIRSIDDDCVSVVGVDDMGANKEIMEYLGRRIGKKSKLMQFKKVGDSNKWTLLVEDMTDRQAFLKLVQART >A07g502020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4210242:4211564:1 gene:A07g502020.1_BraROA transcript:A07g502020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEKRVQRSAYESINEESSKKENVTEKTLDQITDVWQGIQLLGSDSVAAMELLRRNMIDLASVVPIGVLMILHVTPVCHAAILATIQRYVPGLPLIQRNGAKCKDVESMRKTTFIYDSRLRVNTLMQRQQI >A08p024710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:16036138:16039092:1 gene:A08p024710.1_BraROA transcript:A08p024710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLKAIPFSFHRPPRFYYLLSSHSLSPFRLTSPSPFSSSSASSQWFGFLRDAISSSDLRLGKCTHARILTSEENPERFLINNLITMYSKCGSLNYARRVFDKMPERDLVSWNSILAAYAQSSEHVIDSTEEGFVLFRVLRQNVVFTSRMTLAPLLKLCLCSGYVWASEAVHGYAFKIGLDSDEFVAGALVNIYLKFGMVKEGRVLFEEMPEKDVVLWNLMLKAYLDMGFKEDAVELSSAFHKSGLHPNGITLRLLDRVSGDDSEGGQVNGNDASEIRSKNQILTKYLQGSQYSSLLQCFADMVESNLECDSVTFVLVLSTAVRLDSLALGKQVHSMALKLGFDLMLTVANSLINMYCKLRKVGYARTVFNSMSERDLISWNSVISGFAQSGLEVEAVCLFMELLRCGLTPDHYTMTSVLKSTSSLSLNKQVHVHAIKTNNVGDSFVSTALIDAYSRNKCMKEAEVLFSRNSLDLVACNAMMSGYTQSNDGDKTLKLFALMHKQGDRSDDFTLATVLKTCGSLFAMNQGKQVHAYAIKSGYDLDLWVSSGVLDMYVKCGDMKAAHFAFNCIPVPDDVAWTTMISGCIENGEEERAFHVYSQMRLMGVLPDEFTIATLAKASSCLTALEQGRQIHANALKLNCSGDPFVGTSLVDMYAKCGSIDDAYSLFKRIEMRNIAAWNAMLVGLAQHGEGKEALQLFEQMRSLGIKPDKVTFIGVLSACSHSGLVSEAYKQIKSMDRDYGIKPEIEHYSCLADALGRAGLVREAEKLIESMSLEASASMYRALLAACRVQGDTETGKRVATKLLELEPSDSSAYVLLSNMYAAASKWTEVKLARTMMRGQNVKKDPGFSWIEVKNKIHLFVVDDMSNPQAELIYEKVRDVIRDIKQEGYVPETDYTLVDVEEEEKERALYYHSEKLAVAFGLMSTPPATPIRVIKNLRVCGDCHNAMKYIAKVYGREILLRDANRFHRFKDGKCSCGDFW >A08g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17817596:17819256:1 gene:A08g509170.1_BraROA transcript:A08g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSSHSPDLTSLLEAECEMYAAEAEITRWNAEASDWEPSAEGDDGIPRTCYCGSEPVHGYSQTPKDPYRRYITCPNADDRDCHVWKWWDVAVEEELREFQRELYAVKGEANQREQKLLRLEKQVSEFTKKKSGAKLMVFSLVLGLVLLIVLGILGKDSKDWGVRSHVGRSHGSLSDGVGITGDGVGFTGDGVGITGVVV >A09p041470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23476592:23479453:-1 gene:A09p041470.1_BraROA transcript:A09p041470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGGDGRDSELASLRNRVKELEAENAKLLSQVSSCQCQQMEVKHDVLDSGNLVRRSRRGRKRADKSIPIHLISKRYVALKIMYFGKRFYGFSAEAQMEPSIESEIFKALERTRLLVGDIKESNYSRCGRTDKGVSSTGQVIALFLRSRLKTPSIDSEAHANEKINARPEYDYVRVLNRALPDDIRVLGWSPVPVDFHARFSCSAREYKYFFWRQNLNLSAMDIAGKKFIGEHDFRNFCKMDVANVHCYTRRVTFFDVSPCQNSHEGDQLCTFTMRGSAFLWHQVRAMVAVLFMIGQGVESVDVIDTLLDTKKTAKKPQYLLASEIPLVLRTCEFENVNFICSSGALESLRSHFRKESLTYQLESVIFQEALRNCLPIGNDEISCNIEEKKKKAAIHVPLLSRPTEPSYEERSAKLKPRQEETCPV >A09g518370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55784896:55789326:1 gene:A09g518370.1_BraROA transcript:A09g518370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGYLPSKISNIMMCYNNNSLLVILSLLLVMLILDSKVVSLSSSCQTKCGDINIPFPFGVENGCYLDDWYQIECRNSTFPFLSKMDMEVVNISLRDDDRFYDPTTFGSIRLKIPITSVGCSGDGKESRLFLNFKGSPFFLGTGNSLVAVGCNSKALLTYIEPIMVGCELNCTAPSSKGMPYSESIPFLDKTGCSGDALPYTNTGDCKITEDDEETSCNGNGCCRASLPNDPQQVIGVRIESSDHGNSTALECKVAFLTDEVYTLSNVTEPQIFSDKGYATVRVGWVTQTKNLSFIKSLGCNNSREYNIASFESPRHSISCVCNNITISGTNYANCGCSDGYTGNPYLINGCEDINECLIQTPFYQSCRKCVNLPGSYKCSEDKTGTIMIGVGTGLGTLALVGGIWWLRKVIKKRRINRRKRKFFKRNGGLLLQQQLNTNKGNIEKTRIFTAKELEKATENFSENRILGQGGQGTVYKGMLVDGRTVAVKKSKVVDEDKLEEFINEVVILSQVNHRHVVKLLGCCLETEVPVLVYEFIPNGNLFQHIHEESDDYAMIWGVRLRIAVDIAGALSYLHSAACSPIYHRDVKSTNILLDEKYRAKVSDFGTSRSVTVDHTHWTTVISGTVGYVDPEYYGSSQYTDKSDVYSFGVVLVELITGEKPVITLSSSQEIKGLADHFRAAMKEDKFFDIMDARIRDACKPEQVMAVANLARRCLNSKGKKRPYMREVFTELEKISSSQEVKTENDNGDDEEEGMNMIEIADSWTIGVTAPASSIVPSSSLSDEPCETRNNGEASQIPKYRNYNQHSSRVSSPWLDLRMCRISIDSEGRSSQLRSGEATYVSTGNISRLNDSVKFEVDDKSELVLS >A10p020310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13799764:13801857:1 gene:A10p020310.1_BraROA transcript:A10p020310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGCLQRGNSSKKDSQECETPRLLKSPVNMYLEKTLSFKDLVKKGNRYKDENLGVKTRKSVNLKGPKPDNMVLLERSLSFTSLVQVEHKEEEEGSSPKRRNRGKMGISGSLTALSLPQPPPFWSPRPSTELDAAAVTLQKVYKSYRTRRNLADCAVVVEELWWKELKLAASEPNRTNQTAVSRWARAGTKAAKVGKGLLKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWSVSESSQPFFFWLDIGEGRQVNLTKCPRTLLQRQCITYLGPKERQAYEVVVEGGKLVNRQNKNLVETIEGTKWIFVLSTTRKLYIGQKEKGRFQHSSFLSGAAITAAGRIVSHGGVVEAVWSYSGHYRPTEENFQEFIGFLKENSVDLTNVKLNPIDDDKYIVSNNEGSTKPLKSEGRDETTVIPVEPPVEDSGEQKRFMCKWSTGNGPRIGCVRDYPMDLQIRALEQVNLSPRVVNGKMGLFGPIPSPRPSPKIRVSPRLFCMGLPSPRN >A06g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2208853:2212216:1 gene:A06g500520.1_BraROA transcript:A06g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVQRQPEAKTVIKQWDVWTHLYLSGSTRSYKIWYYHGETDYEHEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGDDDSETD >A09p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19539571:19540611:1 gene:A09p032190.1_BraROA transcript:A09p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSLNRGGRVSVWSTTTTSSTQDSGSNSNSGQCVPSSDILRTICSGLGCERRRILIEILYSLNCVFNVLKQRCFKITKQPDRPISGSPAGDRDLSLRVASRRSRPARSGTPAGDGGQLPLGLQQAIEACTTRPLAGDRPVLLRVASRRLKTVRSGSPAGDRGLRDPGRQRATEACDDKVASTRPVR >A02p047040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29425482:29426624:-1 gene:A02p047040.1_BraROA transcript:A02p047040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQVGDSKCGGGISRNDAVVSVGATTSRFDTLPEDCISMVIFHTTPRDACVVASVSRTVKSAAESDLVWEKFLPPEYSSLALPPSLDCSSKKKIYLSLADDPVLIDEGKKSFWLEKSTGKKCYMLSAMDLKITWSDSPAYWQWVTVPESKFEKVAELCNVCWFEIRGKISVKMLSKGTHYSVYLVFKRASSRSYGFDHTPIETEVGFAGKEVRKTFVFLEPSDTDPRSGYGYSGVSLAAVSRAFRTRRPWMRFPREEVEGERESGGNVEEPKERGDKWSEVKLGSFYIDDGGCEDGDEVEVAIMETRMGQWKSGLVFQGIEIRPVKEEEVTK >A06p051160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26896606:26897487:-1 gene:A06p051160.1_BraROA transcript:A06p051160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAMSLQSISTTTLNNLSCHHQSHRNSLLGFSKHFKTLGISSNGSGFSSRSPSTPENLTLSRALSQNGHIENSRPSRIQELSVYELNELDRHSPNILKNAACLTFGLGDLVPFTNKLYTGDLKKRVGITAGLCVVIAHVPEKKGERFEATYSFYFGDYGHLSVQGPYLTYEDSFLAITGGTGIFEGAYGQVKLQQLVYPTKLFYTFYLKGLAGDLPAELTGSPVPPSKDVKPAPEAKTLEPSGVICNFTN >A04p041280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23258881:23259819:1 gene:A04p041280.1_BraROA transcript:A04p041280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CHLORORESPIRATORY REDUCTION 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G47910) UniProtKB/Swiss-Prot;Acc:O82258] MAAAKAGILPWLIPSSSATSSPLFSAAVKLPGVWRVRGRKAEKDVSVSVAFNPSGNFDISAFDSHDDTDKVEPPMPPTSGRYEVVIDNDSIQRLDLSPFQTATGITSPSSADPREYLDRSIGFTINYRREDAGDPRELSEYPDIRLWFVRLDAMYPWLPLLLDWRAGELARYAAMLVPHQMSLRMGVVFNPEALELFVMSKVFVVYPWLKRHAVPKPRLKTSDMARMLGFGIGDQLFDLIDQYDLQTTTDSL >A06p052450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27757113:27760221:-1 gene:A06p052450.1_BraROA transcript:A06p052450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 6 [Source:Projected from Arabidopsis thaliana (AT2G01910) UniProtKB/Swiss-Prot;Acc:Q9SIS3] MLQVGSSNALFFQTNTTCNNLLRELQQIWVEIGESEAEKDKMLMELEKECLQIYQRKVDEAANSKARLHQSVAAMEAEVASLMAALGVLNINSPIKVDKSSKSLKEKLAAVTPLVEELRIQKEERMKQFSDIKAQIEKISGEISGYSEHLNKGMISSLTLEEQDLTLRKLNEYQTHLRTLQKEKSDRLNKVLGYVNEVHTLCGVLGVDFSQTVCEVHPSLHRTDHEQSTNISDNTLEGLDNMIQKLKTERRARFQKLKDVVASLFELWNLMDTPQEERTKFGRVTYVVRSSESSITEPGILSTETIEQVSAEVESLSKLKASKMKELVMKRRSELEDLCRLTHIQPDTSTSAKKSSALIDSGLVDPSELLANIEMQINKIKDEAQSRKDIMDRIDRWLSACEEENWLEEYNLDENRYTAGRGGHVNLKRAERARVTINKIPAMVDNLIKKTLAWEEETQKSFLYDGVRLVNILEDYKLTRKQQEEEKKRYRDQKKRQDLLLTQRESIYGSKPSPRRSSSFRKPNGYSISNGNGSMPPTPRRSSVGTTTPDLLLTPRSYSGHHRQNGAEIDV >A09p078050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57887878:57890252:1 gene:A09p078050.1_BraROA transcript:A09p078050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFASGGFSQGADQANFSVYVHSQPDFVFNEETTRSHYFYNRQLNNSINVIWGEYSMIQAERLLLSTALDDHSNQRFILLSDSCAPLYDFGYIYKYLISSPRSFVDSFINTKERRYSMNMSSVIPEEKWRKGSQWISVIRSHAELIVNDGIVFSAFEKFCKKAPPFGSQEAQLSQNLRNCIPDEHYIQTLLTMRGLESEMEPRTLTYTVWDVSGSKHGAKSWHPVTFTYENSGPEDLQEIKSINHVNYESESRTDWCKADSKPVPCFLFARKFTKEAAMRLVSEVLIGSSKT >A08p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23682899:23684659:-1 gene:A08p042110.1_BraROA transcript:A08p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTDKTTLVGPKTTCLNINQIRIFMLIKSLKKLCLVFQSNSRRIQDRLFNINRLLFTGLALSDNRRKAPSQRNQMGSSIRSGEQRKQMAELSKNLKEGERILEPTRRPDGTLRKPIRIRAGHVPEDEVVIYQSKGSLMKKEMASQGPPGYEPDPTPKPKTKAAKRNERKKEKRLQAAVEKGNSSEDGSSNVDKEEAVPIVTPSNGPQSVDVLVSSLDALNLGEARKPGTAGEDTEKRIRALKKKIRLTEAQQQKTAPKDLKPEQLEKFSKLEEWRQELKALEDKEA >A08p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000080.1:35299:35795:1 gene:A08p011370.1_BraROA transcript:A08p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLDSDRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQDSELLVSIPTSPSPMDLSLELSMSKTPPFFMLSSPHQYQLKAITFLLGQWIRPSLDIALKLG >A02p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8495499:8497294:1 gene:A02p018410.1_BraROA transcript:A02p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aminotransferase ACS12 [Source:Projected from Arabidopsis thaliana (AT5G51690) UniProtKB/Swiss-Prot;Acc:Q8GYY0] MRLIVPLQGVVQGRGGLFVGSLIPCCLFYFLQLYLKRRRSPPPPDSDSTELPRTSSRSSLFTRGNSIGRVRVSSRASPLAKPPDSPYYIGLDRVKTDPYDRVTNRDGIIQLGLAESTLCFDLLQRWMSENLMESLMMQSDVDGGFDVSSIAMYQPFEGLLEVRVAFADFMSRIMGGNVSFDPSNMVITAGGTPAVEVLAFCLADHGNAFLIPSPYYPGFDRDIKFRTGVELIPVHCRSSDNFTVTVSALEQALSQARKRGSKVSGILFSNPSNPVGNILSRETLHAILSFAQEKNIHVISDEIFAGSVYGDKEFVSMAEVAASGDFDKSRVHIIYGLSKDLSLPGFRTGVIYSFHEDVVSAAKKLMRFCSMPVPVQRILISLLSDTRFIEEYMAAHRQRIRDKHFLFVEGLKQLGIPCAESGGGLYCWVDMSSLLTSYSEKGELELFEKLLSVAKINATPGTACYCIEPGWFRCCFTALADEDIPVIMERIKLLAESSTS >A10g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5237527:5240612:1 gene:A10g501970.1_BraROA transcript:A10g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNESNPSYIKFAAKHPHPPSPFYDKIDRSIEPFIHRHSESDVDRHTAPPIDRRAPLTYRVRLPSIDNDYINALRPPPKPLARPPEPKPNPLNSSPQPVQEEQGTERRRLRKRKEKIPKNLKREANEKEMDGFTKKVLRIPIEKPFDEAYLTHRLWMFFRETKVTEEDIRRMFHQVREKMKHMITLMMKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINYVTYGKEFGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSPDIDQPVDHFAPPNHCYPHFAFQPPSKRGCDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDNWKERAIEMSLQDERFETHTFTNTFPTSINEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQKNIPSPTRFIDTYIKRFAPLKPPPHTRADTKAVKMNTLPSTSTEKSMKSNHLKNTSSADITMPSIDASVSTSIDTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSYHQKVKHQLQGQLRHRSTGKFTWERRDEYGVYRDESGHALGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFKLTRLAPELYTKDEINEMVTGICGAQKKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLCQQLEKEATTSASIDAPHGPSIDVSLPTAQIPAEPQCSAKHKDEWEVSYIDTSINDVYYPLNNNVDWLSTKIELQQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMNEGLRTYEDMHDRFISPATHLRSTGYEGFGSMARILRSYFPTQQQRLTRSHPRSTLL >A03p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17702228:17702714:1 gene:A03p042250.1_BraROA transcript:A03p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLAIFWEAVDPLSPSSPAYGLREGMAPIVSFFVGFSSGQFGCSRFTLLIFSSPIVSWSAILLRFFALIFREGCFVLVGFFTDSASSVTELRRVSALTLEEGRSICILTRVF >A01p030000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21163826:21165462:1 gene:A01p030000.1_BraROA transcript:A01p030000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSAAKPKFSLRLLIDEEKNKVVLAEVGKDFVDVLCSILTLPMGTIVRLLEKHQTPQSPIVGCFHKLYKSVSDMSVDQFETQVCKNQLLYPRSVRESYCGNLKLNIDDTAATGFFICPHFMSNDSCCKVYSNISTSRCRYCGTSMNRFIPVQEGVQADGVFLSCRTLFIITDDLKVSLKSMGLVLNILNDLGYAGFDKLQEMVIDVGSEEVLTLLGCLFTSEAPLTDTFLRKHCTTRKRKALTPLVQENAVAGDADKFVAIKVYIRKTDKMILYAECREDFIDLLFTFLAIPLEFAWDLSVDIVNTGCVGNLSRSVKNLGFEKQKEATVSQCVLPYYYKFRAQLLDIVIQEEDSEYECLVPRNGYPSSNCKFSKKIKKYVFSNGERIVKFTQMHSNTSSYAGVGFVKGETNFIVSDDLLITPMSTSSTISLLSNISKAEAVGLLRASLITTSALTNGLSNFLSKMKPQEAAQSTSKIQKI >A02p054360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33034879:33044397:-1 gene:A02p054360.1_BraROA transcript:A02p054360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFFKTESGECKHSDKNGISLLVEELIASSDGKYNPIRMFSSDQIIKATNNFDADHVIAKDRFTWYKGTIEERKVLIKKWEGDNVFFPSPDNVYRDIAVLSMMSGHKNVLKLVGCCVEFYKPVVVCEYAEKGPLKLEDKDGDPLPWTARLTIAKEIANAVAYLHSAFPRVIINRDISPQNIFLDEYGTAKLSSFCLSISIPEGQSSVIDDKVVHGISTDPEYNGTGLVSEKFDVYSFGVTMLFLLGGELGLVWLSASIGQIGFPFPPAYAEDLIDQFRDVIDSSIWNGENELSGVQVEAFFELAMRCIRFPGQDMRTMIDVAKELKGLEDLSKTSIDSKHQPVTELPKPLIKYIDVLGKGKVVRKLFLVVSMDLLYKLSQRGKNGCSLFSSSRFMKGFDTHLLRLQPPSPSSLSNGFLPQRQGVTNLKVPNFRPRSSPSSPPMLKLFSSSTASRAHHHLSPAIRPGASPFFKALSHLTGLNRRSNSLGGRRVFFCSGNASDAAAAAAAEAEAKAAESDSDGSDAKSSSAIVPTNPRPEDCLTVLALPVAHRPLFPGFYMPIYVKDPKVLAALQESRRRQAPYAGAFLLKDDPSTDSSSSADVEKNINELKGKDLLKRLHEVGTLAQISSIQGDQVILVGHRRLRITEMVSEEPLTVKVDHLKDKPFDMDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHIGEFTYPRLADFGAAICGANRHQAQEVLEELDVHKRLRLTLELMKKEREISKIQETIAKAIEEKISGEQRRYLLNEQLKAIKKELGVETDDKSALSEKFKERIDPNKEKIPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTILPWGNYSDENFDVVRAQQILDEDHYGLSDVKERILEFIAVGRLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVTIDLSKVLFVCTANVIDMIPNPLLDRMEVISIAGYITDEKVHIARDYLEKTARGDCGIKPEQVEVSDAALLSLIENYCREAGVRNLQKQIEKIYRKIALKLVREGVVPEEPAVAVDAEEAEIVTKLDVESTENHLVEESPVPAAKEPKEVAQNEKTAFEKVMIDESNLADYVGKPVFHAEKIYEPTPVGVVMGLAWTSMGGSTLYIETTVVEEGEGKGCLNVTGQLGDVMKESAQIAHTVARKIMLEKEPENQFFANSKLHLHVPAGATPKDGPSAGCTMITSLLSLAMKKPVQKDLAMTGEVTLTGRILPIGGVKEKTIAARRSQVKTIIFPEANRRDFDELAENVKEGLDVHFVDDYKKIFDLAFVSDNK >A09p040920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23114897:23117178:-1 gene:A09p040920.1_BraROA transcript:A09p040920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRRKANGSRCSCTRGEIDGISGGESFDRTCTHCNGSESIKPDPASSWFLSSLSETKLDDSEKLRRIIVASLKGFSVGTGIKGGLALFSIIVRFARRRSSSKSRRTGDFSNSEAISMGIKETLRYGLFLGTFAGTFVSVDEAICSLWRALFAGLVAGPSMLLTGPNTTHTSLAVYILMRAAVLASRCGIKSKRFGSICKPLTWEHGDLFLMCLSSSQILSAYILKQESLPSSYKSFLNKHGGKDLSILQGIKDLASAKPFTNLRAIEKHYKSVGVDIKLDPNMRIPCSMIHGGESCTKHGLTFFLQAYMRALPVYVPVYLIPALIVHRQDLLKKQYSILGKGLFGTARSSLFLSTYCTSAWVWTCLLFRTLDTCNIPLVAIATFPTGLALAIEKKSRRIEISLYCLARAIESFFTSMTEAGYIRPPKILRRADVVVFSVSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPPPPLPSEET >A01p014880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7214092:7216471:1 gene:A01p014880.1_BraROA transcript:A01p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALHATLLVLLSIFLSTSPPVQGNAELRALMELKSSLDPENNLLKSWTFNGDPCDGSFEGIACNQHLKVANISLQGKRLTGKLSPAVAELKCLSGLYLHYNSLSGEIPQEITNLTELSDLYLNVNNFSGEIPAGIGSMAGLQVMDLCCNSLTGKIPKSIGSLKKLSVLSLQHNKLTGEVPWSLGNLSTLSRIDLSFNDLSGTIPKTLANIPQLETLDLRNNTLSGFVPPGLEKLNERFQFENNTGLCGIGFPSLRACSAFDDSTIELKQPQGEKDTDKSTLHNISDSVYLKSHCNQTHCHKPSSKLPQVALISSVITVTITLFGAGLLTFLRYRRRKQKISNTAEISEGRLSTDQQKDFRASPLMSLAYTKEWDPLGDSRNGAEFSQEPHHLFVVNSSFRFNLEEVESATQCFSEANLLSRNSFTSVFKGVLRDGSLVAIRSINISSCKNEEVEFMNGLKLLSSMSHENLVRLRGFCCSRGRGECFLIYDFASKGKLSRFLDLQESETGRVLAWPARVSIIKGIAKGIAYLHGSDQEKKHAIVHRNISVEKILLDEQFNPLIADSGLHNLLADDLVFSALKTSAAMGYLAPEYVTTGRFTEKTDVFAFGVIILQILSGKLMLTSSLRIAAENGEHCGFIDEHLGEGFDITEAVALARMGISCTQEIPNNRPNIESLLREINCMKSE >A06p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:800740:802532:-1 gene:A06p001770.1_BraROA transcript:A06p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton pump-interactor 4 [Source:Projected from Arabidopsis thaliana (AT1G53110) UniProtKB/Swiss-Prot;Acc:Q8VZN4] MGSTKSLPSLSVLPKHLLEQMGSPVSLCNGGLDARRLVIGDLSSRETTEEEEDYAVFSGEEEEEEEEDGWREDRFCFYFVKQFAYDDPEIKAKIDEADNEIYNCNSERIHIANRLKAKRAEKLSLMSSVDGYNVMSERLSEVEMEMELLDAQMGCVLDQRDRAFERIKLLRIQRDKGNAAFFQSRAVMKKAIELAACGNFRDLEELAYSEVDKFMSRWNNDKAFRDDYKKRILPSLEERKVRRNEQIRSSECDVDTENRDETAVELKMFSTEEEEESDKEALKEKRREEQLEKAKLAMERKRKLHEKAAAKAARRAKKEAEKKLKELEKRAKKKKDLERTPETVTEPSEPEKEKPLNGRSVSWNQRSLRYRHHKKGNENVPKAILKRRRAYRLWVWSVSSAALALPLALFIVFFYVR >A01p028020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18570742:18574806:-1 gene:A01p028020.1_BraROA transcript:A01p028020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRRRDTELDKTREKQKYNLLCFVRLPLPISFPRRADHYKSLSRTLAELTTYDLPFTQCSLHPTLAIMARLLANFTARPLLGPGRHVQSDDYRKPRRCVKMMYTSQTPVLSIQSFSGLRAANAVDLIARPAHGFFSKVNLQISSRKGKASRWHNYIGSEHLLLGLLREGEGVAARVLENLGVDASNIRTQVVRMVGENNEVTASVGGGSSGNSKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILARRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKTVITLDMGLLVAGTKYRGEFEERLKKLMEEIRQSDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDEAIQILHGLRERYEIHHKLRYTDEALVAAAQLSHQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKQLRQITKEKNEAVRGQDFEKAGSHRDREIELRAEIAAVLAKGKEVSKAETEAGEEGGPTVTESDIQHIVSTWTGIPVEKVSSDESSRLLKMEQTLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTLVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVVVRLKDKEIELQVTERFKERVVDEGFDPSYGARPLRRAIMRLLEDSMAEKMLSREIKDGDSVIVDVDAEGSVVVLNGKSGGGGSFAEEAMEDPILVL >A09p059600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49748604:49750079:1 gene:A09p059600.1_BraROA transcript:A09p059600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQTVMLVIRSARPSFRNYRDKVAFVLHASFVASGFRVVAAGRHAFAENALVSSPTQGEVGIEGWNEFDEYAFVYAKGSKKFLVKCFAIEDKLLVDALDEGGKEPAHIEIEPEKYAAESGVESDYDAQFKNLGKLVSDLQDELLYKLDEGLKPVASTSQSSSESNKESESGYYGRKRPVPSFPPVIGGFGDGSMHVGPNDLRMFPRFGDHPDLMIPPQPGVPPPGVRYDPPPPIGPDFGPGFEPSPFRRQPPTRRGDVHPDLQHFRRWLG >A03p054840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23615831:23620557:1 gene:A03p054840.1_BraROA transcript:A03p054840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQFSNLPSELPSANFLSGLVVFSPLNVNHFAMSAPAKKSSTEAKEKDLILDNDMEKDTWSFKSMADDPMDFAFGSPANKKKNAFKLDMGFDFDGDFGNSSSFKMDMPDFDFSSPAKKTTKAKESPGDKSSGDLKQKKNPFPFSYDFDAYESLLKQLDDFNLDSSPPKKGAKTTTKAMDFEEISAISKIDKSDSLDFGEDLPITRQAASVSNTEIKEKDSAGKENISSKTTDTMVVESSAYSKQATEERMENSEAVESPQGLRLKTSPTHTMCLQPQSVDASPLKTSCVMLEDTDDPRLSNETAEPSPLHASETTHTAGNREISPDINEICRSSSKEDSPRDPEQNANKDMISAMDTSYEKAEQTKPSISSQLCLDKMDYQQEEMGIGTQAEKQDHTRRTSSDPDHGHPQTTLSGKISPSSRQSQAAQVQDSSGKLPLDPSHSVPGLTDLKIMQNKDSGLIRSKFFKKTEKPQSHVLKSSLTQTESRSVTREKIADHSNVKTAENVAAQLDHLKMQSKNTTREKSILQINISSKLDASSLTQKLSKNLSSGAESLQKSKLVSLERPKLGNMMFDLLSAKTQRTIGVTKDQSSSFVQPVVNSTTGKERNTEASVKRGSETHHLAPRDKTQLLDYPSSLKRKALEEDADRSLKPQLKRFSMSPRENRNAEDLTHRVAQGKFSSQESRINNNTTKELVEKSPRSTSHHQIGNMANLEIPVTENSDNIEKAEAYTKELESISNTLKKKHEEAKELLVRAVKFAAKLSLRDTHTTTVQCGLTPILYHQQYRSVDVTLVQGIRSSFSFAGNKGKNDTFIIWNAMCRYVG >A04p020340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12372010:12374616:-1 gene:A04p020340.1_BraROA transcript:A04p020340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSMACMKLGSKSDSFKRQGQDWFCTTGLPSDIIIEVGEMSFHLHKFPLLSRSGVMERRIAEASKEGDDKCLIQISDFPGRDKTFELVAKFCYGVKLELTASNVVCLRCAAKHLEMTEEYGEGNLISQTGKFFNQVVFKSWKYSIKALQCCDEVLKYADEFNITKKCIESLAMRALADPNSFVEHGGGPMQSPGGSVLWNGISTGARREDTSSDWWYEDASMLSFALFKRLITLMDSRGIREEIVAGSLTYYTRKYLPVLKRRHSGSSSITPLSSGSSVLLSEEEQKHLLEEIQELDLPCMQKGLLPTKFFHHPWLAETEREDLCRLLDCQKLSTEACTHAAQNERLPLRIIVQVLFIEQLQLKASVAECLPVLGSLDGRSRKLGSNGFSGESTKGGWETAVRENQDLKVGMDKMRMRVCELEKECSKMRQEIEKLDKTTKGGGASGSGSKTWENVSKKLGFGMKLKSHQIDSAQKVSSLKSNNENVKIEKLKDVKERQGKY >A04p006960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3715303:3715766:-1 gene:A04p006960.1_BraROA transcript:A04p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLKRRISAETELSMRAEIVQPPSPKILSTEPPILAKGHHSVRDAETSPNQEDQPDSHHHAPSRAARSPARESHAPPQPTRFDARDVHASPSPIVVRRRSCVRPPSVRRQAADAVSSPPPLVAFR >A02g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15361323:15363636:1 gene:A02g504800.1_BraROA transcript:A02g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQNVIVSDRNPILGSKTITVSVSKSPLFSSPPTYFTFPRRKFLELLEAADKNNNNKNNLGAGKISSWVDSMRDSSPTRLRSSSRDSDSDNDEKTSWIVRFPSALNMFDKIMNAAKGKQIVMFLDYDGTLSPIVEDPDKAYITHEMREVVKDVALNFPTAIVTGRSIDKVRAFVKLNEIYYAGSHGMDIEGPTNENSYGESNQGVLFQPAREFVPMIEKVHFEAFITFTNVVKILEKKTKCIPGAMVENNKFCLSVHFRRVDEKIWAALAEQVKSVLVDYPKLKLTQGRKVLEIRPTIKWDKGQALNFLLRSLGFEHSENVVPVYIGDDRTDEDAFKVLREKGQGFGILVSKVPKETNASYSLQDPSQVNEFLKRLVDWKRKTVGEE >A08p000020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11031:12214:1 gene:A08p000020.1_BraROA transcript:A08p000020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSLPPGFRFHPTDEELVAYYLDRKVNGRTIELEIIPEVDLYKCEPWDLPEKSFLPGNDMEWYFYSTRDKKYPNGSRTNRATRAGYWKATGKDRVVESKKMKMGMKKTLVYYRGRAPHGLRTNWVMHEYRLTHPTFSSSIQESYALCRVFKKNIQIPKRKEEEMTTSVGKEEEEEEKKKWKKYDGERMERESEEVESLKIASAETSSSELTQGILLDEANSSSIFALHFSSSLLDDHDHQLFENYPQLPFHPPLPLQGFPQLSKNDAEIISMDNSKQQDFNYRDSINGTLDEIFSFASSSATLPPAL >A05g509770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29299388:29300479:-1 gene:A05g509770.1_BraROA transcript:A05g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDHPPEPLDFFIWTVEDVGSWLEEINLGSYRLIFKENGVNGEYLESMSVFTTEQILHFIRRHHMKWGDFITLFFVKAAKRNRQSRVVSLKLES >A05p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24578702:24579618:-1 gene:A05p040120.1_BraROA transcript:A05p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRQKKAGVTTASHRRPPSEPDSSVKKFWNVVLPSTMKRNMMMIPPKFVNLQGSTLSEFVTVETPVGFRRSIKLKRIGEEIWLHGGWSEFAEAHSISEGHFLFFDYKGNSTFSVMIFHVSACEIDYPLDEVHISDSDSDDDVMDVTDEGRRNDQSVNGGGTEHNRTKRSRDDEFDKILNDLDGIKLLEEEEDGKRVFRGQQFF >A02p046180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28893768:28894179:-1 gene:A02p046180.1_BraROA transcript:A02p046180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWGFNQKYTLCGFINKLTSKTGNHFFLRVHTLSPYRLIFLASSLRYKVVVTTSASLTRPACWCTRQNDLKQNHIFRQKEPAAIQSHDAKVLEKTNFKMARMH >A10p016120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3238776:3239559:-1 gene:A10p016120.1_BraROA transcript:A10p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVRTLHQRPISSAPPEKAGNHEYNDYPTNKACVFISLIPNIGEHQSRNRDLILLPLHKHREKKLSTNRNHLTPKSFKTNEASNFFLHRLRFINIREINRSIRKSHPKKPKNRQHRTRVDRREADKSSPNGKMWSPESKLDAHQKEDATPRQSRTCNTAEPFMPERDHEKNMQPVKRKKRCGSPSHRPEALSRWLG >A10p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13228783:13229957:1 gene:A10p019180.1_BraROA transcript:A10p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTIQIEELDESDDFLLELAAIEAEAEAAASAAKRPKVAAVPEGPYMAALKGSKSEQWQLNPLNPASKSRGGSVNSNPGGSYGSEAGEQDFPEKNCPCGAGVCSILTSNTQKNPGRKFYKCPNREWCDAVQSSGTQPGSYGNTNESKFPDLQCPCGAGLSRVLTAKTGENIGRHFYRCPVFEMMETRVSTLYTTMLAKIKRVCRFFAYSNRQQPSTGLSETSLWYLA >A06g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6528754:6529517:1 gene:A06g501730.1_BraROA transcript:A06g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U24 [Source:Projected from Arabidopsis thaliana (AT1G17170) UniProtKB/Swiss-Prot;Acc:Q9SHH6] MAEEVILLDFWASMFGMRTRIALEEKKVKFDYREQDLWNKGSLLLEMNPIHKKIPVLIHNGKPVCESLIQVEYIDETWPGENPLLPSDPYQRAQAKFWADFIDKKVNVTARRIWAIKGEEKEASKELIEIFKTLESELGDKHYFGGETFGYVDIALIGFYSWFGVYNKFGNMSIETECPTLTAWAQRCLKRESVAKTLPESEKVTAFISQRLGLE >A08p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3498985:3500237:-1 gene:A08p006130.1_BraROA transcript:A08p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALARWQPKKSQLFPSEITFWIRVIGVPMEFRTVSVPTFESLGDALGRTVAVDVEHCRVQGREGNGGWYDGGKHDDRARSYKGVVINGNQNQQHKERDVRDYYGKGKVKMVEEADSKWVKVADRGNNRSSRREEHRAEGQGQGGSNRSSSGQSGAPKEVVQEEGEIKNADDSEKTLPSQDFQEELAKTQAVGSEVISDPMDAEEGIQMIKSLIVEPSTLEDDKVLDMDECRAICLEHGIDMDAADDLPDCSDGEFEEMLKEQDDEEAIPADLENENTEVEKAPPSTAGSTKMRIASALVSPRKRAPAKVGTRHEDHSKHQEIKGTSNPKTGMKNP >A10g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7993320:7994434:-1 gene:A10g503030.1_BraROA transcript:A10g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKVITRDEWEKKLNAVKLRKEDMNTLVMNFLVTEGFFLFPYGETAEIDLATITDRMAVKKAVQNGNVEDAIEKVNDLNPEILDTNPELFFHLQQQRLIELIRQGKTEEALEFAQEELAPRGEENQAFLQELEKTVALLVFEDASNCPVKDLLDISHRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKAVYPHINDLSTGQLQNPSE >A08p041140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23285295:23286746:-1 gene:A08p041140.1_BraROA transcript:A08p041140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSYRVFSCDYNRFIGVLVKDSRFELAEALYKDMTPMGFSLIPFTYSRFISGLCKVKNFDLIDALLKDMEALGYIPDIWAFNIYLDLLCRERKVGFAVQTFFCMVRRGREPDVVSYTILINGLFRAGKVTDAVEIWSVMIRSGVGPDNKACAALVVGLCHARRVDLAYEMVADEIKSGRVKLGTVVYNALISGFCRAGRIEKAEALKSFMSKSGCEPDLVTYNVLLNFYYDNNMVKKAEGVMGEMVRSGIQPDVYSYNQLLKRRCRVGHPDKGYSFMVKEMEPRGLCDVVSYSTLIETFCRASNTKKAYKLFEEMRQKGIATNVVTYTSLIKAFLREGNSSVAKKLLDQMTGLGLSPDRIFYTTILDHLCKSGNLDKAYGVFSDMIEHGIAPDAVSYNALISGLCRSCRVTEALKLFKDMQSKECCPDELTFKFIIGGLVRENKLSAAYEIWDQMMEKGFTLDRDVSDTLIKASCSVSADA >A10p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11761039:11762333:-1 gene:A10p011540.1_BraROA transcript:A10p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFILIKVLSWRSGVEKDIDEVLQSHTVYSNVSKGVLAKSKDLIKSFGSDDHTKICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTINPETQRPYTISMVERLMHDIHFAVDPHSNSKKQALDVIRELQKHFPIKRSPMRLRLTVPVQNFTSLLDKLKEWGASLVSRDESGTQMSTVCEMEPGLFRECDSLVRNMLGRLEILAVSVHAEGDTNMDHYDEHDDMALQTNKPLLPIETETDPVVELSKKTQKQEMGTKKEEGTKCSTCNTFVGEAKQFREHCKSDWHKHNLKRKTRKLPPLTAEECMAEIDMDDSKADLKDYSF >A02g512350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33490473:33491755:1 gene:A02g512350.1_BraROA transcript:A02g512350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRSDNRFQAHYNSSGMHSVVIFLRVPDRTASSLLRCYLPLSWKMENFILLLCFWKRQVPLGVFREHTINFSTSWFVAVHAAVPFILKLKKSLRSRDSSLSSEHYCLRAADANKISETSTVSGSGYNKISDGSGFAKGNCGAGEGVREIYYNVIV >A07p052070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27536206:27538411:1 gene:A07p052070.1_BraROA transcript:A07p052070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAENWTRVAMSDDSLVADALLQLRHSKPPPSPLKLKWSVRQRRSKKGDQTRASPTSPLTWSGGGGATTVDGLEESSVAVKPSETFGSKVFQTSAITTTTTLFKRSRKKKTLAELKDEEVMLLKESKALKNELASMRDLVEQQRARNNALKKMKAESQSALSCKRALEQGSSFLLPDLNMPLDTDTSPEVIC >A08p044670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24650009:24652500:1 gene:A08p044670.1_BraROA transcript:A08p044670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGRNIDEQVVVGEQKGSVEWAILKRTRQLNTTASEEVLKLAEDLETWEKDDKTKLIVTQSAGDDVQMFYDGQFSSLNDIYTMYWLCYHIHTYKKTQVAIVDGICNSGSASLMFAMKFSVVTEKIDFATLEASLGFHTDCGFSYIHSRLPGHLGEFLALTGTRLNGKELVAVGMATHFVPSAKLVDLVARLWSLDSGDMDVVRSTIEEFSEKVELDKDSILNKLSIIDKCCSKESVKQIIQEFEAEGSKEGNEWVTPIMGFLKQSSPTGLKINLRSIREGRKQTLAECLKKEFRVSVNILRGTISNDAYEGARALTIDKDNRPGWNPATLDEVDDEKINLVFLPLEDDIIELRIPETEDNSWRFDDNDRWGGKYET >A07p017710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10718783:10721773:1 gene:A07p017710.1_BraROA transcript:A07p017710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENSDDDDEVLLAMAGELGVFIPFVGGVEHAHVLLPPLESLCTVEETCVREKAVDSLCKIGSEMRESDLVDSFVPLVKRLAAGEWFAARVSACGLFHVAYQGCTDVLKTELRSIYNQLCQDDMPMVRRAAATNLGKFATTLESSYLNAEIMTMFDDLTKDEQDSVRLLAVEGCAALGKLLEPQDCVARVLPVIVNFSQDKSWRVRYMVANQLYELCEAVGPDCTKTDLVPAYVRLLQDNEAEVRIAAAGKVTKFCQLLNPELSIQHILPCVKDLSSDSSQHVRSALASVIMGMAPILGKDSTIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWLQDKVYSIREAGANNLKRLAEEFGSEWAMQHLVPQVLEMVNNPHYLHRMMALRAISLMAPVMGSEITCSKFLPVVVEASKDRVPNIKFNVAKLLQSLIPIVDQSVVDKTIRQCLVDLSEDPDVDVRYFANQALQSINGAAVA >A06p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5240747:5244985:1 gene:A06p002320.1_BraROA transcript:A06p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAIRMNFLALGLSLCLVLSSFHGVSCQDDGAASRLSHLDLIEREYQDSVNALQSKEDQSASIQSDNQKNTTATDKNTISLSLSDESEVGGASDGSVKSSSLLDDIELEIAAHVNGLNQAGSADVNDESKYDEVLSAQRQKMLEDIERNFEAASSASLEQIKTDELSEGINEQQSAKTQSLLEEIEREFETATKDLEQLKVNDFTGEKLDEEQSAKRKSMLEAIEREFEAAVEGLEELKVSDSTGSKYDEEQSAKRLSVLEEIEREFEAATESLKQLQVDGSSEDTEQTAKRQSMLDEIEREFEAATRDLKQLNDFTEDDEQSAKSNSIVEEMEREFEAATKKLNYLTEGSVNEEQSAKRKTMLEEMEREFEAAIGGLKQIKDDESKYTEEQASKRKIMLEEIEREFEEARSGFSTNANKEGSAKKHSIALESLGLGQSGVCGCFNQDKAGLKQDEDASIAISTKYSIEEILTEESSLQGTETSSSLTKSLTQLVENHRKEKESHSVHTSSTSESAATSETVESLRAKLRELRGLTARQLVTRQDFESILLMAATFEELSSASISYISRLAKYGNVIKEGLEASERVHMAKARATMLKETSIEKQIFVDANFEEAKKLAQRGDALYVRIFAIKKLLKKLETERESVDVKFKGIVKGLSHLLVDASEAYEEYHGAVRKAKDEQAAEEFAREATQSAEIIWVKFLSSL >A02g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19786744:19787443:-1 gene:A02g506960.1_BraROA transcript:A02g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVRLCQYLTSMRLLLKSSGRIMFHDDGVSPNLINECIGWYEQIISVVLVKSQGRSGQMMTHLFQDLMSFVSPEDGLGTIAYKAKGFRIVHEPRKARCKPLSFQRLLKGYLCLWGWRHDKDLK >A08p022830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15028679:15034458:-1 gene:A08p022830.1_BraROA transcript:A08p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLISILCFVLISFGVASVSAETTCPNNGGGFTPNGTYDANRRLILPYLPSNVTAQKGLFYNGSIGQEPNRIYVTGMCMPGSDTVVCSDCIKMASDDLLQRCPNQTEAYSWAPHPTLCYVRYSNTSFLGSLDLSPWQSITNRANVTSDLTEFRKIWEDLAVRMIDAASTSKSTPSSSDNYYTANTAALNPFQEIYALMQCTPDLSSSDCKTCLRESVRHYNDRPCCNRRQGTRVRRPSCYLRMETYTFSKASFVNFTAASPLPVDDQPSKINNGEDSKRLSTGIVVAITVATVVGVLILLVLGYVLCRRRNSYQITQFENDSDISTTHSSQYDFKTIEVATNNFSSSNKLGEGGFGEVYKGTLSTGTEVAVKRLSKMSGQGTREFRNEAVLVSKLQHRNLVRLLGFCLEGEEKILIYEFVPNKSLDYFLFDPEKQGQLDWSQRYKIIGGIARGILYLHQDSQLTIIHRDLKASNILLDADMNPKISDFGLSTIFGIDQTQGNTNRIAGTYAYMSPEYALQGQFSMKSDVYSFGVLVLEIISGKKNSNVYQMDETSTAGNLVNNAWRLWRNGSPLELLDPSIGRNNQSNEVTRCIHIALLCVQDNPEDRPMLSTIILMLTSNTITLPVPQLPSFFPRSRPEFEQSLAEDGSDSLPKFQEEQNLELGFLKVTSFRFGVHCTNTARDRVRSGSQASPQKGPSLFSSEKKKLKRAAMCSLSASLLLPARLKPGYSDKRGNSSNSLLVSNGRSKMKSQGIVPMTRLFGPAIFESSKLKVLFVGVDEKKHPPTLPRTYTLTHSDITAKLTLAISHSINNSQLQGWANKLYRDEVVAEWKKVKGKMSLHVHCHISGGHILLDIFAKFRYYIFCKELPIVLKAIVHGDGDLLNKYPELQEAPVWVHFHSNVDEFNRVECWGPLWEATTTSPDDDGHRRTHTLPESQCVDECTCCSPPVISIPWSHNPEKMSNS >A01g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10992306:10993378:1 gene:A01g503510.1_BraROA transcript:A01g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGSSERFDDSGEIRRLRRDSTTPAIFPARFDGSDDVDVRRDLAKTTAQTNITISLHERVTKVMKIKLCSIRGDWKLINGIHWDFIVDDERGSCLSMILEDISYNDLIEVVLENFGIDVSPSKLNSINLSYVSPSKLNFSSKELPPVFIRNDRQVASYMNKLQENGCLHLCITIKIVCNTQDLLIAGNLINIMMSFGDLLLALCFLLTFHIRTHLSSRIVSNTIQTRDEVLITHDLHIAGNSNPP >A10p018870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:13066694:13069168:-1 gene:A10p018870.1_BraROA transcript:A10p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g55740, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55740) UniProtKB/Swiss-Prot;Acc:Q9FM64] MASLPFSTIPIKLPHSVSTKPHDEQPRNPYFHSVSSLCKNGEIKEALSLVTEMDFRNVRIGPEIYGEILQGCVYERDFHTGQQIHARILKNGDFYAKNEYIETKLVIFYAKCDALEIAEVLFSKLRVRNVFSWAAIIGVKCRMGLVEGALMGFVEMLKDEIFPDNFVVPNVCKACGALQWRGFGRGVHGYVAKSGLDDCVFVASSLADMYGKCGVLDDARKVFDEIPERNVVAWNALMVGYVQNGMNEEAIRLMCDMREEGVEPTRVTVSTCLSASANMGGVEEGKQSHALAVVNGLELDNILGTSVLNFYCKVGLVEYAEMVFDRMVGKDVVTWNLLISGYVQQGMVEDAIRMCQLMRLEKLKFDCVTLSTLMSAAARTQNSKLGKEVQCYCIRHSFESDIVLASTAVDMYAKCGSIVDAKKVFDSTVQKDLILWNTLLAAYAESGLSGEALRLFYEMQLESVPPNVITWNLIILSLFRNGQVDEAKEMFLQMQSSGIVPTIVSWTTMMNGLVQNGCSEEAIHYLRKMQEYGMRPNVFSITVALSACANLASLHFGRSVHGYIIRNRLHSSSVSIETSLVDMYAKCGDISKAEKVFRRKLFSELPLYNAMISAYALYGNVEEAMALYRSLEDMGIKPDNITFTNILSACNHAGDINQAIEIFSDMVSKHGVKPCLEHYGLMVDLLASAGETEKALRLMEEMPYEPDARMIQSLLATCNKEHKTELVEYLSKQLLESEPDNSGNYVTISNAYAVEGSWDEVVKMREMMKAKGLKKQPGCSWIRVKREEEEEVQVFVANDKTHLRNNEIRRMLALLLNDMRSDSK >A03p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13380972:13385410:1 gene:A03p031700.1_BraROA transcript:A03p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKAATLASIRSSVTDKSPKGFLDEPILPLLETINHHPSYFTTSSCSGRISILSQPKPLSTTNPSSKKKARGGSWLYITHDPADPESVLHLLFSPPSEPLDQPSELVFRFEPLIIAVECKDVRSAQFLVATAISAGFRESGITCCGEGKRVIIAIRCSIRMEVPLGDTGKVMVSREYVRFLVDVANEKMVANRKRTDGFGLALLSNGFKNPDDANDVDEGDNFENLAENHESSISNGDLLPGPHQDLMPLSTISITGESVEKLHIWGHSACTINKTDRKEVIVFGGFGGFGRHARRNESMLLDPSGGTLKLITVHESPSPRLGHTASIVGDYMFVIGGRADPLNILNDVWMLDISKCEWSLQRCIGCEFPPRHRHAAAKIGSNVYIFGGLNQDKILSSLHILDTKNLQWKEIEQRGPWPCARHSHDMVAYESQLFMFGGYNGEKVLDDLYSFDVQSCSWKLEMVSGKWPQARFSHSMFVYKHVIGIIGGCPVSRNCHDLVLLDLKHRLWRSVRLDFMNKELLVRSTASVIGDDLIVIGGGAACYAFGTKFSEPVKINLLQSLTMSGNDVEASIQVKTEASLSQPCVIQLERKYAKLGKDILKNFGWLDLERKVYSHEKGLYICFPVTEKFSALFHEKQLLDKDLGGSEDNHLTSQLTKGLSLKEISSSVALNLLKEVGARKFTNVAVEAKKVAKSPLQRMKEAVTSILKQKGLPEELLDELPQKWERLGDIVVLPVTSFKDPAWSPISEEVWSAVAISLSANRLARQGRVEANGTRDSTLEILVGDDGWVDHRENGILYSFNATKCMFSWGNLSEKLRMGNVACENEVVVDLFAGIGYFTLPFLVRAKAKLVYACEWNPHAIEALRHNVEANFVSDRCIILEGDNRINAPKGVADRVCLGLIPTSEGSWITAIQALRPEGGILHVHGNVKDSDVSSWSEHVSKSLSDIARAEGRSWEVTVEHIEKVKWYAPRIRHLVADVRCR >A09g512710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37995745:38001067:1 gene:A09g512710.1_BraROA transcript:A09g512710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGLSTLALLVDCSGDFGPRGLSVQYTLDVRGCPPAHTRRPCVSVSTQRTSVAVRVCPSAHTGRMWLSISTHISTLVLGLSTLTLPVDCSAHTGRASLSVCVRLCPLAHTGRPWLSISTHISTLVLGFNTLTLPVDCSSDFGPHGLYVQYTQDVCGCTPAHTGRPWLSVCVCLCPSAHTGRLWLSISTHISTLALGISMLTLPVDCSVDFGPRGLSVQYTKDFRRCPSAHTGRLCLSISTHRTSMCVRLCPSAHTGPMWLSISTHISMLVLGLSTLTLPVDCSGDFGLRGLSVQYTQDVSGCPSAHTRCLWLSVWVRVCPSAHTGCPWLSIKTHISTLLLGLNTLALPVDCSGYFGPRGLSVQYTQDVRGCPSAHTGCLLLSVNTHRTSVAVRVCPCVFVTTHRTYVAVHQYTYQHAGPWTQHADPSRGLFGTHISTLVLGLSTLTLPVDCSGDFSPHGLSVQYTQDVCGCPPAHTGRPWLSVCVRVCPSSHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFCPCGLSVQYTQDVRGCPSAHTGRPCVSVSAHRTSVGVCVCPSAHTVRLWLSISMHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDISGCPSAHTGCPWLSVCVRVFPSAHTGCPWLSVCVRQHTQDVCGCPSVHISAPHTGRPWLSISTHISTLVLGFNTLTLPVDCSSDFGPHGLYVQYTQDVCGCTPAHTGRPWLSVCVCLCPSAHTGRPWLSISTHISTLALGISMLTLPVDCSVDFGPRGLSVQYTKDFRGCPSAHTGPHTGPMWLSISTHISMLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVSGCPSAHTRCPWLSVWVRVCPSAHRGCPWLSIKTHISTLLLGLNTLALPVDCSGYFGPSGLSVQYTQDVRGCPSAHTGCLLQSVNTHRASVAVRVCPCVFVTTHRTYVAVHQYTYQHAGPWTQHADPSRGLFGTHISTLVLGLSTLTLPMDCSGDFSPHGLSVQYTQDVCGCPPAHTGRPWLSVCVRVCPSSHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFCPCGLSVQYTQDVRGCPSAHTGRPCVSVSAHRTSVGVCVCPSAHTVRLWLSISMHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDISGCPSAHTGCPWLSVCVRVFPSAHTGCPWLSVCVRQHTQDVCGCPSVHISAPHTGRPWLSISTHISTLVLGFNTLTLPVDCSSDFGPHGLYVQYTQDVCGCTPAHTGRPWLSVCVCLCPSAHTGRLWLSISTHISTLALGISMLTLPVDCSVDFGPRGLSVQYTKDFRRCPSAHTGRLCLSISTHRTSMCVRLCPSAHTGPMWLSISTHISMLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVSGCPSAHTRCLWLSVWVRVCPSAHTGCPWLSIKTHISTLLLGLNTLALPVDCSGYFGPSGLSVQYTQDVRGCPSAHTGCLLLSVNTHRTSVAVRVCPCVFVTTHRTYVAVHQYTYQHAGPWTQHADPSRGLFG >A04g500620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1826749:1827147:-1 gene:A04g500620.1_BraROA transcript:A04g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEIREVFLANGIDMDAVDDLQECSEGEVEEAMRELERAGNEDIHEDEALVTAVDGNVTTEVEMAKQHGTRKRLFKPAAGTAVSTKMRMASVLASPRKRTGVKSGLRQGENSKQMDAKGTSNPKPGLPKP >SC132g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:545:3311:-1 gene:SC132g500010.1_BraROA transcript:SC132g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARSLRSDRALARARSLRSNRPSGSDVRSLCSGRYRPGLGCYIATRRRLYLSFYYLFRKYDLRGFSGGNSVVTVFDPNNINLQELDTYSHKCVTDMICQYFLAAARRKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEKNIKITMEDFLHAIHEVQPAFGASTDDLERCRYARTLLLWSMHLSLFNCIATIDNVVWDSRCNEETFHFLRKSFRLNTSDFYSNQSPRTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRKPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHGLQTRQEVNVFPENEGYRELSQIVELSQIVEQAKKKAEIARLREIHTFKGHVESVAKLKGLDIDTSRHHYTL >A09g513480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41003365:41016139:1 gene:A09g513480.1_BraROA transcript:A09g513480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFVEPSRYRVAVDMIAWGRKRLLLNKIEEDIQLMLSKGLELKSFLGDVQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVARLYSSTLCL >A06p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5970127:5970944:1 gene:A06p013290.1_BraROA transcript:A06p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLLTKSEHIVDTTIIIGNSSTVDDQTSHEVVELSDPYEAERFWNPIEFVVTIVQIAAALVLLIQPKDQEHPQTILFIWIIGYTCGCIATLPVLCWRFWYYKQSVSSESAEEYSRGTRINEVMDTFKMVLEYFFVSWFVVFVWNYMVNPSSLDDTTTQFFWLITALLTFSFIRYVLVNLACAVVCYLLPGTLCVLAAREVLEIIMRLIGAFLACICR >A01p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1551473:1553857:-1 gene:A01p003500.1_BraROA transcript:A01p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAGKMVTSRSELELDHPNIEDYLPSGSSINEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLWCCGVVVRYCLLFPLRCITLAFGWFIFLSTFIPVHSLLKGQDRLRKKIERVLVEMICSFFVASWTGVVRYHGPRPSIRPKQVYVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVARKLRNHVQGTDNNPLLIFPEGTCVNNNYTVMFKKGAFELDCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCEVWYLEPQTIRPGETAIEFAERVRDMISHRAGLKKVPWDGYLKYSRPSSKHSERKQQSFAESILARLEDK >A05p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5923687:5926352:1 gene:A05p013620.1_BraROA transcript:A05p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGSRLKRRTSTRTSLRYEARVGDALPESVDWRKEGAVAEVKDQGSCGSCWAFSAIGAAEGVNKIVTEDLISLSEQELVDCDTPYNEGCNGGLMNYAFKFIINNGGVDTEEDYPYKDVDGRCDQTRVGIFDGICGTNLDHGVLAVGYGTENRKDYWIVKNSWGSSWGESGYIRMERNIAASGGKCGIAVEPSYPIKNGQNPPNPGPSPPSPIKPPIQCDNYYTCPQRYTCCCLFEYGKYCIAWGCCPLEAATCCDDNDSCCPHDYPVCDLDHGTCLMSKNSLFSVKALKRQPATLFWSHSRKNIA >A07p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13379169:13381466:-1 gene:A07p023000.1_BraROA transcript:A07p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKSTSKGQAWFCTTGLPSDIEIEVDDMTFHLHKFPLMSKSRKLHRLITEQETRSFSSLNPQTSTALTVVVAESDKKGKGHEIEDEEKEEEENEKEIEENGFPHIKLEDFPGSSESFEMVAKFCYGVKIDLSASSAVPLRCAAEHLEMTEEYSPDNLISKTERFLSHSVYKSLRESIKALQACESVSPLAESLGVTEQCVESIVSRASSADPSLFGWPVNDGGGGPDLSLIPGGASSKSAKKQNRDNTNTELWFEDLAQLSLPIFRTVILSMRSKDLSSDVIESCLISYAKKHIPGILRSNRKPSSSSSTAASENEQREILETITSNLPLDKSSISATTRFLFGLLRTAIILNASETCRDLLERKIGSQLERASLDDLLVPSYSYLNETLYDVDLVERILSHFLDSLEERSSTALVEADGRSPSLMLVGKLIDGFLAEIASDANLKSEKFYNLAISLPDQARLYDDGLYRAVDVYLKAHPWVTEEEKEKICGVMDCQKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRHAIAGTLLAAQSPSPSQSTEPRPSAIRNLTIAEVVNGNETRGEEEVDAGKWKKTVRENQVLRLDMDTMRTRVHRLERECSNMKKVIAKIDKVGSPATTVTDRPRSWSITKKFGCKFKTQVCDSQEATVVDHRSRRV >A07p015290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9541909:9542396:1 gene:A07p015290.1_BraROA transcript:A07p015290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGTDTKHGRCCVCMVKNKICTRKCEFAAYFPNEMQDDYEAATKLFGTQNIIRMMKLAAHEQKHLLASSILKESAAWTDDNIGGGYGVIQKLRWEIELHEASLSKIRMKISEEKKQLVLLSNQYI >A08p021690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14475388:14476095:1 gene:A08p021690.1_BraROA transcript:A08p021690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVYMLLVKAKDSGKFDSVSHILSLLSNKLDNALQGGIRQLAMPPTLSEILYSKSKKKNNNNKKKTKRVK >A09g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17938999:17939834:-1 gene:A09g505700.1_BraROA transcript:A09g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEHNNQQKEGTDAIGFITEHVTERSVKLKVSMQKTADEVIGCQGMEEKNAMALEREGFVMGSHTSMSGERDNRSRNSRQSNSSWVLRNQNKRRAIGVVRERSTKDNEEGPLKHPVGLSGGLALFWRSTYEVEVLSVSNRIIDIQVKIGALRFFMSFIYGDPVRHRRHAVWEVLRDISLNSWWLVSNW >A08p007650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5038112:5039957:-1 gene:A08p007650.1_BraROA transcript:A08p007650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTEIKNLLARSFEQMRITPILDDTTLKNCSNEIARALLDADFPESLVAEFEGKIQTVIDTNEASEGKCNLIYKTILEELSTILDPRKSASIQGKNESIVMFIGLQGSEKSYTCARYARYHMTMGFKPALVCADTFAIDAFDLLKKASKDKVPVYRSRKRDPAKIASGGIAKLRKHNRDFIVVDTTSRHTECFALLVEMRRLANAVKPDLVIFVIDGSVGKDAFEQARAFREGFPAGVAIVTKIKTYPKSLGALAAVAAAECPMIYSTKGEKGEEFKVFEAESFVRQLMPANQDHEREDKTPELAYTLRKMHAYFSKACQGKVLRGPRPLVDIPTMKLYIQIMDNMTSEELEKSEISKERMVHLAEQSNVDVIQVVGMMTVYKRKAKAWLEIEERMGKLNSIYNKWYLQK >A05g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27477691:27478580:1 gene:A05g509150.1_BraROA transcript:A05g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKANIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A09p052330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45867087:45868429:-1 gene:A09p052330.1_BraROA transcript:A09p052330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPYEAKTPGEEEIALKKLEKTTSLIIHNGLLTPWFSKLGQVVTKRQYGKVIHTFKMIRYVYKRDKDQVSNSRTNGRLCKKGVNHIRFEYSKLVMCRYKVLELVMKKLLNQTDTAEFVVAFAGIQDAIHLIGEQKKLKKAVPDSFFEGIRIRII >A03p009160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3676026:3677417:1 gene:A03p009160.1_BraROA transcript:A03p009160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVRRYTKRRLFSISTLDFKPFNESIIRHFTSLEPLQTSDSNPSSTKGDYFAAINHVVNLVRREIHPERSLNRLRLPVTSEFVFRVLRATSRSANDSLRFFNWARSNPSYTPTSMEYEQLAKSLALHKKYESMWKILKQMKDLSLDISGETLCFIIEQYGKNGHVDQAVELFNGVGKILGCRQTVEVYNSLLHALCEVRMFHGAYALIRRMIRKGLKPDKRTYSILVNGWCSAGKMKEAQEFLDEMSRKGFNPPARGRDLLIEGLLNAGYLESAKEMVNKMTKGGFVPDVQTFNTLIEAITKSGEVEFCVEMYYTACKLGLSVDIDTYKTLIPAVSKIGKIDEAFRLLNNCVEDGHKPFPSLYAPIIKGMCRNGMFDDAFSFFSDMKVKAHPPNRPVYTMLITMCGRGGKFVDAANYLVEMTEVGLVPISRCFDMVTDGLKNSGKHDLAMRIEQLEVQLRGV >A01p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5465588:5467846:1 gene:A01p011240.1_BraROA transcript:A01p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSPAAFVNGALLRRYIGQKVRAVVQVIRSDIGSVTGKSTDDQQIVVKGSPPPSLTTYLEVIGIAESENTIRADVWTNFGDNFDAGNYNELCKLANGEFRHIFGEEEEKISVKLSSLGKGKREEVMNELKVEVESKAMTDQSQMEVETDSSALESLSKPQAMYRCKKCRRIVAIEENIVPHEPGKGEECFAWKKRSGNYAERVQCSSIFVEPMKWMQNIHDGTVEEKLLCLGCNARLGYFNWAGMQCSCGAWVNPAFQLHKSRLDECKSEPNPNQGTV >A09p064120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51793415:51813709:-1 gene:A09p064120.1_BraROA transcript:A09p064120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQVSKKFTWVIEDFSMQSEMYSSVPVLIGDCEWYIFIDPKEGNVNSLYMGLEVADPESLPSGWRRYVKLRLSVGNQYLGELALLNGDSFNVPCVENHLWFDQKKLGYGFSTNVPLTKLLDESKGFLENGELKIVAEVEVVGTYGCSEEYECAYQSPCEMMPDDGPKPLRNKTQESVDVNGFHVLPSQVKFVRCIFERHPGIAVGFRSKNQLLRKTSMNFLLNLIETLCQSLQDLSNEDLKDADISLTYLKDVGFEVEWLECILDDVKEKKEKEQSSLVRLREMDDSLLKLKQKCSDLDALVKEEEAELSATRTPLSFYDVRSFLRSMKKLGENKFTWVIKNFSSLQHETFHDYTFEIDTDGWRMLIAYNPSLLPGRTRHFSYRLTVVNQLSENLSLIQDGGTLVNDEVKILAEVDVLESIDKLYIPRKFEKTTIPQSKIDEKYAAVYSLILEQPSAIRERIQVNGFQVLPSQVETVRSIFERHPDIAVGFHSKNQHLRKACMDSLLCLIETMCQSLQELSSEDLVQADVALTSLKDLGFKLDWLEKKLDQVKVNKEKEMSCLAILQETEESLLNLKQKCSELKAELTETKTPLSFDDVVTMSREHKRIMLLLQRAEDKLNRAVHNIAKSEKYFLDSAAEYGNRASNLELCLDESGVSYYLQMKEECQEAAKKYAAMRHFALQQLAKIDDLRTIAWEAYEEKAFTTSQTFMLKESGLEETKLDNFSSLPSDKLYSAPVLISGLYWDLFTYPKGYKGGDSLVVLLMVNHCLLDGQDTLKTNIWFDEKAPGWGLSGMLPFAKLHDKYGGFLVNDELKIVAEIEALEVIGTLDESKDLLDKTCIDVNGFQVLPSHVEAVRGMFERHPDIALEFRAKNQHLRTACMNFLLSLSEMLPKSLEEDLFTYPKGYKGGDSLVVSLAVTDGQSLPSGWARYVKFRLTIVNHLSHELSIHRETSIWFDQKAPGWGLSGMLPFAKLHDKDGGFLVNDELKIVAELEALEVIGTLDESKDLLDKTSSSVNERIDVNGFQVLPSQVESVRGIFERHPDLAEKFRAKNQHLRTACMNFLLSLSEMLHKSLQELSNEDLVEADVALTYLKNAGFKVASVRCIFEKHPDFASKVLSNNQHLKSTYMNVLLGLIETLCQLPEKLSDVDLSEASAAVVYLTQVGFKMDWLEKKLQELKEKKKMMNTGKAQLQHMEEEFKILNKKCLDLKDLLDKQNEDLSAANVAFSFDDVV >A01p013270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6488453:6490150:-1 gene:A01p013270.1_BraROA transcript:A01p013270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSLLQLIQSVNTSLKKLTKPDKDQKRYTSFFVSETSNTRNKQINMRDLALCLILFFLAKRVSSVPTKEQFENCLSTISKNPRNLTNYTSDSRIITASSNSPSPDSSFLNLNFTSLKPILTLKPKFEPEIKKAILCSKKLGVQVRTLSGGHDYEGLSYLSLTPFIIINLVNLQSMNKLVHRWQYIGAEVDEDLFIRVIIDNTKGVGQRRVQTAFQALFLGGVDRLIPLMNQKFPELGLQAQDCKEMSWIESVMFFIWKSGQPLETLVNRDLRYEDLYIKAKSDFVQKPIPENVFEEVTKRFLEKETPLMILEPLGGKINEISESESPYPHRRGNIYNIQYMVKWRVNTVEEMNKHVTWMRMLYDYMTPYVSASPRGAYLNYRDLDLGNNTGSKTSFEDARIWGEKYYRGNFKKLGLVKGKIDPTNFFRNEQSIPPLF >A05p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8494298:8495950:1 gene:A05p018430.1_BraROA transcript:A05p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein REVEILLE 2 [Source:Projected from Arabidopsis thaliana (AT5G37260) UniProtKB/Swiss-Prot;Acc:F4K5X6] MVQNLISDESSSSTTMVMQVNCVIDKAILWDVKEHCESLCDRASDELIISSTDAFCLKTRKPYTITKQREKWTEAEHEKFVEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFTKVARDCGVTSEKSIEIPPPRPKRKPMHPYPRKLVIPDAKEMAYAGKLVPDEDSRSPTSVLSAHGSDGLGSIGSNSPNSSSADYQVHELSSHTEESLSPEAETKQQSLKLFGKTFVVGDYNSWTSSNDSEDVKKKSDLETQSVRCTSSSSSSSENAETELTQQVVVVVVSEEFKRSERSAFSQLKSSAIAMKKMKGFMPYKKRMKVEGNTNSLVKTSYPIW >A06p017110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7666009:7668882:-1 gene:A06p017110.1_BraROA transcript:A06p017110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREETEEGRNDLRRPFLHTGSWYRMGSRQSSMLESSQVIRDSSISVLACVLIVALGPIQFGFTCGYSSPTQADITRDLGLTVSEYSVFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDTSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNMRGALGSVNQLSVTIGIMLAYLLGLFVPWRILAVLGVLPCTLLIPGLFFIPESPRWLAKMGLTDDFETSLQVLRGFDTDITIEVNEIKRSVASSTKRSAIRFVDLKRRRYYFPLMVHLLVPPSGAKFISVGIGLLVLQQLGGINGVLFYSSTIFESAGVSSSNVATFGVGAVQVVATAVATWLVDKSGRRLLLMISSIGMTISLVIVAAAFYLKEFVSPDSDMYNILSMVSVVGVVTMVITCSLGMGPIPWLIMSEILPVNIKGLAGSIATLANWFVSWLVTMTANMLLAWSSGGTFTLYALVCGFTVAFVSLWVPETKGKTLEEIQALFR >A06g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11999076:12005291:1 gene:A06g503900.1_BraROA transcript:A06g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEIRESLEEEVSELNFPRSSRDSRPHAAAVAGSGKMTNDNNNPIGISDVIQTPLNAAATDATGVTTAGNITATTTAATTSTILPAGNAADETTRCSLFGAGLYQTGSRESPTSGRIGRDEDFASHACAAAAVRPAGSARRGRGCGCGLWLGLGLGWRAFGPIRIILFGPS >A07p001590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:57202:58086:-1 gene:A07p001590.1_BraROA transcript:A07p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase 1 [Source:Projected from Arabidopsis thaliana (AT2G19570) UniProtKB/Swiss-Prot;Acc:O65896] MDKPSFVISPEEAESAANQLGVSVLQLLPSLVNPAKSFARPPISKFHVGAVGLGSSGRIFLGVNVEFPGLPLHHSIHAEQFLVTNLTLNGERHLRNFAVSAAPCGHCRQFLQEIRDASEIRLVITDPNATADPDADSDGFLRLGSILPHRFGPEDLLEKDFPLILEHHDNNLTISDLDPISNGNTVELKRTALAAANRSYAPYSLCPSGVALVDCDGKVYRGWYMESAAYNPSLGPLQAALVDYIANEGGGGYERIVGAVLVEKEDAVVRQEHTARLLIQMISPKCDFSVYHCH >A09p022980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12660046:12660588:-1 gene:A09p022980.1_BraROA transcript:A09p022980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDRFLQQRDPLIPSSPAFVFRSTNVLEVPSAADPIHALLSNREIAHDVTTCPSDSSEVCSGNPMIVTSSSYSYSLHEKPIGPLNTITTFTTLVYSQSIPTDTPIMESTPSNIINNEVLESIVVDPMTTTVPNHCALESASHFTVLGDGTEVEIEPSSSFRLTRGGNESKPPIKHRNIE >A06p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1601143:1603497:-1 gene:A06p005370.1_BraROA transcript:A06p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSATSTTPSRVRAANSHYSVISRTRAQDDSSKPKSSGHDPVKNRRSSLLKRANSREEDTAVLAPQRARSVNRPAVVEQFGCPRRQISRKSDEAAMTAAAAAAAAAEEDEKRKKMEEKLVVNESLIKDLQAQVLSLKTELEEARNSNAELELKNKKLSQDLVSAEAKISSLSSNDKPAKEHQNTRFKDIQRLIASKLEQSKVKKEVVVESSSRSSPPSPSPSRPPFPKFLVSPAKRDEASSPIAPPTPPPPPPPPPPRPLAKAARAQKSPPVSQLFQLLKKQDNTRDLSPSVNGNKSQVNSAHNSIVGEIQNRSAHLIAIKADIETKGDFINDLIQKVLTTCFSDMEDVVKFVDWLDNELATLADERAVLKHFKWPERKADALQEAAVEYRELKKLEKELSSYSDDPSIHYGVALKKMVNLLDKSEQGVRRLVRLRGSSMRSYQDFKIPVEWMLDSGMISKIKRASIKLAKTYMNRVANELESSRNMDRESTQEALLLQGVRFAYRTHQFAGGLDPETLCALEEIKQRVPGHLRLARGNLAGAPS >A06g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17756344:17759360:-1 gene:A06g506240.1_BraROA transcript:A06g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGDSTVREYNTLFLKSGLLEIHQQETLVRMYRDGLREEIRAELGFHVFSTIDDIMQAALDVEKGDESDESGNRSKEKSRSGECETSGDTDGSTTESDESGNRPKKKARTGEDFLENLDGQADDIPYLYGNLLKMEQFPILSLPTEVQGLVVKRVAHNSFEDLFRLRATCKAMRSLADDEDVYASRNMYVEGLDLMKRAADAGFERASYTYAMTSKLWDDDGDHIRGFSRDYVAKIGLLVRSSAGLWNWDHYYYFHIRRHVFISTVAPTFFSCPCSPLLDGHWALWDIDNRKAEDMCNRHPPVIQTLKRGRYGIRWQIELKGKGKGKGKGNETDVRCFCALPAKKCKSWTDKILVGGSTGVNAGRLHLIVGFSNGSMRNNLSDGKSKL >A05p040850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24935322:24938091:1 gene:A05p040850.1_BraROA transcript:A05p040850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLPPGFRFHPTDEELITHYLCRKVSDTGFTGKAVVDVDLNKCEPWDLPAKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIYRSGVLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLENKQPFTPAKEEWVVCRVFEKSTAIKKPQEQQPQSSFGSPCDANSSMANEFEDIELPNLNSNSSTFGYNHIHDHQYPQTSVYSEDNITSAAGLNMNMNMATNIPSWTTSLLAPPLSPINSLLLKAFQIRNSYSFPKEMVSNIHPSLHQQGASNIMQNGSSSSQPQPQEEAFNMDSIW >A02p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2449309:2453354:1 gene:A02p005640.1_BraROA transcript:A02p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase [Source:Projected from Arabidopsis thaliana (AT5G13690) UniProtKB/Swiss-Prot;Acc:Q9FNA3] MVITWLWHLHIYSYHRHSLVSDEHTIKLTMPPIKFALLVLLILSIHSQSLSLQHPAINPLLHRLDSLRPTSSVQESAAKGLLQRLIPTHSHSFEFSIISKDVCGGSSCFIIENHDGPRGNGPEIVIKGTTGVEIASGLHWYLKYKCNAHVSWDKTGGIQIASVPLPGHLPRLEYSKRILIRRPVPWNYYQNVVTSSYSYVWWGWERWEREIDWMALQGVNLPLAFTGQEAIWLKVFKRFNISKEDLDDYFGGPAFLAWARMGNLHAWGGPLSRNWLSDQLNLQKQILSRMLELGMTPVLPSFSGNVPSALRKIYPAANITRLDDWNTVDGDSRWCCTYLLNPSDPLFIDIGEAFIKQQTEEYGEITNIYNCDTFNENTPPTSEPEYISSLGAAVYKAMSKGNKNAVWLMQGWLFSSDSEFWKPPQMKALLHSVPFGKMIVLDLFADVKPIWNTSAQFYGTPYIWCMLHNFGGNIEMYGNLDSISSGPVDARVSENSTMVGVGMCMEGIEHNPVVYELMSEMAFRDEKVDVQKWLKSYARRRYMKQNDKVDAAWEILYHTVYNCTDGVADHNTDFIVKLPDWDPSSSSLHGTDTYMISTDTYETKRRFLFEDNSSDLPKAHLWYSTKEVIKALRLFLEAGDDLFGSLTYRYDMVDLTRQVLSKLANKVYMDAVTAFVRKDIESLRRLSEKFLELIKDIDVLLASDDNFLLGTWVESAKKLARNSDERKQYEWNARTQVTMWYDSKGVNQSMLHDYANKFWSGLLEDYYLPRATLYFDELLKSLEDEKKLEIERWRREWIIMSHKWQQSSSEVYPVKAKGDALAISRHLLSKYFT >A03p010130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4047642:4050227:-1 gene:A03p010130.1_BraROA transcript:A03p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSSVFLTARTCCLRLQPPCLILRRRLCGATACSVSLNGNTSNKKRNSEKEKVIVISGPTGAGKSRLALELAKRLNGEIISADSVQVYKGLDVGSAKPSASDRKEVPHHLIDILHPSQDYSVGQFFEDGRQATKDILSRGRVPIVTGGTGLYLRWFIYGKPDVPKPSPEIISEVHDILLDFQTDHNWDAAVEFVVNAGDQKASSLARNDWYRLKRSLEILKSTGSPPSSYRVPYDSFRENLNSPDANDDFSENDISIQNIETDLDYDFLCFFLSSPRIDLYRSIDFRCEDMLSGPNGVLSEARWLLDLGLLPNTSSATRAIGYRQAMEYLSKCRQQGGVSSPGEFYWFLNKFQQVSRNFAKRQMTWFRCEPMYHWLNASKPLDTILEFIYDAYEKEAETLVVPDSIRMNKEMRNSREANALKAYRPRNRHFVGREDCSSVLEWIRNEGCKSDVSCMEGAAI >A08p022370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14814103:14816986:-1 gene:A08p022370.1_BraROA transcript:A08p022370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQDIGVSAGINILSAFIFFIIFAILRLQPFNDRVYFSKWYLKGLRSSPTRGGAFVQRFVNLDFRAYLKFLNWMPQALKMPEPELIDHAGLDSVVYLRIYWLGLKIFAPIAVLAWAVLVPVNWTNDTLELANQLRNVTSSDIDKLSVSNIPDYSMRFWTHIVMAYAFTIWTCYVLMKEYETIANMRLQFLASEARRPDQFTVLVRNVPPDADETVSELVEHFFLVNHPDHYLTHQVVCNANKLADLVEKKKKLQNWLDYYQLKYARNNTQRIMVKLGFLGLWGQRVDAIDHYIAEIDKTSKEIAKEREGVVNDPKSIMPAAFVSFKTRWAAAVCAQTQQTRNPTQWLTEWAPEPRDVYWPNLAIPYVALTVRRLLMHVAFFFLTFFFIVPIAFVQSLATIEGIVKVAPFLKVIVEDDFMKSVIQGFLPGIALKLFLIFLPSILMIMAKFEGFTSISSLERRSAFRYYIFNFVNVFLASVITGAAFEQLSAFLNQSPNQIPKTIGVAIPMKATFFITYIMVDGWAGVAGEILMLKPLIMFHLKNTFLVKTEKDREEAMDPGSIGFNTGEPRIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFWPDVHGRVIAALIISQVLLMGLLGTKHAALAAPFLIALPVLTIGFHRFCKGRYEPAFVRYPLQEAKMKDTLESAREPNLNLKGYLQSAYVHPVFKGGEDDDDDDDYGDDKLGKFEDEAIIVPTKRQSRRNTPAPSRISGESSPCLPFSGKEV >A01p054250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30540119:30541529:-1 gene:A01p054250.1_BraROA transcript:A01p054250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMTEKEAMVDPFLVEALQNPRHRLTILRMELDIQKFFQNPDQLQFEFPPFPTSYLRLAAHRVAQHYGLVTTALDNGAGALDGSDNRILATRTAESRFPHVCLSEIPVKQAENGRPEGFKIAIKARPTKRGSGCGGGGSGVQQNLQRSVEERKEEYDKARARIFNSPSSSDSEDSSSLRAPSLEGSNTCVNRNEPEVTAVNNSTSRVAIIRDREKDRYDPDYDRSYERYVVDPAYRYVRVMPNPLAMHMPFHDGGFMQMPRGHQANLHYGHPAMSPFINSPVGYTPWPNSPAMNYVQSLHGPDTHLFRHPSASNP >A01p021240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10334778:10335947:-1 gene:A01p021240.1_BraROA transcript:A01p021240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEHTETLNAPELQIWNNAAFDDGDSNLTSAIEASWSNLNASFDSDCSKENQIPFSVSSSLKSSVSFSTDDPIRSGRVKEKPHKTVKARHGDIDAEIEEVEKEMNRLSIRLESLRLEKAEQIARSIAIRGRIVPAKFMESQKPTVRFDTGAKPRTTPRRGVSLGPGEIYSAAKKSETVTPLQSAQNRRKSCFFKLPGIEEGKKAVTKGRGRSLSPRSRKAKMTAAEKQAATTGGSKRAVKKEESVLSSIQPKKLFKEEEKRKPLKPGRVVASRYSLMSKTAEKDARKRSLPENEEKENHRSEKRRASDENRKSEGRVKKRWEIPSEVEVYCSGVSDETPLGKELPKIRTLRGRVGESPRDSGAAKRVSELESKNRDFTFCQLLRFEE >A03p064390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27987367:27988003:1 gene:A03p064390.1_BraROA transcript:A03p064390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTTSLVFLVNFLIIFTSVVNQSRAGTCVETEGPCENCDQKCLAKFGPSVNTQCGNSQCLCIYECPPSPPKVCNGGAGLCSQNCPEKCCDTNCATKFNGGHGSCVTLGNFSLCQCEYPC >A01g511650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34335075:34337031:-1 gene:A01g511650.1_BraROA transcript:A01g511650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPSPPSYKVVTDELTGLLLLAPFPHRENVEIHKLQTRRGTEIMAMYVRHPMATSTLLYSHGNATDLGQMYELFIELGIHLKVNLMGYDYSGYGQSTGKVWSSYHHQPQPSEHNTYADIEAVYKCLEETYGSKQEDVIFYGQSVGSGPTLHLASRFPLLRAVVLHSPILSGLRVMYAVKKTYWFDIYKNIDKIPYVDCPVLIIHGTSDEIVDCCHGKQLWELCKDKYEPLWVEGGNHCDLEQYPEYMRHLKQFITTVERLPSRSSSSSSGSVRDDGPTRRRSVDRREKPRQSTERKPLPPKSQWKKSSSKLRISFDHHHLDRSRRSLDCHDKTRKSIDHSHQVERGRKSVDRVGSEL >SC166g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:172715:175383:1 gene:SC166g500090.1_BraROA transcript:SC166g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNEEERSMRSLCNRPTKMKDE >A05p037710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21430508:21432182:1 gene:A05p037710.1_BraROA transcript:A05p037710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKISNLPMELLEEILSRVPVKSIVTVRSTCKNWNVLTKDQRFANKHIEKAAAASQREKEVLVITGDPYDNLINVNFYDFHNKTFDPSTKSNVFAFGYDESCRTHKIFRLSKDDNMNIYIDIYDLSCGSWKTPPDVAFHSNVIDYTKASLTLKGNTYWHVESGDGFLHCFDFTRERFGPHLPLPSDINHRCSVSLSSVKDEKLAVLLEQTLGEFEIAVWVTNKIEPDYVSWSMFFKFENLQLKCCYLFGNFLIEEEKKTVVAFDDFNNWYNTYIIDGESGHSREVDSKKSSHPRLYDIVSNYFPSSHGCMFGSFLIDEEKKTVVVFYIYGGCYTKSRYIIDGESGDIRQVERIGSQYSKLYNLVGCYVPSSGKSKITHLSPPILNDRGCCF >A09g515040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44714498:44715775:-1 gene:A09g515040.1_BraROA transcript:A09g515040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSSEPELNFRKSTALISAQIKPLKTLPTKGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSLCKRFFKTFLILSLWCDCACLSDVSGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVLHHWCEVSLKLTCKLGPILNPSLRRGV >A03p011840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4738898:4740844:-1 gene:A03p011840.1_BraROA transcript:A03p011840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTSFHDHPLFPSPRFVISYCQGCKTKGTIYGGYYCNDPNCSAWFFHKKCAEAPLQINLHPSHPQHLLLLTKQLGVGVCELCGESIGTPFYSCSTCEFKVNLTCGMKPPPSAIEHPLCHDHPLVLLKKGKEKFPCEVCKENFGGPSYLCHECNLYFHLDCVILSKEVNHPCHSNHPIKLFAPENLTGDAEKICFSCEKQSENMLYHCPTCNFSICLVCTRNPPPLVIEHTRTHVHPLTLLSRTMPFTCHVCGEDGKGGPYVCLQCAFLTHGECIDLPRIISINRHEHRISFTPHLGAGYSKCGVCRKSLNQYHGAYSCSVCPKYATHCKCASRSDLWDRVDLEGIPNHDAEPFKMVGDDLIIHFSHEKHPLKFYYKNKILYDKWLQCEACMYPLAFESIYGCEECRFFLHDKCVNLPRKKKIAFSRVPHSLEVTNQVVTACRECRVLFDGFNYTFHGDWRVDVHCGSLCEPFIHDGHLHPLYIYKRWGSSCCVACEDIVHGGYMMCCDACGFDLCLYCASLPIKMWHKNDDHPITLHCGVKLSEKSCCEICERELDQCKWFYTCFDCGVTFHTRCVLGDFSRLKPEKLIFYEWNRFEVVRNNNSTRPLCSQCHSRCMVSVVLKACDGNNGYICSRSCLTNYLRSYFV >SC169g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:219:6007:1 gene:SC169g500010.1_BraROA transcript:SC169g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPYLNGNRQCEFRFPQFGARRRGHTDQSNPQKPHHAQSDMSTNDADNVQTPLNGGSSTDLHTPVVDVSEEFKKMFATYEKRSGVVRERPSGQNPSEKSPIEKGNPESLPPPAKDSEDNETEHIDLDPSDVSNDTDEDVDGHPRRTRSRSAREGSPFEKPMTEEEEIAYWKKQEELAEKQTELTRRRKKNSRNDKYVHHEGEDLQGAHNYAINSDQSLTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVNSVKDLILDSDRPPKTDRNPPAEKSPQRNQRGDKRDRSSAKNAPGKDKLKSSTDANASDVEARHKSEAHATTQPEHPENSIERLIVIRCETTTHIYFDPIQENSKQNIHHINNRVKRPIQISRPHSAINIKQKDEHLSFDNYSTAHNPKSKSPDNEAPNASAGQSTSSPPGNRDGIPEPLNPPVDRRDERLSVGTFVHPTLHQAHFLLEYIVISLRPPKTSDRTAALTEITHRGKGILEVPILNLELRGTSLHHLDDFSFAFPFRLTDSPRMITRKLRFSFQHLALHTSEISLRFPRFEAVDHGFSMARLVGRAQQAQALQNRLTSSKALHNDRQKGKGHACSSGSGSQKMLNGKGTTTHYERLVASEVTLLGAVSTFPAAGNPELHSIRDMVERSHDREKLVGTPACIPFLDSTMDQDRERLLFGRGHRTIMSNPPCLVLGGMYRVRHELRLRNNELFRNRVERREESSVHIFRYSNSPIKLHGLETAENS >A07p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6321742:6323044:1 gene:A07p010120.1_BraROA transcript:A07p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSINLPISCNFSRFPHLKPSRKAQSRNLTLVQSRAPLPFLKPDRILLRNNKSQFLKHEKKGLFHVCRSSLNNPDPDKTEIQDEGRDWTSSVLLFALWGALLYYCFNLAPDQTPTQDLYFVKKLLNLKGDDGFRMNQILVGLWYIMGLWPVVYAMLLLPTGRSKTQAWPFVVFSFFGGVYALLPYFALWNPPSPPVSVSELRQWPFNVLESKVTAGATLVAGLGLILYALVGNAGDWKEFYQYFRESKFIHVTSLDFCLLSAFAPFWVYNDMTARKWFDKGRWLLPVSVVPFLGPSLYLLLRPALSETTAPTDSSASSSDPSQ >A08g502270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5056266:5060557:1 gene:A08g502270.1_BraROA transcript:A08g502270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIHPFFFVGLVSLEAAAATSFSLGSRSILGDIGSNVIADQNDNTVEPNAINFVSVFEDTSAKFAIVIDFTATWCPPCRFIAPVFVDMAKKFLNVVFFKIDADELQSVAKEFKVEAMPTFLFMREGEVVDRVVGARKEEIHQTLMKHGGLASSA >A07p015860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9794571:9796107:-1 gene:A07p015860.1_BraROA transcript:A07p015860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 4 [Source:Projected from Arabidopsis thaliana (AT1G29000) UniProtKB/Swiss-Prot;Acc:Q9SHQ8] MGEKEEKKEKGEEIITAVYKVHLHCGKCACDIKKPLLRFQGVHGVDVDLEKNEIKVKGKIEVVKIHKQIEKWSKKKVELISPKPSEVKKTTTTTTTTTSVVEKKTTEVKKDVIRTTVLKVHIHCAKCDKDLQNKLLKHKAIHIVKTDTKAQTLTVQGTIESAKLLTYIRKKVHKHAEIVSSKTEEEKKKEEEEKKKKKEEEEKKKKEEEEQKKKGEVKVEVTKTTTQIVEFKEKVKVEGQKDKDGNIPYFVHYVYAPQLFSDENPNACCIV >A09p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14260319:14262717:1 gene:A09p025560.1_BraROA transcript:A09p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLPSGRNFEFATIRTTGNRSYIQKFKEILYFTVVGMERYNLIKEVGDGTFGNVWRAVNKQTGEVGTEVFDGQVAIKKMKKKYFSWEECINLREVKSLSRMNHPNIVKLKEIIRENDILYFVFEYMECNLYQLMKDRPKLFAESDIRNWCFQVFQGLSYMHQRGYFHRDLKPENLLVSKDVIKIADLGLAREIDSSPPYTEYVSTRCEMLPVHMADVSKFLADMWAMGAILAELLSLRPLFPGASEADEIYKICSVIGSPTEETWLEGLNLASVINYQFPLLPGVHLSSLMPYASAEAVNLVERLCSWDPCDRPTAAEALQHPFFQSCYYVPPSLRAKPSVGQRGSLEHQQQSFKRLPATLTSNANNNKAFNSYATPKANALPYGACQTQRKQEMSNKINQETSWNSKPVGSYNVRDAKYIPPPGRKSPPSMNKKWVFPRGPSENANKVANAAAVGGGRWSQKQTQQPAMKAGWVGDSGDMFLRPTQPPNPYSRRIAG >A02p021290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10063283:10063852:-1 gene:A02p021290.1_BraROA transcript:A02p021290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSCSSRVPIQNNRYPSFYCNCATIVALKERTGDNDGAAAVLASAINRWSNSMTENNKLSILILEDASFKLRHGQEEEASRLYEKIVKNVKAQMH >A08p031170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19064440:19065211:1 gene:A08p031170.1_BraROA transcript:A08p031170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPFQRLVREIAQDFKTDFHLQRHAVLALQELPFQRLVREIAQDFKTDFHLQRHAVLALQELPFQRLVREIAQDFKTDFHLQRHAVLALQEVAQKPIFGSSL >A03g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18069570:18073472:-1 gene:A03g505100.1_BraROA transcript:A03g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFEETNKLPELKLDAKQAQGFLSFFKTLPNDSRAVRFFDRKDYYTAHGENSVFIAQTFYHTTTALRQIGSGANALSSVSISKNMFETIVRDLLLERNDHTVELYEGSGSNWRLVKAGSPGNIGSFEDVLFANNEMQDTPVVVSLFPSFQESRCVVGMAYVDLTRRVLGLAEFLDDSRFTNLESSLVAIGAKECIFPAESGKTNECKSLYESLERCAVMTTERSRQEFKGRDLESDLKRLVKGNIEPVRDLISGFEFATPALGALLSFSELLSDEGNYGNFTIRRYDIGGFMRLDSAAMRALNVMESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLVDLNEITMRLDIVQCFVEEAGLRQDLRQHLKRISDVERLVRSLERRRGGLQHIIKLYQSTIRLPFIKTSLQQYTGEFSSLISKRYIKRLEALSDADHLGKFIDLVEYSVDLDQLENGEYMISSSYDTTLSSLKDQKELLEQQIHELHKKTAIELDLPVDKALKLDKAAQYGHVFRITKKEEPKIRKKLTTQFLVLETRKDGVKFTNTKLKKLGDQYQSVVDDYKSCQKELVDRVVQTVASFSEVFEELAGMLSEMDVLLSFADLAASCPTPYCRPEVTSSDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLMRGESWFQIITGPNMGGKSTFIRQVGVTVLMAQVGSFVPCDKASVSIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVQVKKAPTLFATHFHELTALAQANSEVAGNTVGVANFHVSAHIDTESRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPPSIVINNEESGKRKSREDDAEEVSGGAARAHKFLKEFAEMPLDKMELKDSLKRLHELKEELAKDDVGCHWLKQFL >A10p029160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17922400:17925126:1 gene:A10p029160.1_BraROA transcript:A10p029160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKMCHEPINVSPVESGVTFNELDHLPLTTRRSLLQPNAASNVDSVVKREEDCFDELGAVVPNCDAPESVNTQTPESTSIGCSQGLIESGNSRPQNNILLVSCRNNRFEQIEETTGSDDALEHLSLKERRKLLLERMVLRLPEPNLEDNTKDCHETDLFKIKAENSCKNGIASSSAARFSGFLEKIDSFLYKKVSIGSVSESQLSGVQENDIPIANKRSFELSPEASLHSDYEHSPLSSTCYAAVTEKQRDSVKRVKRNPRPLNVSEMQLNQDVCEPLDDSSMDNNEEPDPVTSEQVQVKREVETREEDEIDFVNLISRLTRCTSAPSPASFVKDEASELDEDEIDHMKLIDRLKLRSSHGSRHHEAPPSSPPPSGFSFCTSDEYVKPVRVSKRKKTATNSIETALEEDAPGLLQVLIKQGVTVDELRLYGENDSFSDDSSLLEETFSELEDVISQLYFKRATGPKLLNLSFSKDSRTSYCLTCLFSLIEQARYLRFRKWPVEWGWCRDLQSFIFVFERHNRIVMERPEYGYATYFFELSNTASVGWQIKRLVLAMKLASCGRYQLIENKPLLVGEDMTEGEAEVLMKYGWVANTGLGTMLNYRDRVFHDRKSHKETSEWKSKISKLLVDGYNSGTIVSTFIVPPDDVEDDDDAGLDMEQVKLESY >A10p016470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3029064:3030099:1 gene:A10p016470.1_BraROA transcript:A10p016470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSIIEYKIHHCACRRIDSRVYSKSWIPTPAPSLRCHNNPWMQLALILLSLWENARKNCVHKQILSTTKEAGKRISKRRAAVKATKFGALIVSRMMTTCRLTKSLRMMMKESTVNTCAIIMRHGLGYGRGFKVDKEMIPKNLFQGLRGLDLHRYFFKPNLTGREYMEIMVKVVVRMSTGVKAYITFMAKETPEGELVEYQAKTERKAWQKDIHAIFCRPAS >A02g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22500134:22501351:-1 gene:A02g508160.1_BraROA transcript:A02g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPRPAGLARKSPMQGGFVLRPDKRVGSTRFSGTWEARTSSFSSFRLLTDEETTLATTEATLLPPATAGASLIRRSTTIGRSFSFSVTVPSFASVYNEYALKSQYDTSIHL >A07g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10250256:10251051:1 gene:A07g504850.1_BraROA transcript:A07g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRGWDPGIYGVKRIWEDHFPDNQIGQIWNQEENLVTRFIWDSRRFFGGREESGIDSIWLLSSFSDWIWKSKERPKGIQGTGFVFIKTRFSLMIYAVRNHKSQLVGKGGDMKNGEGIRKRLKIYVPHLDNSDLIKSYSMTLTGRYWGSHLNSGQLQPSREPTPLVRQRRWIWTMARFVW >A04p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20332029:20335181:1 gene:A04p035210.1_BraROA transcript:A04p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT2G38720) UniProtKB/Swiss-Prot;Acc:Q9ZVJ3] MSLSSSTTTCTSLLQELQIIWDEIGEGYSERDKMLLELEQECLDIYNKKVDKTRKHRAELQRSLAQSEAEIATLVSALGEQVSFSTKEGSLKEQISAVKPVLEELMVKKDMRWKEVSEIVTQIAEISSNIAGNDSPVSSGFEVDGSDLTKRKLDELRARLQDLRSEKAVRLQKVNSYISAVHELSEILSFDFSKALSNVHKSLTGASKSISNDTLARLTELVESLRKEKRQRLLKLQGLGRNMQELWSLMETPMDERRRFDNLSILLSVQADDALGKGCLGLDIVREAEDEVNRLNALKSSKMKELVLKRHCELEEICREVHMDFNSDAGRQSLIDVIDSVTKVSFAGDADLSGILESLDEQIEKAKEEALSRKEILDKVEKLKHAKEEEKWLDDYEKDENRFSAVRGAHKNLKRAEKARSLISKIPAMIDGLTTKVKAWEKERGVPFLCDKHPLLQTLEEEIVLRAQREEEKRQFREQKRLQGQLATEKEAKYGSKSAKKKPLGQSLLNTDNVTKTPLGRRVGTTPGRSGVKDYRESGRGNATAIPLNYVALQKED >A04p018060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10891205:10899185:-1 gene:A04p018060.1_BraROA transcript:A04p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAATAIVSVVCSLLNMSYKAPRRCSNGRNFGVERHQDFAADIAPRRPYEKKGPNKWSRNLVWMASDGNMARKKDTTYRSTKPQVSGAGALVSNKQRKDSAYVQRSSSVSDTRGWGSNDKGSPKSKECVCKFWKAGNCKKGDQCSFLHSWTCLPGLVMVAALEGHKKDLKGIAFPQGSDKLFSASSDGTLRIWDCHTGQCVHTINLQAEAGSLICEGPWVFLGLPNAVKAFNVQTSKDLHLNGVVGQVRAMTVGNGMLFAGTTSGSISVWKATDSESDPFTYLTSLEGHHSGEVTCFIVGGHRLYSGSVDRTIKVWDLNTLECTMTLRQHTDAVTSLLCWDQYLISSSLDGTIKVWACSDNGSLKVTNTRRQEQSVHTLCGMSDAEGKPIMFCSYQNGTVSICDLPSFEERGKMFSTNTIGAITVGPEGLLFTGDKSGKLRVWNLAGTKSAKVSVSLDVFYSMSYKAPRRYSNGRSFGVERQQDFVADIIPRRPYENKGPNKWSRNLVWTASDANKPRKNGNTYGSTKPQVSGAGALVSNQQSKDAAYGRRSSSVSDTRGWGSSDRGSPKSKECVCKFWKAGNCKKGDQCSFLHSWTSLPGLVMVAALEGHKKDLKGIALPQGSDKLFSASGDGTLRIWDCHTGQCVHTINLQAEAGSLICEGPWVFLGLPNAVKAFNVQTSKDLHLNRVVGQVRAMTVGNGMLFAGTSSGSISVWKATDSDSDPFSYLTSLEGHHSGEVTCFIVGGHRLYSGSVDRTIKVWDLNTLECTMTLRHHTDTVTSLLCWDQYLISSSLDGTIKVWACAENGSLKVTNTCRQGQSVHALCGMSDAEGRPIIFCSYENGTVSICNLPSFEERGKMFSTNTVGTITIGPEGLLFTGDKSGKLRVWSLAGTKV >A10p023250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15213737:15214679:-1 gene:A10p023250.1_BraROA transcript:A10p023250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVQEEYRKGPWTEQEDILLVNFVHAFGDRRWDFVAKVSGLHRTGKSCRLRWVNYLHPGLKRGKMTHQEERLVLELHAKWGNRWSKIAKKLPGRTDNEIKNYWRTHMRKQAQEKKRPMSPTSSSSNCCSSSMTHDTGGSNGKMNQECDDGNYSMDDIWREIDQSGANIIKPVKDIYYSEQSCYFNFPPLPLASPTWESSLESTWNMDAYESKMSSFAIDQFPLSFEHARSSWSSLV >A04p022070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:13303457:13304323:1 gene:A04p022070.1_BraROA transcript:A04p022070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRLPPSATFHESDDDKSDDPPSAWHRPTSSLSALPSQDPPPHHWRNHSLNLSPILATPPRSLPPPHSIPELETYVVQVPRDQVYWTPPPEHAKYVEERRNNPEKNKKNGCSKRLMWFFIILIFFGFIIGAITLILHFVFNPTLPVFAVERLTVKPNNIEITFRAENPTSNMGVSYMMEKTGMISLTYKNKSLGRGKFPKMSQAASGSDNVNVKLNGSTKNAVMPPRGSKQPVSLVLMMELKADYEAGPLIKNKEVVVTCDVKFKGLLDAKKVEIVSENCESEFKN >A07p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11544958:11549778:-1 gene:A07p019460.1_BraROA transcript:A07p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRRRGRGKEGSKLRIQGKTIGLVSLLKKLIPFFCNKGNGHSDSTNFDADLSEYKKKATIIVEEYFSTNDVLSVANELKELGMAEYPDDLFVDIPNAVDVLAVFVARAIVDDILPPAFLKKQINLLPDDSKCVEVLKKAEKSYLAAPLHAEVVEKRWRGADNWTAEDVKGRINDLLKEYVMSGDKKEAFRCIKGLKVPFFHHEIVKRALIMAMERQKAQVKLLDLLKEAVEVGLIINTTQVTKGFSRIIDSVEDLSLDIPEARSVLQSFISKAASEGWLCASSLKSLSAGEKLLENSSSNVFKDKAKSIVREYFMSGDTSEVVHCLETEPSASSSQIRTIFVKYLITLAMDRKKREKEMACVLVCSLGFPKDVRNAFSMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRDLEELSSSVEGNVIQTAKTLLEARLSGERILRCWGGGGIETKSPGCTVSEVKEKIQVLLEEYISGGDLKEACRCVKELGMPFFHHEVVKKSVVRIIEEKEKKERVWKLLKVCFESGLVAIYQMTKGFKRVDESLEDLSLDVPDADDKFSCCVERCKVDGFLDESFAIEETQGKKENGSIVRRVFPLHCLGGSLGAVQRLPRGRDAQLDSHETSYLVADEEFLPIKERELRIACTLAHMEREGGISPRLSPLAQVRDAWNLLTRAGFSLPGVDFDEYVVKYKSALDLIEHLRAMGESFTHGTKKPTLFSKGTTIYDSMFATEDGTIPATFQVIYMTGWREHSSQPQAKRRGSDIQRTRSNWVLYLEGTFRKKQRLYKRDWENQ >A09p064010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51748404:51750285:-1 gene:A09p064010.1_BraROA transcript:A09p064010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMTTTTTPHWPDFSSQKLPSIAATAAATAATAGQQNPTWMDEFLDFSSTRRGTHRRSISDSIAFLEAPSSGVGNHHFDRFDDEQFMSMFNDDVHQNHHNNHNNHHGSVNGNVGHTRSSSNTSTPSDPNSLSEEDNKEQPPSENNLMDTTDNNNNVAGNNYNESDEVQSQCKTEPQDGAPSSANQNSGGSSGNRINDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQSLKKMENNVSDQSPADIKPSVEKEQLNA >A03p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14680269:14681883:1 gene:A03p034860.1_BraROA transcript:A03p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMDRIVVSPSSYYCRLSQARGSRSSVVSMASTIRSASTEVTNGKKLYIPPREVHVQVKHSMPPQKLEIFKSLEGWADETLLTYLKPVEKSWQPTDFLPEAESEGFYDQVKELRERCKELPDEYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTPWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKDRGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDADGTILGLADMMKKKISMPAHLMYDGQDDNLFEHFSTVAQRLGVYTAKDYADILEFLVERWNVETLSGLSSEGHRAQDFVCGLPARIRRIEERAQGRAKEAAKNVPFSWIFGREIRA >A01p003890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1698151:1700336:-1 gene:A01p003890.1_BraROA transcript:A01p003890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSTFSIQEATIQDIRLAFNEKRLTSKQLVEFYLEEISNLNPILFAVIETNPDALIQAEKADREREVKDVTTELPFLHGVPILLKDLISTKDKLNTTAGSLALFGSVVPRDAGVVKRLRDSGAVILGKASLSEWAHFRSFAIPSGWSARGLQGKNPYVLTADPCGSSSGSAISVAANLVAVSLGTETDGSILCPSSQNSVVGFKPTVGLTSRGGVVPVSLRQDSVGREGATVIEDLSIPNMEVIKDWTKSGERTALLAEFKMSLNAYLKDLVKSPVRSLADVIAYNEKFAEENVITEMRKKKTQEKVEEWGQELFLAAEATNGMGKKERAALQKMEELSRNGLEKLMKEKELDAIVSLGSKMSNVLAIGGYPGINVPAGYDSEGVPFGITFGGKPPKFKAQGTQRKQSCCYL >A10g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8424004:8428268:-1 gene:A10g503210.1_BraROA transcript:A10g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLTVIFHSRSTETSLNGWSVLTEVIIIMIKFATLMVGFTTFLLERDLWDIIDTSNTSPDSCVIDVKVGVVCDPPYKHDFLSICFKLSVGGRDGLTVLQDDGSTRSILFATEYHEAFDAKKKNILWLEADLGSNTQSRIFTWPLFRELQEGFGSKLFGDERYELLVESQELLQRVEFELDGFHEFRNHMLVTSYLLQLLVAFFMGRKSSAACAAWCAEACHQLSNLIFVFCGSKPSSEATPYDIKYPLLSSGRS >A07p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15338446:15338867:-1 gene:A07p026840.1_BraROA transcript:A07p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASHGIYKKMLIDDVRQNLKLSRETRIWQSLVVEPDVEESRGFGHLCWDKHTRNVCKSCVCTWTLKGVRERDLFGAHAYERTNRLAAYHTEWTKLAKLQG >A03p024130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10205185:10206554:-1 gene:A03p024130.1_BraROA transcript:A03p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVKYFYFEDGLNPSDENSALVHRDDFAMNIKLQLFGLVAKQAIFHQLRTVEQLGYITALTQKLIMQSSVKGPEHIDWRVESLWNSFESKLREISEKEFKVRCVNHLKNPRNLKEQYLFYWREIQSGTLEFNRKEAEVAALRYLQKQEFIDFFEEYIKDWSSTEEIVGHKSIWESAFERKGK >A07g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20415178:20419864:1 gene:A07g507520.1_BraROA transcript:A07g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVLQRRYWHIADIPLVVNEWSPDTALDPPDLSAMPIWIDLKGVPSLLFSHKALKCLSRAAGKFVKLHPYTERCTRLDVARVLVEVNLNKPLVEKISCLDKDGATVMIEVCYPWLPPKCNVCNAWGHQGSNCKRITVLQKDKEVEVPKEDAGVSDVVINGDGTVRYDLNTNRNVVTELLQELEGLPPALGSNIVGDISREAFEKGNTSCSDGLEAATQDWAVVGRIDPNLVTSEVPKAVESLDGVQGQNDVLISPSRFSVLALEGIGEDVTNEEEELEEGEVIADLLTEDTKLKDPARTGRFRPGPSLKLSKQLPARSKDLRLKTLKYDMRLLNKTHYGDLPARTKEAFEEMCRCQNMVLLDPNPLLNLAGTFEASVVVTFMIYMRVSRIFRCRRKIKGGIRFFGNMQRTLIKRSSHRLAGSLCGRRINPDWSLTLQFVTNNNLQLMDKILLKMVFQTCVYYMWKERNERRHQRGFRTVDQAIRIVDKAIRNRISSLRYGPVHRFAGLLQRWFEVFDRP >A01g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12772567:12773980:-1 gene:A01g504240.1_BraROA transcript:A01g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQARWGRSKTEKPEVTKNPNFGIMEFFEEAGGSLLKIFRTSEREQKNISDLSRAESMSEGSRSRKSTEKLKVSQCMSSGRSVNHLISFLSAQARGVGTHGSSTCGLTHRRTRCRMWSTRSRRACNRSHASRHTGCHRPESDWLMSYINRHAHLHISTHPDYFQEFSGSVTTSVLDRCLQKFLFGLRVVQGKPFKVWHVIEVICDRRLLEVFKKGFLLLGRWIMAGGRYRALSIACLISNARMLCGKEGYVSMSLRGLAGRLHKACSVRGGC >A03g501880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5957154:5958035:1 gene:A03g501880.1_BraROA transcript:A03g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMLTVPVPPRFRPPPDPPPCKSLPLGFLSPLKPSEPPEPPDITCLWSITAKYVAVARLPPPETPLLSYPSLCGNFHPTIDFLTIVELILYSAIECSLPIITFYSAIECLLPITSWFQICLTSSRMEYSMLNCRFIAWLWFQILFINTLLKPTSTFLLPWFLYRCCSCVARSTFGLEDCSTDDLFSVLFKGSAFWCYTASAIVASVKIVIPALIAEPITSIRSLIVFFVSHGFIPLLKPSVGEIRGRLCNVSCLCIMIASIFVFLLAFCCTKVVSQYGFVIIFVNNFSFNGD >A01g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18342059:18343238:1 gene:A01g506280.1_BraROA transcript:A01g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDRDWDPGSRRKIGDRGDLWLGGRKSKSNSSIGDSDFDSLAITLRGDPRAFHRLGRRIAVVRKWLLLGSFIWMQYPEDQSYGLQGGKIWRHLDFKGIFLRGLGVFNLTYGGWCVKDIRLARSCRWVRACGVERNQEREGWDARSHGNGWFLQIQIPNECWVIGFEVLFGAWRLALGIAILALCLFPSRNKDIRCLDSRLSKYWVKLTVKNYVFLVCIYIGLWDYDIAGGTFDSNLYGIWENNELQEAIGVWQFVFV >A01p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1984471:1991530:-1 gene:A01p004630.1_BraROA transcript:A01p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNDKEELEEDSYVWDNDTQLYIHHRHTSGFYHDPVAGWYYCSKDGLYYKHEKGEYVPLEHDESNVNPLVHIVTSEDAQAEALLSDPGETETPLEPTGCSNDHELEHLQRPSSWVEDTLIELYLAGNNRRPSYSAEDNQDYQMLSANGDDDAEELEEGEWIPEDDFDPQEDNFDQDAPSREEEMWVAQYGQVTQSPEKTLPEIPSVDLWDWKLVCESREADSEQVARLVGRLVRRSANLHPSVASSGTLFKTAPVCEARLHLVRVRTGQVYKLQNPSPKYVASLSAYDTSNPTKDWGFPDVSTTWQDPVAKRKAKKVKPRTSRRLKVKEPHEVDMIEEERSSAYRDRAAERRDLHGGYGVGPGQKGTLVGLEEHDTASEEDATAEEALELSFGSGSYARRIMGNMGWKEGETLGKNAKGLVEPIQAVGNTGNVRMMVGSAPKRLMSMMTMGIQGQLLEVTVVGCQKLKDTEWFSRQDPYVVLEYSGARHQTRTCTDGGKNAVFQEKFMFTLLEGLKDLKVAVWNSNTLSTDDFIGNATIQLQKVLSQGYDDCTWTLQTKTGRYAGEVRLILHYAGVTNQHHHGSAPSAPPYAPQVPHYSAPSSPSPYSSPPYSGPSLYPQVQYSQPQSAYPPASPYPPQPYAYPPPPSTSAYPPGPSAYPPGSSAYPPPPPSSTYPPPPYPPQPSPYYPQEEEQGLGCVDDVYLATDRKEAVGWILRVNSHYGFSTLAAVLAITYLDKFICSYSLQRDKPWMLQLVSVACLSLAAKVEETHVPLLLDFQVEETKYVFEAKTIQRMELLILSTLQWKMHLVTPLSFLDHIIRRLGLKNNAHWDFLNSCHRLILSVISDSRFVGYLPSVVAAATMMRIIEQVEPFDPLSYQTKLLGVLNITKEKVKSCYDLILELPMGLQIEIQSRRKRKSGESPSCVIDSNPFNSDESSNDSWSASSNNPPSSSPQQQQPPLKKMRGDQETQKEKPVLHLPWEIVVASP >A07p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8599726:8601659:-1 gene:A07p011630.1_BraROA transcript:A07p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIN3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G24440) UniProtKB/Swiss-Prot;Acc:Q9LHF5] METKSKISQSRKNHNKSSKKHDANKKHQQQEDDAGITNSWICKNASCRANVPKDDSFCKRCSCCVCHSFDENKDPSLWLVCESEKPNDVEFCGLSCHVECAFREDKVGVTSIGNLMKLDGCFCCYSCGKVSEILGCWKKQLVAAKDARRRDVLCYRIELSYRLLDGTCRFSELHEVVKAAKSMLEDEVGPLDGPSARTDRGIVSRLPVASEVQELCTSAIKMAEDWSANVARDSIPAACRFHFEDVASTRVTLRLIELPSAEECGVKGYRLWCFKKGETPEDDQFLDLSRAERRILLADLDPCKEYMFRVLSYTEAGCLGHSNARCVTKSVEILRDNSVEGRTKRRIDLLGNDQASDREEKSSISSGFQIGQLGKYVELAEAQEDGLLDAFYDVDTDKSSEPEEEVPPRRPLGFDLNVVSVPDLNEEFTQPDSSGGEDNGVPLDSLAEADVANGNGNYNDDDDTVSNGTQKNNNCLVRSDGSGDDPEFDFLMTRKRKADSNSHGSENNECDSSPIDDTIEKCMKVVRWLECEGHIEKTFRIRFLTWFGLSSTAQEQSVVSTFVQTLEDDPSSLAEQLLHVFSDVISNKRPNNGS >A06p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10645861:10649719:1 gene:A06p021480.1_BraROA transcript:A06p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPVSLLEVVWTSWKSSDKVVWTSWKSSGLPVKSSGLPVKSSGSRLNFLKLSGLVYSSGIQACLCRGVIYNCFTTYNSVVHETTEIRLKCKSSGKVKLLKLSSQIRLNFQSSQVTDFKVNCKNNLCVDQTTSSSLAYIRLLQAHRITNESNRPRIVSFYDSMNHKKFRIKILGFFSSLWRGKSILGALRASNWLFMVVVILMTMAILSCFNGTSMRWYELSHHPTRSNPRSSPSSEFSTVATPTSAGIQVANIHDVNDSLPSQENHRSYKQVIEASRSFHGFDLDPAITNSEWSKPELDGPQISQAAADAFVRYKIGVKQGVR >A03p042460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17773256:17774487:-1 gene:A03p042460.1_BraROA transcript:A03p042460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIKNKNMAFSLILMCLFVVYPMANAQISGLLGGATVVNIQGLVTCSTNGSVSTNTTSIPPFAGVPVLLQCAGQNVTTTTTGANGVFSFPSSTVLPISLLSTLLNSGCRAIVTAPLSTCNASLPTNGLLTAPLNLAGTIVTGGINILQFVNGLFRLI >A09p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11118351:11120572:-1 gene:A09p020900.1_BraROA transcript:A09p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CW14 protein (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G59650) UniProtKB/TrEMBL;Acc:Q9SLT5] MGGCVSTPKSCVGGRVRSSKRRKNRTRRKIHKKRAVSSSRLSDGSHLPSFTNPTFQASADEAWFDSNLAFETDCDEDFHSVEDVLSVKEAERISVSSMSSVKDSNLGGGSARNSLSVDEAAIISLSKSESALTDAKQPVYIDEISSNVGDESSRKDEGLLESCGILPSNCLPCLNSTVPSIEKRRSLSSSPPGTRKKAALKLSFKWREGHATGPLFSTKMQLQRPVAGTQVPFCPLEKKMLDCWSIIEPSSFRVRSKTYFREKKKEFAPNYAAYNPFGVDVFLSQRKINHIAQYVELPVVSTTPTKLPSILVVNVQIPLYPAAIFQGETDGEGMNFVLYFKLSDNYLKELPPHFQESIQRLIDDEVEKVRGFAMDANVPFRERLKILGRVANVDDLQLNGAEKKLMNAYNEKPVLSRPQHEFYSGENYFEIDIDMHRFSYISRKGFEAFLDRLKNCVLDVGLTIQGNKPEELPEQILCCVRLNGIDYMNYHQLALGQEFL >A03p056190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24298489:24298749:1 gene:A03p056190.1_BraROA transcript:A03p056190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSNPTCKFTKPSWFPM >A03p014990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5944250:5944597:1 gene:A03p014990.1_BraROA transcript:A03p014990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSILKQLHCLALKLANEHSINAAARLQLPEAELVPTLVDNNYFHFVLASDNILAASVVAKSLVQNSLRPHN >A02p032150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16859905:16862071:-1 gene:A02p032150.1_BraROA transcript:A02p032150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKAVSGNTTIPLYARPVTRALASALRASSKLVTSSDVAAITLINKGMVLRANSKRTALDDTTTNAPKKQRAVLKDISNVTCENSHTTSCVSAAKTQVENVEQIEKGRAGSSKVASSSTTSEVTDNAKSKVVSDSAGVSLSGCTGTNKASCSFSRLPPRPPPVRSTSSTVETSSPKFIDIDSDVKDPLLCSLYAPEIHYNLRVAELKRRPCPDFMERTQRDVTQTMRGILVDWLVEVSEEYTLVPDTLYLTVYLIDWFLQGNYMERQRLQLLGVTCMLIASKYEEINAPRIEEFCSITDNTYTRDEVLEMKSQVLKHFSFQIYTPTSKTFLRRFLRAAQASHFMMPSVEMEFLANYLTELTLVEYEFLKYLPSVIAASAVFLARWTVNQSSHPWNPTLEHYTTYKASDLKTCVQALQELQLNTKGCPLNSIRMKYRQAKFKSVAVFISPKLPDRLF >A04p036850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21131450:21137560:-1 gene:A04p036850.1_BraROA transcript:A04p036850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVVMGRRKQPKPQRSLGLNDEKQVSGEEAEGSRRRKKKKESVEDIDKPYYVNICSSSEEKQERQHFDIAEVVLTNLTLIEGGSGVDCSSRFRLCNVTNFIDRIKLGYWPVLSPSDVTLELVDGSVVWSGGFDGPGEGVSGLAHLASIKFLTLRVVPGGDEGLLSPRVRVELLQEAFDACESLLENTRQRWTKSMIHVMSWLRPEVMTSEARYGTELNLKEDEISITQDSSEQSRFDAAAFYEAIKPSKTDAMLEDEITDLLPELRPYQRRAAYWMVQRERGDPITLGDKEEFISPLSISIGFLDSAAKMFFNPFSGNISLEPEYCSPRIPGGILADEMGLGKTVELLACIFSHRKPDEDETSVVTNGSPVTDDLITGLKRVKRERVECTCGAVSKSRKYKGVWVQCDMCDAWQHADCVGYSPKWTRKKAICQDVDEKASEKKSKKDAVEVVVKQGEYVCQMCSELLQVTASPISTGATLIVCPAPILQQWHSEITRHTRLGSLVTCIYEGVRNASVSKEPTVDIITDLLNADIVLTTYDVLRDDLTHDGDRHDGDRHCLRFQKKYPVIPTPLTRIFWWRVCLDEAQMVEKNTAAATEMALRLYTKHRWCITGTPIQSKLDDLYGLLRFLKANPFDVSRWWTEVIREPYERRDAKAMEFTHKFFKQLMWRSSKIHVADELQLPPQEECVSWLKFSAIEEHFYSRQHETCGSYAREVIETLKRDILERGHSSSDNPLITHAEAAKLLNSLLKLRQACCHPQVGNSGLPSLQQTPMTMEQILMVLVKKTQSEGEEALRVLIVALNGIAAISMLKQEFSEAVSLYKEALSITEEHAEDFRLDPLLNIHILHNLAEILPLVQSHKKDVKDDDHRRAAKRQRINELDSSTHASSESGLKKDGEYHEECKTLDTVCDTLKVKYLSAFNTKLSSAQQEYKKSYDQVSESLRNIGKQRSVWWLDALQLAEQNKDFTSELTRKIEEAIHGSSRESSRYRTIHGMKLHLQTCMDTLESSRKTVMDKLLEIDQTMEKPRSEDIECIGNCKYCNKKDDGPTCIHCELDERFQEYEARLFRLNKSRGGVMEHASAEEMVDLQKKKSARNHFFFGLSSRNKDVDNEEPTKRNAGDSVIVSKSPSETEVVLGVIRNHCKSYLDSEGKSAAKKHLKTLEVMRKEYAHARDLARAQANLLRAYDEIKMATMRLQLRESEDDTAIYALSLDELDAASVQNTNDKFLAQSSLLSIKGKLRYLKGLIETKQKQEESQDHSSPIEETAKALDPVEQERENVLKREEACPICHETLRNQKMVFQCGHSTCCKCFFSMTERGSVHETMRKWVMCPICRQHTDVGNIAYADDRQNGYSSGQDHRENEASLSVQGSYGTKIEAVTRRLLWIKSSDPEAKVLVFSSWNDVLDVLEHAFSANGITFIRMKGGRKAPTAISKFKGSEKESIQVLLLLVQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVGRVHRIGQDKPTLVHRFLVTGTVEDSIYKLNRSKDVNVSSFSSSRNTKNQDQQNLTLRDLECLFASPPAEETEENEGDGERNLRDLPPSVAAAMAAERRMKESNDASTSS >A07g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3648999:3654828:1 gene:A07g501780.1_BraROA transcript:A07g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQRNKSSHWIDIKGKGILYDEDDAPIKLTDQDTPQNITEFQLSLIGKILNPKKQNVEKLLQKMPSQWGMEDRITANDLGNGKFLLNFTTEDDLNFVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTVNNLKGIGARLGHVHQDQIVLMEGRMLIDIDTRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGLLSHEKEYCPSLTPQARINLPGNRPGVFARVQVPDARSRYKPVKKEPVLRTQTAHPYSEHKVRQFNDSRYGNSDRSSRGDSSLVAYSREGNSGHVDRIIRRRDDHTRSSRYGGSRVGTGPYDRYRRDGNSGVAWREKRVQPRQGTEVVVRQGLDAVPVGKDSVSYGHTSTHSKALTLPDCKSSESKISEETHGNRRLASTIVTPSRIDREREENVTKRPKVSPRVLSFESLSDKEPEMGDGEDRIIDALTDMDIADKQDGGLMDCDVQNDDLMGLELAEMEEKTAHTKSAGRALRGSKHGSKMSAPLDIQNKKFGILRRGSPHKRSSSSHDTRTENQVLSLGNICLLDGSWTASDRFSGCGWAWMDSGENIQLLGTRNFSRCESALHSEIEALHCAMENMLQHSPCQSFGTDCKELIAMINEPQKWPRFATELEKIETLQICFPDFKIIHVPRVRNQFSDFLAKTARTFRRELLFIGCSIPVWLPRPPQA >A09g500330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1272508:1274124:-1 gene:A09g500330.1_BraROA transcript:A09g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVNVARLQSVLVLAVFASRFLFIGAAYGSWSLVPRVTLKTTGDYVINSSDSHCCFSDLCVPYRGSSSTSFLRSEIQLLLSLPSLHPSSFAGDPLHLMPEHRPQLTSSPLLDPERLESKSSLLDALFKVPETTTPDPDYHCRLSPSSIPTGHSLDGPPCLSGESCASLPVCLAGRPDDSGELNVLTYDARCFSVDKTPFDSGKNQYGSVDYGSPPTQATTTTPHIVVMLFTLRLEMVSTISDESFASGPRLLPGPIKPFVRLQPRCRTSFNTAETLQGSNHLLDFGLFAESSVEKFSFKVTTPPKIGFRFDMFLVNCRSVSVIYCVRVWIRLIFSLLCHCCVSITLRRLKHRRFFTEVTSHPFPHCRYYCARVWMRQIFTLLCLRCASITIRRLKHRRFFTDSVLQSPSFSLIARATVQECGFASSDCYYNIAASPLHYAVSSIDGSSQCDPFPGAAIVYRGSQTSCYQNPLVGFFNVDFDFFAFFRMHALGLQVKLLYGSLLSLATSILRYVLIVFVYLFTVEDHSGCNRLSPWGV >A01p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3001444:3008422:1 gene:A01p005770.1_BraROA transcript:A01p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSVIILPLPTAVTSPLCSFNKLSSSMIAPRQAFTRKQEYESLREEEDRAWSKLRRPVVMSTAALICVVIFTCTIVSLRIVFPSNVLSRPFCGEGKVQSLNVYGNGFDLTDQETVDFYWVVVFLPSMMLFLVSSMTSIASFDNDLEEMHNNIGNLRKQVEAIHGGWERLTKSNEELSTQMATIAQTLSRMDAWQRAEKAAGKTIAGTSTQQEQVHRSFSDTPMEGGVRCLSILNVVFAIIYCLLAIFLGSSLLIQESSCSVPLFWCYEISSWCLVILFAGTAFFLRRRAALSIDEGEFENRSHQGLEMLEANHPFEFTPDVERRVNEGFKAWMGPSLLSSDDEDEDEPEFYNKVPNVTAYTLSSRQRS >A09p000150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56698:57841:-1 gene:A09p000150.1_BraROA transcript:A09p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEYEKKRLTRIAENRARLEALGISKAAKALLPPSLRKPNSGEEDDDDYRPGDEIDESEYEEDEEEFLGYSSSKRMKKTTSPPQKRRRRKKHLCRSDPLGDDDDDDDLNKAIALSLAGGGSHRNDHGSASSKKNKSDLIMSKMQMTADELILYFFHFDKAGKGFITLRDLATMANVHDFTWSDEELQDMIRCFDFDKDGKLSLDEFRKVVTRCRMLNES >A02p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10497707:10498624:1 gene:A02p022210.1_BraROA transcript:A02p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQWAIQPKAFAAGGEQSVVVCPKPRRIGLRNHPSSRSLRCYFSQQVESKAETDDILDIILTKEQVNHSQVLDSPSPFLCGSPPSRVANPLTQDARFRDEIKLVSSSPITTPLGHPPSSPSSSGRKGGCVRGNFGNSPAVRIEGFDCLDRDSRNCSIPALA >A06p039220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21033495:21036210:-1 gene:A06p039220.1_BraROA transcript:A06p039220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRRGNQMTEEESAARRIQRLSSHISPALTAPSSSKAPMVQREVCSSRTKKVNVNSQALSVYMRGKHMDVQEKVYEFYNSRPDLQTPIEISKDDHRELCMRQLLGLVREAGVRPFRYVADDPEKYFAIMEAVGSVDMSLGIKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYTGCFAMTELHHGSNVQGLQTTATFDPITDEFVIDTPNDGAIKWWIGNAAVHGKFATVFARLILPTHDTKGVSDMGVHAFIVPIRDMKTHQTLPGVEIQDCGHKVGLNGVDNGALRFRAVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFGATLGELVGGRVGLAYASVGVLKISATIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAYHFATVYLVEKYSEMKRTHDEQLVADVHALSAGLKSYITSYTAKSLSVCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAADLLKRYKEKFQGGTLTATWSYLRESMNAYLSQPNPVTARWEGEDHLRDPKFQLDAFRYRTSRLLQSVAMRLTKHTKTLGSFGAWNRCLNHLLTLAESHIESVILAKFIEAVRKCPDPRAKAGLKLACDLYALDHIWKDIGTYRNVDYVAPNKAKAIHKLTEYLSFQVRNVAKELVDAFDLPDHVTRAPIAMQSDAYAQYTQVVGF >A09p079900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58668213:58669257:-1 gene:A09p079900.1_BraROA transcript:A09p079900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAISRTNLIFRGACVNQHKHVVDYSVSSPVSFGLRKSFPSLKVKPFNQFQSSRSSSSITAQTALRIGTPQRWWEKGLKENMREISSAQELVDSLTNAGDKLVVVDFFSPGCGGCKALHPKICQLAEQNPDVQFLQVNYEEHKSMCYSLGVHVLPFFRFYRGAHGRVCSFSCTNATIKKFRDALAKHTPDRCSLGPTKGLEEKELVALAANKELNFSYTPKDVPVEEEEAPVPASNPGLPVAHPSMKANDGKTLVSSGR >A02p009170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3799677:3801923:1 gene:A02p009170.1_BraROA transcript:A02p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 58, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G19210) UniProtKB/Swiss-Prot;Acc:Q3E9C3] MASQLLSVPSFSLLPKVSPFHPPLLSTPRKLPLFHSTTCSPLQSSSNSRITNLQAVTNTCSETESNAPTETTALTLRNICQGFVPEHILHRQALMEEIGFVFPTDIQREALPTLFTGRDCILHAQTGSGKTLTYLLLILSLINPQRSSVQAVVVVPTRELGMQVTKVARMLAAKSTDVEVKGCTVMALLDGGMLRRHKSWLKAEPPAIVVATVASLCHMLEKHILRLDSVKVLVVDEVDFLFYSSKQAGPVRKLLTSFSSCDKRQTVFASASIPQHKHFVHDCMQQKWTKRDVVHIHVSAITPMPLCLLHKFIVCGKKNKHQVLLALLESDAPESAIIFVGEQSEKSKKAGNDPSTTLLIEFLKTSYNGSLEILLLEEDMNFNSRAASLTEIRQGGGFLLVSTDIAARGIDLPETTHIFNFDLPQTATDYLHRAGRAGRKPFSDRKCIVTNLITSEERFVLQRFENELMFSCEELML >A06g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22065330:22068113:1 gene:A06g507920.1_BraROA transcript:A06g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFMVGYDEISLSQSFVALKGYVDAIQLVMISAVPPLKEVVVQNEHAVVIESDSEIEKTSKDHMDMYTYRAEIFDPVNLKCKSIRTKLVWVDVKYILDDHAEDCPLGIDLGWSDEEEYEVVDNLVRLVGEGYIFYNEMFKGWLDIADFVWIRNERKQKKKEAKEIKIKGNVHETANVEASDPTLTEQVESLLLHN >A02g501260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4139707:4140663:1 gene:A02g501260.1_BraROA transcript:A02g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPPSLPTPMTQRLQLQPGSTPRRTSLVRDLNPRPPPEPPDPPDRVPPHRCCSVFLRSSPNFMSIGTPVVLSGLKVSSTVLFSWESQTIKLVAFHARCITLAGPLPLRFRSVSQTLKSVHFNLSFSVFLRLEDPCHQPPQIYLLSQLLASDVGGNPLRRPVLSHMFLNLATDVGGNPLRRPVLSHQKLVRSCCRRTTLTSSSVVESTSLPCLLSMNGENFSDSFPSFSFSLLTGLLPCGAVCTGPEGAIEITSVSLVGEDCFSTSLVTISLLSDFVVKALSTYSSLALNSLSTSYEDLSCLVLFAIVVHQLFSRGR >A09p062600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51143103:51150273:-1 gene:A09p062600.1_BraROA transcript:A09p062600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKRENLLSPSSSWRDNHYPSRRNSNSSAVSSGCLPGFFKLFLCTFNFSSSRRKSITLGSKKQEQIAIVYASPPEDTSNVDGGGTVEPPLQPNEGVEQEAARVSLVGALEKCDRDLEELRRTIEVLKTTYVLQKKVSPETARDDIKFSSTVVGDAVVGTQMNKNTKTTLQETDTDTTMLSTMMNDHEPDHYAMHDVIPKRATPESRDTAPIMVRKVGRSLMESVNEVSEDIASGQQREVAKIGLALHDHICRDLISETVHDLSSFSHYDDDECHKLTVDSANCYGRGSGRGHIRRGSTNSLPLDA >A10p024590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15895589:15899397:-1 gene:A10p024590.1_BraROA transcript:A10p024590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 100 [Source:Projected from Arabidopsis thaliana (AT5G22640) UniProtKB/Swiss-Prot;Acc:Q8LPR8] MANNDDDDSQPQIDSPSDEEEEEDQKSPNANSDEEPGSDSDSDSDSSSESENEGEPERETDGFNYIRPSDVPPDPNTTPETNIRRFNRVLEGKRVKRIQEEEERKYKFYEDLFDFPKDPERWKEEDLREVWADAPPEMTKPGWDPVWAEEEDWEVVNEEIQEGRDPGIQPFYVPYRKPYPAIPDNHYDIDTPKAVVEELDRIEEFLQWVSYIFPDGSSYEGTVWDDLAQGKGVYIAENGLVRYEGEWLQNDMEGHGVVEVDIPDIEPMPGSKLEEKMRAEGRIIKRDYMSPEDRKWLEMDVEDSVALTDGNYEVPYYENEEWITQFGEKPEKGRYRYAGQWKHGRMHGCGVYEVNERILYGRFYFGELLEEEHGCTVDICALHSGLAEVAAAKARMFVNKPDGMVREERGPYGDPQHAYFYEEDDVWMAPGFINQFYEVPEYWETYVEEVDQEREMWLNSFYKAPLRLPMPAELEHWWQNVEVTPEFVLLNKEPEPDPEDPSKLVQTEDPVILHTPTGRIINYVEDEKHGVRLFWQPPLEEGEDVDPSKVEFLPLGFDEFYGKEVAVKKEHPVKRFVLGIEKALKPMLDGIEKWTEEKKKENEERKEMLEKELELVEAEICLEEAIEDMDEVLKMKEQEEEKKTEMGLAEEEDEEDVVAVPVYKEEKVVVTPQEKKKQEDDDSDDDEDDDSDDDDDDGPSSFGSVDKRRSSPFSSSSLSFASCSLFPAVQSRLESSFLAWKQHRAEASKVIPGINKAVDSNASASIRFPPLSSNQARLKMGKVSNPGCVQRSSRSQSQLSSLSRLLSSSASCSSHDRSSGEDQGWLWKTPVEEMDAVLSLQVQTKCSNMFAETPALS >A03p070650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31060831:31069167:-1 gene:A03p070650.1_BraROA transcript:A03p070650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKFDDGSTRAFREVDPSRLEPIPENNFGVDETFPYHIGQRVRATNRSEGVKWLASRGVGPDSSVPLVEWQSPSFLTLLSSLIHTNFKVGDWCGTKDGSLGEALLIVHSRTRVDVAWQDGSVECRREATTLIPTETPGDDVFVAEQYVMEKAIVDDGNTTEPKRVGVVKSLDAKERNAIVRWLKPLQRAEEPCQFESEETASVYELERHPDHDYYTYGDVVVRLSPTEQGNDTKHLQQDEVNAGLSWFGNITGLKDGGFEVTWADGIVSKVGPGAVYVVEPDSDDGEPDAAGSGASSDSSWGTVDDDDSGAHEIPKEDPSGEKDDPSTQSFGATANPQSEGSVLENEALERSKSGISYEPVTFKGDSYSFRRFDISQESLDHHYVSEEEQKIKEKVWVKKVHGDWKILQDNLPDGIFVRVYEDRMDLLRAVIVGPYGTPYQDGLFTFDVLLPAKYPSEPPVVFYHSGGWKLNPNLYENGNICLSLLNTWKGRGSEVWDPQSSTILQVLVSIQRLVLNAKPYFNEADYDKLIGTAEGERRALEYNEDAFLLNCKTMMLIRSNVFTSGRERRGFEELVKEHFTKRGSHILKACNAYMEGYLIGSLTKDALIVDEPVFANSTSAGFRLSLEKLTAKLFPALSLLGASDQ >A06p058050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30157280:30163999:-1 gene:A06p058050.1_BraROA transcript:A06p058050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISMYYHLLLLTYSAPAWASFMAGAFLILTLSLSLYLVFDHLSTYKNPEEQMFLIGVILMVPCYSIESFASLVNPSISVDCGILRDCYESFAMYCFGRYLVACLGGEDRTIEFMQRQGRKSFKTPLLLDHNDDKGTIKHPFPMNLFLKPWRLSHWFYQVVKFGIVQYMIIKSLTALTALILEAFGVYCEGEFKWDCGYPYLAVVLNFSQSWALYCLVQFYGATKDELAHINPLAKFLTFKSIVFLTWWQGVAIALLSSLGLFKSSIAQSLQLKTSVQDFIICIEMGIASVVHLYVFPAKPYGLMGDRFTGSVSVLGDYASVDCPIDPDEIRDSERPTKVRLPHPNVDIRSGMTIKESMRDVFVGGGEYIVKDVRFTVTQAVEPMEKSITKFNEKLHKISQNIKKHDKDKRRVKDDSCMSSSSSSRRVIRGIDDPLLNGSFSDSGVTRTKKHRRKSGYTSAESGGESSSDQAYSGFEMKKRDNYGAEPDKKKLAMAGIRHQLLLLLRRRHRLFPLVSAFSGCLLLLLLFSFSFPPVIHHSPLGRKNQVAVESKLLVPVRIALSIDTLPKTSFFNKDMNDHYFGNLRKMAEDRIATYGARNYPTPTMAAAMPVAPFKTSQTDRYLLIATSGGLNQQRTGIIDAVVAAYILNATLVIPKLDQNSYWKDISNFEEIFDVDWFISHLSKDVKIIKELPNGEESRLIGLQSIRVPRKCTPSCYLQRVLPLLKKKHVVQLSKFDYRLANHLDTELQKLRCRVNYHAVRYTETINKMGQILVDRMRTKAKHFVALHLRFEPDMLAFSGCYYGGGQKERLELGVMRRRWKTLHAANPDKVREHGRCPLTPEEIGLMLRGLGFGREVHLYVASGEVYGGDATLAPLRALFPNLHTKETLTSKKELAPFARFSSRMAALDFIVCDESDAFVTNNNGNMARILAGRRRYMGHKVTIRPNAKKLHKIFTNRHNMTWDEFSTKVRKYQTGFMGEPDEMKAGEGEFHENPTSCICRKKKANEELKEDEHDSWPWEYSDIGNVPMATRSGLDHQSEVDHEN >A01p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17438507:17438758:1 gene:A01p037300.1_BraROA transcript:A01p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLEILCAVLIPPVGVFLRFGCGVIFGVLALFAPDVLWFYPWNGICYMGSY >A09p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17184079:17185301:-1 gene:A09p028620.1_BraROA transcript:A09p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISPTKSHQVFFNYRGEQLRHSFVSHLTDAFERHGINFIVDKYEQRGKDLKNIFARIEESSIALAIFSTRYPESSWCMDELVKMKKLADKGKIQVIPIFYKVSARDVRRQTGKFGDKFWNLARASITSGDQIKKWKEALECISGKMGLSLKNKSCEADFIKEIVKEVVRVIEAPELEEKDNHAEKKKRTHRSCLCELPHFKRSKTLTVFFFFFFSFLF >A09p026930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15203250:15204956:1 gene:A09p026930.1_BraROA transcript:A09p026930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKNDLTSQKVVSTETAQAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPSGGAKPPTVQIRGQPVNQQSGCCSS >A08p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11391474:11392553:-1 gene:A08p012250.1_BraROA transcript:A08p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L21, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G35680) UniProtKB/Swiss-Prot;Acc:P51412] MASPSATLSLCSAFSAHCSVAPPRSLSKPSLSLARPTIGFLSTSAASTSRPAFPAAPKFAESAVVAEPETTDIEAVVVSEDKPKREEIFAVVMVGGRQYIVFPGRYLYTQRLKDANVDDQIVLNKVLLVGTKTHTYIGKPVVTNATVHAVVENQGLNDKVVVFKYKPKKKYRRNIGHRQPNTRIRITGITGYEEYPASPNVAV >A06p020390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9987401:9989379:1 gene:A06p020390.1_BraROA transcript:A06p020390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAENAGVKVESSSSAADTKRSCPDDDQSPNSDSSSLDSSDRPTPDSDDRTQTQTQTTPNGESGGSLNKSEIKDLSDAFSKLNPMAKEFVPRTQSVGNGFGFTNNFADGSDHLPTRRRSFGQGKRRMNKRTSLAQKDDVIRRTVYVSEIDQQVTEENLAGVFVNCGQVVDCRVCGDPKSVLRFAFVEFTNEEGARAALSMSGTVLGFYPLKVLPSKTAIAPVNPTFLPRSEDEREMCVRTVYCTNIDKRVSQTDLKGFFEMLCGEVHRLRLGDYHHQTRFAFVEFAMAESAIAALHYSGIVLGALPIRVSPSKTPVRPHLHRPECK >A07p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23241914:23242891:1 gene:A07p042930.1_BraROA transcript:A07p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNPNTTLPPGFRFHPTDEELIVYYLKNQTMSRPCPVSIIPEVDIYKFDPWQLPGSIRLFISHVNIMEKTEFGENEWYFFSPRDRKYPNGARPNRAAVSGYWKATGTDKAIYSGSSNVGVKKALVFYKGRPPKGIKTDWIMHEYRLNDSRKASTKRTGSMRLDEWVLCRIYKKRGAGKLLDEKEGYMDEVQIDETLTVVTNEAKPRNEEEITMMTSTKLPRTCSLAHLLEMDYMGPISHILTPFDLHSPDPNIVNESGWFGDLQISQDEIMNHHRQASMFQF >A01p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5222627:5223052:1 gene:A01p010690.1_BraROA transcript:A01p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRIIFENNRDHIVQILPPGTEIYCIADASWKFLTDKFGIGRSLISKKGILKIKGSAAIKATTTPLVAEAMTMMLAVQQMHRLRYKQVAFLGDSELLIRSYYQ >A10p027290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17191799:17205790:-1 gene:A10p027290.1_BraROA transcript:A10p027290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNLDGAPELAAHHNQTFSSATNTGEKRKREGFVSEERESGNSCETEEVIIVGDTKAIKDFGFGGGEQSQRSVFSKLQAFNGQSNMSLKGSLGKKEAREEPERAKQDSTFNDFDKLREEVNFAVGQTWALYDDKVDGMPRLYAQITKVPVPGFCLSVRWLEPDPDPKEEIQGYEKGLPVSVGRFKLGKTEDIKDRRRFSHLVQCSEGSSAGTFSVYPKEGETWAIFKAHITSLSSYFNHDWSAHPDSHSKYNYAFVEIFSEDCDYRRVPIGFLHKAKGFPGVFCRFNKEVDMSYIKRGCTMQFSHRVPSFKTTGIEAEGVPCRGAYELDPAALPENIKEIDVPLHLLEEPSPTVSNHGEEDSTHSQCVYFASKGITFQTGQVWSLCSGDDNLPRHYGKIQKITFIQAFEQDPVVKLHIGRLKARPNKGVIQWSDKDMPIGCGNFRARKVLEIFTDLDVFSRQIRESSEDGDDYSILPKTGDVWAIYRNWSKNIGVVDLKSQTYDLVKVLDDKLDYKVLLLTPVGGFKSADSAGFGSAYVAATEHWIDNAEVRFTIPKCEMLRFSHQVTTSRVTKEVHGTWQEVYEPAIETSPVLSCAVSVGEKRKRNDHGEDFDGLKFNDFEKLRKEVNFSVGQTWALYDKVDGMPRLYARIRKVSAPSFGLRIAYLEPDPDDEKQIQWLEEDLPVSAGQFKLGKHHNTKDLSIFSHVIHCMEGSKTGHLTVSPRKGETWALFKNWDINWSSEPASHRSYEYEFVEILSDYADKAGVSVAFLHKAKGYASVFFRMGTSPADIFRILPHRLYRFSHRVPSFKLTGIEGVPKDAYELDQDALPETIEEIMMPSISETQPKAIYFASQGKVFQTGQFWSFYSGDDESPRYYCKIQKVSFTLVLNQEPSFKLHISRLKKALPFPEDVIDWKEGKMPRGCGTYYPRTVLETITPSELSQQVMPQASMDGKEYIILPKIGEVWITYRSWSADIEVDDDLDGLGYDIVEVLDDTLDYQVVLLDRESFYEEDKKFEYKWFSPGTKYKYKELGGLMPIFTIPKSERLRFSHSVRASRITREIHGELKDLFKCLFCLMMDITVNELSRKGIAAQNHHSRSDSVASIPKIHQEKTQAFSNLSCSAVKVGEKRQRDEFAAELCNADEQNKRRRSDANIDAETGEELVQPEISECSHPKFNVFEKLRAEATFAVGQTWALYDPAGGMPRLYAQIRKVSSPSFGLRITYLEPDPDDEKHIQWCEQDLPVSTGKFRLGKNENTKDISKFSHLIQSHEGSNTGRHFTISPRKGETWALFKNWDINWSSEPDSHRSHEYEFVEILSDYTDEAGVFVSYLHKAKGFASVFFRIGTGPADIFRIYNLYRFSHMVPSFRLTGTEAKGVPKEAYELDQAALPKTIQEVMVPSESNSKPKRQEIYFATKGKVFQTGQIWSFCSGYDDLPLYYGKIQKITFTQAFKEEPVFKLHIGRLKPTTPFPKDVVEWRDRGMPVGCGTFYARKALEIITPSQVSHQVIPQVSLDGNEYTILPKIGEVWAIYRYWSVHIDVEDLEFGLYDIVEVLDDSLEDYKVQMLVQESYLDDDENYNRYFKGATEYVDNEVEGSEPIFTIPKSERIRFSNKVHALRVTKEIHGELNDLFCMDVTMSNKDEAFRAKALAEDWMSKSDFPTARRVAVKAQKMDETLENIAHMIMVCDVHCAAQEKSGDETDWYKILQVEYNADDNAIKKQYRKFALHLHPDKNKLPGAEAAFKMIGEAQRVLLDKDKRRVHDMKRKPFKRHAPGVPSYQPQPQQHAPPPRPFYTAPVFNAARTNQAQPQAQAPQPTGFSESRTFWACCAFCHIKHECVKEFLHKQVICPSCGKLSVAFQTAFQAPPAQPTFSFYQQSKAPAQEAAAHKQHPESSVRVSPRKEATKARSSGSSAEHINGNGKRKKIVESDDSEGSFECEEVAATGGQRSRKSVRSKQKVSYEETLPNGRNRKEKAKDDQVGSSRGSRASDLEILQCADPDFTNFDKLREESSFKAGQIWAMYDDKDKMPRYYANIRKVIRKPNFTLKITWLEHKPDDERAKGWARRKLPVSVGKYQLVGDDNASETPSFSHLISPTVRGSKDIVCVYPMMGETWALFKNWDINWPSASGRGHEYKYEFVEVLSEYAEGLPVTVAFLRKVKGFASVFHRVAGAAFQIPPHELLRFSHSIPSTKLTGRERSGVPVGSYELDTAALPQMIEGGGEEAVPVVRSNQARLHRSPPASSEPDCVVIPNFEFHDFTAERSEGKFTAGQIWSLNCREEGLPKFYAKIQKVEWRPVFKLQINKLELDSLPENVTQWRDKRMPVTCGRFTLKEGQQRETLTKVNGFSHQIKAQQESSNKTKYTILPKTGDIWAMYKNWSDAIKVTSLKKCGYEVVEVLDDDESHIEVMMLERVEGFTSVFKEKVEGGVDVRKTIPRCELLRFSHYVPAFRLTGERGGAVRGYVELDCTALSRNLLRS >A03p009950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3964114:3969129:1 gene:A03p009950.1_BraROA transcript:A03p009950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit STT3A [Source:Projected from Arabidopsis thaliana (AT5G19690) UniProtKB/Swiss-Prot;Acc:Q93ZY3] MAAVESLPPGTPSAMRNAFGTVLSALILVLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWVLNAINIPLSVETVCVFTAPVFSAFASWATYLLTKEVKGSGAGLAAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSPRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALVYYIKGILTPKMFKVAVTLVVSIGLVVCLIVVAVLVALVASSPTGGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVMSVYFSGVMVRLMLVLAPAACIMSGIALSQAFDVFTGSIKYQLSSKSKDDAEDNTSTNNAQKDESSSGKTDKGEEVSKERSSKKGKKKEREPAEKPSVKSKIVKKKALVLPLEASIVALLLLIMLGAFYVIHCVWAAAEAYSAPSIVLTSQSHDGLHVFDDFRESYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGVIGYPSDDINKFLWMVRIGGGEFPHIKEADYLRDGQYRIDSEATPTMLNCLMYKLCYYRFVETDGKGYDRVRRTEIGKKHFKLTHFEEVFTSHHWMVRIYKLKPQKNRIRGRVKKLKQKTSSGVSSKSVKKNPWM >A07p002310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3925804:3927351:1 gene:A07p002310.1_BraROA transcript:A07p002310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQDTSGGFTLVTRKPCFGLPTACPNCLPAYIYLKLAQLPFQLAFNSTFPDSDELPYFETGTYVAYNNEEGGVIEKLKKDGVVNLDSQLQSLPDYLSLKALILSWLEEALAYEMWVGTEGVSAWKIYYSDLPWVISKVLFYKQTYMAKTRLGIIKENSEEREKQIYKRASDAYEALSTRLGEHKFLFEDRPSSLDAFFLSHILFAIQVLPETSVLRTKLLEHGNLVRYAEKLKLEFLEAPSSSSSPPLHSFPSSFSRKGSKPKGKSKTEKTEEEKKFKKRAKFFLAAQFLAVVIYLSVMGGVSNDELEYEDDE >A02g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3984774:3987761:1 gene:A02g501190.1_BraROA transcript:A02g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVFSNVVRAPEDPILGVTVAYNNDPSPAKLNLGVGAYRTEEGKPLVLDVVRRAEQQLVNDPSRVKEYIPIAGLADFNKLSAKLILGADSPAIKESRVAIIQCLSGTGSLRVGAEFLKKHYHQSVIFIPKPTWGNHPKVFNLAGLSVEYYRYYDPATRGLDFQGLLEDLGAAPSGAIVLLHACAHNPTGGFASGSLDTDAHSVRTFVADGGECLIAQSYAKNMGLYGERVGALSIVCKSSDVASKVESQVKLVVRPMYSSPPIHGASIVATILKSSDMYNDWTIELKGMADRIISMRQQLFEALQAKGTPGDWSHIIKQIGMFTFTGLNKEQVAFMTKEFHIYMTSDGRISMAGLSSKTVPHLVEAIHAAVTRVA >A09p020760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11006256:11011211:1 gene:A09p020760.1_BraROA transcript:A09p020760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKSVEESSDCAPPQKLQREDDDSTQIINEELVGCVHDVSFPENYLPPAPSSQGDNKPPAKEFPFTLDSFQSEAIKCLDNGESVMVSAHTSAGKTVVASYAIAMSLRENQRVIYTSPIKALSNQKYRDFKEEFSDVGLMTGDVTIDPNASCLVMTTEILRSMQYKGSEVMREVAWIIFDEVHYMRDSERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPAGGSGLYLVVDEKAKFHEDSFQKSLNALVPANDADKKRENGKSHKGLMLGKLGEESDIFKLVKMIIQRQYDPVILFSFSKKECEALAMQVSNILPILKRGIGVHHSGLLPILKEVIEILFQEGLIKVCGSLELQFPCFHFVLETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDKRGICILMVDEKMEPAVAKSMLKGSADSLNSAFHLSYNMLLNQLRSEDGDPENLLRNSFFQFQADRAIPDLEKQIKALQEERDSMVIEEEESLKIYYNLILQYKSLKKDIREIVFSPKYCLPFLLPNRAVCLDCANDDGEPRSFSIEDQDTWGVIMKFNKVKSPSEDDDNRRPEDANYTVDVLTRCLVSRDGFGKKKMKPVPIKERGEPVVVSVPLSQIKSLSSAIMNIPKDYLQLEARENALKKVSELLSRHPDGIPLDPEVDMKIRSSSYKKTVRRLEAVENLFEKYKIAKSPLIAEKLKVLHMKEELTAKIKSLKKAVRSSTALAFKDELKARKRVLRRLGYITSDGVVELKGKVACEISSAEELTLTELMFSGVFKEAKVEELVSLLSCFVWRERLPDAAKPREELDLLFIQLQDTARRVAEVQLDCKVDIDVESFVHSFRPDIMEAVYAWAKGSKFYEIMEIARVFEGSLIRAIRRMEEVLQQLIVAAKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >A09p005910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3168757:3169605:-1 gene:A09p005910.1_BraROA transcript:A09p005910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIYWGLRRQREKMTSGRSMLAPSALTTLISTLCCHVGEDLHMDTINGVCPVCAVKVSSDMVAHITLQHSNMKARRGGAQSMLTILKREFPDGKLQSYIIAADPLLSSFISPMAESSLCGEETGSTAKKTNVEKKLVSEEDHREKLKQSEFVQGVFSSMILVDDL >A06g506460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18062250:18064342:-1 gene:A06g506460.1_BraROA transcript:A06g506460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGGTGSSPSPGDPGLPQSPVKSYSQVAAQSSRDPGGKFSNVVRYSPVADLQGEGELEAEDESSSSEEESSVESKVVLEKRKQMEKQKLGKNKKNQKSNPNVNVGNKKDQTK >A05p004430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1734721:1736342:-1 gene:A05p004430.1_BraROA transcript:A05p004430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP718 [Source:Projected from Arabidopsis thaliana (AT2G42850) UniProtKB/TrEMBL;Acc:A0A178VUF2] MALEPNFLLSWIFLCIAATISSTLFFFRKKQHKFRSKKLQQPKKKLPPGEMGLPWIGETMEFYKAQKNNRVFEDFVNPRIIKHGNLFKTKIMGSPTIVVNGAEANRLILSNEFSLVVSSWPSSSVQLMGMNCIMAKQGEKHRVLRGIVANSLSYNGLESLLPNLCDTVRSHLDTQWRGKEEISLYRSTKALTFTVVFECLYGIKVELGMLEIFERVLEGVFALPVEFPCSRFARAKKARVEIETLLVEKVREKRREMEQEEGGERPNTTLFSRLVQGLIKGEITEEEVVDNMVLLVFAAHDTTSYAMAMTFKMLAQHPTCLHTLLKEHVQIKANKGEGEYLTVEDVKKMKYSWQVVRETMRLSPPIFGSFRKAVVDINYGGFTIPKGWKVLWTTYGTHYNPEIFEDPMSFNPSRFDKAVQAYTYLPFGGGPRLCAGHQLAKVSILVFLHFVVTSYDWSLVYPDETISMDPLPLPSLGMPIKISPKVSL >A04g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3484797:3485324:1 gene:A04g501390.1_BraROA transcript:A04g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRLSGADELWSSSKDVSNSPSKPLPSIWASQDLGLDIRTEFEQQENQPFPFTGKANGPSSQSVPSGQTSLELSYMATCGFCNHTNPYSTMPVISASQHPDVKNQLMHSSYSPATAISVNMITDASARPSTTVRPQEKLENIRRRQEMQAMLD >A03p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11524258:11525542:-1 gene:A03p027520.1_BraROA transcript:A03p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTVNVLSNLSDIELHNFLVDPNFDQFINLIRGDDQTNKNPSLDFDLGGPFQNSPSFVDENHFIPSHVNDLFDELPDLDSNVAESFRSLDAESVKAGGDDDYNDCDDSSATTTHTDGSRKTRTDRSKTLISERKRRGRMKDKLYALRALVPNITKMDKASIVGDAVAYVQELQSQAKKLKADIAGLEASLNSTGGYQEPAPDAHKTRRGLNPPVSKKIIQMDVIQVEEKGFYVRLVCNKGVGVAPSLYKSLESLSSFEVQNSNLSSHSPDTYLLTYTLDGTCFEQSLNLPNLKLWITGSLLNQGFEFVKAFT >A03p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10769982:10773704:1 gene:A03p025570.1_BraROA transcript:A03p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFRSVAAFSRLRSRVGQQSSLGSSVRWIQMQSSTDVDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVIGGMRGMTGLLWETSLLDPEEGIRFRGLSIPECQKVLPVAQSGGEPLPEGLLWLLLTGKVPSKEQVEALSKDLANRAAVPDYVYNAIDALPSTAHPMTQFASGVMALQVQSEFQKAYENGIHKSKFWEPTYEDCLNLIARVPVVAAYVYRRMYKNGDSIPSDKSLDYGANFSHMLGFDDAKMKELMRLYITIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKDQLKEYVWKTLNSGKVVPGYGHGVLRKTDPRYVCQREFALKHLPDDPLFQLVSKLYEVVPPVLTELGKVKNPWPNVDAHSGVLLNHYGLTEARYYTVLFGVSRSLGICSQLIWDRALGLALERPKSVTMDWLEAYCEKAKASSP >A02p059350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:35438598:35438885:1 gene:A02p059350.1_BraROA transcript:A02p059350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elicitor peptide 3 [Source:Projected from Arabidopsis thaliana (AT5G64905) UniProtKB/Swiss-Prot;Acc:Q8LAX3] MENLRNGEKGHSHWIQFMLFDQSSITTLLLKCLGLESSSSSSSSSSVSSHCEEEDDIEMKEDEDDMTVETTERRLQKSKPKPKPKPSPGIGGKIN >A05p016340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7264338:7264556:1 gene:A05p016340.1_BraROA transcript:A05p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRQLVLVIILVVIFVAVLDVTQVEAMRPFPEAVDEISLLLFQALQRGPVSGSGRNGCTNIPRGSGRCRHG >SC179g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:82363:82922:1 gene:SC179g500030.1_BraROA transcript:SC179g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEMAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A09p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:160777:163827:1 gene:A09p000300.1_BraROA transcript:A09p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKSARVSAKTPSSGDELKDVIKGLIKLSMLVIFLGYVFIWILMPTLIYRTKWLPVMRTEFGFSTYFGLAGLTLFMLMFPMLLLACLGCLYLHLKKQKTNNHLIREKATGRGVLAAMRRPMLVKGPLGIVSATELIFLAMFVALLLWNFFIYLRNAFPMITPQSAAKLHQKIWQAKLEAMAIRIGLVGNACLAFLFIPVVRGSSLLPVLGLTSESSIKYHIWLGHLLMTIFTVHGLCFVVYWASMHEISQMVTWSKTEMSNIAGEIALLSGLVMWATSYPSIRRRFFEVFFYSHYLYIIFMFFYVLHVGIAFCFIVLPGFYMFMVDRFLRFLQSRDNVRLLSARVLSSNTAELTFSKAKELMYNPTSTLFVNVPSISKLQWHPFTITSSSNLEPETLSIVIKSEGKWSTKLYKMLSTWDHDTDRTLSVSVEGPYGPVSTDFLRHDALVMVSGGSGITPFISIIRDLIAMSQTTTTCETPKITLVCAFKKASDIAMLDLILPSSGLKLSSDLNIQIEAFITKDNEPSDEETQKIRTVWFKPSPSDRPISAILGPNSWLWLAAILSSSFIIFLIVVGVMTRYYIYPIDQNKSKYNAASRSILYLLVLCVSIMMTSSAAVLWNKKKYKVEGSKQVQNVDVPSPTSSPSLWTDREIESTPKESLVQCTNLHFGERPDLKKILLDTKGSSVGVMICGPKKMRQEVANICSSGLAENLHFQSISFS >A02g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16104283:16104568:1 gene:A02g505240.1_BraROA transcript:A02g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPVFQTGVAGVRHSTFESLCLGRSSQNIASDFFRFWDSLNFKKYSELMGITVLFLDEKIS >A08p003670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2058717:2060699:-1 gene:A08p003670.1_BraROA transcript:A08p003670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARILAGFMESPAVAAAGDSVLGTIKIAVMPIAKVFTMCFLGLLMASKYVNILPPSGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLQWWFIPVNVVLGTISGSLIGLLVATIVRPPYPYFKFTIIQIGVGNIGNVPLVLLAALCRDTSNPFGDSEKCSIDGTAYISFGQWVGAIILYTYVYQMLAPPPEGFDGEHDNLPLKNLPLDSSAPEQVPLLTQNHTKDVSSIQVHLPVQTAEPRARVVDSRKSKITQFFVYLYEKLKLKQIIQPAIIASILAMILGAIPFTKKLLFTNGAPLFFFTDSCMILGDAMIPCILLALGGNLINGPGSSRLGFKTTAAIIFGRLVLVPPVGLGIVTLADRLGFLPAGDKMFKFVLLLQHTMPTSVLSGAVANLRGCGRESAAVLFWVHIFAIFSMAGWMVLYINILF >A06p017340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7755037:7760588:-1 gene:A06p017340.1_BraROA transcript:A06p017340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g19720 [Source:Projected from Arabidopsis thaliana (AT1G19720) UniProtKB/Swiss-Prot;Acc:Q9FXH1] MEKLFIPSFPKTCLNYQIPAKVETSPESHPKSRKKNLSFVKKKQPIITPDEQLDFLCRNGSLLEAEKALDSMFQQGTKMKRSTYLNLLESCIDSGSVHLGRILHARFDQFPQPDVFVETKLLSMYAKCGCLVDARKVFDSMRERNLYTWSAMIGAYSREHRWREVSNLFRMMMEDGVLPDDFLFPKILQGCANCGDVETGKLIHSVVVKLGMTSCLRVSNSILAVYAKCGELSLATKFFRRMEERDVVAWNSVLLAYCHNGKHEEAVDLVEEMEKEGISPGLVTWNILIGGYNQLGKCDAAIDLMQKMENFGVTADVFTWTAMISGLIHNGKRYQALDTFRKMFLAGVVPNGVTIMSAVSACSCLKILNLGSEVHSIAVKMGFMDDVLVGNSLVDMYSKCGKLEEARKVFDSIKNKDVYTWNSMITGYCQAEYCGKAYELFTRMQEENVKPNIITWNTMISGYIQNGDEGEAMDLFQRMEKDGNVQRNTASWNLIIAGYIQNGKKDEALELFRKMQFSRFMPNSVTILSLLPACANLLGARMVREIHGCVLRRNLDAVHAVKNALIDTYAKSGDIGYARTVFKGMKTKDIITWNSLIGGYVLHGSYGPALDLFDQMKTEGIKPNRGTLSSIILAHGLMGNVEQGKKVFSSIANDYHIIPALEHCCAMVSLYGRSNRLEEALQFIQEMNVQSEPPIWESFLTACRIHGDIDSAIHAAEHLFSLEPENPVTENLVSQIYALGARLGRSLEGKKPRKEKMLKKPIGQSWIEARNLVHTFTTGDQSKLCTDVLYPWVEKLCRMDDRNDQYNGELLIEEEGREKTCGIHSEKFAMAFGLISSSRCAGIAIILQSTSPKDTAVKYCWRTQDACTTLRMVIVPLWLCEINLKLLLQSVQGKPASVGPWGGQGGHAWDDGMFTTVRQINIAHGSSIDSIQVEYDKNGSSFWSEKHGGNGGSKFEKVTLDYPHEYLTSVHGTYGSYDIWGHVCVRSLTLESNRKKYGPFGVESGTCFSLPKSESKVTGFHGKAGSYLDAIGVHLQQIHKEDNPSSRIVMHSHQNVHNGDKDFEYSVIQGSVGQNFDIFVALKKKDPTLPSHQPREHAGAEITKHKVVTDTEKVQPKAGGGAKTYGPWGGAGGIVFDDGIYTGIRQINLSRSVGIVSMKVCYDSRGQALWGSKHGGRGSFKHEKIVFDYPSEILTHVTGTYGPLIYMGPNVIKSLTFHTNKGKHGPFGEEQGPSFTHKTDDGKVVGFLGREGLFLDAIGVHVMDCKISPLKPSPHNAIVPHNNSGVAVIENSPWANKLVLAANGRGEEFERGVVKEPTPSGPGPWGGNGGKPWDDGVYSGIKQIFVTRGNDAISSLQVEYDRNGQSVWSVKHGGNNGVFTHRIKLEYPNEMLTCISGYYGPLNNSDKTNVVKSLSFYTSRGKYGPYGEETGTYFTSTTTQGKVLGLHGRSSAYLDAIGVHMQHWLGNNNKPQYNRASCFKVY >A06p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:82745:84796:1 gene:A06p000850.1_BraROA transcript:A06p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDRSAVFPLLIDIALSFDGAILGVTLALGAVRAAFKYASTSAALNKIKDAPEVSVSDLRSLIPASDDQSETSGEQERIVVVRGTVKAKVTGGEGSNKQNNNVLISQETGDKALIIHRSQTYVYSGWKTLFQLTNGHRFLLERSLPKQGADFMRMVPFVIVDNTQPSQSSFVVVNMDGARQPLPLTTVYNRLQPINSSPYAFLQALFFPEYPVGLIDVEKILPPGRDLTAVGICSFNNGVPEIKSCQDLPYFLSEMTKDKMILDLAETTSVIFWGGIIMGCLSAGILGFAAARAWNRWKQRHHEREQQQRPDEQRPEQPMVADETEDEDEIPDGALCVICVTRRRVPAFIPCGHVVCCRQCASTVEREVNPKCPVCLQSIRGSMRVYYS >A03p066970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28649816:28651309:1 gene:A03p066970.1_BraROA transcript:A03p066970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGDGYCGWATALHLSKKNYEVAIVDNLVRRLFDHQLGLESLTPIASIHDRISRWKALTGKTIDLYIGDICDFEFLADSFNSFEPDSVVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFREECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVKTDETEMHEELRNRLDYDAVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKAGEFRVFNQFTEQFSVNELASLVTKAGLKLGLDVTKMTVPNPRVEAEEHYYNAKHTKLMELGLEPHYLSESLLDSLLNFAVQFRDRVDKKQIMPSVSWKKIGVKTKSMNP >A07p009930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6192160:6194190:-1 gene:A07p009930.1_BraROA transcript:A07p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNAAAVVPPSSVHLLSLLRSSETIEEAKKLHCLSLKTGSFDHPPVSSRLLSLYTARPINDLIYARSVFDRIQSPSLPLWNMMIKCYVENHRSHEAICLFSHLLSEFSPDNFTLPCVLKGCSRLCAVEEGKQIHGVSVKLGLTLDKFVQSSLVSLYSKCGMLDCARKVFDKMGERDLVTWNSLIDGYARSGNVEIAMKLFDEIPDRDSYSWTALLHGFSKSGKVEAARDVFDQMPAKNLVSWNAMINGYMRSGDFESACDLFKTMPERNLITWNTVIAGYEVNGRFEEALSMFVRMLEEEDDLKPNNATYTSLISAVAASAILSTAKWVHSYMVKNGIGSDGVLGTLLIEMYSKCGSIESALTTFRSIRRKKLGHWNSVIVGLGMHGMADEALDLFKQMQECGIKPNAVTFVGLLNACSHVGYVDEARFYFDLMVKEYKVEHKIEHYGCLVDALCRTGNLQDAKTIIQKMPMRPNKVIWMSLLRGARNYVDIEMGEYAAHQLIDLAPESHVPLSNMYAAAGKWEKVSEVRETMKKKGIKKEAGWSLIERKGVIHRFFVGDKSHPQTEEIYAKLKEMREKLKAAGHIADTRQVLLRIEDEKEKEVELELHSERLAIAYALINNSNRPIRVLKNLTVCNDCHSVTKLLSRIYQRDIIVRDNSRFHHFRAGYCSCNDFW >A06p026040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14357670:14360212:1 gene:A06p026040.1_BraROA transcript:A06p026040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHGEKERGNVVIRAILLGLISIVCVNGTNINYKEALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKLANVDLAGGYYDAGDNVKYGLPMAFTITTLAWSTIYYDKELRASGELENARAAIRWGTDYFLKCASRKNRLYVQVGDPHLDHKCWARPENMQTARTVLQISDKDPGTEIAAETAAALAASSIVFRRIDHKYSRRLLNKAKLLFKLAKSHKGSYDGACPFYCSCSGYNDELLWAATWLYKATRKQVYLSYLKFEAISGYVAEFSWDLKYAGAQMLIVKMIFEGTKGLDLYKQQADSFICSNLPGSPYHQVFNTPGGMIHLRDGANTQYVTATAFLFSAYADILQKYNQKIFCGSKQFDSTHLMAFAKKQIDYILGHNPQGRSYMVGFGPNPPKQAHHRGASVPMSEANEPLSCPLSFVKWFNKNQPNANELTGAIVGGPDRQDNFQDFRWTSVYTEPCTYINSIAVGILAKLAATA >A03p041340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17286039:17286985:1 gene:A03p041340.1_BraROA transcript:A03p041340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRADDDYDFLYKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDEKVVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDVTRRVTFENVERWLKELRDHTDANIVCMLVGNKADLRHLRAVSTEDATAFAERESTFFMETSALEALNVEDAFTQVLSQIYRVASKKALDVGGDDHAALPKGQSINVGGKDDVSEVKKVGCCSS >A10p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9102795:9103584:1 gene:A10p004950.1_BraROA transcript:A10p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSNAGMMMENKRNVVCSLEESNIKRHKSDLSSKERKDKVGERISALQQIVSPYGKTDTASVLLDAMHYIEFLHEQVKVLSAPYLQTVSTATLVEMEQYSLSNRGLCLVPMESTVGVAQSNGADIWASVKAPPSPALNVKSQSPFR >A09g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28099597:28100050:-1 gene:A09g509560.1_BraROA transcript:A09g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKKGRERSTASSPCWETDHQFTFRKQRLPSTGNDRSVNEELYSKQCHLQAKNCICRN >A04p019670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11830725:11832633:1 gene:A04p019670.1_BraROA transcript:A04p019670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DJ-1 protein homolog E [Source:Projected from Arabidopsis thaliana (AT2G38860) UniProtKB/Swiss-Prot;Acc:Q9ZV19] MGSSSVQKSALLLCGDYMEAYETLVPLYILQSFGVSVHCVSPNRNSGDRCVMAAHDFTGLELYTELVVDQLTLTASFDDVTPDNYDAIIIPGGRFTEILSADERCVDLVARFAELKKLIFTSCHSQVMLMAAGALAGGVKCTAFESMKTLIEFSGGEWWQQPGIQSMFEITDCVKDGNVVSTVGWPTLGHGVRVLLESLGGQVSSLKENQVSVLFLIGDYVEDYGINVPFRALQALGCKVDAVTPNKKKGEMCATLVYDLEEARQLPAEKRGHNFLVTACWDDVCVDDYDCVVVPGGRSPELLVMNPKAVALVKKFDEKDKVFAAIGQGKLLLAATGVLKGKRCASGKGMKVMVKVAGGEAVVSKGCVTDGKLVTAASASDLPAFLSGLSSALGVSVMF >A04p024320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14734365:14736816:-1 gene:A04p024320.1_BraROA transcript:A04p024320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCQDQRIHLGDLKGHIVKKIGVERSKKYFYYLSRFLCQKLTKREFDKSCFRVLGRENLSLHNQLIRSILRNAAVSKSPPAVQDLARPVQSGGDGSLVPNHNNQNGPVLSNGKVEYMLQQPLCSNVGLENGDLSRFDDQRSGRYGAADEREGEFVGPSKDQVATGAVNVSMSSPLVAPLGIQFCSASVGGRTVPVSTLMSCLPETEMVRKRMESIAVAHGLEGVSMECANTLNAMLDVYLKKLIKSCTDLVGARSTKQQSQNSLQDHYSVSLLDFRTAMELNPQQLGENWPTLRERISVRSFEEQDLEPQNIDLEIRHAFLGRFILDWVHWPQRKESKRLPEEGPYVISDYLPPRSLHCVSVRQSHASYSLVLSTARRVATSIHKKSSIRFFKQWRSISEGYLDLM >A10p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21540890:21542345:1 gene:A10p038610.1_BraROA transcript:A10p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHTNPNPDEAGATMDPAWECEGKGACELRQRRFADTIMPHILNLYGSCAKAKDFDMYAPNASFEDPLTHAQGVKQIKSAFYSLSKVFGESKIVEYHIQESVIAPGKKEILIDNKQHYKLLGKNIQMISLIKLYVENDKIVRHEDWWDKKPLRNRDTVSFPLVGRVMEMGRRGLMLATHAMMGFGKDPSSH >A05p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24322599:24325105:1 gene:A05p039780.1_BraROA transcript:A05p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLWFFVIPSLIFCLLLVRMMVSKNNKRKKKRNTICKLPPGSMGWPYLGQTLQLYSQDPNVFFTSKQKRYGEIFKTRILGYPCVMLASPEAARFVLVTHAHMFKPTYPRSKEKLIGPSALFFHQGDYHVHIRKLVQSSLYPETIRKLLPDIEHIALSSLQSWTNMRIVNTYKEMKKFAFDVGILAIFGHLEGSYREILKHNYNIVDKGYNSFPMNLPGTSYHKSLMARKQLKTIVSEIISERREKSVLKTDFLGHLLDFKDDKGRVLTQEEIADNIIGVLFAAQDTTASCLTWILKYLHDDKKLLEAVKDEQRALVEENSREKKHLTWGQTRNMPLTHRVIVESLRMASIISFTFREAVVDVEYKGHLIPKGWKVMPLFRNIHHNPKYFSNPEVFDPSRFEVNPKPNTFMPFGSGVHACPGNELAKLQILIFLHHLVSKFRWEVVGGEKGIEYSPFPIPRNGLPATFLQHSL >A02p027590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13400948:13406930:1 gene:A02p027590.1_BraROA transcript:A02p027590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLSSHFLGTNTKKHSSMSISRTYSPVPCTRLFSRKFMSCSMSMNGCEGDFKTPLGTVETRTMAAVLSPTSATESLISAVSELKSQPPPFSSGVVRLEVPINQQIGAIDWLQAQNETHPRCFFSRRSDVGRPDLLLDLASEKDNNNEGSSGRDLVSVAGIGSAVFFRDLDPFSHDDWRSIRRFLSSTSPLIRAYGGMRFDPNGKISVEWKPFGAFYFAVPQVEFNEFGGSSMLAATLAWDDELSWTLENAVESLQETMLQVSSIVMKLRKESLGVSVLSKNHVPTKGAYYPAVEKALEMINQKSSSLNKVVLARNSRVITDTDIDPIAWLAQLQSEGHDAYQFCLQPPGAPAFIGNTPERLFQRKQLGVCSEALAATRPRGASTARDKEIEDDLRTSLKDDLEFSIVRDNIQEKFNSICDNVVVKPQKSVRKLARVQHLYSQLAGRLRREDDEFDILAALHPTPAVCGLPAEEARLLIKEIESFDRGMYAGPIGFFGGQESEFAVGIRSALVEKGLGALIYAGTGIVAGSNPTSEWNELDLKISQFLCPQRISDSVRTAKQHTKTSQSFFFFFHSLDLPPMAVNAPISSHPRTQFLSNPVLPRFRRSNTAVKSPAAFSVVSMAPQKKVNKYDAKWKKQWYGAGLFFEGSEEVNVDVFKKLEKRKVLSNVEKSGLLSKAEDLGVTLSSLEKLGVFSKAEELGLLSLLETLAGTSPAVLASAALPALTAAIVAVVLIPDDSTTLVVAQAVLGGAFALGAVVLLVGSVVLDGLQEAD >A08p042090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23671946:23673286:-1 gene:A08p042090.1_BraROA transcript:A08p042090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MASFTTSSSLLPKTIPPVSHLTRFPTLSSIRLSGKWNHPLLRSIYTAESRRRVAIVKAATVDSDYSSKRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTRDQMIETYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTIDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQQRNNSKYQSKRYERKRDGPPPEQQRKPRQEPAASDSS >A02g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5826413:5828618:1 gene:A02g501850.1_BraROA transcript:A02g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTELSTPKTTSSFLNSSSRLSLSSKLHLSNQFRHLLLLPPLHTTSNSKISCSVSQNNQAPVAVQDNGSVKTKKECYGVFCLTYDLKAEEETKSWKKMINIAVSGAAGMISNHLLFKLASGSVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVDIGTDPYEVFQDVEWALLIGAKPRGPGMERAALLDINGQIFAEQGKALNAVASPNVPCSGESLQHQNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGRPVKEVITDHKWLEEGFTESVQKRGGLLIQKWGRSSAASTAVSIVDAIKSLVTPTPEGDWFSTGVYTNGNPYGIAEDLVFSMPCRSTGDGDYELVKDVEFDDYLRNRIAKSEAELLAEKKCVAHLTGDGIAFCDLGPVDTMLPGEV >A01p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18795233:18796698:-1 gene:A01p027580.1_BraROA transcript:A01p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLGEFLAGKRADGPQEALQILDIVLRTQMGLSLNVGMASAAFIEPLHVIKFVAQLLRKDVLSKPLSDSGRTKIKKGLRGVKFEVTHRANVITKYRIANLTTQPTKKLMFPVDENATMKSVIEYFQEMYGFTIQHTHLLCLQVGNQKKASYLHMEACKIVEGQRNTKRLNEKQITALLKVTCQRPRDRENDNLKTVQHNAYDQDPYAKKFCINIIKKLASVEARILPAPCLKYHENGKEKDCLPQVGQWNMMNKKVINGMGEQMGLCQLLTQCSIKCCSLEFNPELLVYTEQNEEDDLV >A09p080120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58791081:58791974:1 gene:A09p080120.1_BraROA transcript:A09p080120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLPEEEFNDSFFDDLINQINFPLEDTTTNGEGEDWESKFQHLEPPPMDLFTTFPSEFTSSHGIKKEVAHVPVLKQSSSSSPPDVKVSKLFQSSSPVSVLESSDGSFSPQNLISQSLSFPVKGMRSKRKRPTAQRLRYLYPFEANKLESYYSSEQHAKKKRKTSHTEASSYDDGIVRRCTHCETTKTPQWREGPNGPKTLCNACGVRFRSGRLVPEYRPASSPTFIPSLHSNSHRKIIEMRRKEGDEFDNSMIHSKA >A04p015900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9822471:9823613:1 gene:A04p015900.1_BraROA transcript:A04p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRRKINDGLIPLFNHLAEEGAVVDLQDVFVRFTFDTTLVMVTGSADPRSLCVEMPEADEFAKALNDVGEGVMYRHVKPRFLWKLQRWVGFGQEKKLSKADAILTRMCARLIYSKREEIISREHAHTANDEAADLLTSHMKLDATKYDLLNPSDGKFLRDTMLSFILAGRDATASALTWFFWLLSENPEVVTKIRQEVNINLLKICGQERPSNDYSIECLNKLVYLRGALYESMRLYPPVPFERMTPVRPDVLPSGHKVDASMKILIFIYALGRMEAVWGEDALEFKPGRWVSETGGFREEPSYKFFAFFGGPRSCLGKLLAMTLMKTVVVEILQNYDFQLVKGQTIEPATGPILRMKHGLRVKLTKRCSSYETNENT >A05p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1770210:1771869:-1 gene:A05p004560.1_BraROA transcript:A05p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDLLKFQSSVLSSRSSTSRFSAKTSGAASSWCLRKRRSSSSIGRLRVATEDASSLSTGDVADDYYAVLGLLPDATPEEIKKAYYNCMKSCHPDLSGNDPETTNFCMFINDVYEILSDPVQRMVYDEIHGYAVTATNPFLDDSSPKDHVFVDEFACIGCKNCANVAPDIFQIEEDFGRARACNQRGNPDLLQQAVETCPVDCIYQTSAAQLSLLEDEMRRVERVNVALMLSGMGSGAVDVFRMARSRWEKRQAKVLNQARTRMMKRKSTDEEPSYWDNLWGKDNQYQKSEEEVQERAQRAAAAARRWREYSRRGVDKRPTFKLPDSSSSSRGDN >A03p061630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26762371:26763959:-1 gene:A03p061630.1_BraROA transcript:A03p061630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMLTENIMAVSFLPYCLILSSFSTISITQASSGMIGVNYGRIANNLPSPENVVNLLKSQGINRIKIYDTDKNVLTALAHSRIKVVVCLPNELLSRTASDQSFTDKWVRHNVRKHFPATEVEAIAVGNEVFVDPKNTTPYLVRAMKNIHASLVKYNLDKSIKISSPIALSALANSYPPSSGSFKPDLVEPVIKPMLDLLRKTSSYLMVNAYPFFAYSGNADKISLDYALFGDNAGTVDSGNGLRYNSLFDAQLDAVYAAMSAVGFNDVKVMVTETGWPSAGDGNEIGASEANAAAYNGGLVKRVLNGNGTPLRPKEPLNVFLFALFNENQKPGPTSERNYGLFYPNERKVYAVPFAATTSTPVNRNREQAPVAREGETWCVSNGDAAKEKLQAALDYACGEGGADCRPIQPGATCYHPKSLEAHASFAFNSYYQKNARRDGTCYFGGTAHVVTQHPRYGKCKFPTEH >A01p008710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4235687:4237312:1 gene:A01p008710.1_BraROA transcript:A01p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyamine oxidase, Polyamine catabolis [Source: Projected from Oryza sativa (Os01g0710200)] MAKKPRIVIIGAGMAGLTAANKLYTASNNSFDLSVVEGGSRIGGRINTSEFSSEKIEMGATWIHGIGGSPIYKIAEETGSLVSEEPWECMDSTVDKARTFAEGGFEIEPSVVEPVSVLFNALMELAQGKDIENDDGGDLGQIYEIATRFYSSVNGLNGSSVGSFLRSGFEAYWASVSKGGNGVEGCGTWSRRSLEEAIFTMFSNTQRTYTSADDLYALDYAAESEYQMFPGEEITIAKGYLSVIHHLASVLPQGVVELIRRVTKIEWESNEEDPVKLHFSDGSVVFADHVIVTVSLGVLKAGIESDGGLFSPPLPEFKSDAIKRLGYGVVNKLFVEVSQRRFPSLQLVFEKEDSEYRFVKIPWWMRRTATMAPIHSNSKVLLSWFAGKEALELEKLPDEEIIDGVLTTVSCLTGKEVKKDNGKAPKTLANGSLREDDDEEVVKITKVLTSKWGGDPLFRGSYSYVAVGSSGDDLDAMAEPLPQINKKSGQVNGHGQAKVRELQVMFAGEATHRTHYSTTHGAYYSGLREANRLLKHYKCDF >A02p020920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9850869:9855146:-1 gene:A02p020920.1_BraROA transcript:A02p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLKVWQRWSTTPAKGPDMVTAVTGRVSEIQYEDDPRRLLSELQKEQLFEYQHSMGLLLLEKKEWGSRYEELQLEFEDANECLRRERNAHLVAMADVEKREEGLKKALGVEKQCALDLEKALRELRSENAEIKFTADSKLTEADALVRSVEEKSLEVEAKLRAVDARLAEVSRKSSEVERKSKEVEARESSLQRERFAYIAEREADEATLSKQREDLREWERKLQEGEERVAKSQMIVKQREDRATESDKIVKQKGKELEEAQKKIDADNLALKKKEDDITSRIKALTLKEQVEVQQLIHEQQAKLEATQREFELEMEQKRKSIDDSLRSKVAEVEKRAAEWKHMEEKVAKREQALDRKLEKHKEKEKDFEARLKGIKGREKALKSEEKALETEKRKLAEDKENILNLIAEVEKIKAENEVQLSEIRKEKEELRVTEEERSGYLRLQTELKEQIEKCRSQQELLLKEVEDLKAQRECFEKEWEELDERKAEIESELKNITDEKAKLERNNHLEEERLKKEHQAADDNMKRELETLEVAKASFAATMEHERSVIYKKAESERSQLLHDIEMLKRKLEADMQSKLEERERELQAKEKLFEEEREKELSNINYLRDLARRELTDVQIDRQRIEIEKLETDASKKHLEEQQTEIRKDVDDLVALTKKLKEQREQFISERNRFLSSMESNRNCNHCGELLSELTLPDIDNLEMPNLSKLVENEAPQQEMRDISPTATSLGLTVPGGTVSWLRKCTSKILKLSPIKMGEASVALNFADQEPESTELANANSGPSTMLQVQCESLTREVEVANANSDGDQSNINSKAQEVDADSLSNPNGDDQLRMRGKTRVRRTRSVKAVVEDAKAIYGESIELSEPVDSTENVEDNAEGTGEPGRSDKGASKNGRKRGRMGSLRTCTTEQDGNESDGKSDSVTGGERQRGKRRQKVASEQQQQVVGQRYNLRRSTRVAGKTALGKKNEETTGGVQQDDGIYCTQTTTASVGVALSDNGVSTNVVEREAMADFEDTDAGSPKRIGESGAMSEEDVNKTPQRADSGNEYDGEEDESESEHPGKVSISKKLWTFLTT >A10g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17173226:17185023:-1 gene:A10g506220.1_BraROA transcript:A10g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSGSEVTKIGFIKGVIYLYTLCYKNRKKKTFHFIRSRIVSSPLGNLQTLTGTVVSDSGIVVSGSGIVVSGSGIIFSDSGIEVSGSGIIFSGSLIVFSGSLIIFSGSIIIFSGYLIISVTLSTLKIGNFLKLGKAFQKSLKFFFSLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEIENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDRKPDDEIVREKLTSESPASQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQGEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHYRPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKNVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREGTEKFKWDFLKGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMGDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNQSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEIEVKQGKSVKPSQDDHAKKGKPHVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLTHWMDLRGIYRVPFYINGKEIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPPACFVPVKFLEEVGYAYESVRKPHKKKKVIGGLCRRTCERFNTSKEDKLSCHYIGVEIQLMDNTITLFHCGLPKANIKRALNKIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A02g512580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34332604:34333156:-1 gene:A02g512580.1_BraROA transcript:A02g512580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLAQGRGRGEVGVVRFSRSVLSPGGGGSFSSAVAGSSPRVVEATLALTASVLVCLGGGRSRRRLIEARSWLIVLGRAKLLSRFHRKWKAFAVAMCGLCDFRDSLVNRRWVFLVVCRRGASSEP >SC410g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000252.1:215:1890:-1 gene:SC410g500010.1_BraROA transcript:SC410g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLFSTHRTSVGVHQRTQDVRGCLSAHTGRPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSMLTLPVDCSSDFGPCRLSVQYTQDVRGCPPAHTGRLWLSVAVRQHTQDVRRCPSAHTGRLWLSVSTHRPSVRRLCVLYVRKKKDVRGCPWSTQSDVGPLDSARCPSRDLSGDFGPTVAVCHVHTDVRGRSVRTPRKSVCCPSHTQDVRGCPVCVRVCRSAKQARPVAVNNVNISRHCWSLTQHTYPFRGCWGYCPCVSVSTHRTSVALRVCPCVSVSTHRMSVAVPQYTYQHVGPWTQHVDPSRGLFGTHRTSVAVRVCPCLSVSAHRTSAAVHQYTYQHVGPRTQHADPSRGLFGTSVVVRVCPSAHTGHLWLSISTHISTLVLGLSILSLPVDCSVDFGPRGLSVQYTQDVRGCPPAHTGRLCVSVCVRQHTQDVHGCPSVYISARWSFDSTHTGRPWLSVAVRVCLSAHT >A04p034800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20099377:20100250:-1 gene:A04p034800.1_BraROA transcript:A04p034800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 5-alpha-reductase DET2 [Source:Projected from Arabidopsis thaliana (AT2G38050) UniProtKB/Swiss-Prot;Acc:Q38944] MKEIADETFFRYCVLTLIFSGPPTAVALKFLQAPYGKHNRSGWGPTLSPPLAWFLMESPTLWLTLLLFPFGRHSHNPKALLLFSPYLLHYFHRTIIYPLRLLRSSSSAKTGFPVSIAAMAFTFNLLNAYIQARYVSHYKNDYEDVVWFWLRFVIGTVVFVTGMWINITSDRTLVRLKKENRGGYVIPRGGLFEFVSCPNYFGEVVEWLGWAVMTWSWAGVGFFLYTCSNLVPRARASHVWYGDKFKDEYPKTRKAVIPFVF >A06g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25232306:25233512:1 gene:A06g508910.1_BraROA transcript:A06g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVADNKWVKVGQKGAGPGPRSSHAITVVGNKVYCFGGELKPTIHIDNDLYVFDLDTQEWSIAPATGDAPFPCFGVSMVPIGTTIYVYGGRDDSRNTTACTLTTRWRTSGSCCLPSRKGFPVGATTLWPATTGKFTSLVALRPRGWVEYPAAGEACKGRGAPGLVVVDGKVWVLFGFDGNELGDIHCFDLVSGQWTAVETTGDVPPARSVFPAVSSGKYIVIYGGEEEPHELMHMGAGKLSGDIYRFDTETLVWEKVVDGTEEGKNPCPRGWCAFAVAVVNGEKGLLVHGGNSPTNERLDDMLLKCLEVKEVFST >A02g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15775654:15776270:1 gene:A02g504980.1_BraROA transcript:A02g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITEESTHPYKINFIFTTYVKTSEKVPNISCFNLNYFPDILSQLDVDDTYWMKLLPKKLCRKFNKVLDIQLQDLSAFNYNETIIECTLWEKHDEDVHFYVKNNKTGLIILLGSFMRTKKYTIVTNLNSKST >A08p025050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16218639:16228951:-1 gene:A08p025050.1_BraROA transcript:A08p025050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSRSRIDQFYVSKKRKHPSPNLKSGRNEKHVKVTGERSPGDKGTLDSYLKASSLEDDKNTTNSVLQARQEAFTKKLDLERLPKPASAGTSKGCLNQQSGSQVLDKEGVATVATDGLLCANQKDNSDLRDFATGFLSLYCSEVRSVVGPPPHQNANERKRPSSSSLLAQDMQVSHKMRCDSQNIPSLDELAHPLGSKPESISDKRDTSVSEPMQKIPSNQSAEISMGLRKCTKAPESSTHLTECHTPGSVVKSRPVGTPKSGSGSIMFSPGDSFWNEAILFADGLSMPTENSGSVEAKDGGQNSEKADNCSENLKKTLGLDESRVKDKDAIGYSKVGEKHGRDFNREVSPLPVKNLELLFQDKKTNGGTREQCASLDQNNITRGNITVSESVLVENKGRGTLDIASNAQANKHLIGRKYPEPEGKQVLVCQDNHSVRSVSMISNMRKPADSSESEESYTPSSSHHNKDGLSLSTWLPSEVCSVYNKKGISKLYPWQVECLQVDGVLEKRNLVYCASTSAGKSFVAEVLMLRRVITTGKMALLVLPYVSICAEKAEHLELLLEPLGKQVRSYFGNQGGGTLPKGTSVAVCTIEKANSLINRLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGSSESSSGESSGNSSGKTDPAHGLQIVGMSATMPNVGAVADWLQAALYQTEFRPVPLEEYIKVGNTIYNKKMEVVRTIPKAADMGGKDPDHIIELCNEVVQEGNSVLIFCSSRKGCESTARHISKLIKRVPIDVDGEHSEFMDIQSAIDALRRSPSGVDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPMIGRDFLDGTRYKQMAGRAGRTGIDTKGESVLICKPGELKRIMALLNESCPPLESCLSEDKNGMTHAILEVVAGGIVQTAKDIHRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHRKFLEWNEETKLYTTTPLGRGAFGSSLCPEESLIVLDDLLRARDGLVMASDLHLVYLITPINVGVEPNWELYYERFMELSPLEQSVGNRVGVVEPFLMRMAHGATVRTLKKPQDVNKKLRGEYNNRHGSTSSKMLSDEEMLRVCKRFFVALILSKLVQEASVSEVCEAYKVARGMVQALQENAGRFSSMVSVFCERLGWHDLEGLVAKLQNRVSFGVRAEIVELTSIPYIKGSRARALYKAGLRTCQAIAEASIPEIVKALFESSAWAEEGTGQRRIHLGLAKKIKNGARKIVLEKAEEARAAAFSAFKSLGLDVHDLSNPLPLPPARSPNGQVTIERDISGGSVGPNGPQHIPGRSSMEGHMERGNFDTDNHREKPRDVAGTALGVSSEVNLADPLPEIQPIGTTVGTDGPNAVSFLSSDDREIKNEDNAEQQLTRSAHIPLSNKDNAGEKGPLTAGNISGGFDSFLKLWESAEEFFFDIHYSKLQNLNSRISYEIHGIAICWNSSPVYYVNLNKDLPNMECEEKEKLFKDAVIDKKEVLGTQNMLDVIKARWNRISKIMGNEEKRKFTWNLKNQIQVLKSPAISIQRCARLNIAEVVRDHELVDGSWLVMLPLRISHTIDMGIVSWILWPDEERHSNPNIDKEVKKRLSPEAAEAANRSGRWRNQIRRVAHNGCCRRVAQTRALCSALWKILVSEELIEALTTTEMPLVNVLADMELWGIGVDIEGCLKARNLLRDKLRSLEKKAFELAGMTFSLHSPADISNVLFRQLKLPIPENHNKGKLHPSTDKHCLDLLRNEHPVVPVIKEHRTLAKLLNSTLGSICSLAKLRLSTQRYTLHGHWLQTSTATGRLSIEEPNLQSVEHEVEFILDKSGKEDRYKINARDFFVPTQENWLLLTADYSQIELRLMAHFSRDSSLVAQLSQPEGDVFTMIAAKWTGKTEDSVGSHDRDQTKRLIYGILYGMGANTLAEQLECSSDEAKEKIRSFKSSFPAVTSWLNETVSFCQEKGYIQTLKGRRRFLSKIKFGNAKEKSKAQRQAVNSVCQVHDELVLEVDPSYAKEAAMLLQSSMENAVSLLVPLHVKLKIGKTWGSLEPLQAD >A07p049840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26592992:26595176:1 gene:A07p049840.1_BraROA transcript:A07p049840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRGIICTLFFILSIGESSSRFRIMWLPNLGAGVDFSGTYFTWPILSASVFVTVAILISMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLVNSEAAFNCEVIRDCYEAFALYCFERYMIACLDGEDRTIEFMEQQTVITQTTPLLEGTCSYKVVEHPFPMNCFLKDWNLGPQFYHAVKIGIVQYMILKMICALLAMLLEAFGVYGEGKFQWNYGYPYLAVVLNFSQTWALYCLVQFYNVIKDKLAPIKPLAKFLTFKSIVFLTWWQGIIVAFLFSMGIFKGSLAKELKTRIQDYIICIEMGVAAVVHLYVFPAAPYKRGERCVRNVAVMSDYASIDTPPDPEEVKDSERTTRVRYGRHDDEREKRLNFPQSVRDVVVGSGEIIADDMRFTVSHVVEPVERGIAKINRTFHQISENVKRFEQKKRRTKDDSYVIPLNPWTKEFSEVHEDLHEGGSVSDSGLGSTKRRQQSRVSGLWSRMRR >A01g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2086264:2087001:1 gene:A01g500460.1_BraROA transcript:A01g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHVGGKVVDKVDLCRKKHWAWPLDVWPFAILYATWLATIVPNVDFIDAMIGFGDLLASHILVLLFSMWSVDFKCFIQFSKVNSIIQADAFKVTLAKFSGSKKVVPLHFRSQMTGSSSSEDME >A03p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10613975:10616980:1 gene:A03p025270.1_BraROA transcript:A03p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCITSTFKALCLSFLFVTAVASRPTNRPKVFNVQRYGAKADGKTDNAKAFTSIWNSACTRKSGNSKIYVPKRTFYLSGVEFVGPCVNQIEFVNDGTLLAPTNPRDIKQDIWIKFRYINNLIISGAGTLDGQGKESWPLNDCHKNPNCPKLAMTMGFAFVNNSRINGITSLNSKMGHFNFFSVHHFNITNVTITAPGDSPNTDGLKFGFCSNINISNTHIGTGDDCIAILSGTTNMDISNVTCGPGHGISVGSLGKNKEEKDVNGLTVRDIVFNGTSDGIRIKTWESSASKILVSNFVYENIQMINVGNPINIDQKYCPHPPCEKKGESHVQIQDLKLKNIYGTSTNKVAVNLQCSKSFPCKKIELIDINLEHKGVEGGPSTAIVAIRDIIPPPLRTAFNDVYIAYDLMDTDLHQIIRSNQGLSEEHCQFLYPILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVNSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVQQLRLLMELIGTPSEQEVEFLNENAKRYIRQLPPYPRQCGCGCGINVAQMSL >SC283g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000130.1:5898:9551:1 gene:SC283g500020.1_BraROA transcript:SC283g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPDMTHRRRDPWAVGYYTPTRSLADLITRRRENKRKVKAWKVILLHFGELPTTKELLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAS >A10p011260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11944030:11944424:1 gene:A10p011260.1_BraROA transcript:A10p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMIEDFPQSILEVFRSLLPKVTLENFSEDSWKNFRRLLKDSRKTLGRLCQNISHEVFGKSSEVLCPKW >A08g502830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5734983:5735453:1 gene:A08g502830.1_BraROA transcript:A08g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGLLEEVREVHDPKADYFVGIRRAIGVPELHEYLCYESLVDRGTQRKMLDAAVKKIKENTEILACRQLLKIQRLSKKWKLSMHRVDATEVFLKRTEEEADEAWENLVARQTKRIVDKFCDNQVMKNDDVEHCLTSIGAASYGGGSGSRAHNMV >A01p007350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3644860:3645980:-1 gene:A01p007350.1_BraROA transcript:A01p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLYRVETLLHGLHYYPRTNANRNRVFRSVKVFFSNPRKCQCFDLHDQLVPYKKAWTWQKRIVEEKKALIDRNQDCPDTVILLQHSPVYTMGTGSSEVYLNFDVKDAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHEMDLHWYLRTLEEVVIRVLSSAFSIKASRLDGLTGVWVGNQKVAAIGIRVSKWITYHGLALNVTTDLTPFSSIVPCGIRDRQVGSIKGLLGDGEHGEVGDLRLIDIAHESLLKEFSEVFQLQIEKQTVSDLDILKAESFKGLE >A05g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12726129:12726969:-1 gene:A05g504630.1_BraROA transcript:A05g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWLWFQELRIVLVKPRSREGSVSERLCNVWLDDARDKLVIVYETIKKLCIGSHSKRTAGQSSQAATDGTNLSGLPTDPATTNTGDVLPTDQANLTGTQPEGQQHQESDAEVESSNANPDGDQHEQRAEGTANKPAALSREDLLEAMKVIG >A03g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17106797:17107196:-1 gene:A03g504770.1_BraROA transcript:A03g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGNDNMVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKTVLKESFSHSREPFGMAQEGNFSGIYRKVQLKPLKLDGEGEE >A08p023440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15432390:15438014:-1 gene:A08p023440.1_BraROA transcript:A08p023440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQLIGLSHAKQKLQRSLSAKIASLLAMSGTNNVPKGHVAVYVGETYQRKRFVIPISYLNHPLFQGLLNLAEEEFGFDHPMGGLTIPCTEDYFTSLASVLSENTKMGLMRSVLPNAKQIFKSQSMRNKNGPPSSTTTSGLVPKGHVAVYVGERMEKTRFVVPISYLNHPLFREFLNCAEEEFGFHHPMGGLTIPCREEAFLHLITSHQLH >A06p001760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:797843:798346:1 gene:A06p001760.1_BraROA transcript:A06p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRI [Source:Projected from Arabidopsis thaliana (AT1G53130) UniProtKB/TrEMBL;Acc:A0A178W5X9] MVIKIPNTIIKTTSLLSLILYFLITTTSNPHSVLGDEVADEEDPEFYILDEAPTVLSNVTVSSKTRLLVSKYKKIKKGMRCHVESYNICNGVKANNGTSLLHCCKMHCRNILGDMNNCGRCGKKCGFGQRCCGGVCTYVNFNPNHCGKCTRKCKSGVECEYGYCGYA >A09p051020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44634606:44637838:1 gene:A09p051020.1_BraROA transcript:A09p051020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSDMFGDQTRDALLSRKGCSDFGFNDSGIISDDRRSKWRCFRFFSDGIVASWKALYDFAASLYEMGRSDRRKVYFAVKMGVALALCSFVIYLKEPLHDASKYAVWAILTVVVVFEYSIGATLVKGFNRAIGTLSAGGLALGIARLSVLAGRFEEEIIIVSIFLAGLCASYLKLYPAMKSYEYAFRVFLLTFCIVLVSGNNSRDFFSTAYFRFLLICVGACICLGVNIFILPIWSGEDLHKLVVKNFKSVANSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSVVQSTSQEDSLLDFAVWEPPHGPYRTFHHPWANYVKLSGAVRHCAFMVMAMHGCILSEIQAAPEKRQAFRHELQRVGNEGAKVLRLFAEKVEKMEKLSLGNILKDVQRAAEELQMKIDKNSFLLVNSESWAAMKEKADAEEAQENYHESKDDETKVFQTLSQIWDTNNHHQNPHNGNDSHLWISTESMMLRNREQWPSVSFIGGNMINEIESKVYESASSLSLATFASLLIEFVARLQNVVNAFEELSTKAGFKEPVSETQKKIDKAGFWTRLSSCFSSRA >A09p004460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2542769:2544649:-1 gene:A09p004460.1_BraROA transcript:A09p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHTRQEIEAYSLPPFEANSVGKLRYIPVNNSRKRYCMLEPSSSLPDSTVLVSNNNNNSTHEDTSASCVTDDFNDKIKELETAMMGPDSLDLALYYNDSFVSTPCQVTNSWRSTLEAVSRRDLRADLVSCAKAMSENDLMMANSMMEKLRLMVSVSGEPIQRLGAYLLEGLVAQLASSGSSIYKSLNRCPEPASNELLSYMHILYEVCPYFKFGYMSANGAIAEAMKNENRVHIIDFQIGQGSQWVTLIQAFAERPGGPPWIRITGIDDMTSAYARGGGLSIVGNRLAKLAKKFNVPFEFNSVSVSVAEVKHNNLGVRTGEALAVNFAFVLHHMPDESVSTENHRDRLLRMVKGLSPKVVTLVEQESNTNTAAFFPRFMETMDYYDAMFESIDVTLPRNHKQRINVEQHCLARDVVNIIACEGADRVERHELLGKWRSRFGMAGFTSYPLSLLVNSTIKRLLSNYSDKYRLEERDGALYLGWMKRDLVASCAWK >A07p023470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13619355:13622112:1 gene:A07p023470.1_BraROA transcript:A07p023470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAISLVLSCFVSILLLTERVSSESPEEKQALLAFLQQTPHENRLQWNASDSACNWVGVECNSDGSFIYSLRLPGTGLVGQIPSGTIGKLTQLRVLSLRSNRLSGQIPPDFKSLTHLRSLYLQHNELSGEFPASITQLTGLVRLDISSNNLTGSIPFAVNNLTLLTGLFLGNNRFSGNLPSITVGLTDFNVSVNNLNGSIPSSLSKFPAASFAGNVNLCGGPLRPCKSFFISPSPSPDDAPSPSRLSGKKSKLSTAAIIAISVASALIGLLLLALVFFLCLRKRRGGGSRTKQTKPAETTTRNVPPEGIPPAGRASSSKDVTTGTSSGMGERNRLVFTEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAASKKEFESQMEVVGKTKHPNVVPLRAYYYSKDEKLLVFDFMPSGSLSALLHGSRGSGRTPLDWDNRMRIAITAARGLAHLHVSAKLVHGNIKASNILLQPNQDTCVSDYGLNQLFSNSTPPNRLAGYHAPEVLETRKVTFKSDVYSFGVLLLELLTGKSPNQASLGEEGIDLPRWVLSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPVMQEVLRMIEDVNRSETTDDGLRQSSDDPSKGSEGQTPPGESRTPPRSVTP >A06p043820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23591218:23593205:-1 gene:A06p043820.1_BraROA transcript:A06p043820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR2 [Source:Projected from Arabidopsis thaliana (AT5G25320) UniProtKB/Swiss-Prot;Acc:F4JWR0] MQKVCWPYFDPDFDNLGERIYGPPCRVYIDNDSIQDCTVVKVNSENKQGLLLEVVQILTDMNLIITKSYISSDGGWFMDVFHVKDEHGSKLTDKTVINHIKHAIGTSRRESDSVKASEANDNANNNPLEPPLIDHGEHTAIEMTGTDRPGLFSEIFAAFADLHCNVLEAHAWSHNARLACIAYVSDDSTHAPIDDPSRLASIEDHLSTVIRATADPASNSTHVGHKENETDRFLAGQGKGCMNSNVERRLHQLMLSVRDFDEPFCGGGGASSLSLFSSKVEYCERKTTSVSIGSCEDRGYSIVTVKSKDRRRLMFDTICTLIDMQYVIFHAALRSDGADAFQEYFIRHVDGRALNTEGEKERVIKCLEAAIERRVCEGVKLELCAENRVGLLSDISRVLRENGLTVVRADVETQGQKSLNAFYVRDISGNKIDMEFVESVKKEMRPIHLEVKNEDRPEDRREQLALAAPQQQPQVHRFSLGEILRSQIERLSHNFVPTK >A04p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9707433:9708741:-1 gene:A04p015670.1_BraROA transcript:A04p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKMGSSDDSFCHLHLTNSLAFSGRFCHVLRQPNLPHPRETFPPLRCLTETFVSISVEQFLAQAMRSLKLEETNVLLRTRNIDTQFHNLSEKIPKIFQRRRSKINEKMKALQKLILNSNNCRHIKSHCFDEAIKYLTLLQLQVQTLAVMNGLGLNPQRLPPVLPPTQTRINGTLEQDLNFGTLLGASHSLVNRHSALKTTFGREDDSKSRSPLSTVYHKELVGFGLHQLGCSA >A10p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4039331:4040736:-1 gene:A10p014710.1_BraROA transcript:A10p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEENLMETETQKAANVNEGKERQANKKKLGKPCGAVMGGTLKKRLVQSVVSPRKKHTAKQGSKMGGEGERSSIPFEFRFDFAFNLCYEFPLRTMPSRGLWYLKWGQVMRRVFFWNRHFAIEVIMESVEEIPFLQTDLEKFCVLIASVTSCGCNGLLVERMISNPILFGTGSLACQYVGFHWCFFIGQGLGVYASGVLCLGMWSELYRRLHTRWVVTERSNRGSDAFTNNVIRRWVFPLRYKFIRGQEDRTSVPVVTGVCWDFRLDNINSIGFVLPQVKFMDVKLVCVMDLYRGDGLMQLVSEGDGLTELNPAHIQWFLERVYHNMQRFRILIKIQD >A06p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15536182:15538366:1 gene:A06p024360.1_BraROA transcript:A06p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFRIIARNSLRRRDFSLRSPPSRSFCNLPQESNRPSYGIAFDIDGVILLGSSPVGGSPAALRRLYDDSGALKIPFLFLTNGGGLPESKRASEMTHLLGVPVSPFQMVQAHSPFRKLANRFGNELVVAAGKGEPAAVMSNYGFKNVVSLDEYASYFDNIDPLAPYKKWMTGQQVHKETTRSRANVVSERVQAAFIVSDPVDWSRDIQVLCDILRTGGLPGKESGPQPLLYFANDDLDYQTKFPTERLGMGAFRIALESVFNRIHDNPLEYTSFGKPNPFVFKNAEDVLKEIPTSHSNQGSHHFKTLYMVGDNPKIDIRGARQAGSPWFSILTRTGVFKGKDNHPEFPADLVVDTVEEAVDFILTRESAKGSLI >A07p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13232264:13235350:-1 gene:A07p022720.1_BraROA transcript:A07p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTRVNWEALDALIIDFVTSENLVVEEDACANSSPSPSSSPCISSSSYHARLIIRRIRNSIESGDIQNAIDTLRSHAPFVLDDHRILFRLQKQKFIELLRKGTHEDRVAAIHCLRTCVAPSALDAYPEAYEEFKHLLLALIYDKDDQTSPVANEWAEKRRYEMAGLMSSVLRASLQAYDPLFSMTLRYLISIHKGFCFHQGISSAVSDLTHRLLLEERDPPATPLESMYEAPPFDEVDIQALAHAVELTRQGAVDSMKFAKGDLFQAFQNELCRMQLDVSVLDELVKEYCIYRGIVDSEMQMITGPVKRNQSEVTHRLSRDCSAEIDLNTSQHSDVEDYSMLDGALVNDSEMSSKEGGEVGSEPTNVSEDCSNSWSNKCENTRALVRIRSHMSCESNKRKRWRGKIDETDRLHDPSFSKTETGINPLENKYEIALALKELVSRGMVAEAGNEISTMDPDFFTQNPVLHFHLKQVEFLKLVSAGDHNGALKVACSHLGPLAANDQSLLKTLKETLVVLLQPDGNTTGKDLPLNDLANTLQVSVGNRLGIEEPQLMKIIRATLHSHTEWFKLQMCKDRFSNLLKIDSLKEVNTDLIGAIKSRSNKENNANLSSQLTTTSSSTMTSEDGGSSSLMMTTQTSSREALWEESAILKVMEFLALSRSDAIQLLSQYNGNAEAVIQQLFG >A02p051810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31680252:31681125:-1 gene:A02p051810.1_BraROA transcript:A02p051810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMSEAEKRANGEIYRNIPRRDLLQSFMSKKQKLSVQEGEVEIELDLGLSLNGRFGVDPLANKRLLVRSPSIPDFVVNDVRSELSRTFSLPVETEELMKRKELQSLRRLEAKRKRSEKQRNARDQKHKADKIVEEGSSGSGSSGLSELDNAPPPPVQATTNKSKETSPSSAQSLPAARNIIEDMPCVSTTGEGPNGKKIDGFLYRYMKGQEVRIVCVCHGSFLTPAEFVKHAGGRDVTHPLKHIVVNPSPFL >A03g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1639586:1641308:1 gene:A03g500560.1_BraROA transcript:A03g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLPHLHSGWSVDQAILAEEERLVMDEVFCSLPCTNINSLLTLPHLPLPILLPHLPLPHIDMDMDYSYNEASDNESSTCESAKGNRLLYSLPPRPGFGTNGRIIPLVSNHFQVTLANLPEYFYRYRVVNFVHNGGRSPIDQKGIGIELIDLVHRVYDSDFVGIEFIYDGDHMVFTLGSLPDRHNDFPAVLGMNSSTMTNPLKSVEVSFNAARNLEIPLQSLVNAMHGQESPNLLEAIRVLDIILHQHAARQGSLRVRRSFFHNESREPIGPNILGCRGFHSSFRTTQGGMSLNMDVKTTTVIKPGPLIDFLIADQGARDAFDVDWSKMID >A09p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7419852:7422338:-1 gene:A09p014450.1_BraROA transcript:A09p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLIRDLLITKQPLFLQLARQRRVGTRLGFMPANGFQRRQFSVFSDLTKKIRGEAESNPEFQRTVKEIKEKAQELNGVKEDLKVRTKEKTEQLYKQVDGVWTEAESVAKMVSSSVKDKFSAATEEVKESFKLGKEESAGTGTSTEEDHQQQQQTGTTEGVQNTLFEKFKSSMSSPLDLARKGLDIVKDELRGGSSRKKHLEYTPPPPFTGVRSTRTDLVVTPTKQSKLQQKWESLREKMQAHPAFKRLSGMSEPVVNKSQEIAEDVVERWETSDNPIVHKIQDLNEAVFNETDSGSTYKEIRRRDPSFSLPDFAAEVHEAIRPVLSAYSKGDAEALNKYCSTEVIERCTAERAALKSHGLFFDHKILHISEVEVEETKMMGTTPIIIVRFQTQEIFCVRDKNGKIKEGGQDTIHSVYYKWAMQQVEAGEESMYPIWRLRDVHKLGAAQALI >A04p040970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23112543:23115438:1 gene:A04p040970.1_BraROA transcript:A04p040970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDAKDILGLPKTPLPLSHEKKARPQKESHRKPDGISREVYALTGGVAPLMPSIDVTHLKRPPPPDEKVAWQWLPIKSSARKDDLQLYHWVRVVNGVPPTADYSFAKYNKSVDISKYTDDEYENHLTDPVWTKEETDQLFELCERFDLRFTLIADRFPLSRTLEELKDRYYTVTRALLRARAQSPADLANHPLMKEPYDMTRDRERKRALSMVLSQSRHQEKKDAEILAEAKRITEMRLAARRATDPDVLGNENIGLDKADGGPGCSVSPSSNSQLPATAVAPSTLTMADYASTLASLRMLHVYLRTYGLEQMVQAASSAVGLRTIKRVEQTLQDLGVNLKPKVPTKTVCDEHLELRKEILTLLNLQKQLQYKESEGSSQREGSYAAMPDTPKDRVFASEPFSFGGISAEKPIKKEPKRKGPGRQADTPSPAHKRPRKLKASDL >A03p038140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15924259:15925706:1 gene:A03p038140.1_BraROA transcript:A03p038140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGFGFSLPVTAVILVIGFIYFSTVFTFIDRWLSLASSPGIANAAAFTALALMCVYNYSIAVFRDPGRVPPNYMPDVEDPKSPVHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHTNYKVFFVFVVYAMTACVYSLVLLVGSLTVEPQDEDQEMGSYLRAIYVISGFVLVPLSIALGVLLGWHIYLSLQNKTTIEYHEGVRAMWLAEKGGQVYKHPYDIGAYENLTLILGPNILSWLCPTSKHIGSGLRFRTAFDSAPISSGTKP >A02p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:838247:844523:1 gene:A02p002010.1_BraROA transcript:A02p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRKFLQKASGYGGQKPPPSPAPPPRGCLIKEELDPRIVSHYGIPSTASILAFDSIQSLLAVGTLDGRIKVIGGDNIEAVLASPKQLPFKNLEFIENQGFLVSISNENDIQVWDLDLRQTMSSLHWESNITAFSILPGTGYMYVGDEYGTVSVVKYNADEGKLVHLPYYVPTDALAEAAGLSSPIEYPVVGLLSQPCSRGTRLLIAFSNGLLFIWDASEDRVVLVRGNKDLPMEGKTVDVSLEASHDELSDLKLDGKEISSLCWASADGSVLAVGYVDGDILFWDFSDGKNVKVSNNAVKLQLSSAEKRLPVIVMHWCLDVSRKNCGGKLFIYGGDIVGSDEVLTMLALDWSSGMGGLKCVGRVDLTLSGSFADMVLSPIASSRQSGVFLFLLTNPGQLQAYDDTSLASLMSQKENNISVSPLPYPMVVPTMDPRITVAMFAALNVNDKPSLALSEVVLAAKSRTPRTPSGERAQWPLTGGVPGHIDDYKLERLYIAGYQDGSVRIWDITYPCLSLIYDLKPKANGIEITGVDASVTAVTFCSKTSCLAVGNECGMVRLFKLVGHKSGGTLEVVTNIDKQGEFLVAHPLHQEDGPQWLAAYSFLSSPVCTLRFVQSTSRLVVGFKCGRVAMLDISAPSVMFVTNSLSDTGSSIKSLCLKSPSAPTGSISDPTDRNSTTSEVPDDFMLCAMTNDGQATLFDGNTGKILTSCLRPLKNPIAICMHIIEDCYENTEIPSEEPAGKEKLENKSHMISESESHSPGGEQTAVTETKLVDPRFANSLFLMCSEDALRLYSLKSLSQGSFESIMEVSLSRPCCWMGILKKDERECAVLLLCRTGHIEIRSFPDLEVVGESSLPSLLRWNFKPNMEKTVCSDDLGHLVLVNGCEVAILSFLAHANGFRIPESLPLLHDKVLAAAADATFSHFPVHKKDQDGTPKFLSGIIKGFRSSSEQKVDHQIQDFSHLGNIFSNPPYLKPSDTDHDDEKIVELNIDDIEIDEPLSILPLTEKDKKENKDKRTDKERLFDGASSDAQPKTRTVDEIKAKYRNAGETSAIASQAKDKLLERGEKLERISQRTAELQDGAENFASMAHELAKQMEKRKWWNI >A05p044280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26594758:26596461:1 gene:A05p044280.1_BraROA transcript:A05p044280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRNMLTSLDMEQHSQAFGIPPPHISIPSFEPYDNNSMLYGLQQCHHHQRPAMSTPPHIHLPYAPFHAPPFVPGGTSTEHERGAHVMSHGYKRKSTQVMPGNFQYQTTAEAPFPFPHYGPQPVDERSVRNRAGAATMDPPLSHVHNNFIQGSYLAHPFPPPGSVWYDQHCNGNTSDGSSSSLWPQPPSVPYMHGHGVTGSIDSGNVCFPRYHETSSSRNQTPFVYPRHSHFSHHPAPPPTLLPHLASVSYTVPMTIHDASYSHVGPVQSTGFSINPQRPLDDFVPAATLRNHGLPRFRAFPTDEVAVFREGGFYNAVDYVDHHQDMRLDIEDMSYEVRTCEEFIFVFLVLLTYKPAVAQELLALSDHIGTVKTGLSEEDVEALLKRRTSLSTRINLDDATSTDLETDSCTICQENYKNQDKIATLDCRHEYHAECLKKWLVIKNICPVCKSEALGHGKEEGTIKSL >A05p032920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17696935:17697632:-1 gene:A05p032920.1_BraROA transcript:A05p032920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEKTRELVLVVSLQEKSCDHASHCSAADVPEIDSEAVPMGPLRQLRSCFFDDGPRSEIQKGDLADIWRKGGLPGRYPSLITEVSSYFGFCPSQLTPLTWRTLMAVPVLGEFHGFSVGVHEILYSYYFAHVVNKAGFYHL >A07p013010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7739185:7746343:1 gene:A07p013010.1_BraROA transcript:A07p013010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTKKSGKSTTAPVAEELSDENNSDDMCDPPSEGLKRKCPSTGGGVSSRTRARKAVSNGNEPVREESNPVSGTTVVSLSLDTESEGMSAVSSKVINSVLVPTVNEETLLARIIDTEPEYHCEGSTSDRWNYWLNVKHKKIWWKELYESDVAARKFTKTKDKEKVTIVEGSSSNSGLDSMLKGVEERIVKAMEEGFSGINLTVETKLEAMNLRMGKLEKNQDHGKDREMAEAEKDKEKAETGKKISEKGEEDEENSGNNEEDEENSEKGEEEEDQEPEKDKENNDSVEKGEEYVETIDDESEAEKEVEKEAEEEGEKEGEEETEKEVQEEKEGEEEGEKEAEKEVQEEKEAEKEESKGTPTSTGVIVITPRGRTKAAAARKAISISPEIVVVTGEKNNEQEAMVIEQEAIQTEIAELAEKEAEVEATHTVQEAIQTEIVDKEAECVDEEEEKAEKSDKNPDVDQDVEEEEEKAEERENNLVESPSEKHAELAEKSVESDVDQGVEEEDEKAEKIKDNSVESPAKKQTELAEKSVEVEIKTMCKPRVKVIAVQYGIPRAERLAKMRAEAEKRKLKLMVHLRRKPCTPLPEKRKGEPSRWVQSPFAEEKTDELEVPKKKLKIKT >A02p036740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21129910:21131288:1 gene:A02p036740.1_BraROA transcript:A02p036740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFAFTGDDDSSKQLVLLASVCSGMLMCKIVYDLTGFISPLLFSAYGKLDGKVRMEWNNRGFSTFHAVFVSVASIYLLVISDQFDESVHGDLVINSTTRLSESVMGISLGYFVADLMMIFWHFPTLGGVEYVFHHCLSMFSIILSVTSGQAQFYIFMVLLSEATTPFVNLRWYLDASGQKGSKAYTLNGIALFLGWLVARILLFIYFFVHMYSHFHQVKQVFPLGFYSLLAVGPVLSTMNLLWFWKITKGLIKTISKATGKKKQ >A04g501680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4054377:4055815:-1 gene:A04g501680.1_BraROA transcript:A04g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFPQRIVLGQENITTSNISHQFPNQLRIGSSMTIGTRTNQARSLRNYRTCTLSGCYVASQARSLRSDRVSVPLVATYRPSVHSVRSLHSDRALPKCRYDTSPCILVYPSMLSPEDQAVINALSQKTAQGDLRHDSMPNLRFFNQLPVSRVTVYTWFARKDKCQGRRGKAETNLGASRQYRTQGLQPPENISSDLFHYAHDHPGHTGFLCHLAQVSK >A03p027680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11597779:11599594:-1 gene:A03p027680.1_BraROA transcript:A03p027680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISSDPLMVGRVIGDVVDNCLQAVKMTVTYNSDKQVYNGHELFPSVVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDVTFGKEIVGYEMPRPNIGIHRFVYLLFKQNCRGSVISVPSYRDQFNTRMFAHENDLGLPVAAVFFNCQRETAARRR >A08g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21327742:21329316:-1 gene:A08g509920.1_BraROA transcript:A08g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYSRAEKGKGQAPPELPAKRPPVRIPLNDNEDLIEANRLTIIGRLTNPQMQKPIRAPLIMKLEIELPTSEITEVELEYIKIEKHCFSCFSLLHEEGTCPYRPYNALPPKERALGITQRIALQRIEAEKKRHDDRRGYRRPDEARSFSRYPEDSYAQAGRVRGDERSSHFRRDDHGRDQSILSRTARPISEYGRSKASTPQYRVVERNRPSSASSTPQAKGADLRTTLPPHTTKTVPPIAEVEITPTRTIKDRLGDSSKTKANSNSGSKDRRSALERLSASEPAKEISGRRAPSFESGRLQIGECRGEEEVFMEEEQAGEPITGAERVPAPLRLGTSGAETRSRRGVIPVATQSKVASKRRVISSTRKRVLRSPRLGITQKKSTADHPSTTTRRKLNVDKDNELPCNK >SC176g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:403:1196:-1 gene:SC176g500010.1_BraROA transcript:SC176g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRTSVCVRQHTRDVRGRPSAHTGRPAVNQYTYQHAPWTVRVYFGSRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGHPWLSVCVRVSVSTRRTSVSTHRTSVSTQRTSVSTRRTSVAVRVCPCVRQYTQDVPQYTQDVRQNTQDVRGRPSVHTGRP >A04p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8553371:8554145:-1 gene:A04p008060.1_BraROA transcript:A04p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPCSHHLTISCVTGAFPDDGAKEASSSLSTSSSCLWNQFLGKLFVFSSIFTFLCCCKVFCGEPIQQPVPSASHHSTSIRPRVREYQRGAGKTTMCAKYAYYHQKKVYKPARYVSIVLGLLQSIGLNRMLPRLGFLIIEGMKVSYLSISFLLTCF >A09g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21605842:21606568:-1 gene:A09g507480.1_BraROA transcript:A09g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHECPRGMNGQRVHVPNGVFRDRLGPILMDQPMACLVKTRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFWDDPDLFLCSVYLFWTIYLILSQGVELRIVLVKPRSREGSVSERLCNVWLDDTRDELVIVYETVKKLCTKSHVSK >A07g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5432366:5435382:1 gene:A07g502710.1_BraROA transcript:A07g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSAHPARSLRSDRARAKARPLRSDRAQAKARSLRSDQTLVPLGRYVVESSQSSVALARSLRSDRSVVRVNALRSGRALARARSLHTYSHKCVTDMICQYFLAAARRKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEKNIKITMEDFLHAIHEVQPAFGASTDDLERCRYARTLLLWSMHLSLFNCIATIDNVVWDSRCNEETFHFLRKSFRCRIFVRAEYFRLLLKPVTVSRTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRKPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHSALTTRRDYGAGERQADWAREQRTQHGLQTRQEVNVFPENEGYKELSQIVEQAKKRAEIARLREIHTFKGHVESVVKLKGMDIDTSGHHYTL >A02p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5025895:5027795:-1 gene:A02p011570.1_BraROA transcript:A02p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPCSSSSLLCHRPALSISRSKFRLPRRVLVSPALTHVSPLTASPSKSTKHKWKIQCFRNEDSAPENPEPEEVVKKPDQDVQQPSTDQKVWNTTLQKAADAVLKGIGTRWKVPWTAETIVQVMLLWVAAFWFIGSWMIPFMAHISGFHKDSLTFRGQALFSLITDVTEGLAGIAILHRCLSMFRPLASDWFRFTLKGNWQLDVIIGCFMFPFVNRLSQLNLNLLPLPPTSSPVSLSSVEQSIMARDPVAMALYAVVVSVCAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVQRMLPLVFLGVVLGLIFARSRNLLPSMLLHSLWNGFVFMELMR >A03p054870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23637030:23639112:1 gene:A03p054870.1_BraROA transcript:A03p054870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSFSLCFLLFLLLASVATGSRPLERTPVVVKVRELSTSIECTSPTVADGQASGGSGSQVDLDGPDFAPMQGWRVPSHRVRHRRVAEARDSLIAHPLPNLAQGPDVFSWVIPGSTSPGFSSGLTWEHLRQKFPKLSWTRSVWFKGCIPKHAFTFWVAHLDRLPVRQRLVTWGIDVPDTCVLCNRFSESREHLFLECEYSKDIWRTGRDSRLCFPSGLDMTKSL >A01p021220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10330595:10330939:-1 gene:A01p021220.1_BraROA transcript:A01p021220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDPKRDFPNDPEYWNWLAWNEMSGGISKETVIESENLQMQNGIQVNLAFTYVYAYNQMTKDGRNAGYCVAN >A06g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27969004:27969768:1 gene:A06g509630.1_BraROA transcript:A06g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDFVSDLKPFKSMWKIRVKVIRLWKQYSCASGETMEMVLADSRVSGFKHMATIDGFSRILFEPDDVPEIQSFRMR >A01p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13289938:13296034:1 gene:A01p031310.1_BraROA transcript:A01p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVPRPRGRPRKRQKLEVDNRTPNAKLNNRGKKQVLEVEPAVPISLLGRYVLKAFGKDGAFLGKIVSYETGLYRVEYEDGDFEDMESGDLRPFLIEDCSSLEDELCLRRSKLDKLIVKKEVKKNKNHPENKGNGVKASTSEAECEDGDSESSDCEDERGSVFENDDAPVLLPVDLPPSSGTVGIPEDAVVHLFSVYGFLRSFSFQLYICPFELDDFVGALKFSGPNSLLDSVHVALMRALKGHLERLSADESDLASKCLKCIDWSLLDVLTWPVYLVQYLTAMAHASGPQWNWFYKFVLEMEYYSLPVGMKLKILQILCDDIFDVVDLRSEIDAREESEIGFDPDRIATNLPESRPRRVHPRFSKTSACMEKGAIDMVPIKHGISSANASKNLGSNEVNSDVDENSDECRICGMDGTLLCCDGCPLAYHSRCIGVVKMYIPDGPWFCPECSINKKGPKIAHGTSLRGAVQFGMDPHGRLFLGTCNHLLVLNVSVNGDTAVKYYSVNDLSKVVRVLLSASSHALEYLDICKAIAQYWELPEGIIFSLREIDIGLDEAKDKVDSKVTEIKSDGANISSRNDIQTVFDLPTSALSNTRNGVIEGSSGMHEKKLAAGVTYSGLLFKPQAYNNHYTNGELAVSAAATLADLTSEEAHEPDLRKYSTAKKAASNNILVQMKAFSLVASRFFWPSPDKKEITRERCGWCHSCKLTSASKRGCMLNAAVSGATKGAMKIFSGLFPLRNGDGVLSSIAAYALFLEESLRGLTAGPFLSETLRKQWRKQVEEASTCKAVKALLLELEENICSIALSTDWFKLMDDWLIELSMFPSAPLNVVVAQKRGPGRRRQRNLAEVTAEGSEDDSFTWWRGGKLSKVILSKAVLSQSAIRKAACQGGSKKISGFSYGDASYIPKRSRRSIWKAAVESSKNISQLALQVRYLDMSIRWSELVRPEQNLQDVKGLETDANVFRNARICDKKISDTKVSYGVFFGNQKHLPSRVMKNIIEVEKTQDGNEKYWFQEARVPLYLIKEFEESLHRVPMPPTKKPSNKLSKLQKKQLKASRTDLFSYIASRRDNMEKCSCASCYHDVLLRDTTTCSSCQGFCHNECTWKSQHTNGKIEVVVTCKKCYLAKTRLPTNINHRQPTTPQLTITGRHQSIVTPVIKIKPPSQQLSSQKTQEKPSGIKQVAPASAVASNSKPKTLSCGIMWRKKNLEDTGVDFRKQNILLAGRSDKPNLEPVCGLCQKPYNPGLTYIHCTKCEKWFHTEAVKLEESQIPEVVGFKCCKCRRIRQPECPYIDPKLREQKEIKRLVFKNQKQRQGNSGLDSDSERMSEQQDSKPSTPMPATPMFPPMDAFFPEDDPLLVSVSKVEQITPTNFDLEWNTGASAPGPGPQKLPVRRQAKREDCNAEPQPMVKPEEAEQALPVVSDWDASGELLFDYEDMEFEPQTYFSLTELLTADDSNGQGEINGDKDVPVINPQGETTEEEYEEMGPCQRCSQIEPVPDLFCTVCGLLIHSHCSPWEEPLSFPGTSWSCGQCREWQ >A09g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20234932:20236871:-1 gene:A09g506890.1_BraROA transcript:A09g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSGNGKLTMVRSLLLAINGDYQLRTFSEQTENPDFAEIFRMERVVMLVQGLWSKSAAGVRTFEEDSGFRGDTIMITGTDSFEGLVEVIRIRLCLGILTPVALTYQLPEWMRLPEASRTPPINLTCDKDVEILASVREYMTEPVVYVTSGPEPVAKYQFLCRYPFTISEKTYLEEGVTEEQHRQAIRDLVGAHPIVCSKHLLEIMFNEPQLLVVFRVALEIEMVYSIPSEDDDPPVYYHRLTVDDIIETQPGFPLSPDDPANYGADDEGPPPVHQSTALGVQPQGVLPSLPPVWEETNEMSEDELTYEVYVHPTPPHVNGTVGHPIGQNRRVSALPPPTLIIITDNDNESYTGSSDGINENENIITLSPTSPLAPIIPDATNNRANVGNGGTPAESYMVTPPPAADVEAIATDISNTRINEPCLDLSLGVGSASNIARVDSVNDVDSSSDAEDVS >A10p022200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14691525:14695964:-1 gene:A10p022200.1_BraROA transcript:A10p022200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ftsh9 [Source:Projected from Arabidopsis thaliana (AT5G58870) UniProtKB/TrEMBL;Acc:A0A178UAY0] MTTIELLRPGIRFSTCFSHYNTLLSSHASTFSRVRSCAFRHNPNRFVVSNPRFSLSPSSRERSRTIVNCQEGDEKTSSSDGEGNKKKKGGKKWEWEPIIKAQEVGVLLLQLGIVMFVVRLLRPGIPLPGSEPRTQTTFLSVPYSDFLSKVNNDEVKKVEVDGVHVLFKLKDDGSWQESEKFSEGSESLIRSVAPTKRVVYSTTRPRDIKTPYEKMLENNVEFGSPDKRAGGFFNSGLIVLFYIAVLAGLLHRFPVSFSQSTTGQLRARKFGGPGGRKVSGDGEIITFADVAGVDEAKEELEEIVEFLKNPDRYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGESEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDNKFRMVSNDEREQTLNQLLTEMDGFDSSSAVIVLGATNRADVLDPALRRPGRFDRVVTVETPNKVGRESILKVHVSKKELPLGDDVNLGSIASMTTGFTGADLANLVNEAALLAGRKSKTTVDKIDFIQAVERSIAGIEKKTARLKGCEKAVVARHEAGHAVVGTAVANLLPGQSRVEKLSILPRSGGALGFTYIPPTHEDRYLLFIDELHGRLVTLLGGRAAEEVVYSGRISTGALDDIRRATDMAYKAVAEYGLNQKIGPVSVSTLSAGGMDDSGGSPWGRDQGHLVDLVQREVTNLLQSALDVALTVVRANPDVLEGLGAQLEGITLHI >A06p027460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8217720:8218618:-1 gene:A06p027460.1_BraROA transcript:A06p027460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQVIRSWTSESGAGRGPDQAQSDSWEECSGPNQCGRVRAVMDRAGGPSVMKEKGETAKGSYGATIMGKTAPFGYLLVTARPGSYGAVMVDFFFIFPCLCRKYDGREDRKLRQTSREKRETNRRLGDLIHGGSWLFPVEFVCEIKRMDTRLKDKEKEIEKEKETAPRDRNPMVRDMESFILGLGQIKELMTVHGFGRTYHDQDP >A08g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14155878:14157333:1 gene:A08g508020.1_BraROA transcript:A08g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLLLSELKADRCKETVVTRLFRFLEARNVKKSGELMEINMSTMIQRPSCVEPKVFVATNLNPKLVGGSCRLFLNTTTRIHFYFTIFQLVEFSCTEVYSIDTENGWCYTYRKLQRVFSSFTCAACHNENIVGYGTDSGEFVAFDGEMTTLTNAHASEVAQLMDPGGEDPEQRSLLQCLKDMVGCTFTFQLKLSPFKFSPKHQSFTISRIFDRQSASITSKLCFTCRLYHFLNLLSNYSSVESTAEGAAEQDLRTMGGP >A05p019510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9142979:9143749:1 gene:A05p019510.1_BraROA transcript:A05p019510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTNQQIFSGGLAKVLEEQWQVDVRLKAGVDNDDDSAISAHKLILASRSEVFKKMLESDEVKTLAEQVETVTLSEMKKEELKTFVEFMYSDGTMLSPKAKQHVRSLYLAADKYEIPHLRDLCRNEMISSLDMSNALDFLELAQIPFDNGLNEAAFSYVKNNISTIASSEVFKLFVVSNPNLAWEIMKASVTKTSNRYNSNFRACRNCGSYCNYCC >A08g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7273930:7279672:1 gene:A08g504420.1_BraROA transcript:A08g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYVYRGYYSLETVTLLVALKMCYPQKITILRGNHEICQKDSYVSPRIGIAVAARDSEIYSPGISLLELEKKERIDVKAFCSDASYYKLSAVLNMTSDRTKVIHLQPNTLFINRVGVSICLQQCDCQTEEWINPSDPPKLFRWQSSTRTELLKLRVQGYGWSTPFSVCSEGAVRVPIGREDGTDQLLLRVQVRSGTKNSRYEVIFRPNSVSGPYRIENRSMFLPIRYRQVDGVSESWQFLLPNAAASFYWENLGRRHLFELLVDGNDPSKSEKYDIDQIGDHPPRSETGPTRPIRVTIVKEDKRNVVRISDWMPAIEPTSSINRRLPSSSSLSELSVNESQQSHLLASEESEFHVVVELAELGISVIDHAPEEILYMSVQNLLVAYSTGLGSGLSRFKLRMQGIQVDNQLPLAPMPVLFRPQRTGDKADNILKFSVTLQSNAGLDLRVFPYIGFQGRENTAFLINIHEPIIWRIHEMIQQANLSRLSDSGSTAVSVDPFIQIGVLNISEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRISERFSENISMRQSTMINNAIRNVKKDLLGQPLQLLSGVDILGNASSALGHMSQGIAALSMDKKFIQSRQRQESKGVEDFGDIIREGGGALAKGMFRGVTGILTKPLEGAKSSGVEGFVSGANAMRMKIAAAITSDEQLLRRRLPRAVGADSLLRPYNEYRAQGQGILQLAESGSFLGQVDLFKVRGKFALTDAYESHFILPKGKVLMITHRRVILLQQPSNIMGQRKFIPAKDACSIQWDVLWTDLVTMELTDGKRDQPNSPPSRLILYLRSKSHDSKDLRSKLHDSKEQFRIVKCSPNTKQAFEVYSAIDQTINLYGQNASKGLVKSFPQMTRPYSPMSESSWAEGGSQQMPASVAPTSTFGTSPTTSSIQTSQTSDSCGSTHVYDATCCLI >A10g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1456574:1459013:1 gene:A10g500490.1_BraROA transcript:A10g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLRVEWIFITSVSLSSLSSVDSQNVFLRCRCCVIRRTDCFCRRSKVADEVAEKEANKKALRKYLELVEFFTKVLVALYEQNDKPSSALEFIQQKLGSPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDASELNLSEDFTAYTKKECNELLKRIHKENKATGGLKFVTLCYGIIGFIKFLGPYYMLRDLQHFKFKRREQVGGALYKKIFAWNEFLTRGIRNHLRNTVMIHPGKGLPSEILRLKLSRKLLEQMNGSVSFVREDERCFFQVDLQVKTRLGVETRGTEADSSIQ >A09p083680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60190157:60193957:-1 gene:A09p083680.1_BraROA transcript:A09p083680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G01860) UniProtKB/TrEMBL;Acc:A0A178WMV6] MSVVAITSTINSSPSWMNNNGDSCHSLRENSASFSRRSSNISLLRKVCCGAKSTDDDYHATIKSLNSRGRFPRKSLGQHYMLNSDINDQLAAAAKVKEGDFVLEIGPGTGSLTNVLLNLGASVLAIDKDPHMVDLVRERFAASDKFKVLHEDFVKSHIRSHMLSFLETRNLSHPDFALAKVVSNLPFNISTDVVKLLLPMGDIFSHVVLLLQDEAALRLVEPALRTSEYRPINILVNFYSEPEYNFRVPRENFFPRPKVDAAVVTFKLKHPRDYPDVSSTKSFFSLVPKTLSSDFVRTGNKKKKKLKPIAQKQVNSAFNGKRKMLRKSLQHLSSSPEIEKALGVAGLPVTSRPEELTLGDFVKLHNFSGSHQRRKQHEEEDATEMQMNIVTIVDMSRKLQVTRFLLPPKRPTHWADLKMSSSSLERTRAEVGLLVVYLNKAEARDKICRAIQYGSKFVSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHALISPVPKGTPLPLALLGKSKNALLSTFLFLDQIVWLNRTGIYKNKERAELLGRISLFCWMGSSACTSLVELGELGRLSASIKKLEKEIGNKDKHQNEQYRAKLQRSNERSLALIKAGMDFVVAFGLLQLAPKKVTPRVTGAFGFASSLISCYQLLPSHPKSKTV >A05p053270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30785212:30786128:-1 gene:A05p053270.1_BraROA transcript:A05p053270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G04530) UniProtKB/Swiss-Prot;Acc:Q93VK0] MTREFELANSYQMCDEIGRGRFGTITRCFSPATKEFYACKTINKRVLVDALDRECITTEPRIMAMLPPHPNIVMIHDLFETEDTLAIVMELVDPPTTIYDRLISAVGGRLSESESASYAKKLLRAVAHCHRRGVVHRDVKPDNVLIDLGTGGVKLCDFGSAVWLGGEKTETAEGVVGTPYYLAPEVVMGRRYGEKVDVWSVGVVIYTMLAGEPPFNGETAEEIFETILRGNLRFPRKVFGSVSAEAKDLLRRMICRDVSRRFSAEDALRHAWIVNVGNLQSN >A01p019600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9612711:9614614:-1 gene:A01p019600.1_BraROA transcript:A01p019600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKRVPTVVSNYQKDESADESVGCGRNCLGACCINGARLPLYACKKLDKSGAGEKPVAFLESLVLGEWEDRFQRGLFRYDVTACETKVIPGKYGFVAQLNEGRHLKKRPTEFRVDKVLQSFDGNKFNFTKVGQEELLFQFEAGEDGEVQFFPCMPLDAENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLALHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPLEKAPSKKMVTTASGVKISELLSYPVRSLLFEGGSSMQDLSDTVSDACVCLQNNNIPFNILIADCGRQIFLMPQCYAEKQALGEVSPEVLETQVNPAVWEISGHMVLKRKEDYEGASEENAWRLLAEASLSEERFKEVNALIFEAIGCSYQEEELEGTVVEQDNPSGSVNQKSNRTHGGPITNGTASECLVLQ >A01g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5880882:5881545:-1 gene:A01g501590.1_BraROA transcript:A01g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVCLLLRQEREYEKRRLRVKFITSTLYIVNVTCDKPMFCLNKQEQKKVQEQPHVEQDTAFSTRPSPARPVSAKKPVGARASNGGANGRPNRRLSLNANQNGSRSVAKEGGRSVCNFAFDDGNFILELDVGMKNGCIDLGFEDQPDTVTFSEGYQDISRSGIKQEGCESGVWHYNIRMRPNVTAPP >A02g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24718463:24725385:1 gene:A02g509250.1_BraROA transcript:A02g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLVEKILKANINVYHTTRFEFEFSNKSLLQLAEPKQWTTTYQMELLVHMLSARHSDILQREHAAFAPPTLTKIIQDNHVDFSKSRKKNTFVWDANLVDIVLLPGKKWMEDVHTIYTPMLWNDSHWVGLAINLDLGLVKILDPLPSLYGVRRVAKFMKPLVDSLPYVAKKVAMCEHTQFRGLKPFMWKRIPELYTNTRSGDCGPVSMKFLEMHAHGDPPPQMSSITDRIVDNIRKQYAMDIYKTIKILKQIRKHELFLLFLTIQHKESNCWHPNYLLVWIEADYIRGSDERESLPKRKTEHHLFKWVDEAIVDKVNMVDAKHNQLKEDVDSFKIYTTQRLEKHAIQFDKALLQLNSLIADKATSSGTNDNSTVATEDTLQSPNQPSDANNSRAQLINIAVAAIAAKKKPTATPEPDSPQDASGSFQPDLRLPPRLFATDRFPTKRLNIYSSPEILPFLRHVLRDTKEFQIIRESCFGKLFDIPARQCPVSAKLIHSFLTRQLICLPKNTLWSVFGGNPLRYGLQEFGTVTGLNCASFPEGYHPDTAKPVVAGKDEVWKRLLQGFPLTLQLVAFRAIPQLLSFIPAQPDQRTLMDLEDGYLPQHKSINSISIRRVEFSSDLALSPIIPIESQPQSGWGEWPNDPKDDCVIYMEQLIADQFTFNKGMWPAGITTEPLLNKPKARGHRKGMFPTRVKQSLKPKKVIKKDTSSRKQRRISS >A03p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16497625:16499412:-1 gene:A03p039620.1_BraROA transcript:A03p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHQLEQEAYTAVLRAFKAQSDAISWDKESLITELRKELRVSDDEHRELLSRVNKDDTIQRIRDWRQGGGSQVLSRHAASQGFDVVPSPTFSASRKKQKTFPSYHPSIGPAGNRSFNSRVVSGGIAGDGSAEALIGRKVWTKWPEDNHFYEAIITQYNAVEGRHALVYDINAINETWEWVDLKEIPPEDIRWDGEESGVALNAGLGSGSIRGNRRNQSHFGRGRGPRIHQPRREFLPPPTHQNGGGDDIELFNTDLLVKEVERVFDATHPDPFELDKAKKMLKEHEQALMAAIARLADTSDGEIEGDPPYSHDHAMQQG >A02p046340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28936420:28937810:-1 gene:A02p046340.1_BraROA transcript:A02p046340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKKERRCCLIWHGKRRCFVARCRTDTGWRRGDPRVLRIFFFSFISLSLLLSLLRRKAKSDAIDGSVRRHGCVSVGGCRRRRLTTASLSVALLHQGKRRRLRRWLSSRKGHGDLPICLFPLLGGIVASSISGKSKAEDQGLHVKGKTFCIWSGELDEALLITFGGLWFVIVDFYSLASSCNGKVRETKVLRLLIEKNPKFVDLLISQQDTGMGSYEDSVELSSSQVPFLATQ >A03p010440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4197729:4198364:1 gene:A03p010440.1_BraROA transcript:A03p010440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSCIKATTPTNRTTNLSPKINSGEEDIGRSSSHSMVEKTVEVPGKEVILVAAAIATGTCYPLDTIRRQMQLQGTPPCQFWVSEGVIGLYRGFVLKSMPNSSIKLTTFDI >A10p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2983888:2984222:1 gene:A10p016570.1_BraROA transcript:A10p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRWRTYNIATYLNLIANMKEMRLWWSFMPITLTNSSESPSNSESAHSLDEPMTSDKFEWKTMAPRWMEEQYTVESAA >A03p026130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10999885:11001650:-1 gene:A03p026130.1_BraROA transcript:A03p026130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYKQWVWRNREYVHSLGSLANGLTWLLPEKFSASEIGPEAVTAFLGIFTTINEHIIETVPTTRAHVGPSGTDSSLSYPLLISILKDLETVVEVAAEHFYGDRKWNFIIATEAIKALVRLALFRNTGYKMLLHGGETPNDDKDPNQPELQNRPGTLDRNRNQNLYNPWNMEGRAMSALSSFGHNARTTTTTSPTSGWSRRIQQQQAVIEPAVINEKRTTLSELLSEKGVKGALFVMGEVLFITRPLIYVLFIRRYGIRSWIPWAISLSVDTLGMGILSNLKLWGDKSSKQIHFSQPERDELRRRKLLWALYLMRDPFFTKFTREKLESSQKKVEPVPLIGFLTEKIVELLVGAQSRYTYISGS >A04p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15059950:15061909:1 gene:A04p024880.1_BraROA transcript:A04p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVGPVDPSVLYEQELHVSSAVWEAQERGLLRCQEHTSLLHQWKLTDQQTKLAAMDMCGKLLGKLPSAANKEVNCSRCWSYFHLDIGRPEKSEASFPLALLWKGKGSRSKTDLSEYRRELDDLDPTKMQICWCPYERYENMIPPHVKAKLSLGRSKTTLVCFEKIELHFPDRCLRQFGKRQPIPQKVKRRDRKNRRLDDLDTSMTAACEEWAERGDHIVDGPGGGNVVDDGAYMEWYARISITKLNREAFLENQVMNMIACMREFEEAASGISMERLSPVEREVMESVKDTFSSSLTFGGWQEVAVNSSYGKRRRRNEQTSTMNNFGGGSDLSSLMLQKDT >A01p016190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8013982:8016371:1 gene:A01p016190.1_BraROA transcript:A01p016190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNVLVTGGAGYIGSHTVLQLLNGGYSVVVVDNHDNSSAVSLQRVKKLAGDNGNRLSSHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNLFGTVILLEVMSQYGCKNLVFSSSATVYGWPKEVPCTEESPISATNPYGRTKLFIEEICRDVHRSDPEWKIILLRYFNPVGAHPSGYIGEDPLGVPNNLMPYVQQVAVGRRPHLTVFGTDYNTKDGTGVRDYIHVIDLADGHIAALRKLDDLKISCEVYNLGTGNGTSVLEMVAAFEKASGKKIPLVLAGRRPGDAEIVYASTEKAERELNWKAKYGIEDMCRDLWNWASNNPYGYASSNGSS >A09p023610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12921668:12923132:-1 gene:A09p023610.1_BraROA transcript:A09p023610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNAQCDWENLISFGTSSAEIPRKLRPMDWETDEFDCSFPTAACGSSSGLAHAFSKSSKSTSISSSSPEARTLNFTSEELGSSEEFAKGINTSPSLELSFGSSDPVLGLKLGKRTYFEDFWEVENAARGSALPVSLVSSSASPVKKTKSVPQKVQTPRCQVEGCNLDLSSAKDYHRKHRICENHSKFPKVVVSGVERRFCQQCSRFHCLSEFDEKKRSCRRRLSDHNARRRKPNPGGTYEGKQQMDFVWNRFALIHPRTEEKFLWPNPKPIPSRGLIQQPAKTRSAELFSKEKVTISSSMGASQDLDGALSLLSNSTAWVPSDQPTRFSLDHHPTTNLQPTAHRSVTHLSTVSDYWQPDPPAVEGPTDLHRNGVGQFNENYFSLNQFYN >A07g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4895651:4899326:1 gene:A07g502290.1_BraROA transcript:A07g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPFNSPPPQLSFTELCLTQLGLIHRLSFTKGLEYEQYTGKIFSQFPVLCSGRWTAGCLEHHSDYFGLLLPGFPGLPSVSNAESLTLVYQPFVLGTISVLVFVGQKIKNQRRVFTGYCLFVDIVTKGKGGITPFLLLSIVSAGFSVASALVEGAMIGDLSCICPDLIQPFAAGLGVAGAITSVLRLLTKEVFQNSRDGLRKGALSFLAISLFIELVCVIIYGVMFPKLPMVQKHRARSGSNPVEPVEEVPPLSNQQLAHQNLDRVISLFLIYALTLSIFPGFLYENTGKHSLHLWYPLVLVTCFNLSDALSRYITMVKPLKMQSGKVIVASVLARMLFLPAFYITARYADQGWMITLTSFLGISNGYLTVCVLTQTPKRTYNVSEANALGNILVASMLGGSLLVSAFHGFG >A01g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25080210:25081213:1 gene:A01g509220.1_BraROA transcript:A01g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLKVFQIWKTPETTYLLVVWKSSGLLGSLLTKSPGLPGSRLDFQEVVWIFLSVLFLIRWYSSSTNLKVDLLFLDMYFCVFCKGFSDLEDFWDDLLVSSLEVVWKSSESHLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLEVVWTSWKSSDKVFFHIKWNPSLSL >A10p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19920724:19922275:-1 gene:A10p034260.1_BraROA transcript:A10p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASREPDQINASNITSNLRGLGIAKLRSGMAAVTPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYMEDRTLRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEDVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKARDFGVIFIETSAKAGFNIKPLFRKISAALPGMDTLSTRQGDMVDVNLRSSTNASQGEQQGGGCSC >A03g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29644192:29645317:1 gene:A03g508950.1_BraROA transcript:A03g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSIVVGTEIRTVDFRLNKETRKTLISQRSRISARANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRRIPNLKSSPPCSSPRTPYILAPRSHKNGDIPFFPIFTIIFKTSVFIRGNLTFILPCGPSVNQAVVYGLLIRKIVGWASSRVLGSKSVTTESMSESPLAVKYRPNLNRTTKYRLSEGNRHVSKSAADKLEYGNKTVDKPSSIDTRRPSMHTARSLRSDRARAKLGR >A06p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3038078:3039451:1 gene:A06p008690.1_BraROA transcript:A06p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQLKEKKEQVQRQQNLDPENPATESSRIFFCGSFCSALSRLFSLTCVIFLVLSFATLLSAIFWLFPPRRSLPRHHADHIVHHNASVQACFRLHKPASKIISHKGKLEKDIFSSLDLRNHTKVTVLSLHQPGASNFTEVKFGVLPVLTHHTLRKDSLTSLRSSFANLFAQRSSLNLNKSTLGKPASFQVLKFPGGITVDPLGLEPVSGLLTLIFSFTLDVSLSEIQHKVDLLKNQLELVLRLELYESFRVVLTNHKGSTISPPVTVKGCVVSAVTTMESHIQQRSNNLAQAPAKNFGLDNSVFGEVKNVTFTAYPEGKVLDSDSVLALTPTS >A06g503050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9779841:9780775:1 gene:A06g503050.1_BraROA transcript:A06g503050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHVYGVSVTSRILNRIIGTAMDRGNVLASHKTSRQAFHGRIRKLRTSDTTRSAPLAGLLAHSAEAAGDQLISAGRSVRVSVRWSGSGPMAGHGRSGHEVMDRWGLGQGRGLSTEELGKALGLCPTQTHAVLAKGRMRPRGNLRSSMGDREQEKSMENPDLVQKVRGGLGPHQSERTVLVIAPRLWTVH >A05p048900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28516775:28519089:-1 gene:A05p048900.1_BraROA transcript:A05p048900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC052 [Source:Projected from Arabidopsis thaliana (AT3G10490) UniProtKB/TrEMBL;Acc:A0A178VCW1] MGRESVAVVSSPPTATARGTATVAATSLAPGFRFHPTDEELVSYYLKRKVMGKPVRFDAIGDVDIYKHEPWDLAVFSRLKTRDQEWYFYSALDKKYGNGARMNRATNKGYWKATGKDREIRRDIQLLGMKKTLVFHSGRAPDGLRTNWVMHEYRLVDYETESNGNLVQDAYVLCRVFHKNNIGPPSGNRYAPFLEEEWADEGVALIPGVDVRVRAEPLPVANGNNQMDQEIQSASKDLININEPPRETTPMDIEVNHQNHHENAPKPQENNNNNHYDEAEEALKLEQAEEDERPPPACVLNKEAPLPLLQYKRRRQNEPNNSSRTTQDHCSSTITTVDNTTPTLISSSAAAATNTAISALLEFSLMGISAKKENTPQPPNKEAYPPAPLPSTEEKLNDLQKEVHQMSVERETFKLEMMSAEAMISILQSRIDALRQENDELRKNNATKGQAVL >A04p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21258263:21260345:-1 gene:A04p037190.1_BraROA transcript:A04p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSRRLATLLFSISHGSGRTARTGGALLSRRRMISSASSSAAAAVVADDELGGVLKEYVDYRRSLYGEFTHKALLVDAVGTLLVPAQPTAQIYKNIGEKYGVVYSEAEILTRYRRAYQKPWGQSHLRYVNDARPFWQYIVSASTGCSNSQYFEELYDYFTTEQAWKLCDPEAEKVFKAIKEAGVKVAIVSNFDTRLRPLLRALRCEDWFDAVAVSAEVEAEKPNPTIFLKACELLGVNPEDAVHVGDDRRNDLWGARDAGCDAWLWGSEVTSFKQVAQRIGVKV >A10p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12812980:12814387:1 gene:A10p018300.1_BraROA transcript:A10p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxygenase 2 [Source:Projected from Arabidopsis thaliana (AT5G55240) UniProtKB/Swiss-Prot;Acc:Q9FLN9] MTTMEMMERDAMKTVAPCAPVTYHRRVRGDLDDTLPKPYLPRALQAPDMEHPLGTPEHRHNGLSVLQQHVAFFDLDDNGIIYPSETFYGFRLLGFSLLASLILAAGIHIALSYATLPGWIPSPFFPIYINNIHKAKHGSDSKTYDNEGRYTPANLELIFSKYARTVPDRLSIGELWDMTEGNRDAFDFFGWLASKVEWGVLYALASDKEGFLSKEAVRRCFDGSLFYYCVRNYTEISEYRSYYY >A03p072530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:12714:13708:-1 gene:A03p072530.1_BraROA transcript:A03p072530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GENHGISNTQLVNEFITAADVPRVLCIKVSRTGRRDDYIWSFTSSGKYTVRSGYAIAIVEEVGAAEPTEGPANQASEMQSHVYRWRCQVDASWKEKEEGAGLGFILFEDNHVRLVGLKKGPLAASPLHAEAESLAWAMRETRKLGTDMFSASEPICFSRIQCF >A05p054680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31289501:31290141:-1 gene:A05p054680.1_BraROA transcript:A05p054680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGEVELALWFFSLAKDKGLIIPPRFIFCFVKALARKKKAEESKVLLKEMISRGSSPGNEVFNVVVYVYSRNGDPDGAIEATRELMNQAQEILAEAKQKHEKLSYPTHQALIQGYFKTEQSNEILKLFQDRDFFGVKARGDEYTKPIQLFCLVAMNWRIAEMLRMEMNLKDMQLDPISKEYIVFLKKC >A03p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2768704:2770175:1 gene:A03p006570.1_BraROA transcript:A03p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLAKLLISAVAVFMLVSASFATSEMPFMVVHKKATLNRLKSGAERVLVSFDVYNQGSAAAYDVTLTDNTWDKKTFEVVNGNTSRTWERLDAGGILSHSFELEAKVKGRFHGAPALVTFRIPTKTALQQAYSTPLLPLDILEDVPPTDLLALAKRMLAKYGSLVSVISTVVLFVHLVATPSSKSNAAKGSSKKKR >A03p028090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11729972:11731430:1 gene:A03p028090.1_BraROA transcript:A03p028090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIEKGREEEEEDIVCLDESFFVNDDYQLTTFTFGSNVLELYCLQSASRQLVWPGAMLMNGYLSDNADILQGCSVLEFGSGVGITGVLCSKFCRKVVFTDHNDEVLKILKKNIELHEHSSPSAGEKEINFDLLHAIDVSLLFGKHSDGFDLILGADIYILLCSQSSVPLLFDSVEQLLRIRGHGNCKFILAYVSRARQMDSAILKEGSQHGMLMNEVPGTRCTVGNLEGVIFEITLI >A09g514290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42897592:42898728:-1 gene:A09g514290.1_BraROA transcript:A09g514290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLAWHSGPPAGGPHDGLLAQWRIDGAIQVRSNVDPTFYSLVGSGRSGGDHHGSSLLENPYIPYQCMDSYLSSAGLGIVPFEPFFHAFPRGLEKAAINRIFLILPSRKEEREILFPFRLEMGAEGGNKHTWRAQYNGELCDDLLHGRGLWFKSRMAQLRQGKEYKKDLTPSCMLHLARGDIPQLVELRSCNWVVAITGWVSNCPGGNDSILYLNRWLTFSK >A07g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2684009:2685018:1 gene:A07g501280.1_BraROA transcript:A07g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKVSLFFGTEATFDLRLIREGKRLNHKPKTKYRFTHSRHLFISTHTLHQSLRLTSFSIHLHLLLHP >A08g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5725386:5726742:1 gene:A08g502810.1_BraROA transcript:A08g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQMVGRIKAKPGSKAEMGEPLCCLEVTSWEHLFSLTISLDFDDDDINVHFSQDEISRVGACSIVNVKNQYVRPSSEDPLQALIQNSVSFFSVMSQDKNLRREKGLRNPKNLRREKGLRNLKLEPLESRARGKRM >A01p052750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29568894:29570335:-1 gene:A01p052750.1_BraROA transcript:A01p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTGLNVAGALLSGDFRLPSLSSQTRRESSSMFCLSNGDLSAPYTCSWRQSRDKALTNSKSFAVGIEAEDGFLSNVSEDSDEMFDDLFNKYGKVVYRSEDLKSPTAEVDDDAESLAFAVEMAKVASEVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGSRMRDMAEKKYGKVANGDVKPNAWTLLDFGDVVIHLFLPPQRTFYNLEDFYGNAMSVPLPFEDQAPPRS >A06p033510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18014000:18014823:-1 gene:A06p033510.1_BraROA transcript:A06p033510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNHNAREDDLKKAYKRLAMIWHPDKNPSARRDEAESKFKRISEAYDVLSDPQKRQIYDLYGEEGLKSGKIPSSSEASSSWRTPQFHHQHRPHPPNAASFRFNPRDADDIYAEIFVKLATEVDGSLLSATWATEIQESKQKKKNHEKNSFLPLFVQTLVDAMKTCMHRVPKRGSVGGEELFHHIVGENSVWVKLP >A03p014920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5900706:5903037:-1 gene:A03p014920.1_BraROA transcript:A03p014920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSDQADRISNLPDILLVLIISCLSFKECVQTSCLSKRWRSVYLETRNVSFKESDFLSPSVYANPISWIRARDAFVDYVCSWVARIDDQHIDTFGISVSYPKTYLDVIESLIAFAVRKRVKALVLDFSNPAWTTFHDVNLDELVVEIPQSVYDLATLESLKLGAVKQFDPTKLSNPGKLKTVSFGWMVLTNFEPLLKTSRFESLTINGCRELDFDTIEGNMRKVAIKNCDFSINCTFDLPRVDILKYSGDLFRFEFDNMNTIISEVEFDFRVLDNNNDESNDSTTAEGGMLCHLLNNLLDDGGRTATTLTVCPFLLKMIPRSEHPHFLRPMETKHLVLKTELHPREFNGIRLLLLNCPKLETLTIDLLPPSPIATASSYAGIDPQTYWMQNISYECQRETLKAVVVKNFAGGENELHIVKFFIQSECEHLERVELYMPFDLDEGRKMFANAKSEMLQRSSNRVQVVVHNS >A09g519160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58964596:58966227:-1 gene:A09g519160.1_BraROA transcript:A09g519160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPFANFVKESMERSRSSPRVSAPYTGKRAFSGDEYLDVMKSYYDYAKSRIRIGVEGYDTSLQPIELYRALESLFKACGEFHNIQIRSDPVTNELQRSCIVILRGEGAGDKALQLDGSDIGGKKIVVTSLPPGLSDLSTGLSTDVLAARHVAHHLRNRSEGVSVTGYATSLPRDDIKNALSNHFSTCGEITDVFLLNSRALVYFYGLGSNHRAVQLSGSDLGGCTLVVKALPYPKPKEPAGASGWTRLRYRFRLATLAKTRGGTNTSDVRGIRVFI >A07g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1744770:1746073:-1 gene:A07g500920.1_BraROA transcript:A07g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWVRVFTILRLLSSVESLAVKSCSNLNRTTKYRLSEGNRHVSNSAADKLEYGNRTTDKPSSIDTRRPSMHTARSLCSDRASVPLGRYVKLGRYVATECPSRSRPSVRPARSLRSDRARACVLFGRYVATELFRNVDMTPVHAFSSILRCYLPKTVANSKVPSLLKEVVINVSSRKTAQRDLRHDSRPNL >A03p006970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2901322:2902388:1 gene:A03p006970.1_BraROA transcript:A03p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDANFPVRHRKLSLETNGNKTEIVLCSYEDHILVIATQIGAMGTILHARKEEGMSVEPTFSVSVIFGKRDEPMLIATARRLIDHISSYVPSKPLVLSLGLKDHSSETLKEIVAAVIENRLW >SC210g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:32801:43225:-1 gene:SC210g500040.1_BraROA transcript:SC210g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNGDRARTKARSLRSDRALVPLGRYVATRSSASSVATYVATELEPSPLRSDRAIVPLGRYVATELSQARSLRSDRAIVPLGHYVATELKRFARSLHSDRARAKKPRKTRSKRVESEDGSKGPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNCEDRENDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTFLRRVLFEIDSSLRKRLAEERIETSDEISKQVVTQRLNVRLARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSGRPASVAYVATETQAKARSLRSDRTIGPALVSPKLGRYVATERSSRSVVRSDRAQPSSVATYDRAIVRSVATDRAIVPLGRYVATELEPKLGRYVGTERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELKRFARSLHSDRARAKSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHFRVLWETRVFLVSLFKRKSTVRISVPTVGVYEIQDS >A06p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11969213:11971429:1 gene:A06p022890.1_BraROA transcript:A06p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 33 [Source:Projected from Arabidopsis thaliana (AT3G47400) UniProtKB/Swiss-Prot;Acc:Q9STY3] MLRGNGIFHICLLASFLLLPYSSAVHDGDFSGGANAPSSWDHNIAPSQETAPSPTPTTSPPTTSPPSPGPAAAPSPINNDSISGDMTWWCNKTPHAETCNYYFQRSPHNNINRPPRFRSEFLRLLVQVALDQAVITHAQTVNFGPSCTNNQRKAAWSDCVKLFENTVAQLNLTLKGLNPGASSDVKCSNFDAQTWLSTAQTNIETCRSGSEDLKVSDFVMPAISNKNLSDLIGNCLAVNGVLMKQHNHTTANHEEYFPSWVSRHERRLLVSASLAKSRPHLVVSQDRSGHFRSIQSAINFAGRRRIKSRFIIYVKKGVYRENIEVGNDNHNIMLVGDGARKTIITSGRSVKNGYTTYNSATAGFGGQRFVAKDMTFINTAGPLRGQAVSVRSSSDLSVFYRVGIHGFQDTLYIHSQRQFFRECYISGTIDFIFGNAAVVFQNCMILVRRPLRGQANVITAQGRGDPFQNTGITIHSSRIIAASDLRPVIGAYKTYLGRPWQAYSRVTIMKTYIDNSISPLGWSPWLRGSNFALNTVFYGEYKNFGPGSSTRWRVRWKGFHAITSAAVASRFTVGSLIAGGSWLPSTGVPFKTGL >A01p049270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27729408:27729746:1 gene:A01p049270.1_BraROA transcript:A01p049270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITSFVAMVYCYASSMEKKKQTSLNLRFGTLIAGKEDGSNHEVLYKSCDTSKKFFTSHVTRLVCFDFTTARFGLRLPLPFHSYPKEGVVTFSNVREEQLAVLFQRKETLRM >A01g510740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29719306:29721056:1 gene:A01g510740.1_BraROA transcript:A01g510740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTRRSSRLMKLKNVEATPMNPFDLSSGSSSRKRSRRRVSAGDTATLPKNSELEVESLSDGESSDDHSDEAPMAADTPPNRSKEQRFEESRNVYQTKAQFYPELMRPTRMPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRRIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLVDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIKRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKIVYDQIIAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDIDRTIVGLKAIRVRLRIGYETMTCQCLIGSKMLLWREAKKIWKIWAYHNI >A03p023470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9918908:9921505:1 gene:A03p023470.1_BraROA transcript:A03p023470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHQSCVHDVNIRTKSENFDPAGVSHAPGIRKVSSRAEIDTSPPFGSVQEAVTWFGGRGYWVPFKLQDNYNCVGEFNIKKMEEHAAELEKNLIVKELETLDVLEALGSTKRIVEDLKRQLHQESLRSAENLSSDIKEMNNEHCNHNPMSSPDMILMELKQAKINLSKTMDDLAMIQSSVESLNKKMKEEKEFLDKTREKLTYGFGGAVSLAEELSRVRVKPDETPREHVKMIAETGLNQQNKNCLRTAEMRLVAARKMEEAARAAEALAIAEIAMLSSSQSNDESEFCFPEPPSSPLTPRGLRNVTDSSRSGVLKKLEEAAQGVKQSKQDLEAALDRVEIANVKQLAAENAFRGWTKHTPTSQTRRSFFRHLKKQNEPVLKSNVSMRDVLRRKQVPKEEAVVSERQSIEGQRRNVNLSQMLRELKHDIKSSVRGEKEEVREEKQFVTQRRKFGFIHITLPMQKQSKKKTSI >A01g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6498234:6498693:-1 gene:A01g501790.1_BraROA transcript:A01g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLANKIEAECWRIAQCIDEIMEIDMGVEARYAHTKSPLQAEAEDLLWAMQVILKFGHREMVFQSTVNNWLYSFKRRKIGLRWTRSSTKYRLYPKNFLNFLLLIFLDL >A06p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4706661:4713005:1 gene:A06p003410.1_BraROA transcript:A06p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEFRRRDYGADHESHLLPRSRTQKHPLSSPTASRQHQMKTVRDNDSPDFFDPLRGLDVNASAEEKVEEEEEEDTSLSTEAVTQELTKEWKSLKRILIQRFPVSKLKHIITALGLIYSVETPSAQPHLEETGSEQASLEGTAKVMDQQEYLAKVRELIDGITKAWQVEDRVTSLKLSIKVTKLLMDTTVLQFYPTVFVVVTDMLDMVGDMVWERIKEKAEHDVDGTVICTLPNDFQANDICLEARETCYNWFCKVGSVRELLPRIYLELAILPCWRFLINQPREVLDRLVMMVRGLADPLASLYCRLYMVHRMQKLGFCNSGYLIKCVKDIEDVLAPILVDKDGCSYITDDKKLLFNLMEPAIEYIMKCLLLTGRQENNVLGILEELGFGRNKSQPSDNSSHVSILLHHLLKALPSEIVSSQAMEILHMIKCSNDCSFSQVLNYRLLGNRLCEGRSQEGFLSSLVNEIMQAASQYQTLYDYLRIMDAYVDLLLQNKMFQRYQENHLDALLNDIVTLARDKFLSEEEQASLQSIILKLLSHFEDLQKVLSLNHFIEILDLMSGTSKKSVNMHLLSMGTRNGCICDSTTVQLLFEVSQGLYDATDFVNIKDDDNRQSSHLISRFVEMETLVRSSNTMAVKALKAEKKHINFVKSCLAFSEVTIPSVTIPTKQLNLYLETAEVALLGGLISHTDGLVMSAVECLEDVTPPDGLKSIDADSMASVVCKLCSLLVMVPGNPEKGVIEILKSIFSATCSSSRAMPRVKVKILCAIILLLSTLVQDNLPYRSANPEIIGNDLLFFGDSSYKNELVSWSQLVVGELVDAIEQESSQIARGNIALEACNCISSALVMNEKVSQLCLRLLETAKGCLGHTDRYLEATKQSLQL >A04p019260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11533015:11534246:-1 gene:A04p019260.1_BraROA transcript:A04p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRVRFVTVTASSCLTVSPSALLATATALLRSSGHSETAQLKHLEIASTISGESFVSGPRLLSSSNPLFPSNPIVASPSTLLPSSHFVIYAPPIPFMSPHLRHSLPRLYRFAKFVRFYATTASPSHYAISNIDGSSKSRPHDPPIPFLVASSSISAHYYVTAESPLHYTVSSINDYLHSRHCEPLTRAVILYGVSQTSYSLNLLVGFFNVDLDFFAFLRTWVLGLQVKLLYGSLLSIATSIFRHILVIFIYQFAVDDLSSCN >A06g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7619165:7622100:-1 gene:A06g502150.1_BraROA transcript:A06g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLDFLESSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSRSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLEVTTYNSVVHETTEIRRLNFQSSQVTDFKVNCKNNLCVDQTTSSQTTSQVNRLTNRSGKKLDVIPYIGEISSLAYIRLLQAHRITNESHPPIIVSFCDSMNHKNFRIKIFGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVWY >A10p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:899407:907694:-1 gene:A10p001750.1_BraROA transcript:A10p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) UniProtKB/Swiss-Prot;Acc:Q8RY16] MQICWRVIFSASPDPLTPRGGENVSDSKFDSFDDSAFVGLSTQSLKRLSINAGSLVVINNIDIGIQRIAQVVVLDPPPKTTTLDDASLVLDSLYTMLVSPTYDLMMTQQLLAQEVAYFSPMLAFNLGLHVSCLKSLVHGGNEVLEKYFVAKFEEGESAVIAMEPSNERFLRVSHSQTALVLGGTVSSGLPPDLLVSRSKVPMPLQEDAVNVLASVLSPPLCPSALSSKLRVAVLLHGLPGCGKRTVVNYVARRLGLHVVEYSCHSLLSSSERKTSTALAQTFNMARRYAPTILLLRHFDVFKNLGSQDGSQGDRVGVASEIASVIRELTEPVSNGEYSSMEEESNDNSSEDEVGKFRGHQVLLIASAESTEGLSPTIRRCFSHEIRMGSLNDEQRSEMLSQSLQGVSQLLNTSSDEFVKELVGQTSGFLPRDLRALVADAGANLFISKESETEKMNSLSGDHVGDDVDQSSQLDKSNETLIPKEDFTKALDRSKKRNESALGAPKDVKTSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLSDSSQDLFIIGASNRPELIDPALLRPGRFDKLLYVGVNADASYRERVLKALTREFKLSDKDVSLYSVAKKCPSTFTGADMYALCADAWFQAAKRKVLNSDSEDSVPEDDPDSVVVEYVDFIKMISVSNSSARNEIGIGFGGIEEEMMELEDSDFEEDSIHSYVSCVDPDVALSYIDEKLENVLGHFQKDFEGGVSAENLGAKYGGYGSFLSMYQRSPVCKSPPPQGQHQVVSGSKCSASSAVPQVSVSGSTSKARPASDVLVKSSNIGTSDSKPKSATKPSSSGPLNHKTFRLRIKVGSSDLSSPKNVSTYNSKQGFDMPPSTSEGEEGLLNGIHDSPTKILMAIVSFPLHKDQLLSPLSDDLIQLGKKEKIITDSGYVSVNKSDSNSELKKVSKSETRNEDQILKSELPEAHKSQKGSSRNSLRGKDTAVNISNTAVVDKYQEDIGGSEDVCEGFFGDSGESKEQEQSSLVIEAKKEKLSEENALKESLDSVQSDEEACKHLPLGVGSERELSGTCKKPKTGKSRFSSVDQPGSNKTLDGVNKTMITQASAHKLKDIAKASSHDGHEDRKRKQEENKASGDCMRLREAAAMESAVEKVRKKKRLKGSSCDKDRGSSQENGRDSASHLPIRASSPSLLCKDLSSEIIKTNVHEVKGSLVDTPAPSALDPLEPKPGRNSEGDEHHDIDFSAGDALKRCRDGQGYSTMDNPGTTKEGAQSSKDTAVEVKTKESRSKKIPTRKVSRESNKEGSKEYQDPDTKLDTNGSHFHSPQNSDKAKTIRGKSNHLEVTAEKVKNKPSPPVEVLGHGTEISNTKEQMMRNDNHSSLNQKQNGSTHKDDDVGSSPLKKESTCQTASNSIKEATDLKHMADRLKNVVSNQESTSVYFQAALKFLHGASLLESSGSIARSNDIYGSTSKLCEFCAHEYEKNKDMGAAALAYKCMEVAYLRITYSSHGNINRYRSELQAALQEVPSGESPSFASDGENPNQTLAAEKAALSNTVRSSPRVTGNHVLSSGNNFSLSQLLSFSQNVSYAMDASRKAQTAFAIAKGKSSVTRYSSNGIASIKRALDFDFQDMEKLLRVVRLAMESINM >A07p029530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16540260:16540611:-1 gene:A07p029530.1_BraROA transcript:A07p029530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIESASSLLLADRVTSGVEVTFLALVARQSKRCSLLRGRHESSLEIRFASLRSIASLRHLSETLHAVSQTPVAVESRAVPGDSGPV >A03p060670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26323153:26324116:1 gene:A03p060670.1_BraROA transcript:A03p060670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIAGERPKQRQRKGFWSPEEDEKLRSFILSHGHSCWTTVPIKAGLQRNGKSCRLRWINYLRPGLKRDMINAEEEEIILTFHSSLGNKWSRIAKFLPGRTDNEIKNYWHSHLKKKWLKSQKLQHATSISTSSESLVACGRRNPQTLISNHVISFQPLPENTSSSPSQERNDNNNNNNNYSCSSGPEIPRLFFSEWFTSSDPYFDHSSNLTDSNHIQTPNIQGPVSDYEESGDVNQFYYKEMMMSNSNWTLNDVVFGSK >A02p049260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30566462:30574612:-1 gene:A02p049260.1_BraROA transcript:A02p049260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILCFFLFLLLPVLFSLIFVKNIKASKQNLPPSPPQLPIIGNLHQLRGLFHRCLHHLFKKHGPLILLRLGVLRMVVISSSEAAEEVLKTHDLECCTRPVTMASTVFSRNGNDIGFGEYGEAWRELRKLAVREFFSVTKVRSFRYVREEECDLMVKQLRESALKQSPVGLSKTLFCLTASIVFRSALGQSFLENKHIDKEGIEELMLEAHSNMSFNLTDMFPTAGLGWFMDFVSGKRKRLHDVFTEVDTFLNHIIDDHQSKNFTQDRPDFIDYLLEMIPKQEQNESFKLTIDHLKGIIQGIYLAGVDTSSITMIWTMAELVRNPRVMKKVQDEIHSCIGIKHKERITEEDLDKLQYLKLVVQESLRLHPPVPLLLPRKTMSQIKIQGYDIPPKTILVVNAWSIGRDPKHWKDPEEFVPERFITCPVDYKGHGFEMLPFGSGRRMCPGMASGIATVELGLLNLLYYFDWGLPEEGKDMDMEEAGVLTVVKKVPLELLPILQHKTKTMSTVLYIFAFLLLPALFTLILVKRTKNSTQNLPPSPPQLPIIGNLHQLQGLFHRCLHDLSKKHGPVLLLHLGSLKIVVISSSEAAEEALKTHDLECCTRPKTHATSTFSRNGRDIGFAPYGEVWRQLRKLSVLNFFSAKKVRSFRYIREEENDLLVKKLKELAQKKSPVDLSQELYCLAGSIIFRSAFAQRFYENKHVDKEKIKEFMFELQKVGSISSSDLFPGGLGWFMDFVSGRHRRLHKLFGEVDTFLNHIIDDHLKNPEEKTNQDRPDIVDSLLNTMHKEEQDESFKLTIDHLKGIIQNIYLAGVDTSAITMIWAMAELVRNPRVMKKAQEEIRTYIRVKQNERIDEEDVDKLQYLKLVIKETLRLHPAAPLLLPRETMSQIKIQGYNIPLKTFLVVNTWSIGRDPKHWEDPEEFIPERFIDSPVDYKGQSFEMLPFGSGRRMCPGMASAIATIELGVLNLLYYFDWRLPEEGKYMDMEEDGNITVVKKFQTSKINLPPGPQKLPIIGNLHNLDGLLHICLQKLSKTYGPVMKLQFGFVPIVIISSNKAAEEVLKTHDLDCCSRPETIATKKISYNFKDIGFAPYGEEWRALRKLAVIELFSLKNLNSFRYIREEENDLLVKKLSEASQKQSPVNLKKALFTLVASIICRLAFGQNLHESEFIDEDGMEELASRSEKLQAKFAFSNFFPGGWIIDRITGQSKSLEGLFSELDAFFNQVLDDHLKPGRSVLESPDVVDVMIDMMNKQGGEGSFKLTTDHIKGIISDIFLAGVNTSATTILWAMTELMRNPSVMKKVQDEVRTVLGESRQRITEEDLNQLNYFKLVIKETFRLHPTAPLLLPRETMSPIKVQGYDIPKKTQIMINVYAIARDPKLWANPDEFKPERFSDISVDYRGLNFELLPFGSGRRICPGMTMGIAMVELGLLNLLYFFDWVMPEGTTVKDIDMEEEGAVIISKKAPLELVPICPR >A08g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8033890:8034717:-1 gene:A08g504820.1_BraROA transcript:A08g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSVSIYVRSGMSIDVGWVWAVDRRVVFVDGGRRVSVDEQVLLSIDAVRLPMRMLSLVGPEKVSVNSNNGVSIDTPFSPWIDATSDLSIDVPSRECYARV >A07g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24032164:24032499:1 gene:A07g508710.1_BraROA transcript:A07g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKMVDTKVVARDEEMSCGLDQWLSWSMCAWILFDVVGRVVDEDLVVSGCVDVVDVTGRVADEALSGGYENGNRGRGETGGGD >A09p023990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13267880:13269660:1 gene:A09p023990.1_BraROA transcript:A09p023990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFLFFILFLIKEMSSSSSANSFEDGKYKTDLLTVGLSSCCWKKPSSSPTPQFPPKRLLVATPVEEGEYPVVMLLHGYLLYNSFYSQLMLHVSSHGFIVIAPQLYSIAGADTMDEIKSTVEIIDWLSAGLNHFLPPQVTPNLSKLALSGHSRGGKTAFAVALKKFGYSSDLKISALIGIDPVDGMRKGKQTPPPVLTYEPNSFDLDKMPVLVIGSGLGEISPNLLFPPCAPPGVNHRDFFQECQGPAWHFVAKDYGHLDMLDDDTEGIRGKISHYLCKNGEERRPMRRFVGGSIVAFLMAYLEGDDSELVKIKDKSHEGVPVEIQEFEVKN >A08p027810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17482802:17487276:1 gene:A08p027810.1_BraROA transcript:A08p027810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPRSVSSSASPANLDLVENQRQSSSGEFSRLEKRIGARKITFHSKSMPRGSNDKRYDLFRTMSGKLERQISNLRGKPINTSLQDKEINESLTAERFLEALQGPELETLKEMEKIVLPEDKTWPFLLRFPITSFGMCLGVSSQAIMWKTLATTKAEKFLHLTQVVNHVLWWISLVLLLSFSITYLFKTIFFFEAVRREFKHPIRVNFFFAPLISVLFLALGIPHSITSSLPSILWYFFMAPILLLEMKIYGQWMSGGQRRLSKVANPTNHLSIVGNFAGALLGASLGLKEGPMFFFSVGLAYYLVLFVTLYQRLPTNETLPKELHPVFFLFVAAPAVASMAWTAISASFDIGSRIAYFISLFLYFSLVVRINFFRGFKFSLAWWAYTFPMTAVATATIKYSGEVTGLATQILAVLLSGAATLTVIGVLVLTVVHAFVKRDLFPNDFAIAISAEQPKQKKWLKQLVNGSLENSTRGLKVLDPEDTRIDIEAPPLSNAVCHTVLEMASKRILKELKDLQKDPPTSCSAGPAAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKNKYESTARTWTQKYAMG >A07g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13346003:13349242:-1 gene:A07g505820.1_BraROA transcript:A07g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCLSCLKPSTSEDPFEAEIDLALDVSWEEADIQEAKQLAFALQESSLLQKQQEEKRRAGELEKDAQIARAFQYDETERELDNNSALEDETYEQPAKIAVESFQDKGKIKQFEEQVKNDEQVAQDLQNRFNKMAYEESPGLLYVLDDKDEQLAKTVKSSKEKRRSKQLEEQVKKDEQVAQDLQNRLNKRAFEESHDNAVLDELANTVKSFKEKRKSKQFEEQVKSDEQVAQDLQNLLSEMPYKESAGLHDNSVLGNEGEQFPKTVGRSLKVKGKEKILEDEQVKKDEELALVLQESLNMVEPPPPPPRIEERKSNPRRAALDVKKSSKEKGKGKKSEGEQVKKDEELALIIQESLNMVEPPPPPNEEHKSISRRAAFDVQEQLAKEKGKGKQIEDEQVKKDEQLAVIVQESLIMVESPEEDSNISSSRAPMDEDEQRIIWESLKGKGLLTQPEDEVEDVGQLLEANPAPPPRCGGCYCEIEQERSVDVLGVLWHPECLICGACHNPITIHEVETHVSNLRGKFHKNCYRRYCYVCQEKVKIRMFNKHPFWKENYCPDHDSDGTHKCFSCERLEVSLPFKTQPKGTDFVELDDGRRLCLQCMDSAVMDTYEVQPLHFEIREFFEGLNMKIEREFPFLLVEKQALNKAEEEEKIDNQEDGVVTRGICLSEEQIVTSVSKGPKMGPNKQLIGKTTESQKVVSGCPVTAILILYGLPRLLTGYILAHEMMHAYLRLNGCRNLNTVMEEGICQVLGHMWLETQTYATIDAAASSAAPAAAAESSSSSSRSPSEASAGKKGEWSEFEKKLVEFCKNQIETDESAAYGDGFRKVNDMVTNSSLKDTLKEILRRG >A07p046890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25253526:25257916:1 gene:A07p046890.1_BraROA transcript:A07p046890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNANSNVFLEEWLRVVSGSSVSSGLVKQDSAPSARSIIQAWSEIRESLQSQRFDTRYLQALRALVSSESTIHVADPQAKLLIAILSLKNVSLPYESCTLVMRLLYVWIRKAFRPSQALVGSAVQAIRGVVVDDGRSNLQPVLLAQSVLVAGAFASVHSLSGELKVLSLELLCRLLEGEGSLVGSREELVPVVLAGIGYGLSSSSDVHYVRLLDSLFGCSIWLKDGSVTHGLMILHLVEWVVSGYMRSNYVNKMSLFANEVLETSKADYTPFAVLMAAAGVLRASAAGSQNLEVVSKLRNSAERRLEAVAQVLVSNGNVTLPTTHRDDLMLKCFAIALARCGTVSPSPPLLLCLASALLTQVFPLSHIYESFCKDPIGPRLIWVREHISGLLFKESGAITGAFCNQYAKANEENKYIVENMIWGFCQNLYLQHRQIALLLRGVEDTLLGDIEKIAESSFLMVVVFALAVTKQWLNPIVSEERKMETSVKILVSFSCVEYFRHIRLPEYMETIREVISCVQENDATCVSFVESIPAYGSLTNPKDLFTQRIEYEWSRDDVQTSRILFYLRVIPTCIGRLSASAFRRVVASTIYIGHPNRKVARASHTLFVAFLSSAKESEEDEINQLKEDLVYYYMERSLEGYPEITPFEGLASGVAALTRHLPAGSPAIFYSVHSLVEKASTFNTDESQGRKSDPGNQILDLLLRLVSLVDIQVLPYLMKSLAQLIIMLPKERQNMVLGELYGQVAESDDVIRKPSLVSWLQSLNYLCSNNRTQGSESGIPYVDVPSKERPTCCVLILKKPAKGELSQKVQEKLKTDYDQVVEDVKELASSTVIMEDQNQTSFTFMIDNLSDKRIESPKFLNGSYEWVVDVYSKEEDHKLNVYHFLMVANPESLRPGFIIRVSFFFVMLNQSGKELYRTKEMCKLFCDKVCDKAKGWGCYESLPLEFETNKIILKLEIVKVVEVVDWNEMIEFNGFQIHYSQIEPVNSLFLDHRGFTHNFRLKTNY >A05p006040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2391818:2393973:-1 gene:A05p006040.1_BraROA transcript:A05p006040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTTKWIDEVRTERGSDVIVVLVGNKTDLVDKRQVSIEEAEAKARELSVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSSNANASLAQQQSGGCSC >A01g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7846902:7847591:1 gene:A01g502230.1_BraROA transcript:A01g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDAKAPHLSSNLPSTLPWKFNKHVQPNKGLTRQCNGNSEELSCVIVVIRDGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVLRTRPCRESDSDPEDLEHAEKLRQIKTVIEEVL >A09p035360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21225076:21225625:1 gene:A09p035360.1_BraROA transcript:A09p035360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKERDMSPKNKWVALDDNDFTAEEFPLSGWGPNFTPGDLSGTIDIPLPDCNFDDFFASLSLNLDPPPTLDKLSSEKEIARLQGEAAARSIRDPELAKKHARALRRTERKGRQKLAAMVNNCAAHFATEFGRLNEA >A06p048430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25647036:25648143:1 gene:A06p048430.1_BraROA transcript:A06p048430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTSNDDDVDDFNDYDPTPYSGGYDITVTYGRSVPPSDETCYPLSSRSGDAFEYQRPVFSSSKEPAAYGDQALNTEYSSYARPKTRPGGHGGAHVEGGKKPEYGGSGSDFGRKPDSGRTEVEYGRRPESGGYGGGRTEVEYGRKPESEHGSGYGHRKDEDDDKKESHKKNSGKDCDDEKSKMKEKKKDKDKKKDGYNSGDDEKKNKNKNKDHHDDYDEKKKNKNKDHDYDEKKKKKDYHHGSDDDKKKKTDYHHGSDDDKKKKKDHYDDKKKKDHYGSDEDKKKNKKDKHYKGHREYDD >A01g502570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8775649:8776392:1 gene:A01g502570.1_BraROA transcript:A01g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYWRSQPKPFLTGKPWSHVDGPSFLSFKLSQDVMMFWNDSFPRFEDVTHPWSFRVKFALPLYEEVTLCSTTLLPHCEAVTWNCVFVAMDSIVSDWSTWLWWSCSQLLGSSKRCFVTSEFVAGFSSIGYDCSVIGSWIEACDPHHSSSRVSEYSVLVVKAILLHKASPSATSFGLSSLQCLSDSIVPRFALRLGMDLNEITGFFIFENLVTLFTPLSCCSNLCTAICLVVTFAKGLVPKLCCLNTLV >A02g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3261928:3263544:1 gene:A02g501050.1_BraROA transcript:A02g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSGFDATQCNQNFRLSGSPLLIRFNDSTNLDEITEPVAAIPQERFRFNDHNIVAEVTAVKSILSDPPQGKDHSMATVKIDRLLSDDTGNTSTTKLLRGYAKIEPMTIAELNDFIITAQPQEIEFLCTGKVTGFNNAVSSYNTNIVGGEEDNPEDSRSPPLPIIADNIGKTYTFQVRVRSYNFTANHQTFTISRIFDERDSLPLPDYVAHDGNDDHGDDMHGIIS >A03p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6627016:6628594:-1 gene:A03p016620.1_BraROA transcript:A03p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAISGNLKNLKIDTGRSETLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGVSRLGGSSAFVGKVGDDEFGRMLAEILRLNNVDNSGMRFDHEARTGLAFVTLRGDGEREFLFFRHPSADMRLLESELDKNLIQKAKIFHYGSISLIEEPCRSTQLAAMKIAKASGSLLSYDPNLRLPLWPSEEAARKEIMSIWNLADVIKISEEEITFLTGGDDPYDDEVVLRKLFHPNLKLLVVSEGPNGCRYYTQEFKGRVGGVKVKAVDTTGAGDAFVSGLLNSLASDLTLLTDEKKLREALLFANACGAITATERGAIPAMPTMDAVQDLLSSSRS >A04p039220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22178643:22181367:-1 gene:A04p039220.1_BraROA transcript:A04p039220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVIVGESEDVSFTKPLGRLVSPQGLDAAIVMLSGQVADGFATVFVGESIDRFGHFKIWHAAGSLLVAISFSSVFGGCLPCTILHSNSLTLETLSYSMFAAIFNIGWAATQVSHMAMVNYITLNSTSRVALTSSRNAFSMVANLALYAIALVVFDVSKADTKENTESQYRWIAYSCITVGCCFVVVFLMGTKEPRLRITLRETNRARIPWAYWFRKILYYQVAMVYLLTRLVLNVSQAYLAFFVIDDLQMAQSAKALIPAIIYICSFVVSVMLQEIPWNGKRLKAYYCAGGIIWMFCGVSVLFLPRSINSFMYAISVLIGIANALILVTAISMQSVLVGSELGGCAFVCGSLSFLDKMSCGIALYVLQSHKNGTSPEDVVTSTHSFDFSVTRYGLGLVPAVCSFIGVVVTYFMELDGTILKPLCQPLLLE >A02p020300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9510972:9511552:1 gene:A02p020300.1_BraROA transcript:A02p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETAVAKSIFFTIMLNVKETHSYKTVARVEMWRFLLRIWASIVRSARRRLVSRCGVGFPGASLPRGFLVCARASSVGVWRFNGLRPDLVVYGHVVAVPETSFSSAMSLFGVLFQSASESTGKFSLAFAHVLPCGVVALSSLRQFDDMKLAAFWFSETASSEVVA >A02g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22854198:22855823:-1 gene:A02g508450.1_BraROA transcript:A02g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHFKNKGIFQVVEHEDNETWLTIPQNKSPLARKTNGKATQRPEVEPPTGSPSRYHLLSNELEEGEVDVEEDSSDEESSVESQTALEKKKQMERQKSGKKKKSQKVNPNINVGLRKDQNKGSLQQLSAGAFEVVAEATTNWNYWAEIEENFLRQKSRITWLKNGDQNTLFFFKIVQCRSSFNLIRQLILPSGETITDPQLIKIT >A01p050900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28616286:28619030:1 gene:A01p050900.1_BraROA transcript:A01p050900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHIKHVLEHDTVADKLRRRSLHFENNKQDTSSVNADRGSPITPLRTPPSGLTSAATTTSTSSFNSSSSESVTGRGGHAPVTTKNGSGRSNPSAAKCKPSTSSAVQSATNNPAAAQLSCTSAAQSYKPAASSAVQSSKPAAKSLKSTVPAAAHRRSSSASAGVKVRSSSNIPKTASGNPRACRSSLVSDSALTTVKQPGKSSTTPPQPVKIVPAGNIIPSGKVHITGMSQGVTKSMVLGPGSKSYGYGSVVRGNSYSPTTAVSSSGTLVISRGGGSEADRSWQRVMNSPNPEEAKRLGNEMFKKRSFSLALKFYDRALELSPTNAKYHSNRAAALSGLGRIGEAVVECEQAIQLDPNLARAHHRLATLLIRLGQVDSAGKHLFCVGEPSDPMVVKVLQQVDRHLNKCADARRRGLWNVVLTQASAAMESGADMSPQLVMCKVEALLKLQRLDEAQTILASSPNMELLPASFLKIRFFDMISQAYIYFVKSQIELALGRFENAVTFTEKASEIDPRNSEIKALYRNVKLIVRARDRGNELYESERYTEAIAAYTEGLKFDQYNATLLGHRAECFFKVGYWESSIEDCNHALLILPSYTKIRRQRAASYNKLERWDEAVSDYETLRKELSYDREIAESLFHAQVALKRSRGGVVLNMEFGCEVEEISTLEEFKAALTRPGVSVVLFMKVSDRQCKEMSVFMDALCIRYPSLHFLKVDIERCPGVCDAEKVRIVPTFKIYKLGTRMKEIVCPSKEALESSVRHYSL >A06g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1678531:1682568:-1 gene:A06g500380.1_BraROA transcript:A06g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDRKILTGRSGSLTPRTGSPESIRVELTELNSSTSGIRAQGYGDAWISFGSSSDSFKKRTGGRRKMNRYGFAISRAKLVLERGKIIKDNVLFVKFQRRRVYGLPLRRRLELRKEKVSVGFMLILPVLKKDRVSGKKLIPGDDFKRVKSWQLTRGGCLNAESFRRRVMFVKKESSKSKAQIRDVCQMAGQRLRQDHIGVLSGSKKNLWSSVIFRGVSEKKAQRVSTSSRFSMVSSDEGFSVWLSYVKRMSAVSMGGVLKSRRFQRMVSSQREGVNRECVTGFVRSHGDWYLKKTKFQGQKEMSTGSVTGPARTIASKSGSTDKEVWSSQKRSKTALGLRTSEVYLCLWEHHKEAKESFCSGITEDCLLEDCLSWSKSDTSKSETERGLDKRSRVMLKVQTSMNQGLLQVEACVIQGPIANDSGEFVSKVSAHDKKQTAIAQESCCRKKGGDIGKSGTQEWT >A07p017050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10383349:10388903:-1 gene:A07p017050.1_BraROA transcript:A07p017050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-glucosidase 2 [Source:Projected from Arabidopsis thaliana (AT1G24320) UniProtKB/Swiss-Prot;Acc:Q84M89] LKNKDQSHCVKSPPARLGFLRSFTNQKTTLHSVHRFQIYSDPQMMMTGASHKIKIAPDEFQIPRVITPFPAPKLSHLPMFQGDHKESLYWGTYRPQVYFGVRARTPQSLVAGLMWLGDEKDDGKQHVMRHFCENSQDLKSFGWREHNGIDFGRQELLDQDMVLETSFLKSKEGSLGYGGDWSVRINLINKGLNDEVKRTVHVFFYLADEGGNVLKLGKNVLDLKESSLLASGSRADVGKWQMHLKSEAHVETHYCGFKTPDIVNLSDAVQKNLAVQANKSVRLQLSDTSEDSSSIYVFQISTTTQSTIDIAFVSGIRGESFDVEQRIMSLTGSPLSSLLEEKHIAFDAKFKECFHLSEKLHSETLMVGKAAIGNMLGGIGYFYGQSKIQAPKMTQAKSEDEFLLYWPAELYTAVPSRPVFPRGFLWDEGFHQLLVWRWDFRITLEILGNWLDLMNIDGWIPREQILGDEALSKIPKQYVVQIPSNGNPPTLLLVIRDLINGIRAEKFNKEERDEILSFLDLAFLRLDAWFQWFNTSQKGKEMGSYYWHGRDSLTNRELNPQSLSSGFDDYPRASHPTEDERHVDLRCWMYLAADCMNSIIEFLGEKGRPVTVDYSSTVKLLSDFNLLNQMHYDHDLGAYLDFGNHTEDVRLVWKEVTGEDGRVSRELVRETFGKPELRLVPHIGYVSFFPFMFRIIPPTSSILEKQLELISNRSIVWSDYGLLSLGKTSSLYMKYNTEHEAPYWRGAIWMNMNYMILSSLHHYSIVDGPYMDKARTIYEELRSNLIRNVVRNYDETGYIWEHYDQTKGTGEGGRVFTGWSALILLIMSEEWYVLAHGSYMLGDGKQEQQWETEGSEAVFSEMLKKGSSNMKKVAAAESSSDLSEGNKGGGKKKGRQLDPVLLGFKVTSNRIMGEIHRADDF >A03p009210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3691761:3695082:-1 gene:A03p009210.1_BraROA transcript:A03p009210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMKMEETAIVWTLLQSDLRPIQDVLAEFNSKFTRSRYFPVCSSLSILLQARALSSPSRYRALFMFSLLGPLIGYFRLSKLGFGLTFLQDPMILRSTDRLIAFAIMHQCYSSVKPSLNPFVSEMINAACNEQAEKYERAFLLHLFQWNSYNNAKEIFKLSAADYIKTFDPSTNDFPELGELQREYGNKADGPSSHMFADYALKKLLHDPDVPRGCDPNSPEFDVQVQPGGISKIGSGDRNEAISGILGSLTMGGLAPRWIRPCPPRYPVHQSELLWIDPDNKHELVWDDKMCADTSRGATVRDLLVKGLKVTLSPTEQEDITTALSNDPKLVYHCGITPRKLPQLVEHNPQIAVEILTKLINSPEIVDYFTALVSMEMSLHSMEVVNRLTTAVELPKEFIRMFITNCISSCENAKQQDKYMQNRLVRLVCVFLQSLIRNNIIDVKDLFIEVQAFCIDFSRVREAAGLFRLLKTLE >A01p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20101236:20102258:1 gene:A01p025450.1_BraROA transcript:A01p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Em-like protein GEA1 [Source:Projected from Arabidopsis thaliana (AT3G51810) UniProtKB/Swiss-Prot;Acc:Q07187] MASKQQSREKLDEKARQGETVVPGGTGGKSVEAQERLAEGRSKGGQTRKEQLGHEGYQEMGHKGGETRKEQLGHEGYQEMGHKGGETRKEQLGHEGYQEMGHKGGETRKEQLGHEGYQEMGHKGGETRKEQLGHEGYKEMGRKGGLSTMDKSGGERAEEEGIEIDESKFTNK >A04p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19550956:19552296:-1 gene:A04p033660.1_BraROA transcript:A04p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKQRKTERAEVAARLAAEDLHDINKHRDDDVALYKVTERTVEHPPEQERPGVIGSMFRAVQGTYEHARDAVVGKSHDAAVATGEGAKMASEKAAGAKDATLETAKETADYTADKAKEAKDKTAEKMGEYKDYTVDKAVEAKDKTAEKAKDTANYTADKAKEAKDKTAQKVGEYKDYTADKAKEAKDYTAEKAVEAKDKTVEKAAEGKDAGVGKLGELKDSAIDTAKRAMGFLSGKTEETKQKAIETKDSAKEKMEEAGEETRRKMEEMRIEGKELKDQAGEKAREAAQKTRESTDSAAERAHETKDSAAVRGNEAKGTIFGALGNVTDAIKSKLTMPSDIVEETRAAREHGGTGRTVVEVKVEDIKPGKVATSLDEARKDKGKL >A06p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2597743:2600802:-1 gene:A06p007500.1_BraROA transcript:A06p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G09790) UniProtKB/Swiss-Prot;Acc:O04500] MGATLNLVYAVTIILFTISSTSHGYDPLDPFGKITIKWDLLLSSPGHHTVQVKLENMQEYRHIDKPGWKLSWHWESKQVIWDMRGAETTEQGNCSAFASSGTLPHCCLRRPTIVDLLPGSPFNSQVSNCCRGGVLTSMSQDHTNHVSVFHMTIGSFPDDSGEFTMPSNFDIGVPGYSCDNATSVAPTKYSTDKGRRKTQALAATWEAVCVYSQFRSSQTPKCCVSLSAFYYRNIVPCPTCSCGCSSSHCVKPGEMPPYLEQKHDPDEEVSPVVECTKHMCPIHIHWHVKVNYREYWRVKITATNLNTMKNYTDWNLVVLHPNLKSVEQVFSFNYKSLTPYHNGINDTGMFWGVKFYNDVLLQAGKIGNVQTELLLKKDMGNFSFREGWAFPRRILFNGDECVMPSPDDYPRLPGYASSSTSSSSAASSFVTIMIITLESRIRKNNFEKLEQYVVIPSDSGIYHSLEIAEKARDTNRAILIGKFDQGSQPSLDKGRKLQILTSHTLKPVPITSEYWIPSKSKPRCINRVWY >A09p058970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49492882:49494196:-1 gene:A09p058970.1_BraROA transcript:A09p058970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP interactive partner 4 [Source:Projected from Arabidopsis thaliana (AT3G53350) UniProtKB/TrEMBL;Acc:A0A1I9LNX3] MQTPKLRPGSLELPHKKSSLPAPKLARRVKPSGLESDPKTKTSPKTQTPKVATADRRSPRIPLNEIQKKRTGRVPELESQVAQLQEELKKAKEELNRSEALRREAKQEAEEAKQQLREINTSEDSRIEELRKLSQERDKTWQSEVDAMKRQHGMDSAALSSAITEVQKLKSKLFETESELENSRFEVRSLEKLVRDSSSSSGEVETLKEGMELARQEISQLKSAVEVAERRYQDEYIQSTLQIRSAYEQAEAVKTKYSQREAELAEELKRTKAEVEVLHKGCEAAEDFKKLESDLVEVRGSLVDKEMELQRLRSEMEKRVESANTEAMEAELRRVKVQCEQWRKAAETAASILNNEEERIDSTENGKMLKKFGVLLKKNHK >A03p021040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8695109:8695483:1 gene:A03p021040.1_BraROA transcript:A03p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKFKKSCEKKLKMMTCKVITPCTFCKTCCQRICWAFKKESEVIPKDVPKGHLVVYVGEESRRFVIKISLLTHPLFKALLDQTQEAYGFSADSRLWIPCDVSTFLHVVRCAGAPQRQNKCMCI >A08p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14887365:14892103:-1 gene:A08p022620.1_BraROA transcript:A08p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKELHPLCCITLESPHGIDDNNRPPVTNFTRSRSLPATSLAGGSNRRKVTSVGSESVAGILHKWTNFGKGWRSRWFLLRNGILSYSKIRRPENLNLLSSSEDVRLIGDVSAGRLSRMDSSSGRRKPKKTVGIVHLKQVSSFRESKSEDRKFYIFTATKTLHLRTDSISDRAAWLRALASTKCIFPLRSLNGDFSFTSPKDLFISTERLKKRFHEEGINDNLVKECEQIMLSEFSEMHEQVKLLHEERTNLFDALRQLETANLEAEASGNDDNGYQLTKHAFSSLGRGKYSECSTTASSDDKQEFEDVSEEDEPSFHDTKEYFNEPNVGSGSNGYTDIKRRTKLPDPAEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKSGNGLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIACHCEGKGWKFWGDTNLKSKFWGRSIQVEPVGVLTLEFDDGEVFQWSKVTSTIYNIILGKLYCDHHGVMQIRGNRQYSCTLKFKEQSILERNPHQVNGYVEDVSAKKAATVFGKWDDSLYYVAGDGVSKTKVSDPASNALLLWRRTKPPPNVTRYNLTSFAITMNELTPGLEEMLPPTDSRLRPDQRHLENGEYEKANLEKQRLERRQRMSRQLQESGWRPRWFEKQGESETFKYTGGYWEARGRRTWYDCPDIFVCLREDLHQDDIGVVLHRRNVFRHGNNFY >A08p037280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21757185:21759675:-1 gene:A08p037280.1_BraROA transcript:A08p037280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) UniProtKB/TrEMBL;Acc:F4HY43] MAPVVESSTQPWVEKYRPRQVKDVAHQEEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSNNRQGGYPCPSFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGINLGGESGARLFGSTITSRDLLDVSGSRRLIQVSIQLFDIVVEAGDDITDNQKAKICKCLAKTDKQLVDGADEYLQLLDVASNTIRALSEMSEDF >A07p024900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14367915:14369733:-1 gene:A07p024900.1_BraROA transcript:A07p024900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIEERFEDWKGREAIPGKHGGIGPAAIACVVEVMENIVFVANGFNFVEYFMSSMHYSPATAANMVTNFMGTTFLLTLLGGFIADSFLTNFTTFIIFCCLELMGLILLTFQSYSPKLQPESDKTPSTLQATILFTGLYAMAFGAGGIKASLPTHGGDQLDRGNPRLISRFFNWFYFSICCGSILAVTIVVSIEESKGWFWSFTISAGTLALALFIFMAGCLDKAMLNKNISAIEVAETRTFLGLLPIFFSTIAMNCCLAQLSTFSVQQGMIMDRKLFGSFEIPVPSLVAIPLLLVILSAPLYDYFGKRISLDISPSFNLYRIRLGLALSSFSMVIAAIVETTRKYVAVHYDFKISVLWLMVQYLLLTVADTLAFGGMLDFFYREAPSNMKSMSTALGWCSTAFGFFLSTALVEVTNTITGWLGHQWLGGEDLNETSLELFYVVLFVLNTLNLLNYNFWMKGY >A10g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14593355:14594938:-1 gene:A10g505700.1_BraROA transcript:A10g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PMR5 [Source:Projected from Arabidopsis thaliana (AT5G58600) UniProtKB/Swiss-Prot;Acc:Q9LUZ6] MNVLLHISLSAIFFLLPLLLQQEPSSSAIILSLKKRHGSSGSSSSGNQYSSSRPSSFQGNRSTCSLFMGTWVHDDSYPLYKPADCPAVVEGEFDCLMYGRPDSNYLKYRWQPQNCNLPTFNGAEFLLRMKGKNIMFAGDSLGKNQWESLICLIMSSAPSTRTQMTRGLPLSTFRFLDYRITMSFYKAPFLVDIDAVQGKRVLKLEEISGNANAWHDADLLIFNTGHWWSHTGSQQGWDLIQSGNSYYQDMDRFVAMEKALRTWAYWVETHVDRSRTQVFFLSISPTHDNPSDWAASSSSGSKNCYGETDPITGSAYPVSPYTDQLRSVIVEVLHGMHNPALLLDITLLSSLRKDGHPSVYSGLVSGSQLAKPGQSDCSHWCLPGLPDTWNQLLYTILFY >A10p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7365865:7367070:-1 gene:A10p010160.1_BraROA transcript:A10p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHGNLLLGTIPKEIGNLFIKLTAFLKNKKPVLFRICRNLQSNGLTGKLPPELGNLKYLKELHIDRNRLRGSLLAASYPSKVTSFQGNCMQNKDLKHRPSSSSQCAHESPTSLPKHQSAEIVAKHHRPKWLLALEIVTGPIRFLDVSGNIYAFGVLLLEIVSGRPPFCKDRDFLTEWLKHFNQEELETVCEAARQCLDNNSNNNKKPSVEELCEMLECEISLSISAELRSSSLAWAELALDS >A03p052550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20016489:20017526:-1 gene:A03p052550.1_BraROA transcript:A03p052550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVESYVVVHNIAKRHNVGTLARSATAFGVTELILVGRRDFNAFGSHGSASHVRFRHFHSLIEARNYLKDEKDCDICGVEIADGASAVNEHPFKRSTAFLLGNEGSGLSAKEYEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWGGFSERVRDGSKFIVADRPLRQGKRNICAGTEESIVEERKLRKESAKNGFFDEDGNGNSSSELLNGLFLNE >A01p055780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31698787:31700799:1 gene:A01p055780.1_BraROA transcript:A01p055780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potyvirus VPg interacting protein (DUF1423) [Source:Projected from Arabidopsis thaliana (AT3G07780) UniProtKB/TrEMBL;Acc:A0A1I9LQN5] MGTSSGSNLPHQMLPPRQQLQTVLSLASSDPPHLSRSSSGIVRESPAESASSQETWPTSKSIMAKKTESGGKSGPDKVSLQDIARERVELVSERMLRLPEGYLEELKNGLKAILDGNGSQPVEEFMFLQKFVQTRSDLNSKTLVRAHRVQLEILVVIKTGIQAFLHPNINLSQTNLIEIFLHKRCRNIACQNELPADDCRCEMCANRKGFCNLCMCVICSKFDFAVNTCRWIGCDVCSHWTHTDCAIRDGEISMGVSAKSVSGMGEMLFKCRACNHTSELLGWVKDVFHHCVPNWDREALMKELDFVRRIFRGSEDTRGRKLFWKCEEIIDKIKGGLAEATAAKLILMFFQEIELDSPKSLENGDSGGMIAPQDACNRIAEVVKETLRKMEIVGEEKTRMYKKARLGLEECEREMEEKAKEVAELKMERQKKKQQIEEVERIVRLKQAEAEMFQLKANEAKMEAERLERIVKAKKEKTEEEYASNYLKQRLSEAEAEKEYLFQKLKEQESGGNGGGEASQSVMYSKIREMLSGYNAPSPRVDPRSNQRNHFGSNP >A03p054660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23497716:23501308:1 gene:A03p054660.1_BraROA transcript:A03p054660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVEMKFTIFHYLRVLFFFFFFMALSSYSFVVRGDKSSVLIVDVRSFGARANDHRDNTKAFVAAWDMACKSSSSSVDLIIPRGEFHVGPIRFSGPCTNVTHLTVRVKGHLKASTDLSKYRSGGGWIEFGWINGLTLTGGGTFDGQGALAWPFNNCITDSHCKLLPTSLKFVGMNRTIVRRISSVNSKFFHIALVQCKDFKGTRLNITAPFNSPNTDGIHIERSSNVYFSRSHIATGDDCVSIGQGNSQITITSIKCGPGHGISVGSLGRYPNEKDVKGLVVRDCKMSGTTNGIRIKTWADSPGLSAATNMTFQNIIMSNVTNPIIIDQSYCPFSSCSSNVPSKVKLSEIYFKNIRGTSSSKVAVQLHCSKGMPCKKVYLENVHLYLASSSGGGSGKQHSSNGGSEAVSSSCRYVRANYIGTQSPPPYLKNSMPMNLEANLIEATSSEPQTKNYKRWIRLSIYVFFVLFFQPLATILGRLYYENGGESTYVVTLLPLIGFPVLILFNFFSQLRQQPKSTDRDFSQSPSFTTLVSVYMCTGLLLSAYAYLYAIGLLYLPVSTFSLILASQLAFTAFFSYFLNSQKFTPFIVNSLFLLTVSSALLVVDTESQDTTNVSRVQYVLGFICTLGASAGIGLLLSLIQLLFRKVFKEHTSSVVMDLTIYQSLVASCVVLIGLFASGEWRTLPSEMRNYKLGQVSYVVTLVSAAISWQVYTVGLVGLIFESSSVFSNSITAVGLPIVPVIAVIVFHDKMEASKIFSIVLAIWGFLSFVYQHYLDEKKLKTCNTDPVENDGTQTW >A07p048700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25977691:25978776:-1 gene:A07p048700.1_BraROA transcript:A07p048700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:24-methylenesterol C-methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT1G76090) UniProtKB/Swiss-Prot;Acc:Q94JS4] MDSVTLYCTAALAAVGGIYWFVCVLGPAERKGKRALDLSGGSISAENVKDNYNQYWSFFRKPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSDKDATRIHEEMAVDLIKIYRAMKPGSLYVSYEWVTTDKYRDDDEEHREVIQGIERGDALPGMRSYSDIAAAARRVGFEVVQEKDLAKPPAKPWWNRLKMGRIAYWRNHVVVVVLSAVGIAPKGTVDVHEMLFKTADYLSRGGETGIFSPMHMILCRKPEKASSE >A02p010530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4542557:4544841:1 gene:A02p010530.1_BraROA transcript:A02p010530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIVWWLVTVVLVAVHSASARVVELDWEVEYKFWWPDCKEGIVMAINGQFPGPTIDAVAGDIVIIHLTNKLSTEGVVIHWHGIRQRGTPWSDGAAGVTQCPINPGETFTYNFTVDKPGTHFYHGHYGMQRSAGLYGMMIVRSPKEKLRYDGEFNLLLSDWWHQSTHSQELALSSSPMRWIGEPQSLLINGRGQFNCSQAAYLSNEGKRQCKFRKNDQCAPETLRVRPNKVYRLRIASTTALASLNLAVEGHKLEVVEADGNYVKPFTVDDIDIYSGETYSVLLRTHSFPTKKHFISVGVRGRKPNTTQALTVLSYIDAPESARPSLPPPVTPRWDDYNRSISFSNKFFAAKGYPPPPEKSDEQLYLLNTQNLIDGHTKWAINNVSLSVTATPYIGAIRYGLNTLSYLKSPAKELVKSYDITKPPANPTTTKSSGIYKFPMGIVVDVVLQNANVLKGKISEIHPWHLHGHDFWVLGYGDGKFRPGVDEKKYNLKNPPLRNTVALYPFGWTALRFVTDNPGVWFFHCHIEPHLHMGMGVVFAEGVDRIAKMDIPDEVLGCGLTRKWLMNRGRH >A02p041810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26189989:26198794:1 gene:A02p041810.1_BraROA transcript:A02p041810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDHQVFISFRGADVRKNFLSFLTDGLKRACVNYYVDTKETKGEVLDILLQRIQESRLVLIILSENYMQSNWCIKELRTTTKDIKESRRKVIPIFYNVQVADVKDKWKVGEQAEGVEGEETVEEREKNVKEALMILTRHMGMRSDEYGTDCEFIEHIVKEVKKVLTSIEQEEKVKASVNTTVRSEGEKQEVSSLTGTNLPGEEEELETKENVKFFGITQRLQKLQEKLGMHGVVSKETRIIGVLGMAGIGKTTIAHKFFEEGKNKFHRRMFFDDIDKTSKEEGLTELRVRLLRKLLKKKDKTITEETTHESVETELLDSNVFLVLDNVSNKKQLEYLLGNRRWISQGSKVVIVTSDKSLVEDVVSDTYVVPGLNEKEGLECFCYHAFGDHKVHEGSLMKQSREFVDYARGNPLALKVLGPELRGRDKAHWESKLLQLAQSPSNVLNVSYDGLSQQQKEAFLDVTCFFRSENHKFVTALVDSEPDKGSSEIRDLADKFLIDITGGRGKSTINGIRGMFLDMSEVGTDTALSKEAFNGMDNLRYLKIYDSQFPQENEAGYKLHFSNGVKLPLQKIRYLHWLRFPGEELPQDFNPKNLIDLKLPYSKIKRLWDGVKDTSKLTWVDLSHSVNLSELSGLLGSQNLRRLNLEGCKELKTLDARMENLTSLVFLNLRGCSSLVSLTEMNMESLTTLILSDCSNLEEFQIISEQLEALYLDGTSIKTLPPSMIKLQKLVLLNLKDCTNLATVPGCLGNMKALQEVILSEVPKLLQNPGLSRWPHHGGVKGFPLLRHISLRGNDKIQSLQPDIGDLYHLKYIDLKFCKNLASVPTLPPNLQCLNAHGCESLTTLGNPLAHLVLTDQIHATLIFSNCNKLDEDAKTGIISYIQKKSQLMSDALDRYNLGSVVESFVGACFPGCEVHASLSHQAYGSVIVLPELSRHWSNNGTTGVVLCAVVCSTDYQDQNNHVLVKCTCEFKTSDGSLRQFSCMVGGNRPRTINSDHVFVGYTSWLQIKKQKEADDDDDKKDYSCSDDDQASLRFEVIDSTRELVKNCQVIKCGFSLVNESDEAESFSWEVNRIHGEASEMEVINQQGETSTVSNNSTQGVLASRIIDNEEALRSQQRETRSIGNNNNDTNGVLMLLQAYSDDSELTDGDESQRMEEINQQGHKISIGDVSMVSQDSEESDESEINEQDETNSCVSSEDQGNSYVQECGRVTENTSGQMIKPVGLLCLMISISAGAAFILGKRKR >A08p045610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24975376:24981153:-1 gene:A08p045610.1_BraROA transcript:A08p045610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAVSGEVPVEPVVSKKTGLLYEKRLIERHISLLVNYIPLLTLLPSKGNATSGTIIKPKPLHTASIPGLLGSFQTEWDSLMLTNFSLEQQLHTARQELSHALYQHDAACRVIARLKKERDEARQLLSEAERQLPAAPEAATANATLSNGKRAADGGEQGPDAKKMRLGISTEVITELTDCNAALSQQRKKRQIPPTLASIDALEKFTQLSSHPLHKTNKPGIFSMDILHSKDVIATGGIDTTAVLFDRASGQILSTLTGHSKKVTSIKFVGDTDLVLTASSDKTVRIWGSSEDGNYACRHTLKDHSAEVRAVTVHATNKYFVSASLDSTWCLYDMSSGLCLAQVTDDSEKVDYTAAAFHPDGLILGTGTAQSIVKIWDVKSQANVAKFGGHTGEITSVSFSENGYFLATAALDGVRLWDLRKLKNFRTFEFPDANSVEFDHSGSYLGIAASDIRVFQTASVKAEWNPVKTLPDLSGTGKATCVKFGPDAKYVAVGSMDRNLRIFERNNSSDYRQRAHLPLPPSRPFHFIIIIFFFLSPNHFRFVAKFHRISNQTQLRYIKEAREIRLAMQPLELIKRVKEIQQEASTGHETDVKQNTEAVDLSKRRKAFLPTNEESSLKALEEWRKRKMERARQRDLEKTGGVSSSKTS >A09g514640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43677688:43678872:1 gene:A09g514640.1_BraROA transcript:A09g514640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSYKLSRIYHVQTLLCGVSLGMVFTHPKAARNAFCFEFKRLDPAIILDKAITEAQIWSELQAPPRSEIAYAPYLTVEVLGLLVTRMVKLSSIVKASFSNVSNPLEADLCSLLWSIEAMRSLRMNKVVFESSSVSLRDAFLYPSYDFPTWPLVLNIRHAFDGFGEWRVEHVIPVSNKVASMIALSVTTDLHYQSYVASGGPSWLRNLLEQEAANPHSYTIVFTLYLES >A07g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13746073:13748476:1 gene:A07g505950.1_BraROA transcript:A07g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGPVRQTTYLGSRLAVDDLPGSRLAVDNLPGSRLAVDDLPGSRLVNAEVIFAIDFEICNLRQLKVKSSTIIIPLQRQAWIPLDMEENFVRRLLGSPYDFKEVQTTSRKFRRLCQKTLRSSDDFQTTLQEVQTTFRKSRRLPGSPDDFVRRLPRSPDDFQTTNRTLYNKKLPNEEKSDIRTYQNALNYYERETSSKDFQEVQTTSWKSRRLCQKTSKKSRRLPDD >A02p016050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7164224:7166852:1 gene:A02p016050.1_BraROA transcript:A02p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTDDDPQTKPETSSDGAVSRSARTSFQAVSDCLRAISSGASTVARSAASAASSVVNPDADSLHDKVLWAGFDKLEREDGDTRRVLLLAFRSGFQIWDVEDTGNVHVIVSAHDGHAAFMQMLPYPLVSEEIDDAFAESRPLLAVCGDSSWVEVLDIESASDNAESETVVPTHVNVYSLKSNSYVHKLKFESVIYTIRCSSRIVAVLQSDQIHCFDAKTLVEEYNIHTNSIAYGSLGVGYGPLAVGPRWIAYSGSRIDESSSTVFTSELLPLSSSPGVAQFAIESSKHIVTGIARLGGKGYKSLSNFCAEGLPNPYLPGFKGIGGGASEKAQDAGDIGMVIISDVISKSVICQFKAHKNPISALSFDPSGMLLVSASTQGHNINVFKIMPRISNTSDAPPIECFMHLFRLHRGYTYAVIQDMSFSNDSSLIVVSSSRGTSHVFEINPEREAHIPVTLSPVIRIRSRDISGWMGTVSGAAAGMVGGGGGGSHSGSTTSTFSYCVEQQNKNTHYGSTASDNSSVTRNLLVFAPSGCMTQYALKAGEGGEALVMTGIDSESGAETEGPVVRIRRWYMVQNRSRREMQDQQRVVYGGGGATSGSGSNVFPEIVRKESAEEEPWKANKKGKARRVVDNNHQLYVSQTELRMYQPSHLPLWEWDNCRFEKLVLDVDEESNGEMEIEKIKGQTIEARTRGSHPVWG >A07g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1044223:1044669:1 gene:A07g500480.1_BraROA transcript:A07g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRLLIFRTLNSLFVSCLQKACLIYLFHVLNTGNDNMVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRRFN >A07p024130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13907218:13908189:1 gene:A07p024130.1_BraROA transcript:A07p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDSGSVRPDDLQVSRLAVHDLPESRLSRLITDFKVNCKNNLCVDQTTYILVGWKT >A03p021150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8735807:8736307:-1 gene:A03p021150.1_BraROA transcript:A03p021150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIARIMRPRSIAKELSGTVREILGTCVSVGCTVDGKDPKDIQQEIQEGEVEIPEN >A05p021460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10205144:10205841:1 gene:A05p021460.1_BraROA transcript:A05p021460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDSLVKSPVEYVCVEKPSLTDSITSYLWIESRKQLKIRSDLGGKEVAAILIWGHCPIA >A01p050250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28330033:28330535:-1 gene:A01p050250.1_BraROA transcript:A01p050250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSLMLGCSNGTVAIATAMVFSSTALFLATARQFYGNQTSQVRDQTPPSPLLRSCLSSSEAMKKHRSKKKKVRFAENVKDTEGNGKEYRKRRELSRRIVHESVTQPGKTGSVCGISTMPANRMALYNGILRDRSHRTQCSY >A06p053040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28017324:28019610:1 gene:A06p053040.1_BraROA transcript:A06p053040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKEFFTEYGEASQYQIQEVVGKGSYGVVASAECPHTGGKVAIKKMTNVFEHVSDAIRILREIKLLRLLKHPDIVEIKHIMLPPCRKEFKDIYVVFELMESDLHHVLKVNDDLTPQHHQFFLYQLLRGLKFMHSAHVFHRDLKPKNILANADCKIKICDLGLARVSFTDSPSAVFWTDYVATRWYRAPELCGSFYSNYTPAIDMWSVGCIFAEMLTGKPLFPGKNVVHQLELVTDLLGTPSPITLSRIRNEKARKYLSNMRRKDPVPFTHKFPNIDPVALKLLQRLVAFDPKDRPSAEEALADPYFQGLANVDYEPSRQPISKLEFEFERRKLTRDDVRELMYREILEYHPQMLQEYLQGEENINSHFMYPSGVDQFKQEFARLEEHDDNEEERNSPPIQRKYTSLPRERVCSSEDEGGDSVHAQLPSSSVVFTPPQTPNTETGLSTKKTAQVDKAAATPVKRSACLVRSDSICASRCVGVSSAVS >A04p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7579179:7582245:1 gene:A04p009700.1_BraROA transcript:A04p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSARSNQTQFTLIRTPQTKQRLNFHPKTPNPDSSKDHHLPPPEHPVEVVGRIRDYPERKEKPASILQVNPDNQTVRVRAEVGYRDFTLDGVSFSEEEGIESFYKKFIEERIKGVRVGNKCTIMMYGPTGAGKSHTMFGCGKEPGIVYRSLRDILGDSDQDGGVTFVQVSVLEVYNEEIYDLLSTNSSNNLGIGWPKGGSTKVRLEVMGKKAKNATFISGTEAGKISKEIVKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEMHKTLCTLEYGAKAKCIVRGSHTPNKDKNGGDESSSSAVILGTRIAAMDEFISKLQSEKKQQEKERNDAQKQLKKKEEEVAALRSLLIQKEACAPNEEAIKEKVNERTQLLKAELEKKLEECRRMAEEFVEMERRRMEERIVQQQEELEMMRRRLEEIEAEFRRSRDGGDTVKSVDETSGFAKRLRGLYSDDDMVKSMDLDMGDPEPVRQVWGQTAVSYQPSNTISSNLSDVLQPKPSESMSCNQMYPDRVCLSTVFEEEEVEEDEEKVIIEDKSICSITTTPVPSLNFGGMGGEENSFNITDDKESAMSRKMRIQNIFTLCGNQREMSQHTGQENDQLLPMTTNKAEALAVEEAKENNISVDEGNNGQIDIYVKWEATTADNPRKQLITTLRVTKDATLADLRKLIEIYLGSDNQAFTFLKLGGPCGAQVAKEKESTVEATSLPFCNGHAYLATLRPGKSSSQLRSLTQASPLPLTPIENKMQFTTPISKVTQKHQVDDELSSPIAAHLSSTPFITLRRH >A09p057990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49025502:49026353:1 gene:A09p057990.1_BraROA transcript:A09p057990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGISPMREMMHDQWEQERHMTSHDSDGEETADTEWDEKGRNIISHIYISYRNFITSIQFGYLNSEDDEALTLSMKFGPSEGHSFRAVSVILKQDEYVTGLSGVHGYGMRDGIKSLTFHTNCGEHEPIGSVNDNSAIGFKIDIDPGIRDRREFGGLFGSYSKNNLSSVGIYVSPIARYDMVAKRENIGPPRLCSSLQQLLSGSVCCLVFSEIK >SC166g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:228937:234719:1 gene:SC166g500110.1_BraROA transcript:SC166g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTDGVCTDGTASVRPGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPNADGKSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPNACVTF >A05p048060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28194458:28197382:1 gene:A05p048060.1_BraROA transcript:A05p048060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAQQNRCRRYFISCERRLFFLFFHRRGWRKLVRKVIAGAASDRQIGVSESEPFFTMPSLRSDSSIKLLITYSEELVDGKPLYASSNSLPVKALNREPAGHAFHSAALKLHGCAEEPTDSEGDDKKAGGDDKEKEYVPSFNSYSNKGKKKSGTQQQDHYALLGLSNLRYLATEDQIRKSYREAALKHHPDKMASLLLAEETEEAKEAKKEEIESRFKAIQEAYEVLMDPLKRRIFDSTDEFDDEVPTDCAPQDFFKVFGPAFKRNARWSVNQRIPDLGDENTKLKEVDRFYNFWYAFKSWREFPDEEEHDLEQADSREERRWMEKENARATAKARKEEHARIRTLVDNAYRKDPRIVKRKEEEKAEKQQKKDAKLMAKKKQEEEAAIAAAEEKRRKEEEEKRAVESAQQQKKAKEKEKKLIRKERNRLRALSAPVVAQRLLDISEEDIESLCMTLNIEELQSLCDKMGNKEGVELAKVIKDGSRDQEANSKEKESEKPNGGGAERVSVLESTQKKQPWSKEEIDMLRKGMIKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPTATIASPLSTREELGESLPAGATTKVKPPVKETVVVGQSSESNGEASGSSVDTDGWSSVQERALVQALKTFPKETSQRWERVAAAVPGKTVIQCKKKFAELKEIIRSKKTAV >A04g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10611478:10615511:1 gene:A04g504910.1_BraROA transcript:A04g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPDPPRPSLKRSRSSPTLSPPLHQKALTLPAPSSYPSEITKPATTTRSSGVADPDSFTPNHTILTSPAPSSSLSETSNLATTTLSSGVAGPDSTPPNLIPQISDPNLSCLLSLGLNDSDKHRPFVSWLNSQHVYFGALLETHIKELTLPHIMSSICPRWNYASNHQADDDGRVILIWRDPLSVSIISQSRQSVTCEIKIPGLPAFIYTAVYAANTSQERTDLWTELTHLHSVFDLDSKPWMMGGDFNQILHSTEQSVPFDYNNSSAMYQFRDTLVQVGLFDSRFQGPSFSWSNKQHALPIAKKLDRMLLNYASIQSFPHATSFFQAPMISDHSPCLTDLAFPLPKAGTQPFKFLNYLTKHPNFHQVVQDAWIQAGSLCMDLASLCWKLKNIKRELRTLNKENFSNIQERVQSLDSPSAETFLQDKRLTLLCWQSTIYFIWRERNDRLHRANFRSPELIIRGLDRLIRNRIQSIRVENPRSSSAMMQDWLGSN >A03p046290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19513643:19515019:1 gene:A03p046290.1_BraROA transcript:A03p046290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSATAADTSKTVKLERYNSYLRKIHSTKVLNASSKVLFRATLLVALVLLLLFAFNYHPLSDSRAAASHHLHRRSFLSTGIFSSSSSSSSSAWEKRVRQSSTAKRQHGLSVLVTGAAGFVGSHCSIALRKRGDGVLGFDNFNDYYDTSLKRARQNLLEKQQVFIVEGDLNDGPLLRKLFDIVPFTHVLHLAAQAGVRYAMKNPQSYISSNIAGLVNLLEVAKAANPQPAIVWASSSSVYGLNTENPFSEEHRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKAILHGKSIDIYRTQDNQEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRGPAQLRVYNLGNTSPVPVGRLVSILEGLLGTKAKKHLIKMPRNGDVPYTHANVSLAYRDFGYKPTTDLATGLRKFVKWYVGYYGIQPRVKKENSHADDSA >A03g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19826138:19832138:1 gene:A03g505590.1_BraROA transcript:A03g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INNEFDNRDRSIQIYKTKKNAKYILIDLVHFTRSAKVDSVQIDRSTKNRSIDPVPRKESQIDFFGFFINPNSLNSLIWTIIWPNSYDFVSFLRIYHSLVRSAKGILHSFMESHIDGVVLLATDFVQKDEKKDEREFGEEKGEDQTADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCYLAASSKGNIDTDMKNFLEDLVQASFTGFGEKFCQQFSDRLGKIETEVTQLRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELVGKSDQARGPSLTKINSGPSTSKKGTAPTKKKAVKNQELKTADSCVNLPRAKVTQSSASDLRMGTQEFLESCMKNLPLDTFVKGLNPSQAKVEDSLDWLELPKSLKKPTDSLELQKSLKKPAVRLDDRDIELDGENFPDRCLVFVHPSDFKKMQDWQDTRTAILIGPSMLDGDLAGRIMSASSWLKNYEIDAIMYVFRERTTLKRWNVDRVAFMTCVFSDLIAKDYQNFYQNLTFIFFPNFSSENGIKTPFINHISLDLTPIWTILEPNPHDFKMVKKGIPKKTKETAASTSAQPESDEPREAAPWPRDPLTPFSKLPTIHNRQISSKKELRELASYAHRDYYAGWSDYHCILYNGLQRMRFKPTKFICDYTTKELGIVRDVKKMWKNMGLGTLGYNPQPLYPDLVIQFLSSVELHYKSEVNKVASEGKLTFLCRGLLYEMSIHELCILFGFETRHEACSLPKFPCAYLLWSQIADSSYVSREAKLAMLRNPVLRVVAKYLGHLLLGKSEAGSVTEDEAQLIHYGLPLAIRPTYGVVDEPPAELSVNMGALFAQMLFERKFRGLRPLDRKPLDESIGSLLTRIFMHHGIDLSDTPCVDTVDRFDAQFFLNTKILHSGTIYRFTMPDGTILHCKLPQPAITSLTSVENMEFMPPAEVLYTPPPPASKRRRGSSSSGPAQTQCEDDTIPDISVDHTPNPSMEYLLPPYTGQFDSGAPPLDGTQQQQFAWTADTLIKLSTMMQTVWGALAKIRCPPTPSCCRAPKTSEAADMTRDDAENEPSDEATDEERGSRLHRSRRAPGQSRSCSPDDHQ >A09p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10326270:10328213:1 gene:A09p019490.1_BraROA transcript:A09p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITMIPETFNENVTQNNPLKTLFSLLKSLLSSCFFLISNIFSYHFIFTHEMESIKTLPQTFDEDATKNNPLMIFDSADLNNKSDKIPQEFVWPDHDKPSTNFPILQVPLIDLAGFLSGNPFLVSEATRLVAEAAKQHGFFLVINHGIDEKLLSGACRLMDKFFKSPSCEKHKAQRKWGESSGYASSFVGRFKKNLPWKETLSFAFSPGEKSENHSQDVKDFIVKKMGDEYKDFGIVYQEYAEAMSDLSLKIMELLGMSLGINRSHFKEFFEDNESIFRLNYYPQCKQPNVVLGSGPHCDPTSLTILQQDLVNGLQAFVDNQWQAILPNPQALVVNIGDTFMALTNGIYKSCLHRALVNSKTERKTLAFFLSPKMDKVVRPPAELGGERAYPDFTWSMLHEFVMKHYRADESTLDEFTKWHTNRGSF >A09p058060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49048449:49054461:-1 gene:A09p058060.1_BraROA transcript:A09p058060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP18 [Source:Projected from Arabidopsis thaliana (AT3G52540) UniProtKB/Swiss-Prot;Acc:Q9SVD5] MEVPFLNKNFSSSSSSNSNSSSWAWPSCHQNPRTISFRATSIANNYYDVEEEEEEEEEEEEKPLSAIILSSSFSSTSFTADGIEELPETESIENVIKGIKPSERLIFERTGKSKSILEEMRNKDSCSYPWNQSTFSTISNDSWWRWLRAFFTVNKPKNVNKPEPPSRSFSSSSTTTNIMEKPREIECIENVIRGLKSSKRLIFERRGRSNSILEEVTKEEEEDAPEEEDSIEGFMLLSLESNDPYLDFKKSMEKMVELHALHHDWRRLEKLLFLLLKVNVKTSHEYICAAFVDLLLNLAVETSKDIAQEPRPVLVEESPSSPLSFYTSYSSSDDTSSTFVRALPENLIDEKRRDVVCCLSSLFEMEEKIIDNIY >A08p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9759635:9761842:-1 gene:A08p014960.1_BraROA transcript:A08p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAASPTCQMKLTKPSPIASSKLWSSVMLKQKKQNSSKLRSFKVMALQSDNSTINRVESLLNLDTKPFTDRIIAEYIWIGGSGIDLRSKSRTLEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRGGGNILVICDTYTPAGEPIPTNKRARAAEIFSNKKVNEEIPWFGIEQEYTLLQPNVNWPLGWPVGAYPGPQGPYYCGVGAEKSWGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHVWCARYLLERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREEGGFDVIKKAILNLSLRHMEHISAYGEGNERRLTGKHETASIDQFSWGVANRGCSIRVGRDTEKKGKGYLEDRRPASNMDPYIVTSLLAETTLLWEPTLEAEALAAQKLSLKV >A07g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14701937:14702806:-1 gene:A07g506210.1_BraROA transcript:A07g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGFVALMFLLVSLTIVLEGFVWVEARTALKPSSSTNFTIENKCDYPVWPVINGTSTEFSISTTCFVLKKGEARLISVCPSTTIPTRQEKSSWKSKLIPGTISMNLNLSSFNFADHYCDGDYVESKEDEKE >A05p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5758984:5762765:1 gene:A05p013220.1_BraROA transcript:A05p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SCAR1 [Source:Projected from Arabidopsis thaliana (AT2G34150) UniProtKB/Swiss-Prot;Acc:Q6AWX6] MPLVRVEVRNVYGLGKTELHRDVDREDPKAVLDGVAVSGLVGILRQLGDLTEFATEIFHGIQEEVILTSSRSNKLKMRLKHIEATVPLIQKAVLEQPNHIQFAYTGGLEWHPRIPNVQDYFVYDDLPQFIMAPYDDCRDPPRLQLLDKFDINGPGSCLKRYTDPTHFKRSSRASKHPVIKKKKSLPRSRDVSRVASMANQSDRKTLTSLSFSGRTSSSKTASTVETESKSDLQDHRSCSFDSRSGGEKLKGVFTPSRFTPGPRTIASVLSETESEDASDDSPSQDLTARGSSCVSWHEKSEIVETDALKFKTDEAPEVMETNAEPEPGLKEHREVETVQDIKPREMEMDSEDETESEEDDFVDALYTIDSESDNDQEVQKKMPNEITEEEFDNYVCEQDTENVSNNFSLDHEAMCAAASEIHLSSPVTKPDEMIHQDPWEASDMSNGTTHSYSNGFSNPLYDTSGVQEHQESEDESSCDNEEATKLWTNGNLLGLKPSKPEIVEETIQETDAEALQEQPLREANKADFDWFTSSPQLDHMKISFTPSETLPSSELKLKLPDEYTFSSFQLVPETGGGTSLPDSDSDKDTFCRTSSYVSDNSHNQSVSISEQQSEVESGERNSQQELYDSFHRINTEASSLSAPSSELETSNGYLAGKISYLQNPAEPLPPPLPPLQWMVSKVPSERFEDNNKKSFKDTLARTLEQRSSLSTAKKEESSNVLAYDPKPDNNKQSFKETLTRALEQRSYLSTVKKEEPNNIITYDPKPDNNKQSFKDTLTRALEQRSSLSMIKKEESNSVIAYDTKPENNVRDYKQSHGNVKEIEAGDFLHQIRTKQFTLRRVVTTKASSSEATMNTNFSVILEKANSIRQAVASDDGDTWSDSDT >A06p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4200360:4202595:1 gene:A06p011400.1_BraROA transcript:A06p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASAAGDGGETSGGPAPAYDKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDPSLVHARDYDKRTPLHVASLHGWIDVVKCLIEFGADVNAQDRWKNTPLADAEGARKQKMIELLKSHGGLSYGQNGSHFEPKPVPPPIPKKCDWEIEPAELDFSNAAMIGKGSFGEIVKAYWRGTPVAVKRILPSLSDDRLVIQDFRHEVDLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGGLTPATAVNFALDIARGMTYLHNEPNVIIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFANYEPYEAAKHVSDGHRPTFRSKGCTPDLRDLIVKCWDADMNQRPSFLDILKRLDKIKETLPSDHHWGLFTS >A04p007100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9038880:9043057:1 gene:A04p007100.1_BraROA transcript:A04p007100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAAGRRIGDYAVGRQIGSGSFSVVWEGRHLVDGNVVAIKEIAMARLSKKLQDSLMSEIIILRKINHPNIIRFIDMIEAPGKINLVLEYCKGGDLSMFIQRHGKIPDATAKHFMQQLAAGLQVLRDNNIIHRDLKPQNLLLSTDDNDAALKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGRTPFTGNSQVQLFQNIMRSTELHFPVDCRDLTSDCKDLCQKLLRRNPVERLTFEEFFHHPFLSDRQPYDVPRSRSGSRTMDGFHSSGSSPSGKMEEVSHDDSLPFFLDDDSSEPEGSPSYTKHTSPMKSSYGFSVETRRERREAAASSPLKNMELNSRYSRVSHRADTNNFKFESHRLLDINQFKPSSLPDSRSLSTQGRVVDTPDSIDQDYVLISGPSVDIPSSSSGSPKLFNYPFKSPSPPVEFVKRSVTSSTAPMPIAGATGRTIGRFESLESPNSLPGTSHGSLNLVDAFEQPSTHSFTRIRSLQKCAATIAELVREKIESGKQLEAFSIQLVILAIWNQALHICHTQAASGVEGSLRQDGNRVRRNISQEGSEKILSQIQREFVLEVERAEDLAKFVESGNTKMPDAMEIIFQAALTLGIRGGVDEVVMGEAENAVNLYTKAVRLLVFLVVEAQTLILNPPLTLTNSVRYRIRTYIDNLISRLKHLQSHKRTSGPQKP >A02p044300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27899457:27900758:1 gene:A02p044300.1_BraROA transcript:A02p044300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQASRLVSRSITAKSALNRAFSTEVPSTIDSSFVEAWKKVAPNMDPPQTPSSFMKPRPSTASSIPTKLTVNFVLPYASELSGKEVDMVIIPATTGQMGVLPGHVPTIAELKPGIMSVHEGTDIKKYFVSSGFAFLHANSVADIIAVEAVPLENIDASQVQKGLAEFTQKLASATTDLEKAEAQIGVEVHSAMNAALSG >A04g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18902066:18902767:-1 gene:A04g507540.1_BraROA transcript:A04g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRLEFLGSILELGYNFLFTDMDIMWLRDPFPHLFSEVDFQVTCDHSNGNTSDPGNLVNADFKFVQANRQTVKLNKYWYELRWTFLRQERARRVQHHQTRPVCHRRTRSHDAVS >A04g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7878510:7879499:1 gene:A04g503650.1_BraROA transcript:A04g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRRIVIGAGLIHTLTDLDSYQPNVIREFIANLPEAEERDDGVAVYVRGSLVDFFPSLINSMYCILGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKLVYDQIIAMAANSQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRRGDYEQHVPHPGFEENDEQDEDEEDA >A01g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22873535:22874264:1 gene:A01g508070.1_BraROA transcript:A01g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYSIKFTATTCFRKIQPLRDSNFLILANFNTILKGLSHPMYCIDLCGAMVAVGELQQLEELGPGEIFSYQNTRMKFTLVNTELKHLKCVAYGKEAVTLNEYNLNSRAPVNVCVLRSWRIYWGEDISITNLESSSQVLFDQDMAEIQNFKSK >A06g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11950535:11952682:-1 gene:A06g503890.1_BraROA transcript:A06g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQKAFYIEETPFQVLHGHTGDVLDLAWSDSNLLLSASKDKTVRLWRVGCDERRRKLHDQQINDVHGSIRPPPSYSKKPDHDEDNLSDDGSHMMLGGTKKRLNLEQVRALEKIFELGNKLEPERKMQLGKALGLQPRQIANWFQNRKARLKTKQLERDYDTLKKQFDVLKSDNDSLLAHNKKLHDGFHRCQSSNLGGDMARLKEIQEAVLQMSAPKALRNELIHKLKSERMSYHEDESSWNRSWVAIKKLWASKWNVRAYVSRKLELTHDVVCMSVLVQESCGDYAFVIHTKNPVTGDPSEIHTRDCEGFGRDLGWRISRTSNELYHQENKPQLANRENKKQIVFAC >A07p011880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8419847:8420352:1 gene:A07p011880.1_BraROA transcript:A07p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQQSYNAGQTRGQANEKAEQWTESAKETAHNACDKTANAAQSTKESAQHGQQQASGFIQQTGESVKNMAQGAVDGVKNTLGMNEKKK >A08p010680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7755412:7757611:1 gene:A08p010680.1_BraROA transcript:A08p010680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTSCLQLSSWGGKGQQLAVGSAEAALHMSFLSLAFNFLCYKTPSSLLWTFITCKKNQRKIQREKSVRKIHEKFRKKIREEKGAWTTLSHHLVTLISEYLCGKELEIWCPEVENHPCSSAFDFNRIFQKPSVISLSSSIVFLSQSHGIKGHEDTMMGLHPGGRSLSGRTNYRVLLFRVEKPRVISDRSSKVIGSILRTSDRPSRNINRVISGHLRSGVSQRWSFRIHRERVYKRVEKGRWKG >A09g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20253390:20253804:1 gene:A09g506900.1_BraROA transcript:A09g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRSRIRVSCFALSSSQRPFLDIKGLEKLQMEDKLIDSPERSRWWWMPLCGCRYRGGRREDEELVVIMDAVMVDAMMVDVDTEVEDVRRRMWWWMPWLWMLLWWMWIQRWKM >A09p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5474500:5476656:-1 gene:A09p010800.1_BraROA transcript:A09p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRARGYQIAPSSEMMPLEETLHPNYPFLMSSHGLKSFDSHDGSLSDLDSDDQFPLSINAELLVDAKDVYIGKLIGVGSSSKVYKGLLRKVNPVSVKIFQPERASSVTIEQKKKFQREVMLLSRIQHENIVQFIGACIEPKLMIITELMEGNTLHKFMLTTRPNPLDLKLSISFALDISRGMEFLNANGIIHRDLKPRNMLLTKDQKHVKLADFGLAREETKGFMTSEAGTYRWMAPELFSYEAFQNGEKKEYDHKVDVYSFAIVFWELLTNKTPFKGKNNIFVAYAASKNQRPSLDNLPKEVGSILEACWAADPKARPEFKEITVSLTKLLTSLCSDDDDASPNAATEDSTSKLVQERVVCDCPGIKMKKKKRNKVVNMMVLPFLEMFRKCFFK >A01p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5551159:5552899:1 gene:A01p011440.1_BraROA transcript:A01p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVEESGFAPLNQTIFTRDQEHMKEEDFPFEVVDQSKPTSFLQDFHHLDHDNQFDHHHHHHHHQYHGSTSSNPLFGIQTTSSCANNDPYQHCSYQENMVDFYETKPQVMNHHFQAAENPYFTRNHHQEINLVDDHDHMNLEQNNMMMMRMIPFDYPPTETINKSMNFVMPDEVSCVSADNNSYRAMSFNKTKPFLTRKLSSSSSSSSWKGKKKTTLVKGQWTAEEDRILIQLVEKYGLRKWSHIAQVLPGRIGKQCRERWHNHLRPDIKKETWSEEEDRVLIEFHKEIGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRSKYPRPSLLQDYIKSLDVGVLSSSSVPARGRRKESSKKKDVVAVEEKKKEKEKEKEKEKEDEFYGQDRIVPECVFTDDFGFNEKLLEEGCSIDSLLDDLPQPDIDAFVHGI >A02p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4348632:4351141:-1 gene:A02p010110.1_BraROA transcript:A02p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAV2 [Source:Projected from Arabidopsis thaliana (AT5G20520) UniProtKB/TrEMBL;Acc:A0A178UB23] MAYVSVLLYGLGGIVVAGVALLVVFQEKLVYVPVLPGLTKSYPITPARLNLIYEDVWLRSSDGVRLHSWFIKMLPGCQDIAHRLEMVRIMIQKLNCNVFMLSYRGYGESDGYPSQHGIIKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGAVLTKNNPEKVSALILENTFTSILDMAGVLLPFLKWFIGGSGTKSLKLLNFVVRSPWNTIDAIGEVKQPVLFLSGLQDEMVPPFHMKMLYAKAAARNSQCSFVEFPSGMHMDTWLTGGDVYWRTVMQFLEGN >A04p009560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7656676:7657763:-1 gene:A04p009560.1_BraROA transcript:A04p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFVGRALFVSVFLLSAWQEFNDFGDNGGRAAKSLRPKFNAFVSHVTTHTGQQLPPIDMKLLVAAAIALKGIGGLLFVSGSSLGAYLLLLHQAVATPILYDFYNYDVARKEFSQLFSKFTQSVALVGALLFFIGMKNSRRHGRQLRKKAPKAKAN >A03p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1833901:1835900:1 gene:A03p004340.1_BraROA transcript:A03p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLILLFSLFLLASPSSSSRHHHHHHNKSPPLNPSSSTASQIRLACNATRYPDQCLSSLSQPGLVPPDPNPSQIIHSAISVSYQNLQTAQSKVKSILDASVGNLNRTNAANTCLQLLSYSKHRTLSTNNALTRGRIKDARAWMSAALVYQYDTWSALKYVNDTTQVGETMSFLDGLIHVTSNALSMMVSYDNFGDDLASWIPPATERDGFWEKTGPGMGSDPGALGFPSGLKEDVTVCKDGKCGYKTVQDAVNAAPDNNGVSKFVIKISEGVYEETVRIPFEKKNVVFVGDGMGKTVITGSLNAEMPGMTTYNTATVGVVGDGFMARDITFQNTAGPDAHQAVAFRSDSDFSLLENCEFLGNQDTLYAHGLRQFYKSCRIQGNVDFIFGNSASVFQDCEILIAPRQVNPEKGEKNAVTAQGRIDPSQSTGFVFLNCLINGTEEYMKLYKANPKVHKNFLGRPWKDYSRTVFIGCNMEALITPDGWLPWSGDFALQTLFYGESKNTGVGSDRSQRVSWSSAIPDEHVHVYSVDNFIQADEWALMSS >A09p069350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54046995:54048754:1 gene:A09p069350.1_BraROA transcript:A09p069350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYLSSPKTDKLSEEGENDKLRYGLSSMQGWRATMEDAHAAILELDDKTSFFGVYDGHGGKVVAKFCAKYLHQQVLSNEAYGAGDIETSLQRAFFRMDDMMQGQRGWRELAVLGDKMNKFSGMIEGFIWSPRSGDANNQPDNWPLEDGPHSDFAGPTSGCTACVALIKDKKLFVANAGDSRCVISRKGQAYNLSKDHKPDLEVEKERILKAGGFIHAGRINGSLNLTRAIGDMEFKQNKFLPSEKQMVTADPDINTLDLCDDDDFLVVACDGIWDCMSSQQLVDFIHEQLKSETKLSTVCEKVVDRCLAPDTASGEGCDNMTIILVQFKKSNNNPSEPEPEEETKPEPSQDEPSSSS >A09p062800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51209322:51210196:-1 gene:A09p062800.1_BraROA transcript:A09p062800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISIVVSDLVLSFMWIWSGVLVSILVHGVLGFSRNVTTGEIVGYTFSVISMFIFAFLQKLTKGGHYNPVAALASGGFGSFIFTIMVRVPAEVIGSILAVKHIIHVFPEIGKGPKLNVSIHQGALTEGVLTFFTVLISMELSRKIPGSFFMKTWISSIAKLTLHVLGADLTGGCMNPAAVMGWAYALGEHIAKEHLLVYWLGPVMATLLAVWFFNAVFKPLTKEQEKPKAKSD >A03p053130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22809198:22809767:1 gene:A03p053130.1_BraROA transcript:A03p053130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISTTRSSGYDQSRRKRKKKPPSPPPPPPPSSVQKWRSEKQQQIYSTNIIQSLRELRISDPSAKLAPPRGGGRAVRDAAYRSLAVTARGRTLWSRAILSKAVKVKLKFRKQNRSRNSNQTMVSVTGNNRLRKKRATVLRLKAKGLPAVQRRVKLLSRLIPGCRKQPLPVVLEETTDYIVAMRCRFVL >A08p035870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21127818:21130089:1 gene:A08p035870.1_BraROA transcript:A08p035870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKKRNFQIEAFKHRVVVDPKYADKTWQILERAIHQIYNQDASGLSFEELYRNAYNMVLHKFGEKLYTGFIATMTGHLKEKARLIEAAQGGAFLEELNKKWNEHNKALEMIRDILMYMDRTYIESTKKPHVHPMGLSLWRDNVVHSPKIHARLLNTLLDLVYKERTGEVIDRGLVRNVTKMFMDLGESVYQDDFEKPFLEASSEFYKVESQEFIESCDCGDYLKKAEKRLTEEIDRVGHYLDAKSEDKITSVVEREMIANHMQRLVHMENSGLVNMLLNDKYEDLGRMYNLFRRVTNGLATVRDVMTSHLREMGKQLVTDPEKSKDPVEFVQRLLDERDKYDKIISTAFGNDKTFQNASNSSFEYFINLNTRSPEFISLFVDDKLRKGLKGITDVDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAEKSLIVKLKTECGYQFTSKLEGMFTDMKTSEDTMRGFYGSHPELSEGPSLTVQVLTTGSWPTQPAVPCNLPAEVSVLCEKFRSYYLGTHTGRRLSWQTNMGTADIKAVFGKGQKHELNVSTFQMCVLMLFNNSDRLSYKEIEQATEIPAPELKRCLQSLACVKGKNVIKKEPMSKDIGEDDLFVVNDKFTSKFYKVKIGTVVAQKETEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKILDHNNIIAEVTKQLQPRFLANPTEIKKRIESLIERDFLERDNTDRKLYRYLA >A06g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24923306:24925223:1 gene:A06g508800.1_BraROA transcript:A06g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTKSQLTTGISPVAVYFNDISPRPDESQLWFQVVRSGNHSKDPQYHSLDHFFDSKPLNVDPKNIYDSLQRLHLDPTWVIGSMRLNSYIYMKFMVGFSANAKAANVYKKKAESPTKTWIRHVIVTGISFTDSFFVLFISYVARQTLFAELILKPSVEKGKAAKQAGGEASETSIIYVTELPNAHGFISGLQQAYDTMVGERGLQLSGRK >A09p075910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57031484:57033050:1 gene:A09p075910.1_BraROA transcript:A09p075910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLYNICFVTSIFNKVSFYNTMAIFKIIVLLLSLPCFCQAQLSPTFYDQICPNALSTIRSSIRTAISRERRMAASLIRLHFHDCFVNGCDASVMLVATPTMESERDSVANFQSARGFEVIDQAKSAVESVCPGVVSCADIIAVAARDASEYVGGPRYAVKVGRRDSTTAFRAIADSGDLPSFRASLDDLSDLFLRKGLNTRDLVALSGAHTLGQATCVTFKERLYDNSSDIDAGFSSTRKRRCPVNGGDTNLAPLDQVTPNSFDNNYYRNLMQKKGLLASDQVLFGSGASTDSIVSEYSRNPSRFASDYAAAMIKMGDIQTLTGSAGQIRRICTAVN >A09g500500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2087613:2087948:1 gene:A09g500500.1_BraROA transcript:A09g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVMGGVRWDLRISERVRRRVEAKGGKRWGERGEEGSGGSGGNGGGRRTSPEVEDDGCTAETRPGRAQRFCIGKRVRESLTFFTFNFCLLPENLSLRDVRPSSIHTNDV >A06p000760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:142478:146144:-1 gene:A06p000760.1_BraROA transcript:A06p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASRILNHSKKLKDVSTLLRREHAASIRYFSSTNRAPPLSRKDASRARLGFSPVERMTKCSTDIVPVSISFATTRTTLSSTVGRPRLGQEFSCSMQSVRGFSSGSDLPPHQEIGMPSLSPTMTEAWTSILPLDQNLCPFGNIAKWLKKEGDRVAPGEVLCEVETDKATVEMECMEEGFLAKIVKAEGSKEIQVGEVIAITVEDEEDIGKFKDYTPSSTTDATPPKEEPAPPPPKEEKVEQASPPPEPKTSKPSPPPSGDRVFASPLARKLAEDNNVPLSSIKGTGPEGRIVKADIEDYLASGGKEATAKQSKVTDSKVPALDYVDIPHSQIRKVTASRLAFSKQTIPHYYLTVDACVDKLMGLRNQLNSFQEASGGKRISVNDLVVKAAALALRKVPQCNSSWTDDYIRQFKNVNINVAVQTENGLYVPVVKDADKKGLSTIGEEVRLLAQKAKENTLKPEDYEGGTFTVSNLGGPFGIKQFCAVVNPPQAAILAVGSAEKRVVPGNGPDEYNFASYMPVTLSCDHRVVDGAIGAEWLKAFKGYIENPESMLL >A10p034630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20094505:20095898:-1 gene:A10p034630.1_BraROA transcript:A10p034630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDIKEKLAYVALDFEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >A06g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10106372:10109445:-1 gene:A06g503220.1_BraROA transcript:A06g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLITATSVNSVLECAMEWFEMFGSIVIQKEVAPSQSDQPERLAQVTPSQSDQPERPAQVTRVLTRRDTKKRVGIKYFCKPLEARESSFLEKNYSILDHPRSNPYAHEFSFPLVKKVSFFEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQRSYPNNQQSGYPPRNNQPGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLTST >A10p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3303093:3304334:-1 gene:A10p016070.1_BraROA transcript:A10p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMEIEEIEAVLEKICDLHDKLSDEIHMISRSHFLKSVKPATRSEKRKSQGINSAEEKPQEGYVFIKGFPVHDNDAAIQEAKSLNAIRTALEHLEDQLEFFHIMSQTIHTQQRTERDVAVARLEQSRMLLAKRLAEHHGKSYGVLDETLAFVGGIKTSTNFVYNSSPNTAGAYSHGPKSNFVINAFAWTFGFAKRALGFNHVRGVLGNAAIFAISMVAMLHLQQVASSEHHRLGKKQRKTYRREMSSSDISLDHLDVMMARG >A06p053360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28145490:28146595:-1 gene:A06p053360.1_BraROA transcript:A06p053360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSHHGPPPVRHQQPYYRSYSSSSSASLKGCCCCLFLLLAFLALVVLAVVLIVILAVKPKKPQFDLQQVAVMNMGITSPDNPNPSVMDPTTASLSFTIRLLFTAGNPNKVGIRYGESSFMVMYKGLPLGTATVPGFYQDAHSTRNVEATIAVDRVNLMQGNAADLVKEASLNDRVELTVKGDVSAKIRVMNFDSPGVQVSVNCGIGISPRKQALIYKQCGFDGLTV >A03p027900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11661385:11664158:-1 gene:A03p027900.1_BraROA transcript:A03p027900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase epsilon subunit B [Source:Projected from Arabidopsis thaliana (AT5G22110) UniProtKB/Swiss-Prot;Acc:Q500V9] MSSMRKKIQKKFKTRGYSLKIDALNSILAFADQFPGDDEGEAIDLLLDHLQTENLNSSVVDAESVQGVINLLLGANDAAEEPTSNASSLAFIDAFLVPKYRYDSVKKQFIEHTSSLPVHGEASSKTEVYRERFMLLLQRVSRAEIFSMPAFDADKSQFDNSEISPIQSLVVQIGRKWVMGVISQLEDGHFYLEDLSASVEIDFQYKITTGFFTENTIILAEGEMQNGIFQVITCGFPPLEDRDKTLNTHSGYDFFGNGMLTKDDRIRLADLERQAVNETFVILSDIWLDDEEKVLGKLEKVLDGFESVEVVPSLFVFMGNFSSHPCNSSFGSYPRLRKQFGKLGRMIGNHPRLKESSRFLFIPGPDDAGPSTVLPRCGLPNSLTEELRDVIPNAIFSSNPCRVKFYNQEIVFFRKNLLYQMRRSCLIPPSAEETDDPFQHLVYTITHQSHLCPLPLMVQPIIWNYDHSLGLYPTPHTIVLGDTSEQKVCKFGGTTCFNPGSFSTDSTFVAYRPSTQEVELSGL >A03p018540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7565707:7568494:-1 gene:A03p018540.1_BraROA transcript:A03p018540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATQVASSEVPVVKGDADDLKTVDVSVKPVTKEGKEEDDGEFIKVEKESIDKADHVPVQEEKQVSIETSSSGSQRELQESQEKAKELELELERAAEELKRYESENTHLKDELLSAKEKLEETEKKHGELEAVHKKQQERVLEVEERHSSQLKSLEDALQSHDAKDKELTEVKEAFAALGIELESSQKKLIELEEGLKRSDEESKRFEEKVSELKTAVESAEEEKRQATTQLLELESSLNQSSARNAELEEDLRVALQKGAEHEDHANTTHQRSIELEVMCQTSQTKHEEAEGRLRDLELLLQTEKYRIQELEEQVSALEAFQVKSSSLESALNIATEKEKELTDTVSEYSFKISESESLLESLRNELSVTQGKLESVENDLKAGGLRESEVMEKLRSAEESLEKKGREIDEAMTKTKELEALHESLSKDSEDRIQKVMEEFTSRDSEATERLKGLEEVVKSYEEQLAEASSKSSSLKEELDQLKAKSDVIEKENGDLAEVNLKLNQELANHGSEANEFQTKISALEAEREQATKDLKDELQSVIAKLEEQVTVERSKADTLVSEIEKLKAVAAEKNVLESHVEELEKSLKEEVENATAASVKVAELTSKLQENEHIASDRDALNEQVIQLQRDLQAAQSSIDEQKQAHSQKHSELESALKQSQEETEAKKKAVTEYESKVKDLEQKVQLADAKTKETEAMDVGIKSRDIDLSFSSPTKRKSKKKSEASSTSSSGNVTATTQTASTSHLMTVKIMSGVAFVSVIIGIILGKKY >A05p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14201455:14204190:-1 gene:A05p026710.1_BraROA transcript:A05p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVFQAADATDLVTELRRSFDDGVTRGYEWRVTQLKKLLLICDNHEPEIVSALHDDLGKPELESSVYEVALLRNSINLAVKQLKDWMAPDKAKTSLTTFPASAEIVYEPLGVVLVISAWNYPFLLSIDPVIGAISAGNAVVLKPSELAPASSSLLAKLLEQYLDSSAVRVVEGAVIETTLLLEQKWDKIFYTGSSRIGRIIMMAAAKHLTPVVLELGGKSPVVIDSDTNLKITAKRIIAGKWGCNNGQACISPDYILTTKEFSPKVIDALKQELEAFYGKNPMESKDMSRIVNSNHFDRLSKMLEEKEVSDKIVYGGQKNRDKLKIAPTILVDVPLDSQIMSEEIFGPLLPIITLNNLEECFDVIRSRPKPLAAYLFTQNQKLKERFALTVSAGGIVVNDIAVHLAVPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYKSFIGDAAIRYPPYSTGKLRLLKALVNSNILEIFRVILGLS >A06p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18671910:18672178:1 gene:A06p034530.1_BraROA transcript:A06p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINSLCTAKREEIDRPLADFPVNIWEDPLTSFVVSDTKQVRETKLVGGLIGGLVTKAFY >A08g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20795676:20797889:1 gene:A08g509790.1_BraROA transcript:A08g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVQDVLNISTEVHVFHRAILDLGRARLSLGGEKTKDGHAFSSGGPSGQFRKRPYLYPVHPSGSDESRHLDCSRSAICFSVHIQHPAKVILPDFGSIKWYQSHFGWMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFAFVQKQKKRQNRCDDEKWVRSRSCFHRAILDLGRARLSLGGEKTKDGHAFSSGGPSGQSRKRPYLYPVHPSGSDESRHLDWSSPFSVP >A05p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5165263:5166898:1 gene:A05p011790.1_BraROA transcript:A05p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35490) UniProtKB/Swiss-Prot;Acc:O82291] MATLFTTTTTSRPFPANPSKTFSPSISLKPNALSFSLTHHRPPRPLRFSKIRSSLPSESDSEPEGGYSITDEWGEQPAEPESPPDNAPSAVSDEWGEKSEPVPEETVTRFAESDPPTNEDEWEEREGGGDEGVDKTWELKRCLADTVYGTELGFRAGSEVRAEVLEIVNQLEALNPTQAPVENPELLDGNWVLLYTAFSELLPLLAAGSTPLLKVKSISQSIDTKSLSIDNSTTLSSPFADFSFSATASFEVRTPSRIEVSFKEGTLKPPEIKSSVDLPESVGVFGQEINLSFLKQSLNPLQDVAANISRAISGQPPLKLPFPGNRGSSWLLTTYLDKDLRISRGDGGLFVLAREGSSLLEL >A06g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18575605:18578843:1 gene:A06g506640.1_BraROA transcript:A06g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCFARPIASAPDAWFDMVERDWNDGEKVRDRTTKRRRCLNLGSYNYLGFGSFDEYCTPRVIESLKKFSASTCSSRVDAGTTSVHVELEECVAKYVRKHSAIVFGMGYATNSFIIPVLIGKGGLIISDSLNHSSIINGARGSGANIRIFQHNSIYSMEGEICKLPEIVSICKKYKAYVYLDEAHSIGAIGNTGRGVCELLGVNTANVDIMMGTFSKSFASCGGYIAGSKELIQYLKHHCPAHLYATSISTPSAQQIISAIRVILGEDGSNRGAQKLARIRENSNYFRSELRNMGFKVAIAVVGFPATPLMLGRARICISASHSKQDLIKALEVISKVGDLTGIKYFPAAPKKQEAEKDTIKLYQRSYFRKKSKEVVNETLGEVESSSGGKN >A09g510950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33398345:33400324:1 gene:A09g510950.1_BraROA transcript:A09g510950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTSSKTKKKENKFKDEDGKFKAHLAADARGPLSLYEAPQWSTPREDTLDEKKFLLDLALISQYANAQKHPFHKGISRIETRQYISYYEAEEKCDETLLEYAKIDFSLLQMLHRQELSCVTRWHKEMEFESKITYTKHRVAEAYLCTLGTYFKP >A01g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17184227:17184521:1 gene:A01g505760.1_BraROA transcript:A01g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYMLNNKCR >A06p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27444027:27446087:-1 gene:A06p051830.1_BraROA transcript:A06p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENTAGRQATFAKRKNGILKKASELSVLCDIDLVLLMFSPTGKPSLCCAQRSSIEEVIAKFSQVTPEERAKRKIEGLEDLSTRARILQDRISEIHGRLRYWTEPDKINNVEDLGQLEISIRQSLDQLRAHKEHFGQQQHAMQIENANFVKDWSTSLLQDGIQIPLEQQLQSMPWILNTNTTNIVTEEHNSIPQREVECSASSSFGSYPGYFGTGKSPEITISGQETSFLDELTNGHLKPQTITQQQFTDNSVIPYIPSLQNDLNHHQTLPLPLPHPPPVFNFPMNQREYHMNGFFEAPPPGASVYNNTANQARFGSNSSSLPCSISMLDEYLFSQMQQPN >A01p043710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24742113:24745218:1 gene:A01p043710.1_BraROA transcript:A01p043710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHIFRLHLPVKTPHSLFLSLMEKPVSEAWRSDPAVRPPDTPLEPMEFLSRSWSVSALKASKALAHLTILGDSTVKLKEKSITGGETGENSLISENPFSFASCGTSQRVMNRVLSQSQEVSPRTSGQLSHSSGPLNGFLTDSPPITPPVMDDIQNFNSQFRPMANTPGPITARTTQSKTVGRLIKDRKEKKKEETRAQNAQIHATVTIAGVAAAVAAIAAATAASSSSGKDEHMAKTDMAVASAATLVAAQCVEAAEVMGAERDYVASVVSSAVSVRSAADIMTLTAGATTALRGVATLKAKATKEVWNVASVIPMDKGINPGGFSNIDSSNINGSNSSSSSSHSGEFLAEDNFLGHCSREWLARGCQLLKRTRKGDLHWKIVSVYINSLNQVMLKMKSRHVGRTFSNKKKYVVMDVIKNIPAWSGRHLLEVGEDLTYFGLKTVLRGIVEFECRSQREYEMWTQGVTRLLAVVAERNNRYRI >A06p016460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7364551:7365060:-1 gene:A06p016460.1_BraROA transcript:A06p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC027 [Source:Projected from Arabidopsis thaliana (AT1G64105) UniProtKB/TrEMBL;Acc:A0A178WJS8] MAGFGEEESGISDSEIIEGFLRPKIEGEAVAIPGLIVELGEELYTREPWLLPQTAHPILNPREWLYLGKPNRNNIPVEGVDHEGCWVRIGSAILIRSEETDEIIGGTSRFRYRFRNKGDKHALRWSNWFMKEFRLFNPTPHVICKITEKLREFNSRCPSLSIRNADFTL >A10p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2885249:2887167:-1 gene:A10p016650.1_BraROA transcript:A10p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDRDDSPPEKAKGDVEDKEYRRNSSRSRIEKKSVEEEEEEVSRRESKRKSKEARDSDSGSGLESGSDSESDKEERRRSRKSRGKRKSDRRSRSRRSRRRHDDSSSSSESESESEYSSDYSDSESESEDERRRRKRREREERDRKRRRREKEKKKRRKEKGVDGDTKKKKKRKKEKKSEKAKKGAVTESWGKYGVIRETDMWNKRPEFTAWLLEVKEVNLESLPPWEEKKMFKDFMEDHNTGTFTSKKYYDIDGYYRRKLEKEMKKGLKKAGKSERTVFNDEEQRRLEMQEAREKQKEEEVLALKRSMEGGMAQAMKEQARLKEEMVYLYKIGDMEGAAAIQRRLDPDVPM >A04g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1639463:1640239:1 gene:A04g500530.1_BraROA transcript:A04g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSPCGASAITISYEAQARLHDPIYGCVSHIFALQQQVVNLQAQLEILKQQAAQSIIYPTGNCSGFGEVSIYPDLEQHLNSFNQDHLKELQSANFGYISFS >A07p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:98152:101517:1 gene:A07p001530.1_BraROA transcript:A07p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLHRGFKPAKCKTALQMANSRLKILKNKKEIQIKQLRRELAQLLEAGNTPTARIRVEHVVREEKTVAAYELIGIYCELIVVRLGVIESQKNCPIDLKEAVASVLFASQRLSDVPELSEIVKQFTTKYGKDFATSAIELRPDSGVSRLLVDKLSVKAPDGPTKVKILTEIAEEHNVVWEAQSFVEPDPRDKFLSGASSFQSASSVNVVDSSRIPNKEQYSSVQAPAPVNVQHGASERNSYYASDGRSSSRSDNVTSGTADDYHHPNARPSRSRPDEGECRNPNHGESKQRWETEFVDSTDAARAAAEAAERASFAARAAAELSSKERMTGQNSTESHMSSASVNFRNEPSHRRDRSNVSEDHSSPRHNVRMQYQDMNRTQQDRYGRGKEPENPPAVQPSERHYLNNNSRKSGSFGREKQPSEDKTDLNVGYSEDVQFKKQSSRASSHSHSSNYSDEHVIDSDYMKSPKAVEENIFATEYDRQSQSSFKDKDLHDHGHDDADTFDDYSSFFDKPKFDAEDDEYDHGVGFSLLGSKAPSLTPTPAASWSFKSDHSKSHGNHSSSSQVFQESPLFDDVPASPPAFYHEPDRHAKFDNYDPNSESEDDQPRHRGKVDETVNLTSHRSQKFEVSDPVGREFCPVETDEHKDDSRTREESDSEADALTGLSFKPLVGGFRNKKTLPPYRMSLARNETSSKSGKEHNGTDDAGKSVSTPRSSRKASISEKRPSSIPPQPSSSDDDDSEIQLRSRRPETKPQTSYRDSRFSHDDSEEELPGQAFVRSQEKTHKPTTRVRDQNRSNFKIPASAWYEDDEEEVERVSAKPVKITGVSLRTKGQVKAHEKRSFPVTPTRTNQESHDQPSPKSTSGQKTVSNSVPPTVKSPDPETPSRERASHVHPNLPDCDDIFARLGALRTPNRR >A01p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19507515:19507960:-1 gene:A01p026500.1_BraROA transcript:A01p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHAPKTNRLFTKETSPLARSLIFPTSTGLPRGSFGLFQSVLVSQSAEPENCLTGDKSKVGEKCLSQIFSSWAGNNFSLDKECCELVLNMDKKFRSSLFLASGGGLNLELSCG >A05p002550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:868154:870525:-1 gene:A05p002550.1_BraROA transcript:A05p002550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKAETFNMNRVIDDFDEMTRNADQVQKQTLKEILHKNKSAIYLRNFGINGDTTTDPEEDFKALVPLVTDSELEPYIKRMVDGDTSPILTGHPVPAISLSSGTSQGRPKFVPFTDELMENTLQLFRTAFAFRNREFPIDDNGRALQFIFSSKQYISTGGVPIGTATTNVYRNPNFKAGMRSIMSLCCSPDEVIFSPDVHQALYCHLLSGILFRDQVQYVFAPFAHGLVHAFRTFEHVWEEIVTDIKHGVLSSRITVPSVRAAMSKLITAPNPELAETIRDKCLSLSNWYGLIPALFPNAKYVYGIMTGSMEPYVKKLRHYAGDLPLVSHDYGSSEGWIAANVTPRLCPEEATFAVIPNLGYFEFLPVSETGEGEQEPVGLTEVKVGQEYEVVLTNYAGLYRYRLGDVVKIMSFYNKTPQLKFVCRRNLILSINIDKNTERDLQLSVESAAKRLSEEKIEVIDFSSHVDLSTEPGHYVIFWEISGETNEDVLQDCCNCLDKGFIDAGYMSSRKCKTIGALELRVLEKGTFKKIQEHFLGLGSSAGQFKMPRCVKPSNAKVLQILCENVVSRFFSTAFE >A09p018400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9624438:9627206:1 gene:A09p018400.1_BraROA transcript:A09p018400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSNVTSSSPSTPVQRLRHRKRSSTEVLDGDKVNASPLLVNDRNKYKSFMIRTYSTLWMIAGFVMVVYMGHLYITAMVLVIQIFMAKELFNLLRKAPEDKCLPGIKQLNWHFFFTAMLFVYGRILSQRLANTVTADQFLYRLVTGLIKYHMAICYFLFHVVHSDIKEEDVQVPVWPICMDPHDFDCRLYSVLLHCRQHIRRNLLFLLLNYRFLLPASLIIINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVLGRFPWLTCPRQDLSTGWLQCDADPLFKPEPFTLPAWIPGWFPWKEIEVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYLQSFIVSQSVSVDKILDQILTNLSFEEQQALFSRLGQMIGNS >A04p027150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16306994:16309003:-1 gene:A04p027150.1_BraROA transcript:A04p027150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLRCGIYTEISRIYASASSSSPIQSVKSSDRSPSLQTKPCSSPSLSPSTPLFSLSPSTAFSFGGTRLRCLRLVTSCVASVQNTVANGSAPASVVVEREQIRDSLPIKGRMAADTIDLLKVNGVSVLMKICILIIIWYGKDSVVWMDSDLDSDTDSEKDDENEVLEMKGEEVSYQRKKQPVPFSHKFSKADPLALRLLERLLAFDPKDRASAEDALSDPYFSGLSNSEREPSTQPISKLEFDFERKKLTNYDVKELIYREILEYHPQMLEEYKHGGDQLSFMYPRLASIFIEHILFKQCFFLGKKMQINFIQ >A09p057540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48490311:48495882:1 gene:A09p057540.1_BraROA transcript:A09p057540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHRLARVRRLLTLTALVISFFLRSRKICDCLLGFPRSLHGKEAKDLFLNEIHSLQHLLPDPWDARVSKEGTVQIHVPKLPPSDTGVDDSVKEVAASSVSRLGRHTVVLKKAVENRAARSASDDFEMAVNELNEVDHDTDSASVTCPMCFMVEVGKSERAKMISCKCCRKKYHRNCLKSWAQHRDLFNWSSWACPSCRTCEACGTSGDPKKLMFCKRCDDAYHCDCQQPRQKTVSSGPYLCPKHTKCYSCGSKVPGNGQSLRWFLGHTCCDACGRLFGKGNYCPVCLKVYRDSEATPMVCCDYCQRWVHCLCDGISDEKYMQFQVDGNLQYKCSTCRGESYQVKDLEDAVQEIWKRKDIEDKELIASLRASARVVGKTGGAPVIDQPGSAERKVAEKAMVSGEEEKPLRVLRIKSSRPQDSDSEKLGKHSKEQSPVKAKKLVISIGPRKAGVTNPMSCDTSKLASKSNGKHGKLQSEETASQEEQERSILRKSSEEKRGSQSEVGTSKGEGGGDSNGGQQELQKDSRRLLKLKIKTHNPESQEREAPRIVYERSKSGKGHRSKRKRASPPAEKSAFNEDEDVSLSRDDSLLDEMLDASWILKKLGKDAKGKKVQIHEAADNTWEKGVVSEVGGGGTSKLMVTLENGKVKTVELGKQGVRFVAQKQKRTRTKRGH >A09p074580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56530116:56532483:1 gene:A09p074580.1_BraROA transcript:A09p074580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRGRRSPSASGSSSRSSSRSRSRSGSSRSRSLSSSSPSRSVSSGSRSPPRRGKSSAGAARRGRSPPPPKGASSPSKKATTPIQESLVLHVDCLSRNVNEGHLKEIFGNFGEVVHVELAMDRAVNLPKGYAYVEFKARSDAEKAQLSMDGGQIDGNFVKAKFTLPPRQKVPLPPPPKPVSSAPKGEAPKSDNASADVEKDGPKRPRETSPLRKTSLSPRRRSPLPRRGASPRRLPVSSPRRRPSSPIRRRGDTPPRRRAGSPFRGRSPSSPPPRRQRSPPRGSPRRIRGSPVRRRSPPPLRRRSPPRRLRSPPRRSPIRRRSRSPIRRPVRSRSRSISPRRGRGPAGRRGRSSSYSSSPSPRRVPRKISRSRSPRKISRSRSPKR >A09p053550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46465593:46468322:-1 gene:A09p053550.1_BraROA transcript:A09p053550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIESVEYNAFETANGNSHTDHGWKKVVYPKRNRKQKPADQTTSGTHVPNGALSNGDNVFRSLEEQAEDRRRRILAAKKAADASDDSDLARSKRRSNGYDDEGYGYGDSEGEIGAGKENAKVEGAKKPKVKKEKKPKVTLAEAAAKIDASNLEAFLVEASESYASQPEIQLMRFADYFGRALSGVSSSHFPWVKTFKESPLSKLIDIPLSHIPEAVYKTSADWINQRPIQALGSFVLWALDCILADLAVQEGGAKGGKKGAQHATSKSQVAIFVTVAMVLRRKPDALTNVLPTLRENPKYQGQDKLPVTVWMMAQASQGDLSVGLLSWAHNLLPVVSSKSCNPQSRDLILQLVERIVSNPKARTILVSGAVRKGERLIPPPSFEVLMRLTFPASSARVKATERFEAIYPLLKDVSLAGAPGSKAMKQTTQQIFTFALKAAGEGNPVLAKEAAAVAIWAITQNVDCCKHWENLYSDNLEASVTVLKKLIDEWKERSVKLTPSETLTLNKTMKSLRQKNEEALAEGGASGASQSLYKDADKCCKVISGKLSSGSGYFKGVAIAAVLAAAGAAALSANPEVIEELKSQVESLDLSKLTESVMTAFKN >A07p032830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17951452:17954753:1 gene:A07p032830.1_BraROA transcript:A07p032830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEENSALFPIFILTIMAIPLVPYTMVKLSRAVAKKQRTIHCQCLECDRSGKYKRSLFKKISNFSTWSNLTLVLLWVVMIFLIYYTKNMSRETQVFDPFSILGLEPGVSDSEIKKAYRRLSIQYHPDKNPDPEANKYFVESISKAYQALTDPVSRENFEKYGHPDGRQGFQMGIALPKFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSSKYTGNYVMHQTLSAYYYLMKPSLAPSKVMDVFTKAAEYMEIPVRRTDDEPLQKLFMSVRSELNLDPKNMKQEQAKFWKQHPAIVKTELLIQAQLTRESGVLSPALQGDFRRVLELAPRLLEELLKMAVLPRTSQGHGWLRPAVGVVELSQCIVQAVPLSARKSSGVSSEGISPFLQLPHFSDAVVKKIARKKVKSFQELQEMSLEDRSELLTQVAGLSATDVDDIEKVLEMMPSLTVDITCETEGEEGIQEGDIVTLQAWVTLKRPNGLIGALPHSPYFPFHKEENYWVLLADSVSNNVWFSQKVSFMDEGGAITAASKTISDAMEGSGAGVKETSDAVREAIEKVKGGSRLVMGKLQAPSEGTYNLTCYCMCDSWIGCDKKTLLKVKVLKRTRAGTRGFVSDEGAIAEEGMEEEDEIEEEDYDDDYESEYSEDEDDKKDVVEKKGSKKANGTVKKKESSSEESGSEEE >A06p045070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24195945:24196250:-1 gene:A06p045070.1_BraROA transcript:A06p045070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKREELEAAERRSRYDRGIYNVDYELQKYFRFSSEHGCMIMDINRSFSSSTSTVGNAQIYTRDFISTIGSRNTKDVTPNSGENSLITKASKDWGTDLSL >A05p044860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26914635:26914960:1 gene:A05p044860.1_BraROA transcript:A05p044860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRRAGTNRAGMRRDDSILTRFVDSVFSLFRLAEFEILFVLFFVIAYVIFKDLTARPEYNRILVEKPRGYDVWPF >A01p042920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25158280:25159483:-1 gene:A01p042920.1_BraROA transcript:A01p042920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYQSNEDDDDLLASLCFDQSNGGEDPYGFMQTDQENLFPEFGVNLLPEEEQVCNNIGDQFDAFSGNLDSNGLGPFVGSSQLDMGTHIQLGQACYPVMEINSSSSVEIVKEEFEEECSRKRGRTGPCRKPGTKACREKKRRELLNDKFMDLSSVLEPTRTPKTDKPAILDDAIRVVNQLRGEAHELKESNQKLLEEIKSLKAEKNELREEKMVLKSDKEKMEQQMRSMAAVPSPGFMPSHPAAFHQNKMAVYGSYGYYPNMPMMPYLLPPSQRDTSQDQKNCSKAA >A09p063910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51694474:51696860:-1 gene:A09p063910.1_BraROA transcript:A09p063910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPETHQTWSTWEELLLACAVHRHGVDSWDSVAAEIHKQNSSSRTLTASECRHKYNDLKRRFSPEGETVSEISWWLEELRKLRVDELRREVERYDQSISSLQMKVKRLEDEREKEEAEKVTESPDLVKITETVNKSDVPVIEQPNREIDESIEEIANRIGGDEIETDEKPVREDSGRGSCGSVGKDSERGDSVREGNDDSPELKGEEEVKETSDVQSSASLPRKEESVGHDQPDNEDQSLAVNKTLVKSRPFIEFIEMLCSHPIGSHFSRRLESQLQETPEYERIIRQHIDFDLIRTRVDEGYYESSKTKFHRDLLLLINNAKVFYGERSPDSNSATQLHELIKKQMTTLKTSNQTSPPKDEPLVEEPTLLSLKTKVSVSVKACRKRSSLAVRSSTEPVKKKTNIVPTTDENENEKKQVSETEEEEETTTDKDEEPIVSKKTPRGRTTTSSAKKIGTRNVVKTSLDDEQKKSDQEKKSKTTTNAVSKKQSAASFLKRMKGAETVVETVKDDSSSGKKGAEQRRSNTKHEKAVAGQKRTTPAKRNTSAASKREASEKEEGSSTRPKKRTRRL >A07p040740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21566661:21567908:1 gene:A07p040740.1_BraROA transcript:A07p040740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPQWIMIGGDGPESYNQHSSYQRALLETSKEKMNGAISAKLSLDLISDRFCVADFGCASGPNTFVAVQNIIDAVEDKYRKETGQNRAENIEFQVLFNDSTTNDFNTLFQALPPGRRYYSAGVPGSFFGRVLPKHSFHIGVISYAFHFTSKIPKGITDRDSPLWNRDVHCTGFNEAVKKAYLDQYSADTKNLLDARAEELFHGGLMLLLGSGLRNGVKMSETAKGIMMDLIGASLNDLAQQGVIDQDKVDSFSTPLYIAEEGELRQIIKENGKFTIEAFEDIIHPNGEFPLDPKILAVSFRASYGAFLSAYFGVDTTRKVFELVEVKAREEFSRIQNAKPGMQYLIVLRKN >A02p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8062845:8064195:-1 gene:A02p017780.1_BraROA transcript:A02p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAIGRPLLAKAKQTTGIVGLDVVPNARAVLIDLYSKTLKEIQAVPEDEGYRKAVESFTRHRLNVCKEEEDWEAIEKRLGCGQVEELIEEAQDELTLIAKMIANLGVLVKECIFHLCLERFLLHCHIGVVVFLLFSESKAYGVKVCIVHFSLELFLLHYCIGVVVLLLCIQPRRMGENFHRSLKFGMVLASLSRTCVRVLALDLPIQWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEDFYRTLEGLLSESKTKIPAASSADPQLEK >A10p015660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3509816:3510610:-1 gene:A10p015660.1_BraROA transcript:A10p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPSLLSYTCIAKGTVVLAEFASKEEPGIEDVAFRCIENTPPHHSLFSHTVRKKTYTFSIDDDDSFVYFAILDEAMEKPESLWLLNRLRSAVEDLVRGGETLTNPSPRCLQAKMDPVFAEIVGGVDVDLELDISPRSVARDSRNPSIDSSKGRRASLMPLLGKQLKALKKKNKRLHTEDSGDVGMMKETSEKKVDLCGNGNGGVSRKELRNGLLTDHHHHRQKAKQMWKKHVWFVLIFDFCICAVLFGIWLWVCEGFQCVNG >A06p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5200123:5205080:-1 gene:A06p002350.1_BraROA transcript:A06p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKTNSLGKRKPEDDLDTEPVLRRHKSEAKETNSDESALNSAKETIEGLDEDPDFVEEAAGPPNKKLFLDKLSSETEISDVIDFFKDVGQVVGVRLMLNHKGGRVDKRVGRRVENRLGLGFVEFASSDEAKKALKMKTGEYWSRNKVFLKVAATSLPPKYEDYLQREGLLIEEFAAVKGLDETPELPLEPAIPKKTLFVGRFSPQTKPTDIIDFFKDVGGVSYVRLIGDHTGKHVGYGFVDFASVNDANKALQQKNGEYLHDCKIFLELANNGLTYLPPNIDFFKDAGEVVRVRLILDQYGEHAGFGFVEFASSNEANKALQQKKGEYLHNHSIFLDVANNEFAENLPPKHCIDHKTLSVFDLLLTASVSMWAMALLNVGEVVHVRLICNLMGNHVGTGFVEFASADEAKKALEKKNGEHFHRRKIFLDVPKKAQGRFNSKIFKDVVQVVRVRLIVDHCGEHVSCGFVEFASANEAQKALRHRKRRCKLIFYEAEIAATYPFRHKYEDKLRREYLKTSPNLKIAFTDK >A01p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1615209:1617736:1 gene:A01p003730.1_BraROA transcript:A01p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYRPASSYNSPFFTTNSGAPVWNNNSSMTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPLIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNIPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVMDFFSHHPESLNMFTFLFDDIGIPQDYRHMEGSGVNTYMLINKSGKAHYVKFHWKPTCGVKSLLEEDAVRVGGTNHSHATQDLYDSIAAGNYPEWKLFIQTMDPADEDKFDFDPLDVTKTWPEELLPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCSHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAEKYPTPPAVCAGKRERCVIEKENNFKEPGERYRSFTPERQERFIRRWIEALSDPRITHEIRSIWISYWSQADKSLGQKLASRLNVRPNI >A05p044030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26509429:26510669:-1 gene:A05p044030.1_BraROA transcript:A05p044030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIKCVGVVGAGQMGSGIAQLAATNGLDVWIMDADRDALSRATAAISSSVNRFEVGDDAMRRLRLTSNLQDLRSADIIIEAILESEDIKKKLFKELDGIAKSSAILASNTSSISITRLASATQRPTQVIGMHFMNPPPIMKLVEIIHGADTSQETFIATKTLAERFGKTTVCSQDYAGFIVNRILMPMINEAFHTLYTGVATKEDIDSGMKHGTNQPMGPLELADLIGLDVCLSIMKVLHQGLGDSKYAPCPLLVQYVDAGRLGRKRGVGVYDYRKKAATPSPRL >A07p012940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7783603:7785347:-1 gene:A07p012940.1_BraROA transcript:A07p012940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQCTENPPKLDPNSGSGHVEKLGGLDSYISGSTHSKLAVVLVSHVFGYETPQLRKLADKVAEAGFYAVVPDFFHGDPYNPANEDRPLPIWIKDHPQDKGFEDSKPVVEALKNKGLTTVGAVGFCWGAKVAVELAKQELVKAVVLLHPSRVTVDDIKGVKVPISVLGAEYDQVTPPELVKQFQNVLATKPEVKSFVKIFPRVKHGWTVRYDPNDPSEVEAAEEAHKDMLVWLTNHVK >A03p029140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12252348:12255357:-1 gene:A03p029140.1_BraROA transcript:A03p029140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 3 [Source:Projected from Arabidopsis thaliana (AT5G50320) UniProtKB/TrEMBL;Acc:A0A178UGS1] MATAVVMNGESKKQPRPGRGGFQGRGLTEEEARVRAISEIVSTMIERSHRNENARSRIDQLKRLGHSVDKVEFILMGGTFMSLPAEYRDFFIRNLHDALSGHTSANVEEADIHHKIKPEQVELVRRDYTANQGWETFLSYEDTRQDILVGLLRLRKCGKNVTCPELMGKCSVVRELHVYGTAVPVHGREADKLQHQGYGTLLMEEAERIARREHRSNKIGVISGVGTRHYYRKLGYELEGPYMVKHLL >A05p008050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3285158:3285448:-1 gene:A05p008050.1_BraROA transcript:A05p008050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTFL8 [Source:Projected from Arabidopsis thaliana (AT2G39705) UniProtKB/TrEMBL;Acc:A0A178VQG8] MASTGLTRSGSAPQFHLDEKWKLAKKDSLSGGAARITRSSSTSSIPMNGGKKTHKQGRCAFTRKCASLVKEQRARFYIMRRCVIMLVCWRDNYSDS >A07p037950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23941460:23943515:-1 gene:A07p037950.1_BraROA transcript:A07p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 1 beta-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G70370) UniProtKB/Swiss-Prot;Acc:P92990] MLNRCFFSNRSCSVSSMRIHSLPKHLLSVTGTKRSAATNHPSDRSFSSPRLHRLTPSSPPLSPNSPPRTRSDSSPGLLSAANLFCFPELSRASSSITPTSSFSVYDQKNFTNYGNAAAGGTDSFKTYSKDGNVVTDSFRRYSRNAAGHDDKFTVYSDSSNVVEENFNSLRNLRNRGTGEFTNYQNGVNTPTSRFTAYSDGGNGKAQSFKTYTHEANAGNGQSFTSYGKNGNGVPNEFASYGVSANVIGSGFSNYGEKGNAANDSFTSYGSDGNVPQNNFKNYGASGNAASDTFANYRDKSNVGDDSFSSYAKDSNSGTAKFTNYGQSFNPGSETFTGYGKGAEGHKISFKTYTPNSTFKDYAKNGVGFAKYNVSSTSATLGDGKTVNKWIEPGKFFREASLKEGTVIPMPDIKDKMPKRSFLPRSIISKLPFSTSKLAEINKIFHAGENSTMEKIISDAVTECERSPSVGETKRCVGSAEDMIDFATSVLGRSVVLRTTENVAGSKQKVVIGKVNGINGGKVTKAVSCHQSLYPYLMYYCHSVPKVRVYEADLLDLKSKTKINHGIAICHMDTSSWGPKHGAFLALGSKPGLIEVCHWIFENDMNWAFAD >A01p021150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10314339:10318618:-1 gene:A01p021150.1_BraROA transcript:A01p021150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLPCCDKNGVKKGPWTPEEDQKLIDYIRVHGPGNWRILPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVMGNKWSAIAARLPGRTDNEIKNHWNTHIRKRLVRSGIDPVTHSPRLDLLDLSSLLAAIFNQPNFSSVATNASSLLNPDVLRLASLLLRPQQPLQNPNTLYESNLDQNLQTPNTSVSSQDTQPQAECTAPTKDETSYFEPMNARLEDGPSDVLPPLSESFDLDSLMSTPNYSPQQNNIEAEANSSSLFDFRFPDNFTFDDFMGVASLTMKRRFTSLLIILCSLLSHTVSANLLLEPVTPNTVPAFPVETQAQTCRLDLSDELFGGVNEACGRNLDRSRCCPVLAAWLFAAHARSALQLPAPAPTPASSDPDEPMRPDDSQKCVNTLQSALLTKHIKIPQPSPNCDAILCFCGIRLHQISSLSCPAAFNVSSGFRNATPTAAVKNLEKECRNSSYSGCTRCLGALQKLKVKGGSKKTTTERASKMMSKDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSPHPPHLNKCSPDQENMPLAVDSLQFQRSLAASSYFSVFPFLPLLLCIFLFL >A05p017590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8055385:8059648:1 gene:A05p017590.1_BraROA transcript:A05p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMDQRSNVNGDSGARKEEGFDPSAQPPFKIGDIRAAIPKHCWVKSPLRSMSYVARDIFAVAALAMAAVYFDSWFLWPLYWVAQGTLFWAIFVLGHDCGHGSFSDIPLLNSVVGHILHSFILVPYHGWRISHRTHHQNHGHVENDESWVPLPEKLYKNLPHSTRMLRYTVPLPMLAYPIYLWYRSPGKEGSHFNPYSSLFAPSERKLIATSTTCWSIMLATLVYLSFLVGPVTVLKVYGVPYIIFVMWLDAVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTIDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLVDATRAAKHVLGRYYREPKTSGAIPIHLVESLVASIKKDHYVSDTGDIVFYETDPDLYVYASDKSKIN >A03p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22200161:22201918:1 gene:A03p047820.1_BraROA transcript:A03p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 4 [Source:Projected from Arabidopsis thaliana (AT2G06850) UniProtKB/Swiss-Prot;Acc:Q39099] MAVSSTPWALVALFLMASSTVMAIPPRKAIDVPFGRNYVPTWAFDHQKQLNGGSELQLILDKYTGTGFQSKGSYLFGHFSMHIKLPAGDTAGVVTAFYLSSTNNEHDEIDFEFLGNRTGQPAILQTNVFTGGKGNREQRIYLWFDPSKAYHTYSILWNLYQIVFFVDNIPIRVFKNAKDLGVRFPFNQPMKLYSSLWNADDWATRGGLEKTNWANAPFIASYRGFHIDGCQASVEAKYCATQGRMWWDQNEFRDLDAEQYRRLKWVRMKWTIYNYCTDRTRFPVMPAECKRDRDV >A09p060560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50185758:50187136:-1 gene:A09p060560.1_BraROA transcript:A09p060560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLMSCGIAAVYPSLLSSSKSKFVSAGVSLPNVGNVGRIRMAAHWMPGEPRPAYLDGSAPGDFGFDPLGLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILAIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHKNIGDIVIPLN >A09g512490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36628969:36630685:-1 gene:A09g512490.1_BraROA transcript:A09g512490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCDTNIARIDRAKSNEQAGHDHLTLIVRGEGNLKLKKMVRMEPA >A09p003470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2014654:2017097:1 gene:A09p003470.1_BraROA transcript:A09p003470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYSSNPFVYTFIFLLSIGNRIAFSSSSSPQAQDPKLVVDEVNRSVFNASRRSLAYLSCRTGNPIDDCWRCDPNWDTNRQRLADCAIGFGKNAIGGRDGRIYVVTDPGNDDPVNPIPGTLRHAVTQEEPLWIIFKRDMVIKLQKELIITSFKTIDGRGASVHITDGPCLKIHEKTNIIIHGINIHDCKPGPGGMIRDGPDHTGMWIPSDGDAVAIFGGKNVWIDHCSLSNCDDGLIDAIHGSTAITISNNHMTHHDKVMLLGHSDSYTEDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFLAPDTRFNKEVTKHEDAPESQWRDWNWRSEGDMLLNGAYFRQSGAGAPSTYARASSLSARPSSLVGSITTTAGALSCRRGGHC >A10p030590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18479767:18480949:1 gene:A10p030590.1_BraROA transcript:A10p030590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALSWEIDDFSKRNNWIKSDNFSSGGCEWYAKVYPKGSGCSDHLSVFLCVVNPPNSLRPGWKRRAIFSFLLLNQSGQVLNRSPGPKLGFPEGVASYKLTVEVYIKVVEVVHQGKSTENDIIDFHGSHIFASQVFSVANTVFQDPHYVEDFKPENQWVQPKYYMYLLGLVETLIKPPQSLSATELSNAQRDLTALKESGFKLDWLNSKLEEVSLEWKKGAHSSHESGIHQLEERVENVELSLSDVIVELDKVKTKSAAAQVSSFQFIDFLIKRLFLSCFSFSKS >A05p055270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32646947:32651318:-1 gene:A05p055270.1_BraROA transcript:A05p055270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G01420) UniProtKB/Swiss-Prot;Acc:Q9SGH6] MKVISSLISSVFLKFIHKDFHEVYSRMPVLDRIILLIVHAVDKMVPWHKLPVFLGMAYLGLRRHLHQEYNLINVGQTPVGTRFNPADYPYRTADGKFNDPFNEGVGSQYSFIGRNCPPVDQKTKLLKPDPMVVATKLLARRKLIDTGKQFNMIAASWIQFMIHDWVDHLEETNQIELVAPKEVANECPLSSFRFFKTKEVPTGFFEIKTGSLNSRTPWWDSSAIYGSNSKAMDRVRTYKDGKLKLSEETGLLLQDQDGLAISGDVRNSWVGVSALQALFIKEHNAVCDALKKEYDDLEDEDLYRHARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRTNWYGILGKKFKDSFGHVGSSILGGLVGMKKPQNHGVPYSITEDFTSVYRMHSLLPDQLELRDIDVVPGTNKSLPLIEEVSFGKLLGPKGEQTMSHIGFTKLMVSMGHQASGALELMNYPLWLRDLVPHDPNGYDRPDHIDLAALEIYRDRERNVARYNEFRRSMFMIPIKKWEDLTDDKEAIEALEDVYGGNVDELDLLVGLMAEKKIKGFAISETAFNIFVLMATRRLEADRFFTSDFNEMTYTKKGLEWVNTTENLKEVFDRHYPEMTERWMNSESAFSVWDSPPVAKNPIPLYLRVPPS >A07p010600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6657649:6657873:1 gene:A07p010600.1_BraROA transcript:A07p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACVILMLVICAAVIVEQSEAKKDGKYLSPHIFDPCHGPNPPAGCHPPHDGPPVPANKYTRGCSKIHRCRGGA >A03p010850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4335157:4336297:-1 gene:A03p010850.1_BraROA transcript:A03p010850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTADNNGGKTQTQAHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKQHRASMRQAAKIPPPPPPHIPFSSPPPPDPFSWSSSHLNFLLPNKPLGLNLNLHDFNDFIQTSSTSSSSSSNSPSSSSVMFPTTYPQFYSSPFTPLPAFTATSESVPHQPPKQLMESENNVVTSAWWSELMMKSVEEDVFPKLSDVMEFPSWLNTTDEEELFHPYNLTHYSSPHNPPLSCVEFGEIESMDGDDWLA >A10g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7718549:7724472:-1 gene:A10g502690.1_BraROA transcript:A10g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAWPTRKDKCQVSADKYGSFEDNYEEREKWKISILCYDGLRAEDNLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFCSLFDFLFLSLIFMAEKRFEYRYATEDELEEMKQREFAGWMFTYVSAGLARGKIFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSTSGDDVYYEHIHEILKIKYLGMVGLHCTVFYCDWHDNTPDRGVRTDAFGVTSVNSRRKLQYYDPFILASQADQYPRVRNKDDPWVTVTRLNPRGRVQGSSELEDPLQPSTSDNLSAAEDLAGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEIPRKLRGKIEMKYGKKNRCFETPNPVSSEFSRSNDDQTRPRQRRGRGGTGSQSRGSSSQIQDSASPHSSYHTSPSPFPASAPPAPAAAHAPAPPGPPGVMSVAELVRQPGRDHLPYLTPFNRSVNEISALINRMMYSALDKGHPTFTDFPTHKQHMWFRQFAQEFNWNSNDTLFIYHHFVHKIMDNYGKQIHEWKKKWEINKEELCVHWDKEETKETSSTNSTNRRSEHKGKGVFKHNLGAQSIATLGDRMVEENDGELVDDLALMKRAYTNKKTGQIDDGLLRDVVTLVETQVQDEVSQLQTEDDDSTASTNLSRVRINEIVESLKDKDDRIYVLETQMAAQQAGYEAQKRLNEQMMEMMKRMYPNEVFPNV >A02g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24644737:24645456:-1 gene:A02g509190.1_BraROA transcript:A02g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQNNGLTQFHRENGHLSMDNTSNATNQGSNGLQHSNRNYIQHSIPLRSVFKRILGDLKNIPISSGIQRSFQGNLFVDVEPTKKRKLITGVILDDDDDADFELSDVSLTEQCVTIMIKSLTIVA >A05p050910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29632991:29635492:1 gene:A05p050910.1_BraROA transcript:A05p050910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVILDSWCFCKGVSKSEKMKGSIFSGKGPAMARISVSGPNGTVTSGTGFLIHRNLLLTTHLNLPSVSATETAEVRLQNGVAAALFPHRFFITSSVIDLTIVGVDLVDGGDSNSQSQTQQQPHYLKTCSKPNLDLGSVVYLLGYAAQNELKVGEGKLVVATDNLIKLSTDEMIWSPGSAGFDVQGNLAFMICDPRKLATSPASTSTSSTSSLKKDNNKTLMMQFGIPMPVICDWLNQHWEGSLDEHTSKPKLPLIRLMSSGQKSERSCASFTMRPVFKPTDSADVVGTTSSSNNRDQTHNAPEEEEETSRKLTTSTTHAQGIPTPEIYESPKLTSSPLRKESGGGQVHLLDINFPPRVPKVPTYLPQLNYPQQSNHMVEEAEIASEGSDAQIASTGSVNGALSEVISSSPPPEAAHYVYHHNHGYSSEEETTMYSAETAESRNYPTPPAKSCVGRSQSCVSSSGRWGTPQKSLSGRRAMLEKQRSFVHGNNKMHSQGGATSQRSNDYYSPTVSSIMKKRNNSSEKPVFKPVPRPRAASPSSQRWMF >A09p008000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4154287:4156334:-1 gene:A09p008000.1_BraROA transcript:A09p008000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTLHHLSRLPASRHLSLPPHQTLSSFPRNPVKNLIFRQNLAESSIFRLSTVTVKALSPVAVEGSPEKKMVKGIRVYEHGGPEVLKWEDIEVGEPKDGEIRVKNKAIGLNFIDVYYRKGVYKPASMPFTPGMEAVGEVVAVGSGLTGRKIGDLVAYAGSPMGAYAEEQILPADKVVPVPSSMDPIVAASIMLKGMTAQFLLRRCFKVERGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTNEKAAQAKEDGCHHVIMYKNEDFVSRVKDITSGKGVDVVYDSVGKDTFKGSLACLKSRGYMVSFGQSSGSPDPVPLSDLAPKSLFLTRPSMMQYNETRDELLECAGEVFANVASGVIKARVNHKYPLSRVADAHADLENRITSGSVVLLP >A09p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34878446:34880744:1 gene:A09p042690.1_BraROA transcript:A09p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGAGGGNEVVEEASPISSRPPANMEELMRFSAAADDGGGLGGSSSSSSGNRWPREETLALLRIRSEMDSTFRDATLKAPLWEHVSRKLLELGYKRSAKKCKEKFENVQKYYKRTKETRGGRHDGKAYKFFSQLEALNTTPPSSSLDATPLSVANPIQPPPSSSHFPVFPLPQTQPHTVSFTPNVPPPPPPMGPTFPGATFSSHSSSTASGMGSDDEDIMDVDQAGPSSRKRKRGNRGGGKMMELFDGLVRQVMQKQAAMQRSFLEALEKREQERLHREEAWKRQEMSRLAREHEIMSQERAASASRDAAIISLIQKITGHTIQLPPSFSSQPSPPPPPAAKRPSSQPPQLQPIMAIPQQQVLPPPPPQPQQEVIMSSDQSSPSSSRWPKAEILALINLRSGMEPRYQDNVPKGLLWEEISSSMKRMGYNRNAKRCKEKWENINKYYKKVKESNKERPQDAKTCPYFHRLDLLYRNKVLGTGGSSSASALPHQDQISTVQKQSPVSAVKPPQGVVTVGSASSEEEEPREESPQGTEKPEDLVMKELMQHQQQDSMISEYEKIEESHNYNNMEEEEEEEEEEEMDEELDEDEKSAAYEVAFQSPANRGGNGHTEPPFLTMVQ >A08p022540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14870836:14872393:-1 gene:A08p022540.1_BraROA transcript:A08p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMPMDIITDLFLRLPASTLVRCRVLSKPCFSLIDSPDFISSHLHHTLQTGDHLMILLRGPRLLVTVDLDSPDKVSDVEHPLKAGGLTEVFGSCNGLIGLSNSPTDMALFNPSTRQIHRLPAEPVDFPEGSRTRGYVFYGFGYDSVNEDYKVVRMIQCKGGAADELVFGFPYEVKVFSLKMNTWKRVKRVLPAIQLLFYFYYHLLYRRGYGVLACNSLHWVLPRRPGLIAFNTIIRFDLAAEEFEILTFPESLAHENIDIGVLEGCLCLMCNREFTSVDVWVMKEYKVEGSWSKVFSVPKPKSVESFDFMRPLLYSKDRRKVLIEVNNAKLLWFDLESKRLRTLRIKDCDSSYSAELLVSSLVLGCKGDPSEAKRRRERRALEDKMMQQRRMIFCQRGSSWCYEQSKEKFKNKNQTHARGKRRWKRNGLTTNSLNPYMFKICVCRRYVLFLCRVVPRPNTTT >A03p034610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14590971:14593987:-1 gene:A03p034610.1_BraROA transcript:A03p034610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLLYNLSDENPNLNKQFGCMNGILQVFYRQHYPARPVSGHVEKSLPSGERKDLVSEINMESDKETERITKKKKKKSAVKDKHRVSSESSSRPSFSSSPRSSSFSSAEVSTATSQFDQPGENLTRELLNAGPMMPFDIKELVKGSINREVRTRRDETTAAFTQHQQQPNSARASMMLLKEASLRSPSRSSSEWNEGRGMAARLRESPRFSYDEREMRNKTGSKLKETPRLSLDSRSSCPPEEPVTMSHRRSNSSVVAKLMGLEVIADNFDTEQRNENRFCDSPRPMSRVEPTSLGRSRSVGSIKKLPAASKFPLEPAPWKQMKAGDAALTVYGEIQKRLTQLEFKKAGKDLRALKQILEAMEKTQQLMDGSKDNRTQQPVPPATSPAMNFRPSSIVVMKPAAPVSTSLPNVNVGNPRQIRKVTSGKQNAMDLTPRPGLYKSQQESTKSTSPKTLRSRQVLVADSSSMTKSGRSQQQSVSPRRQPKKLGFERQSPPTTPKSEPGKIQRQLGRQQMESVSPRRKQGIKPRSTLQQPDERLGHASSDLRSLRTDSSISLGSSADIEVTSRHRLERNCGFPELHTPNQKSPDFGIRQDRPSLKPLKVTVEQPSPVSVLDAAFDEEDSPSPVRKISLSFKEDDALRSEESVWIKKPASVCRSIILPENNRGPTQPDSELLKCFSEEDASGDHKYISEILLASGLLRDLEYSMISIQLNKARLPINPGLFFILEQNKGMGLRQHQTDPTEIIRRKLIFDTVNEILAQRFTAEGCTKPQLTANPISTMENISKGEQLLKTLCLEIDRLQENNSQCILEDDKEDLIWNDLQCQGMNLKAFEGETPGIVLDIERMIFRDLVNEVCFC >A09p028600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:17170873:17172804:1 gene:A09p028600.1_BraROA transcript:A09p028600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRGTAMSLDSFVSTMAPLIDMEKEAEISMSLTTGASRNIETAQKKGTTILNLKCVDVQTGLMGKSLLEFQSNKGDVLPPHKFGNHDVVVLKLNKADLGSSPLAQGVVYRLRDSSITVVFDEVPEEGLNTSLRLEKLANEVTYRRMKDTLVQMSKGVLRGPASDLVPVLFGERGPTVAKKEVEFTAFNKSLDQSQRDAISKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHKVKLVRVGHPARLLPQVLDSALDAQVLKGDNSALANDIRKEMKALNGKLLKAKDRNTRRGIQKDLRALGKEERKRQQLAVSDVIKNADVILTTLTGALTRKLDSITFDLVIIDEGAQALEVACWIALLKGSRCILAGDHLQLPPTIQSAEAERKGLGITLFERLADLYGDEIKSMLTVQYRMHELIMNWSSEELYDGKITAHSSVASHMVFDLENVEKSSATEATLLLVDSAGCDMEEKKDEEESTYNEGEAEVAMAHAKRLIKSGVRPCDIGIITPYAAQVMVLRILRGKEEKLKEMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLKDQRRMNVAVTRARRQCCVVCDTETVSSDAFLKRMIEYFEEHGEYLSASDYTNW >A08p013760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9266168:9268626:-1 gene:A08p013760.1_BraROA transcript:A08p013760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSEASHPALHEHLRPSIHAEEAAGFHKRVKRIHVLVKIVVPCTVFEVEFPITPDRSVHLGSYNGIFYDHMYALATQRGLRFGGEIDKGPIEAASIDTSTSSSIYSGRVSEQKEFKMKFRVRSRFFSQPFAKLRALLMSEMIDKGEESMEAHKRRVMNLKKRDTDICFGVNPRKTSFHKKRRCLASIGRQSISSIDRHLHPISEVAPDLLKDWYVCLARGSCRGDEEID >A02p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22400180:22400580:-1 gene:A02p039350.1_BraROA transcript:A02p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAALIFIGILTFTTCTKMLVQSCDNDSDCNVLKCTSAAKIMCVQNICQCANERHLGFSFGPSDSICNARVCTPICRSKGEYFQACLNGQCKCGTYPSR >A05g510500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32341839:32345337:1 gene:A05g510500.1_BraROA transcript:A05g510500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLTSTAYGGHHVNPIKNPLLFKTLSQLTGSNRSSLELGCHAFCSSQSDYAADSKAAKSDSDTKSSSGVVSKASKLDDYQTVIALPMLNKSHQSVVTCLISQKMCQSDTDDGRVLEISHSMMNGWGEESQGGRSQITPEMANNFPDEFHGATPIWFGGLGCEDTLVQEGLYPPLNLTYTPTQEHFNKIESKFQEERQKQLYSTENTKALTTHETPTTTEKLKAMNFEISKITIGEWTHKSVYPHDLIAKFYFAKKRLMWEILDEDSKLKRKIEMQWSDVLSFRASFPPQNETGTLEVELGKCPTFFLEVNPQRAKHTQWKQLDQDFTPGQSASKYRRHTLQISPGDLKMNLEKLVSAVSFWSKLAKVNFPTLPQSLYFDNGNSNNNGNSNLCPNGNCTTLGINGNHLYPQGLGHVPVENVNFNMATELCPNNQMNPIFQDDHQDETMSQLPGMQVTHPSSQHINMGRYIISGSHFNNPMIPDDCHTSNTGKLRGPYLQDILAQEEVIQNKKCIRQFQTNGEYCNCNQCFNNINGSLPPDS >A09p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7411272:7413335:-1 gene:A09p014410.1_BraROA transcript:A09p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCAFMWKSGGEDLQGFYPVRRECQSDVPRTRFKSRAGKTLSARRWHAAFTEDGHLDMEKVLRRIQRGGIHPSIKGAVWEFLSGCYDPDSTFDERTKLRDLRREQYGSWKEECKNMVPVVGSGKYITMAVVSENGQPIEDSSVENQGWIVKTAVTDDRTLQWMLSLHQIGLDVARTDRYLSFYENDINQSKLWDVLAIYTWLNLDIGYVQGMNDICSPMIILFEDEADAFWCFERVMRRLRENFRATATSMGVQTQLGVLSQVIKTVDPRLHQHLEDLDGGEYLFAIRMLMVLFRREFSFLDALYLWELMWAMEYNPNMFATYEELENRNNNNAADDPKLLKRYGKFERKYVNSGNNERHSNTIAVFVVASVLQTKNKRLLKEAKGLDDVVQILGDIAGNLDAKKACKEALKIHEKFLKKANRQ >A09p007110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3769129:3772953:1 gene:A09p007110.1_BraROA transcript:A09p007110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAFPHVFLWTLLAFLLTWTVFYVNNRRKKVAKLPDAATEVRRDGDADVIIVGAGVGGSALAYALAKDGRRVHVIERDMREPVRMMGEFMQPGGRLLLSKLGLEDSLEGIDEQIATGLAVYKDGQKALVSFPEDNDFPYEPTGRAFYNGRFVQRLRQKASSLPTVQLEEGTVKSLIEEKGVIKGVTYKNSAGEETTAFAPLTVVCDGCYSNLRRSVNDNNAEVISYQVGYVSKNCQLEDPEKLKLIMSKPSFTMLYQISSTDVRCVMEIFPGNIPSISNGEMAAYLKNTMAPQVPPELRKIFLKGIDEGAQIKAMPTKRMEATLSEKQGVIVLGDAFNMRHPAIASGMMVVLSDILILRRLLQPLRNLSDANKISEVIKSFYVIRKPMSATVNTLGNAFSQVLIASTDEAKEAMRQGCFDYLSSGGFRTSGMMALLGGMNPRPLSLIFHLCGITLSSIGQLLSPFPSPLGIWHSLRLFGLSMKMLVPHLKAEGVSQMLSPAYAAAYRKSYMATTAL >A03p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7785458:7787922:-1 gene:A03p019090.1_BraROA transcript:A03p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFKPFAQKEPSGLEGRYLEIGSLKVHVRNLVAEGGFSSVYLAQDTNHASKQYALKHIICHDEESLELVMKEISVLKSLKGHPNVVALHAHGILDMGRNKKEALLAMEYCGKSLVEVIENRGAGYFEEKQALSIFRDVCNAVFAMHCQSPRIAHRDLKAENLLLSSDGLWKLCDFGSVSTNHKVFERAEEMGIEEDNIRKHTTPAYRAPEMWDLFRREIISEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPESPKYSASVTDLIRDMLQGSPDERPDVTQIWFRVNEQLPANLQKSLPDQPPEMQSTDVSPKPASKSSPAPRPPSSGEQASGGPLGAFWATQHAQTSVVSEDNKRSPVRGEARGKDTSPAAASSWTRVSKDDAFNSFVADFDTAKLDNGSKPGKEEALEAEIEKLKDELKQTKSEKAEITAKFEKLSAICRSQRQELQELKQTLASKSASASPSRDLSQNQTSPGMRSVSSTPSRDRAEGSVWDLQQTDRSDWSTGSSDPNSWQPFSDEPKPVLESPTKSVRTKSKPASSAPAPASQGFEPWGFETESFRAAATSSASATPKSVSSGNSSQRFGNTKMRENQKAAQPAGWAGF >A02p045440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28538196:28540940:1 gene:A02p045440.1_BraROA transcript:A02p045440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFRDVVLFFLLLTVAAPILLYTDSYTSAKTPFSKREFLEDVTALTFNSNENRLNLLHREESPVDVRRGVVGVVYSKLDSDSSLKARDQVSARVLKATDDETQSQTDDHIKQVTDAAASEIDKPNVMHASDGNAHNRERMHVQLTQKTSGKVDEQETKGSGAEKESGNVRMPDAQVRHLKDQLIRAKVYLSLPAAKANAHFVRELRLRIKEVQRLVVDASKDSDLPKNSMEKLKAMEQTLAKGKEIQDDCSTVVKKLRAMLHSAEEQLRVHKKQAMFLTQLTAKTIPKGLHCLPLRLTTDYYALNSSEQQFPNQEKLEDNQLYHYALFSDNVLATSVVVNSTITNAKHPSKHVFHIVTDRLNYAAMRMWFLDNPPGKATIQVQNIEEFTWLNSSYSPVLKQLSSRSMIDYYFRAHHANSDTNLKFRNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLSGLWSVDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPRACGWAYGMNVFDLDEWKRQNITEVYHRWQNLNQDRDLWKLGTLPPGLITFWKRTYPLDRKWHVLGLGYNPSVNQRDIERAAVVHYNGNLKPWLEIGIPKYRGLWSKHVDYQHVYLRECNINP >A05p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:111464:112219:-1 gene:A05p001170.1_BraROA transcript:A05p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEIVVEEEVVPPSSSSSLIVEEDDGTELKHLGFVRTAATYLAVCLSTLYELAKDNAGPLKLGVENIEATVETVLSPLYDKFNDVPFKLLLFVDRKVDDVFYDVETYVPSLVKQASSQALTVATEVQRAGVLDTTKSIARSVLDKYEPVAEYYAATVWRLLNRVPLFPEVAQLVIPTAFYWSEKYNDAVRYVGDRDYYVAEYLPMIPTEKISDILEQDHCRAH >A05g503020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9074878:9075912:1 gene:A05g503020.1_BraROA transcript:A05g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRDLKFSPIDQNLVGYYLRNRVDTGKDGFITDIKLYEDEPWLLPHVKNDQFKENMWFYFVLRTRNLGSRPKRTVPGRGSSNGGTWTTSGVKKAITDRNNPKGVIGYKTELAYHKKVKGKLKGDTTGWCMTEYWLASENDAQFQEVVLCHLRDNNKMVVDESKNGDNDIFTEQQPQQGNSDDNNNRLLDFSHQQRPLIPPFEGQGLRLQTIMGYSDKATQEQQHPPISPPPQRQDSGSINNALVIMEDECVSQDEIFNLADLEAGITHPQQQHRQMMVDPYDDISFSRLAMPNNLIYHHEDSWHQDTSPWNNTNPRGLIFNSHGYEIQDQTVTKGHNQDSYY >A03p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9587685:9590294:-1 gene:A03p022720.1_BraROA transcript:A03p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFKSSSGNNATDKHILREKESETSPKSGGGHALRRSRSLSSAAFLLDGTTSTGTRSSRQQTHSSRCFTPESQGEHGRMYNDSSPSLSSTCSSNVLDRFIDGEDHHSKPKSGSSSHSNHHSGSVKGRRLPPRVHTPSPLSDHVQEHCMKDASARLLSRSVVERLSHNTHGESKALSYDSKEPMNGYYRNDHHGEEQESFLDDENMHVGTNHAYKEGELEKKYKETEKRVKLLSQDLKEHKFLSDCDFDVSSLVDDEKIGLALEVLTLLRSQMDERASAKEEIKRAKTDSELHIKRLEKEKSELQFELEKELDRRSMEWTSKLESFQMEEKRLRKRVRELAEHNVSLQREVSTFHEKETERIDMMRNMEEKINELSETEEETHGENVYLKQNLSKLQESYNGTTEELDCVRRSFEEKEMECKDLHKSVSRLVRACGEQEKTIEGLRDSLSEETKKQPSEMVKRLQMEQMRLTGVEFSLRKEVESTKIEADSLRAENVCLRSYDSMTTFKLDNEMKMRVGLLQDQGVMMLSETTQLCYKLLKFIRERLSEDVHNGLSEQFLIESEMNVHGIRRGTESLKRSLQTVNSLLLEKANEIASNSESSRSTEPNNQSVEKLLRAELRAETLVTSLLREKLYSKEQEIQQLQAEVAAGVRGNEVLQREIQNVLDSLSINTHQLKDLKIQMVKKEENVKRLEANLQEAVKQLASMKVTLPKVLEEREEMCKEVRDCRKRNMDLESEKEMLKKEFERLEEDILLKEGQITILKDSLGSKHFNLLSSPDFSYNEFLVQ >A01g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:308952:309449:1 gene:A01g500090.1_BraROA transcript:A01g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSRSQPERPVQSDYLKSLPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPF >A02p054320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33011007:33012711:-1 gene:A02p054320.1_BraROA transcript:A02p054320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWSDRLASASRRYQLDFLSRSDNFLGFEEAEGEDEFREEYACPFCSDYFDIVSLCCHIDEDHPMDTINGVCPVCAVKVSSDMVAHITLQHANIKSTRRGGAQSMLSILKREFPDGNFQSLFEGSSRVVVPPSSSSIAADPLLSSFISPMADGLFISETSSAKKTSNQSLPKRSAEKKSLSAEDHREKLKQSEFVQGIFSSMILDDDL >A02g502360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7656816:7657154:-1 gene:A02g502360.1_BraROA transcript:A02g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHHTQPEINPNQIGSSSATVGEDHVSTSATSAGHIPYDDMDDIPHPDSIYAASDLIPDGSHLVPHRFEGSELLGSRPMEGANELTISFCGQVYVFDAVGPEKINTLRIGS >A02g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16088544:16091020:-1 gene:A02g505220.1_BraROA transcript:A02g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRIGGEIFCINRHRISHLRFTKSFFFAYYSYRFRFRFVMSTPRVFFSDLKSGKCSSAVEARLLRFWEAKNVKRGGELMWVDMLLIDVNATMMQATIYANRLSRFRSKLAAGTMYTVSGFDVARCAQNFRLTDSPLLIRFNDSTAFDELIEPVSPLPEEGFRFRNQSELIGLANTSTQLPGEITAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSFFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKSGEDYFYRLVARDNGVPSAAPLLKGYAKVETLTISELISFVATAQSQDIDFVCTGRVVRLDVDKGWCYVACARCSKKLQRTVSALECVRCNNPNAVGVLRYRLELAIADNTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDMMVPPFISDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDDNNLGDGGHVPVRGDSVEGSSGPDKKADGTPADAAVEKRSRPSTSAAKKARVV >A09p063270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51433527:51438237:-1 gene:A09p063270.1_BraROA transcript:A09p063270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLKDFEVDAKNPSLEARQRWRSSVSIVKNRARRFRMISNLETLAENDKKRCQIQEKIRVAFYVQKAALQFIDAGARTEYKLTDEVKQAGFYVEADELASMVRNHDTKSLKHSGGAEGIAQKVSVSVAEGVRSSELHIREKIYGANRYAEKPARSFFTFVWEALQDVTLIILMVCAVVSIGVGVATEGFPKGMYDGTGILLSIILVVMVTAISDYRQSLQFRDLDREKKKINIQVTRDGNRQEVSIDDLVVGDVVHLSIGDRVPADGIFISGYNLEIDESSLSGESEPSHVNKEKPFLLSGTKVQNGSAKMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLGFAVLTFVVLCIRFVIDKATSGSITEWSSEDALALLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMRDRALVRHLSACETMGSSTCICTDKTGTLTTNHMVVNKVWICENVKERQEETFQLNLSEQVKNLLIQAIFQNTGSEVVKDKEGKTQILGSPTERAILEFGLLLGGDVETQRREHKILKIEPFNSDKKKMSVLTSHSGGSVRAFCKGASEIVLKMCEKVVDSNGESVPLSEEKIAKISEVIEEFASEALRTLCLVYTDLDQAPSGDLPDGGYTLVAVVGIKDPVRPGVREAVQTCQNAGITVRMVTGDNLSTAKAIAKECGILTAGGVAIEGSTFRNMPPHEMRAILPKIQVMARSLPLDKHTLVNNLRKIGEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFATIVNVARWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNEGLMKRQPIGRTASFITRAMWRNIIGQSIYQLIVLGILNFSGKQILNLEGPDSTAVLNTIIFNSFVFCQVFNEVNSREIEKINVFKGMFNSWVFVAVMTATVGFQVIIVEFLGAFASTVPLSWQHWLLCIVIGAISMILAVGLKCIPVESNSHHDGYELLPSGPSDSA >A04g501620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3952477:3952665:-1 gene:A04g501620.1_BraROA transcript:A04g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTRWVGQARGIAMHATGPCGQTCGGRGVSLHGARPCIQTGRGRGVIMYETETCSHVEHEV >A03p004230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1812276:1813695:-1 gene:A03p004230.1_BraROA transcript:A03p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC3 [Source:Projected from Arabidopsis thaliana (AT5G09470) UniProtKB/TrEMBL;Acc:A0A178UKG1] MGFKPFLEGGIAAIIAGALTHPLDLIKVRMQLQGEHSFSLDQNTNHNLDLNLPVKPYRPVFALDSLIGSISLLPSSTPAPSSSTRSSMTPFAVGAHIVKTEGPAALFSGVSATVLRQMLYSATRMGIYDFLKRKWTDRLTGNFPLVTKIAAGLIAGAVGSAVGNPADVAMVRMQADGRLPFHRRRNYKSVVDAIERIVRHEGVSSLWRGSWLTVNRAMIVTASQLATYDHVKEIMIAGGRGTPGGIGTHAAASFAAGIVAAVASNPIDVVKTRMMNAEAESYGGPLDCAVKTVAEEGPMALYKGLVPTVTRQGPFTMILFVTLEQIRGLLKDVKF >A07p039080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20764826:20767137:1 gene:A07p039080.1_BraROA transcript:A07p039080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT1G68530) UniProtKB/TrEMBL;Acc:A0A178WCX7] MSQATMPDFSSSVKLKYVKLGYQYLVNHFLSLLLIPIMAIIAVELLRMGPEDILNVWNSLHFDIVQVLCSSFLVIFISTVYFMSKPRTVYLVDYSCYKPPVTCRVPFATFMEHSRLILKDSPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMDEARSEAQMVIFTAMDDLFKKTGLLPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISVDLARDLLQVHPNSNAVIVSTEIITPNYYKGNERAMLLPNCLFRMGSAAILMSNRRSDRWRAKYKLCHLVRTHRGADDKSFYCVYEQEDREGKIGINLSKDLMAIAGEALKANITTIGPLVLPASEQLLFLLSLIGRKIFNLKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSGEHVEASRMTLHRFGNTSSSSLWYELCYIESKGRMRRGDRVWQIAFGSGFKCNSAVWKYNRTIKTPNDGPWSDCIDRYPVFIPEVVKL >A02p004460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1937061:1937958:-1 gene:A02p004460.1_BraROA transcript:A02p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQATSSLAASSLPSSSERSSSSNPHLEIKEGIESDEEIRRVPEFGGEATGKEASGSANGQDRTQIAVGEGQRKRGRTPAEKETKRLKRLLRNRVSAQQARERKKAYLGELETRVKDLENRNSELDERLSTLQNENHMLRQILKNTTGNKRGGGGGGGSNADASL >A08g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16576010:16576460:-1 gene:A08g508850.1_BraROA transcript:A08g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGTGESEMRDITCNHFSSMVQGRLIGSQTGAERGMKDCASKQQRLEYNDDAEVSVSSPAFFICLHHNLCLHDKNVNNSDERYASPTYY >A01p056100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31546661:31547119:-1 gene:A01p056100.1_BraROA transcript:A01p056100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVVKILSLLVAVSAFWIVLLQAAIVPQSHTLLLPIYFVVSLGCYGLLMVGIGIMQFPTCPQEADLLNHDIAEAKDFLSTNGIDIGTY >A03p066000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29196620:29197114:1 gene:A03p066000.1_BraROA transcript:A03p066000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSVRSNSEDVAMHATSGSSSASVNHGTVPQSPWHSPVPYLFGGLAAMLGLIAFSLLILACSYWRHSGEEGGRNGGEVDEEKESRSGDKVASGAYEEKFLVIMAGENLPRFLATPTVKKCTCGVQEGKMVISQEDNVVAEEEKQMGEGEEKVKDTGEPTTSH >A06p036500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19799874:19801006:1 gene:A06p036500.1_BraROA transcript:A06p036500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKHESPNCHAGGPMEVRLLKSRLLRPHGSNLPYASLPFVQGSLNVFGWRLLRKGHRKEEDSISHVSIVYRTGPDPFFGLLCLHPLKGLSEWFPEADKIKDSARQSKPLGEPK >A09p002690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1765839:1767673:1 gene:A09p002690.1_BraROA transcript:A09p002690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLLSSLAMATSPSDKLVQEQEVGTDEVVDPAKTTDEEDEARTEEEEVRTEEADPEKTTEEEDEVRTEEDKARTEEEEVRTEDVDPAKTTEEDDEVRTEDDEARTQEVDPAKTTEKEDEVKTEEDEVRTEEEDEPKEEAIESLLESARNLNIEDEETNQGAENQNRQEDPITTHRSDQTMDRGSTSLASDQNRPLDSDPNTSPISLSVQDQGTENQNPQEQSMERSKDGTWLNIFCKASEQNRPLVLPNPPSQHMMMPPRLGPSVPPYQQNPYGLPQPRWLVVDHFYSDGLGLYGAQWRFRTITPFLPNQNTYPHQLVPMELPGPTRSPPGSSSDEAAAAEPVSKSTSNEADAAKDDFVVHLGQVPVRPMMYYQEQNQIVPNAGIQAPARPSLPQVRAPMMLPPVLLYPPPIVNAVPVRPMMNQGGGQRFRFPMIQQHHGSPSAPWPEQNQQLQSPRESQGSNDGPFSSGGSQD >A04g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1284606:1285585:-1 gene:A04g500390.1_BraROA transcript:A04g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCIGLPIVECLVNIVFKPLISLQRDSNSINCNLPTKGSSIYDPIPRSLDVYRGCRFSYLEQKCDTRNIEIWVTKEKIKNREGEAVEWMKFMNVLVPEWSSFKVSFWYPPSYFIDDKSLSLVLCGYNEERKATIYIAKGDKFNEIKINDLVEDYPRQRTYFPSLVQVPTSTMSSRRPVESRFTLPKGVEVSNGVGGNEWDDGFFDLIEKIYVGESNLGGVTDVFLKCDYVKDNMNVVGAGHWSAKTLIPELYDIKLIADDDYVEAIEGTYTESRITFIMFRTHKKTSRPLHCGMFNGKPFVL >A03g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28750364:28751036:-1 gene:A03g508310.1_BraROA transcript:A03g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRLLIFRTVNSTLCVLSPKSMYDQVVRVIVIQKTLIEHAEKLRQVKAVLEESFSHSREPFEMAQEGNFSGIYRKVQLKPLKWDELFNLLTFNITCHII >A07p034580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18762877:18764861:1 gene:A07p034580.1_BraROA transcript:A07p034580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTSAMDIDVDENLPRKPSNKGKDVAGFVAPPQSKTTPWVEKYRPQSLDDVAAHRDIVDTIDRLTNENKLPHLLLYGPPGTGKTSTILAVARKLYGPKYRNMILELNASDDRGIDVVRQQIQDFASTQSFSLGKSSVKLVLLDEADAMTKDAQFALRRVIEKYTKSTRFALIGNHVNKIIPALQSRCTRFRFAPLDPVHVSQRLKHVIEAEGLDVSESGLAALVRLSNGDMRKAINILQSTHMASEKITEEDVYLCTGNPLPRDIEQISHWLLNEPFAESHKKISEMKTRKGLAIVDIVREVTMFVFKIKMPSNVRVQLINDLADIEYRLSFGCNDKLQLGAIISTFTHARSALVASAK >A03p040800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17014068:17019534:-1 gene:A03p040800.1_BraROA transcript:A03p040800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAVPLDYAVFQLSPKRSRCELFVSTAGNTEKLASGLVKPFVAHLKVAEEHVAREAQSIKLQVESSENAGTWFTKGTLERFVRFVSTPEVLELVSALDEEMSQLEAARKIYGEGTGDQRSGAKDGTETTTAADVTKKELLRAIDLRLAAVRQDLATACNRASAAGFNPVTVPELSQFADRFGANRLNEACAKFIALCQRRPELMSSWRFNQGEEAIRSSWESDMSIDDPSEDPTKNLAANRTQQHREHRIQEQSATGSSYSQQEAKVMPQSSHDEKNEEEEKKGQLQNEPLASQTKQLTRRLSVQERINLFENKQKENSGGKTAVVKSTELKRLSSDLSSSVRRWSGANDMSIDLGNDKKDGTGDSPLCTPPASSVSKDGVSSKQSVGYNKIEQTGLSHVENPHRTEDECSSNNQDSSSTFLRKDKEVDLKVPLSTVGHQGKSQDGLLEKSSRGTLDYSRNANRDDDESDNKMGDFELNKQDQIQFRDPRSHSLSTLEQLGGTESNFTSVRSNGGTAESPTKEPSSRQSPPVEDRKRKTQVYGGSEQMKRPQGRRGEGSETDTMSQMAPAEQVQRARASKGSQELNDELKVKANELEKLFAEHMLRVPGDQSSSVRRGKPQAATSQPRRPVAEDQKTPATPTLSSNDEDKFKTPPTMKMVGNNDYGDNTTRQKLPEISFSDNSRGKSYEKYMQKRDVKLKEDWSLRRSEKEAKLKLMQDILDRSNSEMKAKFSQSTGRRDSDVRRAEKLVYFNSRLSAKKDQHPISSFLTEEDEDVSRSTQSKKLQQNKTSSLTARTAATSASRSSAKVSTPSAVRRRGQDKVLAQSVPNFAEFKKQEGMMKPASGVGKNGVRSQARSSVRPKAVTEEEKPRRPASIRKGAAEEAAELADVSQLKSEDDVSVPLNLDKASEGSEEMEKEEMEEVVDDAEEAFTDAENEKSRHSQEFEEWGGNGESNSQLEPDSNAELPSRNHQTIGSFLDSHATVEDSPVGSPAFWNLSSLNQTENDTTQMRKKWGGAAAQKRGVGGGNNNPSQSQCQQDVTKGLKRLLNFGRKNRAAENLSDWISATTSEGDDDTEDGRDLANRSSEDLRKSRMGFLQTQASDDSFNESELYNEQAQNTSAPLSFKLKEDQASGASHRGHSFRSPIFVAKGNDLRDQRFIGSKQMKDNT >A08p036770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21522318:21525252:-1 gene:A08p036770.1_BraROA transcript:A08p036770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIRHLCLLLVLISISAEPTVSNSCQRNCGKISIPFPFGIGHGCYHNDWYEVKCNNFVPFLPKINKEVVQIDLPRPLKHEDNLVEYVPFGSLRIKTNVNSIGCNSGSSGERPEELVNLTGTPFRISGNHMLVAFGCNNKVTLTHVEPRIVGCISTCNFTHIFENFPPFTPLTTGCYGYKCCNVSTPTESSDKIGVKIESIDGNITSGGCRVAFITDQFNQPSRPWVNITDPKWFHEKKYSTIQLKWKVLTMNMSFEKSLQCLTDPLYDVHSRPCYCSGVISIRPFKEKINYLRCACTYGFEDNPYEVDGCKDVNECTLREAGSPKYCPTRGETCLNVPGSYRCVIKEDKSYQIYIGVGVGSGVLLIGAAFWLYKLIKKHIKRNLREKCFRRNGGMLLQQQLSSREDSVENTMVFTSKELEKATEGFSLGRVLGRGGQGTVFKGMLTDGRIVAVKKSTVLDEDRVGEFINELLILSQLNHRNIVKVLGCCLETEVPLLVYEFISNGNLFQRLQEESADQVLTWEVRVGIAKDVARGLSYLHSVASPPVFHKDIKSANIMIDENFRGKLADFGTSRVIAGDHMSHMTSVVSGTPGYVDPQYQQSGRYTEKSDAYSYGVVLAELITGRRPYFQGNTTLASFFLHAMKEKRLLDILDPRIRNDCDLEQVMVVANIASSCLNLEGEKRPTMILISLELEHTFSSLPDTKTTGNDKEEVAEASGRSREPLSIDVASTSTSLYELS >A07g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2542087:2543310:-1 gene:A07g501200.1_BraROA transcript:A07g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKLVVDKFVEELKQALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREVHVLEFESLRFREIHLIITRQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITTRMLWLSCIWISDVDITALASPLNPDRDKRWLVPNQ >A08p039910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22867614:22872358:1 gene:A08p039910.1_BraROA transcript:A08p039910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAKAPRLSKDDIFGNRAAVTRSFKFYSDNQKTDPACKVAVANKTRVPLRKKTVTISSGAASNTNKTKKGNSGITGQDKSSNENFEENTKVTRKVLADLSNLAGNTLRPTLSGNNTVKWKGVKCSNPQRISVGTTRSNDTSLKKPTKVNESKRVTEVGNNGINKTDHKIIKNRTLSFGSTAGGTRKSLPVFKRTSLTDKTTKKVVVNILMILENVSSLDSKQSGQGPASKVGNRALPQLSSTRSCTGRTRTSVGSIPSDLNNQSKNNVRIRRKSIKIQTTLKTSLQNRSPLKKPPVGRSKSGSISSIPSTEEAASSSSIPEEAERKGLKEDTQEGSSANEKTDPVTKVLDVTARPKSKRRKSFTSLLVTGSKFDGKNDEPEQQEKLPSIDDESNQLEVAEYVDDIYQFYWTSEALNPALGYYLSTQTKVSPVTRGILINWLIEVHFKFHLMHETLYLTMNLLDRYLSQVAVQKNEMQLIGLTALLLASKYEDYWHPRIKDLISISAESYTRQQILGMERIMLKQLQFRLNEATPYVFMLRFLKAARSNKKLEQLAFYLIELCLVEYEALKFKPSLLCASAIYVARCTLRMTPVWTPLLNNHTHYNVSQMKDCSDMILRFHKAAKTGKLRVTYDKYMSPDRSNIALLKPLDKLPL >A03p048970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20922994:20926330:-1 gene:A03p048970.1_BraROA transcript:A03p048970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G16370) UniProtKB/Swiss-Prot;Acc:Q05762] MPLSGRLNVVLTRSGGFDIANTENVVTCSSVDSALYLLAAPPYCLSIERVFVIGGGDILREALNRPSCDAIHLTEIDTSIECDTFIPEVDTCVYQPWCSSVPFCPLKSSADESSSNGSQQSPLQFDGKRFSFLPKMVFDKHEEIRYLNMVGDIISNGNVKNDRTGTGTLSKFGTQMKFNLRRSFPLLTTKRVFWRGVFEELLWFISGSTNAKVLQGKDIHISDGNASREYLDGIGLSEREEGDLGPVYGFQWRHFGAKYTDMHADYTGQGFDQLIDVIDKIKNNPDDQRIILSAWNPSDLKLMALPPCHMFAQFYVAEGELSCQMYQRSADMGLGVPFNIASYSLLTCMLAHVCDLVPGDFIHVIGDAHVYRTHVRPLQEQLQNPPKPFPVLKINAEKKDIDSFVADDFDLIGYEPHKKIEMKMAAPKKDKVPPPPAKSGGGKQKKKVKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKFKLITPSILSDRLRINGSLARKAIRDLMAKGTIRMVSTHSSQQIYTRATHN >A01g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21358862:21369040:1 gene:A01g507400.1_BraROA transcript:A01g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDESITIVVGVRAPGKPTGSRRESFQEANMVGVRANGGSPGVVSAGSEEEAVQNAPKASQNRKGPTWAESVEASGVYAEQETGSGAVIASPGRNGGPADGDRDGEFRDEPAEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEELIEFKSSRVDQEAMGSEEDDEAFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEELFMSFIFENSI >A05p049420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28783321:28784065:-1 gene:A05p049420.1_BraROA transcript:A05p049420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYFGNAYRGDPGVPHADADRFVNIWIGSAAFSVLTWVNPYMWQLSNQFNYHDKWMLFEQHHWKKARAKKQPYEFKWNKIPKEVRDSYYYNWPVYFP >A03g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16673180:16673956:-1 gene:A03g504650.1_BraROA transcript:A03g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAHQSVVSFIFETCIVRRQINNGPSDGALLRSGLDLIQPLEYTLVCESIQGRGCTFRDIHWQWTLVDAGLGTQEDVVYPGLALNLPSSSDLLFAWTVPVIFRVSDCTMCMSYGTSETR >A03p023190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9772830:9775459:-1 gene:A03p023190.1_BraROA transcript:A03p023190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAQESSSSLLMDSAERQQRQQLRASMMAEKDEELSLFLEMRRREKEQDNSLLLNNNPHEFDTPLGSKPGTSPVFNLSSGGGPARKTGPPDDFLNSEGDKNDYEWLLTPPGTPLFPSLEMESHRTMMSQSGDSKGRPASLTSRLANSSSEPAARNHLTSRQPTSSPGLSSSSGATRRPSSSGGPGSRPATPTGRSSSTQTTNSKPSRPSTPTSTRTTVSSTTRPSLTHSRSNVSATTKPASLSRSASSSRLTPTASKPTTSTTRSAGSTARSTTTSTGTKSAGPSRSTTPLSRSTARSSTPTSRPTLPPPKTISRSATPTRRPISSATTTAKPTISKVKPSSPAAKPMPTPSKTPAVSRPASPTVRSRPWKPSDMPGFSLETPPNLRTTLPERPLSATRGRPGAPSPRSASVEPSGPAGGRPRRQSCSPSRGRTPMHASGSSVPAVNRGYSRANDNVSPVLMGTKMVERVVNMRKLAPPRSDDKSSPQGNLSAKSSSPDSAGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGHTRGRPMNVSDSPLATSSNASSEISVYNNNGVCLEASEKEDDAGSERGCRSPSSLQGR >A09g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28416297:28419868:-1 gene:A09g509760.1_BraROA transcript:A09g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEETIGEAWKRARGNNNLSIVESLGAVRKSLGNWKRENNHNSNERMQKLRHELELESSSTSPCWERVNELKMEIGKAFKEEEEFWAQKSKDKWFVVGDNNTSFFHASVKAKAKAETGSRNQSQMNGKGWTVPESGRVKCNIGISWSKATCMADLGWIVRNSEGQTLLHSRRAFNGVSSLLEARRLGLIWSAESMISHRFQKVSFELEDHELVGSVNRPKAWPAFRGFNDN >A10p018230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12773268:12774710:1 gene:A10p018230.1_BraROA transcript:A10p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAVIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDMAAQQQHEAELAAAASQPLPDDDDDTFE >A10p037800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21268181:21271810:-1 gene:A10p037800.1_BraROA transcript:A10p037800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP88 [Source:Projected from Arabidopsis thaliana (AT5G05680) UniProtKB/Swiss-Prot;Acc:Q9FFK6] MRFNFNEPEDTPEPRRSPTPKEPVRWVPLQTHPVFSSLPSSQDEAAVPQRFPRNFMAWDGDSRLYYWDSTRYLLHRLSLRLGEPEPTSVLAAVPSKVMQPDLQLAFSVNKISINKSGSAVILAGSDGICVMYLFGRASVIQDNVICRVVSIGSEIYSSGDSAIHLLQASWHPDSDTHLGVLSSDAVFRLFDLSSDAEVPEQEYYLQPVEPGRSRTASSIYPADFSFGGDHLWDRFTVFILFTDGSVYILCPVVPFGSIYKWESIMEIYNDANLYGVKSPNSVAVSNSSLAIDWLEAVFPDLTEQGSRADNIAVVKAHPYALLDASLALQGPLYKASSGGGNEDLAVREAECKGRAVSLLYNIVSKDSILVTAWSGGQLQVDALVDEIQPVWISGSSSRLRMNSQNKIQGVAMICESNVGELPVATSKNLPLDHTVWLGLPPPLLRLAMVDLALPTKREGGSLVTLFADSLLPERIYSIHDGGVDSTVLHSLPFTSQATGRDEPLKTPSVHTVLSTCQEESAVSSLLGFVPLSDSFGYAWIIAVLSSGECVVAEMKTWDLLLPIHVSTDKTESSSAIETKEKDPPCIISKELLAGPKVRIAPHALPNQRSTPANSVEGRSILHNYVKLFHENYVEYAHKVFFELQHHAPNLKRIIDDQHERLAEANQKMSKVEKNQTLLEKRIEKAIQRHDSLEQRLQRLRSLPGTHKKPLTRAEREFKSELDQFAGVEVDALQSSIETLRARVKKSAQKSPKSSVFAGTQRKQYSKKNYIQDTGMSQLQSTLAKLSLMNSDNSKKVKIVESALRSQESSLI >A09p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8187142:8189062:1 gene:A09p015730.1_BraROA transcript:A09p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SP1 [Source:Projected from Arabidopsis thaliana (AT1G63900) UniProtKB/Swiss-Prot;Acc:Q8L7N4] MIHWGGVTCCLSAAALYLLGSSSGRDAEVLKTVTRVNQLKELAQLLELDSSKLLPFIVAVSGRVGSDTPIKCEHSGIRGVIVEETAEQHFLKHNETGSWVQDSALMLSMSKEVPWFLDDGTSRVNVVGARGATGFALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGMPLTIVGEAVKDDIGDLRIQKPERGPFYVSPKSLDQLISNLGKWSRWYKYASMGLTVFGVFLITKHVIDHVLERRRHRELQKRVLDAAAKRAEETEGSNGAHESVSDSTKKEGAVPDLCVICLEHNYNAVFVPCGHMCCCTACSSHLTSCPLCRRRIDQVVKTYRH >A09g511150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33723910:33728981:1 gene:A09g511150.1_BraROA transcript:A09g511150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRRSSSIDPSRSTTIDTTPRTSIDNVSSKMVNIIILTRDENGNLYDQAGHMRNATGQKIDAQGTVIPDADATRVAQPLSILTHPPLSMKKSIGSVNSTIDRQSESDVDRHNTPPIDRQVPLTYRLRSTEPIQEEQESEGRRLRKRKEKIPINLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKYESEYKTEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDNVITSPHEEHTEEYDEDYWKERAIEMSLQDERLEIHNFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIHAAAKIQEQENIDTYINRFSPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTSSCINYSAIDRYGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTNPNNHAGVTTTETNPDLLRQPKGQASINGIMETSIDMVTPTSIDMDNPTLIDRRYECGSRVFDMYGARKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPVLYNKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMRTEIDSLRQQLEKEATTSASIDAPCATSIDVSLPTAQTLAEPRCSTQHKDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFISLDAKVSAMNERLRTYEDMHASFISPVMIDLKKLSSQLLDAQKDIENITNQSFLREKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALENMEERLDKRCDDIYFPFDNKISGLDNHAEWLRKEVKAI >A08p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17318631:17320102:-1 gene:A08p027440.1_BraROA transcript:A08p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMFRLMDQEQEVTTTTNRCIWVNGPVIVGAGPSGLATAACLREQNVPFVVLERADCIASLWQNRTYDRLKLHLPKKFCQLPKMPFPESFPEYPTKRQFIDYLESYASRFEINPKFNECVQTARFDETSGLWRVKTVSNAESTRTEVEYICRWLVVATGENAERVVPEIDGLNEFTGEVIHACDYKSGEKFAGKKVLVVGCGNSGMEVSLDLANHFAKPSMVVRSSVHVMPREVMGKSTFELAMKMLAWLPLWLVDKILLVLCWLVLGNIEKYGLKRPEMGPMELKSVKGKTPVLDIGALEKIRSGKIDVVPGVKRFDGNRVELVNGEQLEIDSVVLATGYRSNVPYWLQESEFFAKNGFPKAANSGWKGRTGLYAVGFTRRGLSGVSMDAVKIAQDIGSVWKLETKQPTKRSTASHRRCISQQF >A07p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15010371:15011071:-1 gene:A07p026270.1_BraROA transcript:A07p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNYDQESRSRSAPTGEIDSQPAEKKRRLTIEQVNMLEKSFEEENKLEPERKTELAKMLGLPQRQVAVWFQNRKARCKNKKIERDYDVLKACYDSLLAKHESVISENEKLKSKVFTLTEMLLPAAHQTQRNHQGKLNSKGDDETMSSSTALVPLSNDQYFTNVDESFGWWVWPSNLM >A07p018700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:11211482:11212630:1 gene:A07p018700.1_BraROA transcript:A07p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNVVVLAAVLCLFLLSPFAVGIRTGPGRITTVNGGRNAFSKLGPFMEAPEYRNGKECASLVNRENFVSSSNDPSLVHIAMTLDSEYLRGSIAAVHSVLRHASCPENVFFHFIAAEFDSASPRVLSQLVRSTFPSLSFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDILDRSVDRVIYLDSDVIVVDDITKLWNTVLTGTHVIGAPEYCHANFTHYFTSNFWSDPVLPGQISGRTPCYFNTGVMVMDMVRWREGSYREKLEKWMQLQKKMRIYDLGSLPPFLLVFGGNVEAIDHRWNQHGLGGDNIRGSCRSLHPGPVSLLHWSGKGKPWVRLDEKRACPLDHLWEPYDLYKHKIERVKDQALLWFASLSELADD >A04g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14346952:14347988:1 gene:A04g506440.1_BraROA transcript:A04g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSLQSTFHLRHLPFSSASAFRTSVVRFCVTVSAEGSNTAGISNFTEFVMDELKLPSRLFETECEPSGKKRVNNYFNLRWIEVIKSALEDKDLTILCASQFGQVLKMGSHTFSVMFLHYLLSRQLINEKDFELWWLFVGKPIRYAIQDFALSLPV >A09g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22988633:22989190:1 gene:A09g508090.1_BraROA transcript:A09g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLIDPKSRRTHNLLSNTVIPTSIYFYLQFCAQENGKGGMSNKLLTLARPLPHIPVDSTTAPMGA >A04p038540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21914121:21914778:1 gene:A04p038540.1_BraROA transcript:A04p038540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPREGTEFESEEAAKIFYDDYSRSLGFVMRVMSCRRSERDGRILARRFGCNKEGRCDEKDKRIQELTIELRNKKRLCAAYKEQLDAFAKIVEEYSNRMSKRVESVVENLKEFEEIELELLHSKQDAIYKPALNV >A03p026470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11076272:11077158:1 gene:A03p026470.1_BraROA transcript:A03p026470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQKEVEENGSMISGLLDKAKGFFAEKLANVPTPEAAVDNVDFKGVTRQGVDYHAKVSVKNPYSQTIPICQISYVLKSATRTIASGTIPDPGSLVGNKTTVLDVPVKVAYSIAVSLMKDIGSDWDIDYQLDIGLTFDIPVVGDITIPVSTQGEIKLPSLRDFF >A09p014790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7728306:7729763:1 gene:A09p014790.1_BraROA transcript:A09p014790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSISTSIASKAKNFLNHRRLLERGNPLTAPFFNRYSYTTRTLSTSKRPKDPLKLFCLMIQSRPLPSIVEFSKPLSQLAKTKKFDLVISLFNQMETLGITHDLYTYNIVINCLCRCSRDMVMRNVVPNVITFTALIDASAKEGNLLEAEKLHEEMVNRSIVPDVFAYNSMINGLCMHGRVDEAKQMLEVMVTNECLPDIVTYNTLINGFCKFKRVDDVMKLFSEMSERGLVRDTVTYNTIIQGYFQVGKPDTAQGVFRRMDSPPSLRTYSILLYGLFNNGKVEKALVVFQDMQKSGMDLDVTIYNIMIHIMCKAGYVEDAWDLFCSLTDNGVEPDVVSYTTMISGFCRKRLWREADELYRKMHEDGLLLL >A04g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14271491:14272646:1 gene:A04g506430.1_BraROA transcript:A04g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKDKYYLTRNKYLYVGTLRLENGTSARFWFDNWSPFGSIATYLNLTGSRLGIPLSATVASLYRNGNWRLPPARSEQQTQLQIHLTTVNLTSDKDYFEWKIDSRVTTTFSTGDVYTYLRGTVNEVDWATVVWNSYGIPRHNFHTWLMILDRCPTRDRLLRWGISVSHLCLLCNNASESRDHIYFDCNFSFDLWAISARRCGLTPNRSWSDTITQLRSLPMDRSSRPHRLLVLLAWQSTIYWVWNERNARLHSNIYRSVDSLFKTIDLQIRNRTQSFRVPIFPLKSPPHPNSFCIFGRRRQTVVRFSPTLILLI >A06p000590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:221511:235046:1 gene:A06p000590.1_BraROA transcript:A06p000590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSIGELNSEDYVKAGLSLEEAKGFQKVVTDVISRTKGVDPRDQWKALVDECVLKPWHPHPLHQLLYYSIYSNWDASIHGPPLYWFPSLSLSKSTNLGRLMETHGPRLLGASYKNPLESFELFRRFSVEHPEVYWSIVIDELSLKFHTPPRCILDKSKPEGTWLPDAVLNTAECCLIPSSRSQREDDSLAVVWREEGFDDSPVSQMTFKELRQRVMLVANAISGSFATGDTIAIDMTMTVDAVIIYLAIIFAGCVVVSIADSFAAKEIATRLKISKAKGIFTQDHVLRGGRRYPLYSRVVEAAPSKIIVLPASGTELRVQLRDQDIPWKDFLSKASVEDNYGPIYVPVNSVINILFSSGTTGEPKAIPWTQLSPIRSACDGWAHFDVKVGNTYCWPTNLGWVMGPTLIFSCFLTGTTLALYHGSPLGHGFGKFDAGVTILGTVPSLVKTWKRTNCMEGLNWTKIKYFATTGEASNVDDVLWLSSKAYYKPVIEVCGGTELASSYIIGSPLQPQAFGAFSTPTMATRIIIFDENGVPYPEDQPCTGEVGLFPQHLGATDRLLNADHEEVYFKGMPMYKETRLRRHGDILKRTVGGYFTVQGRADDTMNLGGIKTSSIEIERVCDQADECISETAAVSITPPNGGPELLAIFTVLKEGFKKQSEEELKRKFSRAIQKDLNPLFKVSFVKIVAEFQRTASNKLLRRVLRDQMNQEIRSLLKPRETSRTQRERERDKRKDRRRRRRIFRSLFLGQSQSLRLYDWNRASLAIPSFGGLHHVSWFQFLPSEAELNPTSDTSSRAEQNDVATYLVLSSHLRLQKEGFLSTWTNSFVGPWDPSQGLYNPDEKIKLWLFLPGRHSSITDKAQAAVSKLRVVASGIWVAPGDSEEISVAFSQSLRNCIERALSGLSYMRFGDVFSKVSPQNEEYLRRGRLTVEFVFAATEEAVFVHVIVSAKNVRTLSSGDAERLSRSSLKNSSYRLPVIVSPHGMRGSLTGFCPNDLVKQVYFSSGNLRSSSGYIGLPPHAGSGSRLINGDHCYVEVTLGCCQNINDNTSQTNSTFAVNVPQTSVGSKDHRKGHPDKASMCEKKFIYPAEAVLVPILQSAFAKFSLKRFWLQNWIGPSLAGSSAGDFDFFESSGNKSDGFFEKNGYNSSGSSGNSSISSTSSASSGSGWRMTSRTGDLDADADSLTCNDDHPKLGSKRPRTGMAESFGQVGTANDQFGWDWDDDDDDDRGVGMDIQALLSEFGDFGDFFENDALPFGEPPGTAESHSLILPSDSADVGSSPMDTMDVSDQIVLPVGFSSFESFNPAPPIIDEGLIKSQEVLNNSVTSVSAPSNQMLSSSNSEFDHLMKAEAMMTFAPEYGAVEAPMSEISSTSFKSPYLPKSHKVESSNSRTSSYVYGPTPPATDSDGAVDKILLGSKAYIGNDGRTLYTKVEGRKGRYDKLPTLISDNNSKKEGVSQLKYSNYNASSAVKIVQGKKTDGISAVVSTLLSSKTLLATDVGSVMFQAFMYRMRHKAISSKHSSPVSLSRLSGNFFLNQVPNEPSSLTDNVSGRNEIYKKEVPTRIAGDFDGGMLDSHMSAPVGVWRTVSVPKTAKPASSPNIEAGSSLPHSSFSENSLLSYGQRQPLQELLDGIALLVQQATSFVDLALDSDCGDGPYGWLALEELWRRELSCGPSAGHAGCGGTLASCHSLDIAGVKLVDPLSAEVFPSSVITLLQSDIKTALKSAFGQSDGPLYVTDWCKGRNQSMDGVSISEGSTAESVLSEVSNAIDGGKGEESAQSQDIYSSELLRPTLFVLPSPSILVGYQDDWLKVSTNSLPHWEKAPFEPYALPKNMNYTVVCPDIDPLTSAAVDFFQQLGTVYETCRLGTHLPQILGNQMETDAGRLSSSGFVLLDCPQSMKIESNNTSLLGSLSDYFLSLSNGWNVNSYLKSLSKALKGLKLGSGLYTNQKEGSATPCMVVYIVCPFPDPSAVLRTVVESSIALGSGIKPDRDRRSLLNSQVARAFGSSAAVDEASISHIPVLSGFSVPKLVLQVVSVDSIFRITSPSFNELVILKDTAFSVYNKARRISRGMPNDAFLSSSLSNRSSSALASVNSISGIWKDCVSSRMTGSTHPRDGDIDGSIRTSSWDSSWQITRSGGVSCDSNRNGDFYINEEIFYLFEPLFILSEPGSVDRGVSPTFGGLGSESSKPIPEDDGRGSGLGVNSMEGTSSQGDASQVEGKAVPSLHCCYGWTEDWRWLVSIWTDARGELLDTHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSPDSGSFKPRDFVITRIGSFFELEYLEWQKAIYTAGGPEIKKWPIQLRRSAPSGVATNSNGPSLQQHDLSLIQERASSTSTLYGSHSKPSTFVKGGMGHSAGRKQTMGGQTISGTPRGLLQWVHSISFASISLDHSLHFVLPAELVSPANYIEGFTPVKSLGSTAFSYMMIPSPNMRFLHPSPLQLPTCLTAESPPLAHLLHSKGCAIPLSTGFVVSKAVPSMRKDSRINVKEEWPSVLSVSLIDYYGGYDNAHDKVLQGIMKQGGGGTKETRDFEVESHLILESIAAELHALSWMTVSPAYLDRRTALPSHCDVVLRLSRLLHFADKERSRLPDKSGV >A05g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9266975:9272079:1 gene:A05g503100.1_BraROA transcript:A05g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S14-3 [Source:Projected from Arabidopsis thaliana (AT3G52580) UniProtKB/Swiss-Prot;Acc:P42036] LPKTKQEETLDFALFPFETMSRRKTREPKEETVTLGPAVRDGEQVFGVVHISASFNDTLIHVTDLSGRETLVRITGGMKLTADRDGSAPYAAMLAAHDVAQRCKELGITAMHVKLHATCVRALARSGMKIGRIGCDPNPNGQYSTKEWKKGKEALSSFYGVISIFSSLHLFLFHFVFTY >A05p003870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1499112:1500122:1 gene:A05p003870.1_BraROA transcript:A05p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYRKKPKIDQPKPDTKFIKSLGKGTYGSVDLFSYTKDDGTTFHNAVKTSDSEDYSSIEREFRVLTELRGCQGVVQSFGNSLIQETDSNGKKVYKMAIEYAAGGNLTEFLRVNRRLSDPVIKDFTRMILQGLVSVHDHGYVHCDLKPANLLLFPRYDQETWYCSYELKISDFGLTVKAGDESVCWEASSPFVGTPLYMSPESVRDGTTVEKTLDLWSLGCVVLEMYNGKHPWLGVCVDDIKSRLLGGIAPEIPESVPCDARLFMEKCFAREHGERGSASELLLHPFLNGERKVDDDAAGGVRRRVGLRIRKPPSRFEDITTHGPKQKARSRNGPL >A03p020910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8591618:8593108:-1 gene:A03p020910.1_BraROA transcript:A03p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIANKTYPLHFVLFPFMAQGHMIPMVDIARLLAQSGVTITIVTTPHNAERFKNVLNRAIESGLPIKLVQVKFPSQEAGLPEGKENMDLLDSMELMLPFFKAINMLEEPVQKLIEEMNPRPSCLISDFCVPYTSKISKKFNIPKIVFHGMSSFCLLCMHILRKNLEILENLKSDEEYFTVPYFPDKVEFRRPQVPVETYVPTGELKKLAEDIIEADNTSYGVIVNTFQELEPAYVKDYKEARSGKAWAIGPVSLCNKVGEDKAERGNKSDIDKDECLKWLDSKEHGSVLYVCLGSICNLPLAQLKELGLGLEESKRPFIWVIRGWEKYKGLVEWFLESGFEERIKDRGLLIKGWSPQMIILSHASVGGFLTHCGWNSTLEGITSGLPLLTWPLFADQFCNEKLAVQVLKTGVKVGVEQPMKWGEEEKIGVLVDKEGVKKAVEELMGESDDAKERRRRAKELGELAHKSVEEGGSSHSNITLLLEDIMQLAQSNN >A08p037960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22066709:22067320:-1 gene:A08p037960.1_BraROA transcript:A08p037960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPENTREPCPDRILDDIGDAFGMGIAGGSVFHFIRGINNSPSGARLSGGAQYVRMNAPKLGGSFAVWGGLFSTMDCAMVYARQKEDPWNSIFAGAATGGLLSLRQGFRASARAVLVLTLLQGVQIALDKLANAAQQHEQASMGDAASLPPAQVYETSSAPEAGSGSWFGGLFGSGKKEESEDKSGSKTRVLESCDAPPVST >A09p066790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52859190:52863224:1 gene:A09p066790.1_BraROA transcript:A09p066790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKIPIQETVKLVGSLRLCGSDRSEPVVVSKTEMVMDEKVYVAVVARDLESKSSLVWAIQNTRGREFCIVYVHQPMNISAPGEMFHEQKLRLYRKEKEKAHNSLDKYLNICRQMHVNAEKIYMEMDSVEEGILQLISQRGVKKLVMGAAADRNYTMSMRDLKSKKAIYISREAPDTCHIWFTCNGYLICTREAARRDNLNVEGTSSSSLAQSEINKGTESVASSSMAKDDVQIQVALIEAATSNRETRLEVSKRKEAEKSAIDAIKKAKESENGYLNELKRRKETEKSLKEAKEEMEKMRSEAETRIAESNTVITNLQGKYSLSMKVLRRLRDEQEELKREISEVSKLKSKREKEEASPSKDLEPPQYFICPITQEVMEDPYVAADGFTYEGEAIRGWFNRGHETSPMTNKRLHHTKKNMEKEEEAAAVMEEIIYVAVGRETAKNKSNLTWAIDNSQGNKICIVLVHQPPHMIPLLGTRFDAATVDEELVKSYREKQKAKTEKILDEYLRICLKKGVHAEKLCVDMDSIEKGIVKMIHENKVRKFIMGAAADKHYSTKMEELRSRKAIFVCQHASPTCCIRFICKGHLIHTRKGRMDEVRALSALLSDFQRLVSSRSSSNSDMLSGSSKVKSEVEEEEGTSRTSSSRSDGTLSYSGGSEASPSVTEEKSNHSSPPPSLPCTGMGLGMISILIHSTKLWHKRAIQNHNTPPSSS >A07p050110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26718586:26722066:1 gene:A07p050110.1_BraROA transcript:A07p050110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWAYIIITLGVNFSVSEEKWAAIQSSNLTQLNSLERERERERERFLLRRPWHQIEARICFSVPKKSQIGDAVEILRWKGRLIFRINLRRVGFSPPSSRNHHHRLLPPPPPPNFYAIFKPLSSVIVLSVLSLSLSFAREEKSLCKMQKSSIGPRRMVAPQRQPSRSSSSTAEGQQRPQDVVSLSHQSLAANTLTHDTRTLASSSVTRESTENPSITPPSVSGATDNMFGENLNPSDRLIDLRKPAPVMKMNENENVNRKVQSLADTTTLTSHDMEWDTTNQAEASNVGASTSTRSKHHNLQSVDSETSLKSEYKASSSSLAKMGEFRSFLNQPASQCSAMGSSCATTTSIRSSSAPMFNATTQVSRCYIEADANAVSSQVNLPSSRPSSTKDVPLSEMPASAIDPEVRVKEKQQQCTTEIEAPAVSSSHDVTAKPTLPDELLTSVSSQLQKPDKQENVASSKGTSAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIGYLKKLKGKTNIIQLIDYEVTDKCLLQEVLNGTMSNKDARVKDDGFIYMVLEYGEIDLAHMLSQKWKEIEGSDRTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVRGFLKLIDFGIAKAINSDTTNIQRDSQVGTLSYMSPEAFMCNESDENGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYNQLSNPWLVDLMKKCLAWDRNQRWRIPELLQHPFLAPPIPPEPRASSSSIQLLSHIAASFGSDDRVSELCAQLQDRLRVLEGEQLSSSSAMAITEKKDHKPEKIMFAYLINRVLRMVVPSTCEELSSFPQWQLSFPF >A01p044190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24502871:24505274:1 gene:A01p044190.1_BraROA transcript:A01p044190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATVLRRSCVDASRRTLSASLTSINAALFHHFTPSAAAKVSDVKPSFALGNQFGVKSRGFHFQSGPSEFRSTMVSPAGFAISETSDRAIADSESSGDGLAIAELGISPEIVKALSAKGIEKLFPIQKAVLEPATQGRDMIGRARTGTGKTLAFGIPIIDKIIKFNAKHGRGRNPLCLVLAPTRELARQVEKEFRESAPSLDTICVYGGTPIGQQMRQLDYGVDVAVGTPGRIIDLIKRGALNLSEVQFVVLDEADQMLQVGFAEDVEIILERLPEKRQSMMFSATMPSWIRSLTKKYLNDPLTIDLVSSLVPEIAQSLERLILGFIRVVNEVGDSDQKLADGITTYSIMADSYGRASVIGPLVTEHAKGGKCIVFTQTKRDADRLSYGLARTFKCEALHGDISQSQRERTLAGFRDGHFNILVATDVAARGLDVPNVDLVIHYELPNNTETFVHRTGRTGRAGKKGSAILIYSQDQSRAVKIIEREVGSRFTELPSIAVERGGSIYEGIGGRSGESFGGGMRDRGSSFGGRSGGGGYGGYGSSSGRSGGSGNRYSGGSERSGFGSFGSDRSSGFGGFGSDRSSQSSGRGGFGGRSDDYGSSGGRSNRSSGFGGFGSDRSSQSGGRSSFGGFGSNDGKRSY >A05g510070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30639950:30640568:1 gene:A05g510070.1_BraROA transcript:A05g510070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSCWINFDQDCIQLIIGLYTNIDSLLSDTPPLQLKSVAAEATLMQAQATVNIQCVTVKLAVQNRQLHLWSLRR >A09p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39647864:39652023:1 gene:A09p044910.1_BraROA transcript:A09p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRDRIVNTEPFITHNDDSLAASSRKRSKVPKTHQQQEKLIEAGISSKIMKVALAQQKEVADEENAEMYPSTAVFSAVAALTAEEEKKVIEEEDDIDDFDGTFEDESYQEDINEDDERLFESFIVKNAPPQRTLADIIIKKIKDNDSQLAEEERPDPQMDPMIAKLYKGVAKLMSEYTVGKMPKAFVRITKMERWQDVLYLTEPEKWSPNAMYQATRIFAHHLKNSQIQLFYNYVLLPRVREDIRKNKRLHFALYQAVKKSLYKPSAFNKGILFPLCKSGTCSLREAVILGSILEKCSFPVDHSGIALLNLAEMENCGTTSYFIKTLLDKKYFMPYRVLDALVAHFMRFVDEIRAMPVIWHQALLTFVQRYKYELLKEDKEHLQTLIKRQKHHLVTPEIVRELQVTTINYPIKEDRFDIAEGPDGVGIFNSIT >A03p043510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18190414:18191653:-1 gene:A03p043510.1_BraROA transcript:A03p043510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVEEGKRVNEECSTLILPALSIGNVGQLAVDLLVSSTGADRVGYLDDPYLLPCVGNDAYGPLPCGEIALPLEVYESSSTATTLALQRSPVAKGMMIKFAENIADFAASSGKKHVIVLSSLDFQRLHHNLDMSRGPQVYYLSNAEANGRDDHCERLGFGRLNEYDSEGRCWKYLSSVFEENSKEELTFPSEDELVFPGKLQFRRNLVRQYIPTEY >A10p035020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20217868:20219568:-1 gene:A10p035020.1_BraROA transcript:A10p035020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTTIVPMAHERKAENLRAFMVNLANSRGLLIPESRLFEQRFLDLCLSRAPDHPTYSAMIFTAITELNESGGSCEEAISEFIKSKYESLPFAHTSLLSHHLAKLVEKKEILCDYNSHCYALPGTDDAERKSVEMPLIMNDQCAADEVMSLQNDKGTVEERILTESRTSPKRKACGGNDINFIEVSDTGGKACLSVTTVKTRSIEGVAVESEVALEKSGGEGRIEANSEGGELHELVVVDEQNDVLIEESCKGEENLRERTSNTVKEACEEGKSVAYKRLWESQTEACSNIIALEKMLKQCREKDQQKKVVIEIDGVSRLPLSKESCEELRKLAQKIESQLSEIIDSYDEAVVPCYESRGCETEGISKEVFHETPMKTSKQHEQKEIEIDSQINPRAKKRRVRRLQDIGKAVLRKSPRLQKLI >A02p046630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29103261:29103730:1 gene:A02p046630.1_BraROA transcript:A02p046630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTYLQFHRRRRQVPEAIRAVRHRDLHGNSHQGRLLLEAVQALHGFENCARRRRHHLHRSCRETDPPVPMLKDTNLDVIRVLVDLKKPYDSHI >A03g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15215070:15215435:1 gene:A03g504320.1_BraROA transcript:A03g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSASASLLSNHKDESLADVPVEQLIENVDAFAAVFPGWLRRPEKKYEIVKKLQELKHIFRMTGDGCSPALKRANSGIDVAAATDAARGASDIVLTKP >A02p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5784444:5786752:1 gene:A02p013200.1_BraROA transcript:A02p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQKALAKASLASGGKFVVLSSFDSFLSESGRPYLNKTMIQLLSFLTRHHSHFLVSIFRFLSLITTAEPFLKGLISRLQKKTGSDFGVMSGGGASIPPSYGGGGGYGGRDAGYGGRGSSGGGGYGGRGGYSGGGGRGNRGGGGGYQGGDRGERGGGGRDGDWRCPNPSCGNVNFARRVECNKCGAPAPSGTGGDRGGGGYSRGGGGRDSGRSYESSRYDGGSRSSGGSGGSYGSNNQHRDRDNGSYVQGPTPPPLAAIPSYDGSGSYPPPPSGYGMEAVPPPSSYSGGPPSYGGPTRGYGGSAPSDGGDAPAEKVKQCDENCDETCDNARIYISNLPPDVTVDELKDLFGGIGQVGRIKQKRGYKDQWPYNIKIYTDDKGKNKGDACLAYEDPSAAHSAGGFFNNYEMRGNKIGVTMAEKSAPKAPAFDQRGGGRGGGGGGGGYGGGDRRRDNYGSGPDRNHHGGNRSRPY >A01p053480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30026572:30027711:1 gene:A01p053480.1_BraROA transcript:A01p053480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDQAAAVKETSAVEKQPETTGAEAPTVTITKRMMVAIDESDSSFYALQWVIDHFSNLLMTTEAAEAEGGLLTVVHVQSPSHHFAAFPAGPGGATAAVYASSSMIESVKKAQQEASAALLSRALQMCRAKQIRTETLVLEGEAKDMICQAVEQMHVDLLVVGSRGLGKIKRAFIGSVSDYCAHHANCPILIVKPPKEITT >A01g510580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29057098:29059033:1 gene:A01g510580.1_BraROA transcript:A01g510580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAGALFPNMQAYILVLFVGFSTDKKYMSLVLIYVLFSVCVQLGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNSIIANTNPNFISPNHLPLPSHVMTPLMFPTSREGDVKYLTTINTNQSHQDNNLSTNLDIFSATPFINNHHHHHDNDPQWPSLPDLPASTISPFHESLHDYDDGDKLNVFVTPHNDNGDPRKFPLVATKLLCGQVLEGKAISQDHCLLLPTTYDLQMHGDYRRVDSYINHMVYSREFVEKRFKAMRMSASCRERDSLTNYPLEKELHCNVDK >A05p012950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5645519:5651806:1 gene:A05p012950.1_BraROA transcript:A05p012950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHQMMISPLAVLLFTTCSLCSVFVTSVSVSVPRDQVEILLAFKNEFPSRNCDRKLADGVLQSRSANITSWTKDANSFDGVSFDEKTGAVTELNLRGACINGTIKANSNLFRFQHLKYLSLSMNHFDTSSFHAGFGRLTSLEYLDLNQNGFIGQVTSSITNLSRLNTLDLSYNKFTGPFPNIQNLTLLSYIDLSNNDFSGTVPSILFTMPSLWYINLRQNHLRHPREDLNYSETSKLQHLDMANNLLSCRILEPISKLAKLTELDLSFQNTPDTINFDSLPFKSLESLDLSGNVITRLNIGSKNLQELTLSSCNITEFPTFIKTLRSLQKLDLSKNRIVGKAPSLRYNSLLSELDLSSNAFHGSFPMIPPTMQTIYASNNYFTGEIPLSLCNASGLGRLDLSNNNFSGSIPRCLISKSLGTLKLHNNNLIGTLPYIEKSGLQILDVGHNQISGKLPRSLVNCTSLMFLNVENNRIDDTFPFWLKALPDLHIIVLRSNRFHGLISSPRSHHPFPALRILDISHNNFYGSLPGNYFANWSSPLLKIPRVDYPWLKYTGEQHSTYTPEYYPSIYMRNKGVNMELEKIPEAFTAIAISGNGLGGEIPESIGLLKSLIMLDLSKNSFTGHLPSSLANLKQLESLDLSQNQLSGEIPEELRVLTFLAYLNVSHNKLTGQIPQSTQIVGQPKASFEGNIGLCGLPLQESCFRDNVPTSIPQTPEKVLNWKAATIGYGPGVLFGLAIGQALGRTSSQSLNTQNFKHQSKPYTLFSKEKNQMDDISVSKSNNGNVVVLNIQAPPAVSKTQLPSSPPTSPPLLSVHFMQKLIAELVGTYYLIFAGCAAIAVNAQHNNVVTLVGIAVVWGLVVMVLVYSLGHISAHFNPAVTIALASCKRFPLYQLPAYLIVQVIGSTLASATLRLLFDLNNDVCSKKHDVFLGSSPSGTDLQAFGMEFIITGFLMIVVCAVTTSKRTTKELEGLIIGATVTLNVIFAGEVSGASMNPARSIGPALVWGCYQGIWIYLLAPTLGAVSAALIHKLLPATQKANPEFSKTGSSHKRVTDLPL >A04p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20897962:20908086:1 gene:A04p035550.1_BraROA transcript:A04p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWLQTCNSRAESINTHMSPTIRFIAFILKSDLKTLPMYQKLALRGGEGGREWDDDVYEGVKKVYVGQDFSRITYIKFEYVKEDGEVVTREYGTITQDPREFIIEYPGEHITAVEGSYNKVALIATEVITSLVFKTSKGRTSPTFGPNLFGVVNGTKFKFEDEGKKIVGFHGRSDNAIDALGVYLVLDSLTTPFPLYKLDAQGGTEGRVWDDGSFDGVRTVRVCQDDHRITYLEFEYDKGGKSEKLHHGVKGGTSSELLLDYPNEYIKSVEVTYDKPKLFQNTVITSFTFETSSERTSFIGYKVGKKFVLEQKDLRLVGFHGKEGDAIDALGAYFAPIQAPTPLIPTKKLPLVGGNGGVKWDDGAFNGVRKISIGQCYDVVSYVKFDYIKGTELVSGDEHGQTLPEASEMCGGEGGREWDDDVYEGIRKVYVGQDLSRITYVKFEYVKEDGEVVTREYGTITQDPKEFIIEYPDEHITAVEGSYNKVALIATEVITSLVFRTSKGRTSPTFGPNLFGVVNGTKFKFEDEGKKIVGFHGRSDNAIDALGVYLVLDSLTTPFPLYKLDAQGGTEGRVWDDGSFDGVRTLRICQDDHRITYLEFEYDKGGKSEKLYHGVKGGTPSELVVDYPNENIKLVEVTYDKPNLFQNTVITSLTFQTSSGRTSFFGYKVGKKFVLEQKDLRLVGFHGKEGDAIDALGAYFAPVQAPTPLIPTKKLPLVGGNGGVKWDDGVFDGVRKIYIGQIYDVVSYVKFDYIKGKELVSGDAHGKILPGASELVLEDDEYLLGLGGYYDKSQGCIPFIGTFKTNKRDSSKLVMNYGEEFFLGENGHKIVGFYGQVSDVLHSIGVYVVPITSAEI >A07p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13075884:13078954:1 gene:A07p022360.1_BraROA transcript:A07p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDLVVVISRSIVIPRNAKKSTSSKKKIHLLPWDLSRLRFGYLQRGLLFSKPYPKIDTVLSKLQTSLSLALDRFYPLAGRLVKTKNDDDTVSFYINPDGSGVEFVHASATNIEVSDVLRLSTTLSSFFPATGVKNCNGVSRSLLMVQVTEMKDGIFIGFGYNSTVADGDSIWKFLNSWSEICSKGLVPEPCQRRLQLKGWFFDEIDYPIRIPDPEAKAARVTASSGLQELMFHVTKENALKLEAKANDEKVSSLQAVLGHIWCSMVKHSGMRREEETHCRLPIDMRRRVDPPLEEDCFGNVSQTGIAKVTVGELMDNGLGWAAGKINEMERSQTHENAEGNAEKWVRDVKIPVSVGSKDLVVTSSHRFDVYGNDFGWGKPVAARAGPPYVSGRMVVFQGVEEGSLDFQACLLPQVVEKLSEDVDFKESEDDKQDMADVVMLSKSIVRPDGSDSDRVKIHLTPWDLFFLRSEYPQRGLLFPKPDPETDLISQLKSSLSVALSIFYPFAGRLVKTENENDETASFLVDCDGSGVKFIHASAKTVSVSDVLEPVNGIVPEFLNRLFPANGVKSCEGVSESLIAFQVTELRDGVFIAFGYNHMVADGSSFWSFFNTWSEICSTGVDRDKKSPPLLLRGWFLDGIDHPIRIPISETMIPSPPVASSSSLLREKVFRFTRRNISELKSKANGEVSSDDRRISSLQAVSAHMWRSIIRNSDLNPEEVVYCKLLMDMRRRLNPPLDKECFGNVVGFPTAMTTAGEMVSNGLGWAALQINKTVGAQRDEGFRVFAGNWVKEPKIPNHVAVSSNSVIVASSPWFNVYGNDFGWGKPIAVRAGPGNTSDGKLIVYPGIEEGNIEIQTCLSSHVLEKLSADAEFLEHACVV >A07p014210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7180046:7181899:-1 gene:A07p014210.1_BraROA transcript:A07p014210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVKVEEKQVESEVVIASAVAPVEETTVKAVVEEEVTKVVEKSSSFKEESDFFSDLKDSEKKALSDLKTKLEEAIVENTLFKKKKETPVKVVEKKEKKSEAVATEEAKAEESPKEEAKTDAVVTEEAKAETVEDVVQKESPKEEEVKTEADVVDTKEAEIAEEEKKTEEAVVTKEATVEQEEEDEIVDNDIELWGVPLLPSKGAEGTDVILLKFLRARDFKVNDAFDMLKKTLKWRKEQKIDSVLGEDFGEDLASAAYMNGLDRESRPVCYNVYQTTFGSEQSRENLLRWRFQLMEKGIQKLDLKPGGVTSLLQIHDLKNCPGLSKTELWVAIKNAIVALQDNYPELVSKNVFINVPLWFYAVSTFLSPFLTQRTKSKFVVTRPANATETLLKYIPAEEIPVQYGGFKRDDDNEFSNEAVSEVVVKPGSSETIEIPATETQGTLVWDVAVLGWEVNYKEEFVPADEGAYTIIVQKVKKIGSNEGPVRNSFKNSESGKIVLTVDNVSSKKKKRVLYRYRTKTESSC >A09g517770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53399394:53402722:-1 gene:A09g517770.1_BraROA transcript:A09g517770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVFEYHMEFLETFGCIWSSKESDCTKSLAFSHPETHKDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPE >A08p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14023911:14029248:-1 gene:A08p020890.1_BraROA transcript:A08p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTTMAGFHGLLNLSLSSSSVSIHKPKSFFYEKKLSLIKEEVEGLHFIRMEKLKQCWSSLLVLAVVVIGTGAVPITYLQSAVAKGAVCLDGSAPAYHFDKGFGSGVNNWIVHMEGGGWCTDVASCSARKNTMKGSSKFMNKDFGFSGILGGKQNTNPDFYNWNRIKVRYCDGSSFTGNVEAVNPANKLFFRGARVWRAVIDDLMAKGMKNAQNAILSGCSAGALAAILHCDTFRAILPPTARVKCVSDAGYFIHGTDISGGSYIQTYYSKVVALHGSAKSLPVSCTSKMKPELCFFPQNVVPSMRTPLFVINAAFDSWQIKNVLAPTAVDKRKEWKNCKLDLKKCTAAQLKTVQGNFHNQNELFIFEFVMGEQLITRLNRVGLSISGFRDQMMRALSPVHSTPSRGLFLDSCHAHCQGGSAASWSGAKGPQVANSKISQAVGNWFYGRSAFQKIDCPSPICNPTCPAISTDE >A02g512750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34959612:34960032:-1 gene:A02g512750.1_BraROA transcript:A02g512750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRDKPLIYNSKHNNPTADIANRGRRRNHRSSVTTPTSEEVQESSLSASSGPRERCCSYESPLMSRKIEGLILLPTTD >A06p042990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23116338:23117210:1 gene:A06p042990.1_BraROA transcript:A06p042990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGPSPPHTPLVNRRNNHRPRTATVSSALPDLFLAAISLLWPSPKPLLSLPPNRFSFPLTPRRRSTAMSRRSPPSPPPQRFANPQSLSDWLEPRLPPDSFAAWGVKPGTKNVHNLWLELSDGESSITDSTPPVRAVNVVTVRVIGKNGKILVEGRQELSDGSVRERFRPLSEKMKPDETPDEAVFRAVREELGSIFDGGEDDVVGRVKILPGSYSRRVEEKNSMSYPGLPARYALHSVDATVEGLPEEDFCTEENECDGESVEETRAAGKAVTVKRHHWKWFSPGSVRA >A09p008380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4308489:4309842:-1 gene:A09p008380.1_BraROA transcript:A09p008380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKTGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLRRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLKKINESGEEDNDGFTSSHTISQKQHHSSNKGQWERRLQTDINMAKQALCEALSLDKKPSSKFSPSSSSLSIIPQNIPSFSSSSSTTTTITTTTQCLSGVYASSAENIARLLQDFMKDTPKTLTLTSSSSPVSETGPLVSAATEEGGEGFEQSFFSFNSMEETQNLTQETSFFHDQECKPVITMEQDPGLISQGSLSLFEKWLFDENMVAMSLEGQEAMC >A01p024460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12109346:12112143:1 gene:A01p024460.1_BraROA transcript:A01p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 19 [Source:Projected from Arabidopsis thaliana (AT4G15080) UniProtKB/Swiss-Prot;Acc:Q8L5Y5] MVRKHGWQLPAHKFQVIAITVFCLLAVAYYAFFAPFVGGRIWEFILLGLYSPVALIVFVLYVRCTAINPADPGIMSKFERGGGGDIGHHDLPSKDIARRFSEAGSHLQSSPSVASRTSTLPANSSVKGSVGEAQRVEPVVPRKSCYNPLAILCGVFVYEDCRKKEEIDEQQGDREEALFCTLCNAEVRKFSKHCRSCDKCVDCFDHHCRWLNNCVGSKNYITFILLMAASLLWLIIEAAVGIAVIVRVFVNKREMETEIVNRLGNGFSRAPFATVVGLCTAVSMLALFPLGELFFFHMLLIKKGITTYEYVVAMRAMSEVPPGASVDEEMPNVLYSPSGSATTGFSGGSSLGLSYKGAWCTPPRVFVDYQDEVIPHLDPGMVPSTVDPDATESAERGNKIPKRPVKLSAWKLAKLNSNEATRAAARARASSSVLRPIENRHAQDDELSSSGTISVVSSVSADANAAAMRNNDPRLPLSRNSFAPSHGSKDEYETETHSMSSFSSPSHVHEAVSLSPLPQYHTAGNRFAAGASSNAPRPPLNPGMIHSTFDEKIMQKGNKADPVLHPAPAPAASLLRDVRRTSVVWDQEAGRYVSVPATTSEARTRLASHHQPIPSSHTQNPRSVLPPQDSSSGKAPLHPPPPQQGEKLMYTGDSIFFGGPLVNIPNRDGLRNEGDSGREGQDRMTLALPREARFRRDTTSNQLPVFAPVGTRK >A04g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5911296:5911838:1 gene:A04g502480.1_BraROA transcript:A04g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPVKRSMYKHYFIYSNNSIGHNATDTLRPPSTDRRLPQWINSRQSPSLDSHHHASIDNRLAASIDTNQSRSHIIKFQPNFHNREEIDQLVEGIYRVLETTEERLDGRCDDIYFPMDLTISALTSKVEAIQGELVDIQSYIARRPKATHQRS >A09p071130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54999334:55000441:1 gene:A09p071130.1_BraROA transcript:A09p071130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLSSSDSVGGLISLCPTTSTDQPSPRRYGREFQSMLEGYEEEEEEAVTEERGQTGLAEKKRRLSINQVKALEKNFELENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLEKDYGVLKTQYDSLRHNFDSLRRDNESLLQEIGKLKAKLNGEEEVEEDDEDEENNAVTMESDVSVKEEEVSLPEEFTEPPSSPPQLLEHSDSFNYRSFTDLRDLLPIKAAASSVAAAGSSDSSDSSAVLNEESSSNVTAAPATVPGGSFLQFVKMEQTEDHDDFLSGEEACGFFSDEQPPSLHWYSTVDQWN >A09p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17406975:17408359:1 gene:A09p029120.1_BraROA transcript:A09p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRIGVGSIIDLVTRLSCMVTESAADASSDVELPKTMLLESTSATNESVLKVPLDYRKQAAGVIKSHDREVGELRFHLFGFLMCIAATGARALKSMLQGILHSSEGVRHGCTVAVMVLSKFYVSKVGIF >A10g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7837286:7840192:-1 gene:A10g502870.1_BraROA transcript:A10g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKDTTRDSKVVGCDAPLDHMSSKVKLDGKDKPQYGQIGHLAMVPAKAPFRTYAGLSSTLHGQSVRYGEKRRKGAGVVPGSKHR >A03p036630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15336684:15339209:1 gene:A03p036630.1_BraROA transcript:A03p036630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFEVISTIVIFKLSKRSSVQIVIGGGKEYQTHGFGFDDLTNDYKVVKLVADIRRVHYASVYSSKSDSWRRICDLNYEHDHDHDAFVAGTNVNGAIHWVFILEEANKRVVLAFDVKTEEFREMPLPGEAEDVSRNFIAGDLNGRLCVINSCDEERDDIWVMNEYGVASSWTRIRFRFLFRCMRPMCSSNNSDEVLLEFDKEMVYCTISELTHGGICEFAGQTSKLIHFLGDALTETTKKNERRKREETTEKASLELPPEIIREILLRLPAKSIGRFRCVSKLFRSLSSDPKFANNHLDLTIRNDAVHRKLIVSSHNLYALDLDSIGCQRFRDLVAQELSYPLKEDPIKFDEMIKCHVGENMLKPYRRNWVEIIGSSHGLVCISPCEGALFLYNPTTGESKRLLISAGGEEFQTIGFGFDDLTDDYKVVKLVADGDNVLKSSVYSLKSDSWRWIRDLSYEHKDCFSSGVTLRAAVHWVFANDNQRVVLAFDFKTEEFREMLLPGEEAEDCGHSYRNFVVGVINGRLCLVNSCYEVHDDVWVMDEYGVASSWRRIRISLLYRSMKPLCSSENGEEVLLELDGDMVLYNFESHGSRYLGIRGVKLSDGFEADAYVESLISPNSYGFVN >A04p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1203334:1206251:-1 gene:A04p002500.1_BraROA transcript:A04p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYEVIVLGTGLKECILSGLLSVDGVKVLHMDRNDYYGGESTSLNLNQLWKKFRGEDKAPEHLGASRDYNVDMMPKFMMGNGKLVRTLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPVTPMEALKSPLMGIFEKRRAGKFFSYVQDYDEKDPKTHNGMDLTRLTTKELIAKFGLDENTIDFIGHAVALHTNDQHLNQPALDTVMRMKLYAESLARFQGTSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVTGVTSEGETARCKKIVCDPSYLPNKVRKIGRVARAIAIMSHPIPNTNDSHSVQVIIPQKQLARKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQTELKAGIDLLGPVDEIFFDVYDRYEPVNEPALDNCFISTSYDATTHFETTVADVLNMYTLITGKQLDLSVDLSAASTEEE >A03p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14373042:14375730:-1 gene:A03p033940.1_BraROA transcript:A03p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATG8-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT4G00355) UniProtKB/Swiss-Prot;Acc:Q8VY98] MGFSYGGTGIIIVGVILNDFMRAVCSFLVPTRLFGAYSSAGINKKIPMDDMLPATKFKDMSRVDPPESCRICQDEFDGGDQVRCLRNCVHVFHKTCIDRWIHDDKMTCPLCRTPIIPDFYFLRLMADKEEASSGADNATRGTDWEVVSLTASAYAASPGPKPVDDDVTPPPYEAETTTTTSHPLYMSRHFAFPPSEEEQHHTDIPTEPSEKKMKMDSEFSIEQETGKEDGGDLTLKGLDLAKDDEFDFLQEGKGKSNIYMQDERAFGGEHSDPIQQQTDVAPPELEEEHHQVAAAANSPPPCEPWWKRSAASLISQAKETNTVWSIFIAAAAVMGVVVLGQRWQHDRWQVLQLNWESTIGNEKAAGRLMGPISRLKQAFVGGQRRDSFIRAAGSQNDS >A07p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12803328:12806789:1 gene:A07p021790.1_BraROA transcript:A07p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNRSSSPPVTQWASQRPQKISRTARRTNLVPIVSNKDEAYSDSISDGGCSENGFGFHKRSPAASPQLRLKGENSFSTAALSESEESGPPEIKSKDKGKQSDEVDGKAAQNIPKASFPGLQSRKGSKPASGEETGDGVRRQGRTGRGFSSTRSLNPMGVEKLKNVGTAKQLRSARTILDKSESKLGRPPTRKLSGRKTYERQRATATNASPLDFQAGSNDGHEELQAAVNSAVNFAQNFPNSFWKQMERYFCFISDDHINFMKHQGELFSMGPSSPVLTSSDFDSRDLYPEELATSSVDSKASPLYHRLLSALISEDSMSINEDVHVDGFGAIHDLDEDSEFSVLNDNGFRNNDDYESEDGASAILFNGFNKSAYYHCNGKILDHSPIDFSDIPYDKLGIDEKIYLEAQSIGISLEPMPSISNVEEEGIIDEIKKLEEAICKEGCKKKEMVDRLLKSAIEMKEIQERELDQLGYDKLIERAYEKSKASRRHHTVVGKNSTNKISKQAASAFVKRTLERCRQFEETGKSCFSEPEIKDMFIARLSSAEDPLADDNPSTSTPIVGSQPSSSSLARIGQDLENNYANCSDVVLEQTTRREDTAWSNRVKKRELLLDDVGIGAQLSSSTKGKRSERDRDGKGHASSRSGTNKIGRPSLSNDKGERKPKQKANQISSSVRTPEQPNAPLPEANGEHDNLEEDTEPIFDFSQLQIPDNLGGPEFDAQPGDISSWFNMDDDDDFDIMELEVPMDDLSGLNIKL >A02p059300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35417499:35418937:1 gene:A02p059300.1_BraROA transcript:A02p059300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKENKNSPWLSVPQFGDWDQKGGPVPDYSMDFSKIREMRKQHKRDPSRASLGNEQDFINPFHNQPTSVDKTKAKLTTVHSDNNITNNEFPHHQRHPPSVLTEFLSMKWMIVFVDSSYVYSFDVGLDFFG >A01p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26141043:26143751:1 gene:A01p046480.1_BraROA transcript:A01p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCDLQINLDHEFTFFVNQDLMSEYSGFLKKTIKQSSKKKKNHKNGRIIIQLQDFPGGPEGFNLVSRFCYSNAGGISIDVSNVSILYCASQFLEMTKILCSSNLSLQTEKFLEGMFYWSWNDIVSCLKSCEQVFSHADSYGLVDKLVFGVLAKIAQNSDMSQVFASSSTSSSASASSMSPETAKNRSDSDKRSTSRSFSCKTSNEWWFDDMSSLGPKIIEKLIKNLGAFDENNDSLVLTRFLLHYLKTKVHNKSNNKLEYSGLANTAVQGVIFAAKTAFSCRKIFWVLRVLSGFSISKESRTGLETVLGEMLDQAKLDDLLIPTGGKREKGFYDVDLVVRLLKVFVRNCSSAEEEDESLRIRRMGKLIDEYLREISPDQNLKVSKFLEVAESLPDSARDWFDGLFRAIDIYLESHPNLSSEDRTKLCRCLNYKRLTLETCKQLAKNPKIPPNIAVQALKSQQLSNEALPHSREDKIKLNKTRNSRKYVEEKPILVRLKGFEMSDKLVDGFEDDIRVNLERRHWNKVMDHSEKVCKEKKSELVSRLVRHGHTHTSSNFPRLC >A06p008740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3052741:3054796:1 gene:A06p008740.1_BraROA transcript:A06p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSPPPPSTLFLLFASTLFLLSPARSSDVDSLLSLKSSIDPSNSIPWRGTDLCNWEGVKECLNGRVSKLVLEYLNLTGSLDERILNQLDQLRVLSFKSNSLSGSIPNLSGLHNLKSVYLNDNNFTGSFPESLTSLHRLKTINLSGNRLSGKIPTSLLRLSRLYTFEVQDNLFAGSIPPLNQTSLRFFNVSNNKLSGQIPPTRGLKQFDESSFAGNSALCGDQIRIPCGTSPAPSKPAPTPSKKKDKAKLIGIIAGSAAGGVLILILLLTVVIFCCRRKRRIKSPREDRKGKGIAEAQGGGGATASETERDIERKDRGFSWERSEEGAVGTLVFLGASDSGETTVVRYTMEDLLKASAETLGRGTLGSTYKAVMESGFIVTVKRLKNARYPRMEEFKRHVEILGQLRHPNLVSLRAYFQAKEERLLVYDYFPNGSLFTLIHGTRASGNGKPLHWTSCLKIAEDLASALLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLSSLHDPDSAEETSAVSLFYKAPECRDPRRASTQPADVYSFGVLLLELLTGRTPFQDLVQEYGSDISRWVRAVREEETESGEEPTSSGNEASEEKLQALLGIANVCVTIQPENRPVMREVLKMVRDARAEAPFSSNSSEHSPGRWSDTVQSLPREDQVSI >A09p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20200660:20201133:1 gene:A09p033370.1_BraROA transcript:A09p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHARSNCIESIDLDLSRSGKLKSSKIDRDAKGCLSSDKQFDSSRQAIDKPDVAPSEEIMREVETINFHEHEVTKLDMPHDDALVITLELAGTIFSRIIVDSGSTVNVVSQKTLRSISQPTPVTDHEKAPLNSFGESRFGRSGSCH >A01p009560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4612193:4613458:1 gene:A01p009560.1_BraROA transcript:A01p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRAAPTCEICEKVVSKYKCPSCLVPYCSLGCFKKHKGKLFFNSHLKKTPCAKPSSTEEKPAASPAKDVSVVENKDVVVKTDHKASASSAAKEVPVARPIIVEEEKYVVEKTQLEAIASCSEIREALKDKALQKLISSIDSSPNPLLELDEAMGVEAFRVLTEKILSNISKSSDKQ >A01g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26770649:26770904:1 gene:A01g509790.1_BraROA transcript:A01g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVSTLRQIH >A06p014180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6404296:6407347:1 gene:A06p014180.1_BraROA transcript:A06p014180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MVGGIVGSNMDARRFLSSNFGNSFSRIHRWDFHDRSQIAIPRAQSSSSPPPPPTPSSDKNRREKKPRNRPVTTATKEGEETAAKKLDVAPPPPPPQTQSPSPPPLKLDDVNPVGLGRRSRQLFDEVWRKFSGLGQISRTNRPDEQDALDSLLIREGPMCEFAVPGAQNVTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKMDEEVISMLPRSVDIVVGDVGEPSTLKSAVESCSKIIYCATARSTITADLVRVDHLGVYNLTKAFQDYNNRLAQLRAGKSSKSKLLIAKFKSAEALDGWEVRQGTYFQDTTASKYDGGMDAKFEFTESERAEFSGYVFTRGGYVELSKKLSLPLGSTLDRYEGLVLSVGGNGRSYVVILEAGPSSDMSQSKLYFARITTKAGFCRVRVPFSAFRPVNPEDPPLDPFLVHTLTIRFEPKRQRPVDGVAGAQQDLRSFSLVFEYIKALPAGQETDFILVSCTGSGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKSLHDSTARNKSFDVCHEYVAEQGIELYELVAHLPDKANNYLTPALSVLEKNT >A07p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25108911:25110405:1 gene:A07p046560.1_BraROA transcript:A07p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKMLVAFVFTCLFYVGSAQQLTVSALFAFGDSILDTGNNNLLATLTKVNFFPYGRDLGGLPTGRFGNGRVVSDMIAEGLGLKNLLPAYRNPALSSNDLVTGVCFASGGSGLDSITAMIQGVISVQDQVRDFQNYITRLNGVVGSQEKTNEIISNAVYLISAGNNDIAITYFTTVTRRLQYTLPAYTDLLVTWTRDLIKSLYDMGARKFAVMGTLPLGCLPGARSSIANLFKVCEVFSNQAAAMFNQKLSAELDNLGAAFPGAKFMYIDMYNPLMGLINNPQASGFIDAADGCCCTPMSIMPCLDASSYVFWDIAHPTQKSYQTITPNIIQDIKAKLA >A10p014500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4166294:4167007:1 gene:A10p014500.1_BraROA transcript:A10p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSDSPTTGNKQMTTFPLASVALPSKTSDHQSPQSSPGSSSSPSPTPRPSHSAGGSSTKRTTTGLSGRHSFFRGIRLRNGKWVSEIREPRKTTRIWLGTYPVPEMAAAAYDVAALALKGPDAVLNFPGLALTYVAPASNSAADIRAAAARAAEMKQPDPGGVEKVMEPGQPGREEEEKAMLSSLEFMDEEAMLDMPNLLTEMAEGMLMSPPRMINPTMEDDSPENHEGDSLWSYK >A08p001440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:855311:857534:1 gene:A08p001440.1_BraROA transcript:A08p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFSGFFTVLGQYPPDSSPDPWSEGPPPAVFNIRRRPEEALDLSDEGRDDLDIEPHKATFQFSASNRSELREGRPPRYPKEAPGRRTHKAQPKEWQERESSRRSYHSRDRSRNEACHFHASRDPYKQRRNTNSQGRSFYREIPRKQPLTDDNGSSSTKEQDPRCEKGVPLQRQPPSLPEEAIQRARGEVRDVMLQYTSSADPTEREARKERMRQAEERGELEETAILVAQAALNASTQRLNGKQIITTPERIPVSQRLGSTPVQERSPASQRLRNTSPGGAVLEQRSTEGIEFPPNSERIPASSRLGPTPDSPRGTDPNDSLCE >A06p028510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:67480:69261:-1 gene:A06p028510.1_BraROA transcript:A06p028510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A06p039260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21079282:21081509:-1 gene:A06p039260.1_BraROA transcript:A06p039260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNVVVPDATTGIITVSTVSNSSVFTPSAQKPPTAPGHISISKKKLLKSLEINGDQSQRLNSWVDSMRASSPTHLKSLPSTSSEEELNSWMKRHPSALDMFERIIEASRGKEIVMFLDYDGTLSPIVADPDRAFISSKMRRTVKKLAKCFPTSIVTGRCIDKVYSFVKLAELYYAGSHGMDIKGPTKGFSRYNKDKPSVLYQPAGDFLPMIDEAFKQLVEKTKSTPGAKVENNKFCLSVHFRCVDEKKWSELALKVRSVVKNYPTLKLSQGRKVFEIRPMIKWDKGKALEFLLESLGFDNSSDVFPIYIGDDRTDEDAFKLLQERGQGLGLLVTKFPKDTNASYSLQDPLEASDGFLATVGGVETNSAINMHEGTNGVFSINNHCP >A03p027310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11401698:11401979:-1 gene:A03p027310.1_BraROA transcript:A03p027310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARDFFVVKSETSNSEEGNWSIFALEDLTWHVTVALKKFVYAIIEEIFTTMNIKNSSAICQVRDQSKKVIEKEYLA >A10p006300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9656484:9658432:1 gene:A10p006300.1_BraROA transcript:A10p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNPKSQLSSSLRRIIAPPPPQPMTSFYLNSLLPLPPSHPQKLLEPSSSSPLSISNSSEVALKPIVINGDPPTFVSAPSRRIIAVGDLHGDLSKARDALQIAGVLSSDGKDQWVGEDTVVVQVGDILDRGEDEIAILSLLRLLDEQAKANGGAVFQVNGNHETMNVEGDFRYVDTRAFDECIDFLDYLEDYAQDWDKAFKNWIFESRQWKQDRRNSQTYWDQWNIVKRQKGVIARSVLFRPGGRLACELSRHGVVLRVNNWVFCHGGLLPHHVAYGVERINREVSTWMKSSSDEEDSPEMPFIATRGQVESSKTVESSSAWIECVFLSSEYLYNQVGKILRDSLEAVGAKAMVVGHTPQLSGVNCEYGCGIWRVDVGMSSGVLDSRPEVLEIRGDKARVIRSNQDTLHELQVADYI >A07p041450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22390431:22391437:-1 gene:A07p041450.1_BraROA transcript:A07p041450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDHRLMEESADTSSSSSSPYFSIFTNYPLISAVLAFTIAQVIKFFTTWYKEKRWDLKRLVGSGGMPSSHSATVTALAMAVGLQEGFGGSHFAIAFILTSIVMYDATGVRLHAGRQAEVLNQIVYELPAEHPLAESMPLRELLGHTPPQVIAGGVLGTATAVFGYFVTLIVK >A01p025340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20147892:20152100:-1 gene:A01p025340.1_BraROA transcript:A01p025340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVRLVIGLWSKSPTEVWSFEEAPNSQREAVIINRTESIDGLVEMIRITLNLGILTPVVLTYQLPTWMLVPDGPTTPPITLVSNKDVEIMASVFDYMADPVLYVTSGPELVAKYQFFCRTPFSIDEKTYLEEGVTEEQHRQAINDLVGSHPIVCSKHILEIMFNEPQLLQVFRVALEIEMVYGLQNDVEDTNEGLEYNNLTGNDFMALEGSVPLSPDPLNNYDPNQEVLYGEPITIEELQNIHPNFEASTMVNQDTNLGVEPLNLFEDLPEEEAYWDGMTEEGRRYEVYIAPSPHPAERGHCTNHTLIVIDDDDEGSYIGSSEDFNETQNYITIPPPPVTENSDYTVDVNSRNAILTGEPSAEVKINNKGKNLLTENDLTPTGNTTDVEPFLDLTLGVGVGNSKAEPEPVIDIQESSSEAEDGSGNQTNHFDALYEGKVFKSRGDFKQCIALYALRNKFRYKNSRSTPDGMVFKCISSTCLWRIYAIRMKNVEKYEIRRIVSEHSCSVDDRAGYEEQATHTVIGQMLKSSGGMLVRRINNVEFEVKDKVGSSYHKLLIPCSHAIASAINEKVRIESLVSDFYSLETLTSAYAEDIVPITTETEIREGISGKEGEPVIIFPPSSRRPPGRPRKSRILSTGEIR >A01p041710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22447284:22449554:-1 gene:A01p041710.1_BraROA transcript:A01p041710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGAHGVAKEQPRLTLYIILTTAFLSLCFLLSLSSSFHSSPPYSGSNGIRPDPRLFPSSSKIAADTSPPSVAYLISGSSGDSRRILRLLFAAYHPRNRYLLHLDSLATQSERDRLALTVGDVPIFRAARNVDVVGRPDFAYRRGSSPMASTLHGASILLRLSGAWDWFVDLSVDEYPLVTQDELLHILSYLPKDLNFVNHTSYIGWKESRRLKPVIVDPGLYLVEKTDMFFASQKRELPKAFKLFSGPSFSILSRSFIEHCVLGTDNFPRTLLMYLSNTPASLSNYFPTILCNSNLFKKTIINNNLLYQASNDTSKERRHQLDPKEFTEMVQSGAAFARVSTFDDAVLDRIDHELLGRAHGEVVPGGWCLGDSGDNRSLCSVWGDSGILRPGSGSERLERRIVELLSNDWFRLHQCIAE >A02p058950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:35273452:35274198:-1 gene:A02p058950.1_BraROA transcript:A02p058950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDTRQWDLPPQACFEDTAARCIHPGVPLPELGELYAAELQAPFKSLLWSHDKESCGKRFSLSDMRSWCAAAAATTTPHGGALESSSQKRLMILDQSGNQTRLFQCPFPLTAEPVKLSELDEHYGQESEMHEDTEEINALLYSDEEDCESDDEVMSTGHSPYPLEQVCNKRVSEEIDAPSKRQKLLDISQEDTGSGLSNEQSRKDKIRTALKILESIVPGAKGNQALLLLDEAIDYLKLLKQDLNHC >A09p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3218156:3219866:1 gene:A09p006030.1_BraROA transcript:A09p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFKTTVDPLLKDLDGKKESFGRNVVSMAAEIKQVRGRLVSQEQSFVKESLFRKDAETKADNMEVEICKLQKKLEDRNCQLEASTSAAEKFLEEVDDLRSQIALTKETAETSAASAQSTQLQCSMISQQLDDKTRSLREHQDRVTHLSHQFDNLHRDLRTRECSQKQLRDEVIRIEREITEAVAKSGKDRECELRKLVEEVSPKNFERMNRLLSVKDEEIAKLKDEVRLMSAHWKLKTKGLETQLEKQRRTDQELKKKVVKLEFCLKEARSQTRKLQRMGEKRDKAIKELRDQITGKQWDESVPGEKPNFWDTSGFKIVVSMSMLLLVVVSKR >A05p010390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4368602:4370048:1 gene:A05p010390.1_BraROA transcript:A05p010390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLERSKKKTQVWKKAVIHFSLCFVMGFFTGFAPAGKASLFSNFETTPSTTSKSQIPPHPSENSTYTPNSLADKALVSSQVQAPSPSKSQEAEPENRSLSETEEEVTPRGLVIVVTPVMTKDRYKNVLLRRMANTLRLVPPPLLWIVVEKHSEADVNSSSTMLRKTGLMYRRIVFKENFTSLEAELDHQRNLALRHIEHHKLSGIVHFAGLNNIYDLDFFDEIRDIEVFGTWPMALLSANRKRVIVEGPVCESSQVLGWHLRKINNETETKPPVHISSFAFNSSILWDPERWGRPSSVEGTKQDSIKYVKQVVLEDDTKLKGLPAQDCSKVMLWRLNFPTRTRFST >A04p023960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14544165:14551214:-1 gene:A04p023960.1_BraROA transcript:A04p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAPRLSDDFKTMKLFTITMMTIMAISIVFVQVPSTTEARPLEITENKNHFKVTSLNNFVSTVPVGHNVDGHKEGIEVFQAKILKNIYAYAPTDPGNSPGIGHHKMDVHAPEMSNDFKTMKFFTITMMTIMAISIVFVQVPSTTEARPLEITENKNHVKVTSFNNFVSTVPVGHNVDGHKEGIELFQAKILKNIYAYAPTDPGNSPGIGHHKMDVHAPELSNDFKVVRPLEITENKNHFKVMSLNNFVSTVPEGHNVDGHKEGIEVFQAKILKNIYAYAPTDPGNSPGIGHHKMDVHAPEMSNDFKVIRKLKKN >A09p009090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4644016:4647243:-1 gene:A09p009090.1_BraROA transcript:A09p009090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGGSSRRSLSVTTSSLHGKKKSMELGDRGLDTGRRSLTVSRSPLGLTGGERTVKRLRLSKAITVPATTSIYDACKNMASRKVDALLLTDSNEMLCGILTDRDIATRVISQEVNVEETPVSKVMTRNPLFVLSETLAVEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVERSWGTNTSVHNTFIETLRDRMFRPSLSTIIPDDSKVLKVSPADTVLTVAKKMVELQSSCAVVMIEDKLGGIFTSKDILMRVVAENLPPSETTMEQVMTQNPESTSVDTPIVEALHIMHEGKFLHLPVTDKEGDVVAVVDVIHVTHAAVATAGTTAGIGNEATNTMMQKFWDSAMALSPNEEDEDTRSESSLKVASEAETGKSLPLPNTFSFKIEDKKHRMHRFISDTRSLTEVVTAILQRLGDDIDPDHNIPQIFYEDEDHDEVLLASDSDLQAAIDHAKSIGWKSLRLHLDDSRKGKGRRRRAIVSAEAMEYVERDGWGATYSGVAAGAALVAGLGFMAYLKRAGQ >A07p050820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27058720:27063569:-1 gene:A07p050820.1_BraROA transcript:A07p050820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSCALPVFDTILCLFCSLPFAITLEMLIPLPDGFFYIQCMVLFWCERMPGNKYNLSSSHIPLSRTERLLRERELREKRRSNRALNPNDNPDNSENDLRLEGDSSRQQYVEQYLEGAAAAMAHDDVCERQEVRPYNRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFTAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKEYNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVPDVIQHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENASWRDKVVLLQIAVPTRTDVSEYQKLTSQVHEIVGRINGRFGTLTQVPIHHLDRSLDFYALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMSPEEREKRHRHNFHHVKTHTAQEWAETFVSELNDTVIEAQLRISKVPPELPQDDAIRRYSKSTNRLLILGFNATLTEPVDNQGRRGDQIKEMDLNLHPELKGPLKALCSDPSTTIVVLSGSNRSVLDKNFGEYDMWLAAENGMFLRLTNGEWMTTMPEHLNMEWVDSVKHVFKYFTERTPRSHFETRDTSLIWNYKYADIEFGRLQARDLLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDIIPAIARSRPSSDSGGTRSSSGGGDRRPPSKSTHHNNNNSKSSSKSSSSNSNHHNNKASSQRSLQTAKSGSNNHSLGNSRRPSPEKISWNVLDLKGENYFSCAVGRTRTSARYLLGSPDDVVCFLEKLADTPAPNSS >A09p079380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58451302:58452895:1 gene:A09p079380.1_BraROA transcript:A09p079380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVTKWLGIYSNTQFESVSSKVITLSSSIVTILMLFFGGQDKINIEGERELYVKVAGYLGMIYVTAALFDAKARPRLAGFRIFGEISIVCSYLSVWFLIYAIFERHSIPFVVISIGITLGGYIACSVWVACGATIFGCESDPRLAPVIARLGRKDDLNSVLHMAALVAILFKPDVPETAHLFKAVLAASPEVIPGGGDGGGGGGSTGRGKSQPKTDPKIGKSRCAEEEAKPRKKKKNDELKTAAKARGEAISTKR >A09p022420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12340384:12341772:-1 gene:A09p022420.1_BraROA transcript:A09p022420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLKRINGLYVTLLLTLYACAVIVQLEAASETKTCFQKKSPCFLKKQTCPNQCPSFSPPNGSSKACVVDCFNPICKATCRNRKPNCNGRGSACLDPRFIGGDGIVFYFHGKRDEHFALVSDTDLQVNARFIGLRPSGRRRDFTWIQSLGLIFGPNNKTFTLEAQKAAKWDHQVDHLRLSFEGKEILLPKGDSSFWTPPGSYIKVERTSDMNSVLVTLPDIAEIWVNVVPVTKEDDTIHKYGRPENDCFAHLEVQFRFLRLSPNVEGVLGRTYREDFQNPAKPGVAMPVVGGEDKYRTSSLLETSCNACVYSRGSRSSDKIEPLSLNQNTVDCTGGSSSGIGIFCRK >A02p011030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4786818:4788583:-1 gene:A02p011030.1_BraROA transcript:A02p011030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 1 [Source:Projected from Arabidopsis thaliana (AT5G22400) UniProtKB/Swiss-Prot;Acc:Q9FMR1] MTEVLHFPSSPSASSSSSPSPSNATLLLTSDHRRSNPVPPGFPQDVDFHRSIEQQNQDLVDDDGEGQQISLLALLVAIFRRSLVACKSNRRELCRMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNCVPTILLLMQNCLYGQGGLQAEGIFRLTAENSEEEAVREQLNRGFIPERIDVHCLAGLIKAWFRELPTSVLDVLSPEQVMQCQTEEEYVELVRLLPPTEASLLDWAINLMADVVQYEHVNKMNSRNIAMVFAPNMTQMDDPLTALMYAVQVMNFLKMLIEKTLRERQDSVVEQAHVVPLEPSDESSGHQSPSQSLAFDPTEQSEETQSEYIEDAENQSPSSSEISDESTFENNARSYSETERKSSVQMMVMAPQAQWPVGRTKGLTNLSRVGSRVERTEAWR >A09p020910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11126889:11127721:1 gene:A09p020910.1_BraROA transcript:A09p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKVADFGTSCLETQCREAKGNMGTYRWMALEMIKDKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPELDHLIKRCWSENPSKRPDFSNIVAVLEKYDECVKEGLPLTSHANLTKTKHAILDRLKGCVSAISSKSSSSSVPVNAW >A08g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7607702:7613212:1 gene:A08g504610.1_BraROA transcript:A08g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTKLGLEKALLLNPKCRVWCLDIDRWYLCTSIDINLHLSRHFLISIVSTDAHRSIHKYKVNTLPWEYRSHDARISDRISDQDWTGFHESKLNGGCHQSSLRKRALKIAASKSRFELFYLSLYESSLNGVTFQTCLKNPIPCIPSPKTSGYVRFSVGNQLWLLHTVQGKITLLRQCDPVFHLLSDLMKHSPNVLLSYLCFSEEHPQPVCEVSFIKRFFDWDSEDSFSETIHLLIVSFPLKILVNYLKGWVLVRVGLLMGCLSIDGRCVVTIDVGLTLSIDGWLNRSIDFIIERGGWVRMLSCKFFMS >A04p006370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3390718:3391917:-1 gene:A04p006370.1_BraROA transcript:A04p006370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPTHLDFSSHQDISKDNLLTLFKSQQDLLNHFFKHLDLTQTLSFSRLLLSASGTVFFTGVGKSAFVANKVSQTLVSLSFRSSFLSPLDALHGDIGALSSRDVLVLFSKSGATEELLRLVPCAKARGAFLVSLTSVPGNPLAGVCDMNVHLPLERELCPFNLAPVTSTAIQMVFGDTIAVALMAARNLTKEEYGANHPAGRIGKSLIFKVKDVMKKKDELPVCKEGDLIMDQLVELTSKGCGCLLVVDAAHRLIGTFTDGDLRRTLKASGEAIFKLSVGEMCNRKPRTIGPETMAVEAMKKMESPPSPVQFLPVVNEDNTLIGIVTLHGLVSAGL >A09g516520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48758321:48763322:-1 gene:A09g516520.1_BraROA transcript:A09g516520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLDLVHVQGSLYLSVSQTLILI >A07p012410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8150808:8151626:1 gene:A07p012410.1_BraROA transcript:A07p012410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSEAIDNLRETIKMSLSPSAMISYDSLVQDFTLDRIGVAEMTTSLRRLLEKDEFLHQRFLEATNELKQEQEDANGETQDVEVDDESAKEDPHVHVESNLEADLENPKEDVTLEITPSYELIPAEERSLAVVSGTVLNNEFRQVKVETPRPKKLIGYKKHVADYEDERYQNDMMIEYLTSAVEHWEKVMRKEMRIEDVEEKFYTCIEELDRGGMIKKLKQNYQEALPVILYRLKEKLTYQILTRETKSSRWKQIESTEKARSRKRRRLTI >A09g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25079338:25081857:-1 gene:A09g508930.1_BraROA transcript:A09g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDTPNAAGSTTRQPSDEAGASGEKAGDTRVHETISSDSKPDSEKETSEGAATLHDRDDIFSQKSMKDQGSRNQGMYMNRPLERTEGMSVSTWPNISHLSISKPELSNALRQIGQQVQRRGIISGEADPRDDNPKEELRAKDPYQIYELQQVYPREASFPSSEKATAYKCYLHVLLDTWHPGDSGQIRSIKKRVEIPRFPHKGLFGRPIFCVHSKGSDLRKHSKFSVNQRKTTVDPAQGEPNP >A01p053970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29751925:29752888:1 gene:A01p053970.1_BraROA transcript:A01p053970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHVIHQLFPQIPHYHLVEAASNLNKRLKGFGILIEAAKPVLRKCYREPDKSGPLPLHLLRILAKGMKEDHYVRDEGDVVYYKADPNLYGEIKRVSVSEYNQIKTTSTVNILSQSGKLKEAYELIIHVEVEPHASSTCGSLLSGCSENMGLY >A10p016590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2967314:2967532:1 gene:A10p016590.1_BraROA transcript:A10p016590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKDDSVFEAKANVGGRRIKVVITRKQLDLLLAKQVSLEQLGLVKQRMFLRSSSENKWKPRLESIHETPEL >A09p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2540982:2542256:1 gene:A09p004450.1_BraROA transcript:A09p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTDDLWTMVLARLPIKSFTCFKLVCKQWKSIVESPFFRNLFRFFHQISPSSSWSLMCSYGIASEMVGLYQCDTWGLKRSLGSFIESFLTDKYHKSIYGRVRVKAYSDVGLILIHIKSTLMEKGSLYVANPVSRECVEIILDVLPIGFETDEYLWEWGLVTRTENGHLLEYPISLNGNLHWVGQNMDHQEVVLSMDTYATSIDSVRCRVTPFPDLEKTTKFTRACTTCQGFLMYMNIVDGSKLCSWRLQSEGWQLISEISPGSILTRFDYVPMTINPFDAKTAYFWSTERESLLSINLHNGKFVIHRQCEGRDESSDGPIMIPVNDSKAMISLKSRIESTYIIIKRKRFVPFVLPQWLHRIPNTVSITEPTTTATLHG >A09p011910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6026383:6027008:1 gene:A09p011910.1_BraROA transcript:A09p011910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHNAPQPPRDLTKQHSWPHDVNRNEAWLRKKKKRSVDLIPRSKSVTNDDLEELRGCIELGFGFEPDSPDLNQRLTDTIPALDLYCAVHRQYCNHLSRTSSFASETDSISSSTTTVLDKGDDRKTVKQKLKQWAQVVAFSVRQARKPS >A08p016620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10759501:10760205:-1 gene:A08p016620.1_BraROA transcript:A08p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHDDGLDNEWKGFTVFPEVNPSPNPNYSFNFLVKKATVESEKSTGSISSRSSAKEDSFRMVLPPAMPPPRDSTVPLPVFPEPMRTRKKLSHQESILFMTKSLYSKKIFYKEDDFKCNAFCLSLPGLGKHKPVRSSKRKDSMEKKKMITASSFTSVEKYEWSHSWTSTTSLTQDNGRSYFDLPVELLKCCSRGGGKGGRYVQEPATSSFSFDRETEIMAVTSVFSRSSRSDHC >A07p044370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24203427:24206119:1 gene:A07p044370.1_BraROA transcript:A07p044370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKILMLALLVLWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYAGSLMVQVVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNNSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENSSMASSPRFGYYPGGGGGSYPAPNPEFSSTATSTANKVVSKNPTNPQTGGKANNHDASAKELHMFVWSSNGSPVSDRAGLNVSDGAPGSGSNNEQGAKEIRMLVPDQSQTGETKAFAHPASGDFGGERFSFAGREEEGERAKDAENGLNKHAPSSSAELQSKTGLGAAGGGEGSHVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWHVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAVASIAIGLHGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >A05p040640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24826782:24829754:1 gene:A05p040640.1_BraROA transcript:A05p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLSSSWDAVPSTTGLRTGTAVESGTYMSCRHVAGASAYIKTFHPEWSPSMIQSVIMTTAWAMSMDQGEFAYGAGHVDPIKAVNPGLVYEVDKSDHINFLCGMNYILKMLQLISGEAVTCTGKTLPKNLNYPSMTARVAAGKQFQVNFSRTLRNLGMRSTYKAEVSGSKLDVRVIPEALSLNTMHEKESFELTVCLFQGMVLKTTLRTLFLYLIVSQIDRQFLLQHPETEQSLCLGDHLASHQLQEGSMAKSL >A02p022010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10377267:10379019:-1 gene:A02p022010.1_BraROA transcript:A02p022010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKAEGSSSPATRRDPYEVLSVSRTASDQEIKSSYRKLALKYHPDKNANNPDASELFKEVAYSYSILSDPDKRRQYDNAGFEAIDADGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTSVSANVLEEAMNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEQQAESGVVVRVTSAAQSKFKLLYFEQDSSGGYGLALQEESEKTGKVTSAGMYFLHFQVYRMDSTINALAVAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTASYTIEALCAKTYEDTTENLKEIEAQILRKRNDLRQFETEYRKALARFQEVTNRYTQEKQTVDELLKQRDSIHSSFSVVKTPSGSNLSNGSSSKAQGDESKGDGDSVGEEGGSESRDKSKRKWFNLNLKGSDKKVG >A06g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15457559:15460267:1 gene:A06g505240.1_BraROA transcript:A06g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSHVSSDSDEKVMFFKDVSLGPHETQLRFRLIHFWEARNPVKKTLIGLEILLIDEQGTVIQGFISPGRIKKYLPEMKRGSVYKFINFYGSKNKPVYRVADHVATVSFTWNSEMSVLHEIPISIDEDRFRFHSYEDFEANCDLKGNLYDVVGHMKLVDGQTLIERPKLDDVKIATTRHILIHLQSHDGPVMKLYLWDQAATEFSKKFNSCENTPTVLLVTTVNPKRFGGTLALASMSSIWIFMDNDVQPTMDYLAWLGSNPEIANQDAFFECTATIDDVVHGSSWYYVACSECHSKATKGTNSLICTNARCAKVNTTGIAQYRAKISVYDNSEQAFFVLLGDAGHELTGRHASELVSSYFEANKSEGADHEVPVPEALISTIGQTHKLCVKVTDHNFSGNTRAITVTKILPLDTPPPTEASVGNDIGATSEEAKQSGNDVCEPPTGRGDPADEESKRTCSSADPGAAKRPRCEN >A03p053290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22858760:22859838:-1 gene:A03p053290.1_BraROA transcript:A03p053290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENPIEDRGFLAAPLTFFLVVVFQLLSKWLDQLQKKGSSNNNNTKEAELRSEIKQLLREATALSQPATFAQAAKLRRSAATKEKELSLYVEQHNKDINLSYDLYGNVLIASKVVVYLILVFWFWRTPIAMIAKQLVQPFGRLLSWGTGGHLTGHVMVGIIPWLILSTRVSKYVCKFVDF >A06g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17064601:17069039:1 gene:A06g505980.1_BraROA transcript:A06g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDDLYFSRLLNNLHGRRIFQLSTSYRTFNFTNVRFNLTGSFTGHIKAIFFTISQFYETLAPFLSNGDFEGDKTKTLPWSCSTVIFADLKSRLPNSAAGMLFLISVTAWGHIFSDHIFSDNIFSNCLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRKRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSFVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEEPGCALMNMNHFFKHTVNSKLQSEIVNGAKAGQKEAKEPSLTTELSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVGGGYDPFAPYDKMKSKELTAWVQKDPSHKLPLKKKPRRCPSRFYQVLRTPLEWLTDHQMDAFINLLRQRYQNHPKHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPKELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGTFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPMCHEWKNQDNDENLATLGDVNRVRIG >A05p048110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28216478:28219192:-1 gene:A05p048110.1_BraROA transcript:A05p048110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRGGGEHGHHHHHHNHHEALKFSSFFFMPERPREYLLLFTRFSVLTCLIVSVSLVLRTTFLSSSAPEYSSSYGLRFPAVPQKAIALPPTRSTGPVTISHIQFCIAGAAETWLDRSQYTSLWWRNTTRGFVWLDKPVKIPDNQSDNRFSIPVRVSDPGWTRFRFSSSQAAVRIARVIWDSYRLNLPDVRWFVMGDDDTVFFPENLVKVLSKYDHEQMWYVGGNSESVEQDVMHAYDMAFGGGGFAISRPLAARLANAMDGCLQRYFYFYGSDQRIAACVSEIGVPFTEERGFHQLDIRGDPYGFLAAHPLTPLVSLHHLVYLDPMFPNKTPIESLQALMRPYTLDPHRILQQIHCYDRKRQWSISISWGYSIQIYTYFLTAKELDTPLQTFKTWRSSSDGPFTFNTRPLKPDPCERPVTYFMDGAEDVRDSGTKTWYSVGDKKYGHCGKSEYSRVTKVKRILVTSMKMDPEYWNKAPRRPCCEVMEGRGRRKEEEMSIRIRKCRSAEMI >A03p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2536159:2537896:-1 gene:A03p006100.1_BraROA transcript:A03p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMINHHLLAIIFGILGNAISFCVFLAPLPTFYRIYKNKSTESFQSLPYQVSLFSCMLWLYYALIKQDAFLLITINSFGCIVETIYIALFFAYATRDKRIAAMKLFFTINVAFFSLILMITHFAVRSPSLQVSVIGWICVSISVSVFAAPLMIVARVIKTKSVEFMPFTLSFFLTISAVMWFAYGAFLHDICIAIPNVVGFILGMLQMVLYGIYRNSGVKIDTEKKINSSEQQLKTIVVMSQLGVSEVHPVDITVDPLSETVHHEDPSKQKEPSIEDGKCHVGTVARFEYI >A07g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6868020:6868348:1 gene:A07g503510.1_BraROA transcript:A07g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSRLPRITNNLLYHKMQSTSPPAIESVSSTRPFTIYLADIVYVLSYFNSFSLSTKFYSLWTITYFYCQTILFLHSHIQKNKI >A07p048220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25776196:25777412:-1 gene:A07p048220.1_BraROA transcript:A07p048220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSRSRSPSRDRSRSRSPRDRRMRSERSSYRDAPYRRGDREPRRAFSQTNLCNNCKRPGHFARDCPNVSVCNNCGLPGHIAAECTAESRCWNCREPGHVAGNCSNEGICHSCGKTGHRARDCTNPVSRAGDLRLCNNCFKPGHLAADCTNDKACKNCRTSGHIARDCQNDPVCNICSISGHVARNCPKGDSSYSDRDRGSRARGGGMQRDGFGRMGRDGGMQRDGLSRGGRDGGGGVGAMIICHNCGGRGHMAYECPSARIADRGSRRY >A07p041270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22309616:22312462:-1 gene:A07p041270.1_BraROA transcript:A07p041270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to Meiotic asynaptic mutant 1 [Source: Projected from Oryza sativa (Os09g0506800)] MVMAQKLKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPENYFNDKSVPALDMKIKKLLPIDPESRRLIDWMEKGVYDALQKKYLKTLMFCICESVEGPMIEEYSFNFSYSDSDSQDVRMNISRTGTKKHGGTFNSTADITQNQMRSSACKMVRTLVQLMRTLDKMPDKRTIVMKLMYYDDVTPPDYEPPFFRGCTEEEAQHVWTKDPLRMEVGNVNSKHLVLTLKVKSVLDPCADENDDMQDDGKSTGPNSVHDEQPSDSDSEISQTKETQFLLAPVEKQEDDDGEVDEDDTQDPVESQQQLERVKDWINSRHLDTLELTDVLANFPDISIALTEGKSVLRESHYQKGTMKHR >A05g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7126080:7127206:1 gene:A05g502230.1_BraROA transcript:A05g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKDYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGINGPKTPLPDVVIIHAPKEEDVSSAPATVAAPAALLPEAPLTAVDYPEMIPVA >A06p010680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3874520:3875868:1 gene:A06p010680.1_BraROA transcript:A06p010680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFGLSKEQVLSQRHVVSCKETSKQNPTSTVTLFGRQVYPVKRESSNLSNGCMRIQDIDLRLPADHYTCNEEKEALATSWSGKRNPRIVIDLEQLPTAEDEDSISYATVKSPETKPSFLAHQSLLQEDGCDKASDMESGLLDLNSFPDADELVSEPRCCFLQDLNCPYIEETDTSCEKSGIDDETTPLCSPKSQNVREKDGTASPASDTSCCTTENNLRRGSSPRAPDPSCRTRLEFPLLPEKERCNEEEEDFSEVIQMAAESLVHISSVSYRNQEPVSRTNSLSQEQDLKCCSCDSYELHTLGISETNTEEDLCVSSMAMDELNNITRDGNKEMGLKLRRGRRMKHFQKEILPNLTSLSRHEIREDINILEAVLRSREYKKMQGKTKDVIKLGANQKNKRSVSQRYVGKRRRKHE >A10p034620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20092539:20093413:1 gene:A10p034620.1_BraROA transcript:A10p034620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA2 [Source:Projected from Arabidopsis thaliana (AT5G09830) UniProtKB/Swiss-Prot;Acc:Q9FIC3] MVTKEQVEASLTSKLKPIHLEVNDISGGCGSSFEVEVVSEQFEGKRLLERHRMVNAALEEEMKEIHALSVKKAQTPQQWKPSQESASQAKDV >A08p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3514364:3519227:-1 gene:A08p006170.1_BraROA transcript:A08p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSQNCKICGNEAIHSCRLSTPNLKVLFVFGSSLLNNTISRTISALLQKQDNVVQSSGIVIGSGISGLAAARNLYEASTNVTVLKSSDRIGGRSTLITPLVVLLHGVSNDNPLAPIIRRLGVTLYRTSGEHSILFDHDLESYGLYDMHGNKIPPQFVTQVAFKRFLQELDSRRRKLGMKLLRAGSGSRIFEVLSQIDWYYVSCTRCSKKLDRSAASLCRNQCVNHNVTNVVKYRVELLVDDGKNYAIFLVFDKEMLKLAKQDAAALLLDEVNGGVSKKLKAELGGKDLDFHIRVTPYNFSPDHRTFTISAISDSFNTEGNVGKHPLPASVSNTSTIDVKEGGAYTPMDLMEKLELVELPKLEGHTDRVWNVFWNPISTLPILASCSGNNTVRIWEQNSLSHSWSCKTVLEETHTRTVRSCAWSPSGKLLATASFDGTTAIWQNFGDEFECISNLEGHENKVKIVSWNVAGSYLATCSRDKSVWIWEVLGGANEYDCAAVLNGPTQDVKMVQWHPTMNVLFSCSFDNTINGHSSTVWAISFNAAGDKMVTCSDDLTLKIWETDIAMMHSGIIFVHSLVIMIVPYIQLTGQGTTLLRVEQAMMLYDCLWTATMTADGPSYILLLKKENAYDMDVNSVQWSPGEENRLLASASDDGMVKIWQLATKQ >A03p003430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1497685:1503390:-1 gene:A03p003430.1_BraROA transcript:A03p003430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYTSESSSPITRFPVPMFLPMSKEDGLKISVQGFVTSMIPAVDIGNGLTSHFSSCGRICNLDIPRDPITNVVNSKCSFFQLCGGEGAEEKALALDGTDMGGWNVTVKVLPHDDLEFTTDQLAAMSISHFKKTRSEGVSIRGYDNSLPSNDIKSALTKHFASCGEITDVFVLKRRAIIYFFGWHAISKAVELSGSNVGGCGLVVKALPVPKTVPSDILAIYTDSYTPASNLTAASCRAMAILTGIVGPKHRRRKQSSTEPDQYDVESLDHKVHNKHVDSSETEELVTFQGGEDLTICDILDAPGEVIGKSSYGTLYKASLQRSGKVRVLRFLRPVCTVRCDAKEYSDVIETLGFVRHENLVPLLGGGDESIKWSNILSITTGISEALDHLHTGTQKPIVHGNLKSKNVLLNSNFEPRISDYGLHLLLNQTAGQEILDVSAAEGYKAPELVKMKEASKESDVYSLGVIMLELVSSKEAINKGDDHKLYRPEILTSNGCDDLSEEGLLKYFQLAISCCSPSPSLRPNTKQVLRKLQDI >A05p043700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26336884:26338553:-1 gene:A05p043700.1_BraROA transcript:A05p043700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQKRKMKASKPAAGMCSRCGRGARIADMKTSTRFCLIPIYCRSWRAIVCSFCGSVLKSYRASMMKLQAVLSGFILTFCLRYSVYVSFIITGAFFGELRLFSIYKRGLETRIDCFRVCEVSTSMNQIQIDKAVATHSQ >A09g512700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37958263:37959322:-1 gene:A09g512700.1_BraROA transcript:A09g512700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSLVVQLFSLRLAPAYLPSFFSNWHLTITSCLLALFLRSSCEKHMKGLEFLQVKEKAGVSSAASHVQNPAEKPREFQRERRTEKSVRKIRKINQEKIGGDLIFNLSSFCYLEKDQKPQAYLGEEDQLRPSSPFVRLGKLWSPSLSQYLIITVHGYHRSWSDQLCGALLVPSCPFSFVQEELKSCPTQ >A10g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6946476:6948428:1 gene:A10g502520.1_BraROA transcript:A10g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDSPSDDESPVTEGAPTAAAFAETIFERMAQQDAAQKATTEQLAAIAAILAPLAGGSGDPATTVRKQLFDTYRTASAGNPANDGDTQVDEEQPRNRRRVQVILARPSSSSDEEEDKQVHDSREYSSKRTNESIGSEGSNDLRNKLRRKSQTSDRTYDSHGDLRATIEKSKARKIENSSVRPRLRPRVIDLREKLNSKSEDLRIKLNSKTEDLRIKLNRPKHSDLRRRIEEVRPKNKDSPAVEDSPN >A08p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11452444:11453689:1 gene:A08p012460.1_BraROA transcript:A08p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGILLLVLVILFGNLMFTAVDGVSVRAPICAMRSVKDYALGFREQSCPFYGDELADRPHFVVVTEGDERWLQTALDMIHKNKCDYVALLFYASWCPFSRSFTPSFDLISSLYSSIPHFAIKESSVKPSTLSKYGVHGFPTLLLMNSTMRARYRGTRMLDSLVAFYRDVTVSELTRKLLGIETLDKTSLEKSLLVPHLGNENNTEPENCPFTWARSPENMLRQETYLTLATVFVLLRLLYFVFPALVVFAKFTWPRIAQNMRLESLQEHTVGFLSRLCMYLKEPCKRSNLQGGAMNARAWASKSLATVSIGESSSSNSRASSASQ >A01p056630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32130882:32132680:1 gene:A01p056630.1_BraROA transcript:A01p056630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGRAGEEGSRSDPSIQWTSHGVETSMGRLALSSGGGGGESYPERSDEADCIYYLRTGVCGYGSRCRFNHPRNRGAVIGGGDGGLPERMGHPVCQHFMRTGTCQFGASCKYHHPRQGGGSVAPVSLSYLGYPLRLGEKECSYYMRTGQCKFGLTCRFNHPVPLQPQQQQPQPQLQTIYPTLQSQPMPSSQQYGLVLSRPSVLPASYLPSPYGGPPPMVLPPGMVTYPSWNPYQASLTAMPSPGTQPSIGSSSVYGMASLSPSGPAYTGTYQSGGPSLTTSTEQSFPQRPDQPECQYFMRTGDCKFGSSCRYHHPPLDAVLQPKTGVLVNSIGLPLRPGVAQCSHFAQHGICKFGPACKFDHSMSSSLSYSPSASSLTNMPVAPYPIGSSAPISSSNEPTTEAVTAVVSSPMVSGLSQQEAAGTSCDSAIEAKASSS >A04g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6275298:6276006:1 gene:A04g502730.1_BraROA transcript:A04g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNVQASLRTSRQAFHGRERSPGPGQWRAVRSGHEAMGCWVFGQGRGLSPGGLGRGLGLWPTPNPIRKGEGMQVAERGQPLADGAHSLASRACSWDKTYPLVFYKYGGSLVDFIIQFQSKILREKRREKEREKERVPAKRKADCGGVVFRRL >A05p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20254446:20256136:1 gene:A05p035790.1_BraROA transcript:A05p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVAVGVLHNHLLSASSRIVCLSSCSRPPLSVSSGVAKVVLKKGKTQLFKDGSPMVYSGAVDRIIGKPPPQTGDVVIVADGTENPIGWGLYNSVSMFCVRLMQLQHESTRDPSCALNIEKLLQTRITQAVQLRNSLGLPSEYYTNAYRLVNSEGDRLSGLIVDVFGDIAVVASSAAWLEKYRSHVEACLRSSIHGINHINWRPSLDVLKEDGFDISSLKQTQSSSSPPLPERSMVVENGISYAISLEGQKTGFYTDQRENRRFISTISAGKRVLDLCCYSGGFALNAARGGAISVLGVDSSLPALELARENVILNRMDPEKVVFFKQDSTEFMKGALSREETWDIVILDPPKLAPRKKVLHNAAGMYRNLNSLAMRLTSSGGLLMTCSCSGAMTQSGKFLGILQSAAAMAGRKITVVREAGAASDHPLDPSYPQGQYLSNILLRVL >A04g508280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21621577:21621777:-1 gene:A04g508280.1_BraROA transcript:A04g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSVEDHREGRCFVAGYEIRRNEARLDSSDGVPRRRRSGRDGVRRVSIRWRRCFHVFSSQSPRRV >A06g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23665903:23668369:1 gene:A06g508440.1_BraROA transcript:A06g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGIGAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKRRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p003450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4693042:4694076:-1 gene:A06p003450.1_BraROA transcript:A06p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSSVIPMDVAKTKTLNTNGSFLPPKKRMRLTEPPTDKAVVCPAIKKVSFSDITKYKGVVPQPNGRWGAQIYVDHKRIWLGTFSSAAEAAMAFDSANIKLRSFDTNSERNFPWSDLTVQEQVFQNGYTREAVLNMIKDGSYQREFIDFLKNRSQAVVGLKQNRRDEEPNKCFSSRQLFQKELTASDVGRLNRLVIPKRYAVKYLPFISEREEGEIIDDVEVVFYDREMRPWKFRYCYWTSSQSFVFTRGWIGFVREKRLKEKDVITFYTCDVPTLEGQSKQFLMIDIHRFSDNDSVVTDDEEVNKTVHDSSDDVMKPEKFFNSKLGEEESRGGFMLFGVRIQ >A03p026490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11081450:11083350:1 gene:A03p026490.1_BraROA transcript:A03p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKALVTDDPAMTFEEVSMERSKSFVKALQELKNLRPQLYSAADYCEKSYLHSEQKQMVLDNLKDYTVKALVNAVDHLGTVASKLTDLFDHQSSDMSTMQLRASCVSQQLLTSRTYIDKEGLRQQQLLAVIPMHHKHYTLPNSVNKRVHFSPLRRTDTRQNHYQVDISRLQPSDAPSSKSLSWHLGSETKSTLKGATSVASSSKDSKAFVKTSGVFHLSGDEENIINKKPFVGVSQVSGVPATSTIARQTYGVAHKAVEVPKLTTAQKSHDNPRQEIIQAPVRTKSVMSAFFVKPKTPKLKAGYVS >A07g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6464400:6465292:1 gene:A07g503260.1_BraROA transcript:A07g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAFYAVIIKVFYDAGQKKPVPNKIKALNGSNHPRGCNVSPLLRSTKSIALKFSDSSSAVPINHNNREALKRYLFVHFTDANSIMQIYYYFRNPGGKSMLMSYFLFFTDAGREGECKQLPGHGCPSIRFCCSYQCANAVVSYLNMVRGRAAGLFIEMSKDDYCPITFLLKIIVLLQYLSSFTMNNPSVDVVLDYAVSRSLY >A06p016340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7307177:7309348:-1 gene:A06p016340.1_BraROA transcript:A06p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPARWLKSVLLGKKQSKSSGSKDKERVVNGKEVVVISKIEESDVVSDLPSFGNATVHTSGVGETQTIEHEAVSDDEIQLPEVQVQPTDSPNAASVVPDDSLSESDKILQEIAATTVQAAFRGYLARRAFWALKGIIRLQALIRGHMVRRQAVATLCCVMGIVRLQALARGREIRHSDIGVEVQRKCRLNHHHLENKLPEDSVVDAHTYLGIKKLTANAFAQKLLASSPNVMPVHLENDSSNTIWLENWSASCFWKPIPQPKKTSVKKTQKKFASNSQKSVRKVPTSNLDKPSDAQTSFESEKPKRSSFHKFSTSQSAELPPPPAETPQVDLEKVKRGLRKVHNPVVESSIQPQGVTHKEIEKPSQALEEPVNNAFDVEKTDEKAETVVEQPEELKHIHEPLVTNETLDSTLVNQIEENVMAEEKEDVKEERTPKQDNKEYPARKENKKSGKKDSPVATTATTQTTECEESSNVNQNSSPGLPSYMQATKSAKAKLRLQGSSSPKQQVTEKATRRYSLPSSGNNAKVTSDSPKTTRFSNSGGKTGKKTEKPLLNGKTTPVEWKR >A09p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21523819:21525100:1 gene:A09p036240.1_BraROA transcript:A09p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSVNSPLNIDEEESFLLKEEDEASSEEVLPQIMKLKRSQWWIFVSISIFFLISAQAVAVLLGRFYYDQGGNSKWISTLVQTVGFPILYLPLCLLPASPHTSYCSFKTLVWIYLSLGLAIGLDNLLYSYGLLLLSASAYSLICGTQLIFNAVFSYLINSEKITFWTVMSVFFLTVSALVIALDDDSNSPSGGSKWIYVIGCLLTLLASLIYSLQLSLMQFSFEKIIKRETFAMVLEMQIYTSLVASCVSVIGLFASGEWKMLRMEMEEFHKGHVSYVLTLVGTAISWQLGSVSAVALIFLVSSLFSNLIGTLSLIVTPLAALVVFDDKLTVAKIAAITFAIPGVAFYMYKNYLDGLKVERASESLAD >A02p042640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26760423:26763500:1 gene:A02p042640.1_BraROA transcript:A02p042640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like modifier-activating enzyme atg7 [Source:Projected from Arabidopsis thaliana (AT5G45900) UniProtKB/Swiss-Prot;Acc:Q94CD5] SSLKLDKLGIDDSPISITGFYAPCSHPQVSNHLTLLSESLPSSSDEQSSTESTSHGNRNKCPVPGTLYNTNTVESFTKLDKQSLLKSEANKIWEDIQSGKALEDCALLSRFLVISFADLKKWSFRYWFAFPALVLDPPASLVELKPASEYFTSEEAESVSAACNEWRDSSLTTDVPFFLVSISSDDSKATIRHLKDWEACQGDHQKLLFGFYDPCHLPSNPGWPLRNYLALIRSKWNLETVWFFCYRESRGFADMSLSLVGQASITLSSDSSVPNSVGWELNKGKRAPRSISLANSMDPTRLAVSACDLNLKLMRWRALPSLDLNVLSSVKCLLLGAGTLGCQVARTLMGWGIRNITFVDYGKVAMSNPVRQSLYTFEDCVGRGEFKAVAAVKSLKQIFPAVESSGVVMAIPMPGHPISSQEEESVLGDCKRLRDLIESHDAVFLLTDTRESRWLPSLLCANANKIAINAALGFDSYMVMRHGAGPTSLTDDMQNLDMNKAGRQRLGCYFCNDVVAPQDSMTDRTLDQQCTVTRPGLAPIAGALAVELLVGVLQHPLGIYAKGDNSSSSNGGNTDESPLGILPHQIRGSVSQFSQITLLGQASNSCTACSETVVSEYREKGNSFVLEAVNHPTYLEDLTGLTELKKAANSFSLDWEDDEDDDEAVDM >A03p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6024108:6027400:1 gene:A03p015210.1_BraROA transcript:A03p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNARHRKILEGLLKHPENRECADCKTKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIHSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSKGEKARSPPRVEQERRRSVERTVPGYEHGHSSSPVNLFEEKKTVQAPRTRNSVAATRISLPVPPQGPNQVIKPQQKIETVAAPVETKKPTVNVAPASDPPKVDFATDLFNMLSVDEPTANTSEAAPADDNLWAGFQSAGSGQTAEKIVTAKPDESSSPPATGIEDLFKDTPNFTAQQAPQKDVKGDIMSLFEKSNMVSPFAMQQQQFAMLAQQQALYMAAAKAAGGTPNGVNQQAVANALNLASANWSNNGYQIPGMTNLGGGQPDLQKLMQNMNANMNMRPVQPQENTPQYPISSFYTTSQVNHVGNGMTPNSTGKPQSSTAAQQPTGTTPSSQSGKEFDFSSLMDGMFTKH >A01p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15163348:15175376:-1 gene:A01p037790.1_BraROA transcript:A01p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSVPRFFFTTWVIVVSLQMHGYRSCIEKERKGLLELNAYVNSEFPYDWPNDTDSDCCQWDRVRCNFTTGSVVGLFLNSTYTVQLKTLNLDYFNSTGWFDNIHGYKSLGKLKKLEILDLSHNFVNNSVFLNAASPLKTLILHGNNNMKELKDLRNLKLLDLSGNMLSGPLPDREDGHDRAVEPTPQHSSLPEDPEEQERVQQRDREQQERVMEREMYGRSCGAKRNPEYGWTNPETGSPYHPGYDAGGFAGNRREKREETTEIAREKRDLGGEEERNGEEDLVRPNKMRRPTENLKNLRELDLSRNEVIGRLPQCFSSLTKLEVLDISSNKFSGTSPSLISNLSSLQYLSLSDNEFEGVFSVELIANLSKLKVFKLSSRTSFLQIENKISLQPRFQLSVIELQYCNLETVPRFLQLQKDLRLINLSNNKLTRISPSWFLENYPRLQVLLLQNNSFTMLQFPRLLLNQSMQILDVSSNKFYQGLPESRASLQGGTSGATAPGPSPCPPCNNK >A09p012350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6309524:6311792:-1 gene:A09p012350.1_BraROA transcript:A09p012350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCHSLSSGEVPQQRAHQNHGEGDPPLTKFSFSDLNVATENFSLKNVVSESGGESSNIVYKGRLQNRGLIAVKKFNNMAWTDPKTFVEKAHRVGGIKHKRLVNLIGYCCEGNERLLVAEFMPNDTLAKHLFRRKNHTMEWEVRLKVAYSIAEALDCCSSAGFASYNNLSAYSIMFDENGDACLSCFGLMKETNNDRKATGSVNPESVMFRFGTILVDLLTGKPIPPSHAHETIHGKNVAELIDPNLKGKFSADEATIVFKLAFKCLKYEDRESRDTKHIVRTLETLQTKTDAPSYAMLEMAHHRELSGNKLSPLGKASLRMDLTAIHKILVIAEYEDDKEVVEFSFEEWMEEAKYIQEVRKHGDQAFLEQDFETAINCYSQFIDSRRTVYPSVYARRSLCYLFCNQPDRALHDGMIAQEVFPDWPTAFYLQSVALSKLNMITDSADTLKEATLLEAKRQRRKQDS >SC163g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:639:3413:-1 gene:SC163g500010.1_BraROA transcript:SC163g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLFPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKICSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKAMEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHRSFKGTNQEPALALTSLNQPITSQHSLLQSDQLTQIANKKTPS >A01p044330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24422623:24425629:-1 gene:A01p044330.1_BraROA transcript:A01p044330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLHSGDQGDDSVRGLDNVLHNSDIDIGKIEEDVLAVTTGELVDYAETVNLEPLNGMEFDSHGEAYAFYQEYSRAIGFNTAIQNSRRSKTTREFIDAKFACSRYGTKREYENKSCNRPRARQSKQDHPENHMAGGCRRTCAKTDCKASMHVKRRSNGKWVIHSFVREHNHELVPAQAVTEQARRIYAAMAKQFAEYKTVVGLKSDAKSLGRNFARRWWKILAKFGLKEDQWMQSLYEDRRKWAPTYMTDVLLAGMSSSQRGDSVNAFLDKYLHKKTSVQEFVKLYDTILQDRFEEEAKADSETLNKQPTMKSPSPFEKSVSEVYTPAVFKKFQVEVLGAIACSPREENRDGTCSTFRVQDFENSQEFVVTWNQAKAEVSCICRLFEYKGYLCRHTLNVLQCCHLSSVPSQYILKRWTKDARSRQFSGEAPPQLQTRMQRYNDLCQRALKLSEEASCSQESYNVAFLAIEEALRNCAGVNRSLPDTASSPTQGLVSVEEDNQSRSAGGKTSKKKNPTKKRKVSSEQEVMPQVATPESLQQMDKLSPRTVGIESYYGTQQSVQTMVQLDLMGGPNRDNFYGNQQTMQGLRQLNSIAPSYDSYYTAQQGIHGQGVDFFRPPNFSYDIRDDPNVRTTQLHEDAPRHS >A01p056080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31556352:31558421:1 gene:A01p056080.1_BraROA transcript:A01p056080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDEGPLNLDLEIGLKNGSFELPFEHQPETLAIQDAVKLLLQGLHEDVNREGIKKTPFRVAKALREGTRGYKQKVKEYVQSALFPEAGLDSGVGQAGGVGGLVVVRDLDHYSYCESCLLPFHVRCHIGYVPSEQRVLGLSKFSRVADVFAKRLQEPQRLADEICSALQHWVKPSGVAVVLQCSHIHFPSLDLDSSSDDGFVRLMVSSGSGVFEDERSSLWGEFMSFLKFKGVADGSVKEWCPSVKSKLFLEEDQEMVSAVVTILRSLGEDPSRKELIATPVRFLKWMMNFQNVNLEMKLLNGFNSVKSNGDIKEKRLHCEMKMPFWSMCEHHLLPFYGVVHVGYYCTEGSNAIASSLVKSIVHFYGFKLQVQERMTRQIAEKLSPLVGGDVIVVAEAGHTCMISRGIEKFGSSTATIAVLGQFCNDSSARAEFLDKIHTTTTAL >A03p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7890210:7890967:1 gene:A03p019350.1_BraROA transcript:A03p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQNRNRRRNLGQRRGFSLILGQPEAISQNYKIKANRKAPTQIGLNCQVARRAVCKPTRQNTTTAMCLRLDKTNVAPSPLYPPVMEAPPPPYPPTSTRFQDYYSGYGQPHPPPLRPYRDEYYGEGEYMGCFPFLRTW >A01p059070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33873627:33876111:1 gene:A01p059070.1_BraROA transcript:A01p059070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 20 [Source:Projected from Arabidopsis thaliana (AT3G02440) UniProtKB/TrEMBL;Acc:A0A1I9LLY1] MWRLLNIVLARTALANVCLPPPNFRFVGVDLAITLLMKLYCSLLVEVGGEVVSREDIMEAIERAKYGINDKEVRPETLGNELSKLFPCMDMPSLAGRKRTGPDQDGSQGPFAFGNNEMELPVTKLRIGLVIFPLILLTIAPILYLLFGYPLYYSSPSSIYKRLTTSSLEKPLPSLSSTYNHSSSPSPLIASSSSDHDETLSSQPLLDDNDYDDTYHGLKPPSSLRNNISISSSKVEHQEKEHRRKRRKANGCDLFSGEWVPNPEAPYYTNTTCWAIHEHQNCMKYGRPDLGFLKWRWKPKECDLPLFDPYEFLEIVRGKNMAFVGDSVNRNHVQSLICLLSRVEEPEDDSRQQDFDFNFQRWKYKTYNFTIATFWTTHLVRSEENGPAGPNSFYNLYLDEPDPSWASQVAEFDYIIISSGQWFFRPLFLYDKQKMIGCLYCYIPGVRNVGAHFAYRRALRTTFKTIIGLANFKGEVFLRTFAPSHFEKGEWDKGGNCLRTRPFRSNETELEGMNLETHTIQLDEFRIAKRDKNKSPIDSWNDFLLEMLKIEI >A05p038600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23649216:23661430:-1 gene:A05p038600.1_BraROA transcript:A05p038600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRIGAAAKDDHHLFSTNNYVGRQTWEFDAEAGSPAEFAEMEQARRNFSLNRSRFKTNGDLLWRIQFLSEKKFEQKIPRVRVEDAEKITYEDAKTAIRRGILYLAALQAKDGHWPAENSGIMFLNSPFFICLYITGHLEEIFTVEHRKEFLRYMYNHQNVDGGWGIDIESDSCMLSTVLNYICLRILGVEPEQGSTCAMARKWILDHGGATYTPLFGKVWLSVLGVYDWSGCKSIPPEFWMLPSFSPINGGTVWIYFRESFMALSYLYGKKFVATPTPLILQLREELYLHPYAQIVWSQAQNLCAKEDKYNQQSYLQDLFWKSVHMFSDNILNRWPFNKIIRQRALQTTMKLIHYHDESTRYLTTGCVPKVLCMLACWVEEPEGDYFKKHLARLHDFVWIGDDGLKFQICGSQIWDTSFSLQVLLAADDDDEIIRSTLIKGYDFLKKSQVTENPPGDHLKMFRHITKGGWNFPDKDQGLPVSDCTAESLECCLMFETMPLEFIGEKMDVKRIYDAVDLILHFQSKNGGVTAWEPAPGKTWLEWFSPVEFMKDAVVEHEFVECTGSALVAIARFVKQFPEYKKEQVKDFIKNGVKYLENLQMSDGSWYGSWGVCFIYGTFFAVRGLVAAGKTYNDCEAIRRAVRFLLETQNEEGGWGESYLSCSKRRYIPLSGRNKTNLVNTGQALISLILGGQMERDPRPVNRAAKVLINSQLDNGDYPQEEMSGVLSVNLKLHYPMYRNIFTLWALTYYTQALRALQ >A03p022080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9293863:9295796:-1 gene:A03p022080.1_BraROA transcript:A03p022080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNNNNSSYFSSPARAASPQIRSTPPEIDSSQYLTELLAEHQKLTPFTQVLPICSRLLNQEMFRVSGMMSSNQGFGDFDRLRHRSPSPMASSNLISNVSNSGFGGGWNALPQERLSGTPGMTMDWQGAPGSPSSYTVKRILRLEIPVDSYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPEKEDKLRGRPGYEHLNEQLHILIEADLPASIVEIRLRQAQEIIEELLKPVDESQDFIKRQQLRELALINSNNLREESPGPSGGGSVSPFNSSGKRPKTGC >A09p071090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54982414:54985060:-1 gene:A09p071090.1_BraROA transcript:A09p071090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSPPIITSTLSIPYSNSSVLVRSHGLSSLILRKPRSPAANPSLISSRSSFARASAVEHGKSSVSIDLSDPNWKRKYEREFEERFSIPHITDVFPDAEAIRSTFCLKMRSPTEEFVGGYPSDEEWHGYINNNDRVLLKVISYSSPTSAGAECIDSDCSWVEQWIHRAGPREKIYFRPEQVKAAIITCGGLCPGLNDVIRHIVITLEIYGVKNIVGIPFGYKGFSDKDLTEMPLSRKVVQNIHLSGGSLLGVSRGGPSVSEIVDSMEERGINMLFVLGGNGTHAGANAIHNECRKRKMKVAVVGVPKTIDNDILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRNSGFIAMQASLASGQVDICLIPEVPFNINGPNGVLKHLKYLIETKGSAVICVAEGAGQNLLEKTNAKDASGNTILGDFGVHIQQETKKYFKEVSMPVDVKYIDPTYMIRAVRANASDGILCTVLGQNAVHGAFAGYSGITVGIINTHYAYLPIPEVIAYPKSVDPNSRMWHRCLTSTGQPDFI >A06p007930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2753072:2753810:-1 gene:A06p007930.1_BraROA transcript:A06p007930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A10 [Source:Projected from Arabidopsis thaliana (AT1G10155) UniProtKB/TrEMBL;Acc:F4I2R3] MSAQKAFKSSHFEAESNMEQDIVRKAWVFKPSGLNFVWGGDSRYWVIPKEDRTPAELKMVSWLEVTGSFDKVEPGKTYRLGFKISFTGDATGWDKAPVFMSAKVGKKGKTIWKRIKSINTNFEKLKSGTTPVTIPDETDGRFEIFVSPTEPITQDTKLQFGLYEVWTGKWKSGLLIYDASVQEVNQKL >A08g508100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14502379:14509239:-1 gene:A08g508100.1_BraROA transcript:A08g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSNPARSLPKLGATLPERQGEVARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHIIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVAPRLLSARFLFYLRAFWLKVDILIDHLPSLVRYLITQGLIPMPMSSLFP >A04p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18605429:18607027:-1 gene:A04p031630.1_BraROA transcript:A04p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G33820) TAIR;Acc:AT2G33820] MGEGLGFYKEYVAGMNAGLATVAVGHPFDTVKVKLQKHNTDVEGLRYKNGLHCASRILQTEGVKGLYRGATSSFLGMAFESSLMFGIYSQAKLFLRGSLPDDGPRPEIIVPSAMFGGAIISFVLCPTELVKCRMQIQGTDSLVPNFRRYNSPLDCAVQTVKNEGVTGIFRGGSATLLRECAGNAVFFTVYEYLRYHIHSRLEGSKLKDGYLVDMGIGVLTGGLGGIACWSAVLPFDVAKTIIQTSPDKATETNPFKVLRSIHKRAGVKGCYAGLGPTIVRAFPANAAAIVAWELSMKLLGIKRD >A03p037020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15514327:15515377:-1 gene:A03p037020.1_BraROA transcript:A03p037020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFTARRKLATVLSKTLSSSSSSSSSSSFSTLSSRSRFAVPLIDKLSSTRTVLGPCYMPTRPKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFDDPKPTEDEMINAYVKTLTSVVGRQVPISCCLSLISFVHLSLFCVFCSEEEAKKKIYSVSTSTYTGFGALISEELSCKVKGLPGVLWVLPDSYLDVPNKDYGGDLYIEGKVIPRPQYRFTEQRQIRNRSRPRYDRRRETMQVERREPATQNWNQNQSPSSNGHHAPEN >A05p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1522956:1524957:-1 gene:A05p003910.1_BraROA transcript:A05p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCGGADEEPAGPPANQYSSAPPNKAGNNNFGGGGNRGEPRNTNAPRSGAPAKVLPIEIPSIPLDELNKISGNFGNKALIGEGSYGRVFQAKYNGGDVAIKKLDASSSEEPDSDFTSQLSVVSRLKNEHFVELVGYCLEANYRILVYEFATKGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAARGLEFLHEKVQPPIVHRDVRSSNVLLFDDFVAKMADFNLTNASSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCIDPKLNNDFPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGHESSS >A09p062160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50975357:50976158:-1 gene:A09p062160.1_BraROA transcript:A09p062160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRALPLQHSGGFVATTKVPISRTLPRISQKPRWVVVSAKQEKDEEKKKEDETSLFTRLTDALDFAQVRSEKDAELLYEAREATKSGGKMNKEQYGALRRKIGGTYKDFFKSYVEVDGEYVEEGWVDKTCKVCKKDTRGEARQVDKLGRYVHVSCLQNPSKPSGNFFTRLFIRDD >A02p056830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34261099:34263432:-1 gene:A02p056830.1_BraROA transcript:A02p056830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILERYDRYLYSDKQLVGRDISQSENWVLEHAKLKARVEVLEKNKRNFMGEDLDSLSLKELQSLEHQLDAAIKSIRSRKNQAMFESISALQKRDKALQDHNNTLLKKIKEREKKTGHQEGQLNHCSNNSSIVQPQYCLTSSRDGFVGRVGAENGGASSLTQPNSLLPAWMLPTTNE >A04g501140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3045705:3046946:1 gene:A04g501140.1_BraROA transcript:A04g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNELLDQHSNSWNVNLVRQLFSDEDIELILNTKILPSRTDFRVWGCSKSGSYDSKSGYKLIETLNTVRTGNQVVLPPIEKQLWSNLWKAKTSPKLRHFLWRVLSGALAVKSQLQSRGIQLDPICPVCHQEPETICHMLFQCPSSREVWETSQFPLPPAGWSPNSVFLNLHYLISCSQKQSIGTSVRLSFPWVLWQIWKARNKFCFEQIIPVAGDIVFKAREETSIWLNLHGYLQKCSNVLPIAPSSERKWSSPPLSILKCNIGVSWSSASMYCGAGWIIRNSVGKVLLHSRRSFSGVRSPIQAGLLAITWATTSVKEHKLRNVQFEFSSSEAADALNNPLDFPLVYAECYKALGSVYSLPKSSIALVHGTCNKAASAIADSVISGQRFHSYVSSGGPRWLAMMLDEEATSS >A09g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28211255:28212008:-1 gene:A09g509650.1_BraROA transcript:A09g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFWNHAFRNLRYTEASSDVRFLLRDRNQNWNLTESRFQNTSKILSLKTRWKLMILFWNHAFVL >A04g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7041631:7042405:-1 gene:A04g503190.1_BraROA transcript:A04g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYQVLLMSLKAGVELNLTASSHVFLTMGTIQQINKANRGHLTPHDQTRECKTGNPENSHRFCNETCRETNLASLDDYSFQKSMFGSDFLEHVDVDDVSPKSNTSL >A04p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2708231:2708477:-1 gene:A04p005180.1_BraROA transcript:A04p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAFPVPQIGVPGIRHSTFESLIRFWDSLNFKKDSEFMGITVLFLDEKIP >A02g510830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28645727:28646369:1 gene:A02g510830.1_BraROA transcript:A02g510830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVSNCQEKFETLNPKSQRWWTSQTNVTTGSFKRERDQMFKPVSDGYRCSVIAEFFADYNSKLLESSNYRTRQQAIKLMGEILLDGSNSVVMTRHVTSRDKATGVFEEIEHEEENNVEEREK >A07p052430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27667513:27668749:-1 gene:A07p052430.1_BraROA transcript:A07p052430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-B11 [Source:Projected from Arabidopsis thaliana (AT1G80110) UniProtKB/Swiss-Prot;Acc:Q949S5] MNNLPEDCIAKILSLTSPRDVCRSSAVSRCFRSAADSDHVWNHFLPSEFPEDFRAPEGLPTKKHLFFSLVHNPLLLHGSQLSFSLERSTGNKCYMMAARALNITWGHDQRYWQWISLPDARFKEVAALKMVWWLDITGKINVSLLSDNTLYAAYLVFRWNLDPYGFRQPVEASLVLAGTEHDDVQPSMVSLMQNPGSEQGQRAELRSDDWYEVQLGHFFKRRGDMGEIEMSLKETKRPFEKKGLIVHGIEIRPVLP >SC166g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:243216:245904:-1 gene:SC166g500120.1_BraROA transcript:SC166g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKMCSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHGSFNGTNQEPALALTSLNQPITCQHSLLQSDQFTQIANKKTPS >A08p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11351192:11352468:1 gene:A08p012110.1_BraROA transcript:A08p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEASPRFLTMFPELSREDRQAAMQYISHADETERRARILRVQQSIENATTEDNGLPIRISHDLHKDKGLVLGYEHHEDSSSESNVHCTKHVVSAPAASRRRDERAEQLEVQSVTSSFQIKGSTVFRLGNNTPFVFNDNPRSRRNDRKRPLAWVLRVRPNKSVPAIDAGEQVFSQHGDGSGKLVL >A09g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21567793:21572261:-1 gene:A09g507440.1_BraROA transcript:A09g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLGNGVTKDVLLESTQWVTRLIKGKETRSEGEDREASGSEAPSLVVRPRRRAHRRGRIDQSDRLPAPRSVPFTKWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKGSKKRSREETSVGAMETSTAAGNDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSGGGIRFPDRVEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGEAIQTDTGDVAAEDPVLVSSSEEREEDGVGVEENGSSPALVEEMVPNLPVPDPSAQVEGLGDQVVEEETIEALDPSRDDQDVVV >A05p018540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8567599:8568314:1 gene:A05p018540.1_BraROA transcript:A05p018540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEVVEEVVRRMRQRLMHLVCNQAGGEEHGDGRPDVDEDEDSATLLGENGISSVETCGVVGYHVDARYAEMQKDKLRRVNQEACRVVETMWTQDAELAWNKLDEKAYTMEKMQEINLRNKYISRK >A10p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2742116:2743035:1 gene:A10p016830.1_BraROA transcript:A10p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSPPSLYLLSLCLFLSDLNLRRDTCCSGKFHGVLGGAVSRRKAEVGEISDAPLTATARGSVGDLLHHGSTVWCDGVPNGGVMQRLVKMVLVLGSRGRRVAGFCFNGGVYRRTNSAVGTRWSFTSQRRRLWSRSASPHVRQARLSVRVLSRFMAKERILYLTAMGFFLDLRRQEVKRRFLSGRGRNSFHCGEKYTDELDWVIKDPFQFRLRVPRRY >A09p072640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55610599:55615110:1 gene:A09p072640.1_BraROA transcript:A09p072640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLEDIKETESALYKSFKEMLDLEEHAIDDDLTFDIEILKGKKLSLKELCEDSSSTNVTMENVCKYFLDAVEKKQYGWFWACPNGGKECHYRHALPPGYILKSQMKALLEEESQKMPVEEEIENEHAKLKTATQMTPALFMEWKKKKIAESRESMHAALSVTRLMDRDVSTTSNYSGEESSGTAKREEDFESFGKEIYVDFKRSGEGVLAVASTTYSLNELVEFLESPTVKIPVGALDLGTITPVEIWKAFELSDWKRHFSTVLAFDVDVTPEAIKLAEQLHVKVICGGMLESLHDQFKEHIEELGEDPKMEDEAIFPGILSILPNAVFSKEDPIVLGVLVVEGFIKVGVPISYVRTAGCLDLGHIAWIEKHRQPVDVASLGDTVIIKIVASNPEEKRQALSFGSHYDTSDDILVARISRGSIFALRDHHKDKLSFSDVKVLSDLKRVLNIK >A10p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11757155:11760396:1 gene:A10p011550.1_BraROA transcript:A10p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSEAPNPVGSGDNNVPPPHLPSQPPFPSPLTQFSNNMSMSMPNISSLLNNNHSFANGSAESDIGFSGLSSSGQHFSNVSANQQQRSSTKMEPQNFQQQRGGGLAGVKLEPGGGQVSNEQKMLRSLGSVKLEPQQLQAMRNLAQVKMEPQHSEQSLFLQQQQQQQQQQQRQFLQMPGQSPQTQMNNILHQQRLMQLQHQQHLLKSLPQQRPQLPQQQRPPMRPVYEPGMGAQRLTQYMYRQQHRPEDNNIEFWRKFVSEYFAPNAKKRWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPRESQNSSGQIVLEYAKATQESVFEQLRVVRDGQLRIVFSPDLKIFSWEFCARRHEELIPRRLLIPQVSQLGSAAQKYQQAAQNATTDSALPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPIESLAKFPRRTGPSSALPGPSAQQPNEQPRQQQQQSIAQIANNDQSCGQSSLNYAFNTASASTSTGSIAGLIHQNSMKQRNQNAAYKTPSSPYGGNSFQMQSPSNSGTMAPSSQQQQHNLPSFQSPTSSSNNNYPSQNGITSINNHMGSTNLPAIQQAAAAADEANESSSVQKILNEILMNNNQAHNTSGGGGHESFGNDGKAGSNVNSSGVLMMNNGQVNTSIGGFGGGMGQSMGMNNINGNNGLMNGRAGMMVRDPNGQQDVGNQRLGAVNAFNNFQCDWNV >A01p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11677284:11681285:-1 gene:A01p023570.1_BraROA transcript:A01p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRHPKAASDSSSEPSSSTQQTDNNNHVLLDKKVVDVRRSGKTWFSVLILIIYSSWAVYNHQHGNLPRPLTAKQAGKRGFSEIQAMKHVTALTQFGPHPVSSDALLHALEYVLGEVEKVKETAHFDVDVNVDFFESKSGVNRLVGGLFKGKSLVYSDISHIVVRIMPKYESEAGENAILVSSHIDTVFSTGGAGDCSSCVAVMLELARSVSQSAHGFKNSVIFLFNTGEEEGLNGAHSFITQHPWSSTVRLAVDLEAMGAGGKSAIFQAGPSPWAIENFALAAKYPSGQIMGQDLFTSGVIKSATDFQVYKEVAGLSGLDFAFADNTAVYHTKNDKIELIKPGSLQHLGENMLAFLIRVGSSSDLPKDKTLQTSSSDAAVYFDILGKYMVVYRQNFATMMYVSVIMQSMLIWVMSCLMGGYPALVSVMLSCLSFILAWIFSVAFSVAVAFILPWISSSPVPYASYPLMTIGLFVSPAVLGSISGQHLAFSFLRKKPSNRNSNNMELSPRLRDNLAKLEAERWLFKAGFIQWFVLLVFGTYYKLGSTYLALVWLVPPAFSYGLLEATLTPIRFPKPLKLATLAISLAVPILVSAGGFIRLAGTLIGMLIRFDRNPGGTPEWLGNVVVAVVIATFVSLTMVYLLAYIHLSGSKRSIVTALCIITALSLALVASGFLPAFTEDTARAVNVVHVVDTSGEEQASFISLFSNTPGNLNVEAEQIKEGFRCGRDNKVDFVSFEAKYSCVSKKDAEVGWDKSDVPVLRVVNEEKGRGDDERRIIAVSMETGGSSRWILGIDMEVVEDFTLQVGEEEGDEELMIGRGEKSSGQEGWHQIQFSGGKKAPTKFVLKLYQKKSDEKKMQRPVLKLRTDFDRITPQVKRVLERLPTYCSQFGKSTSPFTLAFLASLPYTK >A08p001340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:791058:805792:-1 gene:A08p001340.1_BraROA transcript:A08p001340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSLASKSDGELEEMLDRMLTRLALCDDSKLEALVSKLLPLTISSLSSQSPAVLEILSHVNKRVKHHHEIGLPLLELWKLYTDPAASPMVRNFAIVYVEMAFERAPAKEREDIAPKTLENVSKFPQQHQEIILRIAVKVIGECHASRISDDVSVKYSTLIASQDKEIFLDFCLHMLLYQPPPQGGGCPPGLSVFQVNRITGKQELKGDMLTKRKLGILNVIGTMDLPGESVYPLYIAASVDSQEPVAKRGEELLKKKASVTNLDDPKLIKRLFLLFNGTTATEHATPEHSVAPGNIALKMKLMSGFSRSIAAANSFPATLQCIFGCMYGSGTTLRLKQMGMEFTVWVFKHGKIDQLKLMGPVILNAILKMLDGTGSEADALSRETKTFSFQAIGLIAQRLPQLFREKTEMAVRLFNALKLETQSLRSTIQEAIISLAAAYKDSPEKILKDLEVLLLENSLAEQNEARFCALRWATSLYDSQHCPSLYICMLSAADMKLDISIVSNHDHKYPKFVEMLEYILKQQPKLLDSSEMRSQKLLFPSQVYVVMIKFLVKCFELQMEEINTQAVGTEFLSSAERMCLLLEHSLAFEGSAELHACASKALVSVGSYLPEMVEVYCSQKVVWLRRLLSHTDLSTRESASRLLGMASCALSDAESCSLLSELIASVSQPPQKLRFEAHHGGLCAVGYVSAHCLYRIPAVSEAVVQNAVKCLVDVVNSETAPLASVAMEALGHIGICGPLPLLVNDSSPGTQVLEVLQEKLSKLLSGDDIKSVQKIALSLGHICSNEMSSSHLKIALDLLFSLSRSKAEEILFAAGEALSFLWGGVPVTADMILKTNYTSLSTDSNFLMREVKSLSKKLSDAKTGDEDSRVTTRETISGKLFDTLLYSSRKDERCAGTVWMLSLIMDCGQHPSIQLMLPKIQEAFSHLLGDQNELTQELASQGMSIVYELGDSSMKQSLVDALVNTLTGTSKRKRAIKLDEETEVFQEGTIGESPSGGKISTYKELCNLANEMGQPDLIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALRPHLRLLIPRLIRYQYDPDKNVQDAMAHIWKALIQDPKKAVDEHLNHIFDDLLVQCGSRLWRSREASCLALADIIQGRKFDQVGEHLKRLWIAAFRAMDDIKETVRNAGDKLCRSVTSLTIRICDITLTEISDARQAMGIVLPFLLSEGIMSKVSSVRKASIAVVMKLAKGAGVALRPHLSDLVCCMLESLSSLEDQGLNYVELHAANIGIETEKLENLRISISKGSPMWETLDLCINIVDTESLEQLIPRLTQLVRSGVGLNTRVGVASFISLLVQKVGTEIKPFTGMLLRLLFPVAKEEKSSAAKRAFSSACGVVLKYSSPSQAQSLIEETAALHSGDRSSQIACASLFKSFSSTASDIMSGHQSAIVPVIFLSRFEDDKQISSLFEEVWEDITSGERVTLQLFLQEIAGKAISKLTEVLGESLSPQHNKLLQCLINEMPGRLWEGKDALLDALGALSVSCHEAITKEDPKTPTVILDLICSACSKKVKKYRESAFSCLEKVIIAFGDPEFFSAVFPMLYEMCNTASVKTNSQVQSASDAVKTESEKGEDGQVPLEKIMECVKSCIQVATVDDIISRKADLIHLFHSLVPKLLECINTVKIAQVHVATSQCMLELIEVYSKVSTLHPVEVDFKGEINSLIELEKSEEAKSCHIWFATLEPPPHFVSLPIFMFPPRQITRTTFLYTISTSTTPPTTTTTTTTTTPPPPPPPPPPPPPPSSAPPPLVNVTDIFPPPPVAETIAPLPSPTPQQQPRSESLPPPPEPRRFGSPDEPKRGGLNKGETVGLVFAGLAAMLQVLVVVFLVLKRRQLLQLKETQ >A09p022150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12212403:12214963:1 gene:A09p022150.1_BraROA transcript:A09p022150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNPNFISLILIGLVAIASASVIFEEKFEDGWEKRWVKSDWKKDDNTAGEWNHTAGNWSGDANDKGIQTSEDYRFYAISAEFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYDTKKVHAILTYNGTNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPAKKIKDPSAKKPEDWDDKEYIPDPEDTKPAGYDDIPKEIPDADAKKPEDWDDEEDGEWTAPTIPNPEYNGEWKPKKIKNPNYKGKWKAPMIDNPDFKDDPELYVFPKLMYVGVELWQVKSGSLFDNVLVCDDPEYAKQLAEETWGKLKDAEKAAFDEAEKKREEEESKDAPTADSDAEDEQEDDDHEGDESDTDSKTEETKEETSSDKDDAAHDEL >A01p012910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6324630:6326939:-1 gene:A01p012910.1_BraROA transcript:A01p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20770 [Source:Projected from Arabidopsis thaliana (AT4G20770) UniProtKB/Swiss-Prot;Acc:Q9SVH0] MHSGSNRYLANLLRLCKDQKCKLSGKVIHGFILRTGFSIDTYLCNRLLDFYSECGDKGYARKVFYGMPVRDVYSWNTFLTFCCKVGNLGEATKVFDAMPERDVVSWNNMISVLVRKGLEEEALVVYERMVSQGFSPSRFTLASVLSACSKLLDGVTGMRCHGVAVKVGLDGNIFVGNALLSMYAKCGLMVDHGVRLFECLSEPNEVSYTAVINGLVRENRVLEAVRMFRSMCEKGVKVDPVCLSNILRISAPREECESLNEINRNVLGKQIHSLMLRLGLQGDLHLSNSLLETYAKNGDMNGAELIFTEMPEVNIVSWNIMIAGFGQDYQSHKSIEYLKRMREAGFEPNEVTCTSVLGACFRSGDVETGRSIFSSMLNPSVTAWNALLTGYSKFEHYEEAINQFREMHLQHLNPDRTTLSVILSSCARLRFLEEGKQIHGVAIRNNISRNSHIVSGLIAVYSECEKIEISEHIFDDCTTKLDIACWNSMMAGLSRNSLDTKALMLFRRLLQTGVLFPNETSYATLLGSCSRLCSLLHGRQFHGHVVKSGYASDPFVETALTDMYCKCGEIDSARELFDTVLVKSIVLWNEMIHGYAHNGRGDEAVGLYREMISWGEKPDRITFVSVLTACSHSGLVDTGLEILSSMQRDHGIEPELDHYICIVDCLGRAGRLEDAEALAEATPYKKSSVLWEILLSSCRVHGDVSLARRIAGKLVALDPQNSATYVLLSNIYTSVRRWDEAAALQGLMNKNSVYKTPGHSWIPENNNSL >A02p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11765933:11767788:-1 gene:A02p023570.1_BraROA transcript:A02p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSESLDKEISSAVSSCEAYFEKVQSRKNLPKSLQETLNSAFAGIPVSSFPRVPGGRVIEIPAQTSVSEAVKILSDSKILSAPVINKDHESSLDWRERYLGIIDYSSIILWVLESAELAAIALSATSATAAGVGAGAVGALGVVALGATGPVAAAGLAAAAVGAAVAGGVAADRGIGKYAPTAVDRLGKDFYQVILREEPFKSTTVRTILKSFRYAPFVPVSTESSMLSVLLLLSKYRLRNVPVIKPGEPDIKNYITQSAVVYGLEDCKGRDWFDHISALPISDLGLPFMSPKEVISIDSDEHILEAFKRMRDNNIGGLPVVEGTNKKIVGNISMRDIRYLLLQPQVFSNFRHLTVRNFTTKIATAGEEYGLAIPAITCRPDSTLGSVINSLASRSVHRVYVGEGDENELYGVITLRDVISCFVSEPPNYFENYLGFSVKEMLNR >A06p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:532689:545139:1 gene:A06p000240.1_BraROA transcript:A06p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVQPPKIKSFINSVTAVPLDQIQEPLSCFHWDFDDKGDFHHWVDLFNHFDTYFEKHIKARKDLHVEQQDSEDESTPPLPKDALLQILRVIRVVLDNCTNIHFFTSYEQHLSLLLASTDADVVEACLQTLASFFKRQNEVYFIRDASLNSKLFSLAQGWGGKEEGLGLTSCATTENTCDLVSHLLGSTLHFEFYASGESSTELPGGLQVIHLPDVSLRAESDLELLNKLVTDHNVPPSLRFVLLTRLRFARAFSSLSTRLQYTRIRLYAFILLVQASGDTQKVVSFFNGEPEFVNELVTLLSYETTVPEKIRLLCLLSLVALSQDRTRQTTVLTAVTSRGLLSGLMQKAIDSVLCNTSKSSLAFAEALLSLVTVLVSSSSGCSAMQEAGLIPILVPLIKDTDPQHLHLVSTAVHILEAFMDYSNPAAALFRDLGGLDDTIFRLKLEVSRTEDNVNEKVCGSDSNGRASHVLGDSLNRPDTEQLPYSEALISYHRRLLLKALLSAISLGTYSPGNTNLYGSEESLLPECLCIIFRRAKYFGGGVFSLAATVMSDLIHKDPTCFNTLDSSGVTSAFLDAISDEVICSAQAITCIPQSLDALCLNNSGLQAVKDRNALRCFVNIFTSSSYLRALTGDTPSALSSGLDELLRHQSSLRTYGVDMFIEILNSMLIIGSGMEASTSVSADVPTDAATAPMEIDADEKSLAISDEAEPSSAASPANTELFLPDCVCNVARLFEIVLQNAEVCSLFVEKKGIDVVLQLLSLPVMPLSTSFGQNFSVAFKNFSPQHSASLSRTVCSYLRERLKGTNELLGAIKGTQLLKLESAVQMTILRSLFCLEGMLSLSNFLLKGTSSVIAELSAADADVLKELGLTYKQIIWQMALSSETKEDEKKSVDGGPDNSILASSSTVERESEEDSRNASAVRYTNHVSIRRSTSQSIWRGGRDLSVMRSIESMHGRTRQAISRTRGGRTRRHLEAFNFDSEIPPDLPGTSSSHELKKKSTEVLTVEILDKLNCTLRLFFTALVKGGFTSANRRRIDGAPLSSASKKTLGNAIAKVFLEALNFDGNGVTAEHDIFLSVKCRYLGKVVDDMASLTFDTRRRVCFTAMINSFYVHGTFKQLLTTFEATSQLLWTVPFSVTASDTENEKPGERNIWSRKTWLVDTLQIYCRALDYFVNSTFLLSPASTSQTQLLVQQEQASIGLSIELHPVPREPETFVRNLQSQVLDVILPIWNHPMFPDCNPNFVASVTSLVTHIYSGVVDATQNQARGTNQRALPLQPDETIVGMIVEMGFSRSRAEYALRRVGTNSVEIAIEWLFANPEHTVQEDDELAQALALSLGNASKTPKPVDVPLEEADPKEPSVDEVITASVKLFESDDSMAFPLMDLFVTLCSRNKGEDRPKIVSFLIQQLKLVQVDFSKDTGALTMLPHILALVLSEDDNTREIAAQDGIVTVAIDILTNFKLKSESESQILAPKCISALLLILSMMLQARTRISSEFLEGNHGGSLEPSDYPQDSAAALKKVLSSDVAKEESKPDLESVFGKSTGYLTMEEGQKALLIACGLIKQCVPEMIMQAVLQLCARLTKTHALAIQFLENGALSSLFNLPKKCFFPGYDTVASVIVRHLVEDPQTLQIAMESEIRQTLSGKRHVGRVLPQTFLTTMAPVISRDPVVFMKAVASTCQLESSGGRDFVIPSKEKEKPKVSSSEQGLPLNEPLRISENKLHDGSGKCSKSHRRVPANFIQVIDQLIDIVLSFPRVKRQEDDETNLIAMEVDVPATKVKGKSKVGDPEEAEFGSEELARVTFILKLLSDIVIMYLHGTSVILRRDTEISQLRGSNLPDNSPGNGGLIYHVIHRLLPISLKNSVGSEVWKEKLSEKASWFLVVFCSRSSEGRRRIISELSSVLSVLASLGKSSSSKSVLLPDKRVLAFAGLVYSILTKNSSSSNLPGCGCSPDVAKSMIDGGIIKCLTSILHVIDLDHPDAPKLVTLILKSLETLTSAANTAEQLKSAGSNETKGTDSNERHDSRGTSTEAEVDESNRNNSSLQQVTDAAENGQEHPQISSQSEGGRGSSQTQAMPQEMRIEGEETILPEPIQMDFMGEEDDQIEMNFHVENRAGDDGDDAMGDEEDDDEEGFDDIGPELEDDEDADLVADGARSVMSLSGTDAEDPEDTGLGDEYNDDMIDEDEDDIHENRVIEVQWREALDGLDHFQILGRSGGGNEFIDDFEGMNMGDLVTLQRPGFDRRRQADINSFHRSGSQVHGFQHPLFSRPLRTGNTASVSASAGRNDVSQFYMFDTPVIPFDQVPSNPFSDRLGGSGAPPPLTDYSVVDMDSSRRGVGNSRWTDIGHPQPSSQSASIAQLIEEHFISNLRASALADSVVERETNSTEVQEQQHPSVGSESVLGDGNDGGQQSEAHEMLNNNDNVDNPPDVTAGIFSQARANLASPVLLQPLPMNSTPNEIDRMEVGEGDGVPIEQADVVAVDLVSTAQGQPDTSSSQNVSGMGTPIPVDDPISNCQPSGDVHMSSDGAEGNQSVEPSLLSRDNNELSSREATQDASNDEQLAEGSLELDGTEANSIDPTFLEALPEELRAEVLASQQAQSVQPPTYEPPTYEPPSVEDIDPEFLAALPPDIQTEVLAQQRVQRMAHQSQGQPTDMDNASIIATLPADLREEVLLTSSEAVLAALPSPLLAEAQMLRDRAMSHYQARSHSNRRNGLGYNRLTGMNRNVGVTIGQRDVSSFADGLKVKEMEGDRLVDVEALKSLIRLLRLAQPLGKGLLHRLLFNLCAHRVTRANLVQLLLDLIRPEMETSPSELAISNQQRLYGCQSNVIYGRSQLLNGLPPLVFRRVLEVLTYLATNHSAVADMLFYFDSSLVSQLSKPKPSVCEGKGKETVTHVTDSRNLEIPLVVFLKLLNRPQLLQSTSHLALVIGLLQEVVYTAASRIEGWSPLSSLSEKSEEKPVGEEASSETRKDAKSEQVDEADKQSVARVKNCADIYNIFLQLPQSDLCNLCLLLGYEGLSDKIYLLAGKVIKKLAAVDVAHRRFFAKELSQLASGLSASTVRELATLSNTEKMSHSTGSMAGASLLRVLQVLSSLTSTIDDGNPGTEKETEQEEQNIMERLNMALEPLWQELSQCISMTEVQLDHTSATTTVSSVNPGDHALGVTAPSPISPGTQRFLPLIEAFFVLCEKIQTPSILHQDQANVTAGEVKESALSLSSKTSVDSQKKIDGSLTFAKFAEKHKRLLNSFVRKNPSLLEKSLSMMLKAPRLIDFDNKKAYFRSRIKHQHDQHISGPLRISVRRAYMLEDSYNQLRMRSLQDLRGRLNVQFQGEEGVDAGGLTREWYQLVSRVIFDKGALLFTTVGNDATFQPNPNSVYQNEHLSYFKFVGRMVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWLLENDVSDILDLTFSMDADEEKHILYEKTEVTDYELKPRGRNIRVTEETKHEYVDLVAGHILTNAIRPQINAFLEGFNELIPRELVSIFNDKELELLISGLPEIDCKLDPVVLRVPLEGFKALQGISGPQRLQIHKAYGGPERLPSAHTCFNQLDLPEYPSKEQLEERLLLAIHEASEGFGFA >A07g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6208569:6209387:-1 gene:A07g503070.1_BraROA transcript:A07g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVSSSGSRQRSTLWNSPKISKIKGRHFGYSKRKGTRLPTKVLWMRRTRVLRRLLKKYRESKKIDKHMYHDMYMKVKGNIFKNKRVLMQTKRDFNFLLQTLLQQQVMLDQCPNVLGLVSSAMLLSGYGRAWWWMEDAISLARALFVQPTLLLLDEPTNHLNLRAVLWLEEYM >A08p016590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10750397:10751806:-1 gene:A08p016590.1_BraROA transcript:A08p016590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVSAVILVVVFVAWPVSAGGYGHEEEEDDTKSWFPLDNLLSLNYYDKSCPNFEKIVDTKVRQWTKSDPSLGPALLRLLFHDCGVTGCDASVLLDHEGSERRSPASKTLRGFELIDDIKSEMEKSCPKLVSCADILAAATRSATYQLGGPYWPNAYGRRDSKSSYARDVEKVPSGRRDITGLLETFQSYGLNVLDLVVLSGAHTIGKAYCGTIQSRLYNFNATHGTDPSIDPKFADYLRRKCRWASETVYLDVETPVVFDNQYYINLQKNMGVLTTDQELVKDPRTAPLVKAFAEQPAQIFRHQFAVSMAKLVNVGVITAEDRIGEIRNVCSKSNSRPY >A02p005550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2410823:2414841:-1 gene:A02p005550.1_BraROA transcript:A02p005550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRTAVSKAVEVKNYADSVVQQAGQAVAEGAKLFQDRIGVGAYKSVNQTIQRLEEAAVSCRGHERALLITRWLTVLKEIDRASGTSVKDKDMSSEERLDSDEAKRREWVLYYDPDIGGEPLNFRDVFLQSQALEGIVLSMIIEPPHDEEVSLLREMFGICLNGGKEVHDAIVSSMQDLSTVFSSYKDEVLVKQDELLQFAQNAITGLKISAEILRIDAEASDLREKLEKTKVFETPQESEDKEVKEAPLTIEALKQTLAKIRLCSRIEGLLIRKRQLSNGDSPDIHAQKVDKLKVLLESLANSTTKAEKRISENRLQKEEALKARVVKANETGEKEKELAEEIAQLVKQRDALEAELKKVNISLAAAQARFRDATEERDQFGEANDQIIAHLKTKEDDLSKSVVSCKKESEVIKTWISFLEDTWLLQCSYTEKKDKQTLDELEKHEDYFSDVAFNILSTYKKEVAPLISRIENYVENLKNLGPGSEMPPNADEGENQVSSPRKSLEQEYLDYETKIITTFSIVDNMKEHFQVLQSKLEKKEDRRVKELFDDMEKMRQEFESIARPILEIETPSPKSSAAASPKSPMPSSSSMDAPVKSLTQKPEVRNPTEASPTTTATGSSQELNPESELAELESEFGKVAPGYSADEVDGWEFDELEKELQ >A07p032170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17705629:17707858:-1 gene:A07p032170.1_BraROA transcript:A07p032170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDNGILRCGEMMAYYDISGCYILRPNAMKIWNIMRTYFDAVLEKAEVDENYFPLFVSASVLEKEKDHVQGFAPEVAWVTKAGKSDLNVPIAIRPTSETVIYPYFSKWIKSHRDLPLKLNQWNNVVRWEFSKPTPFIRSREFLWQEGHTAHATEEDADNEVGLVLEVLDHYRHLYEEYLAVPVVKGMKSENEKFAGARYTTSVEAFIPETGRGIQGATSHCLGTKFAKMFDIKFTNKEGKNELVWQNSWAYSTRTIGVMIMTHGDDKGLVNPPKVAPIQVIVIPVPFKDADTKEISKACCAVKDALREAGIRAKEDLSDNYSPKWKYSHWEMKGVPLRIEIGPRDLAKNQVRTVRRDNGVKADVPRVGLVEHVKELLGKIQQNMFDVAKQKRDDACVVVKTWDEFVEALGQKKLILAPWCDEAEVEMDVKRRTKDEVGAAKTLCSPFDQPEIPEGTLCFASGKLAKKWTYWGKSY >A09p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39857542:39857953:-1 gene:A09p045360.1_BraROA transcript:A09p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSISLVSSAVPTFSHPSDHRFPLSEGCRVFIFLSSRPNFSSLGFLEASVAYLVVAFFLLAVDALGLSECQWVGPLVGGSWIFPVSPTWKWRWCAK >A02p047240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29519094:29521665:1 gene:A02p047240.1_BraROA transcript:A02p047240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPSTTSIITMMSNQVNNNNEKGIEEGAHRGGHESRLQNDDEADDHDQDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSETYRPIGLKKTLVFYSGKAPKGTRSSWIMNEYRLPHHETEKYQKAETSLCRVYKRPGVEDHPSLPRSTSTRLHNHNSSSSSRLAVRQQQQHLPSSSNLSDNNLNNNNLDKLSTEYSGDGSTITTTNSNSDVTIALANQNIYRPMPFDASNTPIISNQEDDETAVVDDLQRLVNYSQISGGGNINHQYYQIAQQFHNQQLLKANALQSVTAATTAALTPQTQATLAMNTIPAGTIPNNALWEMWNPLVPDGNKDHYTNIPYR >A06p049590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26175631:26177161:-1 gene:A06p049590.1_BraROA transcript:A06p049590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVVSLGVGSVAGAVVSELLKVVIEEAKMVVSFKSVYMELASTMEDVLPAVIEIEMLQGAGELKKLKDIIDEALVLVRKCSQVKRWNLPSKAKYTRKIEEINKKVLKFCQIQLQLIMLRNQRLIMHQSGIFSYMSMPLEGSTMMSDILPEDTREAIESHLKNINKKIDGLSVYPPVYRDLCSVPMLDKVLVGLDLPLLEIKTKLFKDDDPVVSLVVSAPPGCGKTTLVTQLCHDDEIKEKFEYIFFCVLSSAPTFRTIVQNLLQHNGYEAPTFENDSQAADGLRNLLEELQEEGPILLVLDDVCHGADSFLQKFQINILNFKILVTSRFEFPSFGPTCHMNPLGDEDAKSLLIEKASLRHLSFKNDHIFQEILKRCYGFPFLIEEIGVRLVKEAVREGVLLQVESESEEETTFNSPHM >A02g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20658766:20663731:1 gene:A02g507290.1_BraROA transcript:A02g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHQTRHFGFSRLKNQGQAKLPKCPDEAFSYSRIGLARTHRSMSSTDNRSMLANVYRSTCMPSGTRSNKEKDLLFSDDPAHLERIIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPSRPTTIDTTPRTSIDNVSSKMVNIIILTQDENGNLYDQAGHLRNATVVRNENLEKGDFEVESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSRHRSTSSADSTECNANSQLSILTHPPLSMKKIDRSINSTIDRQSKSDIDRHNTPPVDRQAPLTYRVRLASIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTRASRMVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTTTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTSRGHDDYSIGSWADSGFHESFTVDTVITSPHEEHTEEYDEDYWKERAIEISLQDERLETHNFTNTFPTSFNAVQSTSVDSHPRPAKQPLTSIDTSKGTSIDIRAAAKTQEQENIPSLTRDPDGNARAMDGKILQQHRTPDVILTDPNNHAGVTTTETNPDLSRQPKG >A09p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11802472:11804179:-1 gene:A09p021830.1_BraROA transcript:A09p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose transporter (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G13650) UniProtKB/TrEMBL;Acc:A0A1B0VP09] MKSYEHDGVDLEDGKTRDKPTLRKIPNQALLSGLAYCVSSCSMILVNKFVLSSYNFNAGIFLMLYQNFVSVIIVMALSLMGLITTEPLTLRLIKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFNKHHDNKVWLALFLMIISAVSGGITDLSFNAVGYAWQIANCFLTASYSLTLRKTMDTAKQVTQSGNLNEFSMVLLNNTLSLPLGLILSFAFNEMDYLFNTPLLRLPSFWMVMTLSGLLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSIAGIVLFHVPTSLQNSASILFGLVAGVVFARAKMREKS >A08p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:688505:691565:-1 gene:A08p001160.1_BraROA transcript:A08p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRTGDSTSYRDRRSDTGFGGASGYGSSASKRESSSVGDESPKKPDLDGLTPFEKNFYVESPSVAAMTEAEVEEYRKKREITVEGRDIPKPVKSFRDVGFPDYVLEEVKKAGFTEPTPIQSQGWPMALKGRDLIGIAETGSGKTLSYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEASKFGSSSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMMESNNTNLRRVTYLVLDEADRMLDMGFDPQIRKIVSHIRPDRQTLYWSATWPKEVEQLSKKFLYNPYKVIIGSSDLKANRAIRQIVDVISESQKYNKLVKLLEDIMDGSRILVFLDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFRSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYSFFTAANARFAKELVSILEEAGQKVSHELASMGRSTAPPPPGLGGFRDRGSRRAWS >A01p012670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6211935:6214659:-1 gene:A01p012670.1_BraROA transcript:A01p012670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTDLDHESGRKFKMLQPSQWTDYFLYFPIDEFIDDMVESEHDLYAISIFFWVFRTYGYNMSADAFKRFKGDDGKFMDSLTKDAKGMLSLYEAAHLRTTRDYIMDEALRFATKHMESLAGRSSPHLSRLIQNALGLSQHWNMEILVAMEYISFYEQEEDHDETVLKFSKLNFKLLQLIYLKELKMVTKWYKELDFASKLPPYFRDRVVELHFFVISMYFEPHFSSARIMLTKFVTAETIIDDTFDRYASMSEAESLAKSLERWAPDKDMDKQPNYLKFVFKFILDVFKDFEREVGSEGRSYIVKSTVQEFKILVKANLDLAKWAQIAHVPSFEDYMVVGELEVSWYASMAGTFMGMGHIAMKGAYEWLKSRPKLIQAISINGRLMNDMTGFEDDMSRGYVTNGINCYMKQYGVTKAEAYKELHKMRVHNDKIVNGELLTTKDVPRRILKQAINCARMANVAYGYGEGLTHPEGKIKDYIVSLYLDLIRL >A08g502050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4642124:4642321:1 gene:A08g502050.1_BraROA transcript:A08g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDSGQVLGGADWYLLTPTLVWKVSKPNLVRQIHLSVWFLSAEASKNNRSRKIKELDKKVGHKL >A01p030880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13644825:13646034:-1 gene:A01p030880.1_BraROA transcript:A01p030880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVFQGDPPPLVTSTRSWVAVAQKKQEKEVIGEQESVVENGKEKEEGGIHEWQRVSQEKASRTPKSHDLNYGQVMIATPSRYAALSNSGENGEDIEHEEIEGSEEEDREVVEEGTINKMIEDAIKKVEESKGSNTRQMLPR >A07p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21060983:21064800:-1 gene:A07p039640.1_BraROA transcript:A07p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPCDNDGACLRCKAKPPQEETLTCGTCGTPWHAACLSSPPETLASTLQWHCPDCSGEIDPLLPVSGGGSDLVAAIRAIEADESLTDADKAKKRQELLSGKSATDEDDDEEEGKKSAGGLDVDLLKALGENLNCSFCIQLPERPVTTPCGHNFCLKCFEKWIGQGKRTCAKCRSSIPPKMASNPRINSSLVSAIRLARVSKSAGAGTGKVFHFISNQDRPDKAFTTERAKKTGKANAASGRIYVTIPPDHFGPIPAENDPVRNQGVLVGESWEDRLECRQWGAHFPHVAGIAGQSNYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQKFEKSNEALRVSCKMGYPVRVVRSHKEKRSAYAPEAGVRYDGVYRIEKCWRKVGIQGSFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPNIPELKMATELFERKESPSWNFDEGEGCWKWMKPPPASLKAVNVLDPEERKTMKRAIKAAHSNSVNREVMEVIEKLKNKEEDKAESEEVEDASMEEKAESESEENCETTEPEEETPVVTEEAEQPRKRAKLDIDTVVPATMLESGMK >A09p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17400180:17401553:1 gene:A09p029100.1_BraROA transcript:A09p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADKDHVVGLLVESFKDESKPHKCPEEIREDAELMIPKNKNNVCELFYDTRSGDALKHHYCGDSDEAGKMIRGKSQDTAAKGGLFYMDKNVTACDSPEIVVCYKKSTYHVVKDICVDEGVPVQEKFLFDEKDSVRCNSEDLVGTKSPEDRNGKLDGSELCNDPKTNQDVEESSREGLADARSCNQEDLIVTKEAKNEVAITSENENEQRSLETEEKLSCVSIATSHEPERPGTDQQQQPDSFEDGKLFSSGFGETSFSAAEAVSISGHISYSGPVVVSGRLSVRSDASTTSGRSFAFPILQSEWNSSPERMAKAEKRRLKGWREILLCCRFS >A05p042820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25860492:25867382:-1 gene:A05p042820.1_BraROA transcript:A05p042820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mitochondrial-processing peptidase subunit alpha-2, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G16480) UniProtKB/Swiss-Prot;Acc:O04308] MQKLIIFSVSAKVNSASKSKLSIDSATVAHQPKVQFIHISLLVIVCLGKSMLAITTNEPITVTMSLFFSVMASFALPDEVSRTGVYGSTLSDQDTLMKSLTLAAQAPTIVTMLFVSLLNSPMESEKKRISTASLSRLSIFLLLGYIEIHIVSRGNIDGCRAVFFRLTGALASVGFPLLFKPLSLGYFNVFSDYLKLFRAVVSRIQVKIICGSLYFELVSPCNTSILGFIVSVLLLFILPSSIPPLRCHPAQLSLLPGSSSRFFCDAGGSLIRRLLLISVCVETPCGDWHFPVSTVVPKTVSAVFGHTLLVGLGVWSGYCNKQFIEPGCLSRSFRASRYASTTAAAAAAAAATASPVFSGSSLPSLSIPLDGVSLPPSLPDNVGPSKLQTTTLPNGLKIASEMSPNPAASVGLYVDCGSIYETPYSRGATHLLERMAFMSTLNRSHVRLVREIESMGASTSASASREQMGYTIDALKTYVPQMVEVLVDSVRNPAFVDWEVNEELQKMKVEIGELAKNPMGYLLEAVHSAGYSGAMANPLYAPESAISGLTGDVLEKFVSENYTAPRMVLAASGVEHEELLKVVEPLLSDLPNVARPVEPKSEYVGGDFRQHTGDEATHFALSFGVPGWDKEKEAVMATVLQMLMGGGGSFSAGGPGKGMHSWLYLRVLNQYQEFQSCTAFTSIFNNSGLFGIYGCTSPEYAAKGIELVADEMKAVAEGKVNQKHLDRAKAATKSAILMNLESRMIVAEDIGRQILTYGERKPVDAFLKTVDQLTLKDIADFTSKIIAKPLTMGSLGAVLNVPSYDSVSSRFS >A01p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18497133:18499866:-1 gene:A01p028190.1_BraROA transcript:A01p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDTHNTWAFVFGLMGNVISFAVFLSPVPTFYRVWKKKTTEGFQSLPYVVALFSATLWLYYATQKKDVFLLVTINSFGCFIETIYISIFLAFAPKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTRSVEYMPFSLSLTLTISAVVWLLYGLALKDIYVAFPNVLGFALGALQMILYVVYRYCKTPPQLGEKEVEAAKLPEVSLDMLKLGTVSSPEPIAVVRQTNKCTCGNDQRSEAELMDKTASSPLPQHLHEHE >A08g504660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7651760:7652128:-1 gene:A08g504660.1_BraROA transcript:A08g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILESFGAFGSAELHRRVRCLAMDGDFLTVRLSLYFDTRYRFELAFQCHRYQVNQHPLAEVMPVLLKRSQSASREEAAEKRKPRRSMQHSARQSMEIPDCGPCIFYDCVKLRSNHKLPECP >A06g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23225432:23230092:-1 gene:A06g508200.1_BraROA transcript:A06g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKISSVLVVYFVSSLSEREIPEVPFAFSDHIQHSAKVILPILGFFSWYQSHFGWFVFILLIIFSYLHHFIFLFLFGSGLFLYSLFWLESLLKRNPGGVVEEKPCWLKRNSALGQLRRIHAKISSLFFLFSFPTKMFGLLKNSKPQQEVYFPFKTIFEKEQLIFDKKQFASNGFNFVQKQRKIQNRCDDEKWFEMNSKMVLNKNEFSGPLNAFDIGAYDLGLGSFVSIQEGSDEEQYRATMVEPEANFGRAGRSDTYLGELVELNRSDTYISEVDELSELSDTSLELNELSDTEEGACLVFGRNEPFSAQGKIHNKFNLGRFYTKFDQAFADGLMPIFIKKYQQKESKSWSYQGAFNNTLISTLDRGYIKSHSASLDDPFNPFQFQKCQLPSRFISNTQLKMFGLLKKSKLQQDVYFPFKTVLEKEQMIFGNKKQFASNRFDFVQKQRNQRKRQNRFDDDEKWIRSDMDSHPADHPDIPVGVLIVTAVHPMGSDEPGHQLKILDRGYIKSHSASLDDHFNPSQFQKCRLPFRIISNTQLK >A09p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10448455:10452243:1 gene:A09p019680.1_BraROA transcript:A09p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRLEEREFSNGDVYSGQLRGTLPHGKGKYAWSDGIIYEGDWEEGKISGKGKITWLSGAKYEGDFSGGYLHGFGTMTSPDGSVYSGSWRMNVRHGLGRKEYCNSDSYDGSWREGLQDGSGSYTWTNGNRFIGNWKKGKMSGRGVMSWGNGDLFNGFWLNGLRHGSGVYKYADGGFYFGTWSRGLKDGTGVYYPAGSKHPSLKKWHRHFGYNDTGNFILSHDSSINLEELRNSKAVSRTLSEITTTSGLARNSGRMSERFLDENWGTTDPPRDYMGHGPLSKSGRSAGSGENEGRGKNPIVFEREYMQGVLIKERIMSSIDMSRKARPLDGPKEVSISACVSFLGGKWNYYLMLNLQLGIRYTVGKITPVPRREVRASDFGERARITMFFPRNGSQYTPSHKSIDFDWKDYCPMVFRNLREMFKLDAADYMMSICGDDGLREISSPGKSGSIFYLSHDDRFVIKTLKRSELKVLLRMLPRYYEHVGEHENTLITKFFGVHRLKLKWGKKVRFVVMGNMFCTELKIHRRYDLKGSTQGRFTEKNKIREKTTMKDLDLAYEFHMDKLLREAFFKQILLDCSFLESLQIIDYSLLLGLHFRAPDQLNDILEPPNEISDQENDSVASVEVGLPREPSIPPKGLLLVTHEPNSVNTAPGPHIRGSTLRAFAAGEKEVDLILPGTARLRVQLGVNMPAQAHHKLRQDEEESGTVELFEVYDVVVYMGMIDILQEYNMKKRMEHTCKSIQYDPMSISAIEPTLYAKRFTDFLLKVFPETA >A01p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4292827:4296457:1 gene:A01p008920.1_BraROA transcript:A01p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANRSLFLVLLCALATAIFVHGQSQTASNHAMFLKMFESYDINNFSCAESNLSFLSSDPGYISIDCGAPLKGIERDIVTGISYTSDSDFITAGIRFNVSKEYNYPANPNLPYVLADVRAFPKGDRNCYSLEPSDAKDNLYLIRASFMYGNYDGGVNEKALPEFDLYLNVNFWSTVKFKNASEQVFKEILTYSESEMIHVCLVNKGKGTPFISGLELRPVNSSIYNTEYGRNVSLVLYQRWDTGYLNGTGRYQNDVYDRIWSPYTPLSWSSIKTTGYIDVFQSGYSPPDEVIKTAASPKSEEEPMELSWTSDDTNATFYAYLYFAEFETLETNESRKMKILWNGSPFSEDSFISPFEYSTTWSASRSFTGDHLISLQKTEDSTLPPMLNAIEIFTAQSLNEFSTAVEEVHAIENIKSLYEVKKVWSGDPCAPRLYPWEGIGCSYNNNNHHIKSLNLSSSGLQGPIVMAFRNLPLLESLDLSNNDLQHSVPEFLANLKHLKSLNLKGNNFTGVIPKSLIKKFQEGLLALSVDDKNLCNSSSCQEKKKNNMVVPIAVATSVIVLLVVLVIIWIILRQRKRGEEFWNFYFTMIKDSLSTIPNVTSSSSSSTRASKEFQTEAELLLTVHHRNLASFVGYCDDERSTALIYEYMANGNLQEYLSSEKAEDLSWEKRLHIAIDAAQGLEYLHQGCRPPIIHRDVKTANILLNDNLEAKIADFGVSKVFPDDDLTHVETAVMGTPGYIDPEYYRTFMLNEKSDVYSFGIVLLELITGQRAIVRTKEGEKISVIHFVEPFLETGELDGVVDPLLHGDYSSDSAWKFVEVAMSCVRERGFNRPAMNQVVSELQQCLAAELAREPERSSEGNGDREVD >A02g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4236237:4240980:1 gene:A02g501350.1_BraROA transcript:A02g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWCFDCFTDEEEEGRLKQSAMDNNNYDDVDLDLNERELGVCEDERWPLCEEMMLATTEEDGAELEQLWSSEIRSHPHLLLQGESSNAAAAVGEDCTMEEADHDSYHKRPKVYSGLPEARSASGVSSDAGSSVERTVSFGVASSSQTDADMFCQNFILNYSRKDGKKDEGDDNGSSESEDFELHIDLTDDLLHMVFSFLNHIDLCRSAMVCRQWRVASSHEDFWKALNFENIRISIEQFEDMCLRYPNATEVNVYGTPSVNALAMKAATTLRNLEVLTVGKGHISENFFQALGECNMLRSVTVKEAILGNGAQEIHLSHDRLRELKITKCRVMRLSIRCPQLRSLSLKRSNMSQAMLNCPLLQLLDIASCHKLLDAAIRSAAISCPQLESLDVSNCSCVSDETLREIAQACAGLHILNASYCPNISLESVHLPMLTVLKLHSCEGITSASMTWIANSPALEVLELDNCNLLTSVVLHLSHLQSISLVHCRKFTELNLQSVMLSSITVSNCPALRRITITSNSLRRLALQKQENLTTLVLQCQSLQEVDLSDCESLSNAVCEIFSDDGGCPMLKSLILDNCESLTEVRFRNSSLGSLSLVGCRAVTSLELKCPRIEQICLDGCDHLETAFFQPVALRSLNLGICPKLSVLNIEAPYMVSLELKGCGVLSEASIICPLLTSLDASFCGQLRDDCLSATTASCPLIESLVLMSCPSIGSDGLSSLNGLPNLTVLDLSYTFLMNLEPVFKSCIRLKVLKLQACKYLTDTSLEPLYKEGALPALEELDLSYGTLCQTAIDDLLACCTHLTHLSLNGCVNMHDLDWGSTNVQPFDYFGVYSSGEITQEPAEAGNRLLQNLNCVGCPNIRKVFIPPAARFYHLSTLNLSLSVNLKEVDLACSNLVLLNLSNCCSLEVLKLGCPRLASLFLQSCNMDEAGVEAAISRCCSLETLDLRFCSKISSVSMARFRAVCPSLKRVFSSPNLAD >A08g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18258415:18258932:-1 gene:A08g509310.1_BraROA transcript:A08g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSWGSLTDGCISGKQGSASHNKAAHSSDYDVDSAVRVRVLPTISKLFHSLRPPEQQFKE >A07g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4301455:4308291:-1 gene:A07g502060.1_BraROA transcript:A07g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMETRVKELRAGGLAHSAGNSWRSAQSGERCVLVRISVDESWTVTGRVDGPGAGRFDQIGLRLRTGSGQASRRMDLRQKYKEKAKEKEKEVAPGDRTPKDQKWTVVREKHHEDRGHGKMCGDWVDSENCEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQLRLNQDTMETRVKELSECLGSWTSVGLSSISSLGTAGGQLNPVNGAFWFGSVWTSPGRLLGEPMVRVQDGSTKLVLGLGQGVGKLPECELRLSDRFVKGRKGEKPPMGGYGTVMGRFWEEGIENTEKIQERKRDTNLGSADLIQEIILECSWCVWACDQEDDLRQKDKEKAKEKEKEVAPGDRTPKDQKLTVVREKHHEDRGHGKMPRSGWIGESRIGSYRSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSSTQSPDDRYAEWREESTGLSQPRNGPTLGRGFRGLGTISDNVLRMPRSNTLFGIGNPELHSIRDMVERSHDRKKLSRRNYHPKILGDRISERDSKNKDIIFLGRCVTAAHTRCPVATLQPSLSRCRSLRSDRTSDPIGRYIATKLEPKLGRYVATEQSFLSVATNRARPSSRSDRVLIPLGRYIATVLEPKLGRYVATERSSRIATELEPKLSRYVATEFEPKLGRYIATGLEPKFGRCIAIELFRTSIQHQSMHSRQTFKCNLPKTVASSVHAFRYSKSSIRLCELKTAESLFFIERTRSKCVKSEDGPKGPKTRLEAHPTIS >A08p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10108918:10111602:1 gene:A08p015330.1_BraROA transcript:A08p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional TH2 protein, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G32470) UniProtKB/Swiss-Prot;Acc:F4KFT7] MRILLNNSLALLRSPRAAPPIRSLLFGSKKWSSAMSIPPPSISASEEALAGRLWIKFNRECLFSMYSPFAVSLAAGNLKIETFRQYIAQDVHFLKAFAHAYELAAECADDDDDKLAISDLRKSVMDELKMHDSFVQDWDLDISKEVSVNSATLRYTEFLLATASGKVEGLKAPGMLDTPFEKTKVAAYTLGAVTPCMKLYAFLGKEFGALLDSSEVNHPYKKWIENYSSDAFQASAKQTEDLLEKLSVCMTGEELDIIEKLYQQAMKLEVEFFHAQPFAQPTIVPLLKNHSKDELMIFSDFDLTCTVVDSSAILAEIAIVTAPKDDQGQQINRMLSADLKNTWSLLSKQYTEHYEECIESILNKEKADKFDYEGLCEALEQLSEFEKKANDRVIESGVLKGLNLDDIKRAGERLILQDGCINVFQKILKTQDVNAKLHVLSYCWCGDLIRAAFSARGVDAVEVHANEFTFEESISTGEIERKVESPIDKAQQFKSILQNRKKDEEKSILTVYIGDSVGDLLCLLEADIGIVVASSSSLRRVGSHFGVSFVPLFSGIVQKQKQEETWKGLSGTLYTVSSWAEIHSFALGWE >A01p040220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15943753:15945179:1 gene:A01p040220.1_BraROA transcript:A01p040220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSLMQGGVSTGIKRYASLISKPSFQQDSGRKRTGKNSNSSASAVSSGVKLAQDHHGAKRTLFREEKIAIISSNGCRKSILLKLIISLEKAMKGEVVLGEHNVVPNYFEQFQAKFLCSRKVEAIETCNGCCYVSCSQMFKKKTAVWTIILQPHDMYCHSCNRCRHVILRLFERLLMELGFRIAVFVVFDSASNLRWLSQIRQKQLDKVDEGGSSVAEPIRALPDPTPMKPKSIYDFE >A09p074670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56565776:56566807:1 gene:A09p074670.1_BraROA transcript:A09p074670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DREREKMGKGRAPCCDKTKVKRGPWSQDEDLKLISFIHKYGHENWRSLPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTLEEEETIIKLHQSFGNKWSKIASKLPGRTDNEIKNVWHTHLKKRLSSYINHNANDEAASKGSLNKEETSQESSPNASRSFGGSNTVSKEEDDVQIGETFEYFQDYSELAGLLQEVDKPELLEIPFDMDPDVWNFLEGFQQPENSLTPKDHQESEEDEVDKWFKNLESELGLEEDDSQQQQEQHNEAKEDSPSPSLLESYEVLINH >A04g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2311353:2316731:1 gene:A04g500800.1_BraROA transcript:A04g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLYGRAGQLDKALEIIHVSSCHEDPVLWGTLLGSCKIIRRNLELEQRSKRSETPQPSPQHEPNMQSSSSSTASSSLAYPPGYRFVPTDAEIIYYYLKPFSPDNKKSWPNLPIHHANIYESNPQQLTAEYKKGNLTEWFFISERTKIKTNGQKQKRVDHNGGYWHSKAVTKKIKVKKDVVGYKTTLNYFVGKQPNGERTNWLMQEYWLESSGHNNTVDYALCKIYLSPTAQKNMKEEDVEEVEEEAVQPRTVEIQQPQPPQFYPTPLVSHQPQPLPQFWPTELDSYQPQSQDNEYQEPLQAQPLNTIYQHQSQCPNNESEYQEPHQPQPLNTIYQHQSQRHNTESQYQEPHQPQPLDTIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIDYQEPHQPQPLDSIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQPQSLDSIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQPQSLDSIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQSQPFDNIIHHQSHQVQFWQATSGSHQRQLQDQLPQSWAAPLDSYPPRCHDIQYPQPQPLDAIEYQYLYQSGPLTTYKNVIESCTQDKSNGDIKKVDHALKIHLTPRGIKREVEEGEDEKRKRKKKEGGAEAPKEELEQLINSHQNSDDNDSFFTGFVDTHLLHIDIESSISNCLKKSPDEN >A01p037930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:15050611:15051921:1 gene:A01p037930.1_BraROA transcript:A01p037930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLKGVYKSKRNGDAEVLMAQRKQSNWSMISADNLSGSNKRSSISGQETRYSVSIPVDVIINILSRLPLKSIAQSRCVCKLWSSIARRPNYNLLFPAKSPDDPPRILFAFKVGSSLFFYSSPQHHQNNPDNNNNSAIVATRHDINLGSDLQQLCRPVRGLVCSQHIGKNCSWAVVSNPITGEIVTTPKLAIEGIHLKERVRGKADYCFGYDPIDKQFKVLRITRLCGSPEHKISFYAKYHVLTLGTGAWRKLQCSTLHYPLDENGICENGICINGVLFYPALVKHRKHMIVCFDVRSEKFGFVSVDDVLGLPIHRYSVLINYNGKLGVNFCDAACKHFELWVLEDATKHTWSKRVYVSPHASLNWDNYVRPAGMIGSGEIVLYRMYTHNPFNIFYYNLDKKIIRRVTFEVPVLEKFDHFGAFTFANYVEDVKLM >A05p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13697402:13698897:1 gene:A05p026420.1_BraROA transcript:A05p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGSGQALDRVGSLLQGSHRFEEHLSRHRTLMNVFDKSPLVDKDVFVAPSASVLGDVQIGKGSSIWYGCVLRGDVNNISVGSGTNIQDNSLVHVAKTNLGGKVSPTTIGDNVTVGHSAVIHGCTVEDDAFVGMGATLLDGVVVETHAMVAAGSIVKENTRIPSREVWGGNPAKFMRKLTDEEIAYISKSAENYINLAHIHAAENSKSFDEIEVERALRKKYARKDEDYDSMLGIVRETPAELILPDNVLPEKTTTRVPTTHY >A02p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1005650:1008228:1 gene:A02p002400.1_BraROA transcript:A02p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEEEERVVMEEDDHQKASDLVHELVLRLLSQNPQTPNPDPTSPTFLKTLRFAHRILRSRLTPSVSPDASAIAESLKRRLATQGKSSGALAFADLYAKFASKTGPGSVNNKWSLLYLLRIVSEDNKLDSSLLLPNLNAAVSSSLGNKKTNGVLLVTRDPENLRDVAFREYANLIKEENEVTEEVLVRDVLYACQGIDGKYVKFNSEIDGYAVVDYINTPRATRTMVRTLSELGWLFKKVKSFISESMDEDVGTVGQAFCAALQDELSDYYKLLAVLEAQGMNPIPLVSESAGGSSSYLSLRRLSVWFAEPKVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHDFMMSLLRCVCSPLFEMVRSWVLEGELEDSFGEFFVVSHPVKVDLLWREGYNLHHGMLPSFISPSLAQRILRTGKSINFLRVCCDDHGWADAASEAAAASGTTTRRGGIGYGETDALEHLVAEAAKRIDKRLLDVLYERYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPKLSEPANNISSFELAGFLEAAVRASNAQYDDRDVLDRLKVKMMPHGSGDRGWDVFSLEYEARAPLDTVFTESVLSKYLRVFNFLWRLKRVEHALIGIWKTMKPNCITSNSFAKLQSSVKLQLLSALRRCQVLWNEMNHFVTNFQYYIMFEVLEVSWCNFAKEMEAAKDLDDLLAAHEKYLNSIVGKSLLGEESQTIRKSLFVLFELILRFRSHADRLYEGIYELQIRTKEPGRERNKTQDSSSSWISEGRKAITQRAGEFLQSMSQDMDSIAKEYTTSLDAFLSLLPLQQSVDLKFLFFRLDFTEFYSRLHSKGRES >A09p063170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51382854:51384549:1 gene:A09p063170.1_BraROA transcript:A09p063170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PrfB3 [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/TrEMBL;Acc:A0A178VAE2] MAAKFIGGGCSWRRFSRNLDKRAAASSRVLLFSVRSSHSMDDMDTVYKQLGLFSLKKKIKDVVLKAEMLAPDALELEEEQWIKQEETLRYCDLWDDPTKSDEIFLKLAARAKAVDTLKDLKYKAEEAKLIIQLDEMDAIDYSLFEQAYDSSLDVSRSLHHYEMSKLLSDQYDSEGACMVIKSGAHDTKSQMWTEQVVSMYIKWAEKLGQNARVAETLRNRNGVSSATIEFEFEFAYGYLLGERGVHRLITSSTTSEVSSASVEIIPLFLRASPDFEVKDEDLVVTYPAKENNKLAEQMVRIQHLPSGITVESSGERNRFANRIKALNRLKAKLLVIAKEQKVSDVYKINGKNIVEPWRVEETRRYVSKGQKMVVDLKTGLEILDLKSVLDGNIGPLLGAHIGMRRSIDAI >A07p051080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27144254:27151995:1 gene:A07p051080.1_BraROA transcript:A07p051080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTKTIASFISFRQAYLASPTRRYSRVAPPQPSVIRVTNNIAHLGPPKTGPLPRQLMSLPPFPGHPLPGKNAVNSVDVDVGVGHVTAISWVKYYFEEVNDKTIQSHFTKGLVVMEKEDGVRAMRKVKHNEVMQVGDKLWLPVSIAETRISKRYDTIPSGTLYPNADEIAYLQRLVRFKDSAIIVLNKPPKLPVKGNVPIHNSMDALAAAALSYGNDEGPRLVHRLDRETSGLLVMGRTKESIDHLHSVFSDYKGRNSRCKAWNKACEAMYQRYWALVIGSPKDKEGIVSAPLSKVLLDDGKTDRVVLAQGSGFEASQEAITEYRVLEPMINGCSWLELRPITSRKHQLRVHCAEALGTPIVGDYKYGWFVHKRWKQMPQVDVEPTTGKQYKLRRPEGLDIQKGSVLSKVPLLHLHCREMVLPNIAKFLHVLSQREADPLRPGISSKPDLLRFVASMPSHMKISWNIIIFRKFSEKSSETKTEEERRERFFVAGEVFVNGGDLIVVETSCDSSYSSPTEKRPSSGGELPHSTGFTSQPIVRRANSAQNMMMDEDVEQASLMSFNDRPRAFPNMRSKTYSPLIFRILRKLNVRVVSIILLLCFGAIFYMGASTSPIILFVFIVCIFSFLLSIYLTKWVLAKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMAILLAFVILCIYLFRSLTPQQEAAGLGRAMSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYSTFYVWLGVDSPGAMSVNDLPLLLVGYGFGASFVALFAQLGGGIYTKGADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKKCKIEDPSGFILFPLVVHSFDLVISSIGILSIKGTRDASVKSPVEDPMAVLQKGYSLTIILAVLTFGASTRWLLYTEQAPSAWFSFALCGLVGIITAYAFVWISKYYTDYKHEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVISVAIISAYWLGNTSGLVDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDLLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFASVSFKEVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYSRCVAIVASAALREMIKPGALAIASPIVVGLVFRVLGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >A03p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13316789:13339247:1 gene:A03p031590.1_BraROA transcript:A03p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPALMIILASSLLTVLEVVNGAKCYGSSNSNSSYSRNRDNLFSTLANNVVTNGGVYNSSLGQYPNKVYVLGLCARGYEPKPCISCVEKLTLETQTGCGSIMKSFIWDSDDGDRVSCLVRSSNHSFGNLELDPPVIGPSPNHFAQSVNMTLFMQQWEYTVNKTLEAATKADTSSMHKYYSAVHAQLTEFSDVYMMMQCTPDITSQDCKQCLEDSVKYFREQFRGKTGGMASFPSCLFRWDLYSFYGAFGHVTRVPALPLLQAPKKGSSVPEKKGCTLEYSDSDGHFMLRFDLSMIILATADFSPENKLGQGGFGTVYKGILLNGKEIAVKRLTRGSEGGVEFKNEVSLLTRLQHKNLVKLLGFCNEGDEEILVYEFVPNSSLDRFIFDEEKRQLLTWEVRFKIIEGIARGLVYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFDTDETRAETQRIAGTRWVEGKPEIIIDPLLVKKPSSEIIKLIQTGLLCAQQNPTKRPTMSTVIVWLGSETITIPLPKAPAFTASRSQSEDGTTSMSNVLTELSCHMGKFSALIASSLLFVLQTLEVVNGAECFGSLVGNSSYSQNRINLFSTLSNKVLTNGGVYNASLGQYPNKVYVLGLCARGFKPKACSNCVEKLAQETKRDCSNIMNSFVWGSDEEELVSCLVRSSNRSFGNVQTSPPKIGPSPDHIGPLINMTLFMLQWEYTVNKTLEAATKANASSAQKYYSASYAEFTAVPALPRPPPQENGSSIPDKKGRSMLWVIITIIGVLTFINVLVFLGFYKVNAWRRKFNNGKNVGGAEYSDSDGQFMLRYDLGMILSATANFSPENKLGQDEQKRSLLTWEVRFKIIEGIARGLVYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFDTDETRAETKRIAGTRHGRGENPSVQIIKLIQTGLLCVQEDATKRPTMSSVMVWLGSETITIPSPKAPAFTDSYSQSEDGTMSMSIVFSELSSRLMIILASSLLFFLQTLEVVNGAKCYGSLASNSSYTQNRRNLFSTLANKVLTNGGVYNASLSQYPNKVYALGLCARGFKPKACLSCLERLTLETQKDCPNIMDSFVWGSDDEDRVSCLVRSSNHSFGNLELSPPVTGISPDQIEPSINMTLFMQQWEYTVNNTLVAATKANTSSVHKYYSAVKAEFTAFPNVYMLTQCTPDITSQDCKQCLEACLKYFREQFRGRTGGMVSLPSCFFRWDLFSFHGVFDNVTRFSALPRPQLQEKGSSIPNKKGRSMHWGIITIIVVFTFFNLVVFIGFYKVKSWTRKLNNGTNVGCAEYSDSDGQLMLRFNLDMILLATADFSPENKLGQGGFGTVYKFLETLSNKSVYLHEDSQLKIIHRDLKTSNILLDAEMNPKVADFGTARLFETDETQAETKRIAGTRGYMAPEYLNHGQISAKSDVFSFGVVLLEMISGQNNSFEGEGIALFAWKRWAEGRPEVIIDPLLVENSSVEIIKLIQTGLLCVQENATNRPTMSSVMVWLGSETITIPLPKAPAFTESHSQSEDGAMSMSNTLEAVNGARCFGSLDSNSSYAQNRRDLFSTLANDVVTNGGFYNASLGQYPNTVYALGFCEKYYEQKACLRCLESLALDTETSCGNITKSFVWSSDDEDRFWCLIRSSNQPFGNLELIPPLIEADPDHIEPSKDMTLFMQQWESAVNKTLETATQANTSSVHKYYSAIHAHFTEFPNVNMAMQCTPDITSQDCKQCLGDCVEYFREQFRGRAGGMASFPSCLFRWDNVTSMPALPRPPAQEKRPSYIPEKKGQINSCSAVKLFCMIKNSIYILRAIKSN >A03g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1367275:1369246:-1 gene:A03g500420.1_BraROA transcript:A03g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAILLPLLFIIPSAFGLNYPTCDLTNTQDQGSTLKIFHIDSPCSPFKSSSPLSWEARVVKTLAQDQARLQYLTSLVAGRSVVPVASGRQMLQSATYIVKAKIGTPAQTLLLAMDTSSDVAWLPCSGCVGCPSTTAFSPAKSTTYKNVSCNAPQCKQVPNPTCGLSACSFNLTYGSSSIAANLSQDTIRLAADPIEAFTFGCVNKVAGGGTIPPPQGLLGLGRGPLSLMTQAQSLYQSTFSYCLPSFRSLAFSGSLRLGPTSQPLRLKYTPLLRNPRRSSLYYVNLLAIRVGNKVVDLPQEAIAFNPTTGAGTIFDSGTVYTRLAKSVYEAVRDEFRRRVKPRNAVVTSLGGFDTCYSGQVTVPAITFMFKGVNMTMPADNLMLHSTAGSTSCLAIAVAPENVNSVVNVIASMQQQNHRVLIDVPNGRIGLARELCS >A07p010590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6647229:6648424:1 gene:A07p010590.1_BraROA transcript:A07p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVRAEHEVRASVVFGNLLENESRSETRSLRLRLRREEEEEHRRSITGQKDTSDGEIPFWSTSNRLFSLSPKEEATIRMIAALANLIFSTVTFLCNSISYVIFHVAACSFCLFVQTFKIPGEAIYALIKLVRDTSESCFLKLCKLGVDVISEMFTTLFDLAKGRAMKISDLILLTIGNVSEKGMPWLNQFLEEWPKVFDGFVEMVLTVVSGLWNNYKDSLYYVYRKLLE >A09p001370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1053426:1054991:1 gene:A09p001370.1_BraROA transcript:A09p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPLISPQSRYPLHPIPENPSSSSVSAASAAVTIPHSISTTSFFQENIPHDLEKSELDDSYFNNPRPLHRCRTAPAMVIIKDLTPNKSSSSEPKKPSPISKSIIKQAIFLLVVYLTLGVSIYSFNRDHYSGVETHPVVDALYFCIVTMCTIGYGDIAPLTPWTKIFAVVFVLFGFGFLDILLSGVVNYVLDLQESMILTGIQMGSSRGQHHRFSAKDYIIDFEKGRMRIRMKVFLALCVVVLCIGVGALVLHFVEGLDLVDSVYLSVMSVTTVGYGDRAFKTLEGRLFAAAWLLVSTLAVARAFIYLAEARIDRRHRRAVKFALNREITVEDLLAADTYQHGFISKSEYIVLKLKEMGKVSDKDINQVVNQFDKLDPNNLGKITLPDLLGGPL >A01p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6580036:6595795:-1 gene:A01p013480.1_BraROA transcript:A01p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like serine/threonine-protein kinase SD1-8 [Source:Projected from Arabidopsis thaliana (AT4G21380) UniProtKB/Swiss-Prot;Acc:O81905] MEHVRVIFLFFACCVLMFLPFQTLAQVDTYEFDPDFNCVDRGNFTANSSFAGNLNRLVSSLSSLTSKPYGFYNLSSGDSSGEKAYAIGLCRREVKRDDCLRCIQTAARNLTEQCPRSKQAVVWYTQCMFRYSNRTIYGRKETDPTLSYRAGEKISSNIDEFERLQRELLNRLKGIAAAGGGNRKYAQGNSSGSPGYRRFYGSAQCTPDLSEEDCNDCLIYGFESIPSCCDSEIGLRWLCPSCNFRFETWRFYEFEADLEADPPGSAGSPTPAARTEEKTGKSKGGSKVVIAIVIPIVLVALFAICLCLGLKWKKNKSRDRVKVLGSSHLSGSVAEDEFSNTDSLLVDFENLKAATNNFSPENELGRGGFGSVYKGVFSHGQEIAVKRLSGTSGQGDLEFKNEILLLAKLQHRNLVRLLGFCIHGQERLLVYEFIKNASLDHFIFEYAMHGQFSVKTDVFSFGVWRSWREDVILSVIDPSLTTGSRNDILRCIHIGLLCVQESAASRPTMASVALMLNSNSFTLPTPSRPAFVLESVIPSNVSSSTEGLQMSSNDVTVSELSPLSTILILLPLFFSLLLLLQTSSLVSLAKTQKMKIIFRKTLVSFPLFIFLFLYESSTAQDTIRRGEFLRDGSTHKPLVSPQKTFELGFFTPGSSTGRYLGIWYGNIEDKAVVWVANRENPISDQSGVLTISNDGNLVLLNGQNITVWSSNITSTNNDNNRVGSIRDTGNFELIEVTSERVIWESFNHPTDTFLPNMRVRVNPQTGDNLAFVSWRSDNDPSPGNFSLGVDPSGAPEIVLWGRNNTRRWRSGQWNSAIFTGIPNMALLTNYLYGFKLSSPPDETGSVYFTYVPSDPSVLLRFKVLHNGTEEELRWNETSKRWTKFQAAPESECDKYNRCGSFGICNMKGDNGICSCVDGYAPVSVGNWSRGCRRRTPLKCERRNVSNVGEDEFLTLKSVKLPDFETPEHSLADPEECKDRCLNNCSCTAFTFVNGIGCMIWNQDLVDLQQFEAGGSSLHVRLADSEIGESKKTKIVVIVAVLAGVVLLGIFALLLWRFKRKKDVSGTYCGHDADTSVVVDMTKTKDTTAAFTGSVDIMIEGKAVNTSELPVFCLNVIVKATNDFSRENELGRGGFGPVYKGVLEDGQEIAVKRLSGKSGQGVDEFKNEIILIAKLQHRNLVRLLGCCFEGEEKMLVYEYMPNKSLDFFIFDETKQGLVDGKLRFAIIEGIARGLLYLHRDSRLRIIHRDLKVSNVLLDGEMIPKISDFGMARIFGGNQNEANTVRVAWFLYTHGRSEELVDPKIRATCNKREALRCIHVAMLCVQDSAAERPNMAAVLLMLESDTATLPVPRQPTFTTSTRRNSMDVNFALDSSQQYIVSSNEITSTRERKNKNTRMLCGDMRGVLFSVLLLFPAFSFSANTLSATESLTISSNKTISSPGNIFELGFFKPSSSSRWYLGIWYKAISKRTYVWVANRDHPLSTSTGTLKISDSNLVVVDGSDTAVWSTNLTGGGDVRSPVVAELLDNGNLVLRDSNNNDPDGVLWQSFDFPTDTLLPDMKLGWDLKTGFNRFLRSWKSPDDPSSGDYSFKLETRGFPEAFLWNKASQVYRSGPWNGIRFSGVPEMQPFDYIEFNFTTSNQEVTYSFHITKDNMYSRLSLSSTGSLQRFTWIEAIQNWNQFWYAPKDQCDEYKECGTFGYCDSNTYPVCNCMRGFEPRNPQAWALRDGSDGCVRKTALSCNGGDGFVRLKKMKLPDTAATSVDRGIGIKECEEKCKSDCNCTAFANTDIRGGGSGCVVWTGDILDTRNYAKGGQDLYVRLAATDLEDTTNRNAKIIGSCIGVSVLLLLCFIFYRFWKRKQKRSIAIETSFVDQVRSQDLLMNEVVIPSRRHISRENKTDDLELPLMDFEAVAIATDNFTNANKLGQGGFDKTRSCKLNWQKRFDITNGIARGLLYLHQDSRFRIIHRDLKASNVLLDKDMTPKISDFGMARIFGRDETEANTRKVVGTYGYMSPEYAMDGIFSTKSDVFSFGVLLLEIISGKRNKGFYNSDHDLNLLGCVWRNWKKGKGLDIVDPIILDSSPSTYRPLEILRCIKIGLLCVQERANDRPTMSSVVMMLGSETAAIPQPEQPGYCVGRSPLDTDSSSSNQRNDESWSVNQMTVSVIDPR >A07p025820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14812526:14813343:1 gene:A07p025820.1_BraROA transcript:A07p025820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNCPCRNCLLHNFIGLYASVFNKGGMHPIPSTVEAASVMMSSTVSLDDSSLSDLYHSLPRPSPYHAEKVYEGGSKKAYSKDSPTNETSKMILEIELSYADSDDEDMFLTCLDDYTPDKSKDNLPSVLTISSLAVFM >A06p050550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26579456:26581593:-1 gene:A06p050550.1_BraROA transcript:A06p050550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMELGFRPDTFYTVEAVRSVSSDLQNDLIIQVKSTKYLLHKFPMLSKCLRLKNLVSSQSQEPETSQDQKVIQLVDFPGETEAFELCAKFCYGITITLSAHNVVAVRCAAEYLGMTEEVELGETENLVQRLEHFLTSCVFKSWRDSLVALQTTKALPSWSEDLGITSRCIEAIANGVNASPGDDFANVMETGLSRNRSRRRRDESLCNGKAESSRWWGEDLADLDLDLYKRTMVAIKSSNRNVSPRLIGNALRIYASKWLPSIEESSPVLESVISLLPTQRSAVPCSFLLQLLKTVNVMNVSPTSKMELAVKAGNQLDKATVSELLIPLSDKSGMLYDVDVVTMMVKQFLSQISPERRPTRTQHRRSRSEENMEEIQEIRGSLSSSSFPPLLVKVAKLVDSYLQEVARDVNLTVSNFVELAESIPDLSRISHDDLYKAIDIYLQVHQKIDKIERKRLCRILDCKKLSVEASKNAAQNQLLPLRVIVQILFVEQARAAIVTNTNNITNNETAVLRRSFTTRREEDADLERVEIKPNGGFQSTPSRFMALCSIPRQPKKMFCKLLSISRSLSQRI >A07p027320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15604007:15608269:-1 gene:A07p027320.1_BraROA transcript:A07p027320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLKQWRSQQQNESEEQSSATKISNFFLDQIRSQTASSAAAALPLFVPEPTSSTSVSCFSPNTSSSRFLKMGNFFSLAQWQELELQALIYRYMLAGASVPQELLLPIKKSLFQSPLNFLHHPQQQNFPHHQPWYWGRGAMDPEPGRCKRTDGKKWRCSRDVVGGHKYCDRHIHRGRNRSRKPVETAKPATTTAASSFVLGEDLGHGPNNLFFSSDSSHSSTKHLHLTSHQSCSSGMKQESNKKRPYEAHSGLRSDDGNTLRPFFDDWPRSSDSTSSPMSSSNCHLSISMPGNTSSDVSLKLSTGDEVEEANNNEREQQQNMNWWSSGGNHHNMGGPLAEALRSASSTSSVLHQMGISAQYVKPLRLLGDALKTTKVSVPGRFLGLDVGDKYVGLAISDPSNMIASPLSVLLRKKTNIDLMATDFQNLVKAFSVSGLVVSYPFGKLNNVEDVVTVNLFIEELRKTEKLRDVKYTYWDERLSSKTVELMLKPLKLHPVQEKTMLDKFAAVVILQEYLDYANRYVHGEPAA >A08p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14305549:14307015:-1 gene:A08p021330.1_BraROA transcript:A08p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICVQNLLSSKTVRSFENIRKEINVMMDKLEKASSSSSRVNLSKLLMTLTNDVIARIVLGKKYSSDEREDYSNNLVRRYMEILGASPIGEYIPSLAWNEIDSFLEKVVQEHVDADEDQDKTTPFELDRTSLKTLLLVKQIISHQLMITRCGVTKNMLFGESASTFTLLEWTMTELMRHPKCMKKLQDEIRSVQPHNSYVSEKDAEKMNYLYVVIKEALRLHPPVQINVWAIQRQIATWGPDADEFRPERHLDSLLEFHGNDQKYIPFGSGRRKCPGIGLALALAEVTLANLVNRFDWRIEVGPLGDDKHDLDEASSIDVCRKFPLFAFPSLP >A04p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16062741:16064686:1 gene:A04p026690.1_BraROA transcript:A04p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTKRFKPNMNQNSELKALEELSSNAKQIQEDMLEEILRSSANTEYLRRFLHGSSDKELFKKNVPVVTYEDVKPYMERVANGEPSNVISGEPITQFFISSGTSGGKQKIYALNNKYFQKRIFIENLRNSILSKHIEGVEDGKVMTFLNTRAISRTPSGLTVAPVITSFLMSDYFKNWSSKRYTSPDQVLLCCDNKQSTYCHFLCSLVQREEVVSIFVPFACSLIDAIKFLETHWKELCNNIRSGHVSEWITDLGCRDSVSNILGVPNAELADKIERECCQTSWEGIIARLWPNIKFIQSVVTGQMSQCIPILEFYSSKLPLVSLNYSASETLFGVNVNPLCKPQDVSYTCVPNTSYFEFLPVDEGNNAQVVDLVDVKLGHLYDPVVTGFYNKTPQFRFVRRKDTVISVHIEKTTEEDIVNAVNRVTTVLESAGLMLMGFTCKSDMSTFPGHYVFYWEFKAKKIDCIVKLDNNVMVKCCCVMEESFNALYRRHRRKYGTIGPLEIRVMQQGTFDSLMEYFISQGAFAHQYKTPLCKV >A08g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9241344:9241723:-1 gene:A08g505490.1_BraROA transcript:A08g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMFVLFESAVASILSSILLFTAYAVCGLFVFSGFDRAEICCVGRFIPRRSMVVVDQAVSGSVKKILYLPDYEGSVTGSLRCFLRVLGGGGLAVVWPRAFPLNTCHRDPFGQFPCYV >A07p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15736427:15736998:-1 gene:A07p027610.1_BraROA transcript:A07p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGFAGKAAAEAKGLNPGLIVLLVVGGPLVVFLVANYVMYVYAQKNLPPRKKKPVSKKKLKREKLKQGVPVPGE >A09p073050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55813913:55817324:1 gene:A09p073050.1_BraROA transcript:A09p073050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVDSSGLPQIQSFCCGESSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLDFYNTQRNGSDMTSEDTLKQRRQRSSRSSHKTMSWSLSSDSQSKSSGFTPQNMKVDLSKLDMAALLKYWRHFNLADAIPNPSKEHLIDIVQRHFMSQQMDELQVVVGFVQAAKRMKKACKLQSKEARNTDLNCIS >A09p080030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58730705:58736576:1 gene:A09p080030.1_BraROA transcript:A09p080030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT1G08250) UniProtKB/TrEMBL;Acc:A0A178W954] MKAAPQLARSYPSEQNSQGTDTYETSRSEWQSSSAILTSKVVSQQQSETLPMPPVSVGVDHVNCHNSTARVSHHQKPLTVNDLSPTPMHGSNLRVAYQGVPGAYSEAAAGKAYPNCKAIPCDQFEVAFQAVECWTADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVHLPVHHCLLALPGVRKELLTRCERTLTKLGLNVSREAVDDTAGAAEFIAANNLHDTAAMASARAAEIYGLEILEDGIQDDASNVTRFVMLARDPIVPRTDRPFKTSIVFAHEKGTSVLFKVLSALAFRDISLTKIESRPNHNRPIRLVDDVNVGTAKHFEYMFYVDFEASMAETRAQNALSEVQEFTSFLRVLGSYPMDMTPWSPSSSTSHTASSQPTSRSYPCVQNAQRSDSCGTSRSEWRSTFATLTSKVVTQKTLPMPPVSVGIDHVNGHNRAARVLGMNQKPLSVNDLPPAPMHGGANLRVAYQGVPGAYSEAAACKAYPNYESVPCDQFEVAFQAVELWIADRAVLPIENSLGGSIHRNYDLLLRHCLHIVGEVQLPVHHCLLALPGVSKEFLTCVMSHPQGLAQCERTLTKLGLNVTRKAVDNTAVAAEHIAANNLRDTAAIASARAAEIYGLEILEDGLQDDASNVTRFVMLAREPIVPRTDRPFKTSIVFAHEKGTSVLYKVLSAFAARDISLTKIESRPNHNRPIRLVDDANVGTAKHFEYMFYIDFEASMAETRVQNALSDVRELTSFLRVLGSYPMDMTPWSPSYSTSHTASSKMNHSYGNVNMAKP >A05p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20981639:20984518:1 gene:A05p036980.1_BraROA transcript:A05p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G21240) UniProtKB/TrEMBL;Acc:B1GUZ3] MSTREETVTDQKHSSADVIFRSKLPDIYIPNHLPLHDYIFQNISEYAAKPCLINGPTGDVHTYADVHATSRKLSAGLRKLGVGQHDVVMILLPNSPEFVFTFLAASFLGAVTTAANPFFTPAEISKQAKASAAKLIVTQSRYVDKVRDLGLLIICTDSSSLIPEGCLSFSELTHSGEEDHDPRVNSVEISPEDVVALPYSSGTTGLPKGVMLSHKGLVTSEAQQVDGENPNLYFNKEDVILCVLPMFHIYALNSIMLCSLRVGATILIMPKFEITLLLEQIQRCKVTVAMVVPPIVLAMVKSSETEKYDLSSVRMIKSGAAPLGKELEDAISAKFPNARLGQGYGMTEAGPVLAMSLGFAKEPFPVKSGACGTVVRNAELKIADPDTGSSLPRNSPGEICIRGHQIMKGYLNDPVATAATIDKEGWLHTGDIGFVDDDDELFIVDRLKELIKYKGFQVAPAELESLLISHSDINDVAVVAMKEDDAGEVPVAFVVRSKESNLSEDEIKQFVSKQVVFYKRINKVFFTDSIPKAPSGKILRKDLRARLANGLK >A01p008490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4155826:4156029:1 gene:A01p008490.1_BraROA transcript:A01p008490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEFVYHEEKTELGRQQAPGVCPYCGGKVAAVDIETKWLFCFLPLCFKVKRKYTCSSCDRRLVLYY >A09p033220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20108685:20110981:1 gene:A09p033220.1_BraROA transcript:A09p033220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFSLVPKEEEEENFRNEKSADQTSYLSTEMMKKVSSMAAPMVAVAVSQYLLQVISIVMAGHLEEISLSGVAIATSLTNVTGFSLLFGLAGALETLCGQAFGAEQFRKISSYTYGSMLCLVLFCLPISLLWVFMDKLLELFHQDPLISQLACRYSIWLIPALFGYSILQSLTRYFQSQGLVLPLFLSSLGALCFHIPFCWLLVYKLRFGIVGAALSIGLSYWLNVGLLWVFMRDSSLHRETKNLRGQEIFLCMKQFIALAIPSAMMTCLEWWSFELLVLMSGLLPNSKLETSVLSICLTMSSLHYVLVNAIGASASTHVSNELGAGNPKAARAAATSAVFLGVIDATIVSITLYTYRGNWAYIFSNESEVAHYATQITPILCLSIGVDSFLAVLSGVARGTGWQHIGAYANIGSYYLAGIPLGSFLCFVVKLRGKGLWIGILIGSTLQTIVLAVVTFFTSWEQEAAKARDRVIEVKPQDNQELQSILQEDVQVLLKDVSENV >A09g511260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33846573:33848383:1 gene:A09g511260.1_BraROA transcript:A09g511260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPILIEYPPKIILLHTNTATNIYRCPTNHKLLKNINFWHFVGFVGDFAVRGGMLGPRGLSCSVHTNVLCVRQPHKDVRGPFRQHTTGRPAVHQYTISTLRGLFGLIVASPGLSVQYTRGRSVITTRSTVAVRCVVRVSVVHTGRPSAHHTTDVVAHAGRPVSNTQDVPWLSVCPRQHRKDVRQYTQDSPPSAHQRTSVSTPDVLGCRGCRPCVRHKHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISACWPFLWTVLDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSPCWPFPWTVRVLIRVPDPCTDPWTDPSCWPTSVAVRVLIRGLIRVLNSYQHADHTYQHAGPSRGLSVLTTHISMLAHVRGCPCTDPWTDPSCWPFPWTVRVLIRVLIRILNSYQHADHTYQHAGPSRGLSVMLTTHISMLALPVDCPCTDPWTDPCTELISAC >A05g502870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8710551:8711504:1 gene:A05g502870.1_BraROA transcript:A05g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSLFPSPFFSTDSSLFEVEVTRDEFHSFHKIDRDLFTRLVFVLKRDMNQSSQVIVFLLVVEQLRFARNLVAYLVSSQDMLIDAVANEVGVCLSILYNQDYSSFFLLNHNNNDEVVIPFLKGLTDSNLTLSYINQYRETILVGVTKNLNNVCNRAFDDIYEKGYKEQLLAIERAKLIEEMKKIRLGAPQQTPTRLSVQHQTPSWWNVQQQISCRSSVQQQTSIRSSVRRSTPIKVSAPQEWVPAPDVEKKAKAVVMETEGADIKEDEEEVTPADDRTVILKFSKGYPISESEVRVHFTREFGEVIEAIVMQEVQ >A07g507040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18189932:18190591:1 gene:A07g507040.1_BraROA transcript:A07g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMIIQNRKPKSSKRDTPFLTRLSRARKYTIADVRRCIRSNQKEVTDKECRNVFRHARLRGLANHPLRTLRSYADEMKVCLSYGNREAHYIEGVKHLFALHDRTKGMRHLKLSAKRNYKKGKYLYALLKLLAGDHDEGMNLLDVHKWRSNTYVVDKLWKQVKRSLHEVPIIKNNFYGMNMILIMPPRACELDKLDNRCSKCFYYKEMAKFMELVHRG >A09p014480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7611800:7614609:-1 gene:A09p014480.1_BraROA transcript:A09p014480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSYESLSDFVKHFYIPSYVLSPESEAQALPIAPPESPVLVFINSKSGGQLGGELLLTYKSLLNEKQVFDLGVETPDKVLRRIYLNLERLKEDDLARHIRDKLKIIVAGGDGTAGWLLGVVCDLKLSHPPPVATVPLGTGNNLPFAFGWGKKNPGTDTASVELFLDQVLKAKEMKIDNWHIVMRMKTPKEGPCDPLAPLELPHSLHAFHRVSSTDELNMEGYHTFRGGFWNYFSLGMDAQVSYAFHSERKLHPEKFKNQLVNQSTYVKLGCTQGWFCASLFHPASRNIAQLAKVKIANKNGRWQDLDIPHSIRSIVCLNLPSFSGGLNPWGTPNPRKQRDRDLTPPFVDDGYIEVVGFRNAWHGLVLLAPNGHGTRLAQANRIRFEFRKGAADHTFMRMDGEPWKQPLPMDDETVMVELSHLGQVNMLATHDCRSRSMYDPSTPRHQDSAEEYDDNEDDSVNEGEEFRKFGAADTFKIPDEVDISHLS >A08g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11946529:11951129:1 gene:A08g506880.1_BraROA transcript:A08g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLENGVTKDVFIRINTVGYKTDESALVRGALIAPILWALSPKGRLTGLKYSGVEPTSLAAKPFTCERLVGLSEFVGQKFFETAQLIATHSHLRWPDLSLEWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKESKKRSREETSVGAMETSTAAGNDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGGGIRFPDRVEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELENKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVIGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVTRDEPVIGFTRLPSCFDLELSKSR >A02p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1903598:1906053:1 gene:A02p004380.1_BraROA transcript:A02p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTCTTPFVLYPLLVIILFFYSLNHHTGFLSSVVNDDPSCHHSLTSSSVFSSFRFLPSRSSSSCHTTLNNPSSSEVTVVDEAAQRIEEGLAMARAAIQKAGEVDLRKNSSDLGFVSNGSIYLNAFAFHQLRPFLSPIFASHREMKKRFKIWTYREGEAPLFHEGPLNDIYAIEGQFMDEIENGNSPFAAASPEEATMFYIPVGIVSIIRFVYRPYTSYARDRLQNIVKDYISLVSNRYPYWNRTRGADHFFLSCHDWAPDVSTVDPELYKHFIRALCNANASEGFTPVRHVSLPEIKIKFSKLGSTHEGEPPQNRKLLAFFAGGSHGEVRKILFQHWKEKDKDVLVYEYLPKTINYARMMDHAKFCLCPSGWEVASPRIVEALYSGCVPVIIADSYVLPFSDVLNWKRFSVQIPVRKIPEIKKILEAITEEEYLEMQRRVLEVRKHFVVNRPSKPYDMLHMVMHSLWLRRLNVRIPFSQ >A05p031110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16108507:16115079:1 gene:A05p031110.1_BraROA transcript:A05p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEFPIELVEEEDGFDWEAAVREIDLACLKSLNPSSSSSTHFTNDNDTKPAKRQSTLDRFIARADHKPPPPDPPVVSDPSFECGTNDNTPSVGIDPETAKTWIYPVNVPLRDYQFAITKTALFSNTLVALPTGLGKTLIAAVVMYNYFRWFPQGKNKPTLVSMIRICAYPFVLPGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDLTGQTCPSKRASLWKTKRVFFVTPQVLEKDIQSGTCVTNCLVCLVIDEAHRALGNYSYCVVVRELMAVPVQLRILALTATPGSKTQAIQGILDNLQISTLEYRNESDHDVCPYVHDRKVELIEVPLGKDADEVSKRLLDVIRPYAVRLKNFGVILSRDYQTLSPHELLMARDKFREAPVPGIPHISHGDVESCFAALITLYHIRKLLSSHGIRPAYEMLEEKLQEGPFARLMSKNEDIRMTKLLMQQRLSNGAPSPKLSKMLEILVDHYKIKDPRTSRVIIFSNFRGSVRDIMDALSNIGDVVKATEFIGQSSGKTLKGQSQKVQQAVLEKFRSGGFNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKNNGRPLLVLACEGSEKNSYMRKKANGQAIKKHMRNGGMNSFNFHPSPRMIPHVYKPEVQHVKFSIEQFIPRGKKLQDEPATETPAFKKKLTPEEMDMLAKYFKPNEEKWRVSLIAFPHFQTLPSKVHKVMHSRQTSILIDAMQHLQETTLTEQSKSFFIKYGAPLAERDELDAGLRVGDDPKDLPSSDDLDVNTSQRKAKQILESPTSTLETTEKDFEASSPTHCYLFSSECASVDTLGKVFVLPVPLSFSSNVPGSDCVGREKELSSPNKSHTDVVPIDSSSKHRQDNISCKLKQGFLPDCANETLESQSLLKRHSTDVGKGDIENCAGEIMISSDEEDDCEDLELSPRLTNFIKSGVVPDSPVYDQGVAYEANREEDLDLPPTSLSNELAEEPSTPEKKVHIASTANEFRTPQKEEDLANETESFAVSPMPEEWRTPLENITNASSSASKDWRVSSGEKSETLRQPRKLKRLRRLGDCSSAVKENNPGIAKTDHIRSRSRSVKNIRGKKKIRADNNARIFIEAEAEVSSESEMSVDENVDLTSDSFEDSFIDDGTMPTANTQAECAKVDMMAVYRRSLLSQSPLPARFRDVAASSPSPYSSGLLKTINESRSDSDKSLSSLRTPQTTNNESNKDAVATGDFSVAQISTDSRKRKFSLCNSANVPVINLENKFEAHAQATEKESHEGPRSNAGASQYKDEDEDDDAFYATLDFDAMEAHATLLLSKQRSETKTKEDASVKPHLGNQRNDGLPNDGPSFDLGLW >A03p004370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1845523:1845837:1 gene:A03p004370.1_BraROA transcript:A03p004370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNCRSRTNQLAKTIFILVVLLFFLGCCNGARTTNMFTRSPPKQTNEVSPPHHHHHDHQVQNHKSVQFLGSMPRQFPVPASGPSRKHNDIGLASTTTTRSSP >A07g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14642868:14645276:1 gene:A07g506170.1_BraROA transcript:A07g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFPQSILEEEIETQIDKINNTCRRTILEEVKGVLNTEYEEVLKDPGELFPFISATGNNDVVDSTEFYREDEKIDERISRIVTLLNAKQDWTDFVWEVEALPPTLELSDSETDGENVEVEDVTDTHVDEPAVVARRGKRKLNDPGAEARKKELLCQRAAEHNSGISSGMKTFIEGLFTSAFNSFKDVVHNDIQERFEKVQKEMAELKQAVSQIPGPSATMGKDRASEIPCPSATMGKSSQSPCLAGTKEKGKGKVDESVVPPTVRRSPRQGRKEIETETDDMMDFLKNLSQSSTHGEPSSIKEEMSTQEYLQDAMGNLSQVSHVKGFDPSQKTSDEEAPKWVTPVSSFKPVDWRTPTLKDMELPDDRVNDDDYSLVFVHEDSWAKLIHWCSTTKQHLKIGPSMYTTELAERVMGPAVWLQNQV >A06p046890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24990890:24992149:-1 gene:A06p046890.1_BraROA transcript:A06p046890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMMREVCLSKAKEENFLQVIKAPTSTSTVNAHTRESRRLVVHGGNALNLLGRKSNKKARSVLGFGLDSNLWKQSAQGFRNLQLLRVLDLSSESDSGAEFKVRIPSSIGNLIHLRFLSLNVTSGSHLPSSLRNLKLLLCLILSSRGKVYVPNIFKEMVELRYLFLPYYMKNKTKLELGNLVNLELLWCFRSKSGRITDLRGMTRLRTLQVIFEDRYTSEKILASSLRELRNLEMLSLRSKSDVAPDVDFIWNFIHLRDLEMVMLMPRLPEHSRFPPNLAHIFLSRCRMEEDPLPILEKLLHLQSVQLGFNAFVGRKMVCTKGGFPQLRKLNLIYLDELEEWEIEEGSMPCLRTLYIKRCKKLKEIPEGLKYIISLKELIISGMNKEWKGKLESGGESYYKVQHIPSVQFNYDSDESEE >A08p010040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7713467:7714690:-1 gene:A08p010040.1_BraROA transcript:A08p010040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLATSASKIPKRASIKSQPDFDYSIRKQKLRESCFRRVREERTRLLWKLRHSDCESSDQKEIINSAFQDIVSDELKKIEDSHDILWEYEGPEDAYEGDSEEILLEMQHMFYNDLISETGSYAQAETWDEEEDEYLATLVSQNMLLNTEQEPNQIWCPICKQGEVMENHRHIYCSMCEMQLIKGEEVNLNILQERLAEVHAEHFERGCRLKPKFSVQSLYNLKALYITCEACSAFEVVV >A10p001960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:974579:975292:1 gene:A10p001960.1_BraROA transcript:A10p001960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FANTASTIC FOUR 2 [Source:Projected from Arabidopsis thaliana (AT1G03170) UniProtKB/Swiss-Prot;Acc:Q8GXU9] MSILVCQAQEKIVTKSFSQKGDMGGLSFLQSMSDITSIVRTREDKAYVHPTEKRSVSQLNEKSLEMCTESLGAETGSESGDELTLLALEATAISRGHSKPQEEEKDADFRAKKATMSRSKSFPPPIKFVKESRYNRMVRSLGEDGRLVVQAIRVSSPPRNFVAEREEGRLRLCLSPESSLLRRNHEEEEETEEEETENLEGKHGNKKSSRLSSRCKENGREPKPMLTWEQQQFWVAT >A07p051480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27326776:27329771:1 gene:A07p051480.1_BraROA transcript:A07p051480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMGNFLRKLGSGKSKSSRSFRGERTTSSSVSNEPSPSDASLSVSDKINAAKKKYALIPDRFSSLDQVSKALREAGLESSNLILGIDFTKSNEWTGKTSFEGKCLHALGETPNPYEKAIFVIGQTLAPFDEDNLIPCFGFGDSTTHDEEVFGFHSDNSPCHGFEEVLACYRRIAPNLRLSGPTSYGLLIDAAVDIVEKNNGQFHVLVIVADGQVTRGLDMPEGELSQQEKTTIDAIVNASSYALSIILIGVGDGPWEDMRKFDDKIPKREFDNFQFVNFTEIMKRDTQQSAKETAFALAALMEIPFQYQAAIELGLLGKTTGLAKKINPRPPPVPYTPPVRTGQASSDSDEQTQVPETVYYLILLLPLVSSHLISYCFLLNQNCPICLTNRKDVAFGCGHMTCGECGSRISNCPICRVLITSRLRLYT >A10p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20635604:20639328:1 gene:A10p036180.1_BraROA transcript:A10p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERAKALNMHVVKRDGWVETLHLDKITARLKKLSYGLSSYRCDILLVAREVYARVYDEITTSQVDELAAETAASMACNHPDYASLAARIAVSNLHKNTQKSFSETVAYMYNYVNEGSGLNASLIAENVFSIVSENAKRLDREMVYDRDFEYDYFEFKTLERLYLLKVQGKVVERPQHMLMRVAVGIHLGDIDSAIKTYHLMSKRLFTHASPALLNAGSPRPQLSSCFLTCMKDDSIEGIYNTVKECAVLPKSAGSIGVSLHNIRGTKGISYGIGKTLDVFKATTRFLDQGGGEGGGVTVYLEPWHADISEFLDHLWKSHGKEEHRARDLFYALWVPDLFMERALGDEHWSLFCPNEAPGLADCWGPEFERLYTKYEREGKAKKVVKAQELWNEIVTSQLETGMPYMLFKDSCNRKSNQQNLGTINSSSGLCSGVIQYSSPTETAVCNLASIVLPRFVRDKDVPMDSHPSELAGSLGSKNHYFDFHSLAKVTASVTNDLDRIIDANYYPLESAKTSNMRHRPIGIGALQLNTDIFETIYYHALKASSELSARDGAYETYKGSPMSKGILQPDMWNVTPSDRWDWDFLRDMILKNGVRNSLLVSLAGNNKGLEPCASNIINNGGLSGEFTIVNRHLLHDLTNMGLWSQRMKKVLISENGSIGNIPEIPDDLKAIYRTAWEVKKRTLVNMAVGRGGYVDQSQSFNIHLNEPSRLNVTDLHFYTWFQGLKVGMQHLLLRATKTHAALLLT >A04p024280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14709272:14711955:-1 gene:A04p024280.1_BraROA transcript:A04p024280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGDHQQQKKEEEALPPGFRFHPTDEELISYYLVNKIADQNFTGKAIADVDLNKSEPWELPEKAKMGGKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSTTSELVGMKKTLVFYRGRAPRGEKTSWVMHEYRLHSKSSYRTSKQDEWVVCRVFKKTEAAKKYISNSSSSTSHHHQNQTRASILSANNNNPSYSSDLLQFPSHLPPHTSLNINQTLMANAVHLAELSRVFRGSTSSTMDSAHQQLMSYNHMPVSGLNLNLGGALVQPPPPTVSLEDVVAVTASFNGENGFGNVEMSQCMDLDGYWPSY >A02p011560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5017182:5020501:-1 gene:A02p011560.1_BraROA transcript:A02p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTKVMYIVVVDDDDDFDTTTAIVEEDGTGNWKDSFRYTRPVLQSTLQLMGCKARHAFKISRRVFELIRSEGTLILSPTHGTESVFDKTCDAASACAGVEKVSFSSTTDDVDKSKSKPFEMHKRRTTVVVPREIFVNVVCHALAEYKYVGHDQRADLILACKIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVDEKQDPLLWASTYHAGECLDPVAVAESKAKRRRAKKNYGKSTVEDDKPTTTTTVAALLSHKQMAVEGFKAQSEMVIENLDRLITAWEERKESVVVEGVHLSLNFVLIQRQGSSRHLMALLNTDGTFSRTWPLGGKVDETMEGNGMVHPVYGYLQKAEPVNLQFGLFGISAWPSDGATSRAGSVDYDSRADLGENGKAEDDAPDEQDTDFSDDDDNKQDHEEVGSVDEQSTKSDEEYDDLAMEDKSYWTDNEEESRDTVEVMSEEKHKPATKDEKYVQNLDLFLRTAANKQLVEPLELCASLFTCESGNTRRLSGKEKMKKRSLSISALGKHGSGLGDPIVLGAP >A06p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24311694:24312248:1 gene:A06p045360.1_BraROA transcript:A06p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPAAAKPARGAGGRKGGDRKKSVSKSAKAGLQFPVGRISRYLKKGRYAIRYGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLCLAIRNDEELGKLLHGVTIASGGVLPNINPVLLPKRSASQTEKPEKAAKAAKSPKKA >A03p043950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18383344:18387620:-1 gene:A03p043950.1_BraROA transcript:A03p043950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEAVINTSFSPSSNTCLHSVSLHGICIACNSIVDELDLYRRPFEYLSPGLQLTQDAVALTKRLETLSSSLGKQKLHLVLDLDHTLLQAEKVYRLAEAERYLIGEAGSRDDLWKLKSSDFLVKLRPLLRDFLREANKMFTMHVYTMGTRSYAEAILELIDPDRFYFGKRVITRDESPCTKTLDLVLADERGVMIVDDTRDVWPDHKSNLIVISRYKYFRMKRSQHSKPYSEEKTDESESKSGLVDVFRILKEVHRRFFKVREELASKDVRLLLQEIAFNPNGEETMSLVENHSLEPRAKRQRIELVINTSSYLPSSRRCRHWFVRYGICTTCKSTVDESQGRAFDYLSHGLQLSHEAVAVTKHLTTLVSCSNEKKLHLVLDLDHTLLHTTRIPRLTQAEKYLIEEADSNTRDDLYKWKAPGDPLVFLTKLRPYVREFLKEANEMFTMYAYTMGNRDYSKFILDVIDPKQIYFGERVITRDESPYMKTLDLVLAHERGVVIVDDTRDVWPDHKRNLIEISRYKYFRMNNSRHSKPYSEEKIDESEGNGGLANVLKLLKEVHCEFFRVADEKELESKDVRLLLQEIEFNRINKEYFIR >A10p013920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4473300:4473863:1 gene:A10p013920.1_BraROA transcript:A10p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLSSVLSSLPTAVEYSLVMRREGSGRQTRLRRRKDGGGGSEWIAGCGLDQSKRLLRTGDTDQIRCSPKADLTVCVWWDLSPFASSVSLPPEALSSFGLFRSDAPAKAMNHGRRGASSEEGSGGFNSVATVISFTLLAAN >A02p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7345511:7346720:-1 gene:A02p016390.1_BraROA transcript:A02p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISKTLLLVVSLVAATCFLQARAAGVYCSNPYSKCYRKYIECPEECPSTTAMNSKYKVCYADCDKRPCTSQCRMRKPNCNRPGSACYDPRFIGGDGIVFYFHGKSNEEFSLVSDSDLQINGRFIGHRPAGRARDFTWIQALGFLFNSHKFSLEAAKTATWDNEVDHLKFTFDGQDLSVPEETLSTWLVLKTKSQYSPNKDIKIERVTSRNSVIVTIKDKAEIMVNVVPVTKEDDRIHSYKVPSDDCFAHLEVQFKFFNLSPKVDGILGRTYKPDFQNPAKPGVAMPVVGGEDSFKTSSLLSNDCKTCIFSESQTEIESVKSEIEYAALDCTRGASSGYGIVCRK >A07p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21389576:21393775:-1 gene:A07p040260.1_BraROA transcript:A07p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRKFKFPARSKSPLFVFTIAGVAITAVTSCSSVSVFRDSTSIHNPNKIATAVEGVVRSSRAIYAITVTVADYKYSLRRVTADSDEYLQRLTEVHSRSAKRILKLCESNKGFYVKAGQFVATLKLVPKEYSLALSSLQDQAVPCNFQDIKHVLTSNLGRNFSDIFLSFDEEPIAAASIAQVHHAVLKDHQEVAVKVQYPGLKQNMKLDTMIMSFLSKSVVKIFPEYRFDWLVHEFVKSISQELDFIQEAKNSERIATNFKHNKMITVPTVFWEFTTAQVLTMQFCKGFKVDDVEAIKRTNLSPGKVAKLLVEVFAEMIFVHGFIHGDPHPGNILVSPGGQNGFSLVLLDHGNCKTLDEGFRQDFCRLWEALILLDSTKIQELGTRFGVGQYAKFFPVIFTGRTSDSKSGLGKGMSIQERQKLKQEVKLLRLEDVTTFMGSLPPDFLTVLRTDGLIRSITLKLSAPQRVRLLAYAKYAVYGLGYNPRSEPDFVEKTMISKSVMLVSYIRLRLILELMELFQGVKKLKHTICTFYGRVVDGITRSVKVSSVTSIV >A04p026230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15852701:15853726:-1 gene:A04p026230.1_BraROA transcript:A04p026230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDYSNGDSFSTLHPDIIQTQILTRLDGPTLSSTASTSSYLQTLCREQKLWQELSAATWPSINDPRVVKAISSFPSGYRSFFADSYPFSEHTWPSEKDDPPTTGLISAVDLYYRGELIYSKVQEMETESGKGSWFLSAPFRVDMLDPKESVSTRIRYPGGDYEAWVRDMEASMKLNWIVIDPVKKRAVNISSRDAVSAKRNWLTGDLEIRFSTVVTGKRAAEVAAVVSCGSAETWKEVDEEVGGEVHVREVRLGVEDIEGKCLKGRESVVILQGLLEGKRSRKDGCGEGRRGKGRYEEYVEMKTEWRKKKDGREKFQDTICMIFGFSLFVLLWSFILLR >A05p043090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26031904:26036001:-1 gene:A05p043090.1_BraROA transcript:A05p043090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRZ4 [Source:Projected from Arabidopsis thaliana (AT3G16260) UniProtKB/TrEMBL;Acc:A0A178V7J3] MLTSMPHHNLTLLGFSPLKSSSFALFLRPFSLYPILASSPPPSSPRRPRAAGYRRSDPSPRFPPRRKWSSFEEEKSRQRKGMSSSSDSFEFNKRRAEGKDKIDKPKKSLKRNTRTLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKVDHIFLSRVCSETAGGLPGLLLTLAGIGEDGLSVNVWGPSDLKYLVDAMRSFIPRAAMVHTRSFGPSDSTPQISSSKAKDDPFVLVNDEVVKISAILLEPGSKSGETSVVYVCELPEINGKFDPAKAMALGLRAGPKYGKLQSGQSVKSDFKDITVHPSDVMGPSVPGPVVLLVDCPTESHAEELLSTPSMKSYYSTDGDKFVNCIIHLSPASVTNSATYQSWMKRFHSAQHILAGHETKNMEFPILKASSRITARLHYLCPQFFPAPGFWSPQHANDSTNPDSFSKCFGSSLGENVSAENLLKFTLRPHLNLGIDRSCIPSQLTSSRVIDELLSEIPEISSKTEEVKRLWNGPHNNKMMIEEPWLNESTVPSCLENIRRDDMEIVLLGTGSSQPSRYRNVSAVYIDLFSRGSILLDCGEGTLGQLKRRYGLQGADEAVKKLKCIWISHIHADHHTGLARILARRRELLKGGAHEPVIVVGPRPLKNFLDAYQRLEDLDMEFLDCRNTTSTSWASLETTSPEKTTSNGSAEGSLFSKGSPMQSIYKRPSSPVTDNASALTYLKKLKRVLGEMGLEDLISFPVVHCPQAFGVVLKAAERKNMAGDKIPGWKTVYSGDTRPCPQMVEASKGATVLIHEATFEDALVEEAVAKNHSTTKEAIDVGSSAGVYRIILTHFSQRYPKIPVIEESHMHNTCIGFDMMSINMADLHVLPKIVPYFKNLFRNVVVDDEDDEGEEESDDGLMMSVNKVSSFFRN >A03p049060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20981292:20985299:-1 gene:A03p049060.1_BraROA transcript:A03p049060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM4 [Source:Projected from Arabidopsis thaliana (AT2G16440) UniProtKB/Swiss-Prot;Acc:Q0WVF5] MASDSPPANTNDGPSSPGENASSPIGNTYSSPSSLRTRRRARSSTPSQFATPPPPSRLGVPNSTPPTSRPSAARSNRPATPSHTDEPPPSSDDGGEDGADDATPTFVWGTNISVQDVKSAIEMFVKHFREAKESSDDLFREGKYMASIRKVIEIEGEWIDVDAYDVFDYDPDLYNKMVRYPLEVLAIFDIVLMDIVSSIDRLFEKHVQVRIFNLRSSTSMRNLNPSDIEKMISLKGMIIRSSSIIPEIREAVFRCLVCGYFSDPIIVDRGKISEPPTCLKQECLAKNSMTLVHNRCRFADKQIVRLQETPDEIPEGGTPHTVSLLLHDKLVDNGKPGDRIEVTGIYRAMTVRVGPAHRTVKSVFKALQTYIDCLHIKKASKTRMAAEDPMDVDNSLRRVDEDVELDEEKLKKFEELSKQPDIYEKLARSLAPNIWELDDVKKGLLCQLFGGNALNLASGANFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDSARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIENIHLPPTLLSRFDLIYLILDKPDEQTDRRLAKHIVALHFENAESAQEEALDITTLTSYVSYARKNIHPKLSDEAAEELTRGYVELRKAGKFAGSSKKVITATPRQIESLIRLSEALARMRFSEWVEKHDVEEAFRLLRVAMQQSATDHATGTIDMDLINTGVSASERMRRDILVSSIRDITLEKMQIGGSAMRLSELLEELKKHGGNINTEIHLHDVRKAVGTLASEGFLVTEGDRIKRI >A08p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14183185:14184300:-1 gene:A08p021070.1_BraROA transcript:A08p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSYKSHAEGFVKHFLLADHFLPYTSVLAGIFLCKMVYDITQSFSSIHIKSYSALTKVERTEWNNRGISTLHAIFISLMALYFVFFSDLFSDQRSLQGLIVFRSSPLSSFGLGIFHHCLSGVAVAYSLFSGEAPLYTYMVLLSEVTTPEINLRWYLDISGLKRSKAYLINGVAIFLAWLTARILLFVYMFYHVYVHYDQVTKPGL >A05p011740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5141690:5143651:-1 gene:A05p011740.1_BraROA transcript:A05p011740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSDRDSGNNAIHHKTLAESKFNSGDLTSALNHARKALALFPDTEGVSSMVTASDGDTPEWYKILKVEPFSHIDTIKEQYRKLALVLRPDENPYAGCEEGFRLVNEAFEVLSDKVRRSEYDMKLRIRVQGEIGACDGETSTFSNGACSSKIITYTRRRKRVGEGNESLRRVSEGGETREEEAKALDRHERLDEEDEGMMTLAEMQSVLKRKKPKVKITERSSTIVSREEHDISSGDETLMEMSTNKEKEALKNKSNKKKKKTNRKELSEVVDLEYVSGVNRKRDRGKLNQENYMALADEDCELYDFDKDRMPRSFKKGQVWAVYDGGDDEKMPRSYCLVSEVVSLNPFKVWITWLDFESEKLISWVKISSCGSDRSLLSLYLLSTSTVHLASPLKYLSGRFRVSEKALVEQAKPFSHVVNCERVAREVYQIYPRKGSVWAVYSEINSGLQRRKTRRYEIVVCLTMYSDAYGLSVAYLEKVNEESSNLFKRRDYGCNAVRWVEKDDVAALLSHQIPAKKLPEDQAGAGSRESWVLDLASVPPGLVAAT >A05p010820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4588438:4589958:-1 gene:A05p010820.1_BraROA transcript:A05p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGPREGGGGVMKPVILKVGVALVLSATGLILARFVSRKEDNEVTSSASNPESTSSSSRRNDGEEEEEHTESLGDQQQQEILGLRSRLEELQRKEYEMELRFERYSNMKEQEVMLMEHKSMLSLEKAQLDFYRRELSAMEEEHKRGQNLVIVFLKLVGEIKELRSENWFLEEQAKKLRRGGKQLYRLVNEKSRRSIAVEKELLKCVDELEMKNSIVKELEGEVKDLKARVDVLQEEKKEVSLKSVEMVSEEDYRRVLEECEDLKKDVANGVKEVINLRWSNACLRHKVMRNETSHEEVAFSPNRNLQEYLEMEEQAEALPLTVVADHEHHEDNNHHDDDDHHEHHTETSRRKRLMKKLKRWVEGNEKGRSTKQEERCFGRHSLKVEPEDEQMFHSRRSCSSV >A01p003220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1448104:1449507:-1 gene:A01p003220.1_BraROA transcript:A01p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein PTF2 [Source:Projected from Arabidopsis thaliana (AT4G35540) UniProtKB/Swiss-Prot;Acc:O81787] MPCKRCNGTDFQRDGATGNSFCCGCGTLQEYDNYEAQLGGISGPQGTYIRVGTTGTGSTLAYKDKKIFEAGNLIDDITERLQLGDKSEEVKRMIGKITDGEFGKGEWFSVLVGACCYALVRKEGSGVLTMDEIVNVVGCDLHQLGSMCKRVVEYLGIELGEFDLVGLFVKTASSSPRLSGVDGKKKERVVKQGGFLMNCSLKWFLSTGRRPMPLVVAVLAFVCQVNGVKCRIDDLARDCEVSFCTCKLRYKELLERLVKVAKEIGLPWAGDVNVKNVVKHSGTLIGLMEAKSMRKKRGDELVISSHAVCLKEIVKDCLRQEAMYCYDDDDEGERSSFLQMVSCEDWWKGKSKMSQRLKLKEVLERDVGLDDLPVSFIKGCDAVERRREKIKAAKLRIGAVQDPCDDDDKVSGRELSLEIGDGKKKRKRGYEIDWEDLVIQTLVLHNVKDEKIEKGHYNALLGLHVFN >A08p019460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13261017:13263435:-1 gene:A08p019460.1_BraROA transcript:A08p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLVNYAPLHARADGEENQDHQRYQRMKSISLSNKNTETKMLKRKAIQESLPNKRPVLEFNYGLKKAMIEEDASNRSSSGSAISNTESCAQFSSAGDGSELNAWETTVPCKRRTCVGRPKTSSSVEKLTKDLFNILQEQQQSSVSSEGEDLLFESMFSAEIGHGSVLMMDPPHSFAREEESEASSLSSISDAYSHSVKRAEIGAVRGSEFVGQAVKQEQLKRTKSQTGRVHVLGSHSSPLCTIDLKDVFNFDEFTEQFTEEEQKKLMKLLPQIDSVNLPDSLRMMFESAQFKENFSLFQKLIADGVFEMPSSSGAKLEDIRAFKKLALSDFNKSRLVESYNHLKEREKGTGDSVTTTTSRSSLNPNVPKTIVTIKKHCENQTQVKSESRGLMRSPKSVTKMKASDESKVLTENNGSCFSPRSLASVFAQESGGTTLFGNENNCSSDQDLLLMDLPSNGSFPQAELLHQL >A01p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10218840:10219832:1 gene:A01p020950.1_BraROA transcript:A01p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISEMQEENKPSVPFEASLDPSNPLGFLEKTFDFIGKESDLLLKESAEKDIATAVTAAKKRLSEAEKKKKAEKETVKPIEKKSTKESLPPMDPMEVEKPKKESLKPTEPKEEAKPKPIVPNKGNGLDFEKYSWTQNLQEVTVTIPIPSGTKSRSVTCEIKKNRLKVGLKGQDPIVDGEFFNAVKPDDCFWNIEDQKVVSVLLTKQDQMQWWKYCVKGEPEIDTQKVEPESSKLSDLDPETRSSVEKMMFDQRQKQMGLPTSDEIEKQDMMKKFMSQHPEMNFSNAKFN >A09p012370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6325370:6327267:-1 gene:A09p012370.1_BraROA transcript:A09p012370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCWSSSPSKSPSTTTTTPASTGNISSGPFKSTTTGTSRSTISNFSGFSAASGEDAYPEGQILPNPNLRIFTLAELRASTRNFKSENVLGEGGFGKVFKGWLEEKGPGSHSSTGTVIAVKKLNSESFQGFEEWQLLGYCLEGDDLLLVYEYMQKGSLENHLFRKGSVVQPLSWEIRLTIAIGAAKGLAFLHASEKQVIYRDFKASNILLDASYNAKLSDFGLAKLGPSASQSHITTRVMGTQGYAAPEYVATGHLYVKSDNYGFGVVLAEILTGLRAVDPLRPTGQQNLTDWIKPHLSERRKLRRIMDPRLEGKYPFKSAFRVAQLALKCLEPEQKNRPSMKEVVESLELVLAANEKPLERRTARDSPSVGHQQSHYRREHLTSFQPRQTVARAH >A01p021520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10454031:10454637:1 gene:A01p021520.1_BraROA transcript:A01p021520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAAPVAQIGLRHSTLTLSVNSTVNIQNLTNVLYSLSGSKDGFGLGKGYMRNIAGRIDGRCFHSGNFITIEWKGKRLHQAIFVIVAMFLLLLGCTILVSFASGIHGTNANSPFSDSLKTI >SC331g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000175.1:7578:9051:1 gene:SC331g500010.1_BraROA transcript:SC331g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFAFSFKYPQITGLPHGLGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLCFLNQLLHTSIFPLLHTMVQVFLLSWIGVYASYLGILKLLYSAFRHESNASMGLAMAFVESESLDSHPPPTPSVHGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEVRESSPYTSASNESLHQIGFELRVELVLCGSYSSGSDEPGSDTI >A06g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15632129:15632599:-1 gene:A06g505270.1_BraROA transcript:A06g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTAIRVLKAALNDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A04p000350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:173796:181321:1 gene:A04p000350.1_BraROA transcript:A04p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IPT3 [Source:Projected from Arabidopsis thaliana (AT3G63110) UniProtKB/TrEMBL;Acc:A0A178V8J2] MRISMAMCKQPLAPSTALNFPPANFGPNMLTLSPSGPKDKVVVIMGATGTGKSRLSVDITTRKQRHTEGKYRRYVVGITLFRRHTDEKRNEFPRKFRGPHVRRNFLGIFRGRCRWKSRGRREKAPQIAREKRGVDEIAGEREIAAERNGEEGRARPNKMRGPTEIIPTRFPAEIINSDKIQVHQGLDIVTNKITTEESCGVPHHLLGVLPPEADLTAVNFRHMANLSVESILSRGKLPIIVGGSNSYVEALVDDEDYKFRSRYDCCFLWVDVALPVLHGFVNERVDKMVANGMVEEVRDFFDYSDSNYSRGIKKAIGVPEFDMFFRNEPFLNTGDREELLSKVVDEIKRNTFGLACKQRNKIERLRKIKKWCIQRLDATPVFTRRRSMVDADVAWERLVAGPSTDAVSRFLLDFTSPQPLVEALTAVAREPSSSTGWILPSARTRNASLANLRSTLLNSPAPSGDRGEDTYTWYIDGSSSTSFSSKLTWECRRKRDTTKLWAAAVWYKGCIPKYAFNFWVAHLNRLPVRARTTHWSTNRPSLCCVCQRETETRDHLFIHCTLGSLIWQQVLARFGRSQMFREWKDIIEWMLSNQGSFSGTLKKLAVQTAIFHIWKERNSRLHSAMSASHTAIFKQIDRSIRDSILARITRRNFKDLLSQWFTFE >A01g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22025193:22028701:1 gene:A01g507760.1_BraROA transcript:A01g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGGNSRPKGKSVAATSTPARNPDGGNLGDLESTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAVRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGGGRKRKRGNSGVEESAGEVSGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGSEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGMSPRGSAVLRRAPGINFPDNVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVVRESSVRASELSALNDRESDRED >A04p015300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9506365:9507164:-1 gene:A04p015300.1_BraROA transcript:A04p015300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSSAAVVTSPAQATMVAPFTGLKSSSAFPVTRKANNDITSIVSNGGRVSCMKVWPPVGKKKFETLSYLPDLTEVELGKEVDYLLRNKWIPCVEFELEHGFVYREHGSTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKTEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTGA >A08p003690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2093437:2094837:1 gene:A08p003690.1_BraROA transcript:A08p003690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKTVCVTGASGYIASWIVKLLLLRGYTVKASVRDPNDPRKTEHLLALEGAEERLQLFKANLLEEGSFDSAIDGCQGVFHTASPFYHDVKDPQAELLDPAVKGTINVLSTCLKTPSVKRVVLTSSIAAVAFNGMPRTPDTIVDETWFADPDYCRAAKLWYVLSKTLAENAAWKFAKENDMQLVSINAAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFENPEANGRYCLVERVAHYSEVVNILHDLYPDFQLPEKCADEKIFIPTYKVSKEKAESLGVEFVPLEVSIKETVESLQDKGFIRF >A01p020900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10195658:10196380:-1 gene:A01p020900.1_BraROA transcript:A01p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESDDQERFCATPKLPLFSIPLNRAFDTPGLATPPVNIAGSVPFLWEEAPGKPRVSDENKPPASKQNAGGGGGVVRCLELPPRLISPATADEPSPTTVLDGPYVIPRRSLSVIWRSEKQSECSSNSSHSTNGRYCDGGGTTVKISRIRRKGSLVNLSHSKSDFLARVYKGFKQVIPWRRRQDNLPRMSSSNI >A08p001780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1082623:1082826:1 gene:A08p001780.1_BraROA transcript:A08p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTGSKDGGLVVLTRNVSTTATTVAAAVIIADSGGGGGCCCGCDDGGGGDGGGDGGGCGGCGGCGG >A02p003640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1663463:1663732:-1 gene:A02p003640.1_BraROA transcript:A02p003640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQNRRTSRINQLMKTILILGLLLIPSSCCNGAGTTNVFSTSSPPKVQDHRSVQYWWFLPHRFPVPGSGPSRRHNDIGLSTTSTRSSP >A09p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1303192:1305728:-1 gene:A09p001760.1_BraROA transcript:A09p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFFSSSSFDFRVFIVLSFFIVLCNGSSYSSSSPSSSLLSTHHHRHHIAKHNYKDALTKSILFFEGQRSGKLPHNQRMTWRRDSGLSDGSALHVDLVGGYYDAGDNIKFGFPMAFTTTMLSWSVIEFGGLMKSELPNAKAAIRWATDYLLKATARPDTIYVQVGDANKDHSCWERPEDMDTQRSVFKVDKNTPGSDVAAETAAALAAAAIVFKKSDPSYSKILLKRAVRVFAFADKYRGTYSAGLKPEVCPFYCSYSGYQDELLWGAAWLQKATKNVKYLNYIKMNGQVLGAAEYDNTFGWDNKHAGARILLTKAFLVQKMKTLSEYKGHADNFICSVIPGAPFSSSQYTPGGLLFKMSDANMQYVTSTSFLLLTYAKYLTSARTVVHCGGSVYTPGRLRSIAKKQVDYLLGDNPLGMSYMVGYGPKFPRRIHHRGSSLPCVASHPAKIQCHEGFSILNSQSPNPNILVGAVVGGPDQHDRFPDERTDYEQSEPATYINAPLVGALAYFAHSYGQL >A07p013380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7511061:7513710:1 gene:A07p013380.1_BraROA transcript:A07p013380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRGWLNKLKSKGKDKFSKKKETTTSNNVNEGSRTAGGEEAVSNVTKQKAAAAKQFIENHYKKQVQSQQQRQERRNMLENKLAAAEVSEEEQKNMLKDLEKKETEYMRRQRHKMGTDDFEPLTMIGKGAFGEVRICREKGTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRSGHMKLSDFGLCKPLDCSILEEKDFTFAQNVSGALQSDGRPVASRRSRSQMEQLQNWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDDPMTTCRKIVNWRNYLKFPEEVRLSPEAKDLICRLLCNVEQRLGTKGADEIKDHPWFVGVEWGKLYQMKAAFIPKVNDELDTQNFEKFDETDKQVSKVTRSGPWRKMLSSKDINFVGYTYKNVEIVEEDQLSGIAELKKKSTKPKRPSIKSLFEDESASSNTSHQGSFLNLLPQVPEKEGKSSSSG >A03p062620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27189243:27190173:-1 gene:A03p062620.1_BraROA transcript:A03p062620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRGLRLSPPEVSDSEAQHPPPSFPTPEISHNKSDICSVSHSFSTATTATAASILEDDAISKLHRHPPPPSSSSSSSSSSSFSSRNISERISRSPGGRHSPQGKLRPSGLLEGPRRRSRSPATRGPSSARRSPMKKREAALEKDGAEVKVKKLAVEVKKEGRRCSCEGALCVDGMLHLSLISLSNSIN >A05p020920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9878698:9878959:1 gene:A05p020920.1_BraROA transcript:A05p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFASSSGSRNSPPSLTSPSSFENVAFGLQNVEAFFPAGPSLELLNIGEVSNFERTEP >A03p047530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22380876:22383329:-1 gene:A03p047530.1_BraROA transcript:A03p047530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDVITNPGPLKDIVLYDQEKHVSSAVWDGQERGALRCHEHTSKLSEWKLNSKQIELVERAGFGYLRRIPAISLDNPLISALVERWRRETNTFHFTVGEMTVTLEDIALLLGLGIDGKPVIGFTYTTCSSVCERYLGQAPDSTYASGGMVKLSWLKETFSFCPDDASFEEVERRTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFEDFDDAGTFAWGAAALAFLYRALGNASVKSQSTICGCLTLLQCWSYYHLNIGRPKLNREPVHDHFPFVLRWKGKQNGPTANRDVIFYRKALDVLKPSDVEWLPYENMDGRYIPEHIRNSLQLGRSRTMLISFDKAERHLPDRCLKQFGLFQGIPEDVHKWVRKSRGVDGGVNFSSKMEPELNEWEMRWDNIVPDDVLGVDEADYMRWYLGITRKVVGRPISLSSEFQRTIANVRDILELAENFPTHDLDLERGNMMARIISLAQDCLRDQVGVTPTESQQQIELGKRMRGKERVRRKGMGKRRKGIDPMEDYGGSEDESQFGGPVLEVDQLHLPLTHHGNSVYDGTHMYDPVTKVDDMELCDEIPQLPEAQDMNKIDGGSSSLDVVGTSNAMTGEEGLGELPESYDVKREDRESKVEDNDAAKESNEENVNREEEDGIDMGGNVAESSSLGRRGENSVVA >A06g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3088709:3089133:-1 gene:A06g500760.1_BraROA transcript:A06g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKDETMSALVLRGARKASKKTSSRPANKRYGCRVNKTSACNMKYSDEWAPSRPPKASDGLINQAYAVEEFAMGGQNDSDSD >A02g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15270505:15271686:-1 gene:A02g504780.1_BraROA transcript:A02g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSTPSKYGGVQKIELAKIVEFIDYVLNSAPHAVEFLCTAQVDDIETINGPMLHHQSFAYKDIFQVL >A08p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16980794:16985509:1 gene:A08p026740.1_BraROA transcript:A08p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEDIKNETVDLEKIPIEEVFQQLKCTREGLTTQEGEERIQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKLAKANSDLSKKVLSIIEKYAERGLRSLAVARQVVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGSHKDANLASIPVEELIEKADGFAGVFPEHKYEIVKKLQELKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGGYQAMMTVVFFWAAHKTDFFSDTFGVRSIRDNNNELMGAVYLQVSIISQALIFVTRSRSWSFVERPGALLMVAFLIAQLVATLIAVYANWEFAKVRGIGWGWAGVIWLYSIVTYFPQDIFKFAIRYILSGKAWLNLFENKTAFTMKKDYGKEEREAQWALAQRTLHGLQPKEAVNIFPEKGSYRELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIETSGHYTV >A06p018360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8919643:8926278:1 gene:A06p018360.1_BraROA transcript:A06p018360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIVAFHSGPPLPSVVHAIHYIYLTQDLITLTTKTSKVSFGYHQSLFITMDPYKYRPSSAYNSPFYTTNGGAPVSNNISSLTIGERGPVLLEDYHLIEKVANFTRERIPERVVHARGISAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVVHERASPETMRDIRGFAVKFYTREGNFDLVGNNTPVFFIRDGIQFPDVVHALKPNPKTNIQEYWRILDYMSHLPESLLTWCWMFDDVGIPQDYRHMEGFGVHTYTLVSKSGKVLFVKFHWKPTCGIKNLTDEEAKVVGGANHSHATKDLHDAIASGNYPEWKLFIQTMDPADEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNRTIDNFFNETEQLAFNPGLVVPGIYYSDDKLLQCRIFAYGDTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEINYYPSKFDPVRCAEKVPIPNKSYTGIRTKCIIKKENNFKQPGDRYRSWAPDRQDRFVKRWVEILSEPRLTHEIRSIWISYWSQADRSLGQKLASRLNVRPSSAHDSPFWTTNSGAPVWNNNASLTVGPRGPILLEDYHLIEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLSCADFLRGTGVQTPVIARFSTVIHERGSPETLRDPRGFAVKFYTREGNLDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDVGIPQDYRHMDGFGVNTYVLINKAGRAHYVKFHWKPTCPVKCLSDEEAIRVGGTNHSHATKDLYDSIAAGSFPEWHMFIQVIDPDHEDKFDFDPLDVTKIWPEDILPLQPVGRLVLNKNIDNFFNENEQLAFCPAIVVPGFHYSDDKLLQSRIFSYADSQRHRLGPNYLQLPVNAPKCAHHNNHHEGLMNFMHRDEEVNYFPSRLNPVRHAEKYPQNPIACAGNREKCMIEKENNFKQPGERYRSWDADRQERFVKRFVDALAEPRVTHEIRSIWISNWTKADESLGQKLATRLNVSPNF >A03p005670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2370082:2371348:1 gene:A03p005670.1_BraROA transcript:A03p005670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFWLRKKITKLAALRAKLNSFNVFCCVGALAITGSLHHVDKDLLGWWLCVRQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKKSLSSSSWTIRIWRMEASQTDLTMMLISTTPTLELHGFHFLSTVESKQLIQPTLCLLMSSTGSSSTNEGR >A10p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:927464:941022:-1 gene:A10p001830.1_BraROA transcript:A10p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDFKEKVGLAQSPDASTSDSFAVDLSAPPSSSASPSSAHPDASSNHRLDFSSSPSRDNHELELDFKRLWEEFRSSSSEKEKEAALNLTVDTFCRLVKRHANVDQLVTMLVETHIFCFVIGRAFVTDIEKLKIGSKARSLDVAKVLRFFSDVTKEGFSPGANLLTAVEVLVSAPIDKQSLLDSGIFCCLILVLSALLAYDEPSKSKTTGLEEVSPDTDAGCRVLQTRRLEVEGSVVHIMKALASNPSAAQSLIEDDSLEALFNMVANGAVTVFSQYREGLVTLHSIQLHRHAMQILGILLINDSGSTSRYIRKHHLIKVLLMAVKDFDPNCGDSAYTMGIVDLLLECVELSYRPEANGVRLRDDIRNAHGYHFLVQFALVLSSLPKNQTFVSSRSSVNQDSGSDGSELFPDVENTNGREDAGFPTQDFSPSLSRLLDVLVTLAQTGPAEPSVGRATRSSQTKPTGHSRSRTSSVDSIYDETWEQGSSKVKDLEAVQMLQDIFLKADNKDLQAEVLNRMFKIFSSHVENYRLCQELRTVPLLVLNMAGFPPSLQDIILKILEYAVTVVSCVPEQELLSLCCLLQQPITSQLKHTILSFFVKLISFDQQYKKVLREVGVLEVLQDDLKQHKLLMGPDQYSGVSDHSDPKPSSGSFKKHLDTKDAIISSPKLMESGSGKLPVFEVDETITVGWDCLISLLKKAEANQASFRAANGVTIILPFLISDAHRTGVLRVLSCLITEDTKQVHHEELGAVVDLLKSGMVTGISGHQYKLHDDAKCDTMGALWRIVGVNGSAQRVFGEATGFSLLLTTLHTFQGDKDHMDESDLMVYIKLFKYLFRLMTAAVCENAVNRMKLHAVITSQTFYELLVESGLLCVELETQVIQLLLELALEVVLPPFLASESAASAAITESEKTTFVVTTPSGQFNPDKERIYNAGAVRVLIRSLLLFSPKTQLEFLNLLESLARASPFNQENLTSVGCVELLLEIIYPFLPGSSPFLSYALKIVEILGAYRLSPSELRILFRYVLQMRIMKSDHAIVEMMEKLILMEDTELDHLSLAPFVEMDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQGKESEASKVGSSSRTRISSAQQHEQNIFRLFSVGAVSNESPFYAELYFQEDGILTLATSSSNSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASVANVYLDGKLRHTGKLGYSPSPVGKSLQVIVGTPSTCARVSDLTWKTRSCYLFEEVLSSGCIGFMYILGRGYKGLFQDADLLRFVPNQACGGGSMAILDSLDSDMTSSSNGQKFDGSNRQGDSKADGSGIVWDLERLGNLSFQLPGKKLIFAFDGTCSEFIRASGSFSLLNLVDPLSAAASPIGGIPRFGRLVGNVCICRQSVIGDTIRPVGGMTVVLALVEAAESRDMLHMALSLLACALRQNPQNVKDMQTIRGYHLLALFLRPKMTLFDMQSLEIFFQIAACEALFSEPKKLESIQSNISVTPTETIFENSYEDLGLSRFRYESSSVGSHGDMDDFSVPKDSFSHLSELETDIPVETSNCIVLSNVDMIEHVLLDWTLWVTSPVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVEHLLVTLQRGDVEVPVLEKLVVLLGCILEDGFLTSELENVVRFVIMTFNPPEVKSRSSLLRESMGKHVIVRNMLLEMLIDLQVTIKAEELLELWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPNFSLKFRTSGGYQGLMRVLQNFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALVPNDGSHVELKFIDLLDSVVGMARSTYDRLIMQSMLAHQSGNLSQISASLVAELVEGAEMTGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPQFSAACRRADFVENCADLYFSCVRAAYAVKMAKQLSVKAEEKHINDGDDNGSQGTFSSLPHDQSTKTSISAGSFPQAQVSLSSEEMPLPANIVVNDKMVNILTPPPQDSSKSFQGVEDIKKQDDNHVGPVSASSERDFPDLKGNANQVQATDSQSSASFHMIESPLLSEKSGLKVSFTPSPSPVVALASWLGSNYHESKSSTVGSPSLESYVSGTEVDASSERKSGSQGSSAAHAFFTVTPKLLLETDETGYGGGPCSAGASAVLDFMAEVLADLMTEQIKAVPVLESILEMVPFYVDPESVLVFQGLCLSRVMNYLERRLLRDDEEDEKKLDKSKWSANLDTFCWMIVDRVYMGAFPHPSGVLRALEFLLSMLQLANKDGRVEEVTPSGKGFLSLGRATRQLDAYVHSILKNTNRMVLYCFLPSFLITIGEEDLLSQLGLLVESKKRPSPNPAVDESGIDISTFLQLLVANRRIIFCPSNLDTDLSCCLCVNLISLFLDQRKSVQNMSLDIVKYLLVHRRSALEDLLVTKPNQGQKVDVLHGGFDKLLTGNLPEFFKWLESSDKIINKVLEQCAAVMWVQYIAGSAKFPGVRIKGMEGRRKKEMGRKSRDMSKLDVKHWDQLNERRYALEVLRDAMSTELRVVRQNKYGWILHAESEWQTHLQQLVHERGIFPMRKSKRSEDPEWQLCPIEGPYRMRKKLERCKLKIDSIQNVFDGKLELGEIELPKVKNEDGPVISDTDSEPAFLLSELYDEAFVKESDDFKDVASARNGWNDDRASSTNEASLHSALDFGGKSSTASVPITDNTLAKSEAGSPRHSSSAKMDETKGEEEKSENELNDDGEYLIRPYLEHLEKIRFRYNCERVVDLDKHDGIFLIGEFCLYVIENFYIDDDGCICEKECEDELSVIDQALGVKKDASGSLDFQSKSSTSWTTAVKTGALGGRAVGGRAWAYGGGAWGKEKMGTTGNLPHPWRMWKLNNVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLSRNSMYGIIVIFISFLFVPRTGLDTTISGSAKQESNEGSRLFRLMAKSFSKRWQNGEISNFHYLMHLNTLAGRGYSDLTQYPVFPWVLADYESESLDLSDPKTFRKLHKPMGCQTPEGEEEFRKRYESWDDPEIPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFNSIKDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGEKVGDVFLPPWARGSVREFILKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGNVDIDAVSDPALKASILAQINHFGQTPKQLFQKAHVKRRTDRKVPLHPLKHSMHLIPHETRKCPSSISQITTFHDKVLVAGANCFLKPRGYTKYITWGFPDRSLRFMSYDQDKLLSTHENLHESNQIQCAGFSHDGRIVVTGAEDGLVCVWRVSKDGPRSSRRLRLEKALCAHTATVTCLRVSQPYMMIASGSDDCTVIIWDLSSMSFVRQLPDFPVPISAIYINDLTGEIVTAAGTVLAVWSINGDCLAVANTSQLPSDSVLSVTGSTSSDWLETAWYVTGHQSGAIKIWRMIHCTDPLSAESKTSSSNRNGGLNLGDQVPEYKLILHKVLKFHKQPVTALYLPGDLKQLLSGDSAGQLLSWTLPYETLRASLKQASLKQASLKQAS >A09p075350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56799639:56802028:1 gene:A09p075350.1_BraROA transcript:A09p075350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPTRSKPAREIFLTSSSLSFSSFSSSCASSDDDAAAIELNIEATSPTSRGKLLKASSRSGSEMSCLTHVTMQPAPSPPSKFRPGSPYDPERIPSSVFGKKAKDVNWSDDSLFSLRMSNYEGFRQSNLMPGDVLMPGEFLAYSPSLKVNPEDVEDEMVKKKKTTSEETNNIHGEMSLSPTPSAYSYPSSFAQLYQAPPQSSKAQSIPLPGFQSANVTYVAATDGRNVAAAVANEFFILHLQGADHDKPSIMTLKKAADFRKKETTKNLELADLFELLGQSMGGCVACYRERSRATETLKDPPPPPFNNNSTAPNVSEDFWSTSTVDMDNITFPSQGSISSSNQTSDSQSAARNSNAPPEFVNQGLLLWNQTRERWVGKERASNSPERKQGAKINWNAASYDSLLGSNKLFPQPIPLSEIVDFLVEVWEQDGLYD >A10p022550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14862408:14863349:-1 gene:A10p022550.1_BraROA transcript:A10p022550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRFFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIESVGNGLVRNGTAFVTFPVKYQCVVFRPFKGQILEAVVTLVNKMGFFAEAGPVQIFVSKHLIPDDMEFQAGDMPKYTTSDGSVKIQKECEVRLKIIGTRVDATEIFCVGTIKDDYDPAAAP >A05p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4362781:4363917:1 gene:A05p010370.1_BraROA transcript:A05p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVEGAEGFATRDYEDPPPTPFFDAEELTKWSLYRAVIAEFVATLLFLYVTVLTVIGYKISSDTKAGGDECGGVGILGISWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGVGFVKAFQSAYYVRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNESKPWDDHWIFWVGPFIGAAIAAFYHQFVLRASGSKSLGSFRSAASV >A05p040370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24703528:24707442:-1 gene:A05p040370.1_BraROA transcript:A05p040370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDHLPSSSQVFREHFISRKLLQQLPFCHTIQQQQAHAPEKNNFSGNVLLLLSVLVCGIICSLGLHYVIRCVFRRHSSFMISEPISSPSTLAANKGIKKKALKMFPVVKYSREMNLSGLGEDCVICLSDFVAGERIRLLPKCNHGFHVRCIDKWLTQHITCPKCRHCLVETCQKILGDCAGQVAAPRESIDVIIAPLEREARGKFMAASAKAHGSPVSNSWGFDLLILLPHGTSIAVNGLIMSAETFAPIIYEDFLENFYSRRLLLHTAYQPPTMASPPFGDAHEASHSHAHQMSFDANVVMVLSVLLCALVCSLGLHSIIRCLLRYSSEASEELTVRMANTGVKRKALKSFQTVSYSTEMNLPGLDTECAICLSEFVSGERVKLLPKCHHGFHVRCIDKWLSSHSSCPTCRDCLIQTCQKIAGCSETTSSSNQPPQGSFSVHIAPLGPERFIHGF >A10g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12672216:12672791:1 gene:A10g505110.1_BraROA transcript:A10g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCDAAVVAPTSFDTLRLGRSAQVTVAPLLRFWDSRNIKEQGEFMGITLLFLDEQNSVIHEFIHTARLTHYRPSLRSGSIVKDIVGMIRSVQGSDLKDAGVMTRVVVGFAIEP >A09p010870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5508958:5510014:1 gene:A09p010870.1_BraROA transcript:A09p010870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKTLARNFFNISKTYSRVTRMRPPSKSGITPVAGDSGIRRRFIHKRALFWPEISPKGGTLMEKLRELSLSNNRIRLDEMLPPPTPENTSPGISQAVTMEDVKKLMRAAEMEMVKTRLREIGQNWVPYSEFVRVCGENNSDPEQGNRVANMLEEGGDVIVLGNFVCLKPEELTNAVAGLIPTHEPTRDAATRQEFEQLEVIKSDIDKRAENMAKRELYAGLGLVIAPTIGCFRLTFWELSWDVMEPICYYVSTGYFMAGYAFFLRTSKEPTFGGFFKSRFEKKQKQLIKSLDFDIDRFTKLQKIHRPELTDSAARS >A10p038630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21550330:21551686:1 gene:A10p038630.1_BraROA transcript:A10p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRILRDHEAYRCERSDFPILCQSCLGDNPYLRMALANFDKECKVCTRPFTVFRWRPGRAARYKKTEICQTCSKLKNVCQVCILDLEYGLPVQVRDTTLNITSHDSIPRSHVNREYFAEEHERMTRAGLDCDSSFGKMGPNDTLLNLQRSEPHYEKNLPHVCSFNTIGKCTSGAGCAFRHEMPKTGELSHQNIKDRYYGKNDPVAMKLLRKAAEMSTLEPPEDERIKTLYIGGLNSGTILEHDITWKYQEGSVAQSGLLPPTMQHQFNMHSAPPNQDNPFYPSMDPQRMGGLSTSENNGASSYLMPPHQPYTPPPY >A09g516370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48334900:48336034:-1 gene:A09g516370.1_BraROA transcript:A09g516370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHIDGVVFLATDFVQKDEKKDERVDRILDMINRKHDWNNHVWGVKEATNSEFEESGEEKGEDQTADTERDRGAESRKKNVLCHLAASSKGNIDIDMKNFLEDLVQASFTTFGKKFCQQFSDRLGKIETEVTQLRTASERTEQFETVVTDRLGKIKAEVTQLRTTLVVTELVGKSDQASGPSMTKINIGPSTSRKDTAPSKKKVFEARLLELGMRRSIKRLSVFLSVLHIDQDRPTDRPFNIQIDRSKRSGHHSLFKGLIDSDLLTKLISYENSTKFSQTES >A09p075020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56673930:56676154:1 gene:A09p075020.1_BraROA transcript:A09p075020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGDWTREAMSDDSLVAEALISLHHVEPPPPPEKSGASDLELKWTVRQRRSKATKGEHTRASPSTPLSWSGATSLSGGGGVGNGVAAVEESSCIVKLSEAVRSKISQTSVKPTTHFKRSRKKKTLAELKEEESLLLKEKKDLKNELASIRNLLKQQRARNESLKKLQAAAETQKNDDSSFLLPDLNIPLDNNTPS >A08p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12589216:12592535:1 gene:A08p018160.1_BraROA transcript:A08p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKYVDSHALIGGAKPSLLGRRLYLSRLSFSPLVAPVELFRWCFPFPPFEGHGHHHHHHRSIAGTWSSPPSSIPQERKEENGGGGVVYYGPITSTLGKSALSGCSLFSRNASIDVITLELTLRKARAHGGVVRGLHESAKLIENRVAQLCVLAEDCNQPDYVKLVKALCADHSINLLTVPSAKTLGECAGLCKIDSEGNARKVVGCSKFSRRNFAVITKDTSKRRHRDTIFVPRREGNAHVDQSLDFLRSVHTQLPRNQRSPVMSTTKTASSPPATASMSASKSPTTFEQRCLKNNGKHASASKIRGYRPVSQGQKRSHLVLPGVPELWESVDEEDDLISSRSGCWNLSHVHAGIRMLESGFVFYGV >A06p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22175514:22178267:1 gene:A06p041100.1_BraROA transcript:A06p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSSAKVSGRRNHSPRSPNPNPLTVAKHRPPQTPCSFLAVTIQKDHRTQPRRSPATTKKTPPQTQTQTRQTPPHGKGREKAGNNNNNNKGKRHGEAIPYGKRVDFGYAKDFDNRYTIGKLLGHGQFGYTYVATDKKTGDRVAVKKIDKAKMTIPIAVEDVKREVKILQALTGHENVVRFYNAFEDKNSVYIAMELCEGGELLDRILAKKESRYSERDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSTDEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVISYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPTVSNSAKDFVRKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLNNMRQFVKFSRLKQFALRALATTLDEEESADLRDQFDAIDVDKNGAISLDEMRQALAKDHPWKLKDARVAEILQAIDSNTDGFVDFEEFVAAALHVNQLEEHDSEKWQQRSRAAFEKFDIDGDGFITAEELRMHTGLKGSIEPLLEEADIDNDGKISLHEFRRLLRTASIKSRNVRNPPGYLVSRKV >A09g513570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41310541:41312224:1 gene:A09g513570.1_BraROA transcript:A09g513570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDALGRLWLCCIVAEHPPFLHLPSTRALLSTLSLESDDFLRDCLVHGLHSLELSLFSHQSSFSQSHLGPFFGSRSLGNWRRKQVVGLSLIAVCPPVAPIVRRMLSQRRAALGLPVRDPQESDPTRQQPSNPTDYFDDM >A01p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:487739:490509:1 gene:A01p001280.1_BraROA transcript:A01p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSVDKPIRPLIRSSSCYMSSLPSEAVDDKERSRVTWPKQLNASLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGFIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQATTSEYKAYQEQVLSNSAKFAQTLMEKGYELVSGGTDNHLVLVNLKPKGIDGSRVEKVLEAVHIASNKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEYFDKAVKLALKVKSEAQGTKLKDFVSAMESSSAIQSEIAKLRHDVEEFAKQFPTIGFEKETMKYKN >A04p002200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1049258:1051676:-1 gene:A04p002200.1_BraROA transcript:A04p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPNLYRLRRPIRSIAAETPAGDAREIYKTPITVIIISFPLSLSLYIVRSHCVCVDQITNTRVVFDSMDDRGGGSFVAVRRISQGLERGNVYHSSSSSAEAVAGSAAWLGRGLSCVCVQGRDGASRPSLDLTPAQEECLQRLQRRIDVAYDTSIPQHQEALKGLWKLVFPEEELHGIVSEQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKRFPKSFQDLLRKQVGDRSVWEYPFAVAGINITFMLIQMLGLEAVNESAFDILYCIAFKLMDQQWLSMRASYMEFNTVIKSTRRQLEREIMVEDITYIEDMPSYSLLSQQIPN >A09p050690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44494220:44495228:-1 gene:A09p050690.1_BraROA transcript:A09p050690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRGEMTTTTRPERPKTLHNFTLPSLKWGSQRHLTCTKIESTSSGSGSGDHRLRRRSPPLRFSDSSVTIPASGESEHRSRSSNRNSQNRRPRNAPLKSVDDGGEEEEEEGIEEFRVKIMSDLKTVRDKITQSMYALGERDEEQRRTDGSGSGQEEKEVSPVKPWNLRKRRAACKEPAEGRIVNPSPPPSRNDPTRVVKERGGAGEAETAAKEMTVRPKFFVKLSKKEIEEDFMAVVGHRPPRRPKKRPRTVQKKLDSLHPAFYLTEVTLDDYKVEETKR >A10p000610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:334314:335033:-1 gene:A10p000610.1_BraROA transcript:A10p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRKRELRAPFHIFITITLFLLQLCAATSQINHSESSTQASVNSPSAPNSRFLSSSALSLTGKHSSFRWGRRRHRNKVNRASIEFLYAHNLVRARVGEPPLEWDGRLAAYARAWARQRVGDCRLVHSNGPFGENIFWAGQNNWRPIDVVKVWADENKFYDVRGNTCEPGQMCGHYTQIVWRDSTKVGCARVDCSNGGLYAICVYNPPGNFEGENPFVSYHGQIGLLREEPPAVVVNPF >A03p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:550120:556371:1 gene:A03p001010.1_BraROA transcript:A03p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRMEDVEELPADKRACNSQDSRSSSSGGSSVQSQSQEAANGASSGHETTDADMDTSSSDSPSSHSDGEPDKEEEEEEEEEDYGSCDSDDDDEEGEDPRHKALQDVQWGRSSEDQQKLTSLVTRLSEKVDPSLQLTGLTELCEVLSFCTEDSLSTVMADTLARVLVKLANHESNADIMLLAIRAITYLSDVYPRSVAFLVKHETLPALCQRLQAIEYLDVAEQCLQAFEKISKDEPVACLNAGAIKAVLSYIDFFSTSLQRVAVSTVVNICRKLSSESPSPFMDAVPILCNLLQYEDRQLVESVAICLTKIADQVSESPEMLDQLCSHGLIHQSIHLLNLNGRTTLSQPVYNGVIGLLRNLSSGSILAFRTLYELNIGYRLKEIISTYDISHSVSSTHPNNTCSNQVHEVLKLVIELLPSSPVEDNQLALEKESFLVNQPDLLQQFGADILPVMIQVLKSGANVYVSYGCLSAIHKLTCLSKSADFVDLLKNANILSVLAGILSRKDHHVVVVALQIAEVLLGKYSDDFLNSFIKEGVYFAIEALLNSGQQNQGSADVSEEHVPKETVKCLCQSFERSSSSSSQTCKIEKDSVYILATRIKESFFGPEVFDSQKGLTDVLQNLKHLSAALDDLMTEPIDAHALHDEKFFSVWSRIMERLNGRESVSTFEFTESGVVKALANYLSNGLHQRKFSKGDPECDSLPFVGNRFEVFTRSLWSDGEATSSVLIKKLQNSLSSLENYPIVLSQFLKQRNSFATVPNGRSISYPILRVRFVKAEGETCLRDYSQDLVTVDPLCFLDAVDQYMWPKVQLEPLDSVEEKDQAMECPSSQLQSTSISCLGESSTHMEIDSPNASQLQGSQEEDQEQLPDSGEENTSSSEEEDALPEEDALPRLLFRLEGLELDRSLTVYQAILLHKLKSASETTNDSKLSGSHTITYERAPQLAESDENLFPLGSMDNDEYHPFLSFLFAQRLDLRHKATNPPAYDILFLLKSLEGMNRFLFHLICHERINAFGEGRLQNLDDLRVQVRPVPYAEFVSSKLTEKLEQQLRDSFAVSTCGLPPWFNDLMGSCPFLFSFEVKTKYFRLAAFGSQKVHHQPQHLSSEGRPVTGSLPRKKFLACRENILESASKMMELHGNQKVVIEVEYSEEVGTGLGPTLEFYTLVSRAFQNPDLGMWRCDRSSFVGKPKEDSGFLVAPSGLFPRPWSDASAAFPDVLQKFVLLGTVVAKALHDGRVLDLPFSKAFYKLILGQELSSFDIHFVDPELCKTLVELQALARRNKVFSESQSDARAAKCDLSFRGTKIEDLCVEFALPGYSDYDLAPHSSTDMVNLDNLEEFIKAIVNATVCNGIQKQVEAFRSGFNKVFPIEHLGIFNEEELETLLCGERDLFNMNEVLDHVKFDHGYTSSSPPVENLLEILHEFDKEQQRAFLQFHGSDSSETDLPSVMTCANYLKLPPYSSKEKMKEKLIYAITEGQCSFHLS >A04p029790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17778979:17779952:-1 gene:A04p029790.1_BraROA transcript:A04p029790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATLICCADEAALCASCDVEVHAANKLASKHQRLFLDSLSTKFPPCDICLEKAGFIFCVEDRALLCRDCDEATHAPNTRSANHQRFLATGIRVALSSTSCSKEVEMNHFDPPNQQILSKPTIQQSAAPSPPWAGDEFFRYSDLECSNKQKEPLDLGELDWLSEMGFFGDQPDQEALPAAEVPELAVSHLAHVHSYNRPIKSTNVSNKKPRLEIRYDDDDEQHFLVPDIG >A05p053820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31091120:31093315:1 gene:A05p053820.1_BraROA transcript:A05p053820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVAHFSTPLITGARFFSPTCLIHPKSISTVRRRKFSSSFTVMASAAQSSSQAVSPGSAETDVFKLIQAHEAKAARLSPVEEIRTVLNGSVCGMLSTFSQKYEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLLANPKCSLLVARDPEDRTGLRITLHGDAVLVSDKDQAAVRSAYLAKHPNAFWVDFGDFSFMRIEPKVVRYVSGVATAFLGSGEFNKEEYQAAKVDPIAQFAKPVTSHMNKDHEEDTIAIVHHATSIPVESALMLDLDSLGFNVKATLQGNTFKLRVPFPRRAQDRKDVKTLIVEMLQAAKSVSN >A10p024920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16073450:16074995:1 gene:A10p024920.1_BraROA transcript:A10p024920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAVGVSKDMASTVFPGFKFSPTDVELISYYLKRKMDGLEKSVKVIPETDIYSFEPWDLPDKSIVKSDTEWFFFCARGKKYPHGSQNRRTTKMGYWKVTGKERDVKSSSQVIGTKRTLVFHIGRAPKGQRTEWLMHEYCMKGGVYMVHYSEDDALVVCRLRRNREFRTCTSQKAPLEPHLAADKHMILQNGAASSGSPVDFYLAGESGDNHLTETPENLQVETEEDFYGDILRDEIINLDEVVMSGKTPNEVPTLESVSMEIRILPLPNMIDGQMASILDERPSQEKKGKGISGTEPLSSCFMGVYSIKWVNRVRRDVIIAVVGLIVMLFYQE >A06p021820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10859436:10860119:1 gene:A06p021820.1_BraROA transcript:A06p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYMESYQNRDQIVQNSSAEVRLPSHTAQDDRAVYRLDPLTSGMELRPSPQPEDRSDRTSTRPSQPSRQAKANSRARLDLDHARLDTESQARLDLDCARLHTESQARLDLDHTRLHLDHEVSQNDQDFSLLVRLARTDCSNDRANGLTLMSDSLLDFYHSDFSKAQIIQLSEDLGRISTFLDQATDCPDRPAFVQLLTAALQLDRMNLDVSQRVTLTRSEAEEFLD >A06g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19655090:19660487:1 gene:A06g507110.1_BraROA transcript:A06g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCLRISDGGTASFIIKNQPSLVEADIDTVFSLTTERLLQVANEIQKRDMVRDFLVGISKVIYDYARYVQLPVFRNLYTLCVRFNSYMWEMLPDFLELCPNLKTLVVGTSENPKMVDLTVIARPWNLLSSLEHVDIERPLKGEALRMALVGYLLENSPNLKKLSLSLHDSLKKGKSVHKLTLSLDNAPKKKESDIFIELLNFPRLSSSCQIIIFLNLPTKDVVQTSALSTRWTTLWKDVSGLDLDAEDFYIRETFVSFVDNFLERNHGLSIHRFKLKYDSFYFEEPAGLINGWVDTAARLKVEHLDVSDSFSEWDPMMNPTVLYTCSNLVSLRLVGMGLPNPECVSLPSLKTLVLILVEFTNKWALENFISKCPVLENFCFERSYDDGIPILRVHSKSLLTFMHDAGYHEDYEEEDRFVEIDAPMLKCLKISDDRTSSFIIKSPPSVVEADIDTVFNLTSERSLGIANEVQKREMVRDFLVGISKVKDMSISSSALEVIYDYSRYVQLPVFRNLYTLRVRFDSYMWEMLPVFLEVCPNLKTLVVGTSENPKMVDLTVITRPWNMLSSLEYVDIERPLKGEALEMTLVGYLLENSPNLKKLSLSLHDSLKKGESVHS >A04p018190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10947720:10948352:-1 gene:A04p018190.1_BraROA transcript:A04p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVCRGWNYTSNHLSDEDGRIVVIWKDSVSVNILCQSRQTLTCEVKIPSSSLFVYTAIYASNESTERADLWVELLNLHHTYALSTVPWMLGGDLNQIIHYDEHSIASVNSFTARMVELKDCLLQMELFDVRFQGPLFTWSNRQPDDPIAKKLDCLLINNHVLNLFPNCTSFFLPTFFSDHSPCITDLSFTIPSSGTRPFKFFNYLTLHP >A03p010920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4359321:4360998:1 gene:A03p010920.1_BraROA transcript:A03p010920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDQPRLSRRIRFLLLSWLRRTRSGQIEFVRRFGYTELVKATEGFRKVIYTNYHGSAYTAKFKGGEVALVKELKSLDLGRERFYEEVQLLGRLRHRHLLTLRGFCTGRKRMLVYDNIENGSLKEHLNDPLKTPLNWKTRIQIAIGVAAALEYLLIFSSSDAQIYDVSVNSCNIMLDQNFTPKITDIRINRHTQSHPKTTNDACSEGSCADEECGNVIFQLGVLMLELITGQSSDRQGEDLIEWVQHSCIANSIDKMIDPDLGNSYNSRELQKVLAVARLCIKSRYEPPSFSITHVYRYLQKKIDVAS >A02p025220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12347741:12348737:-1 gene:A02p025220.1_BraROA transcript:A02p025220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVMAPTRNLIRDEELGTISDEDDSPSGKRSKLDRFPLSRCELAVSLGVFLVFSSGLFCIYITMPSAEFGKLKSSQEASLISACSNNLANYANEYPGPANNARTKLLEKGITPTLPNLFINLASPIVDVPFHVFFLATLVGLIPAAYITVRAGLAIGDLKSVKDLYDFKTLSVLFFIGFISILPTILKRKKIYE >A01p024710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:12310291:12310932:-1 gene:A01p024710.1_BraROA transcript:A01p024710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP11 [Source:Projected from Arabidopsis thaliana (AT4G14860) UniProtKB/Swiss-Prot;Acc:O23341] MSTFLRKKLHLCFSSSGVVSPSIPSSPIVVPNHNPPSNLHHHTPSIFINNFNSLYDHLSVSSPLHRNDKFTSVAAALTTPKSGDTGSSFFSGGLHPSPRRADDEDDEEDEDDEDENYAVVSKLLSDGTAVMKHIDSPDPCRDFGRSMREMVEARDPTRDDVSDREYLNELLFCYLSLNPKHTHKFIVSAFADTLLWLLSQSSSPEYYSLSQSI >A09p069690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54239830:54240588:-1 gene:A09p069690.1_BraROA transcript:A09p069690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSTGGGVKGKYVAAVATSTRQTRSMARQTRSQTKGRGGGNSTSSKLMNFESPEKRKRKTKAKEGGAATKKIKKEKEEAVVEDHVDEEEEEEEEEEEVSEKKTIVIEHYKQHKSFKERANKVKEGLENAVPGIIVTLNPLKPRRGCFEIREEGGESFITLLDMKKPFTPMTELDMEEVVSNIVERIK >A05p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8974935:8975968:-1 gene:A05p019090.1_BraROA transcript:A05p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLHERKLKANDLKYRQRFNTMAISKLHERKLKANDLKYRQRLIRKGIPPVLREKVCLSLSDAAKKSPPP >A05p042100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25479516:25489500:-1 gene:A05p042100.1_BraROA transcript:A05p042100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) UniProtKB/TrEMBL;Acc:A0A1I9LN37] MPRNAPRIEMPESEENEFASFSLFSPSRAPLNSIPDPSQLQKTTRLSGFDLAQKLELARTQGPERRFEGRAGAHDSNPRIVTRNARSRSEPNSAQSTPTRSGARVSLGGGCVTGARVAQSFVGRGRIPRGISMADCAETAPHFEMNEDHSFWKEHNVQVLIRLRPLSTMERATQGHGRCLKQESPQTLLWLGHPETRFTFDHVASETICQEKLFRVAGLPMVENCLSGYNSCVFAYGQTGSGKTYTMMGEISEAEGSLGEDCGVTARIFEYLFSRIKMEEEGRRDEKLRFSCKCSFLEIYNEQITDLLEPSSTNLQLREDLGKGVYVENLVEHNVRTVNDGAANRKIAATHMNSESSRSHSVFTCTIESIWERDSLTHSRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGSTNETLSTLKFAQRAKLIQNNVAKVNEDASGDVTALQQEIRKLKVQLFSLMKNHNSCGDLSDCIASLEEPRYSGTCEVPRKTQQEKCHCQVNYSLRMKVKNTKDILIGALRRENIAETALQKSEAEIERIDSLVRDMEEDAKCIKRMLNLQQEKVGETEFSTSDSLMTNECLIEENKSLKGEINLLREIMDKNPELKRSTLENTKLREQLLRYQKFYDHGEREALLSEMTGLRDQLLDVLEAKDESFSNHENEVEKELEDCRKMNSTFVRELDEIQARVGRYMKFDQTQSDFVASTISGAEQVNQAHKHSTVETMSAIGENKEEVAFSESKNSSNNYGESDNPDGIRVWVINESQDVLEENDRSIKLLNNKSSMERDAVPRIEGQDLELSGMVNNTDAVMKADEGTDRSVLQFKLRKVIKDLEEAKTLNSQYEKEQKLLLSQQQDIEVVREQVETETARTILELQEEVIALQSEFEGRICKLTEENQSMKDAITAREAEIRALHQDWEKATLELTNFIVDGSKSITDASTQIESIVCSFPHVNAWIGDYVEKAAKDCIRKEETILLLHKSLEDARILLAEMDLKLNCLKGATIALNEFQLDGNAATTEESFRVSTGLDRISNEIDTLENDLNAKQCSILEAERHAEAAISVKKWLSDSRNQHQVPEKVENQSVKESGPSSSVLASPSAEGNADINFSMDGYISEATYTKGDELSTSSSDFSNCRLQHDCALKAEGQGGSASESDAQEIHNRTTSAALLVKSGSEDCVYCGEGRQTLQRPLTITMGRSETERKCSNPRVDPVRSFFDRFEAVSATMKEVDLTLCELVKANEKSKFVTGMCLQTHEEQMVKEKNLLDDLEQVKSTLLAKEQESQILLNQTQSTLADMEKSVSLLEECLLETKREVGETVEALVSDVEQIVSENMEREFTMYATYQCHIGKLMDQILDHRKQVITPHVAGQENQQSMETKAIGYSAEDEVTGKLNRVHKADVFTGFEGEEVVQTHEGLLNENFYLKKELERKEALFEGLLFDFRLLQESASNKRDIKDEMDELFDALCKVQKDLEVKANQVQALFVHNENLENCCINLKKALLTSKADLEQANNSIQVLEEQNDELNVLVRDLCMEKVAAEEGLEEQKELVQRLENEILHLTTTAEKQLVKSIEENLRKTSDEKDQLVEEMCSLNEKLKLAYAIADEKEAIAVESRQESEASKIYAEQKEEEVKILEISVEELERTVNILERRVYDMDEEVKRHRTLEIELQALRQRLFRFEDLTGTVVTTTEGRDEYDSQLSTSKELQGAHGQIQVLQKEVAEQTKEIKQLKEYISEILLHSEAQASNYQEKYKTLEVMIRDFKLEDSNSSAAETAISHKTDKSSTRSRGSSSPFRCIVGLVQQMKLEKDQELTMARVRVEELESLLAAKQKEVCTLNTRIAAADSMTHDVIRDLLGVKMDITSYAELIDQHQIQRVVEEAKQHAEEIMSKDQEIINLKRHIDALVKERERLALFYFYYNCSITSQYAYFSLLKYSKEKSNLAFQTKEILTKFCSRGMFLLDSCMSELNKKDTAVLATQISLDQLQERAQLLSMQNEMLKNDKSNLLRKLAELERTVREAGASNQRVPQTKRDTVSFKLADTDYTKRLENAQKLLSHANNELAKYRKTSNNIPSTRTQGQSSGTRYR >A06p048090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25526203:25529257:-1 gene:A06p048090.1_BraROA transcript:A06p048090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLCSLFAPPKPVKKRRPIKKRQPSLSGSGSGPNTNRLNRFRSSSSNKFDDAVTQEQALAAAAILSRQQKSGGSLPFERSASQRHPVSVSKKNQLPRSSSTRSRSSTDPLLQPHQFLNQGVKLDDLETNHFILIHGGGFGAWCWYKTIALLEEDGFKVTAIDLAGCGINSFNINGIASLSQYVKPLTDILEKLPIGEKVILVGHDFGGACISYAMELFPSKISKAVFLAAAMLTNGQSTLDMFSLQAGPNDLMRKAQIFIYTNGNEHPPTAIDLDKSLIRDLLFNQSPSKDIALASVSMKSIPFAPVLEKLSLSDGNYGSVRRYYIETLEDNAIPVTLQENMINSSPPEKVYRLKDMAKSLFFSFLVVSLLLLLAAASTTAAAGNATSGLRYGGCALRDTIGECITAEIEEDGVEDVVRRILRQQGRNLGYSALQGQKAAYKCKIAGSCIGKVNQKNAACTYFTRCKRATS >A01p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5322050:5323504:1 gene:A01p010900.1_BraROA transcript:A01p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18370) UniProtKB/Swiss-Prot;Acc:Q9SEL7] MMDVALASSKACSSISYTFTPFSRSSSVLACSGSNPDQRRRTVIFDIELPKTSSDESNVEDNAKIEGTGSGFVWDKLGHIVTNYHVIAKLATDQSGLQRCKVSLVDAMGTRFTKDGKIVGLDPDNDLAVLKIETEGRELKPVALGTSSDLRVGQSCFAIGNPYGYENTLTIGVVSGLGREIPSPNGKSIREAIQTDADINSGNSGGPLLDSYGHTIGVNTATFTRKGTGMSSGVNFAIPIDTVVRTVPYLIVYGTAYRDRF >A06p042080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22621557:22625038:-1 gene:A06p042080.1_BraROA transcript:A06p042080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSGVNRNPSLRVSIPQAQQSLRRLGLCSTGGAAQQQSSPVVFPEKRSKKAKASSRSGNDDSQVKPKAAADEHRIDIVGGGGGGDEKSDLLGSLVYAGKLVLDKRKSASGKDAATEVQQPSSADVFNKKAVDARLTSKALVWGGSHLLQLDDVVSLTYNVGLRHFTVHAYPIGKGSCALSCFKKPKRRRKDFRFIAPTVEEAVQWVASFADQQCFINCLPHPLVSKKQASSELFSVPIDTPPELVFRCKSAPKMLVILNPRSGHGRSIKVFHDVVEPIFKLAGIKMEVVKTTKAGHARELASTVDISLCSDGIICVGGDGIINEVLNGLFTRSNQKERVTIPIGIVPAGSDNSLVWTVLGVRDPISAALSIVKGGLTATDVFAVEWIRTGVMHFGMTVSYYGFVSDVLELSEKYQKRFGPMRYLVAGFLKFMCLPKYSYEVEYLPAQKEDAEGNTGLEKEVVDVQDLYMDVMRRSSREGMPRASSLSSIDSIMTPSLGELDTCSSTHASNEPSEYVRGIDPKMKRMSSGRRDVGAEPEVIHPQGQSTTPNWPRTRSKTRGWMGLTSVQDPPPSTRCSWGNTGAHDREDISSTVSDPGPIWDAGPKWDSEPSAWDVENPIELPGPPEDIETGLRRQTITPIYEDKWVARKGHFLGIMVCNHACRTVQSSQVVAPNSEHDDGTMDMILVHGCGRLRLLRFFILLQTGRHLSLPYVECVKVKSVKVKAGKQTHDSCGIDGELFALNGEVISTMLPEQCRLIGNAPERHS >A10p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20146307:20147565:-1 gene:A10p034750.1_BraROA transcript:A10p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRSIRRRDVVSAPLSVYKSLAGNAQPSLGSYTGQNYASLCRAFGSRAGVNENVGTGFGTTNASNAVREEREHSKASVNAMGAHLRALGVGTPRRVLSTIAEGIKMTEEEQTSPKPQSFRPLSPHLTVYQPQKNSMLSIFNRISGIYLTGVFYGGYLLYLKMGMICLTYPAFYQVHYHVQQQLPVITSVTALAALYHTIKSIHSLLTH >A06p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9139853:9143538:1 gene:A06p018860.1_BraROA transcript:A06p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYPILLSKSLQFVIFKGTKILASCKKTYFVKFAKKVLVGMWRNIENFSINGPGVSYRPTNHQYKINFIYGTDITPSTLQNDSMFLSLVDFQTIQQGVEDENILIDVIGEVTDLGSLDTVLCSGKERKKIEFSLTDLQGRRIACCLWGKFAESIHANCKAVGGDTVICLLRFVKVGTYRDEVQISNSYDASQIFFNPPIMESEAFLKRFRIHVWVKDGTGEAYLMLLDWIAIGVIPETAAALLNGSFEELQDIESFPEAVTDLVGKTFMFGIYIESNNVASKGGMYKVGKVWKDLSMLLTGGSTTESWTQSDVGTSNLSGSQGSLLVIESQANENTVVTPSSKRKQQSNEGEPDISSTTKKQCARVFVKKEKTTKEDSSSKKNKAKGKQPQCSKRIRANGDNNNDRVQLSNILSRRSTCLQIQPRNLLPAFSNSDSVKQHNENMWSTSQVNESEKEDDLESSLDHNFTDEGEDYSDQSYDDVSSEDSDSHEVGSDNTEEISDTSHVPDEQRARILTMADIFKTMFQGGQSSSTPLPKLNTTRN >A05g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18582937:18584236:-1 gene:A05g506530.1_BraROA transcript:A05g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRDDSVYLGTDHPFRKRITSFLTQGLEKETVNHLCCYNETNDNKFSVISTKIKKSLLKAEIITWDSLEHGKQPTRFQPAYRSSKKVNDYDKLEAEVKKNRRMISLKETLL >A01p014640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7086825:7087466:-1 gene:A01p014640.1_BraROA transcript:A01p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFMSSIKQTVSSKLYAGINSISSCSNYNRSHLLTLSCKGYVNPSFRLYSNQKRLRHLTRARKREEKDEKEEDEDNKYYAAEEDDKEDYPVEEEDKDEYRAEEAVLKLYTDIKDRNINGVSEVIGDECQCFCNFLSSYRLLQGKKQVVAFFYWLMMNLGKDIKIIVRPTSKDGMTVGVQWQLG >A07p012750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7932412:7940657:-1 gene:A07p012750.1_BraROA transcript:A07p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGPPLFPNCGWVSRGEYESYNLIGDNHKIGTFLDFPVPKTYGVVHHQTSLGVSVSSEGNGINNNSVVIKKLNHNANERNRRKKTNSLFSSLRSCLPSSDEAKKLSIPQTISRSVQYIPELQEEVKKLIQKKEDLLLRESGQRERYAKPEPKVAASYFSTVFATKLRDNEVMIQLSSSNIRNFSIYNVLSGLEKDGFVLVDVSSSSSRREQLFCTLHLQVDKIDNYKLICEELSQRIFNPQTISRSMQYIPELKEQVKKLTQKKENLLLLISRQRERYASYAAAKGGL >A09p020870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:11084998:11085285:-1 gene:A09p020870.1_BraROA transcript:A09p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGEGLLLLEDAFISISKGKRFFGGEVIGFMDICLGSFLVILKAREKLNGENILDKSKTPSLCKWANEFLADDTVKNVVPEIDRVVKFKREFN >A01g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23857435:23858423:1 gene:A01g508760.1_BraROA transcript:A01g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAYYGYSWSTCTGYRATNRSSYAQNPCWKKGTSNNKYHVEKLACAGLSVLGLSQDSNHAHAVEVKNTMIFNAFVMCQIFNEFNARKPDEMNVFSGVSKNPLFVAIVGVTFVLQIIIVTFLGEFAHTVALSWQLWLASIVIGLVSWPLAVVGKLIPVPRTPMSVYFKKPFRKYRASRSA >A03p000320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:263895:269711:-1 gene:A03p000320.1_BraROA transcript:A03p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMFNKVGSYWLGQKANKQFDSVGDDINSISTSIEGGAKWLVNKFKGTMQKPLPELLKEYDLPVGIFPRDATNYEFDEQTKKLTVLIPSLCEVGYKDSSVLKFTTTVMGYLEKGKLSEVEGMKTKVMIWVKVTSISADSSKVYFTAGMKKSRNRDAYEVLRDGVRVDKF >A05p018770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8742027:8742648:1 gene:A05p018770.1_BraROA transcript:A05p018770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein COFACTOR ASSEMBLY OF COMPLEX C SUBUNIT B CCB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36120) UniProtKB/Swiss-Prot;Acc:Q8RWM7] MTTVASGFVSFLPALNLAKSRRSCPNIRTRSACLLVVSASLTQIEVDTTTTTSLCSSIVSSKPISEALHNISLADLDPGTAKLAIGILGPALSAFGFLFILRIVMSWYPKLPVDKFPYVLAYAPTEPILVQTRKVIPPLAGVDVTPVVWFGLVSFLSEILVGPQGLLVLVSQQQIS >A09p019030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10055808:10056950:1 gene:A09p019030.1_BraROA transcript:A09p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRNPLEDPPAASSSDEEEVESSAEEEEEEDDNSSSEEEDDDPKPPPPSSSAVTIAVPGKPTASFPAADSESGSETETDSESEPEQPTNKGSGKAILTAKKSNDAPPPPPVALALPAKSGSKRPSTEGSSKETNSKRVKKDEEKREDSKKPAAFQRLWTEEDEIAVLQGMIDFKNDTGKSPYDDTNVYYDYIKKSISFEVSKNQFMDKIRSLRKKYIGKEKPSFTKPHDQKSYRLCQYIWGPDGMGLESAVKSNGASKKSQKKTKKLDSVKQELSFGASPNGKTVDDDENDVEVAVAAKKHDWFENSFLVKGIAGFGVDEHYVKQRWSLVPVETKKKVEEKLKLLQAKEIEFVLQKTEVLHEVTTMIAEASKNKPLDI >A02g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18566555:18568584:1 gene:A02g506640.1_BraROA transcript:A02g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQVDTRRPLKFSRKAESPEGDEVTLEIKYEMLFKHCSTCGMLTHEKEYCQSLDVKNRIQPQKERFGVFTRVQVPLDQRHNQSISYQDNGSQPRYGNEISHGRYNQSRSSRYDSSERKYDEDNNHRRSHSDRIMRRRDDHSRSNRYGGSRVGTGPYDRKPAQTWKQKSLGDQRGYRVEPATTSQDIVPYEHSEGTGSDGKQVSQEMRPPEATVTRRLASTIVTPSRVDIPMEGNVTKRVKEATRALSFPALSDQELQDGIGDKQIIGALSDMEIADPHDGEMMECDVHDDDLLGQELTEMESSASRRASVKIGRSDDKTYSSRRSGAKTSVSLGITSRKFEILRRGSPRKRSTSSHESLE >A02p045550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28559466:28562126:1 gene:A02p045550.1_BraROA transcript:A02p045550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHSDDNCAFKETARQLCMEHHLLFSKMGKQRLLYSLLDAMQSVTSQAVVIGISSRLTLVVVDTTTVVQNLIIYNLSPQDTDQLLEKRVRSRFSNRKILFLPPSKEEVDRRSLDIRFRAVSSMNLESGLLSLENFKAALSSMQRQPKLEAVREYKTIHDSFQTSDYYDQNVCLRAFEHLREREVICYAENRGQSQTASELHQGMRSHACCPAILLNEAALLREREREREREREREREREREREYKTIHDSFQTSDYYDQNVCLRAFEHLREREVICYAENRGQSQTASELHQGMRSHACCPAILLKLLDH >A03p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11339443:11350590:-1 gene:A03p027200.1_BraROA transcript:A03p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase alpha subunit 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29690) UniProtKB/Swiss-Prot;Acc:P32069] MPTIAGPSSSPHKHIVAARPENTGVPEVNTPRKRKLRSDSAAEVASTAIVTESSFATPMKWKSPRRCAVSSPKTLKEEDSKGKEESPVMSAVKDRFDCLDVKSKWNPREDDQMKAVKEALHVSKAPSTVVCREDEQRRVLEFVKGCMEEKKAGSLYICGCPGTGKSLSMEKIRQQAEDWAQQEGLPCLETVSVNCTSLTKTTDIFSKILGESETGKKVSGSSSPLQQLQVLFSQKRQSSSTKMMLIIADEMDYLITRDRGVLHELFMLTTLPFSRCILIGVANAIDLADRFLPKLKSLNCKPLVVTFRAYSMEQILRILQERLVELPYVAFQSKALEMCARKVSAASGDMRKALSVCRSALEILETEVKGSADQEPQSPATEDQVVKMDHMVAALSKTFKSPVVDTIQSLPQHQQIIVCSAAKAFRGSKKDRSIAELNKLYTEICKSSMITPAGITEFTNMCTVLNDQGILKLSNARDDKLKRVSLRVDEADITFALKSLYSLKKTIESKPSLEMSAVSISSVKSNLSAVKAIAVTHHRPPPSFRCPSSLASLSSTTTSLHCNDVSSISRSKLLPPSRLHPIKSSPSPTPSLADSSANQLAKFKEAAEKGNLVPLYRCVFSDHLTPILAYRCLVKEDDRDAPSFLFESVEPGLQASNIGRYSVVGAQPTIEIVAKGNVVTVMDHGASRRTVEEVDDPMVVPQKIMEEWEPQRIDELPEAFCGGWVGYFSYDTVRYVEKKKLPFSNAPEDDRSLPDVHLGLYDDVIVFDHVEKKAYVIHWVRIDKDRSVEDNYTDGMYQLESLVSRIQDQKPPKMPTGFIKLRTQQFGPKLEKSTMTSEAYKEAVLEAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILLRSKNTKITNRPLAGTVRRGKTPKEDLMLEKELLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVEVEKLMNIERYSHVMHISSTVKGELLDDLTSWDALRAALPVGTVSGAPKVKAMELIDKLEVTRRGPYSGGFGGISFNGDMDIALALRTMVFPTNTRYDTLYSYKHPQRRREWIAHIQAGAGVVADSNPEDEQRECENKAAALARAIDLAESSFLETPEVTRPRINNKRKTNNKRSIETVEILGERERERMENLWRIATGQDPNRQDYEGIEFWSNPERSGWLTKQGDYIKTWRRRWFVLKRGKLLWFKDQSSALTRGSIPRGVISVGDCLTVKGAEDVVNKPFAFEISSGNITMFFIADNEKEKEEWINSIGRSIVQHSRSVTDSEVLDYDQRRHGYLVDGKREGDGKKIYALVNTRTKAYLLLEMGITELIFIPTPTVGHLVPFLEFARRLIDQDDRIRVTVILMKLQGQSHLDTYVKSIGSSQPFVRFIDVPELVEKPSFGSFQSVEAFVYDFIERNIALVRDIVMGILSSPGVLVKGIVADFFCLPMIDVTKDVNLPFYVFLTTNSGFLAMMQYLADRHSKDTSVFVRDSGEMLSIPGFVNPVPANVLPSALFIEDGYDAYVKLAILFSKAKGILVNSSFDLEPNSLKHFLNEQDYPSVYAVGPIFNPKAQPHPEQDMARRDELMKWLGDQPEGSVVFLCFGSMGRLRGDQVKEIARGLELCQCRFLWSLRTEEVANEDSLPEGFLDRVRGRGVICGWSPQVEILSHKAVGSFVSHCGWNSIVESLWFGVPIVTWPMYAEQQLNAFMMVKELKLAVEMKLDYRVLVDELVSANEIERAIRGVMMNKDDNNLVRKRVIDVSQMVRKATLNGGGSSYTATAKFIQDVTGIKP >A09p014090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7254680:7257434:1 gene:A09p014090.1_BraROA transcript:A09p014090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAVGATVVCAAAVCAATVYVVRRRMKSCGKWGRVIEILKVFEEDCATPIGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGDEQGFFYALDLGGTNFRVMRVLLGGKQDRVVKQEFEEVSIPPPLMTGASDELFNFIAEALAKFVATEGEDFHLQAGRQRELGFTFSFPVKQTSLCSGTLINWTKGFSIEDALGQDVVGELIKAMERVGLDMNVTALVNDTVGTLAGGRYYNSDVVAAVILGTGTNAAYVERASAIPKWQGLLPKSGEMVINMEWGNFRSSHLPLTEYDHLLDFDSLNPGEQILEKIISGMYLGEILRRVLLKMAEEAAFFGDSIPPKLKVPFIIRTPTMSAMHSDTSPDLKVVGSKLKDILEVPTTLKMRKVVISLCNIIATRGARLSAAGIYGILKKLGRDAPKDGETTQKSVIAMDGGLFEHYTQFSECMESSLKELLGDEASGSVEVIHSNDGSGVGAALLAASHSQYLQDSETS >A09p003960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2278166:2278462:1 gene:A09p003960.1_BraROA transcript:A09p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger protein 2 [Source:Projected from Arabidopsis thaliana (AT3G28917) UniProtKB/Swiss-Prot;Acc:Q9LJW5] MRKRQVVLRRASPEEPSRSSSTASSRMVRGVRYGECQKNHAAAVGGYAVDGCREFMASNGEEGTVSALTCAACGCHRSFHRRETEVVCDCESPPSTGN >A03g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14336073:14337948:1 gene:A03g504030.1_BraROA transcript:A03g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSNPDENEDANYHQSPRSIDQNDQSASETPVYSSMSIDSFVYPRTCSESTSGFSDQIDHETNSFCSDASPSDWPVLTESKSSKCLSTGLEMQSNENLQVQEISEAELETMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLETEKRALWKREMKCLLSVCDYIVEFIPRCQSLSNGTTVEVMESRPRADIYINLPALRKLDSMLMEALDSFQNTEFWYAEEGSLSMKSARSATGSFRKVIVQRKEEKWWLPVPLVPPEGLSDIARKQLKNKRESTNQIHKAAMAINSSILSEMEIPDSYMATLPKCGKSSVGDSIYRYMSGSGRFFPEKLLDCLNIASEHEAVQLADRVEASMYTWRRKACLSNSKNSWNLVKDLMSTTERTDKNYVMAERAETLLFCLKQRYPELSQTSLDICKIQYNKDVGKAVLESYSRVLEGLAFNIVAWIDDVLYVDKTMSGSE >A07p010230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6401353:6401871:1 gene:A07p010230.1_BraROA transcript:A07p010230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGCSNKYLDLQVNNSSYPLALSLSTNFSPSQNLLNCKIIDQGWIYFHESSFNERDGDIFTQGFTFQTCLKNPISYIRNPKTSRVELN >A06p047100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25071014:25072405:-1 gene:A06p047100.1_BraROA transcript:A06p047100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFVEGAIAFLLLIKIGPLRELVIKSLDQMKMGKGPATVKTIAGTMSVILLSNLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGVVLFLGFIIDRMHHYLRKLINLRGNVGSSKEELEQLQKERNEFKEKEDKASKEIKQLQEKLSSVSERLKKAETESKEKAKKLETAETHVTALQKQSAELLLEYDRLLEDNQKLQSQILGKT >A09p056740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:48106208:48107032:-1 gene:A09p056740.1_BraROA transcript:A09p056740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYHVRSCSLPARLHSNGLNHIQQLLIKLPTDDKSSLSFLSELYDSLSNLFNESPASLLLPHHSFFTHLLDLSLVHLDLCSKLRDITCRIKDSIRDLRSAFRRRRHGGDSTIQCHVKAFTRSRRLIHKDLAKLLLLLKQTEHSSSESTHPLITLLQQVCSQTCISFRTVLLSLSSPVRKPMPSKWALVTKLMIKNDTNTNAQVQTNEFQIMDEELQSLSMAKEIKKERIKSLITTLDNVDVAVEELESSLETLYRRMIQARVSILNTLSMHV >A10p019650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:13452051:13453109:1 gene:A10p019650.1_BraROA transcript:A10p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMAARYWCHMCSQMVNPVTESEIKCPFCESGFIEEMSSNGNGSGVQDPGTDRAMSLWAPVLLGMMSTPRRPRRFRRAELEFDEEEEEEDNDNDVYRHHLARRHGGEIDLDREFESLLRRRRRSSGNNILQLLQGIRAGIASEYDTSDDRESNRVIMINPLNQSLVVQGQQAQSSHHPALTSLGDYFIGPGLDLLLQHLAENDPNRQGTPPARKEVVDALPTVKITDPLLQCSVCLDEFEKGVEEEAKEMPCKHKFHVKCIVPWLELHSSCPVCRFELPSADGDGSKVESERPTRTRTTVPESSNGNVIENVEGNGREDDARSGNGRRFSFSWPFSGFFSSSSSSSGSSR >A02p058390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35036060:35038834:1 gene:A02p058390.1_BraROA transcript:A02p058390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKQEAPEEKKMEEKKPEEEKKEEGKKVEADEKKGEDSEKKTQEGEATKDAKEDSPPAAPEAPAPPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVMTDCKAGKVVVKGEKADPLKILARVQRKTHREVVLLSPIPPPSQPPEKKAEEEKPKVEEKKVEPLVVVTVVLKVHMHCEACATEIKKRIMRMKGVESAESDLKASQVTVKGVFEPQKLVEYVYKRTGKHAAVMKIDPPPPPPPEEAAAAAEAEKNEERKGENGGGESKGEEGKDEKAKTDEEKKEGDGSKGEAGENGGGGEEEAKVVEVKKIENPYFYYHYQPPRVAMPPYAYPHSYPPHAYPPQAYPPHAYPPNAYPPHAYPPNAYPPQIFSDENPNACSIM >A04g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8239719:8241491:-1 gene:A04g503850.1_BraROA transcript:A04g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLSVLRGNLKNTQYQTSRTHKLKAQHQRTQLYHTASRYTQEHKNTRARERKKWNELFSSMSHVPPLPLQRSDALAKGIGLLFFIQSYPGN >A09p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21200997:21202155:-1 gene:A09p035300.1_BraROA transcript:A09p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLVLVKSEVDQWFLLNTTQEPNSCTNSGLCKHGRWYMDKALLEQITLLKGNFGSISFDIEKLTGNSIAVDFSKSVMCDGCFNSYLALEGPSWLHDQIQRETARDDCKRGFVHDIRHDCVNREFWDVIDEPPTTTRMKRPKSYPATDAKSTKRNNLVIKTVEVEKDIIVQIRLQEKLITCTSIEANVSDLLAPMNEKLETMEKDFQRMKEKI >A08p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24685111:24685960:1 gene:A08p044750.1_BraROA transcript:A08p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVSIHRFHHQFTDTDRDPHSPTEGLWFSYVLWIFDTRYIKYKCGRRNNVMDLKQQWFYRFLRKTIGFHVLMFWTVLYLYGGLPYLTCGGGVGVTIGYHVTWLVNSVGHIWGSRSWTKDTSRNVWWLSLFTMGDSWHNNHHAFETSARHGFEWWQIDITWYLIRLFEILGLATDVKLPSEFQKQKMSLACSS >A03p034680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14624217:14625999:1 gene:A03p034680.1_BraROA transcript:A03p034680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G02350) UniProtKB/TrEMBL;Acc:A0A178VEE3] MAVAFRGGRNGVGSGLRSFFSYRIFISALFSFLFLATFSVVLNSSRHQPHLDHTLPRTFQSDPLKTRLDLIHKQATDHLTLVNAYAAYARKLKLDASKQLKLFEDLAVNFSDLQAKPGLKLENGNAIEEDAFRQIEKEVKDKVKTARMMIVESKESYDTQLKIQKLKDTIFAVQEQLMKAKKNGAVASLISAKSVPKSLNCLAMRLVGERISNPEKYKDAPFDSVVEDPSLYHYAVFSDNVIAVSVVVRSVVVNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPLDRGARVEIKSVEEFRFLNSSYAPVLRQLESAKLQKFYFENQAENATRDEHNIKFKNPKYLSVLNHLRFYLPEMYPKLNKILFLDDDVVVQKDVTGLWKINLDGKVNGAVETCFGSFHRYGQYLNFTHPLIKESFNPNACAWAFGMNIFDLNAWRREKCTDQYHYWQNLNEDRSLWKLGTLPPGLMTFYSKTKSLDKSWHVLGLGYNPGVSMDEIKKAAVIHYNGNMKPWLDIAMNQYKSLWTKYVDNEMEFVQMCNFGL >A06p020170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9880716:9886098:1 gene:A06p020170.1_BraROA transcript:A06p020170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTRNKNKRPRPSDAADSYSQILRKIHEANDVTDEDVNQLFMISKPLCQGCRVNTRDNPNCFCGLVPPPNGSRKSGLWQKTSEIIQALGYDLSSELRDSDSTPAGLTNLGATCYANSILQCLYMNTAFREGVFSVEVDVLKQYPVLDQIARLFAQLYASKKSFVDSDAFVKTLELDNGIQQDIHEFSTLLLSLLERCLRHSGVSKAKTIVQDLFRGSVSHVTTCSKCGRESEASSKVEDFYALELNIKGLKSLDDSLNDYFSLEHLNGDNQYFCGSCDARVDATRCIKLRTLPPVITFQLKRCVFLPKTTAKKKITSSLSFPQVLDMRSRLAESSENELTYELSAVLIHKGSAVNSGHYVAHIKDEKTGLWWKFDDEQVSELGTHPFDEGSSSTAQSESNGAASSGKTKDVKQSGSSSIKSEVFSSSDAYMLMYSLRCGKKESQEGQRENPIDIANGEVASVQQPEGCYLPSHLDKWINDLNATFLEACKQFDLTKESQLNTLTERRQEVRTILSEAAVQSLEEQYFWISTEWLRLWADTISPPALDNTPLLCSHGKVLASKVTCMKRISELAWTKLESKFNGGPKLGKGDYCRECLMDGARMVVSSDSYRDRRTFMKNIATDVLSGKCEDGNYYVSKAWLQQWVKRKNIDAPSEADAGPTNAITCSHGELMPEQAPGAKRILVPENFWSFLVEDALKVTPEDTSGCQCFPLDSIQCSHCTSELSEVAGVEDALRTIKAKQRQNHDKLATGKGIALTPQSRYFLLPSPWLVQWRSYINMTGKNSSSVPEPELLDGVINTLTCQKHTRLVERLPELVYRRGSLFQKNPSTDKLTIIPEDDWKYFCEEWGGIVEKGVSALIEAGSNTNQSASQDVIDLDQDSSPDVNMEIDNQQPIIRTFPEVCEECIGERESCELMQKLTYSEGDVSVCLVRGKEAPKSMLNASDSSFEVDRRTSKRSRRTNYGKHTSLTVSATTTVYQLKMMIWQLLGVMKENQELHKGTQLIDQESATLADMNIFPGDKLWVRDTEIHEHRDIADELCDTKSGPQDIEEGFRGTLLTGDITFEAC >A02p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16856812:16859306:-1 gene:A02p032140.1_BraROA transcript:A02p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATSSSLIWSPWQATLQQSTTTNNRFYLKKGYPFPCHSVYNTFSFKRRFLSSSKFNDDHVVTASSASSKLSPLQTHFSFSGCGCSWIQDNSMVLDSPTSNALLTSKKCSALPSKTVDVSSVSDLFEFICSGPLVDKIGITPDNVGQSIDKWLLYGSQLCRLFQLNELMLTIPQKARLYHYYIPVFVWCQDQIALHTSKFKDGDDVPPLVIGFSAPQGCGKTTLVFALDYLFKTTKMKSATISIDDFYLTAQGQAKLREDNPGNALLEYRGNAGSHDLPFSVETIEALTQLTKDGMKIKVPRYDKSAYSGRGDRADATTWPELETVNKNLEAYYEAWDKYINAWVVIKIKDPSYVYRWRLQAEIAMRQAGKPGMSDDEVNDFVSRYLPAYKAYLPTLYAEGPSGSDLERVLAIDIDEERNPILAT >A08g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1859071:1860672:-1 gene:A08g500720.1_BraROA transcript:A08g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRSLSFHLHRRRSRRRPLPASMAKKKPPKKKPSGRLPTGVTKSPPFSQKSSAPDSSSAAKSKPKSDLPLTGSTAQMSQTPSLAQEDPKSSLAKQTETTDLETLAAATPPASSKEADVLAPALKEAAATTPALQKEASKREGKKPIKSLLPIVGQPSVDPKNANLPVSQRPVGTQTETAAAAPAYKEVLTRSPLPFIAENMAPLDSQSHSGSSVSVSHDLSKGSLCVDLSAEGCFEDPQQISTGSSGSSSDTPASEEGEILSDDGD >A09p008540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4401684:4401902:1 gene:A09p008540.1_BraROA transcript:A09p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQPQDWPNFSTELENIQTLRLCFSDFNITYFPRAQNEIADALAKSARSFHRSLCFIGCSIPVWLLRPPQV >A09g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5986645:5987384:-1 gene:A09g501630.1_BraROA transcript:A09g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAFGAGNARERERERERERDLGSNDLPNLQREERRKRSNIWIRNKERRRCCPQLPDLALRLLHPEPTQCSFSIFAVSSSGSKWMLNTLSGKCLTFVLPPKLCKSLYDKVRLRTG >A02g511600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31041258:31042153:1 gene:A02g511600.1_BraROA transcript:A02g511600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSVILTDILTENEILGIFRRISEEIPRKPKIWGSSEFPRNIPRKSYFLGIFRGNTEEIIFLRNIPMKYRGNHIFSEFLLIYIVPRNFLGIFRGNSEEHMFGVFPLPFIKVYKCFSYVVGISFIQSEKCYISVSLKQIFDFIIMLFKPQNVVFGLKTLLPWKSLGIFRGNSEEKQVFLGISSEYSEAFPTIKGFAFRVSVSSEKPRNIPRLFRGSRVFKPKTKFCGLNNTYITPIKCLRLIMKSKFV >A07p006900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1382799:1386362:1 gene:A07p006900.1_BraROA transcript:A07p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDWMQQSSDYKADLELNGLGHTQARTHTDDGPDMTFSADTNQDKDPFCLSKGPERTQPRRPLERLTSRHREQPRPSSDDAVHDHRRDDQRSYHRFRKLDDRFGRNQQYDDCAKRSEAVSQRPSYSKEKSARPKPKTEPLSSLSQETRAPEKLLQQSASTEPMAIPQSISCQSQKHCKDHELIIVSTNHETVLIKKFSLREHFFTWLKNVLLEQNQFDFVHVEKFKKLALSHSFPNSCTALLDFKSNKPTFGTQFTFLMFTHVLDDCPKRLNHVLDILRIEKLFEYFFRKFDVVSLVVLEAHDIQDQLQTEASRGETRGYNSMDGTEWMQQSSDCEADLELNGLGDTQTRTQTDDEPVMTFSADTNQDKDPLCLSKGPVTRSQTRRLKKLIVVLVYSEPDENQAKEPSQLFTYSVFGLV >A09g517240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50607422:50608361:-1 gene:A09g517240.1_BraROA transcript:A09g517240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQRQTETNPKAQAHKLNGATTVHPSSQTLAYPRVEALASRRHASADHHIDTPNAKKARRCISFNQGRKKQSIVDTGRSEEETETGKAPEKNPTSSPEEKVRLGARSQRIHRSCWCAVGAKSRPIDSEEDVVVGTGARSTGDLSR >A06p042250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22711560:22715396:1 gene:A06p042250.1_BraROA transcript:A06p042250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRAGSRSSNNIELRSTPASPAHRNHLGGVGVGVGGYSPAHRNQNVATKAAAQRLAKVMALQHKYDDDDDDDDDDDEFKFANPSSAINGISNVPAVPFASRNRSPSPAISRYLNEQNTSVRSSSTGRSSTFGRSIAPNASPVWMPPKASLKPPLDPHFKDRDTRYYGDVPLVNSRDKGYRREASALRDEVEMLQEENENVLDKLHYAEEKRQAAEARARELEKQVAFLGEGVSLEAKLISRKEAALRQREAALKAANEKEDEKKEELVSLRSKIQILKDEAVKAAERLQEAESEANDLRTMTQRTVLTEDEMEEVALKRCWLARYWGLAVQHGGSDQTKTERFVSELTGEGNIESMLSVEMGLRELASLKVEDAVMLAVSQNRTPSFVRQESKGNRELKFVEAYELKEEEQEDVVFKQAWLLYFWARAKLLGVEEDIADARLQFWISCSEGESTTSHDAVDVERGMLELRKLGIEQQLWEACRKETEHLPPSSSPTSTLANLDT >A04g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21783501:21784398:-1 gene:A04g508320.1_BraROA transcript:A04g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQITNKPSHRKRKPHTRSATTFSIMANENSSFQELAIGTTVSFKRRSTGERFHGSVFYFSPQLNGSLFGIQNVGVWRVDGRYERISGFNHFNLNDITELQVNVVPRQNSNTQDAQNNNNNDGSSSKSIGSKMGALHLDPPSK >A04p032240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18832962:18835448:-1 gene:A04p032240.1_BraROA transcript:A04p032240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVKRWAYSFTRRINREDGLHLRNHAAISLRFLVREEQSFIYCSFSVCGGRSKSQHSRTDMEYRPSAVTNERSPIEERMAVLVIRVGEVNGLVLKSPLYWESIEFLAKDEILHHNMAAKSSAATNVSNQSGGTKPSIEDQMANLVKRVGEVQRMVIASPLYWESIELLAKDETLRGVFYAIPDGCKLHFLKRKIRASNEKEAEPFYENHNNDSWHLRSPSYKPPW >A03p012510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4962754:4964261:-1 gene:A03p012510.1_BraROA transcript:A03p012510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLSFKDSLKALEADIQHANTLALDYPREKDGARVQMRLSYSPAAQFFLFLVQWTDCHLAGSLGLLRVLIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQRGITDLEDRKQREVCNMRYRRKDESKKCELSEIEMEREEECGICMEMNSLVVLPNCTHSLCIKCYRDWRGRSQSCPFCRDSLKRVDSGDLWMFLEGTDTVNPSVIARENQRRLFVYIDKLPLVVPDQAFASSPYDCHVR >A06p008020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2778309:2780618:-1 gene:A06p008020.1_BraROA transcript:A06p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 11 [Source:Projected from Arabidopsis thaliana (AT1G10240) UniProtKB/Swiss-Prot;Acc:Q9SY66] MCIVIPMLLRSLLLLCACQVRSKMSDDAGQMLLIYDDPSDHRSLSLDDASSTEESPDGTGLSLEAVNDVIPYIGQRFLTHDAAYDFYSTFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTLSEGKPQRNRRSSRCGCQAYLRISKITELGSVEWRVTGFANHHNHDLLEPSQVRFLPAYRSISDADKSRILMFSKTGITVQQMMRLMELEKCVEPGFLPFTEKDVRNLLQSFKKLDPEDENIDFLRMCQSIKEQDPNFKFEFTLDANDKLENIAWSYASSIQAYEIFGDAVVFDTTHRLSAVEMPLGIWVGVNNYGVPCFFGCVLLRDENVRSWSWALQAFTGFMSGKAPQTILTDHNMCLKEAIDGEMPATKHALCIWMVVGKFPSWFNAALGERYNDWKAEFYRLYHLESIEEFELGWRDMVNSFGLHTNRHINNLYASRSLWSLPYLRSHFLAGMTLSGRSKAINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQATEQQTMQQNLQNISLKTGAPMESHAASVLTPFAFSKLQEQLVLAAHYASFQMEDGYLVRHHTKLDGGRKVYWVPQEGIISCSCQLFEFSGFLCRHALRVLSTGNCFQLPDRYLPLRWRRISTSFSKTFRSNADDHGERVQFLQNLVSTLVSESSKSKERLEIATEQTSILLSRIREQPISSLSLRDITSVQRNF >A10p028030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17503663:17508315:-1 gene:A10p028030.1_BraROA transcript:A10p028030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDRSEFRVHIRRVFVIMIRTSYRWICSHPYLLGFVGVVYLLYRACPLLFSPLVAASPVLVCTFVLLGTILSFGESNIIPEIETEPEATVHEAALFTAEASRDPSVVERRDESFTVERFVSTEKDGNDQLSEVEDDARPFDYSPLVDEIKRDAQVRFEDRAFDLDLEKRGDGEEEKLIGNDDGKRVSHGGSLDDMMEDDSKEDQVDVSPVSPWRPMRHEEGDDDSLDSSSDGAESSSPDPSMTDIIPMLDELHPLLHSENQGLGIADLDGSDATSEEPDMSSSDSDEGMESDVNSESHGEEDDNKKEEEEEEKKEDEIKSPIKWTEADQKNVMDLGSLELERNQRLESLIARRRARNNMRMVAERNLIDFDCADVPFNMPPISTARQNPFDLSYDDMGLPPIPGSAPSIMFARRRNPFDLPYEPNEEKPDLKGDGFEEEFSSPQPKEPVFRRHESFSVGPSMLGGPRHDRLRPFFVLERLAHERASYYPFERQLSEVSESKVSSVPDTESVCTIMEDDEKKVDEHDADQETDMASDHDEEKSHSASGHDEEKGHSSEDSDIDEQADSKILHHDVPEIILGSAETHHEQSNMMDGETSDKTNHDQEDSSDSDSSLSEIEEEIAHISENEAMLVSEREVDLHETSGTSFGGSDIHVAREMENDYHRDESPAFIAPLPSLEEPAIHDLCGLVGDHHETPVYDSSPPSASRFPSFSSVSSDHKPDLPGKSDEEVQENTDNEREVYSESIGPDEIETSTREVGESITHVTVEASFLISEVGIDGQKNSISVSEFEEALLDSSLPLDKNENIDHQEDDRLSVSSQEDLEEIHEEVKEAVDTRALEISESLVDLVSSTEEEAVSTGIVEQHLPVEPTSSPLGYGDTTEHVTTLEESPDVVHDIAETSVAEVIMQEEEDKQKDEATPQTSNADIPIDSYATLSSGAVEYVETHSFNDEDAPKAEQDPVQSSVSDAKEETHSNQTMVIEVDSVNTSAQNVGSEETTPSESGREPTWSDKSVVEQSSLEPGEDQEPARAAPLSVVFSRKITFHEYHEAPEDTTELSCLTSDTSSSPAESPEYETPMVGEGSKAEFFQESVNEGLDHVVEQSDQLTDLHEISQSPPEVITEEADEIKEIDEGLLSELDTIGDFGVKEVVTDSEPGPSSVGSDTAKELTEALPVLEVRSVEDIESACQQIHEGPEVEDVILPSTVQDQLAQENSENSSETKSDLTVVEATSKDDLDTAMNEAVVESMGKQPMSPESNGGSGETKCGVEMEPSGSLIEERSLDETNVHLNNIPEKEEDETKPKEIATSDVSSKETQSLEEIPKPSEPKEEMSTEVISERVVIPTESIESRNVTLSDEVVTKEAKAETSSNIDGIVRSPESKETPGDSEESISEVKTEEKEKEKEKEKGKSDSSSSSSSSSSSDSD >A08g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5939302:5941176:-1 gene:A08g503090.1_BraROA transcript:A08g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDSGSVRQTTYMESRLVNAEVIFAIDFEICFLRRLKVKSSDIVWSQKKSPKNLDDLHFSGHRFVLHLTGLFQKFDFPGQLTFQSSTTYNSVIHPTTYIKMPLEFIIAYERSKDKKKATESRHAIHKTDHKQNYYKLFLYKDKLGLHLIWKKTLSEDFQKV >A01p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1548830:1550980:1 gene:A01p003490.1_BraROA transcript:A01p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSLTLLKNLARNSNASCIQTRSVTYMPRPGDGSPRAVTLIPGDGIGPLVTNAVEQVMEAMHAPIYFEKYDVHGEMSRVPLEVMESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLFASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKDKIVRENKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKCRTKDLGGQSTTQQVVDAVIANLE >A07g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7754544:7756061:-1 gene:A07g503970.1_BraROA transcript:A07g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVWGLRILICKLMNELESLLAQVYKGRYKATKEFLYYATKEFLDSGKGLNQSEKQQGVHPSQQFTEKWSPPSPGFMKCNTHANWRNSSLHCCMPCIGRDSSGTSNRDLGVAKLVLASDYSEVIEAINGPYHWPQYQTLLEQVFVLKLYFTSITFEVEIVLNNSIARNIAKSVLGDGRLQSYLALGRPAWLHDRLL >A03p053110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22804306:22805611:-1 gene:A03p053110.1_BraROA transcript:A03p053110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKSPLGLEIQVSAAGYDSQGVADHASNLATKIRNNLTNSMKALGVDILTGFGSVLGPQKVKYGKDNIITAKDIIIATGSVPFVPKGIEERLSSQVTHALKLGSVPDRIAIVGSGYIGLQFSECLHGPWKRQQSQNLSICQHQVPLIDILSTFDAKTKKPKDTLEVDTALIATGRAPFTNGLGLENINVATQIGFIPVDERMRVIDGNGKLVPHLYCIGDANGLMQLVPKEFLGFKVSIAKTSFKANTKALAENEGEGLAKVKRKI >A04g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4800710:4803793:1 gene:A04g501970.1_BraROA transcript:A04g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQSAKRTRATCSTPPPHVQQQTSASYPWPREQEGEPIDLDSPFLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDVFETLHGIGIAPLCYTTHELYPDLVRQVLATATITYEDSDAPSYANCSFSFMADGEYCSLSLDKLNEIYEMATEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPVLRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGIPIQKVKTNPGFNFMTMICEQRQCLMHGSNKKERSGSLLTPLFKHFSIDLTKYSVNKEVQYLDIKYLMACHIMRDEKTYSFFDKAGTQLFTKLPHPKITRFSVFENIRFLPPPELLCTDPRAAVPDEDMDDVEDITPEADPSYDLGELADVTDDQAYRRWMVDSQRKNNSLMRRILHLLTGECIGGSAQRQSTTERPPRSHRPGKEPMGTGSSSEEVHRSRNRRSFNPAESGELGTGERVKKRASGSQMPF >A03g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10757325:10757810:1 gene:A03g503290.1_BraROA transcript:A03g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEFDNTSLLLAEAAMYADEAESSYNRAQPVHYPPQPVQNFCGGHATAEEMLDFQTQLRLLKDQVNETHQNLGKLEKTVCDELSQKKALVTKCFALVVCLLFCVLVLILGGRALKDYKKSI >A02p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20723557:20724383:-1 gene:A02p036220.1_BraROA transcript:A02p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSDKAGKNSKGVPLATVKDEFASSSKGNSCTKIEYEILKENHETLKVDYESLQKRVKLAEETYEVMKKLLQSKGEGLEVTNARLVEDCARERSDKHKYRKMESERKAKMDELRKREEELLMATRKGKEDSAELESKFVALAERFCLVEADCSYLKSLYDAEVAASGNDNANNDATVISDHNDQCKKQEIFTQRAVTNHHSPPSSASPSSSSSSSDGYDVVFKHPANWPEWATPKGPGDDSSKS >A07p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4104849:4105842:1 gene:A07p001910.1_BraROA transcript:A07p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant intracellular Ras-group-related LRR protein 6 [Source:Projected from Arabidopsis thaliana (AT2G19330) UniProtKB/Swiss-Prot;Acc:O64566] MFLNKFFGTLLNVTQTIPESLTARLLNLIALDVHSNQLKALPNSIGCLSKLKTLNVSGNFLVSLPKSIQHCRSLEELNANFNKLIRLPDSIGYELTNLRKLSVNSNKLISLPISITHLTSLRALDARLNCLMILPDDLENLINLEILNVSQNFQYLTALPSSIGLLMNLIELDVSYNKITVLPESIGCMRRLRKLSVEGNPLVSPPAEVMEQNLQVVREYLTQKINGTAPKSPSKKKSWGFGKLVKYGTFNGGSRSWNREEREGFIMPEYRSIDSLASPRYSGMFSPRRLFSPRSYFSR >A09p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7337206:7338552:-1 gene:A09p014280.1_BraROA transcript:A09p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENHVVVDVSSDDEVDRDYLNWLNKANSDSDDVVEVVSEVEGSVDSQLNSSTRAALEDEGDEDCVILDCDPDKTSPAVEAEADCNDDEEVLVVGQKGEVACRDFPHPRHSCAKYSFNSTSHESYCNMCHCYVCDIPAPCPYWCAAVSSIDHCHANDKDKTWMTQREYFRTHPAQATVSPAQSITRLSQNPWPRNKIEIRPCSSSSSRVANLPNLNRGRNRVRQSLSIQKDRRSTCIGNLRSRVASSGTRPSAKVSRSTPSLVAPTINPQMYTQQRNCQLNVADYRQRSNADVFRLPEWGSQAETVQQQPGTNENVLQTKLSEVESWLMDSCNQASLVSPLPEPVAQDNITFDFETFLND >A03p009760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3887243:3889334:-1 gene:A03p009760.1_BraROA transcript:A03p009760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKHGVISALTRSTSHSPSSSSSTVLRRQQFLVRTLCASKTHLPKLIRAMSSTSEADAESVLRTVTPSLDPKRHKGQAGKIAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYTISQFSEEEKRKVQDKVIGEVDKWMERFDCLVIGPGLGRDPFLLECVSKIMLLAKKSNVPFVVDGDGLFLVTNSIDLVKSYPLAVLTPNVNEYKRLVQKVLNCEVDEEKAEDQLRSLAKQIGGVTILRKGKSDLISNGEIVKSVSIYGSPRRCGGQGDILSGSVAVFLSWAQQLKSDPESPSESPVILGCIAASGLLRKAASLAFTKHKRSTLTSDIIECLGKSLEDICPAS >A02p012400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5416843:5417154:-1 gene:A02p012400.1_BraROA transcript:A02p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >A10p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1553502:1555850:-1 gene:A10p003010.1_BraROA transcript:A10p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRFNDFGADGGPAAKELAPKLGLAKSHLSSRLGVALPDIEVKQVVSAIVALKGLGGLLFVVGNIFGAYLLAFYLVVVSPILYDFYNYGPEDRQFSLLLTEFLQSVALLGALLFFIGMKNSRTTSSSKSSNLKKRTPKPKAA >A06p041840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22514138:22515264:-1 gene:A06p041840.1_BraROA transcript:A06p041840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYHHLSPLSLLHRIKDSFHSAVSAILANLFSALFTFFFALVGSLLGALTGALIGQETETGFMRGAVVGAISGAVFSIDVLESSLLLWQSDESGIACLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAMESQFQDQDDIFGTAVSKGLTGDSLDMIPKVLITDNTSGEEMVSCSVCLQDFQVGETVRSLPHCHHMFHLPCIDKWLRGHASCPLCRRHL >A08p043530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24216139:24218427:1 gene:A08p043530.1_BraROA transcript:A08p043530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLQASMNSLLPRSSPPLSLNSSGRRNSKAFRVKAAAKIPMPPINPNDPFLSKLASVAAQSPERLIARPLNADTPPYLDIFDSPQLMSSPAQVERSVAYNEHRPRTPPPDLPSMLLDGRIVYIGMPLVPAVTELIVAELMYLQWLDPKEPIYIYINSTGTTRDDGETVGMESEGFAIYDSLMQLKNEVHTVCVGAAIGHACLLLSAGTKGKRFMMPHSKAMIQQPRVPSSGLMPASDVLIRAKEVITNRDILVGLLAKHTGNSVETVANVMRRPYYMDALKAKEFGVIDKVLWRGQEKIIADVVPSDEFDKNAGIRSAERVSVESTK >A08p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12226677:12229427:-1 gene:A08p017600.1_BraROA transcript:A08p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSFLSAAPSSSFHGGLHLGKSDPCLFGSYPQTGNTQRPSLSIRCQSTNTKEPKSSNILDNASNLFTNLLSGGSLGSMPTAEGAVSDLFGKPLFLSLYDWFMEHGGVYKLAFGPKAFVVISDPIVARHVLRENAFSYDKGVLAEILEPIMGKGLIPADLDTWKLRRRAITPAFHALYLEAMVKVFSDCSEKMILKSEKLLREKEVSSGEGDTEIELDLEAEFSSLALDIIGLSVFNYDFGSVTKESPVIKAVYGTLFEAEHRSTFYFPYWNFPPARWIVPRQRKFQSDLKIINDCLDGLIQNAKETRQETDVEKLQQRDYSNLKDASLLRFLVDMRGLRDDLMTMLIAGHETTAAVLTWAVYLLAQSPAKIRKAQAEIDAVLGEGAPTYESLKKLEYIRLIVVESLRLYPQPPLLIRRTLKPETLPGMLSLTYKGEKKGGYKGEKEGHKVPKGTDIFISVYNLHRSPYFWDNPQEFEPERFLRKKESNGIEGWAGFDPSRSPGALYPNEIIADFAFLPFGGGPRKCIGDQFALMESTVALAMLLQKFDVELRGPPESVELVSGATIHAKNGMWCKLKRRSK >A01p042480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25491539:25501614:-1 gene:A01p042480.1_BraROA transcript:A01p042480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRINTAAPLLTDHEGGGNCRGRRSSSWVQKLIDVEESKAQIMYSLPMIFTNLFIYCIPLTSVMFASHLGQLELAGATLANSWATVTGFAFMTGLSGALETLCGQGFGAKSYRMLGIHLQSSCIVSLVFTILISIFWFFTEPVFGLIGQDPNISRQAALYMKYQAPGLLAYGFLQSIVRFCQTQSIVTPLVIFSFVPLVINIGIAYVLVYLAGLGFIGAPIATSISLWIAFLSLGTYVICSDNFKETWTGFSLEPFSYVVINLTLSLPSAAMFGILGVRDTCVLGSVNTESISYMLTYGLSAAASTRVSNELGAGNVQGAKKATSVTVKLSLVLAFGVVLALLVGHDGWVGLFSNSPVIKEEFASLRFFLAASITLDSIQGVLSGVARGCGWQHVVTVINLGTFYLIGMPIAAFCGFKLKLYAKVLSYEIGFVDWFDKWNIFPIFITLAYDNFPEVDKDKCVGLKIVSEVGKAKCFGLKIMRTNLSSNPIPEVYLFPWHYITQGKGFPLGLSTTILSSSHSQVSPATEFRKKMLHQEDSVTDPPITKSPQLLDDHEDGGDDGERIRSSWVQKVINLEESKAQIIYALPVIFTNLFIYCIPLTSVMFASHLGQLELAGATLANSWATVTGVTFMIGLSGALETLCGQGFGAKNYRMLGLHLQSSCIVSLVFAIFISIFWFYTESVLRLVGQDPNISKQAALYMKYQAPGLLACGFLQNILRFCQTQSIVTPLVIFSIVPLVINIGIAYVLVYIAGLGFIGASIATSISTWIAFLSLGTYVICSVKFKETWTGFSLESFRLMPNPEITTSLVAICVNTEAVSYILTCGLSAAASTRVSNELGAGNVKGAKKATSVTVKLSLVLALGLVVALLVGHDGWVGLFSSSHVIKKEFTSLRFFLAATITLDTVQSVLSGVARGCGWQRVVTLINLGTFYLIGMPIAAFCGFKLKLYAKGLWIGMVSGIFCQCSSLLLMTIFRKWKKLDTPV >A01p016170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8004667:8006084:1 gene:A01p016170.1_BraROA transcript:A01p016170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQICRSATRAARSLLSSSKNTRFFSEGRAIGAATVVYASGKVPQKASKFRQSSSGFASKSRITGLLALPAAAFMLQDQEVHAAEIHHLSVFNQMERTFIAIKPDGVQRGLISEIITRFERKGFKLVGLKIMVPSKGFAQQHYHGAKERPFFNGLCNFLSSGPVVAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEISLWFKPEELVSYTSNAEKWIYGQN >A07g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10595845:10597505:1 gene:A07g504950.1_BraROA transcript:A07g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTSTSLFYTSYAHLCAHLFWAVLIRCRGQTASILEARNVKRGGEPMWMDLFMVDVNVSGFLFSSLYQTFWVNINNRAAGCSSTLLLVLIQLHRTFRSEIKTERHHWVAEYHERKHKKLKRYHRFFCVLQRDWQGTYDPDSWN >A03p018900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7709650:7710195:1 gene:A03p018900.1_BraROA transcript:A03p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTMKKIATATQKARADDEGCKSFATRPVQAKKQSAPPMRLSQNPKAVIKKQVKKPCKPVSTKPLEVTKKKQSIPEVCGNPKAVPAVKKNDKDTLELFEKAKKSADVANTKGLLAAKAETSICVDTLSLLITMPISATAPETRRIMERLGHLTRHKDRKICNSASALFQHWSQSIRDQQH >A03p011410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4584288:4584593:-1 gene:A03p011410.1_BraROA transcript:A03p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIMVSIMTLVLFVSSGSARAATLIVSNQMQSRANVSVLVTCLGTFPRMSKSIPFGQVSLVKIPPTSGQNATDDISPTAGENDKPARSRVSQWASCRNV >A03p023450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9907071:9908144:-1 gene:A03p023450.1_BraROA transcript:A03p023450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMKTKVLLRFLVLKERFELLRKIFKREADAWPHQMAGIRRPMSGPPETLNTITPCAACKLLRRRCAQECPFSPYFSPHEPHKFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQALQSELTAVRSAILKYKQREAVATLILPSNSQVAGFHNSSGVSVIAPPPQTPSTPPQPTVAPPPPSSCVFSQPTTRALEYSDIENENNSYFG >A05p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1021609:1025320:-1 gene:A05p002890.1_BraROA transcript:A05p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVSSSGFAKILKQKWHTDLLLKAGDSNGFAAISAHKLVIAARSEVFKKMLESKATKASSDQTLFFSEMRHEELETLVKFMYNDHGLVSSSKLKKHVRSLYLAAQRYEIPHLRDLCRNELISSLSSSNALDELSQVPLDKPLTDAVLSFIARNINTFLDSCEFKMFAARSEVFKKMLESDKFKGTADQIETVTLPELKQEELEALVGFIYNNRSVLSEKEKIHAQTLFVAADKYDIPHLRDLCRKELISSLKLANVINILELSLIPFDEALNDAAVMFVVRNLLAICDTVEQH >A09g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2082458:2086108:-1 gene:A09g500480.1_BraROA transcript:A09g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKLFFWNLRGLNDPAKHRTFSDWLSSHKPIFGALLETHIKELPLPRLMSTLCRDWHYFSNHLSDEDGHIVLIWKDPANVSIISQSKQMITCEVKLPTCPSFIYSAIYAANTHEERTDLWVELLNVKGSLVYDSKPWVIGGDFNQILHCHEHSAFNHLTHASQMFQFRDVLTQLGVFDLRYYGPVHTWTNKRDLTPVAKKLDRCLINSEFLTSFPGATATFLPPAPSDHTPCLTDLVFSLPRVGHHTARVSWETVTLAKDHGGLGIKDLHKWNLACILKLVWMIFFRPNSVWICWFKEVILKGDVANYWTISTSSRRSWLVNKMIKARDLLYPLVKRRIGNGRSTRFWFDNWTPLGKLYTALNGGSSLLGIPKMATVASLFTAGSWNLPFARTDAQLALQIHLTTVTLSDQEDHYDWMIEGKTRRRYKTGEMYDYLKGAQQMVPWAKVIWISYGIPRHSFLSWLVMLDRCPTRDRLNRWGLNVDPLCLLCNTQPESRNHMFFECGFSADVWRRIAHRCQLQPLIVWEDIILQLQRLSTDRDSRRLTLLAFQATVYWIWTERNTRLHQQLFKTPLTVFSTIDKQIRNRLQSFRHANPRASSAMTQLWFLNS >A09g519000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58173914:58174358:-1 gene:A09g519000.1_BraROA transcript:A09g519000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGKFTLSGDANSKKQRGVGADSFPGTNKSISKYDGSSGLSVDDPHSKKSKGDASVSYPGLSKSIGTTGDFKKPNGLDVNRTDRSLSGLCLCLDRPRCRLLSRNE >A05p049180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28678750:28679379:-1 gene:A05p049180.1_BraROA transcript:A05p049180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLNLIVFSIVMLHHLISVQMHPIHAKSPSPQPHPPQSQPHHNSSQNGTTEGSLKLQECGPRCGHRCSNTQYKKPCLFFCNKCCTKCLCVPPGTYGNKQVCPCYNNWKTKLGGPKCP >A04g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20469220:20473829:-1 gene:A04g507990.1_BraROA transcript:A04g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEAQGRLEESAALMVRASRARLENATTPTIHSPPSRETPERIPISDRLGHMPSIQTVNTSTRVPIMARLGPLLDEVNISDTELTETLPQVMKRKPGRPPGRKQVNASPAQGTTSKKRQVLQAKPPLNRRKASVEQTKASKTTKGKRKSKNPLPPAGIVNGSVVPWVMWSLWKARNRLVFEGFSALPEDTLSTAIRMAREWSLKSKPEKPDSRSRIPEMISPVGSRIVRSDAAWSASSLTAGAGWVILSSPQNMTFQQHLEFVASPLMAEGLALREAVLTCQRLKLQHIRFESDSAQLIKCLSSNETSAELHSVVFDILKLSECFDSVSFVWLPRERNVEADGLAKGTLALFEPLVVGEIVNAPS >A07p049540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26317000:26319121:1 gene:A07p049540.1_BraROA transcript:A07p049540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVRDVRDSIGSLSRRSFDFKLSSLHKEGGGKSRGSVQDCHEEQQQPLALLVQETPWANLPPELLRDVIKRLEESESAWPARKHVVACASVCRSWRDMCKEIVQRPEVSGKITFPVSLKQPGPRDATMQCFIKRDKSNLTYHLYLCLSPALLVENGKFLLSAKRTRRTTYTEYVISMHADTISRSSNTYIGKIRSNFLGTKFIIYDTQPPYNNNNKPSQAVQPLGLSRRFYSKRVSPKVPTGSYKIAQVSYELNVLGTRGPRRMHCAMDSIPASSLAEGGTVPGQPEIIVPRSILDESFRTITSSSSRKFISDYSAEFNSARFSDILGPLGEEEEEEGKERVSSPPLVLKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPQTQSDGPDKILLQFGKVGKDMFTMDFRYPLSAFQAFAICLSSFDTKLACE >A08p026870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17048522:17051869:1 gene:A08p026870.1_BraROA transcript:A08p026870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESETKGKVKKETEKACVSVERVESTLLSSLVKKKGKETTSKRKYNKRKTEEEICSKSSNKKYSRGLEDEEEEESKKTRKRKSKRQQKDSNKVEEVDEALRLQRRTRYLLIKMKMQQNLIDAYAAEGWKGQSREKIRPDKELERARKHILDCKLGLRDAIRQLEHLSSVGRMEEKVMAPDGSIHHDHIFCAECNSREASLDNDIILCDGTCNRAFHQKCLDPPLETESIPPGDQGWFCKFCDCKIEIIDTMNAQIGTQYPVDSNWQDIFNEEANLPVGSEATLNKEADWPSDDSEDGDYDPEMKERSSSRSDSGGGGGGDNDGGSSSTSVSLASDGVALSTGSWEAHGFGNVVESGEASNEETVHGPRQRKTVDYTKLYHEMFGKDAVLQEQGSEDEDWGPSDRRKRRKESDAASTVVTMCENSKKDEDVVEEVQEQSERDSVSVGGKGGRRPIFRIPRAAVEKLRQVFAENELPSKSVRDSLSKELSLDPEKVSKWFKNTRYMALRNRKAESVKQPEESKVFLSGDSGPEAVMEKNTEADESHDNMEESVMEKTTETNEIQETDDETVMETNTDTNQIQETMEETVMEKKTESNVIQETMDEAVMEKNTEANETQDTMDEAVMEERTEANEIQQETMEETIMEKTTEAHEVQDSMDDETNTETNEIQETVDEAVLETNTETNEVQNTIDKSVPLKFNDPTNQTTASPCHDDNQEETQHANESFPTPIEEENQQYLEQKDSSLTLPPHEEVSSEMSLETSLEDKERKEVEEFEAVMEMLCRAENKLLDVTQRLERFRTPKGRKKLGKSSSCLHEEDSVVYVPTPEIKEGRSKLT >A01p029690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21036907:21044269:-1 gene:A01p029690.1_BraROA transcript:A01p029690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor like protein kinase S.3 [Source:Projected from Arabidopsis thaliana (AT3G46760) UniProtKB/Swiss-Prot;Acc:Q9STF0] MKWEIFKVNHQVSFLEHHTQSQSRAHHNHSPQLRITGNCNALSLVSGRLVNRRYLDNHCKFCTSKTTTPQTTPQSEPGQALTCHQKLRQPCACHPSAVVGNHLRHLLPLSDAFCRAPPSAVIRRALPPPSTVAAFLPTATDCMEVMASYSRPNAMSGSMDFGFSSHTSLSDYTVAHPSFFPISGETNNSVIFGRTWWYWTFLSDFIWARRGKHVSHCYILTRWGPWLFSSNFLVVSPRTSDKQDSGTCTPSFLVFICILCYHLRIKVPKQKTYKTVCFSQMSHQLDPVAELETLKTHVHQPGSPSFSTLRQPGEASKLSPIGSPRKENEHHGEHSHHKKSLFSKMKDKAKKLQHSLSGKRRHDEEGDATMSPPFGRSADHQVREAGGFATLSPRDNSKDHKVREEGELEEEEDPEYLGAPMYESKKAPEELKATARQHPRETPVITETNVLSVLPANHHAEQQKDCTGSNRENPMISEKNVSSNVKQEKKGKVYTNKEPTSPSKTVAETVTETLAPAYAKVSEATHAITKKIQDMAFPETTDAEPEIKDVSEINTARTNQPSGFNTQVWDKGVSMKEYISQKFTPGEDDRELSRVISKAISPRKASSEAGTFNGATNMVPASNSSDNKAPILANTNETLRSMYANSKYEEVREEWEEDYSPQRFSYKALYKATKGFKESELLGTEANGTVYRGKLSSNAHIAVKRVALDAEQDTKHLVSQIVGIGKLRHKNLVQLLGYCRRKGELLLVYDYMPYGNLDDFLFNEEKPKLSWSQRFHIIKGVASALLYLHEQIVLHRDVKAANVLLDEDLNGRLDFGLAMFGTNRNPMLGSVGYVAPELIITGMPTTKADVYSFGALLLEFACGRMFIEHPGKPEEFNLISWVCQCSKRGNLVGARDARLEGDYVSKEIEMVLKLGLLCVQYNPEDRPSMAQVVSYLEGKDVLPEMPPDTPGISIPTPYHEVLA >A07g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19861337:19861798:-1 gene:A07g507380.1_BraROA transcript:A07g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEERKHSKQQKEYGDMLGFVEDSQYGIPIRCACGGRIIDEVRGKEDYDSHPGKRFFTCINYEDNGLHYSHPWVVGVQEEMERLRKRLEEAEEVIKGVPSLNYQIESLEVNTNVWAKFDDRSD >SC208g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:157304:167394:-1 gene:SC208g500030.1_BraROA transcript:SC208g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKDNGNIHGYSFGASMIARTIEMTPNVAEIARIDSQKMGLACGSARREEMEERENEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGRGADDRITRKEWRVESSNPTSEAERTTTLDNERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESRTQSSGDWEVDPDGQSVQGRTCPYSPYKIGSTKAQPNLTISYPSYLSERGEVTLAFVGWIRPLKG >A02p058670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35172721:35174861:1 gene:A02p058670.1_BraROA transcript:A02p058670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKELGAAKKAVSLAARLSQGVQKTLLQSEVWTKSDKTPVTAADYGSQAVVSLVLERELKPATLSLVAEEVDTRDLRKKGSEEFLEDITKLVRDTLASDESYAGSSITTEDVLNAIDCGKSQGGSSGCHWVLDPIDGTRGFVRGEQYAVGLALLVEGKVVVGVMACPNLPLSSAVVEKDDKSCQDNVGCLFFAATGSGAYVQPLNGNSPPQEVRVSSNENLEEAKFLESYHMPIPLHSSIAKKLGITALPVRIDSQAKYAAVSRGDAEMYLRFTLVGYREWIWDHAAGSIITTEAGGVVCDAEGTPLDFSKGKRLDHNRGIIVTTKKLKPLILKAVRESMEEENIRV >A04g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7476222:7476543:1 gene:A04g503440.1_BraROA transcript:A04g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKCLEKLKPFIVAYEGGDRGSRRMGANIEVIGEVMVEAPLLKEIVDHDSVPDRVTAKKQNEELDRIATTVPKVHLML >A05p012700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5559705:5560520:-1 gene:A05p012700.1_BraROA transcript:A05p012700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYTVENPNFEPSKTKKQYNIYSSLLPVFLSISAYILIFYVLDMSPSSIFNDPKILFFISNALTLIIAADYGVFAEKENRDFYGEYTAAMRIDARENARPENSGYEVGLAEVTMKREKQEEALREKDTTRYLFHKEEEVPEKTVQVLSDEPKTEQSIHMTISKGETCGARNLVSPKPYGRSKSDKARSERTSHREIKHRRKRYVRSKSDDSSKWMVDHKCKKAHEETEEKWENVREESEEFAKMSNEELNRRVEDFIQRFNRDIKRQNYV >A03p010040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4008325:4009608:-1 gene:A03p010040.1_BraROA transcript:A03p010040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RbcX2 [Source:Projected from Arabidopsis thaliana (AT5G19855) UniProtKB/TrEMBL;Acc:A0A178UCQ2] MVGSLFVIGSSVMDSPSAPCLCLDAHTRSKKKIHGSSRKLELGSSFTGSSIVFRISSKRVPRIASRRSKKKFLIVNEDVAGNYDDTFGDVKTQLINYFTYKAVRTVLHQLYEMNPPQYTWFYNYVVSNRPTEGKRFLRKLGKESQELAERVMITRLHLYGKWIKKCDHGKIYQDISDENLALMRERLMETVIWPSDDSNSEVIG >A01g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17590272:17592904:-1 gene:A01g505950.1_BraROA transcript:A01g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVELRDPKFCSVKEWKGAYSISSGYNSTHILLNPTLNFIEKFKARHVSCVLRLTRTLAMVRAKKNIVSYVRELKPRKDTLRIEVRIVRLWKNYKKESGNTIEMVVVDKEGTRIHASVGEPLIKNFDDKLREGEAIVLQLFKVTVLEKYFADFSDILGGNLDHSCLVGELVSYAVKESFTLCGCQIVNFGSLKNKIIKGKDNMRLLVELRDPNRSNLSTMIICVTRFCSVKEWKGAYSISSGYSSTHILLNPTLDFIEEFKARYVSCVLRSRPMEEFNTYRCLF >A04p035180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20322622:20324026:1 gene:A04p035180.1_BraROA transcript:A04p035180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional protein FolD 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G38660) UniProtKB/Swiss-Prot;Acc:A2RVV7] MLLIARKALALAHNKTIRLTTREVHCLSSILVSPPLVSLDLPENWVPYSHPPSPVAFEGEQKTVVIDGKAIAEDIRTKIVSEVWKMKNDVGKVPGLAVVLVGEQRDSQTYVRNKIKACEETGIKSVLAELPEDCTEGEIITVLKKFNEDTSIHGVLVQLPLPQHLDEAKILNMVSLEKDVDGFHPLNMGNLAMRGREPLFVSCTPKGCVELLIRAGVELAGKNAVVIGRSNIVGLPMSLLLQRYDATVSTVHAFSKDPEHITREADIVVAAAGVPNLVRGSWLKPGAVVIDVGTCPVEDSSCEQGYRLVGDVCYEEALGVASAITPVPGGVGPMTIAMLLCNTLDAAKRTSL >A07p037740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20174136:20174504:1 gene:A07p037740.1_BraROA transcript:A07p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKASIVAFFMIIFLLGISMQETQGQQMCHDLAIKSNCNDGACTNLCKLKWNGSGSCFQNQQVFSCLCNFIFKF >A09g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18664572:18667374:-1 gene:A09g506230.1_BraROA transcript:A09g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAHEAQTTISLGFSPFSSRGVSSLTNPRIGSDRRSDIHNASDLHKCVLTFVLQLPAEGSTTNIPPFDDEHHNSNEIHKNSLFKQSIPTMASSYTLLADWKARRCSRRFGRLLSGFDVSRKNPKFRLSDALLSIWFNDGTSFEKRAMTVRTIPTEMFRFPSYDQLPELANTGKQLPGIQAFVLVCLTLWLLHSIANSIATGESQELLLIGRDLNQMSGVWVSSLAFFSVEEDPFGVMRRKNMISKQTHGQRLQGSQRSCEEVVGKGVMSTVNSSTRMVSEVSRLKQIALKETTNDDDELDDLIDQFGEVLVKDSPWKLNDARLAAILQGIDSNTYGLVNYAEFVVVTLHAHISYIHLAFNYRLIKFPPLHQTGLKRSIESLLAEAGVNEDRRISIHEFHKLLRSAILQGCS >A08g510220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22420888:22421857:-1 gene:A08g510220.1_BraROA transcript:A08g510220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVFFGLVHRRFPTSGVGGLLGPKWRKDRVQEAGVVGQHTTKAMEDAKTIASSAFFAHVLLPHVYSAVYFHRISSVFFVKSNNMIRSP >A03p063970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27827086:27830599:1 gene:A03p063970.1_BraROA transcript:A03p063970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT4G29740) UniProtKB/Swiss-Prot;Acc:Q9FUJ2] MTTNPSFSIIALMTLLMCLTPTLIKSEEGIDVLLPISLNLTVLTDPFSISAASRDFGNITDVNPGAVLCPSTPAEVARLLRFANGDFSYDSESTTSSPGFKVAARGQGHSLRGQASAPGGVVVNMTCLAKTATPAAVVVSTHGTYADVAAGAMWVEVLEAALARGVSPVTFTDYLYLSVGGTLSNAGIGGQVFRHGPQISNVHELDVITGKGGMVTCSPKLNPELFYGVLGGLGQFGIITRARIALDHAPTRVKWLRILYSDFSAFTRDQERLISMANDLRVDFLEGQLMMSNGIVDTSFFPLSDQTRVASLVNEHRIIYVLEVAKYYDTTTLPIIDQVIDTLTRTLGFTSEFMFMQDVPYFDFLNRVRNEEDKLRSIGLWEVPHPWLNMFVPKSRVLDFHEGVIKGLLLNQTATSGVTLFYPTNRNKWNNLMSAMIPNEDVFYVVGFLQSAGGSHNFEELESLNDRIINFCNNSGIKIKEYLMHYTKKEDWIKHFGPKWSDFSRRKMMFDPEKLLSPGQDIFN >A03p063040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27367606:27372602:1 gene:A03p063040.1_BraROA transcript:A03p063040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTILGTLKVVLRDEYQEVLNNRVFGPILEIIENKLIYSGKDVKVENVTETHVEEPTVVAEEPTVVTKRGERKLIDPGAESRKKKLLCQRVAEHNSIVSGDMKSFIEGVFTSSFNSFKELLQKDIQEHFDKVDNEVAQLKATMSQITDPSYAVGRDIASEIPCPSATLGKEQEKLSQSPDASGAKGKGKGKAAVSVDPPLLRRSPRPELQTDDDDMFDFLKNLSQSSNYVDKRTQESLQDTLENLSQASLVKDVDPSQKIKDEEPTKWVTPLSSFKPPDWKPPTLKDEELLEDRMHDIDHSLVFVLEDSWAKIIEWSSTSNELAARVMEPTEWLKNHEIDVVMYLFTERTTLRR >A01p045150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23899198:23901118:-1 gene:A01p045150.1_BraROA transcript:A01p045150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRCEMEEAAKEKKITEIGSAIEELSVLSIAKTTIVTTETETTSNIINLPLKPLLSFCKLIIQVLDKIGPTMAVLRQDINQNIQRLEEMWESDPIMYSNLVEIMRKEANEGSSKKPKSCSRATLWLTRAMDFTLALLQRLVKDMSQNMEQAVEESYNLTIKPWHGWISCAAFKVALKLVPNNNTFINVLAGKGESHQMVQDDIRSLISLLIPLELYEVHKLKST >A09p013040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6644010:6649023:-1 gene:A09p013040.1_BraROA transcript:A09p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNYSFSPSTMFSVCASLSGFSMLFRSMLNDFVPDQLRSYIYENVLGKLFTPSSKNLTLIIDENSKYKKNLVYEAAEMYLRTKIGPETERLRVAKTPKQKHLNVTIAKGEAIRDTFEDIQVKWLYVQTEKENSEKVKRYYELTFEKKLRERVLDSYLNHVIAESEKIKRDLRVVNLYSRDVPGSRDDDGSSCGSWGCISLEHPSTFDTLAMDPSAKKKIIDDLERFLKRKEFYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVFDLDLSNIYDNGELKRILLSTTNRSILVIEDIDCNAEVRDREDENQEIGKARGKRKRRDDEYDDSEDQDTQLTLSGILNFIDGLWSSFGDERIIVFTTNHKDRLDPALLRPGRMDMHINMSYCTGLAFRTLVSNYLGLDGLNHPLCEEIEKLIDSTEVTPAELAEELMQDDDTDVVLRGVISFVEKRKDEKSKVKAQEDVSACNEVVTKIDDSDGKQNSTKNQKQAESMAMLVEPPNGIKQQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINRETNEKVAIKKIHNVFENRVDALRTLRELKLLRHVRHDNVIALKDVMLPTNKSSFKDVYLPGNLLVNANCDLKICDFGLARTSQGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVVGSQQESDIRFIDNPKARRFIKSLPYSRGTHLSNLYPQANPLAIDLLQRMLVFDPTKRISVTDALSHPYMAGLFDPGSNPPAHVPISLDIDENMEERMIREMMWDEMLYYHPGAETANP >A06p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3293147:3294466:-1 gene:A06p009380.1_BraROA transcript:A06p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLRMVSCSTDIGLPLSVFLSSINFWVYRFGHTCKFDHSMSSSSSSSLSYIPMVSSVTDMPLTPYPLEYSLLSTLALSSSSSDENAQDFTLRAPSFRTGVAVPVGVPSKDDAFRTHPMKLLNERNIKGTFFGNYKPKTDIPGVVKKYMNKELELEKFSLTHDSKGLPLPSFSRFTLILATPPPNAAPVFHIERNKPEHVAGMYSAIPYAISQVNHGQYSSSAIS >A03g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7242243:7243251:-1 gene:A03g502230.1_BraROA transcript:A03g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPELGLQPYTEVVLQRFGTVATVVAAANSTALDCSKEIQAAMQVSLRNALSISAMKPIDGPLDDLTLMKVAYSISTTAHVYFIERHLHVTEKDQLVKERDSAPQEAHMWRSELGKARGRVVILEGAVVRAEEKARVAEASGEAKAKEASQGEATAWTEKQELLAYVNMLQTQLQRQQLETKQVFEEKTESTNGETSLSTTKETEKDVDKACLSVSRTASMPGENVVHMSEDEVVNAQAPVGENEWNDIQATEARVSDVKRNI >A10p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17263693:17268801:1 gene:A10p027440.1_BraROA transcript:A10p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVTRMVLERPVVIYSKSLCCMSHTVKTLLCDFGANPAVYELDEVSRGREIEQALLRLGCSPAVPAVFIGGELVGGANEVMSLHLNGSLIPMLKRAGALVKVQLSDSAQGTKSPLRVTKRVDNSTNEAAALAATSHSDELDFQPSSGNSENWMGLSVSGDKPAPRFNHAAAAIGNKMIVVGGESGNGLLDDVQVLNFDSFTWSTVSSKVYLSPSSLPLMIPSWKGHCLVSWGKKVLLVGGKTDPSSDRVSVWSFDTESECWSLMDAKGDIPVSRSGHTVVRASSVLILFGGEDSRKRKLNDLHMFDLKSSTWLPLNCTGTRPCARSNHVATLFDDKILFVFGGSGKNKTLNDLYSLDFETMVWSRIKIRGFHPSPRAGSCGVLCGNKWYITGGGSRKKRHAETLVFDILKVEWSVASISSQSSITANKGFSLVLLQHKDKDFLVAFGGTKKDPSNQVEAFTIDKNKSESPTHQQTTSKKNTGRLLFGKRSSSSAVLTSDESVKASSQRLIDSVARQKLASAIEEHGGSGRRSLSEIAFADHRNPSSGNVSLRKQFSTEEEYRAVIEPPAKSPEEEKITDEDSGGGAKITAEKTLSTVSDRELHKQSSEPFPFAHIDDALIFPEMDNATLAPSSSSVYQFHEAKMTALIRRNGVLEGQLEAALAGREAAERNVSVALRSKQETDKKLSDALRDVELLKEKLTGLELSQDEANSLSNMVHSDNVRLEHDVAFLRAVLDDTQKELQSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRAATPRKPFHV >A04p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14589060:14597514:-1 gene:A04p024060.1_BraROA transcript:A04p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSAIKKKTSSSSSSSSSVSMMPDWSRLPEELLHIISENVEDCFDIVHARSVSNSWRSTFSFPCMLRRQSYSLPSFVNFPSESKGLCTLEKIPLFLFRVQTPPPASASEYLLGGIGRDESEDLTELPSPVQCSVKVKIPGYEPTLMNMRDGQIFPLGHQYRISCDSKDYRSVAFLQLNQEGREEFIVLLGFSSFFLALRSSEMKWKLVMGFRTPLSDDIVTFRRRFYVTVRDTSLTKIYTFRIDPFSLRMTRLMPLNNVGSLKYLVPCGNDDELFMVEKFLSFYNNVIDFSRFTCKVSRLQEETGIWVEVSDLGDRVLFIGRFGNVSCSAKELPDGCGVSGNSILFTDELDNGTYVYKYGVDTGRGEDDINCWNFSGENRVTILSTSPVKTSSSSSSSSSSSSSVMADWSLLPEELLNIISENVEDCFDIVHARSVSNSWRSTFSFPCMLRRQSYSLPSFANFPSESKDLCTLEKIPLFLFRVQTPPPASASESFLGGIGRDESEDQTELPSPIQCSVKAKIPGSDPTLMNMRDGQIFPLGHQYRISCDSKEYRSLAFLPLHKEGREEFIVLLSFGRFLLALRSSEMKWELVMGFKAPSSDNIVTFRRRFYVTNHAGMTMIYTFSIDPLLHLTDVMPLNHTCSLVYLVPCGNDDELFLVEKFGSYSRVSNLCRFTCKVSRLHEESDLWVEVSDLGDRVLFIRQQGNVSCSAKELPDGCGVSGNSILFTNELDNGTYVYKYGVDTGREEDDLNCWRFSGENRVTILSTSPVIQARPADLVRGSHDASVKQKDSLGAVFQEIVMSSMMPDWSLLPEELLHFISQNVENCFDGVHGRSVCTSWRSNIPFPSCLLRTSYSLPTFAQFPVENKGSCTLEKIPLCCFYVTL >A09p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20098841:20100844:1 gene:A09p033190.1_BraROA transcript:A09p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELAEKAKEAFLEDDFDVAVDLYSRAIDLDPNCAAFFADRAQANIKILNFTEAVADANKAIELEPTLSKAYLRKGTACMKLEEYSTAKAALQKGASVAPNESKFNKLIDECNLHIAEEEKDLVQQMPSSSTTPPLATAADSPPVPSPAAPAKPMFRHEFYQKPEEVVVTVFAKRIPKQNVNVEFGDQILSVVIDVNGEEAYHFQPRLFGKIIPEKCRYEVLSTKVEIRLAKAEIITWASLEYVKGQALLPKPNVASAVSQRPVYPSSKPAKDWDKLEAEVKKQEKDEKLDGDAAMNKFFSDIYQSADEDMRRAMNKSFAESNGTVLSTNWKEVGTKKVESTPPDGMELKKWEY >A10p028750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17778304:17779626:1 gene:A10p028750.1_BraROA transcript:A10p028750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVHGPQLNAKYNISNEAGVYLHVDGFEEYLNRSDSNVLQSPTSVAKLPPNTAVRTTRRKTSVKAEPQPSSSQLVNRSCRLASKKSLDGEMDQENVAQEAKTNNVKFEANVAKTPAAQSTRKDPGETSCSSKVLESKKGELVQSAYNTRRSARLLEKCMADLSLKITETLGKHEKIEETEQKVSVHEKNSAESSVTAPTSPPLPLEEAIQNQSQFPRPDKSASKKSAMKVDNVGTTNKENSMEMNIVNDSDNGESNDETKKKKKVETDEENLGDVSMRQLVKMVKELSIKSSNNRAALLILPGNNQIAE >A02g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24075874:24076398:-1 gene:A02g508980.1_BraROA transcript:A02g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGAGRLAHSAGNSWRSAQSGERCVLVRISVGESGTVSGRADGPGAGRFDQMGLSLGTGSGQASRVKKRVETANGRLWGGYGTVLGRKDGILVTARPGGWGQFKSSSLSHF >A01p018830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9160403:9162127:1 gene:A01p018830.1_BraROA transcript:A01p018830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFHYTIDLNEDQIDQPFFSHLGSSLHHNHHHHDDDHLGPSNFSSSSSSLTPSSLSHLPFLINSHQDQIHVGYNNNTFQGFLDPHLSQSLETKKFVSNSGSPSSDQVVPKKETRLKLTIRKKDNHHVHEQVKLMKRKTMITTTENNKQHVSNDQSMNQRNFEGHDQDHLEKISANQYNIVNETGYNGSNNGVIRICSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARQAAMAAAAATTNSEYLSPPLMKKKMQSKYKRSNEVSNLYSSLAPKVKKCKSMSTSVEEAISVMERTAAETQAKSTMSRSSSTSSSSNNFYYDDLAIILSKSSAYQQGFPQDEKDAAILLMALSYGMVHG >A02p016730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7531850:7533522:1 gene:A02p016730.1_BraROA transcript:A02p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSSSKKLKRSSKEDPSKLRSVKKKTKTKRSKSKKIRRIKDSSSDESDSDSSFYSSSSEDDYRREKKRRSKVSKRKKRSRKRYSSGSESDDEEDDDIKKKKRSKRKDQRIVTKKKKKRSRRDSSSSSTSSDDGSESDGMRITREKGRRVKDASEEPDECWQVEDEKKNSKKLKSIVVVTYNHDDGDERKEDMVESDENVIVDDQRDGEATALSSPAHDNRRIGYDDFEEFTNSETSKASYPDNCLKGDDLEAILKERALENLKRFRGETQRNGTVSKEVSSVSEGETLQTESEKFEESQERLEGDKDLVASQVVNVKESGTGLADLPSQQSGDTVKVKAGPGISSCTATKRKLIRPVLGQESVNLASRKEAAACQDAEAESISGKSCLESSLALATKNVGESIEPTKVTSTSPPHDDTEALDENKGESQSEQRTNDESQYEKKTMTVMRGGEMVQVSYKVYIPKKRQLR >A06p011820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5428811:5431302:1 gene:A06p011820.1_BraROA transcript:A06p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSKSHVYSLIFLLLLLLTSLSESQLTSSESRTLLEIQKHLQYPPVLRSWSNFTNFCYLPSSPSFKILCFNGHVTELTVTGNRTVKLSKTFSTDSLFTVLTKLSNLKTLSLVSLGISGPLPPKITRFSSSLQALNLSSNFISGKIPKEISSLKSLRSLVLANNEFTGSVPDLRGLSNLQELNLDGNKLGPDVVTSLASNLITVSLKNNSFGSKLPEQIKKLNKLQSLDLSSNKFVGSIPRFMFSLPSLQNLTLAQNLFSGSLPNSSLCSSKLRVLDVSRNLLTGKLPSCLSSKTFHNQTVVYTFNCLSVKGSPSAKYQRPVSFCENEAKQAVSAVKSDTKDQEKKEEDKGIELGLVIGIIIGVVLVSAVLAGLVLVRMRKSRSKEVPLEASNVDKVSVCSTATRSTTSKTVPDPRRIPQTMRSAVIGLSPYRVFSLEDLEEATNNFDAASLCGEQLYKGYLREGIPVTVRCIKLKQKSSTSTQNLAQQMEVLSKLRHMHLASVLGHCIGTYQDHHPYAGSTIFIVQEYISNGSLRDYLTDWRKKEVLKWPQRMSIAIGVARGIQFLHTGVAPGIFGNNLDIENVLLDETLTVKLSGYTIPLPSKVGAESTSNEDGEKEDVYQFGVILLQIITGKVMAAASSELGSLKLQLENGLREEPSVLRSLADPSVRGTYAYESLRTTVEFAINCLCEDQRKRPSIEDVVWNLQYTIQVQQGWTSSGNLGVGDT >A06p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18560129:18562016:1 gene:A06p034320.1_BraROA transcript:A06p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESFDHKPRRDLDGREIELNGRGYARRCSKEAALRWKFMGPLLPTETMLTHDDGVADAGGSNSGKILTVKRRLKVHRGYIFFMTIRIVGGDRSLKELQMLLQLHKRDEILVILPSLLGDENFVMLCLASLRLQPLPPKIFLIALMWMASDVPPGVCREHTVNFSSSWFVAVHAVVPFIPMLGNSVLMPKAAMAKTIGASILGQLISQELNITVSEQQLRIKFLKVNKIYDGSDVAKGNCADGEGVTDGY >A03p054760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23587918:23588586:-1 gene:A03p054760.1_BraROA transcript:A03p054760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPTSLTPAPLTDKTVSRALGSEAQKITTTNEQASSSSIYTRNKNLLWKMEQEEAERSTTIVASTSEESSGLDAIARVRKLLFRQMLVGIKDGRFFLGSFHCIDKQGNILLQDAVEYRSIRRSSPSPTEQRCLGMILIPASCRTSCHVDCSVEEQLSLIQLKE >SC317g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000162.1:2257:15857:-1 gene:SC317g500010.1_BraROA transcript:SC317g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIWRCEKRDLRSTTPRGHHHHSRLLTARREREREERCGEEREKKERQRGERERERSSTARASCLRDFSAELRSRISDERQGRWRLDLLNPRSTFNNIEEHERDLGRTPHLSLDLDLDLKIRDLQHHAATTTIRGCSRRGEREREERCGEEREKKERQRGERERERSSTARASCLRDFSAELRSRISDERQGRWRLIYNTTRPPPPFAAAHGEEREREKRDAVRREKRRRGSAGRERERDLRRLGLPVSGIFLQSFALEFLMRDKGAGGLYL >A09p014030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7225983:7227128:-1 gene:A09p014030.1_BraROA transcript:A09p014030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKKKGNNFKLLTLFLFLGTCLGDYGIGVGIGDGGAWVGGGGKQQTNAAYSALQSWKTAITEDPSGVLKTWVGEDVCSYKGIFCSGSSVTAIDLNKANLKGTIVKDLSLLSDLTILHLNSNRFSGPIPDSFRALESLQELDLSNNRFSGSFPQATIYIPNLIYLDLRFNSFTGSVPENLFNKQLDAILLNNNQFTGEIPRNLGYSSASVINLANNKLSGEIPTSFGISGSRLKEVLLLNNQLTGCIPESVGVFSEIEVFDVSFNSLMGHVPDTISCLSEIEVLNFAHNKFSGDLPDLVCSLRNLINLTVAFNFFSGFSSECSRVSAGFDFTGNCIPGVGYQRPRPDCSVIAGGALSCLRIPAQPLTCAAILGLKVTPSP >A03g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12163283:12164074:1 gene:A03g503620.1_BraROA transcript:A03g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALDLLLVADCAWIWVVVRRTTEDLCFCSSNDSFHGVPQRMVSSPFLLSSSSTRVLRTTLDVDVSCGKSDVFFRLQVTCFKEFRAPSVLHFPFQSSRSIAICNSAVSAASSVSRSGMRGQNDRLRLTAIFIFSAELRGVSQALPSMPARSHFGEARSNSSPGYSPALCFKPVEQEALWYGSSKCRLCA >A01g511080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30902711:30903372:1 gene:A01g511080.1_BraROA transcript:A01g511080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMATNLNTIFLSIVMFHLVLSAQTQMHPIHLESPAPQPHPPQSQPQTPHHNSSQYGTTEGSLQPQGTYGNKQVCPCYNNWKTQLGGPKCP >A02g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4387185:4388523:1 gene:A02g501460.1_BraROA transcript:A02g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYMLLADLRVGRCSNTATPPRKQAVLRDGIQVATNEVKGLQYIQEPATVEKCQELSKQLPYTRLASFLLTVKISFSVSEPVRWAKKPPKITESRVSIRGDNNAQDDMPGAGEVTTKPYKTVKKVPPASTAGFPACGDTNEMQLVKKQSCRGKEEKATYF >A04p040340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22773564:22777372:-1 gene:A04p040340.1_BraROA transcript:A04p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISILSVTTLLLFFSWSLSISNSLQDSVSYDNNGNFFTVSSFRYPKSQVRPYDTRYIRVDLPPWFSSLNVAIESDVDISAKSVSKISKSLLPVICFRDGSPPLPDASTNALKALELGQLFNGSFEGGQDIEIAEQCYPMQKNISLRLTNEQISPGAWYVGLFNGIGATRTQGKMIVRSSAFSYSANITVEGCRTATMWGPSCNQTIYPLPCSRFDNQTGSVVSCSDSSPISCLNGVETKIYALDVDGIAEQLVITASNVKVDSNESYLMCYARFGAIASETLHDYSGDIHKVALVINKPKAGRWYISTNSSSKVCFSVNVKVIGCPMGKAGPNCVQQLYMLQAVMRRESSTPFESYYLPVNDATPSGSSTGFPLKSDTTTWTYFLMNIPQGGAGGHIHFRLTSHSTTQYEVYLRFGGLPTVDDHDYYYVNQTSASRSTFFSLYNSSQEKIDFYILYAREGTWSLGLRKLSDSTPATTASKGPSTLVSLSLERCPRGCSSHGNCRYGFDASGLTSYSFCSCDRTHGGFDCGIEVVSHIGHIIQSIALIASNGAALLPAYWALRQREYPEWFLFTSSGISSALYHACDVGTWCVLSYNVLQFMDFWLSFMAVIGTFVYLSTADEAVKRTIHVVVAILTALLALTQATRASNIIIVLAIGSLGLLFGFLVEFVTKHRSYCGSAGVSLNMLDRPRAVKEWFTNLIKTVKKRFRWDFVAAGLVVFTMAATSFKVETSSSYWIWHSIWHLTIYTSSFFFLCSKIAIVNNENQTHHGADSYELTRQDSLPRN >A08p003440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1937091:1937627:1 gene:A08p003440.1_BraROA transcript:A08p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKTGCQSPPEGPKLCINNCGFFGSAATMNMCSKCHKAILFQQEQGARFASAVSGGTASSSNILKETFAATALVDAETKSVEPVAVFVQPSSVQVVVAEVVAPEAAASIPKEGPSRCATCNKRVGLTGFKCRCGDLFCGTHRYADIHNCSFNYHAAAQEAIAKANPVVKAEKLDKI >A08p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18334362:18335574:1 gene:A08p029680.1_BraROA transcript:A08p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEHKHEEPVTVSEPAVEIVERESLMDKISEKIHHGGGDSSSSSSSSDDENDKEKEKKERPSSPSSMKSKVYRLFGREKPVHKVLGGGKPADIFMWKDKKMSGGVLGGATAAWVLFELMEYHLLTLLCHVMIVVLAVLFLWSNATMFIHKSPPKIPEVHIPEEPVLQLASGLRIEINRGFYSLREIASGRDLKKFISAIAGLWVLSILGGWFNFLTLAYIALVLLFTVPLVYDKYEDKVDPLGEKAMIEIKKQYAVLDEKVLSKIPLGPLKNKKRD >A08p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15668431:15670494:1 gene:A08p023920.1_BraROA transcript:A08p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSAISVAATNPLKTFSLSSRSPLPSAISLPSRSLNTPRRRLVLVSCTAGDGSKPTILVAEKLGEAGVKLLEGFANVDCSYNMTPEELNTKISLCDALIVRSGTKVGREVFESSRGRLKVVGRAGVGIDNVDLSAATEFGCLVVNAPTANTIAAAEHGIALLASMARNVAQSDASVKAGEWKRNKYVGVSLVGKTLAVMGFGKVGTEVARRAKGLGMRVIAHDPYAPADRAHAIGVELVSFDEALATADFISLHMPLTPATNKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVSQAALDVFTKEPPAKDSPLVQHENVTVTPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVSAEVLTELKPYVILAEKLGRLAVQLVAGGSGVKNVKVSYTSARATDDLDTRLLRAMITKGIIEPISDVYVNLVNADFTAKQRGLRLSEERVLLDGSPENPLETITVQLGNVESKFASSLSESGEVKVEGRVKDGIPHLTKVGSFEVDVTLEGSIILCRQVDQPGMIGTVGSILGESNVNVNFMSVGRIAPRKQAIMAIGVDDQPSKETLKKIGEIPAIEEFVFLKL >A05g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23576341:23577799:1 gene:A05g508070.1_BraROA transcript:A05g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSAMRMVEGDHVKSWQASSRDHPTGIFGSHDMAVEDLRFLMERNRLDSSGSDHTGKIPSRSGSAPPSMEGSFAALRNLLKHQEGSFSRSIENYGSEEEIRSDPGYVAYYLSNINLNPRLPPPLISRENQHLLRHLGGEGNNLSPTASWDDMGVRSSLLASRTALSTHREEPEDEASSGEQLTFASLPGRRKSFADIIQRPHSAGNHPTAEDIHAISSGIASGSTRRVPESDISVVNLLRETDSLSMEAIASEDPFTSELSSQSSTNTQNERSNARVGSREDNNLSAFGVSGPSSAASRMRGNQEEPRRMPVQYTPSSYQVQATSPQQMTYPRMGGSGTHDMMQNLPRIATGEVHSSFQSPHGLTSPPMYTSTAAYMTSLSPFYNHNFQSSGMYLPQYGYSGYPPASGVVPQYMSGYPSHEATTTPSLVDPFHLLHRTLLSCYSTKMKVNDFSNPLF >A02p053110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32351278:32355085:1 gene:A02p053110.1_BraROA transcript:A02p053110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTKASGSDRDKETSQNRARTMATTQVLVLFASALLLTMLFAGVDSTRSNETWHEHAVENPDEVAAMVDMSIRNSTMRRRLGYFSCSTGNPIDDCWRCDRKWQLRRKHLAVCAIGFGRNAIGGRDGRYYVVSDPNDDNPVNPKPGTLRHAVIQVEPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACITIQYVTNIIIHGIHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSSCADGLIDAVMASTAITISNNYFTHHNEVMLLGHSDTYTRDKVMQVTIAYNHFGRGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPRNRFAKEVTKRDYAGHWQWKHWNWRSEGDLFLNGAFFTRSGSGRGASYARASSLAAKSSSLVGVITSNAGALNCRGGRRC >A02p007130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3010712:3011909:-1 gene:A02p007130.1_BraROA transcript:A02p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGRYLCNIQQNAFMSREDLVVFPKPRRVGLLSNNIIRPLRFHMRAVTIIIPTTLFPGSPPSRAVNPLAQDARFRDEKLTPVSPNSPFLHPSSSSDSFPSPSSSSSSRGCGRMKFGLKPPAVRVEGFDCLNRDRQNSSIPAMA >A02p001460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:657787:658926:1 gene:A02p001460.1_BraROA transcript:A02p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTVTVVATKRYALDPYVKIIQSRSNDIDVSFSSYIKPDNNNEQQKQQEDTELSIFEARSYFSESGGNDSDPRFSSVSSPKVSSFPVRHTASSEASWNSQTGLLSNNNRQGSDRDGRGSSKKGSRWFFRRRTCPCSSSKSVQVQETKPRIAESRTGSDRTVSNRIAHHNHQTISSTVPSFSSFSFPTLNKPTPTIENHKSPVENRIEPALHPVEPALHPVEPALHPVKPVLSLTSPKAVVTEEEAASDASSDLFEIESFSTQHVARPCAPHAADLVRNTIDTATENGYEPSEASVTWSVTTAETMANNFSRISLSQSPLAFSVCDKRKTMCLLGCRCEKAVIVSGDKRLVQPLKSVRFEDSVAQKVLCNMPTMAVLN >A04p006900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3690060:3691192:1 gene:A04p006900.1_BraROA transcript:A04p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSNGGMLYHEVQEANLCAVHCVNTVLQGPFFSEFDLAAVASDLDEKERQVMLEGAAAADFFSEESHNVSLGGDFSIQVLQKALEVWDLQVIPLNCPDAEPAQIDPELENAFICHLHDHWFCIRKVSGEWYNFDSLLAAPQHLSKFYLSAFLDSLKGSGWSIFIVKGNFPQECPMSSSSDASNAFGQWLSPEDAERILKETSSTQSSSSSSAVNNRSSDNVDQQRPYQALSREEVRTFSEMEDDDLKAAIAASLLDASAAGANLGAVGITSQREETEKQK >A09p067040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52984527:52987090:-1 gene:A09p067040.1_BraROA transcript:A09p067040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma-1 chain [Source:Projected from Arabidopsis thaliana (AT3G61650) UniProtKB/Swiss-Prot;Acc:P38557] MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNGDYRNLYNHENIFVADHGGGAGNNWASGYHQGKGVEEEIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALTRIAVERLHLTNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQPKNVMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSKKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYDKLRKKQAFLDNYRKFPMFDNDLSEFDEARDIIESLVDEYKACESPDYIKWGMEDPEQLVTGEGNASGVVDPRMAF >A06p019580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9586594:9586803:-1 gene:A06p019580.1_BraROA transcript:A06p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEKAVAVEDGEWRRRRFGPKQGSVIPKERKLVKKMILEALLPSRPSFNSKQIVEPPRIKRLQSTLR >A01p021550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10492817:10494349:1 gene:A01p021550.1_BraROA transcript:A01p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 30 [Source:Projected from Arabidopsis thaliana (AT4G17430) UniProtKB/Swiss-Prot;Acc:Q1JPM5] MNSFFSPSRAGPKPWPNRKKQTNKSAICLCSVSLLAVLFLSVFFITYSEIPKSIFSISSAFSGSVEFPQCRSEVLSRALLGQSFLLYAPHSGFSNQLSEFKNAVLMAMVLNRTLVVPPVLDHHAVALGSCPKFRVLSPSEVRVSVWNHSVELLRSGRYVSMGDVVDISSLVSSSAVRVIDFRYFASLLCGVDLETLCSGELAEQSKAYESLRQCGYLLSGVRGNVDGCLYGVDDDCRTTVWTYRNGGSDGRLDSFQADEKLKKKKKIAYVRRRRDVYKALGRGSEAESAAVLAFGSLFTAPYKGSELYIDIKKSSGVPEVKSLIEKVEFLPFVREVMSAGKRFATGTIKAPFLCAQLRLLDGQFKNHQESTFTGLNQKLESLSLKNPGPVHVFVMTDLPESNWSGTYLGDLARNSTKFKLHFLREEDEVIVRTEKELASAAHGQKFGSIPMSLDSIKKMQKHCSPQKVSNVQLYVEEAVCSCASLGFVGTAGSTIAESVEMMRKFNACSS >A03p030350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12733729:12734051:1 gene:A03p030350.1_BraROA transcript:A03p030350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMRVMKRVVTIFGTMRRNLIHCLPAKVERKELFSNIVDSEELMALGNTFRSTNVSLCGGTNTNTFPRYNHKLDQVFYRL >A07p031830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17532857:17535119:1 gene:A07p031830.1_BraROA transcript:A07p031830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIHALLTPIPRRRITIPKLTPFILSPSKSFGVINFYRLRRSHRVITSMAGSGSVSTPEHVTEKWFSVPELRLRNHRFSVPLDYSKASPNISVFAREIVAAGKEEQALPYLLYLQGGPGFEGPRPSEAGGWIQRACEEFRVILLDQRGTGLSTPLTSSSMLQFKSASDLADYLAHFRADNIVNDAEFIRVRLVPNADPWTILGQSFGGFCALTYLSFAPQGLKQVLITGGIPPIGKACTADDVYDAGFEQVVRQNEKYYKRFPEDVEIVRELVIYLAESEGGGVPLPSGGILTPHGLQTLGLSGLGSSTGFERLHYMLERVWDPILVPGSPKRISHFFLNAFETWHSFDTNPLYALLHEAIYCEGASSRWSAHKLREKVECKFDAVRAAKEGQNVLFTGEMIFPWMFDEIHALKPFKAAADLLAKKEDWPPLYDPATLKTNKVPVAAAVYYEDMYVNFRLVMETASQISSIRLWVTNEFMHSGLRDAGRQILDHLLGMNNGKKPLF >A06p045970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24651151:24651735:-1 gene:A06p045970.1_BraROA transcript:A06p045970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREYFSEACVCGVSSEVKEDREEGREQMGFMVNLALTTQLGYDIIKGPSVSQAGNNVHKSKEKRQSCTVEELKNTAGNVVLHHNLSLYT >A09g510720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32979324:32999603:1 gene:A09g510720.1_BraROA transcript:A09g510720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLHNKCIKSFKLIVHGGWGIDGNGNLMDPEQTFIRVQERFSQILKPRVRAFLEYSFIFVAITLFCILVVMHANYFCSYEYCGAEAINMSHSTIFHLRGLLIRRVTHVALTGMPQVGDVCINLQWLERRTQEFEPTYLYTMENGYFLLPDEAKSWHNIRTANVSISARHPCFGNIWQQLTINRVVGYDTIIMNSLQNSAGQDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETHTRMLKFTVQLQHHAQHRLPTFQLIFVHVIESLVFVPVCTHICNGPVPGPKILIKDWASLRPIWQRHRLCREFPETRNPSRRALSFSLAPSLSLSLPRDALSLLAVALSPRRHTLSLSSPAPCGGGGDQIPISSPLSHVSRSGSRSGLRWSVLNPSCSHALMSSTISNDQTDNYGYRRPAWWNPMYTRTQRTIKGKSPRGTCDIAITTRRFRCDKSGSARRTTLGYNGWEHTTSTTSRAMIGGSFIKEKMVRPKDEDMFEMQPPFCLIQKLKRSSAENSRRPETLAVELSLSLLRCLSLSRAMLSLSLPSLSLLAVACSLSPRRRRVVVVVTRSQSPLPCVLCLVSRSGSRSRLRWSVLNPSYSHALMLDEWITMHKNPHIVKWDLIMIGILFLLFEFYDDQLLAFMVLVLVWLCELFTLISLRTPISMKFFPRFFLLYFFVVHIYFFSYAYGFSYLALMTTAAFMQHLILYFWKRFELQHHAQHQLPTFQLIFVHVIESLVFVPVCTHICNGPVPGPKILIKDWASLRPIWQRHRVSLHLFYKKRCSLPFASYKNLTEALQRIPGDQKP >A02p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22395174:22397004:-1 gene:A02p039320.1_BraROA transcript:A02p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKGSWKWTQECSAVEGTKPLTNHVYVKQEPPQQTVNEDRRAQKRARTEVFTEAPSEPTSDPPTESSASWNGLKRGENEQMFKDMTKVMTNGFGQCVKEMYLLVDKMEYVEKKVGINQKGTNSNELQLTVSDLTKPALEPGSESVNQEKVRSLNNVHDDNIDPSGIKEPSVVIMDKQKSTISDLMKQDGRCKTKKDDVMALCRAKSDRERKLDAAQQSSFKGNRTAKLIIPTKKIGIINYLFFKKTPGSESLWYAILRTPMKWLVDSAYKRLLQVFPKSSRSLPEVAWKSSRSLLEVFPISFEKSVYLVMFSMQNVDGFINLLRLRFSNNPEHFRTDSLCFLDHHFEQMWINKYGEFKSSETGYNGLGRTLPAGSYNLYEGLAPKYRQTKKVWGSDVDDIYAPVKYNNDHWIASWIPIPNKHLTIWDSTPTHIRTAQLAELMKPFTTMVPYLLVEFPSSD >A06p021130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10440792:10445540:1 gene:A06p021130.1_BraROA transcript:A06p021130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLFQSLAGIGFKSVFLITCQPYIFSNGYLIRFNEAPCSHCSLGYIVPEWVEQHPSLVDIQRIYGSGSSLPTTTIILPLKSDKVDPVKEQLSNVHPEVLLFLSKIKNLSIREHCQDPNLSTVNSIGIITETDLMTRKSIDAESYTIHLSASETDTEKQCSYYMWRQKLPVKNENRVERRTEVQDWVITLAFPLGERLSRGNTSPGIYAFLPTEMITNFPFIIQADFILASSREIVLLDDIWNQGILSCVPLAFVNAFTTLVKKTDAPISSLLSTFRFLPVTQSSYAKLNIVRDSIRERICAEEIVPSVSHLGQKFFHKPCEVGRLIPAFSDILEKARREGAGLKNISSHGVYILDSSFDKKENDNVLDFLSLREVSNEWYSKCIQGCDVVTSVSESTYVEILLFVAQNWEPRFKNTNMVKVPLIKYHVQKGASLLSSLEDLSPRTLCLVAEKNHSWLLDWNGEFRCMSDYVFLPQATKKALNASRSTNMEVIRNWLGEKISLMDLSVSLYAQCLLRSLKKDNRLVVAYAHFLHHSITKGFLSDEEAKKCCEEMPLVDNYGNVKTSTFGVLVPASAGKWVSLITGSNPWRQDGYIELWEEYMTSGMFAGVRSKQRELLGFLKEYAKAGDVPEVAPPNAALPALSGALQKQNVFLLLEWIRSHRNSLPPKFIDSVKGGSWLRTKMNGSYDYRPPCQSFYHTASWGGVLKKGSVLVDVPLVDRSFYGKKIESYTEELKTAGVMFEFSEACAFVGDHLMSLAGTSSLSRENVFSILKFIRNLREKLLSPDDFVTSIKGRPWLKTSSGDRSPEGAVLFSEEWKAASLISEIPFVDGDVYGESEISGFKEELKLLGVVVEFPNNHGLIVSHLKPEKLNYLTADAMILLLECMRNLTSQHLVDSLGSSQCLKTKGGYKSPAECFISDPDQTCFLTVFDDVFPLVDDSFYGSRISSYKKELEQIGVVVQVEEAVKAFVRTFKQKATSTSLTRENALSLLSFYKKMMGSDHKFPEEVMKSYTELQWLQTTLGDFRAPKECILFCSEWEPLRLIANLPFIDDTTKWYGKSIHSYKKELRSLGVTVELKQGVNHLVSSLSLPDESSSITPSSALSLLECVTFLIEDGRKLTQEFLDKVSVRWLKTQAGYNSPKECLWFNKSWELEPCDGPFIDEKYYGSMLKSFKRELIEVGVVDDPDKARQLLATHVYTHSDSDAVSRIYRFLSKTEWKQEKDSSSSSGRIWVPSDEKWADVSSCVVSDKDKLFGSHLNVLENHYKSYDLLVFFSSAFNVRITPSVEDYCALWKDWERTKDRLSNHECCAFWSFLVRHISDDLLSESFSRLPVKTTGSSNDEGILLSSKSDVFIADDLLLKDLFIDSPVFVWYPTPSNPRLSQTKLMELYRKIGVKDISKCVETAEVKLTDRTKVKKQEKSLIGPGLVRLVLGFLSDPSLEIEADERSRIIESLGSLNVLQTPETISTDYTLTLLSKGEKLVAKAKKVMRWEREEGVVYAEKMKKSCGKRKVLEYATCFAEVIAKGLMSGREDLIERLSELVKMAYLVEFDEEALEFLMKSKNLEVYEEDEKLISDAFSLK >A07p043150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23353538:23355329:-1 gene:A07p043150.1_BraROA transcript:A07p043150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVLLPGFRFHPTDEELVSFYLRRKVEHRPLSIKLITQLDIYKYDPWDLPKFAMTGEKEWYFYCPRDRKYRNSTRPNRVTSAGFWKATGTDRPIYSSDGSKCIGLRKSLVFYKGRAAKGVKTDWMMHEFRLPSSSTHSDTHLSPNDSWAICRIFRKTSTTAPKAIPHSFVSSLPTEASTDTEPYEKSSNTSHISPENSASFNFYKKNMNVTKPRSSYYDEKEVTKTSTCTSTSPFSYMDFTSSASPGLHPGPCADQQYLRSLLLASQETQPSQLPKFINNNDISSFLLNTSSSNSSFLGGYTNQIGSNIDLTTAILAQEKCPALVNLSQEYQDIGFEENIGGANQDDHHKVKFGDVSSTMVGDQHQNQRHHMLMESYYSSFSTTNDDLPTCFSIT >A08p006950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4005501:4006469:-1 gene:A08p006950.1_BraROA transcript:A08p006950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVITGPGHASFPHLRSFAISPASPSEINPLFRFYQHSMGRKAGNLYINPKKLGGIAKPCMKEMVTFLNCMALNKCKDDNCEKQKNLLSVCGEVQVVGKHQLPFAEANPRKKVMWHMDLVLSID >A02p059800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35627362:35629745:-1 gene:A02p059800.1_BraROA transcript:A02p059800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEMEKKKKKKNKGSNEKTETENSDSLSIDDPSPRCVLEIPLTSSDSDNSSSCGSCSPDKSSSPLSTTPPNVSSFQHHGLQWNRMIDSIKKKSIRRFSVIPLLASYELTRKNMRRKQPKLSPSPVDCDQILVAKPSWRNFTYEELVAATDSFNPDPVLVMFFCLLVASMDLDVLYVFLLENMIGKGGHAEVYRGVLPDGETVAIKKLMRHAMEEEERVSDFLSELGMIAHVNHPNAARLRGFSSDRGLHFVLEYAPHGSLASLLFGSEEECLDWKKRYKVALGVADGLRYLHNDCPRRIIHRDIKASNILLSQDYEAQISDFGLAKWLPENCPQHIVFPIEGTFGYLAPEYFMHGVVDEKTDVFAFGVLLLEIITGRRAVDTDSRQSIVMWVKPLLEKSSLEEIVDPKLGNDFDETEMRRVMQTASMCIHHVATMRPDMNRLVQLLRGDDRLAEPHGGARTVSLDGCDLQDHTSSSYLDGLIRHRQLLME >A06p053640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28243397:28245851:1 gene:A06p053640.1_BraROA transcript:A06p053640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGHLPAAASDDLKSPLLPVVHNDEPFEKQTLGHHLWTIFTPKNCYIALGPLLCAVVCLCARLGGEDTTTAKNMLGVLVWMFAWWLTEAVPMPITSMSPLFLFPLFGITTADHVASSYMDDVISLVLGSFILALAVEHYNIHRRLALNITMVFCVEPLNAPLLLLGICATTAFVSMWMHNVAATVMMMPVATGILQRLPSSPSSSSEMVPPAVGKFCRAVVLGVIYSAVVGGMSTLTGTGVNLILVGMWKSYFPEADPISFSQWFFFGFPLALCLFVVLWGILCVLYCPKGSGKLLSPYLHKSHLRTELEMLGPMSFAEKMVLSVFGGLVVLWMTRNITDDIPGWGCIFNGRAGDGTVSVMMATLLFIIPNGIKKGEKLMDWGKCKKLPWNIVLLLGAGFAIADGVRTSGLAEVLSKGLVFLETAPYWAIAPTVCLIAASITEFTSNNATTTLLVPLLIEIAKNMQIHPLLLMVPGAIGSQFAFLLPTGTPSNVVGFTTGHIEIKDMIKTGLPLKIAGTAFLSVLMPTLGTYVFGSKGGV >A04p010070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7416820:7417976:-1 gene:A04p010070.1_BraROA transcript:A04p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRNKPTNPNVAYSTAWTIRRSMNILRIFGLGHSKFLPHEDDDGDVEIEIDEAAVDRLCAQVDLESSPASKRINHDNGDRDQHDSRSEQDTLAYIGSRLEFAAYFSKGCYLLFTEKDRRCVIIPDLVLEEFASAKIADGLDPLGFTTPTLVFLNVKFCSSLCNVSLPLTLHALYPSISTIQVS >A07p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20875675:20878157:-1 gene:A07p039270.1_BraROA transcript:A07p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGDFRNHKSLPTFDGNYFLTQMSFSLRRLVVPFLVLVLYLDLCVETGLSHSTPAREEHVHHHGGGCSSHSHDHDHDHDHHEPKQMKLPEELAEEEDMRLCGFGPCLHDHQSSSVLSGFALWVNALGCSLLVSLASLICLILLPVMFVQGKPAKWFVDSLALFGAGAMLGDAFLHQLPHAFAGIVVFLLVEKLVRYVEENSSGSSTWGHHHHHHAGSKKLKDDDGHNNADKQCPSDATENSSEKVSKDKSLRKRKTSASDGVDKSNSGTQVISDGKMEEPEQVEKNSSLVFGYLNLFSDGVHNFTDGMALGSAFLIYGSVGGWSRTMFLLAHELPQEIGDFGILVRSGFTVSKALFFNFLSALVALAGTALASLLCHNTWQISRNVLVWGNEPGQSSLIEGFTAGGFIYIAVAGVLAEMNNSGKSTLRNSVCHLISLMLGMGVALVISLLE >A06p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8074555:8075984:1 gene:A06p017920.1_BraROA transcript:A06p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVKSFIGSRLKTYSARQENSEKECRRSLTCKLSVNEEYQEAFRTNSYLEIRTKAEDQLGITFSSKLSSPSPTTSPSSSSDLSFHSHFTDYLLDPPQETLDALMQDTSFHYLLAKFFDFSSDACDVCESLLQCIQQIKINHMKLKRVIKIGKRVCNGAKTPECSRENLCALIFQELSRYALLKNPLYCIINETQFPRVNDANPDLLARLKSKRRRIRRKIRLFTFCKKLGSYSLVISHIAIVITLLTVALHSILGVLAAPALLGLCCLLRKKKIKRKMKNKSKTDTKLEKLGAQMDIAAKGTFIMMNDLDTLSRLAGRLCDEIEHRKAVAAMCAKSGKVEVLKEALRVFNGHEERFSELLQELEEHLYLCCHTINISRRLVLAQTTGPSS >A02p006720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2858154:2859596:-1 gene:A02p006720.1_BraROA transcript:A02p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSVEVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGFAAVKALKDIYSQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVTEVSYAVGKDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRIVSSMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAHISIYDPQVTEEQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVSVAWDAYAATKDAHGICILTEWDEFKKLDYEKIFENMQKPAFVFDGRNVVDAEKLRKIGFIVYSIGKPLDQWLKDMPALA >A08p014840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9810820:9811983:1 gene:A08p014840.1_BraROA transcript:A08p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKLSAVEVTETKLAVTEKGLSEMEVTRRQEKRFLALVIKVKVMLDWGPKGKFGPPLPAVVIIYAPKEASLTATDYPEIPVA >A10p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22568334:22569847:-1 gene:A10p041100.1_BraROA transcript:A10p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCSKGTGITVENGTGDSNEHRDREAEVRDTNDGAIIRSRGSSKHVSMAIKQGKKGINQDAMTVWENFGEEEDMIFCGVFDGHGPMGHKISRHVCDSLPSRVHSRIKSSNISSQSRQEEGLFRELEEVLVTFFKRIDSELGLDSPYDSFCSGTTAVTVLKQGDCLVIANLGDSRAVLGTRGGKSSLKAVQLTVDLKPCVQREAERIVLCKGRVFAMEEEPDVYRVWMPDDDCPGLAMSRAFGDFCLKDYGLLCIPEVFCRKVSRDDEFVVLATDGIWDVLSNEEVVKIVGACKDRSIAAETLVQRAARSWRTKFPASKADDCAVVVLFLNHRPYPREGNVSRAVSTLSWRSGKSNNEGH >A06p038020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20534111:20535446:-1 gene:A06p038020.1_BraROA transcript:A06p038020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 103 [Source:Projected from Arabidopsis thaliana (AT5G64060) UniProtKB/TrEMBL;Acc:Q9FMJ1] MGKNSLAPGFRFHPTDVELVRYYLKRKVLGKKFMTNAIAEVDIYKFEPLDLPEKSCLRTGDLKWYFFCPRLKKYPNGGKANRSTESGYWKTTGKDRDVTYNDEVVGKIRTLIFHYGKTPRGERTDWVMHEYRLEDRTLEQRNIPQDTYVICKLFKKNGLGPRHGSEYGAPFKDEDWSDEEDIESLDPGPNKETSVVASASHSHRPEDCITGVISESCVVSDAPQLTAATVLPPIASDVVAHTPLSSSSPLIEVPHAVQDDDDFYSMLDLFVVDNDESLHLDGLNNQYEVRQETEVPAVEEAHVCLEDVDMSWIQDLSDELFVGIEELIEPSTPPAAQGSHPGDS >A02g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22064661:22065236:-1 gene:A02g507950.1_BraROA transcript:A02g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGFSNKYLDLQSKSAYIARSLPKIGQTSMNKDLMVVATKSSSLLFDLYPRIICEASLIDCSHQVRIRSHVYQDPRQAVVSSLVLEVSFGSFRQSQQV >A01g510600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29136385:29138494:-1 gene:A01g510600.1_BraROA transcript:A01g510600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERERREKGCKVATASGGASERVPAPLVPFFVLPLMSSCGCSDLAILSDMRADLEASTALASPLKFPGCGGSFSSVASAKLPERGGSYSSIVAGFWSPGSILGFSFFLLLGRSLVKLSAPGRFGGRGEEGEELKSLGVDRRGGGDVAGRDGSRADIRFSLGWNFEIRTAEESSRVSCLLKRISALFVPLLLSDPKTSRSFDSLIENKDSKKEEAKGPLECDLIWILSGEFHWKREKKKETQRQVCEFCTHLQLIIKGGERVSLLLVLISTLVVGASS >A06p040550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21925667:21927629:-1 gene:A06p040550.1_BraROA transcript:A06p040550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPIGFDEYMNLVLDEAEEVSIKKNTRKPLGRILLKGDNITLMMNTGK >A03g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27491427:27491864:-1 gene:A03g507790.1_BraROA transcript:A03g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRHQLLIQKRFIDPNCEGWYELLLKHRSIYSPQLYIVKTKEYKYMRDNLQGQTLGDTEMGLKRNHIT >A06p051620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27295936:27296988:-1 gene:A06p051620.1_BraROA transcript:A06p051620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLGLLFLLALTGDSRATYCLCRDGVAEKDLQTSIDYACGVLGDCNQIHEKGPCYQPNNVKGHCDWAVNSYFQRAGQVPGSCNFSGTATTSPNPPSTVVTGCIYPSSPGNAGTGAPGTPTFPGPPAFGPTGGSDPSGNDASSLITSIAFTLGFSVIVFL >A07g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22540978:22542216:1 gene:A07g508150.1_BraROA transcript:A07g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEIPTKGILKTEALKHYILETSAYPREHELLKELRKATVQKYGNLSEMEVPVDEGLLLSMLLKITNAKNTLELGVFTGYSLLSTALALPDDGRITAIDIDKEAYEVGLEFIKKAGVDHKINFIHSDGIKALDQLVKDKKEFDCAFADAGKSNYVNFHERLLKLVKVGGIIAFDNTLWFGFVAEDEGGVPEHMREYRKALIEFNKKLALDTRVEVSQISIGDGVTLCRRLV >A04p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1956254:1959199:1 gene:A04p003920.1_BraROA transcript:A04p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKENYDLTPRVAPNLDRHLVFPILEFLQERQLYPDEQILKFKIELLNKTNMVDYAMDIHKSLYHTEDAPQDMVERRAEVVARLKSLEEAAAPLVTFLLNPNAVQELRADKQYNLQMLKECYQSFASPLNQVQNRIWLMHWGLYIFFNHDNGRTQIIDLFIQDKYMNAIQTSAPHLLRYLATAFIVNKRRRPQLKEFIKVVQQEHYSYKDPIVEFLACVFVNYDFDGAQKKMKEVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSESGTVIMEPTQPNVHEQLVNHTKALSGRTYKLVTQLLEHSQGQAAR >A08p044960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24735019:24740972:-1 gene:A08p044960.1_BraROA transcript:A08p044960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDDLLNAHDMESGEEDDFYSGETDDYSEGGEPDYGFVEEDVDDSVMIASHRSQVNYVVLKEEDIRRHQKDDIGQVSMVLSISEVEASVLLLHYHWSVSKVHDEWFADEERVRKTVGILDAPVIVIPTPDDSGELTCGICFETFPPEEILSVACGHPFCCTCWTGYISTTINGGPGCLMLKCPEPSCPAAVGQDMFEKLASKEDKEKYYRYFIRSYVEDNRKMKWCPAPGCEHAIDFAAGTGSYDVSCLCSHSFCWNCTEEAHRPVDCETVAQWIRKNSAESENMNWILANSKPCPKCKRPIEKNHGCMHMTCTPPCYALMHGQITGKEPVVFMPATSMKRYDEAEKRREMAKNSLERYTHYYERWASNQTSRQKAMVDLEKARSEKIEKLSDIHGTPESQLKCITEAWLQIIECRRVLKWTYAYGYYLPDHDKKQFFEYLQGEAESGLERLHKCVEKELEVFLVAEGPSDDFNHFRTKLTGLTSITKTYFENLVKALENGLADVDSQGASSKSTNTKSSKTNGGGKEPQLVDSFRSSNKLVVSVSLSSTMILSNMYSSTYSSVRDFLKLLFSSSFFQGSSMAFTDVKDGSKGFVKRVASSFSMSKKKKNATSTGGGNNEPKLLPRSKSTGSTNYESMKLTSAKKIQDATIKTRTKPSSPRREKIDDRGGGRTNKSFGKWRSFDDSDSIWLSSDCASPTSLLEERRLSVAFRFSVDDSVVSWLSNLANSLNHQDAASVKDRCSIPRSSKESAEKKVSFSQLEPEKPSSPHEIISAEVLESKSVTKVDEPLFWPYEQRFDWTPEDILKHFSMSPRRKKLLNAKMSSGTSSPRSMRAQLLQARKVDLKDGCKKKLVFNGPVINTTKNIPELKRTISNKKNESIIKNEPIRNCVKRNKSLPSRLRKSSKPCSKVVPIEAAEEVIIAEERAKAEITTRKLINRRSKTMLEDDFAFLNDFSIEKAVGLGEFKGREGIDSEFNSDTFLFDDSL >A05p020680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9784732:9784995:-1 gene:A05p020680.1_BraROA transcript:A05p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPRSIANKAIRLAREWQEAQHHTSQNQLQSITRQRHLRRLVGTIDVFTDAAWRSTDRVAGVVRVNLKHGRLVQDKIVGQPFSVC >A07p029500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16530049:16531262:1 gene:A07p029500.1_BraROA transcript:A07p029500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57280) UniProtKB/Swiss-Prot;Acc:Q93V66] MATKISHLACFSTANRQLRFQTRSFPCLRSRHVCFVVRSVDGNSSETPASLSYTAEVSKPFVEKTSKKPYSSVDETATSKEIITETVEEHVATQPKRAAKIHDFCFGIPYGGLVMSEGLLGFAFSRNLTSLSTGVLYGGGLLALSTLSLKIWRQGKSSFPYILGQAVLSAVVFWKNFTAYSMTKKLFPAGIFAVVSAAMLCFYSYVVLSGGNPPPKKLKPSTSPSH >A02g511280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29944598:29945010:1 gene:A02g511280.1_BraROA transcript:A02g511280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGNSCGGGQSSLNYLFGAPPAPKPTAPAPPAETASPAPAAVTVTATTTVEPAELNKQIPAGIKTPVNNYARSEGQNTGNFITDRPSTKVHAAPGGGSSLDYLFTGGK >A07p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1157775:1160541:1 gene:A07p007160.1_BraROA transcript:A07p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMQGPRNSDVNQGDSNEPEMNALVENEFSNSGTPSGRPAQNHNWWRFGESSSANHQLPPQDGYGGPSFLRGSGSNAMSMDMDSDGYGAQTSGVVFRHSNYGSSLGSSVQAAGESSSGPASSLGLWGSSCKRKALEGVPTAETPDFVSHNDNAAHPRYGASSSLTLATPSQTSPNPFGRTEPLFGGTRPAASTNAFHSVRNTDTSSRPGRRLNPRQPQESVAFSISLGGTSVRPTGSLQQNIDPRSTAFASGSSSGEHPSNIVHHPALTRNIHQFAWDRGSGSSGVEMPQWETPRSNPEQPTMFAPPTDIRNPVHDQSMWSFTRGSPVDSPFASRGGPSSGIHGQQQQPSNPAWIPPQSGPIHDPTRASELSPWSLFPSIESQSASHGPLLPTGPSLSSNEAAMPSSSNSRSHRSRQRRSGLLSERQNELLHLRHLGRSLAADSDGRNHLISEIRQVLSAMRRGESLRIEDYMVLDPLIFQGMTEMHDRHREMRLDVDNMSYEELLALGERIGDVSTGLSEDVILKTMKQHKCTSSSPELHQYMEPCCICQEEYAEGDDLGTLECGHEFHKDCIKQWVMLKNLCPICKTVALTT >A05p037890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21546149:21550036:-1 gene:A05p037890.1_BraROA transcript:A05p037890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTKLKSMDFYRKIPRDLTEASLSGAGLSIVAALVMMLLFGMELSSYLAVNTTTAVVVDKSADGDFLRIHFNISFPALSCEFASVDVSDVLGTNRLNITKTIRKFPIDPHLKTTGEEFHSGHGSHDINHGEETKEEIPDGSVPLVSSSFDSFSKHFPLLIVNFNAPWCYWSNRLKPSWEKAASIIYHKYNPETDGRVLLGSVDCTEEAELCKRNHIQGYPSIRIFRKGSDLKEDHGHHEHESYYGDRDTDSIVKMVDELVAPIHPETHKLDLDGISNKTLKHLIKAPVTGGCRVEGYVRVKKVPGNLIISAHSGAHSFDSSKMNMSHVVSHLSFGRMFSPRLLTDMRRLLPYIGQSHDKLNEKAFINQHEFGANVTIEHYLQVVKTEVITRRTAQEHSLVEEYEYTAHSSIAQTYYLPVAKFHFELSPMQIMITENPKSFSHFITNLCAIIGGVFTVAGILDSIFHNTIRLVKKVELGKNF >A03p059650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25894947:25897657:-1 gene:A03p059650.1_BraROA transcript:A03p059650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKRRIKKIDNITARQVTFSKRRRGIFKKADELSVLCDADVALIIFSATGKLFEFSSSRMRDILGRYNLHASNINKVMGQPSPYHQVENCNLSRLSQEVEDKTKQLRKLRGEDLEGLNLEELQRLEKMLESGLSRVSEKKGEFLMSQISSLEKRGSELVDENRRLRERVVTMEMAKTMALKEAVETESATTNVSSYDSGAPLEDDFSDTSLKLGLPSWE >A02p022990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11022719:11024676:1 gene:A02p022990.1_BraROA transcript:A02p022990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGVHEAHQVSEAFVEQYYHIVGKVTQEAHKLYVAASVVSRPGPDGTMTSFTSLEAIDKHFLSCDSTTFEVLSVDSQSSLEEGIFIMVIGFLTGEDNLKRKFSQMFYLARQNTAYVVVNDIFRYVDEVSYTPTTLPPVVESVPETEVVEPVHAPAEVKNVAEVKTAVAEAATPLDNGSDNHSAEKAVTAQKPKEIVADTAAPPVDGGKKSFAAMVASMARSSAPFQVKASPVVQKPKYMAAPKPREAAPAPKAPAAVSKRERKNDQRIVDEPGTSIFVSNLPMDAMPPQLYELFKEFGPIKEHGVQVRSSNARGTCFGFVAFENVTSVQSVLEAAKNNAFKLGDLEYDGSKPSGGKRTENGSAADGSKTENGSAADAEDDFKPIRSRRNRSEKKGNGNNEKNSVQTTPKPKA >A06p015940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7103541:7104868:1 gene:A06p015940.1_BraROA transcript:A06p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INHYIKKSQDSLKNQESRMVRTPCCKPELGLKKGAWTPEEDQKLISYLNNHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTEDEELSIISLHALHGNKWSDIARGLPGRTDNEIKNYWNTHIKKRLIKKGVDPVTHKSLISETSKSENLPEILNNQNIIQTIITSKDDLGNNEKVKNEDKKSRLSSARFLNRVANRFGKKINQSVISEIIGSGGPLITTTTTSVTVDSISDKATSFSFTPTSYLLNQMTVNGNGNATSPPSMFSDSSVNHPLMYRSVDNIGFPGFLNEQHVMMLEESCVENTEFMNELTRFLREDVNNDVEVTPVYEYKDDFEEIDNYFACVNLLTNPT >A10p013410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4798428:4801585:-1 gene:A10p013410.1_BraROA transcript:A10p013410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKMFFRSGFEVQMTSMKSRRLRGSLPDDFQEVVWTSRKSSRLHGSHLDFMEVFLIDLSVLVFSDLKDFWDDLLVSRLEVVWTSWKSSEKVVWTSWKSSGLLKSRLIKSSGLPGSRVEVKSSESRLDFLKVVWTSWKFSDKVFFHVKWSPSLRLTIQSSVRRLTCKSSRIYEVLWRFFCNQTKSDDLIFSRLRKQISKSIAKITSALTTRLPAHIRLLQEHRILNESDPPIIVSFFDSMNHKKCKIKILKKDNSILGALRASNWLFMVVRVLMIMAIL >A09p026080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14888845:14893035:-1 gene:A09p026080.1_BraROA transcript:A09p026080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSKTTLILTLFFVFSCSSLAKQNFVPEIEALISFKKGISEDPLGILSDWTTTGLVQHCSWTGITCDRTGHVVSVSLMEKQLQGILSPAIANLTYLQVLDLTSNSFSGEIPSEIGNLTELNQLILYLNYFSGSIPSEIWRLKNIVYLDLRDNLLTGDVPEAICKTISLELVGFENNNLTGTIPECLGDLVHLQIFIAGLNRFSGLIPISIGNLVNLTDFSLDSNQLTGKIPREIGNLSNLQALVLAENLLEGEIPAEIGNCTNLNQLELYGNQLTGAIPAELGNLVQLEALRLYTNKLNSSIPSSLFRLTRLTNLGLSENQLVGPIPEEIGFLTSVKVLTLHSNNLTGEFPQSITNMKNLTVITMGFNSISGELPANLGILTNLRNLSAHDNLLTGSIPSSISNCTSLKVLDLSYNQMTGKIPRGLGRMNLTLLSLGPNRFTGEIPDDIFNCSDLGILNLAQNNFTGTIKPFIGKLQKLRILQLSSNSLTGSIPREIGNLRELSLLQLHTNHFTGRIPREISSLTLLQGLELGRNYLQGPIPKEIFGMKQLSELYLSNNNFSGPIPVLFSKLESLTYLGLRGNKFNGSIPASLKSLSHLNTLDISDNLLTGTIPSELISSMRNLQLTLNFSNNLLSGTIPNELGKLEMVQEIDFSNNLFSGSIPRSLQACKNVYYLDFSRNNLSGQIPDEVFQQGGMDMIKSLNLSRNSLSGGIPQSFGNMTHLVSLDLSYNNLTGEIPEGLANLSTLKHLKLASNHLKGHVPESGVFKDINADDLMGNTELCGSKKPLKPCMITKKKSSHFSKRTAIIVIVLVSAAVLLLILLVLILTCCRKKEKKTETITESPMPDLDSALKLKRFNPKELEQATDSFNSANIIGSSSLSTVYKGHLQDNTAIAVKLLNLKQFSAESDKWFYTEAKTLSQLKHRNLVKILGFAWESGKMKALVLPYMEKGSLEDAIHGSGEQIGGFTERIDLCVDIASGIDYLHSGFGFPIVHCDLKPANILLDGDGVAHVSDFGTARILGLREDGSVTASTLAFEGTIGYLAPEFAYMRKVTTKADVFSFGIVMMELMTKRRPTSVIDEESQGVSLRQLVEEAIGDGVEGMIRVLDSEIGLSIVTRKQEEAVEHLLKLCLYCTSSRPEDRPDMNEVLTHLMKLRGKTISVPDRNGDLEV >A06p053300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28132159:28137810:1 gene:A06p053300.1_BraROA transcript:A06p053300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRLPPPSLYVPPHQRLRSTPPAYAFHPLPLPHTQSQKPPLLPTRFVSAYDDSVSEVASLPEPVAFHCANLDEWRRNLSMLLRDPVKQEVISREKKDRRDFDKLAALATSLGLYSQAYAKIVVFSKIPLPNYRFDLDDKKPLREVSVHTDLVKRVDAYLKEHLSKKSKRTNGIPANSFSRTSSTSSMATDEGLLEQPELPAASKTALDKILWQRSLQLRERQDYWEKSVEGQRMLECRRCLPAYKQRDVVLSAISQNQVIVVSGETGCGKTTQIPQFILESEIEANRGALCSIICTQPRKISAVSVSERVACERGEPLGESVGYKVRLEGVRGRDTRLLFCTTGILLRRLLVDRSLRGVTHVIVDEIHERGMNEDFLLIILKDLLPRRPELKLILMSATLDAELFSSYFGGAGVFHIPGFTYPVGSYFLEDILEMSRYRLTPYNQIDDYGQERLWKMNKQIPRKRKSQIASVVEDALRAADFKEFSPETRDSLSCWNPDCIGFNLIESLLCHICENERPGGILVFMTGWDDISSLKDKLQIHPIFSDPNRVMLLACHGSMASFEQRLIFEEPANGVRKIVLATNIAETSITINDVAFVIDCGKAKETSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGQCYHLYPKCVYDAFAEYQLPEILRTPLQSLCLQIKSLNFGTISEFLSRALQSPELLAVQKAIEYLKTIGALDENEQLTALGRYLAKLPMEPKLGKMLILGAILGCLDPILTVAAGLSVRDPFLTPLDKKDLAEAAKSQFSRDHSDHLALVRAYEGYKRAEEEAGVYDYCWKNFLSIQSMRAVDSLRKEFFSLLKDTGLIDGTPATCKSGGNDDNLTRAVICYGLYPGICSVVHNERAFSLKTMEDGQVLLYSNSVNARETKIPYPWLVFNEKIKVNSIFVRDSTAVSDSVLILFGGSVSKGDFDGHLKMLGGYLDFFMKPAVAEMYQTLKKELDELIQSKLLNPKMDIQAHRDLLLAVRLLVSEDRCDGSFVFGRQTLKPLGTSAVSTQPTLVSRTESGPGGDNSKSQLQTMLTRAGHAAPMYKTKQLKNSKFQSTVEFNGTQIMGQPCSNKKTAEKDAAAEAIRWLMGGAKESHEHVNHMSNLLKRSKKDHL >A07p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11531034:11532711:-1 gene:A07p019380.1_BraROA transcript:A07p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 3 [Source:Projected from Arabidopsis thaliana (AT1G22880) UniProtKB/Swiss-Prot;Acc:Q2V4L8] MASPFLFVFLLSGLLVRNTYAGPNYREALSKSLLFFQGQRSGRLPGDQKLSWRFNSGLSDGSSAHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSSLEYGKKMGPELQNAREAIRWATDYLLKCARATPGKLYVGVGDPNGDHKCWERPEDMDTPRTVYSVSPSNPGSDVAAETAAALAASSMVFRKVDPKYSRLLLATAKKVMQFAIQYRGAYSDSLSSSVCPFYCSYSGYKDELLWGAAWLHRATNNQYYLNFIKSLGGGDQPDIFSWDNKYAGAYVLLSRRAVLNKDNNFEVYKQAAENFMCKILPNSPSSSTKYTQGGLMYKLPQSNLQYVTSITFLLTTYAKYMKSTKQTFNCGNSFIAPNALINLSKRQVDYILGVNPMKMSYMVGFGSSFPKRIHHRGSSLPSRAVRSNSLGCSGGFQSFRTQNPNPNILTGAIVGGPDRNDQYPDQRDDYSRSEPATYINAAFVGPLAYFVGKSH >A04g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3278776:3279937:-1 gene:A04g501200.1_BraROA transcript:A04g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNEYAALMEKRLVDFPSREEVGGHLLTIQQLRGELEAIRVTDKQSDVEVEGLKGKLVATEAEKVALQTDLDSMRQKHRREIEGATPTLQPSRRCITMTPDDDSDSVRPTNDLERRPSRTRCLNFDTLEEEDGTVSDESNDEANDDEASDASSDEDSDASYDEISLLHSMIEEPKAETVKQNLPKLVNVIHKMFHSTNRTVITKEELLHKMIACQIEIVDRKEVEEQLRLMLQLVPDWISETKASFGDVLVSINKMSTPETVRARLEEATSQDSSTVS >A09p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4481413:4482902:-1 gene:A09p008690.1_BraROA transcript:A09p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSLQACMDSDWLQEAESSGGSMLDSTTTSPSAADILAACSTRPQASAMVVAAAAMMDGGRRLRPPHDHPQKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKPSSSNSPSSKKPNIVTSDLMALAHSHQNYQNASLGFQRFGGNGMMGSYTAPDHSNVGYLESKYGGLLSQSPRPIDFLDSKFDLMGVNNNNLVMVDHGSNGDHHHNLHNNIGGGGLMDISACQRLMLSNYDHHHYNHHEDNQRVTSIMDVKPSPKLLSLDWQQEQGFSEGSGNGGGGRSDGGGYAGGGYINGLGSSWNGLMNGYGSSTKTNSLV >A09g518970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58167613:58168501:-1 gene:A09g518970.1_BraROA transcript:A09g518970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTLSARSADTDLPNNRERPQRESRSRIGSSGRPARERACRHRSPSSHRYSPRSSLCSRLLSPPPCLSSGTRPPVADLSLARGEVLCSAFWFCLREAEAPLALSTPVPVPARWRLHQLCRRRSRSRGVKAFHALPRRLFSFRFEFGLDWWRRRQRTIEARPWLTVMVSREAFLSLKTFAVVMVWVCEFRWIRVNPRLITRCCFEARASSEP >A05p042730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25803018:25809613:1 gene:A05p042730.1_BraROA transcript:A05p042730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTKTKKAIAAHDQEELPWEMIEEILSHVPPISLVRFRTVCKRWNALHNDKTFLNNHKFTFRFILTTKSKIYSVSIDPKILVRELTLEVPGTESHIKHLVDCNEFLLCGMDKVAAVWNPWFKQSRWIKVNASQPSSQVVGIGYVNNNMRADEKRYKTIGSYWTDHSEWETQDFSSEVWKDPKLTPIQGDKSLKDKAAIFFTKCGVSLHGNVYWIAFYDKTDTLYHLLNFDFSSERFYAYFCDLPCGMNHPRNALVLRVFRGDRFSLLKQCYKTKKIEIWVTKNKINVEDGNDVVWMNFRTLSIPNFPGLVSNEYSSSQPSYFIDDKRLVLCSCDETGHPWIYVVEENKLISKVQLNDVFDPWPLHCTYFPSLVPTMDSTKTIKEIGVQDQEQLPWELVEEILTHVPPISLVRFRTVCKRWDDLFNDKTFIDNHKLTFRFILATKSKIYSKKEAVVWNPWLKQSRWIKADVSQSSLEVDGIGYDNNNDNKMRAEERRYKTIGSYLKNHSVWKTQDFSCDVWKDLKLKARRGDKSLKDTVTNLFTRCGVSLHGNLYWIAFCDKTDLLYHLINFDFSSERFYTFFCDLPCGMNHPRNALVLPTKKIEIWVTKNKINVEDGADVVWMNFRTLSIPNFPGLVSNEYSSSQPSYFIDDKRLVMCSCDETGHPWIYILKENKLISKVKLNSVVDPWPVHCTYFPSLVPVPGSPIEEALLQCWVCGSIRPDPLRPAADVFDGH >A08p003530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2002376:2004660:-1 gene:A08p003530.1_BraROA transcript:A08p003530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLSPSPSLFRLPPLSSSLSVLFHVFPFSSSSSSPFHSPHPITPLRSSRRNHASLSDHYLSCSVPEKPLRVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEGFENFWNQCPWEDDLKYAKHVCEQVGVPLEVVHLTDEYWERVVSYIIEEYKCGRTPNPDVLCNTRIKFGAFMDAISDMEYDYVASGHYAKVAHPPAGPSVLQLSQDMVKDQTYFLSHLSQTQLKRLLFPLGCVKKEEVRKLATEFDLPNKDRKDSQGICFLGKIKFSDFVGRHIGEKEGIILEAETGDFLGNHRGFWFYTIGQRQGLRLPGGPWYVVEKDTKNNVVFVSRNYYSIDKRRRVFRVGSLRWLSGKPLVNVSQLRCKVRHGPGFYSCSFEMEAEGDVAVVHLDEDDQGLAAGQFAAFYEGTACIGSGVILESWDDQCFPVCEKALQLAAVEDKTKLGKPIKIMTMPVTTSVEAEPGETSREEKLLNA >A03p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11229625:11232305:-1 gene:A03p026940.1_BraROA transcript:A03p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRNSTRFRGGDNSEDADVDNIDFTENEEDEEEDVDNGASAPANDEIDPLDAFMEGIHEEMKAAPPPKPKEKVERYKDDEDDDHVVSFLKAKKDLGLTLAADALNAGYNSDEEVYAAAKAVDAGMLEFDSDDNPIVVDKRKIEPIQALNHSSVDYEPINKDFYEEVESIASMSEQEALDYRKSLGIRVSGFDVPKAVKTFEDCGFSSQIMSAIKKQAYEKPTTIQCQALPVVLSGRDVIGIAKTGSGKTAAFVLPMIVHIMDQPELQREEGPIGVICAPTRELAHQIFLEAKKFSKAYGLRVSAVYGGMGKHEQLKELKAGCEIVVATPGRLIDMLKMKALTMMRASYLVLDEADRMFDLGFEPQVRSIVGQIRPDRQTLLFSATMPWKVEKLAREILSDPIRVTVGEAGMANEDITQVVSVIPSDAYKLPWLLEKLPGMIDEGDVLVFASKKATVDEIEAQLTLNGFKVAALHGDKDQASRMETLQKFKSGIYHVLVATDVAARGLDIKSLKTVVNYDTAKDMDMHVHRIGRTGRAGDKDGVAYTLVTQREARFAGELINSLVAAGQNVPPELMDLAMKDGRFKSKRDGRKGGGKKGGGRGGGNRGVRGVDFGLGIGFNSESSGTSSQAAPSRSAAAPSRSAAAPSRKGVINSVRTGVMAQFTNSFVAATPSNEAYPNKRPALTGFVSGGTIGGDMGRAQTQTPPVATSHHSSQKNTQSSEDRPRERKRRSGWDS >A03p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6168119:6171524:-1 gene:A03p015670.1_BraROA transcript:A03p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMTEIRSGLESESDPYADDEISIVPQVELTVPKTDDPTAPTVTFRMWVLGIAACVLLSFVNQFFWYRTNPLTISSVSAQIAVVPIGHLMAKVLPTRRFFQGTRWSFTMNPGPFSTKEHVLITVFANSGSGAVYASHILSAVKLFYKRRLDFFPALLIMITTQVLGFGWAGLYRKHLVEPGEMWWPSNLVQVSLFRLYKSQIQLYYPKLKESLVLIIYRALHEKEVKSKWGITRNQFFVIALVTSFSYYILPGYLFSLLTTVSWLCWIRPKSILVNQLGSGSVGLGIGAFGLDWATIASYLGSPLASPFFATANIAVGFFLLMYVITPLSYYLDFFHARTFPIYSGKLFVSNGQEYKVRNIINDEFRLDHKAYAEAGPVHMSTFFAVSYGLGFATLTASVVHVLLFNGKDLWNQSKGVLRGNKKMDIHTKIMKRNYKEVPLWWFLSIFATQIQLPWWGAFLACLIAIFFTPLVGVIKATTNQAPGLNIITEYIIGYAYPERPVANICFKTYGYISMSQSLTFLADLKLGAYMKIPPRTMFMAQVVGTLVAVLVYASTAWWLMAEIPNLCDTSLLPPGSQWTCPTDRVFFDASVIWGLVGPRRMFGDLGEYANINWFFLGGAIAPALVYLATRIFPKKKWISNIHMPVLIGATAIMPPATAVNFTSWLVMAFVFGHFVFKYKREWWQRYNYVLSGGMDAGTGFMSVLLFLALQRSDIMLGWWGNSGEGCPVAKCPTAKGVIVHGCPVF >A06p000900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:67575:68254:1 gene:A06p000900.1_BraROA transcript:A06p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFFKLFNGEAQIYHHTFRFGPKVYREMSASFMAITKSSETEFVSCECCGMTEEYTAAYMESVRVLYAGKLICGLCSEAVSYEMFRRQIRVDEAVAIHTKVCGEFLSSPSPTVDFISAIGEMFRGRLVLGLPRVVASALPSPRSVPAVDGGVVCAAAVIGGAGSCLPALSGGV >A01p009540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4598916:4600555:-1 gene:A01p009540.1_BraROA transcript:A01p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFFGRPKMGGSSSSSPTSSPAKRGKNKNGSDKPKQPQRGLGVAQLEKIRLHSELNCKSFNTYPSYHPSTNNDQEDVRIHAEYSSIPSSTHYGLHPNIMMNASNDLYERTPIRYRDAQPHIATSWNPNYGILESQHFVEPNITRHFLHEDQRNKLGSGIQMNFETSDATEPDLELRLSL >A01p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10441408:10442502:-1 gene:A01p021490.1_BraROA transcript:A01p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGKDDLGLSLSLGFAQNQHHLQLNLKPTSSPMSNHQMFPWNLQTFVSSSDHQNHQSLRKIDVNSLPSTVELEEETGVSSPNSTISSTVSGKRRSERQGSGDDLDIALDRSSSRGTSDEEEEYGGEACRKKLRLSKDQSAVLEGTFKEHNTLNPKQKLALAKKLGLTARQVEVWFQNRRARTKLKQTEVDCEYLKRCVEKLTEENRRLEKEAAELRALKLSPGLYGKMSPPTTLLMCPSCERVGGPSNHSQRSVSLNPWLQMSHGSTFDVKHPRS >A05p045700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27303319:27304863:1 gene:A05p045700.1_BraROA transcript:A05p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITETSRSSHELPVTTPGSTTSTAKKLTLVPLIFLIYFEVAGGPFGEEPAVQAAGPLLAILGFLIFPFIWSVPEALITAELSTAFPGNGGFVIWAHRAFGAFVGSMMGSLKFLSGVINVASFPVLCVTYLDKLFPVLESGWPRNVCIFASTVVLSFLNYTGLAIVGYAAVVLGLVSLSPFLVMSAMAIPKIKPHRWGSLGSKKKDWNLYFNTLFWNLNFWDNVSTLAGEVDNPQKTFPLALLIAVIFTCVAYLIPLFAVTGAVSVDQSRWETGFHAEAAEMIAGKWLKIWIEVGAVLSSIGLFEAQLSSSAYQLEGMAELGFLPKFFGLRSKWFNTPWVGILLSALMSLGLSYMDFTDIVASANFIYTLGMFLEFASFVWLRKKLPELKRPYRVPLNIPGLVVMCLVPSAFLVLIIVFATKIVYLVSGLMTVGAVGWYFLINYLREKKIFEFNEDADLLDNGNGERKKVEDHHDSR >A10g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6963930:6975211:1 gene:A10g502530.1_BraROA transcript:A10g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDGQPDVLCVLTDGHGRPVCADGRPRTSCTAHVGQNHPRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPENSFNHPYEACKKSDSNSKYFFFYIKNTPRNTTNVYCLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNFPGTFPANFLVDRFAPNFKFSRLHGLGLVSSVFQMCGALHELQSCSLTSGRSGGVLHVSWTCSQPYGARGAAVHASGAMRSDTRAATNLKLIGWCLLYKDHDPFSFHSSIPFKSKLKKWVRERERSRKRNFSTDFKSAPREGSGQLKINQLKINLDGKQVNVASSVQSAILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDTPPKCPKNCPEARGGSVRVQISLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVFSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVTLVVRVLGHIGRTTGTIGLCCRVECLVRIWNFSLRLGSRLSLLCYLVPSGFKETPYSLNREDSERRGHGLWLMTRRTVGCRAVTQMTVGRGRLKVLTLSPKSGLGTGLGLMCILVVDIKAMRYHWLADHCRFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPVRVAKPYALTQRDESWSKVCDSDRIVPSPSRSASGPWCWVGRSVMFLFDCWLAGWPFISNPWCGSSVGHFWLDCTHSFRISPNPGTKSVKENATKQPAFANPETVLADEMQPACAQVSAKSILTGALKPKRVNSFSTFISTLAHQYAWPRSYQGKMLTLGWMMESRASISTTWTNQTDLDSPVHQNSSLCPDQYTDQSTGRASMLICVLTWCISCPKSVHGQSTEGPAC >A01p028930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20645010:20645711:-1 gene:A01p028930.1_BraROA transcript:A01p028930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WKKSRKETRIVLVILKTYLGKFQGKAICCAIKDAKQWQETQTPKIVMARQLDDQQPPLPTMITIHTDAAWSENSLFAGFEIIIFDAAGGIVERKRIGRFCGISPC >A04p034310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19893370:19899320:-1 gene:A04p034310.1_BraROA transcript:A04p034310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRPLVLIVESVRVLKLVNLFTVDTAGGSPTFVQLDIAEETVRENEELLREVVVVDDVHLTQVFRDINPTVYIVGITTGEEVNELEMIAAGANTTMVNVVDEVRMTEILQNLPAPAPAPGPKLSTVASKANVYSGPYLSLSLEEERTQICCRLNQIPYPSSSSGLVGLLVEKLCVENFMLYDESDLKLDVFLVQHDMENMYMWVFKERPENALGKMQPMRSYMNGHSKARLAGIQPPPAGFSQTSLLCNDHPSHSTTASTGASLGAWEKPPADVVKCNIGMSWEAPDHISGSSWIVRDCQGQALHHSRQALRGSSSKTESDLRSLLWAVQAMGDLCHKKVYFEASSVEVRESLLNPYRCPSLSSLILRILELLYCFEKWTICHVSDKKNKVAKTIAESVISGSRVQSYVACGSPRWLHQMILEDARCAQELCRMEWWMGSRRSSGTTSRLGACSRGGKGGGVCDNRSGQRSSAIRRGGETNARHDGGLRIDTVKKRPETSCSTSRTDTEYTSSQCRTTTRSGRGMAVMPPELLA >A01p015020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7302424:7303401:1 gene:A01p015020.1_BraROA transcript:A01p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT4G22810) UniProtKB/TrEMBL;Acc:A0A178V625] MDPVQSHGSQSSLPPTFHSRDFQLHLQQQQQQQEFFLHHHQQQRNQPDQDDHQGGGGLNRQIKMDREETSDNMDNMANNSGSEGKDMNLGEGGSGGGSGGDQMTRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIGDGCDIVESVATFARRRQRGVCVMSGTGNVMNVTIRQPGSPSPGSVVSLHGRFEILSLSGSFLPPPAPPNATGLSVYLAGGQGQVVGGSVVGPLLCAGPVVVMAASFSNAAYERLPLEEDEMQTPVHGGGGGGSMEPPPMMGGPMPHQQQAMSAHQGLPPNLLGPAHQGLPQHDNQSYWSTGRPPY >A09p012570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6408512:6409395:-1 gene:A09p012570.1_BraROA transcript:A09p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGQTKSEATSTDQRLKTRGRKKKTTTKDPNKPKRPPSAFFVFLDDFRREFNEANPNNKSVAAVGKAAGAKWKSMSEEEKAPYASKAESRKSEYLKSMQQYNMKLASGTNRGEDDESKSEVDEAGGSDEEEEDED >A05p054630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31275600:31277560:1 gene:A05p054630.1_BraROA transcript:A05p054630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02450) UniProtKB/Swiss-Prot;Acc:Q9M895] MATTFNVLCTHTWDDRFHLKVANPPNRFSRTVYSRISELNLSVARTKNLSFSCKRLGRFSCNSEVKRLVVNGENGTSKGARRRRFSLRLRPRLRLLSMRLGRFDLRASLEDFRLFLRKNIKRVILSTGVAVIFGLCYLFLRLTAVPSPSIVPYSDFVTNLRGGSVSKVLLEEGSRRIYYNTAEENVEVVEDVDTEVAVTGDVRPKIRALAPVWKYVTRKVDHDEKFLLGLMREKGITYSSAPQSALKSMRTVLLTIITLWIPLTPLMWLLYRQLSASNSPAKKRRSKNPTVGFDDVEGVDSAKDELVEIVSCLQGSINYKKLGARLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVEMFVGRGAARIRDLFSAARKNSPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDIKVIVIAATNRPEALDPALCRPGRFSRKVVVAEPDQEGRRKILAVHLRDVPLEEDAFLICDLVASLTPGFVGADLANIVNEAALLAARRGGEAVAREDIMEAIERAKYGINDKEVKTRTLGNELSKLFPWMPSLAGRNGPDQDGLQGPLGYRSLS >A02g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24236919:24241481:-1 gene:A02g509080.1_BraROA transcript:A02g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSHSSGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVE >A03p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20432372:20433703:-1 gene:A03p051640.1_BraROA transcript:A03p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLAVEKKSTPKLQDTRSARKIVSLDNHVALACAGLKADARVLINKARIECQSHRLTLEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTRIPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVALMTREEGTLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPSKET >A02p007940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3332773:3334177:-1 gene:A02p007940.1_BraROA transcript:A02p007940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRVSPLFCTITKRSQSRFLSSPANSAQNWASRHYLQATRSYASGGRKDYNLFGNVKPGDADFRKVWEKDMKDDDDDDDTLWSASEDEANDKGRNRLEKEVKKARQQAKASSDLIDADDSDELYSVWSGSDEEKTLWTGDEGDDDDDIPTEPHPNEASDKYLDKLFEFEEKPKYRTISELLKSENEPEELSPGKQARKLAVENALKKLNKGPDGRYTNVWEVMSDVDILIGAFENIISGPEYEELRKGGPKRLNMQFFKDIQTKMRDPNFKFTPEIKLKPKSKLVPRKKWQKAQSRRRKAQK >A03p060720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26345321:26347139:-1 gene:A03p060720.1_BraROA transcript:A03p060720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLECKQIAAKNRTEKPLFVRVCLDPFFTKPIKHYPPKLHSSFFPSCARRQSIFTQEKKQISVPRANAASGMAVEDNCKLKFLELKKRTYRFIIFRIDGQQVVVEKLGNPQETYDDFTNSLPADECRYAVFDLDFTTNENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRSLDGIQVELQATDPSEMSFDIVKSRAL >A08p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10252790:10254460:-1 gene:A08p015660.1_BraROA transcript:A08p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAFFLFTLIYFSSSCCSAARYQHRHRYMERKLMIALASKIGINYGRQGKDIPSPYQSINFIKSIKAGHVKLYDADPETLTLLSHTNLYVSITVHNHQITSLGTNQTTAEDWVKTNILPYYPQTQIRFVLVGNEILSVQDRNITANLVPAMRKIVNALRAHGIHNIKVGTPLPMDSLRSTFPPSNSTFREDIAGPLMLPLLKFLNGTNSYFFINLQPYYRWLRNPMNISLDFALFQGNSTYTDPRTGLVYHNLVDQMLDSVILAMTKLGYPHIRIAISETGWPNSGDIDETGANILNAATYNRNLINKMTAIPPIGTPARPGLPIPTFVFSLFNENQKSGSGTQRHWGILHPDGTPIYDIDFTGQKPLTGFNPLPKPTNNVPYKGQMWCVPVEGAKEAELEEALRMACGRSNTTCAALAPGKECYEPVSIYWHARYAVSSYWAQFRTQNVGCYFNGLAHETTTNPGESFVLLPKSDKNNSTYCHGSCGRGRISVDIFFVWKCIVTKKSVFTGNDRCKFPSVTL >A07p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2180568:2183149:1 gene:A07p005390.1_BraROA transcript:A07p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLWSLIVFGVILIFPVNGVPEFDKMERLPDFNGTHVYQTENNVYSKAKPTMVELTFVKNPVERSAVCLDGSPSGYHFHPGSGSGAKNWIVQFEGGGWCGTIEDCVNMKKTSHGSSKYMEKRIPFTGILSDKAAENPDFYNWNKVKVRSCDGGSLMGDNENQDAKLQFRGKRIWTAVMVDLMTFNGLREAKQALLSGCSTGGLTAILYCDNFKWYYPKGKVKCLSDAGLFLDATDVAGDRPLRNLYRDLIQLQSVTTQLPNECLKRLNPTSIREGLTPKSADPNGSWSDCRLNIEKCNASQIKFLQGFRTQMVNLISSFTKPRKNGVFINSCFTHCQTENQDTWYSKNSPAVKNKVGNIIYLFLVKVAVGDWYFERRGAKLIDCAYPCDKTCRNEVAE >A08p021130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14212828:14232807:-1 gene:A08p021130.1_BraROA transcript:A08p021130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRRSRSRHGAQACAVMSAVLLLVSVSLLYTRLSLFSSHSPTHLRSSPGEDAVLFPDSLLVSDSDVVETTGGRGSSTSTEDRIDEHDDAIEEDRNDGASNEDDENQDAEQEREVTADPNRSKASSSGFYFDHVDGVVRRAFNKRSIDEWDYDYTGFSNDDDSSVKSQALFGSDDVPLDEAIRKKMVEVASVEDALLLKSGKRVSPLREGWGDWFDKKGAFLRKDRMFRSNFETLNPLNNPMLQDPDGVGVTGLTAGDKVVQMWRLSEVKRGTLTAKKPLSVVEKKEPNGIKSGERKTLDDDKKVGVEDEVREHLYADGTRWGYYPGLEPGLSFSEFLDSFFRKGRCGVRVFMVWNSPGWMFSVRHQRGLESLLSQHKDACVVVLSETVELDFFRSSFVKDGYKVAVAMPNLDELLQDTPAHVFASIWFDWRKTKFYPTHYSELVRLATLYKYGGVYLDSDVIVLGSLSSLRNTLGMEDQAAGESLNGAVMSFEKKSPFLLECLNEYYLTYDDKCLRCNGADLLTRVAKRFLNGKNRRMTQQELNVRPFSVFFPISSQQITNYFAYPATEEEKSKQDELFKKIINESLTFHFWNSVTSSLIPEPESLVARLLDHSCLRCSDTCLSLYILSPFMFPPRPQVFVNFRGEELRNGFVSHVVKALKDVRINVFIDSLELRGVETLDHLLKRIDESEMALAIFSDRYTESEWCLDELVRMYDRMKEGKLVVIPVFYRVSTVDVKIFRGQFGRHFTNTVRRKFGTIKAPAAQRWKIAVTSIASMTGLTSKVHRYGGVYLDSDVIVLSSLSSLRNTLGMEDHASNESLNGAVMSFEKKSPFLLECLNEYYLTYDDKCLRCKGADLLTRVAKRFLNGKNRRMTQQELNARPFSIFFPISSQQITNVTSSLIPEPESLVARLLDRSCLRCSDAVNQGFEASNTSHVEFCLSSESFHPHPKVIAPMNLQIEPPEKKRKNRTSPPPPPPSCPSFSLFPDEIFVNCLARISRMYYPTLSIISKSFRSLLSSMELYAARSHIGSNEQCLYVCLSDESYQSPQWFTLCINPNRTLTVSTIKKKKNKKTIGKSLAPIPSSSDFPSVSESTLVVGSDIYVIGGPIKTELSMPKCTRPSSAVRILDCRTHTWRDAPSMIVPRNHALTCFYDGKIYVMGGCGELEEPWAEVFETNTQTWEPLSDPGTEIRNIGSCTFYTIKEIKGKIFFWNPNRTYAYDTSQDNWESYAGSWESTTCLIDDHGPFHFYLWAKDEADWEIIKGLYSLRQLYKRNGGSSRNTTKLVSCGGKLLLLWEGYMKHNPNNRKKIWCAGITLKTDDEGEVWGNVEWIDIVHSVPTQCELLHCLVVTLEKREEEEANGEQQELRRRIRAIQEVIAAMNLQVRVEPPEKKSKRKKKTSPPPSTSPSFSLLPDEIIVSCLALISRAYYPRLSIISKSFRSLLSSKQLYTARSHIGSTEQCLYVCLSDERYQSPQWFTRWINPNLALEKKKKKKTLEKSLAPILSSDSPLISKSTVVVGSDIYLIGGPTKTEPSCPSSAIRILDCRTHTWRDAPSMIVARNDSLTCFYDGKIYVMGGCGDDDEPWAEVFDIKTQTWERLSDPGNQIRNIWRCDFYTIIGMKGKIHFWNSYRAYAYDTSQDNWESMIDGGVWYHCIPKSACEIDGVWYHMSYGRSYDFRWTMEGETWKAVKGLDSLIKMYNRNGGSSCNKTKLVSCCGKLLLLWEGYMKHNPNNRKKIWCAEITLETDDEGEVWGNAEWIDVVKSVPTQCELLNCLVVSV >A05p035620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20171034:20171583:1 gene:A05p035620.1_BraROA transcript:A05p035620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein JAZ13 [Source:Projected from Arabidopsis thaliana (AT3G22275) UniProtKB/Swiss-Prot;Acc:F4J078] MENRSLDLCLSSVTSSLQSCRRDSKVSQSLATRTKEINAFYSGRVREYDLVEIQIRAVIEMASKERDITALELAPVRLKSPLVFSVKRSVERFLEKRKKRSKYVTTPYGYTCSSTSSSSSRHS >A04g504480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9318721:9318960:1 gene:A04g504480.1_BraROA transcript:A04g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKNLGMKHYQGGTDPFEADARLHNLEQNFAATCCPVEFKKDVAVYYLEKDAISWSLCVEGNFGDFNLSWTDFRTAFV >A02p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1997000:1999096:1 gene:A02p004600.1_BraROA transcript:A02p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTTPLQISEDLSRKITSLAAGEAHTIALTGDGCVYSWGRGMFGRIGTGRETDELVPARVEFDSPAARIVGIAAGAYHSLAVSDDGSVWCWGYNICILSSECIHMDFNTLAPRLIQNFFEQEASSSSPDDSGREARSDLKVCAVKAGSMMSLAIDNAGGLWMWGNVPPQETGPEPRLSFTSVPIPFPIPEFNGRTVLKVACGDEHVVALVGSKETQESALYSWGNNHHGQLGLGDGESRARPQVVETFNQNSGLTVYDIACGSHHTALLTYKKDAPEGLSVCWTFGFGENGQLGRGSTKSSPVPEPVSELPEHAHLVSVDCGLFHTSVVSSEGYVWSWGMERGLGLCPDVNFSEVEAGDDSVPRKITGGSRFRDPVQVSCGAAHTVLVANGGYKMWSWGRGRNGLLGTGNVMDCYVPTPVFWPTNELKQDSEEAPKPDADGKSSSTEEIKQLQTKLMVMERYASILHGSIFGKPFNEEEDIPYSLRVSGYFDMGKEWGEMLESADKSQLVRLQAFYEDMISRVKDKVLQRRIQEIMKDCLQSSAPKH >A03p058610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25412061:25415847:1 gene:A03p058610.1_BraROA transcript:A03p058610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLSTVGFLLLLLLSHYGGLTGATDAEHSLRATDSHTHRHRRHHGHRRGEEFEYSALSCRAYSASLDEFGAVGDGVTSNTAAFRDAVSQLSRFADYGGSLLFVPAGRWLTGSFNLTSHFTLFLHRDAVLLASQEESDYEVIEPLPSYGRGRDTDGGRFISLLFGSNLTDVVITGENGTIDGQGEPWWGKFKRGELKYTRPYLIEIMHSNGIQISNLTFLNSPSWHIHPVYSSNIVIQGLTILAPVTVPNTDGINPDSCTNTRIEDCYIVSGDDCIAVKSGWDQYGINYGMPTKQLLIRRLTCISPDSAVIALGSEMSGGIEDVRAEDIVAINSESGIRIKTAVGRGGYVKDVYVRGMTMKTMKYVFWMTGSYGSHPDEHYDPKALPVIQNINYQDMVAENVTMPAQLAGITGDEFTGICISNVTITLSKKPKKVLWNCTDVSGYTSGVTPEPCQLLPEKQPGTVVPCNFPESPIPIEEVKLQRCYTRRRHM >A09p082010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59511827:59512818:-1 gene:A09p082010.1_BraROA transcript:A09p082010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >A10p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4907167:4909190:-1 gene:A10p009460.1_BraROA transcript:A10p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYPYQSPFERRPILKSMAPAVKWVKEWVPQDIVATGGKCFLRKWVTEETVRRLKETEKESTTEVPDPEPEPTSEILFLCSYEGCGKMFFDVSALRKHSHIHGERQYVCDYPGCDKKFLDSSKLKRHWLIHTGARDFVCTYEGCGKAFSLDFNLRSHMKTHSQENYHICPYSGCGKRYAHEYKLKNHVAAYHEKNAAGETPKYTPPAEKVSRTPKTPATVYGSASSERPYACPYEGCEKAYIHEYKLKLHLKREHPGHLTEENAENPTPNKHELEEGSDQDFYRKHASNGKSQTHIKQQSRAKPNMRTPPAKAGKKGSTSSPAKARMVKKPWQVKETYEGEREEEEEDSEETEEDRENVDDGWRFGGNNEEDDDEETEDED >A05p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5889243:5890244:1 gene:A05p013480.1_BraROA transcript:A05p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKSHSILTKRLRIRRRDGVKGSFGGVSLRSRAEVRYAGVLMVPGRSGSCGLLRRCLHSRLWLFQALLDRCSTCLSNSISVSPNRALRRICGRISVWSLALVLILSVSLLTQVIFWRSTSTVLRFSKTARGVMALVVSAFSALCGVEYKMGFGGVCKALATPETTQEVPASEATRRTSPSSGFVDGREQQPILKISKDGLAEQAGFSGWAKLALF >A03p054970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23686553:23687985:-1 gene:A03p054970.1_BraROA transcript:A03p054970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELAEAGNELDTASVTVNRTLDLETVKVIGVSVGNAGERGQAHGRDKEEDGFGTTLPYLIRPRRKLPVYYSYALYNDGFIGYSSFDESDDDDSSLKAVEPSSLEKGNESNASLSETDGVPMLSDEEYVVVDSVEAFPNSKTERMELSKDAGSDSDMLDWSCN >A02p018720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8659787:8661672:1 gene:A02p018720.1_BraROA transcript:A02p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVELPVFDISKPLNESSLTSLQDACKEWGFFYVTNHGISGDMYKKLRQFSGGIFGLKDEEKMKMGASNYTPRFIASPFFESLRVSGPDFYASAKSSIDAFSDQATDEEFSELMKEYGEKMTELCEKILKAILSSFGEDLHHKYYKSEFGNCHGYFRINNYTIPLEQDHQEDNVDLLEGLGMHTDMSCITIVDQDDIGGLQVRTRDGLGLMDINPKDEALVVNVGDLLHAWTNGRLRSSQHRVVLKRHSFVDNRFSLAFFWCFEDQKVVFAPDEVVGGGEGGRVFRSFKCGDYLRFRENIEKGKFEKVGHTVEDFARTEDRN >A04g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6583634:6590804:1 gene:A04g502960.1_BraROA transcript:A04g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQWRYITLSLSYSKFLAFFFWFLQLTISCKVIPQAICTRYVLAVGANFVWLVRILMILCYPIAFPIGKILDLVLGHNDALFRRAQLKALVSIQSQEAGKGGELTHDETTIISGALDLTEKIAQEAMTPIELTFSLDVNSKLDWEAMGKILARGHSRVVYSGNPKNVIGLLLVKSLLTVRPETETLVSAVCIRRMPRVPADMPLYDILNEFQKGSSHMAAVVKVKGKNKVHPSTLLEENTEESNDSDLTAPLLLKREGNHDNVIFQIVKANRQSFYQNNETVPHGFTHTSEDIEDGEVIGIITLEDVFEEILQEEIVDETDEYVDVHKRIRVAAASSIARAPSSRRLIAQKGAGGGQNRQAQTVKGSITEPVEGKQPM >A02g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14485922:14488254:-1 gene:A02g504400.1_BraROA transcript:A02g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVEGGTFESVFLSNLMTASACEDEAKELRDLLNNAATIMGGLAYNIIEEATFKSSTLIGLNAQLDLFKTRLSTCESTFLEFEHSRVLLTDDRVAHLKAHVEDKYALYRDWYDQDAPLRELKRDMLRLQHPFRQHLDSLHQKISNNSSLIIQINQLVDQMSKHMETWPTEEHVKDVVEDLRTKVKGYDYVSQSLHTDKNRLNELQESLERLITDVIGLIDRLLDIKKEYDVKLFRIYTIRKEDFHELDAYLFGPRAPKSPQSSKFFLEVTKFVPINKLVLLLAAKPISDITIVECRDHIQFAYLKTAEEGDILVTEDEWVKIMGPVKNQLEHDICWAFVTAELVSAVRHIYKYDPTVVEYSCRDLVDFVDHQKRSQEKTKEKSGNKHFCYAHGLRQGFEYVKVNGILREESRPFEANCREEVVTRHGSNLGYIKEVVSLTTVKEVLQTLQNHPVAGCIPVFEPDYGSINDQLYYGPTSPLSRYQTMHAISFVGAGGVKEGEKHVNARSSHGVNFGKDGYFKICFQHVIICLTRGHHMRYLEDPVLLPCRFVYPELLSQEKDKEKRRDDTI >A03p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2221397:2222058:-1 gene:A03p005310.1_BraROA transcript:A03p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDTDTGAAVCNPWLKQITWINETRFKFHGIGYPHTNSKCEKRVYKTIWCNYTKWKIHDLASGTWKYVVSKSGDTNNEKNQIALSHSLSGVLMNVNLFWIISFDETKFCAVPCRKRHPLDVLVLRVFKGERFSVLKQSKVTNKIEIWVTMNKVSNERLVVCCCDKTGKPWIYVMGIIS >A02p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12445752:12446247:1 gene:A02p025110.1_BraROA transcript:A02p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHGSTVKKTLGCYYHCDEYPFSSMEDMYGVYKEIRGPAIFNTYGEDVMIVEPIDYVLRKDAFKTMHAYVRDFSEEIYYYTVDLEKFFNVITEEVLKINNSKMYHVWGQQSEKIIQKEYLHKNKIGGRMFPNPERMMKI >A09p027550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15547822:15555143:-1 gene:A09p027550.1_BraROA transcript:A09p027550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLDVHPDELTNRFVKAISLLGLLAFDCRHAVGTRCGIWGVLLGACAVHCDVKLGQRVANFLCQEQLLTLINNNEFNATEIVRAIKRKISGKSPVSQRLSLELLEACAMNCEKIFSEVVSERVHDEMALLVKNGEGDSDNRRQAFHLVRAWGQSQELGDEAAPAVVVPPVAEPSAIPEDMDLLTALELTLRKARAHGGVVCGLHECAKLIEKRSAQLCVLAEECNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKVKSLLLFSKRKEHAKRRRRRRAATFTMFLWGILIFAQFDLSSSALSPDQYYHQLYPSPRKVGPHHRTASFQSPRASVSFTGPRQEEEDRDDVYKDDKRFVHTGPNPLHN >A10p019850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13549368:13551233:1 gene:A10p019850.1_BraROA transcript:A10p019850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAHFSNDRVYKGFLVWLCFWGWLSLTCAGRLSVSSQNLQVHKHLKRLNKPAVKSIQSPDGDIIDCVHISKQPAFDHPFLKDHKIQMNPSTTPELLFEENKVSKKPKVERISPVTQLWHQNGVCSEGTIPVRRTKKEDVLRASSVKRYGKKKHRTVPLPRSADPDLANQSGHQHAIAYVEGGKFYGAKATINVWEPKVQSPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVNSQIAMGASISPVSGFHNPQYDISITIWKDPKEGHWWMQFGDGYVLGYWPSFLFSYLADSASIVEWGGEVVNMEEDGHHTTTQMGSGQFPDAGFSKASYFRNIQVVDSSNNLKEPKGINTFTEKSNCYDVEVSKNDDWGHYFYYGGPGRNPNCQ >A07p031670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17472669:17473918:1 gene:A07p031670.1_BraROA transcript:A07p031670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKKGLKKGPWTPEEDEILAEYIKQNSHGSWRLLPKKAAAQLPGRTDNEIKNLWNTHLKKRMLSMGLDPKTHEPLPSHGLAKQAPASQTTRHMAQWESARLEAEARLSRESILFNPSCEVKYECDHFLRIWNSEIGESFKNLTTTSQSPCSRTTSSSSALVGKETVMGINHGSGSSSPCSNNVEDVSSDSALQLLLDFPISDDDMSFLEENIGSSYTESHVGVSINGF >A05p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20058442:20060974:-1 gene:A05p035380.1_BraROA transcript:A05p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEESFVEDCSEFIEVDPSGRYGRYEEVLGKGASKTVYRAFDEYEGIEVAWNQVKLRNFTRNPEELEKFFREIHLLKTLNHQNIMRFYTSWVDTDNLSINFVTELFTSGTLRQYRLRHRRVNIKAVKQWCKQILKGLLYLHSCSPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAVRCVGTPEFMAPEVYDEEYNELVDVYAFGMCVLEMVTFDYPYSECTHPAQIYKKVTSGKKPEAFYLVKDPEVRAFVEKCLATVTSRLTALELLQDPFLQDDVNGFDMRPTDYYNGYDETGVFLRQPLIDPDQLEPQICEINLFAQDDEEDSDHVDISIKGKRNGNDGIFLRFRISDAEGRIRNIYFPFETAIDTAWSVAEEMVSELDITNQDVGKIAEMIDAEIAALVPDWKTDDHNVTEGEKNGGFCGNCATNGYIQETVSSNSELSGEKPHQHHYQFDERSCSSVHGRFEEISYQVEGEEQQGDGGDVVVVVSGEGNNNNNRIHYADIWGLRDSRSDDGEEEQGSVSLSLSLSRRKKVRGEWWSENEIRRELRWLKARHKIQLDHQRVCCEKPTESASPPLLYRAISLPVDAVDI >A07p027260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15580225:15583142:-1 gene:A07p027260.1_BraROA transcript:A07p027260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVAKFDFQSPPFSHAAGEILISPVDRRAESFANFTESLEGACPDSPSSLAAVKVQKVYRSYRTRRRLADSVVVAEELWWQAMDYARLNHSTISFFDYSRPETAVSRWNRVSLNASKVGKGLSIVDKAQKLAFQHWIEAIDPRHRYGHNLHLYYEVWCKADAGQPFFYWLDVGEGKDLDLVDCPRSKLKQQCIRYLGPQEREEYEYEIVEGKIVHKLTGQFLHTMHGSEGTKWIFVMSTFKKLYAGLKKKGRFHHSSFLAGGATLAAGRVVVDNGVLKTISAYSGHYKPSDDSLDTFLSFLRENAVNLDGVEVHKASEDSDNYDDYFKSNGDGTKSLKKEEPTPSHAEAETDENGNGTLEEIKRSSYQRTLSGGLESPKADVPQKAILQRINSKKQSKSLQLGHQLMLKWSTGAGPRIGCAADYPVQLRTQALEFVNLSPRNRSSALSPTGRIDV >SC115g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:300882:306013:-1 gene:SC115g500020.1_BraROA transcript:SC115g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRNRAEPQCGRMDRPPTHAPSLLDLAHGLAQGPNSPWPHGRTSQPATDPDPDHRPKARTVRLGELSLSLYKVKSKFPYVLNLLSRPWNACLDVLRLAGTFPRPKAVATILLRIGGVTATQAPDQFNKHETMLVKKLRRIVGLCNQKSNWKTDETRPRPHKRENLKLGAKRSAGKFAGKVTRKFSGDNPAIDLNPAIDSVGPSSPTLHTPSGIEAHTRRPWLSVAVRQHAQDVCGCPPPHTGPHTGRLWLSISTHISTLVLGLSTLALRVDCSSDFGPRGLSVQYTQDVCGCPLAHTGRPWVSVSPNRTSVAVRVCPCVSVSTHMTTLRTSVGVRQHTQDVCGCPSAHTGRLWIFISKLWTLALPVDCWVIFAHVGCLFSRHKTSVGVRQHTQDVCVCPSVHPRRLWHSVAVRVCKSAHTGRPWLSSSTYIITLVIRLSTLTLPVDCSGDFGPRWAVCSVHTGRPWVAASTHRTSVAVRVSPSVSVCVRQHTQDVRGCPSIHISSRWSFDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQHTQDVCSCPSEHTGRLWLSVCVRVCPSAHTGRPWLPISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQAVRGCPPVHTGCPWLSVTLALPVDCLGDFGPRGLSVQYTQDVRGSNTGCPWLPISTHISTLVLGLSKLTLLVDCSGDFGPHGLSIQYTQDVSGCPPAHTGRLWLSVCVIVCPSFREHTGCPWLSISTRISTLVPGLSTLALPVDCLCDFGPRGLYVQYRQDVRGCLPAHTGCPWLSVVVCQHTHDVCVCLCVSVCVLQHTQDVCGSPSANTGRLWLSISKHISTLVLGLRTLSLPVDCWVILAYVGCLFSRHTTSMGVRQHTQDVCVCPSEHTGRPWLSVVFRVCPSAHTGRPWLSSSTHISMLVLGLSTLTLTMDCSGDFGPRGLSVQYTHDVRGCPPAHTGRLWLSVTSVGVRHHTQDVRGCPSAHTGRPWLSVCVRVCPCVSVSTHRTSVGVRQHTQDVHVCPSAHTQDVCGCPCVSVCVRQHTQDVCGRPSVHISARWSLDSALHTGRLWVSASTHRTCVADRGCPSAHTGRLWLSVRVRVCPCVSVSTHRTSVAVTPRSGRLGRGRSLTLLGPLPGRTS >A05p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7847749:7849215:-1 gene:A05p017410.1_BraROA transcript:A05p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAELQQVGGSRHLVAMPWRGRGHINPMMNLCKRLVLRDPNLIVTFVVTEEWLGFIGSDLKPDRIRFSTLPNLIPSELVRANNFNGFVDAVHTLLEEPFERLLDGLNSPPPTVIISDTYVVWAVRVGEQRNIPVVSFWTMSATILSLFLHSDLLISHDHALFEPSEAKEEEIVDYIPGLSLTKLRDLPPLFNSNILQVFKKSKLCFNELPTAKWLDGQAKSSVLYISQGSFLSVSEAQMEEIVAGVRESGVPFLWVARGGESKMKEALEGSSGFVTHCGFNSTLEGMYSGVPMLAFPLHWDQILNGKMIVEEWRVGMKIERRKKTELLIDREEIKEVVKRLMDRESEEGKEMRRRACDVSEICRGAVAEKGSSDANIDAFIRDINNTT >A09p017370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9012745:9016425:-1 gene:A09p017370.1_BraROA transcript:A09p017370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGENRTVQENLKKHLAVSVRNIQWSYGIFWSISASQPGVLEWGDGYYNGDIKTRKTIQAVEVKADQLGLERSEQLRELYESLSVAESSASGGSQVSRRASATALSPEDLTDTEWYYLVCMSFVFNIGNGEPIWLCNAHTADSKVFTRSLLAKSASLLTVVCFPFLGGVLEIGTTEHITEDFNVIQCVKTLFLEAHPYGTISTRSDYQEIFDPLNKDKYIPTFGTEAFPTTSTSVFEQELEDHDSFINGGGASQVQSWQFVGEELNNCVHQPVNSSDCVSQTFVGGTTGRVSCNPRKSRPQRLGQIQEQSNRLNMDDDVHYQGVISTIFKTAHQLVLGPQFQNFDKRSSFTRWRRLSLSAKTLGEKSQSMLKKIVFEVPRMHQKELLLPDTPEDNMFKIGDETGNHALSERKRREKLNDRFMTLRSIIPSISKIDKVSILDDTIDYLQELQRRVQELESCREYTDTEMQMPMKRKKPEDDDERASANCLNTKRKESDVNVGEDEPADDTGYAGLTDNLRIGSFGNEVVIEIRCAWREGILLEIMDVISHLNLDSHSVQSSTGDGLLCLTVNCKHKGTNIATAGMIQEALQRVAWIC >A04p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19941226:19957456:-1 gene:A04p034490.1_BraROA transcript:A04p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPGLLTDWPWTPLGSFKYLVLAPLVIDSIYSYATMRDHEKLLVVALMVWRIVHSQVWISFSRYRSAKGTKRIVDKSIEFDQVDRERTWDDQIIFNTLIAYLAKVYMIRTDSLPFWRLDGLVLVALLHAGPVEFIYYWFHRALHHHFLYSRYHSHHHSSIVTEPITGGTSVCGAHCLFPNLGDTSCDDFSMRHDFMNNLGHCNFELIPRFFFSLFPPLKFLCYTPSFHSLHHTQFRTNYSLFMPMYDYIYGTNDKCSDSLYETSLEQEEEKPDAIYLTHLTSLDSIYHLRLGSASLSSHPLSSRCYLLLMRPFTLMLSFILTFLSFRSFAFERNRFRDLTLHSHLVPKFSSHYKSLKQKKSINKTIETAILEAEKKGVREEELNGYGEMYARKHPKLKIRIVDGSSLAAQVVVHSIPVGTREVLFRGQITKVARAIVMVLREEEHCMLARFLSGHCKENLVLTTNYSPMIWLVGDGISKEEQKMAAKGTRFLPFSQFPPTQLRKDCFYHTTPAMIIPDSAQNIDSCENWLGRRMMSAWRVGGIVHALEGWEEHECGLDVPMVSPHGVWEAALRHGFQPLYLVLVPLVIDSIYSYAAMRDHEKLLVMALMVWRIVHSQVWISFSRYRTAKGTKRIVNKSIEFDQVDRERTWDDQIIFNTLVAYLAKVYLIGTDTLPFWRLDGLVLVALLHAGPAEFIYYWFHRALHHHFLYSRYHSHHHSSIVTEPITGGTSFCGAHCLFTNLGDASSNDFSMRHGIHIEIILKRLADTQSAPTETIIMEAIPSPVIVFETKLVPKDNSLASSHSPEHGRPFSNQIDYNEQDDGDGFMSDATANLTMSRGGRLIKPLQKFQDMEWKTVRGRAFLQKKIIIIILKRSIYYDCRFHSLHHTQFRTNYSLFMPMYDYIYGTNDKCSDSLYETSLEQDEEKPDAIYLTHLTSLDSIYHLRLGFASLSSHPLSSRCYLLLMRPFTLMLSFILTFLSFRSFAFERNRFRDLTLHSHLLPKFSSHYKSQKQKESINKMIETAILEAEKNGVRVMSLGLLNQACNMHQLGEELNGYGEVYVRKHPKLKIRIVDGSSLAAQVVIHSIPVGIKEVLFRGQITKVARAIVRSLCQNGIKVMVLREEEHCMLAEFLGGHCMENLILTTNHSPMIWLVGDSLSNEEQKMAEEGTHFLPFSQFPPTELRKDCFYHTTPAMIIPDSAQNIDSCENWLGRRVMSAWRVSGIVHALEGWEEHECGLDVPMVNPHRAWEAALRHGFQPLVLPFL >A01g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21081126:21083138:-1 gene:A01g507250.1_BraROA transcript:A01g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRSQELLAPESELSLQQLLERLRLRFERPSYAEHRNLQPASAVTTFNNQESVPASTVSEAPIKKQTKKRLTIEDGDEDQLSCDKDSHQDTESLEVYSSASIPESNSNQDVEADSVSQLEEFEKEVSAFSLEPSLSVDQRQSMLLQNIGSQLLVNGSHCVSVWLPPKIEESFILDVELGVQLIQKAVEATDFVEHHLFDQLSLRQLKSQKDWTFKYKLKKRSQHFSDKVCTEKEELQVVVSDSINDGFGWDHFGGYHEEMLRVCATEVEELVPLKYSKTWSTCVVYMLSDLQATLEGCRVMDRLRNFYTQRWQRVAVRGRCDSTHKLMAFYELVQSYWFPKIFCVSNGTGSFTIWHRWRCKAASTLYETKEAEKLKPLTELIADQIKIVCSDEGRVGRIDSSVRATAVEERVLLKLYKSWQLKFRSMFQVQFTDEIETIKWTDCLLQLQQKRVFILWNGQFVLTALQTDHEVVECVKGRQLRSLNILLMLMLLEMENAKKCGRKVQRRIKQGKLLKSWRFKFRGKMETIKWTDSLIDLWKLSVLTLSAWNLMSFMAREESVFLSFISGCLAVANSN >A02g512000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32501198:32503851:1 gene:A02g512000.1_BraROA transcript:A02g512000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLSHPHHLQVITRLVIEANDYGWVTSVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLPGSPDDFLEVQMTSRKSRRLPGSPDDFVRRLPDDLQTTSRRLTCKSSQKSSRSEKPAHQIQI >A09g513330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40630315:40631775:-1 gene:A09g513330.1_BraROA transcript:A09g513330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLRNVSQQLKRFKAAFVRKDYNSCSDLLSQLKVLLTNIKLVSHCLRILKGHETSGIVSGESVVEKAVGLEKDDEVANGDSSMEKLIKSLYTTNSGRSNQAPAVDLESEQPTASASSTGDAGKIALGDDEANQPCSVADEKIPTPT >A03p032880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13909947:13914565:-1 gene:A03p032880.1_BraROA transcript:A03p032880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLPCMTFSGVRFALVGFNPTDANTVRSKLMSGGGVDAGQYSQEYTHLIVDKLVYDDPVCVAARSSGKVVVTGSWVHHSFDAGMLIDANSVLYRPLRDLNGIPGAKGLIVCLTGYQRQDREDIMTMVDLMGGQFSKPLVANRVTHLICYKFEGEKYELAKRMKRIKLVNHRWLEDCLKNWKLLPEVDYEISGHELEMMEASARDSEDEAEDASAKRANTSPLGLRVSAVSAVEMSKSRGKDIPVVQTKLDEHGGSSRCNTSKEDWLTPKKMEATVSTDPITAQQSAGTFQNTSTYASPVPVNKASERGMGKMETDDSTPINVSIRRHSSLATYSRKTLQRSSETSTLGNESSCQNGTLRMDDRALKASTAFNISASKSSSSVERKTLFEDLDKTDTLHGEESTPLLPQVKLTDGSVSRKGSPPAMSTAENIISKCAPDEIPERSSIEPMVGNMLLQEPRSGSPKQNLKVVPNINDNTEGEEVAHKLDVSDSATRLCSSSVVLKEADTWTAEILKGGLDERSVTEPVMMRSSNSPVETEPPKKKTAPRKSLGTRGRKKNPISQKGSIYLSEPTPKDEHSDGLIKGNVSELATDDSNQKEIPSPVLNAEAVPEMAKGSVSETEALDRIDSDNQQAKTPEEAGAEVEIKMLESELAEVPTKDPSDGALQLEISKSKNERMSEKKGSSSRAEAADSGVKKTKKSRKEDDAKANDTVMEDITVLENKLGKVSSGGDQSPAAGETLVSTEAETNDQSDAAVQSGVDKDNGKLRKKATVGKTRLQSGKKRNSSAVEVEKASVKKTKKSKADDETEANDTVMNDIEIDSAEVKEDAAVDKKSEDVSSDRTQSPVARKPSTRKETAAKDLSNAAMQLEVPKNKRKNRKEPAVERSSLQSGEKESSSTVEVGSSSVKKSKKSKKERGAKASDTVMKDIEDNSAEETESTEVDNKSGPGIDKRPKKKAAKTAKTGAKTAKESKQLSSSKKALQEQRQEPKHFIVSGPKVQRKEYQKILRLLNGKCCRDSHQWSYQATHFIAPEIRRTEKFFAAAASGSWILKTDYVADSKEAGKLLPEEPYEWHGTGLSADGSISLESPRKWRLVKEQTGHGALHGLRVVVYGDCAVPSLDTLKRAVKAGDGTILATAPPYTRFLNHNTDFALISPGMPRDDVWVQEFIRHEIPCVVADYIVEYVCKPGYTLDKHVLYNTNAWAERSFNKLQLSAED >A03p025050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10532521:10536013:1 gene:A03p025050.1_BraROA transcript:A03p025050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPFSSRDKVFGYQDISPEEMDGFSFGSGVRSLISDDMFNSSSNSELVNFDSFSTWCNSPSVSDVLFAQYGLSTSQSMPFGAITSAHAPELRAATLPGLTRSFHDMESSYYGEERPSLQEMISQFDHSLDSVQLSGKRRRVANQKNGFPNLMNCTIPRSLSHSLDEKMLKALSLFLESSGSGEGILAQVWTPIKIGDQHVLSTCDQAYLLDPRLSQYREVSRKFTFASEANQCSFPGLPGRVFISGVPEWTSNVVYYKTDEYLRMKHAIDNEVRGSIAIPILEASGTTCCAVMELVTSKEKPNFDTEMDSVCRALQAVNLRTSASPRPQFLSSNQRDALAEIQDVLRAVCHAHRLPLALAWIPCSYGKGGKNQSGESCVLCVEETACYVNDTEMEGFVHVCLEHCLREKEGIVGRAFVSNKPLFSSDVKAYDISEYPLVQHARKYGLNAAVAIKLRSTYTGEDDYILELFLPVSMKGSLEQQLLLDSLSGTMQRICRTLRTVSDIGEAKKEVSKTGVLTACSGNFQTMLSDSELNSTRNIFSDMSSDKDSSGTGSQGTYEQEMSKARTPEKKKSTTEKNVSLSVLQQHFSGSLKDAAKSLGGEISTVSLRMDAFPLPDKRFLTLDFESNLAVCPTTLKRICRQHGIMRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDSTTGEFVAVCPFIKELDTQKDPVRGQEDTAQDTSFELLEAKSVDNAIKLEEDIIANGSFVEVDASGQQWAWMAEQSGFNGNLSSQAIRCSGSINEPNQSMSCSMSGSSNGSGAVMLRSSSTSMDDSNQVRTQKSNSSESGSTTLTLYKEVRKRFKLQEESFQLKYLDDEEEWVMLVTDSDLQECLEILYGMGKHTVKFLVRDLPAPIGSSAGSNCYLGTGL >A10p024440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15821351:15828928:1 gene:A10p024440.1_BraROA transcript:A10p024440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEEHMNQGRYIMSQVEGSMSCFSETNSSSTIYMNPMMAATRSSGEDNGIQLSLLLNLSTIQDKVHEIQSLVNFFMISPNNNNQSSESTSSLAAANVESLVQDIITAASSMMLTCQQLQSSTNSNSNIDTNQTADAMVMEFSQDFDPDRDFMGESSINILDVQERRHVSFLDQTTQNLDWYGTETTNPKKDIHRSKSRLGNYEIVELSVEDLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKTREALISPTSRDKNVEYSSMRYYYSCPHQGCRWNQRHEKFQPLKSVICAKNHYKRSHCPKIYMCTRCNVKHFSVLSDLRTHEKHCGDIKWVCSCGTRFSRKDKLMSHVSLFSGHSPAHEPGLMMNTGEWSMSCCSETNSSSTIYTNSMAAATRSSGDDISLSLLFNLSTIQDKVHEIQSLVNFFMISPSNNNQSSESTSSLAAANVESLVQDIITAASSMMLTCQSLQISTGDNSNIDTSQTTDGTFMEFSQDFDPDHDFVQESSTNLLGVRDFDPDHDFLQESSSNLLGVQEREHVSLIDQSLDWHSIQTSNPKTDHHYFKSRTGNYEIVELSVEDLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKTREALINPTSRDKNVEYSSLTRHYYSCPQHGCRWNQRHDKFQPLKSVICAKNHYKRSHCPKIYMCRRCNVKHFSVLSDLRTHEKHCGDIKWVCSCGTRFSRKDKLTSHVSLFSGHSPAHEPLPQPPMISL >A07p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5671134:5671539:1 gene:A07p009280.1_BraROA transcript:A07p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFSFCLTTKNKNSQARTLMKMGGQEVPIEFSALDNFKKALVDGIWITFLDKKKKLEKTGVTVIWYKDAGIVLMLIEIGHSVDVPHNKITTLFNLARLL >A04p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6177697:6178106:-1 gene:A04p008260.1_BraROA transcript:A04p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKMLTRSGASNIPSSSKNPVQENLSEYNAAQDRSPIISLSEDRLHVSLRLGPLAISDEIEDNVAVPTSAKRLKSTISLGLQDRKGKALPPPPPHIKETYCKESRPWGEPKEAQSH >A07p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:831203:832565:-1 gene:A07p000200.1_BraROA transcript:A07p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNSDDIVRFLDGMASSDDVLFGFLDEGNQSPEDFPDSGNLNGGGDIDDVDDNSNCNPEENKAFWQEQEKLLQGTLYRTSSIETKIRQATKEALKEVKAKGLYCVCRRPVAGGCRSCLRGEISRHLRDVAGYDCVISKSKWRSSQDIPAGEHEYIEILDRSGSKKGEMRVVIELSFRAEFEIAKGGEEYKRLISRLPEVYVGKTERLRSLIKILCIAGKKFLRDKKMHMGPWRKHKYMQAKWLGTCDRSSSLEAAVVSETNEPENWVPLVKPRVSMLNYDGLSTGMGRSAAVTVV >A03g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18266717:18267485:1 gene:A03g505150.1_BraROA transcript:A03g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALEDIEKTQTLSGKFVEIRAYIDFLNEEKSKIKDLDLHICKQFLNHAITALDVEQDIVSAEIKDILNQTGITDVDVLPKEMIRVFENLSLNPTNTVLQEPQISMQSQQGLLGQQVCGQQGLGQQGFMPLGLGQQASMQLTAIKQQRMMQSQQGLGLQVCDQQGCMPLRFGQQTPMQQKKIMMQMQMLHQQQQRLLQMQQEIGQQGYNARRI >A09p027470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:15502874:15503305:-1 gene:A09p027470.1_BraROA transcript:A09p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSLQSLKISSSPFTHGSTPLSSLSKPISFPNTRKPGLVPGIRAMKTMQGRVVCATNDKTVAVEVTRLAPHPKYKRRVRMKKKYQAHDPDNVFKVGDVVRLEKSRPISKTKSFVALPVLKRNDLGIPMESQQPSPPGEE >A02g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4214640:4217730:-1 gene:A02g501310.1_BraROA transcript:A02g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WEAK CHLOROPLAST MOVEMENT UNDER BLUE LIGHT-like 1 [Source:Projected from Arabidopsis thaliana (AT4G33390) UniProtKB/Swiss-Prot;Acc:Q9SZB6] MKEAELWGWSGMYYLKIFVFGYLLRLTMKRTMMEEPEGISAVRPVPAVCNAESAVDCCQACLVQAERAKPGDMRCNIWVYCPSEFQLPPMEDLKLTEAVSLPPEETSVTSNVIELAQPQANEAMQESQDNEDSTQNEKVYMDDTFLASSSSQTEETQDSSSYSDTTTPVFVSEIGLPPVKTKYRSEGTTTRKRSLSSQRSLGSPRTLGSSSPLSNETPKSLDSYKDSIDTTSPIESVKEAVSKFGGITDWKAHKMQVVERRKFVEQELKEIEEQIPEHKKQSETVEMSKLQAVEELESTKRLIEELKLNLEKAETEEKQAKQDSDLAKMRVEEMEQGVAGEASVASKAQLEVAQARHTSAISELESVKEEIQTLQKEYAGLVREKDLAMKEAAEAVLASKEVEKRVEELSIELIATKESLECAHSSHLEAEELQRLMKNFVSQTDVASAKKELEEVNMNIEKATSEVKCLKVASSSLRVELEKERSALESIKQREGMASVAVVSLEAEIDITRFEIALVESKGKEAREEMVELPKQLQQASQDADEAKSLAELASEELRKSQEEAEKAKAGASAMERRLLAAQKEIEDVKASERLALAAIKALQESESGLEEKNSVTLTLEEYNELSKRAKEAEERVAAAVCEIEEAKEEEKRRLEKLEEVNKEMVLTKERLAEVTEKAEKAKEGKLGVEQELRKWREEHKVKRKNVENGVKTEKSHERSLEGSKEKENESSGTETNTIPSEIPVKKKKKFFPRFFMFLMKKKKSPK >A09p078420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58017234:58024686:-1 gene:A09p078420.1_BraROA transcript:A09p078420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANFVILQIRCFLVLILLTSFSCFSVRFCFGQDRITLSTPVKDSETLLCSNGMFRFGFFTPLNSTTRLRYVGIWYDKVPVQTVVWVANKDAPINDTSGLVSISEDGNLVVTDGRSRLIWSTNLTVPVAPNNTSVQLMDNGNLRLQDHSKILWESFKHPYNSFLPVMTIGTNNKTGENLKLTSWKSYTDPSTGNYTAGISLSPFPQLLIWKSNVPVWRSGPWNGQIFIGLPDSISLLFLDGFNVSNDNQGTFLISYATDSFMHHFNLDPDGALYMRSWNTSTRAWTVDAIIPSTTCDAYNRCGPFASCGLQEVPPCKCVKGYVPRNSTEWNRGIFTNECVRRVPLKCNVSNGGGGKEDGFFKMQKMKLPANVEKSVANEKDCPKVCLENCSCIAYAYDRGIGCMLWSGSLVDMQSLLGSGIDLYIRVSHSEFKTHSKRTVLITSSVLGVVFVAMVCVLLACRKFKKRPAPEGDRSAELLFQRMEELTSGNESSSNQVKLKDLPLFEFEVLATSTDNFSLINKLGQGGFGPVYKGILPNGQEVAVKRLSRASGQGLEELLNEVVVISKLQHRNLVKLLGCCIEGEERLLVYEYMSKKSLDAYLFDPMKQNILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARVFRANEDEANTTRVVGTYGYMSPEYAMEGFFSEKSDVFSLGVIFLEIISGKKNSHKEDNNLNLLAYDSITFSTPVKDSETLLSNNGIFRFGFFTPVNSTGHLRYVGIWYAKVPVQTVVWVANKDTPINHTSGVVSISDDGNLVVKDGLNRLIWSTNVTLPVAPIPNATWVQLTDNGNLRLQENRNKGEILWESFKHPYNSFLATMIIGTSNRTGENLKLTSWRSYTDPSTGNYTAGISLFPFRELMIWKSNVTIWRSGPWNGQIFVGLEDEVALLFLDGYNIISDNNEGTFTVSYATDSPMYHMNLDPDGVLYIRSWSTSTRAWEIGNTIPTTTCDVYGRCGPFASCSVKELPVCKCVNGFLPRNSTEWNRAIFTNGCVRGVPLKCNVSTGGGGGGHGDGFSQMQKMKVPANAEQSLANEEDCPKVCLYNCSCTAYAYDQGIGCMLWSGNLVDMQSSLRSGIVDLYIRVANPHSDREKTHSKLAIMITASVLGVVFVAMVCVLLACRKFKKRSAAPEKDTSAEIMFKRMEALTTVNESAPNQGNLKDLPLFEFKVLAASTDNFSLINKLGQGGFGPVYKGKLPEGKEIAVKRLSRASGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERLLVYEYMPKKSLDAYLFDPLKQKILDWRTRFNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGLARVFQVNEDEANTIRVVGTYGYMSPEYAMEGLFSEKSDVFSLGVLFLEIISGRKNSHKEENNLNLLAYAWKLWNEGEAASLADPIVLDESFVKEITKCVHIGLLCVQEIANDRPSVSTVIGMLTTEITNLPEPKQPAFIARGGVYEAECTDQSSQKVSINDVSLSTVTGR >A07g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6955789:6956695:-1 gene:A07g503580.1_BraROA transcript:A07g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESSESYLCRNRESRSGSPHRLEATPYMSFESSLEATRVFFVSNAPVPNPWSLRHYHYLYKLCSLNWLPGFDNDSMIKTRLQFLYTLVRKKPIYSGRLVYDQVLEISRSSDADTKITLPNLIYQTLILQRNITALPGDEPLIGNPLCINGAEVDTHIQRGKRRGRARLG >A04p020250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12335454:12337940:1 gene:A04p020250.1_BraROA transcript:A04p020250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGVEVKPGKPFTLKAATNDAKRLHLSQATLGLSSSGTNRSILQCNVGNKSPLFLCVLSPEKVDSCQLNIEFQEAEDVIFSVIGPRSVHLTGYFLGRSSTGGLSLNDDESESFGEDIVDTDVEKGSSDDYDYSDSFINDDADHPSSTDDDELTVKERVAKTKAKKGNKRLRKKFQVSDSDSDETSARAASEDSVEILNDHKTPKVLSSEAPLPSRVTRSKARSATSENGEKTSEATTHTHKAVDNKKEENPSGDIDELSPVKNGSEVLSKKEKKKKKKKRNKEPVVINTDEDMPGSQEPETDKAIESSADVTLSKKKRKEETTGRKKQATEKNMEKEASSTKKLPESELSPNEVTVEEIEKGKSDGKVAVQGKKVSILYTAKLKDTGKVFESNLEGSPLKFRLGGEKVIKGLSNGVEGMRVGDKRRLIIPPSLGYSEEGLKKENVPKNSWLVYEVEAVKVR >A02p031410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16244256:16245690:-1 gene:A02p031410.1_BraROA transcript:A02p031410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEEDLVDFKKKLEQEKMSAIESKAKSLVSTKADDPCCQRICDLPDDLLLQILLHVPTKNAVTTEILSKRWHGQGSESFGWFIEKSLQLHKAPKLVSLVVELGPTSHADVDVGKWVDKAVKHEPTSFSKSLYTCDTVVSLTLSNQILVDVTFPATMLFLLNLSLLDVEYKDEDSLARPYQVPLFSSNWWLHDTRFHDEEEVKEEEEEEEYYIRSLVACSNAIKFSRLIELYFTIEDPVDWLEPFICLLQNSPILKALTIFTIPSTIPPCLSSQLETFKWLDYGGKEDDKQLMTYILANSICLKT >A01p053670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29903849:29910831:-1 gene:A01p053670.1_BraROA transcript:A01p053670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDR1/HIN1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G11660) UniProtKB/Swiss-Prot;Acc:Q9SRN0] MTSKDCGSHTHSRRKLIRRIIWSIIFILFIIFLTILLIWAILQPSKPRFILQDATVYAFNVSGNPPNLLTSNFQITLSSRNPNDKIGIYYDRLDVYATYHSQQITFRTSIPPTYQGHKEVNIWSPFVYGTSVPVAPFNAVSLDGDQDNGAVTLIIRADGRVRWKVGTFITGKYHLYVRCLAYINFGNKAAGVIVGDNAVKYTLTSSCSPYLNGAYYGPSVPPPLKPNRRYKPPGCCCCFNCIGSCLRCCGCCILSLICNILVAVAVILAVTALLIWLIFRPNAVKLYVTDASLNRFSLDSNNSSNLHYDLNLNFTIRNPNQRLGVYYDAIQVSGYYGEQRFGYVDISPFYQGHKNTTVVVTKIEGQNLVVLDDGGRAGVYSIDVKLVMSVRFRFWVVKSWKFKPKIKCNDLKVPLVSSNSTSGFKFETMECDFDFY >A01p001860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:845685:847480:-1 gene:A01p001860.1_BraROA transcript:A01p001860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPKILSLLLFYVVISLASSDESIINDNHLSLPSDRSWRTDEEVMSIYLKWSLEHGKSNINSNGIINQQDERFNIFKDNLRFIDLHNENNKNATYKLGLTIFADLTNDEYRSLYLGARTEPVRRITKAKNVNMKYSAAVNDVEVPETVDWRQKGAVNAIKDQGTCGSCWAFSTAAAVEGINKIVTGELISLSEQELVDCDKSYNQGCNGGLMDYAFQFIMKNGGLNTEQDYPYHGTNGKCNSLLKNSRVVTIDGYEDVPSKDETALKRAVSYQPVSVAIDAGGRAFQHYQSGIFTGKCGTTMDHAVVAVGYGSENGVDYWNVRNSWGTSWGEDGYIRMERNVASKSGKCGIAIEASYPVKYSPNPVRGTSSV >A03p035870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15035310:15038862:1 gene:A03p035870.1_BraROA transcript:A03p035870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase D1 [Source:Projected from Arabidopsis thaliana (AT3G04940) UniProtKB/Swiss-Prot;Acc:Q9S6Z7] MYTDDELKALQRSFDPGGNRRNGGKGSDHQNPKVHDQQTSLEEAVLYVIDVLHPGRANVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGFGLIYDNVESAKKFEPKYRLIRLIGNTPMVYLNNIVDGCVARIAAKLEMMEPCSSVKERIAYGMIKDAEDKGLITPGKSTLIEPTSGNTGIGLAFVGAARGYKVVLTMPETMSLERKIILLALGAEVHLTDTKKGVQGLLDKAEEILSKTPDGIILHQFKNPSNPQTHYRTTGPEIWRDSAGEVDILVAGVGTGGTISGSGKFLKEKNKDLKVYGVEPTESAVLSGGQPGPHLIQGIGAGIVPDNLDFNVLDEIIQVTSVEAIETARLLALKEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSGGERYLSTQLFDSVRREAESLTFE >A03p070020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30766145:30767304:1 gene:A03p070020.1_BraROA transcript:A03p070020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDSDSKISTRKKKLKSSNNKYLKPGALVQLCYSKASATAKSNNDLGKKRVPVFDSKPARSNVIAVEDVSSPRSPLLSSPVNVVKRSSLVRPMKFDDLQVESSKKSPLMLSPVGIVMQNSLLRTPKTPQAEPCDSESQFESLPMDLLVKIVCHLHHDQLKAVFHVSQRIRAAAVIARQYHFNYTTPDRSRQEMLSVLTPMPINRWPFVSKGDENYRIMGSPHTPKAPRHAPRPPCRTKLAEMKQITAVLFQDQTAFPSRCSVPSVLQRPTLFKPLAPKHPRVLFYEDELCQAVAQNNLQ >A04p024500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14872859:14881820:-1 gene:A04p024500.1_BraROA transcript:A04p024500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAERKQSPPPSPITSLPEDVVVDILARLPICDYPRVSLVSKRFRSLVSSPEIYARRRSSPGCTEHCLYVFLYNYANRVNRLYTLRRSNSSSRLVLIPGLPPAIPPYGSFAAVGSRIYVFDGVNSSYTIDCTSHTVQHLPRMPVPLSNTVADVVGGRIYVVGYHDADPKSKAMLEFNTETQTWKGPMTVPGMQIRDGCVVAMAGKMYIRDFEKSFVYDPEESKWETDEVVSSKCWGESACVVDDVLFFYDWSDNELRAYDPERKCWQVVKGLDDLLAEIRGVACCWLQTVSYGGRLVLLYGKGEFLYITKEVCCAEVSLEIRQGGQIWGKVYQWCDDHALIAGNFIIRKSLDMKNKIIFFFFFFSVFFMELSRGQNNRRIEVNVGAVTDVGTTYSEVAMLCVNLSLADFYSSRPQFQTRLVVNVGDSRKDVVGAAAAALELIKNKQVKAILGPWTSMQAHFLIEIGQKTQVPVVSYSATSPFLTSLRSPYFLRATYEDSSQVNAIKSIIKLFGWREVVPVYIDNTFGEGIMPRLTDALQEINVRIPYRSVIALNATDDEISVELLNMMNNPTRVFIVHMYSPLASRFFIKAKEIGLMRPGYVWILTNGVTDDLSEISKMGIEAMEGVLGVKTYIQKSKELDKFRSRWRKRIPRNELSVYGLWAYDATTALAIAIEEAGTHNLTFSNADPRRNVSELDALGLSQYGPKLLKTLSQVQFKGLAGDFRFIKKQLQPSVFEIVNFLGTSERSIGFWTEKNGLVKKIDQQPRSSESALSTWKDHLKRIIWPGDADSVPKGWEIPTNGKKLRIGVPKRTGYTDLVKVTRDPITNSTVITGFCIDFFEAVIRELPYDVSYEFIPFEKPNGKAAGNYNDLVHQVFLGRYDAVVGDTTILANRSSYVDFTFPFIKSGVGLIVSMDDLVKRGQFSFFKPLSLKLWLTSLGFFFIIGFTVWVVEHRVNPDFQGPKRYQASTIFWFAFSTMVFAPRERVFSFGARCLVISWYFIVLVLTQSYTASLASLLTTQQLNPTITSMSRLLERGERVGYQRTSFIFGKLIETGFSPSNLVPFDTSEECDELLRNGTKKGGISGAFLEIPYLRLFLGQYCNTYKMVEEPFNVDGFGFVFPIGSPLVADVSRAILKVAESPEATRLERAWFKKKEESCPDPDTSPDPNPYVTSRQLGLDSFRFLFKVVFFICIVNLGYFIFCFLMKTGGRNMWGELKKTDDGSYINQVEKCPCSSKQQPPNDTNNQAANPDENREFSYLTKEVCCAEVLLEIRKGGQIWGKVYQWCDDHALIAGNFIIRKSLDVVL >A08g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17900276:17900929:-1 gene:A08g509200.1_BraROA transcript:A08g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTFEPLRFIPFNFNFNFLLSLSLSHKLHEIWSSCSSPSFSSLPSLSKLTVSLISLISLHASLISLSSRLLLVSPPPGSPSHHHHGRPPTTTTVALPPPPRLISLSLSLSLSLSLSLSLSLSLSLYLFVSVYLLSVSVIVGEARFMVAGGGKVHGGLDGSLVAAGGGKAHGCWKRGRFRFGLV >A02p057400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34515286:34526231:1 gene:A02p057400.1_BraROA transcript:A02p057400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTSAEGEKDKKRYDKAIALVASGDYIKAFEIFDDVISVYPEEHRNSFHIRVQQANIFLLLVDKALSKDVEITYFLGAIGCVSEDVRVTLLRTRLLRTLGMALDSVFYFKKCIRSGKQGLAAAEVKDKTEIERLIKEAELMIDESKTSPTIAHYWPKFSDSKDSQEPRCEDRVGDELRSYWMGLDVKIKRDFMKVSVEKLRSFVKGVHTKVGVDALKNVLDVAREHKKWRVWVCRTKCDKVCSSAEECRTHLEQKHAAVFKPSSEEDVVMRIGVNWAKKIQVGPWEPVRTVAAVEMIKAELEYVKGFTSTSRKKGWSSEWPVAADEERSGLLMEIKLLLVSLCNHQILSCSIRDWVMSFPVKHLRKLGVSEESINDCRLVESPQSICFLGRDELKQIRGFLKKIKCERHDGTDHVGRAVDSLLDRIRIKENIDIDVDAQFSLLLLDKRLLKSNNAPFDDDREIKFIDKPDSHYDEAQVKGDDIISWLGDRSSVDRSFPGPIRKHNLVIWVAVLRALQFTCKTLGTKYAKKKQVLDYEAALTAVENLCMSEDERNSYASLLCDRFEDRVHENPLTAKLFLCAVRDVFEGGLHLTFDIPDLEDCLNLIRERSKSLSNDTVLKSIKLQKSAVTEKVLLIDARILLIDNSRIRLLNNLTSLSAFDNRSYMLYFLKPFLLSDIGCKLKADLLLKEETKSQTKNKTKTKSTKMPKPDAAEADHLFKEDNKSHGKKKKTSTSMSTPVDKLEHKPSVDLEPGGTSQSPKTMEPEDTLTTEKGPLEISSTNDIQEEATKVNTGDMQNMPGENSVSGNLESALGGAATRYNSALDMTLKALMNINVLKEDLKRNKQPFHGNLEEEQVLPALQSLFTAVVSEEIKTEGVYCLILRDLLVSLEQVNSMSSSAAEVLVTIFESWHCWKNSERESLVDRLFTLEENERMSCRKCRRMPNYPEQCYYGIVMASDSIRDFKCAFRKMKFVDILKVLRMEYKMLCDIKSGGCGTENFVHHLITKCPPIFIIVLEWEKSETEKEISETTKALEWEIDISRLYEGLEPNTNYRLVSMVGYGDEEREHICMAYEKNRWVNLGRESLAGEVVGNSWKSVVRFCGERKVQPGFTLSKTFSYMSSKPSVDLQPAKTMEEDSMETKDTLGSEKGPLETSSNNDIQEEANKVNSGDMQNMPGEDSVSGNLESALGGAATRYNSALDMTLKALVNINVLKEQVVKYNKQPVPDNLGEQVLRALQSLFTSVVSEEIKTEGVYTLILRDLLVSLEEVDSMSSGAAEVLVTILESWHCWKKSERESLVTRLFTLEENERMSCRKCGRKPNYPEQSSYGIVVASDSIRNVKCAFGDIKFVDVLKVTRMNYKMLCDIKTGGCGTSNCVHHVISRCPPIFIIVLEWEKSETEKEISETTKALEWEIDISRLYEGLEPNTNYRLVSMVGYGEEDEEHICMAYEKNQWVNLRRESLAGEVVGNNWKNVVTFCGERKVRPVILLYEAV >A01g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21371519:21379240:-1 gene:A01g507420.1_BraROA transcript:A01g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRDHVVQSLHLVYITDHGETSYGGISWLKRRRRSCCAPGSRDSQGRPSPNPKTLELKANGDPVGLSADVGIVVLLEDGEVVGLSADVGIVVLPVQNSLKLTFKLVTILSQA >A06g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9391033:9392977:-1 gene:A06g502860.1_BraROA transcript:A06g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFLPSKQQAQALLLKRELELKQAEEDKRRFAAFREDFMSYLREYSSPLVNVSSPISPATPQVSSIQPRPPDPRPPDRPYLNPSELRHSISTADTQQQATIEMSKQLGETRIIFSKQNQEQIQEKLNLKKMAENPLSLEGDESVLLMPPKVGAVVDGRNPQMLARNSSSGQEISRNLENPLENFVEHLVGQKQQEVSVVENPKMEWYSDGFGLQAPLSISSVTSPLPKKPPDPPDMIHGKSRNKEFDTQGHYQLKHKGLQVLVMECDDEEKVEELVDSVSVVLDQQEKKATDQEYEHAKAKLEYYCSLPNEHQDDSALLIESSNDIEFSDSHTSVLSRSELALCISGNEEKHCVCLSQVERIWEPGGLPTEAAQWYWPEKCKSLRVSAATSDLRLTRQLQIQTKELLSEFQDVPRLLVSAFEFGAKIHKPPDPNEKLLDDETLGKFRRVKVLMAEVSFDLVPSKEDCIILENEIKWKLRETSEAEVNYGVHSRWGFTAVVTAEWRRPRAYFHTTYEKMVCSREAMHLIDGMCIHGFEAKYVWDPGGLSAKAALLDWPETYQNRGGNLKLTSKFHESCIESLVMLLKPAKEIQAVTRCLVQWKEHPPDQVLKLSSLRTRMF >A02p005480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2392741:2395737:1 gene:A02p005480.1_BraROA transcript:A02p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGLFTILKRIFISESHSDKKEKRRRWIFWKVKVNKRLPSITAPPENGTRHEEHKEESVADVDEASYVSCSPQLDSIEKLETADLVAQYQMFLNKEEEVLAATRIQTAFRGYLARKALRALKGIVKLQAYIRGRAVRRQAMTTLKCLQSVVNIQSQVDTNGQKRWDDSLLTKEEAKAVVMSKREASLRRERTKEYAVTHRKSAESYQKRDRNTKWKYWLDEWVDTQRTKSKELEDLDLSLKPKPKDETMNKTPRNSSPRRLASFNNNHRRQVSISEEEEQNPGGAVTVVTPTYMVATESAKAKSRSLMSSPRIRSRSFDTQLESYSPYKNKMCLTSSVMSEAPNKVRAQARLKRHRFEQGVKEGMSLVDYDDSSSDDDVLPAAEHKAALPQPPQQKPSPSKSRRTLNEKEESEGLPQLPDALLLLESPTLTHASGGGDHASVVAAAMRKRELNGNSSSLPRRPKVPRGALPHSKNIPDTSGNLLVPPQLKGRSNVATEDMSRLFVKKRQESSKATSPNQD >A03p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:692985:698274:-1 gene:A03p001420.1_BraROA transcript:A03p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPGRRSNYTLLTQFPDDQVSVSVTGAPPPHYDSFSSENRSGGGSNGKSKGGFDWDPNRVAGNMYASPLGLQRQSSGSSFGESSLSGDYYVPAAAGSSEIESAGFPLDVGFGGGGGGGGGDLRIQMVAGEAGGSASGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPVPDESALRTSPSSAETVSHRFWVNGCLSYYDKVPDGFYMIDGLDPYIWTLCIDLHESGRIPSIGSLRAVDSSADSSLEAILVDRRSDPAFKELHNRVHDISCSCITTKEVVDQLAKLICNRMGGPVIMGEDELVPMWKECINGLKECFKVVVPIGSLSVGLCRHRALLFKVLADIIDLPCRIAKGCKYCDRDDAASCLVRFGLDREYLVDLVGKPGHLWEPDSLLNGPSTISISSPLRFPRPRPVEPAVDYRSLAKQYFTDSQALNLVFDPASDDMGFSMFHRGGENDVMAENGGGSFPPSANMPPQNMMRASSQLQEAVPISAPPTNQPVLNRANRELGLDGDDMDIPWCDLNIKERIGAGSFGTVHRAEWHGSDVAVKILMEQDFHAERVNEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREQLDERRRLSMAYDVAKGMNYLHNRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKASTFLSSKTAAGTPEWMAPEVLRDEQSNEKSDVYSFGVILWELATLQQPWGNLNPAQVVAAVGFKNKRLEIPRNLNPQVAAIIETCWTNEPWKRPSFATIMDLLRPLIKSAVPPPNRLDM >A06p011290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4153177:4154846:1 gene:A06p011290.1_BraROA transcript:A06p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSATATAARIDRPMLKRPFKVVAEKFPTFLPRDVERIKDTFALKLAARIERLPVQVSFSEDRIMSSCVTPLMRKETSPVVLLHGFDSSCLEWRYTYPLLEEAGLETWAFDILGWGFSDLEKLPPCDVASKREHFYKFWKTHIERPVVLVGPSLGAAVAIDIAVNHPEAVESLVLMDASVYSEGTGNLATLPKAAAYAGVYLLKSVPLRLYANFLCFNGVSLETKWDWTKLKSRLVACIVCILGGKMQLQLKRLCLQVSQKTLIVWGEDDQIISNKLAWRLHGELPNASVKQISDCGHLPHVEKPAATAKLITEFVRETCHV >A06p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:727232:728982:1 gene:A06p001660.1_BraROA transcript:A06p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKKRRLDENGNGILNDAHITRLTPHDARKLIERFSTDQLLDILQNAVARHPDVLELVRSTADADITQRKLFIRGLAAETTTEGLRSLFSSYGDLEEAIVILDKVTAKSKGYGFVTFRHVDGALLALKDPSKKIDGRVTVTQLAAAGNQGGGGAAAAANGSDVSMRKIYVANVPVDMPADRLLNLFLAYGEIEEGPLGFDKVTGKSRGFALFVYKSPEGAQSALADPTKVIDGKHLQCKLAIDGKKGKPPGGGPGKDVAAGPGNGHGHGHGNGMGVAPPPGPYGPAVGGPGGMGGYNGYSGGPPPHQMNHTPSSMGGGPGGYGGAYGCAYAGHYGGYGGPGSGGYGLGTGPGAGSGPYRMPPSSMGGSGGYPESGHYGHSSASAYPGQHQPVGSSTAPRVPPGGMFVDRAKMLFVLSGDYVIAASLKNLWIDECIAALSSVSLSLSALELNVPWPLYP >A10g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21912068:21915014:1 gene:A10g507150.1_BraROA transcript:A10g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANVDGKCKMMSACSSAKLFLFMVPLVVVSGFLFVNPTSFLTSLSTNQISPPLPSYPSLPPAAPSPSPPTSSLSTNAESIQGNYNRTIQLNPTNIASTSSNVTSTASLKLMKKRVISNLEKIEFELQKARFKIFVYKEGEPPLFQDGPCKSIYSMEGNFIYEMETNTRFRTNNPEKAHAFYLPLSVVKMVRYVYERDSHDFSPIRKTVRDYIDLVGDKYPYWNRSIGADHFILSCHDWGPEASFSHPHLGQNSIRALCNANTSERFKPRKDVSIPEINLLTGSLKGLVGGPSPSSRPILAFFAGGVHGPVRPVLLQHWENKDSDIRVHKYLPKGTSYSDMMRNSKFCICPSGYEVASPRIVEALYSGCVPVLINSGYVPPFSDVLNWASFSVIVSVEDIPNLKTILTSISPRQYLRMYRRVLKVRRHFEVNSPAKRFDVFHMILHSIWVRRLNVRIREMGQNQQR >A01g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19995409:19997388:1 gene:A01g506830.1_BraROA transcript:A01g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRKHSQLISFINRRNNPYAVKRQMTNYMLQDNENHQKVRTFSKPNKIRFNLLIFFFFFLIVLWGWIWLAVIDSLVKIHLRLQHSCRPFPRTITAAVETKPVMIPYQSKQASIDEQIRSKIRKEKDKQRNDDALRHTSRSYHNQQRPTSAVKAVFVDGSGGTGVFLPGSHSTTISRVQITSRLRANVFDKEGAFSALMPVLPYVHVALNTDDRLDYDRRDIRRLECGNHYGSSGLSAEGYSPYGAPACLLERLAVIVVLPMEVMHLDTVALLARIRRLNEDSRGAGADGCDAASYGSVKDCQLCCNRCLKIEP >A08p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24603926:24607130:-1 gene:A08p044550.1_BraROA transcript:A08p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNMDEVTSTQKGKVHGPFLLESLVCQTNHMLTSKGVFMGSNPLKYAMPLLLLQVAAIIITSRLLFRLLKPLNQGMISAQVLAGIILGPSLLGQSRSYMEMVFPISGKITLQTFSNVGFFMHLFLLGLRIDASILRKAGSKALLIGTASYAFPFSIGNLTVLVLKNTFQIPPEVAHCIHTVISLNAMTSFPVTTTVLAELNILNSDLGRLATNCSIVCEAFSWVVALVFRMFLQDGTLATVWSFAWITALLLAIFFICRPLIIWLTARRSVSVDKANDIPFFPIVMVLLVISLASEVLGVHAAFGAFWLGVSLPDGPPLGTGLTTKLEMFASCLMLPCFIAISGLQTNFLKIEQSHVRVIEAVILVTYTCKFLGTAAASVYCSINIGDAISLALLMCCQGVIEIYTSVMWKDEKVLNTECFNLVIITLLLVTGISRFLVVRLYDPSKRYRSESKRTILNTRQRNIQLRLLFCVYNVENVPSMVNLLEASYPSRFSPVSVFTLHLVELKGRAHAVLMPHHLMNKLDPNTAQSTQIVNGFQRFEQQHQGTLMAQHFTAAAPFSSINDDICTLALDKKAALIVIPFHKEYAIDGTVDHVNPAIRSININVLDKAPCSVGIFIDRGEIEGRRSVLMSHTWRNVAMIFIEGRDDAEALAFCMRMAEHPEVSVTMIHFRHKSALYNTNITTGEETEPSECHLINDFKSFSQNKPKVHYREEIVRDGVETTQVISALGSTYDLVVVGRDHDLESSVLYGLTDWSECPELGVIGDMFASPDFHFSVLVVHQQEGDDYFAMDNSYKLPVSPHRGGDPRVHPRFSVEEGYTTVDLHNR >A07p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7206466:7208654:-1 gene:A07p014240.1_BraROA transcript:A07p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFQGDLTDVVRGIGGHMLSPEISSSPNILPLPQPSPSDLHMNPFGDPFVSMTDPLLQELNAVTNSSHFSTAEDNANNNNRFLVPKVVLEDDQIKSQCSIFPRIRISHSNIIHESSPCNSPAMSAHVLAAAAAASPRGIINANTNSPRNCLLVDGNTFSSEIQISSPRNVGLKRRKSQAKKVVCIPAPAAMNSRSSGEVVPSDLWAWRKYGQKPIKGSPFPRGYYRCSSSKGCSARKQVERSRADPSMLVITYTSEHNHPWPIQRNALAGSTRSCSSNPNSSKSSTTTASLNGPQSNTHLPSSASAVKNEQTEEDMELENVEDDNKVAPYRPEIHDQHQPDDFFADLEELELEGDSLSMLLSQGCAGDLNNETIASDGISDFFGWTGENNNKDDQ >A10g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18550096:18551892:-1 gene:A10g506490.1_BraROA transcript:A10g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSLEQFCNDPNPNPKNSGRSPNLFLEVQVSGSPELVAGATVCRDHVQLDTTVRNPSRRARPPSVRRRLANSPTRRLSRPGETDEQE >A01p018420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8940953:8941905:1 gene:A01p018420.1_BraROA transcript:A01p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRESEVILRLCIVFLLILTSVLIGFDSQTKDIAYIHKKVTFRYLFALEIELYINVVVAAYNIVQLGFGWYGVAQKTSNSKWLSYLLDQTAVYVVFAGVSAAAQHSLLVVTGSRELQWMKWCSKFTRFCFQIGSAIILNYIAAMLMIILSFISAFSLFRLYSPKRYFQFKSSS >A05p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8094970:8097015:1 gene:A05p017740.1_BraROA transcript:A05p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPKSYVWVCFLVSFTALSVSTRQSRTDCQSTCGTVKIEYPFGTSSDCVDEGKFLLRCDEKEQKLFLNNSNLEVLRISSDDGEVEVLMNVISLCNNMEGDGGNKNRSIEIPGFMFSNKNQFFQVGCNIYIFYIFTTRYGGTTTFTCDTHCDSLRPVDKLCSGLNGCCRTSVSNVGSHISVNPVKYIDWSSSSCSYPCNYVFMVKEGYFIFSEPEDLKNLRNITRFPVILDWTKQGTCQQAANTSFCGEKSRCSNNNFGGPGYSCKCLDGFEGNPYAQHGCKDVDECKVNVHSCGKHQTCKNVDGTFRCKKKKEWTKIILLSVIGCLVGVCCVLLKLKFRQLAKLRERRFESNGGVLLAQRLLESPNQCIKIFTEEEIKQATHVTRPVFLVEEAKESYSEPLAIKRSRNWDPDQAGQPVPTRTGQLTTLVQGTWGYLDPEYLRTRTLTEKSDVYSFGVVLLELLSGEKALSFNPPHGERVLVSHIDAAFKGNRLSEVMDQRVVTADNQAVIHQVALLGLSCTRMCGVERPDMRRVAEDLRGLQASGQVVLQDEAGPSGSAFEIEEIN >A01p057450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:32495541:32496701:-1 gene:A01p057450.1_BraROA transcript:A01p057450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSDPLSFSGVLPIVFLLILSAAELAAGQRPPPPDNRNEMYNNYGRVSPALAVIVVILVAALFFMGFFSIYFRSCSGLVDPGASPAAAGGVRSRGTVTAAARGLDVSVLETFPTFVYSEVKTQKLGKGELECAICLNEFEDDETLRLLPKCDHVFHPHCIDAWLEAHVTCPVCRANLSEGEPVEPDLELQEVAVNPEPVVDPPVPEQSVITEVDSRRLPGVPVDIKRVKFSRSHTTGHSVVQPGECTERFTLRLPEDVRKRVMENWRLNRSGSLVALPRGGSSRRGKPSRARSDRWLFRKTPSFMWRSRDDGSIRLGSTRSIRANVSNSTGESTRSDRWAFLRNGSLLWRNSSVHRGGVNKDGEGTSVKPPGTGGSTSGSMRLPV >A03g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30233600:30235300:-1 gene:A03g509240.1_BraROA transcript:A03g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLDVRRPVTSALLLRPERGGVRIYINRGGIFSLEHISSSYLLHLILEYSEMANSRSFFSDLKSGKCSFELCCMKDYEELDATVMTIVDCVVNKTREKIESSTDVLKGIIRPVVEGVEEISWPPRDHEAINQMEKEMIQRKKEGQLDEGFLSEVSAQLRQAKEDKDKPRLAAKLQKVLQLYAATVLSKHSYAKRGNEVVKAKHFLETLIRGTMEQVFLRRLTLGKDEVTTDELSAVIKKRIERILIRTEGGSYVMEYLKGIKSRTNEIIKLLQG >A03p016510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6584217:6586229:1 gene:A03p016510.1_BraROA transcript:A03p016510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDSNGGNKRVFNRLGGPTRPSPQQTNTRQQVCFHWRAGRCYRNPCQFLHRELPAGPVPNHANKRAPDESGFAGPSHRRGPGFNGSHSSNNNNNTWGRFGGNSRAVVTKTEKVCRFWVDGSCSHGEKCRFLHCWSKGDGFSLLTQLDGHEKLVSGIALPSGSDKLYTGSKDGTIRVWDCASGQCTSVLNLGAEVGCVITEGPWLLVGMPNLVKAWNMETNADQSLSGPVGQVYSLVVGTDLLFAGTQDGSILAWRYNAATNCFEPAASLMGHTLAVVTLYVGANRLYSGSMDKSIKVWSLENLQCIQTLTDHTSVVMSLICWDQFLLSCSLDNTVKIWAAVEGGNLEVTYTHKEENGVLALCGVHDAEAKPVLLCSCNDNTLRLYDLPSFTERGKIFAKQEIRSIQIGPGGIFFTGDGTGQVKVWKWSTAPAAAAMS >A09g510340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31107445:31109385:-1 gene:A09g510340.1_BraROA transcript:A09g510340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVAPGDRTPKDQKWTVVREKHHEDRGHGKMCGDWVDSENCELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETIKKLCVGSHNLRFEHDLVQFRREAKFGRYSVRMCPSAHTERPWLSISTHISTLVLGLSTLALPVDCSGDFGPRGLSVQYTQDVRWCPPAHTGRPWLSVCVRVCPSAHIGCYWLSISTHISTLVHGLSTLALPMDCSGDFGPRGLSVQYTQDVHVCPSAHTGCPCVSVSTNWTSVAVRQHTQNFRGCPSVHISERWPFP >A04p007530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8811924:8812925:-1 gene:A04p007530.1_BraROA transcript:A04p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSLLSTLSLHYRFTARIEHKRSYLWAEKPMGSLNKMATKQLIVSPVTVSAKSLASLRVSSAKFGTLKPGTLKQSQFRSLVVKAASVVAPKYTSIKPLGDRILVKIKEAEEKTMGGILLPSTGQRSRRQRRRQWEVSYFHLQVNRNLKEVKSLLWVKGELLGKTKLISLSLLVNLTIPFKPLLFLRLLWFHANIGLSSCGIDWSTNHLLHRYAGTEVEFNDVKHLILKEDDIGLS >A01p039350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:14393330:14393695:1 gene:A01p039350.1_BraROA transcript:A01p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPESPMDSICATEKKHNERAYVTFLAGNGDYVKGAVGLAKGLRKVKSAYALIVAILPDVPEEHREILRSQGCVVREIEPVYPPEIQDAYARAYYIINYSKLRIWKVNVDIYSTILNLDIK >A01p007990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3860041:3860708:1 gene:A01p007990.1_BraROA transcript:A01p007990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTSSMKEEFLKKWQMGLQIYRPLIDNTSVSERRKAIKLSADVAMASLRKGTTCWSRALIQKTATEDNFLVRQMLSGIKAETLINKKLPKVMCHRKIVRRSKMILRRRQSRSAIEEVAAKARKLVKKKTQGLRNVVPGGEFMSNDVLLIQETLDYIVSLQTQVNVMRSIVDAAEAGSERTAYYLGKLKFAIEDG >A05p018660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8672099:8674087:1 gene:A05p018660.1_BraROA transcript:A05p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G36170) UniProtKB/Swiss-Prot;Acc:Q9LVY0] MSMEVTTVLRPLVIAGKPTLPLLRPKILHLLRLSLPLRLPHLSYSSTSRLTSPISFATQESSNLSESDTSEWVMQDFYTLRKDVEIASARVEEIRASAGLEQLQHEIALLESKATDTSFWDDRAKAQETLSALTDLKDRLRLLSEFKSMVEDAETIVKLTEEMDSTDVSLLEEAMGIIKELNKSVDRFELTQLLSGPYDKEGAVVTITAGAGGTDAQDWADMLLRMYMRWGEKQRYKTKIVEMSAGEEAGIKSATLEIDGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPDEAVGVEIPEEDLEITFTRAGGKGGQNVNKVETAVRITHIPTGVAVRCSEERSQSANKTRGLARLKAKLLVIAEEQRATEIKEIRGDVVKAEWGQQIRNYVFHPYKLVKDVRTSHETSDITSVMDGDLDPFIKAYLKHKYTLAMASVVAN >A03g507860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27704144:27704573:-1 gene:A03g507860.1_BraROA transcript:A03g507860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQQMQCQQSLKLDKGGLKGSDRRCASALDKEPSKEGKDIEKRVGFVLNIPDTPPCRSEDRIKGRS >A01p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2283850:2289502:1 gene:A01p005310.1_BraROA transcript:A01p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNECDEEKKKKLGNGVVGILAETVNKWERRTPLTPSHCARLLHGGKDRTGVSRIVVQPSAKRIHHDALYEDVGCEVSDDLSDCGLILGIKQPELEMILPERAYAFFSHTHKAQKENMPLLDKILSERVTLYDYELIVGDHGKRLLAFGQYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIASQGLPLGICPLVFVFTGTGNVSLGAQEIFKLLPHTFVEPSKLPELFVKDKGVSQNGKSTKRVHHVYGCIITSQDIVEHQDPSKPFDKADYYVHPEHYNPVFHEKIAPYTSVLVNCMYWEKRFPRLLSTKQLQDLTAKGCPLVGICDITCDIGGSIEFVNRATLIDSPFFRFNPTNNSYYQDMDGDGLLCMAVDILPTEFAKEASQHFGDILSEFVGSLASMTEVSDLPAHLKRACISYRGELTSLYEYIPRMRKSDPDREAQDNISNGASNQRTYNILVSLSGHLFDKFLINEALDMIEAAGGSFHLAKCELGQSADAESYSELEVGADDRKVLDQIIDSLTRLANPDDEEDYIISPRKETNKISLKIGKVQQENEEKPEEMTKRSAVLILGAGRVCRPAAEFLASVRNISSQQWYKTYLGGEQRDVHVIVASLYLKDAKETVEGMPEVEAVQLDVSDNESLLKYVSQLKKHLITASYVDDETSMLHEKAKSAGITILGEMGLDPGIDHMMAMKMINEAHIRKGKVKSFTSYCGGLPSPAAANNPLAYKFSWNPAGAIRAGRNPAKYKSNGDIIHVDGEDLYDSATRFRVPNLPAFALECLPNRNSLVYGEHYGIESEASTIFRGTLRYEGIMIMSTLSKLGFFDNEANQLLSSGKSITFGALLSNVLVKDAEALDGEEEISKRIIKLGHSKESADKATKTIVFLGYHEEREIPSLCKSVFDATCYLMEEKLAYSGNEQDMVLLHHEVEVEFPESKRTEKHSATLLEFGEIKNGQMTTAMAKTVGIPAAIGALLLIEDKIKTRGVLRPLEPEVYLPALDILQAYGIKLMEKTE >A04p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5067702:5068213:-1 gene:A04p012460.1_BraROA transcript:A04p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKLFGTAITPDWNDTASSLLRQARTRIDYILRKMAFQTVIYSIWREHNSRRHGGVWITTEQLTRNIDK >A06p039230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21036991:21038253:1 gene:A06p039230.1_BraROA transcript:A06p039230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSCSLVSKETRLPLCDMTNVPSKRGISSILGDFLLKSGDDAGKTVAREGSGVKFSKRLCLVVDDLVKESTRTSDINDGSSSDDKISFGDSPAVDADSENFNVKESQGETNAVDSAVELSQRECDKDSNVADFSSQTDPVAGEDLTMTVFSSSNCESDDRLATVAAEGTGLLPSSETIKPFNMSRCSAVDNNMDADDELKSCSCSFCLKAAYIWSDLHYQDIKGRLSVLKKSQKEASSLIRRNGKGRPTDVYGSENSNNSTNVEFDVMGQWTSLFLNMEGILARESSHLQDSFVTMKELRENCKIDLERATKTPQQNNT >A05g510030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30282340:30282705:-1 gene:A05g510030.1_BraROA transcript:A05g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWEDVMAFDYIADIVPGSLTPPPGSVGKIMLLKVKENLSDEAKMEIMDVVKEKSAGADQITVGLGETFSPVNAKGFSIASVAYLKVLGETDTLQDLVKEKVGDYVDGTIVVEFVVPLSS >A06p037290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20172340:20174324:-1 gene:A06p037290.1_BraROA transcript:A06p037290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 37 [Source:Projected from Arabidopsis thaliana (AT5G63390) UniProtKB/Swiss-Prot;Acc:Q9FMW3] MAKTLRTIKNPFFTPHPPSHFLHFSLLFFSPPKRTSPRYLNHHHQTRCTPQQIFLLLVSLSLLFSGISFLASSLTTSSTCIVSSPSSPLGFFVSDDPRHLLTSLAVSVPSTLLPLPARGGRSGNMTEEEREFWKQPNGEGYKPCLGFSLSYRKKAARVSKEKKRFIVVVVSGGLNQQRNQIVDAVVIATILEAALVVPVLQVNRVWGDESEFSDVFDVEHFKKTLRSDVRVVSSLPSTHLVSRQTIENQIPWDVSPVWIRAKFFKQLNEEGLLVLKGLDSRLAKNLPLDLQKLRCKVAFHALRFRGPIENLGNKLARRMWIEGPYIALHLRLEKDVWVRTGCLSGLGSEFDRIIAETRMSQPRYLTGRLNLTYTERRLAGLCPLNAYEIARLLKALGAPSNASIYVAGGEPFGGSRAMEPLAKEFANLVTKETLAHKGELLPYANRSSALAAIDYIVSLSSDVFLPSHGGNMAKAMQGNRAYVGHRKFIMPNKRAMLPLMENPSVSESELSRVARKLHGKSQGHPESRRGRRDRDVLAYPVPECMCRHGKHRSIGFF >A02p046680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29111374:29112633:1 gene:A02p046680.1_BraROA transcript:A02p046680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECFQNNNINFRFQNLQATMVGSYNNEIYLYDIKILCKGEPNISKECFVDCAKKALEDAEIWLSVNDPQIVTSSWQPNVQPSNPQWRKPQGDLIKCNVGMAWDNSSSFSGAGWITRNSQGSPLQLSRRGYPSSASKQEADLQSLYWAAESMSNMGQSNIIFETSSHEVREAFLKPHLFPDLGLLIQGILHLLSLIGSWSLEHVSAPRNIVAQAIADSVIQGSRSHSYISIGGLAWLRFILDQEMRNST >A03p018760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7661794:7669680:1 gene:A03p018760.1_BraROA transcript:A03p018760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDTYIYDHLVKKKLHNIAKSFVTEGKVSPDPVAIDAPGGFLFEWWSVFWEMFYARTKEKHDESVVEYGLNVYIFDYLVKKKLHYTAKLFMTEVKVSPDNVAIDDPRGFLSEWWSLFWDVYIAKTNEKHYESTAEAQQGKPKEQMKQPNPINTETSQTHMDAGTIHHGQMVQGNNQGGVSAALQSRTQQTPLINMPPVQHSSSQQQDPLLSQQSQQNNSTGSGNMVGSSNSQLSTPSTHTPVEGDAMTGNMPKGPMMYGSDAIGGLASSANQLWQQGKAEEEQIQMKQLNPVNTETSGTNNHGEMVQRNDQGGDVSAAILKQLKSQTQQTPVITLLDRIFFSVLVTFLHCTKHMGLKLEAGEGESRKRCKCKKSKCLQLLCECFSTGVYCTTEPPCSCTDCFNKPIHEDTVLAPARKKYESRNPFGFAPRVIRSSHSVMKTREEEDARKSPASARRKSGCNCKKSNCQKYCQCFKGVRTDSASKLQFPNQQIKIKQLGKSWQCLISSFEDRIRVSKHTDSFGLTQLDLWLELWRRVIGKRIRCKLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSESAAAYIEAQQGKAKEQQMQLQQLQMMRQAQMRRDPSLGGPMNAIVSDGMVGQSNNASAMAAKMYEERMKQPNPMNAETSQPHMDPRMALLKSGTSHHGQMVQGNHQGGVSAALQQLQSRTQQTPEIKSEVNLGASPRQLPVDPSTVYGQGILQSKPGMGSAGLNPGVGVLPLKGWPLTGIDNMRQGLGPQVQKAFLQNQGQFQLSPQQQQQQQIMAQVQGQGNMTNSSVYGDMDTRRFSGLPRGNLNSKDGQQNANDGSIGSPMLSNSSKLINMPQVQQSSSQQQDPLLSQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPVEGVAMTGNMQHVNNMPKGPMMYGSDAIGGLASSANQLLQDDMEPFGDVGALEDNVESFLSQDDGDGGSLFGTLKRNPSEHTETSKVFSFNEVGSIRRSASKVICCNFSSDGKLLASAGHDKKVFIWNMETLHTEIPPEEHGHIITDVRFQPNSTQLATSSFDKTIKIWDVSKPGYFVRTISGHNAPVMSLDFHPKKTDLFCSCDTNNEIRFWSINAANCLRAQGASTQVRFQPKLGQMLAAASENIVSIFDVEQYRGVHSFKGHSSSVHSICWNPTGELVASLSEDSVKVWSAGSGECIHELSSSGNKFHSCVYHPTYPNLLVIGGYQSLELWDTGENKCMTIAAHECVISALAQSSSTGMMASASHDKSVKIWK >A10p036090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20601086:20602512:-1 gene:A10p036090.1_BraROA transcript:A10p036090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMECIATLPQRFNDNKTKGDPSIFDANLLNQLSNHIPQQFVWPDHEKPSTDVQPLQAPLIDLAGFLSDDSFLVSEATRLVSEASKKHGFFLVTNHGVDETLLSRAYLFMDSFFKAPACEKQKAQRTWGESSGYASSFVGRFSSKLPWKETLSFKFSPEEKCQSQAVKDFVSKKMGDEYDNFGKVYQEYAEAMNILSLKIMELLGMSLGIERRHFRDFFEDNESILRINYYPQCNQPELTLGTGPHCDPTSLTILHQDQVAGLQVYVDNKWQSIPPNPQAFVVNIGDTFMALTNGIYKSCLHRAVVNSERERKTFAFFLCPKGDKMVKPPKELVGVMSGEREYPDFTWSTFLEFTQKHYRSDMNTLEEFSNWLKNRKSF >A01g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26730240:26731375:1 gene:A01g509780.1_BraROA transcript:A01g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSDEFDMTFSVGEPVRNPPEADDGIPTRCYCGSEAAIATSYTRKDPGMLYYTCENRDDAGCHIWKWWDVAVTEEVFEVQRELRLLKEQGFECDQKLLKLQKTVCELKTVCELKKNKESTNGYALEVCVMVSVLEELQRCKRECREARSNTEQSVNMDKQTSYVNLSFSQSQSTVDLESPEPYWFGTQGPDES >A06p025850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14483432:14484638:1 gene:A06p025850.1_BraROA transcript:A06p025850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLTLLVVALTIAGGAAALGGNTNNVYSPCSDTRIQRSDGFTFGIAFSSRASFFLNQTVLLSPCDRRLTLAAMNSQLSLFRPKIDEISLLSINTSAFFPDNYGGYMVAFAGRKYAARSPPAFIANSTFTVTSFTLVMEFQKGRLQNLFWKRDGCASCKGNSNFVCLNKQDCAIRTPSCKGRGGTVDCSLGIQLAFSGTDKHLAVLNSWYEVENMKQYSLYGLYSNLKSSLTSQFNNFF >A02g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26135762:26138294:-1 gene:A02g509780.1_BraROA transcript:A02g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKHKTGEKIREEASKDDATEAITSKRQKPAKTLLERHRFPESGPEGHDFNHLLENVSLCLSSSSNRRPSVRHSTFESLRLGRSRQSIASGFLRLWDSLNFKKDKEFVGITVLFLDEKVNSVIYGFTPVGRANHYMPSLKAGSIVKVDRFEVARCSSMYKITDHPFLICFISLTIIDEVITGAPEINLQSRLDCSTISKALTVPKKQLESLSNKLQTLINTNNNDFCLHITYQIKETQTQSYQEIQETILTYTKAATTSPPAHSSCLMKLAYMLNVNRPILLYSYEKYIYSFKTVKAQILIVR >A09g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5992357:5995141:-1 gene:A09g501640.1_BraROA transcript:A09g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERVKTVIRRRRTTIRRRESKSKVKEKEEDKVNLESKKEAALHYISEESLVILRGKQGKSMIVAGFSESKKNHGNELIEKLEAGMQDMLQIVEDRNREMPIEEDMVEGFLYEVPEEYINMPFLKRRVVYMKAKIKDNPNLEDCVFHIVVDGYNAPVTAGNFVDLAERNFYNGMEIQKYIYILRSCGRIYRSNHREVRTVPLEIMVTGKKTPFYGSTLKNWVCTMAMARERELMPSNSNILDGRYDVFGGYVTQNEDFLADLKVDDVTDTSTILAALKYGRCSSTVEVEVRLLRFWEARNIKRGGHLMVVDMLLLDSKAMLIPATINVNRLPTYRGYLKGTVIRAANPEQTSSSEDSSQEQSSPEDSTESQDLPSTPLTSENSLEKAESAAKALAVADSKVFEAKEASQVADTAPKKSLTDVLKDENVELR >A08p000770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:505800:507112:1 gene:A08p000770.1_BraROA transcript:A08p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGMEGSQPVDLMKHPSGIIPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHFSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHSAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKMREETYTAFENIYPVLTEFRKIQQ >A05p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19196144:19198227:1 gene:A05p034130.1_BraROA transcript:A05p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFAAPLFSPLALSSARNRRSYPKIRFQSNNGSTLESNGHQKLNLSKCGKLNGQRSKFLQMGSREMTFGRKLSVRAADGAGTGNTSTITSNVLAAAHLLVSLGIILAADQFLKQAFVAASIKFPSALFGMFCIFSVLMILDSVVPAAANGMMSFFEPAFLFIQRWLPLFYVPSLVVLPLSVRDIPAASGLKICYIVAGGWLASLCVAGFTAIAVRKMVNTEMTEAEPMAKPSPFSTFELWSWSGIFVASFVGALFYPNSLGTSARTCLPFLLSSTVLGYIVGTGLPSAIKKVFHPIICCALSALLAALAFGYASGSGLDPVLGNYLTKVASDPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIVSTLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGTNSSLTAAVVVVTGLIGANFVQVVLDKLRLNDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLLCSVPAVRQSLLAVVG >A01p013600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6637821:6638558:-1 gene:A01p013600.1_BraROA transcript:A01p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPKSLSLSHIVTLTHAPMEEISSSSSSEPPPLFSCFIALCTLILIYSPDDSLRIFLSPVLLISGALLFSLERLGSTREPLTRPGKSREREVKTEEESDVLFDVYSLSEAKQDSDTRHEFVEWDLRAPLEVIHEAYDEDEDEEEDPTRFRELERYPSLSLCYPESDSGSDSDSSSEFTFPEMSSWMSPEKMGYRWEEDDGAAGLIEIKLDVDEYKKKKMSKQNQTEMDFHAEEEGLIEIDLSP >A10g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21307347:21311386:-1 gene:A10g507020.1_BraROA transcript:A10g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion 1 protein 1 [Source:Projected from Arabidopsis thaliana (AT5G05490) UniProtKB/Swiss-Prot;Acc:Q9S7T7] MAATLHAKINRKKLNKLDIIQICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLFDDVTRLLVEINGAWRTKAAPDPTLLPKGRTHARKEAVTLPDKDEADFGDFEQTRSQLPKFPNFMDFQQSYISMRLDEPNVNDIPEQEDLHQAAAENITLFEYHASYQSNTETYDRFERFDIEGDDETQLNFNPREGSQIPPTLIPSPPRHHDFPEGGNPTSPQRQEQQENGRDAFAEQTAEQNIPDREDQDVPRPTKRRARRTATSAMDCEQTIIAGNQYQSWLQDTSHILLRGKKRKARGPASPSIEVTKRMKLPVTQLFEEHVDGSYPPQLMELWSKCTQPLQASTSETGRPDLSAEHSPGFGQERMQDHHQTDHHQGTETSFQNLGSPAERLRNVLAEKDGSIEGLMARSRASAENNNQAAADTSVTPLYSGDDVRSMPSTPSARGAASINIEINSNSRRLNRKRQHSSPRRGLEPVAEDRTWEHRAYDFEFSMIPEKGFTADNEVLAETGPTQTQKPVTTHTDEKITDSIKSHLKTHFETPGAPQVESLNKLAIGMKRNAAAQLFYQSCVLATRGVIKVEQTQPYGDILIARGPNM >A06p053490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28185452:28186429:-1 gene:A06p053490.1_BraROA transcript:A06p053490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRINEIEEEDEEGYGRTSLETVRESPFEGSSGTVSLSGEDNVYVGVGKGDSSMGALRWALDNLIPSSSTTLLFLIHVFPETHFIPYPLGRLAREQASQEQLDAFMSQEREKRRTLLNKFLQACSASKVKVETILVESDSVAKAIQDLTTILNIKKLVLGIDKSNARKAKSLKGNSVAEQIMRSSAAETCEVKVICQGKEIEIEKPATERSPSKPSIQLQPKKDQSSDSFAWFCLSKPKTIS >A09p015250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7943032:7944102:1 gene:A09p015250.1_BraROA transcript:A09p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTLEVVLVSAKGLEDSDFLNSMDPYVLLTCRTQDQKSNVASGQGTTPEWNETFIFNVSEGTTELKAKIFDTDVGTEDDPLGEATIPLEAVFLEGDIPPAAYNVVKDEEFKGEIWIALSFKPSENRSRGFEEESYGGWKNSEASY >A01p004200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1803337:1804156:-1 gene:A01p004200.1_BraROA transcript:A01p004200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIILSEIFLSGFMLNSTVRRRTHLVQSFSVVFLYWLYYACLLAYCMESSSSGTTSSAIQTSSGSEEYLMEQRKRKRMLSNRESARRSRMKKQKLLDDLTAQVNQLKKENNELVTSVSITTQHYLTVEAENSVLRAQVDELSHRLESLNDILGFLENTNGVCPNPLTGPESDDFLVNQMNMNMFHMMNQPLMASSDALLY >A02p018830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8708052:8710982:-1 gene:A02p018830.1_BraROA transcript:A02p018830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRVAASFCLRKAIIINPVHQCANRTMGQDKDDLKKKRKSRSKEKSVELVVANEEKQMQQQQEKGEIANEENQKPKQQQQANQNPKKKKKKQESFPFGNYKNYYGYRISNDMDEDPRLKVLKKEWFLGKDCLDIGCNSGVMTIHIASKFGCRSILGVDIDSSRVGDAQWHLRNFVRKQNHANQAKTGSGETKDLFQIVSFQKENFVHTRNLDENRYDTILCLSVTKWIHLNWGDDGLITLFSKIWRLLNPGGIFVMEPQPWKSYEKNRRVSETTAMHHRTIVLRPEHFQEILLDKIGFRTVEDLTSSLSGVSKGFDRQILAFQK >A03p058010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25135266:25137821:1 gene:A03p058010.1_BraROA transcript:A03p058010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFRNLLGLKNPDPGYPDPSFETPSRSNPKRRWSFVKSKRENGTAPQSYYPHTPTSLPNSTPPPYQKSSPSSNGRRWKQKLVWEEEGDVNPDKHAISMAAATALVAEAAVTAAVRLTSTSGRLTRSPVAAHFSDGYSHVVAHDSSFDGHRRDNREDLAVIKIQSIFRGYLARRALRALRGLVKLQAIVRGHIERKRMSVHLRRMHALVRAQARVRATRAIVTPESSSSQSNNTKSSHFQNTDPPTPEKQEHSISSCSSKLDHSHIFKRNGSLANNNNKPFSAMNKEEKVLEIDRRHNNSYTRDNRPDMFYSSHLVLDNSGRSGPVYAFPFSPSSSHEETVNQFCTVENSPQPHSATSIRKGSAFTASSIALSDCTKSCCYVDHPRFMACTESSRAKARSASAPKSRPQLYHEQSSSKRFGFVDVSYCGDTRSGPQKVYALHTSFMNKAYPGSGRLDRLGMPTGYRY >A03g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3403285:3404112:-1 gene:A03g501100.1_BraROA transcript:A03g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDKHVLSISTKFKSLEFSYEAGQVRSGHLIVSAEFVFFELPRKWKPWREYGFHCCDEIMRVMIMIIIRCLDGIRLKSYIFHNLSFLKPSWSRAINWKTLSFLKHRFIIVGPRLRGKSNSLGGIAYLEMMMMMIYVDGWVQEAKCLV >A05p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4768039:4769389:-1 gene:A05p011210.1_BraROA transcript:A05p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSCEVKALVRWYWIGNPILAKEAETIALRFLTENAVCWKCWRYINKKNPTASVYLLQQLVHRTTAVVAKDARAIAIWCLMGNVDCWRHWDNVYKEEYKSWKDHSLKLPSSPSDIVILRHTMKSFRLKNKKGITERGEKASLYKEAKLCKVISRKLSRGSITVAVLVTAVTHCCTCSVVSERVCFFQVDVSIACVGI >A01p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16832334:16833907:-1 gene:A01p035830.1_BraROA transcript:A01p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSHLQATNLMVVGAKSPVDRRRKALERVNKELSRGKYETALSLVKQLKGKHGCLSAFASAKLLPKKSPVLDTLGGLIDSVSRSFESVSAEANSVKKFQEHKAITSPSEEDWFAVVQHESGHFLVGYLLGVLPRRYEIPNLEAMRDNVTGRVEFVGFEFLKQVGAANQFMKDDVDLSVSQGYISSKTLNNFSCVILGGMVTEHMLFGYSEGFYSDVVKLNNVLQWRGFTEMEKAAHIRWAASNTVSLLHSYNEARVSLAKAMAKAKPIGACIEAIESAISRHYM >A09p057290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48370263:48372491:-1 gene:A09p057290.1_BraROA transcript:A09p057290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDERDEKELDLTSPEVVTKYKSAAEIVNKALQVVLAECKPKAKIVDICEKGDAFIKEQAGSMYKNAKKKIDRGVAFPTCVSVNNTVGHFSPLASDETVLEEGDMVKIDMGCHIDGFIALVAHTHVIQEGPVTGRKADVIAAANTAAEVALRLVRPGKKNHAVTEAIQKVAEAYDCKIVEGVISHQMKQNVIDASKSFLSVSTPETRVDDAEFEENEVYAIDILASTGDGKPKLIDEKQTTVYKKDAAVNYQLKMKASRFIISEIKEKFPHMPFTARSLEEKRARLGLVECVNHGHLQPYPVLYEKPGDFVAQIKFTVLLMPNGSDRITSHTLQELQPTKTIDDPEIKGWLALGIKKKKGGGKKKKAAKKAGEASTEAEPMETSSTAQE >SC122g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:118405:119337:1 gene:SC122g500100.1_BraROA transcript:SC122g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKRLHGDLIRRGLSDQSEEAGTPHHSDQLYSRTQGVFNQGNSWLSNHMMVANYGVEKQEARRKGETSSGHKKKLKGDLTVKQLALIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSIKISLSLTEDDDDDPVMS >A09p073430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55990799:55995800:-1 gene:A09p073430.1_BraROA transcript:A09p073430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKESPSSSSSSMSSVLRAYAAPIFIFVLAMFFQLFLLPRSFPTSHYDVLGVKTYGSEDDVREAYEAIASKWNSDSGDARPADFIKIQYAYELLTNPVWKRDYDLYGIDESLHIVEELEKQFSAEDFAKIKTPLLEAVSYEPEHEGFMAITSQDFASKFQDSKPWLIQLYSSGSNSSAQFSMAWRRIVDLLDGVANHAMVELGDVRLVTYLAEKKTTGQVLFRNGLPSIVAFPPQCKTADCLIRFEGELSTDAVTDWFATTVLELPRVFYHTKETLVPKFLAKVPPNKVRVILFSQTGERATPSVRQAAKDYWNFASLTYVLWREEDASFWWNALEVESAPAMVIMKDPGLKPVVYHGSGNRTWFLDILEQNKQLQLPQLSSTTSMELGCDARGYSRAGFETATWYCAVLVGRQSTELNKMRETMCRVQDALSNHDESDEASKDPSVAPAASAHKNKRLTLAWLDGEVQSKYCFFYVQSETSYDTCGTRKSPIDVPRILIIRYHRNATESANVEKKSSNWPKTVWESEADDVDPAAQLVVSYDGPAETPEIIKWLSKMVEDGDSKNLPFYRAKTPELVPESTEPMRSGVPKSVKATQALLRLWNRIKDYLADPRMGPTLLLGALLSAGSVWWTRSRAIQQPVQTTQQSSTNQSDNNTEEEEKKKERKREQRRRNAKGEEAPASITDNEPKDAVQILSSGSDSD >A08p031610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19296313:19298979:1 gene:A08p031610.1_BraROA transcript:A08p031610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMARKKTESSTESSKEIKARGKFTRANKCMKTTTKKVNESGKHNSKREKRKSPSDSPDESPSSRVQRLMNTKDGAQQVPVLALHSDKEVRRCGKMKLQLFPVDAHTRQGLEKDGFHPYLELTLSSRKKVFSVLQHIHSKWGSSEIARGDPTLYPYDKSRLTSGLKWTPDSSITIRDVYVAVGAPSLFRLRYGWSSELCNKTNEPPSPSTPGITCFPNVEPQNIFCDKRENGKQMFGLENLTQVTDPPLSANTPPDGPVESAAEKKINNGSGPTFFQWDDGLTSLSIGGLLSEVSLKGNFGNGSKNSNANPTLWDENLTNISIGGLFSEASLQGRRGKEESTHNNSGNQQRSVSIGAFLSEASSRGEGSNKTWETRGATSQQPLPLISDSLDAFLVNQTKDQPRGPCHAPPPPEVSHSSILDAEDTCHAFSFRKRTTTSPTVLEQVSEETEEQQQKDESKPAKGLFGSGVFNQDSSLGFSGIKWADSRGPFDFGLSLSSRKFTNGDSVSFGAAVKDLREVEPSEDKIKLQKH >A09p010270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5222954:5225209:1 gene:A09p010270.1_BraROA transcript:A09p010270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDSFQSEEDCIRYVASDLATDVVVNVGDVKFYLHKFPLLSKSARLQKLIAATINEQQQQQPDDEISIPDIPGGPQAFEVCAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVETGNLVYKIEVFLNSSVLRSWKDSIIVLQTTRSFHPWSRDAKIDARCLESIASKAAVDPARVDWSYTYNRRKVNSNGVVPRDWWVEDLAELSIDLYERVVLSIRRKGGVSGEVIGEALEVYAAKRVQLDDRDVETLISLLPGEKQSVSCGFLIKLLKSCGEDVRKELSERIGEKLEEANVDDLLIRASDGCETVYDIDIVETLIDEFVTQTEKRDEVGCSDSSKAVVAKLIDGYLSEIARIEPNLEPLKFIAIAEKVSSFPRSSHDGVYRAVDMFLKEHPGITKSEKKSICRLMDCRKLSPEACAHAVQNERLPLRVVVQILFFEQVRTTAKPSLPPSGSHGSSRTTTEEECDSVTATEETTTTRDKTSGSEKTKAKGVVMSRIFSKLWTGKDRDGVGDVSSSDTSESPGSATTVDDKSTPSTRRRRSSS >A09p013840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7170862:7173294:1 gene:A09p013840.1_BraROA transcript:A09p013840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSINPKKAKRKNKKKGEASSSSVPSMPTRVWQPGVDKLEEGEELQCDPSAYNSLHGFHVGWPCLSFDILGDKLGLNRTEFPHTLYMVAGTQAEKAPLNSIGLFKISNVSGKRRDVVPKTVNGDDAMEDEDDEDEDSDSDEESEDGASTTPIIQVRRVAHHGCVNRIRAMPQNPHICVSWADSGHVQVWDMSSHLNALAESETEGKDGTSPALNQAPLVNFSGHKDEGYAIDWSPATAGRLLSGDCMSMIHLWEPASGSWTVDPIPLTGHTASVEDIQWSPAEANVFASCSVDGTIALWDVRVGKTPALSFKAHNADVNVISWNRLASCMLASGSDDGAFSIHDLRVIKEGDAKVAHFEYHKHPITSIEWSAHESSTLAVSSGDNQLTIWDLSLEKDEEEEAEFKAQTKEHVNTPQDLPPQLLFVHQGQKDLKELHWHNQIPGMIISTAEDGFNILMPYNIQNTLPDLAA >A01p009920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4808022:4813262:1 gene:A01p009920.1_BraROA transcript:A01p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPDPNNVGGGAKRDEATTKVPSKDPKKKDDKKEDDLSEEDLELKQNLELYVERVHDPNPELQKAALESMRQEIRASTSSMTSVPKPLKFLRPHYGTLKELHKNMAESDLKKLLADILSVLALTMSAEGERESLGYRLTGSGGDIGSWGHEYVRNLAGEIAEEYTMRQSEEASVEDLMDLVKQIVAFHMKHNAETEAVDLLMDVEDLDLLLAHVDRTNFRRTCNYLTSSAKFLPGPDDMLVLDIAYMIYMKFEEYPNALQVALFLDNMQYVKQVFTSCSDLLRKKQFCYMISRHGITVELDSEMVADKDDRDMLQDIVNNTKLSEGYLTLARDIEVMEAKTPEDIYKAHLLDGRASSGPSVDSARQNLAATFVNAFVNAGFGQDKLMTVPSDAASGTAGNWLFKNKEHGKTSAAASLGMILLWDVDAGLTQLDKYFHSTDNPVLAGALLGVGINNCGIKSDCDPALALLGEYIDNEDSSVRIGAIMGLGIAYAGSQNDQLRSSLSPILNDAKAPLDVIAFAALSLGMIYVGSCNEEVAQSIIFALMDRSEAQLGDALTRFLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQDLLAQCGEHLEKGDIHQGPAVLGIAMVAMSEELGLDMAIRSLERVLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGFVHMGKGLLTLSPFHSERLLLYPTALAGIVTLLHACLDMKSIILGKYHYVLYFIVLAMQPRMMLTVDENLKPISVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATDKYIPLSPILEGFIILKENPDYREE >A01p046020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25942273:25943312:-1 gene:A01p046020.1_BraROA transcript:A01p046020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVNHIDHEQEISLDTYFLCHFTTGSLRLKCSTEKSQNNFLSPKKPSVITSQWSCRRRVSQVPLRSVLQLIYQILQIFVLDVILFRVIHVTKNCLDAKIVKTLGDGDIWNNLLTTNNIRNNKKLLAGIKALPEANYDQICEAVDDIMASLTSEVEIFIEKTLVSLEEMYNKKGDDMKPIVRATSKCVEACYSFDEMHETLSARFGQPRRTTQKMYDFYFTGKKGCKAYKQLSLVRAAQRRAEKLQQLKICEAINIEQEQVREDQVHEQAREDQVSELKEPHAREDQIS >A09g512660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37809775:37816077:-1 gene:A09g512660.1_BraROA transcript:A09g512660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRTTRAQTARAVRDDVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALRQIAQEAARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQQIPAQHDHQDPVQQVPLPQVPLQQGPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEITECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRVAGVGNSEEDLIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAVSGSPELVAGATVCRDHVQLVTPGQHPRPPSCSSRRDEAVDTNHAAIDEALEERNARAAQQPPPEVSSPPRRLAAVAAAFPGKPPPPSAVSAGTSAGDLPATCQLGRVDPLELFLPDTETDFCMPDCMRGYDQSVDRLDRSLVWSINRLRAVTPSTLSEVLCFGLLVGGECAPR >A07p050370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26842888:26847860:1 gene:A07p050370.1_BraROA transcript:A07p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVMLMVLCILPAIAMAAPRPRIGKNTLVVQGITYCDTCKFGFETAESSYTVPGATVKLVCRDRKTMKELYTDVATSNRHGKYMFVVHEDHKDEMCDVMLVKSSDKGCSKISKGREQSRVILNHYNGIASQIRHANNMGFEKDVSDVFCSELIKKYQEMATVVSHHLISSIASPHSPSNSKASLFPKEPFNLPIKFRQNRFKIEATTASQTPVLDPLLSPSKTTPHKKKSNEASLILIRHGESLWNEKNLFTGCVDVPLTEKGVAEAIQAGKRISTIPVDLIFTSSLIRAQMTAMLAMTQHRCKKVPIILHDENEKAQTWSHVFSEETRKQSIPVVAAWQLNERMYGELQGLNKEETAERYGTQQVHEWRRSYHIPPPKGESLEMCAERAVAYFEDNIQPELALGNNVLIAAHGNSLRSIIMYLDKLTSQEVTSLELSTGLPLLYIFKDRKFMRRGSPVGPTEAGVYAYTKVQLN >A06p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7748752:7750595:-1 gene:A06p017310.1_BraROA transcript:A06p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYSSNANCYQQEPIYLNHQQQQQQQQASSSSAAAAAAASFVGENVRNEMVLIPPTTGLQTLNGEVTRNGAVSSSDLSFYDGQGLSLSLGNQISLPSFHHQQYHHLGFTPNPSISVKETPPFDVEEKSKEMMLLLGQSNPSSGYAGLYNNYRFNETSGGFMSSVLRSRYLKPAQNLLDEVVSVKKELNQMRKKKQKGEEDFNNGSKDTQGELSTDSNVKLSTIERQELQNKKNKLLTMVDEVDKRYNQYYHQMEALASSFEIVAGFGSAKPYTSLALNKISCSFRSLRDAIKEQIQIIREKLGEKGGGESLDEQQGGGERIPRLRYLDQRLRQQRAFHQQLGMVRPSWRPQRGLPENSVSILRAWLFEHFLHPYPKESEKMMLAKQTGLSKNQVANWFINARVRLWKPMIEDIYKEEFGDESELQISKSSQELNSTNQEDSSSQQQQEDNNNNNITYSYADTTNIVFSSEPKPDRLSGNDDEPQQQQQEMKRSGDYERLMNYQGFGLEEEYRYMGGNNQEDSRFSNAHHLHDFVV >A06g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24635437:24636337:1 gene:A06g508710.1_BraROA transcript:A06g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLTLALHVTIEIGAFCATCRHIKVLSIFAFCRGIRVPSSVFLLSGGLHNESTRKVIVMIRSTVRLKRYLEASAGFEAGAPGVTEELFEARKSALAMEKANQMKGLCFTPAESAVKVTGVPRLMNSIASLGATFCAFHKGQQATEMRM >A07p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15368055:15372944:1 gene:A07p026910.1_BraROA transcript:A07p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRFRETLKSFFEPHFDHEKDEMLKVTKSEIDEKVKKILGMVESGNIDEDKSKRQVVSELVNELHQEYQSLYDITGEIRKKVHEKGENSSSSSSDSDSDHSSRRETKKNGKVAKDDLKQQIETADHEIANLKNKLTTSVEEKEAVDSELEAALVKLKESEEIISNLKFETEKLEGEKTTALSDSRELLQKLEVAGRTETDLNQKLEDMKKERDQLQTEIDNGIKRFQEAEKIAEDWKTTSDQLKDEATNFKQQLEAAEQRVSDLTRGMSSAEEENESLSLKVTEISGEIQQAQNTIQELTSELGEVKEKYKEKESQHSSLVELHETHEKESSTQVKDLEARVESSEKLIADLNQNLNNAEEEKKLLSQRISEISNEIQEAQNTIKELMSESEQLKESHSVKEREIFGLRDIHETHQRESSTRTSELEAQLESSEKRVSDLSTNLKAAEEENKAISSKNVETLDKLEEAQKTINELMAELGELKDRHKEKESELSSLVEVHEAHQRDSTSRVKELVQVVESAEQQVADMKQSLNNAEEEKKLLSQRISEISNEIQGAQNTIQELKSESGQLKESHGEKERELSGLRDMHETHQRESTTRVSELEAQLESSEQRVSDMSASLKAAEEENKAISSKILETTDKLEQAQNTIQELIAELGEMKERYKEKETEHSSLMELHETQLRESASHVKELEAQVESSEKLVADLNQSLNNAEEEKKLLSQKISEISNEIQEAQNTIQELVSETEQLKESHNVKEREFSGLRDIHETHQRESSTRTSELEAQLKSSEQRVSELNASLNVAEEESKSMSSKILETTDELKQTQNKVQELMAELAESKDIHIQKESELSSLVEVHEAHKRVSSSRVKELEELVESAEQRVKDLNQSLNSAEEEKKMLSQRISEMSNEIKQAENTIQELMSESGQLKESHSEKDKELLSLRDIHETHQRDSSTQLRDLEAQLKSSEQRVSDLSESLKIAEEENKTMSTKISDTSGELERVQIMLQELTAESSKLKEHLAEKEAELVHLKEKEIKSQLQIKELEATIATLELELQSVRARTVDLETEIVGKTTEIEQLEAQNREMVARISELGKTMDERGTELTALTQKLEDNEKHSSSTIESLTAEIDGLRGGLDSVSLQKEELEKLMESKGNEASMQIKGLMDEIDGLGQKVASLESQKAELEIQLERKSEESSEYMSQIKNLKDEIISKVKDHDNILEERNGLSEKIKGLEVEIETLRKQRSELEEELRTKTEEIVQMRDKINEASTETVALTEQINNLQDELDSLQVKKSETEAELDREKQEKSELSNQIIDVKRALEEQETAYNTLGEEHKQISQLLKEREEILNKLAEDHKEAQRLLEQTGHEVTSRDSAIAGHEETMESLRNELEMKGEEIETLMEKISNIEVKLRLSNQKLRVTEQVLTEKEEAFRREEARHIEEQALLEKSLKVTHETYRGMIKEMAEKVNTTLDGFQSMSGKITEKQGKYEKTVMEASKLLWTATNWMIERNHEMEKMKKGMERKEEEIKKLGEKVREDEKEKETMKETLMGLGEEKREAIRQLCIWIDHHRGRCEYLEEILSKTVVARGQRQSQRA >A09g518980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58169280:58171564:1 gene:A09g518980.1_BraROA transcript:A09g518980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPFTACLSQKEDNSVVVDGGAVVEFFCLSISYGYCLESLESERLVYETKYLNVFFLHHQRNKNRIRSRNHNPSSLAQNRTSFFPPQHWKIDGIVNPQYKSGPPVRVDPTGFPDPSGLQATTYAFASWQVICNITKPKPKAARFCVSYLAFYNDSVIPCNTCGCGDIDTDTCNANARQLLLPPDSLLVPFENRTLKAKILAKRKHMAFPKKLRCPDNCGISLNWHVNSDYADGWSASVTVFNWGANAVEDWFAAVDLGLRGMNFLIGFTNGTHPARDPKHLGTLNIPKGDGFPKRVFFNGEECELPKFFPKKSSGLRLSGIGFLPSILLVIMC >A06p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1348125:1356079:-1 gene:A06p004860.1_BraROA transcript:A06p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLQPPEILTSTTNSKVVLVGVCGSFHWRKRRKFVVVATEENREKCIPAVKWFHTFCGKNVLVVICGNFGMEISFALDNLDTTSSMWELSERFPNLDGQLRIPESCHRKSFGSYHTDNWSSSECTGGMNNLTNENIKPFAARRYRSTFSSVATTRNSDHCHKLWKLNWSEFVVVAAGENGERYIPAVKELYSFTGQIVHSSQYKFGHDFKVVMLMAKAMLFIYGDPFKYGIIRPKQGFFTTKLFTEEIIHVGTVQKIREDNIQDYEYVMKDDRFPKTQMPKYWKGEKNIYCAGFSRKGIAGAAQDVMSITEDIKS >A02p057900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34827285:34828472:1 gene:A02p057900.1_BraROA transcript:A02p057900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAIGSLVRLFFKNLDVIAGPIISLVYPLYASVRAIESRTGGDDKQWLTYWALYSLIKLFELTFYRLIEWIPLWQYAKLALTCWLVLPGLSGASYLYENYVRSFLLSPHGANVWYVPVKKDDDDLAAAAGKFTPSSEPTEKNVSAVDTSAKYVGGSAFDDTYVY >A09p033680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20339180:20340740:-1 gene:A09p033680.1_BraROA transcript:A09p033680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVLLYDEAENLLESRMLKANEVVDSGERLSFQAYLVDVCEPNHHSNPTDLSVQHPKPLAPLRPSFKKPNLANSFASKSLSPSHKMIRVFKKRQLHKFAALTPDSVKPTFEVRKKPRMYIYVCLLVCIFAATNSKYAGNGSNDHPLINSPRDGKSGADLSRRENDKYSKYVPPHKPLRDVNQILSILQRPNATATCSDTNTKTPMSSTKVPPESDTCKNIKVEPISPRAASRDSLPQQFMVRETSPAKTTARTMTHLNSSSPSFDLGI >A06g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12532616:12532920:-1 gene:A06g504060.1_BraROA transcript:A06g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIINSPESRVWKDEMEQKAKLFSWKSMQIICSHVKKTRIRFWPCKKKFALFTTAIEFNYKTIDGRNMKLALLNPK >A10p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19296142:19298095:1 gene:A10p032800.1_BraROA transcript:A10p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAASSAVFRKGTDETRNDDEEEEKEEVCNVFDAEVESKTQTTSSNETKNNLKGFFTSLLLMEEHEKQNHEAQNAASRREMSELQSNYRKRARTMSDHYSDLTDHYADPVDISRKKSRASRAAVASVSAAVTETEAEGSEITGSGSVHGTGQQRRLWVKDRSRAWWEECNRSDYPEDDFKKAFRMSKSTFELICEELNSAVAKEDTALRNAIPVRQRVAVCVWRLATGEPLRLVSKKFGLGISTCHKLVLEVCKAIKEVLMPKYLQWPDDESLRNIRETYESISGIPNVVGSMYTTHIPIIAPKISVASYFNKRHTERNQKTSYSITIQAVVNPNGVFTDLCIGWPGSMPDDKVLEKSLLYQRANNGGLLKATGSPIIAIFPCRIHRSDANRTAR >A09g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19670362:19673258:1 gene:A09g506680.1_BraROA transcript:A09g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPDLIDPLLPSGNENQSASTWTAASTRYTTATATRSDRRALGDELVVGVVSDEEIIANKGPPVTPLHERMIMVKAVKWVDEVIPDAPYAITEEFLKRLFDEYRIDEILSFWHHHHRGVELSAASKSFVSKKWTFLLCIGFFCAGTLFYDRMWPEPEANVASREAASDERLLLLSEDCDSSKTSLGEVYKSPDATQTLDKTISALEMELAAARAAQESITNGSPVSDEFNLPETVTKRKYLMVFGINTAFNSRKRRDSVRTTWMPPGEERKKLEEEKGIVMRFVIGHSATPGGILDRAIQAEEHKHGDSLRLDHVEGYLELSAKTKSYFTTAFALWDAYFYVKVDDDVHVNIDTLGAEIARYRTKPRVYIGCMKSGPVLAQKNVLHKYVNEDVSFGSWFLGLDVEHVDDRRLCCGTTVQIVSGRRRRGMCVASFDWSCSGICRSADWMKDVHRRCGEGPNALMKQDNRRYTLREEEYRVDESAQHCSL >A08g500040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:104836:105132:1 gene:A08g500040.1_BraROA transcript:A08g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKRQKHPSSPGGASSARNSSGSSSPPSSTSTKSEMKKQKSTGSSANSAQQINDLVAPGMESSVLEKTVALDSEIQTTEKVYHDKINDLTAPVMES >A09p057760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:48567590:48568813:1 gene:A09p057760.1_BraROA transcript:A09p057760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSFRLTAVKEMKKKKKLQVGASRRCRRAPAVTILQIPEDLLTEILLRLPAKSVVRLKCVSKHWCSLISSRCFTNLFLNTRRQRRLFMHLVNKENHSEFALLSSSPNPNPDSDVSLVKRDLNMPWMLGGEFVSALRGLLCVRVQGRLLICNLTTKQLVELPTIMPEPNDNNVWDYFCHDPVHDEYKALNVVWEESKEEGRVVRSEHHVLVLGPGVSWRRIQSPPPPPHRPCSQGISINGVLYYGACIDRTTSVVMSFDLRSEEFALIKLPLDVTTSSPNLMNYGGTIAVFEYSVSLLATNGTVDLWTLEDGGKSIWSNKKSLVLPVSLMNFASGNHLKMQSTTRSGEVRLAKASIVVNNHVFFLTYDFERNKITSRVKFNPLSASIGTCLRSNFWDDTESIMYLET >A02p055790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33757030:33758398:-1 gene:A02p055790.1_BraROA transcript:A02p055790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLVPMAVLVYIFLLFSVSYSSTFVLTNNCPFTIWPGTLAGSGTPPLPTTGFKLDVGQSVSIPSAQGWSGRIWARTGCNFDANGTGKCTTGDCGGKLECAGSGAAPPTSLFEITLGRSPGDKDFYDVSLVDGYNLPIVAFPRGGGLVGACNATGCVADINVSCPKELQVMGEEEEERGGVVACKSACEAFGLDQYCCSGQFANPTTCRPSSYSTVFKRACPRAYSYAFDDGTSTFTCQASEYVIIFCPGRVKRPNSQNSDPPSPPQNPYGQPMAPPTQNPYGQPMAPPTQNPYEQPMAPPTQNPYGQPMAPPTQNPYGQPMAPPTQNPYGQPVAPETQNLPGPSQNPNGESTTPPPHDQFMMPPPIVNQDPDDRFINPPIVDESQRAETGKVGKTHSSSDMLRPYPVLLLIGLSLVALRQSCTT >A09p069160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53973154:53976538:-1 gene:A09p069160.1_BraROA transcript:A09p069160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEGACMQCKKIPPTEESLTCLTCGTPWHVPCLSSPPESLASTLQWECPDCSGDFDPVPQPGEGSDLVAAIRAIESDVTLTDEDKAKKRQQLLSGKAVMEDDDEEEEEKKKSSSMGLDADILAALGENLKCSFCLQLLERPVTTPCGHNFCLKCFVKWTEQGKRECIKCRFVVPNDVAANPRINASLVSAIRLAKVSRASGAAAAASTSNVYHYVSNESRPDEAFTTERAIIGGIANAASGKIYVTVPGDHFGPIPAENDPVRNQGVLVGESWKSRLACKQWGAHVVHVAGIAGQSKHGAQSVAISVGYDDDEDHGEWFLYTGSGGRDLSGNRRTNKQQSFDQKFTNGNEALRLSCRMGYPVRVVRTCKEKIAAYAPEEGVRYDGIYRVEKCWRKVSVQGKFKVCRYLFVRCDNDPAPWTSDEHGDRPRPLPHVPELAQAIDLFERIETPSWDFDEAEGCWKWMKPPPASKKPVNVLDPEERKLMKEAMDAARSSTLREQLLKGFSCQICLQVMNFPVTTPCAHNFCKGCLEDKFAGQTRMVERSRGGRTLRAMKNIMKCPCCPRDISDFLQNVQVNREVMDVIEKVKKQEEGEASSVDEKEGNGETESEENSEITDAEDVEQPTKRAKLDTEAAVSAA >A01g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18299554:18300982:-1 gene:A01g506260.1_BraROA transcript:A01g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEKKMVVPGSVVEGLRLLAVKAAIMMSVFLTARRGLTAGDRSILTAINTDVSSLSFVSSTFIILCYSRFNKLRKFSVKLYVKVSSLCMLIEINISTCVFLSRSGGGPRTGASCSWKLEKRCNPLAMKGPPNLFYKLTTFSFLTGYELVIRIDGGSLVPNDWYRSSSSLMILSHGFELRLDVEANISVEEQMTQITTTKNLETDLGHGVEDPVAKGS >A10p012260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10646348:10650415:1 gene:A10p012260.1_BraROA transcript:A10p012260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSKGVGRMDSTMGGGQGTLDKGKGIIQESVGQTKAPAVLWDVGIDVLTFPEFCNRERAAAMEASRNAFWEGVLREETKSTDETESTEDLPECDGGGAVGLGFKKGGAVVKEGVVVVTGDDGSSSTSDTAVICTQVGPKATSVRMEVRGVVETAVGVGSVSVDPLEDKGGRNAAKIPPIGDNLFAGSQGLPPPALMLTLACGEGEEKVGTKSTKTTEGSTHASDKKVGLETLKSITSLWEGTDFGNGEVGDQEGKLAVGMIFRTREDFKQPNRNNNALSPRVLGIVTRNFEMTGGYEVTNIADGEFEVRDKKGGSFHVKLANKCCSCFAFQMLSIPCPHAIAAALQSGVLVESLVMEAYNVSMLRGAYQVRIVSVGDYSGFPENDAIFNGRRLSPPATRRPPGRPKKHRFFSRGEKLVQGSEP >A01p040800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21787184:21787425:1 gene:A01p040800.1_BraROA transcript:A01p040800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADANHGLPNKCACGKSIAVETGEQGRRYYLWKVLRMMARTFTSDVFKHSKMR >A05g503660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10539689:10539934:1 gene:A05g503660.1_BraROA transcript:A05g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNPLSVISQEQRDGAVRRALSAISNCGSALIDLYRNLCQIQVVSSSPWSPWSGTELWLLKSSSFWSQMKEKVSQEQQW >A06g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19989521:19992414:1 gene:A06g507210.1_BraROA transcript:A06g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGSLLTKSSGLPGSRLDKVVWTSRKSSGLPGSRFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSLDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHKTTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08p023170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15256634:15258248:-1 gene:A08p023170.1_BraROA transcript:A08p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKSRFKRVCVFCGSSSGNRECYRDAAIDLAQELIKKRLNLVYGGGSIGLMGLVSQAVHEAGCHVLGIIPRTLMDKEITGETYGEVRAVADMHQRKAEMASHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYDYLLTFIDKAVDDGFIKPSQRHIFVSAPNAKELVQKLEAYEPVSDGTIAKSKWEAEKKVQQAQQQQQVVFCSNTSIQTEIAL >A04g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16286097:16291977:1 gene:A04g506990.1_BraROA transcript:A04g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEHEFGVTLKYEDLHRHCFTCKRISHEEGTCQELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKDTTTLRERRAPRETRCQVDASWTQEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A03p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8047440:8050936:1 gene:A03p019660.1_BraROA transcript:A03p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSERDEMLSWPLIGENEKSSSSGIKVEVKKQLWLAGPLIAVSLLQFCLQVISVMFVGHLGSLPLSAASVATSFASVTGFSFLMGTASALDTVCGQSYGAKMYGMLGIQMQRAMFVLTLYSIPLSIVWANTEHFLVFFGLDKSIAYLSGSYAKFMIPSIFAYGLLQCINRFLQAQNNVFPVVLCSGVTTCLHVILCWVLVLKSGLGFRGAAVANSISYWLNVILLACYVKFSPSCSLTWTGFSKEALRDIIPFMKLAIPSALMVCLEMWSFELLVLSSGLLPNPVLETSVLSICLNTSGTIWMIPFGLGGAASTRVSNELGAGNAKVAKRAVRVVLSIAILESTLVGSVMILIRKIWGFAYSSDPKVVTYVASMMPILAIGHLLDSVQRVARGCGWQKIGAFVNLGSYYFVGVPLGLLLGFHFHLGGRGLWLGIICALVIQGASLSVITFFTNWEEEVKKATSRAEGAKDHAAENEPIIVF >A04g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12450485:12452696:1 gene:A04g505970.1_BraROA transcript:A04g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLLPTNTFTNSSLVNVFVTGDDLTLKRKPNHATRVSYGFSLRANAALISSHRSSVEVPRQWYNLVADLSVKPPPQLHPKTFEPIKPDDLTHLFPNEIIKQEETLERFIDIPEEVLEIYKLWRPTPLIRAKRLEKLLQTPARIYFKYEGGSPAGSHKPNSAVPQAYYNAKEGVKNVVTETGAGQWGSSLAFASSLFGLDCEVFQVAHTYQQKPYRRLMMQTWGAKVHRSPSELTEAGRRILQADPSSTGSLGIAISEAVEVASRNKDTKYCLGSVFNHVLLHQTVIGEECIKQMEEYGETPDVIIGCTGGGSNFAGFSFPYIREKLRGKINPIIRAVEPSACPSLTKGVYAYDFGDTAGLTPLMKMHTLGHDFIPSPIHSGGLRYHGMAPLVSHIYDQGFMEAISIPQTECFQGAIQFARTEGIIPAPEPTHAIAATIREALRCKETGEAKVILMAMCGHGHFDLASYEKYLRGELVDLSFSEEKIQESLSKVPLVV >A03p004750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1993766:1996997:-1 gene:A03p004750.1_BraROA transcript:A03p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYGSLHLSHGVGPCRNQGYYKPEDSAMSTRMGISKSPLSLGVPLGQHGFRNILLSDYLRRPISSVPCRATAFRCHSFYAGGKVIEPPIRAATMVLTNSHRLIQQHPHVNKLVPAVAFLVFSLWGIVPLVRQGRNLLINKKNDNGWKKSGTYRVMTSYVQPLLLWLGALFICRALDPVVLPTEASKIVKDRLLNFVRSLSTVLTFAYCISSLIQQAQKLFSETSDPNDARNMGFQFAGKAVYSAVWVAAVALFMELLGFSTHKWLTAGGLGTVLITLAGREILTNFLSSIMIHATRPFVRNERIQIKIEGYEVSGTVEHVGWWSPTIVRGDHREAIHIPNHKFTVNVVRNITQKTHWRIKTHLAISYLDVNKINNIVADMRKVLAKNPQVEQQKLHRRIFLEDVNPENQSLVILISCFVKTSHREEYLSVKEAILLDLLRVISHHRARLATPIRTIRKMHTAADMENAPFGESMYGPGGVGSKRPSVVIEPSYKIYGEDKSKSPKSASDTTNKVRDERGVKPGAKPVSKPATTAKDEAKVSGGAEKPKTKRSGSKTKSPKKDTTDGSTSSVSRSAMEENLVLGVALEGSKRTLPIDEGEIQSSPKEADTKELTSAARRSGKGTLVADKEKEDGQSHTVASTEL >A02p016630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7467211:7469341:-1 gene:A02p016630.1_BraROA transcript:A02p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) UniProtKB/Swiss-Prot;Acc:Q9ZRA2] MGEKLADLQYQPGFGNYFSSEAIPGALPRDQNSPLVCPLGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFKPRVPAHTRLVSEFDASNSRTNPTQLRWRPEDVPDSPTDFVDGLYTVCGAGSSFLRHGYTANKGMKDSAFCNADGDFLFVPQAGRVWIETECGRLLVSPGEIAVIPQGFRFSIDLPDGKSRGYVAEIYGAHFQLPDLGPIGANGLAAPRDFLAPTAWFEEGLRPDYTIVQKFGGELFTAKQDFSPFNVVAWHGNYVPYKYDLHKFCPYNTVLVDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGAYEAKADGFLPGGASLHSCMTPHGPDTTTYEATIARVNAMAPSKLTGTMAFMFESALIPRVCHWALESPFLDHDYYQCWIGLKSHFSRISLDETNVESTDKKTGASE >A06p053120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28056430:28057597:-1 gene:A06p053120.1_BraROA transcript:A06p053120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGGKKTAKIMKIDGESFKLKTPVTAEEVLQDFPGYVLLDSESVKHYGARAKPLEAKQRLEAKRLYFVVEPVKECSPPRRVRSGIHMNAKERLEHLMLTRRSSSDLSILKPSGGWTTKTEEEDEGGAVRVKLRIPKAELERLVKEGATEAEATHKIASLFMAKHSHEEASKNTLRLGHDEPAATIATDTRGVKSRLKRVSFMAERAGSEITVA >A06g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26274083:26275543:-1 gene:A06g509190.1_BraROA transcript:A06g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLILYLLRFVSAQSGDTDKAKKIREATIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIESLELLQPPFPTPPSTLSALEGPLSPLLVGSSDSRIPGTLTRIERTDLLRKGATCHDASSFTILETLINHKANIRALPPLSLKREEKWSVAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVDN >A08p019960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13515725:13520422:1 gene:A08p019960.1_BraROA transcript:A08p019960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable starch synthase 4, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT4G18240) UniProtKB/Swiss-Prot;Acc:Q0WVX5] MTTTKLSSCFLTNGLVGISCKREHGGSPRFFYLPSRRLLPASCKIRQQRGFDSNKRQDIKKGSPEPILPISSSLQSNSDEEDLQPDNGSADTIHSTVETNHVDSSTENRDGDVQKSEVTRLKSKLTKKKESDGQDLNVLTVPEVAKALSINKSGGEQFSDGQFGELMTMIRNAEQSDILRLDQARVSALAELSKILSEKEALQGEINVLEMKLAETDERIKTAAQEKVRVELLEEQLEKLRQEMVSPPPESDGYVLALSNEIETLRIENLSLRNDIETLKSKLESVENTDERVVVLEKECSGLESSVKDLESKLLVSQEDVSKLSSLKTECSDLWTKVESLQMLLDRATKQAEQAVLVLQQNQDLKSKVEKIEESLKEANVYKESSEKIQQYNELMQHKVELLEERLEKSDAEIFSYVQLYQESIKEFQETLMRLKEESNRKEPRDEPVDDMPWDYWSRLLLTVDGWLLEKKIANDDADSLREMVWKKDRRIHDTYIDVKDKSERDAISAFLKLVSSPTSQGLYVVHIAAEMAPVAKVGGLGDVVAGLGKALQRRGHLVEIILPKYDCMQYDRVRDLRLYKNKIWIGTVEGLPVHFIEPQHPSKFFWRGQFYGEKDDFKRFSYFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLDSARICFTCHNFEYQGTSSASDLGSCGLDVNQLNRPDRMQDHSSGDRVNPVKGAIIFSNIVTTVSPTYAQEVRTSEGGKGLHSTLNSNSKKFIGILNGIDTDSWNPATDPFLKAQFNAKDLQGKEENKYALRKQLGLSSAEPRRPLVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVPHIQREFEGIEQQFKSHDHVRLLLKYDEALSHSIYAASDMFIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDIDDDTIPTQFQNGFTFQTADEQGLNYALERAFNHYKKDEEKWMRLMEKVMSIDFSWASSATQYEELYTRSVARARASPNRT >A08p023360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15402497:15403453:-1 gene:A08p023360.1_BraROA transcript:A08p023360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFSDQIDKHKAVSEEEKTINDLEQSEGSHFPGDDYRPSDRKNWMAGLDVEKLTLSKIVWPGTHDSATNDIGIPLVSRPLAECQTLSIYDQLVLGTRVLDIRVQEDRHICHGILTSYNVDAVIDDVIRFLSETHSEIIILEMRTEYGHKDPPEFETYLADKLGQFLIQQDDNLFNKSVSEILPKRVICIWKPRESPKPNRGGLLWNSDYLKDNWIDTDLPWTKFQSNLKHLSEQQPISSRKYFYRVENTVTPQADNPVVWVKPVTDRIRKYARLFISQCVAKGCGDKLQILSTDLIEEDFVDACVGFTHARIEGKV >A10g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15054393:15059146:1 gene:A10g505850.1_BraROA transcript:A10g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARSIDADANPKSQVLNPGDRPRSTDQYMELNQPGDQNVLNISTEVHLFHRTGQTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGAYLSRPTSHLKTYGRARIHFGRAGRGDTYFGELDELSELSDTTVELDELSELNDTSLELNEPSNTEDGAGSAAGRNGPFQPKEKTLLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRKSDPYFGSIKWFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAVRRKTTRDVGDPKRKPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSPIFDEEDEPGPVFNEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFDLDKMKDFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDELLASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILERQVQPLNESIGRAQQPQIWRSFVVQTDYLGASNRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWKRMKSFTDEEVMNFPNRRFFSPSIREYQIFKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKEHELKFLRPKNSFDFVHDDNFSNLALSLSFHNSFSLWPDFEIDKSIFGNQITCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHDQFISRASTNGRQSTLDLRTNPFEEGGNDRPRSTDQYMELNQPGDQNVLNISTEVHVFHRTGQTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGAFFPVQLHI >A06p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12303473:12315223:1 gene:A06p022380.1_BraROA transcript:A06p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVPASFLTQANALSRKNLAYQRRNLWSNVRLIVIPLYLCVLLVSIQALFDTQVNNSPDNRCGCQCIDDKNRDGICDKNSCGLEYSSQKQALFCAFPSPPPLPPFLQIPRPKNPSDSCRRLNGSCPVTILVTGNNLSLGAALSRNLLTTSFIVNSSVFWLRNPAVNVLGTTSEPDFTNYFDPGIHSNLPIFNIQTQCTPRTSFSFSFGQSPQKFQKEVRCAQGLSIWRNNSIDVSHEILKRYQKGNHEDVINEVPAAYDLLDTDRNKFNVTIWYNSTSKTKLLERRVKLVRVPRSVNLISNAYLQHLKGPGTKILFEFVKEMPKVETWLRVDVASSIGPIFFTWVILLLFPVVLNTLVYEKQQRLRIIMKMHGLGDGPYWMISYAYFLSISTLYVICLLIFGSVIGLKFFRLNNYSIQFLFYFIYINLQISIAFLVSSAFSKVKTSSVAAYIYVFGSGLLGAFLFQFLMEDPSFPRRWIFLMEVYPGFSLYRGLYEFSEYALNRKDGMKWDDFSNSGMDEVFYIIIIEWFVSLIAAYYIDTISSSGKDPLFFLKNPFKFLPRPSLQKQGSAVSVEMEKLDVAQEREKVDQLMMLEPTTRYAIVCDNLKKMYPGRDGNQPKIAVHGLSLAVPSGECFGMLGPNGAGKTSFINMMTGLVKPTSGTAFVRGLDICQDMDRAYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSDLNQAVEESLKSVNLSRGGVGDKPAGKYSGGMKRRLSVAISLIGCPKVVYMDEPSTGLDPASRMNLWTVIKRAKTNTAIILTTHSMEEAEFLCDRLGIFVDGRLQCIGNPKELKGRYGGSYVFTMTTSSEHEKDVEMLVKDVSPNAKKIYHIGGTQKFEIPKGEVRISEVFQAVEKAKSSFKVFAWGLADTTLEDVFIKVARTAQAFNKRNIWSNVRLIVIPLYLCVLLVGIQALFNTQFNNSVDNRCGCKCIDEKNGDGKCERKSCGPEYSSPNQAFFCAFPNPPPLPPLLHIPSSVLDSNSCTRNTRSCHVTILVTGNNHTLGATISRNLLPTYSTVNSSEDFLRNPAYNVLASSEILVVSFGTTSVAGNTNYLDPGIPSNLSIFNIQARCTPNTTLSSFSFQRPLTEFHKAEERCVQGLNLWRNNSMEVNNEIFEGYQKENHEESINEIVAAYDLLDTNRNNFNVTIWYNSTYKFNIKDRRVKLVQVPRSVNLVSNAYLHFLQGPGTKMLFEYVKEVPKLESRLRLDIASLIGPLFFTWVILLLFPVILTSLVYEKQQRLRIIMKMHGLGDGPYWMISYVYYLIISTLYIISLMIFGSAIGLKFFLFNDYSSQFIFYFLYINLQISTAFLVSSAFSKVETASVVSYIHIFGSGLLGAFLFQFLLEDVSFPRRWIFVMELYPGFSLYRGLYEFSIYAYQKNVTGRDGMKWKDFTNSAMDEVFYIIIVEWFVALIATYYIDQGSLSMEDSFSFLRKKFKQSLYPQKPRTSMQSSSVTVEMEKLDVTQERDKVEKLMLEPSTSHAIVCDNLKKVYPRRDGNPPKMAVRGLSLVVASKECFGMLGPNGAGKTSFINTMTGLVKPTSGAAFVQGLDICKDMDKVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGSELNQVVEDSLKNVNLFNGGVADKPAGKYSGGMKRRLSVAISLIGSPKVVYMDEPSTGLDPASRINLWTAIKRAKRHTAIILTTHSMEEAEFLCDRLGIFVDGRLQCIGNPKELKARYGGSYVFTMTTSSEHEQDVEMLVQDLSPNAKKIYHIAGTQKFEIPKGEVGISKVFEAVEKAKSSFTVFAWGLEDTTLEDVFIKVARGAHDFNLAKIQKFNLLT >A06g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12280665:12281384:-1 gene:A06g504010.1_BraROA transcript:A06g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLSNKQSRCNLVVIPAANTSSLIEENKFTLIGPVTNPEVQNTRALVRVCINGLKPLEMMLDTTLRSGEIKKVELHYEKLEKHCFLFYSLTNENSGCPSNTSSRASHSNYMGINQTKTLESLEAYRRTRDERKA >A04p026780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16111911:16115246:1 gene:A04p026780.1_BraROA transcript:A04p026780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDLYRSSSSSASSPTSRVPSSHFFYVRKPGSLTQPISFEDSPEWEDTDDADVRMDEEANIGGGGDSINDATATPISPSLSKINSGSMTSPPVVPEGGGGNAVRKIAGASIAWKNLTVTMKGKRRYSDKVVKSSNGYVLPGTMTVIMGPAKSWEVYSIESTCCLFIGRLPPSAKMYGEVFVNGSKSHVPYGSYGYVERETQLIGSLTVREFLYYSALLQLQGFLCQKRSVVEDAIQAMSLSDYSNKLIGGGHCYMKGLRSGERRRVSIARELVMRPHILFIDEPLYHLDSVSTLLMMVTLKKLASMGCTLVFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDNGDFSAVNMDTAVAIRTLEATYKSSADAASVETMIFKLTEREGSQLKSKGKAGAATRVAVLTWRSLLVMSREWKYYWLRLILYLILTLFIGTLYSGLGHSLSSVAARVAAVFVFVSFASLLGIAGIPSLLKEIKIYRSEASNQHSGAFVFILGQFLGSIPFLFLISISSSLVFYFMVGLRDDFGLLMYFVLNFFMCLLVNEGLMLSIACVWRDVYWTTLSLISVHVIMMLAAGHFRIRYALPKPLWTYPLAYISFHTYSIQGLLENEYIGEVFSVGEVRSISGYKAIGGYYQIAADANSKWRNMLVLLAMAFGYRLIVYVLLRFGLNKNVSGRLLLCHRKNNS >A04g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15460160:15460472:1 gene:A04g506800.1_BraROA transcript:A04g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTECFRSYYQSCETYRKIHEASRIDSHKLIPMWFRDRREKEQQRLCKIQRDDDAVTSQAPQEPTTPNAGDALRSL >A06g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23322107:23324281:-1 gene:A06g508260.1_BraROA transcript:A06g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMWWGEEAAKGAKIAVWWDMKECPIPEGYDAGRIRPSLEAAFKERGYSGPVSSITAYGDQTQTPVHILQALLSTGVSVAHTSSESTSYILYRDIVEWRGQNPPPATMMIISNQVGGDLSWDLARLQQRSQYNLFLAYSKAPCVLSVLSTSSRWLWEKLLGDNNNNRIETRSVQYKLSAMILCCKSCNFDCQSPEKFRKHLSSYKHARQESVYPTYKEVNRVTETWGRNYAAAPEYATAKILVWWNMFDCPIPEGYDARRVRPSLEEAFKKLGYSGPVSITAYGDLNHTPEHLLRGLSSTGVSLAHTILDVTYKRMYSDLLDGQKSNPTPTNIMVISDTDTHQAFSTPLVRLVQKQKHNLFLAYSSRPYKMFVLLPSAEWLWHSLLEVSEKRKHVLQKCSSESESDRGGESSAMLYCKVCCGGQGPDYKSLDNLRTHLSSEEHAQEEYSITASVQLKMKNRNLSLLAHKAFRTGFLLLDNHLRRLRARKSQSCRRRGSRRRAQKSPTV >A06p003810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4504520:4508776:1 gene:A06p003810.1_BraROA transcript:A06p003810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRRGGLVAAALGSHFLAPHHRRLLHFGAPLSCLAPLLPRRRFNPQLCSSSLRSGDMAETRSPPAAKKVEHVMQMFGDVRVDNYYWLRDDSRCNPDMLSYLREENDYTGSVMSGTKEFENKLFAEIRGRIKEDDISAPLRKGPYYYYKKNLQGKEYVQHCRRLIADNKAEPSVYDTMPTGPDAPPEHIILDENIKAQEFDYYSIGAFKTSPDHKLVAYAEDTKGDEIYTVNVIDSESLKPLGQPLKGLTCYLEWAGNDALVYITMDEILRPDKVCVKIQEIQLFRDHLAVFEREQGLQKITVHRLPAEGEPLNKLQAGRNVSFVDPVYSIDSTESEFASSVIRFRYSSMKTPPSVYDYDMDSGTSVIKKIDTVLGGFDASNYVTERKWVTAADGTQVPMSIVYNKNLAKLDGSDPCLLYGYGSYEISVDPYFKASRLSLLDRGFIYVIAHVRGGGEMGRQWYENGKLLKKKNTFTDFIACAESLIELKYCSKEKLCVEGRSAGGLLMGAVLNMRPDLFKVVIAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEFYFYMKSYSPVDNVTAQNYPNVLVTAGLNDPRVMYSEPAKFVAKLREMKTDNNLLLFKCELGAGHFSKSGRFEKLQEDAFTFAFMMKVLDMIPASV >A09p060940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50378137:50379595:1 gene:A09p060940.1_BraROA transcript:A09p060940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLILSIIIITAMVIVTPISTSSHQISPSFLHLRLRIPATSPASSRLIKHGDGGGGGIALSIRAYIEKPNSISSFANTVIGSLPVIGLLARILSDEGGVGRDLVDFAEFRKRVGNKCAPSDSRAFYEFQQRRGKAGEPLYVLLCCWVAAVGAGLLKSEEILEGVTRVSISNDLEFEEQNFIALMTEARQRRAKLNVAAPTIPMELRVEKALEGIYACCFRRGVIEEEDEQLLLVMLTAVFPSVDKSEIERIIKEKAIRVAEGGEEENLMAEPKRLPKEAIQMQMKDLEFLQQQNIES >A10p028020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17496704:17500136:-1 gene:A10p028020.1_BraROA transcript:A10p028020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLQKVSADLRANIWKQMSEAGTKYIPSNTFAHYDQVLDTTAMLGAVPPRYGYTSGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKAAKGVEKSFDLLSLLPKILPVYKEVITELKAAGATWIQLDEPVLVMDLEGHKLQAFTGAYAELESTLSGLNVLVETYFADIPAEAYKTLTSLKGVTAFGFDLVRGTKTLDLVKAGFPEGKYLFAGVVDGRNIWANDFAASLSTLEALEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKIVEVNALAKALAGQKDEALFSANAAALASRRSSPRVTNEGVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKVSEEDYVKAMKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPVIYGDVSRPKAMTVFWSAMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGINVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSSEEIADRVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLASAK >A04p017630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10688034:10691899:1 gene:A04p017630.1_BraROA transcript:A04p017630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFEYNLETLSDDAIREITESYDGFFTTVESLIAGAGDFAVEKEFVSHVSTLCNYGLDSLVCDHFLRSLEQAFDKSGASSFWHHFDDYSDKNHTDCGEEVHQLLSKALEEISTEKQYNEKCISMVVHALQSFKEKRLRSDAERAQIFSRFQSMLSSTLMTTLPQHFPEILHCYFKEKLEELSTIMDEDDAQQLESDGMDLDVDEGYSRHDEFVKNIGKVVCDLRSLGFTSMAENAYASAIFLLLKSKVHDLAGDDYRTSVLGPIKEWIQTVPLQFLSALLCYIGDSISYDTTACGLTSPLDCCPSPSFSKVVTPSQGIGRWKLLLEYFAYETLQDLRIAKLFEIIVDYLESSPAIEDLKQCLEYTRQHSKLVESFISSLKYRLLTAGASTNDIFHQYVLTIKAFRAIDPSGVFLEPVAEPIRDYLRGRKDTIKCIVTMLTDGSGGNRNGSGNPGDSLLEELMRDEENQENVGFDDDFHTDDKQAWINASRWEPDPLEAGPSKGSLSERKVDILGMLVDIIGSSEQLVNEYRVMLAEKLLNKIDYDIETEIRTVELLKIHFGEASMQRCEIMLNDLIDSKRVNTNIKKTSQTGAEVVESELSVDILTSKILSTNFWPPIQDEPLELPAPIDKLLSDYANRYHEIKTPRKLLWKKNLGTVKLELQFEDRAMQFTVSPTHAAIIMQFQEKKIWTSIDLAAAIGIPIDLLNRRVNFWISQGVLRESNANVFTLVESMTNSGKNESEEILASDEESERSIAYVEDQLRKETTIYKNFIMAMLTNGSMAVDGIHNRLKMFYVADPAYDKSLQQLQSFLSRLVAEEKLELRDGMYLLKK >A04g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15249667:15258884:1 gene:A04g506770.1_BraROA transcript:A04g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKHTYYCVKCEAYNPVTVPRYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFG >A03p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8545693:8548512:1 gene:A03p020750.1_BraROA transcript:A03p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKKHLRELLEDDQEPFHLNHYIADLRSQMGYSDLRVKKLKPQNAAVLPPGFFTCGESSCFLATHHTNKSPLFELRSPSKKESSDGQVFLRIPARTAAILLEAATRIQKQQSEKANKTNNRGNAFGLFGSVLKRLTNRKAKPRSDNADKCFCESPFHFVLHATPSTSSQQTPQFTSTATSPARRSTEDEDSDETESLEKVRGQDEEDKEEEDKEQCSPVSVLDPLEKEEDHHQREVPDHLNLLSCSFEVVQRTKRRRLLKKLCRFEKLAGMDPVDLEGKMSEEQEEENEESVEDDNMRVYDLAEEYEDMDEAMARESGWGEEERTKKWRMMNAWRLGMGAEEAAEAVVQKDIRWEAGEWTRHGGEVEEAVSEIELSIFIFLIDEFSHELVSSFMNE >A08g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10909865:10911018:-1 gene:A08g506390.1_BraROA transcript:A08g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQASAKLTSSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEVGVDTHSSLSCHVLWSFKELTLVPW >A07g504300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8573157:8574488:-1 gene:A07g504300.1_BraROA transcript:A07g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQSAKRTRATCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDVFETLHAIGIAPLCYTTHELYPDLVRQMLATATITYEDSDAPSYANCSFSFMADGEYCSLSLDKLNEIYEMATEPKGVAVAKKFSPCNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKVISNLLFTKDLTSKVTNGELQTLYTGIEDEIHASGSGIPIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVSKEVQYLDIRYLMACHIMRDEETYSFFDKAGTQLFTKLPHPEITRFSVYDLGELADVADDQAYRRWMVYSQRKNNSLMRRILHLVTGGCIGGSAQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSFDPAESGESD >A10p026360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16751597:16753302:1 gene:A10p026360.1_BraROA transcript:A10p026360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIRALPLTSLETTSLLFSKRVLFKNTSIISFTETHFLRQSVPTGITRSRTSRGSGSVSCSSVTDKASTLEDVCPEVRTLTWNWKGYSIRYQCAGTSGPALVLVHGFGANSDHWRKNTPILGKSYRVYSIDLIGYGYSDKPNPNEFGGEPFYTFETWGEQLNDFCIDVVKDEAFFICNSIGGLVGLQAAVSKPEICRGLMLINISLRMLHIKKQPLIGRPFIRSFQNLLRNTPVGKLFFKSIAKPETVRSILSQCYHDSSQVTDELVEAILRPGLEPGAVDVFLEFICYSGGPLPEDLLPLVKCPVLIAWGEKDPWEPIELGRAYGDFDAVEEFVVLPEAGHCPQDEKPEMVNPLIESFVARHSNSSAALAPGI >A05p006720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2699276:2700933:1 gene:A05p006720.1_BraROA transcript:A05p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGNTITSVGGAGCGGGGGSSSGGGGSSGGGGGPCGACKFLRRRCVPGCIFAPYFDSEQGSAYFAAVHKVFGASNVSKLLLHIPVHRRADAVVTICYEAQARIRDPIYGCVAHIFALQQQVVNLQAEVSYLQSHLASLELPQPQTRPPPLTQPQPLFFTPPPPLSITDLPASVSPYDLASIVDPPTSWATQQRRFVDPRNQYGGSSSSSSAAVGLGGGNSDLQALAHELLHRQGSPPPAATDGSPSRSLSR >A04p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5057082:5058816:-1 gene:A04p012480.1_BraROA transcript:A04p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein-like protein [Source:Projected from Arabidopsis thaliana (AT1G54390) UniProtKB/TrEMBL;Acc:F4HWW1] MAIARTGVYVDDYLEYASTFPAELQRLLNTVRELDERSQSLINQTRQQTKYCLGLASQSSKKGSGNNNHYNTGLDDDETIEKMRKEIESSQENALSLCTEKVLLARQAYDLIDSHLKRLDEDLNNFAEDLKQEGKIPTDEPSVLPPLPIVPKQEKRKSFYGTPQPKKIDYRDREWDRDRDFELMPPPGSHRKDFTPIDEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYTCVGLTPETRFKGKWYCPTCRLLPQSH >A04p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13038268:13039668:-1 gene:A04p021560.1_BraROA transcript:A04p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKVVIPVDKPYITLSGTKASSTILVWSDGKDLLDSPTLTVFASDFVCRFLTIQNKFGKGGQAVAVRVAGDNAAFYGCVITSYQDTLLDDNGNHYFKNCYIEGATDFICGNASSLYERCHLHSLSPKNGSITAQKRTSATEKSGYIFLGCKLTGSGLTFLGRPWGAYSRVVFAYSFFSDVVAPQGWNEWRNPSRKDTVYYGEYKCYGPGADRKQRVKWSKQLSDDEATVFLSKDFIGGKEWLRPAPSHFKNAPKSNPT >A06p044690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23989245:23990981:1 gene:A06p044690.1_BraROA transcript:A06p044690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLIPFYLNIVAFLCTVGAIALAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYAFMSFLSLVLPTSSIYFDSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRSLKPSWCLMTCCFPPLTLDGRFIRRCKQGCLQFVILKPILVAVTLVLYAKGKYKDGNFNPDQAYLYLTIIYTISYTVALYALVLFYMACRDLLQPFNPVPKFVIIKSVVFLTYWQGVLVFLAAKSGFIQTAEEAAHFQNFIICVEMLIAAACHFYAFPYKEYAGANVGGAGSFSGSLSHAVKLNDFYHDTVHQFAPTYHDYVLYNHTDGGDEGTTKYRSRTFVPTGQEMEAMRKNQTVYANKIDGVSVSSSLSSSVGSSPKSSSVTSDPAAKSSLLVDGLDSLDTMYDMSLIDIDISSFPSNVPSASESGA >A02p021300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10067103:10067720:1 gene:A02p021300.1_BraROA transcript:A02p021300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKFVILSVLIMSLVMAQIQVEAEKICCRNTRARNIFDSYRAQADVVNEYCKVGCTSSLCGPLTTVQNSGASEIGDGAVEQCANACSILCTTGSTKLAVETA >A07p043440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23761248:23762253:-1 gene:A07p043440.1_BraROA transcript:A07p043440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSKKTDSSISRDTTQIKKRQKTEMAQNGSNNTVKLIGTWSSPFALRARIALHLKSVEYEYIEEADVLKSKSDLLLKSNPIHKKVPVLIHGDDSICESLNIVQYVDEAWPSKLSILPSNPKERASARFWAHFVDGKGFESIDAVAGAKDDAGRMAAAGNLMESLAALEEAFQKSSKGGEFFGGENIGYVDIACGAIVGPLSVIEAFSGVKFLSQDATPGLVQWAERFRAHEAVKPYMPTVAEFVEFAKQKFNVQ >A08p032690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19785574:19793663:-1 gene:A08p032690.1_BraROA transcript:A08p032690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRATPSRSGPSGSSSGSSSSSSSSVSRTRVGKYELGRTLGEGTFAKVKFARNVVNGENVAIKIIDKEKVLRNKMIAQIKREISTLKLIKHPNVIRMLEASKGRLKEDGARNYFHQLINAVDYCHSRGVYHRDLKPENLLLDANGTLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLASLYKKIFKAEFTCPHWFSASAKKLIKRILDPNPATRITFAEVIENEWFNKGYKAPKYENANVSLDDVHAIFDESGESQNLVVERREEELRTPVTMNAFELISTSKGLNLGSLFEKQMWEVDVLRDKHFTSKCPANEIVTKIEAAAAPMGFDVKKNNYKVKLVGEKSGRKGQLAVTAEVFQVAPSLYMVEMRKSGGDTLEFHKFYKNLTTGLKDIVWKTIDEEKEEGTQGGAVLYKRNRHEVEQRSKLETTLGEFVKFTADELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSSKNTGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRETVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDEGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCVFDHWEMMSSDPLEAGSQASTLVTDIRKRKGMKEQMTPLSDFEDKL >A03p066250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29310173:29314234:1 gene:A03p066250.1_BraROA transcript:A03p066250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MESTKVCMNAQCGSNSTSGEWKRGWPMRSGELASLCDKCGSAYEQSIFCQVFHAEESGWRECNSCDKRLHCGCIASRFMMELVDNGGVTCISCAKKSGLFSMNVESNGREFPTFASAEHVSSVLERTNLKHLLHFQRISPTQPFLQMKQEESLLPARLEALRHNSEKKEPNLSISLGPTLMTSPFHDVDDRSKTTTPIFQLASRSRQLLPKPANSAPTTAPPMEPNGSLVSQIHVARPPPEGRGKTQLLPRYWPRITDQELQQLSGQYPHLSNSKIIPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLKIQDIKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRTEPEGKLVMGYRKATNSTASQMFKGSSEPNLNMFSNNLSSGCGDINWSKLDKSEDMSKDGLMLQPSLISARKRVRNIGTKSKRLLIDSVDVLELRLTWEEAQELLRPPQSAKPSICTVEDHDFEEYDEPPVFGKRTVFVSRQTGEQEQWVQCDACAKWRRLPVDTLLPPKWLCSDNLLDPGRSSCSAPDELTPREQDTLLRLSKEFKRRRLASSNQEEASALDTLANAAITTTGEQGETEVAATTKHPRHRAGCSCIVCSQPPSGKGKHKPSCTCTVCEAVKRRFKTLMMRKRNRGEAGQASQQAQSDQCREETEAESIPAVEPAAGGNIDLNSDPASRVSMMSLLQAATFPLEVYLKQKGVPNTAAEQQSSDIVSTENGSSSAAQEHDRDTSGAPEPLN >A06p024480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15462549:15464791:-1 gene:A06p024480.1_BraROA transcript:A06p024480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIEDDDDVSVILEEQREEIMAAKTLAQDHDLAFNLQMQEALAVSRAAHTSSPTLDFTGGEPEEGDGFDYTSLILEDIARVDQERRDREVGVQEMKRLKVDLDRRIHDQRFAKEIMNIPDADWSKDGDFFHKPYSLEEASSSANKVPPPFSAIGFESFRVYCKGLVSEEMIGETRVTVGGVGVALCDSSDILIWEVAKVLGADESKSPQIAELEAILRALDEALTFDLGRVTFFIDDFNLFNYVTGRVEPRQSAVATLVNKVALLQKKFSYCQPSLLTRNDVKFVFKLARDAIVSQIKWPEETSKGKTFKETCVICYEGITVDKMFSVDGCFHRFCFSCMKQHVEVKLLGGKTATCPSDGCKSEVKMDCCAKFLDPKLVEVMIQRKKEGSINVSDKVYCPYPKCSELMAKAEVFEYTKQFFVGTEQSPARKCMKCGLFFCMQCKVPWHYKDTCDDFSKSKRYQNAGDGMLKSLAQSKRWRQCIRCNNMVELAFGCYHITCRCGYEFCYTCGAEWKNKKATCACPIWNERNIIRETNVNRRR >A06p004300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1076404:1084176:-1 gene:A06p004300.1_BraROA transcript:A06p004300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 3 [Source:Projected from Arabidopsis thaliana (AT1G49640) UniProtKB/Swiss-Prot;Acc:Q9FX92] MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYIISSSSSLSSPPLFFRFFRHSPRSNFPLSSSRTAVSVSNRHHLHRLTTPTTTLRSKCSHSSSDLVSEHPPFVRIYKDGRVERLSGTETVPPSLTPQNGVVSKDVVYSPKHSLSARLFLPHKARELAAGSQLPLLIYIHGGAWIVESPFSPIYHSFLTEVVRAASCLAVSVQYRRAPEHPVPAAYEDSWSAIQWVFSHSDGSGPVDWINEYADFNRVFLAGDSAGANMSHHMAMRAGEEKLEPRIKGVAIVHPAFWGTDPVDELDVQDVETRRGIAQVWEEIASPNSVNGTDDPLFNVVGSGSDFSGLGCEKVLVAVAGKDVFVRQGLGYAAKLKKSGWGGDVEVVVEEEEDHCFHLLDPDSENAPKFLKKFMEPHRTSHLPSIRIHKDGRVERLSGNDVIPASLDPQNDVVTKDVVYSPEHYLSHPIPAAYEDSWAAIQWIFSHSDGFGEEVWINELADFGRVFVAGANISHHMAIKAGKEKLHPRIKGVAMVHPGFWGKDPVDEKDVQDERIRSQVAEVWELASPNSVDGVDDPWLNVVGSGSDISGLGCERVLVAVAGKDVFGRQGLGYAAKLEKSGWRGELEVVEEEEEGHCFHIHNANSENALKLMKTFVEFISSSVEE >A05p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2239882:2241828:-1 gene:A05p005790.1_BraROA transcript:A05p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEP-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G17750) UniProtKB/TrEMBL;Acc:Q1PF53] MMASFVLIILIIATIVTGNGFSNIDDAEVDRLLKKLNKPALKSIKSLDGDIIDCVHMKNHPIYDHPLFKNHTIQMRPSSFPKEWNNESLNTQNKSNVMTQLWRTIGRCPKNSIPIIRTRREDILQAKSIRTYGKKEPNSFPQPKPDNKPRTNRTHSYSILVTKGRFHGAKATISLWKPYVQTLREFSLAQIWLASGTSRDTNTIEAGWQVYKSLYGDYNPRYFIYWTADGYQDTGCYYLKCPGFVHVSQDFAIGATVSPVSSVGGSQYQIPTSIWRDTRSGHWWLKVYDNIFVGYWPSSLFTTLKDVATDVHWGGEITNNRDGSQHTTTKMGSGHFAEEGYEKASYFKNIEIVDEGEIMKPPVGTSPFMSEETCYNIKPGTDVHWGTYFFFGGPGRNAKCK >A01g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1972118:1972577:-1 gene:A01g500420.1_BraROA transcript:A01g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLFPLSPLYSLLTGDCFTPLSIQSASSLHKVTSGINTSSFTHDYHNFEKNQKINLFNFRCSNVAEGQGEEQDPRNPSKKVWT >A05p016160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7177888:7179243:1 gene:A05p016160.1_BraROA transcript:A05p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGGRDGSKGLMKRIISTFSIKKNQNTTTNDPKPVFPRSRSTGASYESMRLRQGKKALPDVTAKKTKRTKSACVSPQRRREKIDESRKQQIEDIDSIWLTSDSSSSLLGERKVSVSFHFSLDESIVSWLSNAAKNQEDTKENHHHHHQKSSKDAKYSSENIRKDGKYVGTDSAKPCSSRLPENNNKTCEETSSFKRYVSPELTSQSHEEKKVTFSLESDASPSQVISTLGPSTPPITILASALEKVAEIGGSKRRNVVEPLFWPLEQKFDWTTDDIMKHFSMSPQRKKYIGSKSASTSPRSMRAQLHTRKLDLKEGCKRKLMFNGRPGSNSRLTQIPELKQTISSDQPPIKNRLKRNKSLPSRLRNSSEISSKVVPIEATEESVEISREEKKTPKKLVMTRKSKTFLEDDFGLMNDFSIENAVGLCEFRGREGIDSDFNTDCFLLEDSL >A06g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21934503:21935941:1 gene:A06g507870.1_BraROA transcript:A06g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLPFLRLATICLMIFLFCPPLIFPEQHILMSLVRSRTDGVMVAADSRARRGVTWFLLLINVLLVEMQFTRTCSIFQCFSVNDLYQQSCDYAMSTLDDFSNTSGPVQKRGLGGRVLGVEALGGYLPSRLDPWLIHRLYIVGDKMGHSRADVIILATSKKLLCVSIIYNANVVFVLARLR >A07p051120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27177485:27180050:-1 gene:A07p051120.1_BraROA transcript:A07p051120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEQASGFHVTTTRKQLITAALPLQDHWLPLSNLDLLLPPLNVSVCFCYKKPCNITISVAHKTLKTALAETLVSYYAFAGEIVTNPTGEPEILCNNRGVDYVEAGADVDLRELNLYDPDESIARLVPIKKHGVIAIQVTQLKCGSIVVGCTFDHRVADAYSMNMFLISWAEISRSDVPISCVPSFRRSLLNPRRPLIIDSSIDQMYMPVTSLPPPQETTDPDSILASRLYYIKADALDELQTLASGSKTTLIKRTKLEAFSAFLWKLVAKHAAKDPVPTKNSKLGIVVDGRRRLMTQENNTYFGNVLSIPFGGQKIDDLVNKSLSWVTDEVHNFLESSVTKEHFLNLIDWVETRRPIPAVSRIYSTGSDDGPAFVVSSGRGFPVNQVDFGWGSPVFGSYHFPWGGNAGYVMPMPSSVDDKDWMVYLHLTKGQLEFIEKEASHVLKPVDNTYLKI >A02p024860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12602503:12605647:1 gene:A02p024860.1_BraROA transcript:A02p024860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MIKLRFVQSLLFFTAICFFIPKIAARGGHSDYIQPGDGNASFHGTARHLFAQEEKRPSVELTRGYMTNADLEKAMKDFTKRCSKISRLYSIGKSVNGFPLWVIEISDKPGEIEAEPAFKYIGNVHGDEPVGRELLLRLANWICDNYEKDPLAKLIVENVHLHILPSLNPDGFSVRRRNNANNVDLNRDFPDQFFLINEDLSLRQPETTAIMNWLREIRFTASATLHGGALVANFPWDGTEDKRKYYYGCPDDQTFRFLASLYSKSHRNMSFSIEFEDGITNGASWYPIYGGMQDWNYIHGGCFELTLEISDNKWPRASELPTIWEYNRKSMLNLVASLVKTGVHGRIFSLDQGKPLPGLVVVKGINYTVKAHQAYADYHRLLEPGKIYEVTASSPGYKPKTTTVWLGENAVTADFILIPEASYGGKLLRSSCDCSYGQPLLLTRFFTETNNGITFALVVVVAFLFFLLQRRVRSNLWKQRQSSRRSITV >A08p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17419789:17421895:1 gene:A08p027600.1_BraROA transcript:A08p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28390) UniProtKB/Swiss-Prot;Acc:O49447] MGPEGHESKECSSICRLEKQTFGTKMNKQRHPSVFQKLHGQTSLISTLSPTVQPRNHSVSGAYVNGGLQSLLQPTSHDTSLIPRGSLPVLAQAPTEKSSTGFLIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLRAGRLSEPYKGITDCFTRTVKDEGVLSLWRGNTANVLRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGIVDVYKKTVASDGVVGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLVDGLEDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYTSSLQAFNQIVKKEGARSLFKGAGANILRAVAGAGVLAGYDKLQLLVFGKKYGSGSG >A10g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18792429:18793327:-1 gene:A10g506550.1_BraROA transcript:A10g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRVSSRTRKVAAKMAAALTSTDNRTQAAIARLEALENDNGALEVVDLNDDEKASLDEEDDLALLQGHFCSCNIPNPLSKTSPPIYPTKRTIRIIESIRDTN >A10p011430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11804573:11807231:1 gene:A10p011430.1_BraROA transcript:A10p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFFSLCRSTKTTMHAEGNKEKFGGRLRSKEAETEKIIEQYDMRTKKLCLTLIDLTVYYDPIDTQTAVSIDKKLVATIDNEFEAAIDIDHANEIDDFPKGSINSWENDYYQPSFEIQTTTPSKRKICEMEPYEYNEEYKEEKIIEYRGFTMEEAEVLKISHETIGETSIDGNIKTSIDTHHGTKPNARAEESTLINKRDQPSIDGHFEFGQRAYDFSSNRIFHYSKADIDDIVHGIYRIQDMSLDDTYKRINDVYYPLNDNIDMLTTRMDELKEEMDVIPRHNAVRPEASIDGYTRPSIDDRNASLQTRLVTVKLLKNKLDEINFSQDLIREYFSQRLENVKETIHVRLRMQHGSINNLRKRMHVNGVNKEILKNQWTRGDEAIRIFVGAKADLQPKGA >A07p010750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6740560:6742294:1 gene:A07p010750.1_BraROA transcript:A07p010750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSCNATDKLQTLFSHSHQPDPAHRRTVSSVSCSHLRKPVLDPLRAIVSADQGSVIRAEQGLGSLADQLRLGSLTEDGLSYKEKFIVRSYEVGSNKTATVETVANLLQEVGCNHAQSVGFSTDGFATTPTMRKLHLIWVTARMHIEIYKYPAWGDVVEIETWCQSEGRIGTRRDWILKDVATGEVTGRATSKWVMMNQDTRRLQKVSDDVRDEYLVFCPKELRLAFPEENNRSLKKIPKLEDPAQYSMIGLKPRRADLDMNQHVNNVTYIGWVLESIPQEIVDTHELQVITLDYRRECQQDDVVDSLTTTTSEIGGTNGSASSGTQGQNDSQFLHLLRLSGDGQEINRGTTLWRKKPSNL >A06p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:921464:923716:-1 gene:A06p003880.1_BraROA transcript:A06p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVSEGSGGGSYEGGVTGFVIMTCIVAAMGGLLFGYDLGISGGVTSMEEFLSKFFPEVDRQMHNARRETAYCKFDNQLLQLFTSSLYLAALVSSFAASAVTRKYGRKISMFVGGVAFLIGSLFNAFATNVAMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNIGFQMAITIGILIANLINYGTSQMARNGWRVSLGLAAVPAVVMVIGSFVLPDTPNSMLERGKYEQAREMLQKIRGADNVDEEFQDLCDACESAKKVEHPWKNIFQEAKYRPALVFCSMIPFFQQFTGINVIMFYAPVLFKTLGFADDASLISAVITGVVNVVSTLVSIYAVDRYGRRLLFLEGGIQMIICQIIVGSLIGAKFGTTGSGTLTPATANWVLAFICIYVAGFAWSWGPLGWLVPSEICPLEVRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFGGMVLIMTIFIYFLFPETKGVPIEEMGRVWKAHPFWKRYVPDDAVIGGSGETYVKEGISQQDLRICRREEEKKNEEWKL >A01p054110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30593757:30594783:-1 gene:A01p054110.1_BraROA transcript:A01p054110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWKMGFLPRIRYAMKPDEAYGLVLSWDNVVLDRRPSKCVVFEDDPRDITATHNCTIMAVGLIGMIRLILQLDHEIQIIEKSPLERKLAIATIF >A01p054100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29708591:29710170:1 gene:A01p054100.1_BraROA transcript:A01p054100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHGDCALYCFGNLCYWLLLSIQETRQELDTLADSYTKNRAVVLKLYDALRSRDAESVHRILTPDLDYWFHGPPPHQFLMRTLTGVSPPFEFAPLSVVSFGSTVIAEGCDAASSVSWIHAWTVSHGIITQVREYSNTSLTVTRIGGVVAGRSAEIARSHCPSVWESKFSGRAGKSVPGLVLAI >A05g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7468682:7469718:1 gene:A05g502350.1_BraROA transcript:A05g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGYLMLQESTQLNQVSLPHSVVPSHNIPVANSFTWSSFSDAAWDSSTGNCGLGWQLRDATKTVAESSSSHRRFVPSALVAEALAVKAAMIAALSSHVSSLHVYSDSKALITLLKSQDDDVVLKGVLHDIRILALSFESILYCFIPRLANCDADSLAKSALFSLHSTVPATE >A05p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1391578:1397233:-1 gene:A05p003740.1_BraROA transcript:A05p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulin-degrading enzyme-like 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT2G41790) UniProtKB/Swiss-Prot;Acc:O22941] KREAISSFRQEQDTPKQNQTTIRTKMAVEKVNTTVDGVEILKPRTDNREYRKIVLKNSLQCAASMSVSIGSFSDPQGLEGLAHFLEHMLFYASAKYPEEDSYSKYITEHGGSTNAYTSSEETNYHFDINADCFSEALDRFAQFFIKPLMSAEATMREIKAVDSENQKNLLSDGWRMRQLQKHLSKEDHPFHKFSTGNMDTLHVKPQAKGVDTTSELIKFYEEHYSANIMHLVVYGKESLDKIQDLVEGMFQEVQNTNKSIPRFPGQPCTSDHLQILVKAVPIKQGHKLNVSWPVTPSIHRYEEAPCRYLGHLIGHEGEGSLFHALKTLGWATGLSAGEGDWTLDYSFFTVSIELTDAGHEHMQDILGLLFKYIQLLQKTGVCQWIFDELAAICETKFHYQDKTPPMSYIVDIASNMQIFPTRDWLVGLSLPSKFNPATIQKVVDELSPSNARIFWESQKFEGQTDKNEPWYNTAYSLEKITSSTIQEWVKLAPDVNLHLPAPNVFIPTDLSLKDAKKKETVPVLLRNSPFSRLWYKPDTIFSKPKAYFKMDFNCPLAVSSPDAAVLTDIFTRLLMDYLNEYAYYAQVAGLHYGVSLSDNGFELTLLGYNHKLRILLDTVIEKIANFEVKPDRFAVIKETVTKEYQNYKFRQPYHQAMYDCSLILQDQTWPWTEELEVLSHLEAEDVAKFVPMLLSRTFIECYIAGNVEDIEAESMVKHVEDVLFNDPKPKCRPLFPSQHLTNRVVKLEEGMKYFYHQDGSNPSDENSALVHYIQVHRDDFAMNIKLQLFGLVAKQATFHQLRTVEQLGYITALAQRNDSGIYGVQFIIQSSVKGPGHIDSRVELLLKNFETKLREMSDEEFKSNVTALIDMKLEKYKNLKEESRFYWQEIQRGTLKFNRKEAEVGALKQLQKQELIDFFEEYIKIGAVKKKSLSIRVYGSQHLNEMASDKDEVPSPSVEIEDIVGFRKAQPLHGSFRGCGQPKL >A03p030290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12720112:12722571:-1 gene:A03p030290.1_BraROA transcript:A03p030290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNLNPLREDISDEEPAPASVFATRPLRPPSTSSSEKYSPVEWTSYFDKEDDVSIPGSNDVFHVYMAGTEGPVVFCLHGGGFSGLSFSIVASKIKEKARVVAMDLRGHGKSVSEDELDLSLETMSKDVVAVIKELYGDSPPAIVLVGHSMGGSVAVQVAANKALPSLAGLVVVDVVEGTAVASLIHMQKILSNRMQHFPSIQKAIEYSVRGGSLRNIDSARVSIPTTLKYDDSKHCYTYRTRLEQTEQYWKGWYEGLSEKFLSSPVPKLLLLAGTDRLDRTLTIGQMQGKFQMIVVKHTGHAIQEDVPEEFANLVVNFISRNRIGPHGVEIPGTWKPSQPKT >A01p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25891389:25898322:1 gene:A01p045910.1_BraROA transcript:A01p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSVMRSINAPTLDLSASLLRSSTRTPLVAAGATSTGVSIFRMSSSSLRFASLSVSASASDDNHLPIICKKEVVSTDKAPPAVGPYSQAIKANGFVFVSGVLGLVPETGEFISDDVEDQTYQVLKNMGQILKAGGAKYCSVVKTTIMLADLADFKKVNEIYGKYFPDAPPARSTYQVAALPLNAKIEIDCIASPTLDLSVALRSSTRTPLVAAGATLAGVSLFRMSSTSPRFASLSISASASDDNHLPIIRKKEVVSTDKAPPAVGPYSQAIKANGFVFVSGVLGLIPETGEFISDDVEDQTYQVLKNMGEILKAGGASYCSVVKTTIMLADLADFKKVNDIYGKYFRNDPPARSTYQVAALPLKAKIEIDCIAVL >A09g510960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33400385:33401572:1 gene:A09g510960.1_BraROA transcript:A09g510960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAYGTGEELELFTYALEKWLPEAPKGIPDSMKHLYRVTIDFYDKLEEELEMQGKSGCGSHFKKSLKSTANGYMQEAKWLRKDYTAKFDEYKENAILSSAYYTMMAMTFAGMGDFTKLDAFEWLSSHPKIRVAYDIICRFTNDISSYKFEHKREHVATCIDCYMKQFCVSKERAVQVITNIVSNAWKDLNQELMRPHSFRLPFLIRILTFRVSSMFFIGIQTLTPTPSS >A06p010930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4018820:4020252:-1 gene:A06p010930.1_BraROA transcript:A06p010930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSIVKRSALREFRDFREMAKWSIVRRIPVSGGSFAYMKHMVYAPAECSFSAMHSLIDTGGEALKKTTENSDSGSKFISRIDYTSLVEKYRREGNLSGAYDLLQSLQDKNIWLPVPVFKNLLAAAGEQNDTMLSCRAFKMMLVQAGTPLSSDCYLNLARAFINADDCVHLLSLLKEVSESSLPCRLIVINRTILAFAESRQVDKVLMILEEMREWECKPDVITYNSVLDILGRAGLVNEMLRLLSSMKEDCDVSLNIITYNTVLNGMRKACRFDMCLVLYEEMVQCGIEPDLLSYTAVIDSLGRSGNTKEALRLFDEMKEREIRPSVYVYRALIDCLKKSGEFEKALQVSDELKNTLTLDLAGPQDFKRHLRPYKR >A05p014760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6485319:6486701:1 gene:A05p014760.1_BraROA transcript:A05p014760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAKIFTLSQVSEHNKAHDCWIVINGKVYDVTKFLEDHPGGDEVLLSSTGKDATDDFEDVGHSESAREMMEQYYVGEIDPTTIPKKTKYTPPKQPHYNQDKTSEFIIKILQFLVPLAILGLAVGIRIYTKSA >A10p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1294055:1295786:-1 gene:A10p002460.1_BraROA transcript:A10p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQTKGTVTPLASLFSEEEARKAASYVEERIREKREEMNRLQRFVDENDNLISLVKKLPEKLHHNIMASRLVHTNECLVFLGENYYTDRTSKQTVDVLRRRDKTLQSQIHSLKAEIDDFQTEASFFANTASEAAEGVLEIREEYEEEDSGTVCQRGVEKEASGVSGGEAGEGEDKDDEFARIMSRLNELEMEEEQEDGEDGDDRSEEHDVEERDLDVVKGLGDKTGDNGIGYEESVLEKPQYLQKEDKSRGGIPQQNAETWRDFQATSRGKASSSVVGPQKIESPIQKQEPKFDTNKAFTGSIVEHTHNLETNTHGKMQPSGSQPSKPVSRFKAQRR >A08p007230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4223754:4224029:-1 gene:A08p007230.1_BraROA transcript:A08p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCTSRFDCIVFPSKCQGCHFQDEARKYASHEEGLVAHKASLEAEASRSSKSREKQVALERKRVELEMSTKYGSSVSKGRVYLEDRDAVQ >A05p004330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1680105:1683476:-1 gene:A05p004330.1_BraROA transcript:A05p004330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSIPTDATIGLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVALKLRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNSLKLIARAHQLVMDGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDPHGFFNCSPTVDVPQNPACELESKYSEVKEVVASKPLQNGLLSKL >A04p002060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1001224:1006486:-1 gene:A04p002060.1_BraROA transcript:A04p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKSNMNAHQNIIVMRHGDRLDHCEPIWVSTAERPWDPPLVHDGKVRAFQTGQRIRSQIGFPIHRVFVSPFLRCIQTAAEVVAALSADDLGDNAMSSIDISKLKVAIEFGLCETLNTMAIKSDVVPKDGKFDFKFSDLEAMFPEGTFDHNVEMAYKEFPQWGESVEAFKERYVNTLKILAEKYPSENLLLVTHWGGVSSMLYKYFKDATKYLVDYCGCVELRRPIMDNDGFGESVDFEVVTSHGVAFKDNKAPIHAKINGHQNVIVMRHGDRADRCEPLWVSTAVRPWDPPLVHDGKVRAFQTGQRIRSQVGFPIHRVIVSPFLRCIQTAAEVVAALSAVYLDDNAMSSKDVPSIDNSKLKVAIEFGLCEILNTVAIKSDVAPKDGKFDFKISDLQAMFPEETVDINVDMACKELPQWEESAAGFKERYVSTLKVLADKYPSENLLLVTHWGGVGTILYKYFNDATKYLVDYCGCVELRRQVSNNDESEEFEVVTSHGVAFKDNKAPIHGSVIT >A04g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1339924:1343290:1 gene:A04g500410.1_BraROA transcript:A04g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKVVCSVCRSKTISRAYDDHKTRVTSKQRVLNVLLVVGDCMLVGLQPVLVYMSKVDGKFNFSPISVNFLTEIAKVVFAIVMLLVQAKHQKVGEKPLLSVSTFVQAARNNVLLAVPALLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMVMKRRFSIIQWEALALLLIGISVNQLRSLPEGATAIGIPLATGAYICTIIFVTVPSMASVFNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILATVIYKGPESFDILQGHSRATVFLIMNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHVITMNFLLGISIVFISMHQFFSPLAKVKDEQQQNGSLELSNAKDTHRADDSFINMAAGANEEATQRGESDDRTPLLPR >A08p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10610579:10611136:-1 gene:A08p016320.1_BraROA transcript:A08p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTTEVNNEYGIVWWDQDLVKWIIKEHPETAIGFKSQKSETRAYDLMSDISYIIRKLRHQRTLSDAELRRTSDKLAYLTEAGLNVEWLRPNLEVKKREAYVERKKRDACEARIVELKQEVKKLKLAKSGLKAELKNEEAKLNPRSFWNLLGNCFCL >A08p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16957116:16958098:1 gene:A08p026710.1_BraROA transcript:A08p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELGDAEQD >A07g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8702909:8703481:-1 gene:A07g504340.1_BraROA transcript:A07g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTATRVVVAARAFRWVFALPVYLQGSFPVVPVWSVPFVGLAGGSFFCACASGGLAIFRYVISIWGSGSMGVNGHDELEWRRQSPGRLDERLHRCLMVLSRAVGGLAVRWVEFARARILLSYLVSVELSEAVGAVASRFEGAFLSVARSARLSFSTGFGL >A02p017430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7885629:7887821:-1 gene:A02p017430.1_BraROA transcript:A02p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSLAIVYRGWSWGRKGLSMEGDGAKGLACQKTMDGKASNGNKAVPSCCLKAMSCLPEEDAKCHSTVVSGWFSEPHSRSGKKGEAVYFNNPMWPGEAHSLKVEKVLFKDKSDYQEVLVFESATYGKVLVLDGIVQLTEKDEFAYQEMIAHLPLCSTPSPKNVLVVGGGDGGVLREISRHSSVEVIDICEIDKMVIDVSKKFFPELAVGFEDPRVQLHIGDAVEFLRKSPAGKYDAIIVDSSDPVGPALALVEKPFFETLARALKPGGVLCNMAESMWLHTHLIEDMISICRQTFKNVQYAWSSVPTYPSGVIGFVLCSTEGPDVDFKNPINPIEKLDGAMTYIREMKFYNSDMHRAAFALPTFLRREVASLLAS >A07p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15470178:15471789:-1 gene:A07p027100.1_BraROA transcript:A07p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTSLAIVLHQPSNHDELFMQQTLQFSQTLKDLKNLRKQLYSAAEYFETSYGKEEHKETVIETLKEYAAKAVVNTVDHLGSVSDKFNSFLSDDSAHFSTTHLRLSSLEQRMKLCREYMGKSGTSQHCFLIQSPPHHHKRYFFPQHGRGERGRGTSFSAGDDSHRFKDAVRSTILENLPITTARKAYKTGSFSFLHNNNISNNRTPNSKRGNSPMRFPLLRSGSQLKHSSSPGQPRILALLPEPERAISLSTSREIVEIKQKSLLRKGKKSLMLKALMSMSSKSRN >A07p010100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6301766:6302311:1 gene:A07p010100.1_BraROA transcript:A07p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKKIEAEYCFMSFASILLKRPASWLSLLAPPFLHVLGLRFLHLLLTSAALFFSSFFFPISLLPSSIQSSKLHQKEDCVATENIIEEKPEKNFEIGNGMDEDGAIPDDESLIELCLPSGHYVGHHNTSKKNNLYINNKLQDVRLFDIFAEFNGFIEEDNLIEIDISIGCIKYSRFEIKV >A08g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14944308:14948160:-1 gene:A08g508220.1_BraROA transcript:A08g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGKWLRNPIDFGLVMRKTLVILDRSRKKKLPTKKGDCSLDEAKANPATTDGYSRGERRRFMANPHEPHFFKPLLPGFHSGVTIPLAFFSKHIEGKTNQKTWKLRSDASDETWEVIQEGRRLTRGWKDFTTAHDLQIGDLVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADAGDADDNEIRGTGAMSSFSFDYCFLAEVTASNLKADKLYLPKRATSSTALNKQCQEMILVNKEGNSWTANLRFSESGGMYYITRGWGKFCRDNRCDIGDLFVFNLVGDGKSTPLLCVCPESKECSELLSNHLSRKCGDIASSSRVN >A08p043520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24213866:24215548:-1 gene:A08p043520.1_BraROA transcript:A08p043520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSLEEVSSQNQVKASVQRRIRQSIQDEYPGLETVMEDLLPKKSPLIVVKCPNHLTLVVVNNVPLFFCIRDGPYMPTLRLLHQYPNIMQRFQVDRGAIKFVFSGANIMCPGLTSPGGVLDEEVDSERPVAIYAEGKQHALAIGFTKMSAKDIKSINKGIGVDNTHYLNDGLWKMERLD >A03p010490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4213683:4218503:-1 gene:A03p010490.1_BraROA transcript:A03p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSCSVGGEVGGETCSALAKSSLPPPRPKSPPEYPDLYGKRRVAARVQMLEREIGFLEGEITFIQGVQPASRCCKEVSDFVVANSDPLIPAQQKSQRHSRCGIPCLSLASFFCCCRSNCSCHLRKPKCCNCTSCSCTGSKCCEGSCCSNSCCCPKLSCPSCSCFRGCCCSCPDVSCCLPTCLNKKKKSSCCSCNCKIRWSSCFRFPKVQLCCCFCNCKNLCSNPCCLAF >A08g508630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15961940:15965081:1 gene:A08g508630.1_BraROA transcript:A08g508630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMMKPSFLWLLLVFDLVLRVAGNAEGDALSALKNSLADPNKVLQSWDATLVTPCTWFHVTCNSDNSVTRVDLGNANLSGQLVMQLGQLPNLQYLELYSNNITETIPETLGNLTELVSLDLYLNNLSGPIPKSLGRLQKLRFFLTIVFSFLEKLFLLVFRRLNNNSLSGEIPRSLTAVLSLQVLDLSNNPLTGDIPVNGSFSLFTPISFANTNLTPLPASPPPPISPTPPSPGGSNRITGAIAGGVAAGAALLFAVPAIALALWRRKTPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNRNILGRGGFGKVYKGRLADSTLVAIKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRDRPESQPALDWPKRQGIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEALVDVDLQGNYIDEEVEKLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNYQNYNQPNTAWLIGDSTSHIENDYPSGPR >A06p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:169192:170179:1 gene:A06p028650.1_BraROA transcript:A06p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPDLRREEPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLHEIAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A07p022590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13172214:13180059:1 gene:A07p022590.1_BraROA transcript:A07p022590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYCQIVIQLLQSKNQLQSMEANNNNFQTVFKKLDQLSAPSPAFGQLCSVPELKTAPVGFALPLMMLKKKLLDAAVVRLVVSAPAGCGKTTLVRHLCHDQDIKRKFQHIFYSVVSSTPNFRKIVQRLLEHNGHQAPTFDNDTQAANVLKTLLEELDGNDQILLVLDDVWSAGAPSFLENFPTDIPNLKILLTSRFNSLDFGDTFKLEPLKKEHAKTLLIQYASRPDHASDAEYERLFQKILERCAGFPLLIKVIGGSLRKQSLNQWQGQVIEWSGGGSVLNSREVIERLKPSFDALDSNLKQCFLDMGLFLEDQVIRAWMITDIWAELYGGNGKTEKDKIIVSVKYLEDLASHNLLDLVPLGKKEHEDGFYNDFLVTQHDILRELAINQNKSEAILELKRIRLTLEIRDNRFPDWCLNLIHPVAVNASLLSIFTDNEFSSPWFEMDCPNVEALVLNISSSNYALPSFIATMKKLKVVIIINHGPGPATLTNLSCLSSLPKLKRIRLEKVAITFLDILQLQLVSLKKMFFVMCSFGEVSNDKNEIDVSKALSSLQEIDIDYCYDLEKLPNWISEAVSLQSLSITNCHKLSTLPEAIGNLSKLELLRLSSCINLTELPETIVRLSNLQFLDISDCLGLRKLPVEIGRLKKLKKISMNKCWKCELPDSVKNLENLEVKCDEETAVVLWKGLEQKMINLKVQVEEREHNLNLLRLFVVSIFSTAKVAEEKTGHDLHLFQSINYLSATVNVNFRLGIKVVHVIIKIKIRYSESSRQDLRLRVMNKATELVVGAGVGAVASEILKVVITEAKLVFAFKSVSKDLASTMEELVPIVREIEQTQGVEEVEELKTLKDTIDKARVVVEECKSVKKWEIHLKHYYTRRVYKINKKMLDFCQIQVPLILLRNQGKHSLTLEAINICIRTICKRIDLMDVRPRVYTKLSSVPKIDDKVHVGLDWPLMKLKMKVLDDSLDRLLVSASPGCGKTTLVTHLCHNQKIKDKFNHIFYSLVSGTPNFRKIVQNLLQHNDAKALLLHVAPRPYNAPQAEYEELLQKILKRCSGLPLVIEVVGVPLKGKPLYYWKGQVGSWSEGKTILRNPRPSVLECLEPSFTALA >A01p053610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29973064:29974886:-1 gene:A01p053610.1_BraROA transcript:A01p053610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLIGDSSVGKSCLLLRFAVSFEILLFNLSIIESQLGGFCLQDDAYIDSYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDCTEMESFNNVKQWLSEIDRYANDSVCKLLIGNKNDMVESKVVSTETGKALADELGIPFLETSAKDSINVEQAFLTIAGEIKKRMGSQPNANNTSGSGTVQMKGQPILQNNGGCCSSS >A01p053760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29857119:29857923:1 gene:A01p053760.1_BraROA transcript:A01p053760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casparian strip membrane protein 2 [Source:Projected from Arabidopsis thaliana (AT3G11550) UniProtKB/Swiss-Prot;Acc:Q9CAX3] KMKNESTVIDVPAESSSAMKGSAPLIGVARDHTTSDSGGYKRGLSIFDFLLRLAAIIAASVAAGTMFTSDETLPFFTQFLQFEAGYDDLPTFQFFVIAMSMISGYLLLSLPFSVVTIVRPLATSPRLLLLVLDTAAMAFNMAAASSAAAIGYLAHNGNQNTNWLPICQQFGDFCQKTSGAVVSSFVSVVFFIMLVVLSGVALKRH >A06p033600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18103328:18103821:1 gene:A06p033600.1_BraROA transcript:A06p033600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFPRPHQVRKSVGPSSRTSLAVNPRFYPIRRIGLWGDPDLFATVGKFILYVIILIEELRMVMVKPRSREGSVSERLCNVWLDDARDELVIVYETVNKLCIGSHVSK >A05p041900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25382336:25383318:-1 gene:A05p041900.1_BraROA transcript:A05p041900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALPAFTCRSSAEPTKELRVCTNRTCRKQGSFQILETLTSLAPPQLQVNPCGCLGRCGSGPNLVALPQGLFLRHCATPSRAAEILFSLCGDGREASSSSAVAEALAALALTNNALTQIEAGNFEEAESLLTQALEMKPYGGLHRIFKHRLLESWDCRAVAKLGMLDYSGALEDISQALALAPNYYEPYVCQGDVYVAQGQYDLAEKSYLKCLEIDPTLRRSKSFKARIANLQKKAVEADLS >A07p046460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25069515:25074319:1 gene:A07p046460.1_BraROA transcript:A07p046460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSSVDGILEFLKKNRFSKAEEALRNELNNNNNPSDLNRFLENLSLEDKDSSKGSKPKAAGNQGSGDSQVPKELIVKEIDCGTGNNGSVIKCGIGEKPSKKVISREMSFTFSENSGDAADARSCKLTTSGKGTLDPYRSTDDVSSSSVVDPYALEQSRHIDKKIVETGEDIVFFGNKTTSWSGNATSKGNSGSTVNEIDRLTENFGKHENYMGSTISENLKESSVKTSRGDDASTSYSKVTSSDKRDGMKKAAADINDVRAAIKEQESEVARALFFGKTQSTFDDKNVSSLGFPLVYDARKEEFPRLPPVKLKSEDNPLSLYCEEKFERDGSGSRPISDGESLLIGSYLDVPIGQEISSSGGKKSAGGNWLSVSQGIAEDVDASDLVSGFATIGDGMSESVDYRNEYWDSDEYEDDDDIGYVRQPIEDETWFLSHEIDYPSDHEKATTRGSPDHATKDEDDDQSYAEEASSYISGEQYPLAKVVEPESDRRLTVSEIYPASKKDEMISQYIGELVDEEVLDSISDEPVWQGFEAKKGVNVVHDDQHDSVRSIGVGINSDAADFGSEVRESLAGGSSEGDFEYPRDHHDAVSSSSSRFKRIDGTNKNKQKASEEEDSGGSFHVKKTQTDGVFEFGNQKTVIRDTSTATDDMVATWRRKSSDTSSSSVKEDNAKSRNSSSPSSLSNYACGERKCADKEDDGSESEDDNATALDDEEAVAVQEQIRQIKAQEEEFETFNLKIVHRKNRTGFEEEKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLQTGMDVCIKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNGLFQNDSPASLLARVMGIIGSIDHEMLRKGRDSHKYFTKNRMLYERNQESSRLEYLIPKRTSLRHRLPMGDQGFTDFVAHLLEINPKKRPSASEALKHPWLSYPYEPIST >SC393g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000235.1:129:467:1 gene:SC393g500010.1_BraROA transcript:SC393g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSLGCQVLIKSGWRHPVRPRNSDLCSMQKTWLEAKENYENLPENSFNHPYEACKKSDSNSKGRHSLEPPTPQYPNGSGT >A08g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9271254:9272171:1 gene:A08g505530.1_BraROA transcript:A08g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALENKQQLKKKHENKLAQDVIVQDTIIEDKRITPGSLTRVVWSLLLKTTTRERPHVGRNKARLLGTRRRCLPMSERRHYPVVETTEEDVEKIHAQQHKTSRRCENNHVNIGVYKCCNNQGLQCHVDTG >A08p000170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:54539:67456:1 gene:A08p000170.1_BraROA transcript:A08p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRRRSLCLLITVWFLSGSVHVVRAQNRTGATTDPDEARALNSIFAAWRIPAPREWNISGELCSGAAMDDSVTIDDKAYNPLIKCDCTFNSSKICRITALKVYAIEVVGPIPPQLWTLHYLTNLNLGQNVLTGSLPPAIGNLTRMQYMTFGINALSGPVPKEIGLLKDLRSLGISSNNFSGSIPPEIGSCTKLQKMYIGSSGLTGGIPQSFANLVQLEDAWITDLEVTGPIPDFIGNWTKLTTLRIFGTGLSGPIPSSFSNLTSLTELRLGDISNGSSSLEIFKDMKSLSTLVFRNSNLTGTIPSFVGEFSSLQQVDLSFNKLGGPIPASLFNLSRLTHLFLGNNTLNGSLPSQKSQTLRNIDVSYNDLSGSLPSWVNLPNLKLNLVANNFSLEGLDKSVLPGLSCLQKDFPCNRGKALYSEFSINCGGPPIRSVSGALFEREDEELGPASFVVSDVQRWAASSVGLFAGSSSNIYIATSQSQFISTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQILGSTSNTWRGLGRRRFDIYVQGRLVETDFDVRRTAGDSTVRAVQRVYKANVTENYLEIHLFWAGKGTCCIPIQGAYGPLISAVSAKSDFTPTVGNRPPSKGKNRTGTIVGVIVGLGLLSILAGVAIFIIRKRRKRYTDDEELLSMDVKPYTFTYSELKSATQDFDPSNKLGEGGFGPVYKYAMRGHLTEKTDVYAFGVVALELVSGRPNSDENLEDEKRYLLEWAWNLHEKSPRALNSIFAAWGKQAPRDWNISGQLCSGVAIGENITIDDKAYNPLIKCDCTFNGSTICRITALKVYAMDVIGPIPPQLWTLTHLTNLNLAQNFLTGSLSPAIGNLTRMEWLTFGINALSGPVPKEIGLLTELKLLGISSNKFSGSIPAEIGNCTKLQQIYMDSSDFTGAIPSSFANLVELQTAWMMDLGVTGRIPDFIGRWTKLTALRIVGTDLSGPIPSSFSSLTSLTELRLGDISNGSSSLEFIKDMTSLSVLVLRNSNLTGTIPSYIGQFSSLKQVDLSFNKLHGPIPASLFNLSQLTHLFLGNNTLNGSLPTQKSQTLSNIDVSYNDLSGIPPSWVNLPNLQLNLVANNFTLESLDNRVLPGLNCLQKNFPCNRGKALYSDFAINCGGQQIRSASGEVFEREDGALGPASFVVSDEQRWGASNVGLFGGSSNVYIVTLLSQFINTLDSELFQSARLSASSLRYYGLGLENGGYTVTLQFAEIQIIGSSSNTWRGLGRRRFDIYVQGRLVERDFDIRRTANDSIVRAVEREYKVNVSENYIEIHLFWAGKGTCCIPIQGAYGPLISAVSAKPDFIPTVANKPPSKGNNRTGTILGVIVGLGLLSIIAGVVILIIRKRRKRYTDDEEILNMDVKPYTFSYSELKTATQDFNPSNKLGEGGFGPVYKGNLNDGREVAVKQLSVGSRQGKGHFVAEIVAISTVMHRNLVKLYGCCYEGDHRLLVYEYLPNGSLDQALFGGDKKTLHLDWPTRFEICMGVARGLAYLHEEGSVRIVHRDVKASNILLDSNLVPKVSDFGLAKLYDDKKTHISTKVAGTVGYLAPEYAMRGHLTEKTDVYAFGIVVLELVSGRGNADESLEGEKRYLLEWAWNLHEKSHQVELIDRELTEFNVEEVKRMIGIALLCTQASHAVRPPMSRVVAMLSGDVEVSEVTSKPIYLPDWRFDDTTRSSFSAFQTKDTGASGSYSTSFVTPAENDFKPMLGVKINEGR >A02p058410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35049330:35051313:-1 gene:A02p058410.1_BraROA transcript:A02p058410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVPSKFQGTSPVDIPIVDLSNPNEDLVARAVVKASETWGMFQVVNHGVPIELMRRLKELGTEFFELPEKEKEAVARPADSTDLEGYTTDYKKDGEGRKTWADHLFHRVWPPSRINYRFWPKNSPDYREVNEEYAKEIKKLSEKIMGWLSEGLGLHRDALKEGLGGEKVEYLMKIIFYPPCPKLELLYGAPHHTDLNGITFLIADEVDGLQAYQDNKWVDVKYDDSGIVVIIADQIKRMSNGRYKSGEHRATMDMVRTRLSWPVFAEPNLDHVVGPLPELVVDDAPKFKPYVYREYKFLKMNKLPLEIETTPLPLLLDAGKARGRRRREICYIFLMVSLALSQSSRLVSCFALTQSVSLDLVPSKLEQTFVVSAQVFKTTGTASFTGVSRKSCTRSTFHLLPYSEFALHMCFRLSQERVA >A04g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8395422:8396404:-1 gene:A04g503970.1_BraROA transcript:A04g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDVRLLEDYVSPANIPFIRSLAISSAHHRDTFCWSYTKNGQYTFSGYGWVWMDSLGKIQILGIRNYPWQEFALHSEMEALRWAMKTMLQHSTCQSFGTDCKDLIAMIKELHDWPSFAA >A03p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13587998:13590540:1 gene:A03p032110.1_BraROA transcript:A03p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLPSKVLEHILSFVDSNEDRNSVSLVCKSWFETERRTRKRVIVRNCYAVTPEAVARRFPEMRSLTLKGKPHFADYNLVPDGWGGYAWPWIEAMAVKCPSLEELRLKRMVVTDECLEKIAASFKDFKVLVLTSCEGFSTDGLAAIAVACSNLRELELRECIVEDLGGDWLSYFPETLTSLVSLDFSCLDSEVKLSDLERLVSRSPNLKSLKLNRAVSLDALGSLLRLAPQLVELGTGSFSDKLDQEAVSKLSQAFSEMKELKSLSGLWDVLPEYIPLLYSVCPGLTSLNLSYATVQMPNLVELLTRCSNLQKLWVMDLIEDKGLEVVASSCKELRELRVFPSGAEGLNDTNVAMTEQGLVSVSEGCPNLESVLYFCVQFTNAAMITIARNRPNLKCFRLCVMEPFAPDHKTQKPLDEGFKAIVERCKDLQRLSVSGLLTDKAFEYIGTHGKKLRMLSIAFAGDSDLMLHHLLSGCESLNKLEIRDCPFGDTALLENAAKLETMRSLWMSSCCVSFGACKLLSQKMPKLNVEVIDEHPLETRPDSSPVERIYIYRTLAGPRLDMPEFVWTIQKNPEIGVSHLAIK >A02g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14963331:14964307:-1 gene:A02g504590.1_BraROA transcript:A02g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIVTLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLAGLGRRSRSAAPSSAPQAYVDPEVLTAQLRDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A02g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3594190:3595354:1 gene:A02g501120.1_BraROA transcript:A02g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGSVSAFSPLKTDTLKPGQQLRDWEQLISSGGVFTLGFFTPTESSTFLLGSAGVRYIGIWYQYGPINPVWVGNPTESVSDSSGSLSIDTNGNLKITRANASPIMLNQHLSLAGNVSATLLDSGNFVIRNIGPGGVPGRVLWQSFDHPTSMLLPGMKIGFNLRTKKEVSVTSWTSNQVPASGAFRLGLDPSGANQLLVWRHEYLDYEFKFGSDKYMKYFSYSLKKTNGSVYSSWSLDTLGQITVTNVLRSNTSNRWISESSQPCTTDLKNSSAICITAKPTACRKGSEYFEPRRGYMTGSGYYGDSLSFGISDCHGSCWRNCSCIAFQSFSDGQCQYWGKGSKFFPYDSFAFEQKIYVLDSVK >A05p020570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9734992:9737326:-1 gene:A05p020570.1_BraROA transcript:A05p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGTFSDIATVRPSFQAHLVPQSIKHAKTLPNPWIQSTFSKSLKFYTAHLHVRRGKFLITAVATAETKYPAHKEHEQSYSLSPSDGGEDVDGREKLRRMRISKANRGNTPWNKGRKHSPETLQKIRERTKIAMQNPKIKMKLANLGHAQNEETRLKIGEGVRMRWARRKEMRKVQETCHFEWQNVLAEAARKGYTDEQEFLWDSYKIMDQQNQLEWLESVEQRKAVRGVKSNRRAPKSPEQRRKIAEAIAAKWADPAYRERVCSGLAKYHGIPEGGERRHRRPSGNAEPRKKNPTSKITREPETERQIKVKVVKVRKRRTPVYKDPLASTKLEMIKSIRAKRVAEESKKMDAVQRARLLIREAEKAAKVLEIAAMTSPVAQASLLESKMLIAEATQLIESIEMKQVASDEDGTSPQPSNSLLDVDTESKTEDTTDQEQPGDVNGTYTFTINGESLHLNMKPSDLRTFNIEGPTKQPNGTRVYPPPESNGSVKLPNGFNVYQGMEEKAESLESGNVTKKWVRGRLVEVTEAA >A10p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14117951:14119844:1 gene:A10p020890.1_BraROA transcript:A10p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRWNTKKSRLPVAGLLFMLALAVTFMVLYDERSIHHDNTNREQDLQETSILTSFVHPNLPPRNDLEVLDRFSRCNSTNEYSGKKIGWVDHQGSDFVAAAAKEEENICDVFSGKWVFDNSSSYPLHKEFDCPYMSEQLACERYGRPDLEYQHWRWQPHPPCNLKRWNVTEMWEMLRGKRMMFVGDSLNRGQWVSMVCLLQSVIPRDKQSMSTNASLTIFEAQDYNATVEFLWAPYLVESNSDDPVNRKLDERIIRPDSVLKHASMWQHADILIFNTYLWWRRPRPVKLQWSSKEKGSCEEVKGAEGMEMAMNTWAHWISNNVDPNTKRVFFVTMSPTHIWSREWNPGSEGNCYGEKKPIEDGSYWGSGSDIPTMRMVEKVLRRLGPKVSVLNITQLSEYRKDGHPSVYRKFWEPLNDEMLKNPASYADCNHWCVPGVPDVWNQLLFHFL >A05g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14405626:14406644:-1 gene:A05g505190.1_BraROA transcript:A05g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQHTAKPPVSCKLIAEKEASPKTKQGRSSEKPAAELTKAWVVKSFAEKLRILIRKQSVETPKELKKTIQRRVTLKLLLGIVIPAWRLRKRHQIQGKPHPGNRSLMTNAPRNLQRKNKRAEAIGDDRGGRDAICLSDSKSLIDIITGKKVVVALRGILHDIGVLSASFNSISFSLISRTCNEPADRLAKNALYRPDRAMGNSEWFSLYSNSSSQYLRFMGSDHRPIITHLSNRKKKGWKKFCFDKRWTSKSGFENIVQEGWTDIESNDDISLHDRIKR >A08g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10136229:10137700:1 gene:A08g505890.1_BraROA transcript:A08g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDHRVEKPEASAQNDFSVKTNDSHLIAARKHSEDDGISSMDRLPHSYEREEGERTSQTMRRIPCREATKDPNFCNTKTTAHCLGEYYAPGQGSAADGSSQANDIDGKKKIKWARNSLQEYNRKSNDDPKVSIQSYGNEIDVWSEGIILIILLCGVPLFWAANILLIVSSI >A07p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4181093:4183636:1 gene:A07p001780.1_BraROA transcript:A07p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 6 [Source:Projected from Arabidopsis thaliana (AT2G19430) UniProtKB/Swiss-Prot;Acc:Q8L4M1] MGGEFLPGRFRGNSSPPCSSSPTTAVFCNPKNHQKKMYGDATNWNEDEYRESILKEREIQTRTVFRTAWAPNPNPDAFVVASSDGTLAFHSMSSLVSQSAAFGYSKGEDFMVAAPEGVVRAHEGPAYDVKFYGEDEDALLLSCGDDGRVRGWKWRDFADSTENLVKPLLELTNPQHKGPWGALSPMPEINAISVDPQSASVYTAAGDSCAYCWDMESGKIKMTFKGHSDYLHCLVSRSSASQILTGSEDGTARIWDCKTGKCIKLIGCQEKKSRFRISSMALDESESWLACGQGKNIAVWNLPASECVSTISSPAHVQDVMFDEKQILSVGAEPLLRRFDLNGALLSQIHCAPSSAFSVSLHPAGVVAVGGYGGLVDVISQFGSHLCTFRSSLL >A06p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1108570:1113587:-1 gene:A06p004360.1_BraROA transcript:A06p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFSNLRGPRIGATHDELPLANDSSSSSSPSSHSSSIRRKLSNLLPICVALVVIAEIGFLGRLDKVALVDTLTDFFTQSPPPPSRSERKIGVVGTEKSCEEWLTREDSVTYSRDFRKNPIFISGGAKDFQSCSVDCTFEASAGKTADATFGLGHKPETLGIIRSMESAQYYPDNDLSQARRRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKAIAAAFISNCGARNFRLQALEALMRTRIKIDSYGGCHRNRDGKVDKVEALKRYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGAPNIEDFAPASGSILQIKSMEDVEPVAKRMKFLADNPAAYNHTLRWKYEGPSDSFKALVDMAAVHSSCRLCIFLATRIQEQEEKSPSFKKRPCKCTRGGSDTVYHVFVRERGRFEMESIFLRGKNLTLEALESAVVAKFKSLKHEPVWKKERPASLKGDNELRVHKIYPLGLTQRQALYNFKFEGNSSLSTHIQHNPCAKFEIKDEIERCIKKYMNLEQTITYLHEKYHIHHVITSAIWERLQEGNPDFFEEYYKRCEVARQIAAFNDLLAQQVDLMHKLREIELSNVAPGDGFTNNSGSTATTGSDATKETRKKNQ >A05g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14841580:14847903:-1 gene:A05g505380.1_BraROA transcript:A05g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKGGNLFHNFFTFLFFSKIFLKKLSIFLSTLFLYSLKRSVKMSSKKKIARKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVMVHRGVKEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEIFVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVLKKFISNFNSWKTFFFFVRMDAAFVEESCIPLFRRLPNDRPFINPFAPFPEVIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDQNPVEAPTAVPESSCWKGKDVNLGDIEFSMDDSMLPGWDPNLAYGDGSGSSDAPIPDFDDLFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASGGLVILLSVFGQVWPFEFGFCLGLDGRICIYRDWPLVALNPLPLCAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLLFFLLRFLPDSHRFKVLDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKMIIFTIFGPEGAADKSLNVFRRVLKLSRGLRIYVELRRPMRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNSQKIVDIRCLVSGFPSLSVFTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVYILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTEGRSRASPALSSGVAKSSLFPRILVVPRGRIAWVLAVKVSTCLVKVANIFLNSSSVAALACALAADTSATGVWRSVPLPPLRGVCTLSASLVDMSDCVWLLRRQTVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPRLESCRRDSYSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSDLHNYFQNFRIYPRKLDIYPSSWAKREPCCGSWAFG >A04g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10308931:10309602:-1 gene:A04g504840.1_BraROA transcript:A04g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDTSLDTLEDSIESRLFPRTIKGTNNKVFSSIDVNPKKTFKLRGRRLQPYFLLRVDDVLRIDPGKNMIIIHDKGSKARWRIVFTGKNSFIIRKIASIYKLFKRYQNSGRKFSQLKNHLCTQNAKFKDVLSSMQCN >A03p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1641610:1644370:1 gene:A03p003770.1_BraROA transcript:A03p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein YLS8 [Source:Projected from Arabidopsis thaliana (AT5G08290) UniProtKB/Swiss-Prot;Acc:Q9FE62] MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVFCSLPCTNINYLLTLPHLTLPILLPHLPLLILLPHLPLPHIDMDMDYSYNEASDNESSTCESAKGNRLLYSLPPHPGFGTNGRIIPLVSNHFQVTLANLPEYFYRYRVNFVHNVGCSPIDQKGIGRELIDLVHRVYDSDFVGIDFIYDGDHMVFTLGSLPDRHNDFPAVLGMNSSTRTNPLKSVEVSFNAARNLEIPLQSLVNAMRGQESPNSLEAIRVLDIILHQHAARQGSLRVRRSFFHNESREPIDPNILGCRGFHSSFRTTQGGMSLNMDVKTTTVIKPGPLSDFLIADQGARDVFDVDWSKIRARNGLKWLLRDDVVPSTHLHSGWAVDQAILTEEERLVVIRFGPDWDETCMQMDEVLASVAETIKNFVVIYLVNISEVPDFNTMYELYDPLTIMFFFRNKHIMIDLGTGNNNKINWAMKDKHEFIDIIETVFRGARKGRGLVIAPKDYSTKYRY >A06p056450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29385709:29387169:1 gene:A06p056450.1_BraROA transcript:A06p056450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 56 [Source:Projected from Arabidopsis thaliana (AT5G43980) UniProtKB/Swiss-Prot;Acc:Q8GXV7] MHNLLVKKKEKKHNFEDLSLSVMKPIYQFFAVFWLFFLLFTVISGDNNKNLIFKGCASQKSPDPTGAFSQNLNTLFTSLLSQSAQRSFASTTAGTDNTTAVTGVFQCRGDLPAAQCYDCVSKIPKLVSKLCGGGGDDGNVVVAARVQLSGCYIRYEISGFRQTSGTEMLFRVCGKKQSGDPGFAGKRDTAFGAAENGVKTGGDGGGFYAGQYESVYVLGQCEGSLGNSDCGECVKDGFEKAKSECGDSISGQVYLHKCFVSYSYYSHGVPNISPLSDGEKRQHTQRTIALAVGGVAVLGFVIVCLLVLKSAMKKKSKYDSY >A05p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3191636:3193582:1 gene:A05p007860.1_BraROA transcript:A05p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB25 [Source:Projected from Arabidopsis thaliana (AT2G39880) UniProtKB/Swiss-Prot;Acc:O04192] MNSETVNQTPPATPSTPFYDSSEDAINAAVDFELAAVREVGGDSNGSNGGGGRSKAKGPWSTEQDAVLTRLVNKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEENLLMSAHAVHGNKWSVIAKLLPGRTDNAIKNHWNSALKRKHADLWNNHHSISNTVNASSSRKEETNHHPPLVSSTDVKMEDVASKPREGKPGVYRPVARLGAFSVYKPGYMNQRVAPCEGPLVQAARPGSLGGQFLQSLCYQPNVPSRCGHGCCNQQPEKRLLSLVLGPEFVDYEEHSSVGLDQELVSIATDLNNIAWIRGGLNNSYAREVGERLNADSRFHFEHARARGKFTGMVNNGMPSQMLRQDLRALI >A02p021220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10046794:10047660:-1 gene:A02p021220.1_BraROA transcript:A02p021220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTDHRLMEESSSSSSHYFSIFTNYPLLSAVLAFTMAQFIKFFTTWYKEKRWDLKRLVGSGGMPSSHSATVTALAMAVGLQEGFVGSLFAMAFILTSIVMYDATGVRLHAGRQAEVLNQIVYELPSEHPLAESRPLRELLGHTPPQVIVGGILGTATAVVGYLVSLTAK >A08p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21907080:21910244:1 gene:A08p037570.1_BraROA transcript:A08p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPTATEAQIKKAYYIKARQVLGEAYQVLSDPGQRQAYDTIGKAGISTEIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDILSEGDQIDTKKIIEKMRAVQKDREDKLAQILKDRLNLYVTNKDEFISNAEAEVTRLSNAAYGVEMLNTIGYIYVRQAAKELGKKAIYLGVPFVAEWFRTKGHFIKSQVTAATGAYALFQLQEEMKRQLSAEGNYTEKELEEYMQSHKKVMIDSLWKLNVADIENTLSRVCELVLQDPTAKREELRARAKGLKTLGKIFQKIKLTSESDPLVRAELHKINENGQDRDASSTSPNSDEASYSTFGPQEPQSPYVEAPRVGVEQFDHYFPRPAPPPGAQRHS >A02p042660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26771251:26772139:-1 gene:A02p042660.1_BraROA transcript:A02p042660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MSSTPTDPLQFLIQRSQNYKSHHLLQHFPARSSAVLVCIYQEHGKDGKDLRVILTKRSSTLSSHPGEVALPGGKRDEEDADDIATALREAREEIGLDPSLVTIISVLQPFVNKKGMSVVPVIGFLHDKNAFNQLPNPAEVEDIFDVPLEMFLKDKNRRAEEREHGEERYLLHYFDYYVEDKERNFVIWALTAGILIRVASIVYQRSPEFQELKPSFWKQPN >A09p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2679861:2683687:1 gene:A09p004840.1_BraROA transcript:A09p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITQVLVLFASALLLSSMLFTGVDSTRSNETWHEHAVENPDEVAAMVDMSIRNSTERRRLGYFSCSTGNPIDDCWRCDRKWKLRRKHLADCSIGFGRNAIGGRDGRYYVVSDPGDDNPVNPTPGTLRHAVIQDEPLWIVFKRDMVITLKEELIMNSFKTIDGRGVNVHIANGACVTIQYVTNIIIHGVHIHDCKPTGNAMVRSSPSHYGWRTMADGDGISIFGSSHIWIDHNSLSSCADGLIDAVMSSTAITISNNYFTHHNEVMLLGHSDTYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPSNRFAKEVTKRDYAGEWQWKHWNWRSEGDLFLNGAFFTRSGSGLGASYARASSLAAKSSSLVGVITSNAGALNCRVGRRC >A03p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7987652:7991753:-1 gene:A03p019560.1_BraROA transcript:A03p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHQSEEVQQIEKLYEFSERLNASKDKSQNVEDYEGIIKMAKTSMKAKQLASQLIPRYFKFFPTLSTEAFDAHMDCIDEENIGVRVQAIRGLPLFCKDSPDIISKIVDVLVQLLNTEVSLSEEETMERDAVHKALMSLIRQDPKASLTALFTHAGVTPTTDDQIREKVLNFIRDKVFPLKGELLKPQEEMERRITDLIKQSLEDVTGGEFKMFMDFLTSLSIFGAKAPQERMQELVEIIEGQADLDAQFDVSDTDHIDRLISCLQLALPFFSRGAPTSRFLNYLNKHIIPVFDKLPEERKLDLLKALADISPYTTAQEARQMLPSIVQLLKKYMPARKTGEEMNFTYVECLLYAFHHLAHKVPNATNSLCGYKVVTGQPSDRLGEDFSELNKDFTERLTTVEDLTKTTMKKLTQGMTEHNKAMSAAKTDEEKASVKTKKQNTTTGLRTCNNILAMTKPLHAKVPSFIGDTNINLSWKEATKPLPSAATTTIGGKRPANSNTGSGNNVSAKRGRGSGGMSNQLVNKAFQGISSHGGGRGGNRRRGGGRGRGQGRGHW >A08g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6640014:6640724:-1 gene:A08g504020.1_BraROA transcript:A08g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTNTGNRLTSMLLSGILVPCYGVVSYGVKLDLVELGQSLVVIDVIFLVVGLPSIVSTRLGFQDRLEDGSTVWIVFFSVYALYAWKVVYGLKLKLWFLGGWLPFLSTQVCGVSLLELWFLLFKVLWFFAGISALYVFV >A01p003170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1436449:1438476:-1 gene:A01p003170.1_BraROA transcript:A01p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD5 [Source:Projected from Arabidopsis thaliana (AT4G35590) UniProtKB/Swiss-Prot;Acc:O81791] MAHSLTSLAIFQGVNHKEMIRSLHVYGSVEIEREFLFERKGRYVEKKAKPLFCSEGLQRPEISLGLVFGTWRCIFLFRSNHSLPQFPTLLCPSRNPKLVDIPNLANVLKFISELKPKKPYFDQPKQLDLVVKEDRVGYGEVDQAKPQRFALKQDLNRLPDSESESEESEDDQNEHSESDAKTEILKKRRTPSQHVAGLCLEDLSKYFGVPIVEASRKLNVGLTVLKKKCREFGIPRWPHRKIKSLDSLIHDLQEEAEKQQEKNKAAAMVVAKKQKKLEREMRNIVERPFMEIQIETKRFRQDNFKKRHRASRAKKNQESLATSSST >A01p018490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8971218:8978368:-1 gene:A01p018490.1_BraROA transcript:A01p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAASAGKWRTAFLSLRDEISTTPQPPVPRLLQDLIFSHSDSLLSAVSLLPPHELTSDCLFLLDLVSKADGPVWIPVSLHTCQLIHDVCARVLLQQNSSSWPLLLHSFASVLEFLLRQPMPSPSSTAYYSRIEPVIQSFETLRRLAALYQRNSSHPENIHLVKFVLRIIPFLYHDLVASYGCSNQDPTGKKLLSLWDAMALAFDMFGGAFSVSGSLFPNDVCQSTLEVLRKVMDVLASKGQLVEDRSMWRFYSCLLDCVHVVLTNIKCPVSDHVSSFIAALRMFFGFGLNGPPQFSHPDVVRKDNQLNVKLSPLRSGVSKNANDTPYRPPHLRKRDDLNSKLPESCDWRRLSAQDSASSDVILSDSDFSDSDGSIRDSYGAQSSKVRIAAIVCIQAIFYSSVKDLCQADSKSFTTQWMTLVPTNDVLNPRKLEATLMTCLLFDPHLKVRIASASALSTMMDGASSIFLQVAEYKESSKYGSFTPLSNSLGLVLMQLHTGILHLIHRDNHGRLLIQLFKFLLLLISSTPYSRMPGELLPKVIMSLHARINEGFPFKNDQTGLLVAAIGCLTAAFSTFPPQMNVHSMLLDEASAGFDGSERKSGVIFTLFRFAEQYSDASTCIEALQVLRAMALNYPTIVSACWERVSVLVYKLLQFAVVEDPAKTWKASVKEPVGYVGDKILTAAIKVLDGCLRAISGFKGNEDLHFDRIIDTPFTSDCIRSIRISSAPSYGFENSEIAQEQFSQAGCYQWSEAIRKHIVLVLHSGSAVVRSTVVTCFAGITSSVFVSFSQQERDFVTSSIINAALHDKSPSVRSAACRAIGVVSCFPETSLSIGIYEKFILAVEANTRDPLISVRVTASWALANVCEALRYRVNYTSVEGSNTTSQVVDSLIECALRLTEDGDKVKANAVRALGSISKYVKLRCMTSNAVDSSWLERTVQAFLSCVTTGNVKVQWNVCHALSNLFSNETVKLQDMDWAPSVFSILLLLLRDASNFKIRIQAAAALAVPVTPLAYGRSFPDVVKGVWHTLQNLNSDRETTPTNFKYKKSLENQLTSTMLHLLSLVSSGRCEALTDFLLKKASLLEEWLRGLCVTLKEEDNASGSGSTSTSGEKQKKELISRAIRSLARSLEAGHNPEMALKLQELDSNVK >A06p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5876417:5877855:-1 gene:A06p012960.1_BraROA transcript:A06p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKLKPLPTSDGGEIADTTPREKKHKKKKNRKRAEPDPDVPSTREPRDSGVDEDRDGGVVEDADNEPTMGDKFESLHLLEGEKQSSKDSAPVDDKPPTAASVNVLLRQALHADDRSLLLDCLYNRDEQVIANSVAKLNSAEVLKLLNSLLPILQSRGAVLACAVPWIKSLLLTHSSGIMSQESSVLALNSMYQLIESRVSTLHTAVEVSSSLDLLVDDLDDEEEEDEGPLIYEDKDTDDEEGQGPDEAMETDEDADESEDEAADGVNECEDFDDMSD >A09p024070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13326248:13332049:1 gene:A09p024070.1_BraROA transcript:A09p024070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein WDS homolog [Source:Projected from Arabidopsis thaliana (AT5G43920) UniProtKB/Swiss-Prot;Acc:Q9FND4] MENNEVLGSKGLLKKHEFVRILIQCLYSLGYKNSASCLEQESNISCKSSDFEFLEKQVLTGDWDSSLAVLDRVFDDSRDDSRRNTALYLVLKQCLSEYLKRGETSLALSVLQKQAQVFRVGREKIHMLAFDVITSKEMEPGEVDSGLVQDLRRRLLAELEKLIPTPVVIPGRRLEHLVESAVMNQIDTCIYHNSWDAVSLYEDHRCGRDQIPSETVQVLVAHKNEVWFVQFSDSGKYLATASSDCTAIVWKVLDDNEVELMHTLQSHQNPVSFVSWSPDDSKLLTCGNAEVLKLWDVETGVLRHTFGNNDSVFTVSSCAWFPDSARLVCGSSDPERGIVMWDTDGNEIKAWRGTRIPKVVDLAVTPDGESMITVFSDKEIRILNLESLVERVVTEEQAITSLSVSGDGKFFIVNLSSQEIHLWDLAGEWKQPLKFTGHRQSKYVIRSCFGGLDSSFIASGSEDSQVYIWNVKNKKPLEVLSGHSMTVNCVSWNPRNPRMLASASDDQTIRIWGPGKPNRLDSQKQVYEFLASEMTQPTCSPQRQSSPRSMIPPRKSVASFHRRRGRSVLSMLLQREISPKARFAPRKRWSKTRSYTMKQTGHSLLSWAEAESLQHLSAKYCSFGVSPKSTIAAAFSSDGKTLASTHGDHTVKIIDFQTGNCLRVLTGHHRTPWVVRFHPRDSEIVASGSLDQNVRVWNVTTSECIKSHDFGKPIASIAFHAEGELLAVASGHKLHIWHYNGSGEESTPVVVLKTKRSLRAVHFHPHGAPFLMTAEVSEIDPLDSSMSIATSVGHLRYPPPPAIVFTRRESSQDLPLVPTPQTVGRNRSDRPELRNIRRLIQGWFLPEGHSLEGGVSFPVQPLANRVQPEFATPLSAAATELPCTIKIKVWQHDVSDPFALMKSDTCLEMGAHFSPCGRYLAACVACVVPHGEIDAGLQTLAQQDSGLATSPTQHPLTAHPVIYELRVYSLQKESFGSVLVSREIRAANCLTSIQFSPTSEHIMLAYGRRHASLLNSIVTEGEATSRFFRVLEIYRVSDMELVRVLPSSEDEVNVACFHPSPGCGLVYGTKEGSLRIFQYNTGATSNFSGPNTYPEENLSEVA >A03g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:381867:383011:1 gene:A03g500130.1_BraROA transcript:A03g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEERDEKAGDCFSGGRIRCAWITPKSVIKPASLVFFRNYPRNTFFSKDNHSDNYDQCSSIRACRHKQDTIHELSPVVKRMIRKAIDHVSLSILTRGGDGRVIVDACCVPANAQAPYCAATQLSGSRIWIFSICFTHHYSEYVSQR >A07g501810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3724227:3724562:-1 gene:A07g501810.1_BraROA transcript:A07g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGPEFCRINLRFLTASTHRCLFYWFLRVGMDSQGPGLLSLWSVYNVWSGPRRLRWPDQGLVTPASPVRQLESPLGWSAVPQTVLRLSALLISSLVAGSDNETKLRSPVA >A03p015840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6292919:6294505:-1 gene:A03p015840.1_BraROA transcript:A03p015840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFEVLESRFKELESEKLVLEEEVRKLKESDDDSLVEQMMVNKALEFEKQVAEGRADEWKRKFEKLAETVRKLDELGAFRVGEVELDEDVKVGLELAGLGKKKIESLCREGLVNHQVAGCTTPCVTTPVKDYMSGRNRDDVSSRRRVNKMLVFEGDDGKCTDVVDLSDSETEERSVGEEECEDEDVEACEGNTNARKRKRVITSDSENDDDDDNIPIATLKNLKQPPQKHEVDAPSAGENNVSGGSRRQRRVSSRLRKQRRPLEEIEGHRTERLVGIPITGNAHDDDDTEEEESERESEGESLNGFIVDDDESASEEGSDGAVPEEEEEEEEGSDRETGYADVIARLRRDKKPGEHKWDLEGEMLAAFGKDPELCMRAVCVLYRFQTEGEKATRSSHVANGRGFSMADADRGTRIGQFLTDGDPEGDLKKSVEELRRFECNAVEICSDLARHYSKQLFEIYNNREDPFFAAPPSP >A01p056840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32293652:32295494:1 gene:A01p056840.1_BraROA transcript:A01p056840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSSSGNAPAFKAKMTVYVFVCVVIAAFGGLIFGYDIGISGGVTAMDDFLKKFFPSVWEKKQHVHENNYCKYDNQFLQLFTSSLYLAALVASFLASAVCSKLGRKPTMQFASIFFLIGVGLTAGAVNIVMLIIGRILLGFGVGFGNQAVPLFLSEIAPAQLRGGLNIVFQLMVTIGIFIANLVNYFTATVHPNGWRIALGGAAIPAVILLFGSLIICETPTSLIERNKNEEGKEALRKIRGVDDINEEYESIVNACEIASQVKDPYRKLLKPASRPPFIIGMLLQLFQQFTGINAIMFYAPVLFQTVGFGSDAALLSAVITGVINVLSTFVGIYLVDRTGRRFLLLQSSVHMLICQLIIGIILAKDLGTTGTLGKPQALVVVIFVCVYVMGFAWSWGPLGWLIPSETFPLETRSAGFAVAVSCNMFFTFVIAQAFLSMLCGMRSGIFFFFSAWIIVMGLFAMFFIPETKGVPIDEMRERVWKPHWFWKRYMLAEDDHQDVEKRT >A08p042710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23903019:23904760:1 gene:A08p042710.1_BraROA transcript:A08p042710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLKVSKNANDDDLKKSYRRLAMKYHPDKNPTSKKEAEAKFKQISEAYDVLSDPRRRQIYDQHGEEGLKSSDLPSAAETASAAAAEKQRSYSSRRDDSEFRYYPRDAEDIFAEFFGTSRDSFAGGGTSGDGGGRRFKSAEAGRQAKTPPANKKAAPANRKAADIESALPCTLEELYKGGKKKMRISRLVPDEFGKPKTVQEILKIDIKPGWKKGTKITFPEKGNQEPGVTPADLTFVVDEKPHPVYTRDGNDLIFEKKVSLIDALTGVTVSLTTLDGRNLTIPVLDIVKPGHEIVIPNEGMPTKEPLKRGDLRVRFEVLFPSRLTSEQKSDLKRVLGGS >A05p041930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:25389713:25390930:-1 gene:A05p041930.1_BraROA transcript:A05p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQARLPWELEEEILTRLPPKVLVRFRTVCKQWNSLFNDRSFIYNHLSLPRPQFILLTKYTIYSIDITDPTMKLLERHTSRLGLCLHNANITTCDEFLFFNYPRPCEKKTSLWNPWLRQVKWINLVVDKHFDVFGLGYDNSRPEKVYKMLGYMRCPSKVQTNSYLQRVSMEVVDNNVYKMLEYFRSPPEDQINVYPDRVVIYECAFQTLRFIDTPDEDMPMSETAKSSHVSLNGNLYWIACNRQTREYFIQSFDFSSEIFKPVCLLPFQESPSCDEHVLAVFKGDRFSLLMQCYLTRKIDIWVTKRKIDDTEEVVWMKLMTLTATNLPKLFNKLYGVSYFIYDKTLVMCCGDDESGKPCIYIVKGDTCDKIHIGADLNSLASRITWFSHCAYVPNWTSVPLEF >A05p016930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7552977:7559901:1 gene:A05p016930.1_BraROA transcript:A05p016930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQSKSTQPVELTYLSRKLIEQLHRRRTVSSPSTAFLFSDRRNPNKRWGTRGPRLKMQLASNLPSKQLGFGLLRSSKTFEPPLTKATYTFEHNLSNSERRVIHLMCRKMGLRSKSSGRGDQRCLSIYKRSHKNGWKSNREKLRCVSFPPGADAILQDLFTHYPPCDGDAAETSFNKYVGRSGKQGRWRDDDFFRKPQISCDEIVEKAASFSSRLRKDKALQEISKLRSKLPIASFRDAITSAVESNQVILISGETGCGKTTQVPQYLLDHMWSAKKETCKIVCTQPRRISAMSVSERISCERGESIGDNIGYKVRLQSKGGRRSSVVFCTNGILLRVLVGKGSVSYVSDITHIIVDEIHERDCYSDFMLAIIRDLLPSNPHLRLILMSATLDAERFSGYFGGCPVVRVPGFTYPVRSLYLEDVLSILKSGGDNNNLCSTNLSIPVQNLTDEDKLALDEAIILAWTNDEFDALLDLVSSQGSPQVFNYQHQSTGLTPLMVFAGKGRISDVCMLLSFGADSILKSKDGMTASELAEAENQLEAAQIIREHAENSQSNSQQAQQLLDKYMATINPEQVDVSLILQLVRKICGDSEDCGGAVLVFLPGWDDINKTRQRLLDSPFFKDSSKFDIICLHSMVPAGEQKKVFSRPPRGCRKIVLATNIAESAVTIDDVVYVIDSGRMKEKSYDPHNNVSTLQSSWVSKANAKQREGRAGRCQPGICYHLYSRLRAASMPEFKVPEIKRMPVEELCLQVKILDPNYKANDFLQKLLDPPVDQSIANALSILQNIGALTPEEELTELGEKFGHLPVHPLISKMLFFAVLVNCLDPALTLACAADYKEPFTMPMSPVERQKAAAAKLELASLCGGDSDHLAVVAAFECWKNAKEKGLLAEFCSQYFVSPSAMKMLDQMRSQLESELKRHGIIPSDISSCSQNSRDPGILRAVLAVGLYPMVGRLCPSFGKNRRSIVETASGAKVRVHSLSNNFNLSSREYDESLVVFDEITRGDGGMHIRNCTVARDLPLLLISTEIAVAPIESSDVDDSEEEEEEEEEGDSSNEERININKVESRGGEKVMSSPENSVKLVVDRWLPFKTTALEVAQMYILRERLTASILFKVRHPRENLPPHLGASMHAIACILSYDGHAGLSSKHSKIEMYETGGCEEKPNSFLSSLFWSLSLKETKPSSRKRKRNRQHGFNTAPTEASSMPRQQSNTQRKPESANSLVSGNKKENKPCDQVCGNQQPNTAPREAAVSIAKNQSSKKSKTRSGNNSDSGSNRKERKRMAYSACFLQPSTLTSSTARSSSFPSSLHHVSFSRPVHLVCRAQQAQEEVNSAISRRLALTFLVGAAALGSKVSPADAAYGEAANVFGKPKKNTDFMPYNGEEFKIEIPSKWNPSKEVEYPGQVLRYEDNFDATSNVSVMITPTDKKTIADYGSPEQFLSQVSYLLGKQAYFGETASEGGFDANAVATANILETSTQEVGGKKYYYLSVLTRTADGDEGGKHQLITATVNGGKLYICKAQAGDKRWFKGARKFVENAATSFSVA >A01g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20769980:20778370:1 gene:A01g507130.1_BraROA transcript:A01g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGPTNLVPRVEDIRALEREIARQRREVEQQAHLQRLGFDMENLPQDGEAQGGNGRSLTFSTTTTSKIPNQTTSKEDTSRGKTLRKGAISLGKTPLLVSIIPTISLLKLKEVLHKLQLQIQDMGKDRGPGTDHMMDVGTSSRAGTRTNPPRAGRPTPNPPAQVSRRRAPQQKEKSPADRATLEAEIEEMIEEGLRAETEDEEEEAPAPKPAKKRKRVPPTSKPTSAQLYERLYNDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKDVSCEFMSTFSVRYHCDAHRTEGFGRISFEINEKTYKVGFKKLSSILGFSDNRGSFLPARSAIMDDIWAVLTHTFYSRREPGGANDDELKLLALGLLPILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIGSFITPILEAFGIDLGPRDHAPASIDLAYLKKTHFLSGQSGDRYGYPFWSTDLNPEQLQIFLPCERLTTLSDPRHVLFAPSAHELIPADFGELETITKVRKKKTRASSSRAARPSDADDEGPTTPAPVYGTEKYHFQPYGGVTPNIALRQALSQNAKLLRWNKMQDSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVSAGAGPSTLPYPVFYGPPRSPEYRL >A04g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11103386:11104637:1 gene:A04g505080.1_BraROA transcript:A04g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLVSLRPGRLDIRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTSDYKTVKLADFGLAREESLTEMMTAETGTYRWMAPEVLTHMHHDQIIYLVLEICLIPNYGLIKQLYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEELAMIVTSCWKEDPNDRPNFTEIIQLLLRYLSTISAPELVPPSMKRVFSSENTVLPPESPGTCSLMAVRDGDQITTDTNSPEKEGRGSFFFCC >A10p031080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18669462:18671362:-1 gene:A10p031080.1_BraROA transcript:A10p031080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKLLLKHRLHNLISSPPSPDPFGVSTRSDESVAAANPSFTDGVATKDIHIDPMTSLTVRIFLPESALSPSELRRGDDDSHPHNPRSDRRHSHVVIPLNQTSPERNESRRKSYEPYGGYAPSAKRSSRKLPVMLQFHGGGWVAGGSDSAANDFFCRRIAKVCDVIVLAVGYRLAPENRYPAAFEDGVKVLNWLGKQANLADCCKSNRRVNGVELKKLNVQGHIVDAFGASMVEPWLAAHADPSRCVLLGVSCGGNIADYVARKAVEAGKLLEPVKVVAQVLMYPFFIGNNPTQSEIKLANSYFYDKSVSALAWKLFLPEKEFDFDHPAANPLAHNRSGPPLKLMPPTLTVVAEHDWMRDRAIAYAEELRKVNVDSPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >A06g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12864700:12866113:1 gene:A06g504150.1_BraROA transcript:A06g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSSLVFSFFSFQLSLSFMKMNHISETHKNKVSHFISSRMHKRLDYGGGERRGLGGGGARRFRSCGGAAERTWSKRLSSLYLAAQNGHPQFSRILLFKYIPSVPNCWSLVKTNKSSVSGFDQSILHEVINRASDRGITPLHVAALKGHMLTVQVLLDLGAFVAQVIVEDGVRQLKTILAHHLRYLYNLRT >A07p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19248654:19253086:-1 gene:A07p035610.1_BraROA transcript:A07p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCLYSNPTFSATLPICFTPTTNIILYLEHDSPPSLRLYNNNNTMRLSKRHFSPLSLCLSVFSSGFRFPGKFQAQRRFLNSSAAGASQLCFKSSMEEQRASALSEGAIQFHNVGSRDGCEAPHKWSSPGGGKKIDLKKQIFCNRSLNMRNIIAVGFDMDYTLAQYKSETFESLAYEGTVRKLVYDLGYPSELLEWTFDWNYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKDDKVDIYGSSLIQDSFDEPDYALIDTLFSLAEAYLFVQLVDFKDNNPEKISKDVDYARMYKDVRAAVDLCHRDGTLKQMVAKEPNRYINEDTTIVPLIKMIRDSGRSTFLVTNSLWDYTNIVMNFLCGGRTVHGPHTCNFDWLQYFDVVITGSAKPGFFHEESRANLFEVEPQSGMLINTDNGTPMPQVGDPSPKILLKSKNKRCRVFQGGNVGHLHSLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEKEVELLWELRDMRKKLILMRNERDSVEDKIHHLNWSLKFEDVNENDKQEMLSALKDLESKRDQVRLSHQQAQRENHQKFHNVWGQLMKTGYQSSRFANQVERFACLYTSQVSNLLLYSPDKYYRPSEDFMSHEFHLLPLE >A02p016590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7447883:7449494:-1 gene:A02p016590.1_BraROA transcript:A02p016590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITDRQNPSAEKKKSLFRLFPFWKSRTTISSSPPSSTQNQPGRNRNRNTDVSKPSSALSISSVARSILPARRRLRLDPSSYLYFPYEPGKQVRSAIKLKNTSKSHTAFKFQTTAPKSCYMRPPGGVLAPGESVFATVFKFVEHPENNEKQQLNQKSKAKFKIMSLKVKPGVEFVPELGRLTARANGAFDEQKDQVTVERVLRVIFLDAERPTAALEKLKRQLDEAEAAVEERKKPLPETGPRVVGEGLVIDEWKERREKYLARQQVEAIDSSS >A10p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4598768:4604064:1 gene:A10p013690.1_BraROA transcript:A10p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIFSVNSISYRVELAIADSTVEGAFGRNDGDDADMADGGPVPIRVETGEGSSDADKNTDAKPGDASAKKRTHSSTKMAKKVDTSSYGFMFQSKDPKFHALPLASQRVAAFEDTVNKLVYDILISKVRQRLGEVSRLPIWSSVEEQTAFALPNFSSYPQAYVTSQLEPLAEGISTNGDSNNEDAQFFATEWMFKVAEGATALYMEQLRGIQYISDRGAQQLCIDIEYLSNVLAALSMPIPPVLATFQTCVATPRDELKDVMKSDAGSELDFPTGISFD >A05p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4267052:4268304:-1 gene:A05p010190.1_BraROA transcript:A05p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPMFCASQASTAICSSMDHIHKPTTVTVADKDDKSSGRAIDRHNPIIKDGRRSTAEDYIRIPASPADGEISNKALENSKGRRSFTGRKSTGGGGGAAALLKLITSDMSLARKSFSCVARPACDLTKTPPGSTRYLLGSDPVALNGSAGQDPVKAVASSPKPPPSEEIEPVSSMTEEKSCGGGGGSDQEEKQVVVLKVSLHCRGCEAKVRKHLSRMQGVTSFNIDFAAKKVTVTGNITPLEILDSISKVKNAQFWTIPTVLPLPNLQTPKP >A07p039890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21197382:21197807:-1 gene:A07p039890.1_BraROA transcript:A07p039890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQKATTEEVKMDLFEDDDEFEEFEINEDWVEKEEVKEVGQQWEDDWDDDDVNDDFSLQLRKELESDKK >A07p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21238469:21238955:-1 gene:A07p039970.1_BraROA transcript:A07p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNALLRCLRVSVNPSPALPSSFALLRRFSEEVRGSFLDKSDVTDRVISVVKNFQRVDPSKVTPKAHFINDLGLDSLDNVEVVMALEEEFGFEIPDNDADKIQSVDLAVDFIASHPQAT >A09p006720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3526322:3526693:1 gene:A09p006720.1_BraROA transcript:A09p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADQENLLSDDYQETAAFCGCGYLCNFWWRRRGDGGWSGNLQEEKGESWWTRKLKGPKEISEKIAGPKWKNFIRSFSNGRKKMKRDVDFTYDLKNYSLNFDDRGGENSSPERFIATPAVVKV >A06g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27280187:27281769:-1 gene:A06g509450.1_BraROA transcript:A06g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMIESKEGAIICMLLALLFLGTWPAIMTRTERRGRLPQHIYLDYTLTNLLAAVTIAFTLGQIGPSRPNFLAQLSQDNKQSVMFAMAGGIVLNLGTLATQYAWAFVGLSVTEVITASIAVVIGTTLNYFLDDRINRAEILFPSIACFLVAVCFGSAVYKSNEDDNKSKLQEFKKNVSSFQMETNPANGLAQEKGSAAFLIELEKQRAIKVTGRSTIIGLAITFFAGICFSLFSPAFNLATNDQWHTLKHGVPKLNVYTAFFYFSVSAFVSALILNIKFLYWPVLGLPRSSFKAYLNDWNGRGWSLLAGLLCGFGNGLQFMGGQTASYAAAGAVQALPLVSTFWGIMLFGEYRRSSRRTYILLTSMLFMFTVAVAVLMTSSGHRK >A03p070320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30916112:30920391:-1 gene:A03p070320.1_BraROA transcript:A03p070320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSFMCFGVVSFFWCVFMYFPIIQVFSGNLFGPSYFMDYWVVGFLVLTAGIILRSRLWIRLTKWKTRDEDEEQEKGTKKGMIPKGSLGWPVIGETLAFIACGYSSRPVTFMDKRKSLYGKVFKTNIIGTPIIISTDAEVNKVVLQNHGNTFVPAYPKSITELLGENSILSINGPHQKRLHTIIGAFFRSPHLKERITRDIEASVGLTLDSWAQLPVVHVQDEIKKMTFEILVKLLMSISRGEDLEILKLEFEEFIKGLICIPINFPGTRLYKSLKAKERLIKIVKKVVKERQVATTERKNSPANDAVDVLLRDVSDGASSDKQSQPLDFVSGKIVEMMIPGEETMPTAMTLAVKFLSDNPVALAKLVEENMDVKRRKLESGEEYNWSDYMSLSFTQNVINETLRMANIINGVWRKALKDVEIKGYLIPKGWCVLASFISVHMDEDIYENPYQFDPWRWDIINGSANSNVCFTPFGGGQRLCPGLELSKLEIAIFLHQLVTRYSWTAEEDKIVSFPTVKMKRRLPIRVAPVERTSHIPVEDH >A04p034240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19863781:19871506:-1 gene:A04p034240.1_BraROA transcript:A04p034240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEEGAVSLEGAVLKRERLCVEGEEEESPNKKQAKEPSNDDIVSEISNPVSSPVENTSRFHPVKSGSGDFGSEETVSDEERSGNEQFVLEIPKHLSSTGVTRITFKLSKQKKEHAWDEKSPKLPKLPKKKVVASTSYPSNVKKLLATGMLDGAPVKYISLTRVRELQGVIHSGGYLCGCTNCNFSKQRVLSAYEFELHAGAKTKHPNNHIFLENGRAVYSIVQELKTAPHGVFEDVIRNVAGSALCEEGLQAWKASFQQSISDRNRIMEHSPVSYNGQSHDESQNLTPCSLTPYSLENHYYREKTYETDALYEPKRIAKKKVTSHVSGTGCHKKVTEGSNRKRDNDLHRLVFMPNGLPDGTELAYYVKTQKLLAGYKQGNGIVCSCCNKEISPSQFEAHAGMAGRRQPYRHIFISSGLSLHDIALSLANGQVITTGDSDDMCSICGDGGDLLLCAGCPQAFHTACLKFQSMPEGTWYCSSCSDGSVSSKKATANGPSGNSKPILIRLSRVVKAPESEIGGCVFCRSHDFSIGRFDERTVILCDQCEKEYHVGCLRENGLCDLKEIPQEKWFCCNGCSRIHTAVQSSVSCGPQTIPGPLSDMIRRKDREKGIITEDGDTVEWRILSGKSRYPEHLPLLSRAAVIFRECFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCLVLIVNSLVVSAALLRIFGQQVAELPMVATSREYQGRGYFQGLFACVENLLSSLNVENLVLPAAEEAESIWTNKFGFTKMTEQQLQNYQKEVQLTVFKGTSMLEKKVDKRSSQNSSRQFLVPFRPICQFPVSLIMNLEIIELSQPLDVSHHRLCVWVFLLVTTMASLEIYGTLQENNVAVFSELWIASRTVLRGAFGPFGGELLVDGTSEQILQKKRYNSNQNHRASSSLAIVLKKEEDDEFVLPYPLLLPQASPFLHPKGHPFTLCSFVASPRRELRVFSVTTTTEDASGNISAAPISLPEGSWKQVAGGVTAAKGFKAAGMYAGLRASGKKPDLALVTCDVDAVAAGVFTMNVVAAAPVVYCKKVLETSKTARAVLVNAGQANAATGDAGYQDMLDCVGSLATLLKVNPEEVLIESTGVIGHRIKKKELLEALPSLVNSMSDSVEQADSAAIAITTTDLVSKSVAVESQVGGTTIRVGGMAKGSGMIHPNMATMLGVITTDALVESDIWRKMVKVAVNRSFNQITVDGDTSTNDTVIALASGLSGSPFISSLNCKEATQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVKGTETEAEAAKIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGVPFQMDKLRISLGEFSLMESGQPLPFDRDGASDYLKKAGEVHGTVTIDLSVGEGAATGKAWGCDLSYDYVKINAEYTS >A09p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1068596:1075195:-1 gene:A09p001410.1_BraROA transcript:A09p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNTRRTWNPRAGPYLGEVSSLAFLNLPQHVSPIPYLLAGSGSEILLYELSSGELIRSFQVFEGVRVHGTVCSGSFVRSGDKYKYKLVVFGEKKVKIFALVVELGSSGGEVSVGLEVVESLPRLSNWVFDVCFLKDEEEEDKLLAIGCSDNSVCVWDVKESRMAFEIHSPDRCLLYTMRLWGNSISTLRIASGTIFNEIIVWRASGLDGDNLGNGQYCASHLLRLAGHEGSIFHIVWSLDGSKLVSVSDDRSARIWEIDSQEVIGPVLFGHSVRVWDCCISDSLIVTAGEDCTCRIWGMDGTQLEVIKEHTGRGIWRCLYDPNSSLLVTAGFDSAIKVHQLHNCGSEILLDTVGMHDSQDKVESFSTRLPNSVQHTGRMDSKSEYVRCLQFTQEDTMYVATNHGCLYHARLLPSGNVKWTELVRIPEEGPIITMDVLPGGKVHESCALDDWVALGDGKGNMTIVRVIGDMYNPLAGSNQTWKASPERQLLGTFWCKSLGYRFVCSCNPRGLLKLWRLSDPLASAASTAYDISLVAEFSSSFGMRIMCVDASAEDEVLVCGDLRGNITLFPLSKDMLNEVSVSPELKIPSSNYFKAAHGISTVSSLSVVRLTSNKAEICSTGADGCICYFEYDRERQALEFMGLKQLKELSLVQSVCQGMHFSKDHPNNEYAAGFSSTDFILWNLTTETKVAQISCGGWRRPHSFYLGDIPEMQNCFAYVKDDVIHIHRHWVGGEKTKVFPLNLHTQFHGRELHSLCFISADTKAGSESEERKISDRSSWIATGCEDGSVRLTRYASEFGNWSTSELLGEHVGGSAVRSVCCVSNMHIIASDIPDIGGEDSVVDDSESPCLLISVGAKRVLTSWLLRNGRQNKKGESLVSDNGDDRASSDVSSVTFQWLATDMPTKSKKIEKSPKLDVVEEDTSVNVTESRSNSYQGRENYEDDWRYMATTAFLVKCVGSRLTICFIAVACSDATLTLRALVLPHRLWFDVASLVPLKSPVLSLQHAIVPLHPPNEGENNNTSSSDAYLLISGATDGSIAFWDVTTSVEAFVKQVSSLHIEKFIDCQKRPRTGRGSQGGRKWKLLGAKRTQDSSSTLVSESAEEDPANGVIPQENGNNESADSLPDTSEIKPSHVVKNAHQSGVNCLHVSRSSSSPSHGNGLMFNVISGGDDQALHCLSFNILSSSPANKSEQTPSYSIRLTDRGGVASAHSSAIKGVWMDVKWIFSTGLDQRVRCWYLDKDGKLVEHAHIIISVPEPEALDAKAIDENRYQIAVAGRGIQMVEFSG >A09p033250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20121930:20123049:1 gene:A09p033250.1_BraROA transcript:A09p033250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERKHEEPLPNLDPTVEVVERESLLDKISEKPSSPSSLKSKVYRLFGRERPVHKGSRRRKTYAYGGLICFYSLLDVGLRLIYFMWKNKKVSVSWVLFELIEYHLLALLCHLMIVSLAALFLWSNVTMFLHKSPPKIPQVHIPEEPLLQLASGLRIEITVASFIFARLLLEGISRSSFPFLTLAYNNAYYDFKCLFVYYNNADECIWFLDCISALVLLFTVPLIYDKYQDKVDSYGEKAMADLKKQYAVLDAKMLSKIPRGPLENKKKV >A04p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19543441:19544495:-1 gene:A04p033640.1_BraROA transcript:A04p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAASEFYSSDKTYDLNFKEENNNGSQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYAKMTAECGDSVQIVGDDLLVTNPKRVAKAIAEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSEAVYAGANFRKPVEPY >A04g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16679588:16687209:-1 gene:A04g507060.1_BraROA transcript:A04g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHFRTQPKHNKFGESDIEFVVERQREIKQTPQREVKELHKVSVSSNLVMMTNTTTKTLNTFLSYFVLFLLGFVVMDVGLGQNTTSDEIKVGVVLDLKTNFSKICLTSINMSLSDFYQTHPHYHTRLALHIRDSMEDIVEASVAAYDLIKKEQVSAIIGPRSSMQAEFMIKVANKFQVPTITFSATSPLLKSIDNPYLVRATIDDSFQVEAIAAIVKSFGWRSVVAIYADNEIGKEIMHSLSDALEDVEVQRSAISQEAIDNQILKELYKLKTEQTRVFVINIDSSLGFRFLKKAREIGMMEEGYVWLLSNGMTHMMRHSGRSLETMQGLLGVRSYVPQSKEREDFSLRWKRKFNKENSAGDGTEPNVFALWAYDSVTALAMAVEKTNTKTYIKTYGPHLIEALSDIEFKGVAGEFKLINRQLESSTFEIINVVGDEERIIGSWTPSSGLVNAQSNKTTLLLGKRFLPVIWPGNSTVFPKGWEIPTSGKKIKVGVPVKKGFLNFVEIKTDPISKVTTTTGYAIDIFEAALKKLPYSVIPQYYGYESPENNYNHLVQQLYEGKWDAVVGDITITANRSLYVDFTLPYSESGVSMVVPLRDNKNKNAWVFLQPWSLDLWVTTGCFFVVIGFVVWLFEHRVNTDFRGPPHHQIGTSFWFSFSTMNFAHREKVVSNLARFVVVVWCFVVLVLTQSYTANLTSFLTVQRLQPSATNVEDLIRNGESVGYQHGTFVRDFLRNLNFSETQLKSFASAEECDDLLSKGTGKGGIAAAFDEVAYLKDIVSHNCSKYAMVEPSFKTVGFEPSFKTAGFGFAFPKNSPMTKDVSIAILNVTQGTEMRRIENKWFNRVTSECPDPNSDDLSSNRLSLSSFWGLFLIAGVASFLALLVFVALFLYEHRHTLCSNSEGSIWRKLKSLFRIFDEKDTRSHTFKSSAVHNASSPITPCTPSPSNVQIRPLPRSMSLNREFELRRACFSMSEERFRTQPKHDKQGESDIEFGAESQREVKQTQ >A01p027180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19102077:19102799:1 gene:A01p027180.1_BraROA transcript:A01p027180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCTTIFIMALLLCSTLTYAARLIPTTTTASSSEDSVKGTEGDNTEDEICKGVGEEECLIRRTLVAHTDYIYTQNHKH >A04p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1041538:1043386:-1 gene:A04p002180.1_BraROA transcript:A04p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETNKNVLGDCFTSAMALTESGVPHVPTRYILPPSQRPVLSPSIGTGSINLPVIDLSFLHEPLLRPSVIHEIEMACKEFGFFQVTNHGISSSVVKDALDAATRFFDLPADEKMLLVSDDVHTPVRYGTSINHSTDSVHYWRDFIKHYSHPLSKWIDLWPSNPPCYKEKVGKYSEATHVLHKQLMEAISESLGLEKSYLQEEIEEGSQVMAVNCYPACPEPEIALGMPPHSDFSSLTILLQSSQGLQIKDKNNNWVCVPYIEGALIVQLGDQVEVMSNGVYKSVVHRVTVNKDVNRLSFASLHSLPMNKKISPAPQLVNEEKPAAYGDFSFNDFLEYISRNDLTKQRFIDTVKKNKF >A04p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1009568:1010558:1 gene:A04p002090.1_BraROA transcript:A04p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESTGGRKKAMLTILSNPLSPKLTFLCESLGILKCRSKSTTCCSLSQEPKYQSLSRRNLVYVLVTSPCLFATLPSSAKTKSKSPYDERRLLEQNKRIQRENNAPDEFPNFVREGFEVKVVASDNYVKADSGLIYRDFDVGQGDCPKDGQQVTFHYIGYNESGRRIDSTYIQGSPAKIRMGTNALVPGKLQDMS >A03p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2926490:2930087:1 gene:A03p007020.1_BraROA transcript:A03p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAASSTFHSLSTFKSPNLSSTHLLPLSKNLTFRTRPIGNSGVYSFGVFTKQSRLGLRKLSCLGEGGEDGVAIADEEQQQQQQQETVSVPVSPSDMLTMFFQADGTLNEAAIPNVTKALQDIDGVSNLKVQVSEGVAVVELSKQTTVQATGVASSLVETIQGAGFKLQTLNLSFEDEDEHKRPLLFNMRLHPFLISHRMSLSLLSVFIFLQVFTNVSLPTSPTSPAIKPPSPSYKPPSFPTTPIKPPTITPPVKPPTTPVPPTSPPTYKPPTVKPPTTTPVKPPPVQPPYKPPTSRVKPPTMPPVKPPPTYKPPTPPVKPPTMPPVKPPTAPVKPTPIPPYKAPPVKPTPPPQVTTPPPAKPPVNPIPSPPVNAPPVKPPYKPPTPPPVRPRINCVSLCGTRCGQHSRKNVCMRACVTCCYRCKCVPPGTYGNKEKCGSCYVNMKTRGGRPKCP >A07p044650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24310260:24320291:1 gene:A07p044650.1_BraROA transcript:A07p044650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MGTNLRSVIYVFLLLFVVVFTVNAQNRRPKNVQVAVKAKWQGTPLLLEAGELISKESKQLFWEFNEAWLDSDGDSDCKSARDCLLKISTQASTLLAKPVASLFQFSLTLRSASPRVVLYRQLADESLSSFPHGDDPSASHCCWVDTGSSLFYDVADLLPWLASTATAGDAAQGPELFDFDHVHFDSKAGSPVAVLYGAVGTGCFREFHLSLAQAAKEGKVTYVVRPVLPSGCESKTRPCGAIGARDNVSLAGYGVELALKNMEYKAMDDSAIKKGITLEDPRTEDLSQDVRGFIFSKILDRKPELRSEVMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLNESIKDEILSNQRMVPPGKALLALNGALLNIEDMDLYMLMDLAHQELSLANQFSKLKIPDGAIRKLLLTTPLPEPDSYRVDFRSEHVNYLNNLEEDDMYKRWRSNLNEILMPAFPGQLRYIRKNLFHAVYVIDPATVCGLESIDTLRSLYENQVPVRFGVILYSTQLIKNIEDNGGQIQSYDAETNAQVKEDISTMIIRLFLYIKEHHGIQTAFQFLGNVNRLRTESADSSEEDIEQQHVDGAFVETILPKVKSPPQEILLKLQQEHTLKEASEASSMFVFKLGLAKLKCSFLMNGLVFDSVEEETLLNAMNDELPKIQEQVYYGQIESRTNVLDKLLSESGLSRYNPQIIGGGKNKPRYVSLASSTKSGESMLDDVNYLHSSESSDDVKYVTHLLAVDVTTKKGMKLLHEGVRYLIGGSKSARLGVLFSSSPNVDPYSLLFIKFFEITASSFSHKEKALHFLDKLCSFYEREYLFKTSVESGSSQMPIDKVLELAEEYGLSSKAYRSRLVESLDEELRKRMMKVAQFLSWEVGLESDANAIISNGRVIFPVDERTFMGHDLHLLESMEFNQRVKPVQEIIEGIEWQGVDPDLLTSKYFSDVFMLVSSAMATRDRSSESARFEVLSSEYSAVLLGDENATIHIDAVIDPLSPTGQKLASLLQVLQKHVQTSMRIVLNPMSSLVDIPLKNYYRYVLPNTDDYSSTNFDVDGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAIHDLDNILLENLGDTTTLQGVFEVESLVLTGHCSEKDHEAPRGLQLILGTKNRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYVLKGGNDGSQDQSSLKRITIDDLRGKVVHLEVVKKKGKEHEKLLVPTDGDDGVQQKNERLSWNSNFLKWASGFVGGRQQSMKEVAKKENEKGGRHGKTINIFSIASGHLYERFLKIMILSVLKNTNRPVKFWFIKNYLSPQFKDVIPHMAQEYNFEYELITYKWPSWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQIIRTDMGELYDMDIKGRPLAYTPFCDNNKEMDGYRFWRQGFWREHLRGRPYHISALYVVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQASCSIWFPHLDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSKARTIDLCNNPMTKEPKLQGARRIVTEWPNLDLEARKFTAKILGEDIELNEPVAAAATDEPNPPPSSDISEDSEQDLESKDEL >A05g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20349181:20350067:-1 gene:A05g507190.1_BraROA transcript:A05g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRVSSRTRKVATKMAAALTSTDNRTQAAIARLEALENDNGALEVVDLNDDEKASLDEEDDLALLQGHFCSCNIPNPLSKTSPTKRTIRIIESIRDTN >A10g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4658010:4659804:1 gene:A10g501690.1_BraROA transcript:A10g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKMEFMPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFVQPGPASPANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLACVQGEMLEREAQLTRDHARAIRKAERKGKREIVKVMKTRASQFQVEYGNLKNAFTSVGEFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNERAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A10p028320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17623556:17624788:-1 gene:A10p028320.1_BraROA transcript:A10p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTLMATTRPLVRLSTARASLHLLLQSPKRPFTSFLSSSAVRSSSLRCVSAVLSRGESTTPSSIYGNRVFRASGFGAVNIRSRNLSARSSQINDAGSIDQTLMQSMEQKIKEQLNAESVSVKDMSGDGRHVCINVVSSAFEGQSAVNRQRMVYKAIWEELQNVVRAVDQMTTKTPSEV >A01p011410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5542427:5543420:-1 gene:A01p011410.1_BraROA transcript:A01p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTYKGFGFLCGNEAKERIKRREPTVHLQEDFSAKAMDLALHFPSLIKQGFTRANHLPVRDSLSPSSGQSFSRTAFDGDRRSWPGETLSRRSKKGYVVCCKNPSDEQSSDPSKAKQEEIISLFRRIQASISKGEESQGADEKNGVASSGNESLSKAILDVLEKPREKTEGDAGVRKEPPKRQVARPPTSFAKRSPTRPSASGPRGKLPITKSTMEETEKQDKPPLIETMKLAELKEVAKNKGLKGYSKLKKSEILELLRSS >A09p075720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56943827:56944884:-1 gene:A09p075720.1_BraROA transcript:A09p075720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSADRTPFKRHSSLSTLVAHFFGILAVVLMLIWLLHYREGIEYGSDNPLKILNVHPFLMYCGFLFLVGQAMMTYKTAYASHQVQKMVHGGLHLIGLVLGIVGISAAFRFHDKLNLKDMVSLHSWIGLTTFILLGLQWLLGAFTFLAPQSSSGTRARMMPWHVLGGRALFYMGIVAALTGLMQRATMLGQSTNAESRLINFTGLAILLFGVSVDFSVALGRYG >A04p037230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21277903:21280760:1 gene:A04p037230.1_BraROA transcript:A04p037230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRQPESLSSCRNVSDVAYYVRNRIELCIQNYMSLEVTAKYLEKNHRISKSVTHIVWEQLREENPLFFSHFEMRCKTAFQMRMFNDMLPKQAVRMFENGLIDICDASPSVKALLRREDPERVHRMETLASKRKLSSASLAMPYANCPSVAQTQILSNQQEQVLNPASLAMPNPSGPSAAQRQIPDEQLYKHLYASKLALSDANGISVPQLPIPYDQQDQHLYTTNLPLPYANGQSETQLPIPNNQQEQHLYATSLPLPVANGPSMTQLPMPSNQENQPYQDPSSAYNGNSLGDPAVEPADTENWMLLFDPKFADLGDLPPLPPEDTNPWPSSSDDLLYIDPMPEDFSAFELGGDILEGMHPSELEQHLTGGQYQPHWQQQQQQQTMQSGGRSNNNGLVNGESNGEHQWVDHQQAGGVQRHYPDESEALNNPDTGYIGHNGFSDTYINSEAQLVTQPQHQNGHYQPQPNVPVETLVNGESSDHQFCNNFDKNHSKRMLCTSVVDKQQEAGRVKRHQPESEALNNPNNGDGTQTNQQRSPEARATSRSLKEWEQYESEQ >A07p024730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14233883:14235282:1 gene:A07p024730.1_BraROA transcript:A07p024730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQTCLVFFFSSLIITNIALAQDRAPHGLAYETPVAFSPSAFDFFHAKPQNHDATSEPCAESGCSPLPVSAKVQGASEKAQKSEIATMSIGSRSGTGAGGVVGIIFGIAFAMQKNKSDESRPPLMALNHVSRLCRDVKKSLEFYTKVLGFVETERPASLDFDGAWLFNYGVGIHLVQAKDEEKLPSNTDHLDPMDNHISFQCEDMEALEKWLKEVDVKYIKRTVGEQEDAAIDQLFFNDPDGFMVEICNCENLELKPRDSADAIRLPGDRHAPPVSLPGSSDHADDTRLPQTNS >A03p009070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3660178:3666145:-1 gene:A03p009070.1_BraROA transcript:A03p009070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGQLAELHVYNGQLEKMWGGLMEDAENGSEADLINELASNVMGVLALTPSNDFDDFVGIQARVTKMKTMLSLQAKDVKVIGIWGPAGIGKTTAARVLYDQVSPEFQFSTFLENIKGCFKRSFGNDHQLKLRFQEKLLSQIFNQKDIVVRHLGGAPQKLSDQKVLVVLDEVDSWWQLEEVANRAWFGRGSMVIISTEDRKLLKALGLEANQIYKMKFPTTDEALQILCLYAFGQKFPNYDFETLAWEVTELAGNLPLGLRVMGSYLRGMSKKEWIDALPSLRSSLDSEIESTLKLSYNVLSNKEKSLFLHIACFFAGFKVDRVKSILEKSDLNVNHGLQTLAYRSLIYRENGYVEMHSLKQQMGKEIGTGTVLGIKLLKLEGEEIKISKSAFQGIRNLQFLDIDGGTLNTPEGLNCLPNKLRYIHWKQSPLRFWPSKFSEKLLVELIMPNSNFEKLWEGIKPFPCLKRMDLSSSEYLKEIPDLSKATSLEILDLHYCRSLLELPSSIGRLINLEKLDLHYCRSLEKLSGCSSLKELDLSDSGIGALELPSSVSTWSCFYRLNMSGLSDLKKFPKVPYSIVELVLSGTGIEEVPPWIENLFRLQQLIMFGCRNLEIVSPNISKLENLQTIALCKHDDVPEMSYGDEVFTAVIVGGPDSHGIWRFRSDLNVHYILPICLPKKALTSPISLHLFSGGLKTIPDCIRRLSGLSELSITGCIILTELPQLPGSCLSLDAHFCRSLRRINSSFQNPNICLNFAGCYNLNQKARKLIQTSVCKYALLPDSKLASYCQKSVFITFASRMCFVALEIHHMPCLGTSQNHLYIFEDSFSLNQHFPEAEVTTFSELSFLFTVDDYKALVVAYVIKRRQNILKTEKLTVSSSFLSIANRNFPPSSFFPNSRVSRVSSFALSMEESTAANAATLRMELKKTMTEILDDGGVSEDRGETDGALVAVDEAVRILNRLREVESKMPDSATSSSSPASVLEVPKEFKCMLSRAIMSEPVVIASGQTYEKRYIQQWLMYKVTCPKTKEVLSHRLWVPNHVIAELITEWCQVNKYDLPKPSDAPVGLFPDDIDLLLERISSPSSVEDKTGAANELRRQTKRFADVPAFFVAEIPESITRLLTPLSALGEDIDSNPGLQKDIITALLYISCLEENKTAVAQHPLAIPLLTKSLKQGIAKTRRNSAEALWELSKLDSNKILIGNSETLEALVHVIKEDHFTAAIGAAYRRRGTKWFQKVWFPR >A04p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15725339:15731844:1 gene:A04p025990.1_BraROA transcript:A04p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTYLSSSFSLIVILFTSLIFISISSLQTPKHYVVYMGNPIEADVHNSEAYYLQMLNTLLPREESERRKGVHHYKHAFRGFSAMLTEEEAAALSGHDEVVSVFEDPMLQLHTTRSWDFLDSLSGESSSFPIYNSFHGSSDIIIGVVDTGIWPESPSFSDKGFGEIPSKWKGICMEGPDFNKSSCNRKLIGARYYKSTGATSARDSNGHGTHTASTAAGAHVVEASDRGLARGTAKGGQPGCRIAAYKTCTADGCPGSAMLKAMDDAVHDGVDIISISIGLNAAFKSDYLSDPIAIGAFHAELKGIMVIASAGNDGPIASTVTNVAPWLFTVAASNIDRDFQSSVILGSGKVIRGAGIHFSNSTRPKAYSLVYARNAAAASKPVEDARTCLPGSLDPKKVSGKVIVCVMPRDAVTTKQIIKVVVEDAGARGLILVNQKEKIRASDFGHFPFSEVDMTDGYKILKYMLHTKSPTVTIVPTVEVKPTKPAPVVAFFSSRGPASLTENILKPDVMAPGVLILAALPPPKTAKPSTPKFGLASGTSMSCPHVSGAAAFVKAQRPSWTPSMIKSALMTTAITYDNMRRPVTNTSGLTSTPHETGAGEISPQRAINPGLVFETTTQDYLQYLCYFGYSKKTISKTLNKATKFSCPKKTSKDLISNINYPSISIGNLRRGQRKMIERSVTNVGPERNVTYVAHIEAPEGLVVKVAPKRLVFGENVDKVSYKVWFYGEKSASKRYHHGSLEWSDGYHSVRSVFSVNIN >A01g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11588726:11589208:1 gene:A01g503840.1_BraROA transcript:A01g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSRESLRNRDFRQQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLVGRSERSLQGHLRLFGVMRTRATSWLRFRKVALRSGTQRLRDVAPGGRSHARFVQWSPFHLF >A01g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6346707:6355768:1 gene:A01g501750.1_BraROA transcript:A01g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLCVLFFVLVSVSYAKVTKPNTDNFLRCLRSRTSPEYPITEAIYTPDNSIFLTSYLSYAKNKRCSDPNNTNLIAIIAAKHESHVQATVVCAKSNGVQIRTRSGGHDLDGLSYVSSIPFVVLDMHNLRSITVDVRSKKAWLVSSKLPRELFLRAMPDIKLGAKPGDKTIAVKFYAQFLGSSEKLMKITNKNLPELGLKREDCYEMSWLNTTMFWMNYPVGAPTSILLDRPSAPPGQFYKGKSDYVRAEHSSSSCNTSSSSIEQKMKVVFVMFLAMLVSVSSQAVVQKPNIGNFLQCLRDRSDPKNPITKAIFTPQNSTFTSSYVSYTKNKRYSNPNDTNLIAIVEAKHESHVQTTVVCAKSNRVQIRIRSGGHDYEGLSYTSSLPFAVLDMNNLRSITIDVSGKKAWVQAGATLGELYTKISEARQGATDVLYKWQLVSSKLPRELFLRAMPQITKGANKTIAVKFIAQFLGSSKKLMEIINKNLPELGLKGEDCYEMSWLNTTTFWADYPVGTSTSVLLKRPSTPPGAFFKSKSDYVKKPIPKEEGKIYGSKYFVGNLNRLMNVKAKYDPDNFFRHEQSIPPLRAIHSTQNSSIIAEK >A09g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22391405:22391793:-1 gene:A09g507810.1_BraROA transcript:A09g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRGFDVSRSNTHFKLCDSVVSIRLNEFTKMVEVAAIANPIPTEMFRFRTLDELMALANTNIHLPDIIGEVSDIRTTYNDHAHYHCSFYPFLRPLRHVSQ >A06p008870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3093514:3095190:-1 gene:A06p008870.1_BraROA transcript:A06p008870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRSPATDFFGISKTACKAYKSIVTKLHPLSSHRKTDSHSDNDSTIHQKYLEEKFAEEDDLIAARRGLRLQSMDDSSVFKRRSSLLSNSSSRRSHTPQARPTYLTSASSNRRSTFSKTTSRRDDGGGSSHGGVGRTHGLKSRPVSNSASPMTSPFTSPRGKDQTLGDLFGSAQGLTSPPSSTPSSPKTISKSRSKRDKDGRSGSTTSFSKSTSTRHQSKRDTGGSVGRSVSRRSTTPIVFSQSTQPKKPPAVEKKLECTLEELCHGGVKNIKITRDIITDEGLIRKQEETLKVNIKPGWKKGTKITFEGVGNEKPGYLPEDITFVVEEKRHPLFKRRGDDLEIAVEIPLVKALTGCKLSVPLLSGESMSVSVGEVIFHGFEKAIKGQGLPNAKEEGKLGDLRITFLVNFPKKLSEEQRSIAYEVLKDCSWA >A09p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2533161:2533934:-1 gene:A09p004400.1_BraROA transcript:A09p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQMQTSTTVFRSSPPSTASQRLFPLRTVAVRQETSTAWRGVRCSGVGVGGDAWKQRAVPNSNYVVPMDDKFSSSSITRPLIEILRDLNKKIPDNIVKSHGPGSNAASSGFIPWYHANRMLSFYAPGWCGEVRDVIYSENGSVTVVYRLTIRGSDSEAHRESTGTVTMTDDHIDGPVAAAEEMAFLRACARFGLGLYLYHES >A02p046120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28856305:28861782:1 gene:A02p046120.1_BraROA transcript:A02p046120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEESDLLMFNDFFEKKVTTRSVVIATSNCGSQADQGQMFVKETSCDNCTTGCDDSTIKYSHGKASSYGASARSISDGTIKWQRHNESPSASVLIRLIIWSSFGNFKNGQAFDANNGRSAAFKRQSGANHIIRGLRNASPAPIKATIETIPCSIKESNPVIPPSGVRNRNITEEHTPFKSTSSSDDLTELKKPKATENEPYQIFLCESISKKEIAVKKSANCLQFGVIQSFEFSDAANIVLNKPNGMAVQGGTGVKASIDELYAATCLTFDKSESPQLVHRLDRDCSGLLVLGRTQTAATPFHCIFLEKTTVSQIPSFYFDDKFLLPTQMELNILLFFCYQGLHRPLSFGVSRSLGIFSQLWKLQKGSNLNLLVRHFRCVTCVSYLSSKVGSITEAPDGLRTFPDLCGKCLPLFLLEKLLPYEVGRRRVSLFKLHMARGGEQMVCMNYGEYANQKVRSLEAEYPTFLYAMPMTKTKVLFESVVPCQKNLAFGAAASMVHPSHNRLFSCEIFV >A02p029410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15028308:15032797:1 gene:A02p029410.1_BraROA transcript:A02p029410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPNPTTTTDDASTPAESSNPSSPKLLTAGDRKLLKVELREGETTYVSWKKLMKEASNSRGIGSSDSGLDPPPTSNPNLQSRIAPGPPAEGETADQPHSNRFNAVIEKIERLYMGRDSSDGEELDGAPDDDEYDTEDSFIDDVELDEYFEVDDAEVKHDGFFVNSGKLELVEPSTTTEIPNQKPKKRQRKELAKPCGDVADASGKKAKIAKTAGGKDQAAASGPSPQKKSNDSKTVQDSVLENVKHSAKANLQPRNSTSPKSKAAESSGPLLLKCSNKGTPQQSNSQPNGLAKSTVTRRSENNGTHDLGNATVSKQSVQITKRGGSNTVRPKTTSTLEKAFKELEKVVAESRPPAATENQDADTSSQAVKRRLPSNVKLKLAKVARLGASQGSVSGELINRLMSIVGHLIQVRSLKRNLKIMIDSEDTANREKDTRFQLIKNEVIEMLKTQVPLMESKATNQEAGTSDDFQDVEKPPTKKKFVMDAALEDKLCDLYDIFVDGLDEDAGPQIRKLYANLAELWPKKLMDNHGIKRAICRAKERRRASNGDLGKEMDQEKITKRKQTQVVPKPEGTAAYPDKASSSGDKTTGTVPSATTTSVVQITVDLSRDKSKQQHEKRKGASSSTEVKAGRRKTEKNVEESHLSTEKHLVLAPKQQTPDLNLPS >A10g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8496303:8496718:-1 gene:A10g503260.1_BraROA transcript:A10g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNVEPSLLHVSTHKRIRVYPIFFSRRELTNETTVMITLPSKDIIILISMILLELHIVSSEDSNPKDFIFSHFECKDDDFHEERQKNRATPSNEGGSSTTPSRCHNRRSN >A03p065010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28337993:28339442:1 gene:A03p065010.1_BraROA transcript:A03p065010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKKFALFLATPDSEFVKKAYGGYHNVFVETFGDEGEHWDSFRVVDGEFPDEKDLEKYDGFVISGSSHDSFQNDPWILKLCEIVKKLDEMKKKVLGICFGHQIIARVRGGTVGRARKGPELKLGKITIVKDAITPGSYFGDEIPDSIDIIKLHQDEVLVLPEGAKVLAYSDKYEVEMFSIEDHLFCIQGHPEYNKEILFEIVDRVLRLGYIKQEMADAAKASMEGKGADRKLLETICKNFLKGRVPAN >A05p034680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19534960:19536983:-1 gene:A05p034680.1_BraROA transcript:A05p034680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein LFR [Source:Projected from Arabidopsis thaliana (AT3G22990) UniProtKB/Swiss-Prot;Acc:Q9LS90] MQKREFAKSGGQSGGSSAPPAKRGRPFGSTSANSAAAAAAAAAAAAEAMSPSALLGPSLLVHNSFVVEQNNKRIVLALQSGLKSEVTWALNTLTLLSFKERDDIRRDFTPLAKIPGLLDALLLIIDDWRDIALPKDFTSGTRVRSLGVNSSVSGFGSEFDALASVQPPGSGVGSSPGETLGKKSKHQSSQWWNEEDGLFNLDDEGRAEKQLCAIAASNVIRNFSFMPDNETIMAQHRHCLETVYQCINDHMAEDEELVTNSLETIVNLAHLMDLRIFSSSKQSYIKINEKQAVQAVLGILNSSVKAWNCAAAELLGRLIINPDNEPFISPLIPQIHKRLVDLLSIPAVDAQAAAVGALYNLVEVNMDCRLKLASERWAIDRLLKVIKTPHPVPEVCRKAAMILENLVSEPQNRGLLLAYENAFAELLFQDGKYSDSFARILYELTARSNSRVASARGIWGM >A05p006080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2402236:2403952:-1 gene:A05p006080.1_BraROA transcript:A05p006080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRRHLLLWFFAAATAVKLLLIPSYRSTDFEVHRNWLAITHSLPLSEWYFDETSQWTLDYPPFFAYFERFLSFFARLLDPKIVDLHKGLDYSADSVVYFQRITVIFSDLCLLLGVYRLTRKVETLRRNLICVLVVWSPGLLMVDHVHFQYNGFLLGWLLLSVSFLQDGRDLIGGFLFAVVLCFKHLFAVAAPVYFVYLLRHYCWAGLATGLRRLVALGSVVVAVFAAAFGPFIYNGQIQQVISRMFPFGRGLCHAYWAPNFWVFYIMLDKGLAFTLRKLGFDVQVPSASFTGGLVGDSSPFAVLPQITPLTTFVMVLLAITPCLIKAWKKPQPGLVGRWIAYAYTCGFLFGWHVHEKASLHFTIPLAVVAVQSLEDAKHYFLVSIVSCYSLFPLLYEPQEYPIKVLLLLLHSVVMWLGFTALYSDEKALKKEGGEIKSKFEMGCIEKGYLIGLVLVEIVSQFLHPYFLGDRYAFMPLMLISTYCAVGIMYSWIWQLLKILT >A01p006770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3420819:3422303:-1 gene:A01p006770.1_BraROA transcript:A01p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLQEPLTTYCIQRDEEMMTMQALKIATSLAFPMVFKAALELGVFDTIAAMGNGAWLSSSEIASGLQTKPTNPEAPMLLDRMLQLLVSHSILKCRTVETGENDLTGKTRRIYAAEPVCPLFLTRGDDSGTLKSLFMLLNSQVFFKTWDNLKGVIQEGKDAFSSAHGLPLFEYIGLDEQLAGMVNHAMAESSTIIMKKILEVYRGFEDVNTLVDIGGGLGTVLNLVTSKYPQIKGINFDLTMVLANAPSYPGVEHVPGDMFIEVPKGDAILMKRVLHDWTDQDCVKILKNCWKSLPEKGKVIIVDIVTPIEPKYGDVFSNVVFSMDMLMLTQCSGGKERSLSQFEALASASGFLTCEIICLAYAFSVIEMHK >A08p008220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5359655:5360116:-1 gene:A08p008220.1_BraROA transcript:A08p008220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYNAQSAKKNAPHQSTTTLVHRPTVAFHRETTLPPTDTSHPTSINTPRHKIDDQGAVTPDTCWGKIFHEEITPASVFQVLAPRIRVPASGSRVPAPRSGTFLRLPSQVISLALR >A07p008360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4904596:4905330:1 gene:A07p008360.1_BraROA transcript:A07p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSMHPPRRGSFPTRATIEQGAINFMKNTPQAGIHQHISVSKSYAKIVGDVESIVVLQHFSNTDGDENKVVNPHKQCLFGLGTTQMENNYHIDPPARPSYLSISFREQLTALCEARGTTNSSPSSPPSTNEQRIYMMLMTYYNQLKT >SC439g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000281.1:224:619:-1 gene:SC439g500010.1_BraROA transcript:SC439g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDACAAGTLVLHMGWLHVQDPHAGRTNSYQIVRLLTGSPCKAPTTSFTHEIRPGSVAEYATPRAWSIPLVLLHVKLHVQLTCMSTPRASVDTHLAGQLTPRSEPMQQATSSFSVHWPDFGPSGKFLTGD >A08p001400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:843114:844981:-1 gene:A08p001400.1_BraROA transcript:A08p001400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDRAVLSLLTDIFLSFDGAILGVTLAFGAIRAASKYASISAALNKIKDSPEVSVSDLRSLIPPSDGENNSHGNQRIVVVRGTVEAKVTGDGNHKNNSLIISQETGEKALIIHRTQTYMYSGWKTLFHLSSGHRFLLERSLPKQGAGFMRMVPFVIVDKNQRSQSSFLVVNMDGARQPLPLTTVYNRLQPINSSPYSFLQALFFPEFPVGMLDVEKILPPGRDLTAVGICSLNNGVPEIKSCQDLPYFLSDMTKDKMIMDLTETTSVLFWGGVILGCLSVGILGFAAVRAWNRWKLRRELLQRRPDQHMVDDETEEDADEIPDGELCVICVTRRRIPAFIPCGHVVCCRYCALTVERGLNPKCPVCLQVIRGSMRIYYS >A07p013710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7340265:7344653:1 gene:A07p013710.1_BraROA transcript:A07p013710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQPQLHFPILIFTSIFVISFSSFVRPPHPFDPLTESEFKLIRNVIKKKYPVGPTHRFTFQYVGLNEPDKSGVLSWHLSLHHNVTPPPRQAFVIARENRKTREIVVDFSSRAIVSDIIRVGHGNPMLTIDEQEVATELVLKFKPFRQSIRRRGLEVSEVVVTSSTMGWFGEKDTDRLIKTIPFYLNGSVNTYLRPIEGMTIIVNLEKMKVTTFKDRFIGPLPKANGTEYRFSKLKPPFGPTLRNSIFLQPNGPGFKIDGHVVRWANWEFHISFDVKAGLIISLASIFDIDMNKYRQVLYKGHLSEMYVPYMDPSEDWYFITYLDCGEFGCGQSAVSLEPYTDCPAGAVFFDGTFAGQDGTPTNISKAMCIFEKIAGDIMWRHTEAEVPGLEITEVRPDVSLVARMVTTVGNYDYIVDYEFKPSGAIKMEQTWESIRNRRDTQSWTENVWFKGSIPSHAFMMWMAHLDRLPTRSRIAIWADTLPSGSTPGLRLWTDLVFETMCAQPLSVGLLPMLLSTPYGGSVGLTGVLEVKPVEYVHTSEIKEDDIHGTIVADNTVGVNHDHFVTYRLDLDIDGTENSFVRTELVTKRTPKSVKTPRKSYWTTNRKTVKTEAEARVKLGLRAEELMVVNPNRLTKHGNEVGYRLFPGPVSSTLMAHDDYPQIRGAFTNYNVWITLYNKSEVWASGLYADRSQGDDTLAVWSQREIEKKDIVMWYTVGFHHVPCQEDFPTMPTLSSGFELRPANFFEQNPVLKTKPIKLSSAPKCTPKNEY >A03p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16370850:16372221:-1 gene:A03p039410.1_BraROA transcript:A03p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLSLCLFLVSFVFSNHHLKLLKKKNSSSFCSENLSIMSRFALAILFCLLFVSTIVRATDVSYCDNNEEYQVKVHGVDISPDPIVTGQPATFSISANTDNVISRGKLVIEVSYFGWHIHSETHDLCDETSCPVAVGDFLVAHSQVLPGYTPPGKYSLTMKMLDGHKKELTCIKFSFKIGLGSSSSVADM >A02p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18380571:18381997:1 gene:A02p033960.1_BraROA transcript:A02p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIGHKDRQIWLSSHVLQDPLFDHSKKHQLHKSLKNHNYHHHGSGGFRRPGAPPLRENFSMKYNTRQNNWQRSKGEDQMQAFFLVSPGRTTPGTGVFLPATASHPPTNKPAYSPVLLPTRVVQALNLNVHNNGIHISPRSEIRETDSKLKSEMGQTPMDVEVKTPIDLPEKLLPDEWIY >A08p035860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:21121921:21122514:-1 gene:A08p035860.1_BraROA transcript:A08p035860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MPSR1 [Source:Projected from Arabidopsis thaliana (AT1G26800) UniProtKB/Swiss-Prot;Acc:Q9LQX2] MATEQQAEVGRETSSASRRFSRNRDLYLFLPFLFSDQESSDGDHNVASWRERIILVNPFTQGMIVLEESSGLNPLLRDLLESREEGHPPASKASIDGMSVVNTDGWGGECVICLEECKAEERVKEMPCKHRFHGGCIEKWLGLHGSCPVCRYEMPVEGDEVERKRSDGGEIWVMFGRSSPGHDGGNSDGNTTGSGGT >A07g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22751783:22752879:1 gene:A07g508220.1_BraROA transcript:A07g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWAVQPSALADQSVVVCPKPRRIALRNPSLYHHPARSLRCYFSHQQVEVCESEAETDILDIILTTDGNGTEQVQAQLLDSPSPFLCGSPPSRVANPLTQDARFRDELSLVSSPIPTPLGQPHSSSGRKGGCVRGSFGNSPAVRIEGFDCLDRDSRNCSIPALA >A06g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5484508:5486216:-1 gene:A06g501470.1_BraROA transcript:A06g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDSSLQNHPFERLSVSTIVVGGSSAIPFSLICTIELASTIGAITTLDCITGTVLIPSLDPQVSSIFRWDIVADVESGGIVAEEELQLLNKPNHVSTPEEAENQCGKAGCGQVLHEPFLKFLKHEEEDESEISQDMERLALEYYDPKPVADMLGTIMTNEKVPLHACGGDRTLSGRSLLSSRRYFRRIAWHQDGSMIRQNVIRLKSFRDNKELFSRLTNSRYKPNIASFCKDVLKTG >A01g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26337197:26340045:-1 gene:A01g509660.1_BraROA transcript:A01g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSFFRSGFNMQVFQIWKTSGTTYLLVVWKSSGSRLDFLKIIWTSCKVVWKSSDFLKKSSGLLKSRLVLSTQVESKLVFTTYNSVVHETTEIISEKSPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFNSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17232229:17235386:1 gene:A02p032820.1_BraROA transcript:A02p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTESSSSSVVEFLEKVPLLHRLTSSSLKKIAQVLVFKRYERGDYVVGRDDEEMEGVYFVVEGQAQVLGPVGEENCTEFFLKPFDYFGRGIFGNVYAVDVVAVSQLTCLLLTSDHCHLLETKPICDSDNERSLLERILYMDPLDLNVFRGFTLPNAPALGKVFGGQFVAQGLAAASKTVEFMKLVHSLHAYFLLSGDINSKDFNLNMLLLASTLLFSSESSLAVPIIYEVSRLRDGNNFATRRVDARQKGKTIFTLFASFQREQQGFDHQESNMPHMLPPETLVSRDEMLQRRMTDHLIPRYYRNKVATQYTAPLPIDIRFCEPNYSTEERKSPSRLKYWFKARGELSDDQALHRCVVAFASDLMYAFISSDPHLKKRMSAVPVSLDHSMWFHRPLRADDWLLFVMVNLTASQSRGLATGEMFNRKGELVVSLKQEALLKETVTSNPILDSKL >A01p014080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6858956:6859309:1 gene:A01p014080.1_BraROA transcript:A01p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMKMPNEYAKLEKEDPSEMIHRRAQFLIQKILQRADTETLRRQQKRSILIRTSSFRVVGMRVKIGKKLRKLRKSCVVANKYSDLMPRFLKSLRRYFLCSSSRNVSDLPPLFAIHV >A08p040390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23027655:23030089:1 gene:A08p040390.1_BraROA transcript:A08p040390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIATRISKRKAEPTPFPGKKLRSFPSRRKRAQISPVSVEPPLYHKETGVSAASVDSCSNLFSAVDDNVSCGSSRVEKSSKKNRIEEEEEVSRPGKDVKKETIADPKFRRITRSYSKLNKQKDGDEIEVSESSFTRSDLTFADNKESDVVSFVSAVESCSKLGGDNEETEISKPSGFVELKPEVETVGCVSDLACTETFSGEDVSDDSEDELSEQRSQIFSLSSDLDSSDYTPSMYFDSGSQFSEKSSFDSPISHTRSLYLQYKEQFCRSTIANGFESSRNDQNREIHSELVRFEDEEVEESYQMLRERERSHAYFRDCAKAYCSRMDNADFIPRLRLIMVQWIVEQCSDMGFQQETLFLGVSLLDRFLSKGSFNSERTLVLVGIASLTLATRIEENQLYNSIRKRNFYIENLKYSRHEVVAMEWLILEVLNFKCCSPTIFNFLWFYLKAARANREVERVAKSFAVASLSDHTQLCFWPSSVAAGLVVLACIKHNKTSAYQRVVKVHVRTKDNDLHECVKSLEWFLGQ >A05p055100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32527166:32530922:1 gene:A05p055100.1_BraROA transcript:A05p055100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPQHGFMFQQLHEDISPDQLPSCLPPHPFNGGGNYMMNRSMSLTNVRDDPHQSVDEENLSDDGSHMMLGEKKKRLQLEQVKVLEKSFELGNKLDPERKIQLAKALGMQPRQIAIWFQNRRARWKTRQLERDYDSLKKQFDSLKSDNDSLLAHNKKLLAEVMSLRNKDYNEASIIKREAEASWSNNGSTENSSDINLEIPRETTTTHVNTIKNLFPSSIPSSTHHQDHHHQNNEMVQEESFCNMFNGIDETTSAGYWGWSDPNHNHHHQFN >A01g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12418767:12422445:-1 gene:A01g504120.1_BraROA transcript:A01g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVIPSSPTSPFYDKIDRSVDPTIDRQNESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALKPPPKPLASPPEPKPNPLNSSPEGSGKERRKFLRTLRGKLAIRRWMVSLKETLDTQSKNLLMKLTSHTEYETEYSESINTHTFPSIYSNESTVTDDRNNTSLDVDQPVDHVALPNHCYPHFAFQPPSKRGRDDYSIGSWADSGFHESFAVDLVITSPNEEHIEEYDEDYLNERAIEMSLHDERFETHKFTNTFPTLFDEVHSTSVDTHPRPAKQPLTSIDTYTGTSIDIRAAAKIQEQENITSPTRFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSTSTGKSMKSNHLKNTSSEKIILPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNTRAIDGRIFQVSREDIAVILQVANGPDNLFSQQRGTSDVNQTDPNKHVGVTATEINPDLSCQTKGQASIDGTTRTSIDTTTPMSTDKDDPTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARRVAGEMIPVTKDDIRELLERASLFEESHICLPGQATSFTLTRLAPELYTKDEINELVTGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLRQQLEKEATTSASIYALHAPSINASLPTDQIPAEPQCSAEHKDEWEVSYINTRINDQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLQTYEDMHDRFVSPVMLYLNKLSSQILHAQRDIDKFTNQNFLQANSFSINRLRGPWSDGKNPVELFPYTAAEVDKITSKIYTVIDTIEERLDKRSDDIYFSFDNRISGLDSHAEWLQKEVKAIQRKIAAQHQILASIDRKRAKSLDGKSPRSTDEHLIASIDAESTPAGEQLIHKTIVSMYKELKELSPYAYDNIG >A04p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3483710:3484711:1 gene:A04p006490.1_BraROA transcript:A04p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLAQTSVFSTLVMCGAIRERDLRSVTPSYFSSHVSSGRRRGKLTNLIWGDDIDGETGDHRKERSEESKPAEQKITGTKNDLHDSDTGSSSGSWFDLSLSNAREAGQDSNAAELSKCLAEPAS >A02p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28635446:28636500:-1 gene:A02p045720.1_BraROA transcript:A02p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNQIVGEGAVADLCVWKNKIKSGITLVMATLIWFLLEIMETRFVPFLCSILLLLMLLLFLWVKFGQLFFIRRPLTPQEIKLEDSPVRALFSKIESLLLMLYEIAYGEDIKTFLSAILYVAIVDTVGSYINLLTILYICLVCAMTIPVLYLQFQEVIDNFIREVSEEKNKLLEVLKSNVLNKIPRATKEE >A04p009090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7892256:7892465:1 gene:A04p009090.1_BraROA transcript:A04p009090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFFTIAFSAAPLTLYIPPIRCLTVFVETVEEMGMEGRVYSRRVFPRARIAWSRLLDCFLSSSPRRP >A09g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14079371:14081254:-1 gene:A09g504610.1_BraROA transcript:A09g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGVEPTPTPEAVPPITADFMSSIMARLAHQDEVQKTTNDQLAALVAALTAPDGQTSRPQQIRRRLFNTNPTATGGDHEEAQKDNGEEDSSADEEQTANRRRIEVILSQQSLSSDDENDDTPVLGDLRDVLKRKFESENDNSPKHNDLRTMLDARKSRRISTSNANPNERPNGDLRDKLNAGACDLRIRLNRSKPTDLRRQLERAKTSSNNTQLSENDNN >A02g500500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1477893:1478972:-1 gene:A02g500500.1_BraROA transcript:A02g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATKSSTGKPKPDNRNLTRSRSLGRKPKPIPSSEPEATADGSGRKPVEKPLPNYLKPTISSRPDPVKFLKKKNAGDDKLLRRRSFDRPPSSLTSPSTSPSQKSLNTSPLARPRDRPVVPREKKPVTGLRSASFHGSGSSRGGLRGSSTVASRGSPGIKKSGLSGASSSKSKKEGSENVPKKSSPVAPPLENEEEIIKVETHVQVSDHREEGKEQVAQLDESVEEKEIHPMDVSTEEDKTEEHKEPENNSEDKEEVEKKVDDDEENKKTVVTQDMKEAADIEETKEEEEAEVKEGTTETKAQVPEEVTTKEVVQGKKESPTAYNDVIASKMQESSRKNKVLALAGAFQTVIDYETAASK >SC329g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000173.1:53:355:1 gene:SC329g500020.1_BraROA transcript:SC329g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSDRPARSLRSDRARAKARSLRSDRALLPLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVYVSRYSNSSIKLRGLETTENS >A04p032780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19113032:19114475:-1 gene:A04p032780.1_BraROA transcript:A04p032780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEEVSEKGEISVEEEEVGDGDKTVLVSSGDVVVLTTKMALVGVGARALFYPTLIYNVVRNKVEAEFHWWDRVAQFILLGAVPFPSDVPRLKELGVCGVITLNEPYETLVPSSLYKSYCIDHLVIATRDYCYAPSMEAICQAVDFIHRNASLGKTTYVHCKAGRGRSTTIVLCYLVQHKDMTPEEAYAYVRSVRPRVKLASTQWKAVLEYYNVRVLNTTQSSLTDATSALIPRSTKQVCSGNVVVFDDGSVVVVTHSDVEGYDDDDSRRSMNVAAGNELWAAAADLSMVYRVKVVGQAALARISCMWLGLREDQKLSGKNLPMRGISVDISVY >A10p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20557720:20561534:-1 gene:A10p035970.1_BraROA transcript:A10p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTHSKRSIPHRSYRLPYRYEVGRLLGQGTFAKVYYGRSVHTNESVAIKMIDKEKVLRVGLSDQIKREISVMRIAKHPNVVSLHEVMATKSRIYFVIEYCKGGELFNKVKKGKLREDVAWKYFHQLINAVDFCHSRGVYHRDIKPENLLLDDNENLKVSDFGLSALADCKRADGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVVLFVLLAGYLPFHDSNLMEMYRKIGKADFKCPNWFAPEAKRLLCKMLDPNHESRISIARIRESSWFRKGLHLKQKKMDKQLRETTVIPTVEVGESSGSSSENENGESLLQEEAPAQLAYLNAFDIISLSAGFDLGGLFGDANDKRESRFASRKPAAEIISKLEEVARGLNLKIRKQDAGLFKLEGSKEGRKGALSMDAEIFQVTQTFHLVEVKKCDGDTVEYQRLVEEDLRPALGDVVWVWQGDKEKEEQLKRGLQDEQGVEQHSEPLSKICRLRMENKPSILTDRYEVGRLLGQGNFAKVFYGRSVHTNESVAIKMIDKDKLKKVGHSEQIKREISVMSLAKHPNVVNLHEVMATKTKIYLVLEYCKGGELFKKMVKGKLAENVAWKYFHQLIDAVDFCHSRGVYHRDIKPENLLLDEHDNLKVSDFGLSALAESKRADGLLHTACGSHAYACPEIVNRKGYDGTKADVWSCGVVLFVLLTGYLPFYASNLMDMYRKIGKAQFKCPREFPPEAKRLLSKMLDPNPESRITISKIKESSWFKKGLPMKQKRIEKEAVGGSGSGSGPSEPPPQVSSMNAFDIISLSSGLALGGLFGEVYSKKESKFTSRKPASEIICKLEEVAKGLKMKIRKQEAGLFKMEGGKEGRKGRLLIDAEIFEVTETFHLVEVKKCSGDTMEYQRMVEEDLRPALADIVWVWQGENEHVLRGLKEGQEPL >A01p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000072.1:72171:75294:-1 gene:A01p035220.1_BraROA transcript:A01p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLFLERIGQPEVDLANHREESASFNVHDATYILEFSSSQMFSILFRDLLGTTETERNALVIEDFSPLSLKRNWLSEAGKGFNTLANRRVSKFFEVWEYTSIVYVPTPPFFFTKEKRLNRYFEGLCMFPLLMFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDHFSTFGEFRSVCKIWMNNYGTIYRDRKNRLKLSSLDYPPSRKYLISMVTSIEAKQETFRSRFESERKDGGRYVATDSLTGRYVANGSKPRSVLLVFVVKSQRKLRLRRNKKHFEEDSKENAKEEPFEDLQPRSVLLVFVVKSQRKLRLRRNEKRFDEDSKDNPKEDLSEALQSDRSLRSEWKQAETSPTCFRRKISTETPIETKRKASLRSDRQSDRSLRSEWKQAEKSPTCVRRKISTETPIETNEKRFNEDSKENPKEDLSEALQFWLDCTHSFRISPNPGTKSVKENATKQPAFANPETVFVRKQCCN >A01g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13972573:13973265:1 gene:A01g504630.1_BraROA transcript:A01g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWGNIATDVTNSLQLRSEKCVILVLRFGKIKDRSVSNAYNVTDVQLHPNMAGLRNLGPWRRLSPSIY >A02g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10186065:10186770:-1 gene:A02g503080.1_BraROA transcript:A02g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLFFGWYREYSTKLALMWYVNSSVGFVEAFHRYVSVDQRFHQSTSYPSCSFHASNSMLQCKPLSGSNFGVLLLTSRFVSPTSCRSICVFDGFPSMIEEVPCGALFLLWNGSGLGKGFPVIPWVWMLFFDITQALTVLVGPLLKSFPRS >A09g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16010978:16011569:-1 gene:A09g505220.1_BraROA transcript:A09g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPHIKLKLLTRRIHPGKPFVDPISDAPTLAETIHGADLVLGTLIRANKIFYPNSNLHSISSSHKAFLILDDFSFLFRTEPAHDSHHRQLEFPINQLAKEATRDPIGGSVHPARVRGLSAHLGGPVSTICKTNVILILRT >A06p012010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5498136:5499497:-1 gene:A06p012010.1_BraROA transcript:A06p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKILVLLLLSFSCFCQAQLSPTFYDQSCPNALSTIRSSIRTAISRERRMAASLIRLHFHDCFVNGCDASVMLVATPTMESERDAVPNFQSARGFEVIDQAKSAVERVCPGVVSCADIIAVAARDASEYVGGPKYAVKVGRRDSTAAFRAIADSGDLPSFRASLDELSDLFLRKGLNTRDLVALSGAHTLGQAQCVTFKERLYDNSSDIDAGFSSTRKRRCPVNGGDTNLAPLDQVTPNSFDNNYYRSLMQKKGLLASDQVLFGAGASTDSIVSEYSRNPSRFASDFGAAMIKMGDIQTLTGSAGQIRRICTAVN >A03g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19949525:19951415:-1 gene:A03g505670.1_BraROA transcript:A03g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMTLLVKVKGETDAKLSLSYRFKRELAVSTRVEITNSNPSHSPRAEPSSCSVLYVRVGCPASLIPSQDVEASSFLCVRVHDPALLDPAWKLRNRHHPAASRRRHGRDQVNSRSRANSFSHGYISSASESQAVSAPPSCSQSLFKPWSTPATLVVGIKALQSLLVMGCSTTGSLVQVISASWPCSQPPPSTESSLAVGSVETKLSISSNGDFFNICKTGPRNLDYLHIRPKLLKLHKRPLELAPNLLIVQNNPSEYSEFAEMDLELLDVLNSAPNFVNCKNNPRFSPKLLK >A01g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1667679:1668109:1 gene:A01g500400.1_BraROA transcript:A01g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSDGLRLVVVKIISPAGVKIRCLRLVCLLSLSAHVLHIQTIYEASTPWTGWHRTRHVMAGADTPVVMTRRGGEKRWKEGDACGGGPGGAMEVMAPHSHAFNIGGLPHGRSSLHYII >A01p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25134710:25136044:1 gene:A01p042960.1_BraROA transcript:A01p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQRKVNNLGSKVATFPYIETIGRALFSSSFFFSAWHDYMELSSNWEGAQDYWRPKFGYSGDQIKHLMAISIIVKTLGGLIFIYGSFFGAFLLLLHQFIVTMIHHDFYSHRVDIEQFGLLYLKFKRILNETVSYDTVNNFYKSNFDEQHVENVISKFRELTDQAATNSSLFGHAEFVQHLLSFIKGLAVVGALLFFLTMKHKLNKAKKESKVKTD >A01p049200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27645561:27647969:1 gene:A01p049200.1_BraROA transcript:A01p049200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 8 [Source:Projected from Arabidopsis thaliana (AT3G16860) UniProtKB/Swiss-Prot;Acc:Q9LIB6] MILMGLAPKLILLLSLFTTIQLTSSQPRQRSNDSTPPPPPTPPSPPPISPDAVLCNGIFVSYTYSTGTQIKPNDTKSQPYRFESVITVLNNGRDELKSWLVFVGFAHKEILVSASNAILEDGSSLPVSVENGTTFAGYPAADLKSAIMTAGDIKQMEARVELVGTQFGVAPPGIPLPNNITLVNDGWSCPKATKRDGNILEVCCMPDPKNETDPIGEKLKPRQKGDLTIMYDIIRPYATSYWAQVTIENHNPLGRLDNWDLSFEWTKDEFISQTKGAYPSVVDASACIDGPQGKHYDAVDFSTVLSCARKPHIIDLPLTKYNDSNLGLKPYCCRNGTILSPSMDPTKSKSVFQMEVYKMPPNLNISAIAPPLSWKIKGNFNPDYKCGPPARVSLSEFPDPSGLPSNRTAFASWQVACNITQPVTPSCCVSFSSYLNDSIIPCNTCACSDCSSKRVDKTCSTTSPALLLPPQALLIPFENRTKFAASWANLKHRKVPTLLPCGDYCGVSINWHLATDYRRGWSARITIFNWGGTNFADWSAAVELKNAAPDFEKAYSFNATTVAVDGKNTTVLMEGLPGLNYLVAEVDAKNPSKDYRVPGKQQSVISFTKKLNPGIKVGAGEGFPTKVFFNGQECSLPSILPSNGHKGRVSTFLLMVLPVFALLSLWV >A08p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25097940:25101991:1 gene:A08p045930.1_BraROA transcript:A08p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTAESGSETGRAIQLVYTDENGKLKTDPEAIGALQKLKGPVAVVSLFGKAQHGKSFIWNQLVSRSIGFEVQTLHRPCTGDIWIWIEPVKRISEDGTEYSLVLLDVEGADSTGVLATRSSQIFSLAILLSSVFIYGPTFGVDDISLDLSRLLETSKHDFVGEAKDNTFSGLGQFSPVFVQLMTDINSETVEGGEDVTQNNRVEALRPQLLYGVNALVKFVSERVRPKKRGDSTIVTGPPLAAFTKSFSENVNNSVVPKISSLWLSVEESEGRKARDTATEVYMSSLERAETLEESMLIEAHNKAVAKALTAFCESSIGNNEVKQRYKRELWSFFAKAFEDHKRVADMEAYSRCCNAIEDMGKKLWALPCSRDANSSDIIKALDTAVAEYEVSINGPMKWQTLSSFLKKSIQDILVHRRGNQMEELLSENSKLKLQHRSTESTIDLLKKQLEGGEKMRKEYQKRYEGAIDDMNKLSDQFKNRIHDLESKCNSIHDEHSKLMEVLGSTRLEAAEWKRKYAGALDENGASNASIKRCDKSIDWKIKYENTISEQKAVSEKIAAMEERLKQASAKEDGMRAEFSRVLDEKEKVITEKAAKVSTLEQLLSSTRSELKKSELKVKECSLEAKDLRVQVSDLNEKCESMISAAKSRENETQALRQERDYLDKNHLSHMEELGKLCLRLEDAESKASSAKKLADSLRMEAEAAQNNEKSLQTSLVEKCIEIERAKSRIQELEKVCLKLDSEESEASASKELVDSMKRETEALRMNVIELQTSLQDKCIEIDQANCRIEELEKICLKLKDAEEEAAAAKELASLRKTEVESARCNENKLQALLQEKSIEIDNLERQKNALSETLETRAKQNEEAVSEWHRIINSEKEKNTRVNTMQRVDSFMVSDEATPMQRVKRLKVEASVTSSGSVFETEEDTASQESGRAMSAMTPRRCTSAGGGGGESSSTGMDHSKYTMKKLRDEILKHGFGAELVGLKNARKSVLVELYERCVLRK >A04p025930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15692152:15695510:1 gene:A04p025930.1_BraROA transcript:A04p025930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINMLVIVAFSFLIAARSLPVKQTLNYESIFNFGDSLSDTGNFLISSDVSSPSIGRPPYGQTFFNRSTGRCSDGRLIIDFITEANGLPYVIPYLQSVRTNFSVDFNKGANFAVAGATANDFNFLKERGLSVTLLTNKTLDVQLDWFKKLKPSLCKTKPVRFWKIPDKKPEKKTDGCDYFCQFLQNDCDQYFKKSLFFVGEIGGNDYNYPLLAFRSYKHAIDLVPSVINKIINVTSALIEEGAVTLVVPGNLPIGCSAVLLERFSDDNRWLYDTRNHCLKPLNNIAKLHNTKLQEGLVTLRQKYTHAKIIYADYYGSAMQFFNSPSKYGFTGSVLKACCGGEDGRYNAKPNVRCGGKGSTTCENPSTYANWDGIHLTEAAYRHIATGLISGRFTKPSLSNSSSFSKF >A02g512690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:34717265:34719085:-1 gene:A02g512690.1_BraROA transcript:A02g512690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKVTVFSGVSGDLRPWIAYMEFGFSLFKNLELDKLSWAKLFMDGTAKEYVKRLERISPFKSWHEMKYALLLVFGEKDDPDKVRLQIESEQKMKRWMDDYDRKKKPWRKSETIQDDAKMKLTIHHNVNSLDMSGSAVEVNLGEDAGSNENSVDEMEVEQETNSLLMEDSEDKIVTENTEIVIKKGSLSVFDHIADFGSEVGSTDLVALSDSFTQYEPQKPNPSGTEATEEKIVDKIVEMSQTQTDMVQQMTLSSVDGLSVNPSGRLVNSCSSPELMLKQDSFPIAVQESSSETVQAEEPNKEIVLPPVVEVTVRLDDCLGWSSSSPEILLKKDSFGEIDHEIELQDSADNLVLNDLSVENELQVSNSSSMEELEEKSGVQESSLRHPSCFLDPVLSMKFKATAERPHCWSEFLKPAVEHAYDAYYIAQTEAMLQMNQQWTDVSRQGRGNQQKCRKTWKFKLKKRKFSQRVPGQRFKFTEKKFKLMSRVDIMGEETMNTRWMKVAGVWFGIWRTLINEAAIRNVKWNCCLYLLVVAFNNKNLQEGDNAVYRSRLRHTHTSRVICKMRLIQPVKELKQFALKDGKFQVKHKWRFKSASVWSNGVFS >A02p000460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:275932:277251:1 gene:A02p000460.1_BraROA transcript:A02p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic proteinase PCS1 [Source:Projected from Arabidopsis thaliana (AT5G02190) UniProtKB/Swiss-Prot;Acc:Q9LZL3] MHHPLFYLLLILFIRTNQCSSSSYSSQTLVLPLKTRITPTDNSGHRPTDKLHFHHNVTLTVTLTVGTPPQNISMVIDTGSELSWLRCNRTSNPNPTNNFDPTLSSSYSPIPCSSPTCRTRTRDFLIPASCDSDKLCHATLSYADASSSEGNLAAEVFHIGNSTNNSSLIFGCMGSVSGSDPEEDSKTTGLLGMNRGSLSFISQMGFPKFSYCISGTDDFPGFLLLGDSNFTWLTPLNYTPLIRISTPLPYFDRVAYTVQLTGIKVNGKLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPVYTALRTEFLNQTNGTLTVYDEPDFVFQGTMDLCYLISPVRIRTGILHRLPSVSLVFEGAEIAVSGQPLLYRVPHLTVGNDSVYCFTFGNSDLMGMEAYVIGHHHQQNMWIEFDLQRSRIGLAPVQCDVSGQRLGIES >A09g515190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45257237:45259286:1 gene:A09g515190.1_BraROA transcript:A09g515190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLGLQVERPLFLHRSISLLRFWEAMNIRRGGDLMGVDMLLIDCQATMMPATRRWWEPIFECHFRHTCLLRQRDISRRELVEEDTGVTPATPLLRGYAKVEALSIAELCHHSLSARCVSLLEQSDFQPMRVTEIKIDKWWCYVSCSNCGKKLQHTASSFTCVPCNNTSVVGVLRVVCLLRWVMTKLHNMRAYEDGHLLSILCVDYLFIQSHSSNLAQAGDGVNPEETEAPPFVKDMEGKTYKLQGGDSGDNNHGGNSVPVKVEAAGSTNVDGATGKVKKARKA >A08p022170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14733384:14734400:-1 gene:A08p022170.1_BraROA transcript:A08p022170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATSAAPSPGSVQKHDEEWRAVLDRFKGEYTKLFEEGTYACAGCATPLYKSTTKFDSGCGWPAFFDAIPGAIIQTEKDSPRLPMSVTASTVFLLSLILPKLPPNKSIYIHLLFGPILLLEENKKIESSHDPSKKKEQLREPKRAA >A06p046310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24786690:24787612:1 gene:A06p046310.1_BraROA transcript:A06p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRAGASPKGKVFTGAKSRKLRREDRKTPEPKASHITQMVPSCAGTRAHQRAATPEENPVEADGGNSVDHVDVMRKAYTNKKTCEIQDPLITDVIELVQTKKVELLASQPMNSDDDSTELPATFTVPKKKGRLVGLARCASSCPSSSLTPYIDSMIIEQLQNKDERIEALKTHNATILAELADQKKTNNKIIDKMKRLFRDEF >A02p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13448139:13450888:-1 gene:A02p027690.1_BraROA transcript:A02p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASSCYAYLDFRPCNHYNNNNNNNIALSSLFGSNSISLNQNQSRLNRATNSGRAMAIAMNMENEAMVSKNNPPMEKRRVVVTGMGVETSLGHDPHTFYENLLQGNSGISHIEDFDCSDFPTRIAGEIKSFSTEGWVAPKLSRRMDKFMLYLLTAGKKALADGGVTEQVMAEFDKAKCGVLIGSALGGMKVFHEAIQALKISYKKMNPFCVPLATTNMGSAMLAVDLGWMGPNYSISSACATSNFCILNSANHIIKGEADVMLCGGSDAAIIPIGLAGFVAVRALSQRNNDPAKASRPWDCNRDGFVIGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPHPDGAGVIICIERALAHAGIPKEQINYVNAHATSTPAGDLKEYKALVHCFGQNPELKVNSTKSMIGHLLGAAGAVEAVATVQAIRTGWVHPNINLDNPENGVDTKLLVGSKKERLNIKAALSNSFGFGGHNSSIIFAPYK >A02g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15633635:15634344:-1 gene:A02g504910.1_BraROA transcript:A02g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRRLQRSRSCARFSKTRRVDSPPEGVNPLVDFWGKVGDCSGEMVEKAIWRLCVTESEMRSYGYTCNEDLILAGFDLLIDLERINKISSHYSQWNRNKADKEVDKVSEDAEEEK >A06p055510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28985138:28986739:1 gene:A06p055510.1_BraROA transcript:A06p055510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKDRGFHGYPPAGYPPGPGAYPPAGYPPHQGYPPPPGAYPPAHGYGGYPPASGHAGYAPTGYPAHHSGHAGGIGGMIAGAAAAYGAHHVAHSSHSPYGHAAYGHGYGHGHGYGYGHGKFKHGKHGKFKHHGGKFKRGHGMFGGGKFKKWK >A05p013350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5817010:5819685:1 gene:A05p013350.1_BraROA transcript:A05p013350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 22 [Source:Projected from Arabidopsis thaliana (AT2G33980) TAIR;Acc:AT2G33980] MESAAAKSISTASSRLLALAQQLRLYKAEESTGKLISHVGFHKPISPAKKAAVLICLFEGDDGDLRVILTKRASGLSTHSGEVSLPGGKAEEGDKDDDGVTATREADEEIGLHPSLVDVVAFLEPFLSQHLLRVTPVVGILWDRKAFNPTPNPAEDENRRSEEIEWNGKRHLLHFFDYNTGDNDYVIWGLTARILIRVASMVYQRPPAFIERIPDFKYPRMHTACVIKLENYQVKSKSFDMKTKLVLGECGIIQLCSRELCLI >A01g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21304977:21305284:-1 gene:A01g507360.1_BraROA transcript:A01g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSLTFHPFAILIKHSRIFKQKKVSIILHHLHISTDGSYLDAIYLYSTTMFCKNDKDIGKIYIDKVGWSLGGMAISSDQC >A10p003030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1559107:1560479:1 gene:A10p003030.1_BraROA transcript:A10p003030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSYNERKAFDETKAGVKGLVDAHITEVPHIFRLPHGTLPDKKPSVSASAIPVIDLADVHVSREGIVKKVKDAAETWGFFQVINHGVPLSVIEEIKGGVRRFHEQDLEVKKSYFTRDVTKSVVYNSNFDLYNPSSLCVNWRDSFVCCMAPDPPNPDEIPLACRDAMIEYSKHVMTLGGFLFELLSESLGLHSEMLKSMDCMKGLNMIGHYYPPCPQPDLTFGTSQHSDSSFITILLQDQIGGLQILHKDSWVDVSPLPGALIITNDKFKSVEHRVLANRAGPRISVAGFFSTNMLPNSTVYGPIKELLSEENPPKYKDFTLEEYTKGYIEKGLDGTSHLSRFKI >A05p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26833344:26836357:1 gene:A05p044680.1_BraROA transcript:A05p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSVFHHVVIVLMFLWILSYLNRSHSLFYLLALLYLYLVHERYVMRLRRKFRFQETRKANQRRVLSDSESVRWLNHAVEMIWPICMEQIASQKILRPIIPWFLEKYRPWTAKEALIQHLYLGRNPPLLTGIRVFRQSTSDDHLVLELGMNFLAADDMSAILAVKLRKRLGFGVWTKLHITGMHVEGKVLIGVKFLRRWPFLGRLRVCFAEPPYFQMNVKPIFTHGLDVAVLPGIAGWLDNLLSTAFEQTLVEPNMLVADMEKFISPRSGENWFFFYEKGPVAHALVEVVEASDVKPSDLNGLADPYVKGQLGAYRFKTKIIRKTLAPKWQEEFKIPILTWDSPNVLNIEVQDKDRFCDGRLGDCSVNIAEFRGGQRNDMWLPLHNIKMGRLHLAITVTKDEPKWSDDPFEGVTVSKEDMWASFALEKASKCFVSPVTSDKSPRVLDNLEPINIEGQEETGIWIHQPGTEVSQIWEPRKGRSRCLDNQVRGVPHDASVASNESSSPDETQEGKNTVRSVGRGLKKVGLVFRRNGKREESGSMEEDVRSPRINLKALNRKDVGVKYITEDRLSGPLTGRSLKSESFGSEDSQNKGHMKDVAKSILKQAEKSARYLKHMFSPRGSRKSRDNECLTVSEEDVVPESVSDSERQCVYSNSDDESAVSIVQDLGSPKPEGKIVGSG >A09p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21180837:21182182:-1 gene:A09p035250.1_BraROA transcript:A09p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKAKRENNNKSIDWRSFTIFQVLVPSDSFQIEKRSTTLANPHTSSANPSPRSTESSNPMATRRRTLLKVIVLGDSGVGKTSLMNQYVHNKFSLQYKATIGADFVTKELQIGDKLVTLQIWDTAGQERFQSLGAAFYRGADCCALVYDLNVAKSFDSLDNWHEEFLKQANPSDPKTFPFIVLGNKVDIDGGRSRVVSEKKAADWCASNGNIPYFETSAKEDYNVDEAFLTIAKTALANEHDQDIYFQGIPEAVIENEPRGGGCAC >A04p035760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20819970:20821750:-1 gene:A04p035760.1_BraROA transcript:A04p035760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR9 [Source:Projected from Arabidopsis thaliana (AT2G39570) UniProtKB/Swiss-Prot;Acc:O80644] MGILNDDAVLIEPGKNSGDPTMVTVNCPDESGLGSTLCRIILEFGLSITKADFSTDGRWCYIVFWVSPDNGSSRFDWDSLKNRLLSACPSCLGSYYSSLQSSNVSKPPSLYLLKFFCRDRKGLLHDVTKVLTELEFTIQRVKVTTTPDGRVLDMFFITDAMDLLHTKQRQTKTCDHLTAVLGEHGVSCEIELAGPELESLQRFSALPPEAADELFGSDVTSISNKVVLTVDNHLSPAHTLLHINCIDQKGLFYDILRTSKDCDVHIAYGRFSSKVKGYRNLDLFVRGTDGKKIADPKHQDSFCSRLKEEISCPLRVIIVNRGPDAELLVANPVELSGKGRPRVFYDVTLALKSLGICIFSAEIGRHSTSDRQWEVYRFLLEERREFPLDRARNQIVDRVTKTLMGW >A02p058100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34909492:34911110:1 gene:A02p058100.1_BraROA transcript:A02p058100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLSFSLVSRASYENVFKKWIPELQHFAPGVPLVLVGTKLDLREDRHYLADHPGLSPVTTAQGEELRKLIGATYYIECSSKTQQNVKAVFDSAIKEVIKPVVKQKEKTKKKKKQKSTHGCLSSILCGRMVTRH >A09g517380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51348281:51350424:-1 gene:A09g517380.1_BraROA transcript:A09g517380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTKVFFSDLKAGRCSFVVEARLLRFWEAKNVKRGGELMWMDLLMVDVNVNSTDNPFEFTPFVRTDKFLTAFHMGSTDDLVEFIFYRSLHSRFLCFRRFVYRKLKRDGLIILGIESHEVYTWGWKECIPTGRVFGQVEGYSCEMNISFSAEQDSVETTSENFGDDDHISRGGEMQRSGPGSSTILLDHRLYTMVKTKGFSLDLCGCSLVNEAKRNLFGSSFDVSDSGLTFEMNAPSQRTRVGRAVKPTLKFQEM >A06p005960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1952926:1956065:-1 gene:A06p005960.1_BraROA transcript:A06p005960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNPISKLALVWLTLLGSVLVSFAELEFGHCERVVKEWADTSSSREEHPPNIDKRSLQDLLFFLHVPRTGGRTYFHCFLRKLYDNAEECPRSYDKLHFDPRKQKCKLLATHDDYSLMSKLPRERTSVMTIVRDPVARVLSTYEFSVEVAARFLVHPNLTSATRMSGRIRKNNVISTLDIWPWKYLVPWMREDLFARRDARKLKGVVIIEDDNPYDMEEMLMPLHKYLDTPTAHDIIHNGATFQIAGLTNNSRLSEAHEVRHCVQKYRNLGEPVLQVAKRRLDSMLYVGLTEEHRESASLFANVVGSQVLSQLVTSNATAKTETTKSEASVTVSESGSDKSEIQNGTSEVASNKIEAKSGNMTVKTLMEVYEGCITHLRKSQGTRRVNSLKRISPANFTRGTRTRVPNVVIQQIKSLNNLDVELYKYAKEIFVKEHELVSKKMVSTSKRSIVDLHNVFGEMEGEKLWKFVSVALMLLLLFLLFLFVNARRRITSKVKI >A06p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5017379:5036978:-1 gene:A06p002670.1_BraROA transcript:A06p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSGFLFFLIIAFAILQSVQAQNQSGFISLACGLIPKSATYTEKTTNISYISDANFIDSGIVGRIDDSYKTLFQQQTWTLRSFPEGQRNCYTFNLKENSKYLIRGTFVYGNYDGLNQIPEFDLHVGPNKWTSVTLKGVANASIYEIIHVVAHDRLQVCLIKTGNTTPFISSLELRPLSNNTYQCFKKEKKNNNTYLTQCGSLMSFARIYFPQTPSFLRYYEDVHDRVWVPYITNETVSLSTNLTIDTSIGSYNVPQHVANSAIFPANASHPLNIWWDIGDTNAHSYIYMHFAEIQNLGSNEIREFNISYNGGEVWESFFRPHKLKITTIFSPTALSSPDGKFNFTFTMTERSSLPPLINALEVYTDVENLLLETHQDEVSAMINIKKTYGLMNKKISWQGDPCSPKTYRWNGVKCLYLNSDQPRIISLYVFYFVRLYFISFFFVSGFLTDPKSLNIRKLVASDLNGAITPDIAGLTQLRELDLSKNDLSGELPDFLADMKLLTFINLKGNPKLNVTIPDSLQQKIDDKVLILLIDEKLGRKFPLVAVTVSVVAGVITLLAIFTICFIVARKKRSEVPSNINSETRSTNQSIRTKERIFTYSEILKMTNNFERVLGKGGYGRVYYGNLNGTQVAVKMLFHTTAAHDYNHFKAEVEFLSRVHHRNLVGLVGYCDDGDNLALIYQYMANGDLKENMSGKSGGHVLTWENRLLIAMEAAQGLDYLHNGSVPPMVHRDVKSTNILLNELFEAKLADFGLSRSCPVDGETSESTVVVAGTPGYLDPEYYLTNLLSEKSDVYSFGVVLLEIITNQAVIDTTRERSHITNWVRFMIRKGNIKNIIDPKLMGDYDTNGVWKAIELAMTCVNQTSNRRPSMPHVVMELKECLESEISRKQGSQVMCFEDSIDFTLSPGSESPPRPRALVNDDINEAVSSLVFASARCGELPDLVGIRELFLQRYGQNYVTKALQLLPGHLVNIQIKEKLLATTAPEDLKSALLDEIAKEYGLRLEMLMLEYTPEVEKQVNEEEEKKVVDSDFNSFSDDQSPEVYKFSLTDVEEEKSKEERSMEDDDIEETEVGKVDHRVFRFKESSEEERSSLSSSLSSGGFKDMESLRYYKRREKIRTRRRSSSSPTTCYHIVYNVFWVKNEEEEEEVRRRLLPKHVHPKLPDYDQIAAQFKALRTQQRFISLDCGLVPTETNYVEKSTNISYKSDFNYIETGKAGKINEAYKTLFQQQTWSLRSFPDGKRNCYNFNLTASRKYLIRGTFIYGNYDNQNQLPIFDLHIGPNRWTTVTTLGVTNGSIHEMIHVLTQDRLQVCLVKTGDTTPFISSLELRPLNNETYVTQSGSLVAVSRVFFSPTPTFVRYDEDINDRTWVPYIDKNNSVITTDVAVDTSNFYNVPQVVARTAAIPVDESQPLTIDWTLDEVTAQSYIYMHFAEIQNLKANETREFNITYNGGKRWFDYFRPPNFSITTIFNPRAVSSPDGKFNFTFAMTSNSTLPPLINALEIYKVLDLSLLETNQDEVLAMMNIKVTYDLSKIPSWQGDPCVPKLYRWEGLDCSYPDSESPRIISLNLTGSNLTGTITSDISKLTQLRELDLSNNGLSGEIPAFFADMNLLTLILDGNQNPTTKSKSKDVPVVAIVASVAGGFSLIVIVAIIFVLTRRKQKPPEASGPVSVTTGTTNTETRSSNPSIITKERKFTYSEVLKMTNNFARVLGKGGFGTVYHGNLDDTEVAVKMLSHSSAQGYKEFKAEVELLLRVHHRHLVGLVGYCDDGDKLALIYEYMANGDLRENMLGKRNGNVLSWETRMQIAVEAAQGLEYLHNGCRPPMVHRDVKTTNILLNERFQAKLADFGLSRSFPIDGESHVMTVVAGTPGYLDPEYYRTNWLSEKSDVYSFGVVLLEMVTNQPVIDKTRVKPHLSDWVGFKLTNGDIRSIIDPKLMDDYDANGVWKVIELALACVNPSSNRRPTMPHVVMELNECLAFEVERKNGSQEMYTKNSTEFSPSSFSDFSPIAR >A04p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5757525:5758136:1 gene:A04p014850.1_BraROA transcript:A04p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPYISIPEVFSDLRDFERLLRRLSEDSRKTLRSLLRKSSNAFYAKRLPTKSSGSLPKSSA >A03p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12577499:12578396:1 gene:A03p029960.1_BraROA transcript:A03p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB6 [Source:Projected from Arabidopsis thaliana (AT4G09460) UniProtKB/Swiss-Prot;Acc:Q38851] MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRIHGEGSWRSLPKSAGLLRCGKSCRLRWINYLRPDLKRGNFSDEEDKVIIKLHSLLGNKWSFIAGRLPGRTDNEIKNYWNTHIKRKLLSHGIDPQTHRPIKDSIAVENSFTVRPKTENSSGNVASTSGTTTDEDIRQNGDCYYNDNSGEKEVNLDLTLGSGSTSLVRSGGRSGNGSSADSKPWWDPVMAARLSLL >A09p022320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12292877:12295363:-1 gene:A09p022320.1_BraROA transcript:A09p022320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEKTLMEEYVCGDPKVDIRVGDEYQAEIPPLISESERAAAYLSNPLASESNAVAVGLPVDITWIDTKLKDDVNVDMNESLKSLKTKRNRRVEKMNLEAVTERPSSSWEDLEVDAFVLGLYTFGKNFAQVKTFLESKETGELLSFYYGKFYKSSKHKIWSNSLKKRSRKCIQGKKLYSGWRLHLLLSRLMPSITDESSLKTKLVNVSKSLAEGNTSLEKYISSVKELVGLKSLVEAVAIGKDKEDLTVLTTEPVKSKQWFTVSSSAAVPAGLGVYSSLTCDEIIEKLSGGSRLSKARCNDIFWEAVWPRLLARGWRSEQPKDRGKDNIVFLIPGVKKFSRRKLVKQNHYFDSISDIIKKVVSDPELLEFDEAAEIRAPSENKEDQLKRRYLKSPESSSSTHMKFTVVDTTSLAAGGKLCAFRELKNPNPESLGSQSKASCVDVEKHGKECKWEKRRMKKLVEEPVRFMIVDSSGIRRRRRLPASDPSSSQNNQSGASTGVTGSGVKEEKVRSKKRSVRKSKSANNHSLSSSFPLPKRRKLSACVRKDIERFGESSIKTEKAEEEEAGDGIEIPKTEPNELCSSERQQQEESKQLLCSSNDLEEKLIQLPSRSGSDKRNSPSTDHGTNDGFLVKESTSSKPLDGVECSEPSFLADKATRAASKPVDETEDSNRETSEFSTKRPPILLKLPFKRG >A03p020170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8273379:8276567:-1 gene:A03p020170.1_BraROA transcript:A03p020170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESNYIMLLLVKRCWSWLLMISLLCALTNEIEAISPDGEALLSFRSGISSSDGAIRQWRSEDPDPCNWKGVTCDAKTKRVTALSLTHHKLSGPLPPELGKLDQLKLLMLHNNNLYDSIPAALGNCTALEGIYLQNNYLTGPIPSEMGNLTGLKNLDISNNGLIGAIPVSLGQLQKLTSFNVSNNFLVGKIPSDGLLAKFSNVSFIGNLKLCGKQIDVVCQDESGNFSTGSGPAPEGKTGKLLISASATVGGLLLVALMCFWGCFLYKKLGTVESKSLAIAVGGGASIVMFHGDLPYASKDIIKKLEALNEEHIIGCGGFGTVYKLDMEDGNVFALKRIVKLNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDQALHERGDQLDWDSRVNIIVGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKIPTDTSFIEKGYNVVGWLNFLISEKRAREIVDRSCEGVETGSLDALISIATKCVSSSPDERPTMLRVVQLLESEVMTPCPSEFYDSSSD >A06p044610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23950724:23952585:1 gene:A06p044610.1_BraROA transcript:A06p044610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKRSLGFLSLAFLFITGSSAEYLIHQVTESKGTESNSSYSLQSNLGVTRVWRDDRPSSKIVTIAGYSVIKGRGEPYESSVFEAAGYKWRLVLYVTGNKNDGGNGYISLYVRMEETESLRYGWEVNVDLKLFVHNPKQHKYLTVTDGAVKRFNAAKKEWGFGQLISLATFENTNQGYIVQDTCSFGAEILIVKPANQQEKVTFISNPPNNVFTWKILRFSNLEDKFYYSADFLVGDRYWRLGFNPKGSGGGRPHALPIFLYAQGFRSNAVATNTWGAVNLRLKNQRSSNHRQLYSAAWYPIRSGYGVGVNNIILMADLKDTSKGYLVNDAIIFEAEMVKVSVTNIVPV >A03p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17939185:17942321:1 gene:A03p042980.1_BraROA transcript:A03p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLLRSASSATHRPPVSEPPPATPPQPPPPEATKPGSTLEGLISEEPFPQYPSVDEDHLGDGSRDDHGNGEANANTGGFGMERFSDVSEDEGWISIPYKEIPDNWSESVDIESLRSLDRSFVFPGEQIQILACLSESKGDAEIITPFKVAEVMSRTVHRKLSDNGTSSTPSGDGELSPDGQFAAQNGDSPGKESSDSQKDVSDGESILRMEDHQRRTEDLLSKFQKSHFFVRIAESGEPLWSKKSSLVGDRELDKKRPCVSAFVDRGEFDPNVAGGVARSKAKCIALPNGDIVVSLQVYIVDCPKEPIIEILQFEKHQDDKDTDSYGNLLKWLIPLDNTISQQPRSLPPPVSSSTPGGISSSNAHKTSLSSGSGSQLFSFGHFRSYSMSALPAPNTAPVTGPVKTQSSKPSFDLEDWDSYSGQTLRKSGTEELLSFRGVPLERDRFSVRCGLEGICIPGRRWRRKLEIIQPIDINSFAADCNTDDLLCVQIKNVAPTHTPDIVIYIDAITIVFEEAGKSASPSSVPIACIEAGNEHSLPNLTLRKGEEHSFIVKPAFSVGSNLKPSAARKELKSSSLSLPSVNFERLGSGLSGDHYAVMVSCRCNYTESRLFFKQRTKWRPRVSRDLMISVASEMSGEPCGPHGRASQLPVQILTLQASNLTTEDLSLTVLAPASFTSPPSVVSLNSTPTSPISPFLGFSEFTERVQTEKRNTTTRKVQSLPSFPLETRTEAATNDEMNPSSDVVPKSGLGCTHLWLQSRVPLGCVPSKSTATIKLELLPLTDGIITLDTLQIHVKEKGRRYIPEQSLKINATSSISSGIF >A10p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9454476:9455030:1 gene:A10p005790.1_BraROA transcript:A10p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTDGKMKSVASIPENYVSILQLQERWMKGKERKHKERDLGVKQQQVNEQREEVEEPMVNLEECFHIDRREKESNCIEKEVSATVSKKGEDGGYWRERKKKWSKKKNKKNQGGSPISPIQEKP >A02g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16825469:16829148:1 gene:A02g505790.1_BraROA transcript:A02g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTQGLKIQTFSFHSLKYYSQIFPLILLRYYDDVACVLRKMCLDAKAPHLSSTLSPTLSWKFNKHVQPNKELTRHGNGNSEELRCVIDVIRHGDRTPKQKVKLNFTEDKLLNLMLKYNGGKPRAEYYSQISHLILLRYYDDDACVLRKMCLDAKAPHLSSTLPPTLPWKFNKHAQPNKGQTRQGNENSEELCCVIAWRSNSKTEGETKCYRGKTVKPDAEVQWWKAKS >A01g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25925209:25926177:-1 gene:A01g509560.1_BraROA transcript:A01g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYRASWRVHKEYSEYGKRFENFVIRSPATDMFDYDGSQEYEKGKRLCMIESGVLRSKYLVHQASLGKKQTHLRTRILSNLEGALYKFYLRLATLQTSIKTPEAWLLLVSTPNVQFIQLYVDSFKSCMLTWSASL >A07p040050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21273645:21278938:1 gene:A07p040050.1_BraROA transcript:A07p040050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNRDPLVVGGVIGDVLERFTRSIDLRVTYGQREVTNGLDIRPSQIINKPRVEIGGEDLRNFYTLVMVDPDVPSPSNPHLREYLHWLVTDIPATTGTNFGNEIVSYESPRPTSGIHRLVLVLFRQLGRQTVYEPGWRPQFNTREFAALYNLGLPVAAVYFNCQRDNGSGGRRT >A06g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18282388:18286591:-1 gene:A06g506550.1_BraROA transcript:A06g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNSQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHIGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHELERPREVARHIHPVGSPERRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDLPRSLPIQSDPLERHTKVARDLSPRDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDVLHLEKPILSEYLHSQCFDIPQNWFDNLLYYNICLRSFENS >A03g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16168378:16171042:1 gene:A03g504510.1_BraROA transcript:A03g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTYGKVDEREHAMLEARRKSRKRIAIIAVSLVVLAGIVLGAVFGTMANKKSTTAETNVNGDSISVSVKAVCDVTLHKEKCLETVGAAPNASSLNPEQLFIYAVKITIAEVSKARNAFSSSGDNTTMSACVELLDLTVDNLNDTLTSSQNGDVTLPELVEDLRTWLSSAETYQDTCVETLAEFNDQESKTFGESQLKNATEMTSNALAIITWLGKIADSFKLRRLADIVVAKDGSGKYRTIRRALKDVPEKSKKRTVIYVKKGVYFENVKVEKSMWNVVVVGDGESKSIVSGRLNVVDGTPTFQSATFAVFGKGFMARDMAFINTAGPSKHQAVALMVSADLTAFYRCTMNAYQDTLYTFLGRPWKNFSTTVIMDSSLHRFIDPKGWLPWVGDSAPDTIFYGEYKNFGAGASTKNRVKWKGLRFLSKKEATRFTVKPFIDGGRWLPTTKVPFRSGL >A01p049010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27555557:27555849:-1 gene:A01p049010.1_BraROA transcript:A01p049010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRTTRDVSSDEICNEMRGYRRRNNNHEEGLSTVSQQRGRQHQRRLNYDPTQGNVASNIGRNLRKKRSSQY >A07g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5232992:5234546:1 gene:A07g502500.1_BraROA transcript:A07g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAKMSERRKKRRFDVGGSSAAPPPPPVRDQYPWPREREDEPIPLFDRFDDTRKAAKSAACRNLAIEDTWDDYDNIFYNEWLKVSIEPMRFSPSLDSLHYLWDLERASGRHHTLIPRDFDILGAHSYEFLQLRQDCSDKHLSPDSALLHEGPCQHSFHPDPHLVCVPATMPRRYTVQRHGGPQPHQPEAALLPFPPMLDMSTRPEGDFQRVVVDALTAIWARVSRCRCSSRRSVRASSPLAAGPSRQRRDTTDED >A06p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7036329:7037791:1 gene:A06p015730.1_BraROA transcript:A06p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor BEE 1 [Source:Projected from Arabidopsis thaliana (AT1G18400) UniProtKB/Swiss-Prot;Acc:Q8GZ13] MANFENLSSEFQTIAMDIYSSMTQAADLNNNNNISSNLQFQTFPPFSTCLDSLFLHHRHPQQLLDLPGKYPGSDNNLSTTSTLYHSSHNNVDETKKRKALLQPLSSSENSSVSDQLNINTTETGCSRRGKRLKKKNQEEEKEREVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKSMGMATMLDEIINYVQSLQNQVELLSMKLTAASSFYDFNSETDAVDSMQRAKAREAVEMGRQTRDGNPVLHLSAWSL >A04p023080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13984838:13986729:1 gene:A04p023080.1_BraROA transcript:A04p023080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein NPY4 [Source:Projected from Arabidopsis thaliana (AT2G23050) UniProtKB/Swiss-Prot;Acc:O64814] MKFMKLGSKPDTVQSKGDNVRYVATELETELIVTIGNIKFYLHKFPLLSKSGYLQKLIATTRNEEKKNQVDEVDISEIPGGSVAFEVCVKFCYGITVTLNAYNVFAARCAAEFLEMNETFEKSNLAYKIDVFLNSTIFRSWKDSIIVFSTTRDLSPNYTNEFTLKLVKRCLDSIAYTASIDTSKVEWSYTYNRKKKLDEKAVPRDWWVEDLCELHIDLYKQAIEAIETRGKVPFEVIGEALHAYARRRIGGFSKGSVRVIDKSLTESIIELLPDKKGSVSSSFLSKLLRASVFLGCEETVKERLKKRISEQLEEIAVSDILMFDVDMVQSLVNEFMDRDPKSHPKASVAKLVDGYLAEKSIDPNLLLQNFLSLAETVSSFPRQSHDGLYRAIDMFLKEHPGIDKSEKKRVCGLMDCRKLSAEACEHAVQNERLPMRVIVQVLFFEQIRVNGSSTGYSTPELTTTTLNTEDDEWDHVKEY >A02p003720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1633955:1636494:1 gene:A02p003720.1_BraROA transcript:A02p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAENVSGTRKAATEILQLCFEAKDWKLLNEQILNLSKKRGQLKQAVQSMVQQAMQYIDQTPDIETRIELIKTLNNVSAGKIYVEIERARLTRKLAKIKEDQGQIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKINPRVFDADTKKDKKKPKEGENIVEEAPADIPSLLELKRIYYELMIRYYSHNNEYLEICRSYKAIYDIPSVKENPEQWIPVLRKICWFLVLAPHDPMQSSLLNATLEDKNLSEIPDFKMLLKQVVTMEVIQWTSLWNKYKDEFEKEKSMVGGSLGDKAGEDLKLRIIEHNILVVSKYYSRITLKRLAELLCLSIEEAEKYLSEMVVSKALIAKIDRPSGIVCFQVSKDSNEILNSWAVNLEKLLDLVEKSCHQIHKETMVHKAALRP >A01p026410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19589706:19591608:1 gene:A01p026410.1_BraROA transcript:A01p026410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEFDEVMKYLIFSHIMVIQKNDLKFSAKLVHSFLCKELMTSKRHEKWFTFARRPLHFGLQEKNSGLVTWKDDDGFWSKQIKTNEKINLQIIKKRHLEESNTYSDVNREPGPWDRPIKAWGGAGLGRVYGIPQNGRPRGLVNKEEARDDSERSCWSVGEQGGVRTSASQSFIPRKQLKDLSIELAELIGELIVLP >A04p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7926907:7927903:1 gene:A04p009000.1_BraROA transcript:A04p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLTAVVSIKPALFSFQPQTFTSLQSQTNALSLKPISVSFPRTSRMRLIPHATMETDTEEKPALDPNAESSRRVYIGNIPRTVTNEQLTQIVEEHGAVEQVQVMYDKYSGRSRRFGFATMKTVEDANAAIDKLNGTTIEGREVKVNITEKPIELSSSSSPDLSLLQSEESAFVDSPYKVYVGNLAKSVTKEMLESLFSEKGKVLSAKVSRVPGTSKSTGFWFVTFSSDEDVEAAILALNNSLLEGQKIRVNKA >A04p024830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15051947:15052321:-1 gene:A04p024830.1_BraROA transcript:A04p024830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSDRLAIESDLFAVENRMRRGITSSLHVKILSRFGYEWWDNLFGLDPPGLGYHNASSTHR >A09p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:638804:640338:1 gene:A09p002670.1_BraROA transcript:A09p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g03330 [Source:Projected from Arabidopsis thaliana (AT4G03330) UniProtKB/TrEMBL;Acc:Q0WPM4] MNDLISSSFKRYTDLNHQVQLDDIESQNATLDSGNLDEFFGYVESVKEDMKAVDEIHKRLQDANEESKTVHDSKAVKKLRARMDSSVTEVLKRVKMIKTKLVALEKSNAAQRKVPGCGPGSSADRTRTSVVSGLGKKLKDMMDDFQRLRTKMASEYKETIERRYFTVTGQKADEETIEKLISSGESERFLQKAIQEQGRGQIMDTLSEIQERHDAVKDIERSLLELHQIFLDMAALVEAQGSILNDIESNVSKASSFVMRGSEQLQGAKVLQRNSRKWTCIAIILAIVLVIVILFPILYTSLLKP >A06p055190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28877739:28880572:1 gene:A06p055190.1_BraROA transcript:A06p055190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTKVEHLRNHIHLTNCIHLKNHMHNNNNNNNNNKQSSVLTDRSLLMRDLVLLQRSRSLRDPSASPRMKEDNKEGKRRSTDKNVVSKPGLRLCSSSPIVSFGGGGGTSKVTPSDEKLDGSSRKKSYRVEDVNEVLSVASVNSNDIKTLSDQLLGDSDGLVSCTVRNGRNRRKLRGKRRAVTIRDNVQKYEEEEGHGCGLPFNWSRIHHRGKTILDIAGKSLSCGMSDSKGRKGLNDTPISSLPLLVDSEGWQHDYSGELGIFADDLLNNGKDSDFSENSSRRNSRLHQSFTQKYGPRTFSDLVGQSLVVQALSNAVAKRRVGLLYVFHGPNGTGKTSCARVFARALNCHSVEQSKPCGVCSSCVSYDNGKSKNIREMGPVKSFDFESLFIKKRQKHHLVFIFDDCDTMSTDCWNALSKVVDRAPRRVVFVLVCSSLDLLPHVVVSRCQKFFFPKLKDADIVGSLQGITLKEEIEIDEDALKLVASRSDGSLRDAEMTLEQLSLLGTRISLPLVQEMVGLISDEKLVDLLDLALSADTVNTVKNLRVIMETGVEPLALMSQLATVITDVLAGSYDFTKEECKRKFFRRQPLSKEDMEKLRQALKTLSESEKQLRVSNDKVTWLTAALLQLAPDQRYLVPPSPCNNAAPRPSVEDIWLAVIENVRVNGLREFLYREGKIFSISIGSAPTVELMFNSPVTKLTAENFRDHILRAFEAVLGSPVTLAIRIESKKDLKNVGSSSLRSEIVELEDETESARRKDLEASQKQSIVRGKASLGQVIKQAEGNSWSKRKAMLIADKLEHEN >A05p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24192312:24195161:1 gene:A05p039590.1_BraROA transcript:A05p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMSYLPGDLLEEILCRVPAVSLKRLRSSCKRWNRLFNDKQFSTKHLDKAAKQSLVFKVTQYSRACLMSVNLHGTPSVEFKGELSLLGSHLNANQVKISSLSLSDTRIVLWNPFTGKTRWIQPRSTKHSYALGSYKEPRCGTSSYKILSYRTYNDHEFEIYEINSNTWRILDFTSDFELLYIGTSVSFKGKTYWFASDREDEQLGMFLVSFDYTTERFGRLSLPCKYPVGFFHDVALSVVGEEKLSVLLQPRNTRGKEIWVTNKIDGTKEVSWRKFLTVNYPERDYWITFTCFWVDEEKKVALCCEKCMFDRNTKIKDLVYIAGEDNEVKLIDFGAVTFGSCWPVLDYFPSLAYIQPGGGLKKRKRKRTMNTYALGSYKEPRCGTSSYKILSYRAYTYEHEFEIYDINSNTWRILDATSDFKLLFIGTSVFFKGKTYWFASDREDGQLGMFLRDLDACKCPSDFIQDAALSVVGEEKLSIDGCKEVSWRKFLTVDPPPQSDIWFNLTRFWWTRRRKLPYLMRNVCLNAKIWYTLLGRIMKSNELILEQLHAVGQFLIIFQV >A10p029220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17967216:17970881:-1 gene:A10p029220.1_BraROA transcript:A10p029220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGEDVYKVIEAMVPLYVALILGYGSVKWWHIFTRDQCDAINRLVCYFTLPLFTIEFTAHVDPFNMNYRFIAADIISKVIIVAVLAFWAKYSNNGSYCWSITSFSLCTLTNSLVVGVPLAKAMYGQAAVDLVVQSSVFQAIVWLTLLLFVLELRRAGFSTNSKEENINIEDGRKDIAVVGEQKSFVEVMSVVWLKLATNPNCYSCILGIAWAFISSRWHFEMPGIMEGSILIMSKAGTGTAMFNMGIFMALQEKFIVCGASLTALGMVLKFIAGPAAMAIGSIAVGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPVLVAYYAALESLTSPNNKSPIKINNTWKTHYTWPISSKQLFPSFVKSHEQPRDMSEDHAHTENKSHTDGGDDVGEIVNGGTVNGRRGTDYVAVDTESLYSLVCIIIGSILFPDSKTSDAYSSPLLQRIRNSVSENGPKLREASRKTSREILQWTRQGSPLRALLVITMGTIALLTTMALVVFALFFVAATANAIIISLLVSLAVAGGFLALFFLSLTAIYIGALSVAAFVISTAAVSAVFCVLIASGWIGFFYAVWLGARGSLRLVKQVMGLAISGNGLNIDSSS >A07p029520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16533442:16536762:-1 gene:A07p029520.1_BraROA transcript:A07p029520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNEKIRVAFYVQKAALQFIDAGARREYKLTDEVRQAGFHVEADELASMVRNHDTRSLTKSGGAEGIAQKLSVSLTEGVRSSELHIREKIYGANRYAEKPARSFLTFVWEALQDVTLIILMVCAVVSIGVGVATEGFPKGMYDGTGILLSIILVVMVTAVSDYRQSLQFRDLDREKKKINIQVTRDGNRQEVSIDDLVVGDVVHLSIGDRVPADGVFISGYNLEIDESSLSGESEPSHVNKEKPFLLSGTKVQNGSAKMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLGFAVLTFVVLCVRFVIGKAAAGSISEWSSEDALTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKDRALVRHLAACETMGSSTCICTDKTGTLTTNHMVVNKVWICENIKERQEENFQLNLSEQVKNLLIQAIFQNTGSEVVKDKEGKTQILGSPTERAILEFGLLLGGDVEMQRREHKILKIEPFNSDKKKMSVLTSHSGGKVRAFCKGASEIVLKMCEKVVDSSGKSVPLTEEKIAAVSEVIEGFASEALRTLCLVYTDLDEAPSGDLPDGGYTLVAVVGIKDPVRPGVREAVQTCQNAGITVRMVTGDNISTAKAIAKECGILTAGGVAIEGSEFRNLPPHEMRAILPKIQVMARSLPLDKHTLVNNLRKIGEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKENADVIIMDDNFATIVNVARWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNEGLMKRQPIGRTASFITRAMWRNIIGQSIYQLIVLGILNFYGKQILNLNGPDSTAVLNTIIFNSFVFCQVFNEVNSREIEKINVFEGMFSSWVFVAVMTATIGFQLIIVELLGAFASTVPLSWQHWLLCIVIGSISMILAVGLKCIPVESNSHHDGYELLPSGPSDSA >A06p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27458506:27461116:1 gene:A06p051880.1_BraROA transcript:A06p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable apyrase 6 [Source:Projected from Arabidopsis thaliana (AT2G02970) UniProtKB/Swiss-Prot;Acc:O80612] MRRSHTRARVKTKPDKSDMDPVKFQIRSSNRSSSSSSIYTLTKSNSKHAKSNLFLTVASIATVLGFLFVCYSIASSGGRGSLRYSVVIDGGSTGTRIHVFGYRIESGKPVFEFRGANYASLKLHPGLSAYAEDPEGASAALRELVEFAKGRVPRGMWVETEVRLMATAGMRLLEVSVQEKILGVVRRVLGSSGFLFRDEWASVISDADAAVDATAYYIVTAGFIGVSRGTRRSDDGVRRSFLRQRNEQKLTQNVDAVAAAGSDEGVYAWVVANFALGSLGGDPIKTTGIVELGGASAQVTFVSSEPMPSEFSRTVSFGNVSYSLYSHSFLHFGQNAAHEKLWGSLVSRDRNSAVEPTLEGKHADPCAPKGYNLDTITQKHLSGFLAEESKLASSFQAVGNYSLCRSAALSILQEGNDKCSYQHCSIGSTFTPKLQGRFLATENFFYTSKFFGLGEKSWLSNMISAGERFCGEDWSKLRVKDPSLEEEDLLRYCFSSAYIVSLLHDTLGVPLDDERVGFANQAGDDIPLDWALGAFILQTEASTSQHASSSHLHWFYALFGIDSQTLLYFIGVPILMTVLVCLISKWRKPQLKTIYDLEKGRYIVSRIR >A04p010570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7128050:7129057:1 gene:A04p010570.1_BraROA transcript:A04p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKEEMNMNRRQNAIQSKASINGLTRLSIDGGYGYLITRQSNLKHRSIGLDAINFSQDLMREDYSQRLENLGETTMARLGMHQCIINNLQNMMHVIGVDKEILKNQLIRGDEAIRSFIECIRDTKVDHLPIIHTC >A10g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19581618:19582125:-1 gene:A10g506680.1_BraROA transcript:A10g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETIPIISFLCDLPVIAPRSVKQSVWILRSAISSSTAFDRAARTAMASATSGDPTNSSTRVSSHTIGGDEPENVQASHALFLSFSQAASVWQMTASPFCCLLLDDVVSLSFCNMEMYSFSFQRPARFLKSRLPSRSKVVHCL >A05g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4808493:4811196:1 gene:A05g501290.1_BraROA transcript:A05g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMQNSHRNQAKSDTTFQMLLFELKSCAKVSEILEELKKDPERHGGPPDCIAISAQRVIQLFQMLLLELKILLKVSERYFGLSATKR >A05p017040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7625090:7625783:1 gene:A05p017040.1_BraROA transcript:A05p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSBW [Source:Projected from Arabidopsis thaliana (AT2G30570) UniProtKB/TrEMBL;Acc:A0A178VQ32] MASFTASASTVSVARPALLLKPTVAVSAPVLGLPPMSKRKGGVKCSMETKQGNVSAVGAGVSAAATAALTAVMSSPAMALVDDRMSTEGTGLPFGLSNNLLGWILLGVFGLIWTFYFTYTSSLDEDEESGLSL >A04p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:879880:885506:1 gene:A04p001790.1_BraROA transcript:A04p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TTN1 [Source:Projected from Arabidopsis thaliana (AT3G60740) UniProtKB/TrEMBL;Acc:A0A178VIF8] MAATEDQISPAKIETEADAVKLEEEEEEDDEHDSKERVLQRYFLQEWNLVKSLLDDIVSNGRVVVPSSVQKIQSIMDKYQEQGQLVEPYLESIVSPLMLIIRSKISELDSRSDEILKIIKPICIIIYTLVTVCGYKSVIKFFPHQVSDLELAVLLLEKCHTTSSGSSLRQESTGEMEAKCVTLLWLSILVLVPFDISSVDTSIAEDESFCVGDIAPLVLKILGFCKDYLCSSGPMRRISGLLLSKLLTRPDMGKAFTSFVEWTDEVLSSKEDSVVNHFRLLGVMEALAAIFKTASRKLLLDVLPTVLNNVTVLSKSSAATRSPLLRKYLIKLTQRIGLVCLPHRLPSWRYVARTASLSENISTGPTQRLAADHAGAAVSQQESPDDQEDEDMDVPEILEEIIEMLLSGLRDTDTVVRWSAAKGIGRVSSRLTSVLSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPRSFPQVVPIIVKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYYHKDMKNVLDQLAPDLLIVGSFDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNIADYFSLSSRVNSYLQVAVSIAQYEGYLYPFVDELLYNKICHWDKSLRELAAKALAALVKYEPEYFVNYVLEKLIPCTLSTDLCMRHGATLAAGEVVLAVHQCGYVLSAGCNMGTVLESRPYHRRFQTVFTHVRDSHKRIAGIVPAIEKARLYRGKGGEIMRLAVSRFIECISSSHVALSERTERILLDTLTVNLGHPNSQIQNAAVSAVQQLVQSYLIGNDKKAADLISKHLKHLTDPNVAVRRGSALALGVLPYELLIAKWKDVVSKLCSACMIEANPEDRDAEARMNAVKGLTSVCETLTQPRASDPENDDLSLFILIKTEVMDTLLKALDDYSVDNRGDVGSWVREAAIHGLEKCTYILCKKAGDHNNDSFSSLFDSNLANRLIGGIVKQGVEKMDKLRETAAKALQRILYHETVTVPFILYREKLEEIIPNQEGLRWAVPTFSFPRFVQLLGFPCYSKGVMSGLVISAGGLQKSLKEASFSALLQYLGEGEANEQRSKESAMCDDILWILQEYKKCDRVIVPCLKTIEELLDEKMFLNQEAYTLSFYKGVVDSLAIELRASKIFAKLEAGVVILGYIASVSEPISKQAFSQLLSFLAHRYPKIREKAAEEACLALVRNKTLVTEDKIERVKDIITTPCWEAGMELTQSQKLELCELAGLEHGVVFRTRSRTVTKTMTASDENASYSALVDSSGF >A03g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24655906:24659148:-1 gene:A03g507020.1_BraROA transcript:A03g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIATNISNELIKFVPSSDFKGFVGMEAHMKEMEPFLLLGSIKVRMIGIWGPSGIGKSTIARVLFSQHSHEFQLSVFMENIKRRCPGPCRLRVLVEKSFISVEGGYIKIHDLLARLGREIVRKQSIHEPGQRQFLADVGDICQVLRNETLGSRSVIGIDLKLSELKTELKISDRAFERMFNVQFLRLAYSDSGSGRPKQTCHHSTIRNLKWMYLSDSKNLKELPDLSTAINLIRLDLSHCSSLVRLPFFSGNAIIKLEELNLFGCTSLIELPSSMENATNLEELDLTGCLHLAKLPSSIGNLKKLFLKDCSSLVELPSSVINSLNLMVFTFSGCSNLVELPSYLSNADDHKEFDLSGCSSLLELPYSIGNMINLQQLYLSGCSSLQELPSSIGNMTNLMELRLNGCSSLRKLPSSIGYMINLMKLRLSGCSSLVELPSSIGYMNNLKKLSLHRCSKLKALPININMKSLGKLVLTDCLSLKSFPEISTNIRVLKLTGTSIEEFPPSIMSCPHLRELHLPYFENLKKSQQAFDCITKLHLGDTRIQEIAPWVKEMSRLRYLVIKGCTKLVSLPQLPDSLKILVADNCESLERLDCSFYKTKFHGLSFVNCFGLNQEAREIIINTWTRDFAIFPGETMPTYFIYRATRSSLSMTWNGLDTQYFPTSLRFKACLLLVYKGDVDAGDWCWPDISYCIKDKLNGVKSGYVAYAYSWHRSSPTSKEHLLVFKIEEKVGSPELAFEFRSHDKNWEIEECGLRPLESWKQLLWNPWLPHVHGS >A09p001010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:834754:835879:-1 gene:A09p001010.1_BraROA transcript:A09p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSSSSSTPKQSKGTKKTMNLITNKVDRDELKPGDHIYTYRAVFAYSHHGVFVGGCKLVHFKPEQSLKASSSSSSSSSTASSEVNNDSSCPTYPDCGFKQPNSGVLLSCLDCFLKNGTLYRFTYGVSSSMFLTRLRGGTCTTAPSDPFQTVVHRAMHLLQNGFGNYDVFQNNCEDFALYCKTGLLILDKNGVGRSGQASSILGAPLAALLSSPLTLLIPNPVGVATVTAGMYCMSRYATDIGVRTDVIKVPVEDLALNLGIKTLKKW >A01p059540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34154804:34156025:1 gene:A01p059540.1_BraROA transcript:A01p059540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKAGLKKGPWTPEEDQKLLAYIEEHGHGSWRSLPEKAGLQRCGKSCRLRWTNYLRPDIKRGKFTVQEEQTIIQLHALLGNRWSAIATHLAKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKHKNETLMSSTGQSKSAAATLSHMAQWESARLEAEARLARESKLLHYQNNKAAAPNNCLSHKASSTNWTKPNQGKGDQQLESPTSTVTFSENLHLMIMPSGENNNESSEIQNMTEFALSSSTSSDVKDSDQDWMRQINCPTEGIEEGFTSLLLLGDSGDRSLSTGKKDEETVAGAVEVTESDYSYYEDNKNYWNSILNLVDSTPSDSSTMF >A01g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20590523:20594168:-1 gene:A01g507050.1_BraROA transcript:A01g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRMTHRHAIEDELQQLRDNGFVVWLRSYSSVFRRNESSVFRRNILTNLIPSELSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLAHRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A02g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26541545:26545329:-1 gene:A02g509930.1_BraROA transcript:A02g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGGMYDIHHALKYVDQNRPPSQYIVYSIYFDSLSISLLSKKKTLTKVKAENELMLSRFEHVIISNNQLILMTGQDKVLLVHGTWARDSDQRWIFEPDITCKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDGELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKKLTKGRETEQRVPMAEDVGDGIDEEDWHTFALSETPLTIPLTQPKTKAIPHEVPDYSVSKAVRCKEKRKRRDPTHATSPQTGTNDRGKNKRPKEKKTDSESDSDDDMVVPVVPAVVGETGEGSRPVRRRLLFGNSGIPDTDGGVGDSNSSSDDSEELPVDNGLHWGKFDEALHEMLNNPYTPAFFGRDAPPIFNNREETGLQPCSACHMCCHLWRNVIAKYKSSRLANLMSAAARAFTVTEFNKKFFEIQKISPNCAAYLPILNTKCMTPPESASQFYWVPVLAHGEYQLIGISCMHALACSTRVRFPSDALVAPAYHVPTWRQGFIGKIYPVPSVGGLELGSGTRAPLLPPAVRRPPGRPRKVCILSREEYKKEESSSNRKCKRCGRSGHNRASCRNLI >A02p049860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30896668:30897390:1 gene:A02p049860.1_BraROA transcript:A02p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFGVGFLLLTSSESFVGPFHYSPTATVGMLKEIIVSEWPKDKNIVPKAASDIKFINDGKMLENGKIVAQCKAPFDDLPKSVIKMHVVVQPCPTKPRPGLILLLSHLTERKIKEEEASQRSFCSCIIM >A05p054770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31330985:31334919:1 gene:A05p054770.1_BraROA transcript:A05p054770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein [Source:Projected from Arabidopsis thaliana (AT3G02210) UniProtKB/TrEMBL;Acc:A0A178VHK7] MGSRQGPPKHQNKFAWVPNAGVKINETEVGGRFRPLSEITGVCHRCREQIAWKRKYGKYKKLTEPAKCQKCLKRNVRQAYHKLCTGCAKEQKVCAKCYSSSEQIMGRDIYEVEAEQKLLDETIKNARERDRRTLLRAMNKDSNPKKSDEEATRSDSSKKLRLKKTKSFPLSLSLEQKMGFLCSSSSSSSSIVFKLGVSLVFLVSFSSYTPAGWTLGWSWAKREVIWGMNGGQTTEQGDCSKFKGTIPHCCKKTPSVVDLLPGTPYNQQVANCCRGGVINSWAQDPATAVSSFQLTVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPGKIVKPSRFVGTDKRRVTQAMMTWNVTCTYSQFLAQRTPTCCVSLSSFYNSTIVPCPTCSCGCRNTSQPGNCVDSKGPRIASVVPNTGKSLYVPPLVQCTNHMCPVRIHWHVKVNYKAYWRVKVTITNFNYNMNYSQWNLVVQHPNFDNLTQTFSFNYKPLIPYASINDTGILWGVKFYNDLLMEAGPYGNVQSELLFQKEASAFTLEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNTASNKPIGSLFAAISLLLTVLLHVNL >A08g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5055960:5056381:-1 gene:A08g502260.1_BraROA transcript:A08g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPMSPRIERDPRENEVAAAASRLTKPTKKKGSKSDGASLLLLRKTKISIGARLFCPRAAELEDKKKVSEQQLSQQ >A07p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1700113:1701401:-1 gene:A07p006410.1_BraROA transcript:A07p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEGIGLILARATELRLKIADCIDTSSTDVSSPREGKKDEIAGNQEKDFDSFSSDEEEADEQLLRIRDALESLESQLAALQNLRQRQQYEKQVALSEIDYSRKILLEKLKEYKGKELEVLREASTFAGERVDYENDLLLPPYPIHPPPLSLGLDNNNAYLPHLPLNQKKSDANGFGSGHVRKEAEVKGPNGFVRFLGSVAKIMLPVIGVISVLSASGYGPEIKKRGVSFKLLGRLPQRVVRAPNQCPPGKVLVIEDGEARCLVKERVEVPFDSVVAKRDVTYGYG >A03p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7493010:7494826:1 gene:A03p018370.1_BraROA transcript:A03p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAKVLVFSFPIQGHINPLLQFSKRLISKNVTVTFLTTSSTHNNIIRRAIAGGATALPLSFVPLDDGFEEGHPSTDSSPEYFEKFQENVSRSLSQLISSMEPKPNAVVYDSCTPWVLNVCREHPGVAAASFFTQCSTVNAIYVHSLRGAFKEFQDDVVLPAMPPLKGSDLPVFLYDNNLCRPLFELLCSQFENVDHIDFFLVNSFDELEVEVLEWMKKQWPVKNIGPMIPSMYLDKRLAGDKDYGLSLFSAQNECLDWLDSKPHGSVIYVSFGSIAVLKDAQMIEVAAGLKQTGHNFLWVVRETETKKLPSNYIEELGEKGLIVKWSPQLQVLAHKSIGCFMTHCGWNSTLEALSLGVSLIGMPAYSDQPTNAKFIEDVWKVGVRVKADKDGFVTKEEIVRCVGEVMEEMSEKGKEIRKNALRLMDYAKKALSEGGNSDKNIDEFVAKIAK >A06g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11408423:11413533:1 gene:A06g503630.1_BraROA transcript:A06g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSYAIIELGSFALNLQGYFFVKTSMSQGISGNAWPTIFNCETVCDQRGEVVREIDSADPAEADTHWVALSNVEEPPPEPWVPVRPFSEKVIGTPSRPRTFMEVLKGFPQGDRGWKSYFFYVRLDQASVAVEFLPSFRRLWGVGVHNPIPPFLEDLCVVRNLLRGGPLLWGYFSPERVRAAVETHRSCFISSIDDDMGVFFEDTSLPAVYATGQSSGQRPPDAEDDAEPTVEDPVFGLDCCICIFRDWSLVALNPLPLYAMFETRALGLGQDLGLLSVEVCAVTSRLSFFLLRFLPDSHRFKVRDRFSAYMTCMCWTFLKIKRVIELRLFKTAGVFVFVGANRRTGCKVFVVAFGQFVSIIFEFKLCDVLQLFRGLYVYLSWASDSCRVSASDAFALVDNFNSGRISVRLSRAIRVEDEILDAGHFRELSSEHLRGQPCSQDFAVGRGVSSGLVELAEGVFVVPLIAGPRVAHGLALIRIDRIIMRPLEIFPLVMDVLLVTRIADIRFFVSRFPSLSAFTASELGLPFSQLLLFIPIGDFLFFRHWVFERGAFPSRSASGPSWMSVDVLVGVVGDIARIQVDALGFVTHRVLCRGRRTFRVPLFDGRFFARVLTRRSFPSDSRSVEWGSQVELLPVDFGGSAGTDCSSPFR >A01g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8796854:8802336:-1 gene:A01g502600.1_BraROA transcript:A01g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASGRRSSVSPPSSCASGDKRILIPPDPPDSNLPLAQYPPLSPTIPTRREKALVNSTIVSPYPTGQQISAGLSETGIALCNVDVEMVLGPVSVADPITRSDATVGATVDFQIQPSTTVVPPTEFTNNLQEKFTVLLPKFSSPIQTNPALSPTPTIASTSGDEDLPHASIPHPNHPNHPSSSSSVPSPSLSLPEPCPVVPVGSNNSVASVTIHSGLPSTSKNLKPLSSSSLFVPPPFSFASIKNPSLDVPSPTYQPSLKRSRSDPSISPPNNLSLFSNSSRPPICPYTTNQFQGPRFTWSNHCPEGPIAKKLDRLLVNSNIISIFPNCVATFYPTLFSDHSPCVLDLAHHLPLAGTMPFRFFNYLTRHPSYHQLVLETWSQAGSLALNLTKLSWKQKSVKGVLKQLNRENFSNIQVRVLEANSIIITDPQLMSLHAITHFRNLLGPDVVHVPAIFSPPSCVQKSSFFSSGLSQQEVDTIKASTGMPNGLLPVRYLGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A08p022110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14697037:14704526:-1 gene:A08p022110.1_BraROA transcript:A08p022110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNISRLLLLSMFVLSAMGQLPSQDIMALLEFKKGIKHDPTGFVLNSWNDESIDFNGCPSSWNGIACNGANVAAVVLDNLSLSADADLSMFSNLTMLVKLSMANNSISGVLPSNIGSFKSLQFLDLSDNLLSSSLPKEFGRSVSLKNLSLAGNNFSGEVPESIGGLISLESLDLSRNSFSGPLPKSLTTLNDLLYLNLSSNGFTGKIPRGFDLVPSLQVLDLHANSFDGNLDGVFFLSTNASYVDLSGNRLVTASGKLLPGVSESIKHLNLSHNQLEGSLTSGFQLFQNLKVLDLSYNQLSGELPGFNYVYDLQVLKLSNNRFSGSLPNNLLKGDSLDLTTLDLSGNNLSGPISAIMSTTLHTLDLSSNSLTGELPLLTGRCVLLDLSNNQFEGNLTRWSKWENVEYLDLSQNRFTGSFPDVAPQLLRANHLNLSHNKLTGSLPERIPTHYPKLGVLDISSNSLDGPLPSTLLSMPTLEEIHLQNNGMSGNIGPLPSSSGSRIRLLDLSHNRFDGDLPSAFGSLNKLQVLNLAANNLSGSLPSSMNEMFSLSSLDLSQNHFTGPLPSNLSSSLVALNVSYNDLSGTVPENLKNFPPPSFYPGNSMLILPAGSTSASEVSKGKPMNLLIKIVIIVSCAIALIILILVAILLFCICKSRRREERSITGKDINRQAQTIPSGSGVVSAEDLVASRKGSSSGILSPDEKLAVATGFSPSKTSNLSWSPGSGDSLPADQQLARLDVRSPDRLVGELQFLDESIKLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKEFAKEVKKFANIRHPNVVTLRGYYWGPTQHEKLILSDYISPGSVASFLYDRPGRKGPPLAWIQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLEGEELNARVSDYCLHRLMTQAGTVEQILDAGILGYRAPELAASRKPLPSFKADVYAFGVILLEILTGRCAGDVITGEQEGVDLTDWVRLRVAEGRGAECFDSVLAQEMGSDPVTEKGTKEVLGVALRCIRPVSERPGIKTIYEDLFRSHWSCMLLFLEKHARILNPLVVEVPLDLRLLLTWVKKKSQSNFETVLPMQIACLPIPISSITPRTSIPASPVSSNHRYIFNLASFQNPKPSFFTLSLERSHRARTGFKAPVLAAMRSEEAIDDAFYMRRCVELAKRATGCTSPNPLVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKRVVVGMVDPNPIVSSSGITRLTDAGIDVTVGVEEDLCKKMNEGFIHRMLTGKPFLALRYSMSVNGCFLDKIGEGASDTGGYYSKLLQEYDAVILSSSLSDKLSSISSQEEANVSIQPIQIIVASNAQQSPILASSNMVEDSALKVVVFTKEDMVAESGVETVVLESINLASILDYCYRRGLCSVLLDLRGDIKDLEVLLRDGFEQKLLQKIVVEVLPEWCVKDDERQVTLSMDWLESKAVEDLQPKQLGGSVLLECYL >A09p056430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47990733:47994977:1 gene:A09p056430.1_BraROA transcript:A09p056430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTATVEDQMQGSSGREEKIFVSVRLRPLSVRERVRNDVADWECINDETVIYRSHLSISERSMYPTAYTFDRVFGPECCTKDVYDQGAKEVALSVVSGVHASVFAYGQTSSGKTYTMSGITDYALADIYDYIEKHKEREFVLKFSAMEIYNESVRDLLSTDISPLRILDDPEKGTIVEKLTEETLRDWKHFKELLSICIAQRQIGETALNEVSSRSHQILRLTVESTAREYLAKEKFSTLTATVNFVDLAGSERASQSLSAGTRLKEGGHINRSLLTLGTVIRKLSKEKTGHIPFRDSKLTRILQTSLGGNARTAIICTLSPAGIHVEQSRNTLLFASCAKEVATNAQVNVVMSDKVLVKHLQRELAKLESELKSPRQALVVSDTTALLMEKDLQIEKLNKEVFQLAQLLERAYSRIEDLQQVTGETPRKEILSTDSDHPNVVLGHQYPKLRVRSSWESLNITPESPAHQSSMISPQSTEHGSHENVFQLSDIRIESGAGATSPGKRLSFVTPVKFTKVRLNIREEESQNEPHIQKRKDQSCVQEERLQEIDEPSEVDSEDTCTELRCIETESPGIIMYPEPCILPVCIPDSKNLIPPKEIQEEEVKEVTAVFVQPKEKSEPAKVSPSRVLSLTNKATPQESSNHIRDHAHPDSLTMSPEKPYDWHLEKDSQTGSQSCGTSFVSSSSSALYEYERDANTPTRWYQKERAESNLKPSNIKRPPLPKHLSQMSMPATWFEKKRKPSLNSSQVSSSSAPVFERQRSGRGSISQDEGEETGRQRDKRIIHLSMEDIEQKFLALRSPKSFKDAAVDPIQDYLTSPLNWSLEFNRLEIEIIELWHDCNVSMAHRSYFFLLFRGDQKDCLYMEVELRRLKYIRETFTNNTKTIENGRTLTSMSSLRALNRERYKLSQLMQKKLSKEERENLFLRWGIGLNTKHRRLQLAHRLWSENKDMEHVRESASVVGKLMGFVDMDLASKEMYGLNFSIKPRPKKSSLWKRSVLSLSTL >A06p054060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28403775:28408947:1 gene:A06p054060.1_BraROA transcript:A06p054060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G47040) UniProtKB/Swiss-Prot;Acc:O64948] MADTVELPSRLAILPFRNKVLLPGAIIRIRCTSHSSVTLVEQELWQKEEKGLIGILPVRDDAEGSSIGTMINPGGGSDSGERSLKFLVGTTDAQKSDAKDQQEVHWHSRGVAARALHLSRGVEKPSGRVTYVVVLEGLSRFNVQELGKRGPYSVARITSLEMTKGELEQVEHDPDFVALSRQFKTTAMELVSVLEQKQKTGGRTKVLLETVPIHKLADIFVASFEMSFEEQLSMLDSVDLKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEYLLRQQMRAIKEELGDNDDDEDDVAALERKMQAAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWEKASEEHELDLKAAKERLDSDHYGLAKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRLSLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDQYPIIYLNVPYDLSKVVFVATANRVQPIPPPLLDRMELIELPGYTQEEKLKIAMRHLIPRVLDQHGLTSEFLKIPEAMVKNIIQRYTREAGVRSLERNLAAVARAAAVMVAEHEQTLPVSKDVQKLASPLLNGRMAEGGEVEMEVIPMGENDHEIGSTFQSPSTLVVDETMLEKILGPPRFDDSEAADRVASAGVSVGLVWTTFGGEVQFVEATSMVGKGELHLTGQLGDVIKESAQLALTWVRARASDFKLALAGDMNVLDGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPQRNSKDLVEVPAAVLSSLEVILAKRMEDVLENAFEGGCPWRNHSKL >A04p037030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21180707:21181615:1 gene:A04p037030.1_BraROA transcript:A04p037030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 10 [Source:Projected from Arabidopsis thaliana (AT2G40935) UniProtKB/Swiss-Prot;Acc:Q8S8T8] MKEKGDYVPPRYIPLRESEEADATEEQATTTPTSLEVAVSGETRDGPKQWSSGICACFDDIQSCFIGLFCPCYIFGKNAELLGSGTFARPCLTHCISWALVNTICCFATNGVLLSLPGCFVSCYACGYRRSLRTKYNLQEAPCGDFVTHFFCHLCAICQEYREIRERSSGSNPPDMKMAVTDAPIAQTMEPAN >A04p033620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19526429:19537693:-1 gene:A04p033620.1_BraROA transcript:A04p033620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRRPFDRSRDPGPMKKPRLSDVNSNTRQLTSQRTIGTASSSRFRAAGGRELESDPNGEAHQPQPVHPHYELVNQYKSALSELTINSKPIITNLTIIAGENVHAAKAVVATVCNNILEVPSDQKLPTLYLLDSIVKNIGRDYIKYFAAKLPEVFVKAYRQVDPPMRSNMRHLFGTWKGVFHPNTLQQIEKELGFNAKSDGSAAVSSTGRADLQSQRPPNSIHVNPKYLERQRLQQSGRAKGMVTDVPEIASNLTRDSDRLERVSSIASGGSWAGPAKVNTIRRPQRDSLSEPLYERDMESISGEYDYSSELPHNSRPVIKKVNARVTDDGCEEQWYETVSRGPELMSDQRDVLHTKSRISNYATARLENLESSGRSRNTGVPYDSWKNSEEEEFMWDMHSRLSETDVVTVNPKNELHEPERLESENHLLKRPRLSALDPRFDPASEQKDPSVFGRLTSSPRTLHDPEVFPPMSSTSNAARKGIQPQSKVSDRHSPLRDSTFKQNVTKQDSRRTHSLTQRDPRASRFPAKSQSVLRDDFVRPQLKKSNAIDLPDTFQGEKFDSKSAGLTIASEATGQRNMSDLLEAVMKSGILSNNLTNGAMKEESSQVNPRALTVSAASKPKASPNSTELPSLVQCAAAPFISQDHSPDHSTNSSTSISVVPSDAQSLVVVEKGPVTAPKVAPAETAVSEPENLIGLKFRADKIRKLHPSVISSLFDDFPHLCTSCGVRLKEKEELDRHMELHDKKKLELSGTNSKCRVWFPKADDWVAAKAGELEPEYDEILSEPGSEDGPAVAADENQCACILCGEMFEDCFSQGTGQWLFKGASYLTIPPSNSEANGPIVHAGCLTKSSLPSLGIGNAIKQTPYEGPRNLCWRKRVASQGKQTMASFTSKYADELIANAAYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFTTPGALPCLSGVILFEETLYQKSSKGTPFVDILKEGGVLPGIKVDKGTVSLPGTNGETTTQGLDGLGERCKKYYEAGARFAKWRAVLKIGPTEPSELAIRENAYGLARYAAICQENGLVPIVEPEILVDGSHDIQKCAEVTERVLAACYKALSDHHVMLEGTLLKPNMVTPGSESGKVAPEVVAEYTVRALQRTVPAAVPAVVFLSGGQSEEEATRNLNAMNQLKTKKPWSLSFSFGRALQQSTLKTWGGKEENVKKAQEAFLVRCKANSEATLGVYKGDAQLGEDELIANAAYIGTPGKGILAADESTDTIGKRFSSVNVENVDSSRRALRELLFTTPGALPCISGVILVEETLYQKSSNGTPFVDILKERGVLPGIKVDKGRAVELAGTNSETITQGLDGLGERCKKYYEAGARFAKWHALLKIGPNEPSELAIHENAYGLARYAAICQENGLVPIVEPDCAEVTERFLAACYKALSDHHVMLEGTLLKPNMVTPGSESAKVAPEVVAEYTVRALQRTVPAAVPAILFLSGGQSEEEATRNLNAMNQLKTKKPWSLSFCFGRALQQSALKTWGGKEENVQRAQDALLVRCKANSEATLGTYNEPSG >A03g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17554932:17555756:-1 gene:A03g504920.1_BraROA transcript:A03g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPHSQPLFLLLLVSLFFLPAAMGSRLFTPCNQTQYYPVYVETVEIYPHPINRSGNGEIWVTGYTGVIPDEATVVVNVSAPRSIRPYVSIKTFPICDTMACPVRPGPFDYRGLKFSMNIRNAFIPKELNSVINTVTLSIKVEQEPIMCVVFSLFVLGRRPQLT >A08p037190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21710572:21711810:1 gene:A08p037190.1_BraROA transcript:A08p037190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYKDDSSPYCYFHPKEEYVGVCPLCLNERLLVLASKQRSPRTKHSSSSPIISLPKIFTLSSLLSRLDLRHHRKFHPSSDLDVSTSQEDSFISIKFENDGNSSWERKTVSKACEDNTNSTCKKQQAPITSTTSIVEHNSAKSSLKWRKRIGHLFHVIKLRSGFPTSSCHVASSKVEGTKVRKHGWMVRTLTRRKSRKSKT >A10p003080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1579326:1582205:-1 gene:A10p003080.1_BraROA transcript:A10p003080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWKSSELPKSLLAKSSELPGSRLDFLEVVWTSLKLSGLVYSIDDLQLSRHRLVLQLKKKTSRFHYIQTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAIL >A06g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9507250:9507627:-1 gene:A06g502950.1_BraROA transcript:A06g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A08p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000117.1:27108:28200:1 gene:A08p011580.1_BraROA transcript:A08p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIQAWASSVKLKTPNYSFWVRMMKKNPRGKGVWSHTSDEAPWKICKVFAHVNERQPEAQSLSNKSYFKLEEKKGQKSQHCKEGLTKDSQQKPQSFSGFLSDPLVVYEPRRPGGQEGIQG >A07g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17663484:17664180:-1 gene:A07g506910.1_BraROA transcript:A07g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNLRLRIENPHQKSPKRSRDPLTGIKIRLAKDNYRSNPFDYKGLIQAIISDHRSVELFGVLADIDSFINSSFLLFQAFFIPRSSIGLRILMQRSFYVPIF >A09g510170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29644870:29651335:1 gene:A09g510170.1_BraROA transcript:A09g510170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHDVCGCASVPISARWSLDSARWPFPWTVWVILAHVGCLFSTHRTSVGVRQLTQDVCGCPWLSVAVHQHTQDVCVCPSAHTGRPWLSISTHTSTLVVGLSTLTLPESCLGDFGPRGLSVQYTEDVCGCPPAHIGPHTGRPWLSISTHISTLVLGLRTLALPVDCSGDFGPCGLSVQYTQDVCGCPSAHTGRPCVSVSTHRMSVALSVCVVSTHRPSVAVHQYTYQHVGPRTPHADPFRGLFGTHMTSVAVHQYTYQHVGPWTQHAGPSVDCLGDFGPYGLSVQYTQDIRGCPPAHTGRLWLSVAGHQHTQDVCGCLCVSLGVCQHTQDVHVCPWVSVSTHRTSVCVRQHTQEIRGCLCVSVCVRVCPSAHTRRLWLSISTHISMLVLGLSALVLGLRTLAHVGCLFSTHRTSVGVRQHTQEARGCPWLSVSTHNTSVAVRVFTWVFDSTHRTSMAIRQNTQDVRLFPSAHIGRPCVRLHTQNVRVSHTGRLWLSVCVRVRPSAHTGCTWLSISTHINTLVLGHSRLAPPVDCSGDFGPRGLSVQYTQDVCGCPSAHTGRLWVSVSTHRTSVGVRQHKLDVCVCLSAHTGRPWLVRCVSVCVCQHTQDVRGCPSVHISARWSLDSARWPFPWTVWMTLAHVGCRFSTHRTSMGVRQHTQDVCVCPWVSVSTHRSSVCVRQHTQEIRGCPCVSMCVRLCPSAHTRRLWLSISTHTSTLVLGLSMLALPVDCSGDFGPRGLSVQYTQDVRGCPPAHTGHPWLSITHRTSVGVRQHTQNVCGCPWLFVSTHISTLVLGPSTLTFPVDCWGDFGPRGLSVQYTQVLRGCPPAHTGRPWLSVAVCQHTQDVRGCTCLSESTHMTSVAVHQYTYQLAGPWTLHAGPSRGLFGRFWHTWPVCSVHIGRLWVSASTHRTSVAVRGCPSAHTRRLYVSVSTYRTSVAVHQYTYQHIGPWSQHADPSRGLFGTSLAVRVCLSVSVSTHRTFVAVHQYTYQHVGPWTRMLTLPMDCSGDFGPRGLSVQYTQNVRGCPSEHTGRPWLSVCFRQNTGRSWLSISTHISTLVLGLSTLTLPKDCSSDFGPHGLSVQYTQDVRGFPPAHTGRPWRSMCVCLCQSAHTGRSWLSISTHISTLVLGISTLALPVDGFGDFGPCGLSVQYTQDFRGCPSAHTRCLWLFVCVRVCPSAHRGRPWVSISTPGRPCVSISTHPGRPCVSLSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHKTSVAIPVCPCVFVCVRVCPSAHTGRLWLSISTHISMLVLGLSMLALPVDCSGDFGPRGLSFQYTKDVRGCPSAHTGRPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSRGLFGRFWPTWAVCSIHRGPHTGRQCLSISIHIITLVLGRQCLALPVDGLGDFGPRGLSVQYTQDVCGCPPAHTGHPWLSVCVPVCLSAHTGRPWLSISTHISTLVLGISTLALPVDCAGDFGPRGLSVQCTKDVRGCPPTHTRRLWLYVAVRQHTQDVRGCPCVSVCVRHHTHDDVCGCPPAHTGRPWLFVCVRVCLSAHTGPPWLSISTHISTLVLGLSTLALPEDCSGDFGPRGPSVQYTQDVRVCPLAHTGRHPWPSVCVPVCLSAHTGRSWLSISTHISTLVLGLSMLTLPMDCSGDFGPRGLSVQYTQDVRGCLSEHTGRPCVSLSKHRTSVAVRVFPSAHTGRSWLSISTHIRALVLGLSMLTLPVDCSGDFGPRGLSVQYTQDVCGCPPAHTGCPWLSVCVRLCPSAHTGRPCLSISTYIITLVLGLSTLALPVDCSGDFSPRVLCVQYTQDVRGCPPAHTGCPWLSVCVCGCPSAHTKRPWLSISTHISMLVLPLADL >A08p024250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15800573:15801217:-1 gene:A08p024250.1_BraROA transcript:A08p024250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAIWNSHPKKYGPGSRTCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKYR >A10g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:221935:223553:-1 gene:A10g500070.1_BraROA transcript:A10g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLVDFQTIQSGVEDPNILIDVIGQVSDLGALETVQCSGKPRKKIEFSLTDLVDVASNALTLIESNQDKLEREIRRDPWMQYPTRDIAELRQSTQCDHKVTEVLPKFRIHVWVKDEIFNSRTYIELQLKDVESFREAITSLIGKTFMFGVYIESSNVSSKGGMYKVGKVWKDLSMLLTGGSTTESFTQSFHFFFYFPPTK >A03g500330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1038476:1039096:1 gene:A03g500330.1_BraROA transcript:A03g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRIWKLAPFTHCIDTRGLIDLSGCWDSLYKSTCLPPTGVSSGQLAPWILWFLWLARNDYIFNNKETTPEAIMTKAIAVAREWLMEQGDNKGPTPSQGTRIPVFTNNTGSTLIQSDAAWREDLQLAGLGWTFSGQDIRASFLAHCHFVNSPIVAEGLALREALACGIEKGFRQVRCESDSSKLITAINKAPRWRKSTALSLIFVV >A05p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9609797:9610767:1 gene:A05p020360.1_BraROA transcript:A05p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPTNSNIQGSQSFSLTKNLMMPCSMKHSGLQLQDQASSSTQSTEESGGGYIVSPQGKAIASYTKSTTTSSMVSHDSLFPPPTYFNGFLAPEYASQSTVLPHVEMMGLVTSRVPLPHNYQENEPMFVNAKQYHAILRRRKHRAKLEAQNKLIKSRKPYLHESRHLHALKRARGSGGRFLNTKKLQESSKSLCSSLTTPSSSSDRDNMFQNAPFRFSGYPSTHHVSALMSGT >A03p021640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9217528:9218133:1 gene:A03p021640.1_BraROA transcript:A03p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVFGKIAVETPKHTVVKSGDGYEIREYPPAVAAEVTYDPSEFKGDKDGGFMVLAKYIGVFGKPENQKPEKIAMTAPVITKEGEKIAMTAPVVTKEGGGGDEKKTVTMQFLLPEMYKKAEDAPRPTDERVVIKEEGGRKYGVVKFSGTASESVVSEKVKKLRSDLERDGFKITGDYVLARYNPPWTLPPFKTNEVMIPVE >A06p056030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29219727:29221042:1 gene:A06p056030.1_BraROA transcript:A06p056030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQANSKYINSNIAAMAPTLQGEWIKVQQKGGEGPGARSSHGIAVVGDKLYSFGGERTPNISIDKHLYVFDFNTHTWSISPANGQAPNVQALGTRMVAVGTMLYLFGGRDEKKQFDDFYSYDTVKQEWKFITKLDEEGGPEARTYHSMASDENHVYVFGGVSKGGTNKTPFRFRTIEAYNIADGKWAQLPDPGEQFPRFERRGGAGFIVVEGKIWVVYGFATSPDPNGKNDYESDLVHYFDPATQKWTEVETKGEKPSPRSVFAHAAVGKYIIIFGGEVWPDPNGHLGPGTLTNEGYALNTETLVWEKFGGGAEPGELGWPAYTTATVYGKQGLLMHGGKRPTNKRTDEMYFYAVHSA >A01p025220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20237326:20238219:1 gene:A01p025220.1_BraROA transcript:A01p025220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFASRFWFMMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTNAVIVVIDSTDRARISLMKDELSRLLGHEDLHNSVILVFANKQDLKDAMSPAEITDALNLHSIKNHDWHIQASCAVTGEGLHDGLGWIAQKVTEKPPS >A04p041110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23170204:23170942:-1 gene:A04p041110.1_BraROA transcript:A04p041110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVISDFIWSLRRASFAEAEPSQVGYEGCVSIEKRVCSGSVGGEKRKEKKKEGKNKRERKNMYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFKTAEEAARAYDSAAIRIRGGKAKLNFPNDDSSSTRRNCIIKKNKEVCGGGDHLMNACDQSPPPTDDTENQQVKQLSEELMAYEDYMGFYQIPYLDGQSSTEDVPQLSLIGNLWSFQDNV >A07p034900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18923445:18926263:-1 gene:A07p034900.1_BraROA transcript:A07p034900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFHGMKRRKLQLLCKKHGIPANLKNVEMANRLVSLFTDQTSPVRTRTMSDILSRCSVIESRRDEASADDGTLVTSEYKSENSTVEEEEEMEISKENCTSVGHCVSNPESELGMPNEMELSANRHGDLSEEQADICKVGCLSVGHCVNRESLDEVNALSQHVPVNNAASSVLDDEGVFITPERKLMMMEQLSQNAKTCAAESVNHHSDEVVESHGVVFTTPEQVLLMGDSGREEVGKQEEHTSTESPVESDVLKNSQNGGVSVKKPAQFNEEVGLSSEMHKAAVESPDESDVPGRHPFPEESELEEAAKSEEYKAVESQVDCDNFSGNCHDMFDVVTASESQSIMGNFEAPTARKQEQDEVEFKDESTLFTSFERCLLLGESAHDRLGNSKSGTSQFQSPHDSSPKVGLKENSVVWGSHALAVDLGENTIRDSSGSIASKVFMPKDSLAENVDSVIDTIMECNPESELFVETSPSPSLVQLAESLPTCPILVEETVSTLIAEEDSKNNEEIVLKEHRFLAKLAEAEAVLENSDVFTTPERNLMMMEQVSQNEKTLAADSVNHQSGEAVESSYAVVFTTPEQVLLLGDYWIDEVGKQADSDNFTGANITTECHDMSNVLSASESQSIMGDFEPHIAGNQEREEVEFKEESAAFFTKFETCLLLGESAHDILGNNMSGLSPHDSSAIVILKDDSMDVGDNTVAVSSGSFTSNVSYSHEFNAGEETAGACLDASQGPTKQSKRDSPHVDSANPFDLDLDGADTTMDAERNVSFSSYVLAGTCSLVPEESSPSTNIHDQINDALEELAITDELIETKVTTQKDDKGMLDSSGGSSA >A08p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23450655:23456017:-1 gene:A08p041580.1_BraROA transcript:A08p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSVSLPCDQVVNQFSQWLCLRGWYIHNLPENIVALQHAMAMLTAKLDDVLRTVGREEFTGRQQRISQVQVWHANVLTTKNKVDDLLSTYEAELQRLCLCGFCSKDLKLSYLYGKNVSIMLTEVEKLSSQGDFDMVVEAATFGEVDEIPIHPTIVGQERMLEKAWTLLMEDGSGMLGLYGMGGVGKTTLLTQINNKFSEISDRFEVVIWVVVSKSATVRKIQRDIAQKVGLVEMGSGEKDENQRALDIYNVLRRRKFALLLDDIWEKVDLKAVGVPYPTRDNGCKVAFTTRSRDVCGRMGVDDPMEVSCLQPDESWDLFQRTVGENTLGSHPDIPELARKVARKCRGLPLALNVIGETMACKRTVREWCHAVDVLTSSATEFSGMEDEILPVLKYSYDNLSGEMVRSCFLYCSLFPEDYHIDKERLVEYWICEGFVSEKESRERTLNQGYEIVGTLVRACLLMEERKDKSYVKVNDVVREMALWISSDFGNQKERCVVRAGVGLREVPKLKEWATVRKMSLMNNGIEEIFDSHECGDLTTLFLQRNAFVKISGEFFRCMPHLAVLDLSENHSLEELPEEISELGSLRYLDVSYTCVNQLPVGLWELKKLIHLNLEHMIRLGSVSGISSLWNLRTLGLRGLVLDMRQVNELELLEHLQVVTIDISSPSVVKPLLWSHRLVVCIREVDFKYLEEESMRVLTLPTIVNLRRLSIKRCGMREIEIEKTASSSSSSWNKIHTAPYFSNLSKVFITKCHGLKDLTWLLFAPNLAFLQVSFSGQLEDIINQEKAASVENAATIVPFRRLETLHLSALRGLERIYWNALPFPCLKVIHVEKCWKLRKLPLDSNSGAGGKELVLSYGDREWIEKLEWEDQATRVALASNTMNFTCFSSSFFAKLYIVSGFTTFADIVGDFSKQGLGTEIILPKRRSRTVQTKAEDIQLTYGCAHLVQSKWIKDGNPSHGLLERMSGNDAVKTAKIVRPSIERACKKIGYSGPVSITDSLPMPTKHKPLITTFKRSLPLESLLHIPYPDIVEWRDHNPPPATMMIISDHVEGDFSWDLARLQQRTRYTLFMAYSVQTYKDLFLLRNAAWLWKKLLEEGGGAPLVAGGLSSAMFYCKSCKFDCQSLERFRKHLSSYKHGREEFTSARWYTGLECVTKTWRRNYRATPEHATAKIQIGYSGPVSITAYADYKETPHHHLVGLSSTGVDLAHTLYWYKGSRMYDDVRQWENDNPAPASVMLISDVDRDDYIPSLISRYLQKSNYNCFLAYSFRPCKMTVMLTSAEWLWESLLFQRKEDGTFLRIAVKVHLRECFIANCATTVIAKASMSSLSISQEVKHMQGKQYLSCDDPVEAAARRQRVLYTDANGLMEETAARIL >A05p015680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6965376:6966308:-1 gene:A05p015680.1_BraROA transcript:A05p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDADDDQRSEEEEEEEPIRAEPLREVKPLVRGKKPVKPERGVTPEWLVNLMITEKGVDAKLVIAKMIQTSDVNPNQGRLLIPFNQIVEMDFLNEAELHLIDEHQRDNSSNKGVAVIVVASDGTKWNAKLRRWNMTCPNYALCSGWNNIVRGAKLNDKVREIFRLWSFHSQDGTKLYFAFFHRELSLCEVALRRLPKFPTKSRTPRFCVPSSPPRVSEVFDLNMPLVEEMDPLEAEQERHDRRTPLESVIETMTTTTVDLDRLGPSVDLNIPLVPVRTEMASLEDVQETSQESDRETTTVDLELRLWFQ >A01g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6732085:6732624:-1 gene:A01g501900.1_BraROA transcript:A01g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRGLLPQTIHLQPLMKPQTLGLDASPNESVPIQTVKKTKEFKLNPEANNFGLSHTKRLSPAPTAMPDIGNIVYVPSNNPILPVPEAFYPELVNNQPLYAPNISIFQVCSIWKEI >A09p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17991703:17992378:-1 gene:A09p030280.1_BraROA transcript:A09p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNRGFSKFHGKEEASGLGFVRITRGLGRKRILISKSNQENVSNSDQNLLKRSKSETTESNSSVLESLHQDILIRVLCHVDHDDLARLKRVSKTIRNAVLEAKKSHFDYSTPKKTLSFRDPLVTLNEDSNSNSTSDQDDEIEPPNAPTRRKNVSRESDLSKISMVLFK >A04g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10199370:10202311:1 gene:A04g504730.1_BraROA transcript:A04g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIRDLRGRLLALELKSSLEELQKNVIEDFGFEETDADLELSYLPIGLINSSKCPPVIIGNSRQVQNFLGFCKKHQSTQLCVSYKAKQGNPNKIDIDLNKMPTDASTSEENKRNPCDIWTASNIVKGAKHNEKRKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDYDADKINSEKENREKLAKSQFF >A10p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13272541:13273445:1 gene:A10p019320.1_BraROA transcript:A10p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRINSELRDLQKDPPLSCSAGPVGDDMYHWQATIMGPTDSPFTGGVFLVSIHFPPDYPFKPPKVSFRTKVYHPNVNSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIANIYKTDRTKYENTARSWTQKYAMG >A07g504530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9071874:9072200:1 gene:A07g504530.1_BraROA transcript:A07g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIDFLVTFVSFVTEIVSFFGSGRRICQCCRIWPENSSASSDLARELVSVVGSGRRTRQSRPIWPENSSASSSSDLAGELVVAGFDDIKVESEKSWRRMRIVLRLII >A03g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15900632:15904729:-1 gene:A03g504460.1_BraROA transcript:A03g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCNHCAKNVPGVRPYDGALACDLCGRILENFNFSTEVTFVKNAAGQSQASGNVVRSVQSGVSSSRERRIRLARDEFMNLRDGLGLGDERDDLIDMATRFFTMAVEQNFTKGRRTELVQCSCLYLTCREKNIPFLLIDFSSYLRVSVYELGAVYLQLCEMLYLTENKNYEKLVDPSIYIPRFANVLLKGTYNKKVLKTARNIIASMKRDWIQTGRKPSGICGAALYTAALANGIKCSKTDIVGIVHICEATLTKRLNEFVDTEAGSLNVKELKKREKEMQKRPFATNQTSNKETVHCKHQDRKPVNYGLCEECYSDFISVSGGLVGGSDPPAFQRAEKDRMEKEKTAREENEGGISSLNHDEQQNSKREPVYSSVSKSAKPCSEKGEGEKEEDDGEVSDESGNFSDVSDTEVDCYINNEEERRYKKVVWEEMNKEYIQEQADKEAALKAANDALNASSSNLPEHARKLVEASKAAVAKSRKEKQQKRAEEEKNAPPPATTTESVRRMLDRKRLRGLIPDDVLDDLFDPSPTEKPAKISRTETVIEKTKEVKSNKQEDGEDEAEEDEEGYVESYDINTDFPDGEKLYDEEDEEEEEDEHRSHFSFESIPRSQELVMEANGLESLLSNFTSDTDIHARTRALGAISSLIRHNKTGVTALKLANGYAVV >A03p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15149522:15150475:1 gene:A03p036210.1_BraROA transcript:A03p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRRGGTTSPDATMGIDLIAGGKSKKTKRTAPKSDDVYLKLTVKLYRFLVRRTQSKFNAVILKRLFMSKVNKAPLSLSRLVEFMTGKEDKIAVLVGTITDDLRVHEIPAIKVTALRFTERARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRSKGRKFEKARGKRKSRGFKV >A07p011280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8800566:8802706:-1 gene:A07p011280.1_BraROA transcript:A07p011280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTQVSEIRIHMPAEKKTCGICFDTDFNAEQMVCVASCGHEFCVECVKRHIEVRVFEGDVHIRCPCYYYCKSKLTFESCVHLLTPKLRAIWIQSLEEESVPAIERVYCPNPKCSTLMSKTRLSKQEDGSMRCCFKCWEPFCIDCKAPWHKNLSCEDYKRLCPILTEDVVLNVLANQKNWRQCNNCKHLIERSGGCDRVICRCGFSFCYTCGNHRCVCYPEDPAIALWCYFGFQYSLQSLEEESVPAIQRVYCPNPKCSILMSKTRLSKQEDGSMRCCFKCWEPFCIDCKAPWHKYLSCEDYKRLCPILTEDVVLNVVANQKNWRRCNNCKHLIERSGGCNRVTCRCGFSFCYTCGNRRCVCYPEDPATALWCYFGFQYSLQSLEEESVPAIQRVYCPNPKCSILMSKTRLSKQ >A07p032460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17801815:17804375:1 gene:A07p032460.1_BraROA transcript:A07p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELINRRHEAGQPHADAYYPRPIKPWSSTVTRPMRYMLREQRLLFVLVGIAIATLVFTIFPRSTPFSDPFSGYGIRPDDESFQAQRRPSLLMGATGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMARGDTVIVVDNFFTGRKENVMHHFGSPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNANIAFRPNTEDDPHKRKPDITKAKELLGWEPKVSLRQGLPLMVKDFRQRVFGDQKEGSSVTTKTTSA >A01p020430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10018816:10020304:-1 gene:A01p020430.1_BraROA transcript:A01p020430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRNGFSKHQRAEKVCGQGGPNWILIAGGALLSTLSIRFGYKLKQSPLFKPPHHSNASPGFKANGTSERQRCCCCLHSSTTSSCAKNNDYSCFRSVPGTENVEGKEETNEQMVSVSDNSLPLVTVPAPSYSKENGVMWTSSPDRLELPPRPYNHHSTCSDSPCISETSSDIFSKREVIQKLRQQLKRRDDMILEMQEQILELQNSYNAQMSHSSHLQAQLDSMNRDLFESEREVERLRKAIADHSVSNGKTSPVAPWNGFMESENNYESAEKGTREGERIERLRKEVSELKEVIDGKEYLLRSYKEQKIELQEKVKELQQRLDSQLPNILL >A07p026460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15112837:15113871:1 gene:A07p026460.1_BraROA transcript:A07p026460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G40650) UniProtKB/Swiss-Prot;Acc:Q8LB02] MASGLVGRVVGTNPSRAARLIPSRWTSSKAVVGAKLKTFQIYRWNPDSPGKPELQDYQIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIESESSKETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPASVPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDGYTKERLEAINDEFKLYRCHTILNCARACPKGLNPGKQISHIKQLQK >A06g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21060305:21060713:-1 gene:A06g507520.1_BraROA transcript:A06g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPNSKNNYNSDESIGSCEGNSSHTDPAIYFMNLDLQYFVEEPLTMLNSYKILKNEFLATGHPRDHYICGLLQYFQHRKSIKGLNHLHQSADGNYDNETISVREKSTSISYPGKLIKLELCNV >A09p075680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56915402:56919517:1 gene:A09p075680.1_BraROA transcript:A09p075680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQELALTQLRKSVEKLSSSTEGYEKPTLMRFLIARSMNPNKAAKMFVDWQKWRASMIPPSGFIPDSEVKDELETRKMYLQGPTKSGQPLVVCKVSKHFPAKDQLHFKKFLVHVLDKSIASAIQGKEVGDEKVACLIDLQNITYKNLDARGTITGFQILQAYYPERLSKCYILHMPGLFVTLWRFVCRFLDKATKEKIVIVTDGEEQRKLEEEVGLDALPEEYGGRAKLTAFQDIMEESQELALTQFRKSVEKLSSSTEGYEAPTLMRFLVARSMNPDKAAKMFVDWQKWRASLVPPNGITDSEVKDELDFRKVCLQGPTKSGHPMVLVITSKHFPAKDHVIFKKFVVHVLDKTIASGIKGKEVGDEKLVAVIDLSNITYKNLDARGLITGFQFLQSYYPERLAKCYILHMPGFFLTVWRFVCRFLDKATKEKIVIVTDGEEERKFKEEIGVDALPEEYGGSAKLTLIQDVLLPQTSPQMLDIFVCGDQIRKGAALNAVQIAEMLL >A07g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13567411:13569108:-1 gene:A07g505870.1_BraROA transcript:A07g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNSFVCVDDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIVDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p032900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19192279:19193335:1 gene:A04p032900.1_BraROA transcript:A04p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSLIHLIRSQSRRLSSSSSSSFTSGYGRSVAGTWSPSPSVISKVRFPEAQSLYRRSWASSRAAQDGNDDEHKISIGPQDKKEDNNDGSVVYYGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPGLNVIMKGAVASTVIFLSASTTAALHWFVSPYVHKLRWQPGSDTFEVEMMTWLATFAPKMLKFSDIRYPDTQRPFVSFKAEGEYYFVDAERCTNKALLARLTPPKDAHDSAFKNL >A02g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9044167:9045699:1 gene:A02g502680.1_BraROA transcript:A02g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRFSNVTSISNKDFASRFQLMGMHRHMEQRVDFMDWGPDFLTYAEPETVVLLLKFSNSGAVLNVTIEKIQGGNDLRSILSKEDSFRSLSSNDELEGYKEDVRAMSVALGVSTDSIGESGGWMVRKGMHTSQFLQQRTDTDDQTETGKILFQADYIKSE >A09g511840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35004526:35006226:-1 gene:A09g511840.1_BraROA transcript:A09g511840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIECTDFSSRLRSRGRGWKMQCVILLLNLNFVPPSIEFSDELSLNNSNNSEEVHIDSAFHCDGLLLCTTRDELVVWNPCLGETMWIKHSEGSKRVKERKPMYALGYENNQSCRSYKILMFWDCDELYDGQVDGFKVYDINSNAWRVVNYPNCFIMNSHGVNLKGNGYWNAYDDNYVDYILIVREELSVLRCVNGSSKIEMWVTTNKMDTSASELSWSKSFTMNFGFPVLVYTGLLIDEDKKVVLCNTIVGDHLRGACTIGEEDKYYSEIAFEGMSLSPPPHIFNYVPSLVRIQEGTELDVFGKSPRRRGDDPPTRRGDTAMCDHDFQFSQEIDDMMS >A09g513320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40610280:40613036:-1 gene:A09g513320.1_BraROA transcript:A09g513320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIELGFATREAILVATAIERSFVHKIEEGVSLDRSQMDPAEEIRHSKKQRENCNMLWFVQDSQYGIPRRCACGGKIIDEVRGKEDYDSQPGKRYFTCINYEDDRLHYSHPWVVGVQEEIERMRKRLEDAEEEIKGVWNLKFQIQTLQEQFRSLTVQVATLEKVSMSRVAVSFAGSRVHMSGSRVAMSVAGINKYVVALFVRDQCSLLYVSTLMLLYDE >A09p082410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59687460:59689033:1 gene:A09p082410.1_BraROA transcript:A09p082410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKKVPNVPGSPALSALLKVSVIGGLGVYAISNSLYNVEGGHRAVMFNRLTGIKEKVYPEGTHFMLPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLRVLTRPMGDRLPHIYRTLGENYSERVLPSIIHETLKAIVAQYNASQLITQREAVSREIRKILTERASNFDIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDRRSAVIRAQGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIAQSANKVYLSSNDLLLNLQEMNLEPSPNK >A03p047700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22251867:22253642:-1 gene:A03p047700.1_BraROA transcript:A03p047700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRFSLCLTLFSSSPFLSPHHRQFSPHLDTPSIISDKSRLSLIIAMHALKDKVSQKLSNLFADSPSQSASPRHSLTDSPKASGKSFTSYFSFGARNENEDTESESPPPPPPPPPIRTDSYESVENCKKNQASSTTVGNDDYEGEMKELTESSAFISPDLCEFLHACLPNIVRGCKWVLGSTLKHGISLRTLLRKSAELPGPCLLVAGDKQGAGTSQTFLFTTIYGQPRIFRPTGANRYYYMCMNEFLAFGGGGSFALCLDEDLLKATSGPSETFGNECLASSAEFELKNVELWGFAHASQYLSS >A08p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2557519:2558128:1 gene:A08p004410.1_BraROA transcript:A08p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITNMEPIKFWEFMSLLLEGLGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPQLTPFRVGLLSCSRTFDSSKAKDLLGYSPVEVFQRRKV >A04p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13252799:13256120:-1 gene:A04p021980.1_BraROA transcript:A04p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP1-associated proteins 1B [Source:Projected from Arabidopsis thaliana (AT2G22100) UniProtKB/Swiss-Prot;Acc:Q9SHZ5] MAKDREDRKKEKREKKERKRREAEELAVREKKISKKHKSKSKAAEKPEKKPKKKSKKLQVVEEKPLKKSKKHKKPTESESESEETVTVPPDDSHPIKIPITTTTTNESDSDSEFDKEDLKHLLETYTKEELVNLIRKTAEKGSRLISAVLESAELDSSKRNIFVRGLGWDTTHETLKAAFEVYGEIEECSVVMDKDTGRAKGYGFVMFKTRKGCREALRNPEKRMFNRTVSCNLAAAKPAGAGKAREPVESVKIDLTQSEVVLPGPPPGLDLGYGHDKGHKLQQNVPQMYGGQNMPFYGHGHAPPGFNPMYFMGNQMGPGLPNFPMFGPGMMNHGPSNHMGMAGQYGGEVNGVGPGFDGERAWLMTCLVAAFLWDLIFWELPELFCVSLSSTTSIEECTVVIDMNTGKAKGSRRGKGLKRRLRSLRKGLILNTTATCQTGNQYRPVYGGGIIRNPALAAAGGGYMHPMWASALSQMGEQAGVGISGGIGSYFRGQCLPSAYPDSETGNSKDSDAGGSFHVYSTLTCNL >A09p082930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59869060:59870156:1 gene:A09p082930.1_BraROA transcript:A09p082930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKIQKRLAASVMKCGKGKVWLDPNESQDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMRVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGPGGDVAPATAPPAAAAATTTAQTAEVPKKKSKK >A05g502280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7272960:7273178:1 gene:A05g502280.1_BraROA transcript:A05g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIQLLLVIILVVIFVVVLDVTEVEAMRPFPEAVDEISLLLFQALQRGPVTGSGRNGCTNIPRGSGRCRRG >A04p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2829308:2831001:-1 gene:A04p005400.1_BraROA transcript:A04p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSRVDHKSSSSLDDLHLSSCQSSSSAADKREGEILSKANVKSFTFSELKLATRNFRLDSVVGEGGFGSVYRGWIDQTTLTPTKSSSGLLVAVKSLNPDGFQGHREWLVHTYADDVKCKPLSWLLRVKVALDAAKGLAFLHSDSVKVIYRDIKASNILLDSDFNGKLSDFGLARDGPMGETSYVSTRVMGTFGYAAPEYVSTGHLNAKSDVYSFGVVLLEILSGRQALDHSLPTKEQNLVDWARPYLTSKRKVLLMVDTRINSQYKPDEAVRMASIAVQCISSEPKSRPTMDQVVRALIQLQASMV >A05p051030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29688837:29690473:-1 gene:A05p051030.1_BraROA transcript:A05p051030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMRWYEELKKMVKSFVSLGDSESNSTATDKNPPLGDVLSLISAVFYAVYITLIRKKLPDDDDEKSGWFSMAQLLGFLGLLDNVLSDYLCAKAVLLTTTTVASAGLTIQVPLAAIVDSLSGNKPSFTDFIGAVAVMVGFAGIIFLQRCYTDPKRHLLS >A04g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13050515:13051703:-1 gene:A04g506190.1_BraROA transcript:A04g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSIDFSTAILIRVDQSGKGDFNKIQEAIESIPPNLNNSQLYFIWVKPGVYSKKKTVTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPE >A03p049390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21129375:21131666:1 gene:A03p049390.1_BraROA transcript:A03p049390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNMQNLLEKLRPLVGARAWDYCVLWRLNEDQRFVKWMGCCCGGTQLIEEAGTEEFSFGSCRDVMFHHPRTKSCEVLAHLPSSIPLDSGIYAETLLTNQTGWLTESSEPGFMQETICTRVLVPIPGGLVELFATRHVAEDQNVVDFVMGHCNIVTINMMVGDEVESKPYGMLLPGDIHQKGSSKDEEMMNLPSPYDISADQIRLNFLPQMNDYESQQHLKMKSDYDHHHQTLGYDLPENGNNMNPFNTVAEEGMSVMGEASLLVNEQQVGNDKEMNENATGSDCSDQIEDEDDPKCKKKTGKHTQAKNLHAERRRRKKLNDRLYALRSLVPRITKLDRASILGDAINYVKELQNEAKELQDELEDNSETEDGSNRQQGGMSMNGTVLTGFHQGLSCNSNLPDMKQDVDLENCNDKGQEMEGFQPQVDVAHLDGREFFVKVICEYKPGGFTRLMEALDSLRLEVTNANTTRFLSLVSNVFKVEKNDSEMVPAEHVRNSLLEITRNTARGWHDDQMATGSIQNEKNEVGYQHYDDHHHHGGHHQLHDHQMNQSAHHHHQHINHYQNQ >A07g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26946849:26950323:-1 gene:A07g509200.1_BraROA transcript:A07g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSSFSLKYADNPKIKIQIIFNANATATWHPRRRSTSFRRSQRQLSDERQNRRQHLHLEAADPYTSRNNKQRLMLLFVKTTLALIVILALAGSFWWTISISTSSSSGHVYQRSHSYRRLQEQLVSDLLDIGDISVGPTRWKELEYCDVDSESYVPCFNVSESLDRFCGSREGCLVLPPVDYKVPLRWPTGKDVIWFRNVKITADEVLTSGSINKRMMMLDDDQVSFRSASPMFDEIEDYSHQIAQMIGIKNDNFIEAGVRTILDIGCGYGSFGAHLLSKHLLTMCIANYEASGSQVQLTLERGLPAMIASFVSKQLPYPSISFDMLHCSSCGIDWDQKEGLFLVEIDRVLKPGGYFVWTSPLTNARSKEDVKRWNFVHDFAESICWTLLSQQDKTVVWKKTTKTKCYSSRKPGVGPSVCNKGHEAESPYYKPLQMCLGGTRSKRWIPIEGRTRWPSRSHMNKTELSLYGLHPEEVGEDAENWKATVKEYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAQFGGLNSALLEAKKTVWVMNVVPTAGPNHLPMILDRGFVGLVETARASTTQLKWEARVIEVESSSEQRLLICQKPFTKRQSI >A09p080680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58978699:58979970:-1 gene:A09p080680.1_BraROA transcript:A09p080680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAHSAIRSLSDVASFQTCRPFVSRSLSTNANQGNDERTNSFFQHLGKAEKDKRGDYTGFSSSQGNGSRGSMNKDESFDPSSDGVDGKLKEAALIYNVDDDEGVKDGYSFRPDVNSWGVNPLPRDINYRRQMQRPRQNNKAEITTEEVLKKADFRNVRFLAQFITEAGILVKRKQTGISAKAQRKIAREIKTARAFGLMPFTTMGTKAFTFGKTMENRDQDFEYEVVDDDDEYDDNTPE >A07p026310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15035398:15037478:-1 gene:A07p026310.1_BraROA transcript:A07p026310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHRVDRTDRHLQFQSPYEGGRVNIQYEGGGGAGGYGGGRGGGYGAGGYKSMMPERGPSSTQVLSFLVGVPIVGSLLAIAGLLLAGSVIGLLISIPLFLLFSPVIVPAALTIGLAATGFLASGMFGLTGLSSVSWVMNYLRGTRKSSVPEQLEYAKKRMADAVGYAGQKGKEMGQHVQNKAQEAKQYDISKTHDTTTKGHETTQRTAAA >A07p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18906382:18907318:1 gene:A07p034860.1_BraROA transcript:A07p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEDATVREPLDLIRLSLDERIYVKLRSDRELRGKLHAFDQHLNMILGDVEEVITTVEIDDETYEEIVRTTKRNIQFLFVRGDGVILVSPPLRTT >A07g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27663731:27664475:-1 gene:A07g509310.1_BraROA transcript:A07g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASTVLHWVHENLSKIDEKSLSFCSRRWLYCKDVELQEHFNTDSVFTLAHQKIPSSTPILFIKEFWLPGSGPDFGVPPFSGIIAVILLKILLALQALLETNMIKINQP >A06g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27489418:27490913:-1 gene:A06g509530.1_BraROA transcript:A06g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEMTCLSASDVAWSEGVLSILVLNSDCCNQLAADQICQYRNEPEKIELSPFMSMNIHKLKDSYHSVLITPNCSIVAFLALSPAVSPELPLRSVEIEDPFGFTDAEETTVFSLILQSVEIEDPFGFTYAEETTVLSLILRSVEIEDLPVGSIAMNFREKIINFEGSIR >A01p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1294419:1295456:-1 gene:A01p002800.1_BraROA transcript:A01p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHDSSPREDLELKFGQLHIDYNSQAEELSVLLDRVKSAAESLHFLRSKARILAVPDAEQLELEDDGSVSEVVNDIISLLTFTGTSKNQDSLVVTEDGAMLQSIQMIADVLESLLRRVTAAESEASFQKERVIIGEEEIRRKTIQIENMSLRLEEMKQIVHENKDVLDETVEIIKKLVEDSRKDREKAVENEEELRRVKTEFESLRNYVNTSTNVVETLLSSERQFQTIEARLVAKSAQLEGEKAQKEVEVQKLMEENVKLTALLDKKEAQLQALNEQCKVMALNASNF >A07p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16185165:16185831:1 gene:A07p028660.1_BraROA transcript:A07p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIETLTIGAIVTFVNNESVQRQLTMSKEIPGGITEGQHRSVLRYLCTTVVTKPASYSLDMHALNVPEDKLLTYSTITWEANGELVGIFHVSPCKEFSIFHEVLIF >A06g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5389436:5393228:1 gene:A06g501420.1_BraROA transcript:A06g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPERKANVSGKPKHSLDANRADGKKKTTEGRTSATVRRLKMYKTRPKRTPGGKILSNEYQSKELPDTRIQPDRRWFGNTRVVNQKELEYFREEMKTKMSSNYNVILKERKLPMSLLTDNKKQTRVHLLDMEPFQNTFGKKTTRKRPKLVASDYEALVKKAAESQDAFEELNGAGPSGEGGEEEDGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLEKTLKEHHKHKHMILLLNKCDLVPAWATKGWLRILSKEYPTLAFHASVNKSFGKGSLLSVLRQFARLKSDKQAVSVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQSHDSETDIVLKGVVRVTNLEDASEHIGEVLRRVKKEHLQRAYKIKDWEDDHDFLLQLCKASGKLLKGGEPDLMTGAKMILHDWQRGRIPFFVPPPKAEDKASDKASEGEAEVTVPGIDQEAIADNNQAAAALKAIAGIMSSQQQKDVPVQRDFYDEKDLKDGDGKGKESTDMDEENETDGEEDEDEVSEDGEGSENDSDEDVVSENEEEDESDSAYADISTFPSHYYVFYLELKAKDINDVLELDENVKVLVECCSVIEESFGALYRGMRRSGSIGPLEIRVVQQGTFDALMDYFISRCGSMAQ >A06p006640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2247474:2250042:1 gene:A06p006640.1_BraROA transcript:A06p006640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTLVVMLLCYSFASSSGDTTIHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNSRNQYPAQVFNNENHQINLYGDNVEVDYRGYEVTVENFLRVLTGRHENAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDAEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSLKGENSYSHHLDSDIGVSVVDRFTYYTLAFFERLNIYDNASLNRYDKSMTMSYDPRQLMSTAYYRTDLYQPHLSEVPVTNFFGSVMETVHTDSAYHAFSNRISNSKIKSDTPFNQLSEQDVKEDLRNTHNQNDELKTEKQKCPYSEMRADLHEKLKKIENVDTMVNLSIAVMILVVMVSSSLL >A05p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32345949:32351151:-1 gene:A05p052220.1_BraROA transcript:A05p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETEAVERKRSRGGFLTLFDWSGKSRKKLLSSTTTTSGPSEASKQEKQNAQNPSKSSSPSIELEETGKSLTYSPRRDSSCSTSTVTSDDRQGSRAPSVVARLMGLESLPIPNSLEQPRSNPDFDPFFLSSSRKASTWDAYESLGYVNLRSHYDGISWDHLDSKTNNNESHRLPINRFQTETLPPKSAKPISVTHNRLLSPIRSPGFVQSRNPAQVMEAASRMIEPSTRIAAAKPRFSSSSEASSSIPMRIRDLREKLEASQNKQTPQISNGSRNNNKYLRGKNESSSLKTQEANKLLGKTSKVKPPSVSAAHTKANTIHKQESSTPSSSSNRAQKEKIVTKNRIVKKDLASTGKTVAKQNNQKQNQPAVASVSKQKSSKVMNKVVNKVLVESGTTSKKPGLKKNPPRNKKPANGVQEPGVISEKLIKRGEKLKKCNTIVEGDRKKEMDVISFTFSSPIKGISSTKRTTDQERESALSLSAINGDSLNILLEQKLRELTSKIESSSSGLTQEGESSGSISKDWVNGTISLPSDDLDKVSSEGESVSDWRWLDYKREAYEEGSEIEEEILSDLVDDASSHPSSSPMASSNTTPGVDNTFRKKFDTEEYRERARKREEKEADRSKSQPKGPPVQRAPLKHRDYHVDLVSRLGKTQVVTPVAPLSQQAGYFCRVCDCVVKDSANYLDHINGKKHQRALGMSMRVERSSLEQVQERFEVLKKRKTPGTFSEQDLDERIRKQQEEEEEHKRQRREKKKEKKKGKVIEEEPEMDPEVAELMGFGGFGSSKKS >A02p028090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14212859:14213912:1 gene:A02p028090.1_BraROA transcript:A02p028090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEEACETGSDIDGHVQQGDEDTAPFQQPAVHKFPNHTNTNHSPSALHDDLYLITSNHISSLSEHTRPDPNLCPLGETRSVQFDTILEGMYIISLKLIVGSGERLVVQMVASTCQVSTKQHCCLSF >A09p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19875907:19878366:1 gene:A09p032810.1_BraROA transcript:A09p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMKLKISFLPVFLFSLIALDSASAQQICFNGFFKPNSTYDLNRRQVLSSLASNVTSHKGFFNSSIGENPNRVFIIGMCIPGTKPETCSDCIKAASDTLLKSCPNQTEAYTWPDSCMVRYSNVPFSGSYDIGPSHVLYKSGVLNSNVTVFDRIGEDLMERTITEAPTRAHEHKYYTAGLASLTASLTMYAMMQCTPELSTGYCGLCLRTNLNNYNLCCRDKQGGSITRPSCFIRWDVHPFAGAFENLTLPPPQPQSLPQPPLSLPPPVSDLASKTDKDGEALSIGIFVAILVPIVVILVLLVVGFMVWRRSKAYLTVTIQAGDEIIPLNSLQFKFKTIKAATDKFSDSNMIGQGGFGEVYRGKLSSGTEVAVKRLSKTSRQGGNEFKNEAVLCTKLQHRNLVRLLGFCVEREEKILVYEFVPNKSLDYFLFANETMVRKCVDPTKQEYAMHGHFSMKSDVYSFGVLVLEIISGKMNSSFYHIDDSDSNLVIHAWRLWRNESPLKLVDPAMGESYQNDEAFRCIHIALLCIQEDPADRPMLPAVILMLTDSITIPVPRTPRFCLSSMGDFASQSTLSSTNDQSIGDSDLP >A05p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11058606:11065068:-1 gene:A05p022890.1_BraROA transcript:A05p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMVGNRLPKFTPQESKLVKGSLDFLGLNYYVTQYATTAPRSTQPNAPSFVYYPPGIRQILNYIKNNYGNPLTYITENGVADLDTGNLTLPDALADNGRIQNHCSHLSCLKCSIDDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKASGKWFSKKQPITMLSTMEIPKAHFSLAILVILFAVSSSQNVATPACKAKEPFNCDNPLTFNRTSFPKNFTFGAATSAYQIEGAAHRALNGWDYYTHRYPEKVPDHSSGDLACDSYDLYKEDVKLLKRMKAQAYRLSIAWSRVLPKGRLIGGIDENGIKYYNNLINELKANGIEPYVTIFHWDVPQTLEDEYGGFLSRRIVEDYKNYAELLFQRFGDRVKFWITLNQPYSLASKGYGDGSYPPGRCTGCEFGGDSGTEPYIVGHNQLLAHAKVVELYRKRYQKLQGGKIGTTLIGRWFTPLNENSIRDTAAAKRAFDFFVGWFLDPLVYGRYPKIMRQMVGNRLPKFTPQESKLVKGSLDFLGLNYYVTQYATTAPRSTQPNAPSFVYYPPGIRQILNYIKNNYGNPLTYITENGVADLDTGNLTLPDALADNGRIQNHCSHLSCLKCSIDDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKASGK >A01p046790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26325107:26328740:1 gene:A01p046790.1_BraROA transcript:A01p046790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSANRRRTRSSGSALDQTNLEAGGEVAPSVDKVPEQSNASVPLQTPEAVEHLEEPRTNDGNGNRMMEEDKGVSNVFSGEEQQSTTPVTGKKSSGFHRELVLGLPCRGQFEFHRSSNKLGGGGERNVVVSSHKRAKRSKEAAGSSSVDVNATPVDGSKQRKTYTKKVEVREDDEYTRIKKKLRYFLNRIRYEQSLIDAYSLEGWKGSSVEKLRPEKELERARKEILRRKVKIRELFQHVDTLCAEGSIPESLFDSGGEISSEDIFCAKCGSKDVHVDNDIILCDGFCDRGFHQYCVEPPLRKEDIPPDDESWLCPGCDCKDYSFDLLNDSLGTKLSVSDSWEKVFPEAAAAMAGGDQTLDCDLPSDDSEDEEFDPNGLNDNADDEDGSDDSDESENEDGSGGESDCTSASDEMIESVKEAKDIMDLPSDDSEDDDYDPDAPFRDEDKMQESSNSDNTSDSEDVETSFKGDESNQQDEVTPRGKPGSESDTGIDDDGIVDVPGRRKVERLDYKKLYDDEYENVPTSSSDDEDWDKTAGKEDFESGEEGDTVPLKQHSEAEDHTSTKKPRQTPKRESKRDTLKAPQEVPRENGFSGEKSSSAVYSKHTNPRTQRLFKSFQENRYPDITTRENLAKELKMTVKQVSSWFNNTRFSTNKRMASKEDVEKLRTGEGETTVAGSSEQTMETKSVVENNKSGASESTNTGSRKRRSKRKGKRKMKKVLRVYGGVLRLVRLLPADTRPYYAKYARENFVNYREVDVSETPLDELYQRAYNHSLWVLKKYSIDESAAKKMKEICFE >A01p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5822260:5823492:-1 gene:A01p012000.1_BraROA transcript:A01p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNHVLHSVVFLLGLVSFITCFIAEFNRTKKEDVRWDTERTCYIPRSHAFWLGTAAVLCFCVAQIVGNIVVFRIYRTGTKREDGYKITNLTLPTVLLILSWSNFVVVVLILSTAISMSRLQPFGEGWLEEDCYLVKDGVFAASGCLSILGLGALTISANKTKVKKQQQQLEAIITKDQDQTKPEGRKQNHDDHQMNKSETVIYFVEEAPSTADDRI >A03p030400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12749382:12750655:1 gene:A03p030400.1_BraROA transcript:A03p030400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGVSEMLGTPLNFKAVSRSSAPSASSPGTFKTVALFSKKKPAPAKAKTVSVANDELAKWYGPDRRIFLPDGLLDRSEIPEYLNGEVAGDYGYDPFGLGKKPENFAKYQAFELIHARWAMLGAAGFIIPEALNKYGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVVLLGGAEYYRITNGLDFEDKLHPGGPFDPLGLAKDPEQGALLKVKEIKNGRLAMFAMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVIAGTAERAPTL >A08g510150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21987093:21988071:-1 gene:A08g510150.1_BraROA transcript:A08g510150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP18, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G20810) UniProtKB/Swiss-Prot;Acc:Q9LM71] MNIPLEETSAEGLKFYDIEEGKGPAATQGSTAQVSLMTKPILVNTTVDIKVLAFTLFRCILIAVTEASLQSPPESIAQPYKFKVESTPGKERKREFVDSPNGLFSAQAAPKPPPAITVIVSPEAGYGQKGMNEIPAGATFELNIELLQVTPHEEK >A09p023080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12703745:12707213:1 gene:A09p023080.1_BraROA transcript:A09p023080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGEKGMMKRSDFAQKLLDDLRVRKERLSISQDTDNYAYSNRGFKGSNKTRSKSTTFQDFNYGGASLLTSGTEDSNQIVPYGRGRSIDLSKALAFALENAGKATRADPSGNASVISFLHEVGKRSLGRSSQQHLCSSSQQQLPMVHVHIKEISKGAQKLNQIIKACSNGLSFRKGRYSIQCGGEEHMEGAIELEQSLRLLVDIQQASSEYITKKQSQNRFKLLEENEDGEEEEEEDVRNRNNRKIKEAAKTDIEMRLLALKNKHRRQTSGCEDTEHKPQKGRIPNVVAKLMGIGEFPEEEKETKNNNDASIKSAESLTRRRATQSNEKIVELKDQRNSTSLDLVLHKETQRTTNGINYKDKSQQKDDPNSRKGNKKDGEMTTKNAIRRTSSPTEDKPKAVTRSQEKPLHKLSFGKKEEAKSIKKAKLKPERHQEHGVMTNHPPKPVSSVPVIDKAKSLRKIFSHVVVLEKKKGGEVHEAEFREKKNLNGGFCKVMKQPENQKPVSREANGKQDQLLRSYNDSNKTKAVEADTCINISEDSGVEVKSSNRNVFEKKKDIKDDSILLIAAERVLCQAPSENQHQELMFTDGVDQQAPVPNSDGNSERFSKTVYKASKGEVEAGIPLLEKRQERRKQETKETLSANEMNLKKIVVKSQLFLDTFEALFKLNIPLNVLHEVGGNNYHQEDKNLLLDCGYEIMKRKGRFQELKVHPFVKVPISSSKVNSLDHLVRQLSKEFEKLRTYGRECYTESLVEDYLPKVLERDVYYTDPNLNSMWDMGWNDSMHAFIEKDDVIRDVEREVFNGLLEEITRDLICI >A06p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2520656:2522040:-1 gene:A06p007260.1_BraROA transcript:A06p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSLCWSLAGLALVGIFTNGVTGFDEMDLFNGFNDTSVYEKQENGANVLMVGLTLVQAASAKGAVCLDGSVPGYHLYPGYGSGANNWFIHLQGGAWCDSVKFCQYRKRSSYGSSTLMEKVLAFTGILSNKASENPDFYHWDKVKVRYCDGAFFSGDSENKAAQLQFRGKRIFLAVMEDFDGKGNASSKAVISVNNITLRLCSPAGCSAGGL >A03p003920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1693032:1693524:1 gene:A03p003920.1_BraROA transcript:A03p003920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein 31 [Source:Projected from Arabidopsis thaliana (AT5G08480) UniProtKB/Swiss-Prot;Acc:Q9FNP0] MNNQGSQNVATCKPVTTFVHTDTDTFREVVQRLTGPSESNAAAIPEATVIKTAIQRKPTSKLHERRQCMRPKLEIVKPPLSFKPTGDKADPDSCTTNNEEEERAIKERRFYLHPSPRSKPGYTEPALLTLFPLTSPNSSGRP >A10p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21335081:21336480:-1 gene:A10p038010.1_BraROA transcript:A10p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFVRMTEERDEASHSSSNNFRERIRDIKWASLVKMSKQWLRNPLNMALFLWILVVAVSGAILFMVMTGMLNAVLPKKSQRDVWFEVNNQILNALFTLMCLNQHPKRFHHLVLLCRWKQEEDAKALEKVYCEKGARKPNERFHMMVVVLLLHLNCFAQYALCGLNLGFRRSERPPVGVGICVSFAIAAPAAAGLYTILSPLGKEYGDEENPVDSKVSLERRYSFASRDDESDLEWRGGVLDIWEDIKVSYLSLLCTFCVFGWNMERIGFGNMYVHIATFVLFCLAPFFIFNLAAINIDNEVVREGLGYMGIVLCVFGLLYGGFWRIQMRKRFKLPGYSFCCGRPAVADCTLWLFCCWCSLAQEVRTANAYEIVEDKLCKRRGEEVIKVDEDGVVDPSCLPEKMNTTMASSSSISLSRPSGDEALSPPSPPFIHREAT >A03p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14535103:14545197:1 gene:A03p034460.1_BraROA transcript:A03p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFQCPTIFSIPSFQCRSSRRRFNPTAGMPSPFTGGGRMSSMILRFPPNFVRQLSIKARRNCSNIGVAQIVAAKWSNNPDSGSPPAAAAAASSASAAASAPAAAIPPVALNGVDEEVVAAEGIREIGSVQLKDSKPSFLSSDGSLAVHAGERLGRGIVTDAITTPVVNTSAYHFKNTAELLDFKEKRSVSFEYGRYGNPTTIVLEDKISALEGAESTLVMASGMCASTVMLLALVPAGGHIVTTTDCYRKTRIFMETFLPKMGITVTVIDPADIAGLEAAVNKYQVSLFFTESPTNPFLRCVDIELVSEICHKRGTLVCIDGTFATPLNQKALAFGADLVVHSLTKYIGGHNDVLGGCICGPLKVVSEIRNLHHVLGGTLNPNAAYLIIRGMKTMHLRVQQQNSTASRMAEILEAHPKVSHVYYPGLANHPEHHIAKRQMTGFGGVVSFEIDGDIERTIKFVDSLKIPYIAPSFGGCESIVDQPAIMSYWDLAPEERLKYGIKDNLVRFSFGVEDFEDVKADEGGVMILSALLTSVGINLGLCFLFFTLYSILRKQPGNVTVYGPRLIQDGESQQTNAFNLERLLPTAGWVRRALEPTNEDILSNLGLDALVFIRVLVFSIRVFSFASVVGIFILLPVNYMGTEFEEFFDLPKKSLDSFSISNVNDGSNKLWIHFSAIYIFTAVVCYLLYYEHKYLSSKRIDHFYSSKPQPHEFTVLVSGVPVVSGNSISETVESFFREYHSSTYLSHVVVQRTDKLKALMNDAEKLYKKLTRVKSGSISRQKSMRDGFLGMFGKKVDVVDHYEKKLEKLEDDMRLKQSLLAGEEVPAAFVSFRSRHGAAIATNIQQGIDPTQWLTEPAPEPQDVHWPFFTASFVRRWISNVVVFVAFVALIILYVIPVVLVQGLANLHQKVVSQVITGYLPSLIYQLFLMIVPPIMLLLSSMQGYISHSQIEKSACIKLLVFTIWNSFFANVLSGSALYRVNVFLEPKNIPRVLAAAVPAQASFFISYVVTSGWTGLSSEIFRLVPLLWNFVMKLFGKEDDKEFEVPPTPFCQEIPSILFFGLLGTTYFFLSPLILPFLLVYFCLGYVIYRNQLLNVYAAKYETGGKFWPIVHNSTIFSLVLMHVIAIGIFGLKELPLASSLTIPLPILTVLFSIYCQRRFLANFKSYPTECLVNKDKADAREQNMSEFYAKLVVAYRDPAVSASRYARGISLEDPPLLRSNQG >A04p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13680604:13683357:1 gene:A04p022700.1_BraROA transcript:A04p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKEDDCLPPPTEPSRCYSPPSTTPLAELETTRSLEIMESSFLSPVWLLVIFCIINLLNYMDRGAIASNGVNGSTKSCNDKDKCTPATGIQGHYNLSNFEDGVLSSSFMVGLLIASPIFASLAKSFNPFRLIGVGLTVWTIAVLGCGSSFAFWFIVLCRMFVGVGEASFISLAAPFIDDNAPHKQRAAWLGLFYMCIPSGVALGYVYGGYVGKHFSWRYAFWGEAVLMAPFAVLGFLMKPLQLKGFPSTDSVEVMASSLGAEVSKNNNHLQAGNEIKHDEVEVSIEIGRSGYANAVWKSTTQFARDMKVLCKEKVFVVNVLGYVAYNFVIGAYSYWGPKAGYNIYKMKNADMIFGAVTIICGIVGTLSGGLILDHVTSTIPNAFKLLSGATFLGATCCFTAFTLKSLYGFVALFAIGELLVFATQAPVNYVCLHCVEPSLRPLSMAISTVAIHIFGDVPSSPLVGIVQDHIDSWRKTALILTSVLFLAAAIWFIGMFINIIDRFNIEAENENPRSRQGQSIMVMNP >A09p046070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40289950:40293445:1 gene:A09p046070.1_BraROA transcript:A09p046070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQHVIAKERKGDYLGKTVQVVPHITDAIQEWIERVAAIPVDGEEGPADICVIELGGTIGDIESAPFIEALGQFSYRVGTGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTKALEENVKEKLAQFCHVPLESIFTLYDVPNIWHIPLLLKDQKAHLAISKVLNLAGIVKEPSLEEWTSRAELCDNLHVPVRIAVVGKYTGLSDAYLSILKALLHASVACRKKLVVDWVPACDLEKETEKENPNAYKAAWKLLKGVDGVLVPGGFGDRGVEGKILAAKYARENKIPFLGICLGMQVAVIEFARSVISLVDANSTEFKPDTKHPCIVFMPEGSRTHMGGTMRLGSRKSIFTVKDSKSAKLYGNKSFVDERHRHRYEVNPDMVERLEKAGLSFAAKDETGKRMEIVELPNHPFFIGAQFHPEYKSRPGKASPLFLGLIAASCGELDTVMNPASVHQHLISNCPKSVFVNGTSKKAPNGMADVRYNNGYCNGLHTR >A07p001070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:301912:304100:-1 gene:A07p001070.1_BraROA transcript:A07p001070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILCFLFCFVLGLVKASNKKVSQAKRLKVQRESKVVKEESSNNRDFFGDQSGPGVSQSESVGDTKSEDAAVVAIGSVALLSQLQMTSKLMELFQMTLWCNREPGQGTVIQSRGNEAFVLDCLQKIKEENAQLEEPLTAEQELTKSYEASIRQLQKYLSSSKSEVSKVESSMVEALAATNSEVECTSLDQELQDMEVRARRGQMKSPDEPNQVTHIQAWQGEVDSARQAQRDAEEKLASAGMQQVRVEMVAMKRDAEHYGLVPQPRPKKLGIQWSDHDGLRKESNE >A10p021490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14427301:14429747:-1 gene:A10p021490.1_BraROA transcript:A10p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G58130) UniProtKB/TrEMBL;Acc:Q9FGT1] MRETRGREKETEAAAERKTMEGGGSGGGGGGGVRLHVGGLGESVGRDDLLKIFSPMGFVEAVEFVRTKGRSFAYIDFSPASENSLTKLFSTYNGCKWKGGKLRLEKAKEHYMARLKREWAESASVSASSDDTVKAPEKLTPSTHLNIFFPRLRKVKAMPLSGTGKHKYSFQRVAVPSLLPKTFCDCEEHSASLTPQETHARDVEALNVGINEEEVNIMNSVMNKLLQKDDIVINEKDDIIVDTKDNILINVVSNGKDMMDAELSNLSRKRKSMLDQTPAEGCTEGHKGNNIHPNKKRQSIIPEGSGRQEASQAIREKKKPSEVDPDEPSRTTGVKKSNDNISWSQKSSWKALVANGNSSNFSVSSFLPDVSSSKAVQPASDTDVEGSDHSESEDTDVVDSDHSESEDNDVEGSDYSESEDADVEGPDHSESEDNGVVGSDHPESEDDDVEGSEHPESEDADVEGSDYSESEDADVEGSDHSESEDADVEGSDHSESEDADVESSDHPESEDADVADIDVDAETVELAASTEGDSEDGSLNVEKDENVADDLNAEKESLVLEDSVDHDVNEEETKKGQLEDSKKSAGASSWLQKASWTQLVRDQNASFSITQLFPNLPPEKTEADRVNSSGDGQFTYSNKSGSANGVEAAGVHGASSSTPLRSLKENQQIVKAKNVSGGSRLGVKRPIRREIGSGESCAFMRSETSVSEWKRAKKALSEPSRKKKSEE >A05p001090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:82717:83349:-1 gene:A05p001090.1_BraROA transcript:A05p001090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 3C [Source:Projected from Arabidopsis thaliana (AT2G47920) UniProtKB/Swiss-Prot;Acc:O82259] MVGEEEEKRRWWWFESHHHKTTTTTTSSKHSQWLHSTLAELDSKTNAMLSLIEEGGNADSFAQRAETYYKKRPELIAFVHDFYRAHRSLAHRFHHLKSSPLDHSLSELLDDPHSEIEDPDEEEAVEDASSTSKKLEQESLKLIQENDALKRQLLEKDEEKREVIRQLSLTVETLKDENLSLKRRLLPSVSLKKLRGFHLGKLFYLRNAPW >A09g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18971916:18974167:-1 gene:A09g506320.1_BraROA transcript:A09g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDIENDEEYFDADGNFVEYLIDKEVKFLGCCAEKHEDSGTVKPSDELSQEDIGFIKMRISKLFEPGTMDFASLFLLYCGLDRLICRDSDEKNTTGAEALQSDYVFDKTSGAVHFPTQHFACTRFCDKLMQEAIPEVKQATARFNDFFIGWFAENHEMMKTIVGLKITRFYRGGRKFYESVRHSDSISQRRKGSNVKGYFQWSVMDLYEISGGYNIWIIFVDFKDLYHNNEPKLCSGEDFTMDRMF >A07p036240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19500775:19501833:-1 gene:A07p036240.1_BraROA transcript:A07p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLLPLSPMATETVRDSTVPNHDETELTEFQKNQKRYQDLISTLPHVKGWRPKSPLIWYGGHWWIQLFLEGSLYAQEFFQARPNDFLVCSYPKTGSTWLKSLTFTIANRSRFDDSTNPLLKRNPHELIPFLEIEFPLFPHIDVLQDKGNTLFSTHMPHDFLPDSVVKSGCKMVYIWRDPKDTFISFWSFMQKQRSTRGPLNSLEECFDMFCQGISGEGPYLDHVLGYWKAHQENPDKILFLKYETVRADPLPYVKRLAEFMGYGFTAEEEKSGGVEKVVNLCSFETMKNLEANKGDKERGDHPSPYTNSTYFRKGKTGDWVNYLTPEMAARMDGIMEEKFKGTGLLENGE >A02p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16042621:16044502:1 gene:A02p031050.1_BraROA transcript:A02p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSRAASHRLITPSLVPQKTLSERKGSSTTGFKSIRVMASTGVVAKAGYEPVAHIPGPLFFDLDRTTSLPHMLPSEEAFAAGCSALGIENKGGVVVYGVWVLDGGLPRWRAPGDAILKASAASEAIEKIYQGHSVSPVTFKTKFQPHLVWTLEQSLVREYEAVISLEANVSLFLRCLILLLLTHSYQQKTLKKRFEQEGKTILYQIEEPPLDKPVMASCGTGVTACILALGLHRLGETEVPVYDGMGNRTRLTHGR >A04p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:747715:748168:1 gene:A04p001570.1_BraROA transcript:A04p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRANVLALCMVVLVLGMIMEVTEGQEWCHDYMTGKQKCEAKHCAAQCKRKWKGVGRCMPSSTQCLCTFKCNK >SC179g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:329638:333243:-1 gene:SC179g500150.1_BraROA transcript:SC179g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECANLGRGRQRIALKRRRQKRLLREEDKRRSWRQDRLSMSCVSCKEEAIVTLYLNRYKGRARFIRTWFQLPPKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPRRTFQEESFLLGSCGGDSNMVKGGVFGMVHMMCSLFIINLSKRGRLYPLGMVAGVYVDTQGEWSSPKSRGDWLIEAHFKLGPILNLGLRSKREEEGHSTRRVRCLENWLRYLLNGMMMWWRFKHQVQRRWRYTSSDTSNSPTTKNVKTKVFCHCISSLGHSLVYRKCSMGHYAIRRVTCEALYGDLNTLVPGIRKRAAHKTKTITTVCSWPDRSLQRLLRRTINRAKSVACLGKRLFRAVLASEEEGSP >A04p032530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19024710:19025916:1 gene:A04p032530.1_BraROA transcript:A04p032530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLEAALSILSFSSSSSSKPHLFSRQTKPTSLNIQIPSNLSPFFPLTLSTPLRAGCDNSRRLVSVCSSVTEEEASPLTEETQKENLKRKLFVFNLPWSMSVNDISQLFGQCGTVSSVEIIKQKDGKNRGFAFVTMSSGEEAQSAVDKFDSFQVSGRIIRVSFAKRFKKPTVKPPNALPPSTQETRHKLYVSNLAWKARSTHLREFFTAADFNLVSARVVFADPGGRASGYGFVSFPSREEAEDAISKLDGKELMGRPISLKFSFRSAGESEDSNTIEDNNTSEEEPEDGDDTIEASEEKPVE >A05p011560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4976334:4977673:1 gene:A05p011560.1_BraROA transcript:A05p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEDVTEKEERSVEEVGVDDGDKEIVVTTKMALVGVGARALFYPTLVYNVVRNKVEAEFHWWDRVAQFILLGAVPFPSDVPRLKELGVCGVITLNEPYETLVPSSLYKSYCIDHLVIATRDYCFAPSMEAICQAVEFIHRNASLGKTTYVHCKAGRGRSTTVVICYLVQHKHMTPEEAYAYVKSIRPRVKLATAQWKAVLEYYHVKVLNTQSSLTDATSALIPRNVKQVCSGNVVVFEDGSMVVVTHSDVEGYDDDSKRSMNVAGNELWVKVVGQAALARISCLWLGLRHDHKLSWKNLSMSGIRVDISVY >A09p034470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20864090:20864594:-1 gene:A09p034470.1_BraROA transcript:A09p034470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin synthase sulfur carrier subunit [Source:Projected from Arabidopsis thaliana (AT4G10100) UniProtKB/Swiss-Prot;Acc:Q9S7A3] MVSEINERKVLWSGSSLLAMGVTGQAAKKEVSKIVNDEVSSIEIKVLLFARARELTGVPDLTLKMPSGSTTKKCMDELVIKFPKLEEVRSCVVLALNEEYTTDSAIVKHRDELAIIPPISGG >A07p047080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25344865:25347738:1 gene:A07p047080.1_BraROA transcript:A07p047080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVRRQNQQVGHGDSSSGPGKRWGHTCNAIKGGRFVYVFGGFGRDDCLTNQVHVFDAGTQIWTKPVIRGVPPSPRDSHSCTTVGDHLFVFGGTDGKNHLNDLHVLDTSSHTWKCVDARGEGPEAREAHSATLVGKRIFIFGGCGKASGSDDEVFYNDLYTLNTETLTWQRAVTAGNPPSARDSHTCSTWKNKIIVVGGEDLDDYYYSDVHILDTETFVWKQMKTSGQVLTPRAGHVTVALERNLFVFGGFTDSQNLYDDLYVLDVETSVWSKLVHAMEEGPSARFSAGAVSLGPYKAGSFFFVGGCNKSLEPLDDIYYLHTDGGYNARFDQTPGRFSLRKQMKLKCQAQKLAVAGTSTNQGRENLPLSIGSMYQGKTVFQARVTENVPLGYSIETIIDGKVLRGFLFSNRHSSGQTADPSVSSGKRPAMSDADCDHGAKSQRTLSEDAAGSSQQAGPVDPSDDANKKVADSNDIVTPMIEKADVNVNIVRPQEAETAAVASDVKDQDPSQLNMDTVNAEPSPVSLDQANVKPLSNEISTDVGAATEAGPGGDSSPQNQDEGTVSAEKAEAGQKPQPQ >SC370g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000212.1:25:379:-1 gene:SC370g500010.1_BraROA transcript:SC370g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCISMLSSCEFTLFLNPELGCLNHHRESHKTHLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKTFVFTFLVVGELHVSHSLCT >A04g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11910755:11911150:1 gene:A04g505650.1_BraROA transcript:A04g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKKEHRQDAAFKRKEKAEDKKKETEARKKEAEAKKKVAEAKKKEAELKKKQEAELKKQKKVGSKYKNVTPPRDEDSSLADITDEAVAEQNEFAQKSDVENSEVVRSAIIKDYRKKMFG >A10g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22313522:22315738:1 gene:A10g507300.1_BraROA transcript:A10g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSPAIIFAVTVLMLLTVSSVKLPFHPSGPAPALAQTTLLAASQLFQLRRGVERCLLLQEQGVVDKAHSWTCMDIYVFVTPYRVTWDWYFISREHTMEFSEWEGKAEYEYVKQAERCFMEAGMVGTLRALWDVFPLFTNTGWGENSNIAFLEKHMGANFYARPQPWGYKHYHRCNPLWRHASYSKIRGPWGGFETMEKWVSGAYAGHTAVCLRDSDGKLWVGESGNENEKGEDVIAVLPWEEWWEFEQTKDDANPHIALLPLHPDYRAKFNVTAAWEYARSMDGKPWISGNYPPPLDAHLVASVMTVWSKMQPDYAANMWNEALNKRLGTEGLDLPDVLVEVEKRGSSFDELLAIKDAYMLKFFENNASRLPTWCNDNDDVKLPYCQILGKYRMELPDYNPMEPYPHMNDHCPSLPPKYHRPKNC >A01p003080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1407468:1408331:-1 gene:A01p003080.1_BraROA transcript:A01p003080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEN1 [Source:Projected from Arabidopsis thaliana (AT4G35770) UniProtKB/TrEMBL;Acc:A0A178UYD5] MESALNTATRIGSWSSAISPPLEVCRSFKWKLPTATRRVVAVADRQNSSFRWRKVTTGRANVAAEAARVPTSVPVRVARELAQAGYKYLDVRTPDEFSIGHPPSAINVPYMYRVGSGMVKNPSFLRQVSSHFRKHDDIIIGCESGERSLMASTELLTAGFTGVTDIAGGYVAWTENELPVEE >A05p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1567373:1568834:1 gene:A05p004040.1_BraROA transcript:A05p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTHNHLYDPNQVSSSSGSGLLRFRSAPSSVLAAFVDDNDKTVFNSNEFENKSRVSYAAAPPPQQEPSSFLGLPPHYPKQSNGMMMMNTIGLDQFLSMNHHHHTRPAESHLLRQSSSPAGMFTNLSDQNGYCYVGEEEEEEESPSHPNGLRRHSSLSSRPPSSLGMLSQIPEIDSESVQYSHWNHPSTSFIDNLSSLKRETEDDGKLFHGAQIMSHHLSLPKSASDMGSVDKYLQLQDSVPCKVRAKRGCATHPRSIAERVRRTKISERMRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQRQYKILNENRANCKCVNKEKNLI >A04p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22706210:22706989:-1 gene:A04p040270.1_BraROA transcript:A04p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEEHVKHPNEWEVVSLTSSAYAASPSPYNSRDAYYEAENSRDLFMSDHFVFPPSQHENLPLDDEEKKDGGQGFMLEVQESSDELRNEKSIYGEAALSPSQHMVYEHGLIDSEPNEYADVDLDPLGLEKDAKKATHDLPWWRRRAVSVYLRTREANAVWSLFFAAAVTGLVVLGQRWQQERWQVLQLNWHSSISSEKLSRVLEPLSRLKDVIVRGNPQASLLRSGTSSEI >A01p012040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5847866:5855499:-1 gene:A01p012040.1_BraROA transcript:A01p012040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFQQKTFIKPCWNLAPLDERFGISGLTDLRADWTELQAQMCLPPTGLSSSPIVPWIIWGLWKARNRYVFENFAGTPADILSQAIVAAKEWASAQEKKAKCSQKSPVQMSRELGTIARSDAAWSATTKNAGLGWTVTSREQRTMLKKGIGFTPSALVAEGLALKAAVGTCSFHRVKEVLFESDSNQLISAVNGNNPPLELYGIVEDIHIIASAFDDVVFGWISRERNEEADLLAKNALRLMGKLKQCWSSVLVLSMVVIGTGAVPITYLQSAVAKGAVCLDGSAPAYHFDKGFGSGVNNWIVHMEGGGWCTDVASCIKRKGTMKGSSKFMNKDFGFSGILGGKQNTNPDFYNWNRIKVRYCDGSSFTGNVEAVNPANKLFFRGARVWRAVIDDLMAKGMKNAQNAILSGCSAGALAAILHCDTFRAILPRTARVKCVSDAGYFIHGKDISGGSYIQSYYSKVVALHGSAKSLPISCTSKMKPELCFFPQYVVPSMRTPLFVINAAFDSWQIKNVLAPTGVDKRKEWANCKLDLKKCSAAQLRTVQGFRDQMMRALSPIHSTPSRGLFLDSCHAHCQGGSAASWSGAKGPQVANTKISKAVGNWFYGRSAFQKIDCPSPICNPTCPAISTDE >A02p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13637657:13647897:-1 gene:A02p025940.1_BraROA transcript:A02p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSSSSSPATSLPRSASSDFSVDIFVVDTKNAPSFLCCASINICIGKTSDELLIFSFIEVRQLGSVSVIYSFKFVLVATTFPNGPCGLLWKEQPRHEVPPNFRSVLSSRLLRRLAAQANFQRLATQNLSETTTRTPAPNLKETDIKLRQTNNPTPSVSQEMIDEAAITAACKVVMAENKIHVAKVAVEELKKTTKLAEETDLLLELAKDIHEQCKNRFEKPSAIQQRGIIPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYTLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRADAMKMFVLDEADEMLSRGFKDQIYDIFQLLPSKNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINFDLPTQPENYLHRIGRSGRFGRKGVAINFMTTDDERMLSDIQKFYNVVVEEPSFLRLLQVKMLKSKSCREEMRSSSIAYKYHCLTNGGTPEAVAAPSPANQQLPVMLRSYSTSTYSPHKNPTTVRDNPNSKSNNKVKKGLKEAEIQRKKRVAAYNVYGLEGKVKGSIRKNFKWFKETCSNAVNGLW >A02p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:633744:643007:1 gene:A02p001420.1_BraROA transcript:A02p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAGEALKNLISKSIKPISSDSIMAEVMVYFFLIFAFMSLCVVRQTLVYWNIDDYPIPTDDLDPVFGDILKALHVMGFRNGYIDVYLYSEQINCEAIVTNDFLGQGEYYCAFGYKVLDITLYMIRRATCIGPGPVNYFVIAKPKRELHRVLQCLKSRRHNVLLVKPPPPGEKFLFSVDSLLENARFLGGGKPRFKELYASHASEYDISFEKYVEIKEDVSKMVDFSERIPTVRGPRTAVFWDAVDCPFPPSSSPDAIYHSISSALVEREFSDNITIWAYLDDDDDKKGSWLGGDKTWASRIYFLPGGDKASRRIRMLNDMFLWARDSPRGMRYEASLVLFADQFIGAGGYYTDMLRRLDAMYYDLLFVTPTLDINNPESPQTMGEDETIDFESLKQTLVFWNMDDYPIPVDTTDDLGPVFGDISKALYLMGFRLGFMDVLLYSEQHNYDKTFADIIQPCLPQGRSYSGCVYKVPDITLHMILHASYIGPGPVNFFVIAKPQRELLRVLQCLKLRRHNVLLVKPPPPDEECLFSVDSLLNYARLLGGGKPRYNPLQGYMDEFDSSLEKYIEIKEDVSKTVDFSERIPTVKGPRTAVFWDAVDCPFPPSSSPDAIYHSISSALVEREFSDNITIWAYLDDDDNKKGSWLGGDKTWASRIYFLPGGDKASRRIRMINDIHLWMRDSPQKSTSYEASLVLFSDQFKDDDVYYRDMLQQLGNMRYYVLLVTPALDINKPETPEWPGLLIDRGAYFFDQVKSQIYQGPDDAAAEEETPPIMSDMDYSLLCPFGDQTSESSEDEDSPFWPPRTDDMLIDGGQSSAEQETPVYRWDPFTLMYKLESLFPKEEHEAEEDTPDKLATHGTCFRWDPFTLMYKLESLFPKENEADTEEEETPEKLATHGTCRLDPTSFEWPGRQIDGGSGWGSSEEPLKQTLVFWNMDDYPIPVDTTDDLGPVFGDISKALDLMGFRLGFMDVRLYSEQHNYDKKSADIIRPSLPTCRSYSGCVYKVPDITLHMIRHALYLGPGPVNFFVIAKPQRELLRVLQCLKLRRHNVLLVIKATAA >A10p029940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18197943:18202708:-1 gene:A10p029940.1_BraROA transcript:A10p029940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANTHVATVAYRQIGQDELLINGFIRFRDYKTRSQDFEHSGQGKQPLPLQLSQVADLAFDDGSGSPNPKIPPDLIDPVPLQRPQATFFTSPHFVHIPRDLQNGNESINFWSSDGGAEKQSPYCETRNFENREISYGLVGFKNLVVYSVNSLSLKQQPKEEAIAFRLSSFRQADKCCRHLFNLFDSPFGLLQIRVVNSVPESSALKEKCLRSAMETTDLNDYTVIKEGEAEILMHNKNKVFFNKAQVNNRDMSIAVLRAFISKRKQEHDAMLSKRARSSGKVVEKDVSAPSENGEDNGKTNGEEHEASSKDGPKEAAKTTYEPVRRELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDPASVEACQRNIKFNGLMSTSKVESHLTDARVHMLTNPKEYDVVDLDPYGAPSVFLDSAVQSVADGGLLMCTATDMAVLCGANGEVCYSKYGSYPLKGKYCHEMALRILLASIESHANRYKRYIVPVLSVQIDFYARVFVRVYTSASAMKNTPLKLSYVYQCIGCDSFHLQSVGRSLPKHNSVRYQAGLGPVVPQDCTHCGKKYSMGGPIWSAPMHDQEWVTSILNGVKSMKDRYPAYDKICSMLTTISEELPDVPLFLSLHNLAATLKCTSPSAAMFRSAVINAKYRVSGTHVNPLGIKTDAPMEIIWDIMRCWVKNHPVKAQSPDHPGSVILSKEPSHQADFSRHVGSLSKAQVKKVARFLPNPEKHWGPKVRAGRQITSKHVSLIGHEAVNSHLNGHKEAGGEEGEEEEKEGNIEDEPDMKRQKITEVIASSS >A03p047050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22598576:22602909:1 gene:A03p047050.1_BraROA transcript:A03p047050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGVSAVNVVFGWLRKQSKKVKIALGVILSLLLVVFLKFTVKNYNHFFIASELIHAAGIIILIYKLTRQKTCSGLSLKSQEVTAVFLAVRLICSINMEGDIHTVLDFATLVSTLWVIYMIRFTLKASYIKSLDTCHNYYVLVPSAILALIIHPKTSYNYIHSVMWAFCVYSESVSVLPQLRLMQNAQIIEPFTAHYVFALGIARFLACAHWLIQVFETRGRYLWLIGAGYFWFPVALVAELIQTFILADFCYYYVKSVMEGQLVLKMPTRTHRKHLLQIQIDVKPKYFPRLVSSL >A03g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15668762:15677816:1 gene:A03g504430.1_BraROA transcript:A03g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSNHFSININNVIHKVEEIKSNSVMTQTQAHLTFSEAQNHFQKPKSESRRSSSPEQPCAVITFSSPPPINTLGNRRKPHALSFSFVSPPPPSAVAAGTSAGDSPATRQLGRVDPMPPRRRTTRAQTARVVRDDVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQETARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQDQQIPAQHDHQDPVQQVPLPQVPLQQDPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKLEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPERLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRFAGVGISEEDLIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAGLAEEQKLTKAVQVKSGKAPESQRRAGDPSGLPICPRCHRSHSGQCMRCLICGKIGHIAKFCRVKPLDTPPVRQIAAPAAPAAAQVCFGCGQPGHFIRDCPRRGNAALPPPPKRLAIAPLRKSPELVAGATVRRDHVQLATPDQHPRPPSCSSRRDEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSPSAGATVHSGHSPPYPTAVRRSRRDRPPSVRRREAAAASPPRRLETDTETDFCMPDCMRLWPTIVDRLSCSLEVSINRPRAVSEHYLELCYVFGLVMSESMDFGVASPIPHWATHLSLTPHFSPFQVRPTSRSDYRTGAIGLLGFYRFYRFLSLSGL >A02p060460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36062935:36064749:-1 gene:A02p060460.1_BraROA transcript:A02p060460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLELPESFVRFLEANDIDPSIYNEGDSLPRYVRLKPGFEDVVQEIESEINCKMEQLNWLPGFYSIPPHVHIARSKAYQQGKVRERESNQSSSILMSKPSLIPSSSLWDGLFQMYGIDAASGAAVSALGISPGDHVLDLCAAPGAKLCMMLDLLGDKGTATGVDVARHRLSACRTMLQKYGLGERSRLFLADGTTFSLPPTTNLPCGSCDEETFKQWTSRRPYKERKQVAKTRNNFVLPLHAHPEIVFYGHNSGVIGLPKKELFRPLDQNDCANRGYDKVLVDAECTHDGSIKHIQKFEQWGWTTLERRVLDAERTDTNLTALQLNLLRNGFRLLKQGGILVYSTCSLTHAQNEDVVDQFLAESSSAELQEIEMAKEWPCRSGRTPKTVRFDPSTSATSGLFVAKIKKMAPEKNVL >A08p043480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24192521:24196395:-1 gene:A08p043480.1_BraROA transcript:A08p043480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLIPHVLLLLLVTHAYTYVSAVHLQPDSMTGTEVDFPGWRRELRGGGGGGSSGGGSGGGGGGRGGGSGGGGGGRGGGGGGGSSGRGGGSGGSGNGRGGSSGRGGGSGGSGDGGSSERGSGGGGSGGNGNGGGSSGGGHITRSGGDCLKHWGLTGTLLSIVFNIPSIDMEYKLFQASYSPGRSFCARCFVAVETQRTLHCISMFMIISYLTLILFASGLDISNTMAEAPAPTPTPGSGHDGVLPLAPKHIVIHNTVENGEVLNVHCKSGDDDLGLIRIPWDKYWGFRFHVNIWKTTKFRCLFTWYGGGSHYFNIFTVARDDTPSGETPVCRECIWEVGKESIGEKTPMCRVDGDGYTRYCFEWDDE >A06p057960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30085984:30086951:-1 gene:A06p057960.1_BraROA transcript:A06p057960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHVSEMFDCSHGHKIKKRRQLQTVEIKVKMDCEGCERKVRRSVEGMKGVSSVSLEPKAHKVTVVGYVDPNKVVSRMAHRTGKKVELWPYVPYDVVAHPYAAGVYDKKAPSGYVRRADDPGVSQLARASSTEVRYTTAFSDENPAACVLM >A05p042490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25689192:25690329:1 gene:A05p042490.1_BraROA transcript:A05p042490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVLENALYCSLKELLMEIRDSGYRITDELMCVLIGSWGKLTGRVEEALKQLETMGVRKMSPNEATLRALVHGVFRCLPKACSYVNLVTFNTFLSGYSAMGDVNKVHQVLEKLLEHGCKPDVITFNIGRSVKLFAEVQENGLSPDVYAYNAVKKAEELIKTMLRIGLKPDNFTYSGLIKSFK >A01p035320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23130261:23130775:1 gene:A01p035320.1_BraROA transcript:A01p035320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFSNKYLDLQSRSPYISRSLTKIGQASTNQVSMVVATKPCSLLFDLYPRIHMKRALKIAATKSRSNYFCWNPYEASLNGCSHQGRNRERKGDKSTQGFTFQTCLKNPIPCIPNPKTSSSVRFSVGG >A08g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2750842:2751721:1 gene:A08g501140.1_BraROA transcript:A08g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLIHDFLHLFQPRFPPPHRHRLCSLSLFLSLIVLQRESSPLWESIARRRRDSPIGEEEHTDHFSIHIGALSQIYCNTVSSRVAPL >A01p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6952438:6952886:-1 gene:A01p014280.1_BraROA transcript:A01p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASVFMVSCILMFFVLIHVKDVEAGLTPMGSLCGQKDIFIGRCGRDGSETCINDFVKKGGDGNRPYSCKCDNFGKKRICRCKVPC >A07p051050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27137549:27139552:1 gene:A07p051050.1_BraROA transcript:A07p051050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSSPSLSLSRCRFAAAYLLPSSRSIFFRSQSSNRRSNLGELIEIDVAASQSQSQSDPLSQKLEDAVHRIMVRRAAPDWLPFLPGASYWVPPRRSQSHGIAKLVEKLANPIGDEESVSVASGRGWPCSDYFIKGVEPESVETEMASGTAASHSEDEEGHVANPQPQRVNSHVEDRSTIESINQI >A10g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17447825:17449193:1 gene:A10g506250.1_BraROA transcript:A10g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIKSQYVSDERHSRELKAGLHPLRYKFAIWYTRRTPGVRNQTSYEDIIKKIVEFSTVEGFWACYCHLAPSSLLPSPADLHFFKNGIRPLWELYSFQSGWCQLQWWKVDHTFLSKVVSSRFWEDLLLALVGDQLDDADNTCGAVLSVRFSEDIISVWNRNASDHQLSHAYVMEYKPHDASLRDNSSYRNTWLRG >A06g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17607899:17609270:-1 gene:A06g506170.1_BraROA transcript:A06g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLFGLKTSSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHVITQAICEGEGKCQCANLVQRQFHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKWRRCNVSKLIFLCYLLFQYVSRENIEKLMATEFDHAHNNGLDLHWSG >A07g508930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25756729:25758046:1 gene:A07g508930.1_BraROA transcript:A07g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAFCIHESLSGIRVSHGVLGDVWVHLKLKRSRHDEVAVPYLSERPNRSDVLKTLCLTSRSDHVGATRSDLSQRHPEVAPEAQSDVLERLAEVAARRLYARIHVFSRAFFHQEGIIFVLRKNHQKPLESHLFESIDQFIIENSVSKLDHPRSNPYIHEFSFPIVKKCFDISQNWFDNLLYYSICLRSLENS >A02g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11724579:11732404:-1 gene:A02g503480.1_BraROA transcript:A02g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGTAPRVVLPRVRLVLTSLPVRAGTASRVAQPAWSSSFLLLDISTCRRRHHSVAVLRITVVVSARAVTARPEAPECFSPSQPSSFNRRLSSAKLSTTGSLQLLNLKFMRAGTAPRVVLPRVRLVLTSLPVRAGTASRVAQPAWSSSFLLLDISTCRRRHHSVAVLRITVVVSARAVTARPEAPECFSPSQPSSFNRRLSSAKLSTTGSLQLLNLKVKPSPCLCPLCDLRLVSSRNYPSWIARSLALPFRFNDYLFASFILGEFQSRLSALPLSGDLNTLSCVSEASVLGIK >A01p058330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33158265:33160186:-1 gene:A01p058330.1_BraROA transcript:A01p058330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) UniProtKB/TrEMBL;Acc:Q9SS69] MALKKLLMISFSLTSLLFSLFYIIPTTTTLFASSKIPTTSLESNQDFNSTLPCFAYLISASKGDVDKLKRLLRSLYHRRNHYLIHLDLEAPEEEHLEMARFVAGEPLFQPEGNVMIVGKPNLVTYRGPTMLATTLHAMALLLRCCRWDWFINLSASDYPLVTQDDLIYAFSELPRDLNFIQHTSRLGWKMNKRGKPIIIDPGLYSLNKSEIWWVIKQRSLPTSFKLFTGSAWTFLSRPFSEYCIIGYDNLPRTLLLYYTNFVSSPEGYFQTLICNSDEFRNTTVNHDLHYIAWDNPPKQHPKTLGTRDYRKMVMSNRPFARKFKGNDPVLNRIDRELLRNKRNRVSKPELGPGSGARRLKSLLLRLMLRRNFVKRQCR >A05p036370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20626699:20627832:-1 gene:A05p036370.1_BraROA transcript:A05p036370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSCNSYVQNHAPLDNHDDDVDIDHHASDHQEESGWTTYLEDFSKQYRNHREDSDHQDKSSCSLLGVSPSLVSDAATDAFSGKSFSVKFSAKLKFGKARTKKICEDDSLEDTASSPVNSPKVSQSEHIQTPPRKHDYYVSSSFVMRNTRGMEDHQIQIQEADGQNMSMRGNLREGNNINDNNMDLRSRGLCVVPISTLANFNGRF >A05p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24622263:24627013:-1 gene:A05p040200.1_BraROA transcript:A05p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIDFNEYKLRCELRGHDDDVRGICVCTDEHIATSSRDRTIRVWSLDSDNKRKYSPSKILLGHTSFVGPLAWISPNEEYPEGRLVSGSMDTSVFVWNLTNGEVVQALKGHKMQVTGVTLDNEDIVSSSVDQTLKRWRNGQLVESWEAHQSPIQAVLRLPSGELVSGSSDTTLKLWKGNTSLRTFAGHADTVRGLAVMPDLGFLSASHDGSIRLWALSGEVLLEMVGHTSIVYSVDAHASGLIVSGSEDRHAKIWKDGVCVQSLEHPGCVWDAKFLENGDIVTACSDGVARVWTVRDGMIADQMEIDAFDSQLSQYKLSRKKVGGMKLDELPGIDSLTLPGTSDGQTKVVREGDNGVAYVWNMKDQRWDKIGEVVDGPEGVADRPIHDGVQYDFVFDVDIGDGEPIRKLPYNRSDNPYDAADKWLLKENLPLAYRQQIVEFILQNSGQKDFNFNPSFRDPFTGANAYVPGQSSHTAATTAKPLYKHIPKRGVLVFDVAQYDGILKKMTEFNNTLRADPVHTDKSLTEVEISRVGAMVKILKDTSHYHATSFADMDISLILKVLQAWPPAMMFPATDLVRMLVLHPHGASLLIKHVEGNNDLLLDLIKKVTEDSALPANLLTTVRVLVNLFKNPSFHYWLQRHHSQILDAFSNCYSSPNKNLQLAYSTLLLNYAVLLIEKKDQEGQAQVLSAALQIAEEDAADVDSKFRSLVAIGSLMLEGLVKKIAIDFEVESIAKSAKASKEAKIVEVGADIDLVIRQP >A06p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12394734:12397403:1 gene:A06p022180.1_BraROA transcript:A06p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLDH [Source:Projected from Arabidopsis thaliana (AT3G47930) UniProtKB/TrEMBL;Acc:A0A178VN92] MLRSLLLRRSSNARSLRTPTSPFPPLRTLCTSGQTLTPPPPPPPPPISSSASEKEFRKYAGYAALALFSGAATYFSFPFPENAKHKKAQIFRYAPLPEDLHTVSNWSGTHEVQTRNFNQPETLADLEALVKEAHEKKNRIRPVGSGLSPNGIGLSRSGMVNLSLMDKVLEVDKEKKRVRVQAGIRVQQLVDAIQEYGLTLQNFASIREQQIGGIIQVGAHGTGARLPPIDEQVIGMKLVTPAKGTIELSKDKDPELFHLARCGLGGLGVVAEVTLQCVERQELVEHTYVSTLEEIKKNHKKLLSTNKHVKYLYIPYTDTVVVVTCNPVSKWSGAPKDKPKYTTDEALKHLRDLYRESIVKYRVQDSSKKTPDRREPDINELSFTELRDKLIALDPLNDVHVGKVNQAEAEFWKKSEGYRVGWSDEILGFDCGGQQWVSETCFPAGTLAKPSMKDLEYIEQLKELIEKEAIPAPSPIEQRWTGRSKSPMSPAFSTAEDDIFSWVGIIMYLPTADPRQRKDITDEFFHYRHLTQAKLWDQYSAYEHWAKIEIPKDKEELEALQERLRKRFPVDAYNKARRELDPNRILSNNMVEKLFPVSKTA >A01p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18547759:18548810:1 gene:A01p028120.1_BraROA transcript:A01p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGWDPGDLRLQRGNRKVLSGSRRVNLHLHDDFSGGWKRKELMDSRRILWRSGKSRKERQGKSNLKGSFPVVLVLSLPCHESFTPSSLSNKVWRLLDKLVSWFGPCSCSGDVVDLADGSFSCG >SC232g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:2601:8779:-1 gene:SC232g500020.1_BraROA transcript:SC232g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEANFGRAGRSDTYLGELVELNRSDTYISELDELSELSDTSLELNKLSDTEEGACLVFGRNGPFSAQGKIHNKFNLGRFYTMLGSKTVTTELPPENPQRSSILWDSNRTIVPLGRYVATELGPKLATERSSRSVASDRARAKARSLRSDRAFVPFGRYVATELSQARSLRSNRAIVPLGRYVATERSSRSRPSDRPARSLRSDQARAKARSLRSDRAIVPLGRYVATELKPKLATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKVPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVYADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIWNKIYFALFSISYFYRCYSRFPYLNGNRQCEFRFPQFGARRRGIRINLTRKSHTESDMSTNDADNVQTPVNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNFESLPLPAKDSEDNESEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEGEEVAYWNEQEELAERQTELTRNTPRPTAKSWEQDWPRSYLLKSFRNAPHKDEVKSSVNANASDVEARHKSKAHTTTQPEHPENSNYIRDDNSTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRFTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A01p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9661124:9663248:1 gene:A01p019710.1_BraROA transcript:A01p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLWGRVAGMFRSKSFIGADMTGNKYFSRTEQIDGLGEYCSSNLTVFVKEKRWVVFRREEDPTSIPVEWICWLNGQRKRAPTPEEMAELDARRERVKLNVALLKKEEEEKKAREGTGRKITSIGKAEGPELTSFVRHFPADSKGDKPDEASKEADQSRVKEHEPEIVTAEPPEPKTTEPSGSGSSFRPGTWQPPS >A09g515760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46487857:46488342:1 gene:A09g515760.1_BraROA transcript:A09g515760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFVEIEIIVYKEIVGDPVFGIYDDEGQIQDEGNDFAVKDILMEVNLELHNSRVGNHGASWAFVKTGPIKATRWGINWRPPGFKEEHMYFNIRLLALYPRWNKTIFSSQGKVEEVPGMDRFRDS >A03p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17453823:17455426:-1 gene:A03p041790.1_BraROA transcript:A03p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANATNAATIFASALKPLSSKSSLLYSSTISPLQRRYPTRKLDLQVIKAVATTLAPLEEIKEYKLPSWAMFEMGKAPVYWKTMNGLPPTAGEKLKFFYNPAATELTPNEDYGVAFNGGFNQPIMCGGEPRAMLKKDRGKADSPIYTMQICIPKHAVNLIFSFTNGVDWDGPYRFQFQVPKRWQNKPIEFFNEGLANELSQDGACERAIFPDSNIVATWCTMIANLTVEGGDRCNLDLVPGCMDTNSEHFNPLANVDDGSCPLELSDSDE >A05p042580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:25748339:25748599:-1 gene:A05p042580.1_BraROA transcript:A05p042580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMRVLRRFLLNYREKNKIDKHMYHEMYMKVKGGVFKNKRVLMESIHKYTVEKAREKTLSDQFETKRVKNKASRERKLARRGRNV >A09g516040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47552750:47555602:-1 gene:A09g516040.1_BraROA transcript:A09g516040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLESLLTKSSGLPRSRLDFQEVVWTSRKSSGLLGSRFSDLEDFWSSGSHLEVVWKSSDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSFGEVKILKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20121786:20124106:1 gene:A04p034830.1_BraROA transcript:A04p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNITRLCSSKPTVTVNGRYPGPTIYAREDDTLLIKVVNHVKYNVSIHWHGVRQVRTGWADGPAYITQCPIQPGQVYTYNYTLTGQRGTLWWHAHILWLRATVYGAIVILPKRGVPYPFPKPDHEKVIVLGEWWKSDTENVINEALKSGLAPNVSDAHMINGRPGLAKNCPSDQGYKLSVKNGKTYLLRIVNAALNEELFFKVSGHLFTVVEVDAVYVKPFKIDTIVIAPGQTTNVLLTASKSTGKYLVTASPFMDSPIAVDNVTATATVHYSGTLSSSPTILTLPPPQNATSVATNFTNSLRSLNSKKYPALVPTTIDHHLFFTVGLGLNPCPTCKAGNGSRVVASINNVTFVMPKIALLPAHYFNISGVFTPDFPKNPPHVFNYSGGSVTNMATETGTRLYKLPYNATVQLVLQDTGVIAPENHPIHLHGYNFFEVGRGLGNFNPKKDPNNFNLVDPVERNTIGVPSGGWVVIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPKDFPKC >A02g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14456955:14457407:-1 gene:A02g504350.1_BraROA transcript:A02g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKRFHLISDLKPFKEVWHVQVKLIHSWIQNPHYADETLEIVLADQTKNTPARSDGSRSSYHYMESHGSFKLR >A01p041820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22525011:22526382:-1 gene:A01p041820.1_BraROA transcript:A01p041820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHRIFIAFVTLFCCFCLPHLIEAKRYGVYRQQVFVDQSGNGNFTKIQKAIDSVPANNRNWFFINVAAGLYKEKIKIPYDKPFIVIVGAGKRKTRVEWDDHYSVAQSPTFATIADNTVIKSLTFVNTYNFPNNGKVNKNPRTPAVAALIDGDKCAFYSVGFAGIQDTLWDSDGRHLFHRCTIQGAVDFIFGNGQSIYKKCVIQVLGATLEPGLAGYITAQGRTNPYDANGFVFMDSLVHGTGMAYLGRPWRSYARVIFYNTNLTNVVVPEGWDAWNLVGHESQLTYAEVGCFGSGSSTTRRVSWVKKLNGPMVESLSSLNFINNGGWVQDLPIRI >A06g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2804021:2804380:1 gene:A06g500650.1_BraROA transcript:A06g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIWRVRETGSEVADTTRPPPPLAAVHGEERETRPREREGGAAKREREREERRGEEREGGERRRGEERKSRRLGLLISGDSPQGFASKFLMESKGGGKGLLL >A01g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13570184:13571064:-1 gene:A01g504450.1_BraROA transcript:A01g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANAEMVAVNAEMEAAISKMEAAKAEIEAAISKMEAAKVEMEAANASMEGNTLLMRTFINMLKIIIWIVVPFSDCVVPSVLLENMTLCRVNNFPRPTDPMDPLKSDNQISDDYIIDDIQKMIDVKTPPVNVMIPTSDGDFEEIVSKLKKHGHTFLLAYNDDRNAEGDLPSQVLVSLADQSWGWRTFLGLGKSSTDANVQILCSDHVTACGTLMSLRMITCVTAVSGSKSSACSYVILNR >A10p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19774554:19776020:-1 gene:A10p033950.1_BraROA transcript:A10p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH61 [Source:Projected from Arabidopsis thaliana (AT5G10570) UniProtKB/Swiss-Prot;Acc:Q9LXA9] MDMEWTQISKQESNNHDDNYGDFFPSGRNLEYLCFNNPLQEDVNNIDHTFSSLMDLISQPPPLLHQPPQPSSSPTPFFSSSFDYPLPETLQDVTESPYYPPLGLSASQEGNNNDNSSPLVEESKSFMSTGETNKKMRNKKVVGQPSKNLMAERRRRKRLNDRLSMLRSIMDRTSILGDAIEYMKELLDKINKLQELGSNSDLKDSLTTNQSMVRNSPKFEVDRREDNTHIDICCSTQPGLLLSTVGTLEINLGLEIQQCVISCFSDFSLQATCSEVAGQRECITSEDIKQALLKNAGYGGRCS >A06g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:740426:741468:-1 gene:A06g500130.1_BraROA transcript:A06g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRDKREKELRLCNIQRNDDAVTSQTPLLLLILAMPYVRLHHIINQLKVHCRIMECMRDYKSKEETVKHLLDQYRITHESTNTFWDRLDKDFKITSVYLTMKLLLHLFLLLRIIYEQAVTRSVKAEHQDAFQILLPSDVGKSEDISGGRVVIKISYFGFHVPTFMTSVMRHAV >A05p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10300550:10301921:1 gene:A05p021650.1_BraROA transcript:A05p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSSQKTWIVHGILAGTAIAAAIGARAYLGRSKKFRSRVVGIIPARYASSRFEGKPLVQILGKPMIQRTWERSKLASTLDHVVVATDDERIADCCRGFGADVIITSESCRNGTERCNEALEKLEKEYDVVVNIQGDEPLIEPEIIDGVVKALQVSPDAVFSTAVTSLKPEDGLDPNRVKCVVDNRGYAIYFSRGLIPFNKSGGVNPEFPYMLHLGIQSFDSKFLNVYSELQPTPLQVEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESLMRERNLS >A03g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16691647:16694328:-1 gene:A03g504680.1_BraROA transcript:A03g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNGSRLSYFFIGESVTPEVFLHKSDFQALAVTGVGLEVRRNVSGEITITRLVPSNYNSNLESVKTEPGETDSSQRNFVFSMTSKRAYKQDILIFSQKVSSFPKEFVAHSAAVNCLKIVRKSSRVLVTGGEDHKVNLGPTPSCVYLICFVARDSVTFHASEVLVAAGAASQNNRSRKRKELDKKIKGCGGMARKICFLRLNVKGWSFFKDIDKEVYKDLADVEVKFGELEAELSETNVVNDKLQCSYNELVEYKLLLDKVLREALSAKRYSLSTTQNTVATLQLAHTKIFLSAGAIDPTKYASAIAKASLMSKCVVVDIKEPDSEASAAFRARDGGQKDLHDFQCEDEPRPALNPHIQDIQLVHLKIGDHMVVFQAIEG >A09g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22427258:22427647:-1 gene:A09g507830.1_BraROA transcript:A09g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRGFDVSRSNTHFKLCDSVVSIRLNEFTKMVEVAAIAYPIPTEMFRFRTLDELMALANTNIHLPNIIGEVSDIRTTYNDHAHYHCSFYPFLRPLRHVSQ >A05p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6488234:6489738:-1 gene:A05p014770.1_BraROA transcript:A05p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKSKLDGEAISLMEVSPSSSSSSLGVLTRAKSLALQRKPSSSFSLPKTTDCGGSYLQLRSRRLQKKPPIVVIKRRKQQLRRKESCGRNSKSDSVAESDGKEKVLSDEINNNKGSSSESLNRSTRESTPCTLNRDAEINTSLGSSTKLNNGISDQREETLSGSHRPTTPDMDRFFSGAEEEQQKQFIDKYNFDPVNELPLPGRFEWEKVDN >A01p044600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24266665:24268152:-1 gene:A01p044600.1_BraROA transcript:A01p044600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G21790) UniProtKB/TrEMBL;Acc:A0A178VG15] MNFELVFIPYPGMSHLRSTVEMAKLLVDQENRLTISVLILPFLSGNAAAASPYVEALSAASSDRLRYEVISTGGDQTTSEPEATTLDMHIENHIPNVRRAVAKLLDHYSTLPNSPKIAGFVLDMFCTSLIDVANEFHVPSYLFYTSNAGVLALGFHVQHLYDNNNYHVRESDFEDSEAELVIPGLTRPYPVKCLPHGLASELWLPIYVNHSRRFKEMKGILVNTVAELEPYVLEYLWSGGDTNIIIPQAYSVGPLLHLEHQVDETQVKILQWLDEQPARSVVFLCFGSMGGFSEDQVQELAVALERSGHTFLWSLRRSSPNILNKHPEEFKNLEKVLPKGFLERTQERGKVVGWSPQVAILANQAIGGFVTHCGWNSLLESLWFGVPTAAWPLYAEQKFNAFEMVEELGLAVQIKRYWRGDHLGGVAAVDMVKAEEIERAVRCLMEQDSEVRKRVKEMSKKCHAALMDGGSSRIAMQKFIQDVVKNIALPCSQII >A09p024110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13348676:13351266:-1 gene:A09p024110.1_BraROA transcript:A09p024110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVKTKTRFIKCVIEVGIFEYESKTYGVEIKERKKYKVGSYFVGQYYQVLQQQPDLIHQFYSDTSKAIRVDGDSSETADSLLNIHNMVMSLNFTAIEVKTINSVESWEGGVLVAVSGSVKTKEFSNRRSFMQTFFLAPQEKGYFVLNDIFQFINDGTLSYHQPSYLSETKGDEAQLNPPNSHPEEPQVPDYVLEQEARDYVDAVQIKDDPVDKYSLQEDQHHEDYEDEVEEVEETPREEVVVDVVHESRAAPPEEPPVGEKSKMSYASILRVAKEAAAAPVVSTQPSRNRNSQEINEWDQPLMTPSPQVAAPLAPVQQPYATDYGAEAEDGFGFEDFEMKSVYVRNLPSDISASEIEEEFKNFGTIKPDGVFLRTRKDVVGVCYAFVEFEDMTSVENAIKASPIYLGGRHVYIEGRRPNPAGVRGARRGGRGRGGYPTEAPRGRFGSSRGNRDGGGDYRARGNGYNRVGR >A09p005040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2780487:2785354:1 gene:A09p005040.1_BraROA transcript:A09p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPAEELLKKIRELEESQEHLKREMSRLKVSAAEVKQQRSHSMSPQRRRNSGGEGAGAPRWRKSGTASFRHASPLRKESRGGGGEEEEGRSGGGGKFTEKQYLNILQSMAQAVHVFDLNGQIIFWNAMAEKLYGFSAAEALGKDPIDILVDNQDAAVAQNITRRCGCGESWTGEFPVKNKAGDRFSVVTTMSPFYDDDGSLIGIICITNDAALFQDPRVSQAKTRGHEEGTSFSRVASKLGLDSKEAVASKLGLDSQQPIQVAIKSKISDLMQAGDNSAAHLEGGSGDSHHSDQGFFEAALFERKEDAGASTSTARGDFIQSPFALQRSSDENEIPKTLASKAEEWMAKKGLSWPWKGNEQEGLEGRRGHSVWPWVQNEPQKEQGHQSNKAASNEAMGGSWSFPVNVNSTSSASSCGSTSSSVMNKVDTDSDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSEEIITSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTSKLDWRRRIHMASDIARGMNYLHHCSPPIIHRDLKSSNLLVDRNWTVKVADFGLSRIKHETYLTTKTGRGTPQWMAPEVLRNEAADEKSDVYSFGVVLWELVTEKIPWENLNAMQVIGAVGFMNQRLEVPKDVDPQWISLMESCWHSEPQCRPTFQQVMEKLRELQRKYTMQFQATRAAPIDNSSLKEK >A04p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20997215:20999327:-1 gene:A04p036540.1_BraROA transcript:A04p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKSSRKGKKAWRANISTEDIEDFFEKTTKDALSGGNLSAAPSDDLFRVEKSHDVPVKRKIEKSREKVLRCDSVLKKNPFVQVVSSSKPKSKISKKKKTNAVESKALKQAQSSVDDDSVMMDLWGDDNKGEDEGNPRKIWKMTSTIPAVEVDPAGCSYNPTAESHEDMLAEAVAQEMQKVYKTELGPEPVPLTIDGNNNIEDEAGLLSVCNRIINGKSAYFLGVDNGSEGEEDADAANEESEAGNKTSRTTKRVTRVVLNKRARQKALRKEETKEKHKEKLSKEIDSLPKIIKEIAEEDKEKLNKKIRQTIAKEEVLKIRPPRLGKHKYEAPPVQVLLTEEMTGSLRKLKACCTLARDRFKSLEKRGILVPSKTIRRN >A04p016920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10405792:10407966:-1 gene:A04p016920.1_BraROA transcript:A04p016920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEIFLMVEVMLVKWKINHVVDGEGKDLSLSGDKRVGGGAPMWSRHRRSDRGGGFGISGEFLSVGSLVGDIALPSEDKVSDSESMCETHFCDFPCTLEEERRILMELMREAEDQLKEENSYFVILNMLDLSIRSSILTSCGDKGVYESCGDSWKSFLQSSDSMKKVGCFREGVCIGWKLKNMMVRNCLQPYVHTKSYPVHQSPTHSSQIHNSHTSNGGILPSSTMAIVKSSALGFPFAISQLRWGNAFIMGDDILHIVATCVDP >A09p058680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49346937:49348138:-1 gene:A09p058680.1_BraROA transcript:A09p058680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESQEEEEEEQQPAATMVLKTELCRFSGHKIYPGRGIRFIRSDSQVFLFINSKCKHYFHNKLKPSKLAWTTMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALRDIKERIKKTKDEKKAKKAEFASKQQKIQAKIPKAAAKGGPKLGGGGGKR >A07g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6887397:6888307:-1 gene:A07g503520.1_BraROA transcript:A07g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFRSSKNSFYYIVGFYSQLFVVHLRWRWRDRADSGKQETWWPVDGFRLLLDAKVIITPATVNVNRLTTFRDRLKAGSIFSATGFDVTWCNITSDSHDSPLAIRFGDSTALDELTELKTPIPEERLRFRDHRELLGLANTNTYLPGKSSYYRFLLLISLTSALFRNYIKSCCKHIEGSCQRNYLAFPRVLFHIKIMSDYILIQLAVIIEREHMFKL >A09p018870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9958845:9964224:-1 gene:A09p018870.1_BraROA transcript:A09p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLILHGRKSLELQRCRNLLFAVNPLQYSSAFSSAADASLQDGRKGHNFTASYLVASLGFTTKLAESISKKVSFEDNVNPQSVLSLLRSHGFRDSHISSIITNYPKLLTLDAESSLAPKLKFLKSRGASTSELTEILSKVPKILRIKKDKTLSRYYDFVKEIVQADKSSSSEFEVSLPLPHGPSKIRNVFALRQLGMPQDLLFPLLISQSGAVNGKVRFEESLKKVLEMGFDPTTSRFVQALRMLYQMSEKTIQEKVNVYKRLGLSGEDVWEMFKKWPTFMTNSEKKITQTFETFSKCGLVEEEILSAFKKFPQCIGASEHNCVDTFLGLGFSKDDVAVIFKRLPLCVSYSTEMVKRKTEFVVKEMNWPLQAVVSFPGVLGLSMEKRVVPRCNVIKALMSKGLIGSDEPPPVGSALACTDELFLRRYVRKHDDDEELTITFDWFLIRFKSCFAQILVNCICLMSSLILHGRRFFELQKWRHFRFAVNIVLQNESPFSSASSTLQDGRKGHNFTVSSYLVDSLGFTPKLAESISRKVSFNEKVNPDSVLSLLRVHRFTDSQISTIITDYPQVLIADAERSLAPKLQFLKSRGASTSELTEVLSKVPKILGSKKDKTLSRYYDFVKEIVQADKSSKFKKLSPSSSLLSQGSKQENKIRNIIVLRELGMPQKLLFSLLTSHVQFVCGKDKFEESLKKVLEMGFDPTTTTLSFVGALRMLYALSDKTIKARVEVYERLGFSVEDVWAIFKEWPIFLGYAEKNIADSIETFLELGFSRDEFVMTVKRHPQCLGLSAELVKKKTEFLVKEMGWPIKAVVSNPSVLGNSMEKRMVPRCNVIKALMSKGLLGSELPSIHSVLVCIDQVFLNKYVMKHDDEQLVAELMGIFSRGPAFGLCQGGKLVSGKDKFEESLKKVLEMGFDSTTLSFLEALRVVYAFSDRTIEGRIEVYKRLGFSVEEVWALFKKRPNFLKYILGQEYS >A07p050350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26837767:26838516:1 gene:A07p050350.1_BraROA transcript:A07p050350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPINRTTSLSEIKFDLNLPSESEVPSTHQSPNQNTVVGPSGSNGQHVAAAVDPRFLAMVSPRGNLRRHSGEFSDAGHFLRSCSLCERLLVPGRDIYMYRGDTAFCSSECREQQMTQDEGKEKVKPTKESAVPARAKPGRGRAAAAV >A05p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28890097:28893114:1 gene:A05p049740.1_BraROA transcript:A05p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGASGCCVAGDTSRRCGVERFHDNLGHSFSYVRPVLDGSRPSFTREPSLQPDPIPGTTTTFRSISGASVSANNSTSLSDSLSTDSSLKASGFESSNKFASFPLKPVPRGPTKKPSHVSGPFERRFLSGPIETGLVKKKKKTKSFSKPKPNKRLATFKTIFTKLISNNQSRSKKSLIEPINSFNSSQGASTLDQHGPEINNSSTSTTLSSQESPRTKEREDSEEEDEEEEEEEKSECSLEEPKIQWAEGKAGEDRVHVILSEENGWLFVGIYDGFNGPDPPDYLLNNLYTAVLKELKGLLWDDDDNSDDLGSCSQDGQSRIKKQSTLEGGTVACDTRNIVACDSRNIVACDSRNIAEDVKKLQWRYASKQNCIESDSEMINHKDVLKALEQALMKTEEAFDQTVDENPVLALMGSCVLVTLMKGEDVYVMSVGDSRAVLAQRSYLGRKKVANDLQRIKEEGPLKTCLVREKRVSLLVPVQLNMEHSTNVQEEVRRIRNEHSDDPLAIENGRVLPQGMDFHELLEIPQGDRRKYHDDVSVIVISLEGRIWKSSM >A03p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2675292:2680841:-1 gene:A03p006320.1_BraROA transcript:A03p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlH, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G13630) UniProtKB/Swiss-Prot;Acc:Q9FNB0] MASLMYSPFTLSTSKAEHLSSLSNTTSKHSFLRRKSFSKPTNSLFKVKSAVSGNGLFTQTNPEVRRIVPVKRDNVPTVKIVYVVLEAQYQSSLSEAVQQLNKTSRFASYEVVGYLVEELRDKNTYKSFCKDLEDANIFIGSLIFVEELALKVKEAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKGAGSAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFVKMISGSYIPALKGVKIEYSDPVLFLDTGIWHPLAPTMYDDVKEYLNWYDTRRDTNASLKRKDATVIGLVLQRSHIVTGDDSHYVAVIMELEARGAKVIPIFAGGLDFSGPVERYFVDPVTKQPIINSAVSLTGFALVGGPARQDHPRAIEALKTLDVPYLVGVPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCIRAIRWGELKRKTKAEKRVAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLKRDGYNVEGLPETAETLIEEILHDKEAQFSSPNLNVAYKMGVREYQSLTPYAAALEENWGKPPGNLNSDGENLLVFGKTYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSYVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVDLPDEGTDLSVKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRAEEEISSLPSILAECVGRQIEDVYRGSDKGILSDVELLKQITDASRGAVSAFVEKTTNDKGQVVNVSDKLTSILGFGINEPWVEYLSNTKFYRANRDKLRTVFAFLGECLKLVVMDNELGSLMQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMASAKIVVDRLVERQRLENEGKYPETIALVLWGTDNIKTYGESLGQVLWMIGARPVADGLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAIKMVAELDEPVEMNYVRKHAMEQAATLGVDIREAATRVFSNASGSYSSNISLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGAGMAEKKQVFEMALMTAEVTFQNLDSSEISLTDVSHYFDSDPTNLVQSLRKDKKKPSAYIADTTTANAQVRSLSETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIKDEEMLNRLMNTNPNSFRKMIQTFLEANGRGYWETSEDNIEKLKDLYSQVEDKIEGIDR >A02p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1199640:1206799:-1 gene:A02p002840.1_BraROA transcript:A02p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYKKPPDHLLEISERLYVFDCCFSSDVMGEDEYKLYLGGIVAQLQDHFPDASFMVFNFREGEQRSQISDVLSQYDMTVMDYPRQYETCPLLPLEMIHHFLKSSESWLSLEGQQNVLLMHCEKGGWPVLAFMLSGLLLYRKQYQGEQKTLEMVHKQAPKELLHILSPLNPQPSQLRYLQYISRRNLTSDWPPSDTPLLLDCLILRDLPHFEGLKGCRPIIRVYGQDPKSKANRSSVVLFSTPKTNKHTRLYQQEECILVKLDIQCRVQGDVVLECIHLHDDLVREEMVFRIMFHTAFVRGNILIVERDEMDILWDAKDQFPKEFKAEVLFSGADTVVPAIATAPVSDDDDENDFDMASPEEFYEVEEIFSDAIEGHDLKREDSDSFVVVDSASDDSEGKEVWKGDVEPNAFLDCASDDSNHKHEASADPVKDITVDDVQYRSDGKADTNDSVKDIGIDDSDEQRKRTVEAKENDSRTEESQQNGDGEESTTQKANTNLNISVSDKTKAAPRKVVGANAKPAGDSVKPKSKQQETQGGGNVRMAKPNAVSRWIPSNKGSYKDSMHVAYPPTRTNSAPASITTSLKDGKRATSPDGVVTKDAKTKYLRATVSSSDIMRSRTPIWLSPDSSPKDKPPSLPVSPHHAPPPPQHPPATPALPSLASDATSVSQAVASPPPPPPPPPPPLPSYSSHRQNDSYSQTSHIPEPPPLPSERLNSGTTLPPPPPPPPSAPPPPPPFASEKPNNRTVLQPSLPWESVYTSTLATSTACSTSQPPPPPPPPPWKPGYASILETHEEGSTSYNFPPPPPPPPPPPPFSSSNTTKSSGGHIPTPPPLPYMSIAPSPSPKTSLINGFSAAPPPPPPPPPPPPFSKAHSVPIPPPPPPSYGSPTAPPFGHVSSVPPPPQPPSHGSPPPPPPPPPPPPFGHVGSIHQPPSHGAPPPPPPPPPPPFGHVGSIPQPPSHGAAPPPPPPPPPPPPFGSSGPPPPPPPPFGKTSPPPPPPPPFGSSRPPPPPPPPFGSNGPPPPPPPPFRSGGPPPPPPPPFGSTGPPPPPPPPFRSGGPPPPPPPPFGTSGPPPPPPPPFRSGGPPPPPPPPGGAPPPPPPPMRGGAPPPPPPPMGGGAPPPPPPPMRGGAPPPPPPPGGRAPGPPPPPPPGGRAPGPPPPPGPRPPGGPPPPPGPRPPGAPPDLKGAGRGRGLARPGLGSSAPKKSSLKPLHWVKVTRALQGSLWDELQRQGQTAPEFDVSEIETLFSAIVPKPVDKAGGRRKSVGAKPEKIQLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDIDQIENLIKFCPTKEEMELLKNYTGDKATLGKCEQYFLELMKVPRVESKMRVFSFKIQFGTQIKEFKKSLNAVNSACDEVRTSQKLKEIMKKILYLGNTLNQGTARGAAVGFKLDSLLKLSDTRAANSKMTLMHYLCKVLASKGSDLLDFHKDLGSLESASKIQLKSLAEEMQAIIKGLEKLNQELTASESDGPVSEVFRKTLKDFISVATTEVATVSSLYSVVGNNADALAYYFGEDPKRCPFEQVTATLLNFIRLFKKAHEENIKQEELEKKKAAKEAEMEKAKGVSLTKKPVDDS >A05p039990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24455524:24457894:-1 gene:A05p039990.1_BraROA transcript:A05p039990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSESDLVTRLREILSSSDLETTTPATVRRQLEADFGVELTDKKAFIRDQIDAFLESNGGVGENPVSPKAEEDAEEEDDGDEEKEERPVKAKKRGGGGFAKVCQLSPQLEKFIGSSQLARTEVVKKMWVYIKEKDLQDPKDRRKILCDESLHSLFHVKAINMFQMNKALAKHIWPLGDGGDGCVTSVKEEEDEGDASGERDEKGEKAEEREEDNEEESEEEVRNLRKRKRKKPAKSEQKPKRKGGGGFAKVCSLSPELQAFTGMTKLARTEVVKMLWKYIKENNLQDPKDGRTIICDESLRSLFPLESINMFQMNKHLSKHIWPLEDNAGESGGSSSPKNGKLKMETEDGDTESDELNENDEKPKKEGYGLLAPLPLSDALIKFLGDGESSFSRADVVKRLWEYIEQNDLQDPSDKKRIICDEKLKELFEVDSFEDISVSKLLTSHYIKIEQ >A03p062830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27257453:27261110:1 gene:A03p062830.1_BraROA transcript:A03p062830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHRAIILVTLLALIKTAVSQLQSIEQCREVFDSFMPCMGFVEGIFEQPSPQCCRGVSHLNNVVKFKTPGSRKNEQGTGQLERVCECIEMMGKSDHLPFLASAINNLPPLCSLSLSFPISVGMDCSQFRNMKELDAEKAGICGAAPDMGRGKSKMWKNITHGFHFIKGKSNHPMEDYVVSEFKKVDGHELGLFAIFDGHLGHDVAKYLQTNLFDNILQEKEFWSDTESAIRSAYRSTDAVILQQSLKLGKGGSTAVTGILIDGQKLVVANVGDSRAVMSKNGVAHQLSVDHEPSKERKDIEKRGGFVSNIPGDVPRVDGQLAVARAFGDKSLKIHLSSEPDITHQAIDEQTEFIVFASDGIWKASLVTLVMSNQEAVDVIKSVKDPQAAAKHLIEVAISKKSKDDISCIVVKFH >A02p047010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29413116:29415128:1 gene:A02p047010.1_BraROA transcript:A02p047010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGGVDFMQYASEMMMTSSSSAVEALNLKEASSWWSDVNESPIWQDRIFHALAVLYGIVSIVAVIQLVRIQLRVPEYGWTTQKVFHFLNFVVNGVRAVVFVFRRDVQFMHPEILQHILLDIPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPGFFTINAVVYVVQIALWLVLWWKPVRIMVILSKMFFAGASLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCIMMCFAAFEEGANLDVLDHPILNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHQIR >A01g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6329953:6331286:-1 gene:A01g501730.1_BraROA transcript:A01g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYPAASAAVPYSTFTLSALEGPLSPLLVGSSDSRISGTLTRMESLWASPFSSLMNWGATRHDASSFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVDNIYTELIT >A06p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13852623:13853560:-1 gene:A06p026530.1_BraROA transcript:A06p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAILFMFKPNLVEKVQSFWIFPLPYVFKRLYEGVGYIPSRAVPSPANQGNLITPCTPTNSSKCYKSMDCGTGQASTADKSGVRKRPRTDFQFPTNISNFLDRLEEDLLPDTFQCEMIGDTSEEDANPSAYDSDYADRFDENFQADLDCSSKENTDSDSDPEIEVLDSTVTTQPDNALNRGRIKSLAFLFEEAFSATEKPAKKSLPKEDGNKPLNH >A06g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19270171:19272242:-1 gene:A06g506980.1_BraROA transcript:A06g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQARMDLLLVSFPKGLTFLCLISDVGLNLLSHILPLKLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITDLRQPGALIPIVGIAKSRIQLYLILLTFLCLISDVGLNLLSHILPLKLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITDLRQPGALIPIVGIAKSRIQLYLILLVRYCPLWALEAGPHGFTFGFLPKRPRTIRTFLCLISDVGLNLLSHILPLKLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITGSMIILTVSAEPPLFLLEGYFGLLADLRQPGALIPIVGIAKSRVQLYLILLLRITSISSVSFGESSLAPPCTGNRMASFENVSPTTSRSFEIVITDLRQPGSDTNCWDCEIPYPTLSYLISTILSTLGLGGRPAWIYFWFPSQKASIEMP >A05p025860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13978072:13980147:1 gene:A05p025860.1_BraROA transcript:A05p025860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKTVAELVPCKEICHIYVQIIHLWKNYIAADRYTIELVLCDAFGDKIHASISSSLVAPYEQRLKQGLWTIFEKISVIQSGGAYRTSKHAYLIEFVCNTSVCVCDFLPRALTGFEPVAFRDIFDGLVNTKYLVDVIGQIVGVSHLEIVSLNGKDTEKITLELQNQLSDRLTVHLLGKYAIIVHDATQNVIHEKSIICVIRFGKIGLFKDSVSTAYGFTDVSLNPGMVEVEVFLNLVDKRDSQIALVASKPYCPIPELYGKFRFFKPIIQKSIIEVLETKQVKEMLYLTLFTDSTITLCLLDIQRERYIVMCTIAAIDYDMGWYYMSCKICWKRVLLVPSDHMVYGIQKSQIKKKYYCAKCNNYRPQLLPRYNLQLVVLDNTGHAKFLLLDNLAEELFGTSCGGYTIEDPVVVHSVLSKLIGGTYFCKIVIEEQNFLFNCQTFKVLEIIPTYEF >A09p028220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16999471:17001820:1 gene:A09p028220.1_BraROA transcript:A09p028220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKTLSYRSPKIVFDLIPFLKSCFLGFLPSFPDFDFNRNSHFPPAIVRLIHHPGRLIKMEVITHPTPRTVDEVFTDFRGRRAGLIKALTTDMVKFYQTCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWVSLVAVHSDSWLLSVAFYFGARFGFGKNERKKLFQMINELPTIFEIVTGNAKQSKDLSGNNNTSSKSKSNGLKVPKMSSPPPKEEDESEDEDEDDEQGAVCGACGDSDGTDEFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPSCSNKRARP >A08g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6333227:6333667:1 gene:A08g503490.1_BraROA transcript:A08g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIGENVGLNRIYIMAGRKKRGQKNQSNQKKGTTTRARKNIAHVVKEHVEEFSDGNSSDDLSAPSELNKVSLVVY >A09p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12787120:12787841:-1 gene:A09p023320.1_BraROA transcript:A09p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCP2 [Source:Projected from Arabidopsis thaliana (AT5G42890) UniProtKB/TrEMBL;Acc:A0A178UHV6] MATTQLKSDAIMDLMKQHLSTDAGKELTEKIGLVYQINVAPKKLGFEEVTYIVDLKKGEVTKGKYEGGKVDATFSFKDDDFVKVATGKMNPQIAFIRGAMKIKGSLSAAQKFTPDIFPKPSKL >A04p038100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21713674:21715186:-1 gene:A04p038100.1_BraROA transcript:A04p038100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPWEELLGSKNWDTLLDPLDQSLRQLILRCGDFCQATYDSFVNDQNSKYCGASRYGKSSFFDKVMLENASDYQVTSFLYATARVSLPEGLLLRSQSRDSWDRESNWFGYIAVTSDERSKSLGRREIYVVLRGTSRNYEWINVLGARPTSADPLLTGPEQNGSGSKVVETLSTHGSDSEDEEGCKVMLGWLTIYTSDHAESKFTKMSLRLQLLTKINELLLKYEDEKPSIVLTGHSLGATEAVLAAYDIAENASGDDVPVTGIVFGCPQVGNKEFKDEVTRHKNLKILHVRNTIDLLTRYPGGLLGYVDIGTNFVIDTKKSPYLKDSRNPGDWHNLQAMLHVVAGWNGKKGDFKLMVKRSIALVNKSCEFLKDECLVPGSWWVEKNKGMIKDENGEWVIAPVEEEPEPEF >A10g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13494636:13497454:-1 gene:A10g505380.1_BraROA transcript:A10g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKSFERFWICRFFRSGFDMQVFQIWKTSGTTYLLVEVVLTSWKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPLSHLEVVWKSSGLLGNLLTKSSGLPGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKILLTKSSELPESRLNFLKVTTYNSVVHETTEIRLSRLQPDDFQVSRLQPDDFQMMRVRE >A06p054630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28623041:28625424:-1 gene:A06p054630.1_BraROA transcript:A06p054630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK2 [Source:Projected from Arabidopsis thaliana (AT5G46570) UniProtKB/Swiss-Prot;Acc:Q9LS26] MGCLHSKTANLPSSDDPSAPTKPESVNGDQVEQENQNFKEFDLTELRKATNGFSPSCIVSEGGEKAPNVVYRGKLEGNHLVAIKRFSRQSWPDAQQFVVEATGVGKLRNKRLVSLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRVRIADYIAQALDHCYNENRKIYHDLNAYRILFDEEGDPRLSTFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIFSYGTILLDLLSGKHIPPTHALDIIRGKNALLLMDSSLEGQYESDDATKLVELASKCLQSEAKERPDTKFLLSAVAPLQKKEEVASHVLMGLPKNAVILPTMLSPLGKACSKMDLAAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNMKKFGDIAFRDKDFKNSIEYYSKLVGIMPVPSATVFARRAFSYLMTDQQELALRDAMQAQVCIPEWPTAFYLQALALSKLGMESDAQDMLSDGAAYDAKRQNSWRC >A07g500690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1368102:1368386:-1 gene:A07g500690.1_BraROA transcript:A07g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNYSRVESSIIAQMINLETSNFAKFYFPAEVQTKNRRPARHDDRGERATYHVTVPDIFTDVGRLSGKPKDRRLTEQDRSHLQTYLLTKLPLF >A09p052200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45789659:45791158:1 gene:A09p052200.1_BraROA transcript:A09p052200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDLPQASSFKGGSETFLRDVLESILKTYLRKNPMANTVWELVQSVDNEKVSYDHFFFRTFKVDGYGIDSLSSFFMDYGYTIGGRLDFPKKHIHVLWLSPPEIHIPGDGHHLGNGPLPRIVIAELLVDELSPESQEIIRKYLKPEGGKQAILSSTLGSLIWEKPTSNDFNQLAKESEYGAWALFHGYTLNHLAFAVHRLKHRFSDIKCVEEYFKEKGFKLNEDGGDVLKVSEDGLLLQVSLMSEKHEVEFADRVTETITASYIEFVQRLVLPEFKDVPGDEIKEFHRREGLEQASAYHIMESTRFTAQD >A04p040010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:22616643:22618835:1 gene:A04p040010.1_BraROA transcript:A04p040010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPSPPVHNRFQTLPVILTGSLALTGALLVLLTILLYRKLSRNRTAPSDASPQHYQCRRFSYSQLRRATNSFSDSSQLGHGGFGTVYKADLPNGTSLAVKVMDSSAGALQGEREFHNELSLSSHLTASPHVVSLLGFSSDRRNRRLVLVYELMPNRSLQEALLGALKCEELMDWRKRFEIAIDVAKGIEFLHHRCDPAIIHGDVKPSNVLLDSDFKAKIGDFGLARVKSESLALVSGGGDETRILIEEDDDDGKRKEDDNGSILEECESVITLFEEGNAVSFSPENGIGASPGVGSVVSPENCGSSVFTASPGTALIPSPENCAVTSPATENGCGSSVCTASPGGGLIQSPENCAVSVLTASPGAPLIPSPENCAVSVLTASPGQALIPSPENCAVTSPGGESPEKMSVESGGKQKVGSRRDWWWKQDNNGGSRGGNGVESGSVKDYVMEWIGSEIKKDNKEWIKNGDGLASSSISKKKKKRKPREWWKEEFCEELTRKKRKKKKKRGLSSISSIESWFHRDNDDEQSHNPTKRKKRNSIDWWIDGLSGDLKNSVISKKHSQDSGLWCDVNVQKSGGVSSTPSMRGTVCYIAPECGGGGGGVLSEKCDVYSFGVLLLVLVSGRRPLQVTASPMSEFERANLISWAKQLACHGNLLELVDKSIHSLEKEQAVLCVTIALLCLQRSPVKRPTMKEIVEMLTGASEPPHLPFEFSPSPPMGFPFKSRKKAR >A02p060300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35986079:35987110:1 gene:A02p060300.1_BraROA transcript:A02p060300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSLISLLLGLWFFSTICHSNPLARASTIRPVLVRWAHHLILTEPLHLPDRYFACGGSLDSKSIADLIVGLPQSVGLISMRFVNLPANFFQPANPTSKLSTPPHLTAEILEWSGKSWEPYVADDVQVQFEPICPENLVNRQEGDLISELLNRGLFHTSFKVPDVYGVLQFKVEYKKLGYTKFSLSKQMAGFLVFSFVYLYHK >A09g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25174905:25179065:1 gene:A09g509030.1_BraROA transcript:A09g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSGKYGLSLLRSSGDSVRRFDENAWIDICRCSEEFNRYTATKLRLKPGRYVATELWLELGRYVATERDDRSLPSGTIARSLRSDRALARARSLRSDQAWARARLLRSDRARWTLGRYVATELLGFGCCTATGRRVCVVTELGLFGLNLKGYFFVKNFVLVIFLRKLHLSIYYLFWKYDLRGFLGGNFVVTVFDPNNFSFSSLSRMSQGISANARPTIFNRGTVRHGRGEVFREIEFVTHSVEPAEADAYWVAMCNVEEPPPEPWVPMRPFSERVVGRPSRSRTHMEVLKGFPQGGCGWKSYFFYVRLDQASVAVECLPSFRRLWGAGVHNPIPPFPEDLCIVRDLLRGGPLFWGHFSPERVRAAVEAHRSRFSSSIDNAMEASFEDTSLSAVYVTRRSSGRGSLDAEEDGEPTVEDPISCLEMFETRALGLGQDLGLVSVKVCAMTTRLSFFLLRFLPDSYRFKVRDRFSAYMTCMRAFVLVLAVLKIKRVIELRLFKTASVFAGANRRTGCKMFGSRMIIFTIFGPDGTADKSLNVFSRVLKRGLRTCVELRRPMRLRRAIRIEDEIPDASYFRELSSE >A10p008710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8307978:8309129:1 gene:A10p008710.1_BraROA transcript:A10p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWFLIAATVAIIAVIARQGTGWSKEAALEALKGWSDRLGIWAIPTYVAVHTLTLALCLPHAVFFEAGASILFGFLPALVCVFSAKVLAASFSFWIGRFVFKSSSKATAWAHNNKYFNILSRGVERDGWKFVLLARFSPIPSYVINYALAATQVRFLADFLFPTVIGCLPMILQNASVGSLAGMAVASVAGKPKTQVWGYVFPVLGVLSSVLITMRVKKYSAGITEASSDTSPSANSSSLASSGTVNPAYGTKKSE >A01p029940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21135306:21135831:1 gene:A01p029940.1_BraROA transcript:A01p029940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNAERWRDFQATSDVHRAKAGSSVVGPQKIESPIQKREPKFDTNKVTYLSHSIGMLLIGSSSIYNTQQRPPLLNFAHQLRFHRGDDYPDDDMTGAQHVT >A09p016080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8383614:8384528:-1 gene:A09p016080.1_BraROA transcript:A09p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNDVFQTKFSPKISEIRASLSQIILAGGPNTLDSIFSLLTPSSSSLESSTTSLHTLNPPPQQLGSSVYLQQRDLIERLHLQNRAVSTPPPLFSSSAAFAASLAAGTAKRKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSELLGLGDSSKLIALKSAVDGKIQTICQRVRRERAKKSGKGSKDSSTATADSSYSSSSEILSPPAEAVTATVVNSEDSYGTLRWNSEKCSPAITAEEDTMMGVDTDGFLLARMPSFDPELIWEVLAI >A09p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6261320:6262542:1 gene:A09p012290.1_BraROA transcript:A09p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLQPPSSSILAPSKSHLRAPPLLLRVHRLDSPSLIPSSPRRVSSISCLFRHNPSPTASNQARNLSSSSPKADESKPNPGLLERIVRSYEQRKTLSAGTIILISAVVALLLNPIVAPPALASFQAAAKSSGAAVGGKLLRTEVLTSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLIFGLLFLLLKDRLHIEVIRTWGTRVVGLTLLVIGAMGIKEASEIPEPCVAALENGEETDEKSSKKKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLIMFLLGTVIAMGSYTVFIGSCSEALKEKVPRITEKLTWASSLVAIGLGLAIIVSQFFGFSLY >A10p006400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9708335:9708550:-1 gene:A10p006400.1_BraROA transcript:A10p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLSRPVPSRPAAGSSSGCTRLDRSARDAVLKLAAQSRTAKCVGLRGLSRRTSQKNMMLAASSCFCFYG >A08p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3011841:3015788:-1 gene:A08p005220.1_BraROA transcript:A08p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTGWGANCWGQKWLRRNYHPKILGDRISERQPNPSQARSLRSDRAIIPLGRYVATELSQARSLRSDRAIIPLGRYVATELSQARSLRSDRAIVPLGRYVATKLSQARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDQAIVPLGRYVATELRPKLGRYRLSKTFNVLSLKEPVVAKKATNGKVAKKTKDDSSSEEESSDDESEDEKPAPKKAITKAAKKDSSSGESDSDESESEDEKETPKKKNADVEMVDAEPQQPKTPATPATGGPKTLFAGNLSFQIERSDVETFFKEAGEVVDVRFATNKDDGSFRGFGHVEFASSEDAQKALELNGRALLGRDIRLDMAAERGDRPAYNTPQSGGGNFRSGGGGGEGQKIFVKGFDSSLPEEDIRQALTQHFASCGEITRVSIPMDRETGASRGIAYIDFKEGAEKAYDLNGTELGGWNIVVDEAKPRDSSGGGGFSGGGGGRFSGGGGGRFGSGRGRDSGRGRFGSGRNGGGRFGRDNGGGRGFSEPSFTSSVNKKTTFDD >A03p072170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31890136:31897512:1 gene:A03p072170.1_BraROA transcript:A03p072170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGGKSSSSSEKTKSEWVCTSFTTPSYLKSEDKETLLRRISRFSTLVWLVMSLMWRSKDLGYVLMKYPELLGFKLEGIMSTLVAYLASRNRCYLWLPIKDKMSTQHYFFSVKLKIDPEGFARVVEKMPRVVSLKQLSITVIMKPFRVSVRNLWNWFLNCSDQRFEERLECNFTDADMPNK >A08p009470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7461230:7462069:-1 gene:A08p009470.1_BraROA transcript:A08p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGEAESLVTKEDTEQEDKEQEKTDKSDVPIDLAVKVDREELMDEKKEAGQAAGAQSLERSLALNESEAEETPVVKHADVESGEQMEKPSLESPSKVSEETKKTLDEKIKEKPEEEKEVAPHQEDLEEGSYGSETVPVPESIEVKEKEQKERILDLAPLQDEEVKSDEVIQVSSASPEGETLVEAKKIEIIKANEEEEKEVPDKIQSILETVKSYEENTVHESISLNGDSDPVKSIKNSDDAEQASHEVTGDREKEEDIPIHKAQEVLSCLLKANDHI >A03p063830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27767620:27768828:1 gene:A03p063830.1_BraROA transcript:A03p063830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEEVEPTQINKTVPESPSSFPSLPDEIIENILARVSRWKYPSLSLVSKGFHSLLSSREIYKTRSQIGANETCVYVWLKLPGHPCASWFSLRTKPNNQNQTKQRRKMRFKRDPSGFSVVPIASSPTDSFPELYYTKTVGPEIYIIGGPNVNKPSSSVRIFDCRSHTWRDGPKMTVARKHANTVVLDEKIYVMGGCDIDAYYANWIEVFDVKTQSWAAFPGPGVDELCNHFRKRRCYNVNVFEGRIYLLAGDKEYTYEPKDGTWKLVKEISSFLSDDSVYAWCEIGKVICCRTRSGYLMWSASENEGRVWREIKGLKKLRRHPTRGLNLGYVFALLDCGGKLLVMWDPYRISGIRSKKIWYAKISLESRCNGREVWGKVECVDVLTFPVESYEGLDCLTASV >A03g508320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28760576:28760821:1 gene:A03g508320.1_BraROA transcript:A03g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLVMEEHVIFVVAGIIANMAKMHRLTVPGVSGHYTRQLLYVFFTLEMSDEFGTYFESVIAYVANAFTVHLHSRSSSHM >A04p007480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8851409:8854047:1 gene:A04p007480.1_BraROA transcript:A04p007480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQMSSKRDDDTIPMSQSSPYSPKALKHPRSLPRSLHYLFREQRLLFILVGILIGSTFFILQPSLSRLAPAESTSLITRSVVDSNNYSPSKMSFNYGGGRTGRVPVGIGGRRLRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENLVHLFSNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKNPMTVYGDGKQTRSFQYVSDLVDGLVALMEHDHVGPFNLGNPGEFTMLELAEVVKEVIDPSATIEFKPNTADDPHKRKPDISKAKELLNWEPKISLRDGLPRMVSDFRNRILNEDEGKGL >A06p008550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2951462:2954492:-1 gene:A06p008550.1_BraROA transcript:A06p008550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQEQADFIGKKIAPFVTSHQPNLPGFTDQKILGGSQTTQPPATSPPSPPSPNSGGGGSQSSPPPVTVSPPPSNQPPITTPPPKPPSSPPPSITPPPSPPQPQTPPQSTPSGDSPVVIPSPKPQLPLPALPPPTLVTQQPEAKPNDNGQEQPNNPTSPPSPPLNPLSPPSGSQGSPPFSSPSPPVISLNPNLPRNPSQPLDSPPAEGSNHVPSSSSVPSPPSLSGSDDNSGGSNRHNGGNGQQNNEPNFTEKALIGIGVAGVLVIIIIAVIFFFRRKQKKSSSPRSNQQYLPPANVSVHTEGLIHYRQNPGNGPASAQNSLPDTNNSLGNPKPGRGTPDSAVIGTSKIPFTFEELSEITEGFSKRFVIGEGGFGCVFKGILSEGKPIAIKQLKSISAEGYREFKAEVEIISRVHHRHLVSLVGYCICEQHRFLIYEFVPNNTLDYHLHGKDLPVLEWTRRVKIAIGAAKGLAYLHEDCHPKIIHRDIKSSNILLDDEFEAQANYVQVADFGLARLNDTAQSHISTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDTSQPLGEESLVEWARPRLIEAIEKGDISEVVDPRLEKHYIEEEVYRMIETAASCVRHSALKRPRMVQVVRALDTRDNLSDLSNGVKVGQSTVYNSGQYSNEIRMFRRASEDSSDLGTSNGYYTSQDFTSRELESRGFNTSHQTNN >A06p013090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5927888:5933821:-1 gene:A06p013090.1_BraROA transcript:A06p013090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 40 [Source:Projected from Arabidopsis thaliana (AT1G15520) UniProtKB/Swiss-Prot;Acc:Q9M9E1] MEGTSFQKASNSLRRDSSAWKRDSGMEIFSRSSREEDDEEALKWAALEKLPTFDRLRKGILTASHGINQIDIEKLGFQDTKKLLERLIKVGDDEHEKLLWKLKNRIDRVGIDLPTIEVRFDHLKVEAEVHVGGRALPTFVNFMSNFADKLLNSLHLLPNRKRKFTILNDVSGIVKPGRMALLLGPPSSGKTTLLLALAGKLDHELKETGRVTYNGHGMNEFVPQRAAAYIGQNDVHIGEMTVRETFAYAARFQGVGSRYDMLTELARREKEANIKPDPDIDVFMKATSTAGEETNVMTDYILKILGLEVCADTMVGDDMLRGISGGQKKRVTTGEMLVGPSRALFMDEISTGLDSSTTFQIVNSLRNYVHIFNGTALISLLQPAPETFDLFDDIFLIAEGEIIYEGPREHVVEFFETMGFKCPPRKGVADFLQEVTSKKDQMQYWARPDEPYRFIRVREFAEAFQSFHVGRRMGDELAVPFDKKKSHPAALTTKKYGVGIKELVNTSFSREYLLMKRNSFVYYFKFGQLLVMAFATMTLFFRTEMQKKTVVDGSLYTGALFFILMMLMFNGMSELSMTIAKLPVFYKQRDLLFYPAWVYSLPPWLLKIPISFIEAALTAFITYYVIGFDPNIGRLFKQYILLVLMNQMASALFKMVAALGRNMIVANTFGAFAMLVFFALGGVVLSKDDIKKWWIWGYWISPIMYGQNAIVANEFFGHSWSRAVPNSSDTLGVTVLKSRGFLPHAYWYWIGTGALLGFVVLFNFGFTLALTYLNSLGKPQAVLTEEPASNETELLVVEANNKKKGMVLPFEPHSITFDNVIYSVDMPQEMIEQGTQEDKLVLLKGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGNITISGYPKNQQTFARISGYCEQTDIHSPHVTVYESLVYSAWLRLPKEVDSNTRKMFIDEVMDLVELTPLRQALVGLPGESGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGEEIYVGPLGHESSHLINYFESIQGISKITEGYNPATWMLEVSTTSQEAALGVDFAQLYKNSELYKRNKDLIKELSQPAPGSKDLYFPTQYSQSFWTQCMASLWKQHWSYWRNPPYTAVRFLFTIGIALMFGTMFWDLGGKTRTQQDLSNAMGSMYTAVLFLGLQNAASVQPVVNVERTVFYREQAAGMYSAMPYAFAQVFIEMPYVLVQAVVYGLIVYAMIGFEWTAAKFFWYLFFMYGSFLTFTFYGMMAVAMTPNHHIASVVSSAFYGIWNLFSGFLIPRPSMPVWWEWYYWLCPVSWTLYGLITSQFGDITTPMADGASVKQFIKDFYGFREGFLGVVAAMNVIFPLAFAIIFAIGIKSFNFQKR >A10p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17116992:17118991:-1 gene:A10p027140.1_BraROA transcript:A10p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKPSRPKTPQEVVKAIRDSLLALDTKTVVEVKALEKALEEVEKNFSSLRGMLSGDGEAEPNADQAVQLALEFCKEDVISLVIHKLHILGWETRKDLLHCSSILLKQKVGEAYCCVQYFEEHFELLDCLVVCYDNKEIALHCGSMLRECIKFPSLAKYILESACFELFFKFVELPNFDVASDAFSTFKDLLTKHDTVVSEFLTSHYPEFFDIYERLLTSSNYVTRRQSLKLLSDFLLEPPNSHIMKKFILEVRYMKVIMTLLKDSSKNIQISAFHIFKIFVANPNKPQEVKIILARNHEKLLELLHNLSPGKGSEDDQFEEEKELIIEEIQKMSRLKNLEL >A06g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10099951:10101881:-1 gene:A06g503210.1_BraROA transcript:A06g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFREDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALGADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPKVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPINFHDGGEEVDRSADAFGASLSGDFDFGL >A04p036590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21006654:21007339:-1 gene:A04p036590.1_BraROA transcript:A04p036590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVSEEGRKERRSTRRGWMDRDSNDDGRIPFRSLSCYQEICRLADKDCHFSSIPRYPLFVRDVYDMVDDPSTDSVVSWSDSGKSFIIWKESEFLGDVFPRCFSCDYKDMTSLTAWFNAMGYSKVEESVHWEYTGNYLVRGQPPHPTDTSSRTPGGIMISPEEAKIMERFFASPKARKQ >A08p044950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24729626:24734503:1 gene:A08p044950.1_BraROA transcript:A08p044950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLGSRLSPQGASCCCDLGELHTELGLLLGGRRRRSCSKKKKRKKEKEKTMGVMSRRVLPACGNICFFCCPSLRVRSRHPVKRYKQMLADIFPRNQDAEPNDRKIGKLCEYASRNPLRIPKINEYLEHKCYRELRNGNIGSVKVVLCIYKKLLSSCKEQMPLFSCSLLTIVPTLLEQTKDVEVQILGCNTLVDFITLQTENSHMFNLEGLIPKLCQLAQEMGDDERLLRLRLQQCRLWQSWYLSLVSIHNYQWTWIWYIISVILENYMDLENGQKDTNELNPVTDYNLEKNMENSKSASYWSMVCLCNIAKLAKETTTVRRVLEPLLNAFDSGDYWSPQKSVASSVLLFLQSRLEESGGNCHVLVSSLIKHLDHKNVTKQQGIQVNMVKVATCLVVHAKQGASGAMTAVIAELIKHLRKCLQNAAESDLSADETKLNSELQLALENCIAELSNKVGDAGPILDMLAVVLETISTNVLVARTTASAILRAAHIVSVVPNVTYHKKVFPDALFHQLLLAMSHTDCETRVVAHNIFSVLLLGTLRLPWSDQHKETSDAVEESLNSDQYKDVNHTSLSSLRDVDGGIKSLCSLRLSSNQVNMLLSSLWIQATSTENTPANFEAMASTYSTTILFSLAKRSKHMALVWCFQLAFSLRNLSLNQNGGLQLSRRRSIFTFASYLLIFSAKISNIPELIPIAKESLTAQMVDPCFVLEGDIRLRAVCSGSETDDSDALNSSVIVTNDSRLKDIVITHFTSKFPTLSEEEQSNMRKEINSDFCRDDAHPLVAPLFMDTAGSDSPLNQIELPAFEEVELPAIVAFEGISPGASGSQSGYTTTLSTNTNPVDVLSVNELLESVSETARQVASLPVSSLPVPYDQMMNQCEALMTGKQQKMSVLKSFKPEATKAITFPEDAEEEEVFLLKETEEANEDDQKALTVSQVQPQGQLASCSHGVEQDSFRLPPSSPYDEFLKAAGC >A01p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9231644:9235794:-1 gene:A01p018990.1_BraROA transcript:A01p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLTLFPVTATPPSSLCSFNRLSRSPHLAYLSSSSSISGVLRATSRRLAFATRLKSVATMAASQEFTGNLKREVEKLFDTSLRITVPDGTSVETEVAASLPGKPGDYQCNNAMGLWSVIKGKGTQFRGPPAVGQAISKNLPASEMVETCSVAGPGFVNLVLSSKWMAKSIEKMLIDGIDTWAPTLPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEYSKVEVLRRNHVGDWGTQFGMLIEYLFEKFPDTESVTETAIGDLQTFYKKSKTKFDEDTDFKEKAQKAVVRLQGGDPIYRKAWAKICEISRTEFAKVYQRLKVELEEKGESFYNPYIANVIGELDSKGLVEESEGARVIFLEGFNIPLMVVKSDGGFNYASTDLTALWYRLNEEKAEWIVYVTDVGQQQHFNMFFKAARKAGWLPESDKTYPRVDHVGFGLVLGDDGKRFRTRSSDVVRLVDLLDEAKTRSKTALIERGKDKEWTPEELDRTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIDELKKTEKLALDHPEERALGLHLLRFAETVEEACSNLLPNVLCHYLYDLSERYTSFYSVHQVIGSPEEASRLLLCEATAVVMRKCFHLLGITPVYKI >A02p058240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34992144:34992841:-1 gene:A02p058240.1_BraROA transcript:A02p058240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKINLRELSSSSSPPKAGRRFRKVEESEHCEYANDYFVRGKPELTVEIEKQFKERCAPVKLDIKPPEPGYKEKMERMRALMLAQKAARRLS >A07p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:435202:437190:1 gene:A07p000890.1_BraROA transcript:A07p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDDFPPKTEGSEEFDAPTKKTARQLDFAGGHSQANQAPPTVAAAAVPPLQSPQVPIRLPKPESPKPKPRPVVVEAGDGTPMKKKHCNCKHSRCLKLYCECFASGTYCDGCNCLNCFNNVDNEPARREAIESTLERNPNAFRPKIASSPHDPRDNREVVGGVVMLGKHHKGCHCKKSGCLKKYCECFQANILCSDNCRCLGCKNFEGSEDRLALFHGEHSHGAAYLQHANAAITGAVGSSGFASSPAPKRRKSQDIFFNQAAKDSSINRLGQGSSGKTTSSKPAARHGGPSKVVYRSLLADIIQPQDVKALCSVLVAVSEEAAKTSTLKRLEDQTETSLAFSAQDNNNGTEIEKAASGNEAGAEESNSDGSKGKSLSPETLALMCDEQDTMLMVAASSNCSVEPPNGQDQGYAEKEKIVLTKFRDCLNRIISYAELKESKCSLSRMDTESPVHAAVKTEPVVQQGEVANGVSQTTIQQPSQLTSSYTQTQQPQAPSEKKDL >A06p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:811203:815030:-1 gene:A06p001800.1_BraROA transcript:A06p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQTLRFITLCIAIFTSQITSAYRFKFNHFGNGTDLFSFHGDAEYGHNTDGTTRSGSLSLTKDKTPFSHGRAILINPISFKPPNNASSVYSFKTSFTFSITPHKTNPTPGHGLAFFVVPSNQHAGSGLGFLSLVNRTSNGNPSNHLFAVEFDVFQDKSFKDINDNHVGIDINSVDSVTSVKSGYWVMTRNGWLFKDLKLSSGDKYAAWIEYNNNLKVISVTIGLAHLKKPNRPLIEAKYDLSNVLLEKMYAGFAGSMGRGVEPISISQATSAYRLQFNNFGINGSDLFSFHGDAEYGPDAGRMSRSGALGLTQFRIPFSHGRAIYINPITFKPPNNASSVYPFTTSFTFSITPHNTNPIPGHGFAFLVVPRNQDDAASGLGYLSLVNRTSNGNPNNHLFAVEFDVFQDKYLHDINDNHVGIDINSVDSVTSVKSGYWVMTRSGWLFKDLKLSSGDKYTAWIEYNNNLKVISVTIGLAHLKKPNRPLIEAKYDLSSVLLEKMYAGFAGSMGRGVETHEVWDWTFQN >A05p016700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7431955:7435317:1 gene:A05p016700.1_BraROA transcript:A05p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRLVSWSLLHVQESRNRVSNATAVSVTADLRTQSYVATGGPSWLSHTILFEAQAITKFLVDVVQEKVGQVLVFDSIKKSGCLAWHGRSDLQLLVGLYEGRKQIVQRHEQASSLLQRTINMGWINRNVDPSHTQVFFQGASPWKRVERATEFMQGPSPVVHGTKISRRIALRLGCGEQSVEPNQKIRPYFLDITSRSVDRTHTQVSTMTNTSRINYPNIFENSNKFYKATKRSGGGRLDSERKVKMVEDIAKRWKELSGNSKWKDLLDPLDLDLRRYILHYGHMVEVGYITFNCGRLSKYVGDSCYTKEELFARTGYLKDNPFRYEVTKFIYGTSSIKLPECFMINSWSREAWNKESNWLGYVAVATDECKELLGRRDIVVAWRGTIQLYEWANDFDFPLESAISVLPRADPSDPPRIASGWLSLYTTADPRSRFDKTSAREQVQGELKRLLELYKHEEVSITLTGHSLGAVLSILSATDFLHNEWPKTTPSLQDRLSCVTVFAFGSPRVGDRNFKTLVESLKKLNILRVANVPDLIPHYPLFRFTDVGEELHINTLKAEYLKRSLSLAHFHNLKAYLQGMEGTQHSQSELKLEQLVKNGLDAVEDKYIVPGDSWVLENKGMAKTHDGTWILNCDMAKEDENEEEDKCELPWI >A03g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:334640:336496:1 gene:A03g500120.1_BraROA transcript:A03g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFIFLIVLMLLIRGAVYSISVVFSDPDVASDSLAARSWYGVVVCRLCTVRFVSNPDVLEHIYRCKTIYKQKEQKIGMQFYSSTRRKPLSSMSVYTGGVAGQPEALSHPGKTNFWHKKRSFHHGQKKFSLCLRI >A07p033160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18128560:18130824:-1 gene:A07p033160.1_BraROA transcript:A07p033160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLVEKTGSRPPWIGLAAAIWVQMAAGTASTFPLYSAALKSVLGFNQQQVTILGVAGELGGNMGLLPGYASNMLAPWAMLLIGISSCFLGYGVLWLSLSQIVHDLPFWLLFIALVIATNSCSWFMTTSLVTNMRNFPMSRGPLAGLLKALIGISGAAYTVLFSMLLHHSASNLLLFLTVGIPVLCLASMHFIRPCVPATGEDPSEPVYFAFLLGTSILLAAYLVVTTVVSEVYSLPSVLRYVLVAVMVLFLFSPLAIPIKMTLLPSNVKSSSDNLAKEEGESPQEEPLLISSTSDSNLGSPLKGDDVSDMDILLAEGESGILKKKRKPRRGEDFKVGQVFVKADFWLLWFAYLLGMGSGVTVSNNLAQIGFAYGIKDTTVLVCIFSFFNFTGRLASGALSEHFVK >A10p036910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20939049:20940830:1 gene:A10p036910.1_BraROA transcript:A10p036910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSLLASRNRRRRSKSKSKRQKLIENQREPQCQETALTEEEEEEDEGNGFKLKTSAKHGVQPLGNLYFVNKGAVNVRNTGLGNLHVLTDELVLEILALLDATHLGVLSTVTKSFYVFANHEPLWRNLVLEELKGDFLFAGSWKATYVAASHPKFQSSGESVLKIRDFYSDYLFQSWLCANLEMKREWLERDNITRVRGLSVEDFITNFEEPNKPVLLEGCLDDWGAVKKWSKEYLSDVAGDVEFAVGPVEMKLENYFKYSDGVTEERPLYLFDPKFAEKVPVLDSEYEAPVYFREDLFSVLGNERPDYRWIIIGPSGSGSSFHIDPNSTSAWNAVITGSKKWVLFPPDVVPPGVHPSPDGAEVACPVSIMEWFMNFYGDTRSWKKRPVECVCKAGEVMFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLEFLKKPNAKELVSGTNDRENLHDKFKKAIEEAYPGTIQELEKKAEEKRIAEEQKVTFWDAATDSKSGAFKFSF >A07p050990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27111771:27115010:-1 gene:A07p050990.1_BraROA transcript:A07p050990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEGSKKMNIAIIHPDLGIGGAERLIVDAAVELASQGHKVHVFTSHHDKSRCFEETLSGIFQVTVYGSFLPRHIFYRLHAVCAYLRCLFVALCVLLGWSSFDVVLADQVSVVVPLLKLKRSSKVVFYCHFPDLLLAKHTTALRRMYRKPIDFLEEQTTGMADMILVNSNFTASTFAKTFKRLHGRGSRPAVLYPAVNVDQFIEPHAYKLNFLSINRFEKKKNIDLAVSAFAILCKHKLTLSDDVTLTVAGGYDERLKENVEYLEELKSLAEKEGVSNRVNFITSCSTAERNELLSSCLCVLYTPTDEHFGIVPLEAMAAYKPVIACNSGGPVETVKSGETGYLCEPTPEDFSSAMAKFVEDPELARRMGSEARKHVVESFSAKTFGEKLNQYLVDVVSNYPSLQSSIGARRRRRRRRRVSVIRLPFVVSPEEEASLPSQNAMDANNAFDSDLIHAIFKHIWARRFRERERSDAIDATDAEVALGTSKKNRLASANANALKLSCELLKSFVSEAVQRAAIIAEAEGMDKIEASHLERILPQLLLDF >A08g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20848504:20851476:1 gene:A08g509840.1_BraROA transcript:A08g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDEPLYPIALLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENSDDDDEVLLAMAEELGVFIPFVGGIEHAHVILPPLESLCTVEETTVREKAVDSLCKIGSQMKESDLVDSFVPLVKRLAAGEWFAARVSACGLFHVAYQGCTDVLKTELRSSYGQLCQDDMPMVRRAAASNLGKFATTLESAFLNAEIMTMFDGLTKDDQDSVRLLAVEGCAALGKLLEPQDCVARILPVIVNFSQELSTDSSQHVRSALASVIMGMAPILGKDSTIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYVPLLASQLGIGFFDDKLGALCMQWMQDKVYSIREAAANNLKRLAEEFGSEWAMQHIVPQVLDMVNNPHYLHRMMALRAISLMAPVMGSEITCSKFLPVVVEAAKDRVPNIKFNVAKLLQSLIPIVDQSVVDKTIRQCLVDLSEDPDVDVRYFANQALRSIDSAAVAQS >A06p022480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12241982:12243750:1 gene:A06p022480.1_BraROA transcript:A06p022480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein RP/EB family member 1A [Source:Projected from Arabidopsis thaliana (AT3G47690) UniProtKB/Swiss-Prot;Acc:Q7XJ60] MAASIGIMDSAYFVGRNEILTWINDRLHLNLSRVEEAASGAVQCQMLDMTFPGVVPMHKVNFDAKNEYDMIQNYKVLQDVFNKLQITKPLEINRLVKGRPLDNLEFLQWLKRFCDSTNGGIMNENYNPVERRSKGGRERSVKGSNKMPKSLQTNNTPPNSSSVGLTKASGPKPANTAQVQALSKELTDLKLSYDHLGKERDFYFSKLRDVELLCQTPELEDLPIVVAVKKILYATDANESALEDAQEYLNQSLGVKAEDEENGEQEDY >A02g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1017496:1018296:1 gene:A02g500270.1_BraROA transcript:A02g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNLVFPALRFSRGGRSVLVTTVAPFSGERSAFVTAVAPYSGERAALVILEGGGSFCSGCLWVGLKWFKGRGDLDRSMESPGVKTGGCRTGLVSSEARLFPRSDKTRFQFDDCSGGAVAEMDKLRRLCCVSHSLSSLLLDWSLLGSCSDQIVQRWSAEAAERLRR >A02p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4883590:4894071:-1 gene:A02p011300.1_BraROA transcript:A02p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSPKFVLPETFDGVKMEITGQLGMIWELVKAPVIVPLLQLAVYICLLMSIMLLCERVYMGIVIVLVKLFWKKPEKRYKFEPIHDDEELGSSNFPVVLVQIPMFNEREVYKLSIGAASGLSWPSDRLVIQVLDDSTDPTVKQMVEMECQRWASKGINITYQIRENRVGYKAGALKEGLKRSYVKHCEYVVIFDADFQPEPDFLRRSIPFLVHNPNIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFRAFRFQQHRWSCGPANLFRKMVMEIIRNKKVRFWKKVYVIYSFFFVRKVIAHWVTFCFYCVVLPLTILVPEVKVPIWGSVYIPSIITVLNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRANEWVVTAKLGSGQGAKGNTKGLKKFPRIFKLPDRLNTLELGFAAFLFVCGCYDYAHGKNNYFIYLFLQTMSFFISGLGWIGTYVPKGKRLEGFSKIQQRMYDEYRARYLRAREDTISKLPDPLLCQILSHLPTKDAFSTSVLSHRWKTVCLSVPNLDLDSSEFPDYDTFVTFIDKLLAFSRKENSVLYKVKLSLRKEDENDQYCVTRWIDSVANPKLNHLDVECLLVNRKFLEVVPQSLYIQCDTLVYLRLHRVSFGELKSVSLPCLKTMRLEHNVYASDASLELLISSCLVLEDLSVVRMVPDNVKVLRVRSQTLTSLHVDYLYGEGDGFVDVFEREGSGVFIDAPRLKYLKFEDDLSDVKVITNSVSLEKVNVAFVFGENDFSHVIDLPKRNMVSNFFNSISGVKEMKISLYTMEFLDYNWVWESYDPLPQFCNVSTLKVTFSVLNLDMMMPTLLESFPNLKSLVLKLDDPSSEKAANVRLSSVPPCLLSSLEFVKIKRFNGGPVDMEVARYFLENTQVLKKLRPATAPSHLLKFKTLNKSGNFNITPFLDSVCILRFQGFISVQFSRPDLMEERFSKQQRMYDESYIRAREDTISNLPDSLLSQILSYLPTKDTVGTSVLSHRWKSVWLLVPNLDLSSSEFPDYNAFASFIDRLLAFSREENSLLYKLKLSIQKEDGNDQSCVTRWIDSVANPKLNHLDVECTLANRKFLEVIPQSLYIECDTLVSLRLHRVSLGELESVSLPCLKTMRLEHNVYASDASLELLISSPPALEDLSVVRMVPDNVKVLRVRSQSLTSFHVDYLLGEGDDYVDALERGKDSGVLIDAPRLKYLKFDDDLSDFKIITTNTVSLEKVNVAFVFGEHDFIDLVDLTKRNMVSGFFKSISGVKEMKISSYTMEFLDFNRQDELYDPLPQFCNVSTLKVAFYVSNLDMMLPTLLESFPNLKSLVIKLDYYDPSREEEAKDIRLSSVVPQCLLSSLESVKIKRFNRGPVYMEVARYFLENSQVLKKLILVVEDGFNMLRELIALPRRSSSCQ >A03p058800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25503292:25505032:1 gene:A03p058800.1_BraROA transcript:A03p058800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKCCGDWNFRGSHAAREASTAHLGFYSSKILAQCDFEKTIYPPLGEPALAHDVAENAVVQAVQNGRGNAYAPSIGLPAAKKAVAEYLNRDLPKKLAPEDVFMTVGCKQAIELAVDLLAKPKANVLIPSPGWPWEVARCIYQKLEIRNHTFLPEKNFEIDFESVRKHADKHTFAIFIINPHNPHGNTYSRAHLNDLANLAKELGIMVVSDEVYRWTVFGNNPFVPMATFSDIVPVMTLGSISKGWCLPGWRTGWLALHDLDGVFKCTEVVSAAKEFLGITSKPPTVIQEAIPTILNETPKSFFDTRQSYLRDNAELAYSRLDDIPSLKCDFKPEACTFLWTKLILEKFRDINNDLDFCKMLAREENLVVLPGINQQSHQLPTININA >A02p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5725108:5727892:1 gene:A02p013060.1_BraROA transcript:A02p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DAMAGED DNA-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT5G58760) UniProtKB/Swiss-Prot;Acc:Q6NQ88] MGLTRNRRKREPEIVIARDTDSESSASEEEEEEDDSPLSESEKEDEAVKIELDKSKAKGKAPITVKLKKVCKVCKQPGHEAGFKGATYIDCPMKPCFLCKMPGHTTMSCPHRVVTDQGILPTSHRNTKNPIDFVFMRQLQPRIPPIKPPYVIPDQVHCAVIRYHSRRVTCLEFHPTRNNILLSGDKKGQIGVWDFAKVYEKSVYGDIHSVQVSMFPYFRFSPTNDDMVYSASSDGKVGYTDLETGTSSTLLNLNPNGWQGPTTWNMLYGLDINSDKGLVLAADNFGLLHMIDHRSNNVTGEPILIHKKGSKVVGLDCNPVHPELLLSCGNDHFARIWDMRKLQPGESLNDLAHKRVVNSAYFSPSSGTKILTTSQDNRIRVWDSIFGDLDSPSREIVHSHDFNRHLTPFKAEWDPKDASESLIVVGRYISENYNGAALHPIDFIDSSNGQLVAEVMDPNITTITPVNKLHPRDDVLASGSSRSLFIWRPQEKAEMVEEKEKKIVICYGDSNKKGKRQKRGSDDEDEDDDMFSSKGKNIKAKAKTTKSTRKTKA >A01p011000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5349948:5350229:-1 gene:A01p011000.1_BraROA transcript:A01p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTAPKNRPSTVRPSSSATKCLSDASWNAATGRCSLGWVSKDASSNSSLGFGSSHRMFSWLSLSGRSASGKGSDVSVHLIGTPKAGMLLGL >A03p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4156453:4158519:-1 gene:A03p010340.1_BraROA transcript:A03p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHOX3 [Source:Projected from Arabidopsis thaliana (AT5G20360) UniProtKB/Swiss-Prot;Acc:F4K487] MEKLKGKLEEPETSQSHQDDPKVEPLDYISKAQSLKEAGNKLFQRRDYDNAMLKYKEAIDVLPENHVEISHIRSNMASCYMHSDPGEFAKAIHECDLALTITPDYTKALLKRARCYEALNKLDLALRDVCLVSELDPNNPMASEIAVKLKRTLEGKGLMVKDSVIELPPDYVEPVAAHLALWAKKGKARVKKKNRSSSSQVVQEKSDDVENAEKKKNNNLADVKGKEKIIDNQEEEVIVEDKSVLKKTVKFVYSEDVRLAELPLNCTLFHLREVVHERFPSLRAVHIKYKDQEGDLVTITTDEELRMSEEVSRLDTTMRMRFYVVEVSPEQDPFFGRLVEMKKLKITADSFKAKANGKGTCKIEDWMVEFARLFKIQANVDSDDTSLNLQELGMKLNSEAMEEVVTSEEAQEPFEKAAQQFQELVARSLLKLGHVHMSGARKRLSLLRESSSQVVETAYEFALKEHAKAKEKYEEAMRVKPDLLEVFLALALQQFEEARLSWYYVLLSRVDLKTWPYGDVVQLYRSAKSNIKKSMEGLKRLGSSKAADKAAKLKSWLDVLSCAVLYERSMMEYKLDLPTWQENLEGAVVILELAGTCEEDVAALIRDDYVTDNTLRDLRFHVDEVLQIFHEIYEAKEWRNGIPSDQFEEILKRRIANIFHVSHTT >A01p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6125975:6128444:1 gene:A01p012480.1_BraROA transcript:A01p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKGESSTLGYKFGGVSIDDGKSKPVISTDGLFGRRDMIKVVLLVTTVTLSCLLFYKSANNPLNVVSLWKTDCYSSKTINETSLTVQKREPVSELERVLMNAAMEDNTVIITALNEAWVKPNSTFDVFRESFKAGIGTEILLKHVIAVCLDKHAYDRCIEVHPHCYLINATDSDQLSGPNRFMTPGYLKLIWRRMDLLKEVLGLGYNFIFTDADILWLRDPFPRFFRDADFQITCDDYNGKPSDKNNHVNSGFTYVKANSRTLNFYKFWIRSSRKFPGKHDQDVFNLIKNKQFVLKLGINIRFLDTVYFGGFCQPSRDINVVNTMHANCCIGLDNKVNNLKAALEDWKRYVSLNTTVSETKWNIPPKCGY >A03p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13461281:13462978:-1 gene:A03p031840.1_BraROA transcript:A03p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYAARLGQPASGKRRIKDLLKQPDNRVCADCSAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDEEVDSMIEIGGNASANSIYEAFVPEGSSKPGPDVSHDQRMRFIRSKYELQEFLKPSLRITSGKACSTKKPSFLNSSISTKFMDSFRANSSSKKIFEEGMVEFIGLLKVTVKKGTNLAIRDMMSSDPYVVLNLGKQKLQTTVVNSNLNPIWNQELMLSVPESYGPVKLQVYDYDTFSADDIMGEAELDIQPLITSAMAFGDPEMFGDMQIGKWLKSHDNPLIDDSIINIVDGKVKQEVQIKLQNVECGELELEMEWLPLEQ >A04p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2027912:2033955:1 gene:A04p004100.1_BraROA transcript:A04p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPQILRALEEDPEDNHRLFAQSPVDVTSLRPSDLEEFVKGVSFDLSDRELFCIEDQDVFDRVYSLVRDFYTLPPSCKCNLVESLRSNLSVLLPNVDSISRSVQDQEDEVPIIDRITSHRNALKIYTFFLITIIMTEEAHVSSVDSTKVAGRGRKKQVVQSWNWEPQRGRMLNLIANSLEINLSLLFGSSELDENYLSFIVKNAFSLFENAAILKDPEAKDALCRIIGASATKYRYIVQSCASVMHLTHKYDFAVVHVADAVARAESKYSDGTLAVTIIRDIGRTDPKAYVKDTAGADNVGRFLVELADRLPKVMSTNVGVLVPHFGGESYKIRNALVGVLGKLVAKAFNDAEGDVSSKSLRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVASISAGRLEDKSAIVRKSALNLLITMLQHNPFGPQLRIASFEATLEQYKRKLNELEPNRTTEDSSKEPTSDGDSCNGDGEIDDLQPEVTNNMHQDSLPDSCQPENGEEITEKDVSVPDIGNIEQTKALIASLEAGLSFSKCMSASMPILVQLMASSSASDVENAILLLMRCKQFQIDGAEACLRKILPLAFSQDKSIYEAVENAFVSIYIKKNPVETARQLLNLAIDSNIGDQAALEFIVNALVSKGEISSSTTSALWDFFCFNINGTTAEQSRGALSILCMAAKSSSRILGSHIQDIIDIGFGRWAKVEPLLARTACTAIQRLSEEDRKKLLLSSGNRLFGILESLITGNWLPENIYYTTADKAISAIYMIHPTPETLASNIIKKSLSTVFDVVGEDQPQNDTDDSIVDFLTTVQVSKLSRFLFVVSHIAMNQLVYIESCTQKIRRQKTKKDKAAAESQNTEENLGAAQENNSINAELGLAASDDALLDTLAERAEREIVSGGSGEKNLIGDCATFLSKLCRNFSVLQKHPELQASAMLALCRCMIIDASFCESNLQLLFTVVENAPSEVVRSNCTLSLGDLAVRFPNLLEPWTENMYARLRDTSVSVRKNAVLVLSHLILNDMMKVKGHINEMAICIEDDVERISSLAKLFFHELSKKGSNPIYNLLPDILGQLSNRNLKRESFCNVMQFLIGSIKKDKQMEALVEKLCNRFSGVTETKQWEYISYSLSLLTFTEKGIKKLIESFKSYEHALAEDLVTENFRSIINKGKKFAKPELKACIEEFEEKLNKFHLEKKEQEETARNAQLHVEKTKNMESLVVPSKVKEEPVEEYDEGEGASDSEIVDPAMEEAGDSLKASDSEEEPAMEEAGDSSNASDSEEEPAMEEAGDSSNASDSEEEEPDYEKSGINSPHSLNQNTSGGEESESESSNVKRGNRKETSSKLRRSLRSVLFTALVFILIMPRR >A05p041970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25399297:25401278:1 gene:A05p041970.1_BraROA transcript:A05p041970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGKGPVKFRMPTAENLVPIRLDIQFEGQRYKDAFTWNPSDPDNEIVVFARRTVKDLKLPPAFFTQISQSIQSQLADFRAYEGQDMYTGEKIIPIKLDLRVNHTLIKDQFLWDLNNFESDPEEFARTLCKDLGVEDPEVGPAVAFAIREQLYEIAVQSVSSARESRLSKKSRRGSDYGSASKASGLSMDLVKLFSFKSSVVRKWKDLDVYEPMVDLLTNEEVDALEAREERHAR >A09g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7996368:8001031:-1 gene:A09g502340.1_BraROA transcript:A09g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIYVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEKGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGSKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFCRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLSVFFVKRGGKFYPKSMTFDVLQSENPTITSSALRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A08g508560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15761137:15761786:1 gene:A08g508560.1_BraROA transcript:A08g508560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHVTPFGPSCCEILYTHPHIVKEEADADDAPTFSYDYCFLAEFTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYITRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A09p066920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52938845:52940236:-1 gene:A09p066920.1_BraROA transcript:A09p066920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLVRRISRSLRTVTASRLMSNVPENTVYGGPKPQNPNQRVTLTQLRQKHKKGEAITVVTAYDYPSAVQVDRAGIDVCLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGAYESSTIQAVDSAVRVLKEGGMDAIKLEGGSPSRITAARSIVEAGIAVMGHVGLTPQAISVLGGFRPQGRNIASAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALHIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYAQVGEVINKALLEYKEEVSKHSFPGPFHSPYKISSNDLNGFLSELQKLGLDEAASAAAASAEKMESSASLSSQ >A08g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20944802:20946739:1 gene:A08g509850.1_BraROA transcript:A08g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVTSLILDSPLAVTLPSPFLPLLLNGSRASAKHLPLPPVKLHYSMLAEDVIKSAVKNYKKNKAFSDPAVIFRLGWSSQVVVGRLFVSGIQETSRKMKSLWLGWCHYGRFPRAETIGKIERKNLLQLEIFIHTSPSQPISEYGLYTDFEKMAGIQKKKVLIADLHTYISKASDHVSITLYSYYGQSI >A09p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15577202:15578063:-1 gene:A09p027600.1_BraROA transcript:A09p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPLGLLTIHVKRGINLAIRDHRSSDPYVVITVAEQTLKTRVIKKNCNPVWNEEMTVAIKDPNVPIRLTVFDWDKFTGDDKMGDANIDIQPYLDALKMGMELLRLPNGCAIKRVQPSRLNCLSDESSIVWNNGKITQDMILRLNNVECGEIEIMLEWHEGAGCRGVTSSSKGGVSSST >A07g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1540832:1543534:1 gene:A07g500850.1_BraROA transcript:A07g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLSHPHHLQVITRLPLSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEVILAIDFEICNLRRLKNLDDLHFSRHSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEEVQTTFRKSRRLPDDFQMTSRRLTSKSSQHIKVLVEYVAKRHEEKLKERRCMFLPPWFVGHLQGKAQSFNAARGFLTKEGRRWGAEVDTLYAPMIWDGNHWVGLCISLKDWRVLVLDPNPGLKDMSVVWGIMETVSKMLPYVVANVCPPPGDGSYTLDPFTVKRMGGAYENHRSGDCGPVAVKFMEMHALGNPNPRMDGLTDELMDIMRKQWAMDLYKDWVLPVYVGEGNQ >A08p010780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8774990:8775190:-1 gene:A08p010780.1_BraROA transcript:A08p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIQDWDPGVQGVIWNRSDLYHYDKIGATCNLTGYLEHGIFGGERRFDGIRAMIGRPGIRIITRSE >A01g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25408082:25408647:-1 gene:A01g509390.1_BraROA transcript:A01g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLCLSLFFCETTELQLRQQKKYVFGHHCFKFLSIYIWISCGYGPLKTGIKREVDKTLRPGVYALVDSCSDKDHQYLHTLFGGPCRNYLASLKHESDLNFKYEGKV >A07p036960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19789674:19790985:-1 gene:A07p036960.1_BraROA transcript:A07p036960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLIVPLLCRYISIALSLFQSLILCLFDNFDYPILIKLADAFLSLYFITFCDLRPITVDLNDGETTVHFWVSGHRRNNRPDLVMLHGYGGNSKWQFIHQVSDLSKSFNLFIPDLVFFGNSHSRNTERTVEFQARSVVGGLKRLGCSEGGLAVYSISYGGFVAYRIAKIWPEMIDRLVIVSSGVGFTQQQKMTEMKKHGGDVSEILVPRSPRDLRMLVRISMNTGIAFIDWVPDFILSQFIAVMYETNRKELVELAKNLLEREEDAEFYAISQKTLIIWGDKDEVFPLEHGRRLHRHLPNSSIEILKDIGHGVNIEAPTTLNNLITSFVLDVS >A09g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13897391:13897731:1 gene:A09g504550.1_BraROA transcript:A09g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSFTLPRTAPPHGSLLLIFPIFSVVVDFKIQIWKVLFQNPDLQKMCTGSVWMGVAWIGGVWIAGAWMGYV >A06p023330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11773370:11776578:1 gene:A06p023330.1_BraROA transcript:A06p023330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPAGSYCLLSCLFVLFVSCTSAAKNDQDKQVYIVYMGALPARVDYMPMSHHTSILQEVTGESSIESRLVRNYKRSFNGFAAWLTESERQILANTEDVVSVFPSKTLKLQTTASWDFVGLKKGKRAKRNPSIESDTIIGVMDSGINPLSDSFSDKGFGPPPKKWKGVCQGSKHFSCNNLNVDDVVVVYSKVIGARNYTPRIKGVPHSAIDNMGHGSHTASTAAGNAVKDVSFYGLANGTARGGVPAARIAVYKVCDPCIKGCTTDGILSAFDDAIGDNVDLITISIGDDKGLPFHEDTIAIGAFHAMAKGILTVNAAGNSGPELSTVTSVAPWIFTVGASNTNRAFVTKAVLGDGKTVVGKSVNSFDLKGTKYPVVYGKTASSNCDAASAAFCSPGCLDRKLVSGKIVLCDSVQNVEEAKYMGAVASIARSRRTDTALVFSFPVTALSGPAYDVVLSYINSTKNPIAAVLKSETIFNQKAPVIASYSSRGPNPIIPDILKPDITAPGSEIIAAYSPSVPPSIADTRHLKYSILSGTSMSCPHVAGVAAYIKTFHPRWSPSMIQSAIMTTAWPVNASTLMPEFASGAGHVNPLAAVHPGLVYEASKSDYIAFLCGLNYTGKNLRLISGESRTCTKAQSKSLPRNLNYPSMTAQVPATKPFKVTFRRTVTNVGTSNTTYKAKVVGSKLNVEVIPDVFSLKSKHEKKSFTVTVSGKGLGGGELVASSQLIWSDGFHFVRSPIVVYAANW >A09p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25162808:25163421:-1 gene:A09p037300.1_BraROA transcript:A09p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFSSDQTISNEDLENTVVDESQRHTENEGPNNLRNEKFSGFISEGTAPESDDENERGDAETDEEDHIFFDTCDFLSSSSFKSSSSGFRTSSFSSDDDGFGSEDDVDPSIKSVGFNYPRVKRRKSLPDPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQECFEDLEYSYL >A02p045180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28394860:28396331:-1 gene:A02p045180.1_BraROA transcript:A02p045180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGGNGIVARSAASSLRQRAGMGLPVGKHIVPDKPLSVNDELMWDNGTAFPEPCIDRIADTVGKYEALGWLCGGLSFFAGLGMLAVLNDKASKVPFTPRVYPYDNLRVELGGEP >A04p013280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4334283:4334722:-1 gene:A04p013280.1_BraROA transcript:A04p013280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFHIFDLHDCPRKLHIYLQKFDVYPFLRIKFDGSVKWYGCGTRELALRNWRECKVLGCARWLMSETVRSLRSDRTNGLVGRYVATDE >A01p031210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13368325:13368679:-1 gene:A01p031210.1_BraROA transcript:A01p031210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQGAELAWDKLEEQVYTVEKRQEINLRKGGNPLWMFLDRAEADAEVLTTGRQVWVD >A07g504170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8090153:8090344:-1 gene:A07g504170.1_BraROA transcript:A07g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPAAPDSSSATPIIISVVAELPIEKLDSSSNGSLVQVAYSVVLSAISIVGISCGNRLNRWD >A01p049040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27571380:27572363:1 gene:A01p049040.1_BraROA transcript:A01p049040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRNKKITFLLILMCLIVVSPIANAQLGGLGGGLGGLGMLLGGLTNIFNIQGLLMCSVTGTVSTNNATAVPPFPNAGIVFQCTGQNVSSTTTNANGVFSIPTIGLPFSPSTLLSSGCRLVVTTPLTACNVSLPAAGLLMAPLSLVGTAAGDGLNIFSLVPGAFGLVG >A08g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7374774:7383222:-1 gene:A08g504490.1_BraROA transcript:A08g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKSSASCNAVRTQTHEEFAAKHPHPPSPDNVQIARRANTSFDRHGEPIIDRQMDVDTDRQSPAPTDRRAPITYQVQMPKIDVARLNAFGVKNGYDKRKNETSDESSKKVVTQRLNAPRSVATQRPSNRPAWSLHSDRATVPLGRYVTTKLEPKLGRYVATEFFRNVDTTLVHAFSSILRCYLRKTVANSVHVFRHSKSSIKLCGLKPRKVRSLSKEVAVNASSRKTADITQIPLRSISKYRSSALMRIDRLFFLASTSKWSARYRSHDARISDRISDQDWTGFHESKLNGGCHQRALKIAASKSRFELFYLSLYESSRNGFSHQVLITLLRQCDHVFHLLSDLMKHSPNVLVSYLCFSEEHPQAVCEVSFIKKFFDWDSEDSFSETIHLLIVSFPLKQNTHIHPVQTTYKSLDEPTPPSIDMENQLSINKWMSTLIDNHQLPPIDEHLLRTKCRCRR >A08p026450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16838931:16844605:-1 gene:A08p026450.1_BraROA transcript:A08p026450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSDAVYVTVSLSRFLELSINHYASFLRVSLSSPLLLSFVFVQRLSQLQKMSHECHPDCQRSMASKEEHDSAERAATVAANLISATRHALKLDPEMTEYSAQFLVDNALLEEKPGQSPHSFTLTVEDCLEYLVNMASPKTEAELEEMEKQQKRRAKITVKDCLECAFKEGIPKRESWAHLGCVSPVPAFASFMPRVPMKGKVIEVKKLEDAIKLMKRHPIAAKLLVFSPEIDRVGNGVYVGPSGAVGESRYVGLRDVILCGEEKFEGDDVMNVQICYKKRTSIFKVSLTRMVTTLADEGDKSQTIEPSGLLVDFVVPRIFNRVLGDSYAINLEEFLACPWGRISFEMLVIGIKKKDEILLSQTSVALPGFVDATQLVFMVAVPQIKEVVPQTEVISMIEDTTHFPDDLIWDDKIEDETIVNLVRGLSAAVLTRMRAEKKQKEKEAKEKNERESQPG >A04g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15546745:15548319:1 gene:A04g506820.1_BraROA transcript:A04g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRYSRAEKGKGQAPPELPAKRPPVRIPLNDNEDLIEANRLTIIGRLTNPQMQKPIRAITEVELEYIKIEKHCFSCFSLLHEEGTCPYRPYNALPPKERALGITQRIALQRIEAEKKRHDDRRGYRRPDEARSFSRYPEDSYAQAGRVRGDERSSHFRRDDHGRDQSILSRTARPISEYGRSKASTPQYRVVERNRPSSASSTPQAKGADLRTTLPPHTTKTVPPIAEVEITPTRTIKDRLGDSSKTKANSNSGSKDRRSALERLSASEPAKEISGRRAPSFESGRLQIGECRGEEEVFMEEEQAGEPITGAERVPAPLRLGTSGAETRSRRGVIPVATQSKVASKRRVISSTRKRVLRSPRLGITQKKSTADHPSTTTRRKLNVDKDNELPCNK >A03p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4421740:4423419:-1 gene:A03p011040.1_BraROA transcript:A03p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQHLVKNPCLQMSSLIKAQVREHRDSPETRNPFVLFPGRRYSLVATVVYAGTFMEVDPVGVSVDTVEASTVFPGFKFSPTDVELISYYLKRKMDGLERSVEVIPETDIYNFEPWDLPEWFFFCPRGKKYPHGSQNRRTTKMGYWKATGKERDVKSSSEVIGTKRTLVFHIGRAPKGERTEWIMHEYSMKGSPLDDALVVCRLRRNREFHKAPEPNLAADKHMILPNDPASSGEPGENLLTEMAETSRNVQVHADEDFFADILSDEIINLDEAVTTGKTPNEVPTLESAASAIRVLPLPTMIDKQMVSLLEERQPQEKEGKESSCTEPLSSCFVGLYSIKTVNRVRWDVIIGAVALIAMLFYLEEAM >A07p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17389255:17390280:-1 gene:A07p031420.1_BraROA transcript:A07p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSKGKGISASALPYKRSPPSWLKTTSQDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >A10p040620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22349493:22357529:-1 gene:A10p040620.1_BraROA transcript:A10p040620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSPLPSSQEFLLQRLALVGVPKECCSKRGLVEFVRANHQSMISELVSALLPTDEDVNAGLKEAMEKFRKPTMKKRLRESMNWLQWLMFRDEPAVSLKDLAKQNVDQRGVCGSVWGHNDIAYRCRTCENDPTCAICVPCFENGDHSSHDYSIIYTGGGCCDCGDETAWKREGFCSKHKGSEQIQPLSGDLADSVGPVLDALFACWNSKLLSAESIRDARSNDASSAVVQKMSNELTFVVVEMLLEFCKSSESLLSFVSRRIISSSGLLDILVKAERFLDQDAMKKLHDLFLKLIADPVFKSEFAKAFVSYYPLVISEAVKQGDDNAFRKYPLLSTFSVQIFTVPTLTPFLVKEMNLLAMLLGCLSEIFLSCSGEDGVVQATKWERVCKTSDRVIDDLKFVLSHAAVSKYATHEHRELSRSWLILLAFAQGMNPLKRETGIHIEEENEYMNLFFVLGHSIAVIHSLLVTGTYSAASDEEIENERITKVGLDTCDGDGERYAKVGRLSHEDSVCTAMESSSSSDSSMASAVHKIDPFCALLPSSATWLIRECLKVLETCLGDDEGVSKFLCKLCSPSGRNIPGSKISWPSRKLLKVEIGRSVSSGLAGSSRDPSTGLSPLCGDIHTDPSLDNVGGFNGEVQTDVTAYSRRVSCNSSDQAKKASEIHILGLCDWPDIHYDVSSQAISIHLPIHRLLSLLIQKALGMCYGESALHHGANVSHEIPHADFFSYVLGDCHPHGFSALVMEHVVRLRVFCAQVTAGMWKKNGDSALVSCEWYRSVRSVRWSEQGLELDLFLLQCCGALAPADSYVNKLLSRFGLSSYLSLNPDTTNEYEAVLVQEMLALLIQILQERRFCGLSTTESLRKEIIFNLATKDFTHSQLVKSLPRDLSKSDELQEVLDDVSVFSNPSGMNQGKYSLRASCWKELDLYHPRWNSRELQSAEERFSRYCGVSALTTQLPRWKMIYQPLKGLARIGTCKATFQIICAALYYALQSGTSIKSRAPDGVLISALHLLSLSLDICTQQRESNRQACYVEDSIPILELAGLEIIGTNQGMGKQSLLSLLVSVMRKRKDDGLHQFSEAGSCNISSWIRDLLKKFSAIDSVCMNLLQSLAPEAVGQSEFDKVTSGSTSDEKRKAKARERQAAILAKMKADQSKFLSTLSSSMDGDDPVSETEKSDSVMEHDSEISVREVCSLCHDPDSKDPVSFLIFLQKSKVLSFVDRGPPSWDQCPQFEKTIPVSGSTNVLQLSDDATSESRTDSVLEALTARLIGNGQKEKRSSDGRGKDELDMESLEMAMYQTVRRKVEMINQSHAHVDHQRHDDAESSSERSSVGALSTLQVRLPDSGPKQTSRHPDVSFDGFHPTDCDGVYLSSCGHAVHQICLERYLKSLKERSERRNVFEGAHIVDLAQGEFLCPACRRLANSVLPACPGDLCSVSKLQESLFAKLGGSDAQMPSLWLSEALCLLQSAADAIEDGDIVKNVSLQGDERRRKDLESVSNKLWNFYFAKRQDKPPGTSLQPQSILMWDTLKYSLISVEIITRCAKNSMCPVYCIGSLYEELNTSKGTALSLLLRVVQSTRTKSALHVRQRFMGMRHLAESICSGVSSSSSSSIFGSEGTVGSMKHINLLWNRASDPVLAHDPFSSLMWALFCLPSPFITCEESLLSLVHLFHSVSLVQTVIAYCAGRLCDLSELDFEENLLRDISIALRESGGWGYFRSNHMDLSCDIKETIRKYSIPFLRRCALLWRLLKTSPGKFHQEVDMFDVPSDSTNDSMDFMYGPKSELNHVHELEKMFKIPPIDIILSDELLRSSTQKWLRHFQKEYSVNRVKGPLCITPVVPFQLMKLPNLYQDLIQRCIKKPCSNCTKVIEEPALCLLCGRLCSPIWNPCCRESSCQTHAVTCGAGTGVYLLIRRTTILLQRFARQSPWPSPYLDTFGEEDIEMNRGKPLYLNEERYAALTYMVGSYGLDHISKVLNQTTIGGAFFT >A01g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11073923:11074197:1 gene:A01g503540.1_BraROA transcript:A01g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDFDMDEDSLADLEFSYLSTKLINTSTCPHNVSTQLGVTYKDKAENPNEAYFDLNKTQYKVVFSAQSVYL >A01p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16084389:16086032:1 gene:A01p039980.1_BraROA transcript:A01p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKENAVSGNVIPLHAHTASEVDVTTQSLGHVVRANTKRASLDEKKANAPKKRAVLKDITKEISAKLENIKQIEKVASSAVSTVASNLQVIDIDSDNKDPLLCSLYAPEIYYNLRVAELQRRPFPDYMERIQRDLTHTMRGILVDWLVEVSEEYKLVPDTLYLTVYLINWFLHGNYIERQRLQLLGVTCMFIASKYEEKFVPRIEEFCSITDNTFIKDQVLEMERQVLMHFSFQIYTPTSKTFLRRFLRAAHASDMQKPSVEIEFLANYLMELTLIDYEFLKFLPSVIAASAVFLAKWTLNQSSHPWNPTLEHYTTLKASDLKASVHALQDLQLNTKGCTLASIRMKYKQEKSWEF >A10p035650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20412862:20414650:1 gene:A10p035650.1_BraROA transcript:A10p035650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MPB2C [Source:Projected from Arabidopsis thaliana (AT5G08120) UniProtKB/TrEMBL;Acc:A0A178UL06] MYEQQQQQQQHLSDSGFGDDSSWLAADDDLLRLPPHHQSDAAAAAAATNSGNENLDRRLLKDLVEMVPLIEQFMEHKEKSSFKRRGSMVYTKMPSKESLSRRGRNASQTVPGRKKRDAEGNNNDAVSDAKEVGENARALAGAEKDELTRLREQVSELQTKLSEKEEVLKSVEISKKNQLNEMQMKLEETRRLVAERDTLIKSMQLQLSDTKIKLADRQAALEKTQWEAKTTGTKAIKLQEQLDAVEGDISSFTRVFETLAKTESKKHDMDYNAIPYQFDHLPYIDDIDETELRKMEDARLAYVAAVTTAKEREDEESLAMAAKARAYLQSLAFKY >A02p045980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28808819:28810964:-1 gene:A02p045980.1_BraROA transcript:A02p045980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQTTESNQLSNTQTYGFPIYAADWIPEETVRSKIDKDQDNSEDGDESSSSTSRSCIVLAGGGGEGRSGIKNVILICRVDLDTKSLSEQPLGRIVLGTDLPYRMAVHPRGGGLVCALPNSCKRFDWENIMSPREGDQGGEEGEEVIKELKDVGQQLALAFSQEGSVLAAGGEDGTLRIFEWPSMKTILDESKAHASVKNLTFSESGKFLVSLGGPLCRVWDVKASAAIASLSKEKDEMFAYCRFSVDNAGNEVLYIAANTERGGSVITWDTATWKRRRSKLMKNYSISAFNVSADGKLLALGNMEGDVLIIDSTKMKTHQLVKKAHLGLVTALTFSPDSRCLVSVSFDSRAKLTVIEKTPEKRKGSKLAGDVVVVRVAIRGFLLFLDGKGDHR >A03p074340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32353000:32355668:-1 gene:A03p074340.1_BraROA transcript:A03p074340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSFFCLSGNGEAGAWSCNPHAGRSRFSLRGSVRSWRRAEIFGNIRLPFSRLQERKELGHEKTISDLPASFVGGRVRPCCLFADPFSSPIASFGTASKTGSEAIPMAPLKQHERFTLDDGPRSEIYEGGLKAIRKKYGIHSLVHMKSPSEFERAPDGGPRGIAIYEAYLVAGFRGIVPLLGAEVLGELHGLETGIHEVLYSYRFAPWRIVPEFYHLQPRDGAPLVEKPRRGIGVILLSKIVGVVDTYVSRPVSFLGEVVAKKMLMIPRRFCGVHFLMSKEVVRHSFLWGKPLLLNPFSVILRGFLLQFSMMNISRLGHKEGVLSMRLHPAIPPGNMIGDVLLVGIQQRLLNELFSLHNRVRDMAAQRDLLIQQVRVSSRWELMKEWLERRMEHWDPSEEYSQYLFWSAEPTRLADPSLRVGHESAVESRVSAGPEEICSRPGDFMGTRKFSITLGHSVCILKGPYAAILGEASTGTCGDFVFYCSEASHYRVPVLHSAFCRKPLSVLEGDGVGENPNARLTLFFTSGET >A08g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12059328:12060049:-1 gene:A08g506980.1_BraROA transcript:A08g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVSPTEPFSLYKRNRTGLSVSVSPDSRVVVVVARIGSFVDQKLSHMTLSLRKKRCLSDEEDECR >A09g516930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49758041:49759574:-1 gene:A09g516930.1_BraROA transcript:A09g516930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSLFFTENDDRLFSIFLLVSPSRDLVLFISLLFVYFILDLLFIFLNGHLSGVFSDLKTGKCSSVVEARILRYWEARNVKRGGELMWMDMLLVDIAEPVSALPEEGFRFRDQSELLGLANTNTQLPEILGVKSTVTDPPEEKNRVMVTMKMDSDDTATLSLFNSQAVAFHKQLEAMHVDPKVIVATTINPKMVGG >A01p006560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3310538:3323099:-1 gene:A01p006560.1_BraROA transcript:A01p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGSRLRKRPDRRPLYTVDDSDDDDDFVQNKDQATEIVEGTVGREAVTPLTEMEKILDCQMRPTTSNDPDSSDSAAPKQVVVKQYLVKWKGLSYLHCSWVAEEEFQKAYKFIRRLRSRVIKFHSTMESMSNSGDDFVAIHPEWTTVDRIIDCRGEGEDKEYLVKFKELSYDECYWESESDISTFQNEIQRFKDINSGHRRDKYVDHERNHEDFKQFDHTPEFITGSLHPYQLEGLNFLRFTWSNRTHVILADEMGLGKTIQSIAFLASLFEENLAPYLVVAPLSTLRNWEREFATWAPHMNVVMYGGTSQARTVVRDHEFYFPKGHNKMIGISGESRQDRIKFDVILTSYEMINVDTEVLKPIKWKCMIVDEGHRLKNKNSKLFNSLKQYTSDHRILLTGTPLQNNLDELFVLMHFIDGEKFGSLEEFQEQYKDIDQEEQISRLHKMLSPHLLRRVKKDVLKDMPSKKELILRVDLSSKQKIIYKAIMTRNYKILAKRGAKISNVLMELRKACLHPYMVEGVESQIKNENEALKELLESSGKLQLLDQMMVKLKEQGHRVLIYSQFQHMLDLLEDYCAYKKWFYERIDGKVNGAERQARIDRFNAKNSNRFCFLLSTRAGGVGINLATADTVFIYDSDWNPYADLQAMARAHRIGQTEKVMIYRLISRGTIEEKIVQICKRKMLLEHLVVGKLKAPNLSQEELDDIIRYGSKELFAEENDEAGKFGKIHYDDAAIEKLLDRDHIDAEEDSVDDENENGFFKAFKKLGEDELAYLEASSDNEEDEQTEAEDELAYLEVSSDDCVASGQGNQIAYWPWTPASSAYEEAKPMDGEAARQGNQMAKRPYHRTRDTLEPIPLIEGEGRFLKVLGFNELQRKKFLTTLERYGVGNYDWKEFVDPLKPRTYDEIRSYGLRFLKHIVEDKDVNSPTFSDGVPKEGLKCKDVLARIASVMLVQKKVKHMEANPTNPVFSDRILHRFPGLRLRRAKFANEECDRILLSAVSKNGVGKWRALVNDIEFGIYELVRKELNIPPTSFINANGIVKDPDIIITDHMRRRFLILEEAIINEFAEDYYFGPKPSSLNRALKNGLLNQPIDFFSAKFRLLTERALHEFASKNISRNLSAIGTYVNVNMEDERVTEVIVLDD >A06g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17422186:17424406:1 gene:A06g506110.1_BraROA transcript:A06g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSVLFSLLGLSEEHPQYVGNVSFLERCLATFSFRFLKVLPIVALSTCIGSGASSECVLVVSGGLAKGFGCGMSALIRAMLIFGNCTRNVRGARLGGCGCLAANSSWATMQPTLWPSWSCKSPFTSCTRTKFAAITRGSVSIDVRDEVSINVGWKISVDGRLASVDGGERVWINKICVWVDSGWQVSIDKLLLLSIDEE >A01p013680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6672891:6674612:-1 gene:A01p013680.1_BraROA transcript:A01p013680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIRRLDLIDPYYTCSPPLLIHRETSIVKPPSSFFDYVFEEDEEDLSPFPFGFSPPPSPLDLFFETDLVLIEKSIRRRREEEYPPLQYLCDRVSQLETKFERCLVGGGRDGSDRKYKLTKEIKGDSGERKYKWEAEIQGPPGRKYKLEAEPTERKYKWEAEFEGPGERKYTWTTEIKGGKKKDVVALKKAKAKAAEAAEAEEKKKEMAKKKKKKSYNWTTELKSERENGEMQHTYTIKASSSSGGEKGKKHEEKEKKEKPRVVVIEEEDEEDDSEEHGAILLRKAYSRRSGAVRTKKGKNKEMPPEYAAVMIQRAFRAYLIRRSKALRALRDLAIAKTKLKELRASFHNFNYRRVISRDAEERQKFSEKIIVLLLTVDAIEGVDVMVRGAKRSMVDELEAMLEVVDPQPQQGKSLSMRRRTFDMPDSMIRNEIAEGVTQIVQMLETEEE >A01p011920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5802587:5804156:-1 gene:A01p011920.1_BraROA transcript:A01p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g19350 [Source:Projected from Arabidopsis thaliana (AT4G19350) UniProtKB/TrEMBL;Acc:Q8LAF5] MEEPGHETGIPGRRQSDLKQSFRKAVRYLLTACSREDFLEIFSKFDVAEQNRLYWLTTQVIVNLHQSLEGEFDAQCQAFQVGPILDKVEQMVEEQSLDPLCSDKSNVMDLANDLTTAKKSEIQRLTALIQRAEEHNRQMEARIRVLREQPQESSDTANAIKKLKTGITAYFEGNDKLPPI >A02p003670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1657662:1658406:-1 gene:A02p003670.1_BraROA transcript:A02p003670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSHRPLESRASIVERSCAQRLEDREEILAFCWCPLLVTVLIVRERRSNCPGWQLLFDLCGSMFESAGWNRIYRHVIIYMEKRFSSARVRIKTWGITQGCVLCRERDESREHLFFVCSYSFTVWTNIAGKLLGRDITLDWDDTIAHMLNVTRSSIDSALIRISFQTVLYSIWRERNSKIHGGNWLAVEAVTRSIGKAIRSRISPLRYTGNHKLEGMLHINY >A05p031670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18274104:18275740:-1 gene:A05p031670.1_BraROA transcript:A05p031670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQLRNRGIVITLTLILLSLISLNHTPTTISSDALSGRCSSVVDARLVRFWEARNVKRGGELMWMDLLMVDVNALLSGDLQSNIAPTSSEPYAKTTVRSTKAATSSHSRPHGAAFIALRLLSYMPCHEMNLTWTSNWVGRFHLTETCSNEHGFSHSNYQKKKKALSQVLHCRQAFSDVLLRFQYTCILFGTIIKSNMYVSCYRGQKLIGSSFGDLEYMDGAFLQAESSMNVGEAYHCRPDRIRVQGVLLSGERDGAFSLYKVSNHKSLKAQV >A09g513260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40321819:40323633:1 gene:A09g513260.1_BraROA transcript:A09g513260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIFFFLELMKIFQRNSDEYLSGRRNFLEIFSFNRANIPPNILRKLKLKIPRKFRRICIPRDIPTTTSSEYSEGHRSSEYPDGYTSSEYSEDLSDELVVLGVSSEIHFLGIPSEISGRFPRKNEFPRSYFRGHVSSVCRRNNVIPTTYRRFFPS >A03p004920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2053354:2054509:1 gene:A03p004920.1_BraROA transcript:A03p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKAVMGAGRRWAVDFSDQSTVPSSRDILDPPGFSRASPEQDDSATSRQKKDAEATWKLQKAWEVAQSPFKNLMMMGFMMWMAGNTVHLFSIGITFSALWQPLSALQSVGKIFEPFKDNKVELLMPKLVFLALNLGGLALGIWKLNTLGLLPTHASDWVSSLPPPQEVEHSGGGFAFH >A08p010130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7730988:7731631:1 gene:A08p010130.1_BraROA transcript:A08p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQDLCLMLRPTIMLLLESKLNEPLLLTWPWPPGIQMRRNLWQDGLLGTHFPIPLRWNFTYQFQVEDQIGSLTAKWYTQYHKVGEYMIMGKNLGCRMESHHWKGSLQVQQQ >A06p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4822851:4824447:1 gene:A06p003150.1_BraROA transcript:A06p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPVPTASGNNAHMMYVFNRNGVCLLYKEWNRPLHTLNPQQDHKLMFGLLFSLKSLTAKMDPTNADKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTHPKTGDLRESLKYIYGLYVEYVVKNPIYSPGSPIKSELFNTALDQYVRSIS >A07g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6283093:6292006:-1 gene:A07g503150.1_BraROA transcript:A07g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHRTNNALERPNRSDAVKSLWLPLRSDLTRATGRSRSPFWFSETRNGSRSDLSQRPSEVAPEAWSDLSERQGEVARLFGSRRHEIALGATSRSDPARSLPKPGATCRSDGPRSLHVSILLELMISQGPFATKRRIIFVLRKNHQKPLESHLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEISDLKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPTFQYNNYQQKSYSNNQQSGYQPRNNQQGRYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKSERRSHSDQSRSLALATFCAPKTPSERVHEVAPAGSDVIGATPRSRSRFQRNRAQKLTWSDVLERHLEVAPAQSDVLERHLEVAPAQSEVSRATLQGRSRFRRITTRENDSGATSPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGRLEAERQEAESQEFALRGKTLANEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEESETESEDEPAPTKKAKMSKGKGVAVDRDREKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKLGSRSMEENTT >A03p012010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4797646:4797957:-1 gene:A03p012010.1_BraROA transcript:A03p012010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >A10p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17553548:17556117:1 gene:A10p028140.1_BraROA transcript:A10p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTRILSLFSPPPPILRGLFISRLTNLRRLHRLASAPSFVNSSHRRSPLSFPPLIPTIPPGRFHTHRARTSASDSVPSHHHQLPEWAELIKSLSKAGYFTDSGFENEFFPGLPEELLRPGIACLALARDRPELLEMVSRRDVQVVVENVKPFLFRTGPDSLKRMRLYLTSGRQGIGKVVDMDKASSVDLMRLLLSYVVDFAYSEGSKHHDREIMESAVRNLLSEIAKMSLRTPESNAMQNKFSERNGGSFQKNVEMKRGDWICSRCSGMNFARNVKCFQCHEARPKRQLTGSEWECPQCDFYNYGRNIACLRCDCKRPRDFSLNSANSAYSKDPELERRLVENEEKAQRWFSKLEHGGSDANSVDTDEDFPEIMPLRKGVNRYVVNTRKTPLERRLANAETDGNSKGSDDKALGTQTNRSLNEILGSTSSLASSRRFESSQVVNSDFVPFVPLPSDMFAKKPDKEGTQKGQMDDVSDDNGILYKEDKSSGKETDQPEKDEKGSDWLKKITELHKVSDPESLIAEENSPEKIPMRKGENQYVVSRKKDRSLTSPANKRRMSIETKDSDFVPFVPFPPDYFAEHKQPEETTTTTTDTISAPVTKNPSQVVQKEPSSSIPEPMAGNIRNGKSLEGSLVKEPDLLDMSEEAKAERWFKRVAEIKNISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLASQRQQRDPPPFTDSDPASNRDA >A03p041840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:815822:819492:1 gene:A03p041840.1_BraROA transcript:A03p041840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLATTLKPLSPFSSFVKQHKTSNNNAFSTYRTHTCTNSGFFKRGGAVAVPHKKYTFVGVHDAPDQVESSWGIPLSEVVVVRKKRAFWQRSWNYWDVIRLVQVAGVHLLSLLAPFYLSWLAFRVFLWIVAINGICITLCYHRNLSHRSFDLPKWLEYLFAYGGLLAFQGDPIEWVSNHRYHHKHCDTQRDPHSPTQGFWFSHMAWIFDSGYIHKKCGGQENANDLVRQPFYKFLQRTILLHEMAFALLLYICGRMPFLVWGIGVANVARYHGTFLVNSVCHTWGTRAWNTPDLSKNNLHGLEWWQLDFTWYLIKFLEAIGLATNVKLPSEAQKKTMACN >A06p021220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10503129:10503554:-1 gene:A06p021220.1_BraROA transcript:A06p021220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRAARRVFILSDNAHQDGIFSIRCPLEVYLSPLSKLVGSWCATLRELCRSGNSSRVWHPLLVRGRRGIAENCAVSYQGWSFFSNGRDDLSVGGSLIGWQFLTFFFSLVWDLLLPRHKGGEVRHVPDLRGSGSAIVCGG >A03p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8760015:8762575:1 gene:A03p021210.1_BraROA transcript:A03p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLGANGTIVLAIIFVGCLFSFTTAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDNERLIGEAAKNQAAVNPERTVFDVKRLIGRKFADKEVQKDRKLVPYQIVDKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHQKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNSLETYVYNMKNQVNDKDKLADKLEADEKEKIEAATKEALEWLDENQNSEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGGAGGESATEEEDESHDEL >A03g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6955955:6961952:1 gene:A03g502040.1_BraROA transcript:A03g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLVGRSERRERPRGVALGGRSERGVRCERLRGVAPGGRSGLVGHSDFVMSLREVAPMLGSSNGHLFTSFELQITSCGTPQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAVAPTGRSGSGATLVGRSERSLQGFASKSSRIMRRERPRGVALGGRSERGVRCERLRFQEGRSEKWDTATS >A09p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48780812:48782578:-1 gene:A09p038880.1_BraROA transcript:A09p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSTQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKNQIESGAGLARRRIQEATDALRAEFQARWAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A10p006670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9812220:9813653:1 gene:A10p006670.1_BraROA transcript:A10p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 71C5 [Source:Projected from Arabidopsis thaliana (AT1G07240) UniProtKB/Swiss-Prot;Acc:Q9FE68] MKKSELIFIPLPETGHLLSTIEFGKRLLDLDRRISMITILSMKLPYAPHADASLASLTASEPGIRLISLPEIQDPPPIKLLDTSSETYIIDFVAKNIPFLRKTIQDLVSSSAQDSNHVAGLILDFFCVDLIDVGREVNLPSYIFMTSNFGFLGFLQYLPERHRLVSSKEFEESSGDEELPIPAFLTRVPAKVLPPGVFDKLSYATLVKIGERLAQAEGILVNSFSEVEPYAVEHFSRGDYPRAYPVGPVLNLTGRTNPGLASAQYEEMMKWLDQQPDSSVLFLCFGSMGVFSAPQIKEIAHALELVGCRFIWAVRTNMEGDGDPHEPLPEGFKNRTMGRGIVCSWAPQIDILAHKATGGFVSHCGWNSIQESLWYGVPIATWPMYAEQQLNAFEMVKELGLAVEIRLDYVADGDRVTLEIVPAGEIATAIRSLMDGDNPIRKKVRDIMLAARKAVSNGGYSTVATGDFIKDILGDHV >A04p013990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5254702:5254920:1 gene:A04p013990.1_BraROA transcript:A04p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLLPALRRSSRLTISSQPPSTKRIIYSEVLVFTTLTFAVNQQLLHKVLTREGKKRRRSRDTYRGCGKSA >A08p031720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19354486:19355174:1 gene:A08p031720.1_BraROA transcript:A08p031720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSQKTKPRKTKRLAKKSRVQIILDLFYRAIETVLVLVTVAKLCYQLVLTLHDSGVAAVVIANRNLAFVVANAIVIALIAKSGLLLNQEVVTKSKRNALYEEFVQESSRRDEGKQSEAEKETLNITETIAKQSIPEKVTKQRDSVKREKQSIVEHQEIAVEKMGKQKRQSQSYQRSRLRRSETDASSERFDSEDELRFKIESFIARQRRNQNDD >A10p029690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18116036:18118593:1 gene:A10p029690.1_BraROA transcript:A10p029690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSVIAWGSGEDGQLGLGTYEEKEWACVVEALDPFAVRSVVGGSRNSLAICDDGKLFTWGWNQRGTLGHPPEKKTESVPSLVKSLANVKITQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPSKDESGRPVRRDIVIPKRCAPKLTVRQVAAGGTHSVVLTREGSVWTWGQPWPPGDIKQISVPVRVQGLENVRLIAVGAFHNLALKEDGTLWAWGNNEYGQLGTGDTQPRSHPIPVQGLDDLTLVDIAAGGWHSTALTEEGEVYAWGRGEHGRLGFGDNDKSSKMVPQKVNLLAGEDIIQVSCGGTHSVALTRDGRIFSFGRGDHGRLGYGRKVTTGQPLELPVDIPPPEGQFNHADEEEDGTWIAKFVACGGRHTLAIMEWKADQEEETE >A01p056270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30690681:30692465:-1 gene:A01p056270.1_BraROA transcript:A01p056270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQKPKKASSGSNSTGSTDSSGSFKAIAPKSTDLAALSPSSSKTIDLPGSSPSPANTATVDLQISSETEVNSEPMDLVKPNSDTAAASQIVSAQVASPEIDVDLVNAQPAPLSSGITPADSEPPSSSKQKLQHQVFTSLKTNRFEASSSGQRTPPVPKLIGPSQGFYKSSSAGLNGLTGLKTGSLCVDLNNVFLGSPTGSPHLSDYGSSSGSELPSDEDDNPNDEGDKFINVVSRRIQKQLKSKGKAKARARCPQNL >A02p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16248945:16250769:-1 gene:A02p031420.1_BraROA transcript:A02p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVHIMEIGSSSTVAGGGQLSVPPGFRFHPTEEELLYYYLKKKVSYEPIDLDVIREVDLNKLEPWDLKDKSRIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIHLNSSKKIGLRKTLVFYTGRAPHGHKTEWIMHEYRLDDNENEIQEDGWVVCRVFKKKNHFRGFHQEQDQDQNHHHQYISTDNDHDQHHPHQHRTESKSNNHSSFVPHTLNHHHMGRQIHMPVHEFANTLSHGSMHLPQLFSPDSSQPFVSSINTTDIECSQNPLRLTSNNNYGGDWSFLDKLLTTTNMNQQVQNHQAKCFGDSSNNGNNDHAVTSSSPDNQRFPFHYLGNDANLLKFPK >A06p048760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25817910:25819897:1 gene:A06p048760.1_BraROA transcript:A06p048760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPLGICLMFMITTSTIYEVQGHFLLDHYMKKIPKISSEFEPFAFKGILSFIDHLEGLCPLKVEYKEFFTKLKDFMAFINSASGSSAEFHTQLKTKSEELFKAITKMGGTAGASAHTTKLIESLVSMGKTLAEYKRSGSQTLTSEQRTELVTSMSRWAQTIGQFVKSVTETNGGGNIDLKSLGCGGATGISTSMETGSTATGSTSMETGSTGGSGSPSGDTGSPSAETGSPAGSESGSPSNETPAEGGSGSPSGSPSDSSSGAGSTGSETSAEAESTAGAGSGAGAGGASASGGASETSTQTSAEGESSMNSGGSYADTTGGSAEGSASSPSGSASGSSETSSITGSENSSYQAGGSSAGGPSGSTTEAAGSTEGGSGASVNQSVKGKVGATSYEGSSSYKKSHSQTSESGQSSFKTEKKAGSS >A03p021940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8883184:8889094:1 gene:A03p021940.1_BraROA transcript:A03p021940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPPHKRQLKDPVSPSPFPDSLATKVMKNTDFKSSSVKVNIAYSRDAIFKWFLIGSKGISDEVPPSIKLVPVSSDSSDSRYGEKSFVLMNTNVEKENVIAEESEEEERTRWMLMAEKVEKDLVVAYEQAKKGMQDHHLSDNAKLRLVARFGKILFRRIHAGPVTEYWQAKSSNRTFCTDVPTSFIQNIKSKAIPINEFCIDLEKEVYVVQISHYTRPHSTIRCKCTAELNPLRHLVVDVSCIDKNLDMRFMLAGKRKMFTLTEKERSNIQRMLDSVTVDSTVKGGLTWPHGKPPSENGYTIFEVCHVRATTYKNKTLRLRVRETNGYSERFGTWEVERGVTLILQDINTKLQEQNIERGCVLEMLRDALGTIWDFLHCDASLTNLEISKDVESTKGSDMSYIPPHKRHSKDPDRPSPVPDSLATKFKKNLHFNASSSDKRDRIIYSGDSITKWFLIGSNGIEDEIPLSAKLVPLSSDSVLCKKGIKPSILMSINDHNESREEEERTRWLLIAEKVQEDLVLAYERAKTAMDGENQHVLRLVASFGNNFFYGQKPSNKIFSTDVPTSYLHHIKSHLVPRHGLCIDLEKERYTVKVSHYTRPNETINCKCIVKEDGRLSMYKASLKFLLCLCLLMSYMKACVLFLEQVEHNQIRHLLVDVSCIDKNLDMRLMLAAKRKITSLTEKEISDIKGLLDSATVDPNAKGGLRWPFGKSSSGDGYSVFESCHVKATVYKNQTLRLRVRETDRYNERIGTGEVKREVILMLKDMNSKLQEQNIDRGCVLEMLRDVLGTLWDFLHCEAYLT >A06p039660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21396690:21399844:1 gene:A06p039660.1_BraROA transcript:A06p039660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor AIL7 [Source:Projected from Arabidopsis thaliana (AT5G65510) UniProtKB/Swiss-Prot;Acc:Q6J9N8] MANWLTFALSPMEMMKSPEHPHFVSYDDDSSTPYLIDNLYVLKEEAETSMADSTPLASFFNPQTHSPTHIPKLEDFLGDSSSSSFVRFPDNHPDTPDSSSLYHPRHHTAATGLFSDHQHDDFQAVEAGVKEGCTKEGALSLAVNNTDGERVKSSGKATVSKKEAKAVETTSTDDLTKKKKKVVESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEDKAARAYDLAALKYWGPAATTNFQIASYSKELEEMNHMTKQEFIASIRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDVEAIMNSSFPVGGSAVKRHKQLSLESPPPPPTDDHNIQQLLLPSSSVELDPNSIPCGIPFDPSVLYHPQNFFQHYPDPAVPMNQADQFFMWSNQSY >A09g514450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43057190:43058202:1 gene:A09g514450.1_BraROA transcript:A09g514450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDGELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKQLTKGSETEQRVPMAEDPKTKAIPHEVPDYSVSKAVRSKERLPTIPPSPGGIVITERGDPTRATSRQTGPNDRGKNKRPVEEETDSESDSDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDDSEELPVDDGLHWGKFDEALHEMLNNPYTPAFFGRDAPPVFNNREGTGKKNF >A05p004090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1578719:1579711:-1 gene:A05p004090.1_BraROA transcript:A05p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWRRKKIKEATEPQRLFMENGSILLQELVKCCNGKTNPITTYSTDQILKATNNFNKSNLVGKDDCDYHYYRGTLDDDDNRLVMIKKKAYGGRHVRKICRDISVSSMVSGHKNFLKLLGCCLELTCPALVCEYAESITVNAHHQIDPTLTWNKRIQIARDISNSLAYLHTAFSTTFIHRNVHPRNVFLDVKGVAKLGDFRNCVTIPQGESFVRENKLEGTYGYLDPKYMSKGMITENVDVYGFGVFMLVLLSRRTPGLDDELHPDFLTRLVEDGRFVEILDPVMLEGDFGEGELCRMEAFFLLSLKCIGLRGEVPEMMEVSKELKRIERF >A06p056630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29484445:29486350:1 gene:A06p056630.1_BraROA transcript:A06p056630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYAKRRVSGPNQAAGSSTRRSKALRVEKKKPKIVSLKNQMRSVERFLRKDLPAEVKETLKQKLEYLKKQQDDHTRLAVERKIFLRNRKIRFFERRKIERSIRRLEKLQRTSSAHVGDADIAEQLSKLKEDLEYVRFFPKNEKYVSLFTGAEDSEVIERRSKMRKQIKANIIVAAASGKELEETGSEDDGLLDLSDDDFFDKGSSSDEADADDELTDKSTKEAASSRATSGMSSDERNQKQNSARALMPPPQARFGSNSRKNSSMQRNEMPSSSRNTSNRRSESSYNARAAAATSYGARAAASTSYNARDAAATSYSSQSSNLSSNSDAHKPKRKRRPKKKKQQE >A01p050380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28383299:28386074:1 gene:A01p050380.1_BraROA transcript:A01p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(6-4)DNA photolyase [Source:Projected from Arabidopsis thaliana (AT3G15620) UniProtKB/Swiss-Prot;Acc:O48652] MRRLPSSVCSPSSHRLSPVTSMSTGSNSLIWFRKGLRVHDNPALEHASNASSGSMYPVFVIDPRYMEPDPSAFSPGSSRAGVNRIRFLLESLKDLDSGLKKLGSRLLVLRGEPGEVLVRCLQEWKVKRLCFEYDTDPYYQALDFKVKEYASSVGVEVFSPVSHTLFNPTDIIQKNGGKAPLSYQSFLKIAGEPSCAESELVMSYSSLPPIGDVGSLGVSEVPTLEELGYREDEQAEWTPFRGGESEALKRLHKSISDKAWVANFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYQCLQRVYKDVKKHTSPPVSLLGQLLWREFFYTTAFGTRNFDKMKGNPICKQIPWKEDHDMLAAWRDGKTGYPWIDAIMVQLLKWGWMHHLARHSVACFLTRGDLFIHWEQGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQALPLSLTIWMYCFFSHAYILRRCLYAGDMPKQYIYEPWTAPLSVQTKAKCIVGKDYPKPITMHDAASKECKRKMGEAYALNKKMNGNIGDDDLKDLRRKLEKDGESNIRNQRPKLN >A04p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22670379:22671832:-1 gene:A04p040160.1_BraROA transcript:A04p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKTTKVDVESPAVLAPSNEPAPAPVEASTEVAEEKVHDPPPPVESKALAVVEKPIEEPTPKKSSSGSVDRDVKLADLEKEKKTSFIKAWEESEKSKAENKAQKKVSDVLAWENSQKAAVEAQLRKIEEKLEKKKAEYGEKMKNKVAAIHKEAEEKRAMVEAKRGEELLKAEEMAAKYRAAGIVPKATCGCF >A03p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18908089:18909057:-1 gene:A03p045250.1_BraROA transcript:A03p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGKMDSPHSNLLAAADPPPVTDEQNRDDVPIEKAEKPRTFPFPLSEETDGNDDDVDDLIKDSSKLSLEHKKSSLPPLPPRALSKWSTQHPNLCKHKATDSLKPRKHEEVEITKRKSSKNMFKSEKEFFELMLKYQRVISERDSAITVRDKLESLCRELQRQNKMLMEEFKRVSTEEQTLRSDLSTKFQEAINVVELSHKQP >A03p055350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23868741:23871077:-1 gene:A03p055350.1_BraROA transcript:A03p055350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDDKYEKAERGSTKILPKTVLLILLCGLSFYLGGLYCGKNKLQVNDVAKAGSSLDVVDNSPQVKSVSFPECSSDYQDYTPCTDPRKWKKYGTHRHTFMERHCPPVFDRKQCLVPPPNGYKSPIRWPKSKNECWYRNVPYDWINKQKSNQHWLKKEGDKFHFPGGGTMFPNGVSAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEVHRILRPGGFWVLSGPPVNYENRWKGWDTTVEAQRSNYEKLQDLLTSMCFKMYAKKDDIAVWQKSSDNTCYNKLSNDPDAYPPKCDDSLEPDAAWYTPIRPCVVVPSPKLKKTGLESTPKWPQRLHATPERISDVPGGNGGLFKRDGSKWKARAKHYKKLLPAVGSDKIRNVMDMNTAYGGLAAALVDDPLWVMNVVSSYAANTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHVDGLFTSESQRCEMKYVMLEMDRILRPNGYAIIRESSYFVDNIASVAKGLRWSCRKEQTESESENEKLLICQKKLWYSSNATSETK >A07p031380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17378886:17382190:1 gene:A07p031380.1_BraROA transcript:A07p031380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSELLNVEPLDLQFPFELRKQISCSLYLTNKTDNHVAFKVKTTNPKKYCVRPNTGVVLPRSTCEVLVTMQAQKEAPSDMQCKDKFLLQGVIASPGVTAKEVTPEMFSKEAGHLVEETKLRVTYVAPPQPPSPVHEGSEEGSSPRASVSDNGGQASGFSSQRFIAADKVEPQENTSEARALITKLTEEKQSAIQLNNKLQRELDQLRRDSKRGQSGMPLIYVLLKARERMMMRCDLKRNKFSKRMSTTAKEAPSWSVSSLPDDIILNVIARVPRRYHPILSCVSKKLQSLVRSSELQITRSLRGKENRFYVGFREKYPSSYHWFTFTESHHHLASIRFPSFLLKSYSTTPVMVGTDIYIVGGSRNMWILDTRSGKLRQGPKSPVFRHGAAVGLVNDKIYIFGGTCPQCCYQDIKAQAFDLNTQTWQLAPNPSMQVDSKNNSVVTPALGRKIYVMGGKDVIVYDTRDGTCDEIIRAEDFNSKTICVVDNVLYMYYHDVGLMWYESKAKEWRVVHGLKFKGYFSSIKMAEYNGKLAFLWHSVNNGEVWCSMIALYGSSNVAIRGLVEWSDRLLSDVPFSYDMKDFMVCTDYYK >A01p019140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9273550:9275732:1 gene:A01p019140.1_BraROA transcript:A01p019140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSICLCIFLLFITWIPILSTSFPLSTKTRWIVDEKGQRVKLACVNWPAHLQPAVAEGLSKQPLDSISKKIVSMGFNCVRLTWPLDLMTNDTLARKITVKQSFESLNLFDDALGIQIHNPKILNLPIFNAFQEVVSNLGQNGLMVILDNHLTYPGWCCSDNDLDAFFGYPNFDPVIWAKGLGKMATLFRNVTNVIGMSLRNEPRGTRDYPDLWFRFMPKGAEAVHAANPEVLVILSGIDFDTNLSFLRDRFFNVSFTDKLVFEQHWYSFSHEGGAWVKHNSNDICAKIIGEVNHNGGFLLDRGFPLILSEFGTDERGVDVSGNRYMNCLVAWAAEKDLDWAVWALTGDYYLRTGTKHMVETYGVLDATWKNVRNSTYLQKLSGIQHPFRGPGLQEKKLILHPHTGLCVTNNHSGNVPTLRLELCTKSEPSTFNPKEGILWINKMCVETPDVAGQKVKLGVGTKCSKLGQISATKMHLSFKTSNGLLLCLDVDERDNSIVANPCKCLTKDASCDPASQWFKVL >A03p043580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18231886:18233462:1 gene:A03p043580.1_BraROA transcript:A03p043580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKINRHLTLIPVILVSLYYCLVSATPQHGGDHFVLVHGGGHNVTAVDLAASGIDLRRAESLRSFAQYIGPLMSLMETLSEDEKVILVAHSLGGLAISKAMEIFHDKIHMAIFVTALMPSLAFNFTILSQGLARWQALQLDSKAASTHYPINTVILIFVTLIFEDVELAELLVRPQRLFSNENIYTSLVLTPERFGSVNRIFVLSDKDRTLVKEFQLWMIKNNPPNHVEHIQDSDHMVMISKPDLGDYLLSLAKKFA >A01p006600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3349671:3354821:1 gene:A01p006600.1_BraROA transcript:A01p006600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEKEIENQILEAGEKLVDPPSSLDELLPLLDKIFTCLVDVEQSPPASMQNALSPLMTALVDGKLVKHSDIDVKVAVAACISEITRITAPDAPYDDDKMREVFKLIVSSFENLDDDSSRSYSKRTSILETVAKVRSCVVMLDLECDSLLIEMFQNFLKAVRDHHTGNLFSSMENIMTLVLEESEEIPPKMLSPILHYVRKDDEVPQVSRSLAEQVLSKCASKLKNYLTEAVKSSGVSLDKYSKVVASICEGTFSALQQDQLVENEKEDSQGHLTKETEVEQDKQEAEVISTPERTDPPKDESSKSGVSNGIAQQNDSSVDTESTKKLDDTNAKDEPEQLDNPSNTGLDNTSEEKPTVEHQTQEREPSSVKEVDSSKSSDVKEETEPEALLESKDVQSLPPDDSSVNAAASENDQETSVQAFPSKTSADETANVSSPSKAEDLVEEIRPKTTTKQKKKGSSTKEAKPSADETANVSSPSKAEDLVEQIQPKTTANQKKKESSTKEAKSSSDETANVSSPSKAEDLVEEIQPKTTANQKKKESSSKEAKPSADETATVSSPSNAEDLVEETRPKTTANQKKKESSGKEAKPSAASVTEEAPEETNTSEAKVTKKSGKKVASSSKAKSAVSPSKKSTSETKAAKQSEKKAVESDNVQESSKPKVEKKKTGRGKAMDDNSSGDSEKPAVSSGKSASKSKKEVKEPIEESPNTSSKRKRSLGKASDLQTPDGNIVGSRVRVWWPIDKAYYKGVVDSYDSAKKRHLVIYDDGDQEILNLKKQKWHFLDESETEQGEEAADQTGHEEEEPQSKKAKTGKQSKIGASGKKGGGAGSSKSKATPASKSGKKSQDDKPKDSKAAKGKAKSTPASKTKDSDVESESEETPKAPEPATKGKSVSSGKSQASQSKSGKKRKR >A07p005440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2148741:2154647:1 gene:A07p005440.1_BraROA transcript:A07p005440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVWQPRDDGLAEIFTLLEQQISPSSAVDKSQIWKQLEHFSQFPDFNNYLVFILVRAEGKPIGIRQAAGLLLKNNLKRVWISMAQENQKYIKSELLPCLGAVDRHIRTTVGTIISEVVNIEGVSGWLELLPALVSCLDSNDLNHMDGAMDALSKICEDIPQVLDSEVPGLAERPINIFLPRLYQFFQSPHASLRKLALGCVNQYVIIMPAALYNSMDKYLQGLFVLANDPVAEVRKLVCAAFVHLTEVLPSSIEPHLRNVMEYMLQVNKDPDEEVALEACEFWSAYCDAQLPTENLKEFLPRLIPVLLSNMAYADDDESLLDAEEDESQPDRDQDLKPRFHTSRLHGSEDFDDDDDDSFNVWNLRKCSAAAIDVLSNVFGDEILPALMPLIQAKLSASGDEAWKEREAAVLTLGAIAEGCFNGLYPLLSEANDKFPLIRSISCWTLSRFGKYLIQEIGNPKGYEQFEKVLMGLLRRLLDSNKRVQEAACSAFATVEEDAAEELVPHLEVILQHLMCAFGKYQRRNLRIVYDAIGTLADSVREELNKPAYLEILMPPLVAKWQQLSNSDKDLFPLLECFTSISQALGVGFAPFAQPVFQRCMDIIQLQLLAKVDPASAGAQYDREFIVCSLDLLSGLAEGLGSGIESLISPSNLRDLLLKCCMDEASDVRQSAFALMGDLARVYPAYLQPRMVEFLEIASQQLSANLIRENLSVANNACWAIGELAVKVRQEVSPIVTNVASSLGLILQHGEAVNKSLVENSAITLGRLAWIRPDLVAPHMEHFMKPWCLALSMVRDDIEKEDAFRGLCAVVKVNPSGGVSSLVFICKAIASWHEIRSEDVNNEVSQVLNGYKQLLGNSWAECLSALEPPVKERLARYV >A05p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:340680:341715:-1 gene:A05p001620.1_BraROA transcript:A05p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFPSYRWLIFLTVLMRNTSFEMALVGYYHGPEFQESSRGSMKGFRRLFRSLTQEGDSSSMLFINSKLHLQIGDIGEILPNGVLRIIDRKKNLIKLSQGEYVALEHLESIYGQNAIVQDIWVYEQTLFFSAVIVPNPETVNRWANDFGFTKPFEELCSISELQEHILLELKSTAEKNKLSKFEYIKAVTVEAKPFDIERGFVTATLKNQRKNLLKFYRFQYLNFT >A06p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7546120:7553347:1 gene:A06p016790.1_BraROA transcript:A06p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFSGSHLLFFSFIIATGTLSVVSGTVFTIVNSCSFNVWPGVLTGNGAQLNDGGFLLTPGASVDLTAPAGWSGRIWGRTGCNFDGSGAGRCLTGDCGNKLKCAGAGGVPPVTLAEFTIGNGGGQDFYDVSLVDGYNVQMGITTRDGSGDCQNVGCVSDLNGSCPNELRVMDGGNVVASIFLWNDSISTVTVVSGTVFTIVNSCSFPVWPGILTGDNGVQLNDGGFELAPGVSVDVTAPAGWSGRIWGRTGCNFDGSGAGSCLTGDCGNKLKCAGAGGVPPVTLAEFTIGTGGGQDNYDVSLVDGYNIQMALTTRDGSGDCQNGGCDSDLNGSCPNDQRVMDGANVVACRSACEAFKKPEYCCTGAFDKPETCPPTELSKIFKAACPRAYSYAYDDRNTSLFTCTNANYSIVFCPKA >A05p042420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25662427:25664098:-1 gene:A05p042420.1_BraROA transcript:A05p042420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNGRIKEERKNLSFFKIFQSADLSSECMRALPYNFMQNLSKEDFSYKMVIRAEWGSSWEVDVSKNPRFYYVEKSGWNQFVSDNALGDNEFITFTHQGSMSFNVNIYGKNGKEIFAPRKPLTATPLSGVKKEEGESSYKDVKKEEETCESMDGVEVEETTKKAYKTSKKKSKKVVTHVEVGESSRGRKKKAEKLKKKKIKTVKKGVPEFEITIRKSYLKFLLLPRVFEEEHIPGESMEYTIHHSEMKCSWNVLCLVRENRTVFSSGWSRLAREFPLKVGDRCTFKLIKPTEFVLITKKSRKEITVIG >A04g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12226916:12236132:1 gene:A04g505900.1_BraROA transcript:A04g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPQDKGTIDATSEADQAIEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQVQNSGYQRGYGNQGRTFVLSPAQNTQFHNQKQPTNQQPAQPAQTAPQDEMKSLANMMSQLLQGQQIQGKALNQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPAAEPVPTRDYVPKVPYPVPAKATRKDKEEMKYDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFAHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREIVSNILFAKEHTSKVTNGELHVLYTGLEDEIRRDRVIPIQTVKTNPGFLLITMLSERKDSMVRTEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHLCVDPRAPIPDENAAGDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMVDSQKKNNSLMKRILRALTGGCIRGDESGVGRRMKRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGTDQQRDHHHDSGVFQLSDPSSRKHCTALE >A05p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2787730:2789019:-1 gene:A05p006910.1_BraROA transcript:A05p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETARRQGGGRPLPPPPPRGVNQQPPRPKTEPVDREKTCPLLLRVFTKQTGGHHTKEDYAVRGKEPKDEVQIYTWKDANLRELTDLVKEVSVAARRRDARLSFAFVYPDKNGRFIVRQVGQTMSYPNRKQPDDSKTLADLHFEIGDYLDVAIY >A08g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12890441:12896469:-1 gene:A08g507370.1_BraROA transcript:A08g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTLRATGRSRSRFHHPETRERARSDLSQRHSEVAPETWSDLSERRAEVAPCFVSARTCDFSRASWSFHYAPTRRQIIFVLRKTTKNLWRGISLNELIN >A03p057710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25016472:25017922:-1 gene:A03p057710.1_BraROA transcript:A03p057710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGEVVRTSDVSDGGVMVVRSNAPSDFHMAPRSETSNPPPASVSPPQNSFAPTAPPPTTEGFSRGPMKKKRGRPRKYGHDGAPVALSPNPISSAAPTTSHVIDFSASEKRGKVKPAATPSSFIRTKYQVENLGEWAPSSAGANFTPHIITVNAGEDVTKKIISFSQQGSLAICVLCANGVVSSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPSDSDGTRSRTGGMTVSLASPDGRVVGGGVAGLLVAATPIQVVVGSFLAGTNQQDQNPRKQNHNFVSSPMPTTSNAADHGTIRPTSSSHQIGTWTPTLASDPRHKPSHDINITLT >A05p039030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23915751:23921145:-1 gene:A05p039030.1_BraROA transcript:A05p039030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) UniProtKB/Swiss-Prot;Acc:Q9LT25] MEGENVTEPLNTAAPSTGESIFATAPPPSDSVLATTASSVSPSSKYQPEQSSMSIATTAQLSANAAASSIANPIPQAPHMLQNPPFGRPGTLAPPGLMTSPPAFPGSNPFSRPGGPAQINPGVHPHMYPPYHSLPPMHGTPQGMWLQPPPMGGIPRAHFPSHPTPFPGNYPFPVRGASSHLPYPGSQPLPVGNAGTVHALPGHQPLDVPPGQKPEALSGIDDRAGSQLVGNRVDAWTAHKSETGVVYYYNSVTGQSTYEKPPGFEREPDKVPVQPIPISMENIHGTDWALVSTNDGKKYYYNNKTKVSSWQIPPEVKDLVKKTEERSTESLASVPSADLTEKGSEQSSLSAPAINNGGRDAVSLRTTIVPGFSALDLVKKKLHDSGVPVSSTTTSEANGGKSNEVTPSGESGDGMGKVKDATEGGDLSDSTSDSEDEDSGPSKEECIKQFKEMLKERGVAPFSKWEKELPKIIFDPRFKLVHFQLILLVSMQALQHPLVLACTVAVFDAVFLFGSSFFLQAIQSHSVRRSLFEQYVKTRAEEERREKRAAHKAAVEGFKQLLDEASKDIDKHTDYHTFKKKWGNDLRFESLERKEREALLNERILSLKRAADQKAQEIRAAAASDFKTMLHEREVSINSHWSKVKDSFRNDPRYRSAAHEDREVFYNEYIAELKAARGDDYEMKSRGEEDKLRERERELRKRKEREVLEVERVRQKIRRKEAVASYQALLVEKIRDPEASWTESKPKLERDPQKRALNPDLDPADKEKLFRDHIKTLYERCARDFKALLVEVLSSEAASQQTEEAKTVLNSWSTAKQVLKSDIRYSKMPRDDREVIWRRYAEDILRKQKQESPQKEEKPRDYKI >A03p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15563678:15564604:1 gene:A03p037170.1_BraROA transcript:A03p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIALGLGFSISCLCGLMMDLETENRIASVLLREAAELRRRAERDGVRAYLEKPIVRHRPNSRFLTATVLGVQQSNRAVETNEMWKAREKEIELENERRKRKSREESSSSSSSRMKRSGSFSKRSLDKRCSSSNDEKRFTHPLDDEDEGLGDDEIESFLQARNKRGRGSIGPRMDETGPYLPAEKVDELQSSGTRERKVVLGPERPPSLRQHSDDKETGRRIKKDSKKDEKTKKKRKRDKH >A02p011620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5051446:5057082:-1 gene:A02p011620.1_BraROA transcript:A02p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVPNHGERSTSDNMNRHLDSNGKYVRYTSEQVEALERVYSECPKPSSLRRQQLIRECSILANIEPKQIKVWFQNRRCRDKQRKEASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMKQQLTTVVNDVSCDSVVTTPQHSLRDANSHAGLISIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCSGVAARACGLVSLEPLKIAEILKDRPSWYRDCRSLEVFTMFPAGNGGTIELVYMQTYAPTTLAPARDFWTLRYTTSLDNGSYVVCERSLSGSGAGPSPASASQFVRAEMLSSGYLIRPCDGGGSIIHIVDHLNLEAWSVPDVLRPLYESSKVVAQKMTISALRYIRQLAQESNGELVYGLGKQPAVLRTFSQRLSRGFNDAVNGFGDDGWSTMHCDGAEDIILAINSTKHLSNISSSLSFLGGVLCAKASMLLQNVPPAVLIQFLREHRSEWADFHVDAYSAATLKAGAFAYPGVRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFMSRDVHLLQVCLLVLEVSPIGACSELIFAPINEIFPDDAPLVPSGFRVIPIDAKTGDAQDLITSNHRTLDLTSSLEVGPSPETASGNSSSSCSSSRCILTIAFQFPFEPNLQENVAGMACQYVRSVISSVQRVAMAISPSGISPSPGSKLSPGSPEAVTLAQWISQSYTHHFGSELVTIDSLGSNDSVLKLIWDHQDAILCCTLKPQPVFMFANQAGLDMLETTLVALQEITLEKIFDESGRKALCSDFAKLMQQGFACLPSGICLSTMGKHVTYEQAVAWKVFAFEKNNNNNNLHCLAFSFVNWSFV >A05p007960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3249623:3251252:-1 gene:A05p007960.1_BraROA transcript:A05p007960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSLPKPLVDFANKPMILHQIEALKAVGVDEVVLTVNYQAEVMLNFLKDVEAKLEIKITCSRETEPMGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKLYVGNKINAGIYLLNPSVVDKIELRPTSIKKETFPKIAAAQVLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKCPAKLTTGPDIVGNVLVDETAKIGEGCLIGPDVAIGPGCVVESGVRLSRCTVMRGARIKKHACISSSIIGWHSTVGQWARIENMTILGEDVHVRDEIYSNGGVVLPHKEIKSNILMPGIVM >A01g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3730017:3730530:1 gene:A01g500950.1_BraROA transcript:A01g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTQGETPANIVDPCAQGSVCSWNQGAGCSSLASLELDLGLGGEQRQFGFVDLGLDWAQYQIGSADKSQILFINMLCKFFQERDMWIIQRELEIWKLLVD >A02g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24099826:24103765:1 gene:A02g509010.1_BraROA transcript:A02g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCEKGDGNYEELPALDQTVEGRIRPRLDRLFSKVHMVSEPGQAGEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENDILMDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGEFSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVYALATTRSLGAP >A06p053530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28206203:28207986:1 gene:A06p053530.1_BraROA transcript:A06p053530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKGESHSFEHIRSERSLQTTTGRFADLRKKQVKQQRYEAKKAEKKAQEKEHKRKEGERKHKEWEETLANATEEERLKLIESRKSLRKERMEKRSEEKEKKMERLTRAKEIGQNVIVDVDFAHLMSESEISSLAQQIMYCYAVNGRSTSPCHLWLTGIKGEMSTQLDKLPGFEKWFIEKESRFYIEAMADRKESLVYLTADSETVLDELDPKSIYIIGGLVDRNRFKGITMNKAQEQGIKTAKLPIGEYMKMSSSQVLTVNQVVEILVKFLETRDWQTSFFTVIPQRKRTGVDLVDSSKSEPLSEEHQEKEGDEDEKHDLLERKKLCVEVPLENGS >A03g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28993920:28994629:-1 gene:A03g508510.1_BraROA transcript:A03g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRRLQRSRSCARFSKTRRVDSPPEGVNPLVDFWGKVGDCSGEMVEKAIWRLCVTESEMRSYGYTCNEDLILAGFDLLIDLERINKISSHYSQWNRNKADKEVDKVSEDAEEEK >A06g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20728117:20733752:1 gene:A06g507420.1_BraROA transcript:A06g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYLPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A01p027050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19162336:19163538:1 gene:A01p027050.1_BraROA transcript:A01p027050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSQEETALKAGVLKHGTGKWRTILSDPEFTSILKSRSNVDLKDKWRNISVTAMYGSRKKAKLALKRTPSSHDDDNATALTIVAIANGAQHISPLPPALSCAPPRDFEGLFSSVDKMILEAIANFNRHLGPDGKSILLYVEERHNMQPDMKRLVTSRLKHLVNVGTIVKVVKPDNVKV >A07g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15772097:15773021:1 gene:A07g506500.1_BraROA transcript:A07g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLKVSLDLVPLENELEIFLQLKHGLSAYPNDPRQSANSLVTLLDKAEDSVPSIAGLRALDHEASENILQTVRELLKDRSRLKTEANAVSVLDGTQGGSYQWEKGIETFQKSLPDGKVKQVFFFDPDGNLISLFAS >A07p009310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5726921:5729636:-1 gene:A07p009310.1_BraROA transcript:A07p009310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:growth-regulating factor 6 [Source:Projected from Arabidopsis thaliana (AT2G06200) TAIR;Acc:AT2G06200] MGNQRNIWWLRVSNIAHADVKKVICDEAIQVEVPIIRCGPTTRNGAKVIREGFFKAVQEILDQDKETGQNQLLIEEMVQLNIQDQAGPIEVQDQADPIQFRSLSQNRTGLIISPSNPSSTSTPIQSGSADFLYLFMTTRIHFTESQWEELENQALVFKYIAANIPVPPHLLFLIRRPFLFSSSAYSSSPNFFSPHFGWNVYEMGKERKMDAEPGRCRRTDGKKWRCSKDASPDSKYCERHMHRGKNRSSRKPLPPPPQFYVPSTPSIFLDFSLSSSRAKSKRTGYMNDFFSIEPSGSIKSCSGLAMEGDGGSSKYESLKQREKQTDRSCFILGTELGTLERPLMLEAKQKQRDDKDYEEEEQRSKRFYKFLDEWPSSKSSGSTSLFI >A07p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19513555:19515198:1 gene:A07p036310.1_BraROA transcript:A07p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLSTISLLLLLSLLCAVISVDASFQSLPLPILMSGPQSFAFNSTEKGFYTGVSGGTILKYTPEKGFVTFARITESSNSLLCYVLQEPISSKRCGRPAGIAFNEKTGELYVADALLGLHIVSPAGGLAVKIADGVDGNPFKSLNGLDVDPTTGIVYFTSLSSHLSAYQMHLLLRLNDATGKLYKYDPSTKTVTVLMEGLGGAAGCTVSSDGSFVLVSQFTKNNIKRYWIKGPKAGSSEDFSNSPSSLHPSSIRRIGSTGNFWIAAVQRVTNQTAVAKVDSNGEVIQRIYVPLLYNFLSEVNEFDGSLYFGSLTERYVGTLKL >A03p049840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21399165:21400279:-1 gene:A03p049840.1_BraROA transcript:A03p049840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQWTIQKLVTWRVKDWANCFLASKIPIDIDEDGVNNTGNTMNNNNVMFKRTKRKMKSKKKRSERKLSLSPPGTRHHHLRSSSVSPTLESQNRRLSWQQQAPASDEPGFIVFCFDREDGGFDVVKEGKEERKELELSSEKSPRTVNRKLIYGDQGEVRTEKVNSPEIKEKEQDQEAKTICQEIKDISCDVHDQPLSQKNEEVEASDKSSGSSHSDERRGSFAFPVLGVEWMGSPVQMPKIDDLSPKKQKPIALGFQCCRF >A08g501680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4146074:4146280:-1 gene:A08g501680.1_BraROA transcript:A08g501680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTIAHFCSSFSFDGAYRHPRCRDGNWVFPSRPVPSRPAAVFSSNGSQQDRSARDAVLSRADQSRSA >A08p012520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11464858:11468706:-1 gene:A08p012520.1_BraROA transcript:A08p012520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 5 [Source:Projected from Arabidopsis thaliana (AT1G34580) UniProtKB/Swiss-Prot;Acc:Q93Y91] MAIGGLALDVAGGSGNIEAKITAAVVMTCVVAASGGLIFGYDIGISGGVTTMKPFLENFFPTVLKNATEAKPDVYCVYDSQLLTAFTSSLYVAGLVASLVASRLTAAYGRRTTMILGGLTFLFGAVISGLAANIAMLLSGRILLGFGVGFTNQAAPVYLSEVAPPQWRGAFNTGFQFFIGVGVVSANFLNYLTADHHSGWRISLGLAALPAVIMTFGCLFISDTPSSLLARGNHDHARVSLFKIRGAKNSADVEAELAELVKSSQLAIEARAEPFKTILERQYRPQLVVAMAIPCFQQLTGITINAFYAPVLFRSVGFGSAPALVATLILGLVNLGSILISTMVIDRFGRRFLFIVGGIQMFVCQVAVAALLAATVGDAGDGEMTKGYAVTVVVLLCIYAAGFGWSWGPLSWLVPSEIFPLKLRPAGQSLSVAVNFAVTFLIAQTFLATLCHFKFGAFLFYGGWILTMTVFVVMFLPETKGIHVDSMYQVWEKHWFWQRYQGSELRNPINGVWKEFQLWQISVLKNCKECRYGDEVPVYVPQGETVLISYAPQGDKTDRVEDRW >A06g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18361169:18368407:1 gene:A06g506570.1_BraROA transcript:A06g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGRGALDVLRSDRWSGDILHIYITCLSCILHTYISIRYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRSDMPRLKVDSLIDHLPSLVRYLITQGQEELCFINNNGSWYKKELNFQYNNYQQKSYPNNQQSGYPPRNNQQDSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSCFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYPSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEPPEEIIFDLLSIEKYTRTLLRSSSFGSILDHPRSNPYAHEFSFPLVKKCFDIPQNWFDNHLYYNICLRAYAMVDSFLESLPLF >A01g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16938414:16940598:1 gene:A01g505710.1_BraROA transcript:A01g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFKTVAELVPYKEICHIYVQIIRLWKNYIAADRYTIELVLCDAFGDKIHASISSSLVAPYEPRLKQGLWTIFEKISVIQSGGAYRTSKHASISSSLVAPYEPRLKQGLWTIFEKNSVIQSGGAYRTSKHAYLIEFVCNTRVCVCDFLPRALTGFQPVAFRDILDGLVNTEYLVGAYTKTYVIDQIVGVSHLEIVSVNGKDTEKITLELQNQLSDRLTVHLLGKYAIFVHDATQNLIQKKCIICVIRFAKIGVFKEVEAFLKLVNKRDPHIALVASKPYCPIPELYGNFRFFKPIIQKSIIEVLETKQQQRYIVMCTIAAIDYDMGWYNLQLVVLDNTGHSKFLLLDNLAEELLGIPCVALYGSSSDQIEDPVVVHSVLSKLVGGTYFCKIVIEEQNFMFNCQTFKVLEIIPTYEF >A02g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26868023:26870474:-1 gene:A02g510030.1_BraROA transcript:A02g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRRGKRKENPTEEEGPRVKFAKTGSGENVEKTTEEGETRAVEIVESTAKTRDESTAKTTDESTANTTDVSMEMTQTTDGSTEKTRKDSSENTAEMTEPFNVVAEAAPTTLNKGPGDEENEETASGDEENEETASGDEENEETTSGDEVNEKTASGDEVNESSEEEQENPDGENESSNQDHEDSEEEQANGEGEEEANENGNPPEPQLLAFEAIPKLGLAFREPVEEADVNCPRMCKSSFKRNGMTGVSLSAINKELSNTTSIQLGDVMKLLKRTLKLMRTVDKKVDQLDGRLAPLKEFVKEAQAKAVEEEAPAQEKAKKQKRRKK >A08p006040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3446670:3447963:1 gene:A08p006040.1_BraROA transcript:A08p006040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLPMSIWDLNTVLGNKKVELFDSAGEPTAEENVHMASCESLSTSVVHHTLEIGTQTAANLKGHTDDLDTIQFSIKKASQLVKEIGKTATDKCINDVPVSNVCGVIAIIVVKIVNPNNKDIRDIPGLGPPARSRRLLYLRNPDQRGTAHTQKSKTVCIINTKRPELMCLVEENI >A06p008650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3024300:3027605:1 gene:A06p008650.1_BraROA transcript:A06p008650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10700) UniProtKB/Swiss-Prot;Acc:Q93Z66] MAAISPATATTAASLSLPQLSSSPSSLSSSSSLNFKTATVGSRCVRCGVRSLENQSGHRSLDFLSNGDPISLINPNSSSSPISMAASASESGSKCSKRVCLFHSDETRDLAERIVAQSDCIELRSINWKKFDDGFPNLFIQNAQGIRGQHVAFLASFSSPAVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPASRGGPTSLVTFDIHALQERFYFGDTILPCFESGIPLLKNRLQALPDSDNISIAFPDDGAWKRFHKQLQHYPTIVCNKVRMGDKRIVRIKEGDAEGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKISAYVTHGIFPKSSWKRFKLDTKGDPAEGFSYFWITDSCGLTVKEVMNKPPFEVLSLAGSIASALQTNPTGNNFWVCENLIYDLWCIVSFEAFATPEFDSTRVMMSYAQRGHVLAIERLAPRLAALRIELCPYHMTVGCFWKVYFVLLHSRLSKHDAYLLSSPQVMEAIALWMKELQNQTNSTKTGRGDILQEPSTSSFYHHAPPEFLSPRIYAFEPPSIMYRDVETVSQNVQFIDKAVIEEEPIQKIDKNSGSLRPTLKDDDDDDDWPEEEDCGHKWAPMYTVNEDDVSFSDLEGDDDISSIVLKSNSTTSKGINQKGT >A07g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6785082:6788153:1 gene:A07g503450.1_BraROA transcript:A07g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSLTNLKNKFEINLSPSSFHRFFFSSLRRRRRRLSVVVSPSLSSSTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRVVGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A10p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22154543:22156121:1 gene:A10p040120.1_BraROA transcript:A10p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKWFSSVKKAFSPDSKKSKKHKSPESPNGVRQSPPPPLEVRVAEVVAERNINLSPPSTDATTTDVLVVPSSSSAPPPEVVVRPRARFAGKSNEEAAAILIQTVFRGYLAKRAIRAMRGLVRLKTLMEGSAVKRQAANTLKCMQTLSSVQSQIRARRIRMSEENQARQKQLLQKHAKELAGLKNGDNWNDSIQSKEKVEANLLSKYEATMRRERALAYAYSHQQNWKNNSKSGNPMFMDPSNPTWGWSWLERWMAGPGRPLEEPNNNLTQPNTPSSARGGTTPRNKNKNSFFSPPTPSRLNQSSRKSKDEDDAKSTISVLSERNRRHSIGGSSVKDDESMAGSQALPSYMVPTKSARARLKPQSPLSGGTITQENDGVADKASAKKRLSYTNSPALPKPRRFSAPPKVEIGDVAVTNGGGS >A06p002720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5002087:5004387:1 gene:A06p002720.1_BraROA transcript:A06p002720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCKWVSFLLIIHLLNSSQISSSLISNGFSQIPPKFLALAKRNDFYDWMVGIRRRIHENPELGYEEVETSKLVRTELEKMGVSYKYPVAVTGVVGYVGTGQAPFVALRADMDALPMQEMVEWEHKSKVPGKMHACGHDAHTTMLLGAAKLLKEHQDELQGTVILVFQPAEEGGGGAKKIVEAGVLKDVSAIFGLHVTNQLGLGLVSSREGPLLAGSGFFEAKISGKGGHAALPQHAIDPILAASNIIVTLQHLVSREADPLDSQVVTVAKFEGGGAFNLIPDSVITRQASVHMCNATVDFLQEEKPFFPPTVNDKALHMFFKNVSGDMLGTKNYFEMQPLMGAEDFSFYQVSMPGHFSFVGMQNEAHSPMASPHSPYFEVNEEVLPYGAALHASMATRYLLDSKTSSPSNSKDEL >A06g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13223878:13225490:-1 gene:A06g504200.1_BraROA transcript:A06g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHQENLQRQKSGYPTCIQVDGRWSEPHCSYPIYEGYALPHAIMCLDFEGLDVTHALMKILTERGYYFTTTSEREIVSDVKISFATSVLTTSKSLRKAVIAEVVLGSDKTRRRHRGGNDNPSTTSPPVTFENQRSRPTCEGHAPEIVGSTLTFQLNLPNFNFTAKHQSFTVSCILDNNQRPPQHNFEVHVEEVRYQIHVTTLLKEAAQERGHLWRKIGIVQRRHEMSKNVKRSRGA >A03g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22646912:22650111:1 gene:A03g506320.1_BraROA transcript:A03g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSRRLEDQPNNPQVVEQAENIVPPPPPQTATRRRGGGRGRGNAALAKAAVPPRPTAAAGRGRGIRLRDLEPEPCEVLPAAGALGATEPALNRVEGVADQDIAAEGGGSPEKIAGMEDDSSMGPVPERVQVGNSPVYKTERKLGKGGFGQVYVGRRVSGGSDRIGADAIEVALKLEHRNSKGCNFGPPYEWQVYNTLNSCYGIPAVHHKGRQGDFYILVMDMLGSSLWDVWNSLAQSMSPNMVACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTADEKKLYLIDLGLASRWKDSHSGQHVEYDQRPDVFRGTIRYASCHAHLGRTGSRRDDLESLAYTLIFLMRGRLPWQGYQGDNKSFLVCKKKMSTSPELMCCFCPPPFKLFLEAVTNMKFDEEPNYAKLISIFDTLIETCAISRPIRIDGALKVGQKRGRLLINLEEDEQPRKKIRIGSPATQWISVYNARRPMKQRYHYNVAETRLQKHVEKGTEDGLFISCVASAANLWALIMDAGTGFSSQVYELSTVFLHKDWIMEQWEKNFYISSIAGANNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMTTAGSRWGIVMSRNSGYSEQVPVTSNTYSFYFWGSIMY >A03p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5972375:5974093:1 gene:A03p015100.1_BraROA transcript:A03p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRNSYANDSDDEPEPVTDNRLTSITATPSLPSKRPYPEPEERQYKPIRKPNPPYSSYSDPQTSSSTIPITFPGRYVSKRERSLLASLSTAPPTQIQKPSVNSPTVLGSISDSQVPRHVLSKGSSFRTGMPSRMSVSLTGHTKAVTSIDWSTSHVHLLASAGLDGAVYVWNVWSSGEKKVRSFLHHNAPVKDVKWSKQGLSLLSCGYDCTSRLFDVERGVETQAFKEDQVVGVVKFHPDNANLFLSGGLKGSLRLWDIRSNKVVHGYVKDLGPILDVEFMPGGKRFVSSSDVSGRNISENAVIVWDVSREVPLSNQVYAEAYTCPCIKHHPRDSVFIAQSHGNYTAIFSANPPFKLNKYKRYEGHWVAGFPIKCNFSPDGETLVSGSSDGFIYVFGYKSTELIKKLKAYEHPCVDVAYHPVLPNVIACCSWDGQVSVFE >A05p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8081592:8081887:1 gene:A05p017680.1_BraROA transcript:A05p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMRMENVTFSLTSLDVIKAPIRLKNGQCSVFLGHLGWDINFEPLERNKGASYIARSVVKELRLQSYVSQGALRWLNGLFCEEMIP >A08p017800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12372169:12372480:1 gene:A08p017800.1_BraROA transcript:A08p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFVDFGVNPTIYELDEINRGKDIEQALAQLDCSPTVPVVFIGGQLVSGANQVMSLHLNRSLVPMLKRVGALCFD >A05g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6091998:6093352:1 gene:A05g501800.1_BraROA transcript:A05g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRVVRTQSASASREGGDENVPPPVPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAEAQQVPPVQVQGHQQPPIQPVSPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNACEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIADEQKYSKAQPKTERTSGSPKMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKYCRFTKVDGTGTGQVTAPTTLAAASKKCYGCGQPGHIFRDCPRGGRVENPSPAKRQAIAPREFAARGNERVEPADVVLKISSG >A08g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15167201:15175214:-1 gene:A08g508300.1_BraROA transcript:A08g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPNQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNLPQIQNHQNTQVATSTLVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKSMVNIDADGYAKMLDSARSMGRMVASLSLGEDISSPPYTDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIATNSMARTKQSAKRTRAMCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDVFETLHAIGIAPLCYTTHELYPDLVRQMLATATITYEDSDAPSYANCSFSFMADGEYCSLSLDKLNEIYKMATEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGIPIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVNKEVQYLDIRYLMVCHIMRDEETYSFFDKAGTQLFTKLPHPEINRFSVFENIRFLPPPELLCTDPRAAVPDENMDDVEDITPEADPSYDLGELADVTDDHAYRRWMVDSQRKNNSLMRRILHLITGGCIGGSDQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSLDPAESGESD >A09p074920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56649452:56653727:-1 gene:A09p074920.1_BraROA transcript:A09p074920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVGESELPEALLKAGKDLLEPHSSTDSLLDLLHKVETLLSNVEQDPIVAVQSALRPSTKALISADLLRNPDSDVRVYVVSCLTEIMRITAPEAPYHDDHMKDIFEVTVEAFGKLADTSSRSYKKAEAVLDTVAKVRSSLVMLDLECDDVILEMFRQFLKVISPDHPQAVLHSMETIMTTVIDESEEVPMDLLEILLAAVKKENQDVSPMASKLVEKVLSSCASTLRLCIMEALKSTGTSLDMYSPVVSSICQSEAAASEAQIVVNPKETEAGEKTVEEQVVPSDSLQGKLDLGLSVKGARSKRTARGGTRANGDDKVTKGSDLQQLLKQGHSESTDTDTESGSARRRGRKPNSLMNPEEGYSFKTSSSKNDSSRGKLAGKKASSPSKAAQTNQSVVISISPSGKSRKKGSGKRSRSKMEETDLDAASLARPVSKKPTVKKDKPEEEDLMETDIEKPEDSIKTAKPSKKEKKAENGSAKTSAKKPLEESKTSGKKQVHSEAKKNNSKTDIPHSSKSKKKSSPATTPATKESEQTPKSNPKRKRTAEEEVESNKSKLGQELVGKRVKVWWPLDKTFYEGVIQSYDGRTRRHKVLYSDGEAEAIYLKNETWEIIQDKSSASEEKEDDDLPDSTPLSDIMRRQKAKKSKNVELSSSSDVRSSKEKEPVTNSIKKGKRTKGALKGLSNEPESREEKDVKSSKEPKAETGRTEKRQKVTKDIPRESEKDCDDKEEAEAKVEEGLKSGAEPECKRDHQELADDPNAETKTDGEELKSTNKSNAEPETDGEKQETAKEPTAELKIDGDEKEPVKEINEEPETEAQEVESAKEPSADTKLIEKEDMSEVQEVESAKEPSADTKLIEKEDMSEVREGESAKEPSEDAKLIEKEDMSEVREGESAKDASEDAKLIEKEDISEDQSHGAAKEPSVTETGKVENEAEEDDQRALKEVGEETDKAKAGTVKEVGEETDKAEAGTTPVSG >A01p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000102.1:4905:5420:-1 gene:A01p031470.1_BraROA transcript:A01p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAEEQDALGRTESDVFSRRGWTLLAREPDALSWCAGRFSHLGTKLFFHLILSSDPYASYYISVSILLSYDQTFVNFEFCSSNHHIGVYLLIICSFDLCEYLV >A02g502090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6563585:6563845:1 gene:A02g502090.1_BraROA transcript:A02g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAVDIPMASGPDQRLYLIGDEERYKVDGGLISELRDPVVKAMAATKEFDNLDIIEEVEYAERELQEAERKHREEIEKLEKESS >A03p069170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30394702:30395492:1 gene:A03p069170.1_BraROA transcript:A03p069170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQLSYNAPFIGTGGSELSLINNDGGIGITQSYMSNQPALFYNQQNRSQSFFDVHMEKQRQEIDQFIRIQSERLRYALQEQRKQETETILRKMEAKALVLMAQKEEEMSRALSKNMELENLLRKMETENQTWQRVTRENEAMVATLNSTLEQVRERAATCRNDATAAEDEGSFCGDNFPMSSCCLNCGLNGETRVLFLPCRHLCCCTGCEDGLVLCPICNTPKKNRIEASVF >A06p055390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28928637:28930098:1 gene:A06p055390.1_BraROA transcript:A06p055390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLCTKLRSISLRSNRNLSLIGAPHRLIHHSPTSQLTLGFATPTPSKWSFLPATSLAGASPFVPHHFVQVRSITSKDKMAKWKKKWRPRTPITSKVKKVKIKFYSSYKDRFKPLNDGTIRRWKEGKRHNAHLKSKKSKRRLRQPGLVPPAYAKVMKKLNFCN >A05g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28463067:28463674:1 gene:A05g509370.1_BraROA transcript:A05g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHMKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFENNGLHFRTPWVIAIQDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A03p052160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20195737:20202456:1 gene:A03p052160.1_BraROA transcript:A03p052160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAANNNNNGSQDLETEFAERSMWLMKCPSLIAPSLEPLPFEDDPYLPVAKVILSIDPLATVDDEETKVVMELARDESGNVPKRFGLDMSKDFIPMSAFSQSSQGTMSVEGKIKDKFYMNPRTENLESYGQLCRERASKYMCKNKQIQVIDNATGMHIWPTPGTITPTGFLEKKKVANKTSEMKRTRRDRREMEEVMFNLFEGQSNWTLRLLIQETDQPEQFLKDLLRDLCIYNNKGSNQGTYELKPELKKQCGVAEPQHDDGYHLPGFMFGDKAKKKEEKEEKICCSICLVDYEAEDAVTHLPRCNHLFHINCIEPWLLSGHLTCPLCRSFVFSPPPARINVINTSPFSFTFYLSFFFCLLSLHHLLGCLTEQPDSAVPTKMSPQPPQRIGLPWPELNDGLTYKDVVSSSDSDLRTVSDFYYSKYKSSAPLLGWIQRIQNGQIQVDGEVVKDPNTLLRSGSKLVYRRLPWKEPDTPHSLDILYQDDHLIALNKPSGLQVLPGGLFQQRTVLTQLQWCFGESHPVPVHRLGRGTSGILLCAKTKLAKTKLSAYFAEGTNLVGSGNMGQECGAVRKISKIYRALASGIVVIKQPIGVVRYPGVAKGLYVASSEGKPSFSKVIVLERDRQRDCTLVEIQSGRPHQIRIHLAYIGHPLVGDPLYVAGGQPKGVDPYLVDATTTSFAEDGGYQRPNQAVPGDCGYHLHAHEVEIPNLLNTHKVVKVVAPLPPILQTSYLAEEKGLSS >A01p011820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5722374:5724161:1 gene:A01p011820.1_BraROA transcript:A01p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carotenoid cleavage dioxygenase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19170) UniProtKB/Swiss-Prot;Acc:O49675] MDSLSSSSFISTFSPASSLLLPRPSSSRRLLRINSAVVEERSPITNPSQNNDLPPSKPKKLYTRTNHTAVSSPAKPRPQPQTSLATALFTTMEEVINTFIDPPSRPSVDPKHVLSDNFAPVLDELPPTECQIIHGSLPPSLNGAYIRNGPNPQFLPRGPYHLFDGDGMLHAIRIRDGKATLCSRYVKTYKYNVEKQTGTPVIPNVFSGFNGVPASMARGALTAFRVLAGQFNPINGIGLANTSLAFFCNRLFALGESDLPYAVRLTESGDIVTIGRHDFDGKLAMSMTAHPKTDPETGETFAFRYGPVPPFLTFFRFDSTGNKRKDVPVFSMTSPSFLHDFAITSRYAIFSEIQIRMRMDSMLEGGSPVGADNGKTPRLGVIPRYAKDESEMKWFEVPGFNIIHAINAWDEDDGDTIVLIAPNILSIEHTLERMELVHALVEKVKIDLVTGIVTRHPISARNLDFAVINPAFVGRRSRYVYAAIGDPMPKISGVVKLDVTRGDRDDCTVARRMYGPGCYGGEPFFVARDPGDPEAEEDDGYVVTYVHDEVAGESKFLVMDAKSPELEVVAAVRLPRRVPYGFHGLFVKESDLNKL >A02p031690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16643035:16644585:1 gene:A02p031690.1_BraROA transcript:A02p031690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEEIPSMPSTPATTPGTPGAPLFGGFDGKRSGHNGRYTPKSFLKSCKCFSVDNEWALEDGRLPPVSCALPPPNVSLYRKLGAEFVGTLILIFAGTATAIVNQKTDGAVTLIGCAASAGLAVMIVILSTGHISGAHLNPAVTISFAALKHFPWKHVPVYIGAQVLASVCAAFALKAVFEPTMSGGVTVPTVALSQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPATSASMNPVRTLGPAIAANNYRAIWVYLTAPILGALIGAGTYTVVKLPEEDEEHKEKRSFRR >A09p076170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57103575:57105099:-1 gene:A09p076170.1_BraROA transcript:A09p076170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLDLIRENLYLGDICAAAEVLKNGSSEISHVLTVLHCPSISVFEEWRSVRLDSKEIKEVYVGDDDHQGREFATESALPSGNLLYSLEHTGKDLKFTRMAVFAYDNEWENLLDFFDICLDFIDAGRKEKGVLVHCFAGESRSASMVIAYLMRTEKLSCQDALASLKQSAHARPNLGFLKQLDLFERMNFKVDRSSSIYKHFRLKALGYLYSKDKRFDKLKLRADPRMSNEGSGSTFQCKKCRNVLLLQKQVIDHTPGEADLEFDDMFKNMMGEVHNKNPGDQKKCTSIFVEPLSWMNEAVEDDLPEGKLLCPKCKARLGSFDWLGSFCSCGSKIVPAFQLQMSRVDCIPVKADVKKKKKNMKHEKKIV >A02p019200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8872372:8876743:1 gene:A02p019200.1_BraROA transcript:A02p019200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHSSRSSEKSSLFRRSKTLPTGSYRRFTTPLVPTYRYDSASDLRRDGDGGSCDSDTTNSAVAVLNSESAPSQVLATQSPSQRITKLWTHFSLTHCLKFICSFSFLYVMFLRAKVAKLEAENSILLTKCSRSSSNNENLQMEDVNNRAVVLYSVIMTIALPILLYMYLDDLSYVKNLLKRTNKKKKEEEVPLKKRIAYSLDVCFSVYPYAKLLALLFATVVLIVYGGLALYAVSDCGVDEALWLSWTFVADSGNHADRIGVGPRIVSVAISAGGMLIFATMLGLISDAISKMVDSLRKGKSEVLESNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMETDIAKFEFDLMGTSVICRSGSALILADLKKVSVSNARAIIVLGSDENADQSDARALRVVLSLTGVKEGLKGHVVVEMCDLDNEPLVKLVGGERIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKKWPQLDGYRFEDVLLSFPNAIPCGVKVAVDGKIVLNPSDNYVLEEGDEILVIAEDDDTYAPGSLPEVRMCHFPKMQNPPKYPEKILFCGWRRDIDDMIKVLEALLAPGSELWMFNEVPDQEREKKLTDAGLNVSKLVNIRLVHRQGNAVIRRHLESLPLETFDSILILAEQSLENSIVHSDSRSLATLLLIRDIQSKRLPCKDSKSNVFPSSCWIRKMQQASDKSIVISEILDSRTKNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEKGNELCIRPAEFYIYDQEEVCFYDIMRRARQRQEIIIGYRLAGMDQAVINPSDKSKLRKWSLDDVYVVIASCQ >A08p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23703737:23707204:1 gene:A08p042170.1_BraROA transcript:A08p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENERTLEETSTWTVAVVCFVLILISLFIEHLIHKIGSWLKKKHKGNLYEALEKVKAELMLLGFISLLLTVAQTPISSFCIPKSIASSMRPCSAAEIAKKELDKKEADKQKSTGKLLLELAESYIPRRSLATKGYNECPEGKVPFVSAYGIHQLHIFIFVLAVVHVIYCIVTYALGKTKMGKWEQWEEETKTIEYQYSNDPGRFRFARDTTFGRRHLNAWSKTSVTLWTVCFFRQFVGSVTKVDYLTLRHGFITARFAPGSGSEKMPYDFCNYIQRSLEKDFKTVVEISPVIWFVTVLFLLTNLDGLHSYLWLPFIPLIVVLIVGTKLQVIITKLGLRIQEKGDVVRGAPLVKPGDDLFWFDKPSFMIFLIHLVLFTNAFQLAFFAWSSYEFGISNCFHKEPQDITIRIVVGLVVQILCSYVTLPLYALVTQMGTTMKPTVFNGRVFKMLKKWHHKAQEETQHGRRSESNTPYASRPTTPTHGSSPIHLLHNYNDRSVESFPNPPSPNHHDHYQFYDPESQHKAAESSTHHSTAHGSASMELPPLRPANT >A01g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13215247:13216291:-1 gene:A01g504300.1_BraROA transcript:A01g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVKNSSGHFRNLAWPWVLSLLDPKCRVSNVSTSIDGTCVHRSILIFICRGISWYQSSALMRIDRLFFLSSTSTWSARVKCPLSSKMLQRSVTKIGQASMNQNLMVVATKLCSLLFDLYPRVLCESEP >A09p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21168989:21176980:-1 gene:A09p035220.1_BraROA transcript:A09p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALKEMNIEVPTEIQCIGVPAVMERKSVVLGSHTGSGKTLAYLLPIVQLMREDEASLGKITKPRRPRTVVLCPTRELSEQSSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFSERFVIWKSSGSRLDFLKVVWSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRRLNFQSSQVTDFKVNCKNNLCVDQTTSSSLAYIRLLQAHIITNESHPPRIVSFYDFMNHKNFRIKILGFFISLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFIVVVVYRVPKSVSHHARFRSILVSGGSRIRPQEDSLDNAIDMVVGTPGSFLQHIEEGNIVYGDIAYLVLDEADTMFDRGFGPDIRKFLAPLKQRALKTNDQGFQTVLVTATMTTAVQKLVDEEFQGIEHLRTSTLHKNIANARHDFVKLSGSEDKLEALLQVLEPSRAVDHYLSENQVSTVNYHGEVPAEERVDNLKKFKDEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPKNSIDYLHRTGRTARMGGKAARIDEAMRNNESLEALTNDNVRRDSARTQITQGRASSPPEKSTKPKRKILKTVGSRSIAARGKKGSSERT >SC209g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000067.1:62475:67999:1 gene:SC209g500040.1_BraROA transcript:SC209g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWDMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDEEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGLREEAQKNPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSR >A01p012390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6068781:6073361:1 gene:A01p012390.1_BraROA transcript:A01p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartokinase/homoserine dehydrogenase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19710) UniProtKB/Swiss-Prot;Acc:O81852] MATTQPSFAVSPASSNPIRFGCFAPQCFVRVSKPPCYRRRLVSTTSFQRKIGGGCGGGLIRCELPDFHLSATATTVAGVSTENAVDRVQIPKGEMWSVHKFGGTCVGNSQRIRNVAEVIINDNSERKLVVVSAMSKVTDMMYDLIRKAQSRDDSYLSALEAVLEKHRLTARDLLDGDDLASFLSHLHDDISNLKAMLRAIYIAGHASESFSDFVAGHGELWSAQMLSYVVRKTGLECKWMDTRDVLIVNPTSSNQVDPDFGESEKRLDKWFSLNPSKIIIATGFIASTPQNIPTTLKRDGSDFSAAIIGALLRARQVTIWTDVDGVYSADPRKVNEAVILKTLSYQEAWEMSYFGANVLHPRTIIPVMRYNIPIVIRNIFNLSAPGTIICQPPEDDCDLKLTTPVKGFATIDNLALINVEGTGMAGVPGTASDIFGAVKDVGANVIMISQASSEHSICFAVPEKEVNAVSEALRSRFSEALKAGRLSQIEVIPNCSILAAVGQKMASTPGVSCTLFSALAKANINVRAISQGCSEYNVTVVIKREDSVKALRAVHSRFFLSRTTLAVGIIGPGLIGATLLDQLRDQAGVLKEEFNIDLRVLGITSSKTMLLSEIGIDLSRWRELLNEKGTEANLDKFTQQVHGNHFIPNTVLVDCTADSGIASNYYGWLRKGIHVITPNKKANSGPLDQYLKLRDLQRKSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGICSGTLSYLFNNFVGDRSFSEVVAEAKKAGFTEPDPRDDLSGTDVARKVIILARESGLKLDLSDLPVRSLVPEPLKGCASAEEFMEKLPQYDGDLAKERLEAENSGEVLRYVGVVDAVNQKGTVELRRYKKDHPFAQMAGSDNIIAFTTTRYKDHPLIVRGPGAGAQVTAGGIFSDMLRLASYLGAPS >A01p030770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13711228:13711830:1 gene:A01p030770.1_BraROA transcript:A01p030770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWQPPLRLDSWKPIQSWSLILQWKQTLTQERNYELFLPAVPNTCLKILASCDRYYQGSEVSWSKVMIMEHLGA >A03p019680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8057570:8058370:-1 gene:A03p019680.1_BraROA transcript:A03p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSPAFAGKAVKLSPGASEVFGTGRVTMRKTVKPTGPSGSPWYGSDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWATQVILMGAVEGYRVAGEGPLGEAEDLLYPGGSFDPLGLATDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >A01p052600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29505548:29506505:1 gene:A01p052600.1_BraROA transcript:A01p052600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSVTSFTLPSSSNPSSSSSPTRQKLSLLSLLPSSSSTHGSISSSVLNKPSSSFTNKVFAAPETLDEPSSQEFSEVPSSSSISVDADKMAPKQKIRIKLRSYWVPLIEDSCKQILDSARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRMIDILYPTAQTIDSLMQLDLPAGVDVEVKL >A01p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19381734:19382986:1 gene:A01p026740.1_BraROA transcript:A01p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML20 [Source:Projected from Arabidopsis thaliana (AT3G50360) UniProtKB/Swiss-Prot;Acc:O82659] MSSLYRGVSRKEKPRRHHGLNQQKRQEIKEAFDLFDTDGSGTIDAKELNVAMRALGFEMTEEQIEKMIADVDKDGSGAIDYDEFYYMMTAKIGERDTKEELTKAFKIIDLDNNGKISADDIKRMAKDLGENFTDAEIREMVEEADRDRDGEVNMEEFMRMMKRTAAYEY >A04p025130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15204732:15210676:-1 gene:A04p025130.1_BraROA transcript:A04p025130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSSSEAVSSSSSTIYLSHPSVPSSSSKPLCSSSISPAEDHHLGSSRDGSGGAQEIVAVDRRGEYTAVCRWTVENFTRVKAKALWSKYFDVGGYDCRLLVYPRGDSQALPGYISIYLQIMDPRGASSSRWDCFASYRLSIVNQVEESLTIHKDSWHRFSSKKKSHGWCDFTLNGSVLDRNKGFLFNDDSLLITADILILNESVSSNSDSGLLYKENSLAGGPMPDVLSGRFTWRVNNFTLFKEMIKTQKIMSPVFPAGECNLRISVYQCVVNSQEYISMCLESKETEKTMVSDRSCWCLFRMSALNQKPGCAHVHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVNPESGFLVNDTAVFSTAFHVIKEFSSFAKNGGLVAGRNGSGARKSDAHMGKFTWRIENFTRLKDLLKKRKVTGLCIKSKRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRSSSDWSCFVSHRLSVVNQRLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSVTKDYVEAESASQIDNSVKRSSFTWKVENFLAFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSTGTDVDNNFWVKYRMGILNQKNPAKSVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLARDTVVFVCEILDCCPWFEFSDLEVLASDDDQDALTTDPDDIIDSEESEGISGDEEDTFRDFLSQAGFHLTFGENPSQPQVTLREKLIMDAGAIAGFLTGLRVYLDDPTKVKRLLLPTKMSCDDRSKLTKSDESSPSLMNLLMGVKVLQQAILDLLLDIMVECCQPSEEGSYSEPSLLDAKTDGCVASTSLEATRENGVAEASQHLVDERFQSDADATTLSTSAVQSSEMNGIDMLEKALAIEPVSPPETYAEQSSDTSVQSKTKWPEQSEELLGLIVNSLKTLDSAVPQGCQEPRRRPHSAQKIALVLDRAPKHLQPDLVSLVPKLVEHSEHPLAAYALIERLQKPEAEPALREPVYNALSQLDCDTEVWEQILFRSVELLNDSDEESLVAAIHFIFKTASQCQHLPGAVRSVRERLKSLGADVSVCVLEYLSKTVHNWPEIAEMILRDFNCDDSLDGNFGTLPFGPFLIGENDSISEMEDLMDKHEFYANRQFFDVYILLEMLSISSLAVEASQTFERAVTRGAIVVQCVAMVLEKRRAQGANLSVTNGDPVLEGEVSEQEAAGEIEFRALLSLAETLAHSKDPQVRGFVKRLYAVLFKWFPDQPFRVQILRRLVDRFTSPASSDGELDLELRILDILIFQEREVARPILAMLKKVVEHANIDRAALWHQLRTNKEELVRLKEEKKTEIQTLTKEKSGITKKLSESEAAKTRLKCEMKAETDRFGREKKELVEQFRDVESQLEWVRSERQDEIDKLSSEKKTLLDRLHEAETQLSLLKTRKRDELKKTGKEKTALTEKLKVTEAARKRFEEELKRYATENATREEVRKSLEDEIRRLTQTLGQTKEEKREKENQIARCEAYIDGMESKLQACQQYINTLESSLREEISRHAPLYGANLDSLSMKEVDTIARIHEEGLRQIHAHQQRKGNGLSHGLSHGHTLYPSTPPQLLPNGSGVHTNGHVNGSVRPWFSHHT >A10p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18950741:18953780:-1 gene:A10p031860.1_BraROA transcript:A10p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVRCHCNALFTSHRNSSTLPISPSRVGFTALKCPRKFLLSIGDGSSSCTRNVSGSCLKGTVRAVMEEEKTDVIKEREGDEKRFTCVMKFGGSSVATAERMREVADLILAFPEESPVIVLSAMGKTTNNLLLAGEKAVSCGVSNASEIEELSVIKELHLRTVKELKIDPSVVTSFLEELEQLLKGIAMMKELTLRTRDYLVSFGECLSTRIFAAYLNKIGVKARQYDAFEIGFITTDDFTNGDILEATYPAVAKRLYDDWMHDPAVPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLQEIQVWKDVDGVLTCDPTIYKRATPVPFLTFDEAAELAYFGAQVLHPQSMRPAREGEIPVRVKNSYNPKAPGTIITKTRDMTKTVLTSIVLKRNVTMLDIASTRMLGQVGFLAKVFSIFEDLEISVDVVATSEELDHVVEELEKIAVVNLSKGRAIISLIGNVQHSSLILERAFHVLWTKGINVQMISQGASKVNISLIVNDDEAEGCVEALHKSFFESGDLSELLIQPRLGNGSPLRTMQVEN >A04p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:15131:17704:1 gene:A04p012600.1_BraROA transcript:A04p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPLIDGNTFPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMVRQNPFPQIDENALSDTDDYSDEETSCSDPSSTGKSNSHPIFLDELEPNPSQENRKTTTEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTSTVDQQPEKPIDRRISDRTDDYTQLMDASIDVANVEENDDSE >A04p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22631733:22635079:-1 gene:A04p040040.1_BraROA transcript:A04p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDLSSPLIQRQPEVVISIHDNGEEEEEDYNHPSAGQQNQPPRVPRHDHLNPPFGFLSDAEPPPTTVDPFRNDTPGVSGLYEAIKIVICLPIALLRLVIFGASLAVGYVATKLALAGWKDKHNPMPRWRCRIMWVTRICTRCILFSFGYHWIRRKGKPARRDIAPIVVSNHVIYVNRFSQESRKNAVHEIKRKASSDRFPRLLLFPEGTTTNGKVLISFQLGAFIPGYPIQPVVVRYPHVHFDQSWGNISLLMLMFRMFTQFHNFMEVEYLPVIYPSDTQKQNAVRLSQKTSHAIATSLNVVQTSHSYGDLMLLNRATELKLENPSNYMVEMAKVASLFHISSLEAVRYLDTFSSMNPDSSGRVTLHDFLRVLRLKPCTLSKGIFGFIDVEKAGSITFRQFLFASAHVSAQPLFQQTCELAFSHCDADGDGFISIQELGDALKLTIPNSNKDEIQGMYILLDEDKDQRISKDDFLSCLRRNPLLIAVFSPILSPT >A08p044140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24463639:24466467:1 gene:A08p044140.1_BraROA transcript:A08p044140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFSCTQLLLFIVKCFLIHLLTFFSSFVSAQSTICKMSTSSNSTSSLTGSTSSVPDGSRRTFTPFFPQFGSMSPVLNPDGTLQGVYDVKDHIFFPTSNVPRAGPRNSVFGAPILVNATSSRAPPPPSSMGNTAGGVSMSRALISDGGNIMSSTGSMAGGGLMSRALIFDGGSIMSSMGSMDGSTDHNRSMMIGLQGSPQVYSMSGSSYPSAARGHSQTHVQAMNSLSSMNLMNSNYMTTDYQMDLHQQELMMQSQQSSMGRHGGFYFGDASMPTYPLQLPSDQAVSRSGVIFSQTGGPPTIGSRSVNAATGYDDQPLRHHQNTSQFGGEKLPAIGQPIRDVGSQPTQAATQSTPDPFSMLGLVNVINQTNPDVTTLALGVDLQEIGLDMTSKEKLFKTFASPWANEPLKEDHEHFDLPQCYNAVQLPPPNQGMFRRFDMSTLFYIFYSMPNDEAQLYAANELNNRYWFYHKEHKCWFKRTEIPLVQTNAYERGTYDCFDPDKFETVQKENFVIYYEMLERRPSLPQHRV >A06p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10847958:10848333:1 gene:A06p021800.1_BraROA transcript:A06p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELKSKHIGGAFYKKIKCVVYGVCDEISSWPCVKDGENSEEFYFGLKTGQGLLEFKCKSKIQKQRWVARIHSTLCKVTCLEAEKCSVESLSFSDRMP >A03p018140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7400566:7405335:1 gene:A03p018140.1_BraROA transcript:A03p018140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRTEFNIFISVYWLTFAEPNLKLTEFSNLPDVYPTLLVSEHCCRSKSQKQLKPFDRFGEEKGRMGGGMETNKNKFIEDWGSARENLEHNFRWTRRNFALIGIFGIALPIIVYKGIVKDFHMQDEDAGRPHRKKKNDPMGSCVSSPLKGSPFGKRPVRRRNNSHSKTSSSNPKFVSSTNLSRRLLFQPPSRVLPEPIGDGILLKYELGKELGRGEFGVTHECIEITTRKRFACKRISKEKLRTEIDVEDVRREVEIMSCLPKHPNIVTFKEAFEDEDAVYLVMEICEGGELFDRIVARGHYTERAAASVTKTILEVVKVCHEHGVIHRDLKPENFLFSNGTETAQLKAIDFGLSINFKHGQRFNEIVGSPYYMAPEVLRRDYGPEIDVWSAGVILYILLCGVPPFWAETEEGIAHAIVRGHIDFERDPWPKVSKEAKQLVKSMLDANPYSRLTVQEVLEHPWIQNAERAPNVNLGDNVRTNIQQFVLMNRFKKKVLRIVADNLPNEEIAAIVQMFETMDTDKNGHLTFEELRDGLKNLGQVCPDGDVKMLMDAADTDGNGMLSCEEFVTLSIHLKRIGCDEHLQEAFKYFDKNGNGFIELDELKEALFDDKLGQGSDQWIKDIFFDVDLNKDGRISFDEFKAMMKSGTDWKMASRQYSRALLNALSMKMFKEDFGDSAPKSQSMEFPIARKRAKILDSPKNKSMELGLSKTYKPSGLRY >A02p038140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24848371:24851572:-1 gene:A02p038140.1_BraROA transcript:A02p038140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSKFLATMKLWRLCDMLWIENRPVCTTSRVIPSDYSVHTDHNFPLDRADQTVCTDPSDHPDRTTYAVHLIDPRTQARFNLEREESEDVHRFSLMALLVRPACPESCPEVLASVPDPLMDFSHPYFTKAWKLSCLKTCLTPVHILILKTNRRVMWSVRRVCGSSRMCPILPFIYMHDQNEPGHQLKSHFFRTGNEGTPLSFLGVVSSKLNASFLLDRCVTSGNRSSLLPCRTYESYHATIKHSGRRITVRSGYCGAYMSHFRDGGLSFPPPRFLLEALAELRMDFAQMAPNFFRYFLASGFPATMILVPRAGRSIIDGIPNRDNRCREKVFFFKINPASVGDFDFGRTPREWSDEIEPFSPAPMTPELRGLIATLRRGNPRWLAFTVDQIGAAYALPPGENRATPTGLAAPIRLEKCRRNKRRGRRNPIVLASPLRPGRCRSPFLLVGPEGRRTLKPGRSVIKLLMTTSIHRLIDADVELYRISILEKGWKLPSLGDMSECNAYVRMAVANAKAMEASNEYAALMEKRLADFPSKEEVGSHLLSIQQLQGELEAVRVTELQGAVEIEGLKGKLPAVETEKVAVQNDLHSMKEKHRQEIEGRDAETRKECNLAPPSLAQEYDAVLTVVKDKLQKKKKETAAEIRLQEVRARIEALTEYNKGGFELEEELERLRYQEVSLDVDYGLALVSDPSLSRLELPEVSGDSVNQD >A05p017780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8115920:8116093:1 gene:A05p017780.1_BraROA transcript:A05p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTEVEPSLAIPERMFAFGEELVGVRVTPYHNPFAISKIIRALEDDEVDVLRRPR >A06p046730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24967103:24970745:-1 gene:A06p046730.1_BraROA transcript:A06p046730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCAHQVFDRMLLRGDMATKLRFTAYSSPVLMAELHDLRKRNKRRSVRMIKCRAEGGRVPVGDDVFSVTTSSKYEVDYLGQSTKGDLNLKLDPLHSFGNGQATLEGPIEEVARTEAQAAENLIRELGIEGPFSAQHSPRGIFCSRTLNLRSISVIGYDMDYTLMHYNVMAWEGRAYDYCMENLKNMGFPVDGLSFDPELVIRGLMIDKEKGNLVKADRFGYVQRAMHGTNMLSNKAVSEIYGRELVDLRNQSRWEFLNTFFSVSEAVAYAQMVDRLDDGSISADLGTLDYKGLYKAVAKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNKFLPNDMDWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKAETGGLYSGGSAQMVESSLNVHGDEILYVGDHIYTDVSVSKVHLRWRTALICRELEEEYMALICSRGHREELIELINQKEVVGDLFNQLRLALQRRSKGRPAQTLAATNLADQELTETMQKLLIVMQRLDEKIGLMLESDGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQSLAHDSPLPDTAMEN >A06p052300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:27656985:27659183:1 gene:A06p052300.1_BraROA transcript:A06p052300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLRNFIHVNRRFPRHVSPCFPLSSVSCPFVWFTSFLCVIRYPFVTKTHPLDSNRDWIRNVVKNDLWEDPQINNLFDPIHVPRLLLDLKQDPRLALKFFKWSTNRTGSNHTVESYCIIAHILFCSRMYHDANSILREMVTLHNCDVFDALWSTRNVCVPGFGVFDALFSVLIDLDMVEEALQCFSKMKRCRVFPKTRSCNGLLQRFAKLGKREGMKRFFKDMIGAGSKPSVFTYNIMIDCMFKEGDVEAARGLFEEMKFRGLVPDTVTYNSMIDGYGKVGLLDDAVCVFEEMKSMSVDADVITFNSLINCFCKNGVLPKGLGFYREMKRSGLKPNVVTYSTLVDGFCKEGMMEQALKFYVDMRRVGLVPNEFTYTSLIDAKCKTGNLTDAFRLGDEMLEAGVEWNVVTYTALIDGLCDAERMQEAEELFNKMVAAGVVPNLASYNALIHGFVKAKNMERALELLNELKGRGIKPDLLLYGTFIWGLCGVEKIEAAKVVMKEMQEDGIKANTLIYTTLMDAYFKSGNPKEGLHLLEEMLQLDIDVTVVTFCVLIDGLCKNKLVSKAIDYFGRMSEEFGLQANAAVYTAMIDGLCKENQVDAATSLFEKMAQEGLVPDRTAYTSLMDGNLKQGNVLEALALRDRMDEIGMKLDLLAYTSLVWGLSQCNQLQKARSFLEEMIGEGIVPDEVLCVSVLKKHYELGCVEEAVELQGYLMKHQLLTSDKNNALPDM >A03p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10980548:10983219:-1 gene:A03p026070.1_BraROA transcript:A03p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVSLIIFSPKGKLYEFASSNMQDTVDRYLRHTKDRVSSKPVSEENMQHFKHEAANMMKKIEQLEASKRKLLGEGIGSCSIEELQQIEQQLEKSVKCVRARKTQVFKEQIVQLKQKEKALAAENEKLAEKWGSHEIEVWSNKNQESGRGDEDSSPSSEVETQLFIGLPCSSRK >A02p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15168345:15171515:1 gene:A02p029860.1_BraROA transcript:A02p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLQSHYYIAIAMSSNGETLSEKPKGVDADSFPGPIKPTGTKTGVSLGVRGKAAVSSRAKGKAIVSAKAVEVMSFKDVKFGAHGCELRFRLIHLWEARNVVTKTLIGLEMLLIDQEETVIQGFIPAGRIDTYLPHMKVGGIYRLNSFYGSNNKTLYRVAEPSVTITFSSYSVLSDLEDSSVCFPEDRFRFHGYEEFDAACDLRRDLYDYVGHIKLVNGQVLNDSLVLDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAASDFSGKFKASGGAASVILVTTLNPKRFGGVLTLSSMTSSRVFLDSDVQATRDYLTWLNSNMDVADRVSAEVVTKTETVTIGELFSYMKQEAAKVAWFECIATVGDVVHGSRWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVAQYLAKISVYDNDDQACFVLLGDAGQELTGKKASELVDSYFEANENMGDDHLVPVPQALINTIGQTRKFIVKVSTHNLTGKTQSLTVTKVLIPEDPEIEGNVENVTIPDAQKTLQNGIAEDGPSTRFEESGGERVKRTADNVEAEDSKRAKCG >A02p041050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25914989:25918816:1 gene:A02p041050.1_BraROA transcript:A02p041050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML43 [Source:Projected from Arabidopsis thaliana (AT5G44460) UniProtKB/Swiss-Prot;Acc:Q9FI19] NTRQSSVINTSPSTSVIPKAEDPENSVSETEHQETYHTANQEPLGQHQHKKKKRAATMEIISNEKNKLSRQSSSFRLRSPSLNTLRLHRIFDLFDKNNDGFITVEELSQALSRLGLDADICELKPTIESFIKPDETGLRFDGFEALHKTLDESFFGGDCECDGSPDSDLEEAFNVFDEDGDGFISPEELQKVLKKLGLPEAVEIEHVEKMIVSVDSNHDGRVDFFEFKNMMQTVLVPSS >A02p025610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12159777:12164958:-1 gene:A02p025610.1_BraROA transcript:A02p025610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMEDCYDPLLATDASPRRESFSCYEEATTVSSSVTMTMADLVENGVDVEQSRSGLMESDQSSDPISESEQSSDHIALNVERSSPGLMEWEQSSDLIALDGKLVLGFPLASPDLVNCGGSPRYGDSPDISQKLRFSTELSLENGIDRRKTPSVRFSAINQTFGFELSPGSSFELPSPPGNYREITTPVMSINSGSTTMDVSVDDVTFLKDEFFSGGETFTTDAVVGNEDEILLYQTARLGNFAYKFQSLDPGDYFIDLHFAEIEFTKGPAGVISGLDLFSHVGANTPLVIADIRMLVGLEGELSIRLEGVTGTAILSGISIRKEATTTYVEDTGMLAVKGNTDTVLSLPPQENVDCRTEKETHEMSNDCEQQKKEMADMKRMVDELKQENERKSRECEEALNSLRELQNELMRKSMHVGTLAFAVEGQVKEKSRWFSSLRDLTRKLKIMKMEQIKLLEEASTYKLLVQDINEFSSHIQSRVMQDAELHENLKAKFVAGEKERKELYNKILELKGNIRVFCRCRPLNFEEIEAGASMGIDVESTKNGEVIVMSNGLPKKSFKFDSVFGPSASQADVFEDTAPFATSVIDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLKNLFEIMKERENRYSYEISVSVLEVYNEQIRDLLVPASQNVSAAKRFEVRQVGEGNHHVPGLFEARVTSIEEVWDVLKTGSNARAVGKTTANEHSSRSHCIYCVMVKGENLLTGECTKSKLWLVDLAGSERVAKTEVQGERFKETQSINKSLSALGDVIYALANKSSHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNEKDNSETLCSLNFASRVRGIELGPAKKQLDNTELLKYKQMVEKWKQDMKVKDEQMRKMEETMFGLEAKVKERDIKNKTLQKKVKELELQLLVERKLARQHVDTKITEYQTKQQHGDENIPSKRPPLATIPLGSNKSSNETSTSKEMVNLNRPPLSESTTTTSNDLPPLPNGSVKYNDLMEKENNTPEMAERLQISKSTGRFSVCPKRILPPPAPRRSTLAPMPYFPITSTSPSRPHEKSGTSQVLRISPKMRKSNGKMLSSILRRSMQKRMQMKPYQRQQPLRRVGINVGMEKVRLSIGSRGRLAHRVLLTNARKAGLKETPLKQIQKEKERWI >A01p050840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28592346:28593092:-1 gene:A01p050840.1_BraROA transcript:A01p050840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYTADDDYDFLYKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRTIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDATVFAEREKTFFMETSALEALNVEDAFTQLLSQIYRVASKKALDVGDDDRSGVPKGQSVNVGSKGDVSEVKKIGCCSS >A03p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2785705:2787349:1 gene:A03p006620.1_BraROA transcript:A03p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWGWIHHHKFPENSKEQFKDATTGNSRFSLSSHPSLDSNDVFPAACAGPRYSTGITKQLNRFQENSFPGPKDERNSDFFDGFLAIGTLGGETYLDEPATPTFGDPAADNAEVTENDLKLISDELEKFLEAEAKEGNNQPSGRNSDTNTIASTIEAAEGLDAEEDNQPMKFPLQEYLFGSLIELSETKVAGKKERASLGELFQAAEMQDKHSENKYGEKKKQTSTTHKSAKHLVKRVLKKLHPSSKSPGSGKTEVASTKKKFQKMAQVFQRKVYPEDSIMESEIHSSMTDPKNSQANSTGLMSEKVSTCNEGSKPWIQYELGSSDSAKNGEHWIKTDEDYFVLEL >A02p005580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2425797:2427931:1 gene:A02p005580.1_BraROA transcript:A02p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKVGSKSEVFHLSGHTWLNSTGLKPDVMIQVQDQTFHLHKFPLLSRSGYLETLFSKASESTCVAQLHDIPGGPDTFLLVAKFCYGARTEITTENVASLRCAAEYLQMSENYGDANLITLTESFLNDTVFTNWNDSIKALESCEHKLIPLAEELHIVTRCIASLANKASYAEDTTTSWNGIQTKSTSGNWWFNDVSSFLNISMYKRFIKTIESRGVKADIITASVTHYAKRNLPLLGSSRHCGSSSSEEGTNRGDDGIYYSNDDQKSLLEEIVELLPSQKCATSTRFLLRLLRTSMVLRASAVTRGNLERKIGVQLDEAALEDLLIPNMGNSAETLYDIDSVQRILDHFIIRERATVSPYELPETIIGGMHTCSTKRASTSTSHSTSLVHRTDIAGWFFDTDSDNDDTSGKCYTQEGDNGNANMVMNGTMKERVYELEKECTSMKQDLDKLVKTKEGRSFFSKLFGLRSKTKTSPGDKDGLMKE >A07g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4689266:4690602:-1 gene:A07g502150.1_BraROA transcript:A07g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKGLAQTGSEFPSSSEQVVAPCHGTDVVAPLPRVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQVTQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARMEAMRLQARTRRRVKRGLGRQVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVNIEAWFKNLLSFDIMPLRC >A03p071660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31607600:31608990:1 gene:A03p071660.1_BraROA transcript:A03p071660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-D5-1 [Source:Projected from Arabidopsis thaliana (AT4G37630) UniProtKB/Swiss-Prot;Acc:Q2V3B2] MGEPRDSLALSNLLICHESESCLNEQQQDDDDDETKIERSDEQRKPHCFATIGDDDEDYVAELARKENRRFDDDDDKPTKTTSCLDRLIAIDWILTTRTRFGFQHQTAYIAISYLDLFLQKRFIGLQKDESWAIRLLSVASLSLAAKMEERVVPGLSQYPQDQDFVFKPDVIRKTELLILSTLDWKMSLITPFHYLNYFLAKISPDPNQSVSKELVLLRSSESLLALTKEISVTDYRQFVVAAATTLLASSTSSDIRLTREEMGNQFGSVSWWTSNENDNVYSCYQRMLEIEERKHMTPPELAVPGPVVGSGSGAKRRLSFVDSDQPSSSSPDAKRMRRL >A07p032660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17882044:17888077:-1 gene:A07p032660.1_BraROA transcript:A07p032660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKGVDRSASVALAPDAPYMAAGTMAGAVDLSFSSSASLEIFKLDFQSDDRDLPLVGEIPCSERFHRLAWGRNGSGSDEFSLGLIAGGLGDGNIDLWNPLSLTSPQSSVNALVGHLSVHKGPVRGLEFNAITPNLLASGADDGEICIWDLTKPSEPSHFPLLKGSGSSTQGEISFISWNRKVQQILASTSYNGTTVIWDLRKQKPLINFADSVRRRCSVLQWNPDIATQIMVASDDDSSPTLKLWDMRNTMSPVREFTGHQKGVIAMEWCPSDSSYLLTCAKDNRTICWDTNTGEIVAELPAGNNWNFDVHWYPKIPGVISASSFDGKIGIYNIEGCSRYGAEDSTFGTAYLKAPKWYKRPVGASFGFGGKLVSFQSKAPPKGASCIPSEVFLHSLVTEQSLVSRTSEFEAAIENGDKTSLRGLCEKKSEETESKEEKETWGLLKMMFDEEGTTRTKLISHLGFSLPSVEQDQAVNGLSSDLNGIELEDTAVHAREPEESNEAAAFAMDNGEDFFNNFPAKPDTPVSTSATDFMPPDTDFAAKEEETQEMPEEEEDESSDRVFDDAIQRALVVGDYKEAVDQCISANKMADALVIAHVGGTALWESTREKYLKMSSAPFMKVVSAMVNNDLTSLVHTRSHKYWKETLALLCTFAQGEQWTSLCDVLASKLMAAGNTLAAVLCYICAGNVDRTVEIWSRSLANERDGRSYAELLQDLMEKTLVLALATDNKKFSASLCKLFESYAEILASQGLLSTAMKYLKVLDSGGLSPELSILRDRISLSAEPEPSTVASGNIQLQSTMPYNQEPTQAQPNVLSNPYDNQYQQPYTNSYGGYGPTAVNPPMQQATMFMPHQAQPTPQPSYPPAPASNAQPSMRSTFVPSSLPALKNANQYQQPTIASHSFTGPSNNAYPVPPGPGSYVPSAPSQVGQYSNPMMSQVAAPGAGPIGFTPMPTPGVAPRSVIGSVQPASPPAPQAAPAPAAPPPTVQTADTSNVAAHQKPVVATLTRLFNETSEALGGARANPPKKREIEDNSKKLGALFVKLNSGEMAKSAADKLGQLCQALDNHDFTTALQIQVLLTNSEWDECNFWLSALKRMIKTRQNVR >A03g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7442974:7444175:1 gene:A03g502330.1_BraROA transcript:A03g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VETQLKRSILINVALSLVGFFVTLKMIHVAARYVLRRNTFGFDINKSGTPQVEVKVNLDPSGDVFSYPQMVTMHSLIKLKSQEQTLALPEPALIKISNLLSLFAIGDTALLFASSELTYAISMFQ >A03g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19819204:19820205:1 gene:A03g505580.1_BraROA transcript:A03g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPERPLRATSLGRSRSSERLGQSDTPRSLAFLLSDDTKWSRSDHLRSLPKPGATCQSDVPRSLHVLYLVELMIYQGPFGHFIMHVLHFLNLCLSIFCKPLEADYLLSKENHQNPLENSKLDHPKFNLYAHEFSFPLVKKVTSFIIFIILQLH >A04p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22399581:22401405:-1 gene:A04p039570.1_BraROA transcript:A04p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESADGLGLSLSLGLSQLKEPSLGLGLNLLPLRTSSSSFSHMHNHNNNHLQKKINHNSWPHQFHSSERNSDVGSLLRGLEVNRTPSATVVINLEEDLAGVSSPNSNISSVSGNKRDLAAARGDGGGDENEAERASCSHGGGSDEEEGGNCEGTRKKLRLSKEQALVLEDTFKEHSTLNPKQKLALAKQLNLRTRQVEVWFQNRRARTKLKQTEVDCEYLKRCCDTLTEENRRLHKEVAELRALKLSPHLYMHMTPPTTLTMCPSCERVSASSSSSAMAAAAPPSSITSGGGGRIPTAVGRPSPQRPTPCAAISLQSRLAH >A02p013770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6010051:6010476:-1 gene:A02p013770.1_BraROA transcript:A02p013770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VADIGDFPVVLTHPPYPFYYPPCFLPDFRINRIAFTTSGEALMVRLYDIDISSRSFYVHKKNHATNKWDEMTSLGDQALIFGLGITVSAKIVQGLNLATRKVEPFMSSTSKFFDARWFIPN >A09p028090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16918484:16922073:1 gene:A09p028090.1_BraROA transcript:A09p028090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETL1 [Source:Projected from Arabidopsis thaliana (AT2G02090) UniProtKB/TrEMBL;Acc:A0A178VWA6] MKRDFDEISEEEWQQHSFNPSRVLKQPRAPKTTTTTRTPPPPRPSPPIESFAYRRPSKAIESDDDCVVVEDSGESDSDVKIVNGGDDLLLDDEEEEAKVVVVRRARVGRRFVIEDEEGSEEDEVEVEEVSSSEGEGEDGGGGDGDEDVVGKALQKCAKISADLRKELYGSTSGVTTCDRYSEVESSTVRIVTQTDIDEACKAEDSDFQPILKPYQLVGVNFLLLLYKKGIEGAILADEMGLGKTIQAITYLTLLNHLNNDPGPHLVVCPASVLENWERELRKWCPSFTVLQYHGAARAAYSRELNSLSKAGKPPPFNVLLVCYSLFERHSEQQKDDRKVLKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMLPDIFTTENVDLKKLLNAEDTELITRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVHMEKKQEDTYKEAIEDYRAASQARVLKLSSKSLAKALPKRQISNYFTQFRKIANHPLLIRRIYSDEDVIRIARKLHPIGAFGFECSLERVIEEVKSYNDFRIHQLLLQYGVNNTKGTLSDKHVMLSAKCRTLAELLPSMKKSGNRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDTFNNDKSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQTKPVTIFRLVTKSTVDENIYEIAKRKLVLDAAVLESGVHVDDNGDTPEKTMGEILASLLMA >A10p020800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14081043:14081984:1 gene:A10p020800.1_BraROA transcript:A10p020800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHQDNTTQQRRDTTTRTPSFMPNTLSRNPNSSSSSGAAGRFPRFGLNVDDDLVSSVVPPVTVVLEGRSICQRVSLDKHESYQSLALVLRQMFVDGADSTSGTDDLDLSNAIPGHLIAYEDMENDLLLAGDLSWKDFVRVAKRIRILPVKGNSRKVRRNE >A05p003160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1136224:1136967:-1 gene:A05p003160.1_BraROA transcript:A05p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYBC1 [Source:Projected from Arabidopsis thaliana (AT2G40970) UniProtKB/TrEMBL;Acc:A0A178VYV1] MREETPNWLVRWEEELPSPEELIPISQTLITPHLALAFQIGSHNNHSSPKRTVAMYHQKLQPAATPTPTMMNSDFAVDSSTDLGSGGGGGGGEEPARTLKRPRLVWTPQLHKRFVDAVGHLGIKNAVPKTIMQLMSVEGLTRENVASHLQKYRLYLRRMQGGNGNGVSGGHVIVSDSATDRLFASSPVPAHLLSHEYLMPSPLMNPYLGKHVVTQQNHVVRNLRYEGSEYGNGDGGRKVLKLFPAGN >A01p041880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22567201:22569608:1 gene:A01p041880.1_BraROA transcript:A01p041880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFYILIVSLLLTTLSFKGEASGSVFFIDGSNNQYLRPPSEQALPMSLSEVSASVSALLGFAPPSTLTADGSSKLNKILKPNPFERPRAAFVLEIAGADDALVETLPSHSFLGNAVRGSISADSYKADIELPESEVDVVSVNEPSSDATDKDMNEFASWLGGSFVAGSAEPLNGLLSIPLAGAANVEFHLEKEAERKFVLNLLELYKNIRRAVNLHGDLSHDLAELTVGRFGGIDALAQEYGQGMAKKGMDVLLATLSKLFHLLESSHKGQIVGVIVLDERVNHESANLLSVGSSSRSSARSMAEVEGVPSGAIIAQVILVRLTLAWLTGIILLIATILGVYFLMYMPLTKDTLLYSNVKLD >A01p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15120358:15121982:1 gene:A01p037870.1_BraROA transcript:A01p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLITSSLKTPLRRFSFHDWKGLPLMISVLKPWLEDLQIDAILGLPDKPCEEEDGIRFLTGLTSFARIIERAMTIVEESGGRYHVLLIIADGQITKDVLELELEFSYKHSERHLLGDPFEESDAALNQSLWGASSSAEHHQQQQQQGMSSYQANIITIICMTNASNSATSHNIINGFFRGWMV >A05p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:424:2808:-1 gene:A05p027190.1_BraROA transcript:A05p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTQLLDELAQAVRSLVQLYQLNYFPYLNGNRQCEFRFPQCGARRRGGGYGLLLLMATKRLIETMSRYMKDKLAALTAPMFNKIESLAATFRHRKSTKRSSRFLFLNLKGNDKSYQTPLIAEKGNTTKRLPSSVATYRLSTHRLLGHYVATEHAHCSVSTYRPSTHTARSLRSDRAHTLLDRYVATELKPTLHSLRSDRPQRGPPLDSLVNPHRHAFRFVSIRVSVEILRRKQRPVRPQNGPPLVSLLNPHRNAFRFVPIGVSVEILRQKQVGLFLACFHSLRSDLSGRQSLRSDLRYIATCKASKRSSFSLSFESSLKRFLFRLNRTTCQAVSRYVATCKASERSSFGLSFESSSKRFSFRLNRSFPTCQTVSRYVSTCKASKSSSFVFSFESRSKCFSFRLNRSFHRDFTTKTNKTRLNLFACSYSPLPPALYSPSNLDRNVSCFYRSYH >A03p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15556928:15559737:-1 gene:A03p037150.1_BraROA transcript:A03p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETSPANLDRAASSSSSSSSSSERASVKIEEIEGSGGVINGSQEAESQPETTAASIVENALAESSGGGNKSFSRQWSVPMDRSTSNDRAESSSLPSSKPRLHKSKTERHRKVTHILAEDAAKIFDDRISAGKKLKLLNRIANVKPDGTVVFEVPADSIPQSIPLDREEDSKNGDEPIDGVDFQYVPPMQIVMLIVGTRGDVQPFVAIAKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKVLAEYMVKNKGFLPSGPSEIPIQRNQMKDIIYSLLPACKEPDPDSGIAFKADAIIANPPAYGHTHVAEALKIPIHVFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMVNDLRKKKLKLRPVTYLSGTQSSGSNVPHGYMWSPHLVPKPKDWGPQIDVVGFCFLDLASNYEPPAELVEWLEAGDKPIYIGFGSLPVQEPEVMTEVIVEALQRTKQRGIINKGWGGLGNLKEPKDFVYLLDNVPHDWLFPRCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHDRGVGPPPIPVDEFSLHKLEDAINFMLDDKVKSSAETLAKAMKDEDGVAGAVKAFFKHLPSTKQDLQESIPEPSGFLSLRQCFGCS >A07p022140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12988363:12999573:1 gene:A07p022140.1_BraROA transcript:A07p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLSFALVLVLFVAAAYLIRAKQNLPPSPVGFPVIGHLHLLKDPVHRCLRDLSQSLGPVFSLRLGSCRAVVVTSASAAEEFLSHENDVVFANRPITTMYAYVLYSNTGVSVAPYGDHWRHLRRICTTEIFSAARLRESFEIRRDEVRSMLQTIHAATLRGNNSVRVELRPLLSGFTLNVIMRMVAGKRYYGEDNAEAKAVSELISETFELGGFTYVGDFLPILKLFDFDGYVKKSKKIGSKLDKFLQELVDEHRGNRGKTEFKNTMITHLLTLQESQPESYTDQIIKGLVLVMLFAGSDTTSVTLEWAMANLLNHPDVLMKVKTELNNLVSRERRLMEESDTSTCTYLDNVISETLRLCPAAPLLVPHASSGDCKVAGYDIPRGTWLFINAWAIQRDPKMWDEPEVFKPERFDSEGWKTQHGKFLPFGMGRRACPGMGLAQLILSLALGSLIQCFDWERDEDVAVDMSEGKGLTMPKALSLVAKCKSSSILDNVIFLICICEPEATKAEKADKMFNLLSFALVVTLIILTAYLFRSKQNLPPSPVGFPVIGHLHLLKDPVHRCLRDLSRNLGPVFSLRLGSCRAVVVTSASIAEEFLSQENDIIFANRPITTLGDYVAYNNTVITVSPYGDHWRNLRRICTLEIFSATRLRESFEIRRDEVRSLVRTIHKVASGGGKNSVRMELRPLLSGFTLNVIMRMMAGKRYYGEDNAEAKEVRELISETFELAGLTYAVKTLGSKLDKFLQELVDEHRGNRGKTEFKNTMITHLLSLQESQPEYYTDQIIKGLVMVMMDAGTDTTALTLEWAIANLLNHPDVLAKAKTELNNVVSKRGRLMEESDTSTCTYLNNVISETLRLYPAGPMLVPHASSVDCKVAGYEIPRGTWLFVNAWAIQRDPKVWDEPEAFKPERFDSEEWKTTQHGNFLPFGIGRRACPGMGLAQIVLSSALGSLIQCFDWERDEDVAVDMSEGTGLSMPKAVPLVAKCKSSPILDNVMCTQSYKIRKSDKMFTLLSFALVVGFIAAAFYLFRSKLNVPPSPIGFPVIGHLHLLKDPVHRCLHDLSRNLGPVFSLKLGSCRAVVVTSASAAEEFLSHENDVVFANRPISTLGKYVAYNNSIVSVSPYGDHWRNLRRICTVEIFSAARLKESFEIRRDEVRSLVQTIHKATTSGGGDNSVRVELRPLLSGFTLNVLMRTVAGKRYYGEDNAEAKEVRELISETFELAGCTYAGDFLPILKLFDYNGYVKRVKKLGSKLDKFLQELVDEHRRNRGKTEFKNTMITHLLTLQESQPEYYTDQIIKGLVMVMIVAGTDTTAVTLEWAMANLVKYPDVLAKAKTELNNVVSSKGRLMEESDTSNCTYLNNVISETLRLYPAGPMLVPHESSVDCKVAGYDVPRGTWLFINAWAIQRDPKEWDEPEAFKPERFDSEELKTHHGKFLPFGIGRRACPGMGLAQIVLSSALGSLIQCFDWERDDEMAVDMSEGTGLTMPKAVPLVAKCKSSPILDNVVF >A03g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28147904:28148757:1 gene:A03g508010.1_BraROA transcript:A03g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISASLQNPNLIPKISHSSGPLSATTVEIPKPAFVSVEKVPAKSPTKRSSVSDNGGDETDLPTTTKTKRRKWLEDNSETTTNGFFLFDTSIIQWWAWMKQYHHKNINSSINGRAAVIGFFMAYTFVPVEKGFKDVVQEIESEVSCKLEEGKPGMPLDKRFITSLLSLYLLCFQFSPEG >A06g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13589507:13590257:1 gene:A06g504310.1_BraROA transcript:A06g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIIHDLILNCQKNKKSRDFSFSFAETTPKVKFSPTLPSPISDSSFSVTTFGSHSRLQFQTPNPISSSCLLLSTNPNSTPPPSIPRNLNSMASSSAIRMYHLCGYTKLGNTNSEQKHES >A01p056310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30700911:30701543:-1 gene:A01p056310.1_BraROA transcript:A01p056310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSPVFPIPDSQHFSDYGFNYFQVMEEARKHKRETSTKSSIINSLHFKIQKPISKDDPTRSTMHHNKRKKRWWWKKALPFFKWRKWPISTTVAVNEDCRVRNFRAVTGSMSMSSPVYSTESRTGFGMPYRTTTSRPSSGPIAGTLTPARKGDVAVPYLSLREHNMEQQQRISISSSPIYLVT >A08p011670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:68450:80250:1 gene:A08p011670.1_BraROA transcript:A08p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEERRDGKQPESSGEPAVQQINLNQVQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYREESADETIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRKRPYPSPFIHPRPLQVIQGETAIPGLHQVPAYWFELPLKPAERRSVVPVLSLKQEEEKLQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVNKLRRDKQQLVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A06p052880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27931924:27932797:1 gene:A06p052880.1_BraROA transcript:A06p052880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGDHKLNGVQLMKAIPLKGGTCYTWRHHVLVSCQEGIGSYGSPDGGDAVFSGVGRLSQLYDRRPLFLGDGGSVSTVIAGSCFRKASENQSFIVLPPLDLSPETTRNVGGGWSMVDGSYETTQIVFDECLALSAWDTDEIAME >A04g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9588014:9591691:1 gene:A04g504630.1_BraROA transcript:A04g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFTLLPAYAEICDPLIHRYGRMDHYRPGSIVKVDSRKLDKFGTSLSCNKYVSPNITDVIKYKVTYVVFNREMTKLTKQDASALALEGSTSSFKAPIIGGKGREATASATNTVPAAKIEIRGDEANPAEICDPLIHRYGRMDHYRPGSIVKPLTKTGRCLIRRMQKEVGQIWHFSLSCNKYVSPNITDVIKEMTKLTKQDASALALEGSTSSFKAPVIGGKGREATASATNTVPAAKIEIRGDEANPAGFEGKYNSRKQP >A10p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20677589:20678188:1 gene:A10p036240.1_BraROA transcript:A10p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSTGTHLKSMVQRLRSYATASTPKSKAYSPTAEYGGQRRAATTTTGTKGDFTPVYVSIGMISLSVSFGLYTAYLHLHENPSVRVNKKTRETVPEVEDPDRVINEADRLANRSWFRKLAHVQEFDKQDVIPDPIRKDQFAHKPRAVTLKDVGVDPKMSAATN >A09p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7650289:7653418:-1 gene:A09p014580.1_BraROA transcript:A09p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-4 [Source:Projected from Arabidopsis thaliana (AT2G04750) UniProtKB/Swiss-Prot;Acc:Q9SJ84] MSSYVGVLVSDPWLQSQFTQVELRNLKSKFVSTKTRSGRVTVKDLPPFLANLKDFSGTFVENEIKTILDESYPNRHEEVEFETFLLAFLAVQSRKGGSKGATSFLKTSTTTFHRAISESEKASYISHINNYLREDPLWNSYIPINPATDALFDIVKDGVIFKLINAAVPGTIDERAINMKKELNPWERTENLSLCLNSAKAIGCTVVNIGTQDIAEGTPHLVLGLIFQIIKIQLLSDLNLKKTPQLVELAEDNQDVEELVGLAPEKILLKWMNFHLKKAGYEKQVTNFSSDIKDGEAYAYLLNALAPEHSTHVTLETKDPSERAKKVLEQAEKLDCKRYLSPEDIVEGSANLNLAFVAQLFQHRNGLSEESSNPPVSFAEMITEDDETSQEERCFRLWLNSLGAATYVDNVFEDVRNGWVLLEILDKVSPGSVNWKHANKPPIEILFKKVENCNQVIKIGKELRLSLVNVAGNDIVQGNKKFILGEIALMKDHSVFHTVLMRYTMLQTLNSLKSHWQGKEITETDILNWANRKVKKMGRTSQAVSFKDKNLSNGIFFLELLSAVEPRVVDWGLVTKGRTEEEKMLNATYIISVARKLGCSIFLLPEDIIEVYQKMMLILAASIMNWSLQQQSDTESWVSDDCDVSSLTEEISILSIDDGSSDAQNDEDVK >A04p021700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13129447:13131219:1 gene:A04p021700.1_BraROA transcript:A04p021700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIKKLSHVYGTLFLMISTILFLFISHDIWLVSSSSDDENELCNFVNACSGCKAFCKAKNSYVRECVDGGPDLQICCCTKKPPRVVIP >A06p018940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9181304:9185276:1 gene:A06p018940.1_BraROA transcript:A06p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G20980) UniProtKB/Swiss-Prot;Acc:Q8RY95] MEEVGAQVAAPLFIHQSLSPMGRKRNLYHHQMPNRLVPPQPEQRRDEWNSNMWDWDSRRFEAKPVDAEALRQFDLTSRKVGEERGLDLNLGSCLNAAEEETAQAARPSKKGRSGSPGSGGNYPVCQVDNCSQDLSHGKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHLLSEFDEGKRSCRRRLAGHNRRRRKTTQPEEVASGVVAPGNRDSTSNANMDLMALLTALACAQGKNEVRPIGSPAVPQREQLLQILNKINALPLPMDLVSKLNSIGSLARKNTDHPVVNPQNDVNGASPSTMDLLAVLSETLGSSSPDTLAILSQGGFGTKENDKAKLSSYDHVATANLEKRTIGGERSSSSNQSPSQDSDSHAQDTRSSLSLQLFTSSPEDESRRPAVASSRKYYSSASSNPVEDRSPSSSPVMQELFPLQRSPDTMRSKNHNNTSPVRTGGCLPLDLFGASNRGDANPNFKGFGQQCGYASSGSDYSPPSLNSDAQDRTGKIVFKLLDKDPSQLPGTLRTEIYNWLSSIPSEMESYIRPGCVVLSVYVAMSPAAWEQLEQNLQQRVAVMLQDSHSGFWRDSRFIVNTGRQLASHKNGRIRCSKSWRTWNSPELISVSPVAVVAGEETSLVIRGRSLTNDGISIRCTHMGSYVSMEATGAACKRAMFDELNVKCFRVNNAQPGFLGRCFIEVENGFRGDSFPLIIANASICKELNRLEEEFHPKSQEQAQTSDHRPTSREEILCFLNELGWLFQKNQTTKPLEQSEFSLSRFKFLLVCSVERDYCAVIRTLLDMLVERNVVNDEPNREALDMLAETQLLNRAVKKKSTKMVELLIHYSVNLGSSKKLVFLPNITGPGGITPLHLAACTSDSDDMVDLLTNDPQEIGLSSWNSLCDATGQTPYSYAAMRNNHTYNSLVARKLADKRNKQVSLNIESEIVVDQLGVSRRSSTELNKSSCVSCATVALKYRRRASGSHRLFPTPIIHSMLAVATVCVCVCVFMHAFPIVRQGSHFSWGGLDYGSI >A09p033530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20290443:20294184:-1 gene:A09p033530.1_BraROA transcript:A09p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQKRFIFEVEAAKEATDGKPSIGPVYRSTFAKDGFPNPIDGIDSCWDIFRTAVEKYPNNRMLGRREIVNNKAGKYEWKTYKEVYDIVIKLGNSLRSCGIEEGGKCGIYGANCPEWIISMEACNAHGLYCVPFYDTLGSGAVEFIISHAEVSIAFVEEKKIPELFKTCPNSTKYMKTVVSFGGVKSEQKEEAEKLGLVIHAWDEFLKLGEGKQYDLPVKKKSDICTIMYTSGTTGDPKGVLMSNESIVTITAGVRHFLAIFNESLTEKDVYISYLPLAHVFDRAVEECIIQVGGSIGFWRGDVKLLIEDLGELKPSIFCAVPRVLERVYTGLQQKLSVGGFFKKKVFNVAFSYKFGNMKKGQSHVEAAPFCDKLVFNKVKQGLGGNVRIILSGAAPLASHIESFLRVVACCHVLQGYGLTESCAGTFVTFPDELDMLGTVGPPVPNVDIRLESVPDMEYDALGSVPRGEICIRGKTLFSGYHKREDLTKEVLIDGWFHTGDVGEWQPDGSMKIIDRKKNIFKLAQGEYVAVENLENVYGQVEAIDSIWVYGNSFESFLIAVANPSQQTLERWAAENGVNGDFDSICQNTKAKAFLLGELVKTAKERKLKGFEIIRAVHLEPVPFDIERDLLTPTYKKKRPQLLKYYQNVIDDMYKTAKEGQASVQ >A08p008360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4876733:4878435:-1 gene:A08p008360.1_BraROA transcript:A08p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMREKQLVVGPPSKKRRWHRSEFVAVVTGLEIGGSVVVLSSEGEEFEVVVELCQSKALTSLQCSSLLCPLIDTPKMRQVQFNLSLSHFSSPIRLFILLSSAKTSTMNLMRKKQLVVGPPSKKRRWHKSEFVAVVTGLEIGGSVVVLSSEGEEFEVVMELCQSKALTSLQCSSLLCPLIDTPKARFTEELYICLARGSYRGLEGLSINDTALVSIDTDSIRWAGPIS >A09p016670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8734494:8736158:-1 gene:A09p016670.1_BraROA transcript:A09p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g64310 [Source:Projected from Arabidopsis thaliana (AT1G64310) UniProtKB/Swiss-Prot;Acc:Q9C7V5] MSSQSQLRLIINEFSKKSQTKLNTQKLHSFVTKSQLARDPYFATQLVRYYSLNDDLISARKLFDVFPERSVFLWNSVIRAYAKSHHLGPASSLFSQMLSSDTKPDSFTYACLARGCSESFDTEGLRCIHGNAIVSGFGFDRVCGSTLVKAYSKAGLTFEASKLFYSIPEPDVPLWNAMLSGYGSCGYWEEGISMFNIMLRQGNRPDCYTMVALMTGVIDPGLTLVARSVHGFCLKVGLDSHSYVGCALVSMYSRCKCIASACGVFSGISEPIDLVACSSLINGYSKCGYHKEALCLFNELRMSGKKPDSVLVAIVLGSCAELSNSLYGQEVHSYVIRQGLELDIKVSSALIDMYSKCGVLDSAMTLFAKVPEKSVVSFNSVILGLGLHGFASSAFEMFNEMLEMGLKPDEVTFSALLCTCCHSGLLREGQEMFMRMKREFGVEPRTEHHVYIVKLMGMAGKLEEAFEFVMSLRRPIDSGIWGALLSCCEVHEDAHLAEVVAEKIRENEEEERRSVYNVMLSNVYARYGKWDEVEMLRDGVSESFGGKLPGISWV >A05g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27727633:27728435:1 gene:A05g509230.1_BraROA transcript:A05g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEDVVYYCPSPYLRKFGLETSHDLNSSRTTFSSKVEPYHAMLFLCFLTRTTRFSFSEATLFFQGYQLLHRMDPFINQMLAEEHWCSECANSTMVSLNERM >A05p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1470264:1473012:1 gene:A05p003770.1_BraROA transcript:A05p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWCMSVLLILGFLSKSDLGEAQLSDEAALLAIKRDLRVPGWGSSSREYCTWDRVKCGANTSFVETLDLSGLQLRGNVTLISDLRSLKHLDLSRNSFTGPIPASLGNLSELEFLDLSLNRFAGAIPVEFGNLRGLRWLNVSNNLLVGEIPDELMSLERLEEFQVSGNGLNGSIPHWVGNLSNLKVFTAYENELVGEIPKGLGVVSELELLNLHSNQLVGKIPKGVFEKGKLKVLVLTQNRFTGELPEEMGICSGLSSIRIGNNELVGVIPKTIGNISGLTYFEADNNNLSDEIVAEFSKCSNLTLLNLAANGFTGTIPAELGQLMSLQELILSGNSLFGEIPKSFLGLGNLNKLDLSNNRLNGTIPKELCGMPRLQYLLLDQNSIRGDIPHEIGNCMKLLELQLGRNYLTGTIPPEIGHMRSLQIALNLSFNHLHGPLPAELGRLDKLVSLDVSNNMLTGTIPQLLKGMMSLFEVNFSNNLLTGPVPVFVPFQKSPNSSFSGNKELCGAPLSSSCGYSDDIEHLRYNHRVSYRIVLAVIGSGVAVFVSVTVVVVLFMMREKQEKAAAGKNVDVEEHVEDEQPAIIAGDVFLENLKQGIDLDAVVKATMKESNKLSTGTFSSVYKAVMPSGMIVSVKKLKSMDRAITQHQNKMIRELERLSKLCHDHLVTPIGFVIYEDVALLLHQHLPNGNLAQLIHESSKKPEYQPDWPMRLSIAVGVAEGLAFLHQVAIIHLDVSSSNVLLDSGYKPVLGEIEISKLIDPSRGTASISSVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTSRAPVEEEFGEGVDLVKWVHGASGRGETPEQILDAKLSTVSFAWRREMLAALKVALLCTDITPAKRPKMKKVVEMLQEVKQSK >A06p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:317011:319444:1 gene:A06p000500.1_BraROA transcript:A06p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLMKGGVKLWAVCLCLALTTVVIVQAEDPYFHHVWNVTYGTASPLGVPQQVILINGQFPGPNLNSTSNNNIIVNVFNNLDEPFLLTWNGIQHRKNSWQAGTAGTMCPIPPGTNFTYHFQPKDQIGSYFYYPTTAMHRAAGAFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTKSHTQLKNFLDSGRTIGRPDGILINGKAGKGDGSDQPLFTLKQGKTYRIRICNVGLKASLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFGVILTANQEPKDYYIVASTRFLKNALTTTGLLRYEGGKGLASSQLPAAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKVDSKLRYALNGVSHIDTETPLKLAEYFGVTDKVFKYDSISDNPTSDQIKNIKIEPNVLNITHRNFIEVVFKNHERSVQSWHLDGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTIQVYPKCWAAILLTFDNCGMWNIRSENSERRYLGQQLYASVLSPEKSLRDEYNMPESSLQCGLVKDKPKINPYAGA >A01g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24113107:24113810:-1 gene:A01g508900.1_BraROA transcript:A01g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKVIAAVYGPREIQYKSQQKNGHILVLCASNEDHLVVEPLPPPAGAKPGERVLFSGYII >A03p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16964695:16969692:-1 gene:A03p040660.1_BraROA transcript:A03p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAACSTQLIDGDGTFNVSGVDHFIKEVKLEECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFRGRSQTTKGIWIARCAGIEPCTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDAVPKPQAHKETPLSDFFNVEVIALNSYEEKEELFKEQVANLRQRFFHSVAPGGLAGDRRGVVPANAFAFSAKQMWQVIKDNKDLDLPAHKVMVATVRCEEIANEKFAGFIANENWRELEEAVHSGPVSGFGKKLSSILQSCLSEYDTEATYFEEGVRSSKRQQLQEKLLQLIQPTFQDLLGHLRSGALENFKDAFEKALNAGEAFSASADVCAQSCVSKFDKGCEEAVIEQANWDTSKTREKLQRDIEAHISSVRTAKLCELTTLYESKLNAALSGPVEALLDGANDETWPAIRKLLRREGELAVYGLSDALSGFDMDEETRNKMLTDLENYARGIVETKAKEEAGRALMRMKDRFTTIFSHDSDSMPRIWTGKEDIRAITKMARSASLKLLSVMAVIRLEDELDNIEKTLTLALVNSTSNSATSKSISTIDSLASSTWEQVAPEKTLITPVQCKSLWRQFKNETEYTVTQAISAQEANRRNNNWLPPPWAILALVVLGFNEFMTLLRNPLWLGVLFVGYLVSKALWVQLNISGEFQHGALPGLLSLSTKFLPTVMNLLKKLAEEGQAPSTNTNQSSMNSSASAQSDVTTNGESSSSSSSGSSPAKSVPIDTSS >A03g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7098604:7102566:-1 gene:A03g502080.1_BraROA transcript:A03g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLPEDVIIDILARVPRCNYPRLSLVSKHFGSLIASPKLYAVRSLLRCTEHCLYVVLHNRETGGDHRWYILRQKTKDNPRLVLIPSLPVAQLDASYVAMGSKIYVVTTNVLSIDCRSHTVQHLPSIDVHVYTTLADIINGKIYVLGYQYRNTNSKKVMVVLNTETQTWEPVMTLPETMAYYTWPSSCVMMDGKMYLRCSNKTFLYDPKENRWETDEKLDFWINPCVVADVMYCYYYDGNVLIMYDPKQRRWGKVRGLEEFLAETKSCSTFSWTGIVGYGGKLALFFPKGVTREIWCAEISLERQEGEGTWGKVEWCHQVMVAGNFDVNKCVAVMKSVEFWILRNLHGKSEDVIIDILARVPRCNYPRLSLVCKHFRSLIASPMLFAVRSLLGCAELCLYVLLHNRETGGDESWSILRQKTKDNSRLVLIPSLPVAQSYAAVGSKIYIFDSTKDDSVTTNVLSFDCRSHTVQPLPSIDVNVYTTVADTINGKIYVLGYHNTNSEKPMVVLNTETQTWEPVMTIPETVHYMWPPSFVMMAGKMYTIGGSYVYDPKESRWETDEKLKFWEKGCVLDDVFYCYDFCVNSLRTYDPKQRLWGAVKGVEQLLAEMKSCSPASWTKIVGYGRKLALFFSKEEMTRERWGVDISLEKQEIWCAEISLERQEGEGIWGKVEWCDQVMVAGNFIGSKCIAVMV >A01g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18101917:18103505:1 gene:A01g506170.1_BraROA transcript:A01g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIDRVTPTSIDMDNPTSIDRCCECGSRAFDMCEARKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLEPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNEFFRCVAEMRTEIDSLRQQFEKEAMTSASIDAPFSYIDTRINEVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPSTTKSDKGKIDRRQLTEIANEHIIASIDAESTTIGEQLIHRTVESMQKELTDITAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRSFIASWSRMRRDDVDACFPTSSCFSTQ >A01p006980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3513907:3515214:1 gene:A01p006980.1_BraROA transcript:A01p006980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGKEMMKKLVKKVGAETLTPELKEKLKACVPDSKVVMGRAKRGLYAGRHIQYGNRVSEDGGNKSRRCWKPNVQEKRLFSYIFDRHIKVKVTTHALRCIDKAGGIDEYLLKTPYQKMDTEMGLFWKTKVEQRYAELGQMEVAFFTPEDEAKFEQGFKDLNIAKKEARREARKEARRKMYGGEEKGEEEASIEAGGSESHQDDHGWLEANA >A07p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7557887:7559114:1 gene:A07p013250.1_BraROA transcript:A07p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVTGGPKSPFYILSRRRHTRFTGDFRGAKMVNIPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQTCKHFSQHSIKRCKHFEIGGDKKGKGTSLF >A08g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18564457:18567443:1 gene:A08g509400.1_BraROA transcript:A08g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEILSSLRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEARLWTDGRYFLQAIQQLSDEWTLMRMGEDPLVEVWMSDNLSEGANIGVDPWCVSVDTANRWGKAFAKKSQNLIPTTTDLVDEVWKSRPPPEMNPVLVHPLEFAGRSVSDKLTDLRAKLKQESARGLVIAALDEVAWLYNIRGTDVAYCPVVHAFAIVTTDSAFLYVDKKKVSNEVSEYFKGLGVEVKEYTDVISDVALLASKQLFSSFSSKSEDMEIDSDQTDRLWVDPASCCYALYSKLDADKVLLQPSPLSLPKALKNPVELEGLKKAHVQANKKKPTSETSKLTEVTVSDKLESLRAAKEHFRGLSFPTISSVGSNAAIIHYSPEPEACAEMDPDKIYLCDSGAQYLDGTTDITRTVHFGKPSAHEKDCYTAVLKGHVALGNARFPKGTNGYALDILARAPLWKFGLDYRHGTGHGVGSYLFVHEGPHQVSFRPSARNVPLQATMTVTDEPGYYEDGNFGIRLENVLVVNDAETEFNFGDKGYLQFEHITWAPYQVKLIDLKQLTREEIDWLNTYHLRCKDILAPFLNQAEMEWLKKATEPVSVLA >A05p023900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4447519:4448388:-1 gene:A05p023900.1_BraROA transcript:A05p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSLSHCLLYSGQRRVMVSQWAYKFLFINWLIGQSRRLGQICDKSIFSLIGEFFISLIVECDLLANKIWRFCFVGVFPWLVSHGGLFSPENSLKELRAPCNKKRNLKPPWTWNRRNLKPPWTWNRLRTSCALDNLSWMIVAPLLRSDKIQHYLTIRREMDRLCEGMEWKTMVFDYYQCQFEELCMVWIWNTTKHVISCSGSIWNGQRCNRWIVPPDLLSDERRWITMDDSRTQDHIRPRTTIEKLANQSILQSELGSIVLLLFCYHVQFKNVFVVCFDSDTLLNFS >A06p021260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10534428:10535545:1 gene:A06p021260.1_BraROA transcript:A06p021260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAPSTDLRRGLSEVERSRVGFGFMKSFVFPLSDISGRRRRGVEVLSLVTARFVGVSSCRSCVLCLFGDGNGCLGFFRVGEILVPTRRCKEFSGLCLDMEARLKADVIVVKVSCLMPRACASGYTSMVVYGLEKISRCTTSIFELPLSASCLVLFESLATTSLEELSLLFFIVVQSSGSGVDLLALKELVLWRCVALRSFRLWWLLQGIGMYLNSPIWSSRASLTKKVMSLEIIGNCYSRIVKGTPDIQRNKENLTFLRVTLMVENGFRYQRISKDNLAEHARFSGWTKLGL >A01p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25281192:25285269:1 gene:A01p042770.1_BraROA transcript:A01p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGVLLSGFSDGMADPASYVYHERDIDQALIILKKGTQLVKYSRKGKPKFRAFRLSPDEKTLIWFSHGEEKGLKLSEVSRIVPGQRTAVFKRFLRPEKDHLSFSLLYNNKERSLDLICKDKAETEVWFAALKYIIERSRNRRARSEIPEIHDSDNFSTGRQSIDIVSNNIPRGRTSIDLGYERGNMLRPSTDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEVWSEGILPDGTVSKETVKTDVLTPRPLESNVVLDVHQIVCGVRHVALVTRQGEVFTWGEEAGGRLGHGIQVDICRPKLVEFLALTNIDFVACGEYHTCVVSTSGDLFSWGDGIHNVGLLGHGSDISHWIPKRVSGPLEGLQVLSVACGTWHSALATANGKLFTFGDGAFGVLGHGNRESVSNPKEVQSLNGLKTVKVACSVWHTAAIVEVMGQTGTSMSSRKLFTWGDGDKNRLGHGNKETYLLPTCVSSLIDYNFHQIACGHTFTVALTTSGHVFTMGGSSHGQLGNSISDGKVPCLVQDRLVGEFVEEIACGDHHVAVLTSRSEVFTWGKGANGRLGHGDTEDRRTPTLVEALKDRHVKSLSCGSNFTSSICIHKWVSGADQSICSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYNKLKAAETGYITNANRNNVATPGRSSIDGSVRIDRETTRSSKILLSGNTKSVKTSRPGFRPNSSNVRDSQVPSLQQLKDIAFPSLLKPIAPAAVPQRLLVGPMSSPPPTRSSSPLPGRSSSPYARRSSPPRTSGFSRSVIDSLKKTNEVMNQEMTKLQSQVKNLKEKCNNQRTEVHKSQEAAKEAFELAAKQSSKHKAATEALKSVAEQLKGLKDKLPPEVSESEAFESINSQAEAYLNKNEVSETSILTTSILDQQETSPSGNTQDQKVDEQVSSNTQDQKVDEQVSSNSSISETSNSSKPVPTESSSSSSRTGGKESKEQFEPGVYVTFVVDMNGNKIFRRVRFSKKRFDEHQAEEWWTKNKDRLLKWYSPNSSSSSPKPTASDSPIAPPPPSESPLDPSVPEKSNDEEPEVPEKSNDEEPDSEI >A01p000160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:70343:71812:-1 gene:A01p000160.1_BraROA transcript:A01p000160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILPEPRGSVPCLILLVSSVLFSATLSLARVVEVVGYAESKIKNPRAFSGLRVTIECKGEKGHFVTKGSGNIDEEGKFGLKVLPHDIISDDGALKEECYAQLHSAEGAPCPAHDGLESNKIVFLYTSGDKHILGLKQNLRFTPELCVSKFFWPMPKFPPFKGFEHHFPLPPLFPKFKKPCPPPLEEVPPPPTVEIPPPVPVHDPSPKVELPPPAPKKSCPPKSPKIEHPPPVPVYKPPPKIEHSPPKKPCPPPVHVYKPPPKKVDPPPVPVHKIPPKKACPPKIELPRPHPPIYVPPVVIPKKPCPPLSKFPPLPPKYIHHPKFGKWPPLPTHP >A07p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11720066:11722246:-1 gene:A07p019680.1_BraROA transcript:A07p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGVDYSFECIALASLMEEAFNSSRTGSGKTVILGMEKNASPISLDSRAILRGRSVHGSLFGGLKPKLDIPILVDRYLKKIDILGLKKINGLQARFMSGQDTVVLVCVGNEKWSDRSCKNLNLTLVSLSSEKILCLSDPRRSEESRRPLTSMAESVASQTPSLSEQYHLEKEVKQDTSAKPVEVAPEVTSQAEEVSTDKASEESPAEDKSESPPAASEEAPPAVVEEETPAAVEESNDGNASEEVVEETPDEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGDDAPECDKFSKFYRSLCPGEWVDKWNEQRENGTFPGPL >A07g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6901612:6901962:1 gene:A07g503540.1_BraROA transcript:A07g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDDEGEE >A09p033010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19959638:19964262:-1 gene:A09p033010.1_BraROA transcript:A09p033010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQKCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKDLISDNNPMVVANAVAALAEIQENSTSAIFEINSTTLTKLLTALNECTEWGQVFILDALSRYKAADPREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVIRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRRAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVITDDSNQLEPSLLDELLTNISTLSSVYHKPPEAFVTRLKTTVQKTEDEDFAEGSEAGYSSGNPADSAASPPVTMGNVAHPAGRQTAPAPAAPAPVPDLLGDLMGLDNAAIVPVDEPTTPSGPPLPVVVPASTGQGLQISAQLARRDGQVFYSMLFENNTQAVLDGFMIQFNKNTFGLAAAGPLQIAPLQPGTSARTLLPMVLLQNLSTGPPSSLLQVAVKNNQQPVWYFNDKIILHALFSEDGRMERGTFLETWRSLPDSNEVQREFPGITITSVESTIDLLTAYNMFFIAKRKNGNQDVIYLSAKVPVDVPLLIELTAVVGQPGLKCALKTPTPEIAPIFFEAVELLFKA >A06g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15517787:15519153:1 gene:A06g505250.1_BraROA transcript:A06g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 2.1 [Source:Projected from Arabidopsis thaliana (AT3G45720) UniProtKB/Swiss-Prot;Acc:Q9M171] MADLDSGDIEVQHSGDPSSKRGGWITFPFIIVTLLGLSITSFGVILNLIVFLIEEFKIKSIAAAQISNIFNGCLAMLPVVAAILADSFFGDIPVILASTFISLLGISLLTLIAFSDYLRPRPCEPGSILCQSPSDLQLGILYVVLALVTTGTAGTRVALASAGAIISATAIVYTQDNASWKLGFGLCAAANVISFVLFISGKRLYKHNKPMGSPFTSLVRVIVAATVKRKAVISSKDEDYYHHGLGENNKNSAVVPSQSFRFFNRATLKTEEESGDTTNNKWRLCSVQEVEDFKTVLRLLPLWLSIIFVSIPIAVQSSLMVLQALVTDRVLSPHFKVSAGSIKEITIE >A05g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18324180:18330221:1 gene:A05g506420.1_BraROA transcript:A05g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDHKRSTTIDTTPRTSIDTVSSKMVNIIILTQDVNGNLYDQAGHLRNATVVRNEKLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQEAEGRRLRKRKEKIPKDLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRKVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEYTEEYDEDYWKERAIEMSLQDERLETLKFTNTFPTSFDAVHSTSVDTHPRPAKQPLTSIDTRTGTSIDIRAAAKIQEQENIPSLSRFKDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTENSMKSNHLKNTSSAEITLPSIDATVSTSIDTTINPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDITDILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTKTSIDRVTSTSIDRDNSTLIDRRYDCGNRAFDMYGARKFTWEQRDEYGVYRDECGHARGLAGEMIPVTKDDIRKLLERASLFEESHICLPQYATPFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKSLQLEKEATTSASIDTLHATSIDVSLPTAQIPAEPQCSTQHMDEWEVSYINTRINGVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDICIFTSLDAKVSAMNERLRTYEDMHDRFISPVMIYLNKLSSQLLHTQKDIENITNQSFLQAKSASIDRLRGSWIDGKKAVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLQKEVKAIQRQLAAQHQISASIDRTRAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNVQERLQNISTVLEKMDDKWTRNDEATRNSTKYANADQPINYTLALNRMK >A06p019300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9443368:9444060:-1 gene:A06p019300.1_BraROA transcript:A06p019300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSPRSKEILGPRPTPLKIRKDSHKIIKKPPLAPQPLQSQPPQLHEQEPSQLLPPRGPVIIYTVSPKIIHTHPNNFMTLVQRLTGKTSTPTIPSSSSPYPLALDYTSASRDTSAVFDASRGSISPAARYAAMEKANVSNELGFVGGIESTNQYYQHDHHQNRATERAGILSPGPASLPQISPDFFSTVGGSDPQGFSSFFNDFSSILQAIPTIPSPSSMDLFTNFFDC >A07g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9069581:9071666:-1 gene:A07g504520.1_BraROA transcript:A07g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITNFAPRHIVTFSALIPLSEFSEASVMNKYGLKPDAETLDIANTAARQKSIYWGDPREKICEALDHIPLSSLGGLERMIMGSVSNHVVNNVACPVTVFEARVQAYWGYCEQERGTYGKRFLHRLEQVERRAGTRGKTEKIQSHSRRVMKKTAKVLIVMPKNMRSSQKVVLSLLQNKEDVNRDWTKYDHYGSATMIMRLKATIQSLEGRMSSVTEKSAKGSLSSLSTLMISGELKMYSHLKQFTFLDLELATRNFRPESLLGESGFGCVFKGWMEENGTAPVKPGTGLTVAVKTLNLDGLQGHKEWLV >A02p014210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6226673:6231501:1 gene:A02p014210.1_BraROA transcript:A02p014210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSLEDIKNENVDLEKIPIEEVFQQLKCSRDGLSGAEGESRLQLFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVCLLVINSTISFWEENNAGNAAAALMAGLAPKTKILDLCNARADLRKRVHSAIDKYAERGLRSLAVARQTVPEKTKESSGGPWEFVGVLPLFDPPRHDSADTIRRALDLGVNVKMITGDQLAIAKETGRRLGMGSNMYPSASLLGNHKDANLAAIPVEELIEKADGFAGVFPEHKYEIVKKLQDLKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVVPSPTPDSWKLKEIFATGIVLGGYMAIVTVVFFWAAYRTDFFPRTFHVRDLRGNEHEMMSALYLQVSIVSQALIFVTRSRGWSFLERPGWLLLIAFWIAQAIATGVAVLADWEFARIKGIGLGWAGVIWLYSIVFYIPLDMLKFAIRYILSGTAWNNLIDNKTAFTTKQNYGIEEREAQWALAQRTLHGLQNQETANVFPEKGGYRELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIETAGHYTV >A06p054580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28605323:28606265:1 gene:A06p054580.1_BraROA transcript:A06p054580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKKLTGIFGFGHNDGGHGVEDGDNTGKVDKLGDGDQPRFRETGLPRKGFGVPVQVAVERSNPGPILQPCPSSDGVVQGLRWYSMRLRIDEDGDIADEFLEDHTCKDLPRRCRTKAAKVSGLVISSDGKLQPLME >A07p041820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22520722:22521745:-1 gene:A07p041820.1_BraROA transcript:A07p041820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKYFNHVLTSKKTKPSIFINKQKMLDKLIGEYGHGYGNGYGHKDHRSSGYGFEEHKKYESHMESEGGYFDRQARYDHRVRLPANHAHPPMAHMPPCDEEDSDSDVEEFYKSSRSHHTTVLPHHGKIHHQQPPHMNFMPPPPMSQPHNNGKMGNGWQGRHEDAYLGGHGMQHHGEHGMQHHGEHGMKHHGAHGMKHQDRLMAPQVLPHHVYMNPNSGGGHHKAGWGSKGL >A01p007340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3639979:3642619:1 gene:A01p007340.1_BraROA transcript:A01p007340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKNTNYSLFLILLKLTVLYTAGLAASDCLHDCGGIAIPFPFGIGKDCYLDEHYKIECKETPTSRKLVPVLSSVGVDVVSITPPNPRTDYRYGLFDSSRRYGLIRVKMPTISTGCPDNGNESREELAMNLTGSPFFIDDQNSLVAVGCNSKVSLTQIKPNTNTVVCELNCNTTTRRDSHMINNIPFLKKTDCSVDLFPDIGNYEEDCTKDDTKERDCDGNGCCRVGLTEPQQVFGINITSNDGNSTSCRVAFLTDQVFNLSNSTKPQELFDKRYATVTLGWVIQTNKTSFLKSLNCKFGNDNGSTTTFSVAPEPKCTCGKSIISGINYANCECNKGYIGNPYAKDECEDIDECKAIPEICGKGGTCENTLGSFQCGADKTKAIVIGSGSGFGALALVGGVWCLRKFLIKRRMEKRKKKFFERNGGLLLQQELNTSEGNVETTRIFSSRELEKATENFSENRVLGHGGQGTVYKGMLLDGRTVAVKKSKVIDEDKLQEFINEVVILSQINHRHVVKLLGCCLETEVPMLVYEFIINGNLFKHINEESDDYAMIWGMRLRIAVDVAGALSYLHSFATSPIYHRDIKSANILLDEKYRAKVSDFGTSRSVTIDQTHWTTVVSGTVGYLDPEYYRSSQYTDKSDVYSFGVILAELITGDKPVVMLQNTQEIISLAEHFKVAMKERRLSDIMDARIKDDCKPEQVTAVAHLAMKCLSSKGKKRPNMREVFTELERICTTPEDSKVHIHIDEEDEEEEEDEVRNMISKGDSLSVSVTAPAFSIDASLSSSDIEPLFPRLTW >A04p008330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8376396:8376743:-1 gene:A04p008330.1_BraROA transcript:A04p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYTHSASMVVAEKISWYCAVFAAMMLLMSCCHVEEEAGSTNTNVQKQHPSMMIAGYSGRRPACDEIYEVKEGGTLQTISEKCGDPYIVEGNPHIHDHDDVFPGLLIRITPSF >A03g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7427737:7430953:1 gene:A03g502320.1_BraROA transcript:A03g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLVCGGSNHFHIFDRDNEADMIEKIAMSISSELNSAPSGDSDNLVGINAHMSEMDSLLCLESNEVKMVGIWGPAGIGKTTVARALFKQLSVSFQHSIFVENFKGSYRRTGLDEYGFKLRLQEQFLSEVIDHKHMKIHDLGLVKERLQDLKVLVVLDDVDRLEQLDALVKQSQWFGPGIEIFESEVTKNQSPTKVQTNRTGMPTLHEQYLCVFQGTAALLGIFLDISTINEWFLNERSFGGMHNLMFLKFYKSSLGKNQTELHLPRGLDYLPRKLRLLHWDTYPTTSLPLSFRPEFLVVLNLRESKLEKLWEGEQPLRSLTHMDLSMSENLKEIPDLSKAVNMEELCLSHCSSLVMLPPSVKNLNKLVVLEMECCSKLESIPKNINLESLSILNLDKCSRLTTFPDVSSNIGYLSISETAIEQVPETIMSWPNLAALDMSGCTNLKTFPCLPNTIEWLDFSRTEIEEVPSRVQNLYRLSKLLMNSCMKLRSISSGISRLENIETLDFLGCKNVVNYPVEIFESSPFCHNLVVEMGDIQTPGLPRPFYFRNSFIETIPDCITRHCKLPFLNSSRP >A05p036860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20904540:20910405:-1 gene:A05p036860.1_BraROA transcript:A05p036860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGGSSKPGRGRGGGVGGGGGGGPGRNRNSFPPLTNRHPSPIGRMSTGGGGSSAAPRQRNTTSVKAAAASSSRTVEEKFSLVPRESPPAFGMIIRLTPDLVDEIKRVEAEGGAAKIKFDAFPNNSTGNIRLLLELQLDHIDSGLAYWLRMYCMIIDVGGKEFKFTWSGERGDLCDIYEEHQSGEDENGVLIEAGSAWRKLNVQRTLDESTTSQMKMRSVEAEQRTKSRKYIFPPNILITSDICNFSQHSLSAAAKVSSVCYLSFSSFAVFFRAIVLDPGNPSLKKQLARAEASPWRMSNNQKKEPPPKKRKVDPPPVPIGGPKPSFRPGVSTTSVKNRLSASPGPSPSNQYNTPSSYGTGNIAKTHADNVNVTPVNTKGRESIVASEKDLSTWERNALRDTSERQETNVNKEIDLQALLVDLLKEAPMSLKALEKAVGDRTPNASKKIEPILKKIANFQAPRYFLKPEADLDSRSSPEHQQLLPITECNRDQLPVPGVSNMETFSVCERKGEGLQECSPLPLGEHLSTQENVDIEHHSPGILHEEKRSENRNGQARSSSSDSDSDNSGSSSDSGSSSDSEASSNSKEVSDEDVDIMSDGDKEPRQTIDIEGNDSDAVDIDGHDSDAVDIDGHSSDEGHGSEADRKNEVGISELEQLPSGHDKLRERQNFIGQLFDDTDNTTKDSLKSDQPGISERLAKDQNQISPALEHYSQQSARERNIKSQQLPAIGKDSQPSERKNDLQHLNASASQMMDPLKGLQKSSTEKLSRHGQMKLGDSSGKSNKHSDALGDVRKSDEDDHFPHETLSSRSGKAFRDNHRDAVHSKNKFARNKKDGESSIGPSFPSDRANRKHGELDGSEKDPKNVSGFGMGSSPLDSQRAKLPKGNGSMLQKQVSDLELGELPESLEVDTALKQLEDKTSFRQSNLKPSTSENLGIDSDKRRSKKSSKKPALTHAGNGTKELPQQVVDDSERSQKLALQSHEQNHTGTDTENGSHNNNLEDAAYKSRQRDSRGRVGSSVEGYGETNKKTPVIKQGSKRPSTSRSSRESKRHKNGTSMNGHKDATFDEEDSSYLKYEKASPDQKGPIRDHLQYKAYMQEYLDKYDDYCSINKILESYRNDFQKLGEDLKLAKGRDMVRYNKIVEDLNESYRKYGERHKRLKKVFIVLHEELKQLKERMKDYASSHGKD >A04p003830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1916673:1919850:1 gene:A04p003830.1_BraROA transcript:A04p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKPKQPWMVNLSTFVAVCGSLAFGSCPGYSSPAQAAIRNDLFLTIAEAMRVSSAFCVVGSSGSGSRKTGNGLWNGSILLCVEPIEAGAKAYIFAGGATAEHLAADIGDLVLINCLLKLDEGNRPLEVAALRENKKIVETPPIDNKEENSIKSKSLEAVIKKDLPEDIARSLSPSFAPEILVCSVDAAWNSSSKRCGARFGFGFGYRVKVSSLTRNNEVFVQGAMEHHVLEVRAGLHHGARRHGLRNPKFFP >A09p065720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52439780:52445020:-1 gene:A09p065720.1_BraROA transcript:A09p065720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGGTGDNAFNSLWEALPTVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGTEEYAEFLHLPKKKFIDFALVRKEIQDETDRITGKSKQISPVPIHLSIFSPNVVNLTLIDLPGLTKVAVEGQPETIVEDIESMVRTYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALEVLEGRSYRLQHPWVGIVNRSQADINRNADMMLARRKEREYFDTSPDYGHLASKMGSEYLAKLLSKHLESVIRSRIPSILSLINKSIEELERELDRLGRPVAVDAGAQLYTILEMCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLQSVKRIVSEADGYQPHLIAPEQGYRRLIEGALSYFRGPAEASVDAVHFVLKELVRKSIAETQELKRFPSLQVELALAANTSLEKFREESKKSVIRLVEMESAYLTAEFFRKLPQEMERPVTSNSKNQAASPSPATQDLYGDGHFRRIASNVSAYVSMVSDTLRNTIPKACVYCQVRQAKLALLNYFYSQISKREGKPLGLLLDEDPALMGRRLECAQRLELYKKARDEIDAVAWVREQNGRSPKKASSSSLLSSPLFTLNFLQDLSNCCVIHSRISVDFQIVSVGSKVVRLIMETSVDAPIKDKHEESHRWKKSTIVFVLGGPGSGKSTQCANIVQHFSYTHLSAGDLLRAERRSGSEFGAMIQGMIAEGRIVPSEITVKLLCKAMEESGNDRFIIDGFPRNEENRIVFENVAKIEPAFVLFFDCPEEELEKRIMNRNQGREDDNLETIKKRFKVFVESTLPIVSYYESKGKLRKINAAKPSEEVFEEVKYLFASET >A06g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23812418:23813942:1 gene:A06g508470.1_BraROA transcript:A06g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLLPTDSEIYQLQIHHLKVYASLISKPSFQQDSDRKRTGKNSNSGASAVSSGVKLAQDHHGAKRILFRCRKSILLKLIISLEKAMNGAVVLGEHNVVPNYFEQFQPHDMYCHSCNRCRHVILRLFERLLMELGFRIAVFVVFDSASNLRWLSQIRQKQLDKVDEGGSSVAEPIRALPDPTPMKPKSIYDFE >A03g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20493002:20497682:1 gene:A03g505790.1_BraROA transcript:A03g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLAREKKIKTNGIWLNVAEKGDDGGPLVLLLHGFPETWFSWRHQIDFLSSHGYHVVAPDLRGYGDSEFLPSHESYTVSHLVADVIGLLDHYGTAQAFVAGHDWGSVIGWSLCLFRPDRVKGFISLSVPYSPRDPNLKPSKFSKTFGDGLYITQFQKPGRAEAAFAKHDCKIVMKKFLLTTRTDFMVAPPGTEIIDDLEIPSKIPEWITEEEIQVYADKFQKSGFTGPLNYYRAMDLNWEILAPWEGSKILVPTKFIAGGRDIGAKETMEYVKGEMFKSIVPNVEVVVIEDGHHFIQQEKAKQVSEEILSFFNKLRTTDFFTFWARKMDPDAVKSTLSNLAFGNVLAAAARDYKKEVLANEKAQSSNPVNEEVDLDELMDDPELEKLHADRIAALKREVEKREAFKRQGHGEYREVSEGDFLGEVTRSQNVICHFYHKEFYRCKIMDKHLKTLAPRHVDTKFIRVDAENAPFFVTKLAIKTLPCVLLFSKGVAIDRLVGFQDLGTKDDFTTTKLESVLIKKGMLSKKKKEEDDEDAEYQESIRRSVRSSENLDSDSD >A02p022050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10395624:10399736:1 gene:A02p022050.1_BraROA transcript:A02p022050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKLSLSFVRGNEATMIEAIANDVLDKLLLTPSKDFDNFVGIEDHIAQMSALLHLESEEVRMVGIWGSSGIGKTTITRVLFGRLSRHFQGSIYIDRRFISKSMENYNKKIIEVDHLGVVKGKLKDLKVLIIIDDLDDQVVLDTLVGGDEWFGPRSRVIVITKDKQILRGHGIKCIYEVGMPSENLALRMFCQSAFRQNYPPVGFMELASEVAARAGGLPLGLNILGACLRARNKKYWGTKKVLGISLNIEDIDELRIHKTAFKGMRNLRFLNMYTKKWDHEKEVIWRFGEGFDYLPPKLRFLRLDGYPMKCMPSNFCPTYLVKLHMQGSKLEKLWEGVHRSRNLKEIPNLSMATNLETLNLAFCSSLVELPSSIQYLNKLKKLDMTFCENLEILPTGMNLESLERFTLKGCSKLKSFPDISTNISPLTPLMAMLSSSLTSSSIRHCINLETLPNGINLKSLDILNLTSCSRLRSFPDISTNISELFLSETGIEEVPWWIENFSNLSLISMWECRNLKHASLNISKLKHLEEVDFSGCWALTEARLTDSPTVEAMSKDNYLPNILLKFINCFNLNHEALVEQQTVLQELVFSGEEVPSYFTHQATGSSSSMTIPLSHCFLLHPLFQFRVCTVVGFDSMPTSDVNGVYIHVSCRFKGRFGNIFDSFGQPHSFLTNQKDSHLFIMDCRFPLNKDNACYDQVDNFISEEQTISDQNILPRVYGICHETELGDEPGDELHEAPVKKLPTVPCL >A09p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2951304:2954361:1 gene:A09p005400.1_BraROA transcript:A09p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLDSLVSKMPSLSASDHASVVSLNLFVALLCACIVLGHLLEENRWMNESITALLIGLATGVVILLISNGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMLFGAIGTVVSCTVITLGVTQFFKKLDIGTFDLGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVVFNAMQSFDLTHLNHEAAFRLLGNFFYLFLLSTLLGVATGLISAYIIKKLYFGRHSTDREVALMMLMAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFLAETFIFLYVGMDALDIDKWRSVSDSPGTSVAVSSILIGLLMLGRAAFVFPLSFLSNLAKKNQSERIDFKMQVVIWWSGLMRGAVSMALAYNKFTRAGKTDLRGNAIMITSTITVCLFSTVVFGMLTKPLIRFLLPHQKATTSFLSDGNTPKSIQIPLIDQDSFIEFAGNHNVPRPDSIRGFLTRPTRTVHYYWRQFDDSFMRPVFGGRGFVPFVPGSPTERDPPTDLSRA >A07g502980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6122152:6122643:1 gene:A07g502980.1_BraROA transcript:A07g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEEVQKVKFVKTASDNIEKRTTENVESTGTEKTTEIVDSREKTTDVSTQVMTDVSTEKTTDVSTEKTTDVSTEKTTDVSAEKTSEDARESTAEITKPSDVALETAPATVNKGPAGPSPPAPPGTPAIGTESEEEENEETPSSGDEEN >A09p010480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5351214:5351831:-1 gene:A09p010480.1_BraROA transcript:A09p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDIMNMMMQHMENLPEFYNTNPSLIDHNNTTYPFLFNSNHNHSDSMTHEPGFRYGSGLHASPAYSSILHDKRHNISNTDNMAAMREMIFRIAMMQPIHIDPEAVKPPKRRNVRISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEEQALVNGGGVVMKGCGSMGTAHQMVGKAHILR >A06g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14527604:14528703:-1 gene:A06g504840.1_BraROA transcript:A06g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMMHNIFDFYIFALFWTCFLDLIADIRNWIRNIEQNASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIAKDIKQRLTDTDSRAEIRINKNIKGLLDSMFRGDSPAYIQAPNGGSGGHLDQPSHVRSLT >A09p009110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4656980:4659440:1 gene:A09p009110.1_BraROA transcript:A09p009110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKPEAAEDKKMEEKKPEEEKKEGEGKKVEADEKKGEESEKKTQEGEPTKDSKEDSPPAEPEAPAPPPPPQEVILKVYMHCEGCARKVRRCLKGFEGVEDVMTDCKTGKVVVKGEKADPLKVLARVQRKTHRQVVLVSPIPPPSQPAEKKAEEEKPKVEEKKVEPPVVVTVVLKVHMHCEACASEIKKRIMRMKGVESAESDLKGSQVTVKGVFEPQKLVEHIYKRTGKHAAIMKIDPPPPPPPEEAAAAAEADKKEEGKGDKGGGESKGEEGKDEKKEGEGEAAENKNGGGGGEEDGKVVDVRKIENPYYYYYNYQLPRVAVPPMEMPPHAYPPQLFSDENPNSCSIM >A05p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3916598:3917715:1 gene:A05p009420.1_BraROA transcript:A05p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-alpha-acetyltransferase MAK3 [Source:Projected from Arabidopsis thaliana (AT2G38130) UniProtKB/Swiss-Prot;Acc:O80438] MGDKEEFDEGEIEYTSYAGEHHLPLIMSLVDQELSEPYSIFTYRYFVYLWPQLCFLAFHKGKCIGTVVCKMGEHRQSYRGYIAMLVVIKPYRGRGLATELVTRSIKVMMESGCEEVTLEAEVSNKGALALYGRLGFIKAKRLHHYYLNGMDAFRLKLLFPRPHVPQMVSEEQTEPEHEIFPRPRD >A06p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2276858:2280391:1 gene:A06p006730.1_BraROA transcript:A06p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGVAVLNSGEGVKGTIFFTQEGDGVTTVTGTVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPDGKQHGAPEDANRHAGDLGNIIVGDDGTATFTITDCQIPLSGPNSIVGRAVVVHADPDDLGKGGHELSLATGNAGGRVACGFIEILPSLPSFSECLLCEIKPSCPRHRTSGRRLLPDSRLLFYHFRYYYSHVRLVAAKKRRPASASASMKIVVVRFVDAYADAALIADADFFGDRRETQRLDADANIVPASMKRTRQVVGKYSIGKAIYGSNRAYLRPFLFQTNCGFHNGQTVLAPRSFFGVEDYVDDDTSRPYTYQKEKKSKNPDKHVSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTCKQVAVAGTNSKDVKAVLRSRCDIPACMSIGRILSERAKEADVYTASYTPRDQDKFEGKIRAVVQSLIDNGIDVKIYLD >A04p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1162852:1164921:1 gene:A04p002410.1_BraROA transcript:A04p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFLTLHSVVRCLMVVLTVMVTNIVEPVDGKVNISGQQKQEIEFRLKQLNKQALNSIESSNGEIIDCISIAKQPAFDHPMLKNHTIQMTRSSYPHEVLAEENNAPAPSNDEEQPENIVHPWQLVGECPGNTIPIKRITKEDLLRVDDIMNYGKKLNISRPHQFYQPTDTSVDNGHEYAITYVNGGPYRGTKAQINVWKPRIEAGESSISQIWIVGGKFGPGLNTIEAGSHVNPTLYGDDNPPFFIYWTKDNYQNTGCYNLICPGFVQINKRLTPGYLLTPISTYNGSQFKFTVQIWKDPKTGNWWLQLNEQELIGYWPKELFTNLADEGASTIEWGGEVVNTKKDGQHTTTEMGSGHFPSEGFGKASSFALIKIIDMNNGIIDPVGVQTLVSRPTCYDIKTGYDKLYEVFFYYGGPGRNPECL >A01g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12647651:12654035:1 gene:A01g504180.1_BraROA transcript:A01g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMKINIDRCTQVPSIDVEKLNMRHFGSSGLEAQVQALTKRFFCYSFTLRERERVLERRSLGISYSRIGLARTRRSMYSTDYRSTTIDRHFFVVNILTVETRDLQIGIYKYYNLQHVNSGPASNIISNQSTDTRPSSSIDPNRSTTIDITPCTSIDTVSSKMVNVIILTQDENGNLYDQDGHLRNATEYESEYETEYSESIDTHTFPSIDSNESTVTDDRNKTSLDVDQPVDHFTLSNHCYPHFAFQPPSKRGRDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSINICAGAKIQEQENIPSPTKHTSRKDEHSSVYINRKSMKSNHLKNTSSAEIILPSIDASVTTSIDTTLKPNLSISKLNDNANIDYGFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDKLFSQQRGTPDVIQTNPNKHVGVTATEINPYLSCQPKGQASIDGTTQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIHVTKDDIMKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGDNELFRSMVEMRTEIESLRQQLEKEARTSASIDAPHAPSIDVSLLTAQIPAEPQCSAEHKAEWEVSYINTRINDMYYPLNNNVDWLSTKIEVLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAIDDRLHTYDDMHDRFANSFSIDRLRGPWISGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRISGLDSHAEWIQKEVKAIQRQLAAQHQISASIDKKRAKSVDGKAPRSTDEHLIASIDAESTPAGE >A06p005540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1690495:1714806:1 gene:A06p005540.1_BraROA transcript:A06p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSTEALRISVWKGDVVLKDLKLKAEALNSLKLPVAVKSGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAYPAPDGRTVKEEDREKLLETKLQQIEEAESATLEARAKSKLGSPPAGNSWLGSLIATIIGNLKVSISNVHIRYEDSTSNPGHPFASGITLARLAAVTMDEEGNETFDTSGALDKLRKSLQLERLALYHDSNSSPWEIEKQWDDISTEEWVKMFEDGIKEKTEHNLKSKWALNRRYLLSPINGSLKYHRLGNQERNNQETPFERASVNINDVNVTITEEQYHDWIKLVEVVSRYKTYIEISHLRPVVPVSEAPRLWWRFAAQASLQQKRLCYRFSWDRIHHLCQLRRRYIQLYTNFLQQSSNANNPEMREIERDLDSKVILLWRLIAHAKVESVKSKEAAEQKKLKKGGWFSFNWRTEAEDEPDVDSVAEGSKSVEEGLTKEEWKAINKLLSHQPDEDMNLYSGKDMQNMTHFLVTVSIGQGAARIVDVNQTEVLCGRFEQLDVTTKFRHRSTQCDVSLRFYGLSAPEGSLAQSVSSERKTNALMASFVKSPIGENIDWRLSATISPCHATIWTESYDRVLEFVKRSNAVSPTVALETAAALQMKLEEVTRRAQEQLQIVLEEQSRFSLDIDLDAPKVRIPLRTSGSSKCSSHFLLDFGNFTLTTMDTRSEEQRQNLYSRFCISGRDIAAFFTDCGSDNRGCSLLMEDFTNQPMLSPILEKADNVYSLIDRCGMAVIVDQIKVPHPSFPSTRISIQVPNIGVHFSPTRYMRIMQLSDILYGAMKTYSQAPVDDTPDGIQPWSPADLASDAKILVWKGIGNSVATWQPCHLVLSGLYLYAFESERSPDYQRYLCMAGRQVFEVPPANVGGSLYCLAVGLRGTNLKKALESSSTWIIEFQGEKKAAWLRGLVQATYQASAPLSGDVLGHSSDGDGDFHEPQIGNSKAADLVINGALIETKLYLYGKIKDECDEQLEEVLLLKVLATGGKVNMISSESGLTVRTKLHSLQIKDELQHQQSGSPRYLAYSVLKNEDIQDSPRCDSYDKEMSVRHADDEDAFTDALAEFLSPTEPGTPDMDMIQCSMLMDSDEHAGLEDTEGGFHEKDTSQGKGLCDEVFYEVQGGEFSDFVSVVFLTRNSSSPDYNGIDTHMSIRMSKLEFFCSRPTVVALIGFGFDLSAATYVENDKDTNTVAFEKSDMEKETNDEGGRIEGLLGYGKDRVVFYLNMNVDSVTVFLNKEDGSQLAMFVQERFVLDIKVHPSSLSIEGTLGNFKLCDKSLDSGNCWSWLCDIRDPGVESLIKFKFNSYSAGDDDYEGYDYSLSGRLSAVRIVFLYRFVQEVTAYFMGLATPHSEEVIKLVDKVGGFEWLIQKYEMDGATALKLDLSLDTPIIVVPKDSLSKDYIQLDLGQLEVSNEISWHGCPEKDPSAVRVDVLHAKILGLNMSVGINGSIGKPMIHEGQGLDIFVRRSLRDVFKKVPTLSIEIKIDFLHGVMSDKEYDIIVSCTTMNLFEEPKLPPDFRGSCSGPKDQMRMLVDKVNLNSQTIMSRTVTILAVDINYALLELRNSVNEESPLAHVALEGLWVSYRMTSLSETDLYVSLPKVSVLDIRPNTKPEMRLMLGSSVDASKQASSESLPFSLNKGSFKRANSRAVLDFDAPCSTMLLMDYRWRASSQSCVLRVQQPRILAVPDFLLAVGEFFVPALRAITGRDETLDPTNDPITRCSGIVLSEALYKQIEDVVHLSPCRQLVADSPGVDEYTYDGCGKNGSLLSKCIYLSNDSSCLFSPEDGVDISMLENAKSNSENVLSNAYKPSDVSDIRQSDLKSGQSFTFEAQVVAPEFTFFDGTKSSLDDSSAVEKLLRVKLDFNFMSEHILQHNVSLIQLRLLDVDSILPMTYASKENDIWVRALLKNLVVETGSGLIILDPVDISGGYTSVKEKTNMSLTSTDIYMHLSLSALSLLLNLQSQVTGALQSGNAIPLVSCTNFHRIWVSPKGMASVTLDNYTIDYFLLGMRAENGPGNNLTIWRPQAPSNYVILGDCVTSRAIPPTQAVMAVSNTYGRVRKPIGFNCIGLFSVIQGLKEGNGQHSPDSNECSLWMPVAPAGYTTMGCVANLGSEPPPDHIVYCLRSDLVSSSSFSECIYTVPSSSLIESGFSIWRADNVLGSFYAHSSTEAPSKQYSCGLSHCLLWNPLQLKTYPLCDPSSTNGSQSEQTNDQTGNSSGWDVLRSISKPSSYHVSTPNFERIWWDKGGDLRRPISIWRPVPRPGFAILGDSITEGLEPPALGILFKADDSEIAAKPVHFTKAAHIVGKGLDEVFCWFPVAPPGYVSLGCVISKFDVEPHVDSFCCPRIDLVNQANIYEAPVSRSSSSKSSQCWSIWKVDNQACTFLARSDLKRPPIRMAFAIGESAKPKTQENVNAEIKLRCFSLTLLDGLHGMMTPLFDTTVTNIKLATHGRPEAMNAVLVASVAASTFNPQLEAWEPLLEPFDGIFKLETYDTSLSQSSKPGKRLRVAATNILNINVSAANLETLGDAVVSWRRQLELEERAAKMKEESSVSRESGDLSAFSALDEDDFQTIVVENKLGRDIYLKKLEENSDVVVKLCHDENTSVWVPPPRFSNRLNVSDSSREARNYMTVQILEAKGLHIVDDGNSHNFFCTLRLVVDSQGAEPQKLFPQSARTKCVKPSTAVVNDLMECTSKWNELFIFEIPKKGLARLEVEVTNLAAKAGKGEVVGSLSFPVGHGENTLRKVASVRSLYQSSDAENISSYTLQRKNVEDIHDNGCLLVSTSYFEKTTVPNTLRNMESKDFVDRDTGFWIGVRPDDSWHSIRSLLPLSVAPKSLQNDFIAMEVSMRNGRKHATFRCLATVANDSDVNLEVSISSDQNTSSGASNHNALVVCGSSYVLPWGCLSKDNEQCLHVRPRVDNLSYAWGSCIAVSSGCGKDQPFVDQGLLTRQQTFKQSSRGSAFALKLNQLEKKDMLFCCQPSTESKPFWLSVGADASVLHTDLNTPVYDWKISVSSPLKLENRLPCPVKFTVWEKTKEGTYLERQHGVVSSRKIAHVYSADIQRPVYLTLAVHGGWALEKDPIPVLDLSSSDSVSSFWFVHQQSKRRLRVSIERDVGETGAAPKTIRFFVPYWITNDSYLPLGYRVVEIEPSENAEAGSPCLSRASKSFKKIPAFSMERRQQRKNVRVLEVIEDTSPLPSMLSPQESAGRSGVVLFPSQKDSYVSPRIGIAVAARDSEIYSPGISLLELEKKERIDVNAFCSDASYYKLSAVLNMTSDRTKVIHFQPHTLFINRVGMSICLQQCDCQTEEWINPSDPPKLFGWQSSTRIELLKLRVKGYRWSTPFSVFSEGIMRVPVGREDGTEQLQLRVQVRSGTKNSRYEVVFRPDSVSGPYRIENRSMFLPIRYRQVDGVSESWQFLPPNAAASFYWEDLGRRHLFELLVDGNDPSKSEKYDIDKIGDHPPRSETGPTRPIRVTIVKEDKKNIVRISDWMPAVEPTSSISRRLPASSLSELSGGSQQSQLLASEESEFHVIVELAELGISVIDHAPEEILYMSVQNLFVAYSTGLGSGLSRYKLRMQGIQVDNQLPLAPMPVLFRPQKTGDNADCILKFSVTLQSNAGLDLRVYPYIGFHGRENTAFLVNIHEPIIWRIHEMIQQSNLSRLSNSESTAVSVDPFIQIGVLDISEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRISGRFNENISMRQSTMINSAIRNVKKDLLGQPLQLLSGVDILGNASSALGHMSQGIAALSMDKKFIQSRQRQDNKGVEDFGDIIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVSGFGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKIAAAITSDEQLLRRRLPRAVGADSLLRPYNEYRAQGQVILQLAESGSFLGQVDLFKVRGKFALTDAYESHFILPKGKVLMITHRRVILLQQPSNIMGQRKFIPAKDACSIQWDVLWTDLVFMELTEGKKDQPNSPPSRLILYLKSKPNDSKEQVRVVKCSPNTKQALDVYSAIDTTINLYGQNDSKALVKNKVTRPYSPISESSWAEGGSQQMPASVAPSSTFGTSPTTSSS >A09p017550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9092624:9093313:1 gene:A09p017550.1_BraROA transcript:A09p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWKPPTPRLLLKNVSCMRNAQQILRHVNVSLHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITQSGIFQQYKLQLNWISLKDAIKERFTVLDNVQWFELLENKIGKAQPALELMGLGRLVKEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEFVIGEHRKKGGIVIVATHLPIEIEDALVLRLPPRFPRKMTLIDMLDRADIS >A03p020900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8588449:8589882:-1 gene:A03p020900.1_BraROA transcript:A03p020900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRHMIPMVDIAKLLAQRGVTITIVTTPHNAGRFKNVLNRAIESGLPINLVHVDLSYQKFRLPEGQDNIDSLDSMELMVPFFKAVNMLEEPVMKLVEEMKPRPNCLISDLLLPYTSKIARTFNIPKIVFNGMSCFCILCMHILRRNLEILKNLKSDKEYFFVPSFPDRVEFTKPQVPVDADASGDWKEFMDELVEAENTSYGIILNTFQELEAAYVKDYKEARAGKVWSIGPVSLCNKIGADKAERGNKAVIDQEECFKWLDSKEEGSVLYVCLGSICNLPLSQLKEIGLGLEKSKRPFIWVIRSWKKYNELAEWFLESGFEERIKERGLLIKGWAPQVLILSHPSVGGFLTHCGWNSTLEGIASGVPLLTWPLFGDQFCNQKLVIQVLKVGVSSGVEEVMKWGEEEKIGVLVDSEGVKKAVEELMGESDEAKERRRRAKELGELAHRAVDEGGSSHSNMTFLLEDISQLIAQSKN >A07p051170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27199870:27202586:-1 gene:A07p051170.1_BraROA transcript:A07p051170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLVKQGWNWMQSQKHMCSNACSAVRRFGEKIGELVERHWPLVCSGCGKLLGLLRLGIVYWKDCILRGFRCSAKLGSAALLLIMWSCFLSLTSLSCLLYVLLSMGAAAAVVLNLGCTPGLFIVGLFGYLFSLNHARLVVLIAAGYAMYCVKVRLGWLGVLLSMNLAFLSNDVLNCLLQWCDNLSEKPQPEEPKKSEETIIEEDYPGEFEYPSVPVEEEEEEKTEKKVHENKSSAEPTAPTTTVVNTVREITSVKMVKVDTSSSADEMKTILKSLNHYEALGFSRHKKIDDAVLKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDIVKKRDYDEQLRKEESRTRSVCQTSHASSHQSGAGYRAEESRRIHCTKCGNSHIWVCTSRTKAKARWCQDCGQYHQAKDGDGWVELKGTLPFERAHKIEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTTQRSNSSRFPWDLDVEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLSQMKSKKQWRRTST >A02g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15714445:15715197:-1 gene:A02g504940.1_BraROA transcript:A02g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTLEKFLQEQIKVGGKPELLVTPFPLCETKARSPYRHHRSHLSKWYITIFILRNLQVSDQIYLRKYNLRDWLCVIALNNDKDRFLPVPRNKCLAFFLCLLKRIDVSLRFRPAGIDIVTGWGRYFRVTATAIVRQTVEELLYIFGSAFFMEIENSDCLVVCGESLIALV >A02p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6998377:7000949:-1 gene:A02p015850.1_BraROA transcript:A02p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNKTPFLPIFLGLLWFGSFAGLESTTGKRPSIPGVYIFGDSLVDAGNNNYLAISISKANYPRNGVDFPDEKATGRFSNGKNAADAIAEKFGLPLPPPYLSLKVPFKEKERKSAALTGVNFASGGAGIFNGSDQKLRLHDNGARRFLILGVAQIGCTPGQRARNSTMHECNEESNMWCSLYNEALLKMLQQFKQELKSSMAYTYFDNFKSVHDIITNPARYGFADVTSACCGSGDLNADSPCFPVSNLCSDRTKYLFWDRFGHPTEAAARTIVDLMLSDDSQYSSPLTLTQLVSS >A03p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12213527:12214103:-1 gene:A03p029040.1_BraROA transcript:A03p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGELALACILAGIWDMGNMGTFLFQMFLLWRTCCMRLKLDLTKQGRQVLLFNIGNARSDMTVEERIGAADRRKKEEGRWTGTICLKRINWRRLCNSMKWPLPTWGTILVMFQLVWEVP >A09p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17279478:17283777:1 gene:A09p028860.1_BraROA transcript:A09p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAANGFVMGSEHDHDENKQVSEEPLLEDENRDGEHKKILSEDIVIIHSETHSRSSSSSSSSSSYSSPPKHLPETDSPPVQVMDRDDNSNYDPGRIPSSVFETSKSNLQADWSCASNESLFSIHIGRNSFTVDAMKSGELYKSGELLAYSPELPMPPPPGKESDPLVESSAKVVDSDDDEKEQPPAVSWKTPTKSYRSNRSSNSTHSFSFPILAGAASDSASVEKGEQKKQEKQSEETKPPAEVENQKNSTMCVSSLRLSIVMSLMTVDQWDHRRLSDLFLNEPSLKPLPRVLVVSSLIHIVSNVSRRISPVTKEASFKLSTGRRRERERDTAGDMCGVRIELSTPLLEPRFEQCRRKEDSSSTNNLFPIVIQPLVEGVLLNFVRFLQFCPNVISLARFSFRILVVLNESVRRRTSLHTIFQGEQEVVPVAVLFSGGLDSVILAALLDQCLYPKYEVDLLNVSFDGANAPDRISAKAGVKELKKIAPLRRWKLVKTSIRDKACHDLNIGTALWLAARGDGWIHKERENQTVEYCLHRTKYRNGSWVALDQEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIKTLLDIPLWEIADLEQPSGKGDKKILRQVAKLLGLPEDA >A08p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1234861:1235306:1 gene:A08p002170.1_BraROA transcript:A08p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVEYASSKEVIYIARTHILRSSLDCVYTGKLSLTTTPGSRFYFDIDIDIIQRFQKKNKLLY >A01p044220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24489885:24492568:-1 gene:A01p044220.1_BraROA transcript:A01p044220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLWLTLAFLLALATCKADEEITCEENLPFTCGQTDRFNSSSFEKDFIFGLASSAYQACNIYISTISIMLPDIEGSINRGVNVWDGFTHRFPHKAGPDHGNGDTTCNSYSYWEKDIEVMDELKATGYRFSIAWSRIIPRGKRSRGVHQGGINYYHGLINGLIDKGITPLVTLFHWDLPQVLQDDYEGFLDPQIIDDFRDFADLCFEEYGDKVKHWFTINQLYSVPTRGYGLGSDAPGRCSPKVDSTCYAGNSSTEPYIVAHNQLLAHATVHQGGKIGPVMITRWFLPYNDTDPDSIAATERMKEFFLGWYMGPLTNGTYPQIMIDTVGERLPSFTPEESKLVKGSYDFLGLNYYFTQYVQPSPNHVDSDGHTAMMDAGTRLTYRNASNHAIGPVFTEHKDDETKNTYYYPKGIYYVMDHFKTNYNDPVIYITENGFSTSGDETREEAKFDYRRIDYLCSHLCFLSKVIKETGVKVKGYCAWSLGDNYEFGLGFTVRFGLTYIDWNNVTDRDLKESGKWYKKFIATKNLAKPNFLRSSLTFEKKKFADA >A09g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13379224:13379951:-1 gene:A09g504320.1_BraROA transcript:A09g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRLCNRDGLILTAMVLTEFSNVGVNTLVKSVTSKGVSPCVVRLLLRFWISSSSSSCLLLLQIKISSSADFFNSLQNGTSWSNCTKFQRMN >A10p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:152297:157711:-1 gene:A10p000240.1_BraROA transcript:A10p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESACSIQRPSLFHGASFCFSNRLRLCPKGVSSNRFQLGNRSLTMSAGFTGGFYLHSTKSVVAYGRRQPKHIPFRFRITCQGNDSLGNAETNDQSEENDESESDSLEELRELLHKAIKDLEVARLNSTMFEEKAQRISETAIALKDEATSGWHEVNKTLDVMRVTVDEESVAKEAVQTATMALSLAEARLRVVLESLEEAAGSNGVPESSQETEKSDSAEEKEEALLAAKDDIRECQVNLANSEAQLTSLQNKKDELQKEVDKLNDFAETIQINALKAEEDVANIMKLAEQAVAFELEATQRVNDAEIALQRAEKSLSIPPTPEETQGQLSDDETTLQEEVLLSSNTEDVSHQVERESLIHEDISAVLNTADRVPDKVGQEAQKPTQLSDNDNGKPSVDSSSKVVESDSEKLKIAVQTKKQETQKDLPKEGSSLIAPKASFNKSSRFFSASFFSSSSDGTTTVFASLVNSAKQQWPKLVLGFALLGAGLTLYSNGVGGNSQLLQQPDVVSTSTEDGSSNKETLIRQVQKLPKRIKKLLEMIPHQEVNEEEASLLDFLFLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGISIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVVGLIAHYVAGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVVIGTLGLLIVGKTLLVVIMGKLFGISIISAIRAGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAITPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVTVGRSMDLPVYFGDAGSREVLHKIGAERACAAVVALDAPGANYRCVWALSKYYPNVKTFVRAHDVVHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPTSEIANTINEFRTRHLSELTELCETSGSSLGYGFSRTTSKTKPQASDASDDNQIMEGGTLAI >A04p040990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23123973:23125158:-1 gene:A04p040990.1_BraROA transcript:A04p040990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSFYHPSSLSVWEFGDLMAAERDSFELLGSQDIAAASPHSFLLETFQPQTQPFVKLPSADSTIFQAPPSNATTDKSVTSRVESFCSDHFLINSPATPNSSSFSSASSEAVNEEKAKRENQEEEEQNKSDTNKQVKPKNNSLKRQREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNRVASNLGGNFGFPMESSTLISPQFQQLVHYHQQQEVLSCFGGVGQYVNSHTHGYGDDERVKKSRGLVRDNGLLQDVVPCHMLKEE >A09p028780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17264417:17266446:1 gene:A09p028780.1_BraROA transcript:A09p028780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQRRTETGPRPPVGEPGGDLTSIFIAFGVFIAIAALVMSPSSLVHQVPEGHVGAYWRGGALLNIITEPGFHLKLPFITNYEPVQVTLQTDQVKDIPCGTKGGVMITFEKIEVVNRLRKDYVYDTLLNYGVDYDNTWIYDKIHHEINQFCSSHSLQQVYIDIFDQIDERMKEALQADCTRYAPGIEIISVRVTKPKIPESVRRNFEQMEEERTKVLIAIEKQRVAEKEAETKKIMAISEAEKNANVSKILMEQKLTEKDSARREADIENQMYLDRQKSLADADYYRVLKEAEANKLKLTPEFLELKFIDAIAHNTKMFFGDKVPNMVLDQRLLGNFLNHSTKDKSNDGNSERATDSDS >A07p036870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19754338:19756419:1 gene:A07p036870.1_BraROA transcript:A07p036870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNNSLSAALVSNLQDVVSKRKGVVTDGSAEEPPSTSDVAAKEEESDDDSRPIVLVTNGDGIDSPGLVSLVEALVREGLYNVHVCAPQTDKSASSHSMTPGETISASSVNIKGATAFEVSGTPVDCISLGLSGALFAWSKPILVISGINQGSNCGHQIFYSGAVAAAREALISGVPSLSISLNWKKDESQESDFKDAVGVCLPLINATIRDIEKGVFPKDCSLNIEIPTSPSSNKGFKVTKQSVWRKSPSWQAVSANRHPGAGNFMSNQQSLGAQLAQLGRDASAAGAARRFTTQKKSIVEIESVGVAGKTESRVKKYFRLEFVAKEEEHTDDYLDIKALEDGFVSVTPLSLLPNIDSETQAAASEWIYKYLNADQ >A08p035880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21130858:21132559:1 gene:A08p035880.1_BraROA transcript:A08p035880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNIARKLDLSDNNLVVRKAAEIRRLCDAQFDSSIIGVGEICKAVICLEIAASRLQVIFDRQAAVKLSGMSEKAYTRSFNSLQNVIGFKIKLNIKELAIQFGCVRIVKSVQTILSSYKERFLASLPASRRANADFTRPVFTAAAFYLCAKKQKLKVDKLRLIEVCGTSESEFSCVSTSMTDLCFDCVGVSQEKKDAKDVKGNRELLDVLPGKRRLDDGGYSSDDESSCYKRHKKMEEAKYEDWKSTVVDSIKKNPEKGAKKVIQTSLSFSMKEETKELQVES >A03p023300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9819106:9819475:-1 gene:A03p023300.1_BraROA transcript:A03p023300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQEKKQLDERAKKGETVVQGGTGGKSFEAQQHLAEGRSRGGNTRKEQLGTEGYQQMGRKGGTRTGKADDEDEGEEMDEPTSRTRT >A01g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16202039:16202935:1 gene:A01g505420.1_BraROA transcript:A01g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRIHPSRRSHRDMSVSVIIKLGHGEYKEKVTIDRNKPFITLYGNPNAMPVLTFDGMAVEYGTVDSATLIVLSDYFMAINIIVKIHHCNKKDVYITFKKIGRILLQCRMEKGKEQALSMRISGNKAAFYNCKLYGYQDTICDDTGNHFFKDCYIEGTFDFIFGSGLSLYLVRSLYVSTQLNVVGDGLRVITAHAGKSTEEKSGYSFVHCKVTGTGTGIYLGRAWMSHPKVVYAYTDMSSVVNPSGWH >A01p004420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1893229:1895491:1 gene:A01p004420.1_BraROA transcript:A01p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQFGRLCVRPDTFALENHLSGPFRRQKTFSVRCSSGNEDVHFPSTDSDFDAKTFRKNLTRSENYNRKGFGHKEETLKLMNREYTSDILETLKTNGYTYSWGDVTVKLAKAYGFCWGVERAVQIAYEARKQFPDERLWITNEIIHNPTVNKRLEEMDVKIIPVEDTKKQFDVVDKDDVVILPAFGAGVDEMFVLNDKKVQIVDTTCPWVTKVWNMVEKHKKGEYTSIIHGKYNHEETIATASFAGKYIIVKNMKEANYVCDYILGGELDGSSSTKEDFMEKFKYAVSKGFDPDKDLIKVGIANQTTMLKGETEEIGKLIERTMMRKYGVENVNGHFISFNTICDATQERQDAIYELVEEKIDLMLVVGGWNSSNTSHLQEISEVRGIPSYWIDSEQRIGPGNKIAYKLHYGELVEKENFLPKGPITIGVTSGASTPDKVVEDVLVKVFDIKREELLQVA >A06p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5354879:5366039:-1 gene:A06p001980.1_BraROA transcript:A06p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQETDPLAQLSLPPGFRFYPTDEELMVQYLCRKAAGYDFSLQLIAEIDLYKFDPWVLPNKALFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIISTEGKRVGIKKALVFYIGKAPKGTKTNWIMHEYRLLEPSRANGSSKLDDWVLCRIYKKQSSAQKQAYEHVVTSTRELSNNGTSSTTSSSSHFEDVLDSLHHETDNKNFQYVNSNRFSSLRPDLTVGEKTGFNGFADTNSFDWGSFVGNVEHNSGPELGLSHVVPSLEFNSGYLKMEEEFNNPDDFGFAQNGYGIDSVGFGYSGQVGLTNLKEAKNTLFPPPLDSINEKNNAETKNFSAHRRDRQHITVKKTESETRLRRIKKRMKKEGEERRLWCRHAPKLRSEYMQVMETTDSSGGPPPQPNLPPGFRFHPTDEELVIHYLKRKADSSPLPVAIIADVDLYKHDPWELPEKALFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVISTGDGNKKVGVKKALVFYTGKPPKGIKSDWIMHEYRLADNKPSLRCDFGHKKNSLRLDDWVLCRIYKKNNSTSSRHHHHHHLDNDKDHHHHFHHDMMRDDDLYRLPLSVPGQNVSRMNIFPAVFSDNNDPAAIYDGGGGGAGYSMNHDFASSSGLNQKPNIPMPFWDQDPAKRFNGGVGDCSDMVSSVAAPSSMQQQGGVLGDGLDRTSYHLTGLNW >A05p047840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28094447:28096477:1 gene:A05p047840.1_BraROA transcript:A05p047840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLNAQVKKACFLQRLKDFPSKLKDGVTKRIKHVQKFGKDDPRRIIHSIKVGLSLTLVSMLYYVRPLYNSFGVSGMWAILTVVVVSEFTVGGTLSKGLNRAFATLIAGALGVGAVHLARLCGHKGEPIVLGILVFSLGAAATFSRFFPRIKQRYDYGALIFILTFSMVAVSGYRTDEILVIAYQRLSTILIGGTICILVSIFVFPVWAGEDLHKMVANNIIKLANSLEGFEGEYFPSSEKTSKGTNSSVREYKSINLTSKSTEDTLANLARWEPGHGRFRLRHPWTKYLKIAGLVRQCAIHFEVLNGCVLSDAKAPQDFISKIQEPCSIISREAGEALKAIAKSIKTMSRYHVCVNTHIKNSKNAIENLRLALKVSFPETDKDLLEIIPVVTMASTLIDIVSYVEKISESVDEFSVLAHFKENLDPKLSPELGQHHLLHKGTVKPVLEGDNEEECNNSPHVVIAVHDEQPPTVNENNVNLGAEKTTVVVV >A06p057150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29718573:29721917:1 gene:A06p057150.1_BraROA transcript:A06p057150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRIGSYIYRGVGTVSGPFHPFGGAIDIIVVEQPDGTFKSSPWYVRFGKFQGVLKNRRNLIKIEVNGVDSGFTMYLAHTGQAYFLRQVEDVLGEVYTLSSGDEAETSRRKSSSSSSDGVDKKIKIPLKSKSCNYDGVVGGKPGILGFVFGGRSVKVDDGVTSMERAEIAADLLDVKWSTNIDTRKCVKGKASKSFDSILETPLVASPTLQYLDEKEQDCFRESRNNVVEDDEDYSLLLVENGSSTVFSVTTSQGSGNVEPPPLAQDSVNLDSKQQVLGVPEYQADKTSLQEGSSTGSLVQDDFEKTHPTNGATVQQPEEQFSFSDIGDCKSAETSSQESSFLHTVKVEIYDENEISPENGAKVLSEPIEIERKKDISGEEMERLAESLPIMRLHNNNDIDAGPCRQPMSQSFDPSSNTLKPSSRGSPSLKAFEHVITNPEVVELSLCKHLLREGMGAEAASQAFNSEKLDMEKFASLGPSVLENDMLIVKIGGCYFPWDAAAPIILEAVSFGTSQVFEPKGMIVVDRNEKPDDAVLAPSGGSWNLWPFSQRRSRNDSEASSKDAAELEEKKQEKSSPRPVKKTVRALTPTSEQLASLNLKEGMNTVNFTFSTNIVGTQQVDARIYLWKWDARIVVSDVDGTITRSDVLGQFMPLVGIDWSQTGVTHLFSAVKENGYQLMFLSARAISQASVTRQFLVNLKQRTLLIFSYKLNQQDGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKISCLEEIRALFPPEHNPFYAGFGNRDTDEISYLKVGIPRGKIFTINPKGEVAVNRRVDTRSYTNLHALVNGMFPATTTSSEPEDFNTWNFWKLPPPPFM >A06p036750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19904565:19905390:1 gene:A06p036750.1_BraROA transcript:A06p036750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVETATAVLERPDGKSERFYCTVSASEVIKSHPGHHVALLISSSVPNGGSLRVTRIKLLRPSDNLLLGHVYRLISSEEVMKGLRAKKSEKMKKIHGEFSVAEEEEINPLTLRSESAFDKDSQRRMNEKQRVIKTGATNKVRAWQPSLQSISESTS >A06g502400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8108977:8109132:-1 gene:A06g502400.1_BraROA transcript:A06g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVGRDQAAINAQLQAANEEIRASLRVITKQLTYIQQRDRPTRPRPPARH >A04p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10864148:10864654:1 gene:A04p018010.1_BraROA transcript:A04p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNPNAFSPKKLLAVHMEEIGKVVLLGKHNKGCHCKKSGYFKKYCECFQANNLCSENWDCKNFEGSEERQALFHGEHANNIAYLHQEANAAIHEAAGSSGFSLSPEP >A10g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2424925:2425400:-1 gene:A10g500840.1_BraROA transcript:A10g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRGGGFPGGGGLHRSTVAGSSFREGSLLQTCLRRILVTESGGLQSSALPLRNPAEEISSLDGTLRREDSAVKKGYGFVGGLTVSKLRRTRISLVVLRRR >SC177g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:175717:177523:-1 gene:SC177g500040.1_BraROA transcript:SC177g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GREGDGKALVTYSGAPNTRGNDQDFIRRSEMDALIKMLKENGNTHGYSFGASMIAKTIETSPCDTNIARIDRAKSNELAGHDHLTLIVRGEGNLKLKKMVRMEPA >A09p070850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54852514:54857306:-1 gene:A09p070850.1_BraROA transcript:A09p070850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVQLFSWKTFILLPSQASRHDGFTSFNRRLSLHSFPSSGIDSFRSRGTFRVKCQKTGDRESSSFNLNLPNDFKSKIHKVIHELPRHIQNSVGVGLPFACASNSLNKPSLSGIKWSLARFFFLFNIRLEKNVATSLVVLVIACLSFVMIGGILFFKFRKEDQSFEDCLWDAWACLVCADSHLEQKSRVEKVIGFVLAIWGILFYSQLLSTMTEQLRDNMQRLREGAQIQVIDTDHIIICGINSHLPFILKQLNSHHQHAVRLGTATASKQTLVLMSDTPRDQMEKLADEFNTDFNHIDILTKSCNLKMTKSFERASASTARAIIILPTKRMKVEPVENITSKLFVQCSRQKDLIKIYRHLLNYSKNVFNLSSFPNLAGMKYRQIRLGFQEVVVCGLFRDGKVNFHPDDDETLMETDKVLFIAPLSWRKKQLLSKDIEIENISVDDEHETRKQSRLEKIIKRSKMFLSKGSSDSVEGPRETILLLGWREDVVDMIEEFDNYLGPGSSLEILSDVSIEDRGRVSDGIGSGKIKNIQVSHRVGNPMNYDTLKETIMHMQTKYRKDEEPIPLSILVISDKEWLLGDPSRADTQSAYSLLLAESICNTLGVNVQNLASEIVDSKLGKQITRIKPSLTYIAVEEVMSLVTAQVAGNSELNEVWKDILNAEGDEIYIKDIELYMKDGENPSFTELSERAWLRREVAIGYIKGGKKVINPVPKTEPISLEMTDSLIVISELEGDQPITL >A09p056500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48041879:48043925:1 gene:A09p056500.1_BraROA transcript:A09p056500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSHGAADGKQRPAMYPEIDQSIPDNPFAQTNPYVSSSPNLYPPVVSSSPTHGDSSNNHPPSAPPQAVEEVLIRVPGAILNLIDKSYSVELACGDLTIVRIVQGESIVAVLANVADEIQWPLTKREVATKVDGSHYFFSIHPPKESGSDSDDEILNYGLTIASKGQENVLHVLDQVLRDYCCFAEQRMSEKGEEVLGNSMAAATSPEELKGERKDIVEGQCAAYWTTLAPNIEDYSSKTAKMIASGSGQLIRGILWCGDVTVERLKRGNEVMKNRLSRAEKEKDVSPETLRRIKRVKRVTQMTEKVATGVLSGVVKVSGLITGSVANSKAGKKFFGLLPGEIILASLDGFSKICDAVEVAGKNVMSTSSTVTTELVDHKYGTKAAEATNEGLDAAGHAFGTAWVAFKIRKALNPKSVLKPSTLAKSAVSDFKAKKGSK >A03g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4574288:4579976:1 gene:A03g501540.1_BraROA transcript:A03g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNLIKPKPDPKQILRDWQRKLRQECRNIERQIRDIQKEERTVQKAIKEAAKRNDMVSAKSAEVMKLVNNLMKAPQMAATMQEFSKEMTKAGVIEEFVNEAIDNALDSEDMEEEIDEEVDKVLTAIAGETAAELPEAVRKERIKVPAQKASTSREEEAIAEGVDDEEELEEIRARLAKLESPSSLLVFLVLFQAFALSGSAILWEQYNGSKLESQTPTISTLIVIFLYSYLTQNNNEKNKGDSGGGEKKTESVTVVLKTSVLGYELKFAGRGGGIPASLFNCSRLVGLSLFSNHLGRGIPSELLGSLRKLVILDLGKNNLKGKFPASLGNLTSLRRLSFAENNLEGEIPDAIAGLTQIELFLVSDNNFSGVFPPAIYNLSSLRYLNLFSNYFSGNLRPDFGNLLPNLERCALGSNSLTGAIPSTLANISTLQYLGMEFNSLTGSIPPSFARLQYLQKLHLNNNYLGSFSPGNLEFLVALTNCSQLQIIYAGFNRLGGDLPASIVNFSMNLIHFDLEKNFISGSIPHDIGNLVGLQTFWLSKNLLKGTIPASFGKLRGLVELSVFANRMSGEIPYSLGNITRLEKLYLYNNGFEGSIPPNLDISRLVGIKKVDFSSNNLSGRIPEYLANFSLLEKVKKETCDWEYAMGGEISVHGDVYSFGILVLEMFSGKRPTDEMFGGDFNLRSCIRSALPEQVLDVADES >A10p037970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21331086:21331670:-1 gene:A10p037970.1_BraROA transcript:A10p037970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTFPPHTLFCSAFKLVEANYKELREAILFLKESCVMTNVVEMKVNLHCDECIRKILKAIKKIEDIETYDVDTQLNKVTVTGNVTDEQVIKVLQKVRKTAVKWDQANQTLFPN >A07p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17409603:17410839:-1 gene:A07p031540.1_BraROA transcript:A07p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLDLTRAELALIVLYLNKAEARDKICRAIQYGSKFVSGGQPGTAQIVDKNTSLARKVFRLFKFVNDFHGLISPVPKGTPLPLALLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERTELLGRISLFCWLGSSVCTTAVEISEIGRLSSSMKKMEKEFKGDEKHHDEVYRAKLQKSNDRTLALVKSSIDIVVAIGLLQLAPKTVTPRVTGALGFTTSLISCYQLLPARPKVKTP >A03p048390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21927877:21931110:-1 gene:A03p048390.1_BraROA transcript:A03p048390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHKQNHFLCFLLPCLLLSVPFCSEARFVVEKNSLSVTSPESIKGTHDSAIGNFGIPQYGGSMAGTVIYPKENQKSCKEFSDFSISFKSQPGALPTFLLVDRGDCFFALKVWNAQKAGASAVLVADNVDEPLITMDTPEEDVSSAKYIENITIPSALVTKTFGEKLKKAISGGDMVNLNLDWREAVPHPDARVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFRPHYITWYCPHAFTLSRQCKSQCINKGRYCAPDPEQDFSSGYDGKDVVVENLRQLCVYKVANETGKPWVWWDYVTDFQIRCPMKEKKYNKECADSVIKSLGIDSRKLDKCMGDPDADSDNPVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLEKSAVLKALCSGFEETTEPAICLSTEMESNECLDNNGGCWQDKSANITACKDTFRGRVCECPLVDGVKFKGDGYSHCEPSGPGRCTINNGGCWHEERDGHVFSACVDKDSVKCECPPGFKGDGVKKCEDINECKEKKACQCPECSCKNTWGSYECSCSGDLLYIRDHDTCISKTGSQVKSAWTAVWLIMLSLGLAAGGAYLVYKHRLRQYMDAEIRAIMAQYMPLDNQPEVPNHVNDERA >A02p048260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30020731:30034803:-1 gene:A02p048260.1_BraROA transcript:A02p048260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELISMSSSPSAVPDYLGDEDLTQETRDLISSLPREKGWLVSQMYQFQGRWHTQALLQGLMECQKRFEAKDSDIILVTNPKSGTTWLKALVFALINRHKFPVSSGDHPLLVTNPHLLVPFLEGVYYESPDFDFSKLSFPRLMNTHIPLLSLPETVKSSSCKIVYCCRNPKDMFVSLWHFGKKLAPEETADYPIEKAVEAFCQGKFIGGPFWDHVLEYWYASLENPNKVLFVTYEELKKQTGDTIKRIAEFLGCGFIGEEEVSGIVKLCSFESLSSLEVNREGKLPNGMETKAFFRKGDVGGWGDTLSESLAEKIDRTIEEKFQDYLYSSTMSSSSSSYLRDEDLTQETRDLISSLPSEKGWLVSQMYQFQGRWHTQALLQGLLQCQKHFEAKDSDIILVTNPKSGTTWLKALVFALINRNQFPVSSGNHPLLVTNPHLLIPFLEGVYYESPNFDFSELPSPRLMNTHISLLSLPESVKSSSCKIVYCMLKCVSALVNKKKKEVTYHKPLIQVQRDINLVMCIKEKGHGDMYLLWSNIAGLSMDEKQFFAVIIDVNLRRYSRLERYLKLVGISTPTSDRCEAVLGDTEAAQVFGVSSSDYTDAVKASGFTACDDQGLFKIIRKEAARRKYKRKKLDKVSCIGVKLPEIFRDQDICVCYNQLCAGTDGLRWRLMKKSVLRLSTIRMCERLQAKCLMKDKVARDVSSSTSNHLMWSYQYYVMSDASSEYGFLVPGGVSHSCLENVWVASDKSVGLCLEKTKGNQDRVSVRYSSMDWSHSMTKRSGWKELSQDMRQSCCKGGSQVSAVVSAVGEIGYRVCGIDRNYRGYCVIGGRVHEIGVLATQKISAELTFTEKVSHVLFIDGASKGLPVSKLSDVSDLLKGIPEKSTGDHEDVLTQEMKLLRAKTRWRMLKCVSALVNKKKKEVTIKPRALVQVVQSVTNTVSLLFRVFSDLRESSIFVDSGLLALPSPGDV >A09g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20505853:20506498:-1 gene:A09g506990.1_BraROA transcript:A09g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEDRRHSKRQTHHINMLGFVADSEYGIPRRCLCGGRVINEVAGKEDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEELERLTKRVKEAEQVMGVSNLSKQIELVEEQVKILNEQVSDLNDQVSDLNEQVYDLSVQVDTLEK >A03g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8847232:8848211:1 gene:A03g502840.1_BraROA transcript:A03g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPQPFDMVMVEGTTDPRFTEMMSDPQFTYKPVPTPVVIYENAKTSVFWDMAGYPIPNGVDPVLFCGVMKNALVKQGYKGELSIYLYVDTGELLPNGLETCVEFDFLPEGDDYARISSILVDISFWALSYPYSNIIVLSRNIVKGTIVAFESLYNTHGLLLSKTEPDWLVPGESSTLFLTSLFEDPTGGQLSASSQKQSKILGGGGTSSQGAKRVG >A02g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8746911:8749971:-1 gene:A02g502640.1_BraROA transcript:A02g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKLTLSGDLISKKQRGVGADSFPGTNKSIGKHGGSSGLSIGVLHSKKSKGDASVSSPGPNKPIGATGDSSGVPTGVSNSKKPNDNDNDHTYSAGPIVERAKTVVSSGVRGKAAVSSDVRGKAIVSANVREVMFFKDVQFGPHEGELLFGLEMLLIDEEGTVIQGFIPSARIETYLPHMMAGGIYRLNNFFGSRNKTLYRVAEPSVVITFSSASTLSVLENSPVCFPDDRFRFHGYEEFDAACDLKGDLYDYVGHIKLVNGQVLNDSLVLDESEIASTRHVLLHVQTHEGPVMKLYLWDKAAFDFSEKFKASGETAKVILVTTLNPKRFGGALSLSSMASSRVFMDSDVQATRDYLTWLASNSDVANRVDADVVTKTETVTIGELFSYMKQADAKVAWFECIATVADVVHSLSWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVPQFLAKVSVYDKSDQAFFFLLGDAGHELTGKKASELVESYFEANESVRDDYIVPVPQALSDTIGQTRKFIVKVSTHNLTGKTQSLTVTKVLPSDDPELEGELEEDAIIPVAQKTLDDGGAEDDPSMDSKGEKVKRAAENDEADDPKRPKCG >A08p031280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19097823:19101711:-1 gene:A08p031280.1_BraROA transcript:A08p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRRLNPSFGVSHLTASSRSFSNHIFKVTPCGTTVRDGDVGYLAIYSYVDKLIDCTQKKVPMELAKGMGTTIGASHGWVATLKNGIIRLQDDFDPYASYTDPKRIPLPPLVTLPHCQTQIVTNIAMSSSSPEDEDCIVAVKFLGPQLSLCRPAQRDCKWSNIRISDPSFFSSHVMYSKRDGMFSMPAAGGNYTASCDLGRHVNEPKIQMLTYPKQRVFEDLYVKSTGTFIEKEFLRKFDWKHSDWSCRMEHYLVESSHTGETFLVKWSKDINPQDGRSEMDLFLVLRIDEEGNAVYTKDFGGECIFISKAESFCLPASCLHDKRPNCIYHLSDTSFGIKCMDDVWKERGGDLAFPGPFWFPPKLDSKGKRILSSGIQYQRNLTTSSRCFSYYYVRGAESWEPVSGETISVPYLKVPMELQKEIGIIRASHGWVATLKNGVVCLQDDLHLPDTDPKRIPLPPFVTLPHCQTQIVTNVSMSSSSPDDDEDCIVAACSERLQVEQHQNHRPQHQMTEKKWQRLESCCTKQHYLVESLHTDETFMVKWYTQSHPTANNLWDHFLVLKIDKEGNAVYTKDIGHLCILLSKSEAI >A02p031910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16748494:16749423:1 gene:A02p031910.1_BraROA transcript:A02p031910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVDKAVEALLRGQESAYRLKTVLEHASSVPTEPLFDTVLDSFSFALSLFASSNPQPHRESSQNKATPVVAQKSPKKNSHGEEGLEQYIQDSPTPFCNDGFSWRKYGQKKIKTSSHQRCYYRCAYAKDRNCNATKRVQQIQNSPSVYRTTYVGKHICEVNAFLQPNEDIINGSKMIRFDKIDQVMPDSVMPQLVPVEQQEAIIIEGTDQIMNLECGSNEFLVEDEQLWGYQFPPCSPGNFMFLDALSEFDYNPFHV >A01p054240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30542996:30544102:1 gene:A01p054240.1_BraROA transcript:A01p054240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISPLLVIALVCLAGGGSHLPAVEAIWLTIPRAGDRCVYEEIQANVVVVGDFLCIDQNNEVGLGPTVNIRVTSAYGKEVYKRTNVTQGRFAFTTSESGTYLACLSMHKDQTHYAVDNSTAIVSLDWKMGIGTKDWDAIAKKEKIEASFKEASMREINEKTNKRVVQLSFMSLGLSVVVSLFQVWHLKGFFLKKKLI >A02p030570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15598644:15600025:-1 gene:A02p030570.1_BraROA transcript:A02p030570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIVVGIIILLVWLIILGITSTKFLVVISWQVVVVAFIFGNMFNSVFKSIIYLFVIHPFDVGDRCEIDGIHMVVEELNILTTVFLRFDNQKVVYPNSFLWTKSIGNYYQSPDMGDGIEFSIHITTPAEKIILIKQRITSYIEGKKDHWYPAPMVVFKDMESLNSARIAVWPTHRMNHQDMGERWARRSQLVEEIAKICRELDIEHRLYPLDINVKTIPYPTGLPVSDRLLPHWTAPASGSK >A08g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5557780:5560227:1 gene:A08g502650.1_BraROA transcript:A08g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEETPTEIFNHSEEIPTDIFRRTPQFIRSNQIVFPISLFLSAELSLLSRDFCRFLPSLSMISGESALILLNFSHCIMSNDDQTRPRQRRGRGGTGSQSRGSSSQIQDSASPHSSYHTSPSPFPAPAPPAPAVALAPAPPGPPGVMSVAELVRQPGRDHLPYLTPYSHGRGQTWFTRSGNGISAWINRMMYSALDKGHPTFTDFLTHKQHLWFRQFARRKKVLPPTPPTVGASVKGKGVFKHNLGAQSIATLGDRMVQDEVSQLQTEDDDSTASTNLSRVRINEIVESLVPKKKGRLVGLGRRFWSVPPFFAPPPFVDPEVLTAQLKDKDDRISVLETQMAAQQAGYEAHKRLNEQMMEMMKRMYPNEVFPNVQNP >A02p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2265094:2268960:1 gene:A02p005210.1_BraROA transcript:A02p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKSLKIHRSNPPSNLLLVRPPSSISNLPPMENNLKRQRTTRVAGRSSKRGRETYAVSIITQITEIEEEETDLVVAISRHVDVLNSSFSDAGDVKGAAAALSSLAKTDENVEMMVENGAIPALVRNLESPWSLAINGNVAKSCDHKLEKDCAVSLGLIAANQPAYQQLIVDAGVIAPTVKLLKRRGVCIGCMEANAVLRRAADIITNIAHDNPRIKTNIRVEGGIPPLVELLDFPDVKVQRAAAGALRTVSFRNDENKNQIVELNALPTLVLMLQSEDPSVHGESIGAIGNLVHSSPDIKKDVIRAGALQPVIGLLSSPCLETQREAALLIGQFAAPDSDCKVHIAQRGAITPLIKMLESSDEQVTEMAAFALGRLAQDTHNQAGIGQRGGIISLLNLLDVKTGSVQHNAAFALYGLADNEENVADFIKTGGIQKLQDDNFTVQPTRDCVVRTLKRLENKIHGPVLNQLLYLMKTTEKNIQMRIALALAHLCDPKDGKLIFIDNSGMEFLLEPLYISSMKQQKYSARALFELATKATSFAPEDSAPSSPAQRAFLGEEFVNNPTLSDVTFLIDGKQFFAHKICLVASSDIFRAMFDGLYKERNAQNVEIPNISWEVFELMMRFIYTGKINITKYLAQELLVAADQYLLEGLKRLCEYTIAQDICVENIPFMYDLADTFNASALRRACTLFVLEHYTKLSSELWFPMFIKKIIPEIRNYITDILGRPVQASS >A03p065110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28404279:28418626:1 gene:A03p065110.1_BraROA transcript:A03p065110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTIRAWARRRGGSNPGRPRDGRTSSGRKPISDCEDQTKDLLGVKRMIEDHLGVKRTMEGHLGAKRDDKNHTGILMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAWSLRSITGMCRCGTLTQARQGPRSVREYTEEFLESAKRCRPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMASQAMEAERTLTRRVVAISSSKEDVEVEEDPSEDSDWEEEPASPTGSGRAAGPKPDGEQKSPKRSPAENPRRSEALAVDFLSLRAVSLFLLSLRRVPFSLSRPRLSLLAVSSREWWWWPRVVIDRRSRFLLPPTLRSRSRSRSRLRTISGNVNGKEGNATETHGTRNGTHGDVGKIDMCVLNPVPWNPGRKWEGAGMGSTLHPDWTELIRECLIDIFSRLSTGKRWNGPMLVCKKWMNVCQDTSLNTVLDLESEFLTSTNTTYWWSPEFEEKVDTTIRSVVDQSQGELRELRVRHCTNQSLSYVAERCPNLEVLWVKYSPKVTIESMKKIALNCPKLKELDISCSYEISCECMELVGTNCKNLQVLKRNLMQPSEVARLRRYAYVKKQYLSVETLGNVDAYTIGRHMHQLKHLELRNSTLTDKAFAHLCKRCSDLEYLDLVGSSYLTSVGVANGTSSLKNLKEIKKPDFAATMGSRLRHDWSELAPECLLDIFTRLSMGQRWNGPMLVCKTWMNLCQDPSFNTVLDLEAEFLSSPESFYWWSPEFEEKVDSTIRSAVDQSQGSLTEIRVRHCTDKSLSYVAERCPNLEVLWVKYCPKVTDVSMRKIALNCPKLKELDILKRNSMQPSEVKRLRHCTYVQYLSFEYHGDIEADTIVRHMSQLKHLELRLFSTLNDSAIVDLCEGCSNLEYLDLFGCGRLTSKGVTKATSKLKNLKVIKKPDFDVSGEALGMDVEIDLEWLKKLFEDCCTYKVVMGWELGPDWTELTQECLLDIFSRLSLEERWTGPMFVCKTWMNGCQDPSLNLVFDLETKFQSLPGSLSCWWSPEFGDKIDSVLRSVVDRSEGGLKEVRIRHCTDSSISYVAERCPNLEVLSVKYCPKVTDDSMLKIALMCPNLKELDISFSYKISCVCVDMVGKNCKNIQTFKRNLMDPAEVMKSVPSNYMEDPSIFLIYGNIDAYVIGKHMHQLKHLELRFSTLSDNGLAQLCEGCLNLEYLDLFGCSKLTNDGVTNSISNLKHLKVIKIPSFPAFTI >A07p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2573111:2573503:-1 gene:A07p004860.1_BraROA transcript:A07p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYYAIFGLTVELKLENKGDLNKSDSPLDEGTFEKKKKKKLSRTKHKPSFCFPPPARAVVLDVAEGLFWRKRLAGDGLQLDPAFTLALWCHHEVGETKAKLGVGV >A09g512090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35431481:35432218:-1 gene:A09g512090.1_BraROA transcript:A09g512090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTRVRNWLISPPRVVRLDLDAPSSHSHRHFPPSPLFAGAPRLPSLRETIGLQRRLVFPSESSITSRREPPLSSSSTSITVAGDLHLLEISTAATHRSRSDPRNTSTFKTSKPSPQPKRPGVPQRQRGAFGVSGTEKASTLPRNKTGDDGSRVASISRVNRLNMKVAPSPPIAFKRPRRYSKAEPPMMESLGVRAPTRQPLTEL >A09p017020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8854646:8856819:-1 gene:A09p017020.1_BraROA transcript:A09p017020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPVKYVGILKESAGFRLMKSMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVDKPNPWAFDTTQFDNILKKLKVQAAPSKKDDASDKETESEDEAAESEPAVSKVSKVTRPQGRYKRREKGKLVNSYSSKDLEGILVKRTEEPSPVVCDIAADAMEIEIISDDQHASVKEQKIEEPSSDWWGFKSGFVSGGLLGAKPGKKKSSKSNERKMFCEDDQENLYNMVQDKATAGKQGLGIKDRPKKIAGVRYQGKKTSFDNSDDDDNDDEEEEEESEEDDDKDSAIENSLPAKRKRDEIIEPKIKLKNLCKQILKKVAGSSGSMKLKQLKSMIDEQAPSILSEFSSRKDAIAYLKLKLERSEKFIVEGKKISLVSKKK >A06p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6160207:6160821:-1 gene:A06p013630.1_BraROA transcript:A06p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLQAIPLVEAENCLVKKLPVKGETSAMKTDSFISSKAQKGNLESPPEAKIKSFSGSNSLAPHEAIVSGLGPTPTETSLCRLKYGPHKPCKKWLEFDENIKKSRLNKQKYSNDKSFTGSNSVAPHEAIAPGQGPTSETSRITLKPRGETPEICILFDKNMEKSRLNKQKYSNGSS >A09p052430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45940356:45940717:1 gene:A09p052430.1_BraROA transcript:A09p052430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSKQNATRDDAYAYLRTVKNQFQNDREKYNDFLAIMNNFNAGRIDRNGCIEEVKELFKGHRDLISGFNVFLPVSLEIADWYNLEGR >A08g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21508718:21509532:1 gene:A08g509990.1_BraROA transcript:A08g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCIPGNLRICIPTLVILSQTMHLEYLQVATSSHDMVTKTNLLVLYKLMHIYEIFGLFRISRFIVGVNKNMEAIKHIFSLGIRFRMRFKGEESPERMVRFQELEIYLHNCQLLNGDHCNYRFPIQDCLFLFRSNGMSQQQSRDPAESCHLPLFQRLLNNHNQSARDQDQSNHPEKQLKNTYGVPSWQEPITPSAANEPQQKLTT >A06p015890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7080426:7080899:1 gene:A06p015890.1_BraROA transcript:A06p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML15 [Source:Projected from Arabidopsis thaliana (AT1G18530) UniProtKB/Swiss-Prot;Acc:Q9FZ75] MEGQLRQLQDIFNRFDMDGDGSLTILELAALLRSLGLKPTGDQIHLLLASMDANGNGFVEFDELVGAILPDLNEEVLINSEQLMNIFKSFDRDGNGFISAAELAGAMAKMGQPLTYRELTEMIQEADTNGDGVISFGEFASIMAKSAVEYFGLKINS >A02p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8434205:8435359:1 gene:A02p018340.1_BraROA transcript:A02p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 66 [Source:Projected from Arabidopsis thaliana (AT5G51890) UniProtKB/Swiss-Prot;Acc:Q9LT91] MAFSKRLVFAMIFAVFAIVKPSEAALDAHYYDRSCPVAEKIILETVKNATLYDPKVPARLLRMFFHDCFIRGCDGSILLDSTMSTQAEKDGPPNISVRSFYVIEDAKRKLEKVCPRTVSCADVIAIAARDVVTLSGGPYWNVLKGRKDGTISRANETINLPAPTFNVSQLIQSFAARGLSVKDMVTLSGGHTIGFSHCSSFEARLQNFSKFHDVDPSMNYAFAQSLKKKCPRSNNRGKNAGTVLDSTSSVFDNDYYKQILSGKGVFGSDQALLGDYRTKWIVETFARDQKAFFREFATSMVKLGNFGVKETGQVRVNSRFVN >A02p030640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15639243:15639672:-1 gene:A02p030640.1_BraROA transcript:A02p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPLLDEHFGIVPLEAMAAYKPVIACNSGGPVETIKNGVTGYLCELTPEDFSSAMAKFIESPELAKGMGSEARKHVVETFSVNQYLVHVLSSPKED >A08g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1028631:1029624:-1 gene:A08g500430.1_BraROA transcript:A08g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVVWTS >A08p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:207393:208444:-1 gene:A08p000310.1_BraROA transcript:A08p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 11 [Source:Projected from Arabidopsis thaliana (AT1G55910) UniProtKB/Swiss-Prot;Acc:Q94EG9] MSRPLVFFFLFLVLVVPCLSHGGGGDQDDDEAPPAKSSDLKSKSLITVKIACLVIIFVLTFISGVSPYFLKWSQAFLVLGTQFAGGVFLATALMHFLSDADETFRDLLTAEGESEPSPAYPFAYMLACAGYMLTMLADSVIAHVYSKTPSKDVELQGEEKPNQGSGIGDSILLIVALCFHSVFEGIAIGISETKADAWRALWTITLHKVFAAIAMGIALLRMIPDRPLFSSIMYSFAFAISSPIGVAIGIVIDATTQGRVADWIFAVSMSLACGVFVYVSVNHLLAKGYLPNKKVNVDEPRFKFLAVLSGVVVIAIVMIWDT >A05p053720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31060927:31064285:-1 gene:A05p053720.1_BraROA transcript:A05p053720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAGTIVNELEIQHPAAKILVLAAKAQQEEIGDGANLTISFAGELLQNAGELIRAGLHPSDIITGYNKAITKTVEILEQLVESGSETMDVRSKDEVVFRMRAAVASKQFGQEEIICSLVADACIQVCPKNPTNFNVDNVRVAKLLGGGLHNSCIVRGMVLKSDAVGSIKRMEKAKVAVFAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAESGAKVIVSGGSVGEMALHFCERYKIMVLKISSKFELRRFCRTAGAVAHLKLSRPSPDDLGYVDSIAVEEIGGVTVTIARNEQGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKEYANAETGLHSHAILKYAESFQFVPQTLADNAGLDAMEIIASLYTGHGSGNTKLGIDLEEGACKDVSETKVWDLFATKLFALKYASDAACTVLRVDQIIMAKQAGGPRRDLAAAAGAGADED >A04g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8132661:8134350:1 gene:A04g503800.1_BraROA transcript:A04g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEIPTDIVNISEEIPTDNFRRTPHFIRSSPTFFPISLRRNLSFSCDFEVYPSGYSDDIFLGIFRGNSDEHVVLGISSEIRFLGIPSEIAEEFLRKNEIPRSYFRGLFSSVCRRNSVIPTTYRRYFLSVCRCFLVVIKRKGA >A10p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:201814:202865:1 gene:A10p000390.1_BraROA transcript:A10p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQTDKDYKEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAVCGAGVVKGFQPTPYQTLGGGANTVAPGYSKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWIFWVGPFIGAALAALYHQLVIRAIPFKTRS >A01p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8068656:8069038:1 gene:A01p016320.1_BraROA transcript:A01p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLLGWLCLRHAMASKAPFMAGLSFNFHRSSPISVAVDVYSNLELSVPVECSIRHPTVILEPVKSTASLLLNHLVLLCLRQGMWLCFVFAEMHFSPFEL >A03p070280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30896632:30898455:-1 gene:A03p070280.1_BraROA transcript:A03p070280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSSADRASDYYHFNELLTPEEQAARKRVREFMEKEVAPIMTDTFHIIPKLGASIPNLGALRVVGGSIKGYGCPGLSITANAIATAEISRVDASCGTFNLEKYEDYLKLKSRVEILQYSQRHLLGEPTVEFLGTGEVVRIETTNGWCLPVDSLPSHVPKDVCTVKKPHNG >A03p045040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18816378:18817352:1 gene:A03p045040.1_BraROA transcript:A03p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH87 [Source:Projected from Arabidopsis thaliana (AT3G21330) UniProtKB/Swiss-Prot;Acc:Q8S3D2] MEGLEAIYGMTRESKIMEHQGSDLIWGGNELSSCFISDLGIIGETQHHQHVGNRDSSIDPSSIDCLLSATTNSNNTSIQNDEGISELFSDCQTLWSFGGVSSAESENREITTTKAKSFKRNRGNRDETRSHFCLAGHPQEESEKGNFKLIYDENQSKSKKPRTEKDRDGSSNISFQQHSTSLSDNVEPDAEAIAQMKEMIYTAAAFRPVNLGLETVEKPKRKNVKISTDPQTVAARQRRERVSEKIRVLQNLVPGGTKMDTASMLDEAANYLKFLRAQVKALENLRPKLDPTNFSFSSPSSFPLFHPSFIPFQNPNQVHHHQEC >A02p052340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32038330:32041705:1 gene:A02p052340.1_BraROA transcript:A02p052340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGDREVKKRVAFVLIDGLGDVSIPRLGYKTPLQAANIPNLDAIASAGINGLMDPVEVGLGCGSDTAHLSLMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKVRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHKCGVVVKGPKLSGNISGTDPLKDNRLLLEAKPLDESEEAKHTAKVVNELSREISRILVSHPVNAKRLSQGKNVANLVLLRGCGIRIEVPPFEEKHGLWPCMVAPTKIIAGLGMSLGIDILEAPGATGDYRTLLTSKAVAIANALSAPLNPCPNVFVPGEDGHKPGRSDGYDFGFLHIKATLFKVRGLEAVDKAIRQLAKQLWQAETSTNYQYFLCVTGDHSTPVEYGDHSFEPVPFTMCRLREFVAAVGGEAALLETSLEHFPLPTVVESGEYVAGKEEDGERRETPEAVGGDSVVELNEIAAARGCLGRFHGGEMMGVITKFLKVDV >A02g511940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32268304:32269404:-1 gene:A02g511940.1_BraROA transcript:A02g511940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G48580) UniProtKB/TrEMBL;Acc:A0A178UPA7] MLAKSKMCLPRYSLFLIFFSFISLQGFAKKTGDVSELQIGVKFKPKTCEIKAHKGDRIKVHYRGKLTDGTVFDSSFERGDPFEFELGSGQVIKGWDQGLLGACVGEKRKLKIPAKLGYGEQGSPPTIPGGTTLIFDTELIAVNGKPTVGEEEDEDEDEDDTSGDDEL >A05g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12969231:12974224:1 gene:A05g504680.1_BraROA transcript:A05g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWFKYYGVPKKERLAHAIKQLSGNAYSWWKRVDKTHGKSPEEVVTNWEDLKDVMIRKYVTTLPTQETRKKYPRRFSNGVSKEAKKVVPQQGHRSLIYQDQIRPSQIPRVLYDKYQPYEVPKSMEKNLFSPDTLARYKEKSDKPILQGKAKVSPILDKFVYKSSPTCMSHLSLSKNVKTGPEVQKDTNSTSLLESKAVHDLRNKEIPSPKKEETTSQCKSFNSENLKDQTCYRCHKRGHFAVVCPSKQVLTETSLEKKTDLSIKSDSFILSDLLAQNSCMMHLSLSKGDVTGTKEQEFKEEEPPGVTLEMDQKIVQETMQSILLKEAKPKQCQGKALESQKRMKAHLLYLGADYTVSRSKPCQEGGDDVVIRSATEPEVNPKPYSTSQGANQDIRALKMPYLTNQEGLNHEANLYGFYTKEGVQVNWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEAKPVIKFKSILSAFQKAKDQEKWTRKSEDMFNFPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEPQEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKKNQVSHLEPKSHKRLQRLVSDFVSLLDLFPFFSYYELHTDVRVCPSAHPGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVNTGRPSAHTGRPSAHAGRPWLSVCVRVSVSTRRTSVSTHRTSVSTQRTPVSTRRTSVAVRVCPCVRQYTQDVPQYTQDVRQHTQDVRGRPSVHTGRP >A06g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12084555:12086104:-1 gene:A06g503950.1_BraROA transcript:A06g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNYASLLYLFVLRSASRGSRSKGDSPCVADLPSPSSQELSVCVNYKKVCLVLLRDYISKIRHEFDLAKQRFLNTSKALIICLRCNPQDGVKTVEVRCFEAEYDRLQQRGSLVIINHLMFEVMEMHKYSSFYELLKAKSPENVFPGTNTLEDCMQMFKKWCDVHDQEKKNNGVFAIHLSKSVSQPCAALSHILSGLSYTAVQSLLGLSHTIGSIRFYSLPLCFHINQSLANYSYDLIYDLSKQTLLMR >A01g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21442080:21442972:1 gene:A01g507480.1_BraROA transcript:A01g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQGIEKGLEKDSNPVVQSYRAGHTHGPDSPYGRLGRTVGTSEWVRVAKGHDLPRGTCVQRVLVPKGCEFQTVPLVQGLGRTKWTVRGCIVERTDGFGSDQVRRSVSLWAGLITGRSHLDLNQTVRRDYVQSGYGWMVLAAKAK >A10p030320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18344379:18346829:-1 gene:A10p030320.1_BraROA transcript:A10p030320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cystathionine gamma-synthase 2 [Source:Projected from Arabidopsis thaliana (AT1G33320) UniProtKB/Swiss-Prot;Acc:Q9C876] MGIVRSERLGREIVTNSITIPIVSTTTYFYKNSSELIDSKEKRIVCQEYIRYGSPTNMVLEEKISALEGAESTLVMASGMCASTVVLLAMVPRGGHIVTTTDCYKETRMFIETFLPKLGITATLIDSTDIAGLQAIVNNHEVSMFFTESPTNPFLRCVDIKLVSEICHKRGTLVCIDGTIATPLNQKALALGADLVIQSATKYIGGHNDVLAGCISGSMKLVSEIRIMHNLLGGTLSPNAAYLLIRGIKTMHLRVKQQNSTALRMAHVLEAHPKVSRVYYPGLPNHPEHHIAKRQMTGFGGLVTFEIDGDLETTIKFIDSLKIPYIATSFGGCESFVDQPATRNWDVPQEERLKYGLKDNLVRFSFGVEDFEDLKADILQALETTPTKTSSVSHQNGVVFD >A08g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6644824:6646055:1 gene:A08g504040.1_BraROA transcript:A08g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRLIETMSGYMKGKLAALTAPMANAYENAVVFNKIKNLVATFRHRKSTKTSSRFLPVNTKENNKYYQNSEAQQIDYKARSLRSNQALAKLGRYVATKHAQGSLGRYVATEHEHSSLRSDRARTQLGRYVATELKPTFTRYIATCQASKRSSFGFSFESSSKRFSFRLNRSRPVRLQKGPPLGSLLNPHRNAFRFVSIGVSRPVRPLKGPPLGSLLNPHRNAFRFVSIGVSFEILRRKQLPLKLYNKKPQRLVFVHGFRLIV >A02p023110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11060401:11061127:1 gene:A02p023110.1_BraROA transcript:A02p023110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKGKVLRKKLFTNYTFLASNCNICLYKEQQANSVPMGPAVELWKEREMDKTRKKYERLSEKIMLWEDKKKKKAKRKLHRTERGVEKAKLKAKQRFIDDNERIEIIVASARTHAYESQMKEVLKVKEKANLMRTTGRSPCACL >A02p014060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6150367:6150819:-1 gene:A02p014060.1_BraROA transcript:A02p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPNTSLRLSLPSYKNHQLNLELVLEPSSTSSSSSTNSSSCLEQPRVFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELFRSSTTVDSDQRYPFSGRFEIYGRGYEGFVESGGRMDFSGRGVSESGLDQDQDQEMSHLDLSLRL >A03p057260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24819394:24821277:-1 gene:A03p057260.1_BraROA transcript:A03p057260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIQSHQLPNGLYVSGKLEQPKDRPPTMAARAVPYTGGDIKNSGELGRMFDISLLDPQGPPPPPLIVGGNSSGGNSRQHAPPRVSGSFSNPNSGSVRSGPNSGSMKKSSGPLSQLQPTGLITSGSLSGPIGSGSRRSGQMDRQVSNLGSSKAKYGSSVTCLNTDPVRVGFKVPKTVVWAVLIVAAMGLLVGAFLTVAVKKPVVIAAVIAAVVPAVVVLVWNCVWGRKGLLSFIKKYPDAELRGAVDGQFVKVTGVVTCGSIPLESSYQRTPRCVYVSTELYEYKGLGGRSANTKHRCFSWGSRHAERYVSDFYISDFQSGLRALVKAGYGSKVSPFVKPATVANVTTQNKDLSPSFLKWLSDRNLSADNRIMRLKEGYVKEGSTVSVMGMVRRHDNVLMIVPPAEPVSSGCRWWRCLLPTYADGLIITCDDNQNADVIPV >A08g503530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6351741:6352013:-1 gene:A08g503530.1_BraROA transcript:A08g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLINHKFAQIGDAQRPRHVAPTGRSGLQERLKRVALRGRSSSILCRQTIEKRATLECRSSKVALRGVSQRLHGVAPVSRSHALLVR >A02p027760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13467611:13468039:-1 gene:A02p027760.1_BraROA transcript:A02p027760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEPIKFLRNSAGIVLGGFVIINVVSTAALGAFRFTVDEKRKKSGLCCRGKGFYICKLCKGNASIKWSPLYDPVCINPCLCPTCDGHRVQRCLNCIGKEYC >A08p015500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10160672:10161225:-1 gene:A08p015500.1_BraROA transcript:A08p015500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPHFWARHVSIHIDKSSSLKPTRVTNSPIECSCKRKRFSTQLRHDIRSTLIHATVYKHLIKAGSISGLM >A07p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22545246:22548281:1 gene:A07p039320.1_BraROA transcript:A07p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVAIEVYNPNGKYRVVSTKPMPGTRWINLLVDQGCRVEICHLKKTILSVEDIINLIGNRCDGVIGQLTEDWGEALFSALSKAGGKAFSNMAVGYNNVDVEAANKYGIAVGNTPGVLTETTAELAASLSLAAARRIVEADGFMRAGLYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYFDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLREADLISLHPVLDKTTYHLVNKERLAMMKKEAILVNCSRGPVIDEEALVNHLRENPMFRVGLDVFEEEPFMKPGLADMKNAIVVPHIASASKWTREGMATLAALNVVGRIKGYPIWSDPNRVDPFLNENASPPNASPSIVNSKALGLPVSKL >A08g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1111306:1112839:-1 gene:A08g500470.1_BraROA transcript:A08g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPHSQSSMGRYSRESSSTRFSGSLKPGSWKVNDGSKRKGHGGEKQWKECAMIEEEGLLDDGERDRGVPRGYYVLAFIVGFFILFGLFSLILYGAAKPQKPKITVKSITFETLKIQAGQDAGGVGTYMITMNATLTPREDYFQRAKPYKDHPTTARQINALTTLLTLLTLMELTSGFIGSRIPLMDQLYVGINGFPRQLYCFIPLPLALNLKTRDWIRVIIGGVFPARANVVSY >A02p006350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2687484:2688932:1 gene:A02p006350.1_BraROA transcript:A02p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFLAPSRMASNTLKDLNTLPVTEKMSECKPSLTKPCVGKINGKSEDRPLPSSAVTLDPSVVETEKPEAEKAAVEVEYIESENLDDVEDADAVLKTVVAGLDSKDWVSVCDALNNVRRLSIFHKEAMLHMLEKVIPLVVKSLKNPRSAVCKTACMTSADIFSAYNNDITDLLDSLLTQLLLKSSQDKRFVCEAAEKALTSMTKYVSPTLLLPKLQPCLKNRNPRIRAKASLCFSRSVPRLGVEGIKEYGIDKLVQAAASQLTDQLPESREAARTVLLELQSVYEKAHPIVKDETSSPSSLPEEEQTPEAVTWEMFCQSKLSALSAQAVLRVTNIVAVPAREGLVTAGSSSSSQL >A04p026440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15928809:15932137:1 gene:A04p026440.1_BraROA transcript:A04p026440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISSDPLMVGRVIGDVVDNCLQAVKMTVTYNCDKQVYNGHELFPSAVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDVTFGKEIVGYEMPRPNIGIHRFVYLLFKQNRRGSVVSVPSYRDQFNTRMFAYENDLGLPVAAVFFNCQRETAARRR >A01p019250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9321789:9322838:-1 gene:A01p019250.1_BraROA transcript:A01p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SufE-like protein 1, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G26500) UniProtKB/Swiss-Prot;Acc:Q84W65] MAASMSSSCCLSSLRLIPFNRTFFSSVNPPSKNLILRPIPSFLRTIVTFQKIPTGIISPLSASSSPSSSLDLQPIEELPPKLQEIVKLFQSVQEPKAKYEQLLFYGKNLKPLDSRFKTRENKVEGCVSQVWVRAFFDEERNVVYEADSDSVLTKGLAALLVNGLSGRPVTEILRVTPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALSLEVKAEEGTSESTMEEASVVEVGLDSEPPSVVEVEESESVSKIVALGSRGMRIREKLEKELNPVELEVEDVSYQHAGHAAVRGSAGADGETHFNLRVVSDAFQGKSLVKRHRMVYDLLQEELQSGLHALSIVAKTPAEV >A03g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23186936:23192695:-1 gene:A03g506480.1_BraROA transcript:A03g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQKERESYAREKRRRSDGEGADLIFSYDSDCRWCTFRYNACSPVTSVGSLRVWISPQTVGNEVWIQKERESYAREKRRRSDGEGADLIFSYDSDCRWCTFRYNACSPWKLESLDLSPDSRQRSLGGSLTSTVVAVAGEVIPVSEELARVTARREKRFVERRESEIFGMKIGGENNNAIVNGFFS >A10p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8316115:8319132:1 gene:A10p008690.1_BraROA transcript:A10p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPAVVGTETVPEPKLSQISNNCLNRATCGQGSFKEVDLNHQKRPTQPFITEAWNYKKIFTDEEVMDFTNWRFPNPSSCEYQLLELFFLHPVHDICTFQTIKKISSKLTYPLKPSRYKENTIYIYLAKILIIKPSMASFQGAINSFAFNHINSKAMEYEENYLSEINTSEIDWGEEPDDLSDEGEDQEHQSSYETDSEISCGRHPEVGGDEPEPLEQSFSRSISGYNEKDTPIKHSWSRPSCPWSDYGGEKEDDCMRITILQR >A07p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6173737:6174109:1 gene:A07p009910.1_BraROA transcript:A07p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKLHFLVLLIIISFIFNIQSTRIIDNSSSDCDFKGPCQKKTDCYERCGVGKPPFKIALCEPYGSSRVCCCI >A06g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18621087:18625473:1 gene:A06g506690.1_BraROA transcript:A06g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLENGVTKDVFIRINTVGYKTDESALVRGALIAPILWALSPKGRPTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPQFTCERLVGLSEFVGQGEGREASGSEAPSLVVRPRRRARRRGGIDQSDRLPAPRSVPFYEVDCRPVIFHPGGIFEELPSLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKAKNRTVPLEEAPSSADVSEVAAKKKKKKESKKRSREETSVGAMETSTAAENDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPRKAGGGIRFPDRVEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVV >A07p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8174452:8175534:-1 gene:A07p012340.1_BraROA transcript:A07p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITLKQELIMNSYKTIDARGANVHVANGACITIQGITHVIVHGLHIHDCIRTGNAMVRSSPSHYGMRNMSDGDAVSIYASSHIWIDHNSLSKCADGLVDVVMGSTAVTISNNHLTHHNEVMLLGNNDLYTEDKNMKVTIAYNHFGEGLRQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYAAPKNRYAKQVTKRVRTEKSEWKKWNWRSEGDMLVNGAFFIQSGEGATANYESASSLAAKPASMVGSITSTAGALGCRSGRPCY >A08p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20895351:20900180:1 gene:A08p035390.1_BraROA transcript:A08p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPEEEVGLLEDLVNDSVDHRGNPAVRSSTGVWRSASLIIGVEVAERFAYVGIACNMITYLTEQLGQSTANAAVNVNTWIGTASTLPIIGAFLADAYLGRYRTILGSSLIYILGLGLLTLSASLTLMGSSENRKPSFLMNVLFFCSLYLVAIAQGGHKPCVQAFGADQFDSDHPKERLARGSFFNWWFLFLSGGIALSILVMVYIQSNVNWAFGFGIPCLFMVMALAIFFLGRKTYRYPKRNHEKNNNGFVRIGRVFFGAIKNRKLMNLNKGMLLGEEGVEPCSDMDVEDAKGLVRLIPIWITSMVSMIPYAQYSTLFTKQGVTVDRRILPGLEIPPATLLSFVSISVLISVPIYEHVFLPIARKITKKPNGITMLQRIGTGMVLTCVNMVLAALVEAKRLRIAEEHGLIDKPNVTIPMSIWWFVPQYMLVGMIEVFGLVGAQEFFYDQVPTELRSIGLAFSLSALGLSNFFSGLLIIVVDWATERDGGHSWFNNNLNRAHIDYFYWLLAASTAIAFFVFVFISRSYVYRRVDQRDMSIPEEEVGLLEDLVNDSVDHHGNPTVRASTGGWRSACLIIGVEVAERFAYVGIACNMITYLTEQLGQSTANAAVNVNTWSGTASTLPIIGAFVADAYLGRYRTILGSSLIYILGLGLLTLSASLTLMGSSEERKPSILMNVLFFCSLYLVAIAQGGHKPCVQAFGADQFDSDHPKERIARGSFFNWWFLFLSGGIAVSILVMVYIQSNVNWAFGFGIPCLFIFMALAIFFLGRKTYRYPKRSHEKNNNGFVRIGRVFIVAFKNRKLISLKHSGQLEFLAKAMLLGEEGVEPCNNMDVEDAKGLVMLIPIWITSMMSMVPYAQYSTFFTKQGVTVDRRILPGLEIPPASFLSLVSISVLISVPIYEHVFLPIARKITKRPNGITMLQRIGTGMVLTCVNMVLAALVEAKRLRIAEEHGLIDKPDVTIPMSIWWFVPQYMLVGMIEVFGLVGAQEFFYDQVPTELRSIGLAFSLSSLGLSNFLSGLLIIVIDWATERDGGHSWFNNNLNRAHIDYFYWLLAASTAIAFFAFVFISRSYVYRRVD >A04p025150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15215106:15217511:1 gene:A04p025150.1_BraROA transcript:A04p025150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKKVSHLSPNQRLKNSHVIPNSNSCLKSTSFSHFSPPPPFPTPFSESLHNSLRAFEIFFFVLLLHRSKDLNNRRNESPNNPTPFSTPTNLPPKFKPGLGEMAPSIRKAIGAVKDQTSIGIAKVASNTAPDLEVAIVKATSHDDDPASEKYIREILNLTSLSRGYILACVTSVSRRLGKTRDWVVALKALMLVHRLLNEGDPLFQEEILHSTRRGTRMLNMSDFRDEAHSSSWDHSAFVRTYAFYLDQRLDRGRDDFRSPPPRSYDYDNGSGGAYGGGYGGVPKRSRSYGDMNEIGGGRDEKKAVTPLPEMTPERIFGKMGHLQRLLDRFLTLRPTGLAKNSRMILIALYPVVRESFKLYADICEVLAVLLDKFFDMEYTDCVKAFDAYASAAKQIDELIAFYNWCKETGVARSSEYPEVQRITSKLLETLEEFVRDRAKRGKSPERKEIEAPPPPPPPVAEEEEPDMNEIKGLPPPESYTPPPPPEPEPEKPQYTEDLVNLREDGVTGDDQGNKFALALFAGPPGSNGKWEAFPSDGVTSAWQNPAAEPGKADWELALVETASNLEKQTAALGGGFDSLLLNGMYDQGAVRQHVSTTQLTGGSASSVALPLPGKANTQILALPAPDGTVEKVNQDPFAASLTIPPPSYVQMAEMEKKQYLLSQEQQLWQQYQREGMRGQASLAKMNNTGSVPGGMPYGMPPVNGMGPPPPTGYYYNNPY >A02p051350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31543601:31551286:-1 gene:A02p051350.1_BraROA transcript:A02p051350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1I [Source:Projected from Arabidopsis thaliana (AT3G28960) UniProtKB/Swiss-Prot;Acc:F4J1Q9] MDSSPVSGQIYRSFCEIRSSQGLCNNIYKPPYEASSPRANYLKEALTQLLTLVENKFQARWTSRSLDAFMILFSSCAVRMDRRVLKNQRHNITEDTWQQVLVFSRCVHENLEGYDSQGASPVLIDEFVEQMYSILGPRKNTSLSCNCGDRESESCLYEDDEHYKDYRRCNTGLRNVPGLKRKISKDDEEEKEEDEDFSGSQYSCSSPYKKVKRIRSDDSPRCSFCLSQRFASIGILSVPYSLARGGWLSLSLLLLLAATAFYTSLLITKCMNSDRSIKTYPDIGERAFGKPGRIIVSVFMHLELYLVTTGFLIMEGDNLHNLFPGFNIKMIGLRLNGKQSFMASVALVIMPTLWWDNLSVLSYVSMSGVLATVLTLGSISWVGAVDGIGFRQSGKLINWSGIPTALSLYAFCYGAHPVLPTLYNSMKSKHQFNNVLLISFILCTIGYTSMAVLGYLMYGSNTLSQITLNLPTHKTSSKVAIYTTLVNPIAKYALMITPTVNTIKDWFPSRYAKKTYLHLLISTLCIASSVVIAETFPFFGYMMSLVGALLSVTVSILLPCLCYLKISGSFKKFGFETIMLFGMVAMCVPIGVLGTYIAIRELVKMGRVFVIELEGPAYTCIECHTHIGVPSDIISKEIEEVFDIHDNDIIYDFSRLFNTFPAENTFYSALQNIFCVGCANIIGIHNISQVDEGGPTTYWAMRKILHGPEGSDDE >A02p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11927433:11929490:-1 gene:A02p023930.1_BraROA transcript:A02p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVRKQEFVPFMAMVIMEACTIALTIMAKTALTGGMSPFVFVVYTNALGSILLLPFSLFFHRNDRTEESIFSWPLVVRVFFLGFTGVFLFQNLAFVGLSFSSPIVVCAMGLLIPSFSFLLNLILGRSKLDLRNTSTRAKVMGTVISLSGAFVEELYKGPFIRPASSPSPNHLLKSIRKLLVYYNLPDNWFLGCIFLAAAVFFVSLFNVVQTGTVKKYPHVMKVASFYSIVGTVQCLIFSLYMERDLSAWKIEPNFDLFLIIATGIFGSVIRTSVHVKCTQMKGPYYVPLFKPFGIFWATLFGTSFFVNSLHYGSVLGAAIGGVGYYTVSWGQLKETEEKQNPKEERKPIKTIYHQEEDEYKVPLLISQEESPV >A09p062380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51053734:51055783:1 gene:A09p062380.1_BraROA transcript:A09p062380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKSITLSIVTRRLIAFKEWMKANGVDCSDALDLLEDQNDAVSVKASRDLKEGDVVANISKTACLTVKTSGAREMIESAELDGPLALSVAIMYERGLGEESPWAGYLQILPFQEDLPLVWPLEDLDSLLSGTEIHKDVKKDHGLVYEDWEENIVPLTSLLPENVDPGSFGIKEYLAAKSLIASRSFEIDDYHGWGMVPLADLFNHKTGEEDVHFTAELPHSESDSEADETDNSDATDEDEPSSKNSSSPEQSLEGENTDEEAKEQEEEEDSSVLQDDVSSLEMIMVKNVPAGAEVYNTYGLIGNAALLHRYGFTELDNLYNIVNIDLDLVTEWSTSSFTTLYTRARLSLFKKLGYNSEYFEVSPTGEPETELLMLLNILLLPDDTYNKLDQTGDCVSKEGREITIGKHKVVFGESCRSDVLLTDGVCEALLAIVDKRESLYGTSSSLEDDVVRVESCVLPRDRRLYHSLVLRVSERRILEKLRSNVRERLGEVSGGKRRKKMNSLKS >A05p013090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5696684:5697856:1 gene:A05p013090.1_BraROA transcript:A05p013090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor (TFIIS) family protein [Source:Projected from Arabidopsis thaliana (AT4G19000) TAIR;Acc:AT4G19000] MSQERIAETRVRARSVDNTEEVLDDLAEEPRAIPIDDKVGKKRQRNQKDESRPNKKKKKQDSVRAEIEEMWDSLTNTNTPNPNPTKAVIDRAKRKEDNDEIAKLFQVRKRKSVWQKTKAEIALQVEQVMANLELAVEDDVELNKQGQPATNKLTKLPILVGALSKKHLQAAFLDHGVLSLLKNWLEPLPDGSLPNTNVRTSVLQILDDLSIILGKGEGCRREQLVKSGLAKVVMFLSRTDEETRGNRRLANDLVNKWGHMIYERSTRFEDMLSQEEREEQEEVLSRREKKKKAREVRVGGFDEDVDFSVEEKPKVPGGRVVTVVPTATAVEFVLRPRPKVDERLKARAKMHLAGGRYENLMKRVKERKAVREQSMHALKLSVDGHSKPKY >A08g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6852968:6853551:1 gene:A08g504270.1_BraROA transcript:A08g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVKKTIVADHDDLLPQSPKVVSDKSKELGEKSTIKGGRKMELMSFTRTEVDVMQEAKV >A01p009620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4662961:4664239:-1 gene:A01p009620.1_BraROA transcript:A01p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAFNPLKLASSPRDSFPSISSSTSYSVSLISFGIRNSVGSPLKKCLKQTCSVRAMSSSSFESGMEESVKKTVNDNTVVVYSKTWCPYCSEVKTLFKRLGVQPLVVELDELGPQGTQLQKVLETLTGQRTVPSVFVGGKHIGGCTDTVNLNRKGELELMLAEANGKTDQT >A05p002230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:754363:754934:-1 gene:A05p002230.1_BraROA transcript:A05p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLISFHDVAKHKCKNDCWILIHGKVYDISSFIDEHPGGDNVLLAVTGKDASTDFDDVNHSNEAKETMKKYCIGDVDKSTVPVTAKYIPPWEKESTAETTKEETGNKMLVYLIPLLILGVAFFLRFYNNKQTS >A03g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30351568:30352801:-1 gene:A03g509340.1_BraROA transcript:A03g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARTSHVISTLATGVEVRALSFDSLKEVTECLLEMNQEVVKVILDCKKDIWKNQELFELVEDYFENSLKTLDFCAALEKGLRIARDSQLLILVSLQQFEDESLVEGGNGYEKTLEELKNFKEAESPFDEDFFKMMLEKLQHRKNKLDKKLKSIHTWRKLSSIIFVATFATVLICSVVAAGMAAPPVAAALAAATAIPLGSMGKWVDSLWKNYENALKGQKEVISSMQAGTYVAVKDLDNIRVLIERLEIKKGKDCDTTEDHQASQPW >A06p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15758013:15759715:1 gene:A06p023920.1_BraROA transcript:A06p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) UniProtKB/Swiss-Prot;Acc:Q8VYR2] MAKIAEGSRYKLLLSCPSGLSLSQVSVDFSKSHDRIQHPDHDLEDSIAQAWEQRSQGNSSLFNGQKFRFGSICLDGDAGTNELPHVYLRLGLTDYRIRPSLVSWTWFSRTFVGTNLSSQWEKFLVTSQDDCVRCRHTSSPLGNAAVIETSDQKIIVLRRSDNVGEFPGHYVFPGGHPEPMSVGIDSHQLGKDGDVLNKKVTREMFDSITREIVEETGIPASSLSTPLFIGISRRELNVRPAMFFFIKCNHHSDDIPGLYSSAEDGFESTQLHTVSMEELKTMTSRMPGCHHGGFALYELMLQRLKSTNETPLTST >A06p026650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13738956:13741002:1 gene:A06p026650.1_BraROA transcript:A06p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQFLCLLLCFSFTPSLQHVSESEPLMRFKSSVNITKGDLNSWRTGTDPCNGKWFGIYCQKGQTVSGIHVTKLGLSGIIHIEDLNDLPNLRTIRLDNNLLSGPLPPFFKLHGLRSLLLSNNSFSEEIADDFFKDMQQLKRVFLDNNRFAGKIPSSVMQLADLEELHLQGNQFSGEIPLLTDGNMVLKSLDLSNNNLEGEIPKSIAERKNLQMNFQGNQKLCGQPLNIKCDDKPSSPGRDPNEVTGKAVFMVIFFLLIFLILVAIITRWKKKRQSEFRMLGKDHLNDRESGEVRLPESVKKPAESTKKRSNADGSSKKGSNHHGKGGPGGGGMGDIIMVNSEKGAFGLPDLMKAAAEVLGNGSLGSAYKAVMANGLSVVVKRIRDMNKLTRDAFDVELQRFGKLRHPNVLTPLAYHYRREEKLVVSEYMPKSSLLYVLHGDRGIYHSELTWPTRLKIIQGVARGMQFLHEEFASYDLPHGNLKSSNVLLSETYEPLISDYAFLPFLQPNNASQALFAFKSPEFAQNQQVSPKSDVYCLGIILLEVMTGKFPSQYLNNGKGGTDIVEWVQSSVEQHKEEELIDPEIASNTDSLQLMVELLRIGAACIASNPDYRETMKETVTRIERITI >A08p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9256899:9257747:-1 gene:A08p013740.1_BraROA transcript:A08p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANYLPYERLPTYPFEDQAERSSIERVEQEIELLGAESFKSEKNCPPASSTSTFLYTPLEAVCSFSFFLRSSLSVRGNIPFFFDPSAFWAFRAVFRLRHFYDFIKDRFRNDVDFEEHSNSSYSVGNLRCSANRCRFIQSISMLFERTIPTVTPIEMKQEQVRSNIDGGSYEDGTKAG >A05p040470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:24768218:24769492:1 gene:A05p040470.1_BraROA transcript:A05p040470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT3G18710) UniProtKB/TrEMBL;Acc:A0A178VJJ8] MGRDETEMYITVPTFFKCPISLDVMRSPVSLSTGVTYDRPSIQRWLDGGNNTCPATMQILKSKDLVPNLTLQRLIKAWSDSVGSPTAASSPDPASARGIPTVEEVNDSLMRLSQEKDDEIRLEILTRIVRFVKDSEANREFLSGKEDLVPMLVDIVSAATTAKIKLAFIAIKVLDTIIKKGNEGDRERLSKLMLLTNGGDCLTAILLAIQRGDLESKIEAVSVLEVIASYDAKSKTMIAEREGILTELIKSISTESDPNLIEASLSFLITISKSKRVRSKLIAAKTITRIKDILLTEETTSVAVTEKCLKLLEILSSKREGRSEICEGGCVEGVVKKLLKVSTTATEHAVTILWCLCHVFKEDKTVEETVERSNGVTKLLVVIQSNCSPMVRQMAKDLIKVLKVKSSASALAAYQTKTTHIMPF >A08g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20695957:20696803:-1 gene:A08g509780.1_BraROA transcript:A08g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFRTSSRDHQEILIRLPDKSIAVLLIGCSKTLPSPILRLERVSEEASVFASTVDFSAGDGKDAWIFDIDETLLSNLPYYIDHGFGVEVFDHSEFDKWVERGVAPAIAPSLKLYQRVIDLGYRVFLLAGRKESERVVTVENLINAGFQNWDKLILTVFILFSLLKMNSSYTR >A02p055110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33383625:33385989:1 gene:A02p055110.1_BraROA transcript:A02p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCWKREMEGKLAHDYLSSTKRHQHGMMTSPHRVCVVTGPVIVGAGPSGLATAACLKERNITSVILERSNCIASLWQLKTYDRLHLHLPKQFCELPLVPFPDHFPTYPTKQQFIEYLEDYARRFDVRPEFGQTVESAEFDENLGMWRVVSVGEEGTTEYVCRWLVAATGENAEPVVPRFEGMEKFKATGVVKHTSQYKTGGDFAGKKVLVVGCGNSGMEVCLDLCNFGAQPSLVVRDAVHVLPREMLGTSTFGLSMLLLKWLPIQLVDSFLLVVSRFILGDTTLLGLNRPLIGPLKLKNLTGKTPVLDVGTLAKIKTGDIKVCSGIRRLKHHEVEFDNGRTERFDAIILATGYKSNVPSWLKENKMFSKKDGFPMQEFPEGWRGECGLYAVGFTKRGIFGASMDAKRIAQDIYESSRKSGQPHRHIQVFMARKSDQACSRVLDG >A07g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18312828:18316470:1 gene:A07g507080.1_BraROA transcript:A07g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGVSTKTSPKIAEKRVTRQCSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTRFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCREWENQDNDENLATYD >A02p052110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31887762:31888988:-1 gene:A02p052110.1_BraROA transcript:A02p052110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFARKRSDRELNPSPGPRGGFHYGLEQNPESLIISTCLILYPYVEEDKESFADGVRGCYQLYRLSVAFIGQFPSDKPNHADDLGLRCQEANRQKVSSSGRGQESNGNGDHNMIDPNSNPQRVHEPGSNHQDL >A01p054920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30828921:30830541:1 gene:A01p054920.1_BraROA transcript:A01p054920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQVVLGAAIAAGSTGLVAKRFFNPFSPHPRLLPEDHGGEEHEPVTPPVGIGFLNSTCEKTNGVFRFSSSGSAGSGSGSSPGFRKCSGVTCKVKVRGLMKKKKKNNGGGSEIEKRSGNVSSKKPRTLSADSASKRGSGSCSNNNQDHSTFSSALGVCMMYMMSSEKNKISKLHTATEETVKVIQELKDELSRIKSLQGFKSSGISDLTTKSEIASREESVDTKSGKDGEYASSVLTEEPEHEDVEMEQLEMELESELQKLNLAETSECMEECKELVNGSESYQCGGISASELDKKLSHDEHEQDMNQEDLSVSWSQQNQTGSEERKPIVGMKRAMESYVHV >A08p016420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10662766:10663146:-1 gene:A08p016420.1_BraROA transcript:A08p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREDIQLMGTQNFTRCESALHSEVEALQWAMENMLQHSPCQSFGTDCKELIAMLKEPQKWPSFATELEKIETLQICFPDFKITYVPRVRNQFSDFLAKTVRIFRRELLFIGCSIPVWLPRPPQA >A08p044800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24693680:24695748:-1 gene:A08p044800.1_BraROA transcript:A08p044800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMLIHLRPFPTNYLSPRSLTICSSLSSSPTPQHKLWSGLDNWRNSPINDLRLWGPNGPLLPSSSSTSSTFHTLVSAAPSLADLGALVLSTSDPLSKSHISHLAFSRWRRENNLPVGSISNLPSSPSRPPKPLLVPTNEVPSPKETDLPLNAYMLHNLAHIELNAIDLAWDTVARFSPFFDGLGRKFFDDFAHVADDESRHFMWCSQRLAELGFKYGDMPANNLLMRECENTSNNVAARLAVIPLVQEARGLDAGPRLVKRLMGFGDHRTSKIVAKIAEEEIAHVAVGVDWFLSVCQKMNRAPCPTFKGTDKYDPSCATEADEGGNKQGDKEKLSAVYDRLTHLISMESENSSLERPAKSIE >A03p051190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20635710:20637158:1 gene:A03p051190.1_BraROA transcript:A03p051190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFICLFAKDSASIVIKQPKKSPLFLRMIVLVFAMVCGLFICSVCLKQFSLQTSQLVPSPVVSHSLHVLTRIHYPMPQTFNRAECGHNPVRFFAILSMQRSGSGWFETLLNSHDNVSSNGEIFSVLERRKNVSSIVETLDRVYNLDWFTSASKNECSAAIGFKWMLNQGLLANHVEIVDYFNRRGVSAIFLFRRNPLRRMVSLLANSYDRYAKLLNGTHKSHVHSPEEADALSRYKPVINSTSLIHDLQETESLAAKALEYFNTTRHMVVFYEDLITNRTRLKEVQEFLSIPVKDLSSRQVKIHRGDLSDHIKNWEDINKTLNGTEYEKFLRADY >A10p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4789976:4790390:1 gene:A10p013430.1_BraROA transcript:A10p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFLCLISDVGLRLRSLILLLKPRITSISCVSFGESSLAPPCTGNRRAFFENVSPTTSQIFVKPGSDTNCWDCKISCPTLSYLINTILSTLDLIYKSAWIYFWFPFQNASYY >A04p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11317687:11319680:1 gene:A04p018950.1_BraROA transcript:A04p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKNSDFRPKREIRLLSFEEESHGNINYGDPRISHERYFIPVDNSSEKAEKNGYVQDKPSFTSSSAKKTSESPVKENLTPSATPTTAPSEISPSVTQMKYRWSFSSSKRSFGSSKDEAFFDTNQWLQSDSEDDFFSVNGGTLTITFVSDSIRISNAKFTPSRGNTPKCSFSDKHPRFHNPLFEEEKPRAA >A05g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15455377:15462864:-1 gene:A05g505560.1_BraROA transcript:A05g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVSCMQQLGIYRNYNLQYLNSGPASNIISNISYIYHLLASRSSASMSLGGSQWCRPMSMNSHRSIDHDEDRWMDYSCHRSMSSAKLTECNARKVINSVDYGKELGFIGACHCGAGYESEYETEYSESIDTPTFPSIDSNVSTVTDDQNNTSLDVMHPIDHFPSPNHCYQHFAFQPPTRRGHDDYSIGSWAEIDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTSTFPTSVDAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIPSLTRFTDTYINSFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNHNLSISKMNNYANIDYGFLTPDEFGIFRDPDGNTRAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVATTETNPDLSRQPKGRASIDCTTQTSIDRVTPTSIDMDEPTSIARWCECGTRAFDMYGARKFTWKQRDEYGIYRDERGHARSATGEMRPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIDSLRQQLEKEATTSASIDATCATSIDVSLPTAQILAEPRCSTQHRDEWEVSYIDTRINDVYFPLNNNVDWLSTKIELLQQDPDTIRKKDQQPATSINMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLHKLSSQLLHAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYTAAEVYKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASINRTRAKSIDSNSPRSTNKHIITSIDAESIPVGEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIQERLQNISNVLEKMDDKWTRNDEATRNSTKDAKADQPINYTLTLNRMNSKRDLEAAIFKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQIPLKGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQAWLEPVDRCPQLTIDRRWQRCIGRRLNRLSIDTLLCLHLT >A09g516550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48815638:48816037:-1 gene:A09g516550.1_BraROA transcript:A09g516550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A05p018460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8515199:8515552:-1 gene:A05p018460.1_BraROA transcript:A05p018460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPRVQSRKLGVELKVHELKWINYGHFTRPRSQEEELGRARSSLERANLKDCRYFMTIDPFVHKSPSDRYLIPLLVSDEPNSFLLSSKSCLVGNILTIKSGLSRYLHPILWCHSTS >A07g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3186452:3187594:-1 gene:A07g501560.1_BraROA transcript:A07g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNVPALEETNPCSTVPFRNQSALERHVNFLIRRGIAKKTSDSPSTSSSNTVVSLKWQKPPLGSVKCNVASSWTSSSQFFGAAWISRDSSGLPLFHSRRAFPLAPSAFEASLYSLGWAVSALLDLRVKRVIFEISSPQTLDALLNPQSYPNAALVISHILRSMHSFDQCQLLDVSLGVNSLAVEIATSVTKDRRLQSYVAKGGPLWLSSLLLSEARNDNLS >A03p011640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4659625:4660312:1 gene:A03p011640.1_BraROA transcript:A03p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKTFVPRQLLVSRCFSSVTSKTLKVGDVLREARVFSSEDVKGYAEVSHDWNPLHFDQESARKAGFEDRLVHGMLVSSMFPRIISAHFPGAVYVSQTLHFRSPVYIGDDILGIVQATTLRQTNNKYIAKFTTKCMKNHSELTVLDGEATAILPNLEMLQPSHTE >A09g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17998603:17999530:-1 gene:A09g505720.1_BraROA transcript:A09g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSVDSLLEKLKQEELYLPPSGSCKVGENEEANNKSHYTLVNQAFAIAVRKVLKGYISGLDTLCASAELRRSSNIVVL >A03p048420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21918256:21920217:-1 gene:A03p048420.1_BraROA transcript:A03p048420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQTCVVRTYSEWVIDGEYDQPKCCQCQAAFDEGGAHQLTRLGCLHAIHTSCLVSLIKSLPPHTAPAGYVCPTCSTPIWPPKMVKDAGSRLHAQLREAIMQTGLEKNLFGNHPVSRSTESRSLPPAFASDALINVSSSSSHTQEGRNLLAENGEYSKSAVSEIVEIDVPSSAGNYMKTSSPGHVAASRKGVLAVDRQNSETLYYADDEDGNKKKYSRRGGPLRHKFLRALLPFWSSALPTLPVTAPPRKDPTKAEDGSEGRVRHRSSRMDIRKILLFIAIIACMATMGILYYRLAQRVIGQENPDEEQ >A02p003460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1715357:1720426:-1 gene:A02p003460.1_BraROA transcript:A02p003460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLNLTQLWKRFRGSDTPQENLGAIREYNVDMIPKFIMANGTLVQTLIHTDVTKYLNFKAVDGSFVYKKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLSKVTAREIISKYGLEDDTIDFIGHALALHNDDGYLDQPAMDFVMRIKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDSSGKAIGVTSAGETAKCKKVVCDPSYLSDKVKKVGKVNRAVCIMSHPIPDTNDAHSVQIILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDSPEEELKPGIELLGPIDEIFYHSYDTYVPTNKQEEDNCFISGTYDATTHFESTVEDVLEMYTKITGKTLDLSVDLSAAKSSPSRPRASSSSRRSTSSSSGRSSPRPRSTASHSPSIHTERSSPRPSSYSSPPKTGRSTPRTAGYTPSPQTASHDSHSSENTSNDHGFFSRGRSSSSDDAYDFFRRRRRSSSDDEGMFSGFIDEIKDAIENYRTSKKDPVAAAAEVASTSGSIACVTQAKAFQDCLDENETDINKCQSLMDMWCKCKKSSKLTT >A02p060160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35902356:35903952:1 gene:A02p060160.1_BraROA transcript:A02p060160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIKLLSNLQHPNIVHYFGSEIVSILSLHSYNLTMQVLLSNSWYVTGICSPWFYQQIYPKPLTESVVRNFTRHILSGLAYLHSKMTLHRDIKGANLLVDASGVVKLADFGMAKHLTGQRADLSLKGSPFWMAPELMQAVMQRDSNPDLAFAVDIWSLGCTIIEMFTGKPPWSEFEGVERPTASMLLEHRFLNNSSVLSTSPRNSDVSQLFNGMNITEPNSRRGKPNFKLDQVPRARNVTSSERSESWQQQHRSPDVIGTVTRLSPRSTLEAIRSPSPSQQPKASTDRRRIGVSSDHI >A02p056580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34108034:34109970:-1 gene:A02p056580.1_BraROA transcript:A02p056580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCVNDALTDDELRCVLSKLDRDKDKEVFSLVCKRWLHLQSTDRKKLAARAGPHLLGRLAFRFTQIVELDFSQSVSRSFYPGVTDSDLTVIAEGFRCLRVLNLHNCKGITDTGLASTGRCLSLLQFLDVSYCRKLSDKGLSAVAQGCHDLRTLHLAGCRLITNESLKQLSKCCRGLEALGLQGCTNITDSGLADLVKGCRKIKTLDINKCSNVGDAGVSSVAKACAYSLKTLKLLDCYKVGNESISSLAQFCKNLETLIIGGCRDISDESIMILAHSCRDSLKNLRMDWCLNISDSSLTCILKQCKNLEALDIGCCEEVTDNAFLDLGRGDVLGLKVLKVSNCPRITVKGIGKVLEKCKSLEYIDVRSLPHVTEVRCTEAGLEFPKCCKVNFSGSLTEPDVLLGYSGLP >A03p048710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20829042:20829456:1 gene:A03p048710.1_BraROA transcript:A03p048710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHGGVPPIDLSNTASVAAATGQVWTRRPSFSLYTTNHDQAYVSFSERNVRNKSYSDDNDEKLVGAAKEAKERLDELLRNPRRRFGLVKQAYDSK >A08g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4956527:4962211:1 gene:A08g502170.1_BraROA transcript:A08g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTRITDPSLIIQSQSPRTTKHQTHPQDDPLPRHHQPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQARQNRWACYKSIHRIITKKFPKKTNPIDDARSFRNDSRPRRFFRRRRSIETRWQPSKEIIKDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVITSLLIRHEDLLFKLGLSHINSIHHA >A09p078680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58128328:58131902:1 gene:A09p078680.1_BraROA transcript:A09p078680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHLYNILQNKKKQFSGRKASQSSDSHVISSSSLFLDSSYFHSSSILRPGEREFSNGDFYSGELKGSLPHGKGKYEWSDGTIYQGDWYEGKISGKGKLVWPSGAKYEGDFSGGYLHGIGTMTSPDGSVYSGAWRMNVRHGLGRKEYCNSDLYDGSWKEGLQEGHGSYSWTNGNRYIGNWKNGKMCGRGVMRWANGDLFDGFWSNGFRHGSGVYKYVDGCLYYGAWSHGLKDGKGVFYPAGSKHPSLKKWCRSLQHDDTGKFVLSRSSSINVEELRSLSTVTPSLSVKTSVSGTSKTLSERFRDENLRVSEPPPSDFTCHGPSSKSARSSGSGQREGQDKNRVVYEREYMQGVLIRETITSSVDRSHKIRPPNLPKQVKSRNFMTFLKGEHNYYLMLNLQLGIRYTVGKITPVPRREVRASDFGKKARTMVYFPRDGSNFTPPHKSIDFSWKDYCPMVFRNLRAMFKLDPADYMMSICGDDGLTEICSPGKSGSIFYLSHDDRFVIKTLKKSELEVLLKMLPKYYRHVGDHENTLITKFFGVHRIKLKLGKKVRFVVMGNMFCTELKIHRRYDLKGSTQGRITEKTKIQEKTTLKDLDLAYEFHMDKLLREALFKQIYLDCAFLESLHIIDYSLLLGVHFRAPGQLNDTLEPPNVMSDQESVSSVDVGMAQELSIPPKGLLLVTHEPNSVNTAPGPHIRGSTLRAFSVGEQEVDLILPGTARLRVQLGVNMPAQAHHKLDEDKEESATIELFEVYDVVVYMGIIDILQEYNAKKKVEHKCKSLRYDPMTISVTEPKVYSKRFVDFLHKVFPEET >A01g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2464622:2466582:1 gene:A01g500660.1_BraROA transcript:A01g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRHGNTNPVGQRNSNVHNETSSRNASRFKWTYEQEKTLIELYDQAISMNDYTLKDPTVLGREHMVDNFNRAFNLNINYAFFKNKLDDFKKAYKKWKFLMTSTGITVNPETSMIYASDEWWEARESGCKITRSFKRQPPPFWDVVVRCFVLHDEDDLFDFSDNDGDDIPQHNVPQTQENEEIYRVNLNADTLPSHEYTQESTRLPSRRGEERTRRGGRSERTGGRGSTSQTSARNSGTNVGSTSRGHRRRQSFETTIQDTINGYKEFQRQSLQQLCPGAFDKDDYDEFKKAEQIFLALELPKFTKFYWACINALKELVFWRKYFIDIARSNDEDKLQLLEAMTGVSRNNEDVPKQLGSGQLFGSPHSGGLSSGSPSLVGNCSRGNNFQNMGAPPTTQQWGTPPNVQHWGTPPNMQHWGTPPNVQYWGTSPNAQPWGVPPNGPSWNTPPNAQQWSTPPYPQQWNIPQNFYHGQQPSNVQQAGSSGTTPTNVHYGFTVGNQGGSPLNTQRNYSEGASIDSSPKVHQSPSTIIGFTNYFEPGNTSQRPRRGGLFNIWRTTEEPNEENQSGSGDE >A09g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10478651:10479024:1 gene:A09g503240.1_BraROA transcript:A09g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSITFTVLILVLLMASTEILKIEAMNIKARCLPQGCKNATFSEECGPEPFTGSNNDCCHCCVAKYGRKAVCKGVIEGPDKHCHCYKERV >A04p023940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14527331:14532128:-1 gene:A04p023940.1_BraROA transcript:A04p023940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAASPSLSLHQTPHFHHQTSLITWLKPPPSSGLFRRKTLPISAASSSSSTSLSITDKPTSHFHGNLIDTFETHHPTTKGATFIEEPLERNELSSRRRLFTQDPPWISALFLKGLTRTVDQTLKLEKKDIDKRKFDSLRRRQVKEETEAWERMVDEYRELEKEMCEKSLAPNLPYVKHMFLGWFQPLRDVIEREQRLQKSKSKKVRAAYAPHIELLPADKMAVIVMHKMMGLVMSGHEDGCIQVVQAAVSIGIAIEHEVRIHNFLKRTRKNNAGDSQEEVKDKQLLRKRVNSLIRRKRIIDALKVVKSEGIKPWGRATQAKLGSRLLELLMETAYVQPPLTQSGDSIPEVRPAFRHKFKTVTKYPGSKMVRRYGVIECDSLLLAGLDKSAKHMLIPYVPMLVPPKRWKGYDKGGYLFLPSYIMRTHGSKKQQDALKDISSKTAHRVFEALDTLGNTKWRVNRKILDVVERLWADGGNIAGLVNREDVPIPEKPLSEDPEEIQTWKWSVRKAKKINRERHSLRCDVELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGTLEFAEGRPLGKSGLYWLKIHLANLYAGGVEKLSHDGRLAFVENHLDDIIDSAENAVHGKRWWLKAEDPFQCLAACVILAQALKSPSPHSVISNLPIHQDGSCNGLQHYAALGRDSFEAAAVNLVAGDKPADVYSEISLRVHEIMKKDSSKDPESNPTAALAKILINQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGVITDERMLFAAACYSAKVTLAALGEIFEAARAIMSWLGDCAKIIASDNHPVRWTTPLGLPVVQPYCRSERHLIRTSLQVLALQREGNTVDVRKQRTAFPPNFVHSLDGTHMMMTAVACREAGLNFAGVHDSYWTHACDVDTMNRILREKFVELYSSPILEDLLQSFQESYPTLVFPPVPKRGDFDLKEVLKSQYFFN >A09p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3828827:3832696:-1 gene:A09p007250.1_BraROA transcript:A09p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSMSKAVRVVARVKPSSSDPAVEASSASSVSVHKRDQSETVSISFGAQFAGSKDSCELDYFYEENESASSILTKEIKPLISSVFEGKDANVIAHGARCSGKTLLIQGSEWEPGLVILSMAEMLTMAGERGDSVSVSFYEVSQETVYDVLDKEKRVVSVLEGAQGKIQLKGLSKASHLVPVKSLSGFQEVYFALNKIQKLVNDPPLRSHRGVMIHVSKGEVNSGSFGRMNFLDVAGYEDSRKQCSDAPLEITRINKSIYALQNVMYAINANESHVPYRESKLTHMLKDCLQGSNRTLLITCLPREFSQDSFYMLNLASRICLGSKQSMTNATKKSKCPAGSLSSSSAAQKKQTPLAVSATSRQQTGIRGSVTERKSKLNTPASAIKGRKLFGEANDSLKCKNSSKKMEGKARVAVKKEISTQKVVLNVQASSSEEEVCSSVMVTDSQSSTVEEDHSVPALSSSETAMELSYATTASFGSKVSDTTYKETPLKHEEGVLEDIYCDDAIACKVQIVERGENRPVIEENLTLVNEGEILDKENNCFLANETASPPLSMQLRELSMTLKSLCNTSNHPSPPEKYQIALTKSYVEELPQHSEITTEAELKTPERSMPSKIDCTPWKTFSAHSSKLKNSAVGEYLKFLNTADKEDLKKLKGIGEKRATYIVELRQESPEPFKELDDLKDIGLSEKQIKGLLRKEIGDIFQ >A06g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1565076:1566352:1 gene:A06g500360.1_BraROA transcript:A06g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNDPLHLISIQSFSQLEQISSNHQVIKNVFLYCYVAQAVHHSLRLGHTSPFDCCSPPRFWDSHNGRKIVKLRESTSSSCSWTRSFYPGARLTQSNEGFVYLRHGSCSYFSDEFIVPDSGKASNAAASMMRVLRVMIVLQLPEENETMRQG >A07g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16018147:16019581:1 gene:A07g506590.1_BraROA transcript:A07g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQSFPSRDVVKCCDFVCDYSLGACTRTVKRKFNEEGNLMLLLSRRSSDSSSTAKLLVENQCAALLEDLSSQRKIVKDLHLELEEERNAAASAANETMSMILRLQREKAEIQMEARQFKGFAEEKMTHDQEKLKVMEELLYEKDQAIEALSYEVEAYKDSLLSCGITEAEMQDQVLGFEAYPCEYTLKCSVDDENPSGLDGDVEVVEKVMVGQSPGWPYYDPNSPLEAAKEIKGTFSADSPMSCSSDRVYTIDSVHVGVSEVKIDNEPSKMSKEKLNGDHWTSPRYQEPVVMTQHGVNEPDIEKLYTRLQALEADRESLRHTIVSMRTDKAQLVLLKEIAQHLSKETVTTKRRHLVSKMPSFKAFCVVTVFKWIVSFVSWRRKAKQNKYVYNLSANNMGMLMILGEGSRTRRWSCLTSSHV >A08p040000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22893559:22894239:-1 gene:A08p040000.1_BraROA transcript:A08p040000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12-1 [Source:Projected from Arabidopsis thaliana (AT1G15930) UniProtKB/Swiss-Prot;Acc:Q9S9P1] MFCLNRVLFIYLFSDEAAAPAVVPPVAAEPAAIIPEDMDLLTALELTLRKARAHGGVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEDTTALNIVKKHIESN >A07g508380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22868228:22871902:1 gene:A07g508380.1_BraROA transcript:A07g508380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFAWNVRGLNSNRRHTMTKDWINIHRSLFGAFLETHILESNKERVLGAIPRGWNYFGNFEANETGRIVVVWDPTVTLLIYNATAQSVTCGITSLSQNITLTITFVYGFNLVEDRRSLWTNLADLHDSTPVSGCVLGDFNQMLRSSHHSNHLSLVVDDSGMDEANLGMQDAQNCQDVNPISTKIDHAFINQAWSSFFPDSFADYLDPSQSDHTPCLFRMPAIRRQVIKPFKFFHHVIDHPEYAETVGEAWNCGHITGTDQFKLVRSLKLLKRPLRRLNKRHFSGISQRVKAQRDRVDELQRRLLTSPDTSTARQEHLERDKLNTLLKAEEKYYKQRSRVRWAEVGDRNTPFYHRMVSHHASRNHIHFLKDSNETLLYAVDDIKAHAAEIPLNATVAEAVRDGHWRLPAARSENAETLQVVLSTMSVPSDANGSDVYLWRINSGAVVELSHQMQGLYGPRVVAVLKLLNQVIIYNLWRERNARIFRGVSLTQEAFFTVVDRCMRDRLLSLASLTPARVTAVSPSLLELYFWFVSPYS >A04p013580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4516785:4517425:-1 gene:A04p013580.1_BraROA transcript:A04p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNALLRYLRVNVTPTLRSSSITSHRGVAPLYVILRRRFSEEVRGSFLDKSEVTDRVVSVVKTFQKVEPSKVTPKAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKIQSIDLAVEFIASHPQAK >A02p054040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32887784:32889468:-1 gene:A02p054040.1_BraROA transcript:A02p054040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRFRLVTNKTFSTTTVLRLVSSRASTDTSSISHRNHLKEILRKNGPRLSVPSLLQQRLDSGHPVTLPELRSISKRLVRSNQHDLALQMMEWMETQKEVHFSTYDTCLRLELIIKSHGLKQAEEYFEKLSLKAAKSAYLPLLRGYVKSKLVQEAESLMEKLNGLGFLVTPHPFNEMMKLYEATHQYEKVVLVVSLMKRNKIPRNVLSYNLWMNACCEVSGVECVYKEMVDDKSVEVGWSSLCALANVYVKAGFVEKASLVLESAEKKLNRSNRLGYFFLITLYASLGNKEGVVKLWERSKSVSGRATCANYICVLTSLVKLGDLAEAESVFSEWEGTCFNYDVRVSNVLLGAYMRGGDIRRAEGLHHRVLERGGVPNYKTWEILMEGFVKCQSMEKAIDAMHRAFELMKDCHWRPSQKIVMAIAEYFEKEAKMDEANRYVRDLHRLGLASLPLYRLLLRMHEHVQRPASDIYEMMKLDNIIRENWKYRTL >A09p049360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43686717:43689953:-1 gene:A09p049360.1_BraROA transcript:A09p049360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKERYRSTRDDRDRDSSADRSPEREAGRRHRDGDSKRRDSDHHRSSRREDREDERDRARERRRSVERSEREGSRDRERSHEGSKDKETRSKRKEREEENGNKEGKKRSRFSDGSGGGERRSRFEEESKNDQVSEGSRATNAASDVSTGVTSHSSLASETPLAPSQTLLTKVSSISTTDENKSIVRSHEVHGKSSTDGRTSGQSSSNLSLDALAKAKKAIQLGKGLADRFKKLSSANQGIKLASEGSPLARVPPSTTTPAVSAGTSSASALPHAVLPGLGNKVNIEAVKRAQEVAARMGYRQDPEFPTIINYLLGQTPAETMAVQQKPAKAPVLRVDALGREIDEHGNVISVTKPSNLSTLKVNINKQKKDAFQILKPQLEVNPEENPHFDPRMGIDKNKILRPKRMSFQFVEEGKWTRDAESLKLRSQFGEAKARELKVKQAHLAKARDDINPNLIEVSERAPRKEKPKEIIPDVEWCVLISLPPITSDSLYYSVISTRVITIRIWDAAVLTNGVYGDIDDGTITDSDLKTEKLTHYIEHPRPIEPPAEAAPPPPQPLKLTKREQKKLRTQRRLAKEKEKQEMIRQGLLEPPKAKVKMSNLMKVLGSEATQDPTKLEKEIRTAAAEREQAHTDRNAARKLTPAEKREKKERKLFDDPTTIETIVSVYKINKLSHPKTRFKVEMNARENRLTGCSVMTDEMSVVVVEGKSKAIKRYGKLMLRRINWAEAVKKDEKEGEEEDDDEEENGENNKCWLVWQGSVAKPSFHRFLVQECLTESAAKKVFTDAGVAHYWDLAVNYTED >A02p029210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14760628:14761362:-1 gene:A02p029210.1_BraROA transcript:A02p029210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEDATVREPLDLIRLSLDERIYVKLRSDRELRGKLHAFDQHLNMILGDVEEVITTVEIDDETYEEIVRSTKRNIQFLFVRGDGVILVSPPLRAP >A01g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12548565:12548898:1 gene:A01g504140.1_BraROA transcript:A01g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFRSLWAVFRLDTFTTSFPRLREIAFEGLTRMHGLVSYRCSERFNRYTATELWLEPGRYVATELWLELGHYVATERDDRSVAT >A08p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19439261:19440180:1 gene:A08p032010.1_BraROA transcript:A08p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BASIC PENTACYSTEINE5 [Source:Projected from Arabidopsis thaliana (AT4G38910) UniProtKB/Swiss-Prot;Acc:F4JUI3] MESGGQYDNGRCKPDYYKGTPPSVWNMMPQHQIKEQHNALVMNKKIMSILAERDAALKERNEALAAKKEALAARDEALEQRDKALSERDNAIMERETALNALHYPEKNNLNYILSCAKRGGTEGRSHPPRPPPVSPIPADKNPTKRKKETKQGNKLGEDLNRLAASPGRKCRKDWDVNEVGLNLVAFDETTMPVPMCTCTGTARQCYKWGSGGWQSSCCTTTLSQHPLPQMPNKRHSRMGGRKMSGNVFSRLLSRLAGEGQDLSSPVDLKDYWARHGTNR >A08p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10366703:10367424:-1 gene:A08p015850.1_BraROA transcript:A08p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQEQDTRKKRPEFSPIFIFIVFLGLFAFFLCLASEFQKAKGKDLKWDGESCYLPESHAFKFGTAALVCVSVAQIIGNVVICRGFLKTHKTETTPFCLFLLLFSWVNFAVAVMLTTVGASMNREQIYGKGWLNGECYLVKDGLFASSGVLCVSALGAVLGAFASNVKSSSQVDTRNKILTHNV >A09g518540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56516101:56518046:1 gene:A09g518540.1_BraROA transcript:A09g518540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQQSSSSASVDITSLLEAEAQGYADEAQSSFDNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDPGRRYFTCNNVVDGATHIWKWWDDAVMEEMRDFQTEIRRLKEAVAEREQKLLLLEKTVYDAGKDTTRVKLMVCLLVVIGLVILVLHGVASKASMGSVLSPVQWRKKHLLYSQIPVDLESPEPFWLGSQAPDDSPSEISPECPCQIPPECPSQVPEENVVGEDEDRPVEVKAAKGASKKKKSGRDEELSKLQGVLELKEKLSRNKVLKVEKFKIFLTRKYLKVEIT >A07g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17426086:17428642:-1 gene:A07g506880.1_BraROA transcript:A07g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSWVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A08g510060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21726602:21728224:-1 gene:A08g510060.1_BraROA transcript:A08g510060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFPQSILEEGIETQIDKINNTCRRTILEEVKGVLNTEYEEVLKDPGELFPFIYATGNNDVVDSTEFYREDEKIDERIGRIVTLLNAKQDWTDFVWEVEALPPTLELSDSETDGENVEVEDVTDTHVDEPAVVARRRKRKLNDPGAEARKKELLCQRAAEHNSGISSGMKTFIEGLFTSAFNSFKDVVQNDIQERFEKVQKEMAELKQAVSQIPGPSATMGKDRASEIPCPSATMGKSSQSPCLAGTKEKGKGKVDESVVPPTVRRSPRQGRKVTIK >A01p016110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7978319:7978672:1 gene:A01p016110.1_BraROA transcript:A01p016110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNGGSNLKRMVQEEEKEVKKDYNRKDRKVKIVLRRDELEKLILFQLNAGGDVQGKGETTLASFGDFLRELEAERFAGEAAAKAAEEEEESRRRCRKWRPSLDRIIEWPEETLA >A09p051970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45656703:45658398:1 gene:A09p051970.1_BraROA transcript:A09p051970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISDIARKLDLSNNKLVVRKAAEIRRLCNAQFDSSVIGVGEICKAVICLEMAASRLQVIFDRQAAIKLSGMSEKAYTRSFNSLQNVIGVKIKLNVKELAIQFGCVRIIKSVQNMLSLYKERFLASLPASRRANADFTRPVFTAAAFYLCAKKQKLKVDKLRLVEVCGTSESEFSCVSTSMIDLCFDCVGVSKEKKDAKDVKGNRELLDVLPEKRKLEDGGYSSDDESSCYKRHKKMEEAKYEDWKSTVVHSIKKNPEKGPKKVIQTSLDFTKKAATEEVQVDS >A08p045240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24848500:24849978:-1 gene:A08p045240.1_BraROA transcript:A08p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDALHVQLKSSKEKKGLKKTFNRRSKKKMGAFGKLIDAILFLYFALMVFIAPLFDAQTVLPKQIYPAILTDLNRNYIADFGDYLLAEEPHFLVGLIWHELVLLWPLSIANVYAILAGKSWFGTTCLLYGASVVTSMSAVLGEMIGSGKASEKLLMMYVPFMGIGILATLRGLVSRSTKSTGSVDKRSAVKPRRKLA >A04p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22676560:22678237:-1 gene:A04p040180.1_BraROA transcript:A04p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 9 [Source:Projected from Arabidopsis thaliana (AT2G45850) UniProtKB/Swiss-Prot;Acc:O80834] MDQRDPMGLTGSGSYYIQRGLPGSVPPTFHGSSQQQQGLRHLHNPNSPFGSGSTGFGSPPLHGDPSPAAAATGAVPHHVGVHMISPPPPPTSETPMKRKRGRPRKYGQDGSVSLALSSSSVSTINSSNKRGRGRPPGSGKKQRLTSTGELMMPSSSGMSFTPHVILVSIGEDIASKVIAFSQQGPRAICVLSASGAVSTATLLQSSTPPGAIQYEGRFEILALSISYLVPTDGSFRNRTGNLSVSLASPDGRVIGGAIGGPLIAASPVQVIVGSFVWAAPKIKNKKREEEGSENVQDTNDHQALDHPVPQQHTQGQNMMWSTGSRQMDMRHAHADIDLMRG >A02p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8412266:8414360:-1 gene:A02p018300.1_BraROA transcript:A02p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIPIKVSSNFNVMNFFFMKTGFYRFMKDATLAPTHAHFDYIVIGGGTAGCALATTLSQNANVLVLERGGSPYDNPTATDLENFTNTLFNITPDSWSQPFISEDGVYNVRARVLGGESVLNAGFYSRAEEYYVEYAEWEMDEVEAAYKWVESKLVYEPQVTGWQSALKHGLLEAGVLPYNGFTLDHIVGTKISGTIFDPAGHRHSAADLLEYANPDTIVVYLHALVHKILFTTKGGQRPRAYGVIYQDADGVFHKAELAKNAMSEVILCAGAIASPQLLMLSGVGPRVHLEAHGVNPVVLDHPMVGQGMGDNPLNTVFVSSPRPVETSLIKVVGITNFDTFIEGFSGLKLTNNLMHRFSEGILNETSRSTLAITEFFKSINLRSNVLEIDGWIVNKVDGPVSRGHLELRNKNPDDNPSVRFNYYQEPEDLEKCVKGLKTIIKVINSNAFSSYKYPNATARELLNRMLTLPINLRPRHESAKFNLTQFCIDTVITIWHYHGGCQVGRVVDKNYRVLGIDALRVIDGSTFLKAPGTNPQATVMMLGRSWGRRFFEREMLSGEITKIIRSRIKDDRDINIWSSPFN >A09g512530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36788814:36790221:1 gene:A09g512530.1_BraROA transcript:A09g512530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALPVDCLGDFGPRRLYVQYTHDIHGCPPAHIGRLWLSMAVCQHTQDVCGCPCVSLTSVAVRDCPSAHTGRLWLSVCDCGCPSPHTGNPWLSISTHISLLVLGLSTLVLPVDCLGDFGPRGLSVQYTQNVCGCPSAHTGRPCVSVSTHRTSVAVCVCTLVSVNTHRTSVAVHQYTCQHVGPWTQHADPSCGLFGTSVGVRQHTQDVRGCPCVYVCVRLCPSAHIGCLWLSITRWPFPLTLGDFGPRGLSGQYTQDICGCPPAHTGRMWLYVAVRQHTQDVNGCPCVSACVCVCPSAHQDVCGCPSVHTGRPCVSVSTQRTSVAVRVCPSAHTRCPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVCGCLRAHTGRPWLSVAVCGCPSAHTGRLWLSVCARVCPSATQDVRGCPSVNT >A09p079990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58708334:58708952:1 gene:A09p079990.1_BraROA transcript:A09p079990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFAAPSTVIGLGGSSVSPIKAKALSSSFLKPTLRAKNPLKVAGASGGRFTCFERNWLRRDLNVVGFGLVGWLAPSSIPAINGKSLTGLFFESIGTELAHFPTPPALTSQFWLWLITWHLGLFICLTFGQIGFKGRTEDYFKK >A06g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21057663:21058742:-1 gene:A06g507510.1_BraROA transcript:A06g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITESRCNLSCGLKHRNNEEEELAQKSMFFHCLREQTQKIFPMNIKVTAGTLVMLASWQVV >A04g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11593505:11599149:-1 gene:A04g505430.1_BraROA transcript:A04g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A06g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3691585:3692516:1 gene:A06g500950.1_BraROA transcript:A06g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVGPSAQLQAQNITVVMDQPHVGQGMHDNPMNAVFIPSPVPVEVSLIEVVGITGEGTYVEAAGGENFGGGVGSGNFIRVKFKEVIISPTFSRRLHFRESNGPTIHGSFGAPNPNLNDNPVVTFNYFQHPDDLNRCVRGIQTIERVVQSKAFARGPRASLPPSAEEFCQHTDIRLQRFGITMEDVLLVESSMGIIRLLVYGCEDHERERLANK >A07g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2804826:2809247:1 gene:A07g501330.1_BraROA transcript:A07g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNDADNIQTPLNGGSGTDLHTPVADVSAANTDANAATLEEFKKMFATYEKRSKEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPIYRSGVARERPSGQNPSEKSPIEKGNPESLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSVREGSPFEKPMTEEEEVTYWNEQEELAGKQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSERPGKDELKSSTDANASDVEARHKSEAHATTQPEHPENSRQGDSKPPTAIKVPGQRSAERIRGTIHFLATIGKRGRNLLGIRGNRDGIPEPLDPLVDRRDKRLSVGMFIHPTLHQAHFVLERVVIGLRPPKTSDRTAALTEITHRGKGVLEVPIFNLELRGTSLHLLDDFSFAFPFRLTDSPRMITSKLRFSLQDLALRASEIPFRFLRFETIDHSLPMTRFNGRAKQVKAQQNQLTHCKQKRKNLGNHEYFRKKIIPR >A03p045800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19238653:19239230:1 gene:A03p045800.1_BraROA transcript:A03p045800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RDM1 [Source:Projected from Arabidopsis thaliana (AT3G22680) UniProtKB/Swiss-Prot;Acc:Q9LUJ3] MQNPRASDDSGSSDVEAEISDGLPPLDRSHRVVEDEASLVMRAEMYQAYMKELPIPTNRGSVIPFTSWVGLSFSIKQLYGQPLHYLTNVLLQRWDQARFGSDSEYQSLDFIIHPCKAEATVWLVEEIHRLTSSHLQIAQLWGSDPMYHSLVDPVVPELPRS >A07g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22791595:22791944:-1 gene:A07g508270.1_BraROA transcript:A07g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEFESKAVILSLLNISCLISSSSSSTTTRSKSCLAALHFCSKQRLVLENPSLSMRLRPKRTYSGVEVFGGFHIKQQKFSFFIVR >A04p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16014589:16015224:-1 gene:A04p026590.1_BraROA transcript:A04p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSIASRSTSIIRAATRRSLISPRPRNPSPNPNSSSPPLRQTRIEASSAPRCMLRRELSTHQPFHSVVAAACLVSKLPSDLTSYEGRFANYVSPI >A02p050900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31361657:31362616:1 gene:A02p050900.1_BraROA transcript:A02p050900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKSLPLFFLFTLLASTAEPRLTTDFYSKSCPRFHDIVRDTISSKQITNPTTAAAVIRLFFHDCFPNGCDASILISSTAFNTAERDSSINLSLPGDGFDAVVRAKTALELACPNTVSCSDIISAATRDLLVTVGGPYYPVFLGRRDSRTSKASLLADLLPLPSSPIAKTIRQFESRGFSVQEMVALSGAHSIGFSHCKEFVGLVGRNSTGYNPRFAVALKEACSNYPRDPTLSVFNDVSTPNKFDNMYYQNIPKGLGLLESDHGLYSDPRTRPFVDLYARDQDRFFKDFAKAMQKLSLYGVKTGRRGEIRRRCDAIN >A05p004360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1688523:1691378:-1 gene:A05p004360.1_BraROA transcript:A05p004360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSQENPSSLYFFFCLCLSTVSAYTNTSSNNGVEGGRRELASWRCNWFRGSWVYDVTYPLYDPYKCPFIDPQFNCKKYGRPDNLYLKYRWQPSSCSLPRFNGLYFLRKMRGKKIMFVGDSLSTNMWQSLACLIHAWVPNARYTLLRQKGLASLTFEDYGVTLKLYRTQFLVDLDAENVGRVLKLDSIKQGKMWRGMDVLIFNSWHWWTHIDHIQPWDYMEDGNRLYKDMNRLVAYYKGMTTWARWVNAFVNPSKTKVFFNGVSPVHYDGRDWGEPMKSCKSQTQPFYGRKYPGGPPVAWVILNKVFRRLKKPVYWLDITGLSQLRKDAHPSAYSGNHPGNDCSHWCLPGLPDTWNVLFYSALFS >A01p025920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19861811:19862752:1 gene:A01p025920.1_BraROA transcript:A01p025920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:Projected from Arabidopsis thaliana (AT3G51080) UniProtKB/TrEMBL;Acc:A0A178VKT0] MESVELSLKNINRKEKPDDFSVDDLLDFSNDDVFVEDETKLKAAGVSVSLNDETTLNRSNELSTHACEDFGSELAVPTDDLAELEWLSKFVEDSYSAPTKKPVWLTGDRRHPVAPVNEGLCFKAPLPVKIRTKRARTGVNVWSLGSSSLTDSSSSSSSSSNPSSPLWLTGAEFLDEKAVKRQKKKKVLENGGQTQTQTRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVIEMRQKKEMSTDVDESGLNRTVQAVQSF >A06p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9055771:9058556:-1 gene:A06p018690.1_BraROA transcript:A06p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGATLVALAATIGNFLQGWDNATIAGAMVYINKDMNLATSVQGLVVAMSLIGATVITTCSGPISDWLGRRPMLILASVMYFLSGLIMLWSPNVYVLCLARLLDGFGAGLAVTLVPVYISETAPPEIRGQLNTLPQFLGSGGMFLSYCMVFTMSLSDAPSWRAMLGVLSIPSLVYLLFTVFYLPESPRWLVSKGRMDEAKKVLQMLCGREDVTDEMALLVEGLDIGGEKTLEDLLVTLEEHETLETVDGDGQMRLLGTHENQSYIARPVTDQQQSSLGLRSRHGSLANQSGIHKDPIVGLFDSLHEKMPEAGGNTKSGIFPNFGSMFSTNADVPHMKPAHWEKDVESQFNKDHDDYATDDNAPDDDSDNDLRSPLMSRQATSTDKDVIPHPTGGSTLSMRRHSTLNGENSMGIGGGWHMGYRYDNGEYKRYYLKEDGAESRRGSIVSLPGGHDGGSYVHASALVSRSVLGPKSVHGSDVAPPTGPLWSALLEPGVKRALVVGVGIQILQQFSGINGVLYYTPQILERAGVDILLSSFGLSSISASFLISGLTTLLMLPAIVVAMRLMDVSGRRALLLWTIPVLIVSLVALFISELVQISKVVNAALSTVCVVLYICFFVMGYGPIPNILCSEIFPTRVRGLCIAICAMVFWICDIIVTYSLPVLLSSIGLVGVFSIYAAVCVISWVFVYLKVPETKGMPLEVITDYFAFGAQASAPSKDDT >A01p009520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4587879:4590259:-1 gene:A01p009520.1_BraROA transcript:A01p009520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G28860) UniProtKB/Swiss-Prot;Acc:Q8LPI7] MERIIGGKYKLGRKIGRGSFGEIFLATHVDTYEIVAVKILTRIEYVHSKGYLHRDIKPDNFLMGLGRKANQVYLIDFGLAKRYRDANTNRHIPYKENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAVDKKEKYEKICEKKISTPIEVLCKDHPVEFASYFHYCHTLTFDQRPDYGFLKRLFRDLFSREGYEFDYIFDWTIIKYQQAQKARNQSQAIPGSSNARAMPMDTSNHQGVTNISHEAESSERVRSANTIGPTPQMNNNRSPGFDHSLHNKMQMPSTSASPASTSKRNDGKTVFPPETSSSRNGGGKRTGGWASSFMSRGK >A04p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14667174:14670016:1 gene:A04p024200.1_BraROA transcript:A04p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIRKSQAARVTSDARRRMAEGLIFLMRRESESASRLRQIISDMLPLFSEQHSEDDILAKLTSYNLASSSSLSYKFLYWFFFSPYGDFVLRFMDLWHAQDYLEAFLGERSGEFVACLWKLFLKDITQGKRETYASKPRTGVEFGSHDTLIEQDVEDHVSPKAKSMKMLQQELINNPCKRTQPKLREDQSLSDINYSRKVLRSVIVSTTKQPCCKNPDRYEKFVDEGNKMQKRLYFPKREVRQSYFSYVPSGGAVYARSHEAASSQEMMLHVSVWDRLGQPGDKKYHILSKVRLNLDENRTPKQLGRAFSAAYIEQHNETFQREVPAVVYMHRVLPPLEARKPKSGTITYTEPHIMHNFSKKRRYGIINPNSVDASVGDLSSVLQYKQAKQDVEKPSLLSSQSKKPDIFSEIVNMKQKLQQLDNQINQAKHLKKQKVGELKGSVQSGELQQKHDDTESSIIHVTNVNYAASKEVISMLFSKCGAVKNITIVTDPVHFSS >A01p052420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29444816:29446087:1 gene:A01p052420.1_BraROA transcript:A01p052420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVNGVLVSPDDGIGSPQRSSSVDSLKVESPGGSTRKGFGLKKWRRIKRDTPVKDESAAPVDDGSKLLKRNLTGLVNPPSKHVDLSSVEARQGSEGSVGSVNMMAHHHHHHLPSVVNGFSPGPGLFTVGQGFEKSEEHSGGGKIDSGSQGRDTIKNGSEERIDSDLRSSDFVFSSGAVSAANRIADGQEARELVETYSRSENGGEDEDGVESHKKNNHYQADKDQIADSIRCLAALQEALWKEVTSFQELSKESIPPLHSNIDEDNSGSQVLILKQKVKLLQVKLEEARADLDAKEARIQELENAKIEAELEGVFQRKMEAEIQHLMLTSSLNSTLLKEHPKKVHSVAEDPEPNRGNMLGKTCKSSFYFLIQLILLVSIVRLLLLQSSPASQLVIPT >A07p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24671701:24674826:1 gene:A07p045470.1_BraROA transcript:A07p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTPDIRRGILATVTATILLSLFPPNVVATVEKQTLFRFKNRLNDPHDVLRSWKPSDSPCNFHGVTCNPLSGEVTGISLENANLSGSISPAISSLSKLSTLSLPFNLISGGIPPEILNCTNLRVLNLTTNRLSGAIPDFSPLKNLEVLDVSVNFLTGEFQSWVGNLTRLVSLGLGNNNYEQGEIPKSLGTLKKLTWLYLARSNLTGTIPDSIFDLTSLDTFDIARNSISGEFPVSITRLANLTKIELYENRLTGEIPPQIKNLTRLRELDVSMNQLSGALPRELRALEELRVFHCHQNNFTGDFPSGFGEMRFLSSLSIYRNNFSGNFPANTGRFSPLDTVDISENMFTGPFPRFLCQNNKLLFLLALENDFSGEIPGTYAGCKSLLRLRINQNRFTGHVPEGFWSLPLAKMIDLSDNRLTGEISPQIGLSTELSQLILQNNRFSGKIPAEIGKLTNIERIYLSNNSFSGEIPTEIGGLKQLSSLHLENNSLTGSIPVGLTNCVRLVDLNLAENSLTGEIPNGLSQIGSLNSLDLSGNDLTGEIPASLVKLKLSFIDLSENQLSGRIPPDLLAVGGTMAFSRNEKLCVDDHDVKESEKHVLSLCNDDQRVHKNRSIDGTLLFLSLAIALVVLVTGLFSLRYRLVKIREENKDISKADAKWKIASFHQMELDAEEICRLEEDHVIGAGSAGKVYRVDLKKGGGTVAVKWLRRGGGEEESNETEVSVSEMEILGKIRHRNVLKLYACLVGRGSSYLVFEFMENGNLYQALRRSIKGGLPELDWHKRYCLVSNGLKLAYSFKATEKSDVYSFGVVLLELATGFRPVEDEFGEGKDIVDYVFFKIQQDGRNLRNVLDKQVLSTYVEESMIKVLKMGLLCTTKLPSLRPSMRDVVRKLEDADPCVSNSLDRTGKITV >A09g515800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46723730:46726839:1 gene:A09g515800.1_BraROA transcript:A09g515800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPIPIRTPSRNSSSTRPERSVTLVSHPNQASLWMRNFISRLSLDDCGKNFLDFLICSEIQLKSPNWLFGSRKPEESGSATKPGADDSEKGVTSSERVNDFCNLDWLSQPNDHEEYIFQSQRLVWTYGGTLLGDQDEIYRHYAQFCQNSAEVLCMNTVDVMDIEEEEEETEMEKALNEYAQIGSSLGIIQTLQILRRRSLLAIQMALRR >A07p041670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22468771:22471253:1 gene:A07p041670.1_BraROA transcript:A07p041670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHLSLSLFSCLFLVLAPTYVASSHVSDPELVVQEVNQHINASRRNLGVLSCGTGNPIDDCWRCDPKWEKNRQRLADCAIGFGKRAIGGRDGKIYVVTDPSDKDAVNPKPGTLRHAVIQEEPLWIIFARDMVIKLKEELIMNSFKTIDGRGANVHIAGGACITVQYVTNIIIHGISIHDCKKRGNAYVRDSPSHYGWRTASDGDAVSIFGGSHVWVDHCSLSTCADGLVDVIHGSTAITISNNYLTHHDKVMLLGHSDSYTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWGMYAIGGSAAPTINSQGNRFLAPNNKVFKEVTKYEDAPQSKWKNWNWRSEGDLFLNGAFFTPSGGRASSKYAKASSLSARPSSLVASVTGNAGALSCKKGSRC >A03p047650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22280868:22282113:-1 gene:A03p047650.1_BraROA transcript:A03p047650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCEAQRVYGNRWTEIQRKDKVVNNMFTRLCMKRAKHETMASEDKSNKNRMLFLDGSSTPANIKLLLPIEQGASSICPRLHILSPRLDKQKLTILFDNPNIGKSKEKSLFLGHFHAKGTVQKSSLSSARVQLINNSTKNIALARKMGHAVGDIYGVRYKVSRVSVVSISALYKEKEQPTS >A08g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13582276:13582694:1 gene:A08g507690.1_BraROA transcript:A08g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCKRQTHWLHRNLYSLRCSNGGVVDRRWSLGVKVLVCLGGWRSRRRVIEARPRLTFSPEWKAFAVVMCGLSGFRFSLVNRMLVYLVMCRMGATSEP >A05p005840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2255143:2257340:-1 gene:A05p005840.1_BraROA transcript:A05p005840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYESFDSSGTDDDLPPSHRVARGGRVAGNGRPSSLLPPSYPKMYDEVGADMEAQIHQIEKEAYISILRAFKAQADAITWEKESLITELRKELRVSNEEHRELLGRVNADDTIRRIREWRQSGGMQPTMRNAAQVVHDTLPTPSVSASVKKQKLNQPMPSQPFASHPQADLTHQFASSTAKRGSVPNVKGKKHKPGFPGSSSAKPVSYHPSEQPPRGQVMNRVPPGPTSSSEPTNGNDPESFVGRKVRTRWPEDNTFYEAVITKYSPVEGRHALVYDIGTPNETWEWVKLSEISAGDIEWIGEDPRVGNQYGVNGQGHGLNRTTGPNSVPQRGSGLAKTSIKKDFRTSQNGTGKRKHMDIRIRQTNVLIRDVSITFLSSQGEFYSVERVLGSHNPDPQEVERAKRMLEEQEQALVGAIAKLGDISDGEHGKCLV >A06p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6410450:6414381:1 gene:A06p014200.1_BraROA transcript:A06p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPKTDATCKGTLSGSVAVAIDKDKTSQNAIKWTMENLTSRGQTLALIHVAPKSQSSSDIEDGTMHMQQMDKQTKDLFVSFHCYCSRKEIHCLDVLLEDADKVKAIVEYVTVSAIENLVLGAPSRSSFMRRFKTDLPTSVSKAAPDFCNVYVISKGKISSLRSSSRPAPYKSTVLSDFENQETAASEKKHKPANTTPITRARRSVDSDGPRPGFVKLPQGNMKMIGDFSDSGSEFSFISASQQGSENSFISSGTPTSVDRCSFAYDLPDSARTSRMSTSSEQSIGSHRLGIKFTDLSFLNNSSTASEESGRTSCSYSSQSLDDVEAQMRRLRLELKQTMDMYSSACKEALTARQEATELKNLRSEEGRRMEELKMTEETAISMIENEKAKAKTAMEAAEAANRLAEAEAKRRLTAEMKALKESDSPTRYTMVRYRKYTVHEIEEGTDNFAESRKVGEGGYGPVYRGHLDHTSVAVKVLRPDAAQGRSQFQKEVEVLSCIRHPNMALLLGACPEYGILVYEYMAKGSLDDRLFRRGNTPPISWQLRFRIAAEIATGLLFLHQTKPEPIVHRDLKPGNVLLDHNYVSKISDVGLARLVPAVAENVTQYRVTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQLLTAKQPMGLAYYVEQAIEEGKLKDMLDPAVPDWPLEEAMSLAKLSLQCAELRRKDRPDLGKEVMPELNRLRELGAESLESVFYAGHGPFSHSSQVSYTSDGRSAPSISNPGSYISNP >A07p043860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23992486:23995576:1 gene:A07p043860.1_BraROA transcript:A07p043860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPSSSPPAPSSDSTPPPDNSSGGGSAPPPTDSAPPPSPPADSTPPPDNSTGGSAPPPADSAPPPTPPSDSSSPPPATPPPESNPPPDSPPPPPPDAPPPADHTPVDSGSPPPEPTNSPPPPPPPEESEPPPPPPNEEDSSPPQPPPEKSSPPPSEKSSPPPPEKSSPPPSEKSSPPPPEKSSPPPASSPKSAPKKKKKSPPPPPPGAPTKSPSNAPPPLNAPHALPPKSTSAGGPLKSPSTGVPSFPPPPPNGNDNGYQGKTMAGMAVAGFAIIAVVAVLFFVRRKKKRNVDAYSDSQYMPPPNFSIQSDGLLHGQNTTRGYSVPGGYNTQQQSYNTQQQSDNTRTSFGSQRGYPPDSAVMGSGQTHFTYEELMDITEGFAQRNILGEGGFGCVYKGKLHDGKLVAVKQLKVGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDVERLLIYEYVPNQTLEHHLHGKGRPVLEWAKRVRIAIGSAKGLAYLHEDCHPKIIHRDIKQERQSSSFFFNTSAVADFGLAKLNDSTQTHVSTRVMGTFGYLAPEYAQSGKLTDRSDVFSFGVVLLELVTGRKPVDQYQPLGEESLVEWARPLLHKAIETGDFSDLVDRRLQNHYVENEVFRMIETAAACIRHSGPKRPRMAQVVRALDSEGDMGDISNGSKVGQTSSYDSGQYNSDAMKFRKMAFGFDDSSDSGDYSVRSSSRGSYGASTEFTRNESENRKFNNRQY >A02p021700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10206004:10208631:1 gene:A02p021700.1_BraROA transcript:A02p021700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVEIVLNSLPMSGGDGPDSYSKNSHLQRRSASLLRETIDKLIMEKIDARTLISCTNTFHIADLGCATGPNTFFLVEDIIKSVDTSLQSNSTKPDFLVFFNDLPSNDFNTLFTSLPPDRSYFAVGVPGSFYSRILPQSSVHIVLTVGATHWLSSVPKEVLDKSSKAWNKGKVHYSNASEEVVKAYEEQFGRDMERFLEARAKEIVSGGLLVVGMCGIPLGMPFSNLADSIMYNSMADVLIQMQSQGLISEEQVDTFNVPIYSASPEEVAVLVEKNGCFTVEFMELMDPTAWLKRPMDVEDVRQWIVCIKATMGSLFINHFGDHLLDDIFDRLTTKLVGLTEKVESSYREKVMLFFALKRK >A02p010210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4386086:4386517:-1 gene:A02p010210.1_BraROA transcript:A02p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGIKATILFDFWKTDSWLSYLLTLLACFVFAAFYQYLENRRLQFKSLSSTRHPPPPRTGVSAPLIPKSSTGSAAKAASVLLFGVNAAIGYLLMLAAMSFNGGVFIAIVVGLTVGYLVFRSDDDGGNAAAENPCACA >A10p039730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21989480:21992248:-1 gene:A10p039730.1_BraROA transcript:A10p039730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRHLKDLLEEDQEPFQLQSYISDRRCQINPPATHLQVKKRRAISQNAGLPSRFCRNACFFSLRESPDPKKSPLFEPKSPNQRQNAMFVNIPARTASMLLEAAVRIQKHSSSEGSKTRTRNAGNAFGIFGSVLKKLTHRKKREISGGNDGDRVSSVKNMVSWESPLVRKIVTRKSKRETQNPASESQFSRRSSSSGVWSESVINEERSYDCDFETSISSRSGSDGLDEFASELMNGGDFSEDKRFCESPFHFVLQTMPSTSGFRTPNFSSPAASPRHDCQEMKKESYEVEEEEEEDKEQSSPVSVLDPPFEDDDEDIHMDDNNITSSFRSVQNMREVPEGIEALISDLVAEKLHKDSFMQGGEAQVAKSVCERLRSWRDVESNTIDMMVEHDFRIESLGTWRSKNDSEVAEVVLDIEFEIFEDLVEELSQDIGVIGYLYSGSFRHKTEKFIGPVKLSNLSGRVLTLMGTRVGSSSELGS >A05g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31259070:31264158:-1 gene:A05g510110.1_BraROA transcript:A05g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRETSNNRVSSRSQNIPPHYERRRDVTHDTTSHQTYKRPRDFLKDRLSGGYHREPPAYKRSRYDAPRDRGETPLSRENLYSKEQREASPRTERKQQAMGETQRLERSSSSRSVNETPARGVPLQEGSPQLLQQIPHEALEGAMEEVRDVMTKYTNCVDPTERAARQERFRLAEEEGEVEVAATNMLLAELASHELTPLEEPTTVSPRVPALQRLGPSIETAPPEMAEPILKRKPGRPPGPRRIQSSPKMLQGSCSKKRKTHQTKPPLARRKLSTARGSGGTGAVELWPDLCRVVSLPPVGLQAGSLVPWILWAIWIARNNLIFNNKTSTPEETITKAVVMAREWFLAQEKVTPRKKAIPLPLRLPEGTTVVAVDAAWRGDSNTAGFGWVMKHTGSKVQFETASRSVRSPLSAEGLALRAAIMKCKELGIQQVIFESDSTQLVAALKPQSAPPELYGIVADILHCDVSFKFCSFIWIPRSRNSEADVLAKHALFSENSVLYPLGI >A02g510480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27659275:27665959:1 gene:A02g510480.1_BraROA transcript:A02g510480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRGRSWTEKSEELDHQEDQDIPTEVHRPNQGRAVYRIDPRMDGKELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSFLARLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPATVLLLAAVQPAEGRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSFLTRLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPTTVLLLAAVQPAEGSL >A06p041410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22311176:22311453:-1 gene:A06p041410.1_BraROA transcript:A06p041410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFVRSSYVLAILFAILVAVSGNRLYPKPQLNGEQVGHSILQSALSLKGGRPKTFH >A03p038740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16148554:16153648:-1 gene:A03p038740.1_BraROA transcript:A03p038740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRGESSNPYGGGLGTGGKFRKPAARRSQKTPYERPPTSARGSGVGGGREDRGGGGGWLSKLVDPAQRLITYSAHKLFASVFRKRLVSGETPLQSPEQQQQQVPERDVIQETRVAHMESTPALSMKNDVVRIPEANASGNPGKGKDGFTDLEKFLKEKTFTRSEVDRLTALLRSKAGVSSTVNGDERNDASSLRHPPPSHERDSARPDNGSMNALVSTPLGSSRALDEGIASPAQLAKAYMGSRPSEVTPSMLGLRGQAVREDSVFLNRTPFPSKSPTMSLVPKLSGQRPPENGFLTPRTRGRSAVYSMARTPYSRPQSAAKIGSPFQASPSTWEESLSSGSRHGFQSGLKRRSSVLDNDIGSVGPVRRIRQKSNLSSRSLALPASEGPLSVRANGGQNITHTSKDSAEDIPGSSFNVVPSRSKDTAMKIFQQLEKMDSPKEKSPSKLSPSMLRGPALKSLQNVESLKFLDNIPEKKEKSPVSSHQKQEKSGGSGSREFLALSEKTGSAAVDTNKAGSSKDQETRVKGAYLPLTSSLEEHPPKKRAFRMIADEDMEDDHAAPTPFEEAEKHNVLQVEKGVGISVSKGEKLFTSSEAMASTSYTPNGDASQGTTNGSLETGRSKFSALPVESVKQSVMPSEPTSKVIQGNEKSSISPAKLTSGGEYLSREEPKKDAAVFPNIFSSPPTTDFLNQNNGTSAAIKLEKPSSSAFGVSEAFGKPTESVKPVSNNASGAESTTSAGSTLNGSIFSGGANAISQPQINGSLGSNPSFSSSISNIPSNNSVSEVSPSTVQSSAATLTSPSVFGTTPTASSVFGKLPADTSNDSSSGNNPQSTPAPPLSSASPFKFGESAAAPLSASTVSASSGLVSKETEVKNPTFGNINSFKFGGVASADTSTENTFAAKTSDIKSTPGFMFGSSPAVTDPSKISFGGTSSAAGGNTLNPSSAPGSSASLVFGVSSSSTPTPGAESSKFSGTFAATTGSNIFGIPSPAFTSSGSSVSGGVAASTTSSVFGFNAVSSAAAASSQSQASNLFGAANGQTGNTGSGTTTQTQSAPFMFGSSASAPSFGLSGNNTSTNSSPFGLSKPEPAVFASGSTPQLSSTNASASSSGTTSSSLFGTSWQAPKPAPPFTSSFTPSSSPTFSFGGSSAAAAAPSASAPITFGFNSTAPAIPQQSVFGTSTPSATPPSPFGNSTPSFAFGASAPAPSNTNGFNNNQQMSMEDSMAEDTDQANKTSMVQQPMFGTQPVSMPQPSFTFGGGAPPATPPTMANPFQFGGQPIASTPPQNPSPFQASQSLEFQGGGSFSLGSTGGGDKSGRRIFKAKKTNRKK >A04p013640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4531369:4533516:-1 gene:A04p013640.1_BraROA transcript:A04p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALEEPHCTISVGSPTLVELLTDCDSFRKEEDSSSVARSDDPAHSIIDVEALHVKPVRFVLAFSNLEYNVTFRRWFDFSRPRSSDTVKTLLDDVSGEACDGNILAVLGASGAGKSTLIDALAGRVAKESLKGSVTLNGENVLESQLLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSFPKSKKMERVQALIDQLGLRNAADTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSSNAFMVVQVLKRIAQSGSIVVMSIHQPSTRIIDLLDRLIILSRGKNVFSGSPASLPNFFSNLGHTIPEKENITEFALDVVRKLEGSTEGTRELVEFNEKWQQSNLGLSQSARPTPHGLSLKEAIIESVSRGKLVSGSSSGATYLTSMETVSSYTNPSLFETFILAKRYMRNWIRMPELLGTRIAIVMVTAFLLATVYWKLDNTPRGAQERLTFFAFVVPTTFYLCLDNVPVFIQERYIFLRETTRNAYRTSSYVISHSLVSLPQLIAPSLVFSTITFWTVGLRGGLEGFVFYCLIIYASFWSGSSVVTFISGLVPHIMLSYMVTIAYVAYCLLLSGFYVVRDRIPSYWIWFHYISPIKYPYEAVLINEFDDPSRCFVKGVQVFEGTLLGGVSDMKKVELLETLSRSLSTRITQSTCLRTGSDLLSQQGITQLSKWDCLWITLASGLFFRILFYFALLFGSKNKRT >A04p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22703228:22704677:1 gene:A04p040260.1_BraROA transcript:A04p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELGSWSFWRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDNAWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS >A02g502060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6474413:6476605:-1 gene:A02g502060.1_BraROA transcript:A02g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQCKN >A10g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2537368:2538856:-1 gene:A10g500860.1_BraROA transcript:A10g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKILGQPICHVIPHNASIQDVTSIVRLHGYADLVPSHVSGSARGRVRHGYGRRGLKWGRFDRKIHTFIMAKQTAIFTGEASSPLLFRHVSPGSGDSTMQFRLLHHWEARKNVKGGPGIVFGIEMLMIDEEMLLATLSWLMVSLSMSVRF >A01g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18487878:18488776:1 gene:A01g506360.1_BraROA transcript:A01g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAESCHTDSPVPREDSGTIRLYCEKERLSGDQFEQLKAFIDIGDVLGACGSKKRTEKGRARLLEFM >A02p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23588568:23593987:1 gene:A02p039480.1_BraROA transcript:A02p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFKLFDTCDLDTQGLIAALNATTDAFYVSGAGLGLRIETAGCGGLTRKEPPERETTAGKRKASDEEEEEEEERLNKKMFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLACVNKAQSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSEAINHSFTYESPLPVGRLVVHLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFADSSREDLIKDAILAVRETLQGETLKSSLCTVSVLGVGEPFHFLDQETIQNVIDTFEKVADEEEGEGEAEPAAPAEEGGDGTGDQDVAPMET >A01g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2426206:2426675:-1 gene:A01g500610.1_BraROA transcript:A01g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTIEYRTNPFPYKLTFYRTTNITLCDDFPSYLPNKYLKIFSEIHSGIFENDVLIVLQI >A06p049310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26066789:26068049:1 gene:A06p049310.1_BraROA transcript:A06p049310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPVSANAGNPPPKCNKPTVSSLPLPDDISMICLAYVPRCDHPSLSEVCKTFNQIIASSELNRLRSLHKSTENVLYLGLRFSRDQKPIWYTLNQKPSKEESNSLNHKLVPYPSFPCLPCWGSNVIVIGQEMYVFGGCIDDELTTDAFAIDCITRTCRSLPSMRVARGCAAFGVVDEKIYVLGGCNKKKSSKDWLEVFDLKNQTWESFPGVCNKNLHEITLNSFVMNEKIYIMDRIRSFVYDPKKNKWASESSLNSGWVVGSCVIDNMLYTFGIEKGISVYDPKARTWRKLKGVDEDLPDMRGIQGGSRMVNHGGKLVILFKRNESGETKIWCTEIALERRGQGEHWGKVLWTNIVATFENSPTIVQCLDVII >A08p022090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14687308:14690134:-1 gene:A08p022090.1_BraROA transcript:A08p022090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYLELNSLLPQTSRELPLPDQLDEAANYIKELQVSVEKNRERKRKLVTTAALEKLNSTGSSSMSSSVEVSVPKRLPRIEIQETGPILHISLVTSLEHKFLFHEIIRILTEELGAGLTHAGYSIVDDAVFHIFDCKVEDCDFGATSRISENLKKLVNNDETPFTYEQNPEKGPEGWGKINPHWKTCNTGKFQSPIDLTNARVSIIRDEAWRRQYKPAPAVIVNRGHDVMVSWKGDAGKITIRRTEYKLVQCHWHSPSEHTVNGTRYDMELHMVHTSAGGKTAVIGVLYKLGKPNEFLTRLLDGIKTVGKEERDLGIVEPRTIRFQTKKFYRYIGSLTVPPCTEGVIWTVVKRVNTISMEQIAALRSAVDDGYETNSRPVQERNGRSVWFYDPNV >A03g503430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:11335356:11336492:1 gene:A03g503430.1_BraROA transcript:A03g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDVNDGFVWAYSQHGGYTVKTGYDLIVQGKMETAGQISPQELTRIELKKRVWKIPTLPKIRMFLWRVISGAVAVADRLNSRGLGVDPTCRLCNNGVETINHVLFQCTTASQIWADVDVALPARVLQHSLEENVAYVFDAMQDLSKTETVRRSIPWVLWLIWKNRNSVIYAHVQESLERLLRVMFEEVEQWFELNKVQPTRTDMNTRLEGDDIWRPPEDGGIKCNIHANWRNAHLHSGVAWVARDQRGNVLYHARDAITHAPNRFVAELRCVLWSLSSLRDLGVSRVTVAVDYHEVIEALKKPQQWPRYRILLEKIRNLKEEFDSLDFEEEKILTNRIARDIAKSVLRDGRFQSYLALGGPSWLHDRIAGETIRSDV >A09p078310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57972101:57975237:-1 gene:A09p078310.1_BraROA transcript:A09p078310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBP45B [Source:Projected from Arabidopsis thaliana (AT1G11650) UniProtKB/TrEMBL;Acc:A0A178WLD6] MMQHPPPGGLLPHHVPPPSAQQHYGYQQPPPYAMPGAAPPQMWNPQAAPPSQPMTADEIRTLWIGDLQFWMDENFLYGCFAHTGEVLSVKVIRNKQTSQVEGYGFIEFVSHAAAERALQTYNNTPIPGLPDHLFRLNWASLGSGDKRDDSPDYTIFVGDLAADVTDYVLLETFRASYPSVKGAKVVIDRATGRTKGYGFVRFADESEQIRAMSEMNGVPCSSRPMRIGPAASKKGVSGQRDSYQSAASGVPTDNDPNNTTVFVGGLDPTITDDHLKNVFSQYGEIVHVKIPAGKRCGFVQFSEKSCAEEAIRNLNGMQLGGTTVRLSWGRSPSSKQAADPSQYYYGGYGQGQEHYGYSMPQDPNAYYGGYPGGYQQPPQVGQQPPQQQQVGFSY >A02g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1499965:1503771:-1 gene:A02g500520.1_BraROA transcript:A02g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDSLSSNPLLQNFNFPPFDVVDARHVRPGIRALLHQLEAELEQLEKTVEPSWPKLVEPLEKIVDRLSVVWGIINHLKAVKDTPELRAAIEEVQPEKVKFQLRLGQSKPIYNAFKSIRESSDWNTLSEAPQIKEAVLSGIALEDDKREEFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEIEGLPPSALGLFAQAAVSKGNENATADAGPWLITLDAPSYLPVMQHAKNRALREEVYRAYLSRASSGDLDNTAIIDQILKLRLEKAKLLGYSNYAEVSMATKMATVEKADELLEKLRSASWAPAVQDIEDLKSFAKNQGAAEADSLTHWDVMDGLFRLAKTLFGIDVVPADGVAPVWNSDVRFYCVKDSSGTPTAYFYFDPYSRPSEKRGGAWMDEVFSRSRVMAQKGSSVRLPVAQMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKEDEGLVAGIRNIEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGETLPEDVYKKLLAARTFRAGSLSLRQLKFATVDLELHTKYVPGGTESIYDVDQRVSIKTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVKETGQRFRNTILALGGGKAPLQVFVAFRGREPSPEPLLRHNGLLAASA >A09p013770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7131241:7137367:-1 gene:A09p013770.1_BraROA transcript:A09p013770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEACKRAVLNAKPSAIKSSFALILYKVLPGQSVKMQARPAAVLVASLHAAFVCIKSSEIILPKSLNLTLLTDPSAISVASHDFGNITTVIPGGVICPSSPVEISRLLTYASNGERKFQVAARGQGHSLMGQAGVPDGVIINMTCLAGVVVSDDMKYADVAGGTLWVDVLKNTAEKGVSPVSWTDYLHVSVGGTLSNAGIGGEVFRNGPQISNVLELDVITGKGEMLACSPQLNSELFYGVLGGLGQFGIITRARIVLNHAPKRAKWFRMLYSDFAAFTKDQERLISMANDTGVDYLEGQLFMSNGVVDTSFFPQSDQSKIADLVKSHGIIYVLEVAKFYDDPTLPIIGQVVDMLNKTLSYLPGFISMHDVAYFDFLNRVKAEEDKLRSLGLWEVPHPWLNLYVPKSQILNFHNGVVKDILLKQNSTSGLTLLYPSNRNKWDNRMSAMVTDEEDVVYIIGILQSATPQNVQEVESLNDSIIRVCKDSGIKIKQYLMHYTRKEDWVEHFGTKWSDFSKRKDLFDPKKLLSPGQDIF >A03p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3295217:3297843:1 gene:A03p008170.1_BraROA transcript:A03p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTKTSLSETPPGKSSPATPKVARLSRAVNKSETASPSSRLSLDRSSPSSKSSTVERRSPKVPTPPEKTQARGAAKGSSAEPTQTRLTLTQIKEDLKKANEKISSLEKEKAKALDELKEAKKEAQEASLKLDDALKAQENIEVEKFQAVEEGIEAVQKKEEELKKELENVKSQHASVTQELQKVNEELAKANEVKSKALIQAGDSSKTAQLHAEKVEMLSSELTRLKALLDSSESEMVGKLEEEIDVLKRDLEKARTFESEVKEQEMIIKKLNVDLEAANSSSEEWKSKAKEVESQLEEANNLERSASLSLESVMKQLEGSNDKLHETESELINLKEKIITLETTVARQKEDLEESEEELSKTEKEVEKLKKDLETVVEEKNRALDKEQDASSNVQRLLEQKKKLLADLETSKEEEEKSKKAMETLASALHEVSSEGRELKEKLLSQGGDQDYEAQIEDLKVVIKATSEKYETMLDESRHEIDVLVSAVEQTKKHFESSKTEWEMKEAKLVDHVRKMEEEVASMSRLDGLLKRTEEEADAAWKKEAETKESLKEVEDEVVYLQECLGEAKAESMKLKESLLEKETEFESVVHENEELRVKEGVALRKIEELSKLLEEARLSKEEVEVSESEKEYDLLPKVVEFSSENGHRSVEEEAPQEHIISNGNGVEEKDMNGKHEEAKTEMKEKKEESPDDDDDKDNSVEVIFKMWESCQVEKKEAVPDKKTELESPEEEKDSRKIDESDETSTEKVDETAEDEIVMEKKIKKKKTLL >A07p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24517755:24519669:-1 gene:A07p045170.1_BraROA transcript:A07p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine-phosphatase PTP1 [Source:Projected from Arabidopsis thaliana (AT1G71860) UniProtKB/Swiss-Prot;Acc:O82656] MATSNSTSAANLATVFDFSSADSPPPKLSLSPDQLSYCHQALKILREKISDPDSIAREFANLQANRMLASDMLRSSTVAINSVNYEKNRYTDVVPFDNNRVILNPCKDSRSSADGYVNASLIKTTSSSASESVSEFIATQGPLPHTIEDFWEIVIQQHCPVIVMLTRLVDNYKTVKCGDYFPAEDKPREFGNISVKTKCVKTTDAALLLRNLEVNHKETEDQQPMSVLHIQYAEWPDHGVPKDTVAARGILKRLYQVPPSLGPIIVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLAKTVTMFRRQRIGMVQTMDQYFFCYNAIVDELGDLTAGTNAGTSS >A06p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:846501:847057:1 gene:A06p001890.1_BraROA transcript:A06p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIRDLNRLVITVMCFVCLGFSVGMCSESGALIKTYVSSSLYSDDLLSSTKLQADIDLEREIAPRWDIRALPTIVVFKGGYLVATRAGALEIKTLREIIEKYM >A02p044640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28127767:28129671:-1 gene:A02p044640.1_BraROA transcript:A02p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNPFDLLGGDAEDPSQIVVSLPKKVEKEAPAQPAKGAKLQTKQPPTSQAVRESRNAPSGVRGGGGGAGRGPPRGSFNPGGDRPHDLKDGERTSGFRRYRESGGRGGQRGGPANGRVFERRSGTGRGNDLKREGGGRGNWGTPEDDIPPPTEEATTEVEKSPVAEKEGGEDNTTNAKNEAPADEEKEKEPEDKEMTLEEYEKILEEKKKALQATKVEERKVDTKVFESMQQLSSKKTNNEEIFIKLGSDKDKRKDAAEKEEKAKKSLSINEFLKPADGERYNPRGGYRGRGGRGQRDGGGRNGGRGQRDEKVNGGAPAPAIGDSAQFPSLGK >A04g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9259922:9261744:-1 gene:A04g504460.1_BraROA transcript:A04g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSEKAASRAKPAYVPTHLRNMQSEPVATLPQNDRPGYGGQPSRWAPGGGVGGGGGYRNDVGRTRYVPPPVNTFADIDFGEALNLNIRRCKYVRTTPQLNIVDFIILLMNERDVCFSSNGAELDDYTQFGVDLQPDQAGTFPDFGSYGVNLQNEPQQVFNIGDLTSYGGVLTQEPGEFHNFGGPNDCGTVQEDEVVVNSSSSGGAVKQEQEHVDEECFRKRGRTGSCVRPGGTKACRERLRREKLNEKFMDLSSVLEPGRTPKTDKPAILDDAIRVLNQLRDEAHELEETNQKLLEEIKSLKAEKNELREEKQALKAEKEKTEQQLKSMMVPSTGFMPQIPAPFNQNKMAVYPSYGYMPMWHYMPQSVRDTSRDQELRPPAA >A08p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13021173:13025262:-1 gene:A08p019030.1_BraROA transcript:A08p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEITIFHDSSKVTERQQPHELTHQPISSSLLILVFSISHETSLLYPLRFRTFLTKVNQPSGSTPAMPRRLNDGDPSRFTAAALLFIGLISCLVVYAVFSTLLRPQGHTLDSAVPFTDSRDHFRVDGGGGCCRGDNLELWGSAVKWGTDFKFNSSDECCKACKVMCSGDDGPCLCDSWVFCGDKEACGSKFGECWLKKQKDVLVPDRQEGGGQKVMWTSGLIFGQGQLHPECAPHSVYYILSLLTMRHCAGCQFHRAENRGSYWDSQGNHINNAPYGPPYAMIQGILQPEGNIFTPIPTEHCPTVSRGSVGWVGSGPEFFISLANHHEWKQSYTVFGSVLPENMEVAERIAGLPTRTDVWNSFNVSVLEKPVSLTVRRMKSGQEQEESVPPPCWTDEETAALVDAYKDKWFALRRVNLRAADWDDVAASLPTFGGPAKTAIQCRHKIEKLRKRYRGEKQRCLTKPGKFSSSWDLFPVLDAMELASVTSTAVEPNDQDVDRENERFRSKRSLVSTPRDGFGVKSQMRMYGGFKHEFDSDHDSGGGFGSKRRYNGHPKFNADSDDEILLAPKATRLRGSHGKLSSGEFSFLLTGTYQIRMLFVVRLMEALLRNDTALTSLNEEYGVMIAIALFDMHECGEKRSEVKRFKCVSSDKIDDDISKPSFKDEPRSPFVFFLYGGGPSVSDYEKFHAEKSELQIKYNDLLAK >A01g511350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32168950:32169835:1 gene:A01g511350.1_BraROA transcript:A01g511350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPLSHTTTASWLRLLLQIKRTTKWCGALNQQCKEVKLVNKEGKSWTVRFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A04p037020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21174291:21179347:-1 gene:A04p037020.1_BraROA transcript:A04p037020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBP5 [Source:Projected from Arabidopsis thaliana (AT2G40930) UniProtKB/TrEMBL;Acc:A0A178VRF5] MAEVSMGSSGSSSTDLSPEEERVFIKEIAIAAESNSKEGDTFYLIAQRWWHEWIEYVNQDQPCNTNDGSSLSEHCDSAGSTTLKKPSMIDNSDLIYDSALEDPSSAGEIIDTLQEGRDYVLLPQEVWNQLHSWYGGGPTLARRVISSGLSQTELAVEVYPLRLQLLLMPKNDQSAIRISKKETIRELHRRACEIFDLNSDHVRIWDYYGHQKYSLMNDLDKTLDDANLQMDQDILVEVLDMNGAASNAHIQPLHENGLVDEDSTSILIDPSKSSLTVAGGFSSNRNAFRSGGVEGSQSFDSTYTTGVTTRGSTAGLTGLLNLGNTCFMNSAIQCLVHTPEFASYFQDDYHQEINWQNPLGMVGELALAFGDLLRKLWAPGRTPIAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSRDADGRPDEEVADEFWANHIARNDSIIVDVCQGQYKSTLVCPICNKVSVTFDPFMYLSLPLQFNTTRAITVTVFSCDKTALPSTITVNISKQGRCRDLMQALTNACSLKQSEELKLAEIRNNLVHRLFEDPLIPLSSIKDDDHLAAYKLSKSSENTVLLKLVLRRRDQKAGERENPVQLKPYGTPLLSLASRENALTKGKIQCIVENLLLPFRREESIVSEKGKSDSSIPERRSARLNNSEEDDKSGGSRKSKKSSTSEVIASKLSLQLDENNKTVKLPDNEAEAIKLPPSAAVTIYLDWTAELAGMYDITCLEGLPEVLKYGPATKKARSEPLSLYACLEAFLREEPLPQLYELYALTNHYGGMGSGHYTAYIKTFVLLTC >A05g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18946351:18952028:-1 gene:A05g506750.1_BraROA transcript:A05g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPAADVSAANAQANAAMLEEFKKLFATYEKRSEEQDKLDFATPLDRSGIARERSPIEKGNPESLPPPAKDSEDNEAERIDLDPSNVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEIAYWNEQEELAERQTELTRRAHNYAINSDQGQTTGNTWTRNQGKDELKSSADANASDVKTQHESKADATTQPEQPENSQPSPSRYRSLRSDRASDPLGRYVATELSQARSLRSDRALAELGRYVATERSSSSIATDLATRSLRSEGWSLRSDRALVPLGRYVATGLELKFGLCVAIELFRTSTDINPCILVKPSNAISRRP >A08p031150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19056476:19057477:1 gene:A08p031150.1_BraROA transcript:A08p031150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSSSDSMCGLISNSTDEQNPRGYGHNFHSMLDGYEEDGTTVEEYSGNHHMGQSEKKRRLRVDQVKALEKNFELENKIEPERKTQLAQELGLEPRQVAVWFQNRRARWKTKQLEKDYGLLKSQYDSLRHNFDSLRRDNDSLVLKISELKAKINGEDDNNDNKVMAESDISAVKEENVPSSPPEFIEHSTGFDYRRSFTDLCDLLPNSTAPDGGSSDSCDSSAETSSENGRLTPPPTVTGGNFLQFVKTEQMEDHDDFLSGEEACCFFSDEQPPSLHWYSASDH >A01p053430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30058497:30064873:1 gene:A01p053430.1_BraROA transcript:A01p053430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKQKPVSILLLTTFCFLSLYFVKNQAFSKVSYPSTLGLNQEKLTHLHFYFHDMYGHNPTSVKVAKAAQTNTSKTYFGSMFVMDCPLTTLPNISSNIIGYAQGMTASASQTELGLLMILHFVFTEREYNGSTISILGRNLVFENVREMPVVGGSGLFRFARGYAEGKTYSLDVKSGNATLEYNSKGNPQWGGGCMVCRWGNNHRVFGPTTTTRNVYDVNAHQVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQEVVNDLLNPAGHNLRIREDKQNLVDLAGSESSKVETSGLRRKEGSYINKSLLTLETLRDVKASHVPYRDSKLTRILHSSLSGHGRVSITDEKSLIKKYQHEIRRLREELEQLKQDIVPVPPLKDMGAHDTILLNQKLAYLPYKRKDLMDDEDIDIYVPVEGSAEIRDVPCREEKKTRKHGLLKKRDNSSSASEQSSVEQLSESREDREAPEDMETLETSNKIIDELDLMRVQKKILSEGVALQSSSLKRLSDEVAKSPQNEETKEEINALHDDIKAKNDQIATLEKQILDYVMTSHEALDKSDILQAVAELRDQLNEESFELEVETADSRIIHEQLNQKTSDCQVLQEEVANLKQHLSNSLELAQETKIEELKLKTKELNESKEQLEHRNRKLAEESSYAKSLASAAAVELKALSEEVAKLMNHNRKLSAELSTHSTQSPNLIIKQEQRKKIEPSKETRTRQLVNDGAEERTKNEQRP >A01p023840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11805954:11807698:-1 gene:A01p023840.1_BraROA transcript:A01p023840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVEQEEETSSAVRGSISFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSALESESSDLRELLAEKDNQIAELQSHVESLDSSLSDALQKLSLAEDGKENLVRENSSLSSTVKRLQRDVSKLEGFRKTLMMSLQDDDQNAGTTQIIAKPTPNDEDSPFQPSRHSSIQSQASEAVEPAPVDNENEAAPKPSLSNSLPLVSQTATPRLTPPGSPPALSASSTPKTTSRPISPRRHSVSFSTTRGMFDDNRSSISISEPGSHTGRTRVDGKEFFRQVRSRLSYEQFGAFLGNVKDLNAHKQTKDETLRKAEEIFGTDNRDLYVIFEGLITRNAH >A06p032680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17509994:17525366:1 gene:A06p032680.1_BraROA transcript:A06p032680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPT1 [Source:Projected from Arabidopsis thaliana (AT3G45780) UniProtKB/TrEMBL;Acc:A0A178VFT4] MEPTEKPSIKPSSRTLPRDTRGSLEVFNPSTFPTRPDNPVFRPEPPTWQNWSDPRDSPQPQLQPLSEPAPSIPVRSEEIAVTTSWMALKDPSPEKISKKTITTEKPQVAAVAAEQRAAEWGLVLKTDTKTGKPQGVSVRNSGGAENDPNGKKTSQRNSSNSCRSSGEMSDGDVAGGRGGIPRVSEDLKDALSTFQQTFVVSDATKPDYPIMYASAGFFNMTGTDADELAKIRESLAAGNNYCGRLLNYKKDGTSFWNLLTIAPIKDETRQKDMATNSVTELVEAVKRPRALSESTHSHPFKRKSESDELPAKPGRRMSENVVPSGRRNSGGGRRNSMQRISENPEKKPAKSSRLSFMGMKKKGQSQDESLEDGFIEYGEEDDEISDRDERPESVDDKVRQKEMRKGMDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPTTVKKIRAAIDNQTEVTVQLINYTKSGKKFWNIFHLQPMRDQKGEVQYFIGVQLDGSKHVEPVRNVIEEVAVKEGEELVKKTAVNIDEAVRELPDANMTPEDLWANHSKIVHSKPHRKDSSSWKAIQKVLESGEQIGLKHFRPVKPLGSGDTGSVHLVELNGTDQLFAMKAMDKTVMLNRNKVHRARAEREILDLLDHPFLPALYASFQTKTHICLITDYYPGGELFMLLDRQPRKVLKEDAVKFYAAQVVVALEYLHCQGIIYRDLKPENVLIQGNGDISLTDFDLSCLTSCRPQLLIPSIDEKKKKKQQKSQQSPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILMYEMLYGYTPFRGKTRQKTFANVLQKDLKFPASIPASLQVKQLIFRLLQRDPKKRLGCLEGANEVKNHSFFKGINWALIRCTTPPELETPIFPGEAENGENVVDPELEDLQTSVF >A01g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:339706:340240:1 gene:A01g500110.1_BraROA transcript:A01g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPVGQRQDSDNLLIASQSISTEGNFSSTKLPISSNPESEKSKNVVNRGDHHPLSFSSSGKSLRALLQQRDEEA >A02p057410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34526836:34530798:-1 gene:A02p057410.1_BraROA transcript:A02p057410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVMDQGGVSTPSHFREDIRLTSERQFGFLQTDTMSENQGGRLPKSSWTPESYQLKPQSSLSGVHSSLSPNAKTTTSDSQWESSLFSSSLSDIFTRKLRLPGSDILSPMSVNTAVTHREEEPSETLEEIEAQTIGNLLPDEDDLFAEVMGEVGLKSRANGDDLDDFDLFSSVGGMELDGDVFSSVGHRNGQRGSNNIEHRRGEIPSRTILAGNISSNVEDYELKVLFEQFGDIQAFQTAFKSRGFIMVSFYDIRAAQNAARALHNKLLRGTKLDIRYSIPTENPSEKDASKGALLINNLDSSISNEELNRMVKSYGEIKEIRRTMHDNPQIYIEFFDIRAADAALGGLNGLEVAGKQLKLAPACPEATRYMQDAEGCQPKLSFTNTSSVNMGRHFPGLISSTSIDGGSMRVSHSSVGSPVNSFIERHRSLSIPIGFQPSANVVSASKPVGVQEHGHSFDNTNMGIQSMPNLHPHSFSEYVDNFANGGSPYKSSAANEGFMLHNVRGVDGFNGGGVGSPMNQSSRRSNPNLWSNSNTQQHNPSSGMMWPNSPSHINSIPTQRPPVTVFSRAPPIMVNMASSPVHHHIGSAPVLNSPFWDRRQAYVAESLESPGFHIGSHGSMGFPGSSPSRPMEIGSHKSFSHVVGNRMDVNSPNAVLRSPQQLSHLFPGRSPMGSMPGSYDSPNERYRNLSHRRSESSSSNADKKLYELDVDRILRGDDSRTTLMNKCNVGYAFINLTEPEKIVPFYKAFNGKKWEKFNSEKVATLTYARIQGKVALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGKPRSSNTDNYNSFSIASASENREEPPNGTEN >A04g504990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10906814:10907824:-1 gene:A04g504990.1_BraROA transcript:A04g504990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSVKFSLKLLVDEKRNKVVLAEAVHDFVDVLISLLSLPMGKIARLLESHKDLQTVLACYQNLNRSVADMGIEHFETEACKSMLLSPKSSYEIHCRKLKLNMGDTDATKFFICSSYLSDDSTCDNVYSNFNTSTCRCGGTMSTRMFTSDEDQIGEEIGNSVDGVFVNCRSSFIVTDDLKVSVNSIGVVMNVLIDLGYTDFSDLQETLLDIGNDPKPISSPNLMWRPKQQNDTAAITTSSSSVSTCTQDKVDQATNQSIALASVKGKASLATAHTIPTANRFQVLNDELLHTS >A08p043560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24227444:24230044:-1 gene:A08p043560.1_BraROA transcript:A08p043560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLMDEGNTLMDASSDVEFACGDPKVEPRVGDEFQAEIPPMMSASKRAAFLSTPLPLDDSSYPFLVGQPVQVMWIDKHHQKGQLNGDDDSIDMNQSLKSLRTKRSTRSSAKNDKKQRMNLEAVPETPSSSWEDHEVASFVLGLYTFGKNFTQVKKFMESKGRGEIVLYYYGKFYKSASYHRWTDSRTKRRRKCVYGRKLYSGWRQHQLLSRLIPSISDESQKQTLVNVSKSFAEGNITLEKYISLVKDLVGLKLLVEAVAIGKGKEDLTVITSGPPVKTKPWFTVSSKTSFSVPGLDAYTSLTSADIINQLTGSSRRLSKARCSDIFWEAVWPRLLARGWRSEQPKERSYFASKDNIVFIVPGVKEFSRGDLVKGDDYFDSVSDILTKVAMEPELLEFETGGEIKEGDANAENSSGQSDEESSPSDKQKHRYLKSPCSNRGSLQMNFTVVDTSLVAGGKLCDLRNLNTEPLVCSVPKTRLGDELDCQNVEMPPSDGRKKDYLEEESSIKEEEEETLERVKDPSKRLIKHRSNQRAEANDGSVSSAPSLKRRRLSACVRSEKSLSRDKHSPGDESTVCSESEQVSSCAVQHQNGSSEEMNEDKERYGRDYMNLKSDQSKNTGSGPSSAVVEIEEMSEETRTSPHELIFSEQEPNGWCSLSDSNTKRATIVPKQEKAVELPSLPGSNGSPSNDLGTTQVLGSLEQQQQHEITDAPRRQSTRKRPLTTRALEALESSYLTTKEMKSTIKPRKRAKKNRSAKACHSAQPLPHNGSAGLEKRGEDESKAIDQIEDSKPSLPLNGATTATMALDQRQDSKTVPPERPRLPPIVLKLSLKRRRGASETQV >A10p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15429762:15433092:-1 gene:A10p023660.1_BraROA transcript:A10p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQIQNQMLPLLYIEEEKKRMVNIFSVNPKYIHPVNWREYYSMQTQKARNGSPDVPKKLSPRAARPLKLAALETDSSSSPISANNRIPKDTSPKVSDRKPPPSPFSEKKRPSRTTELESLVSQLQYDLKKAKQQVTVSETSKKQAKQEAEEFRKQLQEVSSKLQVTKNQVLEEDTDKTGAFNHRSVSQGWDLEFGATSTDERGGLDVVVQEIRQLKLQIEMVASSEADHVKQAELRNSDIHLLRGNLMDTLFLVENFSNQLKDCEVTDAETKALATETLRQMENAKKAVEELKSDGTKAVDSYKKMAVELEQSKSRMVWLGGLVTKLLANPGVLENHETLLKDYASLKLGESNEMNEEISSLRCEVERLRAALEASGKKDQEGNVKASSRLRIQAELRFELKTAKSKIDKLEARLVDKETELKFISEENDTLCLLLKKNQKETDAEAELKQQREVIKNLKADLMDKETQLQIVSDQNETLKSDIHKRETDIQDVLMKLGFAMREAEKSSQRAVRITEELDATRASNSAMETELDKLKARLVAKETELQFTSDENDNLYLLLKNQKETDAEAEAELKRQREVIEKLKADLMDKETQLQIVLDKNETLKSEMGTELRELKVQSNQLREDAEKANAMLSAGNNNNQRNSPYSEDIDDEVQRRKHGISLRRLVFGGRSRRNGWRLTE >A10p011010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6643450:6647446:-1 gene:A10p011010.1_BraROA transcript:A10p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDCPDIPEETEMQPNPDMMFAAGEEPVGVRVLSYQSSSALKRIFNALDEGELDIIRRSSFGKLIEIADKPVFSGRFARYMLSRQLKTKKKHEAWFRFAGKPVRFSLLEFAIVTGLPCGQFPPKSKMKLKETITEQRYWPSLFGKVDTVTVSSVIKMLYRKTVKDTEIRIKYACLALLESVLLPTSLKMKISRDHVEAIKDLDAFFAYPWGRVAFDMLMGSIKERDEIALSQNTIAIKGFVLALQLVMVEAVPALTNVVQDTCSSSESDSEDVDGHGRDIFCKKQTLNPAHARNVDKRIDVLVNSVLVEDPAGPINAGNLVYSDEEHDARVESMLVRISRSHCFNNSDFPSGLKKSDVDRMREVVKSTTKPKRAKKFQSNAQDSETSYIVQVVLEKIKSEVASMERNIKVATSGVEAIEQKVGVYVETLLEKFKEEMLTFVTEKVSTLWKEHIGSLSGAGTIPTSPTKEVSVPATHSSPDADVNAMTIQNVLRDISQYSTPPRSNRMSEAGNLTPSNKAHAGSGYVCVTPVLQSCAQSANSENRSRQNSFHQRLEALIPVEVPILPTNQVHHQEPIADINVGDNNEEGQSSWRSKRQKTVPSGLVCDYQCDPHLLARLRESQRCIFVIQDISEQTRKYAKLVTKLQGKFVFNILGLAVSAKELLLIVDRPRTYSAKVFDILIRVLRSVMTPLLPPQGSRSAAFLDTKFSKNKEGYLFPKGLTGIFPSKEALMLHPTRYYFPLNVANKHWVGICFDAGCGSIIILDCNLALHKDAALEKIIKPVVQMLSYLARYACQPLGAEPVIQCYDVARPKSVAQSKVHVDSGLMALLMMAYHALDACKTITRELLEEEGKSEY >A06p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6177146:6178291:-1 gene:A06p013660.1_BraROA transcript:A06p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDSWMREYNEALKLAEDINGMISERNKSSLTGPDAQRRASAIRRKITIFGTRLESLHSLLSKIHGKPISEKEMNRRKDMIGNLRSQANQMASALNMSNFANRDSLLGSEIKADDSMSRVASMDNQGIVGFQRQVMREQDEGLEKLEETVMSTKHIALAVNEELGLQTRLIDDLDYLTDSRLRRVQKNLAVMNKNMRSGCSCMSMLLSMLGIVGLAVVIWLLVKYL >A09g502590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8646165:8646347:-1 gene:A09g502590.1_BraROA transcript:A09g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYAFNGKDVSPLEIVQIARAEAESWNVAQTIEQTQEDDTEETPLALLPQEDDRAPSTR >A01g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9466216:9467303:1 gene:A01g502880.1_BraROA transcript:A01g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLPDLSLIVAGKMGAKKGTSGNKVDSAGVGTTDAAPVTAEQAPTGGSSQGKNSKKKKKKNTEARKESNEVGQTDMDDSSKKGGKKRKAVDPPVEDVPRKKKMKKKDFSLPQPSSVCEEELQALVPDATLEAGTSDDDENETIALRRRRREGHTTEGGTRGASMGDRGISEVPRKLPASERQQVHLMGDSSAHITEGSETRVSGRPKETPEDGIKFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSALRRAREQIRESEEAKKKTEEALQVSSREKADVVAREKALKKAFDETRTSDVAEL >A09g517950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:54054400:54054741:-1 gene:A09g517950.1_BraROA transcript:A09g517950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDNPDFRRIRKLSFGKLFDLNCRDLLTTNLLNTNLLTKTTLTTTRLTTAHMFTTHLTKSPLTADHDSPNHNSSSHKSHDHNSPDHNSRDHNSPVKSVQNSLTDQVFADHDN >A07p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2929660:2931057:1 gene:A07p004270.1_BraROA transcript:A07p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCYPFPPPGYLRNETRDESLIGSIKGTKEEVKRDRKHNKDKKRKERDTEADNSSKKHRHEKRRRKDESANASKKFDDGRGLVTNNELECLEKSSLTVELEQQTSSQNSCDSRPNHIQSPLLPDGRQYGSGEFVCLLVVGSVLLHVMMMTLFLQIIGFEETSLRVLLHDKEHGHQDAEVMLTNKDHSESLAHTSANEAPLDPLIVCQEKRKREITTKLSKEKNRVSLESDRQISKPLGKETRQETVGASKLCRKCPSSTAVRFLDLIENWAPDLVESKLIDTEDQELWLVMKVGAKRHHHQVNNQTTSNGRSSMVWPTARFLPEAELHALPFTVPF >A06p038460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20699742:20700670:-1 gene:A06p038460.1_BraROA transcript:A06p038460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAGIGLYPLHRCKNIYLVRHAQGIHNVDGDKNYKAYMSHDYFDAELTQLGWQQVDNLRKHVRSSGLHKKIELVISSPLMRTMQTAVGVFGGDGYTDMSDVLPLMVANAGNSNRAAISSSNCPPIITEESCREHLVCHFSLLHT >A07p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17411821:17413427:1 gene:A07p031550.1_BraROA transcript:A07p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVASLSLCYSSRPNLLRRSFSRPKHLTMASMSEDPVREWILTEGKASQITKITSVGGGCINLATHYQTDAASFFVKTNRSIGPEMFEGEALGLEAMYETRSIRVGALPTGGSYIIMEFIEFGSRGSQAELGRKLAEMHKAGKSTKGFGFEVDNTIGSTPQINTWSSDWIEFYGEKRLGYQLKLARDRYGDSAIYEKGYTLIQNMASLFENAVIEPCLLHGDLWSGNIAYDKNSEPVILDPACYYGHNEAEFGMSWCAGFGESFYTAYFKVMPKEEGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDGYLRMLSA >A09p046320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40435652:40437412:-1 gene:A09p046320.1_BraROA transcript:A09p046320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRLNMTAEVKVEEKQVESAVVIASVEEETTVKVVVEEEKETKVEEEEDESKPKGVEKISSFKEESDFFSDLKESEKKALSDLKTKLEEAITENTLLKESPVKVEKKKSEAIVTEEEAKAETSEPAVVAEDSPKEEAKTDANAETIEKESPEEEVKAETVDNDIELWGVPLLPSKGAEGTDVILMKFLRARDFKVNEAFEMLKKTLKWRKEHKIDSILGEDFGEDLASAAYMNGLDLESRPVCYNIYSAFGNEELYQSRENLLRWRFQLMEKGIQKLDLKPGGVTSLLQIHDLKNCPGLYKKELWVAIKNAIVALQDNYPELVFINVPFLFYAGSTLLSPFLTQRTKSKFVVTRPANVTETLLNYIPAEEIPVQYGGFKRYDDTEFSNEAVSEVVVKPGSSETIEIPAAETEGTLVWDIAVLGWEVSYKEEFVPANEGAYTIIVQKGKKIGSNEGPLRNSFKNSESGKIVLTVDNVSSKKKKRVLYRYRNKTESSC >A08p034170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20375885:20378595:1 gene:A08p034170.1_BraROA transcript:A08p034170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKHVNLDINAKKQSKWVNKDPKSSLVSSSFSSRHYQTQQRRRRTDIIMSPPPSLQCLTFSFALIFFLSSSTVLSHSDLITRLPGQPRVGFQQYSGYVTLDEKKQRALFYYFAEAETNPTSKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGSVLVKNQHSWNQEANMLYLETPVGVGFSYSTQRLYESVDDKITARDNLVFLERWFLKFPHYLNRSLFITGESYAGHYVPQLAELMVQYNKKHHLFNLRGIAIGNPVLEFSTDFNSRAEYFWSHGLISDSTFKLFTSYCNYSRYVSEYYRGSMSSICSKVMSQVNTETSRFVDKYDVTLDVCISSVLSQSKVVSPNQVGESVDVCVEDETVNYLNRRDVQEALHARLVGVREWTVCSNVLDYQMLDVEKPTINIVGSLVEAGVPVLVYSGDQDSVIPLTGSRTLVSRLAKRLGLRTSVPYRVWFAGQQVGGWTQVYGNVLSFATVRGAAHEVPFSQPERSLVLFKAFLDGHPLPEEF >A06p038330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20650906:20655561:-1 gene:A06p038330.1_BraROA transcript:A06p038330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQISDQRPTKILRIELGRNNLRVRGDMVGGGLGGRGGNKAEANQLLVTSEKLLSSSDFQGAKASAIRACKSDPSLAEAADSILTICDVLIASEIRLGDSKLPDWYAALRLGRLAQNPEHVATQYRRLALLLNPSVNRFPLADQAFKVVSDAWHVLSDPSRKSLYDQEVQLSQLVQPQKQRFVWKPSQSTTNVVVAQQTPSVAQPPRRSDPMATSFWTACPYCFVLFEYPKAYEECVLRCQDCRRAFQAVTIQKPPVEGKGEDVYFCSWSVFPLGFSGEFKAPSWSPISPLIACPLQMVDDERATKKRKEPAPPRFFYDDDDIYVAISDDDHEENGPNELQAKNVGKGKEKLVRSNKKHGSENAGRGIQHVESIGGASNHAASIASYVTPVGSSSMSKPMSSRKRTGTGAKNLGRLDLNVEFSNEVEEPAVAGGRNEGSGLGSNREVDNMEGIGFFEDLDEFLSSLPIFSVDGDDKIKATYGDSSPLIPWNPCSWNLLLYMAQNSDFFLPSWYTIFCIVTRKIYYDEDRLIVVGKSKPCQQYQSVGQRSTCTWRSPSLLEKMRSICCWIRQLHFSTMAIVSSIMPTSVDPSSSGVCVFHNTSKIFGNNANQLPTYLRLSTSSRRHAKLRLAASISKGPLKLTREEKHQDHVASPEKGHDVFEEIKHRFLTFKKDKYMGNLERFQSLAKSQSPKFMVIACADSRVCPSEILGFQPGEAFTVRNIANIVPTYESGPSETKAALEFAVNSLQVENILVVGHSRCGGIRALMTMDDDETEEDIDSRSFIKNWVVIGKPARSISKSAASELSFDQQCQHCEKESVSCSLQNLLSYPWIEERVNKGTLTIHGGYYDFTECTF >A01g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26294786:26299781:1 gene:A01g509640.1_BraROA transcript:A01g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKETSCDNCTTGCDDSTIKSSHEKAAYGASARLQIVMIHLSEDISWSILDGTLKWQRHNESPSADANNGRSAAFKRQSGANHIIRSLRNASPAPIKATIDTIPCSIKESNPVILPNGVRNRNITEEHTPFKSTSSSDDLTELKKSKATENEPYQIFLCESISKKEIAVKKSANSMQLIFFFNKPHGMAVQGGTGVKASVDELYATTCLTFDKSESLRLVHRLDRDCSGLLVLGRTQTAATLFHCIFLKKTTVSQIPSFYFDDKFLLPTQMELNILLFFCYQGLHRPLSFGVSRSLRIFSQLWKLQKGSNLNLLVRNFRCVTCVSYLSSKVGSITEAPDGLRTFPDLFGKCLPLFLLEKLLQYEVGRRRVVEYPCSSCIWRRGGEQMVCMNYGEYANQKVRSLEAEYPTFLYAMPMTKTKMFFEVCFFSLSITIFTTRVPLLITWNPLRETCLPSKDVIMPIDLLKTKLMLRGKSIQGKKSVVPCQKNLAFGAAASMVHLSRNRLFSCEIFV >A01p023750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11730415:11745024:-1 gene:A01p023750.1_BraROA transcript:A01p023750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S4 [Source:Projected from Arabidopsis thaliana (AT4G15680) UniProtKB/Swiss-Prot;Acc:O23419] MKLLSTQSKLQAPVRAPSKSSSQSAPTLRPPANHTGAHSRPISVHTAVDSDHQNHHDILSQREVDELRSTTWRRKLGRVKEKTNRDRAWLRTQLFSTSRVSSRTNIIILTPMDKLQKMISEKSVVIFSKNSCCMSHTIKTLFIDFGVNPTIYELDEISRGHEIEQALAQLGCSPAVPVVYIGGQLVGGANQVMSLHLNRSLVPMLKRAGATIFYLPSFFSNNIHITTPMDKLQKMISEKSVVIFSKNSCCMSHTIKTLFIDFGVNPTIYELDEINRGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRAVNFFQNPYYYHRWRSYKRWSPRNL >A03p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12772675:12774118:1 gene:A03p030440.1_BraROA transcript:A03p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DMR6-LIKE OXYGENASE 2 [Source:Projected from Arabidopsis thaliana (AT4G10490) UniProtKB/Swiss-Prot;Acc:Q9ZSA7] MQTSTTSKLLVSDIASSVDRVPSSYIRQISDRPNISDVDISGDSIPLIDLQELYGPSRANIIHQFAHACSSYSFFQIKNHGVPEKTIERMMTVAREFFHQPESERVKHYSADTKKTTRLSTSFNVGSEKVSNWRDFLRLHCLPIEDFINEWPSHPVSFREITAEYATSVRALVLILLEAISESLGLEKDRVSNTLGKHGQHMALNNYPPCPQPDLTFGLPGHKDPNLITVLLQDEVSGLQVFKDGKWIAVHPIPNTFIVNMGDQMQVISNDKYKSVLHRAVVNSDKERISIPTFYCPSFDAVVGPQHELLINEEEDSPAIYRSFTYAEYYEKFWDRALATESCIDTFKISKT >A01p058460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33315618:33317701:1 gene:A01p058460.1_BraROA transcript:A01p058460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLGSGSYPIKTIVVLVQENRSFDHMLGWFKELNQEIDGVTKSDPKSNPVSSSDPNSLHVVFGDQSQYVDPDPGHSIQDIYEQVFGKPWDASHPDPNPGPATMSGFAQNAERNKTGMSSAVMNGFKPDALAVYKELVQNFAICDRWFASVPASTQPNRLFVHSGTSHGASSNDRKLLIEGFPQKTIFESLDEAGFSFGIYHQFPPATLFYRNLRKLKYLTHFHQYGLQFKKDCKEGKLPNYVVVEQRWFDLLLTPANDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFIITYDEHGGFYDHVPTPVEGVPNPDGLLGPEPYNFEFNRLGVRVPTFFISPWIEPGTVLHVPNGPYPRSQYEHSSIPATVKKIFNLKDFLTKRDSWAGTFESVITRDSPRQDCPATLSIPVKLRATVAEESAQLSEIQEDLVIMAAGLRGDYKNEELMHKLCKETCVADASKYVINAFDKFLEEARKARERGCDENEIVYCMDDDDDHAEMPSSSQSEAPHAGPTPKTQRSQRSFFSKLFSCFASHD >A01p048450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27279721:27283069:-1 gene:A01p048450.1_BraROA transcript:A01p048450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFGEGRRRGTGGMLPLLAISTVAEYYRLPWKPPVTAGLLAANTLVYLRPAFLDPLIPHISEVWFNPNLILKHKDLKRFFLSALYHLNEPHLVYNMMSLLWKGIKLETSMGSTQFASMVVTLLGMSQGVTLLLAKSLHVLFDYRRAYYHEYSVGFSGVLFALKVVLNAQAEDYTSVYGVLVPTKYAAWAELVLVQMFVPRASFLGHLGGILAGILYMKMKRSYLGSDPVAMVVRGVARAVTWPLRFVSSMVGSRRRRITGRGRVGRGQNGIAGPGIWRCQSCTFDNSGWESVCEMCGSGRSRGNGWSVNQGQAHSSSSSSDLPLDELRRRRVERRHVQVLVKLGQADITEMVQCREFMSVKERCNVTLSQTSVFCFSCFIGVALSITAFPVLARILAELKLLTTDIGRMAMSAAGVNDIAAWILLALAIALSGDDSSPLVPLWLWQSNLSLVIWLDAVPRRRDCEGTLSASFVTDTIGIHALFGAFVVAIVTPKEDLYFASSALKTDVKTIRGAQSWGLLLLVILTTCFGKIGGTVVASLLCKVPFKEAMSLGVLMNTKGLVELIVLNIGKDQKVLNDQAFAILVLMALLTTFITTPLLMAIYKPARRGAPYKHRTIQRKDHDSELRILASFQRNIPTLINMIESSRGTGQRGRLCVYAMRSNGAL >A06g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17197773:17209235:1 gene:A06g506050.1_BraROA transcript:A06g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGPIVMSIGSSLIHSGGPSREFNRGVSFDPPTVLVGPPLLIQVLPWRSQDNTAVLEIVISCIFTLYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTKVAPDPERPVGATHQSRSRPLAQRHQKSALERLPGATPASRSASILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSAQKGVFRVVIGRARYGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTKVAPNPERPVGVTHQSWSDTPKSLATSRPETPKIGPGATSRSDTCKSLRVRKSCASSTTMVAGTKRSPTFSTTTTNRNPIPTTNRVCFDIPQNWFDNFLYYNICLRSLENS >A09g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12575116:12575959:-1 gene:A09g504010.1_BraROA transcript:A09g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVPMSTSDKMYQRFEEEKIYHIRYFNLLPNNQRYSLTVQPYIININETTIITQIEENIPPIPSYIFRPQRYPQLISLASATNFFPDVVGRICLIQGSDLYNKNTYSKIFVGLHLHKSKMVRLTIWDNETANFRELNHISTRKNQIGNYHSQPHLDRAFTLISYNASKRGINCYTKLEAITPHGMNNKTSNDTGQLF >A10p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20580836:20585953:-1 gene:A10p036050.1_BraROA transcript:A10p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase ERL2 [Source:Projected from Arabidopsis thaliana (AT5G07180) UniProtKB/Swiss-Prot;Acc:Q6XAT2] MEKVKSLGMVVVFFMLCGVVSPMNDEGKALMEMKASFSNVANMLLDWDDVHNSDFCSWRGVLCDNVSLSVVSLNLSNLNLGGEISPALGDLRSLQSIDLQGNNLGGQIPDELGNFASLAYLDISTNCLVGDIPFSISKLKQLEYLNLKNNQLTGPIPATLTQIPNLKTLDLAKNQLTGEIPRLLYWNEVLQYLGLRGNMLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPDNIGNCTSYEILDISYNQITGVIPYNIGFLQVATLSLQENRLTGRIPEVIGLMQALAVLDLSGNELVGPIPPILGNLSFTGKLYLHGNKLTGPIPSELGNMSRLSYLQLNDNELVGTIPPELGKLEQLFELNLANNHLVGPIPANISSCAALNQFNVHGNLLNGSIPLGFRNLGSLTYLNLSANSFKGKIPSELGHIINLDTLDLSGNSFSGPIPLTLGDLEHLLILDVSFNSLSGVIPTELGLLQNIISLILNNNKIHGKIPDQLTNCFSLVNLNISFNNLSGIIPPMKNFSHFAPASFFGNPFLCGDWVGSICGPSLPKSQVITRTSVICMVLGFITLICMILIAVYKSKQQKNVLESSPKRSEGISYSLLPFGSLEYAYVENLSGSTTKLVILHMDMAIHTFDDIMRLTENLSEKYAIGYGASSTVYKCTSSKTSRPIAIKRIYNHHPHNLREFETELETIGSIRHRNIVSLHGYALSPPLGNLLFYDYMENGSLWDLLHGPAGKKAKLDWETRLKIAVGAAQGLAYLHHDCTPRIIHRDVKSSNILLDGNFEARLSDFGIAKSIAAAKAYASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGVVLLELLTGKKAVDNEANLHQLILSKADDNTVMDAVDAEVSVTCVDSGHIKKTFQLALMCTKRNPMERPTMQEVARVLLSLLPSPPPKKPPSPQGVEERRESHSLDTASPQWFVQFREAISKSSL >A05g510430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32200004:32200449:1 gene:A05g510430.1_BraROA transcript:A05g510430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASPNSGSDLNLGGNRGRSIEECQDMIQRSFRNPIVKFLMEQQKTPWKQCGIHVTMTLNLLIELLEGYTPLYTLKSQETYFCF >A05g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27768588:27770865:1 gene:A05g509250.1_BraROA transcript:A05g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASNKNTTANSNDIDPTVKPKSPRPNNTQASPFSLKLGDNVPRNPHFDLKKMDPLVRHQPPSKSPEPPSSARGTNESDSLGAKKNALKNLYYDPKKIVPLTTPETQSPSARAHHHQHKTKSPDKKRAPRQNGDYGYGDMGPSATPFKPHTGGDVRWEAINSVTSRGPQIGLDSFRLLKRLGYGDIGSVYLADLQGTNAVFAMKVMDKASLASRNKLLRAQTEREILSLLDHPFLPTLYSYFETEKFYCLVMEFCSGGNLHSLRQKQPNRRFTEEAASTGSAILNEEFAVNGCMHPAAFLPRLLPSKKTRKAKSDSGLGGLSMPELMAEPTDVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLQNVVGKPLKFPDTPHVSSAARDLIRGLLAKDPHRRIAYTRGATEIKQHPFFEGVNWALVRSASPPHIPDPVDLGPLTAARGKAKGYGSGDHHNSVKHDAQVACAAGPATPTDDTAYVDFEYF >A10p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20065748:20068687:1 gene:A10p034540.1_BraROA transcript:A10p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter F family member 2 [Source:Projected from Arabidopsis thaliana (AT5G09930) UniProtKB/Swiss-Prot;Acc:Q9FIB4] MDLTMNLHSLNLRSTLFTSPLPCPTPSTLRVSSVSKPRRETFSFRAKLSSISSISSTNEHESHHHDHRPVTKHSSDGASAISSGVRLENISKSYEGVTVLKNVSWEVKKGEKVGLIGVNGAGKTTQLRIVTGQEEPDSGKVIRAKPNMKVSFLSQEFEVSMGKTVKEEFMSAFNEEMEISRKLEKLQKGIEGAVDDLDLMGRLLDEFDSLQRRAQEVGLDSLDAKVSKIMPELGFCEEDADRLVASFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLETIEWLEGYLSKQDVPMVIISHDRAFLDQLCTKIVETEMGVSRTFVGNYSQYVISKAEWVEAQYAAWEKQQKEIEATKDLIGRLSAGANSGRASSAEKKLEKLQEGEQIEKPFQRKQMKIRFPECGLSGRSVVTVKNLEFGFEDKMLFNKANLVIERREKIAIIGPNGCGKSTLLKLIMGLEKPMRGEVILGEHNVLPNYFEQNQAEALDLDKTVIETVVEAAVDWRIDDIKGLLGRCNFKADMFDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAINEYKGTVITVSHDRYFIKQIVNRVIEVRDGGLKDYAGDYNYYLEKNMEARAKELEREAELEDKAPKVKAKSKMSKAEREARKKQKMRAFQASKKKSKSSKNAKRWN >A04p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7127152:7128034:1 gene:A04p010580.1_BraROA transcript:A04p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDNPGFIAVCHCDHEDDEETEIKASIATQPERSIDDKLVATIDNELETLIDSDHANEIDDFQEGSIDSWENNYYQPNFGFHTSTPSKRKMNAMEPDEYDEDYKEKLLLSIVVLPWKKKRGETSIDENIRISIDTHHGKELDARGTDSASIDNSGMPSIDKWYEFGQRAYDSERKREFHLEKKDEYGVYRDEEDNRDILERATMYEAACICLPEQAEKFNGILPKLRSYIGADIDDIVHGIYRAREMSLDDTYKRLDDFYYPLNDNI >A03p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:856179:858938:1 gene:A03p001700.1_BraROA transcript:A03p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTVLEDDNHAPQEVPIRKGKGKAASVVMSSEQRRKLADISNLNTEETHQQQNLLFSSKEYAEKLQKENMTLMKALAHRNKIIELSGVEFQKLKINLRKVQENNVQLAQANTHMLAELNTNRDRLKLLQHELGCKNVLLGVRKKMQLEASHLLILSKNLHVLITPRNIRCLLEFSSGVDMNQKDTKRKRTSRIKSSESSIVKPIQVKENANTKRKVSGVIDTSVIPELTCQTEDDIEKGVVSQGANQNVGNIIKKKFVLDAANPVKASVRSKRQCLRRTSARFAVQETEQTETLIVMDNAKETKEIARLSLRRRSARLRPEEAEPCKSFHVRGEVRKTTKRRRVSSRQQSSMFDFQEPEVTETLNADDAGNLVSEGSSSEAVEEPSESRHDTKNTNGKRRVSTRMQSTKGKSQTASDTNGAIKDIVTECDLLPSTASQGDLERESKNKSRAEEAEGIMRRTSVARRPSRHAAEKVQSYREVSLKVKMRRNF >A05p009950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4163124:4164898:-1 gene:A05p009950.1_BraROA transcript:A05p009950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucuronosyltransferase GlcAT14C [Source:Projected from Arabidopsis thaliana (AT2G37585) UniProtKB/Swiss-Prot;Acc:Q8S8P3] MKRSHISSPRSCSFSRPAISLFFVILLFLLGLTLTSRKPSGSPPNRILTGKPNIPKLAYLVTGTKGDAKRVKRLLGAIHHPRNYYLLHLDLQASDEERMDLAKYVRSEKEKMRNVMVMGLADLVTEKGPTMLASTLHGVAILLKKAEDWDWFINLGSSDYPLMPQDDILHIFSYLPRYLNFIEHTSNIGWKENQRARPIIIDPGFYHSKKSGVFWAKERRSLPASFKLFMGSTSVALTRPFLEFCIWGWDNLPRTLLMYYTNFLLSSEGYFQTVVCNNKDYQNTTVNHDLHYTHWDTVLQQRALNLTVEDFHDMVQSGAPFAREFREDDLVLDKIDAELLSQTDGGLGLKTQEVVKPTVAWKRLEKLMVKLLDHENFRAKQCK >A07g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13338487:13339479:1 gene:A07g505810.1_BraROA transcript:A07g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFCGVLSPLMNCVKPSSSKNISLVRTDMRSRYSSDLKYYTSACQQDSDLKTFDSSLHQRKTSVMKSLADQAAKSQSISQGTLMEVYEFLLYRNRDVYEAVYEEQVLLLEELCKLKAKLDKQQRNVKIWRRLSNVVLSVETGARVNKIATVKIRVQVDKLRIRISSVLETVEFAVEREENEVATILATQEIKKIVDGLTDKIKEVGQHAAMFSGLIALGRLIFLKHIRTLPSNSVS >A05g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28778691:28779885:-1 gene:A05g509440.1_BraROA transcript:A05g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRLSVSLYREEGRKKKKPWQRPRLSETETNPRQRDPDRNHRRTQTGSEVLQFPRPAQRIRPLDGVVLRLDPRSGPIQSLLAGLVAPADHYVRSRIGLDGVLVFRCLGDYHALFSAAQDVAECLDKSQKNLLAIWTIKAVVANDLFTDDSFMSECQVSLNTVDSVETFVSDINNGRWDSVLPQVSQLKLSRNKLEDLYEQ >A10p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12270673:12273702:1 gene:A10p012610.1_BraROA transcript:A10p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 5 [Source:Projected from Arabidopsis thaliana (AT5G49990) UniProtKB/Swiss-Prot;Acc:Q8RWE9] MSAPAPKASEPQPHPPKEQLPDISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGRNEEKAKLIQTILFVAGINTLLQTVFGTRLPAIIGASYTFVPVTISIMLSGRFNDVADPVDRFTRIIRATQGALIVASTLQIILGFSGLWRNVVRFLSPLSAAPLVGLVGFGLYELGFPGVAKCIEIGLPGLIILILISHYTPHVMKGGKHVFARYAVIFTVAIVWLYAFFLTIGGAYNGDKTDTQRSCRTDRAGLIGAAPWIRVPWPFQWGSPLFDAGESFAMMMASFVALVESTGAFIAVSRYASATMPPPSVISRGVGWQGVAILISGLFGTGIGSSVSVENAGLIALTKVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALFCLFFAYVGAGGLSLLQYCNLNSFRTLFILGFSIFLGLSIPQYFNEHTAIKGYGPVHTGARWFNDMVNVPFSSKAFVGGCVAYFLDTTLHKNDGSIRKDRGKHWWDRFWTFKGDPRTEEFYALPFNLHKYFPPA >A01p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1777951:1780423:1 gene:A01p004130.1_BraROA transcript:A01p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASRLREQVARQQQAVFKQFGGGGHGSGLSDNAELHQHQKLEKLYISTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTNGNVLTRAALSYGRARAQMEKERGNMLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRIRQEAEAQATEVARRQAKARESQGHPDILTKLESAEAKLQDLKANMTTLGKEAASALSSVEDQQQKLTLERLISMVESERAYHQRVLQILDQLEGEMVSERQRIEAPTTPSSNADNMPPPPSYEEANGVFASHMHDTSTDSMGYFLGEVLFPYHGVTDVELSLTAGEYVVVRKVTGNGWAEGECKGKAGWFPYEYIERRERVLASKVSEVF >A01p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19982965:19986948:1 gene:A01p025650.1_BraROA transcript:A01p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSESQNKLHSRLRLWEFPDQYVIEPADGSGAPCLDISRVDASMKLIDQVSECKSLRVPKIHSISGVVGMLKLLAGSYLVVVTETERVGSFLGHPIFKITSLKVLPCDHSLKNSPEEQKKMETEFSKLLSVAEKTTGLYFSYEVNLTLSSQRLHDLGAESKSLPLWRQAEPRFLWNNYMLEVLIDNKLDQFLLPVIQGNILFITSIGKDIVDITLIARRCTRRNGTRMWRRGADPDGYVANFVETEQIVQMNGYSSSFVQVRGSMPFMWEQIVDLTYKPKFEIVQPEEATRIAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFASVMQHITGDEIRYLHFDFHRICGHVHFERLSILYEQIEGFLEQNGYFLVNEKGDKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLKRIGVFGAEETISSHLNFDERYKILWANHGDDVSIQYSGTPALKGDFVRYGHRTTQGVLKDGWSSLVRYYLNNFADGTKQDAIDLLQGHYIVAMSRDMAPVPQKGGLEAVANFPVALAVVLISFWFATMSLKQAGSDYKHKHLFFSLLWTGICVGVAALVRANGRIFCNRPRLHKPRG >A05p022700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10931242:10936751:-1 gene:A05p022700.1_BraROA transcript:A05p022700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNRFKSFNLHLLDLIQRTRHDDQICRERIEIVSEYPTPQSFSRILLRPSSPEKKNSRLFNPKKPFFYFCHLFESVITRVMDNISGLPDELLVRILSLVPTKVAVSTSILSKRWMFLWMSLPKLEFVDRDESLLVLKNFINKNLPLHRAPVIQSFLLSLYESREKNIKPEDIRQWVEIAVSRHLRELDVSYSSDKKENMVPNSLFACKSLVVLKLRFLTLMDVPSTACLPSLKTLLLELVVCEDQKPFEALLSICPVLEDLGVWFREDESIQEFTINVPSLRKLCLHVSYYWSSLERYEIDTPCLEYLELADWNDSPCLVKNMPKLEKAHVDVVSFAVKNVIGSVASVKHLTVCSEDVYGDGIVFDQLEHLKLCICKDDSSNVLAQFLKDSPNLRVLVISQLDLHADLKTNEMGFWNQPSSVPECLLSSLQILNWEGYFGRPQDRDIAVYILRNARHLRTATFWADTNEHDVPNLEMIKGLTLSSRASSTCELVFITAFIKACKEQIDILKNSIRNEESNSKGWLGLAAAAEDNFNADTIAHNTWSGMNMSQMDEKLHSVTAQFDQLRATRFQDIINRALPRRKPKKNAKEAKEAVSHSSPPHLLKLKGKCGSLRCNSGVMEGNDDQNLKAIKASVGRLVWVRLRTPIKLLGRDDVNVEWYVLEKSKSVKAFRCGDYDSHIDKAMAVAASNKKKKTAKLTRRENAINIALQIENAHLCSSSPGAEEDGLDSAMSFQETLLKVQPKRRRTPNDSEDEGIKRMRGLEDIGKEHVGAIVVYRQEVGLNCGDSVSNGGDKACPPLSLKRKRSQVVINANDCSKRKNRRRQLTKVLESTAMVCVPVTSGMEPVESIKSVSVVINNSSDSVSCENVVGAKAKDSDISSLSVSAEDDPSYQLYDVPLTGKAVNGSACSTNPPETQLVIYDLNRIEKSTSEWQLKGKRNSRQMSKKQEARRFVYGEEANNNSPLPLPALFEVKIEVKASSNKPRVPLVSRMSELNGKAIVGHPVSVEALKEEGYCNGKVMSQDVVKAKPLLSEKKSKKRKSQAAFGKSKKKSSSSVSIKTRRLSTLTSQKLTGRSKMQTTGKAKETVVACIPLKVVFSRINQVLKGSARQTQHRPLPPSVKT >A09g504640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14144834:14146530:1 gene:A09g504640.1_BraROA transcript:A09g504640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVIDFVSAQSGDTDKAKKIREAAIFTISFVACDSPFGNQLLWSIFKALRTFCAYQTLSFSSNAFRALIYIESLELLQPLQPPFPTPPSTISALEGPLSPLLVGSSDSRIPGTLTRMESLWASPFSSLMNCFTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVDN >SC186g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:121840:123120:-1 gene:SC186g500080.1_BraROA transcript:SC186g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWKQEEGTMAIDAEEDEGVSLEHKALLEALTRRMSTMMETRLGTFREELDAQSSEPRREPRQNRRTQARREHE >A05p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24753949:24755480:1 gene:A05p040460.1_BraROA transcript:A05p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSEVSGFNAVDMAGETPKQRILDRLSNLPDELLCKILSDLTTKESVCTSVLSKRWRNVWLNVPVLDLDSRKFPYKNVFKSFMDRFLGSENEQQLERFELVYNVYKHDESRFESWIDAAVIRRRVRHLVVHNKVNDDELLKMPPSLLSSERLVNLNLYCVLLDHPESVFLPCLKIMRLKGVRYNGGDSTMETLISSCPVLEELSIVYCDDLESLCVRSQSLKSFKLRNWYDDSDGRVVAIDAPRLERLTLTDHVSDNFIIHSIGPSAKVNIDVLFDVENGDPLEPVDSSKLRKFLTGLSTVSDMILSADTLNLPHFSNLSFLHARFQETAWEMLPAFLGCCPNLQSVVLEFDCLPETEEVDLSLVPQCFQSSLEFVHLKTPYDRRMKKKGRPLTGTSSKMKLAKYFLENAAALKKLTLSWSFCNIIKKNQIDSKKLYGV >SC177g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:80687:104426:1 gene:SC177g500010.1_BraROA transcript:SC177g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEEGTSKFHSRKNLHSLKSSPFSLKFPVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKTVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMSIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHQSTSEFNPRCLLQDDKGETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWEIEITQPPRNLEQKNISKIIEQKDGKILLRFGSYRDPLPPRISLYHSRASFSEYRTGQSSTNSETESVNQNTKQDELIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKTVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFQAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMSIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVARLYSSTLCL >A05g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8891004:8896823:-1 gene:A05g502910.1_BraROA transcript:A05g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILVRGIFSWSGVALDGRWTSWNKRGRQQCNSVIFTQNSLDDCSGKRSNPTKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKEESDCSKKENSSDAQKIDELTAKVDQLLKNNQGHVFSMEQPTARHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMLQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSEVIAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKEQGWRTRMVAKSEPPVALRTIMYYLLLHHITISVSKKKKKKEINVMEKGMKSKKHEPLGRSNKGVGTKRKVWSCIGWEIDQLEQKRQTTVQFSDFHAEQS >A09p042150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22102921:22106210:-1 gene:A09p042150.1_BraROA transcript:A09p042150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRWRHLKSLAFHARSVSPVPAHLYSLELGLSPPRRRLQERFKSEQGGVGGGNNGGGGDDFSVPVTRRKLRAEPNCPRCSKQMDLLFSNRQFPSPNLLHRPEDSDSNVADKTSFQSVNFCPTCKTAYGVSPLQGTFIEIGRVQSPTATRKQHSKDLNLSSNHRNKLRSSFWDTLRSYGAEPPEDWSSTPPPPPPPFNASPPNNIAPASNGAVKMDTSPLPDAAKDVSRWGGATLGTDFPTPKEICKWLDKFVIGQSRAKKVLSVAVYNHYKRIYHTSVKKGSAAQPLDDDDNVELDKSNVLLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGDDVESILHKLLTVAEFNVQAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKLLEGTIVNVPGKGARKHPRADHIQIDTKDILFICGGAFVDLEKTIVDRRQDSSIGFGAPVRANMATSGVTSGAVTSSLLESVESADLTAYGLIPEFVGRFPILVSLSALTEDQLIRVLVEPKIALGKQYKKLFSMNNVKLHFTEKALGIISKLAMVKNTGARGLRALLESILTEAMFEIPDAKMGDERIDAVIVDEDSTGSEASRGCTAKLLRGDGAFERYLNENKSKDATETTVDERIGSARAMSL >A01p015420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7537262:7539941:-1 gene:A01p015420.1_BraROA transcript:A01p015420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLLVSFWFVLISSVYANTCFNRSGFFAPNGTYDVNRRVMLSSLPSNVTASDGFYTTSATGQDPNRVYGLGMCVPGTEERSCFDCIMAASNGLVQNCTTQIEAIDWRIYRTALCLVRYSNRSFYGLLDMQIIRAEYNTRDIQPNVTDFDMTLEALMIGLIEDVSSLYYAAGTKTLESSNTNIYGFVQCSRDLSIANCTRCLEENVIEYRSCCSGRDGGIVSRLGCFVRWEIFPFLELLDNSPRREKDGKGVSTGIIVAIVIVPIIFLALGFGIWRKRKSYRAFTTENGYFSAAKRLNKTYNTAPPNNAGDDITTSGSLQFDFEAIEAATSNFHNTNKLGHGGFGEVYKGTFPNGTEIAVKRLSKTSGQGEREFKNEVLLVAKLQHRNLVRLLGFCVQGEEKILVYEFLPNKSLNYFLFGDSKKRSQLDWTRRYKIIGGITRGILYLHQDSRLTIIHRDLKASNILLDEDMNPKIADFGMARNFKMDQTEDNTRRVVGTFGYMPPEYVANGQFSTKSDVYSFGVLILEIIGGKKNSRFHEIDGSTGNLVWRLWNNDSLLELVDPVIGDFNDKYEVIRCVHIGLLCVQENPTDRPSVFTIFQMLTNTSITLPAPQPPGFFFRVRAENIPLAESFQPGRSKAGSILVGALGQKKKFYSLKFI >A04p013800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4629698:4630249:-1 gene:A04p013800.1_BraROA transcript:A04p013800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRSKGNTHNIFLLCNYILLGSASSCIFLTISLRLFPSLSGISLIFLHTLTIATAVTGCSVFASSTAATTSDRLYGAHMVATVLAAIFQGAVSVLIFTRTGDFLRVLKSYVLEEDGAVILKLAGGLCIVMFCLEWVVLVLAFLLKYSDYLDESVVDDDDGKFQRQEEDLKDWPSYPFQLKL >A02p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8963519:8964828:1 gene:A02p019420.1_BraROA transcript:A02p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACNFMCPSAPPRFTSSTPSPHLRTYTSRAAPSLQVKSFQRGDFDRFADNIKSGKAWRDAWRTANDGFEQFVFEAKKTAERIDREYAVSRRLSSAASSAGDRAREIDREYGISPRVRTLSADFSRNFPKYRKQFSDFLNTPLGGSFATIFFLWFALSGWLFRVIIVATWVLPIAGPLLIGAVANNFVIKGECPACKRQFIGYKNQVIRCEGCRNIVWQPQGDFFSRDGSNNSSSSNKGNSKKPPKSQIIDVDFEEK >A08g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4485804:4486676:-1 gene:A08g501890.1_BraROA transcript:A08g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKIQKNITKVRCRSWKGSGKVSYQDISTLESHFDKLFPFISHTGNNEVVDNAKFITEDEKKDEESGCKRTSKSVLTKSIMRWLNSRKRCLKLRVLHIQREKPKHLRVRVLHIQRENTKRNHHRVRVLQQQREKAKARQLRVCLLP >A03p063880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27785193:27787091:-1 gene:A03p063880.1_BraROA transcript:A03p063880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTCNFLGLRVRSNPPLPFSNSSALNLLTAASRPFDRWIRASSRRRLVLGGFAGTFLWMNNMSGNIGGKAFIASARQTNPSPVEQALSKVEWPENFPFKEEDFQRFDESSDSTFYEAPRFVTHIDDPAIAALTKYYSKVLPESETPGVSILDMCSSWVSHYPAGYKQERIVGMGMNEEELKRNPVSVDYLTKPLVVFKEMNRILKPGGLALMSFSNRCFFTKAISIWTSTGDADHALIVGSYFHYAGGYEPPQAVDISPNPGRSDPMYVVFSRKLPVA >A03p027060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11279845:11281913:-1 gene:A03p027060.1_BraROA transcript:A03p027060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT2G47650 protein [Source:Projected from Arabidopsis thaliana (AT2G47650) UniProtKB/TrEMBL;Acc:C0Z2I3] MASELTYRRHEMEVEQPEAQALNRKPIKPWFVSIRPVISYMLREQRLLFVLVGIAIATLAFTILSPSSNQPIPNYSDPISGSSLSVQRKSSIEYITGGGMGSVGGKIPLGLKRKGLRVVVTGGAGFVGSHLVDRLMARGDNVIVVDNFFTGSKENVMHHFGNPNFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGANVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLGWEPKVALRQGLPLMVKDFRQRVFGDQKQEETTSSSSTE >A09p013510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6999131:7004483:1 gene:A09p013510.1_BraROA transcript:A09p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLEDIKNETVDLEKIPIEEVFQQLKCTREGLTTQEGEDRIQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKILDLANARPDLRKKVFGCMDKYAERGLRSLAVARQVVPEKTKESPGAPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSAALLGTDKDSNIASIPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLREIFATGIVLGGYQAVMSVIFFWAIHKTDFFSDKFGVRSIRDNNDELMGAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLVATLIAVYADWTFAKVKGIGWGWAGVIWIYSIVTYFPQDLLKFAIRYILSGKAWTSMFDNRTAFTTKKDYGIGEREAQWAQAQRTLHGLQPKEEVNIFPEKGGYRELSEIAEQAKRRAEIARLRELHTLKGHVESVAKLKGLDIDTAGHHYTV >A02p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13030155:13031305:1 gene:A02p026820.1_BraROA transcript:A02p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASKDLSQQHLSVTKNKNEPVPFDRTGLRYKPHPLPHSIINPSLEANPMRRFVQRFPSLLAPNLIHHPPTILRHPKINPRVVVPSLDRVTSRFVFFSSESNSARGLGSDEVVSKEELKKRIQSFLDDGDEDALPDLFEAMMTRKLSGKHDESDDEVMEEVRKYPINDSHKVDDNVESDGDSSDSDIESDDLSDGDSDEESDDLSDGDSSDSDEEFDGLKDVDLSGRGIKIDGLKDVDLSGLDVKIDGLKDVNLSGLGIKIGGLKPDHSSYFDSENLHYILVIEDF >A02g501010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3068892:3069719:1 gene:A02g501010.1_BraROA transcript:A02g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHCSYAKEVWRASQFPIPPAGWSSSSVFLNIHYLISCSQKQSIGVSVRLSFPWLLWHIWKARNKFCFEHIRPVTSEVVTLAMEESGVWLQLNGHLKEIGEGLHVDPGESHIWSKPPVSWVKCNIGSSWDSSSLFGGAGWIIRDAHGKALLHSRRSFNHVLSAVQMDLMALAWATSAVVDLKLKNVIFEFSSAEAAMIIQNPLLSPFNYKNCYEILRSVQAIVRSKLQLVSVTSNNAASAIAVNVTRDLRHHSYVASNGPQWLPPLLSAEAAPR >SC215g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000071.1:39453:61219:1 gene:SC215g500010.1_BraROA transcript:SC215g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVIKKSDIDALIKALKESGNTLGNTLGYSYTAHVLPRTCDNLLGNFDRMRTEPDSQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVTSGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGKAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDRRALTSHHQGAVKNMDHEVIKKSDIDALIKALKESGKALGYSYTAHVLPRTCDSLLGNFDRMGNEPDRYTTFAADRMLGIDQAVQEAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQARGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSSKEKESLGVIRPYKAVPAVPSVRSGSIHYSTKKESYRVKEVNGQRGFTLEDMTVWMDRVTEPYPHRLEQAGREGDGKALVTYSATPNIRGNDHDFIRRSEMDALIKMLKENGNIHGYSFGASMIARTIDTSPCVIDIARLNSIKSSENARA >A04p027030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16240645:16241908:1 gene:A04p027030.1_BraROA transcript:A04p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSHASVHPVEDPPPATATPVENHPPRVRMDDIEGMPGTLLGVALRFFQFLFAAASLSVMASTSDFPSVTAFCYLVAATGLQSLWSLSLAAVDVYAIMVKRSLQNRRLVSVFAIGDGVTSTLTFAAACASAGITVLIDNDLNSCAQNHCVQFETSTALAFISWFAALPSFLFNFWSLASR >A07p043470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23775398:23777032:1 gene:A07p043470.1_BraROA transcript:A07p043470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDAVTVKSSKLKSAVWNDFDKVRKGEIHVAICRHCKKRLSGSSASGTSHLRNHLIRCKRRASCNGVGAAKRVETTPLELAILPGKISISVDVWNGGGSDDSDEFLCLSAHYIDETWELRKRVLNFFMVDPSHTDVMLAEVVITCLMEWDIDRKLFSMASNRTPPFGENVANKIRDRLSQNKFLYCNGQLFDVSCGVCVINQMAQESLQSCFETINKIRESIRCVKSSEFSQENFDERDICIDDSTRWDTTCTMLEIALEQKNVFSLMKQRDPDSCVSCPSDLEWERLETVVGFLRVFVQVTNTFTKSSNSCLTANIYFPEICDIHLRLIEWNKSPDGFISSLAVTMRKRFDEFWNRNHRVLAIATILDPRFKMKLVEYYYPLFYDSSASEFIEDISEYTRALYNEHSVGSLLASSDQALDWQENHHHHHHHHHHHHQHEDRLREFDKYINETTTSP >A02p038530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21954390:21956547:1 gene:A02p038530.1_BraROA transcript:A02p038530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42450, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G42450) UniProtKB/Swiss-Prot;Acc:Q9FIH2] MELIIDRNKEKKWLFCIHYAFQLVLYVVWRECNKVRHGDKLYLSRIVRRKSHSLASALVTKSQESIPKSVGCSDSGSTCEAHQLFDEIRDLNVISGTAVIGRFVKQNRHVEAIHAFKRLLYLGIRPNEFTFGTVIGSSSSSRDVKLGKQLHGFALKIGLASNVFVGSAVLNCYVKLSTLMDARRSFDDTRDPNVISFTNLISGYLKKHEFEEALSLFRTMPERSVVTWNAVIGGFSQTGRNEEAVRTFVDMLREGVVMPNESTFPCTITAISNIASHGGGKSIHACAIKFLGQQYNVFVWNSLISFYSKCGNMEDSVLAFNKLHEQERNIVSWNSMIWGYAHNGRGQEAIAMFEKMIKDTNLKPNSVTLLGVLFACNHTGLIHEGYSYFNKAVNDYDNPNLLQPEHYACMVDMLSRSGHFEEAERLIKSLPLDPGIGFWKALLGGCKIHSNKRLAELAASKILEMEPRDVSSYVMLSNAYSAVEKWQNVSEIRRKIKETGFKRISGCSWIEVRGQGCVFVNADKSNKQKDEVYRVLSLITQHLIEDL >A04g500580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1792412:1793124:-1 gene:A04g500580.1_BraROA transcript:A04g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGVVCAVVDMHIKENVTMKKINDIFEYISDALCILREVKLIRLLRHLDVVEIKNIMCPPFTREFKAIYVVFELIESDLHQVIKANEDIGTPPLTFTTITS >A03p034040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14405967:14406939:1 gene:A03p034040.1_BraROA transcript:A03p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEVSRNIAIQLAKHGCRPSLSIIVDYIRVSIEGAFPVDLIGIWTRHVEADSQDAFLAAVQKAWTCLDVRLRTFSTSLSRPRLFDFGTSGAHLLKHFGRSTVNEVTESFTQKLRQCLGDTVKGLFNVMSNDWSPFPKIVQPRWLRDSWSSNHLIINTCIQEFVTSSHVVP >A07p041080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22181704:22184390:-1 gene:A07p041080.1_BraROA transcript:A07p041080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELQEIVDKTATCSAITEKRPNRLGGCVGVFFQLFDWNRRFAKRKLFSRKSLLPGKQGSKRFGRDDKMLKSKLNLIDDENRGGFPNRGEVVELKKHDMRPPSLVARLMGLDSLPSNHRDKDKKKKKPSHQIQDNNKCGLFGEKVEEEEENGIDKSRPQKMQRTTTGVCDRRGVMVKKFGSEALQIKNVLTRVRKQHHQHQKLASPHVRSPRLHNRRNSRLIDAATRILEPGRRNVKHAIAYPSSSGVRRFENVGKEPVEFVASCKACGSFVDVRGSSQIAEEEFGKNKACVAESTPFERSKRSVFWRNQEPSMSAASGKGREFTDPMEKKAPHPARFHSVAQSYTKRGCSSSHVNAINCEEKDFVAMNRASTSRNHHHSKARFENSDLYVQRKSYTRVEESSNRSGFSTPGRKRRLACVSGQVQGSSSVSPLDGESTCSNGSPHRNYSQRCRETKGVPSQMGERNPRTTLDAGTVGLIQQKLKEFASQEEDEAIIGSAFPNKQASLILHELLSSIAHQQPYAESACPRKGLTEMWSATGNANSDYTSPGSVLDASFSNESCFSNSFDTISVPGMRLPLEPVEPDWDVLENSLALFKNSTSSGSYQAITSLISHVSNALRCLSNTGLISTHQRLTNAREVILHTELLTGGTTSTQHSYLIGPELFDELMIYAARSDNLVNLTGITGGFLVDAMIEHLEERNTSCGLKPSSSADASQLIRSVLEEVPKWAALARIDMDEVIEIEMEKWMDVETHSFGVGSEIAYEILRCLVGELAIDLF >A05p003610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1347072:1348949:1 gene:A05p003610.1_BraROA transcript:A05p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short integuments 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G41670) UniProtKB/Swiss-Prot;Acc:Q8L607] MATKKAAKKGLIGGMSFAKDAGAINWFPGHMAAATRAIRSRLKLSDLVIEVRDARIPLSSANEDLQPQLSAKRRIIALNKKDLANPNVLNKWTHHFESKKQDCVAINAHSRSSVKKLLDLVEFKLKEVIAREPTLLVMVVGVPNVGKSALINSVHQIAATRFPVQDKLKRATVGPLPGVTQDIAGFKIAHRPSIYVLDSPGVLVPNIPDIETGLKLALSGSVKDSVVGEERLAQYFLAILNTRGTPLHWKYLFEGTNEGSAHPDSIDKPSYNLKDLRHQRSKQPDSSAVHYVGGMISEVQRSLYTTLSEFDGDAEDENDLECLIEQQFEALQKAFKVSTRASSEARLMVSKKFLTLFRTGRLGPFILDDVPET >A04p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11409185:11409811:-1 gene:A04p019090.1_BraROA transcript:A04p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVDMEKKLIMLLLLLQLLSLNSLCLGQSSSIPTANITIMGLVYCDVCSNNSFSNHIYFMPGVEVSIICRFKAASSRTREMLTFSANRTTDKLGLYKLDITSVEGVSCAAEDDKDSLMASCQASLISSSSDSCNVPGYKTTTDQVVFESQMSNLCVYGFTALNFRPFEKNIDLCGK >A04g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5604749:5605503:1 gene:A04g502320.1_BraROA transcript:A04g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAITSLWLMKSVGVKIGHDGINATNTYLKPATNELEYGNRTTDKPSTIATHRPSMHTARSLRSDRVRAKLGRYIATKLFRNVDTTPVHAFSYILRCYLPKTIANSVHVFRHSKSSIKLCEVVINVSSRKTAQRDLRHDSRPILRFLSQKPVNHRTVYAWRVRKDKCQVSADKHEIMKIITKIGKNGISSFYAMTA >A01p048530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27308679:27309910:1 gene:A01p048530.1_BraROA transcript:A01p048530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPRGLISRFTQLLSIRSTTPSPSQPSFYLLRRFSSDAESPGSEAPTRIIPGEMSPGSKRTGIIAVKCGMTALWDKWGARVPVSILWVDDNIVSQVKTVEKEGIFALQIGCGHKKPKHLTMPELGHFRAQGVPLKRKLREFPVTEDALLPVGTELGVRHFVPGQYVDVTGITRGKGFQGVMKRWKFKGGPASHGCSKAHRKGGSTGQRDDPGKVFKGRKMPGHMGAAQRTVKNVWVYKIDPARNLIWVRGQVPGAEGNFVFINDACYKKPDISKLPFPTYLAPEEDEDPSELEPLVADLGEVDPFMLAE >A02g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14688900:14690877:1 gene:A02g504480.1_BraROA transcript:A02g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLWMWLLVLGFVLSLLSGLMVKVSFVYALPSSPHLSADYEIKLLKEGVEHIDLKGVEFGETEREREKKRSKVDLVVVPVSGRRVCARTVAGTAVLSYKSFLKASLSYPPTFALSDLWLRPNPWCMAVCCVDSVVVGIFQEVEALFAPPPSVLSSRGGGLPSSVVAVLSPEGGGYSSSTLPVAPTASRGGDGQVEAVCDACRSDGADASTCSAASLLDAFDPADVVWACMVWAAGRL >A06p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14524465:14525821:-1 gene:A06p025780.1_BraROA transcript:A06p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTEPESGNRRRVVVIGGGIAGSLASKSLQFDSDVTLIDPKEYFEITWASLRSMVEPSFAERTLINHKKYLQNGRVVTSPAVNITNSEVVTADGLVFGYDYLVLATGHNDVLPKTRQEKLSQYQSEYEKIKSCESILIVGGGPSGVELAAEIAVDFPEKKVTLVHNGPRLLEFVGQKAADKAFDWLNTKKVEVILNQRVDLSSASDGDKNYRTSGGETVHADCYFLCIGKPLSSEWLKGTVLNDNLDGKGRLMVDEYLRVKGRKNVFAIGDITDIPEMKQGYIAEKHASVATKNIKLLMSGGNEKKLSSYKPGSDIAIISLGRKDSLAQFPFMTVSGCVPGLLKSKDLFVGKTRKARGLDPNIVD >A07p031360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:17367906:17368805:1 gene:A07p031360.1_BraROA transcript:A07p031360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein ZAT9 [Source:Projected from Arabidopsis thaliana (AT3G60580) UniProtKB/Swiss-Prot;Acc:Q9M202] MESYYKCRFCSKSFVNGRALGGHMRSHMPSLHKFSIQEEERASQLNDETESDVSSSSSEREEGKRNGLRDNKHPIMEDNESETESSRNVTNPTRKRSKRTRKLETFATKKRKTSQLGYKTEPEREPPQSSASDTTTEEDLAFCLMLLSRDKWKKKKNKISRNKEVVEEISTEASEGYNKSSKKGRFTCETCGKVFKSYQALGGHRASHKKNRVSNKTEQRSETEYPEKRIHECPICLRVFASGQALGGHKRSHGIGNLSVDHHHQVRVESVKQMVIDLNLPAPTDEEEVSVVFQTFSKC >A06g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14181077:14188173:1 gene:A06g504600.1_BraROA transcript:A06g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHVLRLRGAEVIALGRMFERSRGMEISVLGRVFSGVQRRLRRVCFMLELGLHFSSAMNSVSVLRFSILIPHWAIPLSFTPPSFPFQMPPRKRVVRTQTVRDAREVEAEDEHVQPAVPQQAAPPIDQDALRQMIQDAARQAAQEAVQQAAQEAARVAAQEVARQMAAVQQGQQIPHGPQVQVQQGLQIHMQQAPPVQVQHDHQVPHQPAPAPQYPQVPVQPVPGVFQVPPPPPAFPVQVPEVDETFIRVLGQMKYVSLEHFSGTTEPTVAHDWKHSLDKCLKTISCPPRLKLNIAELYLRGDASIWWDGVRLMHRGELTYDDFLYAFNKKYFPREALHEKKNDFEHLRQGAKSVREYEREFNQLRRFVGNTIDEEDMIRRFLDGMRVELRGRCSVVTYTSLEDLVEKAAVQEKCMVEEQKFSKAVQPKAGGTSGSQKRTWEQTGVPHCGRCRRQHFGECLQCFNCGLFGHISKNCRKPPRTQVAAPAAAVAPAAAARNCYGCNQPGHIYRDCPRRGNAALPPPPKRPAIAPRVGSPELVAGATVCRDHVQLDTTIRNPCLPKFRLCAGVKRTRRRRPPEPPRIPATRCRLRPTFAGAAVTGHRPFAAEKLPPRRRLAAVDFPVSRRHPPPSPPVTIVRVDRFGSELTGLTFDQRVDFSIWNLFDQLEFIDTTSSCC >A08g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6345950:6349207:-1 gene:A08g503520.1_BraROA transcript:A08g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSNVLPAPEDHVLSVIFACRDDPCPVKLNLSAGAYRTEEGKPLVLEVVRKAEQQLANDLSCDKGYLPIDGLADFNKLSAKLILGDDSHAVEENRVVTIQCLSGTGSLRVGAEFLAKHHQQRVVFVPNPTWGNHPFIFTLAGLYVEYFRYYDPQTRGLDFEGMLEDIGAAPSGAIVVLQSCAHNPTGIDPTLEQWEKIRQIVRSKGLLPFFDNAYQGFASGNLDSDAQSVRMFVADGGECFIAQSFAKNMGLYGERIGALTIVCTSQDVARKVHSQVLLVVRPMYLSPPMHGASIVTTILKNSDMYKDWTIELKGMADRIISMRQQLYEAIQARGTPGDWSHIIKQIGMFSFTGVNEKQVRWMAKEYHIYMTYDGRISIASLNSKTVPQLADAIHAAVTRLA >A05p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1091707:1092998:-1 gene:A05p003100.1_BraROA transcript:A05p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSDFKAIHGFIRSHYTRVNPVTTHRSNPSSSIASHLHTRLTSFHSLSPKPTGLSQLLSQKLQPLGLPRVKNASFAFAFRFVSTKSSGFRKVDGSFARKVVDKPVKAVSSSFARYREAMGLHVDAFWKKNSLVLYGAGGVFVCIFLWRIMFGIASTFVGLSEGMAKYGFLALSSAIVAFSGLYLRSRFTINPDKVYRMTMRKINTAADILEVMGAPLSGSDLRAYVMSGGGITFKKFKPTIRSKRCFLLFPVQGSERKGLVSVEVKKKKGQVLTFSIPHCDI >A08p017640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12246926:12247636:1 gene:A08p017640.1_BraROA transcript:A08p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLHKQNLSFVILLLGFLVVSYACDCGDPPKPSPHPVKPPKHPVKPPKPPTVKPPPHTPRPPTVKPPHTPSPPHSFPPYTPKPPTVKPPPQPTPTPSPPPPYVKPPPVPTPETPCPPPPPPSPPPTPCPPTPPAPTPEPETCSIDALKLGACVDVLGGLIHIGLGKSYAKATCCPVLGGLVGLDAAVCLCTTIRAKLLNIDLIIPIALELLVDCGKTPPRDFKCPAPQRKSPLLG >A07p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19998990:20000838:1 gene:A07p037370.1_BraROA transcript:A07p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITLMNPILALLVLLFVVPKMYNALIILVWQPFVLTRRFKKQGISGPKYRFLYGNIDEINKIKRESHVSVLDPNSNDIFPRIFPHYQQWMTLYGETFLYWNGTEPMLCISDLELVKQILSNKSGLFVKSKIRPELFKLVGMKGLVFVEGVDWVRHRRILNPAFSIDRLKIMTKVMVECTLRILEEWSDVKREQKMEMNREFRRLTADIIATAAFGSSYNEGIEVFRTQEELKKCCVRCLTNVYIPGTRYLPTPSNIRIWKLDRKMKNSVKKVVDSRLASKQDYEDDLLGIMLKSCESERKERKLSIEEIIDECKTFFFGGYETNSNLLTWTTMLLSLHQDWQEKLRDEIFQECGKDKTPDADTFSKLKLMNMVFLESLRLYGPVSYFSRDATKDLTLGHLKIPKGTTIVFPLMKMHSDKAVWGSDADKFNPLRFENGVSRAAKHPNALLAFSMGPRVCIGQNFAMIEAKVVLTMILQRFRLSLCGEYKHAPVDHLTLTPQYGLPVMLQPL >A07p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:674553:676926:1 gene:A07p000480.1_BraROA transcript:A07p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLEIIIMSQRVTALALLLNFADTWSHFHALAGIITLRAKKLSQRRGRIWESIKCCCDLETLCMTPRVAMRRRSAEAAPAEPTEKGNDGKNQNNRIYLLVSLSLLFWALLFYFHFAEKQIQLQPQPQPQPSSISLRVHKFPLDHPKEKEPLVTTLPPAPVIVEKQEFPFVRALKTADNKSDPCGGKYIYVHDLPAKFNEDMLRDCKKLSLWTNMCKFTTNAGLGPPLENVEGVFSDQGWYATNQFAVDVIFSNRMKQYKCLTNDSSLAAAIFVPFYAGFDVARYLWGYNISTRDAASLELVDWLMKRPEWEIMRGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSEVFEWQERMRNLDRKWLFSFAGAPRPDNPKSIRGQIIDQCRNSKVGKLLECDFGESKCHAPSSIMQMFQGSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNMSIEERLLQIPPEQVKIMRENVINLIPGLIYADPRSELETLKDAFDVSVEAIIDKVTRLRKNMIEGRTEYDNFVEENSWKYALLEEGQREAGGHVWDPFFSKPKPGEDSSGESNGGGTTITADAAKNSWKSEQRDKTQ >A09g517460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51904539:51905952:1 gene:A09g517460.1_BraROA transcript:A09g517460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRGIQESDEEDETYNDVVPDSPSSCEDSKISKPTPKKSRRNMEKRVVSVPIADVEGSKSRGEVYPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPSKLMITYACDHNHPFPSAANNKSHHHRTTAVVLKTAKKEENMEEEEEEEEEATMVAEEPAGLDLSHVDSSLLLGGCYSELPEFGWFYDASISSSSGSSYGGSFLDVTLERGFSVGEEEDESLFGDLGDLPDCASVFRRGTVATEEQHFGAIPFCDSSR >A01p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:62640:63940:1 gene:A01p033410.1_BraROA transcript:A01p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLEIPKQEVHIKIDDSISSSKERHTDLPHTKPIVLMSVLSSLHAGYFRISLSLCSQALLWKMMIAPDLPSMSNLRSNLPSLAFHLLWCLAVATQVSLCLLYALKCFFFFNMVKEEFSHYIGVNYLYAPSISWLILLQSAPMMEPQSVLYQTLFSVFALPVLALDTKLYGQRFTTEKRFLSMMANPASQVSVIANLVAARGAAEMGWKECALFLFSLGMVHYLVIFVTLYQRLPGGKNFPTKLRPVFFLFFAAPAMGSLAWNSICGTFDPLAKMLFFLSLFIFMSLVCRPNLFKKSMKRFNVAWWAYSFPMTFLALDSVQYAQNVKDQVASRMMLIFSSISVLIFLGVMVLTAANSNRLLRRDPVLGSATSPKDKLHLSQCGYLLTRVKSSLLHLFQVLAFSS >A08p039370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22661835:22662988:1 gene:A08p039370.1_BraROA transcript:A08p039370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNNIVIVFDFDKTIIDVDSDNWVVDELGFTELFEQLLPTMPWNSLMDRMMKELYDHGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTFFIETIVEHLGISEYFSEINTNPGVVDEQGRLRISPYHDFTKSSHGCSRCPPNMCKSLIIERIQASFTKEGNKMKMIYLGDGAGDYCPSLRLKAEDYMMPRKNFPVWDLISQNPGLVKATVRDWTDGEAMERILMGLINEIMEMEKMVSSDHCKISVGIVHEPLLPISLPVPLHLVK >A09p018660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9831596:9835350:1 gene:A09p018660.1_BraROA transcript:A09p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESDHGVDFKAYSPIESSLFSFQCVWSTKKKRSLKLVKTKEFSRKEEREGGMRPRPVIFRVYSRKRNRLSLVDNVSADGVEVILKEAPSHETLQHSDHDGMLLGDWIKQKRKESEVMTVSVTKVEPLEDTILPSWSRRKNRRRVGVEVKSVMKVEPSEDHGRSTGRKRDRRQVELEEDVAWEEELQMISKIQATKPRRRRRRGSHSPEHVTFVSGSRSRSPDSEVSDSLLKNGCSDDPESMKTSSKESKERIAICHQCLKGERVTLVVCSECEETMYCLQCIRKWYPHLSEDDIVDKCPFCHKNCNCNRCLHLNGLIETTKRDLANSERRHHLQYLIALMLPFLNMLSQSQNQEIETEANAQGLQPFEVDVTSAVSYCDERVYCDHCATSIVDLHRSCPKCSFELCLNCCQEIREGSMSQRPETKLQYVNKGYKYMHGLEMEPSSSSVSEEDEEANTSAKWNAGSDGSIPCAPKELGGCGDCMLELKRILPQDRISDLEQKAEAFLASYDNSPRVSKCKCSALETDMTRKTASRNGSTDNYLFCPRSLDVLKEEGLLHFQEHWKKGEPVIVGNALDNTHGLSWEPMVMWRALCENLDSTASSKMSEVKAIDCLANCEVEINTRHFFEGYSKGRTYGNFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPRTGILNIAAKLPEGLIKPDLGPKTYIAYGIPDELGRGDSVTKLHCDMSDAVNILTHTTEVTLSQEQISAVKDLKQKHKEQNKLEEQGSGDKDIACGREEEGMDMPEIMSYEKQQNHDETGSALWDIFRREDVPKLEEYLRKHCKEFRHTFCCPVTKVYHPIHDQSCYLTVEHKRKLKAEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIHECLRLTEEFRQLPKNHKAREDKLESDIWIKLGGMGI >A05p047920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28151861:28154057:1 gene:A05p047920.1_BraROA transcript:A05p047920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHYSNTLSSHNHHNEHKESLFEKSLTPSDVGKLNRLVIPKQHAERYLPLNNCGGGGDVTAESTEKGVLLSFEDESGKSWKFRYSYWNSSQSYVLTKGWSRYVKDKHLNAGDVVLFQRHRFDIHRLFIGWRRRGEASSSSAVSAVTQDPRANTTAYWNGLTTHYRQVHASTSSYPNNIHQEYSHYGPVAETPTVAAGSSKTVRLFGVNLECHSDVVEPPPCPDAYNGQHIYYYSTPHPMNISFAGEAMEQVGDGRG >A06p006840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2339382:2339780:-1 gene:A06p006840.1_BraROA transcript:A06p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit B-4 [Source:Projected from Arabidopsis thaliana (AT1G09030) UniProtKB/Swiss-Prot;Acc:O04027] MADEDRLLPIANVGRLMKQILPSNAKISKEAKQTVQECATEFISFVTCEASDKCHRENRKTVNGDDIWWALSTLGLDNYADAVGRYLHKYREAERERAENNKSSNDSGNEREPNITSGYSRVLEKGSSSSAR >A07p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18397827:18410438:1 gene:A07p033660.1_BraROA transcript:A07p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMPDDELARLSNDAASVVAERADEYIRKLYAELDSVRAKADAASITAEQTCSLLEQKYLSLSQDFASLESQNARLQSDLDDRLSELAQSQAQKHQLHLQSIEKDGELERMTTEMSELHKSKRQLMELLEQKDSEISEKNANIKSYLEKIVKLTDASSEKESRFAEASAELARSQAMCFRLSQEKELMERHTKWLDEELTSKVDSYAELRRRHSDLEAEMSAKLVDVEKNYNECSSSLNWHKERLRELETKITSLQEELSSCKDAATTTEEQYSAELLTANKLVELYKESSEEWSRKAGELEGVIKALEARLSQVESGYKDRLEKEMSTNQKLEKENEDIKQKLEKCEAEIEKTRKTDELTLIPISSFTRGVDISGTSNTIVESHEIISKVPAGVSGTALAASLLRDGWSLTKIYEKYQEAVDAMRHEQLGRKEAELILQRVLSELEEKAGFIQEERGEHERLVEAYSLVSRKLQDSVSEQSNMEKVIMELKADLRRRERENILYQKDISDLQKQVTILLKECRDVQLRCGAAGDEEEDDTQLSDVEMDMESEADKIISEHLLKFKDINGLVEQNVKLRNLVRSLSEQIESREMELKEKYEIDLKKKTDEASSKVAIVLERAEEQGKMIESLHASVAMYKRLYEEEQKLHSSNSRSSDPPPDVVPGRKNFLHLLEDSQEATKRAQEKTFERIRSLEEDLAKARSDIIAIRSERDKLAMEANFAREKLEGIMKESERKREEMNSVLARNIEFSQLIIDHQRKLRESSESLHAAEGISRKLSMEVSVLKQEKEVLSNAEKRASDEVSALSQRVYRLQATLDTIQSSEEVREEARAAERRKQEEHIKQLEKEWAEAKKELQEERSNARNSTSDRNQTLNNAVRQVEEMGKELANALKAVSAAESRASVAEARLSDLEKKIRSSDPKVRDMDSGGVVSLSDNEISIDLHTAKEEMEKLRGEVESSKSHMLQYKSIAQVNETALKQMESAHENFRIEAEKTQKLLEAELVSLRERVSELENDCIQKSEQIASAAAGKEDALVSASAEIASLREESLVKSSQIEAINIQMSILKNDLEKEHEKWRVAQRNYERQVILQSETIQELTKTSQALASLQEEASELRKLADARGTEISELTSKWNEEKFMLEQQKNLAEKKYHDLNEQNKILHSRLEAMHLHSAEKDSRSGKISSESTGSDQLGDSGLQSVVNYLRRTKEIAETEISLMRQEKLRLQSQLESAVKMAESARGSLNAERSSTRASLLTEDEIKSLQLQVNEMNLLRESNMQLREENKHNFEECQRLREVSQKARTDFENSENLLKQKQTELDLCMKETEKLRKEIDLQKRRVDELRETYKNIDVADYNRLKDEMRQFEEKLKGKDAHIEEIKKLVLEKENKISLLDKDLTNCKNELREREKDRTKCKTELGEREKRLDAAQQAQVTMQSEIENLKAEITKLKGDSERLRKSFTNIKRKLDKEKDDLNRENQSLSKQLEEAKEAGKRTTSDATAEQAVKERDEKEQKIQILDKFVHSLKDDLKKKDDELTKEKTERKSVEKVFGDSLAKIKQVRFSQASLACLLSLMPCVSPHPIPYSSCSLSVSQEKTKVDEELLKLERYQTALAQLSEELDKLKQADGNLPEGTSAVQALSGSVLNDQAAAYVSAVDYFERMARTIVHNSQGSTKSTGTVTEASPATVEPSAIVKASPSKAPVATTQQPPKEKRLVSLKPSAELRRPIRRIKRPQFSKPEEPPQADVEMPEAEGAGDEGKQPSSSVTESQVTTMPPPVQTHVRKRQADPLASEPQQGTQGETSSEIAPPAPKKPKGSESQPDTTEGETLPKEPDVDESMDPTTAAEDDYEEETEADNAEEKTEETTEAQQESEAEVPKADEPVEENPTETESIPTEEEFNDQTEQDNQDLLTDVEFDKEEGELDLDTLDDLEEAKDVITPTQSPIRIETTTEEAETTIEPALEDAKNDEGAVDEEASDKPDNDNNQQVAETDLKPDTTTATTVAASTSSTPASASPSETQETEETKRAASPSRTINIADKAKEQAALRQAGVATLGGTRTPSPGNRAASSSLLRGRGRVVNTRGGNRLNTRGGRTPRGRGQPPSQP >A09g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22628085:22643704:1 gene:A09g507910.1_BraROA transcript:A09g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKCHYYPCVIRIKQKTKNTFLPLATLRTVSSPARESSKTLTGIVVSGSRIIVSDSGIIFSDSGIIFSGPGIIFSGLLIIFSGSLIIFSSSLIIFSGSLIISVTLSTLKIGHKSLLFIRISGSICCVISGKPSRKDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVDSILKPDEMIRVRGSFMGPIMKLSERELKLSGKIVYAILTRSIVSVKENEAWFRFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRTHKLSDVMNQLRNTREDASEERVCLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVSLLWILESIPLLRNKFSKWVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPYIPHDPEDDISIEDKYSDELETVKDVTKKGYKFTADDWENTCVDTFDTLDALIQMMANKETDQASPPIDEDSVNEKVNRIIKRSSGTPLSPMSQQPDTHHFTDNLTHEDYTQPWSETHLSPMSQQPNLTHEETMNESAASPKSQQNEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPAAQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKTNDEIVIESPAAQTQTPSSPISPKSIEAQVFTPIQKQQTLTEGTYEATQLLTEIISANNKKEDTHAVHHTPSSPFSSLIALVIEENKSALSETETASQYFSLSEGEQTQSSRKNQAEENLKDTTKPTTELVSTDVSKTQPLTQQTHHLQASEGEQSGETPSEQNQAEEYLKDTTEPTTELVSTYVSKTQPLTQQTQHLQTSEGEQSDETPSEQNQAEENLKDTTEPTTELVSIDVSKIPPITQQTEHLQTSAIDFSETNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKLSTRHFADQHRLQKLQDTITADKIRPVTPISDQKSFEMMDKVEVFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQDSGMDNVFLKRSERHIDGAFVMLNCRRNENAPWFHNYKIPKACFLPMEFLHCLLSHDLAYKKEKVKGKKIFNDLFKDIVRGKVYPEKTWGKDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISDLLVESSGDEVDKVKMIPFDVEHAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFEGFVLFQEALPENWILINLDNKIFLVYA >A03p024370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10277476:10285954:-1 gene:A03p024370.1_BraROA transcript:A03p024370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASQKTTPCAHHGASAPPPPKLLSAVESVLRPVDSIPDTAKKPANKGISVTPRSETKHPLDPLSAAEISVAVATVRAAGANPEVRDSMRFIEVASVEPEKHVVALADAYFFPPFQPSLLPRNKSGPVIPMKLPPRRARLVVYNKTSNETSVWIVELSSVHAVTRGGHHRGRVVSSQVIPDVQPPMDAEEYAECEAIVKDFPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADAPSRRLAKPLIYCRTDSDCPMENGYARPVEGIYVLVDMQNMVVIEFEDRKFVPLPPPDPLRNYTPGESRGGVDRSDVKPLEIIQPEGPSFRVRGYFVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGSIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFFCTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFIARMDMSVDCKPGEAYNQVVEVNVKVDEPGENNIHNNAFYAEEKLLRSEAEATRDCDPFSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLARPEAKFLRRAAFLKHNLWVTRYAPDEKFPGGEFPNQNPRAGEGLATWVKQNRSLEESDVVLWYVFGIIHVPRLEDWPVMPVEHIGFTLMPHGFFNCSPAVDVPPNPACELDTKDSEVKEMEKAFRWEINNFSENKSVMKSDRFSSGGCEWYLCVYPKGKIVDDHLSLFLNVVNFRKLLPGWKRRASFSFVVLNQSGKELHRTVEKSRLFCAEAPSWGYSKILPLTKLQEDGFLENNKLTIEVNIKVTKVAHEGKSTENEIVVVHGFHVLNSQVVSMHNMFVKHPDVAVDIRSDIREVKTAYMNILLGLVQTLAKPPQSLSETELSNADSELSELTDAGFKLDWLKSKLEEVSLKRKEPSSHLKVELDKEKIESAAPAPAPRVSPFECIDKSISDKGDQLQTCYTFEIDNFSLNKYSIKSPKFLCGGYLLVHPKETRFDDHLSVYLCVYNPKSLRTGWQRKANFRFTLLNSNQSGNVLNSATERSCLFCAQFSSWGHKTLPLSKLKEEEFLENSKLFIKVDVKVVDIVHEAEITGKETLFLKGFDVLYSQFDSVHKIFEKHPNIAIDFKPKDKGVKAAYMNLLLSLIETLRKPLRSFSETELSDAESQLNELTEAGFKLDWLKTRFEEIYLERKNADADKSRAQEVEERIKNLELTLSDLKVELEKEKAKSAADATLLSFDEIVLGQK >A02p004720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2048817:2051826:1 gene:A02p004720.1_BraROA transcript:A02p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSRGSVSIDMEALSPRGQEHLVETTYGPVCVAVCGDPDKPALITYPDLALNYMFCFQGLLFCPEASSLLLHNFCIYHISPLGHELGAPVISVDAPLLSADDLADQIIEVLNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCQAPSWSEWLCNKVMSNLLYYYGMCGVVKELLLKRYFSKEVRGNAQVPESDIVQECRRLLCERHSTNVWRFLEAINGRVDLSEGLRKLQCRTLIFIGESSGYHSEAVHMTTKLDRRYGALVEVQGSGSLVTEEQPQAMVIPMEYFLMGYGLYRPTQSISPRSPLSPTRISPELLSPENMGLKLKPIKTRLSL >A09p073330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55953256:55954530:-1 gene:A09p073330.1_BraROA transcript:A09p073330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRQSGPIQAPEDPETREIVERTAALVGTHGLLMERRLLAVNVNDERYDFLRSRQDHPYYDFYRRKVVEHELTPDDEPSGDLLLFTCPPQGITRKEFGALKLTAQFVARYGMSFWRDLIHCVTMNPNPLFEFVKTSDINFSLLLRLVDAYEMALEPYKKSCLHRTNPKETVLEGFFYLVQGEKHSEEVGVQLATIDLHAFVSGLDFIASREVGELLPPGVLSTQMRSGALPPSDEDDDDDDDDDATLEVHHPSPEEHHYYPFTYPQGITPKQLGIIKLTAHFLVRYGWGFWVGLHKRVILKPHLPFGFLYSPDRSYHLLFNWLTNVYVGVMPAPGRLRLSPSAREIILDGFFYLLEDGSDIATSDLHAFVGGIDYFAKRENYEKSALLPQHPQSYTFR >A09g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28998838:29000989:-1 gene:A09g510000.1_BraROA transcript:A09g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQKGELSKKEKLFLEEFTASLDKACKDQLRKLRQDLQQQRKGRSSRDEYKKKEFDQIDRNQKHAGLKYQIPSFHGKADPAAYVEWEEKMELIFDYQSYAEVKKVQLATAEFCGYASSWWKQLVSSRRHYGKEPVATWLKLRALMRHKYVPRQYHKEVLRKQSETKPCSANSVQEQQGRIRSRSTGVIGLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIHKDEQPVQNIMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIVFGDLLPSEAKGMHVSAQQDFHYETNWRMLPTLSWIQQTGKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWARRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQIKSGDGDFIRVNGEVITGVGGKLMFSSQNNEKPPDGLSLHQSPNKSARGQTVLSAILLERRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRI >A07p044170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24134848:24137547:-1 gene:A07p044170.1_BraROA transcript:A07p044170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT1G70750) UniProtKB/Swiss-Prot;Acc:Q9CAC4] MAANRFATLIHRKTNRITLVLVYAFLEWTLISFILLNSLFSYFILRFADYFGLKRPCLLCCRLDRFFDPSGKSPSHKDLLCGDHVHALEISTVPSKPLSESKGSVEGRVEFPKELVCCAPIEPDLRDDKGYSFTANLIGDDVQVHNGRLFTERSRSIFVLEEHVGSKHLIDSQERNEQETEEKVVDDEETEEKVVDDDDEFSCFVSSFDCNKEVVTEKEEEEEEENRVDLAMEDKPAPATNLVFYIDDEDCHLIPVEEFYKPSEEVREISDVNGDFILDFGAEPDFTAAAAAAEEDVELPSDEIPAFSSPPGESTQDEAVTNQGALLMSVCPDQQQQPEPDFAAAAAGAAVEVEDVGLSSTFPSPGESEPENAETTQGDLLMFVCPDQQQQSKPDFAAEASAEEDMGLSSDEEVPLPGELKQDEAETKQDSPTDDELSQTQTDDEETDADVSIGTEIPDHEQVGDDQSHQLIIPQDNNDDDDNDHGNDTLEFRTVDIETRRPVLHANKERLLETSNCLHNAMFQLDRTEPEPEPNLEGSLTVAKLKSELEEERKALNALYEELEEERSASATAANETMAMINRLHEEKAAMQMEALQYQRMMEEQSEFDQEALQLLNEVIVKREKEIAELEKELEVCRKRLEEYEAKERMGMMMRRMRDSSVDSYRNNEGSDENNGEFGHKSVEGESEMENTPVDVVLRLDECLDDYEGERLSILGRLKFLEEKLTALNDEEDNEEEAKTFESNGSINGNGHVHEKETNGKHRVLKSKRLLPLFDAVDGEIGNGDHYENGVDESEKGEIVNVEEEVDELYERLEALEADREFLRHCVGSLKKGDKGVHLLHEILQHLRDLKNIDLIRVRENEDMSY >A03p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5217518:5218761:1 gene:A03p013300.1_BraROA transcript:A03p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFATKQSMLLLLLLSLLLLIGVSTGSFYDNFDITWGNGRANIFESGQLLTCTLDKISGSGFQSKKEYLFGKIDMKMKLVAGNSAGTVTTAYYLSSKGETWDEIDFEFLGNVTGQPYVLHTNVFTGGKGNREMQFYLWFDPTADFHTYTVLWNPLNIIFLVDGIPIRVFKNNEAHGVAYPKSQPMKIYSSLWEADDWATQGGRVKTDWTNAPFSASYRSFNDVDCCSRTSVWNWVTCNANSNSWMWTTLNSNQLGQMKWVQDDYMIYNYCNDLKRFPQGLPTECNLG >A05p050540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29399651:29402058:1 gene:A05p050540.1_BraROA transcript:A05p050540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIIFFLLSCASSRLPCASPASFTRRHLSQAPATDPSTPTPGGLPFFPADGLSSSPPPPLPPLPMPPPSPLPPSAPTYATFPANISALVLPRSSKPHTASRTLLITLISAVLAVATAIGVALFLYGRWRGQNRNFKDDSKSSKQALPRNNNTENKLTVSASTTSDVLYLGNVATSGFAKPKSPEISPLPPLSSRSFQQQSSEEEEEEEDEDDFYSPLASIAGKESRELRNYSSSDSPATSPPSVNISPVRSNKRHHVASSLRMFTLWNQNHAFPRISSASTSPERGGTDAYARSSMYSSVSTTPDRFFRKVLLEASSPPRWNDFSRNVKSLFLSSTSSPARDFVIDVSESSSRKLKSSPAVSPPPPPLPERPPPAMPAPPPLVPPSQSFMVQKSGKKLSWDGATKMKPLPWDRVHRPSSCRKNTWESLKFNSLNANPKQRSLSCDLPMFNQESRVLDPRKSQSIAFLLTTLDLTTDDVLQALRDGNYEALGVELLESLSRVAPSEEEESKLKSYSDDKLAPSEKFLKDLLNVPFVFKRVDALLFVATFDAKIEHLKQSFGVIQAACEELRNSRMLLKLIEVVLETGTKSVNARGFKLEALLDGGTTLLRSVVENIIESEGIKVLQVVRDLSLVLVDVKKTAEMDYGVLRSEVSKLYQGVQRVKEVLLLSDDEEQECGEFKETVTKFLEAAVKETRKIETEDGSTLYVVKEITEMFHGDSAKEEAQLLRVFVIVRDFLSILDKACKEMEVE >A08p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1131094:1134421:-1 gene:A08p001930.1_BraROA transcript:A08p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNDNRRDVVVKIDGEDNESSNNGEGGKFWRESSYNFWHGDQKDKNGKPHGGGDDGSFDFMHRRNEKTAETDPPSKLINQFLDKQKAAGDEISLDMEPNMPELQSNTVSPSPVTGSASPGGYRNETVDAVRRRHNRVTLSPSVKESDSSEEDENRVDESEVVKCSSNRSLRTKTLMKMKTRSRLMDPPTPSYPEMVSGRTPKSGHLKTGKNTKPGTPVQDLEEEEDPFSEEDFPEGYKKDKLNLGIVMEWIFLILIIAGLICSLVIPFLRGKKLWNLALWKWEVMVLVLICGRLVSSWIVKIFVFFVESNFMLRKRVLYFVYGIRKPVQNCLWLGLVLIAWHFLFDKKVERETNTTVLKYVTKVLVCLLVAVIIWLIKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPRVVIHIEEEKVANGMGGAKQSPPGPKTVSSASPQVTIGSGRLQRSLTRVGKSPALSRSGSKKEGEDDGIRIDHLQRMNTKNVSAWKMKRLMNVIRKGALSTLDEQIDTSTHEDDKATQIRSEFEAKLAARKIFQNVAEPGSRYIYIEDFMRFLTEDESERAMDLFEGASESHKISKSCLKNWVVNAFRERRALALTLNDTKTAVNRLHRIINVLVSIVIVIIWLLILGIATTKFLLVISSQLLLVVFVFGNSCKTIFEAVIFVFVMHPFDVGDRCEIDGVQMIVEEMNILTTVFLRYDNQKIVYPNSLLGTKPIANYYRSPDMQDAIEFFVHIATPHEKITALKQRILSYVDNKKDHWHPSPMMVFRDMCGLNSVKIAMWPTHKMNHQDMGERFVRRGQLLEEIGRSCRELDIEYRLYPLNINVKSIPPAATPITSDRIPLSWTQQRNA >A04p030520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18140037:18142667:1 gene:A04p030520.1_BraROA transcript:A04p030520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSEWLVRLHFLLLVLLCCVSPSSCATIKFITSDPLVGLVGCHPHQIKAFTQLKNEFDARQVLSLSFNGLTGQVPSSFSNLSMLSKLDLSQNDLTGSFPLVRNLTKLTVLDLSHNHFSGTLYPNNSLFELHHLLYLNLAFNNFNSSIPYEFSNLNQLKALSLSSNAFSGQVPPIFSNLTRLTLLYLDRNKLIGSIPLLQNLTMLSVLNLYENHFSGTIPSYLLTMPSLTYLDMRGNDLTGSIEYDNSSTPSSLQYMYLGNNHFEGKIIEPISNLINLTHLDLSFLNTTYPVDLNLFSSLKSLLHLDLSGNSISPASLHSDSSTPLTLAMVFFKHCGIKEFPNMLKKLHSMQFIDLSNNRISGKLPEWLWSIPQLGTLNVVNNSLNGFEGSSEALVNSYVRILLLESNNFEGALPNLPLSITVFSAGTNNFTGEIPLSICNRSSLTVLDLNYNNFTGTIPQCVSNFTFVNLRKNNLEGSLPDMFCVSASLRTLDVGYNQLTGKLPRSLRNCSSLKFLSVDNNKIKDTFPFWLKVLPNLQVLTLRSNKFHGSISPPHQGPLGFQELRILEISDNKFTGSLPPRYFENWRATSSSLTGKEDGSLYMVYEKNPYGLIAYTFTDHIDLRYKGLRMEQANVLTSYSAVDFSRNLLEGQIPESIGLLKSLIALNLSSNAFTGHIPESLADLKALESLDMSSNKLSGTIPNGLGSVSFLSYINVSQNQLKGEIPQGTQIIGQPKSSFEGNAGLCGLPLQETCFDTSDEPQPQKEEDQDQEEEEVLNLKAVAIGCGLGVLLGWAIAHKSYHLFVR >A03p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3045200:3047131:-1 gene:A03p007360.1_BraROA transcript:A03p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSLEAAAKSGNIDLLYELIREDPYVLDKIDNVPFIDTPLHVAALAGTTEFAMEMMHLQPSFARKLNADGLTPLHLAIDHGHFWLVLELVKVDPSLVRLKGRQGMTPLLLAVSKKNIDLISEFFLVCPKSIVDANVNRENALHIAVKNNEPREGLIVLKVLMGWILRLCQKDAERTETRVINCRDKDGNTPLHIAAYHNNHQAMRLMLKSSNVNVNIENKNGLTVLDVAVLLRREGSRWGRVERMVKWHGGKRSASLVKIKTTSDILSSKLTWCESRRTKSIRSYSWISEERRNALLVVATLIITATYQTVLQPPGGVSDSGESGREASNGTISHGTTSNGTKAGSVVMGDGDFVWLWIWNSVGFNIATALTLRLLSLGQESGFWYIPLFIPLLLAYYAAGGVISPNEIMLHIGNVGSFIILLIWALVVWFWEWLQSKRGKVRGPKSGLVWEGFATLDQARGVTPKGYVIK >A06g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3091217:3092318:-1 gene:A06g500770.1_BraROA transcript:A06g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLKGESRSLIEENDPKRRQMRLHRRRLSRSSPRLLSSRSSEEGSKSTICVSSVGPNSDFSVFSCLLRQTSVSVLFASPPSSLLKPVIYRIKLPGPAIIGEVISIVQFVFVIVVLLPVNKRGLLHSPKTDLCQKVSSFELDDSLVHCVLPRFEVEDGAVQREEVRRECGKGFTSSKALCGHMACHFEREKRVSCSHFFQVKKSVKSSVISHGLV >A02p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5934012:5934531:-1 gene:A02p013550.1_BraROA transcript:A02p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNNEDDDEEPLVIVADTDPNQPMVYYGREGDNYASRDCLLEKVPQTDYERLSIFKISTEIKHSHDHNTQRFFLIYFG >A05g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29712671:29716502:1 gene:A05g509920.1_BraROA transcript:A05g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLIFFASALLVTTLFIGVNSSRSNETWHEHAVEKPEEVAAMVDMSIRNSTERRRLSYFSCATGNPIDDCWRCDRKWQLRRKRLADCSIGFGRNAIGGRDGRYYVVTDPNDDDPVNPVPGTLRHAVIQNEPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACLTIQYVTNIIVHGIHIHDCKPTGNAMVRSSPSHYGWRSMADGDAISIFGSSHIWIDHNSLSNCADGLVDAVMSSTAITVSNNFFTHHNEVMLLGHSDSYTRDKVMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAGPTINSQGNRFLAPVNPFAKEVTKREYTGQSKWKHWNWRSEGDLFLNGAFFTRSGAGAGAGYARASSLSAKSSSLVGTMTSYSGALNCRAGRRC >A09p009870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5069439:5070185:-1 gene:A09p009870.1_BraROA transcript:A09p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTTNPHRRRLSATTTTTAVDCHKQVRSWRLLRTIVQLLIPSCYCTLVNPNDNQEDKSHRQIKPRTSSATTNSSSFTGTIFGFRRGKVNFCIQATNTKTLNPIIVLLELTVPTEVLAREMQGGVLRIALESNNSDGYDSHQNYSSFSLLTTPLWNMYCNGRKVGFAIKREPSKAELAALKVLTPVTEGAGVVNGEEINRAKSDHMMYLRASFKRVFGSFDSESFHLIDPRGIIGQELSIFFFRSSRK >A03p068580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30052985:30055867:1 gene:A03p068580.1_BraROA transcript:A03p068580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKENSKQMEQETEKVDKEITTVSPTAQHVVGDNSDMQSVKEEVKKQFWLSAPLIGVSLLQYSLQVISVMFVGHLGSLPLSAASIATSFASVTGFTFLMGTASALETLCGQSYGAKMYGKLGIYMQRAMFVLLILSIPLSIIWTYTETILVFVHQDKSIANLAGSYAQYMIPSIFAYALLQCLNRFLQTQNNVFPVFVCSGITTCLHVLLCWVLVLKTGLGHKGAALAISVSYWLNVILLSCYVKFSSCCSQTWTGFSIEALSHIPAFMKLGFPSAVMVCLELWSFELLVLLSGLLPNPVLETSTLSICLNTSLTLWMIPVGLGGTASTRISNELGAGNPKGAKLAVRVVVAIVIVEGIMMGSILLGVRNKLGYAFSSDPKVISYVASMIPIVAAGNFLDGFQCVLSGVARGCGWQKIGACVNLGSYYLVGAPLGLLLGFHLHLGGRGLWLGIVTALVVQVMSLSIITLVTNWDQEAMKAKDRVGSSNNEFREAEASII >A07g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6395095:6395673:-1 gene:A07g503240.1_BraROA transcript:A07g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWQSRIWTADLARKSLLWGEIGPPFGKPTKLRVSRDGFKAGRVKSEMGTEREREGDSTCIAPVMVVSGSMMLMVSQVPSICTGGASSSHYKKFENSLSTEEEDLVPAMEYEVDGEEDKSSSFCCLLVIKMKNKKCD >A10p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18316146:18319835:1 gene:A10p030260.1_BraROA transcript:A10p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKTTATAAFSGIVGGVGSDSRKVSSFSHLQPSVAFPAKPTSFKALKLKQSARLTRRLQHQPFVVRCEASSNGRLTQQEFTEMAWQSIVSSPDVAKENKQQIVETEHLMKALLEQKNGLARRIFSKIGVDNTKVLEATDKFIQRQPKVYGEAAGSMLGRDLEGLFQRARQYKKDLGDSYVSVEHLVLAFVDDKRFGKQLFRDFQISEKSLKTAIESIRGKQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSEGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDELDRAVIKLEMERLSLTNDTDKASRERLNRIETELVLLKEKQAELTEQWEHERSVMSRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLNEAEKELNEYLSSGKSMFREEVLGSDIAEIVSKWTGIPVSKLQQSERDKLLHLEEELHRRVIGQNPAVTAVAEAIQRSRAGLSDPGRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEVVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNNNADDEGSELGYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDREQINSIVRLQLARVQKRIADRKMKIEITDAAVDLLGSLGYDPNYGARPVKRVIQQNIENELAKGILRGDFKEEDGILIDTEVTAFSNGQLPQQKLTFKKIASETADAEKEEEEAFSKQSN >A08p019000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13008211:13008702:1 gene:A08p019000.1_BraROA transcript:A08p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQAFLLLTLSMVLVHFSLAQSPMMAPSGSMSMPPMPSGGSPMPMMTPPPMPMMTPPPMPMMAPPPMAMAPPPMPMTPPPMPMAPMPMAPSSSPMSPPTTMAPSPETVPDMASPPMMPGMDSSPSPGPMPPAMASPDSGAFNVRNDVVAISFLVAAHLLLV >A06p010630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3858272:3860479:-1 gene:A06p010630.1_BraROA transcript:A06p010630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLQSPPSAAALSASIQKGRWKTVAAGFSVSPPPPPAVNFTLRRSIPRINASASSSSSSSSSSPSSPSLGAGENNELDAVSAFSEIVPDTVVFDDFERFPPTAATVSSALLLGICGLPDTIFRNAVDMALADSTCAGLDTTESKLSCFFDKVDFLTTYLFTSPPQGGDLVKLVPGRVSTEVDARLAYDTNAIIRKVHDLLRLYNEIDVPHDRLLFKIPATWQGIEAAKLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRLRDWARNHSGDAEIETAVKSGEDPGLALVRRSYNYIHKYGYKSKLMAAAVRNKQDLFSLLGVDYVIAPLKVLQSLKDSPAVPDDEKYSFVRKLSPETATHYNFPNKELVKWDQLSLASAMGPASVELLSAGVEGYANQAKRVEELFGKIWPPPNV >A06p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3212806:3213901:1 gene:A06p009140.1_BraROA transcript:A06p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKLESGGATVIGDIGVEGGGGAAVEEREMLAELEGISVLDFDLLCSTVALQTQGKWRKLESSDGEDDEYGGGVLRLWEGDVMDCLEDRRLCIESACCPCYRFGKNMTRTGFGSCFLQGTVHMILIIGLLFNVAAFAVTKRHCFLYLAVAFVLLIASYLGFFRMQIRRKFNIRGADSFFDDCIHHLMCPFCTLTQESKTLEINNVHDGIWHGRGDTLCIGGYPEGKSLLELHSPPVIVSTMSSEP >A08p044200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24484186:24488505:-1 gene:A08p044200.1_BraROA transcript:A08p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMFNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVITTRDSEAMFLISNCLWVSKMFSIYLSENREVESAGYTDRSKWYIYQLPLDSGCAKSETMELDLTPKLPKQVYGGDGGSYHAWCPEELPMLKEGNIGAAKLALEQHGFAVPRYSDSPKVAYVLQGSGTVGIVLPEKEEKVIAIKKGDSIALPFGVVTWWFNSEETELVILFLGETHKAHKAGQFTDFYLTGSNGIFTGFSTEFVGRAWDLDESVVKILVGSQTGKGIVKLEAGFKMPQPKVEDRYGFVLNCLEAPLDVDIKDGGRVVVLNTKNLPLVGEVGFGADLVQIDGHSMCSPGFSCDSALQVTYIVAGSGRVQVVGADGKRVLETHITAGSLFIVPRFFVVSKIADPEGMSWFSIVTTPDPIFTHLAGRTSVWKALSPEVLQAAFKVDPEVEESFRFKRTSDAIFFPPSN >A06g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10405127:10405766:-1 gene:A06g503460.1_BraROA transcript:A06g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTMTKPKLKKKGKISEQPTPPETISECLIVCYKPELKERLFSTRPTSELNLRDASASSSSVFGRTTFLELMSWILRNVDKAYVIGFLLISLKTLKSWKLTSSL >A10g504970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12282668:12286627:-1 gene:A10g504970.1_BraROA transcript:A10g504970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AWLEPVDRCPQLTIDRRWQRCIGRRPNRTIDRHSFVVDILTVQTRDLRLSSNISCMQQIGIYRCMSIGTRSNKEKDLLFSEDPAHLERTIRRGQHSTSLDPTISSSNDTHNQPSTDTRPSSSIDPNRSTTIDTTPRTSNDTVLSKMVNIIILTQDENENLYDHDSHLRNATVVRHEKLEEGDFKIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRPVEPTIDRQSEYAVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLASPPEPKPNPLNSSPEPVQENKETEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASIWFSEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDIKQPAWLEPVDRYPQLTIDRRWQRCIGRRPNRTIDRHSFVVDILTVQTRDLVC >A01p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8512307:8515131:-1 gene:A01p017210.1_BraROA transcript:A01p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive purple acid phosphatase 24 [Source:Projected from Arabidopsis thaliana (AT4G24890) UniProtKB/Swiss-Prot;Acc:Q8H1R2] MSFGFDSQQINMRRVLFLLLCFIGLLSSNLCCGHASNGRGDQPLAQINIYKASLALDTSVHIHASPQVLGLKGEDTEWVDVAISNPKHSSNDWIAVFSPAKFDSTTCWPWQPQTKEKSPYLCSSPIKYTYMRNYPEYTKSGNVIVKLQIINQRADVSFALFSGSLDQPVLLGVSNPVAFVNPKAPVYPRLALGKSWDEMTVTWTSGYNINEAVPFIEWSSKGLPARRSPAGTITFNRNSMCGEPARGVGWRDPGFFHTSFLKELWPNREYTYRLGHDLFNGSTVWSKNYTFVSSPFPGQDSLQRVIIFGDMGKGERDGSNEYNDYQPGSLNTTDQLIKDLKNIDIVFHIGDITYSNGYLSQWDQFTAQVEPVASKVPYMIASGNHERDWPDTGSFYAGQDSGGECGVPAETMFYFPAENRAKFWYAADYGMFRFCVADSEHDWREGTEQYKFIEHCLATVDRKTQPWLIFIAHRVLGYSSNDWYGKEGTFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERTCPIYQSQCVNNDKDHYSGTFQGTIHVVVGGAGSHLSPFSSLTPKWSLVQDYDYGFVKLTASDHSNLLFEYKKSSNGQVHDSFKISRDYKDVLACTHDSCEPTTSAS >A04g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8629338:8630010:-1 gene:A04g504140.1_BraROA transcript:A04g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSQKVESYSLYTCPLSKLSVSFKSERDHTRSCSSSRLRETGNVFWLRSNEESSTTEASTIAAGGCPSTVDVRLLSFWEIRNVKCGGELMGLTCSSLKLR >A05p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10362143:10363457:-1 gene:A05p021800.1_BraROA transcript:A05p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGAKGLIMGKPSGSEKDKDKKKQPITRSARAGLQFPVGRVHRLLKTRSTAHGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRISPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSAKE >A02g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20202593:20202989:-1 gene:A02g507080.1_BraROA transcript:A02g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSGSSKRSRQNSGRRLCFCGLPTDITQAWTNKNPARQFYGCPRFKVGDECKYLSWFDEEEGTNWQKKKALIEARDENIWEKNRVIEQLLKSISEMKTIWRRKR >A09p079560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58525696:58527469:1 gene:A09p079560.1_BraROA transcript:A09p079560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRNKKTKTVEAEEEAWLLVMSIVVDVNRSDICESSSRRTRDESVFEKLKKAAQDGDIEELYKLIAEEQNILDHFDEVPFCETPLHVAAENGKTHFAMELVTLKPSLALKLNVSGFTPVNLALQNNHMRMVRGFIAIDRSLVRIMGRGRITPLHHVARIGDAELLSEILMACPFSIEDLTIKRETAVHIAVKNHQFMAFKVLFGWIKRANRVEILDWKDEDGNTVFHIAAAINQTEVMALLRKSVKIAAKNLNGKTAMDIFEAHQPPCFPEARTILRSAKERLFSCSTITLAEYLSKDLTFLEKRNNFLGLSNLSISRARPLTSSHRRDAIYVVVILIITTSFQAGFSPPGGFWQEDGVDHHNVYRKAGQMTMSFSNALIFNGFNGFAFLSSLYVIMILTIGLPMWKLIYCSTACLGLALLASYGTIFPYPNSYIGYIPLITFVYAFPSIITIMLFSVFMAFIVDKRGRRLVDFPASCFSSSHELSL >A01g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20735133:20737596:1 gene:A01g507110.1_BraROA transcript:A01g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A05g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7620636:7620975:-1 gene:A05g502400.1_BraROA transcript:A05g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGNSSSFQKPSVFYQAIKIINYNKDSGNTIEMVFVDKEGTRIHASVGEQLIKQFEGKLTEGDAKVV >A02p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30664329:30665343:1 gene:A02p049460.1_BraROA transcript:A02p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein RZ1A [Source:Projected from Arabidopsis thaliana (AT3G26420) UniProtKB/Swiss-Prot;Acc:Q9LIN3] MSEEVEYRCFIGGLAWATSDRGLRDAFEKYGHLTEAKVVLDKFSGRSRGFGFVTFDEKKAMDEAIAAMNGMDLDGRTITVDKAQPQGASGRDHDGDRSRDRDRMVGRMIGTAQRTTGMVVGIAMDLIAVGNALEDVAGMMAAVVVQEERGMAVLLTIAPEMAAFTRIYSRGQTSGRFLRSAGSLVKFQVRCSVWF >A01g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24321371:24324073:1 gene:A01g509000.1_BraROA transcript:A01g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFVGTEICTVDFRLNKETRKTLISQRSRISARANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRIDFGLNLVKGCLRTPFEDQAERSSIDRAGQEIELPGRRIPNLKSSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFEGFDENARTGVVLTFGKVQSLHSDRTLARAQSLRSDRALARARSLRSDRAFARARSLRSDRAGRSLGPYVATEIWLELGRYVAIERADRSRPSETDARSLCSDRAGRTLGRYVATELCACLVAAYRSSLACPRSDFHIRAYPRPIWIHAVRKDIFTKITFRKNGYADFYGLSGIDSVVTDFDPNTVIRRVAADGILYGCRGKTTSCRLTFEYWQRDKFWDLVSGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRFSAYTTCMVGIEHLSGDRKCWTKISDFFYSAIILVSDVRESSSTRWLNVSAYDCLVFHEGVFIEEGNFVEELIFRRPRRLAMLKICYSFVCHVSCLKCSRALKIFRDVARV >A02g513030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35792370:35792676:-1 gene:A02g513030.1_BraROA transcript:A02g513030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYQGHGGAKRYDPRHLLFVDGEVLATVVCGFSFSGNYHTVVNHGGHLQTTKTLFNYVMRIEGADFT >A05g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11832990:11833703:1 gene:A05g504290.1_BraROA transcript:A05g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNISGYVEAEASVLEARFRKLPQGSYSDSGSEAGSERPMKLPCNIGSDQRRQFNFSYTFI >A09p024950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13874272:13874910:1 gene:A09p024950.1_BraROA transcript:A09p024950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:Projected from Arabidopsis thaliana (AT4G09320) UniProtKB/TrEMBL;Acc:A0A178UXQ6] MEQTFIMIKPDGVQRGLVGEIICRFEKKGFTLKGLKLITVDRPFAEKHYQDLSAKPFFSSLVDYIISGPVVAMIWEGKNVVLTGRKIIGATNPAASEPGTIRGDYAIDIGRNVIIGSDSVESANKEAALWFPDGPVNWQSSLHSWIYE >SC117g500080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:229512:229835:1 gene:SC117g500080.1_BraROA transcript:SC117g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A01g500980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3810418:3811360:-1 gene:A01g500980.1_BraROA transcript:A01g500980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHKAGPIPFGKNLDDFARSVRNAKSGDGGVRLMEGSIDKSLMILAIAKLLFVATEITTSLLLTLLSQLSIIMDGQKAGSNIEHPLSDQRETLRMSLKNTTSSLVSYAQAQDEILNSLDKSSETSASANYLINGLS >A10p034800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20162120:20162375:1 gene:A10p034800.1_BraROA transcript:A10p034800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSAGQTRFRTFKYENNGDSNKPAVVVRAIVCFQPMANCQAEYFRHMLKPVT >A02p058140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34938284:34940250:-1 gene:A02p058140.1_BraROA transcript:A02p058140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNDAAKTGKIAVWWDMKDCPVPEGIDDHRVRPSIEGAFKEQGYSGPVSITAYGDQKQTPEHVLRALSSTGVAVVHIGSESTCAVMYLDMVKWREDNPPPATMMIITNQMLDVFNWDLARLQQRTSYNIFLAYSIRPVAALFVYTRKEWLWEKLLHRTTSTSVDTAGELSTVFHCEFCSLDCQSVKSFKKHLSTKKHAIEEVLNPQPTQLVSVTKRWGKNYAATPEYATAKIHVSWDMSECPIPDGYDARRVRPSLEGAFKELGYSGPVSITAFGDHKQTPERHLHALSSTGIDVAHALPEFIYGRMFDDLKQWRDNNPTPTTMMIISDGAEILLGGCLARLKQLKKYNLFWAYSFRPWKMSVMLTSAEWLWDSLLAVSETKRHVLRKCSGSSESVVESTGMFYCKMCFSKRKRLDKFMKHLSSKKHISEEEGITEHVEASEKRRLYCLKAKRMFSREQAVEESCLVEVEKL >A05g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2405791:2406401:-1 gene:A05g500630.1_BraROA transcript:A05g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQKRKLRGQVKSTEREYRSTLEPVNGRRVSVRAVAGVLFPSAKLLLLVPFPLSCQRPPCLSSDSATTSYGGSALGVKTRSFGGYGGEGAPSMHRCGIFREMDANLDLSSPALIREVEVCSDPPSPLLAFGKGSIIQLRLRRLWLRRVEACKAPRCRLETRCLLG >A09p021250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11356754:11361131:1 gene:A09p021250.1_BraROA transcript:A09p021250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELVSFAIEKLWDLLSHEYEQFKGVEDQVNELKTDLNTLKSFLKDANAKKHTDEVVRSCVERINEIVLDAEDTIERTRLKDVLGKRGIARFVPERRNIALEIRSLSEEIKKAVRDMKDFKVHQRIDDVKDPQPSPQRQEYPKIHEGNLVGMEANVKTLLGYLVEQDDIQVVSITGMGGLGKTTLARQVFHDNLVKKKFDRLAWVCVSHVCDHVKVWQAILQKFRSKEQQKEIQKMTRAALQGELFELLETSNSLIVLDDIWNKKDWDLIKRIFPHKAGSKVLLTSRNERVAGPGETYKDFKPECLSDQDSWTLFKSIAMPRKDASEMTPDDEEVEKMGKKMMEHCRGLPLAIRVLGGLLAEKYTIHNWERLSENVGSHLVGGTSDDNNNSLNHILSLSFEELPVYLKLCFLYLAHFPEDYEINVEDLSYYWAAEGILKYGTGDSNRDNSIRVVGDNYIWELVRRNMVISEIDKTTGRFETCRLHDLMREICLYKAKEENFLHTVGVSSPTSHFQSRRFVSHDPTTLNVEKDISNPKVRSLVVFWKSDLYSSLAEDNLFRVVPEDTSIDTFDKKDGALWSLSDLGLTRLELLRVLHLRGAKFEERKLSDSIGELIHLRYLNLEGAWVSRLPSSLQNLKLLIYLNLNVTGLSHLLTHTYLLGMEELRYLALPRCRRKKRKLELNHLINLETLVNFSTEYCDLEDLRGMSRLRTLGIKITDETSLENLSASIHGLRHLENIDIVYEGAKGTKEGRVPMGTNKWSTLLEFDKLNKLKLSTNIPLLSGELQFPSRLTSLYLFGSGLKEDPMWILEKLVHLKEVKLGSGSFSGRRMVCSRGGFPQLQKLYLGELEKLKEWIVEEGSMPLLYTLSIDNCKKLKEFPVGLPFITSLKYLRVENMGEEWKKRLSEGGEDYYKVQHIPSVEIMHIIF >A02p052520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32128253:32129531:-1 gene:A02p052520.1_BraROA transcript:A02p052520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRIGMVEKSNNKRQRVNLVPTFSINDHHDVLVEILRRLDGPSLCSAACVCRLWSAVARNDSIWEELCFRQVSPRPSLSLRSVVSALGGYRCLYFLCIRPVLARLPKIIWSRDQLQLSLSLYCVHYYERLYVGAWLGDAPPSSLMFLRKPVNRPQRRVSNGVKENEGVPLSEASTEGFQTNEAGNWETIPGSKHGAEVDAVQINDKEGIVVSPSRFIPLLGIDEEDAEENEEETVEVNKELEDGEISEGKLIQRPQTPHRGKRPTHVSSQRAARGATVRAKDLMLAGKQGAHKKTSVRKL >A04g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6189669:6191062:-1 gene:A04g502630.1_BraROA transcript:A04g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIFFSASPVLQTGVPGVRHSTFESLRLGRSSQSISSGLLRFWDSMNFKKDIKFMGITVLFLDEKVSSVIYGFIPAGRANNYMPSLKGGSIVKVDRFEVARCSSMYKITDHPFFIRFISPTIIGEVIKGAPEINLQALTSPKKQIESLFVSSLIRKKQSTRNYLYITVYIVLKSIIKNISYPRFVVKQTQSHQEIRETIPTDTKATTTSPPAHSSCIMKIAYMSNVNRPMILFSYEKYIYSFKTH >A02p050810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31321274:31323858:1 gene:A02p050810.1_BraROA transcript:A02p050810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKYFQREVLPVTALVIMECANVGLNTLFKAATLQGMGFHVFIVYSYGFAALLLLPSLFFSYRSRTLPPMNFSILYKVVLLGLIGCCSNIMGYTGINYSSPTLASAISNLTPAFTFLLAILFRMESVSFKRTSSVAKMLGTIVSIGGAFIVTLYNGPVVINMSPPSISLRSQSPNHDWIIGAAFLAVEYFMVPLWYIVQTQIMREYPSEFTVVCYYSFGVSFWTGLVTLFTEGSDLSAWKIKPNIALVSIVCSGLFGSCINNTIHTWALRIKGPLFVAMFKPLSIAIAVAMGVIFLRDSLYIGSLIGATVITIGFYTVMWGKAKEMALVEDDNKANNEDANEADLDSPSGSQKAPLLESYKNDEHV >A05p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3961384:3963432:1 gene:A05p009460.1_BraROA transcript:A05p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLRVIVLSWAFTVAWFTLWMLMIYLTKEMKLKFTDAAAIVNVFAGVSAVAHLGMQFLVDAFIGHFWMLCLSTLAFSFGFGFLAISASPILSGGAQKGLFYVALTVISVGIFGRSISLGVFTEDQLEDSGNNGNPAMLTSFVIGNVGNFVFPLLASTALPQISPWFVRFAVPAGCEVLAMLIFLSGACSYKREGPSGSPLTTVFRVFAASASKMSSGYQNNSNQLYEDTECDLDMKSHTSSLRCLDRAAMILQTEPLEQQRGNRWRLCRVTEVEQTKSVIRTFPLFTTSLISGIVFSLGNTFFLEQANHLDSKFGSWNLPLPLLLLFSEAARLGSRELCVMAAKRNAQAPKQTKTPYGIPVSIILSVFCCAIAAHVESKRLKVVRTQETVSMSVFWLLPQYVLLGSITGIYENSFALYLEETVPEELSQYMVLFNVGVCGVGIMSNISLVSVVGRVSGGKWFQDTIDKSRLDNYYWVLSALSMFNLLLYFSVTNRYTKCYKKDGGAQEMIDR >A03p035860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15029335:15032512:1 gene:A03p035860.1_BraROA transcript:A03p035860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSYLEPDYSEFVEVDPTGRYGRYNEVLGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLRHKRVNIRAVKHWCRQILRGLHYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFDYPYSECTHPAQIYKKVMSGKKPDALYKVKDPEVKYFIEKCLATVSLRVSARELLDDPFLRIDDGEFDLRSVDMDVPLVRQPHHHLADYYNYPSSSLNRQYSNGYDSHHEYPNRWAYNPAETEETHGIELFECRNGNEQEEDKSSGNVDITIKGKRRDDGGLFLRLRITDKEETDTALSVATEMVAELDMDDHRVTKIANMIDGEISRLVPSWRPGPAFEESLAAAAAAANANICSNCVSNRTSMGSVMDFLRTNPGANVAQCCRNGCGETHGRFEEITIRETEVRLRELWRLQQQQESRELSSIDSGQNHSEEEEEEEVYENPEITFPCEASNGLNHLSGSGSFSFLPSLYCDDEVVEKTEHQVQQELRWLKAKCQIEVREMQDEQLKSQWRPERDEDSVKEKMCGERLLPKCLKRTTSLPVDAIES >A07p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14881127:14881452:-1 gene:A07p025940.1_BraROA transcript:A07p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSMKLAFFIFIAISSVMSITETGANRLLQDEASQTVLLHHEASSQEAINPNKIHCKKGCHIKCVPNPFIVECFCQC >A10g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12666060:12666422:1 gene:A10g505100.1_BraROA transcript:A10g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNIFTKSLAVESCSNLNRTTKYRLSEGNRHVSNSATDKLEYDNRTTDKPSSIDTRRPRMHTARSLRSEQASVPLGRYVATELEPKLGRYVATERSSCSVAT >A02p004860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2108040:2109661:-1 gene:A02p004860.1_BraROA transcript:A02p004860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVFQKAIDEEEEEEEVCNAFDGEVDIHTQTTSSHDTKNNLKGLFTSLLSMDQKRARTMPDEENGDTTNRKKSRAASRASRDVTGSEPVQQRRLWVKDRSRAWWEERSRFDYPEDDFKNAFRMSKTTFNLICDELNSAVAKEDTALRNAIPVRQRVAVCVWRLATGEPLRLVSKKFGLGISTCHKLVLEVCKAIKDVLMPKYLKWPDHEEGLRSVGETFEAVSGIPNIVGSMYTTHVPIVAPKISVSAYFNKRHTERNQKTCYSITIQAVVNPRGVFTDLCIGWPGSMSDEHVLEKSLLYQRANSGGLLKGLWVAGGPGHPGYWLVAHQ >A04p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8100914:8106259:-1 gene:A04p008620.1_BraROA transcript:A04p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRTVTEGGRKKVLAGERDAHRRRIVPVLHATNGEVVVNTGEDNGGAMEGTADRSFLDDPILPSPGSLQGGEGGDVIVLEEELTSEGSDQKDSSELETPSAQIPSTDDLVLISSDHSAAASVEMDAQTLVADTQTLVGDRAVELGVKNHPPKDRDKPFFLVKNMNSGPKTPILESNKERILRTVPRGWNYYGNFEENDYGRIVIVWDPRVTLDVYTATAQSVTCGVTILSENITLTVTFVYGFNLVEERTSLWVSLAELQASTPVSDHPWCVLGDFNQMLRSSHHSNHLSARTDDSGMDEANLGLQDAQLFEAQAKGLSFTWRNYFLDPSQSDHALCLFRMPAHKRQVVKPFKFFHHVIDHPEYAETVGEAWNCGLIPGTVQFKLVRSLKMLKKPLRRLNKRHFSGIFQRVKAQKEIVDDLQKCLLTSPDVSTAPLPRRWPSATARLATDGKLCASEIYGVADNVNGTAARFVNFSFNRLNLFGLRGGYMYYSHGCCQLRISFSL >A07g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6380303:6385018:-1 gene:A07g503230.1_BraROA transcript:A07g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLSHEVNFNGLYTEEGVQTIWNPSKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEAITVIKFKSILSDFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKSRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVETLVPPFYPFAGYPPCAYNILVSELKLLNQGAMVGEAHGQTLEATLSQQLIAIQEFNDKIAQLGKRNKPQGKRPQQGQRRFGDALDAGYVEPKPPDPSWITKHQTSYTHEYSNYSYHDYNSADDVNIYSFLGSSWSSDYLTWERTMDDWFTYYGVPKKERLAQAIKQLSGKAYSWWKRVDKTHGKSPEEVVTNWEDLKDVMIRKYVSSRPSPEVRERYPRRFSSHGYKEAKRRVPKEGHRNLFHQDQIRPDKRSTVFYDQYQPYKVPKSMEKNLFSPDTLARHKEKSDKPILQGNAKVSPILDKFVHKSSPTGMSHLSLSKNVKTEAKPKQYQGKALESQKRMKADLLYIGAYYTVSSSKPCQEGGDDVVIRSATEPEVNPKPYSTSQGAKQNTCALKMPYLTNQEGLSHEVNFNGLYTEEGVQTIWNPSKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKERPEAMTVIKFKSILSAFQKAKDQEKWTRKSEDMFNFPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKNVRVFVSEHYISS >A01p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1328619:1330104:1 gene:A01p002870.1_BraROA transcript:A01p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQNPLFLFLLLVLVYGVSSTTFTVVNQCSYTVWPGLLSGAGTAPLPTTGFSLNPTETRVIPPPAAWSGRIWGRTLCTQDATTGKFTCVTGDCGSSAVECSGSGAAPPATLAEFTLNGAGGLDFYDVSLVDGYNIPMAIVPQGGGDASGVAGNCTITGCVKELNGPCPAQLKVATTGTADGVACKSACEAFGTPEYCCSGAFATPDTCKPSEYSLFFKNACPRAYSYAYDDGTSTFTCAGADYLITFCPSPNPSVKSAKKEGVLEPEAVSYSSASPTLSTVFSVGVLAVAFWALQRVW >A02p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8987108:8989393:1 gene:A02p019470.1_BraROA transcript:A02p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFSDAVVLIYLDNAGDLELVAKAIESSDLNFSRYGDIFFEVVFIGGRTQTGSVKSDEGERHPYSIIDCEPNREAILPSVVYIQKILRRKPFLIKNLENVTRRFLQSLELFEESERKKLAIFTALAFSQKLSGLPAETVFQPLLKDNLVAKGIVLTFVTDFFNEYLVENSLDDLIAILRRGKMEDKLLDFLPPTKRTTECFSEHFTKAGLTALVEYNEKKIYEVKLKEIKEVLTSQVTEEINIDEVIETVKQQVKDAKLPEIEVVRVIWDGIMDAVQWSGKNQQQNSNSVLRQVKTWAPLLNTFCSNGKMEMELMYKVQMQCYEDAKLMKVFPEVVRSLYELDVLAEDTILHWYRKGSNPKGRQTFVKGLEPFVNWLEEAEEEE >A09p066160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52614819:52615715:1 gene:A09p066160.1_BraROA transcript:A09p066160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLHVNRDNLIAKNNEEIKKLEVPSTNNTKTISSEAPIEHAVGDHGEIIEKNTKDDCRVNRASLVKTSVSSKRVSRTWKVPKYSKKLPRSDQEHPGFNLDYMQPTTHPPHHN >A08g503130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5949557:5951775:-1 gene:A08g503130.1_BraROA transcript:A08g503130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESNVSSSPSTRLRHRKQQQRSSSAEAVVDDGNPSPLLVNDQNKYKSFMVRTYSTIWMIGGFVMVVYMGHLYITAMVLVIQIFMAKELFNLLRKAPEDKCLPGIKHLNWHFFFTAMLFVYGRILSQRLANTVTADQFLYRLVSGLIKYHMAICYLLYIIGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIIINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFFLANIMGRFPWLTCPRQDLSTGWLQCDADPLFKPEPFTLPAWIPGWFPWKEMEVLPVQWHSLCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYLQSFIVSQSVSVDKILDQILTNLTLEEQQALFTRLGQMIGYS >A04p023690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14380852:14381818:-1 gene:A04p023690.1_BraROA transcript:A04p023690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLYSRSNLFCLANFLSSCSLSCYFHMEKGESTSTSYSDTNGSNEPDHDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSQECPVCKALVQDDKLVPLYGRGKNQTDPRTKRYPGMRIPNRPAGQRPETASPPPQQPQNDAASNFFNYGIGLMGGFMPMATTRIGNFSFGVGGLLPSLFNFQFHGFPDAALYGTAPGYPFGGYHNGFRGVPGGNNEPTAPAGGHPSDAALKNILIVVGICVFFFLL >SC313g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000158.1:44860:47231:-1 gene:SC313g500030.1_BraROA transcript:SC313g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLDMEMKHRSSTTMELEEERHGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLLVGRLREVWSELDVVKAHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELEEQDLLIKLEKLEEQLFLIMRGGMDLNQGSRSITKRIQVSMIRRRHMK >A01g509760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26633996:26634301:-1 gene:A01g509760.1_BraROA transcript:A01g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEMMIGRDALMILQGLEALHSQGYAHCDLKPANVLLFPSKTFGEPWDLKLADFGLSKEPGTDSSRSALSGGTPAAVHAPESLGLNGVNMVGPSVDMWSLG >A10p020620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14003307:14005761:1 gene:A10p020620.1_BraROA transcript:A10p020620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILLLLPLVLFLLAYKFLFSSKSFNLPPGPTPFPIVGNLHLVKPPVHRLFRRFAEKYGDIFSLRYGSRQVVVISSLPLVRECFTGQNDVILTNRPHFLTAKYVAYDYTTVGTAAYGDHWRNLRRICSLEILSSNRLTGFLSVRKDEIRRLLTKLSRDYNGQVVELEPLLADLTFNNIVRMVTGRRYYGDQVHNKEEANLFKKLVTQINDNSGASHPGDYLPILKVFGHGYEKKVKALGEAMDTFLQRLLDDCRRDGESNTMLSHLLSLQVDQPKYYSDSMMLAGTDTAAVTLEWAMASLLKSPEVLKKAKAEIDDKIGHERLLDEPDILNLPYLQNIVSETFRLCPAAPLLVPRSPSEDLKIGGYDIPRGTIVLVNSWAIHRDPRLWDEPERFMPERFEDKEAANNNKLMMFGNGRRTCPGAALGQRMVSLALGSLIQCFDWEKVNSEEIDMTENPGMAMRKLVPLRAVCHQRPIMTNLLA >A03p000630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:407284:408277:-1 gene:A03p000630.1_BraROA transcript:A03p000630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFRRASSSLATLAFRAVRSPVSLRNGAVSAERLFLGSRQLSRGSVFSFSRFSTESAVAKTTADENLVSVLESEIECAVNEEAPDENVIEDVPEGFPFEIVDTPGERTLLLQRKFEDETIQVEVDSCATYDDDEEEAEQAEANDDEDEENSVKLRIPMVVSVAKGDGVCLEFGVSAYADEIVIDSLSIKHPQGSENELAYEGPDFEYVPFSLSLNVYTRLDIVIDLDENLQKAFHRFLEIRGIKPSFTGFLADYVANKDSREYLQWLKDVKSFVEK >SC203g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:108287:110668:-1 gene:SC203g500060.1_BraROA transcript:SC203g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNLALAFKLVPACLKLSSLDQTLSKPSLLIQTPPGVFHSPNGCKVLPAHFHLTELHSLLHASPPDHADLNPLGYAPAPLIQIHSPSHDQEELFLQLLQLD >A05g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18319970:18320216:-1 gene:A05g506410.1_BraROA transcript:A05g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDILELKLKNSRSTSLSLVGLVKVSIDTDYGFSIDTPFSPSIDATTELSIDVPTSKLYRAGLTCSLG >A03p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1924267:1927099:-1 gene:A03p004590.1_BraROA transcript:A03p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthetase [glutamine-hydrolyzing] 3 [Source:Projected from Arabidopsis thaliana (AT5G10240) UniProtKB/Swiss-Prot;Acc:Q9LFU1] MCGILAVLGCVDNSQATRSRIIKLSRRLRHRGPDWSGLHCYEDCYLAHERLAIIDPISGDQPLYSEDKTVVVTVNGEIYNHKALRESESLKSHKYHTGSDCEVLAHLYEEHGEEFINMLDGMFAFVLLDTKDKSYIAVRDAIGVIPLYIGWGLDGSVWFASEMKALSDDCEQFMAFPPGHIYSSKQGGLRRWYNPPWFSELVPSTPYDPLVLRDTFEKAVIKRLMTDVPFGVLLSGGLDSSLVASVAIRHLEKSDARQWGSKLHTFCIGLKGSPDLKAGKEVADYLGTRHHELHFTVQEGIDAIEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKELHEETCRKIKALYQYDCLRANKSTSAWGVEARVPFLDKAFLDVAMGIDPEWKMIRPDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKHVSDAMLTNANFVFPENTPLTKEAYYYRAIFEKFFPKSAARATVPGGPSVACSTAKAVEWDAAWKGNLDPSGRAALGVHVAAYEGDKAEAPRPEKVQKLAEKTAEAIV >A05p052280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32372495:32376513:-1 gene:A05p052280.1_BraROA transcript:A05p052280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRNNLKRRVRSKEKGSGESDEDYVISDEDESEGDNDLNEYAFGEDSFDGCAVEEDEVEEEEEEEEEEEDVVLRSVEWPKVKTGPRGNRKITGCRSRKVEQVVVVVSDKEEDENLDDAEEGDERESVKEIGFGKRRRVFYEVEDEDGDYPEEDGEERQVEDVSCEKEEMALEEQENVSEKEDDGDYDEDEDGDEEFTGDEEDVSLDEEDEEEETLKVCKRNKRKQRSRGGGRKRRNVASGRKRRGKNTKEGVDEEDGDFVDDCLPARKRARSLRPRRRCTLQSDSDIASSGESDYEYIISEEEREQVREASSLLRSNVKHVSSTRKATVHPQLRKSPVKKSEKKVEIVMKNVCGICLSEEDMRRLKGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTISKPARSTHGVDLREVVIPVPERDQVYQPTEEELRSYLDPYENIICSECHQGEDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCDACRPVALGTAISQMHSTSEPQRVTGFYSRPSPLVVSGQYQDPSSLVFPRTPFSSGDYLFSSRNGDVQGSSPSGLGGATTLSRRRTLHRHIQNIINSDRLVNMGSSRTGGSSMIANSSDGREREPPQHAATLETGMSLYTISEERVHSNSPLISSHEPELLSPKLDSFGSEEGVRRYSSNTFRGDRPIDLELHHGLAQGDPLFGNQQHPRSYMPNTMFLTGGERLEQRVKAHLKNLSRQINLGQATFEEISTCSIHTILAACGLEHKSSKVHFVPPSVMCPHDDMRPGSSSGNNNNNSSSLMKGCCSSCFDSFVEDELKNLRPQLYSAVDYCEKSYLHSETKANDYTVKALVNAVDHLGTVASKLTDLFDQQSSDISTMELRASCVSQQLLTCRTYIDKEGLRQQQLLAVIPLHHKHYILPNYVNKKFATFD >A10p040420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22267156:22269578:-1 gene:A10p040420.1_BraROA transcript:A10p040420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIRDRPIKPKPRAKVYINKSLLPSCLPIYETQNLAALFLLCSSFFPIIRMSGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTMREIAEAYLGVTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKSKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSALTRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQGAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIARNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIQDEKIGEKLPAADKKKIEESIEQAIQWLENNQLGEADEFEDKMKELESICNPIIAKMYQGAGGEAAGMDDDAPPASGGAGPKIEEVD >A01p023380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11570756:11571930:1 gene:A01p023380.1_BraROA transcript:A01p023380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPSSDCRLCFIINPFLTRHIKVVGVEQEEAQPWCFKIDSSLESSIDLAADVESLKPMAISTMPSRIGPPSVIGKYDIREWRKRYSLLDDAILQVPALGNQDSDFGVDEMIVYEALFKSGFKDNIPALELLKGDRKRHVSDKKWAERFAFMNPPGYYTLWYFLTSLFMPPLRIKKWLIGFSLFLSSDENF >A02p011630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5083146:5083646:-1 gene:A02p011630.1_BraROA transcript:A02p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKSYYARPSYRFLGTDQSYFASTDSGLEFDESDLYSSAGSVHSPSPRKKISASVRSGKKPSNRPSSCAGAAATSLPINVPDWSKILREEHRDNRRRRIEDDDGDSEDGEEWLDGSGGRLPPHEFLARTRMASFSVHEGVGRTLKGRDLSRVRNAIFEKIGFQD >A09p012490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6375739:6378482:1 gene:A09p012490.1_BraROA transcript:A09p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 7 [Source:Projected from Arabidopsis thaliana (AT2G17430) UniProtKB/Swiss-Prot;Acc:O22752] MITTSKSQRYLLRFLVLHGGATAAAAPSSEKTLSQTPTWAVAVVCTFLIIISHLLEKGLHRLGNWLWKKQKNSLLEALEKIKAELMILGFISLLLTFGETYILKICVPSKAALSMLPCPSEKTNTLAPSLSKHLLAAGDLSVNCKNGSEPLITLKGLHQLHILLFFLAIFHVLYSLITMMLSRLQIRGWKKWEQETLSHDYEFCIDQTRLRLTHETSFVKLHTSFWTAIPFFFYVGCFFRQFFVSVGRTDYLTLRHGFISCTYSISRMENIVLGINTSCATVGTKLQAILATMALEIVETHVVVQGMPLVQGADRYFWLDCPQLLLHLIHFSLFQNAFQITHFFWIWYSFGLKSCFHKDFNLVVIKLFLCLGALILCSYITLPLYALVTQMGSHMKKAAFDEQMAKALKKWHKNIKMKKGKAKKLLSKTLGGSGSFSISPSSSGTTLHRSKTTGHSSNAIYYKQEEEHDEMSDLEAGAEDATERFQEQHKPFHHS >A02g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22149860:22158174:1 gene:A02g507980.1_BraROA transcript:A02g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKNRDLRFYLNDLNAGGYTPQKREAGGLVPAIGDLGCAGGGRRRRFHGGLVAAAALESRRASLGGCLMAQLNCHMKITSCLLALFLRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALKIHKIFREKERKKKKKISEKIRKLNQEKLLLQAYHGEEDQLRPSSPLVRLAKVWSFASPILSIQSLGPFSFVQEEIKYCPSQVIGFISRTSGRPSGNIDRVISVYYDPFPPRLGVTQSYRRLYLYPAVFERYGRRVSHTPHQSLGGILRGVHRQVLTLVGCRSDSRTAKEAVSVPDLAL >A10p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8924287:8925681:-1 gene:A10p004600.1_BraROA transcript:A10p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALNQLYLALADKPEQEKITAEEMSVIASCHLKVLWTAGFASGVAGGLGWQVAKRLKMLRLPLTVLPAVAAFTAAWDWSNSTTAVSCLDNILRQDATRMQRELVNVLVKYNRGEAWRWQLMSKHFYPEAVYNDQGEKPQMRWRKRRTFTEIAASYDDDDDVNEAKPQSTNNGLQNPHNRSVSHGSDASKTKPGVQNSPGNSDVDEEDGLDSVFGGPEPTESITAAQVNAKASSKAQTRKQKRAQRRQRRKNREEASINNSTPQYELA >A02g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9223550:9224550:-1 gene:A02g502800.1_BraROA transcript:A02g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGIKKKDEILLSQTSVALPGFVDATQLVFMVAVPQIKEVVPQVEPVVLIKSRLRVRTEVISMIEDTTHFPDDLIWDDKIEDETIVNLVRGLSAAVLTRMRAEKKQKEKEAKEKNERESQPVFKF >A02p031340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16233020:16236088:-1 gene:A02p031340.1_BraROA transcript:A02p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTCRVGGSWKNDGTTSGVGWILQLQDGSIDLLGLQAELNEFKSKLASYQSDKVVYQPITSNNEVVFLVRHARTRNYVNTSVPQHKQFILRCFLFCSSTMATKRSVGTLKEADLKGKSVFVRVDLNVPLDDNSNITDDTRIRAAVPTIKYLMGNGSRVVLCSHLGRPKGVTPKFSLKPLVPRLSELLGVEVVMANDSIGEEVQKLVAGLPEGGVLLLENVRFYKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLSTVDILLLGGGMIFTFYKAQGHSVGSSLVEEDKLDLAKSLMEKAKAKGVSLLLPTDVVIADKFAPDANSKIVPATAIPDGWMGLDVGPDSIKTFSEALDTTQTIIWNGPMGVFEFEKFAAGTEAVAKQLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >SC178g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:170951:172874:1 gene:SC178g500080.1_BraROA transcript:SC178g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDREARANVSDGSSGAGTSKGKEIDGREAGDGKLPTLSLRGGMKQELQTMKKQVEKRVLALMIKLWNQMIKKKELKRVS >A03p036830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15420060:15431839:1 gene:A03p036830.1_BraROA transcript:A03p036830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMHPRVPQSASLTCGSSRRFTGRVFWASGPFNVLGCSGCLRALAALFFFFNLPPKNTVFTLTARMSSSLASQLQAIKSIVQADTEPSKRPYTRPSILFSPKEAADFDIESIHELGLKGLEVLGNKDERFKNFANDLFSHKSREIDRELLSKEENAKIDASISSYLRLLSGYLQFRASLETLEYLIRRYKVHVYNVEDVVLCALPYHDTHAFVRIVQLITTGNTKWKFLDGVKNSGAPPPRAVIVHQCIRDMNVLEALCDYGSRTKKYQPSKPVVSFSTAVVVEVLGSVAKVDGDIVKQILPFVDSGLQSAVKGCLDQQAGALMVVGMLATRATLNDNLIKRFMRSIIDISREHAKESSDRHWLRLSFMALINLVQLQSVDLIPKKALDLLKEIRDISGVLLGLSKEFNIKRFLAVLLDSLLLYSSSDDQCLETLVSIIDNVPVDKLVDHLISKVFSTCMTQYQKNKSDLTSSTSGSWAKKILAVVSKKYSVELRAAVPKFMEDTKGQSKKEDLKLEILSRMMDGNSDISTPFMDSKLWFRLHHPKAAVRCAALSSLNSDLKSDRSKAENLITIQDAIVRQLWDDDLAVVQAALSIDQLPNIVTSSSLLDALLHLVKRCVGILLSGSQNVQLAADVVALSLKIAVSSFCNQADSTEKVASAVFPFLLIQPKSWNLNLHVLTLVKDVSWPLIKNLVADDGMKNLPDIMSGNISSISMDIINILGEALSLEPDERRIELIESACNFKLSEVLEACSNIKLTEQELNKLQKGLLIRESVSALNMNIVDQLAEAFLMHPGDIIQWLNNSCQDAPLSKTLFYLLLMQSLHKMNSSSDPSHFLDLVDLCFPVLKTEWQDLDVAVDVSFKELSKSNCRELLYQLCDTYDVTALNSKLLLCLFWKLVDSFVKLEPAHVSSVFSKRLCSGLEDLFFFFATTRSQHVFKEHLHYRVREAKVCPVLFLTRLLSREDVPPMVQIESLKCFSYLCSTGNSEWSIQIFSSFPVLLVAMSSDNQDVKVAAMNCLEALFNLWCRVDSSKKNGSAAIYSSSFDELLGMIIQQRRLILSDNKFLSSYLTSLLSSTPNDLLVPVDLQKRFDQSTKESVLSFILLYAQELPAYGKLKLLSLLKGLGILLMHDENVKSLAQLLDKRSQYYVKLDKTSPPISETEVDLLCLLLECSMMRSSLFKGQSLDDHIMKALKMDYGDSENPAVISPCITILEKLTNQYFTELKTEVQIRFFNKLVSMFRSTNGRIQNAAKEAVLRLKISCSTVVHTLDHITQQNNLVIDSLSKKKKPKKNSESCLDEDVNGGELLRGEKALSFIASLVDMLLLKKDLVHRESLVGPLFKLLGRSMSNEWVNIASSAEETSVQPPQDVREITQASISSIQQTVLLLLKDIFDSPNMNPLKADITSEINVKMLVEFAHSSNDGVTRNYIFSLFTSIIKIVPDRVLDHIISILTLVGESTVTQIDSHSKSIFEEFISSVIPFWLSKTKSEEKLLEIFVKVLPDIVKHRRRSIVAYLLRVIGEQNGLPSLLVLLFQSLISRKDSAWLGKVQTSESFLSDVKREWEYAFAVEICEQYTSSTWLSSLVMLLQTISKDSSSKECFFQMRLVLEFIFQKLQDPEFAFAVSLEPRNNVSVGIQQKLQELMKGCILLLQAVDSTKEKDVTSLVRKEIRMRVHDILMTVTGVMDLSIYFRVVTSLLQQQSDRNGTRKVLGLISARAKDSSSSKLKQKRRLSNQKRGNPWLNLDEAAVEAFGKMCEEIVNVIVETDDESGVPAKRAAISTLEVLASRLPSGHQIFSRCLASVAEGISSKNLGVSSSCLRTTGALINVLGPKALVELPRIMKNLVQQSREVSSASTTTGSATADEQLVMLSVLVTLEAVIDKLGGFLNPHLGDIIRLMVLHPEYVSDFDKNLKSKANAIRRLLTEKIPVRLTLQPLLRIYDEAVTSGDASLVIAFDMLENLVAKMDRASVVCNHAKIFDQCLVALDIRRQNPATIQNVDDAERSVISATVTLTKKLTESEFKPLFIRSIDWAESDIVDGSGNENKSVDRSISFYSLVNRLCESHMSIFVPYFKFMLDSIVSHLTSAGASVSTRKKKKAKIQETVDTIPPKSWHLRALVLSSLNNCFLHDTGSLKFLDANNFQVLLKPIVSQLVVEPPSDLKEHPRLPSVEEIDELVVLCIGQMGVASGSDLLWKPLNHEVLMQTRSEKLRARMLSLRSVKQLMDNLKEEYLVLLAETIPFLGELLEDVDLSVKSLSQDIIAKLEEMSGEDLAQHL >A07g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21329048:21330453:1 gene:A07g507700.1_BraROA transcript:A07g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDAISVQTDDGAATSFSGNLCSGYTIHSVMVVITVNPKIFGGIGGTEEVLPHVEIFTVPLQSPTTRPIRLIFYVRLGSSVSSSKMVGRQKRSSVACTGCSRRLEKSKTSLQCNRCVSPNVTGVIRLQAAAKHHRCTTNRNNHQHLATSHVMHTRKKWTVRQQNHQALETMRTPASACE >A05p053290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30793664:30794257:1 gene:A05p053290.1_BraROA transcript:A05p053290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIGHT-DEPENDENT SHORT HYPOCOTYLS 2 [Source:Projected from Arabidopsis thaliana (AT3G04510) UniProtKB/Swiss-Prot;Acc:Q9M836] MDLISQNHNNKNPNTTLSTQHASSPPPSSRYENQKRRDWNTFCQYLRNHRPPLSPPSCSGAHVLEFLRYLDQFGKTKVHHQNCAFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGAPDTSPFGSRSVRIFLREIRDFQAKSRGVSYEKKRKRVNNKQITQSPSQPPLPPQPLEQQQQGQSMMANYHHGAT >A01p010060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4904697:4906363:1 gene:A01p010060.1_BraROA transcript:A01p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLNPFDLLDDDTEDPTLLAAAKPLKVEKPAPAQPAKMPTKPTPPSQAVREARGGGRNGGGARGGGRGRGGGFNRESRNSDAPANENGYVGGGYRRSEGGDAGRRGGYRGRGGRHGDSGDLERPRRNFERHSGTAHGHELKRDGAGRGNWGTIEDDIPPRVTEGSTPVVEKDLAVEKEGEASDANKETPVEAQAEKEPEPEDKEMTLEEYEKVLEEKRKALQATKIEERKVDTKAFETMQQLSSKKSNNDEVFIKLGTDKDKRPVEKEEKTKKSLSINEFLKPANGERYRGGGYRGGRGRGPRGADEGGRGRGAEGGGRGAKGGADRKAAAPAPKIEDAAHFPTLGK >A05p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7146925:7148307:1 gene:A05p016060.1_BraROA transcript:A05p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESPKSIYDFTVKDISGNDVSLSQFKGKTLLIVNVASKCGLTDANYKELNVLYEKYKEQGLEILAFPCNQFLGQEPGNNEEIQQTVCTRFKAEFPIFDKVDVNGKNTAPLYKYLKAEKGGLLIDAIKWNFTKFLVSPDGKVSQRYSPRTSPLQFEKDIQALLGQASS >A03p010450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4198651:4199801:-1 gene:A03p010450.1_BraROA transcript:A03p010450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSDVNMIPAGESSSSVAASSSSKKAKRFEVKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >A07p015940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9849521:9855086:1 gene:A07p015940.1_BraROA transcript:A07p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYDDIFNDQSLQFSPLRLSPSPEPFTSIVGVLQDPLVEETENVREETVGLINNSAISEANPSRSAQCPDLIPLPDTLTLVQSPPEVQQDQQFLYNPHGSLQGNTNGQLDHQSLFSQKTMNHFQEPNDSAMQQDHNFGCNPHGLLQGNANGQLDQQSLFNQNTMNPFQELNDSTMIGVGQQIEEENNGYAHPVMTQSFGLPNHLQEQFSLPLSQSYPSNLRYQNGLIDSHSNIYDQQPPPLVQANREDEALVPRMVTEVTSRNQGNVQELLNKPSSGVKYPTLKDYAHLFPSTKAFAPIEFNVLWRQQENNPSRFESRHRNGQSRLFERNVFGRRQRNDSSGIEDVESSSAAQRRRTVSPKRNVDLTADDLGDKSLGLKIDPHLRVFFPPKRNVGRGPKENQRRQEPDERPPSTGKRDRRLI >A06g500090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:523448:523627:1 gene:A06g500090.1_BraROA transcript:A06g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTDTPPRVPGGISAEGWTIIGSVITLIVLIVIWRVIVYGCKKRQEISPRVVADVEMN >A02g512880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35297217:35301041:1 gene:A02g512880.1_BraROA transcript:A02g512880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFKVMKPVNEVDVSCVKYKEEDIKAPHLTGFLFKLFVKMLEAPLIGSLIVETLKKNNGMTQIFRNTVIPEEPMFRPVFPSQKPEVDVVLVGEDESPLNRLETALKCLPQYDPSLSFQADSSSSFRYWKIRDYAYAYRSKLTTPSVVAEQIISIIEEFTYDKSPTPFLISFDANEVRKQAEASTHRFEQGHPISILDGVFITIKDDIDCLPHTTTGGTTWLHEERSVEKDSVVVSRLRSCGAILLGKANMHELGMGTSGNNSNYGTTRNPHAPERYTGGSSSGSAAIVASGLCPAALGTDGGGSVRIPASLCGVTGLKTTYGRTDMTGSLCAGGTVEVVSPLASSLEDTLLVQQSTILNGVATQKYAVILGSSSADRLNLNPTPPCLPKLLSHNGGNAIGSLRLGKYTTWFNDVHSSDISDKCEDILKLLSNNHGCQVVEIVVPELEEMRAAHVVSIGSATLCSLTPYCEAGKNPKLSYDTRTSFAIFKSFSASDYIAAQCLRRRLMEYHLDIFKNVDVIVTPTCGMTAPLIPPEAVKNGETNFQVAAYLMRFVVAANLLGFPAISVPVGYDKEGMPIGLQIMGRPWAEATVLALAAAVEELAPVTKKPAIFHDVLNTNRIHEEK >A03g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19526377:19526992:1 gene:A03g505530.1_BraROA transcript:A03g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKMEVMISELGKRPMLRDSSFGDDYEKEIGALLGEQRRRQEEADELKKELNLYRSGSVLWTVPLAPLPEGFSAAEVGLVEAIKEMGLGPVKMLADDLDAEEATHVPGNDNVVRSYG >A05g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7033496:7034559:1 gene:A05g502210.1_BraROA transcript:A05g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVLQKFLVASMFMGMLPIAILYCFNNDLLPGSATLSPHSLTLLSGFLAVVSVNVVIVFYICVALKEPVDKHKPDASFVADAKDSVKKLTSGVSPSTDPALKKQE >A09p069630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54227990:54228522:1 gene:A09p069630.1_BraROA transcript:A09p069630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRKTEETNKQDRSFKTRAKAPTFNSNQQYEKDTKSNSRLWFITEAQYAYGVRNKKFSVKRIPCCCFLQMILIQN >A03p064330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27964885:27965226:-1 gene:A03p064330.1_BraROA transcript:A03p064330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAVPPPPRPNRPADNDPAAAVPLNEDAALVITATTYAEATCLSEGQENEGDNGNRANANENADAGQQGNQWWGIVKEIQMIVFGFITSLLPGFHNID >A05p036630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20790016:20793986:-1 gene:A05p036630.1_BraROA transcript:A05p036630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDHNFSENQDTQPIDSLPSSPSDSGEEKDGLFGNLGDEFMNDTVPFEDDAWISDEFMETQVIDNDEFLLCRETQAVDLGFGTQEEPFVEDEQLLQGFDGLATQVLDPSAEDSDGVDVTVFLVDNSEVSDCGDSSSRRKLLSSEDKSREHAPSSGHENVNPTGKVARFASVRSAAFRASAVSAQKLLNRDTPTLASCHSTGQGATNSSILQQHFGEVGNQKFPPNTFVEKKNDGKRTARKLLFEDDSPEENCPSPGLSYIDSQEPGEASQASALKFVDKLISESGLNLDVEAEDDYGRRREETLHKISSVKGPQELAKKASLKARAHGKSMFDWDDNREDEGGGDICLRRKEELFGVASKAQKPSSLPREQRRELEVLVDKSQRRAHSDSRLLQGCVTRGPKMIQAAKKNLDKELDAVSEEGYNRISDMRDLVELGYETQVAAEAVDAMRSGVRSKVNAEASPGNKLSPGEERVITRQSKGTKRIQAMGKEELLRRRMNKASPSPAKACRKSIERSLQSDQLDKEGPYCSKRRIVHTDPRESRNNLVDKMDEVSKESKTRMFDRREEVEAGPDTQMAAEVISALHSGDARDEAKKSSRGVVTRKSKRLKGIQAVDDDDESLKPKTKKAKSVLAKACEKNIDSYSKNEKVDLPDEIVVSKLLKQPSGGEADVLSYPKRRRSARFLQDQVTEAERSSEPAFDTPAKSNAPSKNVSPICMGDEYHKLSCKDSRTSNTTREFRNLTSPSMEPVPETKSTRKRRDLRSVRVLFSQHLDEDVTKHQKKILARFDISEASSMTEATHFIADNFTRTRNMLEAIASGKPVVTTQWLESIDQVNIYVDEDLYILRDSKKEKEFGFNMGVSLARARQNPLLKGRRVFITPNTKPGLNTITTLVKAVHGQPVERVGRSVLSDDKVPENLLVLSCEEDRDISIPFLERGAEVYSSELVLNGIVTQKLEYERYRLFTDHVRRTRSTIWIRDGKGKFQRRRG >A05p008360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3387614:3388546:-1 gene:A05p008360.1_BraROA transcript:A05p008360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 9 [Source:Projected from Arabidopsis thaliana (AT2G39430) UniProtKB/Swiss-Prot;Acc:O80630] MTKAHHITILLFLISANFLAFINSARLLDEIQPQSQLVPAGQIPTVAPTEAEEEPAPATTLPSGPAGAGHEPLLEFFMHDVLGGSHPSARVVTGIVAQTEVNGIPFSKASNSIFPVDNGVPLVNSNNINSVINPNTAPLLTGLGGAQTSTVIQNTNGNSNDALSTNSLPFVTAGNLPPGAALQHLMFGTITVVDDELTESHELGSAVIGKAQGFYLASSLDGTSQTLSLTVLLDREHDHHDTLDDAISFFGVHRTASHASQIAVIGGTGKFEHAKGYAIVETLHNQNNQHITDGQDTILHFSVYLTYYKA >A01g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15630920:15632094:-1 gene:A01g505210.1_BraROA transcript:A01g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTTLVWARRRGRSKTGAATWDCRLNRRRKSISDCEDHAKDHLGVKRMMEGHIGTKMRCTNKDIGSSWGKKADGNKDHRGGNMVPALFPDEEEMEFAEQPNAHIRERTVRHRVLMPHFQRAAESSRLYQGSTRESLGPIRVEDSVPTRRRGRPRKIMNIDAESLRSITGVCRCGTLIQARQGPRSVREYIEEFLESDKRCKPKSAEDWCRWYKVGLRKEIQGKLIGVLEPWKFALVNRMAGQAMNAERTLTRRVVAISSSEEDVAVEEDPSEDSEWEEEPASSPGSGRVAGSKPEGEQKSPVRSG >A10p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3958052:3958632:1 gene:A10p014910.1_BraROA transcript:A10p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLFTPQVHIIHENAINFFFFFENDIFTLSPSSSSSNYNIVIVINTITTMNNQFEALNAPKIDLPFFFLHSYELNTTSLSLSLHIKLKNPKILIQHFYGMKSSFFPDLAIDDLPRSRMVNAEVIFGIDFEICFLRRMKYKSSAFVWLQKNLQRT >A01p056070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31558976:31561267:-1 gene:A01p056070.1_BraROA transcript:A01p056070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKIRTDEADSLIKEKQKKEKKHKKEKKDKEKKEGKDSKDKETSKDKHKERKEKHKDRKDKDRNKEKSRTLDDRKAAGVLPNTKDRDKLVTNTLQNNGNGESKFIQDLARRIRDEEATENQSMGKIGTLNGVVMEKRSENTVFPVSSCTDQEGTEFTLKPMENKNQAKKMELQGKNHRRESVTMADMPVGSEGIKRSEPKYTTHRSSKEEKTEALNKIGKDKPKYEEGGPKLKERHVDTSNFRRQGDFSRDSIKNLSSEGILGKQKDTNGFLYENGTRPNKMLRPVASPVSSVENGRKLGECQTPLKPVSELQGTLCNPEAKEHRVNGFIKPQEPKSHPSISSVKAKENGEASAKKRPHSDLKYLDQILNVPERDEVDETEGQEWLFGQSGVKLLKKPKTDSSTSLDERPQVWNQALNIESADIVALPYVVPF >SC210g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:73551:76315:1 gene:SC210g500060.1_BraROA transcript:SC210g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKTEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDSNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIVEVMKTRSSQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNERAHAKALIPPIDERIQGFWDSIPVSPDTEEVPTEFPDGGEEVDRPADAFGLDGRICIYRDWPLVALNPLPLYAMFETRALGLGQDLGLLSVKVCAVTSRLSFFLSRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKDCWGQKRLRRNYHPKILGDRISKRDSKRRDVIFVKITNTKFLRRSILWDSNRTNQARSPRIHAVR >A03p037480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15692431:15694257:-1 gene:A03p037480.1_BraROA transcript:A03p037480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCSVSFISSLIALQLLPLFTIVNGQQATDSCNSTLPLKDLAFDSRHHQCVEVWRVQNYILRYARTVENTWSFILSAPDSSAYIGIGFSTTGQMVGSSAVVGWITSDSRSGSAKQYLLGGKSPGEVIPDQGDLKIINGSLKIESVSSRLYLSFQLRAELPRERLLYARGPAEFFPSSPDFRLREHQFMTTTTINYNTGPATFGPSMSPGPGSSPPSPSSAYGLSPSLLFLFMGLVALKFY >A07p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21557030:21559675:1 gene:A07p040710.1_BraROA transcript:A07p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEKALELVKHGATLLFLDVPQHTLIGIDTQMFTVGPAFKGIKMIPPGIHFVFYSSSTRDGKEFSPTIGFFIDVAPSQVLVRKWNQQEEWLAKVSEEEEERYSQAVKSLEFDKHLGPYNLSQYGAWKHLSNYITKDVIEKFEPVGGEITVIYESAILKGGPKTEMERALDAQMKKSKSEASSSSSTEQPKGNRFYYTSIPRIIKHKGISGQELTSLNLDKTQLLESVLSKEYKASEDLLLGELQFSFVAFLMGQSLESFMQWKSLVSLLLGCTEAPFQTRSELFTKFIKVIYHQLKYGLQKESSGPEMGVLALLDDSWLASDSFLHLLCKDFFALVEEASVVDGDLLSWTRKFKELLESRLGWEFQKKSDVDGIYFDEDDEYAPVVEMLDESPGYYMAT >A05p009590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4010244:4012214:-1 gene:A05p009590.1_BraROA transcript:A05p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIRRESSKLWKRFWSEIATEIGLLAENWKYLLAGIICQYIHGLAAKGVHYIHLPGPTLQDLGYFLLPELGQERSYISETVFTSVFVSFFLWTFHPFILKSKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSKVSTLPWPKSPLEVLEINPHGVMYGCGDLIFSSHMIFTLVFVLTYQKYGTKRFIKLFGWLIAFVQSLLIIASRKHYTVDVVVAWYTVNLVVFCLDKKLPELPDRTTVLLPVISKERTKEENHKLLLNGNGVDPADWRPRAQVNGKIDSNGVHTDNSLNGA >A07p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16130876:16133146:-1 gene:A07p028580.1_BraROA transcript:A07p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVSATSQEISPHGGNESPTLGELLKHFDDGDRKKHSGEEASFHHILDLASPELRPVPFLMSFNNLSYDVKVRRRFNFSSPAPVKTLLDGVSGEACNGDILAVLGASGAGKSTLIDALAGRVSNLRGTVTLNGEKILQTRMLKVISAYVMQDDLLFPMLTVKETLMFASEFRLPRSLSKSKKTERVETLIDQLGLRNAADTIIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTNAFMVVQVLRRIARSGSIVIMSIHQPSARVIDLLDRLIILSRGKSVFNGSPTSLPTFLSDFGHPVPEKENITEFTLDLVRKLEGSTEGARELVEFNEKWQHNQSARATPMTTPYQALSLKESITASVSRGKLVSGSTSSNPISMESVSSYANSPLVETFILAKRYMKNWMRTPELMGTRIATVMVTGLLLATVYWRLDNTPRGAQERMSFVAYGMSTMFYVCADSVPAFIQERFIFLRETTRNAYRTSSYVISHSLVSLPQLLALSIAFAATTFWTVGLSGGLESFFFYCLIIYAGFWSGSSFVTFVSGLVPNVMISFMITIAYLSYCLLMGGFYINRDRIPIYWIWFHYISLLKYPYEAVLINEFDDPSRCFVRGVQVFDGTLLAKVPEAMKVKLLDTMSDSLGTNITESTCLRTGPDLLLQQGISQLSKWDCLWITLAWGLFFRILFYFSLLFGSKNKRT >A08g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11315571:11316679:1 gene:A08g506560.1_BraROA transcript:A08g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSQTSSASIRSTPNNEDPPCHCSQPTALTMAWTEENPGRRFYKCDEHGFVVWHDKEKSCRWQKQSLLEAREKILTQAEEIKALTIALRRANAQIAALEVSRSSGSINESLKAIEDHISAHINETQKVFRNLVLYSGGGFAIATAVIIFYMKKPNAPKNN >A03p030830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12952789:12954250:-1 gene:A03p030830.1_BraROA transcript:A03p030830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIFSPSVARILKKGAGVATQRFRSNQFNGSDRVKFRYRPSFEILLSSVFNVCCCCSLESEARFCTNRSETMADEHKHEESLPNLDPAVEVVERGSLMDKISEKIHHKGGSSSSSDDESEKKPSSPSSLKSKVYRLFGRERPVHKVLGGGKPADIFMWKNKKMSGGVLGGATVAWVLFELMEYHLLTLLCHVMIVALAVLFLWSNATMFIHKSPPKIPEVHIPEDPLLQLASGLRIEINRGFSSLHEIASGRDLKKFLSALFGLWILSILGGCCSFLTLAYIALVLLFTVPLVYDKYEDKVDSYGEKAMAELKKQYAVLDAKVLSKIPRGPLKDKKKD >A06p014380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6457224:6461068:-1 gene:A06p014380.1_BraROA transcript:A06p014380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 [Source:Projected from Arabidopsis thaliana (AT1G16970) UniProtKB/TrEMBL;Acc:A0A178WAS1] MELDPEDVFRDEDEDPESQFFQEKEASKEFVVYLIDASPKMFSSTCPSEDEKQESHFHIAVSCIAQSLKSHIINRSNDEIAICFFNTREKKNLQDLNGVYVFNVPQRECIDRPTARLIKDFDLIEESFIKDIGSQNGIVSDSRENSLYSALWVAQALLRKGSSKTADKRIFLFTNEDDPFGSMRISVKEDMTRTTLQRAKDAQDLGISIELLPLSHPDKQFDISLFYKDLIGLNGDELTEFMPSVGQKLEDMKDQLKKRVLAKRIAKRITFMICDGVSIELNGYALLRPATPGTITWLDSTTNLPIKVERSYVCADTGAIMQEPIQRIQPYMNRDVMFTAAELSELKKISTGHLRLLGFKPLSCLKDYHNMKPSTFLYPSDKEVIGSTRAFIALHRSMIQLGRFAVAFYGGTTPPRLVALVAQDEIESDGGQVEPPGMNMIYLPYANDIRDIEELHSKPGVAAPRASEDQLKKASALMRRLELKDFSVCQFANPALQRHYAMLQAIALDEDQLGETRDETLPDEGGMNRPGVVKAIEEFKESIYGDDSEEESDSGAKEKSRKRKAADAGDYDFVELAKTGKLKDLTVVELKTYLTANNLPLSGKKDALINRILAHVSK >A02g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13035442:13035722:-1 gene:A02g503910.1_BraROA transcript:A02g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAAIRTGESSPPLLFRQVSPGPGDSTMQFRLLHFWDARKNVKGGPGIILGIELLLIDAELC >A04p040530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:22875964:22876986:-1 gene:A04p040530.1_BraROA transcript:A04p040530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGEARAVWQRTVNRYLVQEDAKRAPKFTSSHSSSSSSSTTKQVQEDSVSSPPVVHSHNQSAFMPLDYPQLLDHHPHHLHVKTPLEAEVNISEKKPELGAKETRESYGSFGKDESSEIPFDPSSPWNPLSSEKAGPWWRTTDKDELASLVAQRSLDFFVENCDLPSPQKMKRSYCYGSPRSCLDSVSNQTIHEHGPSSFKKRNESYCESDLSKSELLEALRRSQTRAREAENMAKEVCAEKEHLVKLLLKQASELFGYKQLMQLLQLEALYLQIKNKKEPPPVDSIAWSSSKGRKEGRKRRNKMSKPNGLFGLAWGMSLVGAGLLLGWTVGWMQILSF >A05p037580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21348561:21351046:-1 gene:A05p037580.1_BraROA transcript:A05p037580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASPPGIAILGAGIFVKTQYIPRLAEISDLVNLKAIWSRSEESAKGAVEVARKHFPGVECKWGDEGLNEIIQDSSILGVAVVIAGQTQVEMSLKMLKAGKHVLQAIGEIETAMTSYRNISADSPCHQIWAVAENYRFEPAFVELKKLVEEIGDMMNVQLIIEGSMNSSNPYFSSSWRRNLDGGFILDMGVHYIAGLRMLIGCEVTSVSATTSHVDKTLPAPDNITSNFQLENGCSGVFVMILWRVVGLKGTVQLERGVQDGRHGYMATVYGEGGTSRTIFYPFSGVTEELKAFFSDISGASKEQEPRLSYVEGARDVAVLEAMLESGARNGAVIPVKKF >A06p014030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6335214:6337045:1 gene:A06p014030.1_BraROA transcript:A06p014030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDRLDLELSDEVLSVIPMDPFEQLDLARKITSMAIASRVSNLDSEVVELRQKLQGKETVVRELEEKASRLERDRREADSRLKTVLEENMILTKEKDSLAMTVTKLTRDLAKLETFKRQLIKSLSDESAPPQTEPVDIKACDQSSIGSTGSYTGKDERTTVHSTHRSYSGSSDMNNPIVEASKYAGNKFSMTPYISPRLTPSATPKIISTSVSPRGYSAAGSPKRTSGAVSPTKTTIWYPSSQQSSAANSPPRNRTLPARTPRMDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKADEIFGEENKDLYLSFQGLLNRNMR >A03p058460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25394834:25396319:1 gene:A03p058460.1_BraROA transcript:A03p058460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKFDTEKMQERQNFRNVWHTDLTHTIQGDTPYCCFALWCAPCASYLLRKRALYNDMSRYICCAGYMPCSGRCGEAKCPQLCLATEVFCCFGTSVASTRFLLQDEFQIQTTQCDNCIIGFMVCLSQVACIFSIVACIVGIDELSEASQLLSCLSDMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPPQLMSRIDQATPPAIGYPPQGYPQHPPQGYPSSGYPQNPPAYPQYPPGPAYPPQGYPK >A10g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17670903:17671451:1 gene:A10g506280.1_BraROA transcript:A10g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSLAQGRGQGEEGAVRFFVRLFGFVSGRRRLLQLRRRRFLSPRGRGYRSSVVVGLDLGGFKVLVCLGGWRSRRRVIEARPRLTVLGRTKLLSRLVFTGVEGVCGGDGWIEWFPILPGESTVGLPGDVPKGVDV >A06p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5179264:5180701:1 gene:A06p002410.1_BraROA transcript:A06p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFLRCFKPKFYKKCKSATSYMKIRLEIVRKRRIAMIKFLKMDIVEFLKNGLDYDAYRRAEVLLEELRIISCYDILEQFCDCISENLSLMLKKRECPEECREAVSSLIYAAAWVPDVPELKDLRAVFTRRFGSFIASSVNYELVEKTELRRRPSRELKIQTVKDIAKEFSIDWDPTALNLLLLRQTSALQIEDKVETGADDPKIERKKSIVNDQSENESVLSQAWTRDSLSNRSLSSSSSSSFGSPRRDTEKKKKKKILPYGIISPSETKPGAGNDEKAQEEKLEKKKSIDQENSKLLKPQGSEKEAFSTRKNERTSSFQRPKLLDYDDVVAGLAALRRR >A09g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12615488:12616170:-1 gene:A09g504040.1_BraROA transcript:A09g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSPTMSERASDRGTNEIAIPKLPAYVSSPSSTSAPASARPKNTSKCFSLYIRSASVSLSLYRRPYSYLIRILHVPETLVSKATCMDIFHNNKCNFHFLGMLINLLLKFIPLSISPRYSLALQVYTTPAQHLDSTWSSPLTTTSALATTSATTSG >A02p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4333901:4343346:-1 gene:A02p010080.1_BraROA transcript:A02p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVNIIVGSHVWIEDPGLAWIDGEVVKINGEEVHAQTTNGKTVVAKIANVFPKDMEALPGGVDDMTKLSYLHEPGVLNNLAMRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAIAEVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVELQFDRSGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPPEEREKFKLGDPKSFHYLNQSKCYKLDGVDDTEEYLATRRAMDIVGISEEEQDAIFRVVAAILHLGNVEFAKGKEIDSSVLKDEKSRFHLDATAELLRCDAKSLEDALIKRAMVTPEEIITKTLDPDSATGSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKTIIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEDYTKEEINWSYIEFVDNKDVLELIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFKNSKRFTKPKLSRTSFAISHYAGEVTYQADLFLDKNKDYVVAEHQDLLIASSDTFVAGLFPRLAEETSSKTKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENLNVIQQLRCGGVLEAIRISCAGYPTKRTFYDFLNRFGVLAPDVLEGNYDDKVACRMLLDKIGLKGYELGKTKVFLRAGQMAELDARRAEVLGNAARRIQRQSRTFIARKEFRALRGAAIVLQSNVRGKLACNLYEEMRRQAAAVKIQKSFRRHIARESYLKIRHSAIAVQTALRGMVARNEFRYRKQMKAATIIQARLRSHLAHSYYKKLQKAALSTQCGWRSRVARKELRTLKMAARDTGALREAKDKLEKRVEELTWRLQLEKRQRSELEEAKAQESAKQQEALQAMRLQVEEANAAVIREREAAKKAIEEAPPVIKETPVLVEDTEKINSLTSEVEALKASLESERQAAENLRKAFSEAEARNSELATELENATRKADQLHESVQRLEEKLSNSESEIQVLRQQALAISPTSRTMPTRSRTMLLPRTPENGSHLNGETKTTPDMALAVREPESEEKPQKYLNEKQQVPDNNEVLAYWLSNSATLLLLLQRTLKATGAASLTPQRRRTTSASLFGRMSQGIRTSPQSAGLSFLNRQGLTKLDDLRQVEAKYPALLFKQQLTAYLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIRKSLNSYLNLMKANNSAKYSHKYSHSSMFSSSTGILLLRRECCSFSNGEYVKAGLAELEQWCAEATDEYAGSAWDELRHIRQAVGFLVIHQKPKKTLDEVTRDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSMQQVDVNDIEPPQLIRENSGFGFLLTRKEGSVS >A07p028850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16266300:16268705:-1 gene:A07p028850.1_BraROA transcript:A07p028850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTKTQPFDQPQASLRSHILLHLILFLLFFVSPGSSQAWDGVVITQADYQGLQAVKQEFIDPRGYLRSWNGSGLNACSGGWAGIKCAQGQVIVIQLPWKSLGGRISEKIGQLQALRKLSLHDNNLGGSIPMSLGLIPNLRGVQLFNNRLSGSIPASLGLSRFLQTLDLSSNLLSQGIPQNLADSTKLLRLNLSFNSLSGQVPVSLTRSSSLQFLALDHNNLSGPVLDTWGNNNTSPSLRVLSLDHNSLTGPFPFSLCKLLQLQVFSLSHNRIGGALPSELSKLTKLRTMDISSNSVSGQIPETLGNISSLTHLDLSQNKLTGEIPVSLTGLKSLTFFNVSYNNLSGPVPTLLSQKFSSTSFVGNLQLCGYSVSTLCPTLNPPSPSPRRSLSTKDILLIASGALIIVLVILVFVLICCLLRKKQDKSKPKGLEAGPGAAAAKTEKGGEAEAGGGGDPGGKLVHFDGPMTFTADDLLCATAEIMGKSTYGTVYKTTLEDGSQVAVKRLREKITKSQKEFENEINVLGRIRHPNLLALRAYYLGPKGEKLVVFDYMSRGSLATFLHARGQDVRINWPTRMSLIKGMTRGLFYLHTHANLIHGNLTSNNVLLDENLNAKVSDYGLSRLVTTAAGSSVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPSEALNGVDLLPQWVAAAVKEESTNEVFDVELLNDVNTVGDEMLNTLKLALHCVDPAPSARPEAQQVMTQLREIRPEETSATTSEPLIDVPEASASTSQ >A03p027850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11641747:11643061:1 gene:A03p027850.1_BraROA transcript:A03p027850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHLLYRSHTPSLLFSRAFSSSSSSTLFVKGVSFSSTEETLTQAFSQYGRVLGVDVLMDEVRCRPKGFAYVTFSSKEEAAKALLELNGQLVDGRVVILDTTKAVKQNRPDSKPKHAVEEVPNSQHVVTSES >A03p065240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28486956:28490982:1 gene:A03p065240.1_BraROA transcript:A03p065240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRWSCFAIALLAIITRFCNCEEAVLSQKEQDRVSRLPGQDFDVDFAHYSGFVTTNEKLGRALFYWLFEAAEDAASKPLVLWLNGGPGCSSVGYGEAEEIGPFHIKSDGKTIYLNQYSWNQVANILFLDAPVGVGYSYSNTSSDLLTNGDQRTAKDSLKFLLKWVELYPEYKGREFYIAGESYAGHYIPQLSQAIVEHNQASGENTINLMGYMVGNGLMDDFHDSLGLYQYIWTLGFISDQTYSFLKLKCGLEPFVHTSEVCLKALDIMDMEIGDIDQYSVFTPACVANASQAKMLLKKRRVGGRVSEQYDPCTMKHSKVYFNLPEVQEALHVPPGLAPSKWDVCSDVVSGNWKDSPSSVLNIYHELIAAGLRIWVFSGDADAVVPVTSTRYSIDALKLHPVSPYGPWYIDGQVGGWTQEYDGLNFVTVRGAGHEVPLHRPKEALALFQAFISGTSLSTPENSISSEKGQEKKPKQQTSMVMVSNSSHHNKEINVRRRISAIYNKREEDFSALKDYNDYLEEVECMNGINVGAIEEKIKRYSQENAEQIMVNRARKAEDLTAALAACKSQPPQTDTDTSSNHGTAAATAYSQAPRPTGMGPQPVPIGGGGGADHQRYYSMEDEAMLRVKAERAIRAGGFSLEISKKRAFEEAFSCIWV >A09p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:10:491:-1 gene:A09p038430.1_BraROA transcript:A09p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRANVLVSLKTSRQAFHGQIRSRESARKSKTVAGRMEQVARPAQRSNWESAHLSWMDCSCFGPMVRVRAGGRPWGSGHEAMDRLGMGQGRGLSPEGLGKALGLCPTQTHAVLAKGRMRPRG >A09p053060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52755428:52756758:-1 gene:A09p053060.1_BraROA transcript:A09p053060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFYAAVPETIQYMICTVDLSPDTLSIVISLWGLNFQCVKMGIFPEFGGWISQNTQQPKKSENVKSKPVRETKTHDERDEMKEQLKLWRDANKKEQYHEPSPTVKVHTDHNIDSFSSIEMEFTLGLPPQAAYDVLTNQDNKTYSREINGRPLLKAISRKVTSERGIGNRPILEVDKELSWNFLFFSGTIPIRLYVLENPKELSTYNWKRRKGMDYMETFEVNYRVEPMYVDAERLCKHKKPKSREEYRKCSGGKGLIASKVKVNQAFRPSFPWNLPLLSSYFRRFTVETTKKVAEDFQTRAGDIRGF >A08g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8165775:8166299:1 gene:A08g504960.1_BraROA transcript:A08g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFQLHQYASMGKWSVLRVWDVLLFEGNRVMLFRTALGVKPQSYTGDAITLLQSMTGSTFDSSQLVFTACMGYQDLNESRLQELRSKHRPTVMAAFEERLKGLQAWRDSKDLTTKLYNSKQDPK >A01g510440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28673933:28675115:-1 gene:A01g510440.1_BraROA transcript:A01g510440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLKYTQSKCISLRRPAARARAFRRRRSLSPFLSFDLCCSSSSRFPFDMLDVLDWFVSPVSALCARGVSLRRVQALSGAISPDYASLKGFEGLLHPLGCGGVVVDRWCQAVTVVGSFWFFPASAEMVFDFVPACAVAASSWDRQSSMASRWLLFLIAGIVEAILSGVIDRLGSTICLRSLPGVAMAHFCFAIVWLDFTCRWFEATRQGVALTSTRFLARSSSASWTVTVISIEEFIGNQLLRKRHGSSRHPRQRGEPHGLVVIVNG >A01p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6919593:6923072:1 gene:A01p014230.1_BraROA transcript:A01p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g22010 [Source:Projected from Arabidopsis thaliana (AT4G22010) UniProtKB/TrEMBL;Acc:O65449] MRDSCKVSIVLLLVLINGVFGDNPYRFFTWKITYGDIYPLGVKQQGILINGQFPGPHIDAVTNDNIIISVFNYLREPFLISWNGVQQRKNSYQDGVIGTTCPIPPGKNFTYIIQVKDQIGSFYYFPSLAFHKAAGAFGAIRVWSRPRIPVPFPSPDGDFWLLAGDWYKTNHYVLRRLLEAGRNVPFPDGVLINGRGWGGNTFTVQPGKTYRFRISNVGTATTLNFRIQGHTMKLVEVEGSHTIQNVYTSLDVHLGQSYSVLVTANQAPQDYYIVVSSRFTRKVLTTTSILHYSNSRRGVSGPAPSGPTLNIASSLFQARTIKRNLTASGPRPNPQGSYHYGLIKPARTIMLANSAPWINGKQRYAVNGASFVAPDTPLKLADYFKIPGVFNLGSIPTNPPGGNGGYLTTSVMGANFREFIEIVFQNWENSVQSWHISGYSFFVVGMDGGQWTQGSRSKYNLRDAVSRSTVQVYPRAWTAIYIALDNVGMWNIRSENWARQYLGQQFYLRVYTSSTSYRDEYPPPKNALMCGRARGRHTRPF >A05p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5024335:5027658:1 gene:A05p011630.1_BraROA transcript:A05p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGICLMKRCCSRLLFLSLFCALMNQNEAISPDGEALMSFRSVVSSADGVVGKWRPEDPDPCNWKGVTCDAKTKRVIALSLTHHKLIGPLPPELGKLDQLRILMLHNNNLYGSIPTALGNCTSLEEIYLHNNFFTGPIPSEMGNLSMLKNLDISNNDLTGAIPVSLGQLEKLTSFNVSNNFLVGKIPSDGLLAQFSKDCFIGNFKLCGKKIDMECPDENSSTGSRSTGGGKTGKLLISASATVGGLLLVALMCFWGCFLYKKLGRDESKSLAIEVGGGASIVMFHGDLPYASKDIIKKLEALNEEHIIGCGGFGTVYKLDMEDGNVFALKRIVKLNGGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDQALHERGEQLDWDSRVNIIVGAAKGVAYLHHDCSPRIIHRDIKSSNILLDGNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLILEVLSGKLPTDTSYIEKGYNVVGWLNFLISENRPREIVDRSCEGVETESLDALLSIATKCASSSPDERPTMHRVVQLLESQVMSPCPSDFYDSSSD >A09p054870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47102862:47103430:-1 gene:A09p054870.1_BraROA transcript:A09p054870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVELLRPVDCHVLLLRFLKVQTKASLAVSCENVEQDCYVETVGYSAHLVMYLKNSSIHLVFLRKGNLKIR >A10p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18846646:18848477:-1 gene:A10p031590.1_BraROA transcript:A10p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVDVHVKTLTPSLRTLLSSIFTPKQKPPSLPLPLYFSSRSSSPHASSRFFSNAVAVSTAPIRVFHGIRSFESLFAVRAFSSSTAASLQPQQNRNQNQLEEGSEKVPTLEVGDGNHGGLEEETKLSLPVRAYFFSTSVDLKGLVEQNKHNFIPPTSRMTNYVVLKFGNHTDPTGTGGCISGSECIYMVVFQYGSIVLFNVREHEVDEYLKVVERHSSGLLSEMRKDEYEVRENPDLNTWMQGGLDYIMLQFLNIDGIRTIGSVLGQSIALDYYGRQVDGMVAEFTDINRGMEKTGTFTMDRKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISAEIAISLYDMVRRSL >A09g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26519342:26524327:-1 gene:A09g509280.1_BraROA transcript:A09g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVCRKKQTGGSDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDLPYLESEFINDNEQVNLELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIIYTFMESHLCFDSGTTIVPSSPAPLLPDLQEHCEKSELVISLSDMFDKISSLDPDLLSFETDKTWHFLRSFRDNGVVLSSDEILVYNTFFEKCLELLINDSHTELKLVCSDVGKDMPILKMNIVVAYLDKILERQVQPLRIESIDRAQQPEFWRSFVETGYLDASDRGSVQEGYRNSTKVFCLESNFKRQPTHQGLTEAWNRMKIFTDEEVMNFPNRRFFSPSIREYQISKGDSCPIKNRPEPKPILHEPKLTCLMLAHVLDDYPKGLDPDLDVLKMEKPFDYFFGRFDVVSLVALNKHDKHDQFLRRASTNGRQSTWNSLIKMTSKLQGSFCPFYSFTEFSLNFNSFVSDLSLFDICTLDLRTNPFDEGGNDRLRSTDQYMEPNQHGDQNVLKISTELWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSLDGIAFGHTNPELGHCYTFLDSTACTARIPTIEPESETDVPILLKSIATQFCAVRTARTTSLRLHQYPCPDDRIHQTGAHISRTDWHFKSNGQDRFGFGRVELKIGRDTLKLATLDCPACVLAQSVGHASGYNEPGRNLKGFSPVKVTLLSFLVRLSPSFDPSFVGQFSILSDLSSYQPYRKSDPYFGSIMDTRQRDREKDKKKELAPGERTPKGTLNQGPGRFSIQVLGLWPDCSWSDLDVLPNHAYLDLMLGYRPYECALCLVWFQDRTWTVVRERHREVSGHGKMCGEWVIVDRCEILIAYCATCELMLDQGTKRTKSRKGKESAGGSGPVIGDGANTTQVLPTQTGLVNEETGEPLAMFLPTEVQVDNLGEQQEE >A10p004960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9105241:9106130:-1 gene:A10p004960.1_BraROA transcript:A10p004960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLMKIGPVGKHDARSTTIVNWDEGSHNGILSQIFISHNASGIMSIQFQFVVDGKFVLSDCHGPGLGNMFDVIELTYPHEFIIGISGECYKYEGSNPHIRSLKFTTNTSEYGPFGTSSSSNEKFSFKLGRSPQLGGFHGTYDASGLQYIGFYLRPKTVQPKIDKSNAKVLESKIVLG >A01g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13610987:13612410:-1 gene:A01g504510.1_BraROA transcript:A01g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLRCYMIVCFKILVNFFILLQDIKEKIPYVALDYEKEVETAKSSSAIKSILRNKYTAAWMLDVSGSFSPCRRHFMLLKLKSARLKNMKNYNNYLNITDKFMELSTNSKIYLLSFVVLLEDQEVNKMMLFIGVM >A02g508080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22360905:22364217:-1 gene:A02g508080.1_BraROA transcript:A02g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFLGPSRKEPAEGSVIPDVIDVAETNTFNLTSQWYDWRSEDPFYGLPHEDPKDLIKRLEKLASANKHDEISADYFICKIFPYCLSRDAFSWFSKLQPRSLTCWEDIKEAFIGKFFSEAVATRSKRLDKMIKNWEKGIMISMSQILDFVYSEENGDIGTPTTHVRQPDIQVHHADESKQKDDLNREKLVNHDTVKDDEYHVSGEQSKVEGANTKDPTSASIDSNFLELEEFLELEDGQQLGDLDSSEEETMEDFLELEEWLENLDHNPKQKFDDQHTSGRGYPIEQGPIEEIMHMSKTSHLAVPEHLRPPICAEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQHHVEAYQRGLRFRDEEDNCPAEVPSSNINKTKLIDTNTSSSIDTDQIPSIDTRRESEQNEHELCGNIFYGDTTTHSDKSGGTKWRNWKKKKRINECSQISLIPHFSDDTRKSRVRLHKSVRKNGRNWKKQKRTKGGSQLPLTPYFSDSIRKSRVRSKCFSHPYAKLKALLIAEMIDKGEGYMEEAFTQE >A02p047900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29845317:29846346:1 gene:A02p047900.1_BraROA transcript:A02p047900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFELRSGQMKCISEDIHEKSISVGKYFVVNPNEDHPLPDSHKITAKMVSPKWNTLHEAVKVEAGEFSFTAFETGDYFTCISAVDHKPETTLTIDFVWMSGVHSAASKDWSKVPKRSQVKMMELSVKRLFDTVESIHDEMYYLRDREAEMQELNRSTNSKMAWFSFLSLGVCLSVAGLQFWHLKSFFEKKKLI >A02p050410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31116173:31117592:1 gene:A02p050410.1_BraROA transcript:A02p050410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAITRVISRRIHGKGDVAVPKLSGFYIVSPKNVEVEYADGSKFSFSSEFLRVHSPAADGKVRSIGGEKVISGRRYVGIMSAEPVGNYGVRLVFDDLHRTGIYPWDYFYELGSNKFGLMRSYIKILQKHHLSREPPPRRK >A03p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17914955:17917168:-1 gene:A03p042930.1_BraROA transcript:A03p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRAMLKSLILLIMISSFCLELTVAETYCHAQRRLLIDACKILIFRQAPPAECCRRIRTTPAWCVCPSVTPQRAALIDVNYAVGVIRQCGRYVARGTKCGSITVP >A01p056890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32309928:32312019:-1 gene:A01p056890.1_BraROA transcript:A01p056890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGFCLIFLSREATQTGSIVPTTKVNGYPCKDPAQVTPEDFYYIGLANGASTTNTTLGSAVTGANVEKIPGLNTLGISMSRIDYAPGGLNPPHLHPRASEAIFVLEGRLFVGFLTTAGKLISKHVNKGDVFVFPRALLHFQQNPNNAPASVLAAFDSQNSGAQGVGPSLFGANPPIPDDLLAKAFYLEPQEVQKVKGKFPAKK >A02p015870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7010745:7012625:1 gene:A02p015870.1_BraROA transcript:A02p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQENNYKFCFFVLSLGTNLEHSESYGYVRKGAWKDGESNNHISEGGVALKKGPWTAAEDEMLASYVRENGEGNWNSLQKNTGLTRCGKSCRLRWANHLRPNLKKGSFTDDEERIIIQLHAQLGNKWARMAAQLPGRTDNEIKNYWNMRLKRLQRQGLPLYPPDIILYHQLHKHNHYHDQQHQHNQMYFQPQSSEPSTPSSSPLPSPTPVNANASSSFTFQTTNASTANLLHPLSSHTPTTPPQSPFSSPLSSPQNNQYTTLPVFSLSCSQNVNFTFPRTPPLLQPPSSFLDKRYNNANTSLNCINRVSTAPFSLFTRESYTSFLTLPYSSPTAQNATYYNTNNIYSSSPSFSLNPSPSSYPTTSSSSPSFLHSYYTPNSSSTSFHTNTTYSMKQELPSNQTLQICAFNNVNNFTDNEKHNSTGFHRRSISCSLLEDVLKEAEALEDISVDRPPKRRQLTASPPKNHNNNGDFFSVSFGQYGSSENTCSLQDLKSKEEVSLQMNTMQEDVAKFFDWESNSGEILNGQSSVVTDDNLVLDVHQFESLAANYEQNNNNNCSWDDLHEIR >A06p047160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25091708:25095056:-1 gene:A06p047160.1_BraROA transcript:A06p047160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIGSPVESDHILVEGSYILIWSSAGVSWSVVRVEFARTSAMSRCFPFPPPGYDKKITTHETDPPLIKEKYKEKKHKNDKDKEKKEGKEKKSRDRSKDKHKERKEKHKDRKDKEKDKEKCKPSEEKKAEVLPNTGNREKLVTNTVQNNSNGETKYVQELERRIRYDEEATGSQSAQITNQKGIPGKAFLSSSFCPVQETNDKSISTQKNFPVGKSSEENAVARASFGADQKRAVAMGKPMENRDKARHTKSPEKSHLKESAASDKPRDEAGLKKKTESINKSHQDKSKLIEGPKLKERGKDSLDIRNGRPPDLSQASVKNLIAEGSLGKRMDLDTNGLLSENGTKPNKLQRHSASVSSVENGRTLGSHRSPASEVQGTACKPEVKEAKVNGFVVSGEKEKFCSQSHVAAAMKVKVKENGEASARPPHPDLKYLDQILSVPKRELFLEVDNDEEWLYGPLGVKLKKARTYSPDSGEALQVWNQAFRIESADILALPYVVPF >A06g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1126127:1128555:-1 gene:A06g500230.1_BraROA transcript:A06g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWLNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A09g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14347834:14348685:1 gene:A09g504730.1_BraROA transcript:A09g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIISSNRCPGVRHSTFESLRHGHSSQSIVSGFFRFWDSLNFKKDMEFVGITVFFLDKKVNSVIHGFTPIGRANHYKSFLKADSIVKVDRFEVVGCSSMYKTTDHPFLIHFISLTIIDEVITNAPEIKLQSRLDCSTISK >A07p047820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25600951:25602519:-1 gene:A07p047820.1_BraROA transcript:A07p047820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTKLRSPVKPMVVEPRAILCSTGNRVKPSKEIAAKKKKPQVMKSPATSNFSASTDDSSSTTSSSERSSVKTADSKKMITPSKRNGSVTKLNSVAAVGDILPESPGPVKRCHWITPNSDSIYVKFHDEEWGVPVRHDKKLFELLVFSQALAEFSWPSILHKRDAFRKLFEDFDPLAISEFTEKRLMSLKVNGCLVLSEQKLRAIADNAKSVLKVKQEFGSFSNYCWRFVNHKPLRNGYRYGRQVPVKSPKAEYISKDMMQRGFRCVGPTVIYSFLQVSGIVNDHLTSCCRYQECNAETET >A03g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29160523:29161155:1 gene:A03g508600.1_BraROA transcript:A03g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVKVEQNIKNEAAKTKMVWTLGAASRSVEMIEKLLEAGMNIARFDFSEGSHAHHQETINNLRTAIRNTGILCAVMLDTKAIIITAKAAVNLVARCRPSVPVLLVVSMSESFKWSSHVASHGLVFHGIISLMGADSKTIGDMISFGVQVAKKEEICNAGDLVVALRVLNLLQPLHVQ >A09p006530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3426799:3429478:-1 gene:A09p006530.1_BraROA transcript:A09p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFENKAPVMSGAQRSELVKTTVNKGGEHQYHRCKSCNEGSSCRTVRSRTKLMNILIERGRPHEAQTLFKSLSETGHRPSLITYTTLLAAMTVQKQYGSITSIVSEVEQSGTKPDSIFFNAVINAYSESGNIEEAVQALLKMKGLGLNPTTSTYNTLIKGYGIAGRPERSSELLELMLEEDSVDVRPNVRTFNVLVQAWCKKKKVEEAWRVVEKMEECGVKADTVTYNTIATCYVQKGETERAEREVVEKMGRPNGRTCGIVVGGYCREGRVRDGLRFVRRMKEMGVEANLVVFNSLIKGFVEVMDRDGIDEVLTLMKECKVKADVITYSTVMNAWSSAGYMEKAAQVFKQMVKAGVKPDAHAYSILAKGYIRAKEPQKAEEILETMVESRPNVVIFTTVISGWCGIGSMDDAMRVFNRMCEFGVSPNIKTFETLMWGYLEAKQPWKAEEVLQMMKGFGVKPENSTYVLLAEAWRVAGLGDESNKAIEALKCRDIEKLEKLYQKQASAGGFSLLQIPVGKREVPTAKAVNLSACKLGARVPPIVCQKQSQAQFGISGQFVQSCTVFLN >A10p019950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13590616:13593882:-1 gene:A10p019950.1_BraROA transcript:A10p019950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 99 [Source:Projected from Arabidopsis thaliana (AT5G56620) TAIR;Acc:AT5G56620] MKNTCNLIDSKLEEHHYLCGSKHCPGCGRMIQAATKPNWFGLPAGVKFDPTDQELVEHLEAKVKGKEGNKKWFPSHPLIDEFIPTIDGEDGICYTHPQKLPGVTKDGLSKHFFHKPSRAYTTGTRKRRKIIQTEHDSELTGSPSETRWHKTGKTRPVMINGRQRGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGVNEEEREGELVVSKIFYQTQPRQCVGNISWSEQNVSSKGLIGTGAGDEICGVAAALQSLGSGDVISRVNTNPFTRAFDEGTAEASKGRENRLVSGTCEEVHDGIIRSSSSTSYHMIHDHNQHHQTEERREFHIPSSSSYHESIFNITSTVPFQQQQLRGRSSGSGLEDLIMGCTSTCTEEENSEANPQQNADWLTFPPSWNQTESDGQNRRF >A09g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9801967:9802442:1 gene:A09g502920.1_BraROA transcript:A09g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKMEKAKVERARVWDRRRGARILMRKIDLERNWTLWQLGDECNYSSWFDEEEGTKWQRRALIEAHDEIRQKTRVIEQLIKTISKMKSNLETKETVDDENEDEIVRKFKEFYV >SC423g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000265.1:814:2193:1 gene:SC423g500010.1_BraROA transcript:SC423g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICNWGGREHRAYTLASASNHSYTECFIEPSNSSFQPRLRLIQKATETDESQDQDPCKRENLKIRGKTVPGKFAEKFPGKITGDNPAISTANPALDSVDQQSNTSTRTVRVCPGTYGCVHGEGISNKNFFKNIKFGNFWLLRGILGCHVGSFWPTWVSVQYTRSTVCVRQHQQRPWPSRHTHSTSGLSISTHISTSRGLFGRGRKKGKSGFWLMDCRSYTQDVRSTRRTPGLSGCVPCVLVHTGLRQHQQDVRSTTRRTIRPHTQESPCFSVFRHQSAGRPSVTTRRRQHTKDGPSAHAGLPWLSVCVRVSVNTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSAHISACWPFLWTVR >A06g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2495754:2497406:1 gene:A06g500620.1_BraROA transcript:A06g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGKVVCVTGASGYIASWIVKLLLLRGYTVRATVQNPTDTAETEHLLALEGAKERLKLFKADLLEDCSFEQAIEGCDAVFHTASPVKFIVTDPQTELIDPAVKGTLNVLNTCKKTSSVKRVIVTSSTAAVLVRQPPLEPNDVVDETFFSDPSVCMERKLWYPLSKTLAENVACQFAKDNGMDMVVINPGFIIGPLLQPTLNFSVEIIVDMVKGKNPFNCRYYSFVDVRDVALAHVKALETPSANGRYIISGPSVTINHIKETMRELFPKLCIDDTNGEGLMDGVNCTICVDKVKNLGVEFTLLKSSLRDTIISLKEKWLL >A01p049710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27897493:27899579:1 gene:A01p049710.1_BraROA transcript:A01p049710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVEAQGGNGGNQWDDGSEHDAVTKIQTAAGGSGIQYVQFDYVKNGQTETAPLRGIKGRAIAADPFVINHPEEHLVSVEGWYDSSGIIQGLKFNSNKKSSDVIGYNDGTPFTLQVQDKKIIGFHGFAGDNLNSLGAYFAPLIAAPPSVPPKKLEAKGGVSGAEWDDGAHDNVKKVSVGQGEDGVAAVKFEYTNGSQVVIGAERGTPTLLGYEEFELESDEYITIVEGTYDKILGSDGLTMLTFKTNKNRTYGPYGLEGSTHFDLKEEGYKITGFHGRAGATISAIGVYLAPVGTIPLTPAQPTKKLEAKGGEGGTSWDDGAFDGVRKVSVGQAQDGISAVKFVYNKGSSEIIGDEHGKSTLLGFEEFELDYPNEYITEVNGTFDRIFGSDSAVLTMLTFKTNKPATYGPFGLTAGEAFDLKEEGHKIVGFHGSTGDLLHKFGVHVLPITN >A07p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10667362:10668273:-1 gene:A07p017640.1_BraROA transcript:A07p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDRLIDEKGNERSEIITCGAVQGYNVPETNEDSQATSSFLKAAQFERRALSACEDSFLPGKKYKILWKRAM >A01p024570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12204567:12206768:1 gene:A01p024570.1_BraROA transcript:A01p024570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIENHQFATHAIAASASVSLGTALAYPLDTIKTIIQVGSGPSKKLSPSQVVNRVFRFSGYSGLYSGLGWLTLGRISGVGARFGVYEILTAFYKDGRRDNYVQISEAVLAGMVGGAAETVMTSPFELIKVRQQVTAASRAPNAAAAAETAPVISPMINKLLRRYALDMKSLTQTVNLLSVLNHKHPNMTAALQEYPWMMTGTGNPPSAMDVKRPLDVASLEGVRALWRNLRSGLIRDCLYGGVFFGTWQFLHEAMIGWKAVGMNPLPSSEEEVGPLSPVAVSIAAGFSGAIAAAASHSFDTARTRAQCVILPKYTAKERKFLKWNKPGKRLERWTGIHPTDRNLLFRGIGIRMARSSVASTIIVGSYYLAVDLLVPNVAFVVI >A05p020510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9718116:9718971:1 gene:A05p020510.1_BraROA transcript:A05p020510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTFIKSLKKSSSLASAPCADAVTTTKVVKMTKTKAKEVVNPTSSTFSTDFVVVSPVSPIPVLSLGLTAVITSTVADNTSTPAIIGEESATLEEIGTPVEHVSCAPFVLIPDDNIAAAKEEFREFIFARFPGDCPSMGRIIGVVNYIWAKTGPRIFVHNVGQGEYLLKVTNVKTREILLGRTCWNIAEYPMFVAPWSPKFTHEEGPLTNAVVPVELRGVLYLLFNKENLSRLATAVGKAGISCTRN >A01p015760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7726511:7727680:1 gene:A01p015760.1_BraROA transcript:A01p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATEFQGSFLSRISIRRNQIISMDVNHEQELEELEYFQKHVSERFSELISPSSPSDPILSIPWLQNLLDVFVSCETEFKGVLSTVRISKSPSLERLLSEMLERILKALDICNAVVNGIDSVRQSRRLAEIAVTALKQRPFCDGSVRRAKRALTSLVVGLNGDVKIRNNGSGGSSNQQKTTFRSWSFGPRSNVTVVGGGGSSGHVSKNWSATKQIQGMVGNLVLPRGAEASGPAMPVYIMSSVMALVMWVLVAAVPCQTSNVLVAPLQLPKHQSWASAAVNIQERVGEEMKRKEKRFGGGGGGGLMEETQRMEKIGLSLLEFTERFRFPAEETEEGEVAEKVEEMDEICRGMEVGLEDLQRQVREVFHGLVRSRLEIVSLLDQASSAI >A09p064220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51839670:51842654:-1 gene:A09p064220.1_BraROA transcript:A09p064220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSIPTDATLDLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVGLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >A09p077510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:57587214:57588491:1 gene:A09p077510.1_BraROA transcript:A09p077510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIDRMMANGCQPDTFTYGPVLNRMCKSGNTSSALDLLRKMEGRKIELDAAKYNVIIDSLCKDGSLEDALILFNEMETKGVKANVITYNSLIGGFCSAGRWDDGAQLLRDMITRGITPNVVTFNALIDSFVKEGKLSEAEELYNEMIPRGIDPNTITYSTLIYGLCYEKRLDEANQMLDLMVSKGCDPDIWTYNILINGYCKAKLVDEGMRLFRKMSLRGLVADTVTYSSLIQGFCQVGKLKVAKELFQEMVSEGAHPDIVTYGILLDGLCDNGELEEAMEILEKMHKTKTELDIGIYSIIIHGMCNASKVDEAWDLFCSLPSKGVKPDVKTYTVMISGLCKKGSLPEAKMLLRKMEEDGIAPNDCTYNTLIRAHLRGSDISNSVELIEEMKRCGFSADASTMKMVMDMLSDGGLDKSFLDMLS >A06p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2091802:2096830:-1 gene:A06p006260.1_BraROA transcript:A06p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNHNHSHHLSYSLLHGLSNNPPPPGFINQDGSSSFDFGELEEAIVLQGVKYRNDETKPRGGGGATTLEMFPSWPIRTHQTLPTEESSKSEGESTDSGSANFSSKAESQQPESPMSNKQNHQLMLQHQRNNNMANSASTSGLPSTSRTPGPPKSTEDKRKATTSGKLLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELQRARSQGMFMGGCGPPGPNITSGAAMFDMEYERWLEEDNRHMSEIRTGLQAHLSDNDLRLIVDGYIAHFDEVLRLKAVAAKADVFHLIIGTWMSPAERCFIWMAGFRPSDLIKILVSQMDLLTEQQLMGIYSLQHSSQQAEEALSQGLEQLQQSLIDTLAASPVMDGMQQMAVALGKISNLEGFIRQADNLRQQTVHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWLSRPRETLISDETSCQTTTDLQMVQSSRNHFSNF >A02g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17013048:17016474:1 gene:A02g505850.1_BraROA transcript:A02g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRLAEKKNNEDVLEKKKNESIAKKKKAAAEKKKAAREKKKMDSARKKKETSVKRRIEAVKKKRDAAKKKTENETAEKKRKRNSGVDGGSLSNPTKRARNTASPPEQEHQGDNSPAPSAELPSQADVESTRAPSEAKNPLQHPVTSLSISESATNCPSHRLDNHDEEIVSNNRDSHTPEAAIDHTAQRTEAEDMAQVIYQTMDEGCWEDMELLDDGDDDDAIVDVWNKFIVQERGQIFWEDICMEDIKSRSLEIEQLEGDQLEEEEHEAGNEPEEHEAGNEPPRIFGGEAVAELESLKETVMSLMSLMTTMEGNVNNKLEGFDRRLKMLEGDSIGREGFENMDFQYNEDGGTSGQQEKGNEDEEDEDDGKEAEHDDDNGEKDDTEKEHEDEEAEDDGNEHEDEDNDEKDHDEEAEDDGNEHENDGKELEKELEVQPEKEATDDAEDDGKEPQKEIDVQPEKEATDDADEYTLRVMEAAAEKVEAEAAENVEAEAARKEAMTRPKRVLKPSHLQKSPFVKK >A10g500480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1419650:1420493:-1 gene:A10g500480.1_BraROA transcript:A10g500480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKDESSAQVRHYLSGAETKVNSRIKSERYLEDVKAPKQAFPSHVSVMVLEVLIRHSKDQGHLPVKFTQFRLDLLKNVESNAEVKVLDVDALFISHTQVNQAAKHRWRTYRVLEAKERSFFLSFRDRLCYNA >A09p012320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6287969:6290261:-1 gene:A09p012320.1_BraROA transcript:A09p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 10 [Source:Projected from Arabidopsis thaliana (AT2G17020) UniProtKB/Swiss-Prot;Acc:Q9SDA8] MATTVVLDADGFDEERSLDMLPAALLETIMTKLDVASLCSLASTCKTLKSCVSRVLTFTPNFHLFNVSLSMETVRPLLFPNQQLSSLKLDCGRLGNSAIDVLARPSLREISLHNCRDFSGDLISEIGKKCKDLRLLCLGSVAEKVGRTVTRAALEDLLNGCSHLEVLALMFDLSLYLRPGDGRIFGLASDKLTHLELGHISSRMMTHLLTSTGQDSGGRALQNVQQLRLSVDCITDAVVKAISNSLSSLIDLDLRDAPLEDPRQLSDLTDFGLQEINRNGKLKRLSLIRSQEFHSAYFRRVSDQGMLFLAGKCLGMESICLGGFCRVTDAGFKTILHSCSSLSKFSVYHGPKLTDLVFHDVLATALSLSHVSLRRCHLLTDHAVRNLAWNLKLESLDLRGCRNIRDEALQAISHLRKLKVLLLDGTDVSDIGLSYLKEGVWDSLVSLSVRGCRNLTDKFMTTLFDGSSKLALRELDVSNLPNLTDAAVFALAKSGAPITKLQLRECRLIGDPSVMALASTRVYEDECPGSSLCLLDLYDCGGITQLSFKWLKKPFFPRLKWLGITGSVNRDIVDALARRRPHLKVSCRGEELGIDGEDDWDSADIQQHMEAEEDELEQWILGDEGDVEMEDAEDESDDEGSEEEE >A02g512400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33578493:33579148:1 gene:A02g512400.1_BraROA transcript:A02g512400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGCAVTFV >A07p022310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13048555:13051216:1 gene:A07p022310.1_BraROA transcript:A07p022310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLFLFFLILLLHVSAGFSSSEPNYFNSLLPSDAVALLSFKSTADLDNKLLYSLTERYDYCQWRGVKCAQGRVVRLVLSGVGLRGYFSSATLSRLDQLRVLSLENNSLFGPVPDLSALVNLKSLFLSRNEFNGPFPPSIPSLHRLMTLSLSHNNFTGQIPTQITALDRLTSLNLESNRFNGTLPSLNQSFLTSFNVSVNNLTGVIPATPTLSRFEASSFKSNPGLCGEIINRACASSRSPFFGSSNNKTSSSSSSSSQAPLGQSAQAQNGGAVVISPVVSKKKGKENGLVLGFTVGLASLIVLGLCLVVFSLVIKKQTKDEIYEPSQKGDASSSSHQNQALSTRAVPVLNPNSNSSSVEKLQFRAAAATEPQSRVPNSGNLIFCGGGGEGGESSQGMYTLEQLMRASAELLGRGSVGITYKAVFDNQLIVTVKRLDAAKTAVTSEEAFENHMEIVGGLRHQNLVPIRAYFQSNGERLIIFDYQPNGSLFNLIHGSRSSRAKPLHWTSCLKIAEDVAQGLYYIHQTSSALVHGNLKSTNILLGHDFEACLTDYCLSVLTDSSSSSNDDDPDSSSYKAPEIRKSSRRPTSKCDVYSFGVLIFELLTGKNASRHPFMAPHDMLDWVRAMREEEGAEDNRLGMMTETACLCRVTSPEQRPTMRQVIKMIQEIKESVMAEENDPFQ >A01p041810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22495816:22499655:-1 gene:A01p041810.1_BraROA transcript:A01p041810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIRSLPLDGGDYHGPLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKFRLGRQACKDSTDNSKDASCVGESQDTGSSSSSSLRMAAQEQNEGYQVTEALRAQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKAFDDQAAAFVGLEAAREELSELAIKVSNSSQGTAVPFFDTTKMMMMPSLSELAVAVDTKNNITTNCSVESSLTSNTNGSSVSAASMKKRLRGDDVGLGYEAGWNVPSSRSMLCCCVSSGSNKKYAELDAKLARKMVESRRYYPGHRSLKSIDSVIMKFPKLREGLRKIRTVFESYDSDGNGTIDMEELKKCLVELELMSLSEEEVKGLYGWCDVDGSKGIQFNEFIVLLCLIYLLAKPSSPSSSEESSEMGPKLVESIFDPIVEVFLFLDKDGKGKLNKADVIKRLNNEDYPLERSPKHVTNMRFEEMDWGRKGKVGFREFLFDFMSWVGLDDADDYISS >A09p010200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5200794:5201671:-1 gene:A09p010200.1_BraROA transcript:A09p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRATQSTGRIAAAALRRVARPLSTDAVVETDYKRGEIGKVSGIPEEHLSRKVIIYSPARTATQQGSGKLGKWKINFLSTLKWENPLMGWTSTGDPYANVGDSALSFDSEDAAKSFAERHGWDYTVKKPKTPLLKPKSYSDNFKWKGNPQPEK >A06p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8850684:8853628:-1 gene:A06p018180.1_BraROA transcript:A06p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 7 [Source:Projected from Arabidopsis thaliana (AT1G21860) UniProtKB/TrEMBL;Acc:Q9SFF1] MKNTKIAAKCKIAYAFVIGLSLLIATAAEDPYIFYEWHVTYGTISPMGVQQQGILINKMFPGPEIRSVTNDNLIINVFNELDEPFLISWAGIQNRKNSFQDGVCGTTCPIPPGKNYTYALQVKDQIGSFYYFPSLGFHKAAGGFGGIRISSRPMIPVPFPPPADDYTVLIGDWYKTDHKALRAQLDNGGQLPPPDGILINGLSSGATLNIQPGNTYRLRISNVGLQNSLNFRIQNHDMKLVEVEGTHTLQNVYSSLDVHVGQSYSVLITANQPSKDYYIVVSSRLTPTVLTTTGVLHYSNSAEPVSGPIPAAPVPMSWSFNQARTIRTNLTASGPRPNPQGTYHYGMVNVTRTIKLVSSVGQIEGKQRYSVNSASFTPSDTPLKLADYFKIDGVYKPGSISDQPPYAPTFPVTSVLQADYKAFMEIVFENSEDIVQSWHFNGHSFFVVGMELGKWRPGRRKVYNLNDAVSRCTIQVYPRSWTAIYVGLDNVGMWNLRSELWERQYLGQQLYVRVYTNSTSLRDEYRIPTNALLCGRASGAHKELLLSGFEN >A02p021170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10015537:10019950:1 gene:A02p021170.1_BraROA transcript:A02p021170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:Projected from Arabidopsis thaliana (AT1G67550) UniProtKB/Swiss-Prot;Acc:Q9SR52] MKLLPREIEKLGLHQAGFLAQKRLARGIRLNYTEAVALIATQILEFVRDGDKSVAELMELGRQLLGRRQVLPPVVHLLYTVQVEGTFHDGTKLITVHEPISREDGNLELALHGSFLPVPSLDKFPEAHDEVIPGNIIYGDGSIIINHGRKAIVLKVVNTGDRPVQVGSHYHFIEVNPLLVFDRRRALGMRLNIPAGTAVRFEPGERKSVVLVNIGGNKVIRGGNGIVDGPVDDVNWTVLMETMERRGFRHLEEGDASEGISGEDPTFTTTLSREKYANMYGPTTGDKLRLGDTNLYARIEKDYTVYGDECVFGGGKVLREGMGQGIEHSDALLSLDTVITNSVIIDYSGIYKADIGIKNGYIIGIGKAGNPDTMHGVQSNMLIGAKTEVIAGEEMIVTAGAIDCHVHFICPQLVYEAVSSGITTMVGGGTGPAYGTRATTCTPSPFDMKLMLQSTDGLPLNFGFTGKGNTSKPLELRQILEAGAMGLKLHEDWGTTPAAIDNCLAVAEEYDIQVNIHTDTLNESGFVEHTIDAFRGRTIHTYHSEGAGGGHAPDIIRVCGVKNVLPSSTNPTRPYTKNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTADKMKAQRGVIGSSTAGDDNARIKRYIAKYTINPAIANGFSDLIGSVEEKKLADLVLWKPAFFGAKPEMIIKGGNIAWANMGDANASIPTPEPVISRPMFGAFGKAGSENSVAFAALRNGVKEVYGLKKRVVAVSNVRQLTKLDMKLNDALPNITVDPETYVVTADGEVLSCAPASSVPLSRNYFLF >A02p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4558614:4560152:1 gene:A02p010550.1_BraROA transcript:A02p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNNTEMFSGLVSNPERDVGPASLTAVCDDLTNQDIEIEELERKIWKDKQRLKQLKEMSKNGSGKRLLKHPEDSTKRMMYQAQDGILKYMSKAMERCKAQGFVYGIVFENGKTVTGSSDNLREWWKDRVRFDRNGPGAILRHQRQINLSADGSELGGSEAFSGESNANALGASGETDVLFQESGDYNVEEAYGSHQHVPEVANNFNYVNNKRKFEGELGLSFHQTRNLTCENSYCPYSQPHMGFNDRVLRDSHQMTCPYKTLSCYQPTKPFGVPLSFMTPYQMEMQQQQQQEQFNLSSTLYRPKAVERGGNNDYLSDHRFGLVDNSSPSTSAMNHNPGLVLPVNFNGNEETVGMENNQHNQEGGLPMPWIE >A03g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21083152:21083948:1 gene:A03g505890.1_BraROA transcript:A03g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRILKELRELQRDPPVLCSAGPIGEDMFHWQATIIGPIESPYTGGVFLVDINFTKDYPFKPPKVIFKTKVFHPNVNSNGSICLDILKDQWSPALTISKVLLSVCSLLTDPNPDDPLVPEIANIYKTDRVRYEATARSWTQKYASQ >A07p044670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24325537:24328943:1 gene:A07p044670.1_BraROA transcript:A07p044670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKEWEDWCFICKDGGSLMLCDYKDCPKAYHASCVENDISAGESDDSYICECHSCYLCGKRPKLLCLCCPQSVCEGCVTHAEFIHLKEDKGLCNQCQEYVVVLEEIRRYDAAGDKLDLTDTDTFECLFLECWEIAKKQEDITFEDVLRAKSSQRKVTKLKHKDDRRSSLNDAYTSKSQKRIVNLKQQKVDRSKHSLSAHKVDDPEDSKTVCKNKKTVFTRWGSKPLIDFLTSLGEDTRRAISQRSVESLIHKYILQENLLDPKKKKKVRCDEKLYSIFRKRSVKQRKIHRLLDAHFRENLEKLERGFSGKSERVFMPCKKKQRIERSHEKTYEKEVKPQTWPTKTREKEVKLEKRSTKTCEKEVKLEVQPTETCEKEVKPEMRPTGFAAINADNIKLVYLRKSLVLELLKKRKESFGEKVVGSFVKVKNDPRDRIAFQILQVTGAKTADGQSQGLFLDVAGIASSVSISKLDDSDISKEEIENLKHKVTSGLLRQPTVVEMEQKAKALHEDITKHWIGRQLIILQKRINYEYLEQRERLQKPSEQERLLRETPRIIEDLIEVKRESAVSSESSKQGNMSGMSQEAAIEID >A09p007670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4012468:4013022:1 gene:A09p007670.1_BraROA transcript:A09p007670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP70 [Source:Projected from Arabidopsis thaliana (AT5G60830) UniProtKB/TrEMBL;Acc:A0A178UEP1] MESSPHRSHHCFDILEGMPPQDDHFNSSFLQNPNFHVHLQSISPNLSTRSNNNRSDHLNPNGEPFCHREGLDPDERRARRVVSNRESARRSRMRKKKQIEELQQQVEQLMVLNHNLSEKVINLLESNHQIIQENSHLKEKVNSFHLLMAEMLIPMRNVDGSINDRDANHLRGETSNRTNTFFGR >A08g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4541632:4542091:-1 gene:A08g501940.1_BraROA transcript:A08g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCVLSPKSMSDQVVRVIVIQKTLIEHAEKLRQVKAVLKEGGNFSGIYRKVQLKPLKCDGEGEE >A09p027290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15402945:15403488:-1 gene:A09p027290.1_BraROA transcript:A09p027290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEEDISKSIYEFLYPRKEELPNDKEMTIFNHLEELRERIFVSVLAVGGAITGYFAFSKNLIVFLEAPVKTQGVRFLDLAPGKFFFTTLKVSGYCGLLLGSPLILYEIIAFVHPGLLTRAERRFLGPIVFGSSLLFYAGLAFSYWVLTPAALNFFVN >A10g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14800503:14801746:1 gene:A10g505770.1_BraROA transcript:A10g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFAFGSHHHLANPTDSPYTVEISIDGDSSDLDSLSEVDLESGGVTKLHSGGGKKRRTRRRKKKKKRKKKKETFIYIVSMNLSRTCEICGAMAINVAGDQSNPESTASTHSQVAAGQTQSSQTEPRGIWHGRRVMNFLLAAMVFAFIVSWLFHFKVLK >A03p013310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5221918:5222370:-1 gene:A03p013310.1_BraROA transcript:A03p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQPNTSLRLSLPNYKNHQLNLELVLDPSSMSSSSSSSTNSSSCLEQPRVFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELFRSSTTVDVDQPYPFSGRFELYGRGYEGFVESGGPRDFSGRRVPESGIDQDQEMSHLDLSLRL >A02p054250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32978425:32980598:-1 gene:A02p054250.1_BraROA transcript:A02p054250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFSGLARSFSIKKVKNNNGTCDAKEAADEMGFGCQEDMIFCGIFDGHGPWGHYVAKHVRNSMPSSLLCNWQKTLAQTALLEPELDLEGSNKKFSRFDIWKHSYLKTCASVDQELEHHRKIDSYNSGTTAITIVRQGDVIYVSNVGDSRAVLATTSDEGSLVAVQLTLDFKPNLPQEKERIIGCNGRVFCMKDEPGVHRVWQPDTEAPGLAMSRAFGDYCIKEYGLVSVPEVTQRHISVKDHFIILASDGIWDVITNQQAIEIVSSTAERPKAAKRLVEQAVRAWKKKKRRGIAMDDMSVVCLFLHSSSSSPSLSQHVQHATTFK >A03p014490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5758914:5759912:1 gene:A03p014490.1_BraROA transcript:A03p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDPSDKDSEPFVETDPTGRYGRYDELLGSGAVKKVYRAFDQEEGIEVAWNQVKLSCFSDDRAMTERLYSEVRLLKSLKNSSIIALYKVWRDERSNTLNFITEICTSGNLREYRKKHRHVSMRALKKWSKQILKGLVYLHTHDPCIIHRDLNCSNVFVNGNIGQVKIGDLGLAAIVGKNHSAHSILGTPEFMAPELYDEYYTEMIDIYSYGMCVLELVSLEIPYSECDSVAKIYRKVTSGVKPEALKKVKDVEVKAFIEKCINAKPKARPSAAELLRDPFFDGIVDDDDDDENNDVNGTGRVVS >A01p010460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5119992:5121123:1 gene:A01p010460.1_BraROA transcript:A01p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNGGLRDMFKWSLEGAGGPEEEDNRWPPWLKPLLKEQFFVHCKFHVDSHKSECNMYCLDCTNGPLCSLCLSHHKDHRTIQIRRSSYHDVIRVNEIQKYLDISGIQTYVINSAKVVFLNERPQPRPGKGVTNACKVCYRSLVDDSFRFCSLGCKIAGSSRGFEKGRRNLLMESEDSGGGIGIGRNISNLQSFRPSTPPLTTSTSCRIAKRRKGIPHRSPMG >A03p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15834418:15837722:1 gene:A03p037880.1_BraROA transcript:A03p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monodehydroascorbate reductase 3 [Source:Projected from Arabidopsis thaliana (AT3G09940) UniProtKB/Swiss-Prot;Acc:Q9SR59] MAEEKSFNFKYVIFGADVSAGYAAREFARQGLKHGELAIISNEPASNKIFGLGDTDLECIELILSTEIIKADLSTKSLFSTDGTIFKYQTMIVATGSTCVRLSECGFQDTNAKNVFYLRDIKDVDQLTLTMETKKKRKVVVIGGGYRGFEVSASLTVNNYEVTMVFPEPWIMHWLFSAEIASFYEGYYANKGIKIIKSTKATGFITNSNGEVTEVKLDDSRTIEADMVIVDIGGRPMISLFKGQVEEEKGGIKTDGFFKTSVTSVYAIGDVATFPMKLYGDMRRVEHVNHAFKSAEQAVMAIKAAEEGRSIQEYDYLPFFNSRAFDLSWQFYGDNVGQSVIFGDNDPNSPKAKFGTYWVKDGKVVGAFLEGGVVEENKAIARVARTQPSVGSLEVLSSVGELLIGSRFATTAADNDGDVIHVAGKVMCQDCTLNYDKWINGSEPIKGAVVSITCMDERERVRYYGSDKTDERGQFDLIVDKVLYGGKNLKPKLCTVRLVSSPDKSCDIPTDFGNGQSGVKLVQPFMVFKDLVKFVVGPFYYTTPMCETPKYENNY >A04p015550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9651920:9653533:-1 gene:A04p015550.1_BraROA transcript:A04p015550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKAKRSKLCRRSVPVYEDITSSINDLSDELLIKILSFLPTKVAVSTSILSKQWQFLWMWLPKLEYSSLRYYSDCSAIQEFIDKYLPLHRAPVIERFSLRLIDDHIQPEDIKRLMFEDDESLQGLLDICPVLEDLSVQLRGKCSMGEISVIVPTLQRLSHSVSWCCYIDGYVIDTPSLKYFKLEDWYNSTHGVQIKDMPELREAYVDVVFFVLKSVIGSITSVKHLTICSEIPERGWEDEVYGGGGFIFNQLEHLKLCVCKDHSSDLLGQLLKDSPNLRVLDVFHTGIHGFVEDTDMVCWKQPSQVPQCLLSSLQIFNWSGYFGRPEERDIAVYILKNACFLKKVTIFADIVQYSVPHLKMIKELAFSSRASTTCELVFVECPYEMFH >A06p025270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14898697:14901884:-1 gene:A06p025270.1_BraROA transcript:A06p025270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP71 [Source:Projected from Arabidopsis thaliana (AT3G44600) UniProtKB/Swiss-Prot;Acc:Q8W4D0] MEEEPKNGSAKELAVVEEEEPMVGPGPAPRVKRKRPLQFEQAYLDSLPCANMYEKSYMHRDVVTHVAVSAADFFITGSIDGHLKFWKKKGVGIEFAKHFRSHLGPIEGLAVSVDGLLCCTISNDDHAVKIYDVVNYDMMAMIRLPYIPGAVEWVYTHADVKAKLAVSDRNSSFVHIYDPRSGSNEPIASKQIHSYPIKVMKYNPVSDTVISGDTKGIIEYWSPATLQFPEDEVDFKLKSDTNLFEIIKCKTTISAIEVSPDGRQFAVTSPDRRIRVFWFETGKLRRVYDESLEVAQDLQRSDAPLYRLEAIDFGRRMAVEKELEKTESAPQPNAVFDESSNFLIFATLLGIKIVNLQTNTVARILGKVESNERYLRVALYQGDQGGKKVRKIPAAAANVNESKEPLTDPTILCCAFKKHRIYMFSRREPEEPEDASQGRDVFNEKPSADELMSVSDIGNSSTTSLPENVIMHTTMGDMHIKLYPEECPKTVENFTTHCRNGYYDNHLFHRVIKGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGQNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQGIEKVKTDKNDRPYQDVKILNVTVPKS >A01p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20127804:20129226:1 gene:A01p025390.1_BraROA transcript:A01p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKTTPNMSYQAWRHEPETGPVVYRSRTIFEDASPDIVRDFFWDDEFRPKWDFMLANFKTLDDDTRTGTMIVHWRKKFPFFCSDREYIIGRRIWESGNKYYCVTKGVPYPALPKRDKPRRVELYFSSWVIRAVHYEDMGIPKDVAKLGVRHGMWGAVKKLNSGLRAYQTARKSDSGLSRIAQVARITTVLNMDSTESSTGDEDRSRALGYARRQRDHLRMDWKWVVVGGVALACGLHTGVIGKALLAGAGQRLARR >A05g504710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:13058662:13058907:1 gene:A05g504710.1_BraROA transcript:A05g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDRERSRLADGICCWTYVSLSKMPRSDRKIGMDPALAGRMSLSRFGQGMEWIEGRHKEQWIGSLICHTAALNVSHN >A10g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2927737:2929978:1 gene:A10g500990.1_BraROA transcript:A10g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLSLLFLLHGCFSFIPRSLHLLHLQTCLHFHPTSSLSFELNSQSISLSIFASSAASRFVRNVAVTDDFNVEEEGNIFSDEAPPPPQQEQSFSADLKLFVGNLPFKSIVLSSLSSSKAPETLRWLRGFGFVTISSVSEVKAAAQQFNGYVRRYLSLNLFYADKDIIGAVRDINITFNDQTWTAQHIMINSSTPVSLSVFDAQADQLKQNILAIGVAKVIVATSINPKFVGGNIRCGKGRLYLDATSGIHFYFDHEVAASQRLFQELYSKPEKDTTSAKQYHGVKKLEKVSLGELNNYVLESPPQALEFLCKAKIASLETTNGWYRVELLVEAGDDKSLFVAFDSAMTKLTGIRAAEVVMEVIEASSYVQAPSI >A07p022940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13343688:13345997:-1 gene:A07p022940.1_BraROA transcript:A07p022940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLQSFMFSLTFSNSVKPLHSVITQQLRLFSRDPFPNKLQHYLSRANLIDSIRLTLRSPPVSETDLTTLLNHRLLDSFVVKNALRSSPSLSSAWSIFKSLKKINPQLSYEAETLHAFATVLAKFHRSSQLKSLIGLVHAGKFGRVHFSFMNLMNLYATASDFDSVLNTWDEFISSGEGKGCCTESYNIVMQVYVSLGKDADAVQTFDQMINHGGIPNSRTFTIMIEHLVKSGNLDAAMEVFETLPSMRITRTLKHYSVLVEAFVDAQRFGQVKTLLAEMKSDGKFPSRRMFEPLKRMREAGFEEETGEFLREMLPDERVKDVTMYSMDDPNDSEEEEPERDDAQVKLKPWLDPKALANSLKKWNSDTVTSLEEANFVWTNLLVCKMLRNFRSPETAWSFFCWVAVQPGFTHDAYTIERMMAMLARNGEVELVDKLISKVRVEGIKLPFSTIRLIIDLYGVSKKPEAAIKVFRHDRTTLCGSVSGFNLMLLYSSLLRTLTKCKRNAEALETLEEMVSTGVSPDIQTFSGLMYHFALQGEIQTVQRLFSMVRQIGLEPDPYMLKLLVQAYCRCERSVLAFRVFQDMKDLNLIPDRETKELLVRSLWREEKRKEAAAVEESSYCDEEGDSSSVLRLTLKGHVWNFSSRDIARVYNLYRDCILETPS >A05g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30840129:30844906:1 gene:A05g510090.1_BraROA transcript:A05g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIKLVAEDATLKNIWKQEEYIRSLCLYFPCIFETLCMYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWCEYQGAFPQPLVSPFDPHTLRNLSTMAGDQKGKLTKEERLLLKYMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQHEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRITEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHQSDFRFKCVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPIKYNFGIILLLYDEYQGAFPQPLVSPFDPHTLRNLNLLIRFCKDYPICSRASS >A08p008830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4638594:4639532:1 gene:A08p008830.1_BraROA transcript:A08p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGINLVSVCSFWFRSEVGSASPQSLLSLVLVESFGFGGGLWFALRRCAVNGVQSLLSGELRCFPLTRRQFMGQVMQAELVTWLSGMARVGSISGIEDGLILGGVSWFVMFAPLHCGRLASLVSSYCCMVLVWRQALVINYFCAGSETVCSEFSGNLNPVFRRLAFGGDVLRAMKPLATYSQMDHYL >A01p010300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5018792:5020888:-1 gene:A01p010300.1_BraROA transcript:A01p010300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILKKYYSLYSTRGHKCDGCNLGEDCYSDGYRCIRSGLFFHTECATSNQDVCNAYHPQHMLKIKVVSNFEDVHGECKICRDCAESTPELSYSCHPKHPLKRLTRVPSYTNGKCCLCKSKLHILFYHCSICNFSVDVECAKNPPPLTLDHPKAHEHQLTLLPQRIFCNFMVHRNCIDIPHIIKISRHVHRISYNDCLETGDWKCEVCMKEINWTCGAYSCSKCPDFAIHVRCATRFGIWDGIELESILEDTTNSKAYEVIEEGVIKHFIHKNHTLKLKEGSDANGKSRRCTICAYPIFSTLFYDCMVCDYFIIHQKCADLPKKKIDSFYKMSMTLVSNSCELNLCDACQNYFEGFMYISDNGIINLDVRCGSISEPFVHEGHPHHSLYINYSTKDKLCNACGDKACMVFSCEECKFVLDVKCSILPKLVEHKNDKDHFLTLCYGEKTREQYWCEVCEEDLNPEKWFYSCDQCGVTLHIKCTFGDFIWINPGGEAESIYMVIPNNYTSRPDITNKN >A08p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11599776:11604588:1 gene:A08p012900.1_BraROA transcript:A08p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDAACVLRKMCLDTKAPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNGNSEELRCVIAVIRHGDRTPKHKVKLNVTEEKLLNLMLKHNGGKPRAEMREAPAFLPGCESDSDPEDLEHAEKLRQVKAVIEESRSGLKILITGKEGKPKTKILNVVCKQFKFMEKKLLLITVSIITNLVFTIHILYRNSNTWNPTWTSRAAIEAEDAASVSCSGHGRAYVDGIGVLGGKQPSCECNNCYTGKDCSILLSDCPVNANSGDPLFLEPFWMRQAESSAALVSGWHRMSYIYQDGTYMSEALETVIRKLHSVVGNAVTDNRFVIFGSGSTQLLAAAVHALSLTNSSSSGPARLLASVPYYAMYKEQAEFFDSVHLKFEGDAFAWKNSERNDNTTQVIEVVTSPNNPDGKLKRAVLDGPNVKTIHDYAYYWPYFSPITVPADEDLSLFSLSKTTGHAGSRFGWGLVKDKTVYENMKRYITLSSMGVSRTTQLHVLQLLNVVVRDGGDNIFHFGHETLKKRWETLNKVLSLSTRFSLQKIKPEYCNYFKKVRDFTPSYAWVKCERPGDANCYEIFREAKITGRDGKVFGSEESFVRLSLIRSQDDFDHLIDMLKKLVLQEGVEAHSI >A09g517080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50226038:50228805:1 gene:A09g517080.1_BraROA transcript:A09g517080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRFLRPRFYIDLLICVFFFGSRTVLSFQLLLAMKVSGVSDSEKMTGETAIPSDSVNPISQSGVSSGDNGPTKSRCGMADSKGTSKSGPQSGITSGVDKPVKSRGTTAVTQMPIRTHGRTGGSSGPVIGVRGRPSVSAIDKGKSIVSDDVGKVITFKDVKFGPHQDEIRFRLIHFWEAWNVQTKVLIGIEMLLIDEEASVIQGFIPYGRIETYLPHMKAGCTYRLNKFYGSKSKTVYRIADSDVTISFSWNSALTALEDSSICFPEDRIRIHGFREFDGASDLKGDLYDYIGHIKLVNGKVPTDSILLDEGEIAVSRRVELHVQTHDDPVMKLYLWDKAAFEFIDKFKASRGTARVILVTTLNPKRFGGVLSLSAMASSRVFMDSDIQETLSYLSWLNSNLDVASRVNAEVITKPEPATLGELFAYMNQASSKVAWFECTATIDDVVHGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVAQYLTRLSVYDNNDQAVFVVLGDAGEELTGKKASELVEKYYQVNDNIEGDHKVPVPQAMIDTIGQTRKFIVKVSKHNLDAKTQTLTVTKVLPPDAAGPEDNLEGDVDVIGDAEGVGNAAEFGKRGADEIESEGVKRAKCG >A05p017940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8171231:8171853:-1 gene:A05p017940.1_BraROA transcript:A05p017940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESQIYHERQRLQFCLLHSLNNLFQDKNAFTRESLNSIAEKLVADDPNKETWTTPLSFLLKPHHNTLSGNYDVNVMIAALEGKGKSVAWHDKRHGASSIDLGADNLMGVVLNVPVKRYGGLWRSRHWVVMRKIDGVWYNLDSDLVVPRPFKGEDEVRGFLDQNLSLGSEVLLVNNP >A05p028960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:7722:10191:-1 gene:A05p028960.1_BraROA transcript:A05p028960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLKRSEWRGHGRDIGELSESDEGEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASSFEKLGHDQIIFTTLVRLINTSHTACPLHRTGLDLPLSTDFTAFLEKLGNDQMSSHSAIAPSPWHHQLLGKAEWIHQLLINHFTIEAALINSPSSLEPRLEGAKLVMIICIPMELGCLNHHRETHKTHFSLHNDPCYTSCSLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPSDDMQWHKTFVSTFLAVGELHIIPLGSNQDNSSCLITNATAIYKP >A09p017830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9251976:9254798:1 gene:A09p017830.1_BraROA transcript:A09p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKYLQRKQIEEWRGYYINYKLMKQKVKQYAEQIRGGSQHPRHVLKDFSRMLDTQIEKTVLFMLEQQGLLAGRLSTLRETHDAVLEQPDISKIVELRESYRDVGRDLLQLLKFVELNAIGLRKILKKFDKRFGYRFADYYVKTRANHPYSQLQQVFKHVGVGAVVGAISRNLHELQENEGSFYSIYDQPVLPLQDPVVEAIKTAVDKLTNSTSFLNFLAQHALIMQDDLQTPSEDTIDERTYHFNSLLLNLGNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIALFIGNLMYALAYDANSITLLLLGRLCCGLGSARAVNRRYISDCVPLRIRMQASAGFVSASALGMACGPAIAGLLQIKFKFYKLTFNQSTLPGWVMAVAWLFYLVWLCISFKEPLRDTEEQEEQERSKRNETTSMTDRVEEGIRKPLLITSGILPDDEEDCDESEESADDSRRPANSFGDAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWTTSSVAIFLACLGLTVLPINILVGSYISNMFEDRQILLTSEIIVFVGILFSFNLFVPYTVPQYVISGLVMFVAAEVLEGVNLSLLSRVMSSRLSKGTYNGGLLSTEAGTLARVVADVTITLGGYLGRNHLLNATLLPSLVICIGSIVATCCTYNSLY >A01p057650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32653817:32656565:-1 gene:A01p057650.1_BraROA transcript:A01p057650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDASTALAAREKVQQFLNAAITGNLEFLKNVANQLDEGKGLKQTVESVKDANKRGALHFAAREGQTEICRYLLEELKLDANTKDEAGDTPLVHAVRQGQIDTAKYLLDHGADPNIASELGATALHHAAGTGNIELVKELLSRGVPVDSQSESGTPLIWAAGHDQKDAPNAETEDNVTPLLSAVAAGSVACLELLVKAGAKANVFAGGATPLHIAADIGDLELINCLLKAGADPNQKDEERNRALEVAALRENRKIVETLFPLTTKPESVSEWTVDGVLAHMESNKAQEENNSDKAKSGESGIIKKDLPEVSPEAKAKAAEAKARGQDAFHRKDYQMAIDAYTQAIDFDPTDHTLLSNRSICWLRLGQAEHALSDAKACRELKPDWPKACFREGAALRLLQRFDEAANAFYEGVLLSPESKELIDAFREAVDAGRKFHGKDKITDKS >A02p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6413408:6413955:1 gene:A02p014470.1_BraROA transcript:A02p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHRHYIMSQEPKEQQLLTTLHKINKAAGNETQTRVHEQETDESEDEEEDVEEHLYVEKDNESELSSDDGNDEDDTLMEEI >A03g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2373190:2379049:1 gene:A03g500780.1_BraROA transcript:A03g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMNFRDNEAAMIKKIATDISNRLNNFTPSNDFDGLVAMGARLKKMEPLLCLGSDEVRMIGIWGPPGIGKTTIARVAYNQLSNSFQLSFPEDGFEELALEVTKLAGELPLGLRGSTSVIGIKLEYGREDEEIDISEKAFEGMSNLQFLKVYGYRDSLQLTGGLSYISHKLRLLHWKYFPVTCFPWTVNLEFLVEVIMPHSKLEKLWGGIKSLRSLKRMDLSDSVNLKELPELSTATNLEKLNLSNCSSLIKLPSLNGNSLEELDIGGCSSLVEFPSFIGNTVNLRILDLRYCSSLISLPSLSGNSLEKLEISGCSSLVAFPSCNGNAVNLRELDLSSFPNILELPSIIGKATNLEKLDLRFCSNLVELPLSLGNLQKLQRLILKGCSKLEVLPANINLESLNVLNLCDCSMLTCFPQISTNIIFLDLIGTAIEQVPPSITSWPRLEELKMSCFGNLKELPHALERITELCLTDTEIQEVPPWVKKMSSLNRFVLMGCRKLVSVPPISDSISSIDASDCESLEILECSFPNPKVRLNFANCFKLNQVARDLIIQNSCRYAVVPGGEVPEYFTHRATGGGPLTIKLTENPLPKSMRFIACILLVDKGDHDACSEEKSTEVFCQYNDSMHMLHPALAEHLYIFRVKAEVTSSELRSDFKLKIDDVWKIAECGLVQDLEIP >A08p039130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22561682:22564664:1 gene:A08p039130.1_BraROA transcript:A08p039130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-1 [Source:Projected from Arabidopsis thaliana (AT1G18140) UniProtKB/Swiss-Prot;Acc:Q9LMS3] MKTFGFLMISTFLVLFATLLPYSSASTTRRFHFNVEWKKVTRLCHTKQLLTVNGQYPGPVVVVNEGDTVEIKVTNRIAHNTTIHWHGLRQYRSGWADGPAYITQCPIRSKQSYTYRFKVEDQRGTLLWHAHHSWQRASVYGAFIIYPRQPYPFSGSHIQSEIPIILGEWWNDDVDKVEKQMLKTGAGAKVSDAYTLNGLPGPLYPCSTKDTFTANVEAGKTYILRIINAALNNELFFAISNHTLTVVEVDAVYTKPVHTKAIMIAPGQTTTLLLRTNHLSDGEFLIAATPYVTSVFPFNNSTAVGFLRYNNKSKPVNHPNRRRLITSLSTRAVLPDMLDTKFATRFSDSIKSLGSAEYPCKVPTTIDKRVITTISLNLQNCPENQTCSGYDGKRFFASMNNVSFIRPPISILESYYKKQSKRVFTLDFPEKPPTRFDFTGVDPVSENMNTEFGTKLFEVDFGARLEIVFQGTSFLNVENHPLHVHGHNFFVVGRGFGNFDAEKDPEKYNLVDPPERNTIAVPTGGWAAIRINADNPGVWFIHCHLEQHTSWGLAMGFIVKDGPLPSQTLLRPPRDLPKC >A02p010000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4304332:4305565:-1 gene:A02p010000.1_BraROA transcript:A02p010000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRDSIHKRRATGGKQKGWRKKRKYEMGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRVLDVVYNASNNELVRTKTLVKSAIVQVDAAPFKQWYLQHYGVEVGRKKKTAPSAAKKEGEDGEEAAPAAAAAPEEVKKSNHVQRKIESRQEGRSLDSHIEDQFASGRLLACISSRPGQCGRADGYILEGKELEFYQKKIQKKKGKGAA >A10g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10508166:10508619:-1 gene:A10g504250.1_BraROA transcript:A10g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMSQGCKGKAPLPFQLIPTAHLIHLNLPFPPMDCTVDGTDLLSVPLALSLYIALSSFLVAPDIFMAHPRLYKAVLNALSLHQPSIFSLTPPQPSHDQSKSFLDLTSQDNSFRTLLKLD >A02g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10844750:10848759:-1 gene:A02g503270.1_BraROA transcript:A02g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDADSDLDGRFVTKGGPQTSRSSRAKVPPPAPVPIRRVSGSLIPVRSSGNQSRLVTSLPVFSAPKTANTVKSFQELRESLEIPIETILLLPAVSNRS >A09p068440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53625293:53628135:-1 gene:A09p068440.1_BraROA transcript:A09p068440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 4 [Source:Projected from Arabidopsis thaliana (AT3G63240) UniProtKB/Swiss-Prot;Acc:Q8GTS0] MGDGSSLKRTKRSWPRALVKKWLNIKSKAEDFHADDRDVYKGECGDWSNNVIEREEACSVNKKSNYAETGCRRNNGRGRRNRQELNSAPLVKQVHNLRVFTATWNVAGKSPPSYLNLDEWLHTSPPSDIYVLGFQEIVPLNAGNVLGTEDNGPARKWVSLIRRTLNTLPGGGSCRTPSPVPHPVAELDSDFEDANSSFYHRRSFQSLSKSLRINNFDMSAASMQQPHLDRRFSVCDRFMLGHDSYDQSFRYCSSEDDVDENVHDSNSPSYDQYSAVSRSGSFVTEERDKSKYCLVASKQMVGIFLTVWVKSDLRDSVKNLKVSCVGRGLMGYLGNKGSISISMSVHQTSFCFVCSHLTSGQKEGDELRRNSDVLEILRKTRFPRVNNAGEDKSPQTILEHDRVIWLGDLNYRIALSYRCTKALVEMRNWSALLEKDQLRLEQRKGRVFEGWNEGTIYFPPTYKYSNNSDVYAGDDRLTKAKRRTPAWCDRILWHGNGLSQLSYVRGESRFSDHRPVYSLFSVEIESVYRNYNIKKSSRVEVEELLPQRGWSRSSMFFRLGTGEEDSSGKRSK >A02p027400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13300438:13301998:-1 gene:A02p027400.1_BraROA transcript:A02p027400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLVFFIVLGLNAINGYDCKIVQFIFGDSLSDVGNNRNLPRSLAQANLPFYGIDFGNGLPNGRFTNGRTVSDIISDKIGLPRPLAFLDPSMNEDVILANGVNYASGGGGILNETGGYFIQKFSLWKQIELFQGTQDVLHSLGARKLMVFGLGPMGCIPLQRALSLDGKCQNKASSLAVRFNKAATTMLKDLETKLPNANYKFGEAYNLVNDVITNPQKYGFDNSDSPCCSFYRIRPALTCIPASTLCKDRSKYVFWDEYHPTDKANELVANILIKRFDFMRADDGTSEAPSPSPDLAPSPDDK >A02p001180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:561859:562215:1 gene:A02p001180.1_BraROA transcript:A02p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPQTSSNIGGVTDPKCKNLMKPPDYLMSFPTPQGLVNQEETWNMGLDTCNDDAWEETMDNNVSEFGYIAGTTSGGCLADVPWEQIAARITETGINWPSCDDNTPMDYLLNLPLFKS >A08p036730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21485025:21485649:1 gene:A08p036730.1_BraROA transcript:A08p036730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YAYTTRIDGELHADWWLFTYFVLIHGHARVGRLQRAFQYSTEQLQLHYAYKQELGPWEMGRGCQANCIKRMLDACTRWALFKHLDTEHASREAEFLDNWPVSFLSAYGLMHIGSALIATSSGDNTALRNRSNVVEARYFTWNSFLHASMGLLYTQQRQEE >A04g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2839293:2840077:1 gene:A04g501040.1_BraROA transcript:A04g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFPLPLLAPNFFAVAAMQWCAKAFDMRDILPTKGKPLGPGITCVHEGEDKAGLPLGVQNIVSGFGPIAGASLTSHMD >A04p034710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20034677:20036580:1 gene:A04p034710.1_BraROA transcript:A04p034710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYIRRESSKLWKRFCSEITTEIGLLAENWKYLVAGIICQYIHGLAAKGVHYIHRPGPTLQDLGFFLLPELGQERSYISETVFTSVFLSFFLWTFHPFILKSKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSKVARMPWPKNPLEVLEINPHGVMYGCGDLIFSSHMIFTLVFVLTYQKYGTKRFIKLFGWLTAFVQSLLIIASRKHYTVDVVVAWYTVNLVVFCLDKKLPELPDRTTVLLPVISKDRTKEENHKLLNGNGVDPADWRPRAQVNGKIDSNGVHSDNSINGA >A04p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5533417:5534285:-1 gene:A04p014470.1_BraROA transcript:A04p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDREDFTLCGPSHLTNLDWANENHQRCVAACLVQGIYIVERDRQLQREGSQALASPWWDSFHFKLIRRLIDDADFSIFGAIYQLKPPPQQEDTAITTVESKAPRYVIAFRGTLTKPGSISRDLELDIHIIRNGLHRTSRFDIAMQAVGSMATSVGASNLWITGHSMGAAMALLAGKTLAKTGVYVKSFLYNPPFVSPPIERISNERVRSGLRIAGSLVTAGLAFSRTLKQAQQPLQLQERNLSEDPLKALSLWLPDIHVNPVLIY >A05p051200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29832291:29833650:-1 gene:A05p051200.1_BraROA transcript:A05p051200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNSWINCPSVFSSSSRRCQQSRSDLYIGGGYEDLEGEDDLKSEFICPFCAEVFDIVGLCCHIDEEHPVEAKNGSSYVQRRRRLRKGGGYSSAYLTLKKELREANLQSLLGGSSSFASSTNIDSDPLLSSFMFNPPSAANKSATPVTEGASATTKLSQKESLKRDSREGPLSGEDREKAKKSEFVRGLFLSTMLGDDY >A10p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10994100:10995855:1 gene:A10p007790.1_BraROA transcript:A10p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSGRAEELAFRAAEPNCRYVIAGWAVYSSGLKGWSYPSSTVREEAPSGVCSAFTCAPPCYGFKVVLPCARSGPSSHFDASFSSSNGLNFEWQKRIGLGYLILLRFRVASPVESLRLFSVFFLCNLPFFVGCGVSVWPRLWRFSSMIPLDCKDGWLLRYAISSLLVLGSALKASEESELEAIIKE >A08p026200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16716206:16717437:1 gene:A08p026200.1_BraROA transcript:A08p026200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKLAFFPPEPATYTVTNDEETGNLVFSGVSPDMNMEVHQLSTKPGNRVVATFWRHPFARFTLLYSHGNAADLGQMVELFIELRAHLRVNIMSYDYSGYGASTGKPSEFNTYHDIEAVYNCLRGDYGIKQEEVILYGQSVGSGPTLHMASRLKRLRGVVLHSAILSGIRVLYPVKVTLWFDIYKNIDKIRHVSSQVLVIHGTNDEIVDLSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIQHLRKFINAMEKLSLTNPPSKQLANEPSISETKHNRCLRFRKR >A10p025540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16375440:16378640:1 gene:A10p025540.1_BraROA transcript:A10p025540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGRGEKGEQTFECFIKKKQESKQHTFQDLTLSLSLHCFAEKRDYYTVASQEDSLPKPHFQDFVEKMETLSKALCLLLLFCTLAAADTGGAPKYKDPKQPLGARIRDLMNRMTLQEKIGQMVQIERTVATPDVMKNYFIGSVLSGGGSVPAPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGVTRQRIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDYRIVQEMTEIITGLQGDLPTERRGVPFVGGKSKVAACAKHFVGDGGTVRGIDENNTVIDSNGLYGIHMPGYYKAINKGVATVMVSYSALNGLRMHANKELVTGFLKDKLKFRGFVISDWQGIDRITNPPHLNYSYSVYAGISAGIDMIMVPYNYTEFIDEINSQIKSNLIPMSRIDDAVKRILRVKFTMGLFEEPLADLTFANQLGSKEHRELAREAVRKSLVLLKNGKKGDKPLLPLPKKTGKILVAGTHADNLGYQCGGWTITWQGLDGNDLTIGTTILAAVKNTVAPTTQVVYNQNPDANFVKSGEFDYAVVVVGEPPYAEMYGDSTNLTISEPGPSTIGNVCGGVKCVVVVVSGRPVVMEPYVSTIDALVAAWLPGTEGQGVADALFGDYGFTGKLARTWFKSVEQLPMNVGDQHYDPLYPFGFGLTTQPSKL >A03p011100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4447125:4451261:1 gene:A03p011100.1_BraROA transcript:A03p011100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSCVQFLENKTVLVTGASGFLAKVFLERVLRLQPKVRRVYLLVRASDNKAAKQRLHSEVFEKDLFRVLRKNVGDERLNALISEKVVPIPGDISLNNMGVIRDSNLLQDMMQEIDIIVNSAATTRFDERYDVALGINTFGPLNILNFAKKCANPQLLLHVSTAYVCGERSGLILEKPFAMGETLNGKNKVDIDAEMLLVEQKLKQLKKLGYSEEETKQAMKDLGLKRAKLYGWPNTYVFTKAMGEMLLGYCRESMPIVIIRPTIITSTFSDPFPGWIEGIKTIDSVIVSFRKGLLKCFLVDDMAVCDLIPVDMVANAMIVTAAEHSRESGSHTIYHVGSSYQNPVMYKQIYEILNRFFLASPLLGRNGLPIVPNVKIFPTMAMFRVYTSLRYKLPLQILRLLRLIFPSQLGEKYEVHNRRFNIAMRMVKLYRPYVIFKGIFDDRNLERLRIKNEGTGIDKLIDSIKCIDWEDYFMNIHIRGLITHVLEK >A01p052110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29176848:29179186:1 gene:A01p052110.1_BraROA transcript:A01p052110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIISCVENACTMRQAPRDLKKRLETMSVYLCETTSHSAYAKAIITGFFQSVGSNVTAETSFFNAYGPVLLPYANTLNLQIQVIDTVERICLEADSSFFPAFGYIIEVLSNHGVDFEAIQVWKTRKNKERDEGTLSPREVALLTNLLGTPIGPAGAILQGYDLGQASQDARNMGF >A10g506790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:19893991:19895979:1 gene:A10g506790.1_BraROA transcript:A10g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGSCPPASVGILHLPPPFPPDPPDPSLPSPLLRSVPLPSSSPPSTVLPIPSDLRVLGVPNLSPSPSCSSGVSAAELLASYLVSPKSFPQNPNLVSVPVVPARGPTFVNPSSPSQCSLLDSIPGSSPAPPPHTSSAPYSSPSTDSVPQTWADKAKLSTDKSLKRMSTTSTSLSPEGIPRVSIPDEVFQRGALLHKDFIVGRFFGRVPSFKTIQNVLNYLWGKGNRLEIHLIQATRSMLVRIPSDFIREKVLKKRIWYVDTAMFHVAQWSDGDVADTSSLESIQIWAHLKGVPFDLMTNEGLSWITDAIGFPKEMDDWTKNLQSLSVAHVKVEVDATKPLPSVLELVRKSGATFRVDVEYPWLPPTCSHCKQLGHIIKDCLKITRKWVPIQREKDPEKNANSSLPVLATVFEPALVNPTPPTDVPSSSSGPALPSSPYQIISFGSGLELPSPLAHPTSIFPPSIPVVMDIDTPPDLSSFPPLPSSIPPSSPSPPLPHSNHLVPPSSPPSPVSSYPQNYVLALAATVMPISSIPPPPKPLLLPPLTQPPPSSPPSSPVVAVSSQFPPSSHSFRTFHAKKPDWESPKRKFKLSSKPPLISPKNQIDYSNPFAPLSNLSLSNPQLPPPLPSLPIPPSATDLPTEEKISPVVGSLLSKGVPSTSL >A09p050700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44499186:44501916:-1 gene:A09p050700.1_BraROA transcript:A09p050700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNLAVIIKNPGDSAQFLLEKQKHPAKFGDEAYDSFVDSNLWDLPSADLPSLEDGTRSVNALSIAESCSEEIDLKNFDLDSTLNRLLASLGIEFSDVGEWSFDRYVVEPEFGPDSCVPTCFLSGKLLDTDKSLQDNCKWMSMEACFDCLIDAKPGGDRVGPLVLLGLGDGSMKKKLAPSLPVQEYPPGVMIVPMRSRTLKPFTTTNLVVFAPENGVVYHQETDFVTHGDALIVDPGCHYKLHTELKKIVDALPRKLIVFVTHHHRDHIGGLSAIQESNPDAILVAHVKTRNRIDGWSGNYTPVSGGENIYVNGQSLTVIFAPGHTDGHMALLHNSTRSLIVGDHCVGKGSAFLDIRSGGNMTEYFQTTYKFLELSPHVVIPMHGRVNLWPKHMLCGYLKNRRSREESILKATEDGGQTLFDIVSNVYSKVDRSFWLAAASNVRLHIDNLAVENKLPEGFSIQKFKASCGFSFKVRWAAGYIGSRIPFKINKPGLIMSVIAAGAGYFLLYTCKKRSTIES >A01p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13275570:13275990:1 gene:A01p031350.1_BraROA transcript:A01p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRFGEDWRRFSNSHRVSGGPCAVVPYKSSRVLVSRLSMAVASVRGRWGSRLCDVGLSGGGKISESSLGGAWLVLDSPCREMKKDESVR >A10p015830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3426756:3429289:1 gene:A10p015830.1_BraROA transcript:A10p015830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPDKSVRFHDWKSDKASDVEYSERPDGLFRRAISSISDKFHRSSLRIKMLRTSYSFKETVSKGIVSTHEILDPQGTFLQKWNKIFVLACIIAVSVDPLFFYVPVLDKANNCLDVDKKMQTTASVLRSVTDIFYAIHMVFQFRTGFIAPSSRVFGRGVLVEDRRKIAKRYLSSHFIIDILAVLPLPQMVISIIIPRMREPKTLHTKNMLKFIVFFQYIPRFIRIYPLYKQVTRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIERKTVCWKQAWNRDGRSKCDIGSLYCKPDTVGNNTFLNGSCPVLKPNATCFQFGIFLGALESGVVESHDFPQKFLYCFWWGLQNLSSLGQNLKTSTYIWENCFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRVKRRDAEQWMSHRLLPDNLRKRIRRYEQYKWQETRGVNEENLLSNLPKDLRRDIKRHLCLALVMRVPMFEQMDEQLLDALCDRLQPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEHLGAGDFCGEELLTWDLDPHTSTNLPISTRTVQALVEVEAFALKADNLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAFWRRCVKKRLEESLREEENRLQDALAKEACGSSPSFGATVYASRFAANILRTIRRSGSVRKPRMLERMPPMLLLQKPAEPDFNSDDYMRIMPIYKNRSL >A09p007490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3924793:3927363:1 gene:A09p007490.1_BraROA transcript:A09p007490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAVTADVLVIITERNEILTLDTCTSLLPILTGLIEIDMDQHLSVSLDLLLKLVRMYGSPIYSTLSAPASVGVDIQAKQRESQSMPSLSIKADVSKQAHWVVSTIEADVAEHNGQTIGALVVKVWAVRTCKLLEGSTTLKGWVKGTFASAFYDLVVQIMKVNWTLNFFGLKQQVSR >A02p036310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20771662:20773086:1 gene:A02p036310.1_BraROA transcript:A02p036310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQICRSASKAARSLLSSAKNARLFSEGRAIGAAAAVTASGKMPLYASNFARSSGSSKSWITGLLALPAAAFMVQDQEVFAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVGIKVVVPSKDFAQKHYHDLKERPFFNGLCDFLSSGPVIAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPQELVSYTNNAEKWIYGDN >A10g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9260345:9262729:-1 gene:A10g503560.1_BraROA transcript:A10g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAERLRQFLLTSANSLGIKDDWIIPCADLLEIVAKERDGLHNYIKFKCVATSDSPSLFYGLVFTKAPLDENMPALIIPTNLLVWEGSLDMDKEDKSAVSETYTLLDQYPVNVVMVEGSISEEYKGYFLSREITAVQNMKRRLQRYPSLGYSCTALRCEKTIESLNDPINEKPLMFLDGCGSLTILLKGSSTAELKLMKRMLKTGYNQFRNELLSSDYFLVALPPSKIIPWEMDGGQDEVVTIREEEVSSYIAYSLQQVHDESSESRPKSSVFCQHRDSFHELRSKCNMTESQYISSLSRCDTWEAKGGKSGALFAKSRDTRLIIKEINQAEFESFAKFGPMYFEYMKEANKTFLTKIYGVYKVTLGQAKFLMVMENLNFDRRIAMQYDLKGLVHGRLAPDSAQVRLDQNFLNDMKRLRLHLNPYLKQDLQTVIRNDTAFLSGINVMDYSLLVGVDMENHELVCGIIDYLAPYSLKKKLETVGKSLLLLGKETRPTVIRPSEYKKRFVDFMVKQFLGEIDLRTKVKELEQQLRKERDARLDAEKRLEELNKKKPIRSRSF >A03p074290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:32318684:32319235:-1 gene:A03p074290.1_BraROA transcript:A03p074290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQRPISNRPISFLNRNGLFLLLLVLLVFLGVYLPLSESPLFMFQNRTSSSSPPSPSFVVSDWRYYSLAQAAKFVAKNGTVIVCAVSYPFLPFLNNWLISISRQKHHEKVLVIAEDYALLYKVNEKWPGHAVLIPPALDPKAAHHFGSQVRRDVSPFRMQCLELELRIINLLNGFHPLFD >A07p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:540652:540996:-1 gene:A07p000710.1_BraROA transcript:A07p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQHYLVVVFFVLFSFLLFVNLSEGRSGGVAEEYWKKMMKDEPLPEPIKDLLNNPFRTGQERFVKDFNTKSIVIIYHNPNV >A05g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9955294:9955639:1 gene:A05g503390.1_BraROA transcript:A05g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNPNFIINHPVVKSPLINAIELKKSGVQRQLFANQLKDRQFGDDEAMIFDENYCKAL >A04p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3612203:3613093:-1 gene:A04p006790.1_BraROA transcript:A04p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFLFFVSHLSAEGTIQICNVRAVLIVVEARLLRFLEAKNVMRGGELMWMDLLIVDVNVESMFLTMFQMCEELLTHRFVFVDLTEPVSPLPEKAFRFHNQSELIGLANTNTLP >A08p015160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10009055:10012273:1 gene:A08p015160.1_BraROA transcript:A08p015160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chloride channel-like protein CLC-g [Source:Projected from Arabidopsis thaliana (AT5G33280) UniProtKB/Swiss-Prot;Acc:P60300] MPDSTREDSVAVPLLPSLRHATNSSSQVAIVGSNLCPVESLDYEIAENDFFKQDWRARSKTQIFQYVFMKWLLCFFIGIIVSLIGFTNNLAVENLAGVKFVVTSNMMLAGRYSMGFFVFTVTNLILTLFASVITAFVAPAAAGSGIPEVKAYLNGVDAPEIFSLRTLLVKIIGNISAVSGSLLIGKAGPMVHTGACVASILGQGGSKRYGLTWRWLRYFNNDRDRRDLVTCGSAAGIAASFRAPVGGVLFALEEMSSWWRSALLWRIFFSTAVVAIVLRALIDVCLSGKCGLFGKGGLIVFDVYSENASYHLGDVLPVLLLGFVGGILGSIYNFLLEKVLRAYNYIYEKGVAWKIILACAISIFTSCLLFGLPFLASCQPCPADALEECPTIGRSGNFKKYQCPPGHYNDLASLIFNTNDDAIKNLFSKNTDFEFHYLSVLVFFITCFFLSILSYGIVAPAGLFVPVIVTGASYGRFVGMLLGSKNLNDGLYAVLGAASFLGGSMRMTVSLCVILLELTNNLLLLPMMMVVLLISKTVADAFNANIYNLIMKIKGFPYLHSHVEPYMRQLTVGDVVTGPLQVFKGIEKIETIVHVLKTTNHNGFPVVDGPPLAPASVLCGLILRDHILTLLKKRVFLSSPVAFDSNTLAQFKADEFAKKGSGRGDRIEDVELSEEELNMYLDLHPFSNASPYTVLETMSLAKALVLFREVGLRHILVIPKLSNRPPLVGILTRHDFMPEHILGLRPSLSRSRWKRLRIRLPFLS >A02g506920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19482255:19483607:1 gene:A02g506920.1_BraROA transcript:A02g506920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTKLGLETSSASKCRVSDVSTSIDGTCVHRLILIFICQGISWYRSIALMQIYVVSSGEMSFKLQNAPKISDQDWTGFHESKLNGGCHQSSLRKRALKIAASKSRFELFYWSLYESSINGVTFQTCLKNPIPCIPSPKISGYVRFSVRNQLWLLHTVQGKCVVDRLICFSIFSAICNQ >A02p001710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:740190:742109:1 gene:A02p001710.1_BraROA transcript:A02p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP13 [Source:Projected from Arabidopsis thaliana (AT5G04820) UniProtKB/Swiss-Prot;Acc:Q9FMC8] MGKTKLSSLFRGGAGRLLTPPLCSNAKTLSFRVEDDMIKTVNSVFFDDILEAATPESWFTNSSETASHSTESDQDLDAESLETVVRGVVRSERLFFDPGATSSIVEETNEKSIEEISVSVAMESEDPYGDFRRSMEEMVRSHGELAKDWRSLESMLEWYLRVNERRSRDVIISAFVDLVSGLSDSVSDSGRYSTAVSSLPSSPLCSLSSKGETETEEEERRSPDKTDVFGGTKGIKLVHEATLYSFFVREETYDDLRPKKRFCMNRRRHSRKTKYIHCITQEKMAKH >A07p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8758713:8761637:-1 gene:A07p011350.1_BraROA transcript:A07p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSPKSDSDMTSFDLSSSPKRPTYFVQSPSRDSDKSSSAALTHQTTPTESPSHPSFASRISGGGGGGFRWKGRRKYHGGRWPPGDKEEESGDGRYEDLYEDHRGISIATCRLILGVVATLTLFFLICSVLFAASQSFTPIVYVKGVNVHNFYYWEGLDNTGVPTKIMNIKCSMQITTHNPSTFLGIHVSSSPIKLIYSRRFTFAAAQLNSYYQPKQSNHTSRIDLVGSMVPLYGAGADIAASGNNGGVPVKLEFEIRSRANIVGSLVKSRHRKHLSCFFLISSNTKFVKFTHKTCKKMMDATSESERTSLDLSISSPKQAYYVESPSSVSQVYDGDKSSSAASLIQVQTPNYTILTESRLSSSSRTSNGTSGVGFRWKGSSRRRDMYWLERHYTIDEEEVYEDNRGLSVGQCRAVMVILGIVVVFSVFCSVLWGASHPFSPIVSVKSFSLHSFYYGEGIDRTGVATKILSFNSSVKVTIDSPAPYFGIHVSSSSTFNLTFSALTLATGQLKSYYQPRKSKHTAIVKLIGSEVPLYGAGPNLAASDKKGRVPVKLEFEIRSQGNLLGKLVKSNHLNHISCSFYISSSKTSKPIEFTHKTCKHITK >A09p049780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43925417:43927289:-1 gene:A09p049780.1_BraROA transcript:A09p049780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNQNGRDGDENPEEALPPSPSQQLLRERLKAYENMYDSLDDHYSRRTGSSQFAPLSDLRALESDFRRLGVYDSNPRQQPLRDQRGSNQFPLNGGDRGINEYFNPSYFHSQTEQAQINLERMWFRKDNLVNGYHAYKSSNYGSYGRGNSGMSFRSPYFDHCEVPFPQSNNHNSGNQSRWSYSHGYVPRTHDLFNMNNSRATDNTLSRAKNRVDSVELQNLIAEGSRDTIDQIFDELISHVCELMTDPFGHQVFQKLMEKCTNEQITRVLEIFIQQPNQFVRICGDMHGTRAIQDLIRCLSSEEQISHFMVTICHAALLLSKSTNANDVIMFCFSHFSPSQTNGLLQMIVQNCYQIAIDHYGSCLLQQCIGKSRQEIREPLIREIIANAMNLCVNRYGNYVVQYVLELENFQVAATLSRYLSGNYVQLSCDKFGSHAVQKCLESRQFNSRMIINELLTDIDSLLVNPYGNYVIQTAWVVSQNDMRSELLYHINRNHPLLRCNRYGRKVLEKLNLWT >A07g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27660672:27661416:-1 gene:A07g509300.1_BraROA transcript:A07g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASTVLHWVHENLSKIDEKSLSFCSRRWLYCKDVELQEHFNTDSVFTLAHQKIPSSTPILFIKEFWLPGSGPDFGVPPFSGIIAVILLKILLALQALLETNMIKINQP >A01g500710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2628674:2629975:-1 gene:A01g500710.1_BraROA transcript:A01g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLLDQNVLNISMTDTMHLLFVQNVENFSGCKEESFKEIPPDYLMLLGGSTPKMIRNVATKNLKDHQLQRIRNDHVQSRGVIHSYFLKGEPPDTNSIPKPKQFQGKVLESQKKMKADLLYLGADYTVSRSKPCQEGGDDVVIRSATEPEVSPKPYSTSQGANQDIRALKMTYLTNQEGLNHEANYSGFYTQEGDQTNWNWAKILTEQEVMSFTSQRFLSPSICEYLTLEADSSPMKEGPEPKTIIGFKRDLSSFQQAQYQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDIIHGQEEFYKSIPWTSQHRIKGILIYFNLPYLESQALKLQQLFFLQSMHDISTFQTIKKIPRKLTYPLKPSRYKEDTIYIHLAKILIIKPPTASFQGAINSFASKFIISIFISFLMSFYDC >A07p036170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19477768:19479367:-1 gene:A07p036170.1_BraROA transcript:A07p036170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTVPNLLPFFSTKVYSGYSDTWKGFYKVYSDVFNSVYLNEVNFARKLGLGMETPPVMGSLESPYAQVKEFYSYWLGFRTVMDFCWVDEFDVMSEPDGVIRRKMKEENDEVRKKAKREYNESVRSLAAFVKKLDKRMVDMMVMKRIEMEVKERERERKEKMGKVRWERAMNYKEPDWAKGEDGGFSVVEEDDSDDNDDDGMVLYCIVCSKNFKTEKQWKNHEQSKKHKEIVAELRVEAPETETVEELQEKIQDGFNIDEAEAEQKDVEEEVVGEADETDDEFVMTEEDVKGSSESEDDDADDEMSLLKKMVSEQKNKLKNVVSREEDEVVVEIERTKQNADDANIVELNTYDNVKDEAHSMENDNKKITGRRRRSKKAKDKNNLGGLMEKSSEADNTQDRNGDMEEFHAETFEESMKVSRSKASTRGMTSEGTSKKAFYNKCGRCGEKFESRTKLFKHLADTDHATVKSR >A08g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13223979:13225226:-1 gene:A08g507500.1_BraROA transcript:A08g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHLINPASKRWNETRVRQLFEEEDVSIVLNTRFNLRSGDSLVWGFSRSGVYDSKSGYKLLESIQAIGQSERLRFPWILWHLWKARNSFCFEQKRFTAANIFKKAAEESSIWFKLFQKDQEEESSTRVLDIERAWQKPPMGFVKCNLGCSWSASSQHTGASWVIRDFRGQVMEHSRRSFTETSSNLEADLISLCWTAKDLHTLHWNRVIMEISSAHTLEALNNPQWFPGLSNTIEQTRQALNCFQNCYVEVVNADTNRVAEKIAASVTKDGRFQSYIARGGPSWLNDIILAEVSNSPSSYQR >A08g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5932322:5934686:1 gene:A08g503070.1_BraROA transcript:A08g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIKFPVVNLSKLNGEERDQTMALINDACENWGFFEIVNHGLPHDLMDNVEKMTKEHYKKSMDGHDLIKALVPSSPPLASPPAAASPPPPLMGPSSSQAPLATSDLVVRSCSALEIDLTDTSSARSSPVTATHTASVKAAPATVPEPRLENLAEDLLDLLCENLGLEKGYLKKVFHGTKGPTFGTKVSNYPPFPGPEMIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGDWIDVPPLNHSIVINLGDQLEVITNGKYKSVMHRVVTQKEGNRMSIASFYNPGSDAEISPASSLASKETEYPSFVFDDYMKLYAGVKFQPKEPRFEAMKNATAVTELNPAASVETF >A08p033760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20196381:20201598:1 gene:A08p033760.1_BraROA transcript:A08p033760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKKKILPRNQAWMNKKRRRRERSRDDRSQPSHIPLDITLGILSRLPAKSILRNRCVSELWSSSIKLPSFINSFASRSTSRSPTILVTISSGSGKYVFSFPQHQIPDGSICSPFYSYQIANLDWKYSLSNSIHGLILTSVFKIWNPALRQFLAFPHPDKYVSSRHASSYLGYDPLEGKHKVLFFMSTIKCTDQPRVLTLGTQESWRIIPKGHCPVHRPCGHGRCFNGILYYRACLDGDSQCIIMSFDVKSEYFNIINYPEGRSCSSFHMIPYEGRLALVTYDHPYDDVELNILKDAHGHIWTRERYVLHLPYESIRRDLIYFVGTTDAGEYAFAPHGVYEAFYIIYFDPRRNSTRKVLFERNLDEFRRRCGLDSDDYFTMQVYPNHIENAHGHEWTHQRFLGVPCKSEWRIHTSIMGIISDAGYDSLEGKHKVLCVPSEEYTDQSRVLTLGIQESWRHITKGRCPVHRPTGTRGRCFNGFIYYEARLLGDDHDIIMSFDVKSENFNPIKFPGEGPFWPFF >A10p037280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21053910:21054323:-1 gene:A10p037280.1_BraROA transcript:A10p037280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSWVLLFVLMFLVLTSQLEWKEQLESEIEASRSLIQSDKEQHHIPQGKESLQEKKILSQENKIQKLNDQVQDLRRQLVQCRNENQVELTELVTEIDQLPLSGV >A02g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16317157:16318104:-1 gene:A02g505360.1_BraROA transcript:A02g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIDTQKHEVPRNLRSEHAERHVCAECQYGCGQAVDQTSGGHGVTLHDVLVMPSDMWSTRCRDACVRSHARRHTGCHTAFFENSYSTRFEFTSKRGSARIKISSEVGLLVKVKKGFGTQKTDSGSRPQSTKNSEFPLLGSWIMAGGQG >A02p031270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16191404:16192441:1 gene:A02p031270.1_BraROA transcript:A02p031270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLDREDRVLDESEASHELFFHVRNLSVGAVLIAVVHTLHGFRKRMLYYGVVPRRIKLVTGPTLKISPLEVVSKVVLMAPGESVMEWLNKPIVQQFLLNEILSRADILMHKLNVMFPSSAPKARSMLPLKPLMSSKSF >A02p058640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35164115:35165945:-1 gene:A02p058640.1_BraROA transcript:A02p058640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCNKRNPQPSYIADHFNSLDQVITSLRKAGLESSNLILGIDFTKSNEWTGRYSFNRKSLHAIGKRQNPYEQAISIIGRTLSPFDEDDLIPCFGFGDATTRDQYVFSFYLDNKSCEGLDKAVKRYREIVPHLKLSGPTSFAPVIDAAIDIVEQNNMQYHVLVIIADGQVTRNPDVPPGRLSPQEEATINSIMAASHYPLSIVLVGVGDGPWDTMKQFDDNIPHREFDNFQFVNFTEIMSQHKDAAKKEAAFALAALMEIPFQYKATLSGSRLRHKPLPPPPEVIERDNAVRKMPNPVTETAEKSDRTAPVTQPVCPICLTNPKDMAFSCGHTTCKECGVVVKTCPMCREPITTRIRLYT >A10g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18350746:18351249:-1 gene:A10g506390.1_BraROA transcript:A10g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPGLYSGTSSLALVARASAFSVGLVYGSMKLKFLKMTKPHKVEANAQH >A02g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23807685:23809967:1 gene:A02g508830.1_BraROA transcript:A02g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVIEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELIKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A01g502000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6971419:6972006:1 gene:A01g502000.1_BraROA transcript:A01g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYMQPSSSDEPDLTYLLESEAQIYKDEAESSLYIAESFQYTPSPEADDGIPTTCYCGSEPEITTSQTHKDPGRRYYTCPNVDDGECHIWKWWDVAVTEEMTEVKRQMRLLKDQAFQCDQNVVKLQKTVCELQKTVCEQKKSVWEVKKPYMRIMVSVLTVLLGFAVMYMSGKYVYSALVVVKAILYNVVTV >A07p051360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27275274:27277527:1 gene:A07p051360.1_BraROA transcript:A07p051360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSRAFLAASRRLITPSLLPETTLNLAAFLSKRSFYSQLGAPSTWARRAMASSGVGGKAGYSTSSVPTNEPVVSVDWLHSNLREPDLKVLDASWYIPEEKRNSIQEYQVAHVPGALFFDLDGISDRSTSLPHMLPSEEAFAAGCSALGIENKNGVVVYDAKGIYSAARVWWMFRAFGHNKVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKIYQGQTVSPVTFQTKFQQHLVWSLDQVKKNMEDQTHQHIDARSKARFDGTAPEPRKGIRSGHIPGSKCVPFPQLLDSSQTLLPAEEVKKRFEQEEISLDKPIMASCGTGVTACILAMGLHRLGKTDVPVYDGSWTEWATEPDLPMEGAESSS >A01p029670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21023836:21025882:-1 gene:A01p029670.1_BraROA transcript:A01p029670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G46780) UniProtKB/Swiss-Prot;Acc:Q9STF2] MTSSSTSFLLTTSPGAKFNRRKPVLTVWAAKQTGFQLGKPKGDDLEGKQTGKSPDSNPFRFDFGKLPDMKSLMPVVTNPSTGLAFGNNRRKDPGTVFVAGATGQAGIRIAQTLLQRGFSVRAGVPDLGAAQDLARVAATYKILSKDEIKRLNAVESPFQDAESIAKAIGNATKVVVTIGATENGPDTPVSTSDALVLVQAAELAGVSHVTIVYDGSIGGSTYNVLDGITSFFSNLFAQSQPLTISELIEKVAQTDVAYTLIKTSLTEDFSPEKSYNVVVSAEGSNSGSGSSSSEAYKVPKLKIASMVADIFANTAVAENKVVEVSTDPSAPSRPLDELFSVIPEDGRRKMYADAMAKARAEEEAKVAAEKAQEAAQAAKELEKQMLKLSEKEAEAASLAEDAQKKAEAVGISVDGLFNKAKDIGSGLSWNALGSQIATAVQNASETPKVQVATVRGQAKARNLPPKKAVVKPATAAFASKQKEERLKEPEKEVRKVFGGLFKQETIYVDDD >A06p056050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29224506:29226228:1 gene:A06p056050.1_BraROA transcript:A06p056050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGVDSEGKEFNSAQEMWREEIGEEGDETKKTQWYRDGVSYWEGVEASVDGVLGGYGHVNDADIIGSEVFLKTLLQERLVNGETNQHLVALDCGSGVGRITKNLLIRYLNEVDLVEPVAQFLDAARENLASTGSETHKAANFFCVPLQEFTPADQRYDVIWVQWCIGHLTDDDFVSFFNRAKGCLKPGGFFVVKENLAKQGFVLDKEDRSITRSDPYFKQLFRRCGLHLYQTKDQKGLPKELFAVKMYALTVDTLPKVHRTRSKTRSNRPQIIK >A02p047960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29869595:29870440:-1 gene:A02p047960.1_BraROA transcript:A02p047960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFYPCQDPQVFINYRGEELRYRFVSHLVAAFERDEINFFIDKNELRGTDLRNLFARIHESRIALNPNHRRDDRYAESSWCMNELAKIKELADKEKLHVVPIFYKLKVGDVRGQTGKFGTKFWNLARASTGDQIKTWKEALECISDKMGLSLKDQSSEADFVKEIVTEVRRVVAAMGLEEGRDHNVNHSGNKKRGWEFQF >A03p054000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23172384:23173726:-1 gene:A03p054000.1_BraROA transcript:A03p054000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASESVNKLLVGNKNDLTSQKVVSTETAKAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPSGGSKPATVQIRGQPVNQQSGCCSS >A04g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4478912:4479204:-1 gene:A04g501860.1_BraROA transcript:A04g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLKYYDDAACVLRKMCLDVKAPHLSSTLPPTLTWKYYMLLDESTLPPIFIDSATHFTLEVL >A09p053300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46348088:46354892:-1 gene:A09p053300.1_BraROA transcript:A09p053300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRFLAGFLVALIIITSPVQSVVTSTPALLFITTSQAHFIVNNSPAQLVVTAIPNRVLVVAPLSINRNTRSINSEGKLARSALTDLPSSTVLTPSTLSSRHSSRSKPDCLVRCILVIPCWSRHGNVEVRSVGLSSMSTPPQKFSSFRVSMKVKMSPLSDCSTGTTRVHLAQSSDVVLKLRTLFVQPSQVSKVCSSSLVTSTIPFHGLAILFISAKSKIFKRYVTIEIHLVSSGNLIVGTRAGLARSASFQTLLFRLFNVDSDYSVLVVVTYSGMHLMISHGSPVVEQVLVMLFCFCIMLFIKLSRIPPFIILLPLSTAPDVIV >A03p064640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28143883:28144886:-1 gene:A03p064640.1_BraROA transcript:A03p064640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDTYMNLQLGNTEEYIDGQLTGNLGEILIRCNNVLYVRGVPEDEELGDAEQDLPVPVADFSVTGMECLSFLAIVSRPSYCGPKYI >A03p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2366713:2368702:1 gene:A03p005640.1_BraROA transcript:A03p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSGRDSEDGHTQDKGLTDSNTAGPTAEPPVAQSKHAPPSPPPATKQGPIGPVLGRPMEDVKASYSLGKELGRGQFGVTHLCTQKATGQQFACKTIAKRKLVNKEDIEDVRREVQIMHHMTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLSKDESSPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILKSHVDFSSDPWPSISPQAKDLVKKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDSSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMSDGRDIKEIISEVDGDNDGRINYDEFVAMMRKGNPDQIPKKRRELSFK >A04g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11536227:11536684:1 gene:A04g505390.1_BraROA transcript:A04g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFMMILLMFLALSLLMMQSVEFLFLMTSMRLFKLVSMSGALEELLVLNKKILDIQLHELVSRHGAVTIYYLYLCQF >A06p042320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22743374:22744145:1 gene:A06p042320.1_BraROA transcript:A06p042320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:Projected from Arabidopsis thaliana (AT5G23860) UniProtKB/TrEMBL;Acc:A0A178UKM5] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGESDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDRFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPS >A06p050870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26750893:26752270:1 gene:A06p050870.1_BraROA transcript:A06p050870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSQRNFSPHLSEEPMKIANGYLLEGVSERKDDVFSHPWCSSIAKGDSAASSVDILDVLPSDPFGMDINNTFTAITGWLEDLEVDYGRDESGVGDGNHHQQLFARLSFIWNNAMRFQEFPESSNVYDNGWGSLNGFGDGSCHGAFVSAGSVDEDGRNGGEVAESSGRCTDDGGGNANVVHPAFGFCLYHLGVKDLLSVSMVCKSLHTTVCDDSLLWKHIHISQPLNEKITDEALLQLTERAQGTMQCLRLVDCSRVTEDCLKQVLERNPQVVKLGVPGCIRITIDGVLSILRDLKSAGKLQVKHLEIGGLFGVTKDHYEELFGLLNIETNVERSIQKPRFYHRGYSCASCDDNEGIDIEMCPKCENSRLVYDCPAEDCKGKGECRACSLCIQRCFQCGRCINDSEYEETFCLEFLCADCSRPSPELPL >A03p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11084096:11086103:1 gene:A03p026500.1_BraROA transcript:A03p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDLFDPKTSTDMDSILSPRDSSPGADFGFAFNDSNFSDRLLRIEILGGPSSGSRPDGDGCCTSIADWARHRKRTRDDKNNKDIVACPEEQIITDNNRPDMDDCPGGDEEGEAMVEEALSGDDDDESSEPNWGMDHSAVVNNVKELHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRISAQEEGALMELLNFMYSSSLTVTTAPDLLDVLMAADKFEVASCMRYCSRLLRNMPMTPDSALLYLDLPSTVLMAEAVQPLTDAAKQFLASRYKDITKYQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARGQYSSLEDRREILGSRLALCIRFPYMTCRKLKKVLTCSDFEHEVASKQVLEALFFKAEAPHRQRILSADGSDSTNRRFIERAYKYRPVKVVEFELPRPQCVVYLDLKREECAGLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGAVSFGVDYEFAARQKPSQDYSSKYKGNYTFTGGKAVGYRNLFAIPWTSFIAEDSQYLINGVLHLRAELTINRT >A08p040280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22993883:22996020:-1 gene:A08p040280.1_BraROA transcript:A08p040280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAESSTKDSLLLRVGRDDKVTCMDIQDGSFTEELKRLIYFAAPMAAVVIAQFTLQIISMVMVGHLGNLALASASLASSFCNVTGYSFIIGLSCALDTLSGQAYGAKLYRKLGVQTYTAMFCLTLVCIPISIIWFNIEKLLVFLGQDQAIAHEAGRYAAWLIPGLFSYAVTQPLTHYFQNQSMITPLLITSTLVFCFHAPVCWLLVYKSGLGFLGGAVAMGLSNWLCAIILGCIMCFSSACSETRAPLSMEMFNGVGEFFRYALPSAAMVCLEWWSFELIILLSGLLPNPELETSVLSICLQTIATVSAIPIAIAAAASTRISNELGASNSRAAHIVVYTATFLAVMESLVVSMSLLVGRSVFGYVFSSDERTVDYVAKMAPLLSISILLDGLQAVLAGIARGCGWQHIGAYINLGSFYLCGIPFAATLAFWFNLEGVGLWIGIQAGALVQNFLLGLFTGFTNWPNQAFEARKRMALA >A03p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:874532:877067:-1 gene:A03p001750.1_BraROA transcript:A03p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRKEIDVGDWHSNLLHDEWTPLTVPGSRASARYKHAAVAVDEKLYIVGGSRNGRYLSDVQSSTCQVFDLRSLTWDSFKLIAEDGDGSLGEAFPAISDHRMINWGNRLLLIGGYSKNQSDNMSVRFIDLETHLCGVVDASGNVPVSRGGHSITLVGSRVFVFGGEDKKRRLLNDLHVLDLETMTWDVVETSQTRPVPRFDHTAATHSDRYLLIFGGCSHSIFFNDLHILDLQTMEWSQPHVQGDDVTPRAGHAGITIDENWFIVGGGDNSTGCLETLVLNMSKLVWSTSTHVGARHPLASEGLSVCSASVFGENILVAFGGYNGKYNNDIFVMRLKPGEPSSHPKIFKSPAAAAAAASVTAAYAIAKSDSSDFPPPPANPTLNGTGNSFSGSNIRNTIDSIKEEKRTLESSVAETQVENSKLREKIDEVNSTHAELSQVRTSQHSQELQSVQGQLISERSRCFKLEAQIAELQKALESGQSIEAEVEMLRKQKLASDEREDGTVKKQGWGWGAR >A04p039840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22516866:22519137:-1 gene:A04p039840.1_BraROA transcript:A04p039840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKDDNKNGEGERKLGDETPSSFLDGTGLVCVTGGTGFIASWLIMRLLQRGYSVRATVRTNPEGSKKDISYLTELPFASERLKIFTADLNEPESFKPAIEGCKAVFHVAHPMDPTSNETEETVTKRTVQGLMGILKSCLDAKTVKRFFYTSSAVTVFYGVGTGVGGNGGVVDESVWSDVEVFRNQKEKRVSSSYVVSKMAAEMTALEFGGKNGLEVVTLVIPLVVGPFISQSLPSSVFISLAMIFGNYKEKYLFDTYNMVHIDDVARAMIFLLEKPIAKGRYICSSVEMNIDEVFEFLSTRFPQFQLPSVDLKSYRVEKRMSLSSKKLRSAGFEFKHGADDIFTGAIKSCQARGFL >A07g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27591619:27591926:-1 gene:A07g509270.1_BraROA transcript:A07g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKHFKTTSDIYVKSALVRRSLAVREALLHAKAPLNSKQHPVKLYGINLDIEKLTSSFSSITVAYVPRTLNSAADALAKTALYYSNA >A02p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12925751:12928317:-1 gene:A02p024160.1_BraROA transcript:A02p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSRFLRLILLLSLVSSSFSYTTSPSNSTASDQTLRPQEEIQKLKLIRNELLKINKPTVKTIQSSDGDTIDCVPTHQQPAFDHPLLHGHRPMDPPEMPKGYSKDDESYEDSQLWSLTGESCPEGTVPIRRTTEQDMLRASSVRRFGRKIRRVRRDSTSNGHEHAVGYVSGRQYYGAKASINVWSPIVTSQYEFSLSQIWVIAGSFTHDLNTIEAGWQISPELYGDTYPRFFTYWTSDAYRTTGCYNLLCSGFVQTNRRIAIGAAISPRSSYKGGQFDIRLLIWKDPKHGHWWLQFGSGVLVGYWPAFLFTHLRQHGSMVQFGGEIVNTRPGGSHTSTQMGSGHFAGEGFGKASYFRNLQMVDWDNTLIPVSNLKILADHPNCYDIRGGTSRVWGNYFYYGGPGKNPRCP >A08p022690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14942503:14953873:1 gene:A08p022690.1_BraROA transcript:A08p022690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVPSEECCNGLKSASKTQVTCLCDNFIAHPVFSNLTRPYYDQVSNACGVLDKYACNGTGEGGDSKGGDSKGGDPKGGDGNAGAINKIAGSMVCLNNQMAYVNKVSAVAAILFFAVAVAPLLAEPQTPMFPKMDPVCASLMPNLLEKCFSTVRETPTDDCCSDLKSATTTQVTCLCDNYIANPAVVNFTGPYSAGITTKCGVFDKYSCNGSSNDLDNNTKDLTHTQTQSFVSFSLSLSLSLSLKPIVRKVCQTMDATKLSELKVFIDQCKSDPSLLSTPSLSFFREYLQSLGAKLPSAAASEEHKDTKEKSFVVEEDSDDDMEETEEPKPTVEVEEEEEDEIVESDVELEGDTVEPDNDPPQKMGDSSVEVTDENREASQEAKGKAMEALSEGKLDEAIEHLTQAITLNPTSAIMYGNRASVYIKLKKPNAAIRDANAALEINPDSAKGYKARGMAHAMLGEWAEAAKDLHLASTIDYDEEISAVLKKVEPNAHKLEEHRRKYDRLRKERDDKKAARDRQRRRAEAQAAYDKAKKEEQSSSSRGGGFPGGFPGGFPTGMGGMPGGFPAGMGGMPGGFPAGMGGMPGGFPAGMGGGMPAGMGGGMPGAGGMPGAGGAGAGGMPGGIDFSKILNDPELMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFGGAPK >A08p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:983660:985411:-1 gene:A08p001650.1_BraROA transcript:A08p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSRLFSTILFLYTIILSISSINCKENNNNLVTNQAALFVFGDSLFDVGNNNYINTTTRSNFFPYGQTFFKVPTGRVSDGRLITDFIAEKAWLPLIPPNLQPGNSNSQLTYGVNFASAGAGALVETFPGMVIDLGTQLNSFRNVERSLRSALGDAEAKKIFSRAVYMFSIGSNDLFFPLVANSSLFQSNTKERFVDFVIGNTTSMLEEVYKMGGRKFGFLNMGAYECAPPSLLLDPTNIGSCSKPVAELINLHNKKFPDALNRLQRELSGFRYALHDYHTSLLDRINNPSKYGFKVGQMGCCGSGPFRGINTCGGRMGQSYELCENVNDYLFFDSSHLTEKAHQQIAELVWSGPPNVTRPYNLKALFQLN >A06p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10760491:10762489:-1 gene:A06p021680.1_BraROA transcript:A06p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDEL-tailed cysteine endopeptidase CEP3 [Source:Projected from Arabidopsis thaliana (AT3G48350) UniProtKB/Swiss-Prot;Acc:Q9STL5] MKVVLIFLSVLFLLQASQGFDFHENELQTEESLSKLYERWRNHHSVSRASHESIKRFNVFRHNVLHVHKTNKKNKPYKLKINRFADMTHHEFRNSYAGSNVKHHRMLRGPKRGSGRFMYENVTAVPSSVDWREKGAVTDVKNQQDCGSCWAFSTVAAVEGINKIRTNKLVSLSEQELVDCDNEENEGCSGGLMEPAFDFIKNNGGIKTEETYPYISNDVDLCRAKYIEGETVTIDGHEHVPENDEEALLKAAAHQPVSVAIDAGSSDFQLYSEGVFTGECGTQLNHGVAIVGYGETESGTKYWIVRNSWGPEWGEGGYVRIERGISENEGRCGIAMEASYPTKLSSSSSGETNTIHEPIASDAVKDEL >A05p051680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31525454:31526501:-1 gene:A05p051680.1_BraROA transcript:A05p051680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRVCAEEVPGSSRPTLTESTSRTETINGSHEFKISGYSLAKGMGIGKYVASDTFTVGGFSWAIYFYPDGKSPEDNSLYVSLFIALASEGADVRALFELTLVDQSGNERHKVHSHFGRTLESGPYTLKYRGSMWGYKRCCVGVVKSSTEGPRSYNIPVPGSDLGYQFGKVLESGRGADVALLVDGETFLAHRVVLAARSPVFRAQLFGPLRNKNTKRINIEDIEAPIFKAILFASVQPGSFSWGAALVLKYITHPG >A05g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19027178:19028479:-1 gene:A05g506770.1_BraROA transcript:A05g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKRVIRTQAASASREGADEHVPLPVPPIDQDALRQMVQDAARQAAQEAVQQAVQEAARVAAQEVDRQMAAAQQGQQVPPVQAQGHQQPPIQPVPPVQVQGQQQPPIQHVPGIFQVPPPAPPVLPGQVPEVVPPILPGQVPEVDETLMRVMRQMKTVDLETFEGTVDPGVAYKWKHRLASCLQTINCPLRLCLNIAELYLRGDALVWWDGVRSMRDGDMTYEDFLIAFDKKEYEREFSQLRLFAGNHFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKFSKESQPKSGRSSEQQKRTWEQMGHVSKYCRSRPAEVQGTGQIAAPAAGPGSCFNCGQTGHYFRECPTREHTTLPPPAKRPTIAP >A02p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2043744:2044908:-1 gene:A02p004710.1_BraROA transcript:A02p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMITRNAATRLPLLLQSQRATVSHIHTSLPPLSPPTSPTSYTRPGPPSTSPPPPGLSKTAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKINRRKDFLHWWNK >A03p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1301653:1302781:-1 gene:A03p002950.1_BraROA transcript:A03p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHFFQHIFSSQARYNMELFINWENDANNLVDDIEWIQIHGTGWAYSLRRHMNAACRIANLIGAESLSVDYLVNYMRMTSGLTITLGLPSLHSVRPFLIWEGMVLDDDYQMLFAQFMRIPELPLPRGIRRFVVTDMIIHSLDHYHSPITEGNPSFRSYGHNNQDFEQAFINAMNRSPITAAIPTYPSILSFLANAPENNIYAPTLLELLFDDYPNLHVMFATGRGVYQGVPFVRFRDSSGLINGGFMNVELGQGIIVQFVELIGAYVFI >A03p005480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2290949:2291800:1 gene:A03p005480.1_BraROA transcript:A03p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVFPASKPPTATNGAPTPPGGALPPPPSVNGNGTANQKPQVYIPANRPVYRPQPYSRHHHHQTRPSCRRVCCCCCFWSILILLILALLTSIAATAVYVIYHPRPPLFSVPSLRVGRVNLTTSSDASVSHLSSFFNFTLISVNPSQHLTFSYDRFAVAVKTVKSNEMLANGTVPGFFSGNGNKTSFRSVIATSTSARELDPDEARRLKSDLTRGARVGLEIEMRTKVKMQMGKLKSEGVEIKVTCGGFEGTVPKGKVPTVATSKQTKCKSDLSVKVWKWSL >A04g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13726525:13728839:1 gene:A04g506370.1_BraROA transcript:A04g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGESDDQLTYDTNYTPPATLDFETQQLIARLGAAAEIGSQPCDEEVIAREKQSSKRKLISLVDSEEDSDVEITPTSQTTKPRRPTTFGTASQKPMVQSTLEIGSGSSKQACSQKKYVPVKSVIRGGRRTKGVSKGSGSQSQKKKKKKMEEEIPELEDELDEEGLDELELGEEEREERQRSDVWKDFTVVQKPNGKQKAACNHCKREYAWQSHSHGTSGLRRHRMRCKIFNTYMKRPRLLEQHAASIRVMEQHTALRSRLVHLKVRSPRDDLKRLVHFGGAGLGRPFGDRIPRGPDPP >A09p060030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49938843:49943398:1 gene:A09p060030.1_BraROA transcript:A09p060030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLMKRPLTTSPSSSSSTSSSACILPTQPETPRPKRAKRANKSSIPTDVKPQNPTSPASTRRSSIYRGVTRHRWTGRYEAHLWDKSSWNSIQNKKGKQGAYDSEKAAAHTYDLAALKYWGPDTILNFPAETYTKELEEMQRCTKEEYLASLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDISNYIDRLKKKGVFPFPVSQANHQEAVLAEAKQEVEAKEEPTEEVKQCVEKEEPQEAKEDKTEKKQQQQQVEEAVVTCCIDSSESNELAWDFCMMDSGFAPFLTDSNLSSENPIEYPELFNEMGFEDNIDFMFEEGKQDCLSLENLDCCDGVVVVGRESPTSLSSSPLSCLSTDSASSTTTTTITSVSCNYSV >A09p047920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41541761:41543280:-1 gene:A09p047920.1_BraROA transcript:A09p047920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRIAPRCFQIMLLCLLITSPLDVIAQGGQGNIPVVNPTSPGGDTTTPTITQPSPPSSTFPGPVTNPNPPTGGYPPLDGTTPPTGGGYPPLDGTTPTGGYPPLDGTTPPGGGGGGAPGGGGDTGAGGGGGAPGGGGGGDTGAGGGGAPGGGGGGGQWCIAKATASPTSLQVALDYACGYGGADCGQIQQGASCYEPNTIRDHASFAFNSYYQKHPGSDSCNFGGAAQLTSADPSKGSCRFPSSSGTVSTSPPSQPSPPDFNSPPSTPTYPPPITTPTTDIPGSGPPYGVAEPTGLPSLATHVSHSFLSVFTAIGILVPLLRQI >A02g511310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30091679:30097395:-1 gene:A02g511310.1_BraROA transcript:A02g511310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETREHSKGEDNSGGAHISHSFDTWETKSLENHSTFETIAMSTILIGSSKGKISTIELRDLVTNYNRGSTVLALSDLLRSLVLGTPWGEARIIVIFTTNNLDMLDPTLLSRISVDIYMGH >A02g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:245518:248282:1 gene:A02g500090.1_BraROA transcript:A02g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVIVEAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFNKKI >A07p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:797894:798266:1 gene:A07p000270.1_BraROA transcript:A07p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWTAVVVVIMMFVSVMVVTIESNKATGWMRCFRRCSVPCEDQDGNCFECCKIKCGGPNPPHGPGGPPSHSFRRTSYGMAYVDVCKKKE >A09p063500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51551513:51551861:-1 gene:A09p063500.1_BraROA transcript:A09p063500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMNQGLLRSNMFSLYLRNYHFHGNDGGHLIFGGVSQEHYGGHHQYFDLVDNSNQWKIHIYSVSVAGHLAQYCDKVLIDSGCENIYGPP >A01p005900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3052597:3055258:-1 gene:A01p005900.1_BraROA transcript:A01p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g32660 [Source:Projected from Arabidopsis thaliana (AT4G32660) UniProtKB/TrEMBL;Acc:A1L4Y9] MVNEFEKMDKERVRKRPRMTWDEAPAEPDAKRSQRHGSDGRVLLSPPLREDDRDGHYNFSLRENLTPRYKILSKMGEGTFGRVLECWDRETKEYVAVKIIRSIKKYRDAAMIEIDVLQKLVKTDKGRKRCVEMKDWFDYRNHICIVFEKLGPSLFDFLKRNKYSAFPLALVRDFGYQLLESVAYMHELQLVHTDLKPENILLVSSENVKLPDNKRSGSNETHFRCLPKSSAIKVIDFGSTVCDNRIHHSVVQTRHYRSPEVILGLGWSYQCDLWSIGCILFELCTGEALFQTHDNLEHLAMMERALGPLPEHMTRKASRGAEKYFRRGGRLNWPEGANSRESIRAVKRLDRLKEMVARHVDNTRSGFADLLYGLLQYNPSERLTANEALDHPFFKSAG >A01p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1849468:1856504:-1 gene:A01p004320.1_BraROA transcript:A01p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRDAGGTLASAGSSGDSPASEPTPRRRVKRKSNALGTSNSSSTSSKRMLTREKAMLASFSPVHNGPLTRARQAPSNMPSAAEAKPELLNAPDGEKPKEEEERNKAIREWEALEAKIEADFEGIRSRGSNVHVVPNHCGWFSWGKIHPLEERSLPSFFNGKLEGRSSEVYMEIRDWIMRKFHSDPNTQIEGKHLTELEVGDVEAKQEVMEFLDYWGLINFHPFPPPDASSSAGGERDDGVGDKESLLNSLYRFQADEASSMAAFVQKPRLTAQATTTPSGLFPDPMAAAAADDSLKQEGPAVEYHCNSCSADCSLKRYHCPTQADFDLCTECFDSGKFSSDMSSSDFILLEPAKDPGVGSGKWTDQETLLLLEGIEIFKENWSEIAEHVATKTKAQCMLHFLQMPIEDAFLDQIDHKDPSVKDTTDIAGSKDEKPVLKDAPVEKETEDTNPVVEVETVKEAPEKEDDNEGKVPQESSSKPGDASEETKEVEANQSTPKVETVVDERCKDEADENIALKALTDAFEDVGYPITPEASLSFADLGNPVMGLAAFLVRLAGSDVATASARASVKSLNSKSGLLLATRHCFVLEDPPENKMELTESKSVDAEEKSQKAKDDREMTDTDPGKVNQDSVSEEKQPGSLTENSARNPDSEGQKVSINAAATKNSEKPADIICKLQDKSSGKELEKPSKDGDKLSSENKAASKATVSKAAADASQPEASKDVEMKDTLQSEKDPQDMVKTAGEKVEQAKEDDHSMPDTSVAEQPIGSASLPENGTGENLNKEGSKEQNVCAGTKDKHNSDKLKRAAISALSAAAVKAKHLAKLEEDQIRQLSGSLIEKQLHKLEAKLSIFNDAESLTARVREQLERSRQRLYHERAQIIAARLGVPPSVSSKASLPANRIATNFANVAQRPPMGMAFSRPPMPRPPVVPRDSVQIRGMMEKERNICFLIIVKTVHLFIGTLLVPRRSYFSNPKKNPSRFQSLSLNFQTIISFTTRITGDKEKHVIMADSPVDSPPAPEAPNAGTGSPPNETSPPVAPVSSPPAPDSAPPPANNSSSPPAPPSQETSPPPSPPPSPPVAGNPPPKTPENPSPPSPEVKTPVTPPAPPQAPANQSPPSQRPTPPSPGANDDRNRTNNNNGNNRDGSTPSPPSGNNRNSSGGDSPSPPRSISPPRSSGGSDSSSSSPGESHHQANVGLIVGVLVGAGLLLLLLVLICICCKKKRKKRDPQVNHLHYYNNSPFGAPNGNGGYYNNGTPQDHVVNMGGNWVPQQPVSGPHSDTSNLAGPTPSPQAATLGHNQSTFTYDELSIATEGFAQSNLLGQGGFGYVHKGVLPGGKEVAVKSLKLGSGQGEREFQAEVEIISRVHHRHLVSLVGYCISGGQRLLVYEFLPNNTLEFHLYGKGRPVLEWSIRLKIALGSARGLAYLHEDCHPKIIHRDIKAANILLDFSFETKVADFGLAKLSQDNYTHVSTRVMGTFGYLAPEYASSGKLSDKSDVFSFGVMLLELITGRPPVDLTGEMEDSLVDWARPLCMKAAQDGDYSQLADPRLETNYNQQEMAQMASCAAAAIRHSARRRPKMSQIVRALEGDMSMEDLNEGGRPGQNSYLSPGGMTSEYDASSYSADMKKIRKLALETKEYQSSEYGATSEYGLHPSASSSEEMPRGSSMRRNSQL >A08p022080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14664967:14666283:-1 gene:A08p022080.1_BraROA transcript:A08p022080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAKTIISFALFFLYLSFSNISLARGAEVDDETPFTYERNPEKGPEGWGKINPHWKTCNTGKFQSPIDLTNARVSIIRDEAWRRQYKPAPAVILNRGHDVMVSWKGDAGKITIRRTEYKLVQCHWHSPSEHTVNGTRYDMELHMVHTSAGGKTAVIGVLYKLGKPNEFLTRLLDGIKTVGKEERDLGIVDPRTIRFQTKKFYRYIGSLTVPPCTEGVIWTVVKRVNTISMEQIAALRSAVDDGYETNSRPVQERNGRSVWFYDPNV >A09p036520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24476540:24479642:1 gene:A09p036520.1_BraROA transcript:A09p036520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRSSNCAICENTNRASICSVCVNHRLIEYNTLLKSLKTRRDSLHSKLSELLEAKGKADDQKNWRLLQNEKISNLKKSLRRNKEQLTQGKAKIERESRDLKLKYGVLDSARSTLEKIRVEQVEKYFPNLICTQSLGHMAISSERLHKQSVVVKQICKLFPQRQVGFEGEIQNGSGGPFNLICNSRLPKGLDPHSIPSEELAASLGLMVQLLNLVVHNLAAPALHSSGFAGSCSRIWQRNSYWDARPSTRSNEYPLFIPRQNYCTTSVENSWTDKNSSNFGIASMDSDRKEARLDSTGRNSFNYSSASPHSVESHRDLQKGIALLKKSVACLTAYCYNSLSLEVPPETSTFDAFAKLLATLSSSKEVRSVFSLKMASSRSCKKAQQLNKSIWNAHSVISSSILESAHLPRNAIYNQDTNATGSYLSATESSETRKNNDMNGWDLVEHPKYPPPPSQSEDVEHWTRAMFIDAKKK >A10p023430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15282053:15283953:-1 gene:A10p023430.1_BraROA transcript:A10p023430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGHVSPSIASGDTCPCFLYSEDIVAPPLPHDIDHHHLFLPPPSLMMSHHSLFAAEAVSGFGYFGSGTNSGGGSSCCDSPSSMGSGGDSLMMHRSVSSHNGFYGNLPTTAHDFVSDHDGPVRRALSAGDPPRSSRRESSAVWSESNAIIEGMTKAYKYSPEEKKEKIEKYRSKRNLRNFNKRIKYECRKTLADSRPRIRGRFARNDEMSQEQVDVVEAVVGDVDTWASFLESFSANHFLN >A07g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9975494:9977934:-1 gene:A07g504770.1_BraROA transcript:A07g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLRVEWIFITSVSLSFLSSVDSQNVFLRCRCCIIRRTDCFCRRSKVADEVAEKEANKKALRKYLELVEFFTKVLVALYEQNDKPSSALEFIQQKLGGPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDASELNLSEDFTAYTKKECNELLKRIHKENKATGGLKFVTLCYGIIACSHNLVYEVSKSEIISLRNSSVICNISNSRDENSGGALYKKMFAWNEFLTRGIRNHLRNTVMIHPGKGLPSEILRLKLSRKLLEQMNGSVSFVREDERCFFQVDLQVKTRLGVETRGTEADSSIQ >A07p015380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9561858:9562632:-1 gene:A07p015380.1_BraROA transcript:A07p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGSKRSLFDDSSSPRSSVPLILERAIEECGDDLDSAIRSLNQLRLESTNQNPEEAKVEGSEKEQVLNLDGTEWVELFVREMMSASDMNNAKDRASRALQALDKSIKARAGADAAMQSSLQQENSMLKQQLEATVQENSLLKRAVVTQQKRQRETEDESQELQGLRQMVSQYQEQLRTLEVNNYALTLHLKQALQNNSSIPGRFHPDVF >A02p014900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6629899:6630366:1 gene:A02p014900.1_BraROA transcript:A02p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRTKSRPTHVGISLKFRFLSEDLYRGLLRNSSTSREKNHHLFSEGLYFGGFDTVKEVLSEEDKELALWVRWVLAQGVTTFAGLASHPLDTVRRRIMMQSGIENPMYRSEGLASFYRGALSNMFRSIGSAAILVLYDEVKKFLNWRGDLRGKLL >A05p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9869424:9872286:1 gene:A05p020890.1_BraROA transcript:A05p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFFQDATEPLTVIISPNNKKMGTEATNETHASPIAQPSNETLVFTPNQTQWNEAEPSYETPSKPNQAEENLDDEENTQALDNTPAPTMSTPILDQNQNADAEIQTETQILPPNVTQQREREPSDEMPFQPNQAEENLDDEDATKPLTVIISPNNKKMETEATNETPASPIAQPSTKTPVFTPNQTQQEQKQRLNDEGPRTDRNTRSPEPALTRFAPERICFDIHKPNNESTNFANFKTGLESIVLKNLHFKSTTLRILLANQTNLLTCVHEKSSDINNERK >A02p010960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4747062:4748597:-1 gene:A02p010960.1_BraROA transcript:A02p010960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGSSSHCLVGKFFTNSTPPSAADTEQTLRKQWVLSGDMTVKKLDDGHTFLFEFTQKNDKEKILKRRPYNVNGVLIVIKDLISSDTIDFTSDSFLVAVVGLPLYLCTDDFLPTLQSQVGDNNAVFHGMSNNNRFIYLRVDVDLKKPLRPGFYIGQNQNRFVGFKYLNLGDFCYNCGIIGHVREKCVQVKLPVERALNATSRTHVYGPWLRHSSVETSCQINLMILPNDVGECEKSLTYPQFCIEVEFKMLAVCNTITESATTSISRFRFPCSYLYTEIESTDSIATSKAYEVIRREIYEKTPSSLGYVRHMPDVTESLVEELKLIQKDKFSCDDKWLTLKLQIILQYHLTHVDHETLLSIFRERSIEREIEFLIKKRVEKNDNLLSLSSWEDQIREVISEMGFTRYMHHSYVSRRANKAMLEAIQIEIASIRCTVPADRSTVCGLKRFKVLGPDMDRLEPCVICTEEMFLAEEATSMPCCSHVFHSSCIEKWFQVGNKCPLCGFMLPSQK >A06p012160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5560349:5562780:1 gene:A06p012160.1_BraROA transcript:A06p012160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSQILPLEAPPTDGNLGPLPPSQLTDQEAEERELQSSSSNQAPESVATHTRTIGIIHPPPDIRSIVEKTAQFVSKNGLEFEKRIIASNAKNAKFNFLTSSDPYHAFYQHKLAEYRAQNQDGAQGDDTDGPDGASLQLDAGGGGDGEAGEGQPDLQAQFRVPPKPLEPPEPEKYTVRLPEGITGEELEYIKLTAQFVARNGKSFLTGLQSRESNNPQFHFMKPTHSLFSFFTALVDAYFDVLKPPEDLKEKLRKSAADLTTVLERCLHRLEWDRSQEQQRKKEEDEKEQERVQMAMIDWHDFVVVESIDFADEEDDELPPPMTLEEVIRRSKVSSAMEEDEVVEPGKEVEMEMDEEEVRLVAEGMRAANLEANGSSVKIETLNDEEAPMRIVKNWKRPEDRIPTERDPTKVVISPITGELIPISEMSEHMRISLIDPKFKEQKDRMFAKIRETTLAQDDEIAKNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPSQVIWDGHTGSIGRTANQALAQNANGEEQYGDPNSFPGPAALPPPRPGVPIIRSLPPPPNLALNLPRPPPSVQYPGPPRPLGVPMMQPMHPQHQLSMPGPPGHQPMMMNRPPQMQHGMPVPPPPGSQFAHLQVPRPYGQLPPTMHGMMQPPPMHGMPPPPPHEEAPPLPEEPEPKRQKFDESALVPEEQFLAQHPGPATIRVSVPNVDDGQVIEITVHSLSENVGSLKEKIAGETQIPANKQKLSGKAGFLKDNLSLAHYNVGAGEMLTLSLRERGGRKR >A09p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8464697:8467012:-1 gene:A09p016220.1_BraROA transcript:A09p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRGDDEDEECFFDAPSDDVPQDFGFELWTNDPDSVSNRRRKFLQSMAFSFNKSTQDNDSSSSSSVSEDEELQPPSVPVSELVVNGSLKEDDSLLLSRNESTSSSSVFDRSSVSSSEETDDRSLIFSRNSSQGLSESSSSRSGSFRDDFTKKGAQFWLKKLGALTHVLESLDCESAATTSVQTYKKQFKELSSLRVDQDFSGHDGSILAMKFSPDGRYIASAGEDCVVRVWSVTEEERTDEYQVPVVDSGVYFGMNQHSQVEPLNINSEKKKKKTSSFLRKSSDSTCVVLPSKIFSISEKPMHEFRGHTGEILDLSWSDNGYLLSCSVDESVRLWRVGCDDCLGTFAHNNFVTCVAFNPVDDNYFISGSIDGKVRIWDVSRCRVVDYTDVRDIVTAVCYRPDAKAAAIGSITGNCRFYHILDNQLQMEREVSLTHGKKKVPSKRITGLEYFPNDSDKVMVTCADSQIRIICGEDVICKLKASGVCTTCASFTLDGKHIVSTTEDSGIHVWNNSQLPSKKPSSGKPKRIKSYESFISQNASVAIPWLRQANRNSLSDCITDMDKKIGKMDSCFSPMKGSTTWPEEQLDDDSTIVSSRGKKLKLLKNVLQPHMWGLVIVTATWDGRIRVFNNYGLPVRV >A09g511850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35049190:35052519:1 gene:A09g511850.1_BraROA transcript:A09g511850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSWSRVDLESKGVLWRYGKMNKLEEQMSIHVALETRRHRDTKADLIEREKLLEVFLGRAEAGAENLRLEDMAQKQNELLKEMLEELATVKEKNESLEQEVRKLRKVQQKGLPRFVHGSTSESGAKGACQEVRRDVRQGVRQEVLQRVAVSNKPKVVHQCNNMKVRQEVLKHSCAAGTRKETDRCISNCVRPNKKQHRMSCWFCGKVGHKKGECFAREKSRNMAKKVNKTFTKPRRVEKVSLAKSGLLDEIKDETSEDGCSSVRSDLQEDQEASSVESGHEVVCDKKGKEIERALGADGEGLMVKETTHEGSLVLNRSWSKGSSTGASDRDAYAYLMGEKSMVWCTSRWGEKHIWYGSFQVRNVVATWLLNQKIMLSWSRVDLESKGVSWRYGKMNKLEEQMSIHVALETRVSGTWRIKREKLLEVFLGRAEAGAEVLDGEET >A03p003100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1364579:1365664:-1 gene:A03p003100.1_BraROA transcript:A03p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIKSVPIMAIPSFSICHKHELLKEEGKSRDPKRQEEEEEEEGLSYEFLEMLDSLPKERGWRTRHLYLFQGFWCQAKEIHAIMSFQKHFKTLPKDVILATIPKSGTTWLKALTFTLLNRHRFDPVSDHPLLTSNPHDLVPFLEYKLYANGEVPDLSGLASPRTFATHVPFGSLKGSIEEPGVKVVYLCRNPFDTFISSWHFSNSIKSESVSPVSLEEGFDLYCRGVIGFGPFWEHMLGYWRESLERPEKVLFLKYEDLKEDIETNLKKLASFLGVSFTEEEEVKGVVKAVADLCSFESLKKLEVNKSNKSIKNFENRYLFRKGEVSDWRNYLSPVQVERLSALVDDKLGGSGLTFRYC >A07p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:605767:613877:-1 gene:A07p000620.1_BraROA transcript:A07p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVKVGSFVWVEDPEEAWLDGEVTEVNGVDIKVRCTSGKTVVVKVSNTYPKDMEVPPSGVADMTTLAYLHEPGVLQNLKSRYHLDDIYTYTGDILIAVNPFKQLENLYNDHTIALYKGAPFGSLMPHPFAVADAAYRQMINEGVSQSILVSGESGAGKTETAKTLMKYLAKMGGRAVNDLSTRRSVEDQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIKTYLLERSRVCQVSDYERNYHCFYMLCAAPIEDTRKLKLEDPTTYRYLNQSRCIKLEGMDDSKEYTKTREAMSIVGISSEEQEAIFRILAAILHLGNIEFTNGEETDSSAPKDKKSLKIAAELFMCDEQALEDSLCQRVMVTPEETLSRCLDPESAALSRDALAKFVYSRLFDWIVNKINNSIGQDPDSKNMIGVLDIYGFESFKTNSFEQFCINLTNEKLQFHFNEHVLKMEQDEYKKEEIEWNHIDFPDNRYVLELIEKKPGGIIALLDEACMFPRSTHETFSQKMYETFKKNEYFRKPKLARTDFTVCHYAGDVTYQTEQFLEKNKDYVVAEHQALLGASRCTFVAGLFPLQMEDASKQSKFSSVASQFKQQLALLIERLSTTEPRYIRCVKPNNLLKPSIFENQNVLQQLRCGGMMEAIEICRAGYPTRKLFDEFLDRFSILAASLTLDKRLVPTSYDEKEACKKLLEAVGLEGYQIGKTKVLLMAGQMAELDARRTEVLGRAARIIQWKFRSYLLIKAAINMQAVCRGHLARHRFEDMRRKEAAALKIQRALRIYLERRTYIEAVVTVQSCLRGMAARNALRKKTNATLVIQINCRRFLAETHYKKLKKAAVTTQSAWRARLARKELRELKMAAKETGALQAAKSKLEEQVEDLKLTLELEKRLRVDVEESKTQENAKLQLALQEIQDQFKETKVSLLKEVEAAKKTAEMVTVVKEVPIVDTELVEKLKSENENLKSMVTSLEQKIDETERKFKETTKISEERLKQVLEAESKALEAESKIVDLKTAMDKLQKMLEDVKNENQVLKQSVSSTPVETASGHFPSTPVKNLQNVHHPSEENASLQGTMFTTPARIQEPRGSRFDPRHNEEEDNASLAYWLSNTATLLFMLQQSLKSGGTGDNTPLRNSPSLVWWMTKGFRSPAAEAIRPVDAKVPALHFKQQLAAYVENIIGIIWDNLKTELNTFLTLCIQAPVTYKGNALISDSTAKHWEGITEALDALLITLKDNFVPPVLIQTIFSQAFSLINVQLFNSLVTRRDNCSFINGEYAKYGLDKLEKWCSETNEEYAGSSWDVLKHTRQAVGFLLIHKKDRISYEDIANDLCPILSIQQHFRLCTLYKDEIYNTESVDQEVIESMGKVMILIDVSDFLLKDDSSNSMSMLIDDLSSSMQDKDFSQVKPAEELVENPSFVFLQ >A01p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:927126:939644:-1 gene:A01p002030.1_BraROA transcript:A01p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSSCKKNKPPSWGKLVTVLSLDGGGVRGIIAGVILAYLEKQLQEIDGEDVRLADYFDVVSGTSTGGLMTAMLTVPGKNGRPQLAAKDIVPFYLEHCPKIFPQPEGLAALLPKLPKLLSGPKYSGKYLRKLLSKLLGETKLHQTVTNVVIPTFDMKKLQPTIFSSYQALVDPSLDVKISDICLGTSAAPTFFPPHYFSNEDSQGKTTEFNLVDGAVTANNPTLVAMTAVTKQILKNNPDMGKLKPLGYDKFLVISIGTGSSKNEEKYSAKKAAKWGIISWLYNDGSTPILDMVSDSGRDMIHFHSSVLFKALQSEDKYLRIDDDTLEGDVSSMDLATKSNLENLVKIGEKVLKNRVMHMNIDTGVYEPITENITNEEELKRFAKILSDERRLRRMRSQTMFIRRRRSGFSSARGTIFGVLLALIFMALSRILFCLSLDRFCWSIEGERHLRIQRRSRGLFVSDLPAVSLPQMASPPATSREGSLTTAVFRFLGTVPDEPPSGCRGTGYVINFVPCFHISLEQIFHLKCSSFLSSGRLEPIITPRFASLQCSGTRSQSSTRLDPNFVGGSSLYSSPKVVLSSYAWILLNGYTVPLRQSFSGDLFHGTVEWPRSDASRSEQVVGSGLDFNYVWAWPVEATKPASPKFSLIKPKFKKEDAIHITMGLGVWVKFIEIFGGFTGISFQCILPYLSFGMNSPVGAPVWDSISPSFSREKCPLPPFLLSMKGDVFSVSLSRYSFSFFTVLSSCVAVCTGPEDAIESTAVFLVGENWLSTSLVTIFQLSDFVVKALLTQLSLVLILLSSSSLEELSYLAFFICVVYAFNERGCHIPSCYCNQTNRSSIMHSSCKKNKPPSRGSLVTILSLDGGGVRGIMVGVILAYLEEQLQALDGEDMRLADYFDVVAGTSTGGLMTAMLTVPDETGRPRFAAKDIVPFYLQHSPKIFPHPGGLAALLPKLPKLLSGPKYDGVYLRNLLNNLLGETRLHQTITNVVIPTFDMKKLQPTIFSSYQALVDPSLDVKISDICIGTSAAPTYFPPHYFQNEDIQGKTSEFHLVDGGVTANNPTLLAMTAVTKQIVNDNPDMGELKPLGYNKFLVVSIETGSAQKAKKYSAREAAKWGIISWLYDNGSTPILDITMESSRDIVDFHSSVVFKARQSEDKYLRIDDDTLKGDASSMDLATKSNLENLVIIGEKMLKNRVVQMNIDTGVYEPVPGNVTNDQELKRFAKILSDERKLRMQSDTMHKVSSKRRLCYLSPSHGQHVTILSIDGGGVRGIIPGIVLAYLESQLQELDGEEARLVDYFDVISGTSTGGLIVAMLTAPDKTNRNRPLFAAKEIVPFYRKHCPKIFPHPRQVPFAGAFAWAQILVRLVRGPKYNGKYLREVIEDFLGDTRLTQTLTNVVIPCFDIKKLQPVIFSSYQAVSRRVTDAKISDICLSTSAAPTYLPAHRFTNEDNEGNKHEYNLIDGGIAANNPTLCAIAEVTKQIVKKNPAMGDISPLDYTRVVVISLGTGSIRNEEKYDAIMASKWGLVSWICADGSSPIIDCYNEAIQDIVDYQSCVVFQALHSETNYLRIDDDTLKGDIGSVDISTEKNMDGLVEVGKALLKKNVSRVNLETGHYEPISDHVTNEEALKRVAKILSEERKLRESRYHKLKT >A08g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17079436:17084657:1 gene:A08g508980.1_BraROA transcript:A08g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKAMIHKNNIDNDAKSNEVVSSDKGDKGDKGDLGFQKIQAPMAPPSPKAVEKEGTGMTAAGLEEEDVERVSIGNRDQFGGDGLKTWSKSGESSLGLETSAIEGLQLSEGAVVGGNLALLEAEGKEIVVEVWGCGGHNTGGAHGRCGAFVELTVEAGKIKDSDVGIGREAVSELLNELEQLPVNALLAKNVGENGRELSLFLNNLSSGVESEKWVTLGEQGSNRSSPTREKVLMDIVAFPNSFQALQGIREEGEIDDDDDIGDDLNLKVQDKRDEVDTEVGNKGQKKKAGQSTGQRGKGRGKALIANTRGLVNAVVSGQSKKAVEVVPVLVSAQMITCWVKFKDTGDIFIASFIYGSNCMVERRDLWKEMDTVARLVAAGTNPWILQGDFNVTKSAMEHSRFLDTAGENLAIREFQDIIRSCDLLDIPHTGPEFTLTNRQDGNPISKKLDRTMGNSSWFSSFAQSHTLFEAGGVSDHSRMVTIVHDKPMGNRKPFKFFTHVVSHPQFLEVVDHVWNSTPPLFHSRTALKKLQEKLKMLKSELRRLNRESFGDLPARVKVALEDLCDKQNNAMRNPSRNAKIADVLVDDQWRFRNSRDSGIEQVLAQIKAKPLLLTPNVDDGVKWKRGDVEYGSEFSAYSTWDMVRTQNAKVPWAKLIWFKQGVPRYAFITWLAVKDRLSTGSRMRTWGVIQGCTFCGEPEESRDHLFFACPYTYGLWLQIIGSLLRPAPSPDWNEILARILHSAHDRLVSILLRLALQVTIYYIWRERNERRHTQRSRPANQLAKVIEKIIKQRIMSTRYYEKRGLTRLMQRWFEAHIG >A08p008940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4576732:4578176:1 gene:A08p008940.1_BraROA transcript:A08p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGDADVRKVLIKSSPNHDLCELHHAKRWNFKYKKISFRTMTTMLESRDADVLYTSLLLFVFNNFHLLFLGFLVFFTFSFHKPIIEFLEVNGRFFMPIAENGGADMISKQRQQQEGNHRRKTVLSVLWYKMIMEQSLTATILKLTEHTAPAKETTRYPHQSSPQADALDSGT >A03p006690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2799050:2800433:-1 gene:A03p006690.1_BraROA transcript:A03p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWFHRIFLLLLVSSCASSDFLENPDFESPPLNLSSNASSGAFVLLDQNTTLPGWTFQGTVQYVTSPDLPDSGHAVQLGEDGKINQTFIAKGDDLNYILTFALIPGGENCSSSSGLSVSGPDSNSVFSYKQNYSKVPWQSYSHNLGKWGNGEPINLVLESQAIDSDTNSTCWPIVDTLLIKTVGVTLDQDSANLLTNGGFESGPGFLPNSTEGVLIDAVPSLIQSALTQWSVIGTVRYIDSEHFHVPEGKAAIEILADTTPSGIQTATRDTSEGSRYNLTFTLGDANDACIGHFVVGVEAGSAAQNFTLESNGTGSGEKFGLVFVADKAAAQISFTSYSVTMTKEDVLCGPVIDEVIVHPLSGTASLKPTWLLLVLALLYVAVL >A05p015360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6844576:6846218:1 gene:A05p015360.1_BraROA transcript:A05p015360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR ALPHA-1 [Source:Projected from Arabidopsis thaliana (AT2G32080) UniProtKB/TrEMBL;Acc:A0A178VXA3] MEGNSSGGAATVGGGGGGSDVELVSKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSEEHELFSKELQLDSKVFYFDIGDNRRGRFLKVSEASVSRNRSTIIVPAGSSPDEGWAAFRNILAEIHEASGLFAMPNQKPSDAQEHLVGLSDDVAGSDRSSIILPLSGLRQFHEVIGHFVEITKDKIDGMTGANVRTIDPPHR >A09p006430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3365979:3366449:1 gene:A09p006430.1_BraROA transcript:A09p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNQGLWFFVAAVVAVALLPAPTMAGRLELRNEIEGVVLRKAKLSMRCWSNEDDLGWDVIKPMESRLWKFTTMNMWPFQKTEFRCQFRSGFGTTNQEVVTVFSVKSGFRKECGFLGDECTWVAKRDGFYLRKAIRDKDATKTFVDILKSKWVWKW >A02g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13723717:13725317:-1 gene:A02g504150.1_BraROA transcript:A02g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNDEDFLPSGVGGPNGGQSSSASQYLLVSSTHSKRTKRSTAWDFFTIGKDENDNGEGEMVIIRSRPNEVEETGSSQRNNITHTPKENVHILVTELVLQEAFASTSHVESCKRISKAKVSHVIVCVTKLTFVNDLNEMIKWKYWA >A10p002610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1352727:1353479:-1 gene:A10p002610.1_BraROA transcript:A10p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g03870 [Source:Projected from Arabidopsis thaliana (AT1G03870) UniProtKB/TrEMBL;Acc:B3LF88] MAIARLTLAPLLLIAAVILSTETSAQPAAPAPGPAGPINLTAILEKGGQFTTFIHLLKITQVGEQVNIQVNSSSEGMTVFAPTDNAFQNLKAGTLNKLSSDEQVKLILYHVSPKFYTLDDLLSVSNPVRTQASGRDNGVYGLNFTGEANQVNVSTGYVETRVSNALRSQRPLAVYVVDMVLLPGEMFGEHKLSPIAPAPKSPTTGVSDDDTKSNSTKKAAAPADKSASGERRVGLGFGFGLVVLCLKFLF >A07p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21407628:21410550:1 gene:A07p040310.1_BraROA transcript:A07p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQHSPTVIVCTRPRYRNKEEFRSAQFKDSPIVLFGFPGLENDKESPPSDNTHPLVWCHNEENKDKEFSCNFCERQLDSTTGYYLCEEFDKRISKNNTVGFHKECIKPMTNNPYHPKHPLQVLVFATVVPNKVCYCCYTYKTHFYYCFICNFSICRDCARKPLLLTIDHKKRHEHTLYYIPREASMTCDVCALHDRRYFIYVCHQCDYVVHKKCIYSPYVIKISRHEHRLSFTSSFLSGEWFCGICRKKINQNYGGYSCVKGCYYVAHSQCAIHKDVWDGKELEGEPEEIFESIMPFEEISDGIIKHFSHKHHMRLLKEVEKTNKINKHCQACAFRIYEGDIYNCLECDFVLHKVCAYLPVKKQHVLHPHPLFLQEENLQTTFGCSACFRVCNNFRYVCQMANCLFTLDVNCAAVSVPLNHQCHPHLLFLPSQPGSERICSICKKTEKIRLECGECDFVFCFRCAILPMKFKYQHDEHPLIFSYEEDSNGQHWCDVCEKEILPKNGIYTCNDCDIQLHIECLHGNNMYLLPCKSVILNEGKYDILCNDLPTRYTCKRCHKRCEDKTVYKRSDDFSSIDSLIASTTSCVFRLMLGQNPTGFAHLSFISPTSLTNSFTIHASCGSSVLTVCSLGGLTHAVTGLLNQALVHVVNDASVSWNAGPGEAVTVLGSSKLLATRAARIACVPSKVSERIKTIKDIVFGEI >A03p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17354282:17355356:1 gene:A03p041580.1_BraROA transcript:A03p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQELDPLTQLSLPPGFRFYPTDEELMVDYLCRKAAGHDFSLQLIAEIDLYKFDAWILPSKALFGEKDWYFFSPRDRKYPNGSRPNRCAGSGYWKATGTDKVISTEGRRVGVKKALVFYVGKAPKGTKTNWIMHEYRLIEPSRRNGSTKLDDWVLCRIYKKQSSAQKDVYNSNLMTREYSHNGSSTSYSSHQYDDVFEDKTGFLNLVREPSFDWVNSTGHNSVPELRLRHNVPSVRYGDLGVKTSEEGNKTHEQAEVIPRFNNSGVLSYDQGSSVDPVNGFGHSGQQPSGFGFM >A03p057110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24765095:24765810:1 gene:A03p057110.1_BraROA transcript:A03p057110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGNTTSISSSYCWENSEYDAAVMTRVVVCFIVEPQINSNIAMEASISPVSGYCNLQYDISILIWKDPKEDNDGCNLANGYVIGYLPSFLFSYLTESASMIE >A06g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29667617:29669834:1 gene:A06g509860.1_BraROA transcript:A06g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGDDAARRRTAAVADYRKKLLQHKELESRVRTARENLRGSKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLGDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAREHQLDGFDNLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMDILKIHAAGIAKHGEIEYEAIVKLAEGFNGADLRNICTEAGMFAIRAERDYVIQEDFMKAVRKLSEAKKLESSSHYNADFGKE >A01p013880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6769366:6770745:1 gene:A01p013880.1_BraROA transcript:A01p013880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMKGIYKSFKMVSQLFVVKERDMEIGHPTEVKHVNHVGWEVSSGSAPAWLSEFKAEAEPLSPIPSSFCHASNSKSLLTTSSSTDFDQRSSQPFISDRPRDVPPIPVGLSKIQSKSKNRRKYSSSTSSPNPSYHLQNLQDQRHRRPKTIHGDPHDDQRKLGL >A05g501120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4122010:4122258:-1 gene:A05g501120.1_BraROA transcript:A05g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRSATHKDKLSTGRKLGVSRDVDRDREPGRRANVNGGNETETRKMRRAKTEDPTPAARGLTGVERRRLQSSLDTRVWEL >A01p046360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26067467:26077665:-1 gene:A01p046360.1_BraROA transcript:A01p046360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAFVSEGGSGGRDYEGGVTVFVVITCMVAAMGGLLFGYDLGISGGVTSMDEFLSKFFPQLEKQRVKAKHETAYCKFDDQKLQLFTSSLYLAALVASFVASVVTRKYGRKVSMFTGGLAFLTGALINAFAINVTMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNICFQVAITSGILVANLINYGTSNMAKNGWRVSLGLAAVPAILMVIGSFFLPDTPNSMLERGKYEEAKQMLKKVRGTENVDHEFQDIRDACEAAKKVEHPWKNIRQSKYRPALVFCSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNVLATFVSLYSVDRFGRRFLFLEGGIQMFICQILVGSFIGLKFGTTGTGTLTPATADWILVFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFAGMVAIMTIFIYFLFPETRGVPIEEMGRVWKQHWFWKNYIPDDAVIGGAFVSEGGSGGRDYEGGVTVFVVITCMVAAMGGLLFGYDLGISGGVTSMDEFLSKFFPQLEKQRVKAKHETAYCKFDDQKLQLFTSSLYLAALVASFVASVVTRKYGRKVSMFTGGLAFLTGALINAFAINVTMLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNICFQVAITSGILVANLINYGTSNMAKNGWRVSLGLAAVPAILMVIGSFFLPDTPNSMLERGKYEEAKQMLKKVRGTENVDHEFQDIRDACEAAKKVEHPWKNIRQSKYRPALVFCSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNVLATFVSLYSVDRFGRRFLFLEGGIQMIICQILVGSFIGLKFGTTGTGTLTPATADWILVFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFAGMVAIMTIFIYFLFPETRGVPIEEMARVWKQHWFWKNYIPDDAVIGGHDEN >A03g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9865694:9866331:1 gene:A03g503170.1_BraROA transcript:A03g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPELTDSTPSPPQTSSTQVNPKAESINRLLEISSVAIHHNHREEGFTRTITVNYGRASSVLRRRRHQSKPNPKRTGVDRARGASASRRQNQIAEQKTSLRLPQHDRAFTPATGPPQAALFQNSGKAEERGDESKVKIEGCNGGLRGSGDGTHAHAPADHRTRL >A08p019950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13509830:13512631:1 gene:A08p019950.1_BraROA transcript:A08p019950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAGDAFSRRRWSLSSTLSSAFIPLFAAHFKFQMLRSRSLLQTEERDTRRSLCRRHELRLLVFNVVLPTALPTCSVCSPAMSREESLATVVLRFTGTFPGELHSGCRRKSYTVDLSHVLQLIMELSSQRSSSCFPSPGSETAKLPHVAPLYSYGSRVQGNSSSDPNLIGRSSPSSLPSELPFPLACPFLNGGSAYHHRTSLSTSGFDDHSACSGELVSPPVSTIYLSVDVYCTISDLQFRSTTSRTLPATASEPKRYVPKWAWPNSFLEATKMFDGFFGINKLRLLQYHLFWKNFYVGSPTLVWVSSSSSNEESFSQLCLPSMNGDALSDSLLSPCFNLLTGLLLCVAVCTGPESAIETTSVFLVGEGCPSTSLVTISQLSDFVVASSTHSDFVLNSLSTSYGDLSVQQGKELNPTVQDEINSVGNNQTGVPHSNTYKRWLRVIAYTFFVISGQSVAAILGRLYYDNGGKSKWLATVVQLVGFPVLLPYHLLSIKTHATTHTDSKSASPRNRVLVYVVLGVLVGAGCYLYSIGLLYLPVSTFSLICASQLAFNAFFSYFLNSQKLTPIILNSLLLLTISSTLLAFNNEESNFKKVTRGQYVTSFICTIGASAGFGLVLSLQRVAFRKVLKRQTFSEVMDLIIYVNLVASCVSLVGLFASGEWKTLSSEMNSYKLGKVSYVMNLVWTAVIWQVFNVGGTGLIFELSSLFSNAISALGLPVVPVLAVIIFHDKMNGLKVISMILAIWGFMSYVYQHYLDDKNLKKSIGISTEESSDPSEAEGSSGQKIQTSAS >A08g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15090568:15095504:-1 gene:A08g508270.1_BraROA transcript:A08g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLKSNMGLRSIHNASSELATQKLINRHFPPKSSILDHPRSNPYVHEFSFPLVKKEDPLAVNEVDGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPTNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNIHTKIDGSYNELNNKFSHLASTVLECAIE >A06p025080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15000776:15001239:1 gene:A06p025080.1_BraROA transcript:A06p025080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSLYALVEALWFCRVFMLLSESVCLYIVVVSTSIKHEEQVERRHVTVLGDTTKGKVDHMGQTNTCYHVTEEDNMSDVKVVVFCIS >A08g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13030427:13031025:-1 gene:A08g507410.1_BraROA transcript:A08g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNLNGGLGIRKKSGSIQERSINYFVPLPQRFPGTRRCPTRDRILSWGLQTDPKCLYCNVGDESIKHCFFECNFTWEIWKIIAAKCGFSSSRQWQALLLQLQHHPPNKVLKTLLLLCWQATLYTLWTERNNRLHNVHFSSCAELVRQIKLTVKNRASSLSIDRPKFSSSLLRLWFAT >A06g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7128670:7134979:1 gene:A06g501990.1_BraROA transcript:A06g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNATNSPKNHNPNSLANPRDNYPAARRGFRVGVPHGVLGDIWMHLELKGREIGDHWTSRAWERGSAATDPVKSLAIFIPLEAQSDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVEGLEGQEELCFINNNGSWYKKELNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGARISHPSRSDLPKRHPEVARVSMARRQEAKPRATSQSDPLRSLPKAGATCRSDMPRSLR >A01p016900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8365166:8369633:-1 gene:A01p016900.1_BraROA transcript:A01p016900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLDLGLSSLVLVISLLAIPLVGFVVRRQWRLAALRREEIRRLLIHASEEAARVEREASVEFSFAPVVANSFYCPVCYCPALTRCSRCKAVRYWKSKLYLGNFSSTHSASWKPTGPEILREVTSFSISGKCQIIHWRQGHKDECQPASITYNTDDEKSDSDLNFGEGNEGLTRDEAVLLHSEAATVPIREAIISEPARSSEDVSGESADNKDDLIDKEEAVSVAETSGSSFSGFSSSPRNDSSDDTSLGECLSSSDSEISEPLLDAHVSVASEETCFSNIDDVPSKPLSPKFVHLVESVDNLANMPKLNLLKPGGNAGQNQRQSSSLLVPDRLPGSAHPKSADPALVKSSDFWGTALGSAECVIENRDSSKSGEPGKSSLHVSFGSSRDTSAGKVSENRNFISEETPSTASGTPNFYDEVNNRKERTAKRSDEAEISLQSSSSIKAPFPLNPTGLSTVTLRKSKSTSTGSGVVLAPLKVAEVQLLASKTTKARESPDVVKQSAVGADSGRVIDHQKQNGVAVRRINSLNGKSGLKASVLKVVDQWTKPKSLAENEMAGRHGHKWLFSYELFVKLYTNKTELQPCGLINSGNSCFANVVFQCLMFTPPLTTYFLQRYHSRACPNKEQCFTCGFENLVLKATEGKSSLSPNGLLLQLQNIGISLGNGKQEDAHEFLRFVVDTMQSLCIKASEYDMPKTRKLEDTTLIGLTFGGYLRSKIKCMKCQEKSERREKMMDLTVEIDGDISTLEDALRRFTRTEILDGENKYKCGRCKSYERAKKKLKITEPPNVLTIALKRFQSGKFGKLNKLVRFPETLDLAPYVSGGSEKSHDYKLYGVIVHLDVMNAAFSGHYVCYIRNSQNKWYKADDNTVVTSDVERVLTKGAYMLFYARCSPMPPRLVVCNKNEASTNKKRSVPPPVPKATVSRSVSTAGPVSSSNAPGGDRSGNIQSFYSSFQRLQRILEEDSSSDSSSLFDGNSDECSCSTDSTSMDDFADFIFGDHQGRAHGHSETPSPTSSSSSPSPPFTRHSRLGDSSRYSPETCRASRHHHRGER >A06p039800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21527241:21528688:1 gene:A06p039800.1_BraROA transcript:A06p039800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEEELQSNGSVASSTRNIVGVLKEHNYLGLSDCSSVGSSNLSGLADDDKATISLKATELTLGLPGSQSPARDTELNLLGPAKLDEKPFFPLLPSKDEMCSSSSHKNIASGNKRGFSDTMDKVPVYTEKNWMFPEAVVATQSVIKKEVAQNLPKGKLSTTNNSSSPPAAKAQIVGWPPVRSYRKNTLATTCKNSDEVDGKPGSGPLFVKVSMDGAPYLRKVDLRSYTNYGELSAALEKMFTTFTLGQCGTSGGTGKDVRNETKLKDLLNGKDYVLTYEDKDGDWMLVGDFSWEIFIGVCKKLKIMKGCDAIGLAAAPAPAPRAMEKSKMRA >SC133g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:26978:29536:-1 gene:SC133g500010.1_BraROA transcript:SC133g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKQGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWQVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVEIGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A08p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20876418:20880163:-1 gene:A08p035370.1_BraROA transcript:A08p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEMKQLIVVAEGTAALGPYWQTIVSDYLHKIIRSFCGSELNGERNPVSSVELSLVIFNSHGSYCGCLVQRSGWTRDVDIFLHWLSSIQFAGGGFSEAATAEGLAEALMMFPPPPGQAQPSNDLKRHCILITASNPYSLPTPVYRPKLQNAERNENGDALPESRLSDAETVASYFSRCAVSLSVVCPKQLPKIRALYNAGKLNPQSSDLSIDTVKNTFYLVLISENFVEARAALSHSATNVPQTQSPVKMDRATVAPSLPVTGPPPASLPSANGPILNRQPVSVGPVPTATVKVEPSTVSSMAAVPTFPHIPSSVARPASQAIPSVQTSSASSVSQEMVTNAENAPDVKPVVTGMTPPLRTGPPGNVNLLNNLSQVRQVMSSAALAGAASSAGQSAVAMHMSNMISTGMATSQPPSQTAFSSGQQGNTSMAGSGALMGNAQAGQSPGPNNSFSPQTTSNVTSNLGVSQPMPAMNQGSHSGAQMMQGGISMNQNMISLGQGNVSSGTGGMMPTPGVGQQAQSGIQQLGGSNSSAPNMQLSQASSGALQPSQSKYVKVWEGNLSGQRQGQPVLITRLEGYRNATASDSLAANWPPTMQIVRLISQDHMNNK >A06p005360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1590935:1594753:-1 gene:A06p005360.1_BraROA transcript:A06p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGPSPLMVIAEFFFLVQSITLEAPLRCGQILSKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIKDEGLYGVLRIGPYACAEWNYGGFPVWLHNILGMVFRTTNKAFMDEMQNFTTMIVDMVKKEKLFASQGGPIIFAQIENEYGNVMGPYGEAGKTYIKWCANMAQALDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFKPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAYSVAKFFQWGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKKLHDVLHSMEKTLTYGNISTIDFGKSASATIYTTGEGSSCFFGNGNENSDAKIITILPDCKTEAYNTAKITTQTSMMVKKPNEAEDDPSTLKWSWRPENMDDFLLKGKGESTKTQLVDQKVVSNDQSDYLWYMTTVKFRKRDLVLGKNMTLRISSTAHVLHAFVNGKHIGNQHAENGKFHYVFEKDAKFKSGCNVISLLSITVGLQNYGSFFESVPTGITGPISIIGRNSDETIVKDLSAHKWSYKTGLNGFENQLFRTESSSKWSAESVPLNRSMTWYKTTFKAPFGNDPVVVDLLELGKGTVWVNGNNIGRYWPAFISSGDGCSVKCNYRGAYHAEKYHVPRSFLNAGDNTLVLFEEMGGNPSLVNLQTTRVGSVCANVYEKNVIELSCDRKPISAIKFASFGNPNGNCGSFEMGTCEEINNAVDILTQECVGKEKCSIDISTEKFRAPDCGGAARRLAVEAIC >A08p004560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2674823:2675140:-1 gene:A08p004560.1_BraROA transcript:A08p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNWRKKRDDEKGLVWKLFEDIGNVGPALGLGAGCGFGFGAGLTGGYGPGLPKLQFGVGFGTGCGIGVGLGFGVGRGAVFDHARAFNNVVDYLWWKLIGFAQSP >A10p009920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:209350:209637:1 gene:A10p009920.1_BraROA transcript:A10p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMKMKVAVTFKGTNYLVWSRMVKTAVGSKGLWKHITSGEAPKVITQGDETESPGESVVEKWQQEDMMVMSVLHASLEPAILDAYSYCDEILG >A06p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2171682:2189655:1 gene:A06p006510.1_BraROA transcript:A06p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREDTVEGKEEDRMEVEIEKVEAREEEQIDEVMMDENNNQDEHKDDEEMQDTDLRSESSVSNSDEEEGLRSGNDDELDLEKPLSEEEVDELISDLLGVESKAAEAQEALEKESLSKVESEVREELAQTLDGDELDKAVEAEMITFKDEWEATLDELEIESANLLEQLDGAGIELPKLYEMIESQAPNGCYTEAWKKRAHWVGTQVTKETGESLANAESFLLTHRPVRKRHGKLLEEGASGFLEKKFADDAVKECLTGTSELDWPSLNKVFSEKRDEAISFGSKHWASVYLASTPQQAAAMGLEFLGVNEVEEIEEIDESLADPFFADAIENERELALTEEQKKNYIRVKEEDDINIDRELQLRLKRKRRMKRSKQVLRHTAEDRDDDSAYLYGNSIAPDPAKDQVKCPENSTEFQNNEVNKEANGNLSNSDADKMVPVADLNVDTMRDDSQNPASNFRCTACNNVTVEVHSHPLLEVIVCMDCKRLIEDRVAKVDASLERHCEWCGHIADLINCRSCEKLFCASCIKRNIGEEYLSEAQSSGWDCCCCAPIPLRRLTLELEKAMGDKKSMESSSDSSSDSSSDSSSDNNSVDTDADVNVAVSSKKKSKKKIRRIIDDAELGKDTRSKIAIEKKRQERLRSLQFSARYKTISSMGDVKIPEGAEAEVLGDAHSGYIVNVAREIGEEAVRVPRSISAKLKPHQVTGIRFMWENIIQSINSVKSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRCVDLGLKSALIVTPVNVLHNWRSEFTKWMPSEVKPLRIFMLEDVSRERRLDLLRKWRNKGGVFLMGYAAFRNLSLGRGVKDINAAKEFCSALRDGPDILVCDEAHIIKNTRADTTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSPEFRNRFQNPIENGQHMNSTAEDVKIMNQRSHILYEELKGFVQRMDMNVVKKDLPPKTVFVISVKLSPLQRKLYKRFLKLYGFNDGRADERMRKNFFAAYQVLAQILNHPGIPQLRSEDKKQGRRGSIVDIPDDCSSEENLDCNMVAGEKQRNMSDLQDKVDGYLQKDWWVDLLQKNNYKVSEYSGKMILLLDILSMCAHVGDKALVFSQSIPTLDLIELYLSRVARHGKHGKCWKKGKDWYRIDGKTESSERQKLVDRFNEPENKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIFRAWRYGQKKPVFAYRLMARGTIEEKIYKRQVNKEGLAARVVDRQQVHRTISKEEMLHLFEFDDDDEKSDAVTEISKRKEAATSSRGCDSDKLMENLLQRHSPDWISSFHEHETLLQENEDERLTKEEKDMAWEVYRKALQWEEVQRTPVNEAPVLQKPSPSPQIQPLRHPRGFNRSRFVNRSCTRIAHELTLISQRRKVGSSTICGECGCVITWEDVLPAPKLSEIRIYNSRHVCLIPFPLLLPLKLMSSKRTKADDHVLEYVYLMGTELMRVCMKEESDELPSVPPGFESYATFTLKRPLPSAAAAAADKTMESSATEQANKMEEIESDELKAARSLRRRPWINYDNASPQNLDQKKPSLPKGVTRGCADCNDCQKVTARWHPDEARRPDLEDAPIFYPTEEEFEDTLSYISKIRPKAEKYGICRIVPPPSWKPPCPLKEKQLWEGSKFTTRVQRVDKLQNRSSMKKILSNQMRRKKRKCMKTGVDAVTNGNASTETSGFETFGFEPGPGFTLNDFKKYADEFKAQYFKKSETSSVSECRVGNSWEPGVEDVEGEYWRIVDKATEEIEVLYGADLETGVFGSGFPKISSSSSSDEKYAKSGWNLNNFSRLPGSLLKHEGSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAPKLWYGVAGMDAVKLEDAMRKHLPDLFEEQPDLLHKLGRKTSISHDKLLLGAAREVVKADWELNLLKKNTLDNLRWKQFSGKDGVLAKTLKARIDIERTRREFLCSSSLALKMHSNFDATNERECCICFFDLHLSAAGCRCSPEKYTCLTHVKQLCSCPWVSRYFLFRYDIDELNVLVEAVEGKLSAVYRWARQDLGLALSAHVSERKMEMDEEGKVHKDLSPQADEQLSPSHFMKPVKEETVSVPKDSVCQPYEGGVMVSVAAAAKPTSGKKNPRGSVSSGKHLRIIRERPTHVLALEAIGKIPAPNFEKQVTSLPDTLALPTNDQRAMGGDVPSSISHTEVNAVADDICNRVDISNQPSSSKSKTSGGLAILDVVDGTRRSKSSTPSCSQNNNGTRRSNSGTPACSQNNSPDRIFRQKGPRIAKVVRRVKCKVEPLSYGCVLSGKSWCNRQAIFPKGFRSRVRYINILDPTNMSFYISEILDAGRNSPLFMVYLEGNPSEVFAHLSPTRCWEMVRDRVNQEISKQHKAGRLDLPPLQPSGSPDGFEMFGYTSPAIIQAIEALDVNRVCTEYWDSRPYSRPQVQFPANPLPREVPRQGLLPAGTNSTLKVLLKKANMEELSSLQQVLSESNIDLVTELVKEEIQNRR >A06p042710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22967756:22977016:-1 gene:A06p042710.1_BraROA transcript:A06p042710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes flexible hinge domain-containing protein GMI1 [Source:Projected from Arabidopsis thaliana (AT5G24280) UniProtKB/Swiss-Prot;Acc:F4KFS5] MSSRRLVRRALILDEDDEDDVVYSFKVLLPNSTSVPLTVTNPEPEMPMGSFVDLVKEEYEKARKSCLLMTKRTRIDWNLGGKFHLESDGERMKGMVRFAAFKPYLCHIIRLDDGSGVCSSMYENLWDLTPDTDLLKELPENYSFETALADLIDNSLQAVWSCSPGERRLISVDVSADRISVFDTGRGMDSSEENAIVKWGKIGGSLHRSEKTFAIGGKPPYLKPFFGMFGYGGPYACMFLGRRTLVSSKTKESKKVFTLQYEKEALIGNHSVSGKHWKTGGGMRDPTEDENKLSPHGSFTKVEIFESEFDMSKIYQLQCRLKDIYFPYIQCDELSKTGRTERPVEFQVSGEDLAEIAGGEVAITNLNSKGEEFSFQIRFSLTSEKRKGKPQQANARLKFVYFPIVQGKESIEKILEGLQEEGCKVSESFETFGRVSIRRLGRLLPEVRWDSIPFMQRGNRASTLLKSCRRVKCFIDLDAGFNPTPSKTDLASQNPFSVALRNFGSKQTDKEKDTDVKMVIHREGKPLTQLEQNYQDWVMKMHDAHDEEATSGEDEAILVLESLDKKALGILRDAVRVHKVVTRNGKLWKRGQNIKLLKGACGGVHNGNIYATIDYFLIEGFEDEAGGDTRILCRPIDCPKKEGCKLSCIDGSWRLELKKSLTLPITIIDSGKCLHADADEWTRKLERQQEKAPSKIDLLDERDCRELNIDGELPVSVRVGRAPPQQIVAVIRPASFTSVTPSKKLEQKHVVSMEGKEMVMVVKLADPNTKPSAKVATKSVCSQRVFPTSCKGIGGLYIFSLGSKFPNLFKRAGTYNFSFSIGDSIRCNKTVVVKPSSKAAKWKLDDSLDPLPWNVRVGSCLGPLRIACFDEYENQIQFTSVPSLEVELKANPGFQLKIDDFEANLIDGGSTLMIKEMLVETDELDKISPSYDATLEIRAQDTPLRILITPAPTSERDDIGCSTPYSRMTSTPQSGMASATPFPRVTPTPSLGLERTPCSQFGVLAIRSPSLDGSCPSGVMDIVQYTQSLKQELSTYEAQREVTDERLKCLVAEQEQAEQELITFQGLIFCEYDLRLLFANSMLSKKLVQKHIVMMDGEMLMEVEVQGINKKSREKNAKPLYSDLEEARNRVRRVEKEIVESRCLSSKLEKKMNKANERYDSATIKLSLES >A05g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14281623:14282590:1 gene:A05g505140.1_BraROA transcript:A05g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFLKRVLRIPLEKPFEKAYFTHRLWMFFRETKETEKDIRRMFFEVREMMKNIITMKKKSDRGKFAIPCLVKVLTSFSILRRVMADHLDLKVKPSQESFTFVDCSQRNSRGIVRDLEVHIGNALVPVDFDVLDITLNWNSSLLLGRSFLSTVGAVCKMQTNQLFLTLIDLHAYYYPIPYETKYSASIETLTATSIDSANQKSITNHLEESIGSSPYNWENDYYNPTFAVATTEAYDEDPMEERAIENAPSIDRGYKPSIDTHHHQTNRG >A07p016260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10029812:10030103:1 gene:A07p016260.1_BraROA transcript:A07p016260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLMTTWIAGDLWLMAVTGGDELSDTNLEQNELSYIEVVMDRARKEESRPKPDDRSELSFSVKKETKIHSP >A02p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29654906:29657014:1 gene:A02p047560.1_BraROA transcript:A02p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPSPGKWIKSLLGKKSSKSSLEKGNEKLRSAKKEEIVVKVKDTNVSDLPTTPPVATEAVTVPDVVVPEKQPSEESNVNLESGNDTEELKLEAAATEVQAAVRSHQAREELQKLKGIVKLQAVIRGHLVRRQAVATYSCIWGIVKLQALVRGKEARSSETDDQLQKTNSEPENPETLQGSTYRWLENPTKLSMIDKLLVSSPKALPLKIQYGPEDPNSAKVWLERWTLLQVWAPGPLVVKSLVAKSQTKKRSFQAVEADKGKLKKGIKKPPGGLNTGSSSSGRSTAEKEKPKRNVRKASTLGKEVSRIENDKSKQNSRKSTSAVKEVSSSLEVKEEKPRVSLRKSSVSNGLEKPTRKSAEKKKEIADSVQKELPGDEVSPPAVDTPEEEKMKDSTETVCKEADLDKDENPSVLEQDELKTEERNDKAEEEIQEPDVQISAENGNDTKPSDRRASLPAKIENHHQEEGLTHSGRKIPSYMAPTASAKARVRGGQGSPRFGGQEKLEKNGITRRHSLPPAAANGKLNTMSPRAHRLLIASAKGSMNSDRSFSSSKDIGGDKSAKAEWKR >A09p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12936551:12937921:-1 gene:A09p023630.1_BraROA transcript:A09p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 49 [Source:Projected from Arabidopsis thaliana (AT5G43290) UniProtKB/Swiss-Prot;Acc:Q9FHR7] MAEEQVSEWKTMYGSHALDEFIVNEPHLFFLPQEHLRLMPKENSVINKLVSSKYDSSPRLQDITNALAMVEPLTHSVRQISESTVPILGRSTLSKVDRYTLKVKNNSNGMSDDGYKWRKYGQKSIKNSPNPRSYFKCTNSICNAKKQVERSIDDPNTYIITYEGFHLHFTYPFFLSNSTHRSNKKPKIHNDAQYKAHFEINPKSQTQEKNKQTKLVKPDYQYCMAYEADENTPANLEDEFSPLLIQDRQQQGLLEDVVAPAIKNIPTKNGFLAASRSSLPSYTSSTCSFSRTDSPPLYPSFFKLDSEFFNIGFSDEIVQSDLSFRCYPLASEQTKTLVAGFA >A08p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22886817:22888197:1 gene:A08p039980.1_BraROA transcript:A08p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPRFRSTDSGERDFRSVLGPTGNKLQRKPPGMKLENKKKTTTIESKDEKPDPPPSPTTTLKQCSSLCSSLLRKNSASMTASYSSDASSSCESSHLSVASSSRCKKAVRRSGSAVGRRKQVDEKEDKVSAGGGGGSNGDCFADGRRRCAWITPKADSSYVAFHDEEWGVPVHDDKKLFELLSLSGALSELSWTDILSRRQLLSQKLEFNMRTDLREVFMDFDPVAVSELNEKKVISAISLLSEVKLRSILDNARQVRKIIAEYGSFKKYMWNFVSNKPTQSQFRYQRQVPVKTSKAEFISKDLVRRGFRSVSPTVIYSFMQAAGLTNDHLIGCFRYQDCCSVDAETTTKAKKTERE >A09g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25899750:25903189:1 gene:A09g509240.1_BraROA transcript:A09g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELVSYRHFGRVRSLRNDRTARTLGRYVAIERDGHSRPSGMDARSLCSDRAWLELGRYVATEQDGRSVATFLKPEKASILWLIVEFQKRLNRYFEGLCTFLLLMFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDRFSTFGEFRSVCKIWMNNYGTIYRDRKNCLKLSSLDYPPSFNGNSDRNKRRFDRDLKDNTKLEVRASRSKRISRYVATDSLTGRYVASGSKPRSVLLVFVRFDEDSKDNPKEDLSEALQTGRYVASGSKPRSVLLVFVVNSQRKLRLRRNKKRRYVATDGLTGRYVASGSRPTRVLLVFVVKSQRKLRLGRNEKRFDEDSKENPKEDHSEALQVAMSLRSEWKQARKSRTCFRRRYVATDGLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRGDEDSQENQKEDLSEALLRPSSVRARSLRSDRVVCVLGRYVATEQRVRARSLRSDRAVCACLVATRYVATELGNRFVVFPFSAINLGVFQRFLGEVVLSFQNINGKRVLKEKSGASFSALPVAEGRSKAFNFVEHRSSQCSESVFHISRQFRSSLQTVGTEIRTVDFRLNKETRKTLISQRSRISARANDM >A03p042530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17792783:17794709:-1 gene:A03p042530.1_BraROA transcript:A03p042530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKKTKILTLRIGKFKKKKKKTLRIGTVFYFNPNPLSLSLPLSLPTEDRTATFAMDNTDFASDSDMVKNQNSDDSEYDFEDADEEEETDVHNAMPYWLQINPDTIRKEILKIHEAQKIKAKKFLKDFQGKLTLSYEWILSGNGSTRDFVCLTAHFIDDNWTVRHWILGFLTGALIPLDDIYVYHFRKAVQDFEIENKVSTILLPNRDGFDEKNVDAIRKCMDSSVNKPVFVVYCCSDLFRLMVNDMYNEFSWHLYEDVRMLVGWGSLSSTNWNVRLYHLQQAVDMQNEDTFSKDEVYDDYDKPSDEEWVKIRTFCKLAGVIYKVAKEIFEGECSTSNVYFHLLAELEVMLKREVDSGGGDYFVGKGEKVLKLFDKYWNDMFLVLAAASVLDPRFKMKYIDFYCSKKVDSDEGSKAEAVLDYLRDVYARYAAKGIYHKPICPVATVDYEEEDDDEDEDYGDEEEKEKPDAYEGFVLFKEYLKFEGGCSREFQESELDSYLKEPVLEWNKDFKALEWWKEESQKYPILSRVARDSLSIPISRATSYDAYVSEIREPPEYVRSMDAKAANAMVCGRSWLSLIPI >A08p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14379476:14391255:-1 gene:A08p021510.1_BraROA transcript:A08p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIHRLVLIALIGIFFITDLGDVHGQQGFISLDCGMPHNESSYTEESTGLTFSSDADFIRSGKSGRTKNDEPVFGRASIKPHKYLRYFPEGTRNCYNLTVMQSTHYLIRAVFVYGNYDDLRQRARFDLYIGPNFWITVNFEDIFSSMSSSEEIIHVPKSNSLDICLVKTGTTTPFISSLKLRPLRDDTYTTTTGSLKLLQRLYFSNTESIVRYPHDVHDRIWDSSFWEDDMTEINTTTPVDTKNAFDIPQAIISKSSIPKGADKSWSRDWVMLNPDDVQVYLHFAEIQVLKPSDTREFDILWNGATISYEYSPPKFIADTVSIRTSTKCVDSCNVELVRSRSSSLPPSISAMEVFGVLQLPQSETDENDVIAFKNIQATYRLQKTSWQGDPCVPRYYMWTGLNCSNAVPSVPPRIISIDFSSYGLNGTIASDIQYLSQLQKLLDEKQNLCFDSSCETEAGDGNGKKKLLVPILATAASVGLITAVLLLIILFYRTKRSSKAYDAYFSDPRSSIVTNKRSFTYEEVTVMTNNFEKTLGEGGFGAVYHGNLNGNEQVAVKVLSQSSAQGYKQFKAENFQAKLGDFGLSRSFPVGSETHVSTNIAGSPGYLDPEYYRTNWLTEKSDVFSFGVVLLEIITSQPVIDHTREKSHIGEWVGFRLTNGDIKNIVDPSLIGDYDSSSVWKALELAMSCVSPSSSGRPNMSQVANELKECLLSENSRKEGKHDVDSKSSVELSTSFGTKHTPDAR >A09g510180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29663452:29666608:1 gene:A09g510180.1_BraROA transcript:A09g510180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWVLGQGHGLSPGGLGRGLGLWPTPNPIRKGEGMQVAERGQPLADDAHSLASHACSWGKTYPLVFYKYGGSLVDFIIQFQSKILRENVERKKERKREFRPRERPIVVVLCSSDSDRLRTNSGQEWEIKTRRRPWRTQTWFTRYVMGRGSIRQNGSVSGMIRSHQDWARDEWPKGACSKRCLSRRVRTDPYGSAYGLSSQDKVTPSGLGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNVWLDDTRDELVIVYETVKKLCIESHDQTWTVVRERHREDSGHGKMCGEWSNWKTDETRPRPHKRENLKLGAKRSARKLTRKFTGDNPAIDLNPAIDSVGPSSPTLHTPSENFLQKY >A02p049820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30883650:30884927:-1 gene:A02p049820.1_BraROA transcript:A02p049820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYTCTREGPDHAPRFKACVNFNGEIFESPTYCSTLRQAEHSAAEVALSALSSKGPSKSLTARVLDETGIYKNLLQETAHRAGLDLPVYTSVRSGPGHVPTFSCTVELAGMRFGGESAKTKKQAEKNAAIAAWFSLRKMPTSLDSQRGEEKEREVVARVLSRFRPKEGRRREQHHSRRRAIRQDTRDMLCEKLRMINPYTNEASPSSLKHHQTLLPPRLWPSTTNLQQQSKVKSLLEKSQEQAGLKQRSPDDAKPEMIIKSFPLSSSSMERTNCYSKLLPFPEMFAGGFGLNHQKLAPSVHMRSVIPVCSAPPPKPLSPFNGSNTSSCSAPSSLGTEGQEKKSLMELELESKSDRTHD >A09p078780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58175911:58177865:1 gene:A09p078780.1_BraROA transcript:A09p078780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIKLWMVTCLQLAELFVSSVVHLIYGFYIFSTAVAGDVSQKLSDYLFKSNVGGETDQSQSNVEGLPPIVLVHGIFGFGKGRLGGLSYFGGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGRVDFGEEHSDACGHSRFGRDYGEGQYPEWNEDHPIHFVGHSAGAQVIRLLQQMLADKAFEGFEETNENWVLSVTSLSGAFNGTTRTYLDGMRTDDGISMKPICLLQICRIGVIMYDWLDISWLKNYYNFGFDHFNISWKRTGVRGLVDCLVGNSGPFATGDWILPDLTIQGSTSLNSNLQTFPSTYYFSYATKRTRRMMGMTVPSGVLGIHPMLFLRVFQMSQWRFPQDVSPPYKGYRDEEWQENDGAMNTISMTHPRLPVEHPSRFIRSDSECQTLQPGIWYYKIVEADHITFIVNRERAGVQFDLIYDSIFQRCRKHVFRKIPQTLPNQSPRCPSSPR >A09g517050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50128577:50129321:1 gene:A09g517050.1_BraROA transcript:A09g517050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASTVLHWVHENLSKIDEKSLSFCSRRWLYCKDVELQEHFNTDSVFTLAHQKIPSSTPILFIKEFWLPGSGPDFGVPPFSGIIAVILLKILLALQALLETNMIKINQP >A10p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21378704:21381257:1 gene:A10p038150.1_BraROA transcript:A10p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAFRVTQLPPLHQSQVTVARAARSKKMIGSRINLKGFVISAQYSQSQDLFTSRLQSRIENLPKLVEDIVQTSINTGPRGALRLVQGVQAFLGVGGEWLNDLSKSTRVSGALPTEMQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPQEYVEEFQNCFDKAPPVPFEEIRKILQEELGRPIESVYEYVDPAPLASASIAQVHGARLRGSQEDVVIKVLKPGIEDFLVADLNFIYVVARIFEFLSPEFSRTSLVGIVEDIRESMLEEVDFNKEAKNIESFKRYLETMGLSGQATAPRVYKHCSSRRVLTMERLYGVPLTDLDSISALVSSPENSLITALNVWFGSLLSCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWAAMEVFLASIATEEYESMASALIQMGATNIDELDTEIVVATGSGRTAVAANVAVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPNMNMLQDQRISVVSNRRKNRYNDSFN >A10p025980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16578036:16582460:1 gene:A10p025980.1_BraROA transcript:A10p025980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein CLASSY 2 [Source:Projected from Arabidopsis thaliana (AT5G20420) UniProtKB/Swiss-Prot;Acc:F4K493] MKRRRFYDLKHPFDPFPFEIFSCGTWKPVEYIRIQHGKMTVPLLENGYIVEDIRPFQRLRLRSRKATLNDCTCFLRPGIDVCVLYPLHEDDLEPVWIDARIVSIERKPHESECTCEICVRIYIDQGCIGMEGQRINRDSVIIGLNQISILQKFYKEQSGDQFYRWKFSEDCTTLMKTRLSLGNFLPDLSWLLVTSVMKNIVFHVRTVQKKMVYQIVTDEASSSSLSSMNITVEDGVSLSKVVKFSPADIVDLEVNQETELYSEEDEVVELRRSKRRVMRPDRYTGCDYQIDTNDGWVRMMPYRFEKLAVVNMEDEYYEEEEEESGHEDDDDIQNDLFKIKRSKSLQLKPKRRQGQIVMVEKKRRRGLGVKQRKSLQVIPKRRQGQIVMIDKKRGRELGRKEKNCGLTVIPFTPVFDPIPLEQFGLNADSLVGGGVFSRNQYFDEIENYRSKSAKFGKKATEMEEMMESDLCWKGPNHVVKSVQTRVTRSSSRSAAQKNKCSDEPKVYKKVTLSAGAYNKLIDAYMSNIDSTIASKNEPASVVDQWEELKKTNFASKPHGWEMGGASGEDGEGETSENDMLWREMELCLASSYILDDNEVRVDNEAFEKAKSGCEHEYMLDEEIGMCCRLCGHVGSEIKHVSAPFIEEDDIKTKLSHKESTSKDFTISNESSEMLTAEESDNVWALIPHLKRKLHMHQRRAFEFLWRNLAGSVEPPLMDPTSDNIGGCVISHAPGAGKTFLMIAFLTSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGRRTYCVFKKNSVVNFNGVPKPSQDVMHVLDCLEKIQKWHAHPSVLVMGYTSFLTLMREDSKFAHRKYMAKVLRESPGLLILDEGHNPRSTKSRLRKGLMKVGTDLRVLLSGTLFQNNFCEYFNTLCLARPKFVHEVLMELDQKFKANQGVNKAPHLLENRARKFFLDNIAKKIDAGVGDERLQGLNMLRNMTTSFIDNYEGSGGGDALPGLQIYTLLMNSTDIQHKILTKLGNVMASYHGFLLELELLVTLAAIHPWLVKTSACCAKFLNPQELLEIEKLKHDAKKGSKVMFVLNLVFRVVKREKILIFCHNIAPIRLFIELFESIFRWQRGREILTLTGDQELFERGRVIDKFEEPGNPSRVLLASITACAEGISLTAASRVIMLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLSRGTLEEDKYKRTTWKEWVSSMIFSEEFVEDPSLWQAEKIEDDVLREIVGEDRVKSFHMIMKNEKASTG >A01p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9877943:9879473:-1 gene:A01p020100.1_BraROA transcript:A01p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLFACFRGKKPSSSTTKPVSGNNSAAVSADVPAGDGPVLVQLFSSQGCKTSPAAEMLMSRLGRGDFDAQIRGGEDGSGSGSPAMVLVFHVDYWDYKGWKDPYGSSQWTVRQKAYVEALNLDTMFTPQLVVQGRSQLNENEEETLLKSISEAPRFASPAFKASFQRPTSETLQVSLTGALRTKVDGDGLDIMVALYETGLVTDCERGENEGRMLSNDYVVRKMEKMCTVKDVTAKKSVSGTAHFTLWDGFNSSKCGVVVFLQNSSLHIFGTQSFKLPDEI >A06p016310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7291343:7291609:-1 gene:A06p016310.1_BraROA transcript:A06p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF3 [Source:Projected from Arabidopsis thaliana (AT1G18835) UniProtKB/TrEMBL;Acc:A0A178W7X3] MKKRQVVIKQRKISYTTTTSSSNVRYVECQKNHAANIGGYAVDGCREFMARGGEGTDDALTCAACGCHRNFHRREVETEVVCEYSPPN >A04p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10523995:10527074:-1 gene:A04p017140.1_BraROA transcript:A04p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRLGQKKVLVVFDDMDDQVLLDAVLGKTRWVGPRSRIVVISKDRELLRACGIESDRIYEVEYPSKELASQMFCRCAFGQDSPPDGFTELASDAVELTGNLPLALNVLGSSLAGLRKEELEERMPKLVNRMAGQVDKTLKDSYDRLKEEDKAIFRHIACLFNHKPCDYVKGLLEDSKLDVDVGLVTLAERCLIQISEDKIIRMHDFLQKMGREIVRQPCIQDPGEREFLLDSKEIYDVLVDGTGTKSVLGIFLNLREIEDELSISEEAFSGMKNLRFLRIYGVSEEDKETILQLRGGKNRRRRQLGLRKWYWWGSMHLQEGKNHIWRQLRLLEWWGCSMTSMPLNFRAENLVELRMPDSQLHKLWEGVEVLKSLKTMDLRRSKNLKVFPDLSKATSLEELYLEDCCSLVTIPSSIRSLKKLRKLDMKRCTKLRDLPANIDLESLHSLNFSGCSQLRSFPHISRNISHLFLDETKIEEVPERIEDISRLSYVSMKGCKSLKRISPNISKLEVIFFSDSYSLDEQSHYTQECAHKINIPVSSGSSSRSWKNDVFLSFYGKDVRKTLISHLYKEFSIRKVTACTDDMLVPGDEQHGIRESRIAVVVLSNNYVSSSWCLDGLVEIIKCGKEIGQEVIPVYYGVEPAHIRTQILDLGKASKKGYTVDNHKQQKWVEALTVLNQHKGYYFPDWDSEAEIIQKMADDISFALNITPKEYLDVVGATMPSSLNQEIGKALYDRLDWNEKVLFRHIACFLNNKTYENVMRLLEDSELDVGSGLNILLHTSLIRISEKRVIRMKPVLQKLGRDIVLRPFINQPAKRQFLMDTSKGCDVLIDQTGNERMFVISFKVSETSKRDERFKGMKKLQFLRMFKKSLYGKQVRVHLVKGLFFVGMT >A07p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8156199:8159828:-1 gene:A07p012380.1_BraROA transcript:A07p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G24240) UniProtKB/Swiss-Prot;Acc:Q9LHP4] MILRQMSSPHTLISSSSFFIFILCFSLSNAEQNPEASILYSWLHSSPLTPSSLSFFNWNSADNTPCNNNWTFITCSPQGFVTDIDIQAVQVELPLPKNLPELRSLQKLTISGANITGTIPESLGDCLALTVLDLSSNSLVGDIPWSLSKLRNLETLILNSNQLTGRIPPEISKCSKLKSLILFDNLLTGGIPSELGKLSNLEEIRIGGNKELSGKIPPEIGDCSNLTVLGLAETSVSGNLPSSLGNLKKLQTLSIYTTMISGEIPPELGNCSELVDIFLYENSLSGSIPREISKLAKLEQLFLWQNSLVGGIPEDIGNCSNLKMIDLSLNLLSGSIPVSIGRLSFLEEFMISDNNFSGSIPTTISNCSSLVQLQLDKNQISGLIPTELGTLTKLTLFFAWSNQLEGSIPPGLADCTDLQALDLSRNALTGTIPSGLFMLRNLTKLLLISNSLSGSIPQEIGNCSSLVRLRLGFNRITGEIPSGVGSLKKLNFLDLSSNRLHGKVPDEIGSCSELQMIDLSNNSLQGSLPNAVSSLSGLQVLDVSANQLSGKIPASLGRLVSLNKLILGKNLFSGSIPGSLGMCSGLQLLDLGSNELSGEIPSELGDIENLEIALNLSSNRLTGKIPSKFASLNKLSILDISHNMLEGDLAPLANIENLVSLNISYNSFSGYLPDNKLFRQLPPQDLEGNKKLCSTSTKDSCFLAYGNSNGLADDKETSRARNLRLALALLISLTVVLMILGAVAVIRARRNNERERDSELGESYKWQFTPFQKLNFSVDQIIRCLVEPNVIGKGCSGVVYRADVDNGDVIAVKKLWPAMVNGGNDEKPDKNVRDSFSAEVKTLGTIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERRGSALDWDLRYRILLGAAQGLAYLHHDCLPPIVHRDIKANNILIGLDFEPYIADFGLAKLVDEGDIGRCSNTVAGSYGYIAPEYGYSMKITEKSDVYSYGVVVLEVLTGKQPIDPTVPEGLHLVDWVRQNRGSLEVLDSSLRSRTEAEADEMMQVLGTALLCVNASPDERPTMKDVAAMLKEIKQEREEYAKVDLLLKKSPPPTAKTQEEGSKNEMTVAVASSSKEMRREERIVKSNNTSFSASSLLYSPTSSIE >A01p004740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2034997:2037081:1 gene:A01p004740.1_BraROA transcript:A01p004740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPSFGCFFFLLFFFFISSSFAAYAISDSEAAFLVRRQLLTLPENGDGELPDDIEYEVDLKATFANTRLKKAYIALQAWKKAIYSDPFNTTANWHGPHVCAYTGVVCAPALDDPNVTVVAGVDLNGADIAGHLPVELGLMTDVAMFHLNSNRFCGIIPKSFEKMKLMHEFDVSSNCFVGPFPNVILSWPSAKYFDLRFNDFEGQVPPELFKKELDAIFLNNNRFTSVIPETLGESTASVVTFANNKFTGCIPKSVGNMKNLNEIVFMDNGLGGCFPSEIGKLSNVTVFDASKNSFVGRLPTSFVGLTGVEELDISGNKLTGLVGDEICKLPNLVNFTYSYNYFNGQGGSCIPGGGRKEIVLDDTRNCLTDRPDQRSAQECAVVINRPVDCSKDKCAGGGSSTPSRSSPVHKPSPVPTPVVDKPSPVHKPQPPKESPQQDDPYDQSPVKNRRSPPPPHQSQPPVVSSPPLPSPPPPQVHSPPPPVHSPPPPVHSPPPPVYSPPPPPVFSPPPPVYSPPPPVHSPPPPPPVNSPPPPVHSPPPPVQSPPPPVFSPPAHPPQTPVQPSPSPAVILPPPSQSPPVVYSPPPTISSPPAPAPVEEKQTPPAQAPAPVEKEQAPPAQAPAPVEEKETPPAQAPAPVEKEQAPPAQAPAPVEEKETPTAQAPAPSDEFIIPPFIGHQYASPPPPMFEGY >A02p009540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4025143:4026648:1 gene:A02p009540.1_BraROA transcript:A02p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAFGTVLSALILVLIGVLAFSIRLFSVIKYESVINEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWNCLPWVNIDCWNHLVTVCVFTAPVFSAFASWATYLLTKEVKGSGAGLAAAAFLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKIRVFIQQPTSSFLLLVLVCLCRQVLLYLFLSVILIMKFMQTLNTWLQSRFLVGS >A09p058280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49147004:49149566:-1 gene:A09p058280.1_BraROA transcript:A09p058280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G52720) UniProtKB/Swiss-Prot;Acc:O04846] MKKMVMLIIKICLLAMAPLCTAAATAQTQGIGFGYGGRNGPKQWGHLNPHYTECSVGKLQSPIDIQRTQTFYNSTLEPLHRDYYTTNATLVNHVCNVAMFFAEGAGDVVINNKNYTLLQMHWHTPSEHHLHGVQYAAELHMVHQAKDGSFAVVASLFKIGSEEPFLSQMKDKLVKLKEERLKGNQTAQVEVGKINTRHIERKTRKYFRYFGSLTTPPCSENVSWTILGKVRSMSKEQVELLRSPLDISYKKNARPCQPLNGRRVEMFHERVTKKETGNKKKKPN >A07p007420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1019919:1020582:1 gene:A07p007420.1_BraROA transcript:A07p007420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYEAQVNQRVRVSKGWSSKRCHWIKALADPVGNSLWLQSGWAWALLGCMDPNLGPDQVRRSVSLWAGLMEWPTKPSLTEWLDETMDNPVMVGSRSFELGLVLELRLILVKPRSRENSINERLCSVRVDHVRNKLITAYRTHCNFCIGSHLD >A09p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1075801:1076967:1 gene:A09p001420.1_BraROA transcript:A09p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTAIFSLTNSNAVSTGFKNRKLHCNNGAVAKTTSRYSPSSLMKPWPLASRFSPLISPTVKSSVFRRFDTLMEWQECKVRKKVEVPVSVAYGLYSERESIPRWMTFISSVKVLKDKSDLSRWTLKYSAFGQNLEYSWLAKNLQARVASTLFPLPNQKIHWISLEGLPNRGTVRFFPEGPSSCEVELTFAYEVPLLLVPFATALQPLMQGMIKDSLELFAEIAKTTKTT >A06p034570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18695805:18698366:1 gene:A06p034570.1_BraROA transcript:A06p034570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKMELVGEEVIKPSSPTPNHLQSLHLSLFDQFLPPTYQTVVLFYDKESEFDQKNLKEHIIGTNLIQRLKSSLSHTLTNFYPLAGRIHGVTVDCNDEGVLFTEARTDVPLSDFLGNPRYDLLQQLIAPSKVSDPGMWPLLRVKVSFFRNSGFAVAVGVSHKICDTTSLGMFICDWTNAAKGNVLAVNPTFELPIFYPPGDLSINLPPTMERASTLTKRFVFGSAKIKELKSKASSKLVTHATRNEATTALLLKCMMKASRSKADAIITQTMDLRARIPSNFLSGKSIGNLFFLPTLKENTKKEIELHDMVFKLRNNKKELDELIKEDSDEVGERLMSAMLSRLSEMSPEMETFVVTSWCRMPFYGADFGLGTPVWVAADSIDKTQVVLVDANDGEGIEAWVTLPEDDMFAFEHDKELLDVAST >A02g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26491502:26491805:-1 gene:A02g509910.1_BraROA transcript:A02g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVFHHLMSRSPSTTTPPRRSLMVDETRPAVVAVAVVRRRQGQTWRLEEARRGTHGRLFFLGLNFFL >A03p016540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6593800:6604774:-1 gene:A03p016540.1_BraROA transcript:A03p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIAVKYSFQYSSFFSLYVEKGHYTFMKDATLAPTYASFDYILIGGGTSGCALAATLSQNARVLVLERGGSPYDNPTASDLGNFANTLFNITPNSWSQLFISEDGVYNTRARVLGGGSVINAGFYTRAGDDYVEEVEWEREAVEAAYEWVEKKLVFEPHVMGWQTAFKDGLLEAGVNPYNGFTYDHIYGTKIGGTIFDGAGHRHTAANLLEYANPDNIVVYLHASVQKILFTKTDGPRPEAYQVIFEDTKGVLHKVELANNPMNEVILSAGAIGSPHLLMLSGVGPMAHLAAHGVKPVILDHPMVGQGMGDNPMSPIFIPSPTPEEMSLVQAVGITKFDNYIEGGNNVTLSFDLTRRFFDGVLHVLNETSRTTSTKILTQPIVDFLRSLDRGFKDMIAVNGMFQKVAGPASRGYMELRNRNPDDNPSVTFNYYQEPEDLNKCVEGLKTIIKVIDSKAFTKYKYPDVTARVLLNFMLGLPTNLRPRHVTSMFNLKQFCIDNVMTVWHYHGGCQVGKVVDKNYKVLGIDALRVIDGSTFLKSPGTNPQATVMMLGSLLVCVSTRFSTKQILSYTAMGFHMFPSVLVIIFILHGSSYSHKDKGFYGFMKDATSAPTYARFDYIVIGGGTSGCSLAATLSQNASVLVLERGGSPYDNPRATDIENVANTLLNITPNSWSQPFISEDGVYNTRARVLGGDSVLNAGFYSRAEEYYVKEAEWEMEEVEAAYEWVERKLVFEPQVTGWQSALKDGLLEAGVLPYNGFTFKHIIGTKIGGSTFDSAGHKHSAADLLEYANPDKIAVYLHATVHKILFTTKGNQRPKAYGMIYQDADGMFHKVELAENAMNEVILSAGALGSPQLLMLSGVGPRAHLEAQGVDPVVIDHPMVGQGMGDNPMNSVIVPSPQPVELSLPQVVGITRFGNFIEGFSGLSLSYNLTRMFFETRLSTQSITSFINSSDFQLNLIEIDGVIFQKVDGPFSRGYLELRNTNPDDNPSVTFNYYQEPEDLEKCVKGLETIIEVINSNAFSKYKYLNATGRELLNRMLGLPTNLRPRHVTSVFNLRQFCIDTVMSVWHYHGGCQVGRVVDKDYKVLGIDALRVIDGSTFLKSPGTNPQATVMMLGRYMGQKILRERNASGEKRD >A03g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17982819:17983468:1 gene:A03g505050.1_BraROA transcript:A03g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSETPHPEFSRRMFAFGEEQVGIKVTEDQKSSCISKIINALEEEEEIVVNRASTFEKLLAIAKETSILGLFWAFPYIQAIESFEEARNLVLFAGKPVRFSIREFPLVTALNFRRFPPHSKKRSTKNISCKAQVISIISAGVEQNNVNPELGWSDDEEDVQVDNIAISQAEPPKLMLSGCVMKPKRKT >A06p056150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29269275:29270797:-1 gene:A06p056150.1_BraROA transcript:A06p056150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRREDRRAPGGGYWTPPATWNMKKRVYTEMPMSERKRTCSNEKQEPFHMVHKVPSGDSPYGRAKHAQLISKDPERAISLFWAAINAGDRVDSALKDMAVVMKQLDRSDEGIEAIRSFRYLCPFESQGSIDNLLLELYKKSGRIQEEAELLEHKLKTLEHDTRHRGILTIAKRSHGKQISLTIEQEKARILGNLAWVHLQLHNYGTAEQHYRNALTLEPDNNKLCNLAICLMRMDRIQEAKSLLEDVRLTLGNTQWTDEPFYKSFERATEMLAERERVSVAVAVADHPGEIMISSSSDNFSSNCSFGSSVKETEALPEQGIMYSFDSVESPVLITQPRECNWVDEEVDHRVGQVTIGAARRLRFGNTYEKKKNSKSVESAESNTWTSKVRKMCADSEKGYQRNASESSH >A05g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12445508:12447386:1 gene:A05g504540.1_BraROA transcript:A05g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFRSVDQLMALANTNIELPDIIGEVCDIRATYNDHHSDHPAESTVCVSVFDSLVEILHMRLEAGVVHPKVLVATNINRKLSSSNDSTSEKQYRGVQNLEAISVGELNIYVLETTHKLLSSYAKPQLFLWKLQTYGVTSHVPSVRRSSSKEFISSHALHVEMLVGEGEDTALFVAFDYAMTKLTCVRAAEVANPMGQGDQDPAGYEFPQLLQDIVGNTYIFHLKLNEANFSSHHKSFTVARIFDHNERISGPTFAPHDGGNNHDDDMHGANCASCKYHLGGSSTGGNPPEAGDEPIETSVAVQPTSNTEQKESIVENVDDPHGSPAENPSKKARNALTSVSSTME >A01p047660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26868846:26870866:-1 gene:A01p047660.1_BraROA transcript:A01p047660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNKTFSTTSTINEDYMSFPYNDNYCSQPLFPFSPSSSINDLLIHSNSNTSNNHLDHHHQFLQAASPFSQFEFVQDFSLLPSFLPQNIAHNDNQTISINDHHHPSLLPLNNTIDESQLIEPSETITTHNEDSQRISTTQDPKMKNAKKPSRTDRHSKIKTAKGTRDRRMRLSLDVAKELFGLQDMLGFDKASKTVEWLLTQAKPEIIKIANSLSNQFKHGGFSSGDDSQTRPALGSTETSSDLCEFASVWTVEDRGSNTSMTENKVDGRTMRGKRKMSQRTPILKEMSKDARAKARERAKDRTMEKIMKRRRPQVNAVEEVEAHNQHDEVVKNNNSGVNWTTSFEVTPCGENMEELCKNNRFAVYNDFVVNKKDHISEESYNMISQLNSSFPMLTPHRSQGAATSIEQQQQQQVRDVHHFLYNYHNM >A08p030830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:18842354:18842641:1 gene:A08p030830.1_BraROA transcript:A08p030830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLAVRASVGHALSLNINITWLRSNCKGLIQTIITDQRLVEFFGVISDIESLIVSSFFTFHASFISKSSNGHADSLAKISFYNRVLLLGSCPH >A07p042710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23143337:23150041:1 gene:A07p042710.1_BraROA transcript:A07p042710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNSPRSRRSRKPDPTPDLYSTFVVHSDSDSDQRRDRDKPDEDETVDLYATMVYKSDSDGEGDEDEDSTLPPLLKRLPKDFGGGGASYDEDDDDGDFGTMIVKKDSKNSPGDEESSSDEEEGEYGTFVVVKPSSSKKGKETDLSTMGRAVASMQESSFGGKNNRKSTPSLQQRSSKMSTTSLPDCVREDPTTKYEFLNELGKGSYGSVYKARDLKTSEIVAIKVISLTEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSFQGDDYLWIVMEYCGGGSVTDLMNVTEEALEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQENRYDGKVDVWALGVSAIEMAEGLPPRSAVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTADEMLKHKFVQRCKMGASAMSPKIEKSRQIRASMALQAQNVVASSEDTSTLGPKSSGDFGTMIVHGEDETEESYSGAQLAKEKESSPSQVDGVSVGFSGDQVAGSWIHDKKNRSATDVPVDESTSQSVRGTPPSVSISLEHKTKLNSISGTQTEGGSDASGITLKSETVGKKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSENMIGGMQQDGNRTVAVEALQELFTSDPQSKKGRRGQNEMPLPPSVYQRLTSSPPLMNLAQVLAYHRACYEEMPLQEMQATQEQQTIQNLAREAAASYRGLASIQGDADPVSFNEETNSRKAVKSAVFFLESVVAESEIRIWKIADVREHRDPASKGRPLSRKQKDVRFKLPSSVHRKFSTRTQ >A07p004320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2898168:2899088:1 gene:A07p004320.1_BraROA transcript:A07p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTLDQLHTYHAQERVIFSKLVLQFSRSPSESLLVMATWFWLENFGFEDIFATIFALPDRLIASFANEAVSCFRCIEYSDPPNGFDQIPLTSQYLQNHISLSMIYKHRYTAIAGIKTFLNTICSRIFSDILAQVLPYSSPPYFVPGFHPSLIIPGFPHPTFGNINVMRPNLVDGVNTFNNNNSFLFPKGLWEWNDHSMESENDRTMFITFSRGFHVSQAEVKELFTNIFGEKCVVGVYMREDCVSSPNIVACNNDQQQSLFAKLVLDSVVTVDRILEGEKLQKFRINGKHIWARKYNEKKDRRT >A10p015130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3778652:3780223:1 gene:A10p015130.1_BraROA transcript:A10p015130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLSSPFDLSRHIISEENRREICKYLFEEGVYFAKKDFNLAKHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTHLNLPSDVVLATLKKSAKPIGRPFGGPPGDRPRGPRFEGGDRPRYGDRDGYRAGPRGGEGEKGGAPADYQLFFQGSGGRPGFGRGTGGYGAAAPSGSGLP >A01p008070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3899056:3900243:1 gene:A01p008070.1_BraROA transcript:A01p008070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQDEQPHENKNTNPPPQLPPLNLQPHSFSSLPDVIVENILARISKCNYPNLSLVSKRFLSLLSSPEIYTTRSRIGTTEPCIYICVERFKDLGLKWYTLWTKHAHVETLTVDNAQEDDLPNNEYSLVPLPESNSLVPFMDCTNVVVGSEIYLFGVTSSEQPSSSVRILDCRSHTWRDGPSMLVARRCPYAVFLDEKIYVFDGCGEDDTWMEVLDMKTNTWSPLLRHKDAVLEEGWLNTVGLQGKIYLITKINYCAYDPKQDVKLSNFELLYEWRMVNILNHGGKLLVMWLCNFEEYDEIEGWKLRCAKIMLEKRHGNEVWGEIEWPVTEVKLPELSERFNSLVISI >A09p041050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23187972:23191361:-1 gene:A09p041050.1_BraROA transcript:A09p041050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQVREKRIPVFTVLKKGAILKNIFVVNSRDLSSHGKDDAEAEEILLVGHHPDCDILLTHPSISRYHLQIRSLPSRQKLFLTDLSSVHGTWVTDQKVEPDACVEVKEGDVIRIGGSTRIYSLHWIPLSRAYDIDVSPLHASTQNLEVAHQHQSQGSAEDGDGHLDVTSEGSGSSVPSEDEDTYSTTTAILVPLFSSTVLTLPVEEEEEEDPYLSAKETSSLPVPRDYSIGTENLQIRDEVQAESTSSGSLSLAQIDGGCFEAAGCQAFELAAEAETMRPFQEVNGETAEHLTKAEIQSHVYNGELKVSGQDIAVSPRSSPQGKQLIEMLTEDAQGLLGSQYGNEVSIETDTENLHEIGNDGRSTWHSEDLEQSGLRSFLLTPTQKPRTEFEIKGEGNTGKEVPIPWTLSTETFEDKLLSDYTGDQENQTQRTLAVRDDVLSELDISRSSSRRLSTSNIWSRRGKDASVLQVKTNKSEGKQKKIGKQAKAHLQRKALSGRSIYLTVDHGAYKLEPEIFTPDKENLTPNSHMLRRLREVGEIKDTKSSSSKAMRKPFFDIHVEENLLAQQKPEVHYMSSNSKVKQEPVALKKKAERAPFQPLLEKSSSQSQSYSEAPSTASARNNISRGVRSSSNLSDGKNKMRWTIVLDTSSLLDKDSRKTLHLLQGLKGTHLVVPRTVIRELNETKRTRNALFRRTVDMASSALDWIEECQVNTKWWIELQSPLEETKATAPTPPVTPQSNGYAFPFSLQWNNYAPEIDSPTSEDEVLECALLYRNRNNIDEKLVLLSNDITLKIKAMSEGVICETALEFYESLKNPLSERFMWPESLPRGRTWSHADDVVLRERYDSRTCFPYRKKPTFNGGRRGESGAAAAAKGLKLILLHNSQYGHIH >A09p061250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50526278:50528971:1 gene:A09p061250.1_BraROA transcript:A09p061250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) UniProtKB/TrEMBL;Acc:Q0WVH0] MGKLGKKARKFAKKNLQSVEKKNRKQKPFLKRKFAKRDGRRDAQDEEEEKMIEQPLKKRCIEDNPKDIVIDAVFDKDEGVVVLDGGDSDSDGYLAEDSDLTNALVNGTQGKISETKLKKQSRKLARLNKKMVDGTDSDAMERKVLSGSVLSSFSNLVDEEQSVQALTSLLNWYRAACHYGHEPSGITSPGYDIEDSETFANVMIFVLQKADHTFRSVLGLSGSANKEKVLKLNNNNPKWDCVKPLIKSFFRSTIHLVKQAADLEITVFALAQLRVSIVFFSAFPELLNKLIKLSVDLWVTGEKTLSHQAFLILKDITIVFNSECFDTCFINMYKALLHDCDSPKANSEQRLPLLRDSLVELCSQDMQKSYTKASVSITQLAKLLKMALATKNKEAVEKIHSEHYTSCLDLWVSFIAANVQGNDLQSLLYTVIQVINGVATLFIGPRYLLLRVKCIQWLNHLSRASGIFIPIASLVLDMLEYKTTNDGEKQKKKLEAVSTVKLPKNWLKSQNFQEQCIFSVIELLANHFAHWSFHISFPELATISIMRLKKFNERSTMEGLKRVVKRFIEQVELNIEFVQMKRDEAAFSPNDQQAIETFLQLEKRSKTAPYTQYYQNIVDKGLGTKVKK >A02g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24294370:24299077:-1 gene:A02g509110.1_BraROA transcript:A02g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVTMSRLWRKDIKESIQVGECLYSAYIGESVESSGVMRNLETKGADEPVTKEEWDEFVKYDQESGRREPSNQTGGAGRTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDELPWITLVRRSTPKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPFVLALSLHI >A01p055190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31295978:31298721:-1 gene:A01p055190.1_BraROA transcript:A01p055190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICFKPFRRSPSPSIKSSIIKQINNNEDEDGSSCPSFREFSLEQLKVATNGFSANNIVSEHNEKVPNIVYKGSFNDGRMIAVKRFQRLSWPNPSEFIEEAQAVGRCRSEDMANLIGCCSEGHERLLVAEYMPNGTLAKHLFHWEKRPMKWEMRLRVALHTATALEYCNDRGIDLYHDLNTYRILFDKVGNPRLSCFGLMKCSREGKSYSTNLAFAPPEYLRLGTVVPESVIFSFGTLLLDLMSGRHIPPNHALDLFRGKNYLVLMDSALDGQFSDEDRTELIHLASRCLRPEPDKRPNIKFLLSALSRLEKRAESWPNVKGENIPAPLYTKPSTKKSLRLSPLAEACWRVDLCSIHELLEKLGYGEDDVAVTNEFSFQMWTGRMQENIDYKKHGDAAFRAKDYETAIEFYTEFMSGAPVVSPTVLTRRCLCYLMNDMFSEALSDAMQAQVASPECSTALYLQAACLLKLEMEAEAKEALRHGSSLEAF >A09p026460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14612198:14615424:1 gene:A09p026460.1_BraROA transcript:A09p026460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAKDLASGTVGGAAQLIVGHPFDTIKVKLQSQPTPAPGQPPRYTGAIDAVKQTVAAEGPKGLYKGMGAPLATVAAFNAVLFTVRGQMEGMFRSEPGVPLTISQQFVCGAGAGFAVSFLACPTELIKCRLQAQGALAGASTTGSVVAAVKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREVPGNATMFAAYEGFKRFLAGGSDTSSLGQGSLIMAGGVAGASYWGFVYPTDVVKSVLQVDDYRNPKYAGSMDAFRKILKAEGVKGLYKGFGPAMARSVPANAACFLAYEMTSLMETMEQQRHSLSSLPMLSRLEHLDFVIKNLERQQNLPKWKDESASTTRGLIDRGTVVREAYFKGSLLDRIAALETRLFQICLELESSSASSTSTGGSGETSSQRIKRDLTKTLSIFSSNINPFHIPLQHSLDPRETEEKIEEEEKEEEEEVINIEKPLLEKKDANETCKPNKKKTKSSKKWS >A05g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5708425:5709149:-1 gene:A05g501600.1_BraROA transcript:A05g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALFCSPKRRPFDHKETHHFLKKIETAEKSDYKDSENTYDLAKELFEESDHIKALEITEKTISDHHGLKKSCSPHHQLQGDIFFSLARKADTTDIKCVYLFASVDAYSMSSLLCPDSVSSFYGCARSLIELGDQLGINSFYKKAESKARRGLSVKMLKPQEKVLISSQKMI >A02p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5479218:5482267:1 gene:A02p012550.1_BraROA transcript:A02p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSDGEWTVVLPSKRRQGRRKPKPKIQEEEEEEKPWKSDDLEIDPQRQARVKLKMENSIKKVESSKFYTTFLEQLNCPEVSDQFRLVLGTETQLQMVMYGIGSIESYESPRFQLSIAILMKREFDWVGNIEVFDPVLSVTESSVLESFGCTVLSVNEQARREALKPTLFFMPHCEANLYNNLLQANWRMDRLSRIALFGNSFQMYEEQVTLDPGVIRATKRIIAARRITSEFAIETVSDDYFPAFHDSSWHFFSSCLDSELPLLLPTKERRQRQKAETVSSGGFSQKKRRSNGFHIRPTNSGHGATFEFSLKNVQRLMPSTPPTTPSTTSYWCYSCTRFVSVWAEHGTTEGLACPHCDGGFIEEVTDSSSAAAAAELASPASAEVRSINSSRRSVIRRRRSSRRPSFNPVIVLQGGAGEGEDGDAARDRRAFEFYYDDGSGSGLRPLPDSVSEILMGSGFERLLEQLSQIEAAGGGIGRSGNPPASKSAIESLPRVEISDCHITAEANCAVCTEVFEAETEAREMPCKHIFHEDCIVPWLSIRNSCPVCRFEIPSESNQQGSSEEGDSAVGMTIWRLPGGGFAVGRFNAAMRDGERVLPVVLTEMDGGGLGGNSEGPRRISWVRGNGAVESDGSNGGGSGSGGRLRRMVRGVVSLMRRVRPNRGSNLSSAAVSSSDSEVESRVMDRSNSVLRRYFGRNRSNRGSSVLH >A09p071550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55179991:55181267:1 gene:A09p071550.1_BraROA transcript:A09p071550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPSNINPNFTDFGETFKFDDYDDDAFQMIMEGISLGDHSPTLSWTSSEKLLATKVTSPLQTSLATSPISFEIGDKDEKKKRKRHKDDQVIHVFKTKSVKEIALDDGYKWRKYGKKPIRGNPFPRHYHKCSNPNCIVKKKIERDTSNPEYVLTTYEGRHNHPSPSVVYCDSDDFDLTSLNTLCFQTHNYSYSHSAP >SC350g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000193.1:5320:5886:-1 gene:SC350g500010.1_BraROA transcript:SC350g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRATYQIEALNETNPSAQTVCRSDLRRAHTRRNNTRRRLSSWNPNPSQQDFLAKFKSCVPDPRRLQIPVQNRASSRSHHRQLEFSINQLAKEATRDPIGGSVRPARVRGLSAHLGGPVSTICKT >A03p005340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2239258:2242486:1 gene:A03p005340.1_BraROA transcript:A03p005340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTQWLPTILTVVFFFLSLASPSHGSSQVGYGYIVSSVAVDSNQKVLTAKLDLIKPTSVYAPDVQTLNLHVSLETSERLRIRVTDSTQQRWEIPETVIPRAVTHSPRRYLTEENNTLEDPSSDLVFTLHKTTPFGFSVSRRSSGDVLFDASPDPSDPNTYFVFKDQFLQLTSALPESRSNLYGLGEHTKRSFKLIPGDALTLWNADIGSENPDVNLYGSHPFYMDVRGSNGHDEAGMTHGVLLMNSNGMDVRYDGSRITYNVIGGIIDLYVFAGPSPEMCRYGYNNVSDLESVVDGYAKAGIPLEVMWTDIDYMDGYKDFTLDPVNFPEDKMKSFVDTLHKNGQKYVLILDPGIGVNSSSYGTYNRGMEADVFIKRDGEPYLGEVWPGKVYYPDFLNPSAATYWSNEIKMFLETLPLDGLWLDMNELSNFITSPLSPGSSLDDPPYQINNLGGKASINKKTVPATAIHFGNVSEYDAHNMYGLLEAKATHQAMEDITGKRPFLLSRSTFVSSGKYTAHWTGDNAAKWEDLAYSIPGILNFGLFGIPMVGADICGFSDDTTEELCRRWIQLGAFYPFARDHSSKGTARQELYLWDSVAASARKVLGLRMRLLPHLYTLMYEAHVSGVPIARPLFFSFPRDTNTYEISSQFLIGKSIMISPALEKGTVSVDAYFPAGNWFDMFNYSFAVGGSSGKRVSLDTPADHVNVHVREGSIVAMQGEAMTTREARTKPFELLVVASKLENISGQLFLDDGENIRMGEEGGNRDWTLVKFRCYVNGKSVVLRSEVVNPEYASRMKWSIGKVTFVGFENVESVKTYEVRTGERLRGPRISLLKTVVDDGDPKFMSVEVSRLALLVGKKFEMRLKLT >A09p069900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54362989:54363830:1 gene:A09p069900.1_BraROA transcript:A09p069900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERMSHAELSLELLEDLNYQKEDEIKNLESRLYAYKCKLLSLGCKGTDDDRSFSDMRWCDRSQTPSPEPVDTDVLVPVEKEVIEQSLDSSRKHQEKNLDLCWDQIKKLDEQLKELTDSIKMETFSVSETKNGEISEAFAASSSNIQDMVPKVCKKSSKRKRKRSVKRDHVQGGFSENDAEYQAELQRLRERVEQLERARCTAEPQTSEVKQEEELSVVQAEMKDSRTTVELLPCYDSAIVSVQEAMLYFWL >A05p053980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31683477:31686427:1 gene:A05p053980.1_BraROA transcript:A05p053980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCVAMEKQLCGLPLLLLVLLLSSIDGTTQLQSSQSQTLLRLQQLLYYPKVLTSWNNFTDFCNSEPNSSLTVVCYEDTLTQLHITGDRRGHMLPKSFSIDSFVTTLAKLPDVKVLTLVSLGLWGRLPEKINRLSSLEILNVSSNFLFGSLPHELSSLASLQTLILDENMFSGLVPDWIGSLPSLAVLSLRKNAFNGSLPSSLSTLSGLRVLALANNRFSGALPDLSRLTNLQVLDLESNSFGPMFPRLSHKLVTLILSKNMFRSAVSSQEVSSLYQLQRLDLSFNTFVGPFPTCLMSLPAITYLNISHNKLTGRLSTNLSCNSQLISVDLSSNLLTGSLPDCLKPSSKTSRDVVYGGNCLATENEDQRPVSFCSNEALAVGILPQRRKRVSKLGIALGVTGGVLGLFLLAGAVFLVLRRVSAKRKATEASPRLIKENVSMGYTSKLLSDARYISQTMKLGALGLPAYRTFSLEELEYATNNFESSAFMGEGYQGQIYRGRLKDGSFVAIRCLKMKKSCSTQNLMHHIELIAKLRHRHLVSVLGHCFECYLDDSTVSRMFFVFEYVPNGELRSWISDGNVGRLLTWEQRISVAIGVAKGIQFLHTGIVPGVYDNNLRITDVLLDNNLAAKISSYNLPLFVEGLGKVGQVVSRKGTPSIKDEDKVDIYDFGVILLELIVGRPLRGKGQVDVLKEQLQASISTDDGARRSMVDPTVHRTCSDQSLKTMMEICVRCLLKDPLERPSIEDVLWNLQFASQVQEGWLHNSNPPSIRGSPSPAASSRLHITTLESPRDSGYEEHER >A05g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2056635:2058926:1 gene:A05g500540.1_BraROA transcript:A05g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPLASQPYKLPSSARPPISTFRSPKFLCLASSSSPALSSSTKEVESLKKPFTPPKEVHVQVLHSMPPQKIEIFKSMEDWAEHNLLPHLKDVKELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAVWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFVSHGNTARQAKEHGDLKLAQICGTIAADEKRHETAYTKIVEKLLEIDPDGTVVAFADMMRKKISMPAHLMYDGRDDKLFDNFSSVAQRLGVYTAKDYADILEFLVGRWKIESLNGLSGEGNKAQEYLCGLTPRIRRLDERAQARAKKGPKIPFSWIHDREVQL >A01p012490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6133351:6133731:-1 gene:A01p012490.1_BraROA transcript:A01p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRQITDGKIAASTTVTKKSDVPTPRPNCCVTCLTRLIRKLKRKGRLLVTATAARRQGSSLQCRYDPMSYSLNFDGGACGRLPDDEDYYFRFYAFSSRYVTTNITKTRPPFTRETLSTSTHEFA >A06p014210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6415308:6415763:1 gene:A06p014210.1_BraROA transcript:A06p014210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPTFPNAAAEETKSEQNQTAPKQKSKKFPTAAELISHYQKQGGLEPAEASVKVIEDLQNALVRVASSSKNASSKSKLLADARKIDAISGRLAVVDAKLETKPGYVETFALGLASGAALNGISAVWPHVTRGIGQVWSAVKSGTDPSAAS >A01g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13985464:13990147:1 gene:A01g504650.1_BraROA transcript:A01g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLQHLNSGPASNIISHEVTFIIYSLACHLRNATSKKIDAQGTAINSVDYGKELGFIGACHCGAGYESEYETEYSESIDTPTFPSIDSNVSTVTDDRNNTSFEVMHQVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSLADSGFHESFAVDTVITSANEEHTEEYNEDYWKERAIEMSLQDERLEAHKFTNTFRTSFDSVHSTSVDTHPLPAKQPLTSIDTHTGTSIDIHAAVKIQEQENIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKFNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPSNHVGVATTEINPVSHQPKGQASIDSTTETSIDRVTPTSIDRDNSTSIDRRYECGNCAFDMYGARKFIWEQMDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLNRGYNELFRCMVEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINNVYCPLNNVDWLSTKIELLQQDLDTIRKKDQQPATSINMCTFISLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLNAQKDIENITNQSFLQAKSASIDRLRGPWIDGKKPVELLPYKAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFNNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDKTLAKSIDGNSPRSTNEHIIASIDAESTPIGEQLIHKTIESMQKELTDLSAYAYDIIGWHQVSIDNVQERLQSISKIALKMLKRINLSTTHLP >A01p008330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4058238:4058648:-1 gene:A01p008330.1_BraROA transcript:A01p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 173 [Source:Projected from Arabidopsis thaliana (AT4G30067) UniProtKB/Swiss-Prot;Acc:P82777] MAKAASLFVFPIMFFVMLSLVEYNMGCMAVIGSCRYIPDCGASCRVRFGIKALGYCDRDGAYGTCICTYPCLSDKINI >A05p008070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3293138:3294585:-1 gene:A05p008070.1_BraROA transcript:A05p008070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >A09p014900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7767379:7768205:-1 gene:A09p014900.1_BraROA transcript:A09p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFLRSVATIPRAASATPTESSLHSFSSTKPQTFPFPSSQRSLPRLRWGYKRIFASSPMACVPQVSATAVSEFKGPAIFGVVRFVQISMENVIIEASFGGLSPGKHSWCINEYGDLTKGAASTGNIYNPLQDDETATQLPGDLGTLEADQNGEALYTVKKEKMKVTDLIGRAVVVYETEDMSLQGITAAVVARSGEVGESCRKLCSCDGTTVWEATVY >A02g510210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27303394:27305376:-1 gene:A02g510210.1_BraROA transcript:A02g510210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNSLKPSEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPCIYQGKTLASQIRMKPNLLYLGAGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKTSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVFHLLFLSKLFL >A10p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21619781:21622264:-1 gene:A10p038830.1_BraROA transcript:A10p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoinositide-dependent protein kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G04510) UniProtKB/Swiss-Prot;Acc:Q9XF67] MLAMEKEFDSKLVLQGNGGSVSRSKSFSFKAPQENFTIQDFQLGKIYGVGSYSKVVRAKKKESGTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIIKLFFTFQDSFSLYMALESCEGRLSEEEARFYSAQVVDALEYIHSMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTIYQMLSGTSPFKDASEWLIFQRIIARDIKFPSHFSDAARDLIDRLLDTDPSRRPGAGSEGYAALKSHPFFMGIDWKNLRSQTPPKLAPDPASQTASPEREDAHGSSPWNPTHIGDSSAAHNDGHSAPSTPSESSSGSITRLASIDSFDSRWQQFLEPGESVLMISAVKKLQKITSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSNDLNVVVTSPSHFKICTPKKVLSFEDVKQRALVWKKAIETLQNR >A01g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20631441:20631715:1 gene:A01g507060.1_BraROA transcript:A01g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTRFPHIFPLQLPLPLPLLLNRIRGSCQLIYWFNNQVESISRFSIPTHDEDIEHLVHQVEKWH >A08g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11474792:11478330:1 gene:A08g506650.1_BraROA transcript:A08g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVRQTVASMKVLQLTLYLLHLTRNIQRNTIRIIGNNDERFETHTFTNTFPTSLDEVHPTSVDTHPRPAKQPLTSIDTHTGTSINIRAAAKIQEQENISSPTRDPDGNARAIDGRILQVSREDIADILQVANGPDSLFSQQRGTPDVIQTDPNNHVGVAITEINPDLSYQPNGQASIDGRTPTSIDRVTPTSIDKDNPTSIADVMNLETALLTCTEPESSLGNEGTSMESTEMSVDTHEEITGKSSHICLPEHATSFTLTRLAPKLYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMQLEKEATTSASIDAPHAPSMDVNHPTAQIPAEPQCSAEHKDAWEILYIDTRINDVYYPLNNNQDLDTIRKKDQQPATSIDVCNITSLDAKVSAMNERLRTYEDMHDHFISPAKSASIDRLRGPWIVGKNPEELLPYTAAEVGKITSKIYTAIDTMEERLDKCCDDIYFPFDNRISGLDSHAEWLQREVKAIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDEHIIASIDAESTPAGEQLIHNTIESIHKELTELSAYAYDNIGWHQVSIDNIQDRLQNISNVLEKMDDKWTRNDEATRNLGDPSRMTLISNADETSTSLDIDNHTTIDATLSHRSISNPVGCIFPTCYISYIMNYSIITPAELHWDSTPIKEFETRLGSGNLQGSLSQRTLGYRSKRSEQSLPVQSWSLILQWKQTLTQERKLERDKLGTNFYLQLQIPV >A09p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8429601:8431177:-1 gene:A09p016130.1_BraROA transcript:A09p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIHHSDSISDVKLRIQTICHGIRITRQKLVFSGRELARYAKDYGVTGDSVLHLVLKKLYDPLLVTVITTSGQVFYFHVDLRKNVGYLKKRISKEGNKGFLDVDEQEIFFRGEKLDDNRVLGGICGDGNSVIHLLLKKTVKEAVVEDAGKDFLLEPLLLNPAVKLPQVIEDMIGRTVDGLKKGNPPVRTAEGTGGTYLMQDSSGLNYVSVFKPMDEEPMAVNNPQQLPLSSDGQGLKRGTRVGEGAIREVAAYLLDHPKSGSKSQVMGFAGVPPTAMVRSFHKVYNNPKGVDSCSTKDAKVGSLQMFMKNDGSCEDIGPGAFPVEQVHKISVFDIRMANADRHAGNILTGKGEDGRTVLIPIDHGYCLPENFEDCTFEWLYWPQAKVPFSEATLDYINSLDAEQNIALLRLNGWDVPEAVSRTLRISTMLLKKGVERNLTPYQIGSMMCRETVNKDSAIEEIVREAHNSVLPASSEATFLEAVSMAMERRLDELIK >A05p010090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4200548:4203162:1 gene:A05p010090.1_BraROA transcript:A05p010090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCYHTHFSSLKLPHFFAPKSFVASSRRELRVFAVPTSMDDASGNIPAAPISLPEGSWKQIGGGVTAAKGFKAAGMYAGLRASGKKPDLALVTCDVDAVAAGVFTMNVVAAAPVVYCKKVLETSNTARAVLINAGQANAATGDAGYQDMLDCVGSLATLLKVNPDEVLIESTGVIGHRIKKKELLQALPTLVSSMSDSVEQADSAAIAITTTDLVSKSVAVESQVGGTTIRVGGMAKGSGMIHPNMATMLGVITTDALVESDIWRKMVKVAVNRSFNQITVDGDTSTNDTVIALASGLSGSPFISSLNCKEAVQLQACLDAVMQGLAISIAWDGEGATCLIEVTVKGTETEAEAARIARSVASSSLVKAAVYGRDPNWGRIAAAAGYAGVSFQMDKLEISLGEFSLMESGQPLPFDRDGASNYLKKAGEVHGTVTIDLSVGEGAATGKAWGCDLSYDYVKINAEYTS >A01p050310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28347231:28349056:-1 gene:A01p050310.1_BraROA transcript:A01p050310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSLGVPRIRISAVELSRVRSGRLQIPYSQRSLFAQRPVKYLSLRTSVGSLKALQVSTVTAVETAASVEVEDAEKTKSSPLNAQLVPKPSEVEALVTEICDSSSIAEFELKLGGFRLYVARDLADNNISPPQPQPTPAASSANAVTESADSNGSASSTSLAIIKPASSAADQGLIILQSPKVGFFRRSKTIKGKRTPSSCKEKDQVKEGQVLCYIEQLGGQFPIESDVTGEVVKILREDGGKSYLLL >A07p004260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2933390:2933656:-1 gene:A07p004260.1_BraROA transcript:A07p004260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHHFMIEEPKTWNSEMLEKYVNPDDIPLIQSLAISQGYQRDKYCWRYTKNGMYTVKSGYWVVTNILNREPVEIHKKPSITKLQAFA >A05p009390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3912294:3913484:1 gene:A05p009390.1_BraROA transcript:A05p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNSNKKSLSEQLKHTNSPVFSTAIFSFIMLLVVVGTMLSNMSLESTFFWTSPTSEVITMERKPLAPPKNSTSRYRISWLRSHLKEFEVFKSTNLSEKFHQRVLESLNDECEVRFFMTWFSPADYFGKREFLAVESVFKSHPQGCLMIVSGSMDSPQGSTILKPISDLGYKVFAATPDVSSLLENTPAKTWFQEMKSCKRDPGRIPLSQNLSNLARLAILYKYGGVYLDTDFIVTRSFKGLKNSIGAQTVVEGDSKNWTRLNNAVLVFEKEHPLVYSFMEEFASTFDGNRWGNNGPYLVTRVVQRAQETIGNSFTVLQPVAFYPFNWIDIQRLFQTPRSRNDSTLLKADLIKLNQESYGLHLWNKITKKLKIEKGSAIDIIISEHCVVCKGIQR >A06p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3781696:3783927:1 gene:A06p010470.1_BraROA transcript:A06p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGYLDEYEKLVIRMNTPRVVIDNGVCSSATIVKVDSPRGHGILLEAVQILTDLNLSIKKAYISSDGRWNMDVFHVTDINGNKLNDQSVLKYIEQSIETVYYGENIEVNGLTALELTGTDRIGLLSEMFAVLSDLNCDVVDAKLWTHNGRVASMIYLKDCSSGSPILDSHRISKIEGRLKNVLNGDSDVKSAAKTCVSVDMMTHIERRLHQLMFEDRDYEKRSKKQERSPMVVVTVQNWAERGYSVVNVHCRDRTKLLFDVVCTLTDMEYAVFHATINTSEDQAHLEFYIRHKDGSPISSEAERQRVIQCLEAAVERRASEGVRLELRHPDKQGLLAEVTRTFRENGLNVTRTEISTSCGMATNIFYVTDANGDEADTKLIESVREKIGFECLRVKEMPSVNQRKGDGEEHQQTKAVLVSLGSLVWRNLFSFGLVKSCS >A08g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3764964:3765582:1 gene:A08g501420.1_BraROA transcript:A08g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILSCWINFDQDCIQLIIGLYTNIDSLLSDTPPLQLKSVAAEATLMQAQATVNIQCVTVKLAVQNRQLHLWSLRR >A01g511070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30873953:30874781:-1 gene:A01g511070.1_BraROA transcript:A01g511070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTQPPCMISIDHLNLVCLVYPQIDPSEKLDPEVEATLADIAEDFVEADILLHVVTMFNLGLVSLFLKCTKNWNIRPPGFSSDEIKTFRKPQLTTDIHKERLAATKKSVTVTEAANARNPFRHGTANARGGQAKTPAKSLGLYNF >A05p019670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9211722:9221827:1 gene:A05p019670.1_BraROA transcript:A05p019670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGVACMPLLNIMEKLPAVEKTLCGGNNDTKLAANSENGHASISANDDKLPDSQPAQPPKKKKKKIVKKVIRKVMVRKQKQPKQQAVQLPGESQAQTKEHDKKSEPLHENTCNGQLENGGDSGFKDEVEEGELGTMSSHGVLENGEISPVKSLQRSEIEKGEISGEGWKKDETANAEFSYMHYDKGYAERRDLSSDKYRKGEERDFRSWRDPGDEIEKGEFIPDRWHKMDTVRDDHSYNRSRRNGSDREKTWRYEYDYEHERTPPGGRFVNEDFYRRREFRSGNDRATRISSKIVIEDNLHKNEYNDPNGLGKEYSSTVNKLKRHGAEPDSFERKHSYDDYGDYGSSKCRKISDDYSRSLHSDHYSRHSAERPYKDSYSSKTSSLEKYSRKHQDSSFPARAFSDRHGHSPARSDLSPHDRSRYHEHRDRSPLHRERSPYARERSPYIFEKSSHARKRSPHDRSHHHDYRRSPSYSEWSSDRRDGTSNYREDPQSDRNRRNGHREISRKSGVREKGDSQAGTELEHKYRHRDSNGKESASSSKELQGQNILYNNDPVVEKSSICDSSKIPSPCAKGNESVQVSEAPTEELPSMEVDMDICDTPPHEPAKGKESVAADSSLGKWFYLDYYGMENGPAKLSELKALMEQGILFSDHMIKHSDNNRWVTIENATSPTVNLNFPSVVSDAVTRLVNPPEAPGNLLEDIVDAAEAVPMDQEAGYSLPESVSIPDTKEILVEHHEDFQFDKRIASLVEGCTITPGRELETLGEAMQIEVEREETRKFVSPEDITWCYYQVVDQLLGDEASGSSEPKTRDVEELTSENVDGSERDEIGSWLSGRWSCKGGDWIRRDEASQDIYYKKKLVLNDGFPLCLMQKSGHEDPRRHQKDDLYYSRSSSRLELPLWAFSGVDERNQARGVKANVLSVVRLNSLVVNDQVPSIPDPHVKVRGREKCSSRHARPSPASSDSKWESVETISQSTSCGSQDLQGCWKTGASANTPTDRLYTVEDLQLHLGDWFYIDGAGQEQGPLPFSALQILVDKGLIKSHSSVFRKSDKIWVPVTSITKTLETSAKLQGKKPALPSDCQSLVVSESQDFKHSEMDTSLSSFHSMHPQFLGYFRGKLHQLVMKTFKSREFSAAINDVLDTWINGKQSKKETDKYMYHSSEFDLSYPKRARLMAGESGDHSEVDDVFQKDELAFEDLCGDATFHVEGSGSSRTAGIYWDLLDGHALARVFHLLRYDVKSLAFASMTCRHWKATVNSYKDISRQVDLSSLGPNCTDSRLWSIMNTYNTQKIDSVILVGCTNVTSSMLEEVLRLFPHISSVNITGCSQFGDLTLNYKKVSWLKFQHPRSGELRSRLRSLKQTTDVAKSKGLGGDTDDFGNLKDYFDRVEKRDSANQLFRKSLYKRSKLYDARKSSAILSRDARIRRWAVKKSEHGYKRVEEFLASSLRRIMKQNTFDFFTLKVAQIEEKMKNGYYVSHGLKSVKEDISRMCREAIKGRNRGGSKDMNRIIVPFIQLATRLEEVSMVTSSYRRDELMKSWQDGSGFSSASKYNKKLSKSVTEKKFMSRTSDTLGVNGALDYGEYASDREIRRRLSKLNRKSFGSGSETSSELSENDSYSSASASESESDIRSEGRSQDSRVEKYFTSDESFDSVIEEREWGARMTKAGLVPPVTRKYEDKHSFIEDVVLRTLNRQARLFTGSGNTPMVFPLRPVIEELKENAREECDIQTMRMCQGILKAIESRSDDNYVSYRKGLGVVCNKQSGFVVEDFVVEFLGEVYPVWKWFEKQDGIRSLQENKTDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGHYQIGIYSVRPIEYGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGLLDRHKLMLEACILNSVSEEDYLELGRAGLGSCLLGGLPDWVIAYTARLVRFINFERTKLPEEILKHNMEEKRKYFSDVHLDVEKSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRRVFGDPKNAPPPLERLTPEETVSLLWNGDGSLVEELLQCLSPHVEEGIVDELRYKIRAHDPSGSADVLEELQRSLLWLRDEIRDLPCTYKCRNDAAADLIHIYAYTKCFFKVREYKSFVSSPVHISPLDLGAKYAEKLGDSMKEYRKTYGENYCLGQLIYWYEQTNTDPDVTLVKATRGCLSLPDVASFYAKAQKPSKHRVYGPKTVKTMVSQMLKQPQKPWAKDKIWMFKSNPGVFGSPMFDAVLNDSSLDRELLQWLRSRRHVFQATWDS >A09p047420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41171789:41173652:-1 gene:A09p047420.1_BraROA transcript:A09p047420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSQPVALDNHISVIPTYTPVPVFTSQPIPVVDLTDPEAKTLIVKACEEFGFFKVVNHGVRDDLMTRLEQEAIRFFALPQSLKNQAGPPEPYGYGSKRIGPNGDVGWIEYILLNANPQLSTPNTSDVFGQTPQIFREAVDEYMHELKEVSCKVLEMVTEGLGIEPRDTLSKMVRDEKSDSCLRLNHYPTAEEEAENMVKVGFGEHTDPQIISVLRSNDTAGLQICMKDGSWVAVPPDHSSFFINVGDALQVMTNGRFKSVKHRVLADTRRSRVSMIYFGGPPLSEKIAPLSCLVPKQDDWLYKEFTWSQYKSSAYKSKLGDYRLGLFEKQPILTHMSNE >A03p020640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8474742:8474954:-1 gene:A03p020640.1_BraROA transcript:A03p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLNAKLYLQNCYIVKENERLRKKAEILNQENQQLLIELNQKLSKTKDPNESKQGSSNLSSSSSASGQS >A07p036390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19546629:19548700:1 gene:A07p036390.1_BraROA transcript:A07p036390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQIGGEGGVPENLAGMTKSQLYDIMSQMKTLIDQNHEQAREILIRNPLLTKALFQAQIMLGMVQPPQVVPKVDPLVAPQPQQSRQSILAKPNVQAPVSSVQGGEPAATMQPQAPIRKHPMQQQQQPMPMPPPPPSVSATSNAPSQPRFSHPQRQGHLNPAATPLSHPQSSQVQKAPSLAPHHPTSQQPPFHHLDMPASSTQLQQQQPMHSGGSHLAQQQPRPYHHQFGPSQTGPNAGFQHHVAPPQHHSQPMFHSGNRPPASGGPQFPQGQPHLPSQPPYQGGGQFRGDYNNNQLGGPMAAERGPWMASQPESSNITHLPGLGPAPPPSQVGPGGGPPPRPAPISADMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQILRQ >A06g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4016762:4018526:1 gene:A06g501120.1_BraROA transcript:A06g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKPFLIIIKKKKIQYLHISLDQPLSLTRFSDPEKNHWNDTRIRNKAKMVKMTLIARVTDGLPLAEGLDDGRDLPDSDMYKQQVKSLFKNLSRENFSYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGPNIVTAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRVYADKAKDLNRQALIRKWAPVAIVLGVVFLLFWVKNKLW >A04p035780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20808358:20810188:-1 gene:A04p035780.1_BraROA transcript:A04p035780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSPVKADNFSYNDKSSYLSGLSMWSRTSSSVRAAARKTEEEILELTSGKSFTFNELKLATRNFRPDSVVGEGGFGSVFKGWLDETSLTPTKPGTGLVIAVKKLNQEGLQGHHEWLTEINYLGELSHPNLVKLVGYCLEDEHHLLVYEFMQNGSLENHLFRRGSHFKPLTWSLRVKVALDAAKGLAFLHSDPVKVIYRDFKASNILLDADYNGKLSDFGLARDGPMGDLSYVSTRVMGTYGYAAPEYMSSGHLNARSDVYSFGVVLLEILTGKEALDYNRPAEEEDLVEWARPYLTSKRKVLRIVDPRLDTQYLPEEAVRMASIAVLCISFEPKSRPTMDQVVRALQQLQDNLGKPTQTDPVKDGKKHKTRAKLPEV >A02p019990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9301568:9302031:1 gene:A02p019990.1_BraROA transcript:A02p019990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPQVPPVHIDDPQVPPVTSKVSSIPLLGCIIDFLVHLCTFSSTTFSDKQEKKFIDVAVEENRAAHKHFRQHNSYYVKFSIWSKKSCQPLPGISQLCLYES >A07p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25080066:25086359:-1 gene:A07p046480.1_BraROA transcript:A07p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHIIAAKRNMELRKQEYSLTKKCSSHQMMDHKALRSSSCTDEAIMISDDEVKETLELNKNKKQRLGSWWDEPGAFNGLNSVVNGLPKSKDAASLVGSSSLPKSKAKKYERALALVQRGKLFDFSDDESHNGGNNKTNQDPLLGSYQTKHNMSHVDDDCFTFCSINDLIIKDTKKGNERSLSSSHHDNNFIDSCEDEILYESSGCSNKGNQHVSLDEFIALSEDKILGESSGSNNNVYRYVTLEELGVSVEDLKSTPWEAFDPTWETRSETMDPWLGGYVKDMLSSTD >A06g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22986468:22987703:-1 gene:A06g508150.1_BraROA transcript:A06g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCFTWEVEATMDFVRHESSILHHVHKNSVNHGFITAARANHWAMHHVYKTTDHPFVIRFIPTTTVSKVPLLTSPQLLELWSVFSLTRKPSSNILTKFYVDTTIPAIETFTESSNGKQEEVVEMAFGMCNNQPFIWVVRPGSIIGSDG >A03p041770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17439358:17446143:-1 gene:A03p041770.1_BraROA transcript:A03p041770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVEEMDEKRVVVDKAYLYEEDKPLTVCKTSLFYTGDGFAAYDCHGDIIFRVDSYGPDTRDNDEFVLMDVAGKCLLTVKRKRPTLHQRWEGFLGERSDGQKPIFSVRRSSIIGRCTMDVELYDGTGGEEYTIDGDFSQRSCLIYDTSKRTVAEIKRKVDASTSVMLGRDVFTLDIRPGFDGAFVMGLVLVLDQINGDDPVEIGDEQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGRGVEHLLAVREENAYTLRVQQIDGWKFFVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVSRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLIDDLQTTYVR >A05p042770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25833411:25835226:1 gene:A05p042770.1_BraROA transcript:A05p042770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 88A1 [Source:Projected from Arabidopsis thaliana (AT3G16520) UniProtKB/Swiss-Prot;Acc:Q9LK73] MEKQEAIVLYPSPPIGHLVSMVELGKLILSQNPSLSIHIILVPPPYQPESTTTYISSVSTSFPSITFHRLPTVTPYSSTTSQSHEALILEIICFSNPNVHRTLFSISQTFNLRAMIIDFFCTAVLDVVAGDFTFPVYYFFTSGAACLASFFHLPTLDETTAGKNLKDVHTLLNIPGVPPIKGSDMPTRVLDRNDEVYDAFISFSKQLLNSSGIIINTFEALENRAIKAITEELCFRNIYPIGPLIVKTRTGDNKNADSCLNWLDSQPEQSVVFLCFGSLGLFSQEQLKEIAIGLERSGQRFLWVVRNPPELQNQTEPDLEALLPDGFLNRTGNRGMVVKSWAPQVPVLNHKAIGGFVTHCGWNSILEAVCAGVPMVAWPLYAEQRFNRVVIVDEIKIAISMNESETGFVSSMEVEKRVREVVEEGQVRERTKAMKNAAESALVETGSSRHALTALLESWSPKQT >A02p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2400581:2405187:1 gene:A02p005500.1_BraROA transcript:A02p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPGEKELARSRVNKGVLYTSIHRSKMVEQTQQRSIFQKAASGQLMRSGVSQDIHGYASGFQRRATYGNYSNAAFQYPLAATSRIVATTTASPVFVQAPSEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPLLPADLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDKLQLLLLGKKYGSGSVSTLLLFLLPFCFFLFTYNLLNLIIHNRSGVINLDASPLLDPLPHRKAKTSSPLLFHVAVTASDSLYNKWQCRIMYYWYEQKKVLPRSDMGGFTRILHSGNPDNLMDEIPTFVVDPLPTGLDRGYVVLNRPWAFVQWLERATIKEDYVLMAEPDHILVNPLPNLAAGGSPAAFPFFYITPQKFKNIVRKYYPVEMGPVTNIDPIGSSPVIISKVSLEKIAPTWMNLSLTMKHDPDTDKAFGWVLEMYGYAIASALHGVRHMLRRDLMLQPPWDLSTKAMFIIHYTYACDYNMKGELTYGKIGEWRFGKRLYLRGPPPRNISMPPPGVPESVVTLVKMLNEATSNIPNWDTL >A06p012970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5882530:5884143:1 gene:A06p012970.1_BraROA transcript:A06p012970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHVLKEKLYKPFKKEKQREKESQKDKCVKNGKESREGGLTRTEHSRAVSSCLKRRLDAYKKAREPYRQSHIHARVSNNLFIFTVSYVTSLRPPPSLSLLFSLSFLRRVCKMLKERQVLPDSSNKNRVSPYPLRSCRSKKQKEAESPLEPENVSEWEDVRCVICMEPPHNAVLLQCSSFSKGCRAYMCDTSARHSNCFKQYRRNKKNASRCSSGKTLSCPYCRGEVDGTVKSTSARRFMNAKPRCCSMDKCEFSGTYSQLKTHLKAAHPGFTPPKMEPWEHLHMWEEVERAEFIEMINARQRWEAEQRLLAEHHYQVPHHHHHPMIDLNLDAFMHDVFVGVRGQASAPSYPAYMSQLDFHGAMYPRWTP >A09p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:248424:249657:-1 gene:A09p000400.1_BraROA transcript:A09p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRAPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQPTPYQTLGGGANTVAPGYSKGSGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWIFWVGPFIGAALAALYHTIVIRAIPFKSKN >A01p059020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33710388:33712150:-1 gene:A01p059020.1_BraROA transcript:A01p059020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPWSAIASSPSPPYSSRCFFTSPNSCLSLTRRANLNSSIKPLRHIGFDSKHHTLITKRRVHGDSIVRRSTTSSKNAEEIESSVECVGMGSDVECVYTGGEEDEEEENRSSGILSGGDGSFLEWAVLISPFFFWGTAMVAMKEVLPITGPFFVAAFRLIPAGLLLVAFAVYRGRPLPKGFDAWLSIALFALVDATCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAVLASFLFGESIGIVRAGGLLLGVAGLLLLEVPSVTSDGNSFSLWGSGEWWMLLAAQSMAIGTVMVRWVSKYSDPIMATGWHMVIGGLPLLAISVINNDPVFNGSLQELSTNDIIALLYTSIFGSAVSYGVYFYSATKGSLTKLSSLTFLTPMFASIFGYLYLDETFSSLQLVGAAVTLVAIYLVNFPEGND >A09p078790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58178470:58180773:-1 gene:A09p078790.1_BraROA transcript:A09p078790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVTAAQAERFFTKLIEKEGDSQSNDPVAYDNGVTYMFVQHSNIYLMIASRQNCNAASLISFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEARILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLQFKKNEVFLDVIESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQYAFLLCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRVEMLVKARSQFKERSTATNVEIELPVPTDASNPTVRTSLGSAAYAPEKDALVWKIKSFPGNKEYMLRAEFHLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLM >A03p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14449774:14451474:-1 gene:A03p034200.1_BraROA transcript:A03p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucuronokinase G [Source:Projected from Arabidopsis thaliana (AT3G01640) UniProtKB/TrEMBL;Acc:A0A1I9LRK4] MDQDLKSTTGTAAIEHRSFARIGFLGNPSDVYFGRTISFTIGNFWASVKLEPSEHVIIKPHQFHDLVQFNSLDHLLNRLENEGYYGGVRLLMAICKVFRNYCKDNAIQLHQRNFTLSYDTNIPRQTGLSGSSAIVSAALSCLLDFYNVRHLIKLQVRPNLVLNAEKELGIVAGLQDRVAQVYGGLVHMDFSKEHMDKLGHGIYTPMDITLLPPLHLIYAENPSDSGKVHSRVRQRWLDGDELIITSMEEVGKLAEEGRTALLEKDHSKLVQLMNRNFDLRRKMFGDECLGAMNIEMVEVARRVGAASKFTGSGGAVVVFCPEGPSQVKLLEEECKKSGFILEPVKIAPSCLNDSDIQSLG >A03p031850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13464277:13466553:-1 gene:A03p031850.1_BraROA transcript:A03p031850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPKGGGFKRGGKKGSTDADPFFEQETKKRRKVSYDDEDIESIDSDAEENGFHGEDRKGAAEEEEDDVQEPLSSDEFSIIVKHRKSVVSVALSDDDTRGFSASKDGTILHWDVSSGKSDKYKWPSDEVLKSHGMKVREPRSKKHSRESLALAVSSDGRYLATGGVDRHVHIWDVRTREHVQAFPGHRNTVSCLCFRHGTAELYSGSFDRSVKAWNVEDKAFVQDSFGHQDEILAIDALRKERALTVGRDRTMLLHKMPETSRTIYRAPASSLESCCFISDTEYLSGSDNGTVALWGMLKKKPVFLLKNAHSIVADGTTTNENGDHDYVNSSTTNSWVSSVAVCRGSDLAASGAGSGFVHLMAVEAGAIRPLFKLPLTGFVNSMAFAKSGKFLIAGVGQETRFGRWGCIKSAQNGVAIHPLRLS >A09g500990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3441361:3441726:1 gene:A09g500990.1_BraROA transcript:A09g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKKMMKRPIEEVYGCDAAEGFKKGKKETVEHYRALLRLSNEYRLSENDWNLASSKANSIAVQIELLEDIIKADGKFDLTAELEKLKEEHSEAEGMLADVKVKVPDWDKLGESWLRHE >A02p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4589227:4594891:1 gene:A02p010600.1_BraROA transcript:A02p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLPLYPVTEAKPNTTLVSHRRREEAAGSRKPPPKPNRRNLSLSLAVALASRVYSPSRLRRLLLAKDMGSDEDKALPPPPPALPPNLVPEVEPIKKNTLLPMARRGTGSKGQRIPLLTNHFKVNFNNASGQFFHYSVMLPVSSSNVAITYEDGRPVEAKGIGRKILDEVQQTYKTDLGSKYFAYDGEKTLFTVGPLPSNKLDFSVVLEDAPSSRNNTGNGSPNESNDADRKRSKRPNQSKKFMVEISYAAKIPMHAIAAALQGKETDSLQDAIRVLDVILRQSAARQGCLLVRQSFFHNDAQNFVPIGAGVVGVRGFHSSFRTTQGGLSLNIDTSTTMVVQPGPVVDFLLANQNVKDPYSVDWNKARRVLKNLRVKVAPSNREYKISGLSESRCKEQMFSRNSKNDMGEVVEHQTTVFEYFTEFRNIQLQYSGDFPCINVGKPKRPTYIPIEHCELVSLQRYTKSLTNLQRASLVEKSRQKPLERMTSLTTGLKNSNYNADLVLQESGVSIGSSFTHVEGRILQAPKLRVGNGEDFQPRNGRWNFNNKKLVEPTTVTRWAVVNFSARCDTNRLIPDLIRCGNMKGINVEPPYEVVFQENAQFRSAPPHIRVEKMFEQIQSKLPGKPKFLLCILAERKNSNVYGPWKKKNLAELGIVTQCIAPTARINDQYLTNVLLKINAKLGGLNSVLTMERSQAMPSVTQVPTIIVGMDVSHGSPGQSDVPSVAAVVSSRQWPLISKYRACVRTQSRKVEMIDNLFKLVPGKEEKMVDEGIFRELLVDFYSSSQKRKPEHIIIFRDGVSESQFNQVLNIELDQMMQACKFLDEKWNPKFTVIIAQKNHHTKFFQDKGPDNVPPGTIIDSKICHPRNNDFYLCAHAGMIGTTRPTHYHVLYDEINFTTDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQMGTVMKFEDMSLSETSSSHGGITTPGAVPVPPMPKLNPDVASSMFFC >A07p047860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25607383:25614513:-1 gene:A07p047860.1_BraROA transcript:A07p047860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKQLSPIGGSSVPVPNRMLRLLKKATSISDLCPGSVEADGEDLEKDGIEEDEMRSDLEGLGVEDGVHARKALDFDSVPEISPPIEDLGEKTEEVISEMETREETKVSESSVPEIDPASEEMCEEGEEEIPEMETRVSESSVPEIEPAIEELGEKSEEEIPEMETREETRVSESSEAEESGEKGDEEVVEMETEEEIINVWGSKGVRKKRSVVLEASDNEGKETKRSKKKTVDFDELPASMNMTKKERREYLDQLRAENQRLLRETRDAAFEPVPLVRKPISSVLEKIRRRKAEISKQFLSRKKSKSKDIDDGPYGEDVNDFEEVVIEEENKDVNLEFTSKQNPQGGDCLEDSAGPVGKSDSPSNKESESNSTHQDPSLPSQTTNFGEELQEKTSTRSVEEVMTPPSVPANNLKRNPSPAPDNSEEAEYTKESSDHETLDSSAGDPVRKFIDEVAEEEDDSDNDLLRFEDDDDEDEDEEDDDLRDMIASQFKEDPSDKYKRNELHQKWLEQQDAAGTEKLLHKLKRGLQQDETSLFEDEDDNADDENMAEDEEVTKPEASEDENEEDPSHATSMRMRIKKIKEMIPLMFTDKDDVYVSSDDEETEKKLMQQRLYKKRLEQKAKLSSSTGNEHSEEILRHIKKPEIGKKAKTTSFKDRALMGINKNPAASKSSFLGKLTKSSISEGSRKRGSNVVRGYIFERDDSNSKSSNSVPEEPSVPETIVQEKSRPRRAPAKFTASQSQERSTTSQASAAEEEKSTRQRTTLYEILKMSSNKTSFTSGETVISSSHTESIFAAFKLDTKPVKTNPQLAQNVLDYQVSFSTVDLIPGSTRRPYREGIDAIPEKALKTPTLQPRSGRQRTGLMSQLYTNRILSQPAQTQQLHLTGPRYRLFTGNSSEVTRLTTEAKSKPIPSGDNPHEFVHRVAPHYHNWSRAYGKTFIYWFGTKPVVATSDPKLIREALKSKSFDRIGHNPLSKLLYAQGLPGLRGDQWAFHRRIAVQAFTMDKVKRWVPQMVASTLMFIEKWEEMRNGEEEVELDVHKEIHSLSAHMLSRTAFGNNVEEGKRIFALQERMMRLFYLVRWSIYIPGYRFLPSKTNREIWRIEKQIRGSILGLIEKNKTRDAEIAGTLLQAFMSPYVNQNGQEERLGIEEVIDECKTFYFAAKETTGDLMTWVLILLAMHQEWQSIAREEVIRVLGPNGLPTPDILQDLKTLGMIINETLRLYPPAMTLNRDTLKKAKLGSLEIPKGTHLYLSVVAMHHDRDAWGDDAEEFNPGRFEVTRKESALLVPFGLGVRTCVGQNLAVVEAKTVLATILRHYSFKLSPSYVHAPVLFVTLQPQKGAHLLFSRI >A05p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9308687:9311706:1 gene:A05p019890.1_BraROA transcript:A05p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGVNKTLLISTFSFLKNLFSLIITIPILFLSKVLHITDVFRSKSSKSCLHGREELESQETKTNLDYEEEEEEEEEGLIEITLARETKEGWMSKEEYYQEMTIMDIWEELEAEENLIEIDISIQSTVNNTRPLRCVSCKGFADAIFDLSSLEFSWEVPDEDDILRHCFSHFFLTKQLCLGLQPRLRVAMAMEVAVFVETNLGTRIAMTVSLDITSPDFKRKLEETHASCLPTLGEIRVHALMVHRKSQFYYIAQSVPIKFIFRDNHSKPWFIHAEATLVNRPQEPSISNCFGKSQIVHCSRSNKSPQGVVGLIPAVNKKTKKREFFGSETIVRSSLSTARNSKCFIPKTPERETGETASKKSETISNKLIVAANNIRMQGKSSMSCSLSSSIFKSKNRRKRCIDAKTLASLAKFMVFEIPDTED >A08p001430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:852671:853045:-1 gene:A08p001430.1_BraROA transcript:A08p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVPATSVFRWSRSRRKIHIRRRKTQVVRLGGKNNVVSRGGFSLKKMVRRMKLKWLRLHYVRLVKKMKGFYCNLVKEFVDAGVELEAIQTQMAVEAAAFAIPGLGLSFSSLSAHDRARYFLV >A02p057580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34598612:34600845:-1 gene:A02p057580.1_BraROA transcript:A02p057580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLLWVVVLIVVVSCLVWTLEASEGDADPLYKSCVDQCQKTGCVGDTCFHQCKFFSADGKANDGPWYMQEPLYLRWKQWDCQSDCQYECMMTREEERKRDGEKPTKYFGKWPLKHVYGIQEPVSAAFAALDLAIQFHGWVSYFILVYYHLPLQPNRKTYYEYNGLLHIYAIIVMNALFWSGVCHSRDVDLTQRLDYSSATVLAGYTLVLAVIRSFSIHDQSAKVMVTAPVLALVATHIIYLNFYNLDEGLHRKVIYGIGGVELVVWGLWSVLTSHPSKWKLRAFFVSCILTTCLRMLDFPPYKGYVDAHALWRAAGIPLSYLWWSFIRDDAVFRTTVLLKKSK >A03p033100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14009983:14011513:-1 gene:A03p033100.1_BraROA transcript:A03p033100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein P-II homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) UniProtKB/Swiss-Prot;Acc:Q9ZST4] MSASIAKPISATPLRFHFNRKNSISFSDCISISSGFRHSPPSSLDLVAKPPTKARVSHLVRAQGSYDYIPDSKFYKVEAIVRPWRIQHVSSALLKIGIRGVTVSDVRGFGAQGGSKERHGGSEFSEDKFVAKVKMEIVVKKEQVESVINTIIDGARTGEIGDGKIFVLPVSDVIRVRTGERGEQAEKMTGDMLSSS >A05p039310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24024334:24025655:-1 gene:A05p039310.1_BraROA transcript:A05p039310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKSKGRQKIEMVKMKNENNLQVTFSKRRTGLFKKASELCTLCGAEIVVIVFSPGKKVFSFGHPNVDCVIDRFANINPPNPRQHTDIQLSEARRNAIVQDLNNHLTQVTEEFEIEKKRTEDLKQKRKNSNMRENWWEEPIEELNLSQLTEFKCGLEKLRKTVTTEACKNFQAIVPRHNFYGGSSNNSTFGICDDHTDNIDTDLDLYNHQRMVATNTFACNQHNMMVPYHITSPFGNIANSNIIEGFAPEYNQNPNQFCFKQEQMSECDQHSAHPPRFGHGYY >A01g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17014119:17020534:1 gene:A01g505720.1_BraROA transcript:A01g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLPSPFGVITGLELHWMGDGSAGTKETENNAIWWFSRRTVLMTVPDSGATRVIVPSECSSGRDFLGNYNHYEICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTCFIQSLVFCEHIGLDLYCLLSHRDPNESLSIKIDQHRGQFQDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTLKVVPEKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVIVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRLHLSHHREEPPEPLFYFIYTSKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRL >A09p058050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49041576:49044228:-1 gene:A09p058050.1_BraROA transcript:A09p058050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVEQALMPEAANVVKQAMVLARRRGHAQVTPLHVASTMLSAPTGLLRTACLQSHTHPLQCRALELCFNVALNRLPTSTGSPMLGVPTSPFPSISNALGAAFKRAQAHQRRGSSEGQQQPIIAVKIEVEQLIISILDDPSVSRVMREAGFSSPQVKSKVEQAVSLEVCSKTISSNKLMLTPASNEDVMTVIDSLVDKKRRNFVIVGECLATADKVVRAVMEKVDKKDVPEALKDVKFITLSFSSLGQPSRLDVDRKLEELKTLVKSCVGKGVILNLGDLNWFVESRTNNTNNYGVVEHMVMEIGKLARGLLMGEHGRFWLLGLASSETYVRCKFGQPSLESLWCLSTLTIPTTSSSLRLSLVSDSELEVKESENLPLQLHGLEEQINLCEECSVKFEAEARFLQCNNSNVTSPGLPAWLQQYKKDNQNSHTDSDSLKELVAKWSTICDSNHKKPSLETLTLSSPTSSFSSSIQPLSTLHHLDWPVIETNKYHPQDSVTPDASHLRLFIPEHDEQKTDYLSSFFERWNGGGTCLFQEDIISEIAKTVLKCRSGSTTRKINGNNDIKEDTWMLFQGLDVEAKEKIARELAKLVFGSNDSFVSICLSSFSSKRSDTTEDVRNKRSRDEHYWSYIERFSEAVSSDPKRVFLVEDIEQADYLSQMGFKRAIERGRVCNSSGEEASLRDAIVILSCERFSSRSRDCSPAVNQKSDGSDQSEDKNVVTCVALDLNLSLDDDDCDEIGLLEAVDARFHFECSST >A04p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11669786:11670477:-1 gene:A04p019470.1_BraROA transcript:A04p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTTAFSQASQLENKKRFLNCLENNPYVVSYYGKKITLDKKSKKMLYNMILEYCRGQCFAKKIKRHKGIGLPEEDVKRFALDILIAKISGFGKTMEKGSSEYGDGWGYRRGTTQFMSPELTRDKILDYGADVWAFGCTVFEMLTGELVWSEHGKLVWEDWITLIGVSDMVLYVHNSPLCKQILCDFSSLLMGYSSI >A08p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23565776:23567633:1 gene:A08p041850.1_BraROA transcript:A08p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTSMSSTVPPLLFFLFIVIFNLSISAKSWPLSQENKIQEQDKDPFVGFNIGTDVSSLLPPPDLVKFLQTQKINHIRLYDADPELLKALAKTKIRVIISVPNNQLLAIGSSNATAASWIGRNVVAYYPETLITAISVGDEVLTTVPSSAPLLLPAIESLYNALVASNLHTQIKVSTPHAASIMMDTFPPSQAYFNQTWLSVISPLLRFLSKTGSPLMMNLYPYYVYMQNKGVVPLDNCLFEPLTPAKEMVDPNTLLHYTNVLDAMVDAAYVSMKNLNISDVAVLVTETGWPSQGDKMEPHATIDNADTYTSNLIKHVFDRTGTPMRPEVTPSVYIYELFNEDKRAPPVSEASWGLFYGNTTPVYLLHVSGSGAFLANDTTNKTYCVAMDGVDVKTLQAALDWACGPGRANCSEIQPGESCYQPNNVKGHASFAFNSYYQQEGRASGSCDFKGVAMITTTDPSHGSCIFPGSKKVGNQTQTVVNSTQVAAGETSSRSLLSRGFCASIMILVTCVLLPFW >A01p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2212516:2217782:-1 gene:A01p005190.1_BraROA transcript:A01p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGEWTVTGGGVVSYKRITLLVCSFNILIALFVLRFLYASSLHFYPNHHNAVSYTSDEIRKMEESTRIRRSKHPSELVRLVKKLRHDVATSESSVELSPNVKGKLVDEILERLKRLEEKSNVTLVREAVETWRSEKLKEAKELIQEQNGVNSTLIVEEAGMLVRALELEWDALSEEIGFWLPAEVHNEEHDDKPEGEEEPEEILAGRPVPAVCNVELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRAKPGEMRCNIWVYCPSEFGCFSPDIYEHKHQECWLKYAEKPKRTFKDRYSEAYRNNHPKAPTIVPWVSVLKVEVPDQDANIFGQLKEKLVESGKDEEQDDDDDDDDGFSELETIATTGCMRQRDTTEHMVKLPPMEDLKLPEAVSPPPPPPEEPNDSGDVGITEPELQQPQADEANEQQSESNEDSTENEKIYMDDTFLPSGLSSSQAEEAQDYVSEIVLPRVKIKNGAVGAPRVPSRSLSSLRSLGSPRALLSPRFGASSSPLSNGTPNSYRHSIDTASPFESVKEAVSKFGGITDWKAHRMEVLERRKFVEQELEKLEEQIPEYKKKSETVEMSKFLTVEELENTKRLIEELKLNLDKAETEEKQAKQDSDLAKMRVEEMEQGIAGEASVATKAQLEVAQARHTSAISELESVKEELQALESEYDALVKEKEEAVKEAEEAVKASKEVERKVEELTIELIASKESLECAHSSHLEAEEHKIGAIVSRDQETHKWEKELKQAEEELQKLKHHIVSTKELKAKLDFASALLLDLKKELEDYNESSKEKSHADVQTAVASAKKELEEVNVNIEKASSEVNSLKVATSSLRMELEKERSALDSIKKREGMASIAVESLDAEIDITRVEIALVASNAKEAREETVELPKQLHQAAQEADEAKSLAELAREELRKSREEAEKAKAGARTVESRLVAAQKEIEASKASERLALATIKALQESESALKENNVDSPRSVTLTLDEYYELSKRAHEAEEAANAKVAAAVCEIEEAKETEKRNLEKLEEVNKEMDSRKKALAEAIEKAEKAKEGKMSVEQELRKWREEHDVKRKNDDDEVNTEKSHEEESKEKETETNGTETNTTPQAIPGKKKKKLFPRFLMFMMKKKSNKWV >A01p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7266043:7269960:-1 gene:A01p015000.1_BraROA transcript:A01p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDCSNEYEKLVVRMNMPRVVIDNGVCPNSTVVKIDSARSPGILLESVQLLTDMNLWIRKAYISSDGKWNMDVFHVSDLNGNKLTDENLIRYIEKSIETSHYTKSEGYTGLTALELTGTDRVGLLSEVFAVLADLSCDVVEAKAWTHNGRIALMIYVKDRNSGTPLDGDSDRVQRIEGQLHNLLKADDGYKNDTRTCVSYGGNTHMERRLHQRMLMDRDYEKKFDYETSPIVSVQNLPKRGYSVVNLQCKDRLKLLFDVVCTLTDMAYIVFHAAIRTVGETAFLEFYVRHSDGNPVNSEPERQRLIKCLQAAIERRTVKGVRLELCTADRPGLLAEVTRILRENGSNIARAEISTKDGIAKNVFYVTDANGNLIDPEIIESIREKIGINDLSVKEQFPISCREKVEKEQQQEPQNHQGHNGGGTVLVSLGSLVMRNLYQLDSEMVLYLVWGGWQGKFGIVDVRNERGKLVTSLVVGLHVGPIDGSWISPKEKAGIGWVLYNGEAKTVLEGKGAIEMRKLVYNSVTFYGDSSELYDTLSRNLSLGCNNILKGSKCPTYMEDIAILARGEEYNFHFQKIKRSCNVISDKLAKE >A05p002280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:760443:761618:-1 gene:A05p002280.1_BraROA transcript:A05p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVRPWFRLSSIARPTSQASSDPPPPPQPRQTTRRPVVVRTPARQPSPPRQQQPPSPPRQRPPPPRQQTPPLPPRQQTPPSPPRQRTPPRQRTSLPRQRTPPPPQERSPYHSPPSRHMSPPTPPKAASPSPPPPPPRWSYTPPPPTLKEVQEALPPRKPTSPPSPAHSTRSVVKPESETSRKAPSPRALSPYTLPPPQLHSERETTQKNIVTAEKTNQLQEPNHHNHNPNHNHQGNNTKKTPRQPSYSESENIMRSRVITIAGENKGAVMEILRSPSGNKTGGAGPHPSRGFHGTGEKGRRLQSSSSSSSDEGEGKKKATKNHNANNSNLPMKAFINSNVQMINNSIVYNSTATHHDPGVHLKISRKPGSDNGFHVKDYTYGKNGGGYTN >A08p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15289499:15292147:-1 gene:A08p023220.1_BraROA transcript:A08p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGHTQSHGKDYVDPPPAPFLDMGELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVAPGYSKGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAVKALASFRSSATN >A09p030760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18749363:18751003:-1 gene:A09p030760.1_BraROA transcript:A09p030760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAATVSSSGITSSAFSRSVISSEPKVSQIGSLKLLDRVSLTTPMSLKKRSSVKPLNAEPKRNDSMVPLAATMVAQVAEEVVEAEDFAELAKKLENASPLEIMDKALEMFGNDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYRFFDAVEKHYGIRIEYMFPDSVEVQGLVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFGGLDGGAGSLVKWNPVANVEGSDVWSFLRTMDVPVNTLHATGYVSIGCEPCTRAVLPGQHEREGRWWWEDAKAKECGLHKGNIKENANVNGESKPVVEDIFKSENVVALSRQGIENLVKLENRKEPWIVVLYAPWCPFCQAMEASYDELADKLAGSGVKVAKFRADGEQKEFAKQELQLGSFPTILVFPKNSSRPIKYPSEKRDVDSLTSFLNLVR >A06p035740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19380461:19383083:-1 gene:A06p035740.1_BraROA transcript:A06p035740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPSSLAYINPSSKLSWNHIQQLGSMAMKSVKSSGKSPLALYFNNLIPFKLLRRRTSGVLVIFIPVHVNLGSHVQKVVKELVKAPATNPPAKDPLSASVMVTGAINSGSFCRIDPDMETWLIPKQKLLDIAESKSSWLDMALYFFKGIHGIHQCRIQIKTENQKMLKNVVGHIQSVQGSALNNVIATTRILLSAIFDLIKLALVGNQAYKTQSKLGEAESKAENLKNQAQEPQNHCVGVQKVKEFQEESNNDHNGGRRHYYMELFSLCLQEKLRLLSKKRGGWTEGFDEGKANGGGNSVQFNGNEVHDFVSCGENHPESPEIYSLPDVIKTTNSVSKYNLNFELVDEEDCCYFQATKKHVVQARGGDIFDVYRKKRFN >A05g502480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7724875:7725270:1 gene:A05g502480.1_BraROA transcript:A05g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQREVARHLGSRRQESALGATSRSDPARSLPKPGATCRSDEPRSLRAYYLLDFYFT >A08p028790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17921624:17924596:1 gene:A08p028790.1_BraROA transcript:A08p028790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKIEIKRIEDVTSRHVTFSKRRKGLLKKAHELSVLCDAQVAAIVFSQKGRLYDFASSDMQKMIERCEIHRGEYFGAERLQKQQYVQDLKNEMAITMDKIKLLQLHCRKLMGQDLDSCSVEELKEITTKIEKSLTIVRSRKAKLNEDRIEKLKAEIVAEREVLNDKSRLRQMFEEQPLWIQSRSLESEKSAPSCSCGNMNLSDVETDLSIGLPQSRV >A09p078040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57881228:57882645:-1 gene:A09p078040.1_BraROA transcript:A09p078040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIKFPVVDLSKLNGEERDQTMALIDDACQNWGFFELLNHGIPYDLMDNIERMTKEHYKKFMEQKFKEMLRSKGLDTLETEVENVDWESTFFLHHLPQTNLYDIPDMSDEYRAAMKDFGKRLENLAEELLDLLCENLGLEKGYLKKVFRGTNGPTFGTKVSNYPPCPKPEMIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGVWVDVPPLKHSIVINLGDQLEVITNGKYKSIMHRVMTQKEGNRMSIASFYNPGSDAEISPAPSLVEKCSDEYPSFVFDDYMKLYAGVKFQPKEPRFEAMKNVAATTDLNPVATVETF >A06g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23452287:23452861:1 gene:A06g508360.1_BraROA transcript:A06g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGSSSAAAAAGVVPKSAMDAVKQALAHLEELKPQLEEMLSLAQPEVLAQMQPLKRAKVMYMLAEATTTIFNLRLRCTGVNPDDHRVKSEIERLDVYKEKLQRCMDRSKGKHPVPY >A04p039560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22393555:22397354:-1 gene:A04p039560.1_BraROA transcript:A04p039560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ARABIDILLO 1 [Source:Projected from Arabidopsis thaliana (AT2G44900) UniProtKB/Swiss-Prot;Acc:O22161] MSRRVRRKLEDKGKDKIVLLDWTSLDYDAVLHLFTCLNHRDRASLASTCKTWRALGASSCLWTSLDLRPHKLNASTATSLASRCVHLRSLRFRGVESADSIINLKARSLLEVSGDYCRKITDATLAMIAARHEGLESLALGPDFCEKITSDAVEVVAFCCPKLKKLRLSGLRDVSSEAIEALAKNCTQLTDLGLLDCLSVDEDAVGKVVSLKYLSVAGTSNIKWSVASSKWDKLPKLIGLDVSRTDVGPGAVSRLLTSSKSLKVLCAINCRVLEEDTSFMSSNRFKGKVLLALFTNVFEGVASLFADTTKKKPKEIFTYWRGLKDKAPVDETMRWIEWIVSHTLLRTAESNPQGLDEFWLKQGAALLLTLMQSSQEDVQERSATGLATFVVIDDENASIDCRRAEAVMKEGGIRLLLELANSWREGLQSEAAKAIANLSVNANVAKTVADEGGIRILAGLAKSMNRLVAEEAAGGLWNLSVGEEHKSAIAQAGGVKALVDLIFRWPNATAGGVQALVMLARNCKYEGVQEQAARALANLAAHGDTNNNNAAVGQEAGALEALVQLTQSPNEGVRQEAAGALWNLSFDDKNRELIAAAGGVEALVTLAQSCSNASTGLQERAAGALWGLSVSEANSIAIGREGGVPPLIALARSEAEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVHLCSSSASKMARFMAALALAYMFDGRMDEYALMIGTSSSASTSKTISLDGARRMAFKHIEAFVTTFMDPQIFVAAAVTSTPTILSQVTERACILEAGHLRCSGAEIGRFVTMLRNPSSILKACAAFALVQFTVPGGRHAMHHASLMQSGGEARVLRSAAAAANMPREAKIFVKIIIRNLEHHQAESSIQ >A07p010720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6727206:6728340:1 gene:A07p010720.1_BraROA transcript:A07p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDQKFAERKNQRVSPPTPIVQPTTPTKRVLITTLLAGVIGGGAGLVSKRRKAYPNIPTIYATNFAIVAGCYCGAREFVRITRRSEHDDVLNSTIGGLFSGALLGRLQGGPYGALRYSVIFAAMGTASAYAGHKAKAMLENYRNKDSIKLPEWFPIQILDDEALAKKKAQEQKIFADRSFGRLNKEET >A05g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25188979:25190180:-1 gene:A05g508610.1_BraROA transcript:A05g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNARIEVWRFGGKKDFSLWKTRMLPHLSVLDLKDILEESLSPYASAIRNDEDEDVYMERLEHGTGKARNVLELMLRKEGTDRTRTYNIYLRALCVVDNPIEILNVLMGRVDDAMKVLGDMMTGKFCAPDAVTQVLAEEALDVLDRVMPENGLKPIVVTYNAVMRGLFKLRKGVDAMCVFDQMAKAGVDTAKRFWDDVIWPSGRHDAFLKGLCRFGSLSDACHFLYELADSGAVPNVVCHNIVIDECSRSGLRREAYQIIEEMRKNGQAPDAVTWRILDKLHDSRALAVEGE >A04p012920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4068280:4069651:-1 gene:A04p012920.1_BraROA transcript:A04p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDVNEALAAAEVPATETTEKQPAHKLERKWSFWFDNQSKPKQGAAWGASLRKAYTFDTVQDFWGLHETIFIPSKLTPNAEIHMFKAGVEPKWEDPECANGGKWTYVVTSNRKPALDKAWLETLMALVGEQFDEADEICGVVASVRPKQDKLSLWTRTKSNEAVLMGIGKKWKEILDVTDKITFTNHDDSRRTRFTV >A09p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2692463:2692923:-1 gene:A09p004870.1_BraROA transcript:A09p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRSTYNFIVVSIIVLFLITGSEARAGINAGSVCPGVCQPGVEPVCSTLCGNLGFPQGYCEGLTCCCNQFPSPPPTPSPLNH >A04p034100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19790528:19792039:1 gene:A04p034100.1_BraROA transcript:A04p034100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRKYNCRVFSCIQSRSSYSRSLNRDLANEATEVERRARALRVLDIISSKTSEASNRQNHLGEFLKTDPRRFRDQTVSEDLDLSRTKHEVSSVLEEVLLEEDSPRTANGGMQQREGWSFDVYGLSSAVRSCGSKREFKTGYGACTGSGALWQGRSVHCQTLQMGFKSYLHISNALITMYCKCGDLNDAFRIFDHFENKDVVSWNSMIAGYAQHGLATQAIEMFEVMMTKSGIKPDAITYLGVLSSCRHAGLVKEGRKFFNSMGENGMKPQLNHYSCLVDLLGRLGMLQEALDLIENMPMEPNAVIWGSLLFSCRVHGDVWMGIRAAEERLLLEPECAATHVQLANLYASVQYYKEAAMVRKVMKDKGLRTNPGCSWIEIDNDVFMFRAEDGSNCRMVEIVHVLRCLVDHMEFF >A02g502510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8167908:8168222:1 gene:A02g502510.1_BraROA transcript:A02g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVKFLVIVMTISMALCPALVQCRQIKCDWLSGNCIKGGTEDITKMISYIGVSHRILQGTRYINYDALKHNVPAKQHGQKDRPDNSYRRGCTLATGCYRLTN >A07p002330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3918145:3918483:1 gene:A07p002330.1_BraROA transcript:A07p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTSSQASRQLWDSEFHDFFSKSGFHGINKVHGIQGFHHGTHGIPKFPGTGNFMSGVHTCSMQGPCKGKKLKCPETCYKSTNINKEGYKSTSKSGGCSFDCTTKCSATCSS >A01p011840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5737104:5739566:-1 gene:A01p011840.1_BraROA transcript:A01p011840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMVLNVGGSAAERDARMAHYAMAFVQLFNGGYHVITKVALNVGVNQLVFCVCRDLLALSILAPLAYFRERFRLFLVKFCAFVGLYALFKLTVIFITEELDFRFGAMRTMAMSKTFYASMARRTRPPMTRSLLLSFFFLGLSGVFGNQLLFLVGLTYTNPTYAAAIQPSIPVFTFLLAVMMGTERVNLMRIEGQTKVGGTLVCVLGAVFMVLFRGPVLLGDKDADFAMHNEISAKGQPEPTGWLVTGFLDLGFEQWHIGVLCLIGNCMCMATFIAIQAPVLKKYPANLSVAALSYFSGTVLMVTTAFFMVKEPLDWKLTQAEVLAVIYAGVVASALNFGMLTWSNKIIGPALVALYNPLQPAASAFLSRIFLGSPIFLGSIVGGFFIILGLYMVTWASFRERKAMASGIVIPSHSARTSEPLKKNPVVSRIGQLFSGLGSSSMKSAD >A06p056540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29433886:29437016:1 gene:A06p056540.1_BraROA transcript:A06p056540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKTFGGQWRFNPAFKPPETPLDSMEFLSRTWSASATEVSRAVVASPTSQPPQMCFSEIQGVSDVTLVPEDEENGIVSGNTFSFASSETSLMVMERIMAQSPEISSPRTSGRLSHSSFTDSPPISPSEINDFKQFYRVSPSFNGHVRGPSAVSGVAGGSKTVGRWLKDRREKKREETRAQNAQLHAAVSVAGVAAAIAAIAVATASQSSSGSDEQVAKTDSAVASAATLVAAQCVEAAEIMGADREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARTLKEVWNIAAVIPVDKGIPKSRVGGGGGYRSDLAPEDNFLGICSRELLAKGSELLKRTRKGDLHWKVVSVYINRTNQVTLKMKSKHVAGTLTKKKKNVVVELVKGLPAWPGRELLDDGEDLRYFGLKTVEKRVIEFECKNQREYDLWTQGVSMLLSVASDRRHKC >A08p024420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15897643:15902933:-1 gene:A08p024420.1_BraROA transcript:A08p024420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEVTADTPQPPPSSTAAGTASSNAAPLGSSVIPIVNKLQDIFAQLGSQSSIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNDICTRRPLVLQLLQTKSKSNGGSDEEWGEFLHLPNNRRIYDFSEIRREIEAETNRLAGDNKGVSAKQIRLKIYSPNVLDITLVDLPGITKLDIMDKGTDASNLLLGKIVPLRLGYVGVVNRCQEDIMLNRSVKEALSAEEKFFRSRPAYHGLADRLGIPQLAKKLNQILVQHIKVLLPDLKSRISNALVATAKEHQSYGEITESKGGQGAVLLNFLSKYCEAYSSLLEGKGEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPDVPFQVLVKRQISRLLDPSLQCARFIFDELVKISHKCMMSELQRFPVLRKRMDEVIGDFLRDGLEPSEAMIGDIIDMEMDYINTSHPNFIGGTKAVEEAMQHVKSSRIPHSVSRPKDTAEPDRTSSSSASQVKSRSFLGRQANGIVADQGVVSADSEKAAPAEFNCGFIGQLIFDIANGSDSRWGLPSIFRGSDSRAVTKENFLNKPFSEAVEDMSQNLSMIYLKEPPTVLRPSETHSEQQAVEIQITKLLLKSYYDIVRKNIEDSVNHTKRELHNVFIRKLYRENLFEEMLQETDEIAVKRKRTQATLHVLQQAYRTLDELPLEAESVHNHGTDTTGVSKYLDLPTSSSKYTTSSSSYTASPGTGRRSRRAVDQHQNGYGF >A03p012860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5090503:5093552:-1 gene:A03p012860.1_BraROA transcript:A03p012860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPPGKDYSVQKMILGTHTSENEPNYLMIAQVQLPLDDTESEARQYDDERSEFGGFGCATGKVQIIQQINHEGEVNRARYMPQNPFVIATKTVNAEVYVFDYSKHPSKPPLDGACNPDLRLRGHSSEGYGLSWSKFKAGHLLSGSDDAQICLWDINATPKNKVIDAQQIFKAHEGVVEDVAWHMSHEHLFGSVGDDQYLLIWDLRSPSASKPIQSVVAHSMEVNCLAFNPINEWVVATGSTDKTVKLFDLRKLTSALHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTAEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVISSVAEDNILQIWQMAENIYHDEDDAPGEEPIKVKTLKSAMWSVAGALCVAVPNAAAFRPKPFLVSSNLLPNQTKKLHLSPPQPPSLSSHFSSSSFKTAATSVQQQSDDKKAEKSTTKYYFLVANAKFMLDEEEHFQEQLFERLRYYGERDKEQDFWLVIEPKFLDKFPKLTQRLRRPAVALVSTNGPWITFMKLRLDRVLADSFEASSLDEALASSPTTLEFDQPGNWVAPYPKYEPGWWDTFLPKAKQESLA >A10g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10934494:10947219:1 gene:A10g504450.1_BraROA transcript:A10g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDNLSLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMKEPNFQYNNYQQKFYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSVDAMRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRAHFGEVKHVFRSDLWERPSAPAPRFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRAHFGEVKHVFRSDLWERPSAPAP >A08p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16880969:16882764:-1 gene:A08p026530.1_BraROA transcript:A08p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSLPPNHYLSLSLSLVFSFTEKMVEQKRYALFLATLDSEFVKKTYGGYHNVFVETFGDEGEHWDSFRVVEGEFPEEKDLEKYDGFVISGSSHDSFENDPWILRLCEIVKKLDEMKKKVLGICFGHQIIARVRGGTVGRARKGPELKLADITIVKDAITPGSYFGNEIPDSLAIIKLHQDEVLVLPETAKVLAYSDKYEVEMFTIEDHFFCIQGHPEYNRDILFEIVDRVLRLGYITQEMADSAKATLENRGADRKLLETICKIFLKGRVPAN >A07p047230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25390404:25393477:-1 gene:A07p047230.1_BraROA transcript:A07p047230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMFRNRNLVLQSLRRFNLETLISRRLWIPARSTHSKSPPPDSKQTGIFSLAGELASLVEESSPRVDDPKPRSRMELKRSLELRLKKRVKEQYTNGKFNDLLKTVIARPETLRDAYDCIRLNSNVPVTESDGNVAFAFDSMAEELSSGAFDVVSNTFSLVAKDKTKEVLVLPSLALKVVQEAIRIVLEVVFSPYFSKISHSCRSGRGRGSALKYISNNVSRSDWCFALSLSKKVDDSVFESLLSVMEEKVEDCSLSVLLRSMFEARVLNLEFGGFPKGHGLPQEGVLSRVLMNVYLDRFDHEFYRISMRHEALDRDSQSVEDGLGSKLRSWFRRKAGEEDLKSCTEKDVAVRVYCCRFMDEIFFSVSGPEKVAVDIRYEAVDFLRNSLHLEVTDEIDPSPCETAKGIRVLGTFVRKNVRESPAVKAVHKLKEKVRLFALQKEEAWTLGTVRIGKKWLGHGLKKVKESEIRGLADSNSTLSQISCHRKAGMETDHWYKVLLRIWMEDVLRTSADRSEEFILSKHIAEPTIPQELRDAFYKFQTSAAAYVSSETAKVEALLPCPDSHDKPVFFGDVVAPTNAIRRRLFRYGLVTSEGYACTNSMLILQDTAQIIDWFSGLVRRWVIWYGGCSNFDEIKALIDNQVRKSCIRTLASKYRIHENEIERRLDEELSTIPSAEDVEEEIQHEKLDSPAFDRDEHLTYGISNSGLCLLSLARVVSESRPCNCFVSGCSMAAPAVYTLHAMERQKFPGWRTGFSVCIPSSLNGRRIGLCKQHLKDLYLGPVIIVLRLCTAAQFEYPQSLVALAALVLNVTNGASGSSSRASIIVNQLIHIHLLYFLGHHPDSDHFIHKYFLPQDYAILVPVFAGVTLLSLLCVFIGMVMLKSKKKKA >A04p014260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5419539:5419814:-1 gene:A04p014260.1_BraROA transcript:A04p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNNREEDENQIMNKQENHGGNQISTPAASDLKAFTPLTTLLTLDPNDVTPPPAPIFGDAATTMPSEFNPYLSPSPVPKNELFFILITLI >A09p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1312717:1315161:-1 gene:A09p001770.1_BraROA transcript:A09p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 39 [Source:Projected from Arabidopsis thaliana (AT4G02300) UniProtKB/Swiss-Prot;Acc:O81415] MHNPSRKTSKHINLNLLALTFHLIFLIPTVHSRSTQRLAEPNNITELIVATLNQTILNVNVSYTTFYNLQKRLGPNIARRYRCAFEDCLGLLDDTIFDLETAISKLQTSSLGAHDVNMLLSDAMTNQDTCLEGFKTSGIHEKNSDNTYKLTDSLKDSILKISSNLSNSLGMLQKIPGHELSPEAYEVDVEFPSWVLENDKRRLHAPVEKTKFNLMVAQDGTGNFTTINDAVSAAPTSSVTRFMIYIKRGVYFENVEIPKNKTIIMFMGDGIGRTVIKANRRKGNLGTFQTATVGVKGEGFIAKDISFVNFAGPSPQAVALRSGSDHSAFYRCSFEGYQDTLYVYSGKQFYRECDIYGTVDFICGNAAAVFQNCSLFARKPNPGQKIVYTAQSRTCPNQSTGISMINCRFLAAPDLIPVKGSFEAYLGRPWKNFSRTIIMKSFIDDLVVPAGWLEWNGNFALETLHYGEYMNEGPGSNITNRVKWPGYRPILNETEATQFTVGPFIDGGTWLNSTGIPFNTGL >A07p042740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23129536:23131095:-1 gene:A07p042740.1_BraROA transcript:A07p042740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIRFCSCTENFKFSSPVSSIECIFWSPQENGEEEEDEEIELDLSLSLGGRFGVDKSKLARSSSVVGPTMPFFRENHQPETEMRPVETSVAGHMALTRATSLPVETEEEWRRRKEMESLRRMEAKRRRSEKLRARGSGGGNIIKPPEANNDSVLGEGSTPTRRRGRPRLVLPRWSGTANDGGLLRQHSAAHDSLQVSRGSSSSVSEMETKSRQASSEETRSLPLPQQQHQQEAMAMPDNGLRRLSSVDMRIEPPPLGNGKNEMPCVFTRGDGPNGKRVDGILYRYGNGEEVKIMCACHCDILSPADFVKHAGGPHVDHPLRHIIVDTSSLSNLF >A06p044880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24085423:24086092:-1 gene:A06p044880.1_BraROA transcript:A06p044880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDSLFSWASSSSLAYIYGKDKSAHEEFLFVNGKEYPRRIILVDGLSSEIKETIAGCLARALPRVATDLRLPIPISELGKGLESLLETMSLTRAVPSFRVEQWQVIVFVLLDALSVCRIPRIAPYIFNKNKASRI >A09p047470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41268843:41271878:1 gene:A09p047470.1_BraROA transcript:A09p047470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDKECGILDKRTLPFPVFARCPKRTYRNTFSFHTNRKRKKWEIKERTLEGEKEEIMAPNNNRCKWSSIFMLLLSLSLAVSVAVATDKAPLVEDGLVINGDFETSPSSGFPDDGIVDGPSEIPSWKSNGTVELINSGQKQGGMILIVPQGRHAVRLGNDAEISQELTVEKGSVYSVTFSAARTCAQLESINVSVASVNANEGDTLASRDVDLQTLYNVQGWDPYAWAFEAEEDHVRLVFKNPGMEDDPTCGPIIDDIAIKKLFTPDKLKDNAVINGDFEEGPWMFRNTSLGVLLPTNLDEETSSLPGWNVESNRAVRFVDSDHFSVPGGKRAVELLSGKEGIISQMVETKADKPYLLSFSLGHAGDKCKEPLAIMAFAGDQAQNFHYMAQANSTFEKVGLNFTAKADRTRVAFYSVYYNTRTDDMSSLCGPVIDDVRVWFAGSKKIGAGFGFGVSVFVLLVIGLV >A07g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22737548:22739097:-1 gene:A07g508210.1_BraROA transcript:A07g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRNEDNYLDLNNLPDDSYRDGNKQTLEEGSSSGQRKKKGSKEGKDESGKVYECRFCSLKFCKSQALGGHMNRHRQGMETETLNQARQLVYRNDSLTPPGITPFGYQTADPTIYRSVYSSPMLYTGSSSTNLVPQPLQPPYQYSSNQYSPYNNMNDYYFSQSFRGNRSISPNPNLPTTTTVNYMAGGPVESSYTCVGEPIDQTGFSNRGSAIVRAPPLEPPQVRDGDASRQRLDHSLRLPMNRFQDHHSL >A07p047380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25455690:25459350:-1 gene:A07p047380.1_BraROA transcript:A07p047380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATTSSIRSSKNWRTVVLFWTISLTVFYSLFQMGLRNSTPTPSSLSSSSSDPIVTYAEQSTRLYDKMERDLQENGPKFLKQGETSQSLSLSDLFTLKDGSISPILKVANPPVRANVLHLSTEYSVPVSEVVKSVFSPYFENTIWYQDSNMYHFSMFHASHHIVSVPATEDEVEAEAAAVKAVANKLCPLEIVLDRVLLTSTGVLLGCWKVNSGDDPITIRSKLRSVLPRAPEKQLYDAAILHTSLARLLGPPISPTEASWFWRPSPSSSRTGHKTKQPNPRIQGISIRAMVCRRVRSSGACFGRENEGSIVPTWLLKELIFFLTGFCFYFFFLESITQLFSSLSLHLDLLWVVCSSGVRIRFRAKSSFERMGSGAGNFIKVLLRNFDVLAGPVVSLVYPLHASVRAIETQSHADDKQWLTYWVLYSLLTLFELTFAKLIEVPIWSYAKLILTCWLVIPYFSGAAYVYEHFVRPVFVNPQSINIWYVPKKMDIFRKPDDVLTAAEKYIAENGPDAFQKILSRSDKSRRYKQHETMSGEEYQYEEKYKSF >A06p045950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24645226:24646392:1 gene:A06p045950.1_BraROA transcript:A06p045950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WAVE-DAMPENED 2 [Source:Projected from Arabidopsis thaliana (AT5G28646) UniProtKB/Swiss-Prot;Acc:Q84ZT9] MGREVVESVNKDASNGRTHVAPKIAAEEDYEVKECTEEASLSQNHQSPPSVITENDVKKPLEPDNKNSLDEEDESSLASSAATSLRNAKSRAVTHGTAPRFRSAQRAEKRKEYYQKLEEKHQALEAERNELEQRQKEEQEAAIKQLRKNLKFKANPVPNFYYQGPPVKPELKKFPLTRPKSPKLNLSRRKSCGDAINSSGEENPKSVSTQNRHSVGKTELKPVSVNEDSSMEEGVTIT >A01p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19771331:19775099:1 gene:A01p026060.1_BraROA transcript:A01p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLLLVTVASTMFTLLCSKIFSIVYAKDNDVKMIEDVATEVANKLFNSTPSRDFEEFIGMEAHMEKISPVLRTDLDEVRMIGIWGPAGIGKTTIARCLFNQLSDTFQYSVFMMNVKAMYTPPSPEDGFERLVREVTRLAGRLPLGLRVMGSYFRGMLKEEWENALPGLRMCLDGEIESILMFEHLSKRFTDVRQRLNVLAEKSVISLESERVSMHDLLVQLGRDIVRKQSTEPGQRQFLVDNREICEVLADDAAGSRSVIGILFRGDEIYMSERAFEGMSNLQFLRLNVGPDGGGEAFHLFGGPSYLSRKLRLLDWSYFPMTCLHCIPNPELFVELIMYGSKLEKLWDGTKPLRNLKWVDLSDSKNLKDVSSLSTATSLQELDLTGCSSLVELPSSTGNAIHHKKLHLGECSSLVELPSSIGMPFISKRLVELPSCFGNIRNLEELDLSDCSSLVGVPSSIGNTTNLKRLKFSRCSSLVELPASIGNLHKLYSLILKECCKLEVLPVNITDCSLMKSSPEISINIKSMYLSLLMSNTEVQESSPWIKRISRLRQLVVKGCKELLSLLQLPSSLSELDAEDCEGMETLDFSFFNQKIALNFANCFKLNKEARDVIIQTSTNYITMLPGKEMPNYFNYQANGGSLLIKLNERPFPSPMICKACILLVSKDEVEAAKGQRVYVHHRIKQNSLDVPCNRSELVLFRPLTEHLYIFELEADVTSDELCFEFEVEHDEFWVDSDEWMIKECGVHYINTS >A06p025510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14758098:14758765:1 gene:A06p025510.1_BraROA transcript:A06p025510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVMDIFFRSKSHFGKFLRRLSEDFLKNLLMDFMLEDFVGSPRSLLKSYAQNLSQTLEDFSEDSWKTLKRLLEDFLGSLLMYFIREVFRSLLSKVVQKNDVKWISSLYMLRNDI >A09p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36026136:36028945:-1 gene:A09p044080.1_BraROA transcript:A09p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMFSHLAAKFAFFPPSPPTYHLTKNPDGKLSAVSASSSSTFPAAGDSSIDVRVVKTRRGNKVAAFYLRNPNARLTLLYSHGNAADLGQLVDLFVQLKVNLRVNLMGYDYSGYGASTGKPSEYDTYADIEAVYECLQTEYGVGQEDLILYGQSVGSGPTLHLASKLPRLRGVVLHSGILSGLRVLCHVKFKFCCDIYPNVKKIKKVKCPVLVIHGTEDDVVNWLHGNRLWKMAKEPYEPLWIKGGGHCNLEIYPDYIRHLYRFIQDMENTTTKSRLKKIWQEIRRRDESKGCCSFKLCRPKCPSCPKPSCDCGECGCCKCECLSLKGCFSCCKKPSCVGCCCPKFKCCNCFGKPTYPKCSCWKCLKCSESECCRSCCCAGCFSWLCCCGGGKRKELKTRGGETTVAKSEG >A09g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15365783:15366598:1 gene:A09g505060.1_BraROA transcript:A09g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTLNKGYISVIQTAKCCFRFKNPTSSEKPRNFSEYSEAFRKSLGIFRGNSEEIPRNTCFSSEFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMKYMHMIFFFLELMKLFRGNSDGYLSGCRNFLGIFSFNRANKPPNISRKLKLKILRKFRRKISVGP >A10p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22143763:22145166:-1 gene:A10p040060.1_BraROA transcript:A10p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHQLKAVTLTHVRYRRGDQLGHFLAWISLVPVFISLGGFVSHFLFRRELQGIFFGVGLVISQFINEFIKTTVEQARPETCALLEACDSHGWPSSHSQFMFFFATYFSLMGCKGIGFWFGLRSRWILNLLHWCLAVVTMYSRVYLGYHTVVQVFAGAALGVVVGGSWFWVVNSVLYRYFEVIEESALGRMLCVKDTSHIPDVLKFEYDNARAARKNMEGGPKSGKHCLANV >A10p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1969738:1973653:-1 gene:A10p004040.1_BraROA transcript:A10p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSRNNRTLQSNQENHYDEEEVDEQTYTIPSSSDDDDSEFQGEEEEEKDDDDDDDDVPNVHVDLPNPAPAPVRAPAPVPWPPFPRGVKRKSTRVVREKGTLSWEIWEEEDQKWIDQHITEDVDLNQQNTLIAETAEPPHDLIMPLLRYQKEFLAWGSKQEQSVRGGILADEMGMGKTIQAISLVLARRDLDRAKAKEAVGCTLVLCPLVAVSQWLSEIDRFTSPGSTKVLVYHGAKREKNAQELKKYDFVLTTYSTVENEFRKCMMSPKEQCEYCSKSFYPAKLVIHNKYFCGPNAVRTSKQSKQQKKKKISVAASSSKKGKEADEGEGSKTKRGRKKSKKALEDDQLGSVDRKKSLLHSITWNRIILDEAHYIKERRSNTARAVFALEATYRWALSGTPLQNRVGELYSLIRFLQIRPYSYYFCKDCDCRILDYAAHVTCNSCTHNAVRHFCWWNKYVARPITAYGGHELGRRAMVLLKHKVLKDILIRRTKLGRAADLALPPRFITLRRDALDVKEFDYYESLYQNSQSQFNTYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSASSGENANLNGENKKEQECGLCHEPAEDSVVTSCSHVFCKACLIDFSASLGKVSCPTCSKLLTVDWTTKAGTEQHANKATLKGFRASSILNRIKLDDFQTSTKIEALREEIRFMVERDGSAKAIVFSQFTSFLDLIHYTLGKCGVGCAQLVGSMSMAARDAAINRFKEDPDCRVFLMSLKAGGVALNLTVASHVFMMDPWWNPAVEKQAQDRIHRIGQYKPIRVVRFIIENTVEERILKLQKKKELVFEGTVGGSQEAIGKLTAEDMRFLFTT >A01p038930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14823160:14823713:1 gene:A01p038930.1_BraROA transcript:A01p038930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPPQRNAQARWRCQVDASWINERDITGIGFVLMDVDFPMLYGARTNICTKSPLQAEAEDCEQLVKLIQKKEDWPALALEEIQALSKEFSEIYVAYIPRSLNFRADSFAKGARSRASDTAFVNPFAPSWLAPQAKLRVTN >A01p041460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22250656:22254021:-1 gene:A01p041460.1_BraROA transcript:A01p041460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKSAKQIKQPFLVSSFPIVYTKIIIQKRKESQSQSNKGIKNYYFRQHTRQNSRYITSTKVAEIHRHKVTNKEERERETPSSFPDSDFCYLDILSILSKIPVKMNSESLENLHRSFIESSKSFIDYRLETVLTDRELPYLRRIYLALMIEMKFLFYLAAPAILVYVINNGMSILTRIFAGHVGSTELAAASLGNSGFNLFTYGLLLGMGSAVETLCGQAHGAHRYDMLGVYLQRSTVVLILTCLPMSLLFIFSKPLLSTLGEPEQVATMASVFVYGMLPVIFAYAVNFPIQKFLQAQSIVTPSAYISAAALVIHLVLSWVAVYRLGFGLLALSLIHSFSWWIIVAAQIVYIKMSPRCRRSWEGFSWKAFEGLWDFFRLSAASAVMLCLESWYSQILVLLAGLLKNPEIALDSLAICMSISAVSFMVSVGFNAAASVRVSNELGAGNPRAAAFSTVVTTSVSFLLAVFEAVVVMSWRNVISYVFTDSPIVAEAVADLSPFLAITIVLNGIQPVLSGVAVGCGWQAFVAYVNIGCYYVVGIPIGFVLGFTYDMGAKGIWTGMIGGTLMQTIILVVVTLRTDWDKEVEKASSRLDQWEESREPLLKQ >A03p017450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7046318:7050531:-1 gene:A03p017450.1_BraROA transcript:A03p017450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNSNRHEIDEDLHSRQLAVYGRETMRRLFASNVLISGMHGLGAEIAKNLILAGVKSVTLHDESVVELWDLSSNFVFSEGDIGKNRADASVNKLQDLNNAVAVSSLTTGLTKEQLSGFEVVVFSDISLEKAIEFNDYCHSHQPPIAFVKADVRGLFGSVFCDFGPEFAVLDVDGEEPHTGIIASISNENQAFISCVDDERLEFEDGDLVVFSEVEGMTELNDGKLRKIKSARPYSFTLEEDTTGYGTYVKGGIVTQVKQPKLLNFKPLREALKDPGDFLFSDFAKFDRPPLLHLAFQALDRFTSEAGRFPVAGSEEDAQQLISIATSINTGQGDLKVENVDHKLLRHFSFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFLYFDSVESLPSEPLDSSDVAPRNSRYDAQISVFGAKFQQKLEDAKVFTVGSGALGCEFLKNMALMGVSCGSEGKLTVTDDDIIEKSNLSRQFLFRDWNIGQAKSTVAASAAAAINPKFNIEALQNRVGAETENVFDDAFWENLTVVVNALDNVNARLYVDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSSPVEYTNSMMSAGDAQARDTLERIVECLEKEKCEDFQDCLTWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLQYSSSDPSLLNFITATAILRAETFGIPVPEWTKSPKEAAEAIDRVIVPDFEPRKDAKIVTDEKATTLTTASVDDAAVINDLIAKLEQCRQNLSPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEAYRNTFANLALPLFSMAEPVPPKVVKHRDTAWTVWDRWVLKGNPTLREVLKWLEEKGLSAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKVELPPYRHHLDVVVACEDEDDNDVDIPLVSIYFR >A07p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20988322:20993566:1 gene:A07p039480.1_BraROA transcript:A07p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSCYMLFPESIFRSMEMVIKGVETNFERIRQDFRAIDFSGNKIYGKIPESIGFFDIPRSLANLTDLEALDLSSPVPSSTQFQRQKCSSFLDNPGLYRLEEICGERHVPSPTSQQAEKYSEAEERMINWVAAAIAYGPGVLCGLVIGHIFTSHNQEWFPKNVFSKRLTDTMIQGHCYCFFGIITIYFSLLIHILASPTLHFCRHNQRDALLGFRDEFPTDESNLSSWNKSTDCCLWNGVTCDDKSGQVISLDLHETPLNNSLKTNSSLFKLQYLHHLNLSNCNLHGELPSSLKNLSHLTTLDLSANYLVGAVPTSLGNLKELRVMSLNNNSFLGPFPKSLFSIPSLQSVDLGGNQFTGPIEFVNTSSSELQFLNLDHNKFNGPIPESISKFLNLRRLYLSHNNFTGSIPRSLSKLVNLTDLHLSKNKLEGEVPGFLWKLSTMMICHNSFNSFETPSQETLDVQVLDLSSNSFHGPLPNWICNLKGLSLLDLSNNHFNGSIPTCLRKSIVSLLDMSLRNNSFSGALPDIFGGATKLRSIDVGLNKLEGKFPKSLINCKALQLVNVASNRIKDEFPSRLGSLPLLNVLSLRSNQFYGPLYHPHVSIGFQSLRVIDISHNDFTGTLPPHYFLNWSEMTTLTKGNDYMVNPTKVSRYMGLFPESIYRSMEMVNKGVETRFDRIRQDFRAIDFSCNGISGKIPESIGFLKGLRLLNFSDNVFTSDIPRSLANLTSLETLDLSSNKLSGHIPQDLGNLAFLSYMNFSHNLLQGPVPRGTQFERQNCSSFLGNSRLYGLEEICGSLHAVSPTVQQADEYSEEEEEQMFSWVAAVIAYGPGMFCGLVIGHIFASHNQERLTVKFGRRKLIHPKCSRSLPRNTIQQHPGLLPDRSATLVVEKAAEGHCGEEKKMVKVLTYFGMTLAAFAFWQSMDKVHVWIALHQDEKIAFEKFISKKEWRRKVKRVRAELLRKAREEDTLA >SC132g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:76487:77804:-1 gene:SC132g500050.1_BraROA transcript:SC132g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRSHMLVTSCLLQLLVSFFMEGKCSATCAAWCAEACHQLSNLSFSWRFGVLKLRITHVLQPLILISKDCSDQPDPCGGFKSRIFQKSSVISLSSSLVFPSQSHGIKVLL >A04g502550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6086601:6086831:1 gene:A04g502550.1_BraROA transcript:A04g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGTISIPPASLSLKSTLNPQNAVPHPSSQVMIQERMQRQPASYSISGDDLKSGGFPLCHTTAPKLRENGEAESP >A09g518690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56908957:56910270:1 gene:A09g518690.1_BraROA transcript:A09g518690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGRGYISPSLASYREGGGSKGLPRRRPMRPSCDADNELITLLHGSDPVRIELNRLENEVRDKERELSEAQAEIKALKLSERQREKAVEEKEVITLRKAAHEKDQSLRDKDEAIEMLAKKVETLTKAMEVEAKKMRREVAVMGKEVAAMRVDKGQQDSKTRRISSISKGSSNTAQLLSGRVSGRIGMTRSTQ >A01p004170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1793857:1794653:1 gene:A01p004170.1_BraROA transcript:A01p004170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSR16 [Source:Projected from Arabidopsis thaliana (AT4G34620) UniProtKB/TrEMBL;Acc:A0A178UZA3] MTVKIRLARFGCKHRPFYRVVAADDKSRRDGKQIEVLGFYDPLQGKEDANRVSLKFDRIKYWLSVGAQPTDSVENMLFRAGLIPPKSMVVRGSKNGQQSTNQHVSPITGEILN >A04p008370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8354301:8354714:-1 gene:A04p008370.1_BraROA transcript:A04p008370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BIC1 [Source:Projected from Arabidopsis thaliana (AT3G52740) UniProtKB/Swiss-Prot;Acc:Q9LXJ1] MKNIDDTTSPMTRVIDPSQPPSDQSQQEPSLTNEASSVSDKKDQALPEEKPKQNQENERAVTGREKLKHHRREMAGRAWIPEIWGQEELLKDWIDCSTFDTCLVPNGISSARAALVEEARRAASASGGLHNRCLILR >A04p013660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4563803:4565058:1 gene:A04p013660.1_BraROA transcript:A04p013660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKTYDHNGDRTDSNITPETIKSKKTFSNAIQFCSNYIKNPDIPCDQYITDFSMPSPQTPRNIRRLQLGIDTPTDQILTTPKQSSCLTSSKSQDLQPQMPTSIPLSFEQRKRNNKCNVHARDVGSEQLSTTSKQQDPSIQKKRNENSFRKFSDKRQRKRISDVLKDITNIDLSLGTENQESPTSTIFPDTEFGVNINDACELEFDCSSLDTTDSENETDVDLPSFEYYLINTEKSTAAKKDKTKM >A09g518130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55319125:55321857:1 gene:A09g518130.1_BraROA transcript:A09g518130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHYPVPSILLLPRLTRSNGFDDSLSRTQKPVLKQALCLPPSGVVSPVLPWICWTLWTARNKLIFEDKATRSIETATKGVSAALEWCQAQSTKNSEIVCRLPSRSEPRNATRSPPEFSCFVDAAWDASTKRAGLACFFPGDRSESPFTGAQMIALVSSPLLAESLALRRGIEKALEAGFTTITILSDCSTLIRAITTKNQITELYGVLQDIHRLLSRFATIDFRLIPRSQNRVADSLAKQALKAHSQLSSVP >A05g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20188217:20188831:-1 gene:A05g507110.1_BraROA transcript:A05g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYYRSWIDRPHLDSNTRLLTEEYQRGITEFMGLVQRQPEAETVTVTSLNPRGRVQGSSELEDPLQPSTSGNLSAAEDLAAVGLVVDLTDFGEEAVVHVEDEPEFL >A09p063070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51334247:51334895:1 gene:A09p063070.1_BraROA transcript:A09p063070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKIQLSQKKPWRKRSQKASNSKEPGGCSRIRRWTPMIIVAQPPEKKCANRKETVSKNSLTVRRKKAVATNSVNPRTVPENKDIKSQKKPESEEVKSLKQLHKMENKENTVVAAGAGAEIQV >A03p013390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5267655:5268685:-1 gene:A03p013390.1_BraROA transcript:A03p013390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRNSSASKSFPASSSSPELSSHLHSLPSDLRSRSMLLLNLYVRSEFRCGPSHVRVSDSSKESATVMGLLWFIHYGGTASTLENQLDGRVKTLHPNIHGGILARRDVEHHMEALNEHGIGEFGHLISLLFSKDGIENIDIGGPTMIRAAAKNHKDVLIVVDSEDY >A03p060000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25960290:25961787:-1 gene:A03p060000.1_BraROA transcript:A03p060000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKLFISILSFLLYAPLIFSSPVPDPEAIVEEVHKSINASVAARRKLDYLSCTTGNPIDDCWRCDPHWESHRHRLADCAIGFGKNAIGGRDGRIYVVTDSGNDDPVTPKPGTLRHAVVQDEPLWIIFQRDMTIRLKEELIMNSFKTIDGRGASVHIAGGPCVTIQYVTNIIIHGVHIHDCKRGGNAMVRSSPRHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCEDGLIDAIMGSTAITLSNNYMTHHDKVMLLGHSDTYARDKNMQITIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNIRFSKEVTKHEDAPESEWKRWNWRSSGDLLLNGAFFTPSGGAQSSSYAKASSLGAKPSSLVGPLTVGSGALNCRKGSRC >A01p028410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18317180:18319418:-1 gene:A01p028410.1_BraROA transcript:A01p028410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNARDLAVVSTSAIVGALISALAFRFFSSNPKPRRAASTEISAGSRRFPGLDPYSPLKRNGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGVILGIGYNGFPRGCSDDRLPWAKKSKTGDPLETKYPYVCHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVAEVIYFVEKRLNDSDVAYVASHKLLAMANIKVRKHQPEMDQILIKFEEDLL >A07p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1910203:1912560:-1 gene:A07p005870.1_BraROA transcript:A07p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGQRRSRQDDESPSNPNKKRKANKNPEKNLLFNLNSCSKSKDLSAALALYDAAVASNEVRLNQQHFQTLLYLCSASISDPSLQTLAVERGYQIFDCMVTSGLTLNEATVTSVARLASAKGDGDYAFKIVKDFASLGGTSIPRLRTYAPALLCFCERLEAEKGYEVEEHMEAAGIALEEAEISALLKVSAATSRENKVYRYLHKLRESVGCVCEETSKVIEDWFCGEKAGEVSGDGIGFDVEMLREAVLRNGGGWHGRGWVGEGKWIVKKDNVSSTGRCLSCNEQLACVDTNEVETQKFVDSLVALAMERKAKMNSSETKVDFSEFQDWLEKHGDYEAIVDGANIGLYQQNFADGGFSLSQLEGVVNELYHKSGDKKWPLILLHKKRVRTLLENPTHRNLVDEWINNGVLYATPPGFNDDWYWLYAAAKLKCLLVTNDEMRDHIFELLSNSFFQKWKERHQVRYTFTKGNLKLEMPPPFSVVIQESEKGSWHVPLASQNNESSRTWMCISRRSVLDSLKINGKLETSENGDSS >A02p032200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16889032:16890280:1 gene:A02p032200.1_BraROA transcript:A02p032200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 3-beta-dioxygenase 4 [Source:Projected from Arabidopsis thaliana (AT1G80330) UniProtKB/Swiss-Prot;Acc:Q9C971] MPSINEDVSIGNLGSLQTLPDSFTWKLTAADSILPPSSAAVKESIPVINLSDPEVTTLLGNACKTWGAFQIANHGIPQSLLDDVESLSKTFFDMPSERKLEAASSNKGVSGYGEPRISLFFEKKMWSEGLTIADGSYRNQFLTIWPRDYTKYCGIIEEYKGEMENLASRLLSCILGSLGVTVDDIEWAQKTEKSGSKMGQSVIRLNHYPVCPEPERAMGLAAHTDSCLLTILHQSNMGGLQVFKEESGWVTVEPIPGVLVVNIGDLFHILSNGKFPSVVHRARVNRTKSRISIAYLWGGPAGEVEISPISKIVGPVGPCLYRPVTWSEYLRIKFEVFDKALDAIRVVNATN >A09g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17672719:17675427:-1 gene:A09g505610.1_BraROA transcript:A09g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPDPNSFSDGAKRDEATTKVPSKDPKKKDDKKEEDLSEEDLKLKQNLELYVERVQDPNPELQKAALKSMRRSVPQLHDVVKLHDVVRPDAKEVVMAGTWSDATDVETLCLLAELKHLKKLRKLSITINKGGEFTVSQLFVDIQDFSNLEKLKVAWGASHKAPKKVAVPSHLPEKLMKLDLQCFPDAELPSLLEPGKLRMLKKLYIKGGTKLTGFGKSVPEKPTECSVKVLRLKFLPSLKVEWRELRELYFTKLEFLDKYQSPQREELAKAIADARQRQEAQLKDNHLLANPCTACLKTNKNQPKTFKVYESKIGKKLSPIKE >A07g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14594571:14594866:-1 gene:A07g506150.1_BraROA transcript:A07g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKRPLEVASLRENRKIVETLFPLTTKPETISDWTFVGVLAHMESNKNNRDGDAFREAVGSKKFQDNDKIKSKP >A02g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16449332:16451913:-1 gene:A02g505540.1_BraROA transcript:A02g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGKSFLIGATRVLISAQTVLNFVYSFGLRLTGPFSGRRVTLSRVFIVASALALRYRYFPRRNGSAFTPIDWYLHDVSSMRSLRLRGDLKSGKCSSAVEARLLRFWEAKNVKRGGELMWVDMLLIDVNATMMHTTIYANRLSRFRSKLAAETMYTISGFDVARCAQNFRLTDSPLMIRFNDSTAFDELTEPVSPLPEEGFRFHDQSELIGLANTSTQLPGEITAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSLFESQAEAFHKRLEDMHGDPKVVVATSINPKMIGGGLFLNATSGTHVYFDKETKAGENYFYKLVARDNGVSSAAPLLKGYAKVETLTISELLSFVASAQPQDIDFICTGRVVRLDVDKGWCYVACAKCNKKLQRTVSALECVRCNNPNAVGVLRYRLELAIADNTAEGTFVCFDGVMTKLHNLRASEAGQMLAVEGENPEDTRVPPFINDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHECIPAPDFVGGNDADDNNLGDGGHVPVCDESGEGSSGADKKADGPPADAVVEKSSRSSTSAAKKARVV >A08g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18172949:18174256:1 gene:A08g509280.1_BraROA transcript:A08g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALSLKSKTSNLFLLHLLRSAPAAVSRARGRRRLLPLPFLSLFCSVCVPFRRPVEMRGVLDAAPPRGVKRSRRLGFGYGGSQFYFADPVVAFGLEACGGVVDSLPVVVVFVVSKRVLRCGEEYRASLSFRLVVLSIGQSDSFLLREAAVCSLESVVYGCAPLILVAALPLLNHPHFTYQKTGTGSLYRRLVSLVRFWLGQSGLAGVGGSNLKFSSSQCVVVRARCFKLSGSVAAVASACSFRVAFHALCGVNYKPGFGGFARSRGKYLDLPSIARLALHDSEGYKLRGVHRDLATPETTQEFPASEATRRTSHFCDSSSMVRTTTGF >A10p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8975326:8981281:1 gene:A10p004700.1_BraROA transcript:A10p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLFRLSKLSSRNNNVLIHKKSSRFLSTSPYLILGVFGDDFRSACGNFLSDILLFDPAKEELLTVRDKAVPEELVCSQVMGASHGWGFFSGHNAIHISDTFSPLASKSDSKVIALPPITSMIYGQSEVVWNVAMSSSSPYRQDNEEGDCVVAIKFLGNHLTMCRPGRDLGWTNKLIPFVSFENSNLMYSKREQRFSLPAPGGNYLCSWDLHFENEPKFTELVFRNIPQLPQSEWELMDSCFKEDHWVEYSHVPSIRCKEPMVMVFREDQDTNEGTKTMSYTEDIGDLCIFLSKSEPFCVVASSCPGLKPNSIYLMGHSFAVYDITTRTSRHFERPKGLPETAARRKRKMIHESARLFSTSPYLTLGTRVKEVLPGGCKIADVLLFDPAEEELVTVPDKTVPKELMDEEMVGASHGWGVFCARHDRSVRISDLYNPLASKSNPTMITLPRLTALSSNYCNVAMTSSPHLEDCVVAIKFVGDHLSLCRPGRDLEWTNILTPPSCLENSNLMYSKRDKKFYLPAPGGKYLFSYHLHSKEEDIPEVQEVVYRGHPELDQSEWELLSSCTRTEHLVEYAHGFYSSVLERIDHITKRFTVFREEETTEGSIMCYTEDIGDMCIFLANSEAFCVPASSCTGLKPNSIYHMGRGLGFYDLTTGNPHQYLAPDGVPTLSYWLPPFST >A02p058560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35097269:35098276:-1 gene:A02p058560.1_BraROA transcript:A02p058560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELNLPAGFRFHPTDEELVKFYLCRRCASEPITVPVIAEIDLYKFNPWELPDKALYGEKEWYFFSHRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSASSNRKNNLRLDDWVLCRIYNKKGTVEKYYPADEKPTVMTASTSDSKCSSHVISPDVTCSSEVQSESKWVIDLEDAFDASMFGSLLQNDAFVPQFPYQSDFATMFEDPLEQKPFFNWSFGSQG >A09p019000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10029336:10029698:1 gene:A09p019000.1_BraROA transcript:A09p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGRQHGVVRTLMILPSELNPRPAKLFVNRFDSPPAFGVFAKVSSKPTNHSKFTGRCERSKCSDCHASPAKKSANKSKGRRKEQAMASWTEDKLHCLVRSDLSGEDYEALVYDNDNYY >A09g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21511781:21514625:1 gene:A09g507370.1_BraROA transcript:A09g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGSLDDLKLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A04p002550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1215474:1228433:-1 gene:A04p002550.1_BraROA transcript:A04p002550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTNDAHVTVETNARATTDAKLYPSPEVLPVEIITASQTHDGRYIQYNILEKIFELTAKYKPPIMPIGSAMNSETDEKVAIKKIAHAFGNKVAAKRTLCEIKLLRHFEHENIIGIRDLILPPRRDAFEDVYIVHELMEYDLHKVITSKQELEPLHYKFFLYQILRGLKYIHSANVIHRDLKPGNLLVNANCELKICDFGLDRGTSENNAMTEYVVTRWYCAPELLLNSSVYTSAIDVWSVGCIFLELMTSKTVFPGRDPIHQLRLILELLGSPSEEELGSLSENAKLYLRQLPNHDRQSFFVVFPNVPYPALDLIMKMLKFDPRQRISVEDALDHPYLREMQDFTDEPVCMTPFNFDLEEQTFTEGEIKELIYLMEPTNDAHEMVETNARATTDAQLYPPPEVLAVDENITANPSHDGRYIQYNIRDNIIELTAKYKPPIMPIGRGAYGFVWFSCTKFCVD >A03g507330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26068629:26069154:-1 gene:A03g507330.1_BraROA transcript:A03g507330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALGWGIAPRRARLVRCSIRCSTTAGLCFWETVAPLAPSSPAPVPGRRLLIKASKLFRLLISHLKRLVNVEGAGSMVDGSLVTAQIVFKGGWISRHGIRTRSRWS >A05g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25657406:25660304:-1 gene:A05g508720.1_BraROA transcript:A05g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSPVTSDHDEKIMLFRDVTPGPHETQLRFRLIHFWEAWNPLKKTLIGLEMLLIDEQGSVIQGFIPPSRIERHLPKMKPGSLYKLNNFYGSSNKTVYRVSDHAVTVSFSWNSELSVLEDSPILFDEDRFRFHSFEEFQASCDRKGDLYDVVGHMKVVNGQCLIGTPVLDEVEIARARHVLVHVQSYDGPVMKLYLWDQAAKDFCKKIKSYEGTPTVLLVTTVNTKSLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPQSAELVNAEVVTKRETLTIGEIFSYIKQGSNKEAFFECTATINDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQAVFVLLGDAGFELAGKHAAELVSRYFEANGDKGVTQEVPFPEALISTIGQKHNFCVKVTKHNFEGKSRSLTVTKILPLDTPPVTETSGGNYNPATSEGTIETGANVCEASKTSVDTAEGTKRNDGIDEMGKAKRLKRRV >A09p040900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23107265:23108795:1 gene:A09p040900.1_BraROA transcript:A09p040900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCEPKPKKSINHHSKTEFLEDVENAVGEEVWNIVLASLLRVIIRLSSIFSLSEFENITALNCAPFVEDEYFTETKVHKGLWKKLKVQRKSPCKRELKGALEDVYSWSTEDKIRFTYLSILATVILGEDDKKELPLKLSRMVFDLPKFEKYPWRREAFKRLIASVKRVNLNANSYTLDGFVQTLQVWAYNIIPSLGAKIGRPSNIDDPPILKFKGLKGTNNIDINLVSVADTDNIMNVVINHVTPIFSDDKVVDNKIDALLQAICKKGGMGLVTWVEHGTKNIQMEKNTGEEVRGEAEEENEKSDDKETSRKRKIKVRIDSTKKLKAPESCVSVGSTEHTEALQMKAKLKQKAKKVETLESKIEEMGKQLQSFQTMKLRMFKVPQLKDEDISTDKMFAKKAVRDMNGRIR >A01p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9020947:9022539:-1 gene:A01p018620.1_BraROA transcript:A01p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLSGGGAYTFELEMMKSPPSRTTASSSHNTPSPSSTISESNSPPLAISTRRPRTQRKRPNQTYDEAAALLSTAYPNIFSSKRKSPLSPLFLSDYDEASQLLLPFASTEEPGFLFSPTTQTKQDQFLEHNEVSLVNRFGILEDFDAESILDEEIEEGINSFMGSIESNDGESGFAGIDPLGEMTMLPWHGSSLGLRNSLRECDDASLWMFPTVELEHISPRIQTTITTAAADDGKPVDVSSSKSKTVAREEKKKKKKKVAAAAAADLKTEQRVSPFLKLDYDRVLEAWSDKESPFSDEILGSEAAGSDVHASLAEIDLFGESGMREAIVLRYKEKRRNRLFSNSKRIRYQVRKLNADQRPRMKGRFVRRSNIRNLGGLRL >A01p049150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27628233:27632190:1 gene:A01p049150.1_BraROA transcript:A01p049150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNTMLLTTRVTVIETIATMISDLPRDMAEEVLSKLPITALRGVRFTCKNWNTIISNDRSFTKKVHQCCKSRRIGRSFRGPSPKFPNTLYPTLSPKTLIVYDHNFYPEKKRLASIKVTETIARMISDLPRDMEEEVLSKLPMTSLRRARFTCKRWNNTLSKDWSFTRKYNGEAAKRKESQVVMILEYKVYLMSVNLHNPSPSIEPIGKLDDAGVDIINVFHCQDSYHRGDRYALGYEKKNNYPLKVLRFVDDYYRNLKRRVYKFEIFNLNSSSWKVVDFNPDWIIPYIYPGLSLKGNTYWFAENKVAPGKIGRVFLLCFNFTTESFGPRLRLPFRGRYGDTLTLSSVREEQLAVLFQECAPVYTLKVWISSKVGPSAVSWNKVFLSVDMRPLIGFQFHCFAGSFFVDEKNNAVVVIDKTRGLPFTIRNMAYVLGENGYFKSVDLGDFAPMKCWPLVCSYVPSLVKF >A05p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11864488:11865376:1 gene:A05p024380.1_BraROA transcript:A05p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFSTRRSRPGRSDPDSESDSSLYRQHSHRRHGIHHLNHRHDSNGCDPPRRAPPRLRRFCHHLALSERRPVHDVQGTSQYLNTNGADLETEGNSFGSLERLPGAVLLARERLFERLRGVSLSSNSRTNRVSLSENQRESSFYGEPEGIQVSYECNKKPLGLTQGAINGLHRLTFSSAEVKTERRDCSICLESFTNGDMLISLPCTHSFHSSCLNPWLKACGDCPYCRRAIAKDMETCI >A01p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6935688:6941266:-1 gene:A01p014260.1_BraROA transcript:A01p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 31 [Source:Projected from Arabidopsis thaliana (AT4G22070) UniProtKB/Swiss-Prot;Acc:Q93WT0] MFRFPVSLGGSRDETRHDQITPLDECRVVVGEVDFFSDKRDRVSRENINDDEDDEASKVHVKMESSRVEDNDRSRDVNIGLNLLTANTGSDESTVDDGLSMDMEDKRAKFENAQLQEELKKMKIENQRLREMLSQATNNFNSLQMQLVAVMRQQEQRNSSQDHLLATEGNAEGGKRQEVQTMVPRQFMDLGPSSGAAEQDAEVSSEERTMLRSGSPPLLLENSNPRESGKRLLAREESPESESNAWGNPNKITDGCQWRKYGQKMAKGNPCPRAYYRCTMAGGCPVRKQVQDRSILITTYEGNHNHPLPPAAMAMASTTTAAASMLLSGSVSSQDNLMNPTNLLARAILPCSSSMATISASAPFPTITLDLTNSPNGNNPNMTTNNPLMQFAQRPGFNPGVLPQVVGQGLYYNQQQSKFSGLQLPAQPLQMAATSLMAESVSAASAAIGSDPNFAAALAAAITSIINGSSHQNNNSNNTNLATSNGDNI >A05g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20823876:20826826:-1 gene:A05g507360.1_BraROA transcript:A05g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLETSGMTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSFLIRWYSSSTNLKSSGSCLDFLKVVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLKVVWSCLLKWNPSFNNVFQDATYNSVVQDLRVDDLTFSRFRLQISKSIAKITSVLTRRLPGKSSTVRQLPGKSSTVRRRPNSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKNFRIKILVHKNEKRRRSFKLVVHGGCGIDDNDNLVIT >A05p043160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26061592:26065597:1 gene:A05p043160.1_BraROA transcript:A05p043160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPDQTESKEMMLPPRTRRPKGGLLTMPFIIANEGFEKVASYGLLQNMILYLMSDYGLGVVKGQTVLFMWVAATNFMPLVGAFLSDSYLGRFLTIAIASLSSFLGMVLLWLTAMLPQVKPSPCIASAGTNCSSPAATSSQLALLYSAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSSVAVLIAFTVIVYIQDHLGWRIGFGIPAILMLLASIVFVFASPLYVKRNVTKSLFTGLAQAAVAAYVNRKLMLPGQSDSYGCYHHLKDSELKAPSDKLRFLNKACVIKNRDEDIGSDGLALNPWRLCTTDQVEELKALVKVIPVWSTGIMMSINVSQNSFQLLQANSMDRRLSNDSTFKIPAGSFGMFTIIALISWVVLYDRAILPLASKIRGRPVRINVKIRMGLGLFISFLAMAVSATVEHYRRRTAISQGLKDNATATVNISAMWLVPQYVLHGLAEALTGIGQTEFFYTEFPKSMSSIAAALFGLGMAVANILASVILNVVKSSSKKGGVSWIEDNINKGHYDYYYWVLAIMSFVNVIYYVACSWSYGPTVDQVRNDKVNGVGEEEQEEVVKIN >A02g511510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30661305:30661843:1 gene:A02g511510.1_BraROA transcript:A02g511510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELEHRRVDRPETEEEKMQSDQEPGSDNNHHETIRGKEKGLELLTRELDDKVRFRQRPVERPGSGSGRTGSYSERTHSRAGSIDRVKEKDLDHVVQSVRPVDDQPRDFQGGSKERGFFSNR >A03p071810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31681522:31683486:-1 gene:A03p071810.1_BraROA transcript:A03p071810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGTHVPGSVTSNVNGSPDLKEDRSGLPRSSKLAKTTTMAEDKSLSSPYAAAYSKSLGFPLMRSASDSLRQEQMLSFSDKPEAQDFSKYVCLDNKNSLSPFLHQFPPQYRSYSGGGYGCGGMMMSMQGKGPFTLTQWAELEQQALIYKYITANVPVPSSLLISIQKSFFPYGSLPPSSFGWGTFHLGFAGGNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKLVEVQSNQTAAAAAATASKAVTAQQQSVAAGGINRNRSLTTAAQYINPSNNRVRSQVYPSTVNLQPKESPKQRNNNSSFEFGHISSDSLVNPHNHSSWPEELKSDWTQLSMSIPVASSSSSSPSSTGEDKTTLSPLRLSQESEQETTLKKVNTWIPISWGNSLGGPLGEVLNSTTNSPTLGSSPTGVLQKSTTFCPLSNSPVAESSNNFRYTT >A07p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10615390:10615996:1 gene:A07p017520.1_BraROA transcript:A07p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVTIVAALMILVALSSTLDMVKVTEAQVNCIDSCTTGCVKPTPKETIRCHHECDKKCSRGGKGGSETGA >A07p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16496507:16498694:1 gene:A07p029430.1_BraROA transcript:A07p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVEESLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFYGSDLPQTGTSSASGARVASVGGVVPVNSVSYEVFLLLLQFLYSGQVSLVPHKHESRSNCGDRGCWHTQCTAAVDLSLDILAAARYFGVEQLALLTQKHLTSMVEKASIEDVMKVLIASRKQDMHQLWTTCSYLVSKSGLPTEILAKHLPIELLGKVEELRLKSSIPLRSLMPHQHDQNSALNLEDQKIKRMRRALDSSDFELVKLMVMGEGLNLDESLALFYAVENCSREVVKALLELGAADVNHPAGPTRKTALHIAAEMVSPDMVAVLLDHHADPTVQTVDGITPLDILRTLTSDFLFKGTIHGLTHIEPNKLRLCLELVQSAAMVISREEGNNNNNVNNTVIYPRMKDKRVKGSSLDSRLVYLNRGATNPDFGDEHGNQTEETSLHHHHYHDPSTMYHHHHHHHF >A09p029610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17668275:17671436:1 gene:A09p029610.1_BraROA transcript:A09p029610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTPGLQYHDLPDHQPVASPPVTTFQRQKRQRFGDSTPGEFPLAAIPSIVLHVLTECRLDPRDLANLEATCSFFSQPANFAPDCSLSLPELAALDMCNKRMIFKPMKEEEREEMKRRCGGSWKLVLRFLLAGETSCRREKSQAIAGPGHSVAVTSKGQVYTFGYNNSGQLGHGHTDEEPRILPVRSLQGIRIIQAAAGAGRTMLISDNGSVYACGKDLFGEAEYGGKGSRPVTTPQLVTSLKNIFVVQAAIGNFFTAILSREGKVYTFSWGNDGRLGHQTEANDLEPRPLLGPLENVPVVQIAAGYCYLLALTCEPNGMSVYSVGCGLGGKLGHGSKTDEKYPRVIEQFKVLNLQPRVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEECESVPKVVEGLSHVKAVHVATGDYTTFVVSEDGDVYSFGCGESASLGHHPVLDEQGNRHGNVLSPAVVTSLKQAKERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGAELGRDLTERCVPEKVDIDLIILLLLVAVSAVLVSAAEKPPSATTAEAPAATTPTDVAEVPAGDANTIGTTDDDAAATPGDDDVAVAGPIGSDSSYANYPPAQETSGSCVTCTIGFAIISAATVGSLFLIF >A07g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:588770:591512:1 gene:A07g500290.1_BraROA transcript:A07g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKCNVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLKVVWSCLLKWNPSFRQRLVLHLTGLFQKFDFLDDLHFSRLVKIKSRRLTTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPSKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A06p050050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26364714:26367597:-1 gene:A06p050050.1_BraROA transcript:A06p050050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLHQIINSCNYNGEEEEQGDPESNTLNQPLVKANRTLSSTPLALVGTKVSHIESLDYEINENDLFKHDWRKRSKTQVLQYIFLKWTFACLIGLFTGLIATLINLAVENIAGYKLLAVGHFLAQERYVTGLMVFAGGNLGLTLVASVLCVCFAPTAAGPGIPEIKAYLNGIDTPNMFGATTMIVKIIGSIGAVAAGLDLGKEGPLVHIGTCIASLLGQGGPDNHRLKWRWLRYFNNDRDRRDLITCGSAAGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLREFIEICNSGKCGLFGRGGLIMFDVSHVTYTYHATDIIPVMLIGVIGGVLGSLYNHFLHKVLRLYNLINQKGKIHKVLLALTVSLFTSVCLYGLPFLAKCTPCDPSIEERCPTNGRSGNFKQFHCPKGYYSDLATLLLTTNDDAVRNIFSSNTPNEFSMGSLWIFFVLYCILGLFTFGIATPSGLFLPIILMGSAYGRMLGGLMGSYTSIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYDIILHLKGLPFLEANPEPWMRNLSVGELGDAKPPVVTLQGVEKVAKIVDVLRNTTHNAFPVLDEAEVPPQMGLGTGAIELHGLILRAHLVKVLKKRWFLTEKRRTEEWEVREKFPWDELAEREDNFDDVAITSSEMQMYVDLHPLTNTTPYTVMENMSVAKALVLFRQVGLRHLLIVPRIQASGRSPVIGILTRQDLRAYNILQAFPHLEKSKGRKAH >A04p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:764032:765560:-1 gene:A04p001610.1_BraROA transcript:A04p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-A13 [Source:Projected from Arabidopsis thaliana (AT3G61060) UniProtKB/TrEMBL;Acc:F4JD33] MGANISGVTPEFDRSSEPRLSDLPENCVALIMMRLDPPEICRLARLSRVFRRASSADFVWESKLPPSYRAIARKVFDEITLRKLLIKKDLYAKLSRPNLFEGGTKELWIDKNTGRLCVSVSSKAFHSVAYVQQIWWFEVGGEFEIQFPSGTYSLFFRIQLGKTSKRLGRRICNSDHIHGWDIKPVRFQLATSDNQQAVSLRYLNNNPGHWSHYHVGDFKVVNPDVSTGVKFSMTQIDCTHTKGGLCIDSVLIVPKENARKVVESE >A03p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16619533:16623436:-1 gene:A03p039940.1_BraROA transcript:A03p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYKHEYLKDSLKLRTMCVMGKRRTPCCDNSQVLKRGPWSDEESERLKAFILKHGHHNWRSLPKLAGLTRCGKSCRLRWLNYLRPGLKRGSFTKEEEDTIIHLHQVLGNKWSKIASHLPGRTDNEIKNVWNTHLKKRSMKSNSSASDVTNQASSVSSSSSSVSNDNTMTFANSRVDRVEVIMESPFKPDVLKGKVALITGGGSGIGFEISTQFGKHGASIAIMGRRKQVLDAAVSDLRSLGIAAIGLEGDVRKPEDARRVVESAYQHFGRIDILINAAAGNFLSAAEDLSTNGFRTVLDIDAVGTFNMCHEALKYLKKGGPGRDSSTGGGSIINISATLHYTASWYQIHVSAAKAAVDATTRNLALEWGTDYEIRVNGIAPGPIGGTPGMSKLVPDEIQNKTRELMPLYKLGEKWDIAMAALYLSGDTGKYVNGLTMVVDGGLWLSKPRHLAKEAVKELSRVVEKRSRAKPVGLPTSKL >A05p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20591457:20596404:-1 gene:A05p036340.1_BraROA transcript:A05p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G21750) UniProtKB/TrEMBL;Acc:W8PUT5] MKIELVFIPSPGINNVRATAAMVKLLVNSDDRLSVTLVVIPERFSPRDTSSVYPESESRLRYYHLHARDQSTHDQDQTYMSYIESQKPHVRNAVSKLAHEVSTHPESPRRLAGMVVDLPAYTYFTSNASYLGLMFHVQHLHDQEHFDVTKLGDSDAELDVPSLTRPLPATCLPSVMLIAKQRLGILVNSVAEIEPQALKFFSGGNGTPPLYAVGPILDLKTDSGDEKRREILRWLDEQPPRSVLFLCFGRMGGFSEKQATEMAVALEGSGHRFLWSLRRAAPAEKIMTGAPPGEFTNLDAVLPQGFIDRTAKIGKIITWVPQVAVLAHPAVGGFVTHCGWNSIFESLWFGVPMAAWPIYAEQQFNAFRMVEELGVAAEIRKDYRRDNLLGESEMVTAEEIERGINCVMEQDGEIRKRVEEMSEQFHVALMDAGSSTHAMRKFVQHIELVFIPSPGIGHIQSTTSMAKLLVASDDRIFITLIIIPSQFSSDASSSYPKSELDRLRYFHLPVGDQTTQQTFISYIESQKPHVRAAVSELTKNVPKRSGSRRRLAGIVLDIFCMSMIGVADEFNLPAYTFYTSNASFLGLLFHVQYLYDNNKLDVSELKNSDAEFDVPTLARPFPARCLPSVMINNEWLPSVLARARTLRETKGILVNSSGEMEPQALKFFSDMKSNTPPVYAVGPILDFKTDDGDGKGTEILRWLDEQPSRSVLFLCFGSMGGFGEKQVREIAVALERSGHRFLWSLRHPSHLRHMNGSPPEEFNNLEEILPEGFLDRTAKIGKVIGWAPQTAVLESHAIGGFVTHCGWNSILESVWFGIPTAAWPIFAEQQFNAFQMVEKLGLAVDIKKEYRRDSLLEEPEMVTAEEIEKGIKCVMEKDSEMRTRVMEMKDKLRTALLDGGSSKAAVKMFVKDVVENIPNNVF >A08p044020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24405648:24405928:-1 gene:A08p044020.1_BraROA transcript:A08p044020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLYIYITFFIDLSQSSSLTSESMPNNKSKHEAIPQDKDLPLLHEYELGREEMGDREVDQGLGQ >A06g507020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:19464539:19464799:-1 gene:A06g507020.1_BraROA transcript:A06g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGDGTTRNRSLLCCSSNAIRSPEAQTMPRRSDIERPSPELQFVTPLSTKLHASIAFYSLYLALFSGEEHKEVSRCGPNGLSRG >A08g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8203307:8204096:1 gene:A08g505010.1_BraROA transcript:A08g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAGKATPAPQGVNIMAFCKDYNARTADKAGYIIPVEITVFDDKSFSLILRTPPASILLLKAAGVEKGSKDPKQDKVGTITIDQLRTIAAEKLPDLNCTTIESAMRIIAGTAANMGIDIDPPVLEPKKQAVLL >A09g518960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58143149:58144599:-1 gene:A09g518960.1_BraROA transcript:A09g518960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVEKIARLTTWFLKPFQGINSGITSDVYGPEINELLLGKVHIALVLRAHAVHPLTAVQLEWSFWSRDVEEDIIPTCRELGIGIGLPRFQQENLDHNKILYEKVNAMAEKKSCTPAQVALAWVHHQGDDVCPIPGTSKIKNLSQNIGALSVKLTAQEMAELEAM >A09p049300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43648898:43650741:-1 gene:A09p049300.1_BraROA transcript:A09p049300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IASLSMDVVERRISSCYAKPPWIFKGRQEFFFLFSFVAFQERLKLALYQIHLVKAATARAFIPKELRLVEAFGYTLGGFFLASYDDSPAGVFDELVVIAGTVWNPPTSCAWAARVLVNSDEACHHGRKEVGLPSQVARFSKKITAVPKGKRERTFGILDTFRLGTSLSHPEDMVEVKVSEVDGAASADICSIKFRSDEMEKKLGNWMGPAIKMSLPSFSGNTKYFPNLLKYSCHLQCRVRPVRPAVVSHPLDNETDSEENHTSQELHENERRLSVAVMLSKPIIALEFKDLTMQVEAPVVV >A05g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13515876:13517082:-1 gene:A05g504830.1_BraROA transcript:A05g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKNSDVEMGEATSPAPVLNSPAEAPACVAGHLSFREKLVRRQPEKELAQAGSECHGTEVVALLSQALPAGSSTTPILVEDKEKAAASMPPPPARKEIVLALRAPSAVLGTQPKSRKRKLAKSGDGETSQRGGSSLASGLHGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRVFALATIEGGMAVVRSFQSETLPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDLMVGENGSDAAPGSDEAAGEEGA >A10p030770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18553860:18555171:1 gene:A10p030770.1_BraROA transcript:A10p030770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVSSGEEGNNEYKKGLWTVEEDKILMDYVKAHGKGHWNRIAKKTGLKRCGKSCRLRWMNYLSPNVKRGNFTDQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLGIKDPKNKPSNGDIVYQINLTNPTETLEETKISNINDNDEIQEDRHGSNYLSSLWVHDDTFELSTLTNMMEFLDGHCF >A10p034280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19927752:19929575:1 gene:A10p034280.1_BraROA transcript:A10p034280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D7 [Source:Projected from Arabidopsis thaliana (AT5G10230) UniProtKB/Swiss-Prot;Acc:Q9LX07] MASLKVPASVPLPEEDAEQLQKAFKGWGTNERMIISILAHRNAEQRSFIRAVYAANYNKDLLKELDKELSGDFERAVMLWTLEPAERDAYLAKESTKMFTKDNWVLVEIACTRSSLEFFKAKQAYQVRYKTSIEEDVAYHTSGDVRKLLVPLVSTFRYDGDEVNMMIAKSEAKILHEKMEAKDYNDGDLIRILTTRSKAQISATLNHFKNKFGTSITKYLKEDSDNEYVQLLKAVIKCLTYPEKYFEKVLRQAINKMGTDEWGLTRVVTTRAELDMERIKEEYLRRNSVPLDRAIAKDTHGDYEDILLALIGHGHA >A04g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4538903:4539666:1 gene:A04g501900.1_BraROA transcript:A04g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A09g515280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45424270:45426343:1 gene:A09g515280.1_BraROA transcript:A09g515280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSAESLDMTYLLEAECELYKDEDDSRILHQVYGDEADDGMPSTCYCGSDAVVATSYTRKDPGRLYLTCENVNDGDCHIWKWWDVAVTEELRDVQTQLRLVKEQAFECDQKLMKLQKVVCELSKKNAVLRNGFALRVCVMVAALLLVGLAEELPIIKLEPLPCLLKVAYLRLCVAVRATQNILKTMDPKTSYTSLLFSQSQTTVDLDSPEPFWLGSQEKLSKQKVLERNLGKKEPLTEMETSLQLKLMAEMFSSSQVQQ >A08p045590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24962768:24972460:-1 gene:A08p045590.1_BraROA transcript:A08p045590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-7 [Source:Projected from Arabidopsis thaliana (AT1G04600) UniProtKB/Swiss-Prot;Acc:F4I5Q6] MNNVRYRFSRGITFPEKIHAASAKVSVGSHVWVEDPEEAWIDGEVEEANSDEITVNCSGKTVVAKVNAVYPKDPEFPELGVDDMTKLAYLHEPGLLLNLKCRYDSNEIYTYTGNILIAVNPFKRLPHLYGIDTMKQYKGTPFGELSPHPFASNPVLEAFGNAKTETERYKLGKPSTFRYLNQSNCYALDGLDDSKEYLATRKAMDVVGIGSEDQDAIFRVVAAILHLGNIEFAKGEDSEAAEPKDEKSLITKSLDPDSAALGRDALAKIVYSKLLVTKINNSIGQDPDSKHIIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHDTFAQKLYQTFKDHKRFSKPKLAQTDFTICHYAGDVTYQTELFLDKNKDYVVGEHQALLSSSDCSFVSSLFPPLPEESSKTSKFSSIGSQFKHQLQSLLESLSTTEPHYIRCVKPNNLLKPEIFENINILQQLRCGGVMEAIRISCAGYPTRKPFNEFLTRFKILAPETTNRSNDEVDACKKLLAKVDLKGFQIGKTKVFLRAGQMAELDAHRAEVLGRSARIIQRKVLSYQSRKKFLLLQAASTDIQALCRGQVARVSFEKMRIEVACLRIQNHARTYICQKSYKSLCSSACSVQTGMRAKAARSQTRRFLCRRHYVRMKKAAIATQCGWRVRVARRELRNLKMAAKEAGALQDAKSKLENQLEELTSNLELEKQMRMEIEEAKSQEIEALQSALTDIKLKLKETQETKSAEISRLQSALQDMQLEIEELSKGLEMSNDLSAENEQLKELVSSLQNKNDGDVSKLSEEQIKQEVPVIDQTAIIKLEAENQQLKELVSSLEEKIDALDRKHDETSSNITEQLKENVSSDYEIVSNLAAENERLKALVGSLEKNQDGNHSSEGQNEGESMLKLDSLTEDGSTDNERVNKLAAQNKDLNDLVSSLEKKIDETEKKYEEASRLCEERLKQAVDAETKLIEAKTSMLRLQERVSDMETEEHIRRKQALVNSTSRRMSPQVSFTGASENGHHESLAPIPAKKTGTESSRIEQQPHEFVDVLLKCVSQNVGFSHGKPVAAITIYKCLIHWKIFEADKTSIFDKIVPVFGSAIENQEDDNHLAYWLTNTSTLLFLLQRSLRHSPTGSSPTKPPQPTSFLGRMTQGFRSTSSPNLSTDVVHQVDARYPALLFKQQLTAYVETMYGIIRENFKREVSSLLSSCIQDLKKSSHDSSVVKSPSKSSEDNPPAKPSEENLAAKSSEDNDSPSKTSEENSLKESSEENSLKKSSEDKSPDKSKSSVENLAAKASEDDNSPAKTSEENSLTKSSEENLPAKSNSPKQLSEEDSPAKEGQAAMLSEENSPANSWQSIIGLLNYNLITWKKNYVPPFLVQKIFSQTFQHINVQLFNSLLLEQEYCTFNMGKEVKAGLDELDSWCSQATEEFVGSSWDELKPTRQAVVLLVTELKSTITYDDLTTNLCPVLSTQQLYRICTLSNNEDHNNHNVSPEVISNLKLLMTNEDEDSRSFLLDDDSSIPFETDEIANCMQEKDFANVKSVSELADNPNFLFLKD >A05p043430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26211110:26215480:-1 gene:A05p043430.1_BraROA transcript:A05p043430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFWVTVVDGMGKGKGKKGSHDSLVGSQQQKMGTEDEIVSVELPAPSSWKKLFFPNKVKKTEIVFVSPTGEEINNRKQLEQYLKSHPGSPAIAEFDWTTSGTPRRRSARISEKTKSTPSPDKEPPKKRGRTKSSGSKKDTDEEEDTQMTHPKENENVTVKGSFGEIEKADDMVSEEKDNVRSRSYSIALHCSASVSLSNWIEIIVGLGNEFLKDISVGEDRAMNWVKENVEPFIRSGTKISGIAVGNDILGGTTVELWEVLLPAAKNVYSALRRLGLHTRVEVSSLHSEAVFANSYPPSACTFRDDVVPFMKPLLAFFWQIGSPFYINAYPFLAYKSDPSHIDLNYALFEHSDGIYDAKTKLRYDNMFDAMVDASYAALEKAGFPKVPVKSLFQRPDGHQKGTQMKPERRTVKNARTYNRNLRKRLNKRKGTPYRPDMVARAYVFALFNENQKPGPTSERNFGLFKPDGSIAYDIGFTGLKYSSATRPRFGTSLNALVSTCVFMFLVLHRLLPMS >A03p032830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13894138:13895075:1 gene:A03p032830.1_BraROA transcript:A03p032830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 6 [Source:Projected from Arabidopsis thaliana (AT4G02130) UniProtKB/Swiss-Prot;Acc:O04253] MLWITRLSAFFSAAMAVIVLSPSLQSFPPAAAIRSSDRTLISSFRNSPPFRNAADECLSSSAADSNVCNPSLLHVAITLDVTYLRGSIAAVNSILQHSLCPESVFFHFIVSSETNLESLVRSTFQELKFNVYYFAPETVRGLISSSVRQALEQPLNYARNYLAELLEGCVNRVIYLDSDLVVVDDISKLWKTGLGSRVIGAPEYCHANFTKYFTGGFWAEERFSGTFRGRRRACYFNTGVMVIDLKKWRRGAYTRRIEKWMEVQRSERIYELG >A09p031340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23310320:23311648:1 gene:A09p031340.1_BraROA transcript:A09p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWAVGDGAQIRVWQDNWLLGGLQNTPTGPGRFVHPNLRVKDLFITGTSSWNLPLLQQLFQNEDVQRIMRLRPSVTGNQDLLYWKLSKTGSYTVKSGYHFTQNLVMGLARDTQSILALFLGWRIWKMRNRLLFENCRDHIVQVIKVAIMDMHLWREALLHNEPAMPSPSSPQPQLITDILPQETCFYCVADASWKSPTENAGIGWSLHSRQGTPIIQGSSAIAPTYSVLEAEAMATLLAVQQLHRLSYKNVMILGDNSQLFKSLEGYNQKNNRGMVCNEASMLVQDILKLSKLDNFTFKRVPRMFVQQVDQLAKRARLEDKQYVISWLNN >A07g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26534670:26536489:-1 gene:A07g509090.1_BraROA transcript:A07g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRREVTCFTIFSLSCLRSFLRKTSFLSTLFLYFLKRSVKMSRKKNVAKKGSSSASAYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKSFPVLIHRGVEKGDASRSTDEFLAIMRSFYHIPDAVEFQVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDCFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRRQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWISFTPKRVWRALRFVQPGPASAANTGSDSKPDDQNPVEAPRAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEVPIPDFDNFFADLPPGFDGPPPTKESARPRVVAERSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARLQCEMLEREAQLTRDHARAIRKAERKGKREIVEVMKIRASQFQVEYGNLKNAFSSVGDLRECRGSVGSLWRTQADDYVFEDEMSLMKSGMNDHAHAEALIPPIDEPDSRVLGFHPGFP >A02p036590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21021226:21021545:1 gene:A02p036590.1_BraROA transcript:A02p036590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAELKTSQPSIDENVPVILSVSDDTGTEAFLGFDTEVAKLTHVLASEAAQILVRPIMNL >A08p010380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8565031:8566228:-1 gene:A08p010380.1_BraROA transcript:A08p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INSLIHSATLNCPHRNPGVTSKAPAINNHYLSHEQQSFKEIKTKTLFSLLITAMAKSYTILADLKAGRCSNTAEVRLLRFWEARNVKKGGELSFDILLLDENLSNLLIDFRPLYKDPLVPSVNSDLRTVSVKELSMHLSGFDVSRNNPNFRLYDESLSIRFNDGTSFDKLPESVSPIPTELFRFCSYNQLLELANTCKQLPGMHLESLLLDFLHHVSTHTWELTAIRSTITDRIPGARRVMLTLRLQSGDSVCVSFFYSMVLAFHSKFDSYGKEPRLVLATGVNPKIVGGRLFLNATSATHLYFDSETAVGK >A02g501560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4624557:4624946:1 gene:A02g501560.1_BraROA transcript:A02g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHSLDASFAFFIVSPETKKRERVKGDGPMELRRCPWEEMELCRRPFDVLEAIYHCMLELVFFIDPPLHAVTSLLRRAIIAYRSFSSSPRNHRSFSSSSSHHRIYSSAFSHRRSFSSSPRHHLNTSE >A08g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9089954:9091755:1 gene:A08g505400.1_BraROA transcript:A08g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNMEPAQHGDQDVLNNSTEVRPSDRTNQTNRAVYQIDPRTSAMEYQLEPRPDETIVEQDLAWVRKNPKTDMHFHPVDHPNSPTCVLLLTAVHPSGSDEPGHLVVNQRTTFFLRWLALDCGYIKRHSASLDDPFNSSMFQKCHLPSRIISNTQLKATLAGLFSFHLFICSSLHKSLLLFLVGSGLYLYSFRNPVGVVEEKPCCLKRNPALGQLRRIHIKISSSFFLFSFTHKRMFGLHKKSNQASKPQQDEQFASTEFDFLQKQRNKMKRQNRLDDDENRVRNGDRPITKAKRSNNTLGRNELQTYASLEKMLHKAIFAIQQLKKKENTNTSSAPKQHCNFSSLSNSYLKTNVFSFDKSKKAMKTTSKALFGALQMP >A04p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21032306:21033623:-1 gene:A04p036650.1_BraROA transcript:A04p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRESSNPMFMFFVKIKVLPRFLVFRERFEEIGKNIKIDADAWPHQMAGIRRPMSGPHGTLNTITPCAACKLLRRRCAQECPFWPYFSPHEPRRFASVHKVFGASNVSKMLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISALQHQIQALQAELTAVRSEILKFNQREAVAALIVPSNSQVAGFHNSSGVSVIAPQPQTPSTPPQPTAADQPPPPSSCVFSQPTTRPLEYGDIVSENNSYFG >A06p012530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5724468:5725565:-1 gene:A06p012530.1_BraROA transcript:A06p012530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANDNDLSTSKILPRVLIVSRRTLRKNKFVDFVGEYHLDLIVSNGAVPVIVPRVSGIHSMLKSFEPIHGVLLCEGEDVDPSLYADAEQQGLSQEDMEEIRSLHASDTAIDIEKDSIELTLAKLCLERNIPFLGICRGSQILNVAAGGTLYQDIDKEIGTTTKHIDYDNYDDHRHEARVVEETPLHKWFDEMDQIMVNSYHHQAVKRLGERFVPMAYAPDGLIEGFYDPNGYNPEEGRFLVGLQFHPERMRLSGGFDEFDYPGCATAYQEFVKAVTAFQKKQLEAAEIVMELKTKKKRLVKSFSMAELLEASNTALSKKQENRLKQMGATVRNSCVYMKRKEVQERAMDKCLQSVYLTCSPSTV >A06p024950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15034408:15036304:-1 gene:A06p024950.1_BraROA transcript:A06p024950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLILLAFVVLCSSGYSQRSPSPGYYPSSRVPTSPFDRDFRTLWGSPHQRTEQDVITLLLDKSSGSGFKSLRSYKSGYFGASIKLQSGYTAGVDTSLYLSNNQEHPGDHDEVDIEFLGTTPGKPYSLQTNVFVRGSGDRNVIGREMKFNLWFDPTQDFHHYAILWNSNQIVFYVDDVPIRTYDRKNEAIFPTRPMWLYGSIWDASDWATENGRIKADYRYQPFIAKYTNFKLAGCTAEGSSSCTPPSASPMGNRGLSQQQMGAMAWAQRNFLVYNYCHDPKRDHTQTPEC >A02p026630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13971257:13973730:-1 gene:A02p026630.1_BraROA transcript:A02p026630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRSLGVATVLVIVYSIQITAQMEQTEEAMRCVEKLIPCHPYINTDSPPPPWCCYPVKEIVEKDETCLCGFLNHPDMLALINISQDDALNLITSCGASYDESLCSNSTVSSPDTSPGATTTESSSGSTTKNAALAINLIKINFGREGRRQTKTMTELYVSLCISNIGSHFPPTYNNNIPSKKVISLVSRTGRDLQRYNTTGYRQVVGCVPYRYKKHGGGGEIEVLLISAQKKGKGMLLPKGGWEIDESIEEAALRETIEEAGVTGQLEESLGMWQYKSKRDNMMVHDGYMFPMLVSEQFEIWPECGFRQRKWVCLSEAIELCRNGWMREALEVFINRKCQG >A02p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6786096:6786674:-1 gene:A02p015220.1_BraROA transcript:A02p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKRLRQYSNKQRILLVGEGDFSFSLSLARAFGSATNLTATSLDTREEIELNYANRKANVEELTRLGCTEIHGFNVHSMRLAPRLERYDRIIFNFPHSGFDFGSEHPRRSHQELVRGFLKSSKKMD >A08p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24903258:24913021:1 gene:A08p045420.1_BraROA transcript:A08p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSLKCGDCGALLKSVEEAQEHAELTSHSNFAESTEAVLNIVCTTCSKPCRSKTESDLHTKRTGHTEFVDKTMETVKPISLEAPKAAAMEIDNTDGSSGSGDAAEVEMVVPGVDKNILEELEAMGFPKARATRALHYSGNASLEAAVNWVVEHENDPDVDEMPKVPANSNSGPPKPALTPEEVKIKAQELRERARKKKEEEEKRMEREREKERIRIGKELLEAKRIEEDNERKRIILLRKAEKEEERRAREKIRQKVEEDKAERRRKLGLPAEDPAAAKPSVPVVEEKKSSLPIRPATKTEKMRECLRSLKQAHKEDDAKVKRAFQTLLTYMGNVAKNPDEEKFRKIRLTNQTFQERVGSLRGGIEFMELCGFEKMEGGEFLFLPRDKIDPAVINSAGTELNSAINNPFFGEEMVRKKVPEWLNSTMWSTPPPSPSPSFNDDDATLLRHSPATKMSSMKKQEAESISVTPPPSTASSVPSPRPRNNGSSISGEYGNSSVAPSSAEDFSRQAHLSAELSRKVINMKELRSLASQSLPDSPGIRSTVWKLLLGYLPPERSLWSSELKQKRSQYKHYKDELLTSPSEITWRLVRSKGFDNYELKSGSRCMLSRSRITDEDHPLSLGKASVWNTYFQDIETIEQIDKDVKRTHPDIPFFSAESSFARSNQESMKNILLVFAKLNQGIRYVQGMNEILAPIFYVFRNDPDDDSSSHAEADAFFCFVELLSGFRDFYCQQLDNSVVGIRSAITRLSQLVRKHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFSFFDCLHIWDALLSDPEGPLESLLGICCAMLVLVRRRLIAGDFTSNMKLLQHYPTTNISHLLYVANKLRSKINTQSTYSSQYFDKYYMTMYFGDLFNGKIESFCFVIFNMASNIDVTKYGHSPVHHAVVTRDYTRLKKLLSSLPKMRDPSEVKTEAASASEETKADSIASLIDRRDVVNRDTALHLAVKLGDETSAEMLMSSGADWSLQNEQGWSALQEAICCREERIAMIIVKHYQPLAWAKWCRRLPRLVATMHRMRDFYMEITFHFESSVIPFISRVAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQTILFLGDGSEDGKVPSGSLLMISHKDKEVMNALDGAGAPATEEEVRQEVAAMSKTSIFRPGIDVTQAVLFPQLTWRRQERSEMVGKWKAKVYDMHNVVVSIKSRRVPGAMTDEELFSNGNNNQGDETEGEDLGDVLTEDERKQLESALKLDSPEESSEEREVMVTDGNGCCKQEKKGWFSGWKKREEGGGKRSSVPPRSSLCVDEKVSDLLGEEGREIKPGRHSTVESVVRDDSLKASTSEGKRKEGSRENEYKKGLRPILWLSERFPLQTKELLPLLDILANKVKAVRRLRELMTTKLPSGTFPVKVAIPVIPTIRVLVTFTKFEELEPIEDEFVTPPSTPTSPVKNSPREEPQASSSSSSSSWYQWMKTPSQRPSTSSGGFNNGKGENDQDPFAIPRGYNWITAEEKKKKVQEKNKAKKGKSSQNS >A01p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9891313:9891909:1 gene:A01p020140.1_BraROA transcript:A01p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRNKKKRDDDTVSMDVSETKSVVSESAPQAMDTSETGDAKLAARNRNLTSAKKGKPMKRTKNARKVKAVAKAIAKNDKYEEKASKNVSKKQRTLSAKKLYE >A04g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3781940:3784543:1 gene:A04g501530.1_BraROA transcript:A04g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNKVDTKLQESSYDDQQKWVLDSSLDSRGGVPVRARTGAWRAALFVIANEFSERLSYFGIVTSLVVYLTTILHQDLKMAVRNANYWSGVTTLMPLLGGFVADAYLGRYATVLFATIIYLMGLILLTLSWFIPGLKACNQEICVEPRKAHEIAFFIAIYLISIGTGGHKPSLESFGADQFEDGHPEERKMKMSYFNWWSTGLCAGVLTAVTVIVYIEDRIGWGVAGIILTVVMATSLLIFLMGRPFYRYRAPTGSPLTPMLQVFVAAISKRHLPCPNDSSLLHELSREEYTKGRFLSSTNNLKFLDKAAIIENRGSENGMAEKKSPWKLATVTKVEELKLLINMIPIWFFTLAFGICATQGTTFFIKQAIIMDRHIGHNFIVPPSSMFALVALSMIIFLTFYEKLLVPILRRVTGNERGISILKRIGTGMVFSLITMIIAALIERKRLDYTKQHHMAMSVIWLAPQFIVIGIADALTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAASFVNNFLITVTDRLAEEISGKSLFGKDLNSSRLDRFYWTLAALTAVNMCFFVIVAKKYTYKSVQSSLAVADGGDDVEAASVGNTSKYT >A05p048490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28393856:28395158:1 gene:A05p048490.1_BraROA transcript:A05p048490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucan phosphatase LSF2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G10940) UniProtKB/Swiss-Prot;Acc:Q9SRK5] MSVVGSKICIFSLSSHFKENDKSSRLNSINKPSIDPRRFPRNLGVSCKISGSNGAEENPGKNGVSLSSKNKMEDYNTAMKRLMRSPYEYHHDLGMNYTLIRDELIVGSQPQKPEDIDHLKKEENVAYILNLQQDKDVEYWGIDLDSIVKRCKDLGIRHMRRPAKDFDPLSLRSQLPKAVSSLEWAVSEGKGRVYVHCTAGLGRAPAVSIAYMYWFCDMNLNTAYDALVSKRPCGPNKGAIRGATYDLAKNDPWKEPFENLPENAFEDIADWERKLIQERVRANRGT >A03p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4176130:4188633:-1 gene:A03p010410.1_BraROA transcript:A03p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLKRKAFAAAGGEREKAIRRLLEDQVPIFFFFNNIISFLERENIPIHLPKKSVGPVNIIVGSHVWIEDPGLAWIDGEVVKISGEEVHVQTTNGKTVVAKIANVFPKDTEAPPGGVDDMTKLSYLHEPGVLNNLAMRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAIAEVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVELQFDKSGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEEREKFKLGDPKSFHYLNQSKCYKLDGVDDTEEYLATRRAMDVVGISEEEQDAIFRVVAAILHLGNVEFAKGKEIDSSVLKDDKSRFHLDATAELLRCDAKSLEDALIKRVMVTPEEVITRTLDPDSATGSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKTIIGVLDIYGFESFKINSFEQFCINFTNEKLQQHFNQHVFKMEQEDYTKEEINWSYIEFVDNKDVLELIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFKNSKRFTKPKLSRTSFAISHYAGEVTYQADLFLDKNKDYVVAEHQDLLIASSDTFVAGLFPRLAEETSSKTKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNVLKPAIFENVNVIQQLRCGGVLEAIRISCAGYPTKRTFYEFLNRFGVLAPEVLEGNYDDKVACRMLLDKIGLKGYELGKTKVFLRAGQMAELDARRAEVLGNAARKIQMQIRTYIAQKEFRTLRGAAIILQSNCRGKLACNLYEEMRRQAAAVKIEKSFRRHVARESYLRTRHSTITVQTALRGMVARNEFRFRKQMKAATIIQARLRSHLAYSYYKKLQKAALASQCGWRSRVARKELRTLKMAARDTGALREAKDKLEKRVEELTWRLQLEKRQRTDLEEAKAQEYAKQQEALQAMRLQVEEANAAVIREREAARKAIEEAPPVIKETPVLVEDTEKINSLTSELEALKASLQSERQAAEDLRKAFSEAEARNSELATELENATRKADQLHESVQRLEEKLSNSESEIQVLRQQALAISPTSRTMTTRSKTMLLPRTPDNGNLLNGETKTTPDMALAVREPESEEKPQKYLNEKQQENQDLLVKCISQNLGYAGGKPVAACVIYKSLLHWRSFEVERTNVFDRIIQTIASAIEVPDNNEVLAYWLSNSATLLLLLQRTLKATGAASLTPQRRRTSSASLFGRMSQGLRASPQSAGAGLSFLNRQGVTKLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRAQANAVAQQALIAHWQSIRKSLNAYLSLMKANKAPPFLVRKVFTQIFSFINVQLFNRHKDFSVMKLCSLLLRRECCSFSNGEYVKAGLAELEQWCTEATDEYAGSAWDELRHIRQAVGFLVIHQKPKKTLDEITRELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIANMRVMMTEDSNNAVSSSFLLDDDSSIPFTVEDISKSMQQVDVNDIEPPQLIRENSGFGFLLTRKEGSASSASTLLLRLPILLLPLSSASVPSTQLFHCDSVPSSTQSLRAQLRINVIAPSGERYPSQAHLPSPPPLNSSGPQPGPNPSLPTGPSIKKLVTTSETCFDGDAIKTGLLYTSALSRPSPRPPIGFPSV >A07p025830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14813975:14815599:-1 gene:A07p025830.1_BraROA transcript:A07p025830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIVYYTIFWVVSPIDDHNHLPPIVPAKKRMAESASRNFSKKLIEIDISSDTVCPWCFVGKKNLDKAIDASKDQYNFEIRWHPFFLDASAPKEGVNKKEFYRQKFGSRVEAMFRRMSEIFKGIGLEYDTSGLTGNTLDSHRLIHYTGKQAPEKQHSLVEELCLGYFTQGKYIGDREFLAETAKKLGIDGAEVFLSDPSNGTTEVKEELEKYSQNITGVPHYTINGKVKLSGAQPPETFQSAFEAASS >A01g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2448940:2452215:1 gene:A01g500640.1_BraROA transcript:A01g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYGISFLILAEKQGKSMIVVGFSVPKKDHGYELIEKLEAGVQDMLQIFEDRKRDVVAPKQK >A09p007690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4018547:4020525:1 gene:A09p007690.1_BraROA transcript:A09p007690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP4 [Source:Projected from Arabidopsis thaliana (AT5G60850) UniProtKB/TrEMBL;Acc:A0A178UF84] MQDIHDYSMTGGGGGGGGGGRTGRFFGGGGGGDRRMRVHQNNILNHHQSLKCPRCNSLNTKFCYYNNYNHSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKAKRSKSRQPPSSSSTSTADKPMAQDGEEKPCSSESSSLPAGAATAAAAKGVMGADMHNIKLYGNGIEWSTLLGQGSSDGGVFEMGGFTALSIDTTPFGFGGNTVQQQFEDRTAQVDPTMEFEPLDWGSGGGDQTLFDLTSTADHAYWRLARISCLT >A03g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9277949:9281570:1 gene:A03g503060.1_BraROA transcript:A03g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKKKKRNKKKKNKQNNSKRADGDAIPTEDGNHNGDADIALINQVPDSIELEPSSQQIIINADEPGVVDYTSPNSEAVLEETIKQLRDEIGSHLQKEAVFEETVRRLETENESHIQKEALLEERLEHLRTESEAHIQKQALLEERLEHLRTENEPHIEKEVQLEKMVADLRTQNEAHIEKEVQLEKTDLRTQNEAHIEKEVQLEKTVADLRTQNEAHIEKEGLLEERLEHLKTENEAHIQSEALLEERLLHLRTENEAYIQKQAQLEERLLHLRTENETLKQNEVSEENSEKLEERHVQYKTKNDVLVHEMSSTEVKMRELLDERSTFSQKEASLEKKLQQLQHDEESSTAAAEKSSIEMISSLNNEIGTLRAQVMKLEESRSNLQEQNNSLVETVSSLQVQRENHDNNVKGASEEELNSQIEAACTLVEKLITENAELVEKVNELCIQLNQSQRAFASPPESLAIEVQKSDALEEIPIHDEMIRIDDSGDIETALLERNLSEETVPVSVNPNGEIDVESQVAVAGEAEEVSGGVPLVDAPLIGAPFRLVSFVARYVSGADLAEKKQFL >A07p003290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3348533:3348802:-1 gene:A07p003290.1_BraROA transcript:A07p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIFASEIVGAVKRPRPWPSFRAYGSEIREALRRCKDWEMSAESIVADKCAFLIAKSVTSEQRVQSYVASGAPNWLRDMIEEERCAP >A10p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15398134:15400706:1 gene:A10p023600.1_BraROA transcript:A10p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGLQVERPLFLHRSISLLRFWEAMNIRRGGDLMGVDMLLIDSQATMIPETINVNRLVTHRPNLKAGSVYSLTGFDVARCNPSYRLSDSSLLICFTDSTSFKEVTDPDVPIPTKSFRFINHSEMLGHTNTNNQLPDLIGEITAVKSTFTDPPQDKTRVMATIEMNNDTSVTMSLFDAQAVSIHNKLEKMRGDPRIVVATSVTKMVGGNQPIKTYKISETSAGESFFNRLVKQDTGVMPATPLLRGYAKVEALSIAELCHHSLSGTEIKIDKWWCYVSCSNCGKKLQHTASSFTCVPCNNTSIVGVLRVVCLLRWGMTKLHNMRAYEAGHLLPILCVDYLFIQSHSSNLAQAGDGVNPEETEAPPFVKDMEGKTYKLHVRVSSYNFTANHQTFTISHILSEVPVKVEAAGSSNVYGAAGKVKKARKA >A04g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12582741:12584107:-1 gene:A04g506050.1_BraROA transcript:A04g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKISEWGEKLKTGRAQMNRIVSDKVKDILQAPTLESKMVDEATLETFEESNWGMSMRICAQINNHEFNASKLLEPSRVRSLKIFSEVVFERILDEMALLVKNSEAESENRRRAFQFIRAWGQSQNLNYLPVFQQTYMVANYHRSERNNFLEIGSYPVPNQEQASGDDDGLLDYNFGNLSIKDKKEQIEITRNSLELLSSMLSTEGKPNHTEDELTVSLMDKCKQLQSLIQMIIESTTDDEGVLFEALHVNDELTRVLSIYELADESDEKASMVEQESSGSKDAHPKPTEEEEKRFDETEPCRKFRIIRQNS >A05p051170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29820545:29822756:-1 gene:A05p051170.1_BraROA transcript:A05p051170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDGDKKKKCLIAGSVSGLLVIMVVSVAVVTSKHTPNENQIRTTTKAVRAVCAPTDFKEACVKSLMDASPNSTEPLELIKLSFNATIKSINDNLKKASEDVKPKADKDPGAKGAFQLCERLMIDAIDDLKKCVDREFSVTQIEIFVEDLRVWLSGSIAFQQTCIDTFEEIKSNLKRDMLNIFKSSRELSSNSLAMTTDLPTILPNSNITGLTGALAKYARKLLYTEDGIPTWVGPHARRLMQAEPKPKGGGPPVKANVAVAQDGSGHFKTITDALKVVPKNNRMPFIIHIKEGIYKERVKVTKKMAYVTFIGDGPNKTKITGNLNFGIGKVKTFLTSTLTIEGEHFTAKNIGIENTAGRKGGQAVALRVSADYAVFYSCQIDGYQDTLYVHSQRQFYRDCTVSGTVDFIFGDAKCILQNCNIVVRKPKRGQSCMVTAQGRSDVRESTGLVLHNCHIMGDPAYIRVKSVNKAYLGRPWKEFSRTIIMKTTIDDVIDPAGWLPWKGDFALKTLYYAEHLNAGPGSNEALRVKWPGIKKLSPEEALLYTGGRFLVGDTWIPQTQVPYSANM >A02p051240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31510112:31513748:1 gene:A02p051240.1_BraROA transcript:A02p051240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPMSLDYTQIFDSWPQPPPHNLQQPPEPSVTALMDSLRRDSKPEFVSRAKRVFLGQDSERERGEALKLLAACCGCDSVGCASAIFNGDIGSVPYINDAAEDTGLSPLHAAAEANAPRCVEMLIKRRARTDMRSKDGRALLPLELSLCSGSMDVTWDPSADSLGDLILLLGDKDLTVVRLLAEKTKEVDVVAHSYATAGEIVPLTALLIVAVDKIREASVALQDVDDSVWAKPKTTIYESVILEALRSNSKKRMLLLREIGLLQLFGAAVFSESVDKQTSPLFSIVQAGDEAVLELLINTDIDVNETDAEGNTALHCCLKGSSVPHKQQTRIMNLLLVHGARITHKNKMGLSAVHLAAANGNLSALEILLAAYPELVHYKTVIKETPLFFAVKNNHLDCVELLLQCGASSEIHNLRKQRPIELTQSQDIRFLLNPTNITCSSKEAEPAKGDDEETSFAGTAVPPWLKKYREINGYPLSSLKADFRADFGMELNHSSLGFSKLIDFIKYFPKLCQVKCVLVGDSGLATHWVMLPSKCSQLKGRPPEPLIIKNDSFFPNKPKDSSAPALKYTQQAHDSQTLKAIFSNVSLSPNKPKVSSTQGHDSTTLEPKVEPQPLVTASYNNYHQLKHPVLETASVNKHTQQAHVSETLKPEPPLDASYSYHHHQLKHPVLEALARIRNSTSIFFLREFDFYQSYETCLRKGMCFWCNKSMLLWANFPCRHKLWCSTCKHKIAQSAGDHHRCVICDAKVECFILSPPFGFDHHRHSSRLPNDAEIATCSMKKGIIHLDHGI >A02g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5745477:5746582:-1 gene:A02g501780.1_BraROA transcript:A02g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLSAAAYMESSSSGNPKLYSGQKSKENSKEITYKVYFDVEVDGTEARRIVMGLFGKTVPKTADKPYSHLFSREKGIGNKGKALHYKGSSFHRIIPSFMFQGGNFTHGNGVGGESIYGETFADENFKLKHTGQVEAFLLCIMYSLQRFTVFHHNSNNKLRAWNFIQVWRYVMSGKVVTGMDVV >A06g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14683195:14684787:1 gene:A06g504930.1_BraROA transcript:A06g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLIKLYSSSTHLKVDLSNLPLIFSSFKPFERFWICRFFRSGFDMQAFHIWKTSGTTYLTKCTRRLPGSFPDDFQEVFQTTSISVFGLPGSRLGFLKVFWTSWKSYGLPGSLLTKSSSISSGVQVIIFLSHCNKISMCRTFTQNPECGEKVREILCLVHKNGERRRSFKLVVHGGWGIDDNGNLVIT >A10p027570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17339852:17342339:-1 gene:A10p027570.1_BraROA transcript:A10p027570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIMEKERSVDVEEAANVLESAWQLWHVNGTRCPKGTVPIRRNTMNDVLRAKSLFDFGKKRRSIDPDRRTEKPDALGTSGHEHAIAYTETSSEIYGAKATINVWDPKIEEVNEFSLSQIWILSGSFVGPDLNSIEAGWQVSPELYGDNRPRLFTYWMSDSYQATGCYNLLCSGFIQTNNKIAIGAAISPLSTFKGNQFDITILIWKDPKMGNWWMGLGDNTLVGYWPAELFTHLAGHATTVEWGGEVVNTRSSGRHTTTQMGSGHFPDEGFGKASYFRNLEIVDSDNSLVPVHDVKILAENTECYDIKSSYSNEWGTYFYYGGPGFNPGCA >A05p050530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:29396338:29397525:-1 gene:A05p050530.1_BraROA transcript:A05p050530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein 12 [Source:Projected from Arabidopsis thaliana (AT3G07550) UniProtKB/Swiss-Prot;Acc:Q9SRR1] MENVAESDDVRTSIIHLPDDCLSFIFQRLDNLDDHDSFGLACHRFLNIQNINRRSLQFQCSLSLLIPSSLSQPTLAVNSDHLHRLLTRFQWLEHLSLSGCTVLNDSSLASLRYPGARLHSLHLDCCYGVSDDGISTVASFCPNLRVVSLYRCSISDIGLETLARAASLALRCVNLSYCPLVSDFGVKALTQACLQIESVKISNCKSITGVGFINSSPTLSYVDAESCQLEPKGIAGIISGGGIEFLNISGAVSYIGKDGLVPIGSGVASRLRVLNLRMCRTVGDESIKAIAKGCPLLQEWNLALCHEVRVEGWVAVGKWCYSLKKLHVNRCRNLCDQGLLGIRRGCKNLRILHMNGNARLTSTAVEMFKLHRGDVTLRSEEVMVIGPDWRLYTRG >A09p060380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50082792:50086318:-1 gene:A09p060380.1_BraROA transcript:A09p060380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAPGAFGTSLQMNGGSKAKRKTPAELRGEQLKRTSFVDQAKESFDALRPCKSIEREYGFKKQEQLKNPKYIEMRMDELYPVKKARPWMHSGKDISKENGVKEQSSNLFNVSLLSDVAATKRQQLVREDNDASTEVSNDTNTDARHTNESRFRSVTELSTRGEELSYSPDIDMTKALKGLATCAKFSVHPDDIREKSDTASLSGNFVSEFHIPGREIPLDFSMKTYVRLVSSSPLNWLHRSIMSSTYNGMPQLNSLSCNVVNQDNSSGSGSAVVSQVLNSMSLHSWVYPQSTLPPSIISALLTSGPDRGEDDFLQKRQLAWEDAFRTLYFMFRKNLCKIFYGLPFALSFRRIQHTPCCYSGKDASKSLCTSQFVAMFTGSCESEGVKRTCNAYITQSTRRLRAMLKDLDICYSMPLCKTKIDETTVEDLAELSEIENHNLGQARRLRSVSNIDNTPESFLAFEGNESVHGVYDLLLNFRSSLGFLLTADVPVLYSPVPFQNAALSSPEIKCMEMVKTDDTSCCMVEVKGEYLPPWIISNICAKVGANGQNFEASFVTEPTSVSLNMGLPQIPEKTEPETSVTEGTGETKDGASGIPGAVICPQLQAGYLKSLKYCNNLNTVSVSLSPS >A04p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12680371:12682552:-1 gene:A04p020780.1_BraROA transcript:A04p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEKVVAVIMVGGPTKGTRFRPLSFNTPKPLIPLAGQPMIHHPISACKKIPNLAQIFLIGFYEEREFALYVSSISNELRIPVRYLKEDKPHGSAGALYYFRDRIMEEKPSHVFLLNCDVCCSFPLQHILDAHRRYGGIGTMLVIKVSAEAASQFGELIADPETKELLHYTEKPETFVSDLINCGVYVFTSDIFKAIEGVYNQMRETCEFSSLMAYLKRIALFFFDFILNLAASNYNHFQYATRSVPADFVRLDQDILSPLAGKKQLYTYENKDFWEQIKTPGKSLKCSDMYLSQFRETSPHLLASGDGTNKKPMIVGDVYIHPSVKLHPTAKIGPNVSISANVRVGPGVRLINCIILDDVEIKDNAVVINSIIGWKSSIGRWSRVQASGDDNERLGITILGEAVTVEDEVAVIGSIVLQNKTLNASVQDDIIL >A10p018660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13015697:13017403:1 gene:A10p018660.1_BraROA transcript:A10p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKAFVPTVLLLCFWVLLSSGSSRLLQSDDKGNELKNYISWEDLRVVEEVGRERRSSSVKVKDNNMVNQESNMATVNASRVIVVDKRGRGDSVTVQGAVDMVPDSNSQRVKILILPGVYREKVIVPRTKPYISFIGNESYAEYTVITWSDKSSDPYSNGTELGTYRTATVAIDSDFFCATAITFEVLKPFHLVFFFYMHFEFMFFNVMDNELQNTVVAEAGEEGKQAAALRITGDKAMFYKVRVLGSQDTLNDATGSHYFFQCHIQGSVDFIFGNAKSLYQDCDIRSTARRFGAIAAHHRSEESDDTGFSFVNCDIGGTGKVYLGRAWGNYSTTVYSNCYIADIITPVGWSDWDDTDRQSKVLFGEYNCRGRGAERRGRVPWSRSLTQDEVKPFLGREFIFGDQWLRL >A01p025610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20001088:20001793:1 gene:A01p025610.1_BraROA transcript:A01p025610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPTTLCLSHGDESCKRSPTQGYALAVKRNLMGHSRNTVRRLVVTAATEGSRKSKESQPSWANPDSDEPPPWARNEGPSSTSQESFEVPFYVYLLASAITAIAAIGSVFEYSSKNPVFGVLDSDSIFYTPVLGYFAFTGIPTSVFLWFKSVEAANKEAAEQDKRDGYR >A01p020220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9928346:9929442:1 gene:A01p020220.1_BraROA transcript:A01p020220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIVSAIVFVFNLIAFGLAVAAEQRRSTAKVVQDTEVQYNYCVYDSDRATGYGVGAFLFSVASQFLIMLVSRCFCCGKPLKPGGSRALALVLFIFSWIFFLIAEICLLAGSVENAYHTKYRTMFMDNPPDCQTLRKGVFAAGASFVFFNAIVSQFYYFFYSSAADASLSPY >A09g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19036199:19042719:1 gene:A09g506400.1_BraROA transcript:A09g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSSQVAVDGTNLSGLQTDPAAANAEDVLPTDQANLTGTQQDGQEHQESDEEVEFSNANRDGGQREKVADGTANVTATLSKEDLLEAMKDIKASVPWSRKKVKDIRKLRLNLIQAKRQLGRVKTVTGRSGRVARPASMKLGSVHSSSVPTKSAPLAGLLAHSAEAAESQLISARRTVRTLGRWSGSGSVAGCEKKRREKEREKERVRPRERPIVVVLCSGDSDRLRTNSGQEWEIKTRRRAWRTLTWFTRLGPILMDQPMACLVKTRSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNGWLDDTRDELVIVYETVKKLCIESHVSK >A03p030250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12685107:12686134:-1 gene:A03p030250.1_BraROA transcript:A03p030250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLFRKLQNELDGYFRDSIRATIERYKKASSDNANTHSVQEINAAYYQQESAKLRQQIQTIQNSNRNLMGDSLSALNVKELKQVENRLEKAISRIRSKKHELLLAEIENLHKREIKLDNESIYLRTKIAEVERFQQHHHQMVSGTEMTAIEALASRNYFAHNIMTIGSGSGAGHGCSYFDPDKKTHLG >A10p000040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12964:16357:-1 gene:A10p000040.1_BraROA transcript:A10p000040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G02145) UniProtKB/Swiss-Prot;Acc:A8MR93] MPKMTKFLQSYGYDLILGAIAAIYVVMSPYTKVEESFNVQSMHDILYHRHRLDSYDHLEFPGVVPRTFIGAFIVSFFASPLVSFITWLGFPKIYSLVAARLVLGCIILSTLRFFRIQIRKKFGPQVESFFVLLTSLQFHFLFYCTRPLPNILALGLVNLAYGHWLKGNFYSALSFLIFSTIIFRCDVMLLLGPIGLEFLLTRSISFWKALKYCVGTAVVAVGLTISVDSIMWKKFVWPEFEVFWFNSILNRSSDWGLLNQTHSIHWYFTSALPRSLLVAYPLSLLGTVLDRRVPFLILPVLSFVILYSKLPHKELRFIISSVPMLNLSAAVAASRIYNNRKKTIWKLVNTVMLALFVISAGCTIVTFMASYNNYPSGYALKRLHQISHPANVVGEEWVHIDTFGAMNGISRFCEEAFPWRYSKEEEVVVEELRNRNFTYLVNEHPSVDGYKCLFSEEGFDRLELRRGFPPIVLVKRAKVYVHRNRKKEDHLHKKWPGC >A01g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4424561:4429334:-1 gene:A01g501180.1_BraROA transcript:A01g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEIPRRLPRIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLIAPAAPAPAAAPAPGPAAAPGPPGVMMVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A04p014250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5407451:5407645:1 gene:A04p014250.1_BraROA transcript:A04p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFLAVSRLTLHPWQLASVVKNTVFTYCLRNHLGVRSLIGIRSMSMTVRPRRSVYDTSLMGT >A08p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24839786:24841967:1 gene:A08p045210.1_BraROA transcript:A08p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRYLRLISQVLDDFTSNHHCLIPISILPMSTEPEVVHSGGEDFVHIEEDSRLTGDISLSDSIVNVEKEDAVEEEEEYKDSDSVVSGGDGIEGVADEGEGSSEATKAELPEELAKSVVMLTCESTGGSGSCDVYLIGTAHVSKESCREVQAVISILKPEAVFVELCSSRLSILKPQALKVCFFLPLLLCFSHVMVMYHCVRFWKRMCIAVSFDSNHVGHDRKLEAETEHVRYTLWMIASQLEVLPGAEFRVAYEEALKYGGKVILGDRPVQITLKRTWAKMPLWHKVKFLYSLLFQAVFLPSAEELDKMLKEMDNVDMLTLVIQEMSKEFPSLMDTLVHERDQYMASSLLRVASEHSSVVAVIGRGHINGIKKNWQQPITMKDLMEIPSDDSVFTVKRIISSVAIAVTGTAIVTGILLARRR >A09p048570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43208579:43212094:1 gene:A09p048570.1_BraROA transcript:A09p048570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFVFHSLYVFLSVRLESGKSVNMDLYDDIFNDQLLQLSPLRFSPSPEPFASLTEFLQDPLMEETENVDGEDVGFINSSAITEANPSMGIPSPNLVPFPETLTLVQNQSDHHFFLNQNMLDSFEQEPGVFAMQPQQSLVCNPKGCLQGNLTNGQLDQQSLFNRITMNPFQETNDSTMIENGRPIEEGNSGYAHPMMTQSFELPNHLQEQFLSLPSSQSYLSEPMYEHGLVASHFNKYDQQPPTLVLPNREDGALVPSMDKNLARTNSAPNQISVTLPLPQMTSNSTSPHFHRNQGNVQERVNQPTPGSRYPTLETYARAQGLPCTKAFAPIDFNVLGRRQRNDQSRFEHEESSSAAQRRRIFMPNRNDNLTAANLVQERLQNTLYSQLYATLGLKIDPHLRNFAPLPKKKSK >A09p010390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5325187:5326761:1 gene:A09p010390.1_BraROA transcript:A09p010390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSSTAESTAREIDLRLTSPVIVAGREESMDLKNSGAVTDRDYTEDLPGECLAHVFQYLGAGDRKRCSLVCKRWLFVDGQNRHRLSLDARDEILSFLPSMFDRFDSVTKLALRCDRKSLSLSDEALVMISVRCSNLTRVKLRGCREITDLGMVEFAKNCGNLKKLSVGSCNFGAEGVNAMLENCKALEELSVKRLRGIHEAPELVCSSSSLRSVCLKELVNGQVFEPLVANTRTLKTLKIIRCLGDWDKVLKTIGEGDSSLSEIHLERLQVSDFGLSAVSKCSKVETLHIVKTPECSNYGLIDVAERCKLLRKLHIDGWRTNRIGDEGLMAVAKNCLNLQELVLIGVNATHMSLAAIASNCEKLERLALCGSGTIGDTEIACIAKKCGALRKFCIKGCAVSDLGIEALAVGCPNLVKLKVKKCKVVTGEIGEWLRERRRTLVVCMDGDGSEATVAPDGEVETVMGEPRVGQAGGAAEVGSGNGGGSRLAMIRSKFGFLGGRNLITCTFGRWSHNDNGTSST >A01g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2855049:2860532:1 gene:A01g500750.1_BraROA transcript:A01g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTIQRFLNPSICEYPTLEEDSSSMKERPEAKPITEVKRSLSSFHKAQDQEKWPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGIPEVLSCTRTQEISWFNGESLKPNRSYLWKDWTIFRFDPFQAIPIQPGEPDDVQTEPRHPGDIIREPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVQNRPSPSPSRPSSHSIAVRPSCPVRVLEPQSVHLSSLNPSLQHLVSELKLLTQEEMEELAQSQALLASQKQLLAAMKGVQDQISQLEKRNKAQGQRPQQGKRRFGDAPEDGYVEPKPPDPSWITPHHTSSTHKHLTHSYLDFKPVNEVKIYSFSGSSWPDDYLSWERTMDDWFSFHGVPKKEKLSHAIKQLNGSAYKWWKGVDGARWKSQREAIKTWEDLKEAMIRKYVSSLPTPEIRERYPRRFSSHGSKEAKRVVPQQGHRSLIHQEQIRPNKGHTVLYDQSQPYEVPKTMERKNFVSQDTLARHKEKSDKPIFQEKAKVSPILDKFVYKSSPTGMSHLSLSKDVKTGPEIQKDTNSTSLLRSKSMLLKEAKPVNKVSNQGKCQTPPRETGIYVCVLDVESKNESYLLPEVLRKEPDHKPSHEPPHKWKSSVEQCVQMPRLKVIFSDLKTSKTLDYPDIMHLSLPKSFDPGIKEVEVHNHQGQKMQRRQQTRTSCPKKKIILQLVEAIKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRKVDPTPYSTSQGANQDIRALKMPYLTNQEGLNHEANFYAFYTQEGVQANWNWVKIITEREVMNFTIQRFLNPSICEYPTLEEDSSSMKERPEEKPITEVKRSLSSFHKAQDQEKLPRKLGVMINSPEPAKPTSSMESLQPIQLGSTQSYLWEPGDHLNQSGGEPDDVQTEPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISFYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSDFLLSLDLFPFFSFVNV >A03p048920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20901053:20902294:1 gene:A03p048920.1_BraROA transcript:A03p048920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSTYFVYSKGTKRMGMISDLPDHMLLEILSWLPTREVVATMLLSRQWKFLWKQVAKLDYDFSQNDGKTFRTLSTVSRKVRDLEIDLTAARNPMITTLPKSLYTCGTLTCLKVKALVLDDIPEEYPICLASLRYLYLSVSSQVSAHMFIGKLTAGAPLLKKSVVRGDNVYNKQFLDYMARKNSLKSFTLCSSEWDPVTIGPYFGKLEHFCMCTCSPLWDSLIAFLQYSPKLCYLQLTKSCNLRRCFLWAEPITTSFPKCLSSTLETLEWRDYMDTQFDKDVISFLLKNSTCLTKVKIVPVSTAGDIEKLRIRTYLSNLSRGSTACQRIFP >A03p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13631823:13634311:1 gene:A03p032210.1_BraROA transcript:A03p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLTRVFRPLSECPWWLPAVMFFGPSEFDVDDMGYAMSRLETESDAGRDFSGVGSSSSGSHISSEHLDHDDINQITKLKSSPHQRYSRVVPGRHELPVSTVRMLAGRESNFSGRGGRFSAADCCHMLSRYLPVKGPWLVDQMNSRAYVSQFSTDGSLFIAGFQGSHIRIYNVEKGWKVQKDILAKSLRWTVTDTSLSPDQRNLVYASMSPMVHIVDVGSGTTESHANVTEIHDGLDFSSDEDGGYSFGIFSVKFSTDGRELVAGSSDESIYVYDLEANKVSLRTVAHTSDVNTVCFADESGNLILSGGDDNLCKVWDRRCFIGRDKAAGVLVGHLEGVTFIDSRGDGRYFISNGKDQTIKLWDIRKMSSTPPAKHDVIRNYEWDYRWMEYPPEARDLKHPFDQSVATYKGHSVLRTLIRCYFSPSHSTGQKYIYTGSNDSSVYIYDLVSGDKVAVLKHHSSPVRDCNWHPHYPTLISSSWDGDLVKWEFPGRGEAPITSKKRVRRRHLYY >SC122g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:100060:101627:1 gene:SC122g500080.1_BraROA transcript:SC122g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQGWCVRDPRFKFSSQAKMEHVMNIRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGHITTGEAPKLITQGGDQEEVSNEAARSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNEMKDKIKRLRETPWRLRVIRTV >A08p010250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7846123:7847521:-1 gene:A08p010250.1_BraROA transcript:A08p010250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKTNVDLPDIFRQDRSIHTIYNDENESIQRVMVTIQLDSYYFTIITALVPTLNIPDAGSAEQRVVPLVYLPKYGGVKKLEYVRLSKLNIYVLNSPLQHHTSDDMSVAVKLSMVPNPLVKSSCSYHTNGPDDVPIIEGCPASTDPEPAHTDSVLTSTETPIDDEKAPVQSKLEILITPTMETWNLQNSIQLFVSKFYVFFNNVVIHILLLSTKVFLSTYLWYSAI >A05p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9940008:9946502:1 gene:A05p021010.1_BraROA transcript:A05p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNQAKRDTKEMDFFTEYGDANRYRILEVIGKGSYGVVCAAIDTHTGEKVAIKKINDIFEHISDALRILREVKLLRLLRHPDIVEIKSIMLPPSKREFKDIYVVFELMESDLHQVIKANDDLTRDHHQFFLYQMLRALKFMHTANVYHRDLKPKNILANANSKLKVCDFGLARVAFNDTPTTVLWTDYVATRWYRAPELCGSFFTKYTPAIDIWSIGCIFAEVLTGKPLFPGKSISHQLELITDLLGTPKPETISGVRNDKARKYLSEMKKKDPVTFSQKFSKADPLAIRLLQRLLAFDPKDRPTAAEALADPYFKGLAKVEREPSCQPISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYMSGSEGSSFVYPSAIGHLRKQFNYLEENSSRNGPVIPLERKHVSLPRSTVHSSVVHSTSQPIPAGTRALGPPPRVPPSGRAGRVVESSVSYENGRNLKEAYFRSAVSSPHCYFKANTMMNPNNSKVETSFQPKPQEFVTVPPAARTNQTNVEIVNRPNPYFQSQVPNIEHSSNNNNMAIDAKLLQSQTQFGPAGAAAVAVFHFKMATTYKPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSDLKNLNPSHSSPNFKFVKGDIASDDLVSYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAAVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKMIPKFMLLAMSGKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKRERRVIDVARDICKLLGKDPESSIQFVENRPFNDQRYFLDDQKLKNLGWSERTGWEDGLKKTMEWYTQNPEWWGDVSGALLPHPRMLMMPGGRLSDVSDEKKDASSNTVQTFTVVTPNNKTAGSNDKASLKFLIYGKTGWIGGLLGKLCEKQGITYEYGKGRLEDRASLIADIRSIKPTHVFNAAGLTGRPNVDWCESHKAETIRVNVAGTLTLADVEELLREFDNVCTLRVRMPISSDLKNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSYIEPGFKWSNFTVEEQAKVIVAPRSNNEMDGAKLSKEFPEMLPIKEALIKYVFGPNKRT >A09p010760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5454033:5454685:1 gene:A09p010760.1_BraROA transcript:A09p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYGGKNCFLQPQQAYEAQTGVMSQPQQLPGFLQPQQAFETQTSVMSQPQQHWGYTQPQQPHHHSLTTTRTRVFNKQHEQNHQMDAEFESGMNNSSAYGIHDDTCFSAAATTASNAGEADERRGVCIGVHVHLIVKPSYIQQHLIFLSSAMYLPIASHLPLALLKSISVHRQVRNLMVSVEAVPS >A09p072860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55739606:55740274:-1 gene:A09p072860.1_BraROA transcript:A09p072860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLEMATVPGQLIWEIVKTNNCFLVKQFGRGNAKVQFSKETNNLCNLNSYKHSGLANKKTVTIQAADKEQGVVLATTKTKKQNKPKVSVNKSVLKKEFPRMSKAVANQVVDNYYRPDLKKFALARLSVISKSLRVAKSGAKQRNRQA >A06p032890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17591014:17594939:1 gene:A06p032890.1_BraROA transcript:A06p032890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWEIHRFRSHDMLVMHSYLVSVRLRGRSEKRIGSWTEWVRKVCKSISRILALALNLDVDYFDTPEMLGNPIAVMRLLHYEGKSDPSRGIYACGAHSDFGMMTLLATDGVMGLQICKDKDAKPRKWEYVPSIKGAYILNLGDLLERWSNGLFKSTLHRVLGNGQDRYFNSGKHGLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVIRKIPTSRWVRPLLRLRFQLLRRKCRLVLPVIFLFREKLVRRQAEKELAQTGSEFPSSSVQVVAPCGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRTPSAILATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVAKLEVAIGELERDIGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPLTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A06g501060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3929911:3930600:-1 gene:A06g501060.1_BraROA transcript:A06g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAGDSSAIKPNLKRNGSGELDVFEATRYFSDFNEPTMAEYSRIQVQKQNTVTELRQKRIHPETEDKLPEPRVVIVKPQEKEMKTRGGGGKKLTSFLNSLLRSAGLKKLKSKSKSTPEVESPRGERRRRHSCVVTVTTHAAASSPISGAGAWSTRRRSFDEKHVKGLGSKKSDQKLNMRFCESLCSDKKVEYKDRNGGEDGVNGGYESDSGSESDLFELDLFAKSKP >A08p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11515670:11518606:-1 gene:A08p012660.1_BraROA transcript:A08p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIQVPPETGKSMFCLFFFSTFLSCFHVCFPELSSTQTNTMIELSRFLNIPDWNLPGSERNPCSWEGVDCSRPDSSSVISLSLFGFDLSNSSFLPLVCQIQTLESLDVSNNSLSSIPDGFMTNCGTLVGLKQLNFSGNQVSSFPGFRNFSKLEVLDISHNRLSGNIGDYGFDALVQLKNLSLNFNKLAGSVPTDLAKSLVNLEVSDNLLSGSIPEGIEEYQELRLIDLSDNLLNGSLPSSLGNLSKLETLLLSNNNLKGAIPESLSRIQTLSRFAANRNGFTGAIPSGITKHVENLDLSFNLVNGSIPDDLLSQPKLVSVDLSSNMLVGWLPQSISHSLVRLRLGSNKLTGSVSSAAFEWLQNLTYLEMDNNGLTGHIPPAFGNLVSLNLLNLEMNQFTGILPPSLGNITSLQVLKLQQNKLTGEIPDEMGSLSKLLILDLSWNSLSGSIPSSLSNLMKLTNMKLQGNNLSGAIPDSIGDLSSLLELQLGQNQLRGRIPIMPPKLQISLNLSTNMFQGPIPSTLSQLNLLEVLDLSNNKFSGEIPGFLASLISLRQLVLSNNQLIGNIPKFTHNVSISVTGNPAITMVDHGVVIPGRPSGKKSQLVLIVTLVAVGVTALVAVIIILKLYTRFKGVNNMQVDLDEEEGSTVLPEVIHGKLLTSNSLHKSNINFAKAVEAVAHPENALFQTMFWSYYRVVMPSGSSYFIKKLNTRERLFQQASSEQLELDLEMLGKLHHSNVMVPLAYVLYSQGVLLFYEFAHTHSLHDVLHNHPSDVVDWTSRYSIAVGIAQGICYLHGSSSNARDPVLVPDLSSKKIMLKSLTEPLVADIELFKVIDPSRSNSSLSAVAGTIGYIPPEYAYTMRVTMAGNVYSFGVILLELLTGKPAVSEGRELSKWVQSQQEQRNNILDLRVSKSSPVATKQMIRALSIALACINISPGARPKMKTVLRMLTRL >A08g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8967270:8971799:-1 gene:A08g505350.1_BraROA transcript:A08g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISADDRYQEMPRQMKINIDRCTQLLVDSIPKCMPNGTRSNKEKDLLFSDDPAHFERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDTNRSTTIDTTLRTSIDTVSSKMVNIIILTRDENGNLYDQADHLCNATVVRNEKLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNVSTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTDERPETHKFTNMFPTSFDAVHSTSVDTHPRPAKQPLTLIDTHTRNID >A08p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14256420:14259643:1 gene:A08p021210.1_BraROA transcript:A08p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVEGSVAQGENTIEERHVGAMWELEQKLDQPMDEEANKLKNMYREKGLSMLMLLRLSFQSLGIVYGDLGTSPLYVFYNTFPDGISDSEDVIGALSLIIYSLLLIPLIKYVIIVCKANDNGQGGTLAIYSLLCRHAKVKLIPNQQRSDEDLTTYSRTLLPEGSFAAKTKKWLESKESRKRALLFIVLLGTCMMIGDVLSATGGIKVISPKMSSDIVVLVSIIILIGLFSMQHYGTDKVGWLFAPIVFIWFLFIGATGMYNICKHDTSVLKAFSPTYIYLYFKRRGLDGWISLGGILLSITGTEALYADIAYFPLLAIQLAFTFFVFPCLLLAYCGQAAYLVNNKDHYKDAFYASMPGTAVVLVMLATTLLMILIMLLVWRCHWILVLIFAVLTLVPELSYFSAVIWKINQGGWVPLIIAAISLLVMSVWHYATVKKYEFEVHSKVSMSWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKTFRMFRCVARYGYKDLHRKDDDFENKLLNNLFSFIRIETMMEPEEDEEEDELQYLKTCKESGVVHIMGNTVVKARNGSWLPKKVAIDYVYAFLAKICRENSVILHVPHETLLNVGQVFYV >A01p055160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31312310:31313382:-1 gene:A01p055160.1_BraROA transcript:A01p055160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U8 [Source:Projected from Arabidopsis thaliana (AT3G09270) UniProtKB/Swiss-Prot;Acc:Q9SR36] MNQEEQVQVLGLWGSPFSKRVEMVLKLKGIPYEYIEEDVYVQKSPMLLKLNPIHKKVPVLVHQGKSIAESLVIVEYIEDTWKTSYPLLPHDPYERSMARFWAKYVDDKVMLTLWKACWGPESEREKMVEEAYDGLKYLEEELGDKQFFGGETIGFVDIAADFIAYWFGIAQEASGVMIMTAERFPKLQRWSQNFVRNNIIKEVLPPKEKLMALFKAQFGNNASNEA >A02p019360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8945796:8948052:-1 gene:A02p019360.1_BraROA transcript:A02p019360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERAD-associated E3 ubiquitin-protein ligase HRD1B [Source:Projected from Arabidopsis thaliana (AT1G65040) UniProtKB/Swiss-Prot;Acc:Q6NPT7] MIRLRAYAGISTLATLSVIYHAFSSRGQFYPATVYLSTSKTSLVILLNMGLVLMLALWNLVKLVFLGSLREAEVERLNEQAWRELMEILFAVTIFRQDFSVGFLSLVVTLLLIKALHWMAQKRVEYIETTPSVTLLSHVRIVSFMVFLLILDGLFTYTSIRQYIQTPKASMSVFFTFEYMILATTTLSVIVKYAFYVTDLVMEGQWEGKPVYTFYLELVRDLLHLSMYLCFFLMIFMNYGLPLHLIRELYETFRNFKIRVTDYLRYRKIASNMNDRFPDATPEELSSNDATCIICREEMTSAKKLVCGHLFHVHCLRSWLERQNTCPTCRALVVPAENATSTASAAHQVSLQQQGTGTSSSDGQSSSVAASGNLSRHEARVRAAASAASIYGRSFVYPSSENTLVWSQGYSSLPQAELESQKRYLESQIEVLQNQLRLLKEPAATTVDMKGKSVAE >A02g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23793623:23804695:-1 gene:A02g508820.1_BraROA transcript:A02g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGARLIYPALGQAVKPYACSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYACQAGEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENGNTLGTL >A06p044840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:4754:5469:-1 gene:A06p044840.1_BraROA transcript:A06p044840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMVMYKREGSFSSVFLSDHHSHQLPVTTGDFLATPLVYFERQTSGDFGLTVLDCLKRRLLHHLACPLGTSRNVRTFKYVLPRFSNCSEDSELVVLCMAKPNLKTAAKREGSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A04p014460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5520053:5521723:-1 gene:A04p014460.1_BraROA transcript:A04p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At5g37570 [Source:Projected from Arabidopsis thaliana (AT5G37570) UniProtKB/Swiss-Prot;Acc:Q9FHR3] MIQRSSLPSLASIETLLRLCKSEVQLRQIHARIIRKGLEQDQNLVSIFLSSSSSSSSSSSSSLPYSSSVFERVHHPCTHLWNCFIKGYSNRFIFFDTVSLLVRMMRAGLARPDEYTFPLVLKVCTNNAQVRLGSSVHGLVLRNGFDKDVVLATSFVDFYGKCKDLSSARKVFGEMPERNAVSWTALIVAYVKYGELEEAKKMFDVMPERNIGSWNALIDGFVKSGDLVNAKKLFDEMPMKDVISYTSMIDGYAKGGDMRSARVLFEQASDVVDVRAWSALITGYVQNGQANEAVKMFYEMCEKNVKPDVFIMVSLMSACSQMGSFELCEQVDTYLHQSMVKGFSSHYVTPALIDMNAKCGHMERAARLFEEMPKRDLVSYCSMMEGMGIHGCGGEAVRLFERMVEEGIVPDSVAFTVILKVCSQVKLVEDGLRYFELMRNEYCIVASPDHYSCVVSLLCRSGKLKEAYDLIKAMPVEPHASAWGSVLGGCSVHGDSEIAEVVARRLFELEPQSAGNYVLLSNMYAALDRWADVALVRDKMKENGIKKISGRSWICR >A05p051610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31486347:31493440:-1 gene:A05p051610.1_BraROA transcript:A05p051610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGGEDDPMMETSEENQQFTSHRKRKADEVEDVGDAGSGAEGRDEEDIDGDGDVSSREGFDDGHQYIPNKKIDPNDEEAQKMRRYRIQMYESNGFNVDKENFPGRVAYRELYPIDLDEPYKSGLTGRAYMQNNVDLTVDKYNKINQGLSLTCVSIVRAVVCTVSCSVKSYITFMARETPDGDLVEYQAKTEQMPWQNRAHGLFCRPTPKPKVIHVPRYEDCVSSDSSTDSNASSRGSDQAWDVDSFDDESEYQPPERMCPIEEEIKLMRLYRPKMHRSKGFYVDGETYPGETVFFSQVDLDERFPGIELTGREHMQSLVDLALEKYNNIKETNVTCESIVRANLTRVNGYKLYITFMARESPEGELVEYQAKTERKVWQRKYHAMFCRPTPKSKDFFKSCNVVNCSSLRMVDHQVKEKSTEEDVQSCSQPSGTTHSDLSIQIPPRPIPFLGGGRIPKGSLKSTSSFKSGGTTSSPSPRGILRNLSLKKKVVTHPESERSSLLSPGLMEGAAKKANAAGSATSPYWQRCLSLPSRQPAKLSPVVPPQLSAAVPGEPTKKDSAHPPVPRSLSMPGRNKVIVRSISFDNRKAHVASETSADQISPVPTEETEEEIPEEEAVCRICLDECEEGNTLKMECSCKGDLRLVHEACAIKWFSTKGTRTCDVCRQEVKNLPVILLRVPTTNQLNSRRGDRSNQQNMQSQTASAWQEFVVLVLISTVCYFFFLEQLLIRDLNTQAIYIAGPFSLTLGLLASVFAIVLAIREYIWTYAALEFALVGMLVRLPAIYSILFAGILGFGIAVCLNSLYLNYFAWRARVAENSNPV >A06g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14604688:14605225:-1 gene:A06g504900.1_BraROA transcript:A06g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMRQKVLWHTLTNSRILLSILILFISMGNVESGFIIRIYCFSLLQYGFNASREDLSCPETEISDSSILKETAAARLDAESLSKGSPTHVKSTSVWVLGGVWNWIINKKSRGSKMNFPNE >A03g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3374083:3375432:-1 gene:A03g501080.1_BraROA transcript:A03g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDEVTEGALEKAEMLSEEEDWEKLKGNAILVVEEGSVIISRDKDDGQTTKGSSRRNIPRAALLSFDEGPPFTLQRLCEILLAARSIYPKLSKLDLALEKVRI >A10p001950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:973127:973529:-1 gene:A10p001950.1_BraROA transcript:A10p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRTTGKRGTRFVYSSLVAEALALRSVVTECRRRDVKEVRFEADSAQLIQAINQRSPALEIYGIVEDIILEDIIRISEEFDVVVFSWIPRLRNCEADLLAKQALASFEQEVVVAVFMPPPN >A09p012420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6346033:6346615:-1 gene:A09p012420.1_BraROA transcript:A09p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGSEEAKDPWKGEEWGNENQTKKKKKKPSSGVTRARKQIPRGLEEKYEAYFLPRKPWPKALAFYGSFVLRGIGAGMLIESWINNKVKEDGGVIWEFDK >A02p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25825010:25826214:-1 gene:A02p040910.1_BraROA transcript:A02p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAAMQLSSTASCGLFRAFPESRAPPRTPAVVIGRKHSRVIFASSVNNHSKGRNDPVEKARDSRADLANDSSKWREESGEDLEACKEQAKDKAYDMKDKTKDKAYDVKEKTRGYAEETKDKVNEGASRAADKAYETKEKAKDKAYAVKEKTKDKAEETKDRAKEYAEDSKEKSEDLAQGFKEKAQDVGEKTMETVKDAWETAKSTAQKVTEAVVGSGEEADRERHDVDKGVEDLSKKAKENWKDDDDDLKGF >A08p000710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:445517:453993:1 gene:A08p000710.1_BraROA transcript:A08p000710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP214 [Source:Projected from Arabidopsis thaliana (AT1G55540) UniProtKB/Swiss-Prot;Acc:F4I1T7] MGKFEIIEEVEGDRVGTTDYYFDRIGEPLSIKEEDAQYDLENPPSQPLAISERRGLVFIAHSSGFLVGRTKDVIAASKNSNGKGSRVCIQEIALVDVPVGDVRILSLSADDSILAASVDAEIHFFSVDSLLNKDAKPSFSYSPDDSGFVKDFRWTRKDKHSYLVLSSNGKLFHGTDSAPPKHVMDGVDAVEWSSKGSYIAVAQDNSLRILSSKFNEKRCIALSFDSWIGDSDEDCVVKVDSIKWVRQNCILLGCFQLIDGMEENYLVQVIRSPDGKITDGSSNLVALSFSDLFPCSMDDLVPVGVGPHLLFSYVDQCKLAITANRKSIDEHIVLLNWSPGDDESAVSVVDIDRETFLPRIGLQENGDDNMIMGLCVDMVSVEGTVNVRSGGDELKELPPFCVLACLTLEGKLVMYNVASVSGLPDSSDVDLASSSDIEDAYAPSVGDDLPGQSSEESEQQRKFNFSVQNEQKHLNSENSVSLLPTEQRFPKEEISKKETESVKSSVSGDNNVKQEPHAGKLLQAADGQQSMIPRQFGTSFVQPPSSVGFETKKFAGFGHIFPVPDKPQKDISEQSKSMNFQTSFGSKSTPGLFAFSGPQNASVTPPQNTPAQLWSSGKGVSPPSFGSGLFPSVKDTQQKQPEQTGTGYVNPPTCIREKPVQAIETTRASALSILTPPLGQSQDADEGVEKIDPLPSIRASQLSLQVKSSFEKSSNHQQHKTPLNAGPLRLEHAMSKQPSNINEMAREMDALLQSIEGPGGFKDSCTSLLTGHIEELEQGLEILAGKCQTCKSITHEQQGEIQHLLDKTIQVLAKKLYMEGMYKQTSDDQYWQLWNRRRLNPELEAKRQHIVKLNKDLTHQLIELERYFNRLELDRYQDDGGLPLSRRGVPNRSAPSRCVQSLHSLHNTMSSQLAAAEQLSDCLSKQMTFLKIDSPVKKNVKQELFETIGIPYDASFNSPDAVKANNASSAKNLLLSSIPASINAQSKQRQSSGTKSSDPETARRRRESLDRNWAAFEPPKTTVKRMLLQEQQKSGTNQQIVSSERLRPKVDTQEQSLLRLKDHASPVVSSNKGIMKSFQQNTSEAQSTPFKSTRAMPQSNSPFNHSSASASKPSFNWSGNKSNSIASYAEESAPSQSKDTKTPTVFEQTEKKAGGFKLPEGKANMFPDRSAGSVQRPTGAPVQSQFSFNTNSSMPGNRLTFPAATVSASSSPLSSTSLDSVSTLPTPSSRSLPTSTKDSVSAPTSIPISLASVPQTLSVAPTSTVSATGISVPFGKSLTSASLDLNQAATSTPSPSPGPTAGFSFKLPASSPSSPEIVSSSTGQSSVVPPSSTASQVSTSLVSATSSPTDSNRLFSSTSSSLTPPITSTAPGAFKFPQVSTPSSAVSTAEPVSEPKKLETQSSSILSTGSTAEPVAKAATSQTELLPVKSEISTSETTVTAPVSTSGFLSGFSSATQSSPVIMAPPSFSWPGSSQPQQPSPTPVSFPTSFSTSASPLGEKKDTVDTQEDEMDEEAPEASPAAELSMSGFGGFGLGSTPNPAAPKSNPFGGSFGNATTATSNPFNMTVPSGELFRPASFSFQNPQPSQRTGFGGFSTTPSQTPTQGGFGQPSQVGVGQQALGSVLGSFGQSRQIGAGLPGATFGSPTGFGGSSPGSGLPNAPASGGFAAAGSSATGGFAAMASTGRGFAGASSSPTGGFAGLASGSGGFGGAAPGGGAGGGFGGVGSGSGGFGSFAPPNSGGFAGASGGGGFGGFGGQGQGGGGGFSGFGGNTGGAGGKPSELFTQMRK >A07p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17329811:17331585:1 gene:A07p031290.1_BraROA transcript:A07p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLANMWRLTVNESKFVETALQSELRVDGRGLYDYRKLTIKFGKEYGSSEVQLGQTHVMGFVTAQLVQPYKDRPNEGSLSIFTEFSPMADPSFEPGRPGEYAVELGRIIDRGLRESRAVDTESLCVLAGKKVWSVRIDLHILDNGGNLVDAANIAALAALMTFRRPDCTVGGENSQEVIIHPLEEREPLPLIIHHLPIAFTFGFFNKGNIVVMDPSYVEEEVMCGRMTVTVNANGDICAIQKPGEEGVNQSVILHCLRLASSRAAATTKIIREEVEAYNREKNLQKVKRHPTLAKSEVSGPIVVVKEGHKKSSDEDKSADMSQEHVELKSNKEQEAVASPATSKGAETRSVSSNPAIKGKTNDSGDAQKEVTGDVEMKDTPEVTKHKDGEKTLKDAVKPKKKKRKNKSS >A01p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1411409:1412186:1 gene:A01p003100.1_BraROA transcript:A01p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQISEMEQEQLIEKLEIFKIHGRDKRGRKILRIIGKFFPARFLSLDVLNKYLEEKIFPRLGRKPFAVLYVHTGVQRSENFPGISALRAIYDAIPVNVRDNLQEVYFLHPGLQSRLFLATCGRFLFSGGLYGKLRYISRVDYLWEHVRRNEIEMPEFVYDHDDDLEYRPMMDYGQESDHARVYAGATAVDSSVSSFSMRCIS >A06g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15685788:15690497:-1 gene:A06g505340.1_BraROA transcript:A06g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDGQRVYSVLTTNEVGEKMTSEINQISEVFLLSKSDATLILISLRWNSFKASDRLGDDKEKLLSDLGLVKVFSSCQENDSVYSFAGQENLVSTPFCSHKFSETCWRSHLNNLLTEEEERVILCPNPDCVAPVGPETIEKLTEPAVKMMYDRYVLGSFVEANKESIKWCPAPGCEYALELHEDLLEDDDLDFGVVCLCGHTFCWSCTLESHRPVTCKEASVWSSSTLDTLKSNAWLLENTKRCPNCNCHVQRTDDPVLRMITCICSCSFCWRCLLSEEEHNGNWNCVELFFQLSMGQVDDSSYLRLWETCLEEYENSKSDLKAIEENGVPRLTDNYRFNERDIDAVKEATLVMVQCRLVLKWSCAYEYFIAMYESAKTQYVKHLRGEARKTLLRHKATQEELMNNALTSGYFCSFKVELSNSSTITGNYFHEYVKTLESAVAERLLIFPNYFVAGYDAPVQQRSKLDPLLDMTHKSNEKELCSSVTQVFRKLKDPCLREISKREVHHWIEQGSKIIRTVVGACDTSQTGQKREAALVGKDELRYSQFGRLVVVQAEVPFRTHADGPEYLRLKHLNSNPRCEGEAPHCDSENFHSEFLNLTERGPDDQEK >A03p045690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19197466:19199482:1 gene:A03p045690.1_BraROA transcript:A03p045690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFYSPIDMQQGISHTPLGSITLLFSFFNANLHVSTYRDTEPVPLSTYRENDNLWENGFNREQTIEEPEPKENGKKSVDKSSFTHGETDLNQLPAIPPASTGQGLPYAPVDWPSFGDVWTWRVGKRVTATGYHHDRFLILPQRLQQKNVPKSFASKQTLLRYFQSNFPGMDADAFFASFSWKVPALFQPANKVDAASLFEETPKDVKTEGNSRYSQRKRNPMPLEANAKAAQRGGTSSNKKKKGGVTTTPTTGWKSTRRGSNQQRGGAVYHLNEEEEEGEPITGPNTSGRRKKRRVNLKEEEEEDVSIPHIYVSPMNGVLAVSHEPIDVDPVEFDSYLNSLDILLHQGPQDASVLVTASSPMREYEWAEARMKISSLLEKDFPTLFTSKDAAEIAALAAKLRKDPNLSAEEIVRLKLMQEMPTFSEVFQENKAVIDEADRFFSALELNKAKVASLKYEYSDLRHKLGSIQTEVDENSEGIRRIDDQIAQLQARRNELKRCIGSKEKEKVDLSYGKKMVGNSIPKVVQDVQAANSKKPEWECKKENALKREEEILSKFTPLRGFFL >A08p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23095607:23099286:1 gene:A08p040570.1_BraROA transcript:A08p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEQHRSSSLRTIYVVEFQIILSHRTVKPDPPHSRIPLIFHRRSSPPRRCSLESDLFSQTTRSRRMSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLSLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMYSESKREYNRRVRDVVEQSWTADYVFFLVPVSCSLSIYKPALKFSEVLSERLERRMSTPARKRLMRDFKMLEQDPPAGINGSPQDNSIMLWNAVIFGPDDSPWDGGTFKLSLQFSEDYPNKPPRVRFVSRMFHPNIYLDGRTCLDILQNQWTPVYDCAAILISIQSLLCDPNTNSSANSEAALMYSENKREYNRRVRDVVEQSWTTD >A05p038360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23611314:23612322:1 gene:A05p038360.1_BraROA transcript:A05p038360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVVSSTCSLLPFDSSLTGSTRSSKLGSSLSWQSSFPKFSIDIGSVISSPIVKKDSFIQAAWTRRSRGEAAKRPNKKSWKQRTDMYMRPFLLNVFFSRKFIHAKVMHRPTSKVISVATTNARDIRTNIPSLVDDEACRLIGKLIAERSMEADVYAVSYEPRKGERIEGKLGIVIDTIKEHGIIFVP >A10p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20228812:20230865:1 gene:A10p035070.1_BraROA transcript:A10p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAQRLYSVLTRTEVRDKMMKEIVQISEVFSLSQSDATVALIHLGWDSFKASDLLGDNKERFLSKLGLVGDGDNDNNLVSSTPLCSHKFCSDCWRDHLEEKKEMMISCVVASVGPDTEPVKEMYERHVLGSFMDSSRIKFCPAKGCEYAIQRHDDHDDADEEEEASDFGVVCLCGHTFCWRCKNESHRPVTCNNASLWLNELLDEARTFGLIATKTKPCPHCQSRVEKDSDNYLRIVTCVCSYAFCWRCLRPEEDHRGGLDYCFEVFVPPPHEEAALLHHLTLWEESHKAMEASKTDLKAIESLGELDMGAVREACALIVQCRLVLKWCGVFGYFITDYHSGKKQYLDHLVEKATANLLKHKECMDELVRGADSGGVVAGFRHKLETSTKATGNYFHVFVKTVEDGLCDVKAGVFENVPMDYWFCDRCTFQNDSFEKKCRVCVFPFEGPSPLVALNNNGAASVHQEQELPNVSSNPFASPQQEAPNTVAFGNTNNGTASANMFNNPFATSPGGTNFFQSAPLPAMSNNPFALPVAFGNNSSVGASVHQQQIPNLANNPGGGFYNHPVFPFGSNNIGASAYQPNMANNPFAAYGNNSAPHHQQEQVPTMANNPFARPGGANPFQFPSFVGFGNSNNSAHKQEAVVKEEPNLENSMESE >A07p045640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24750025:24753908:-1 gene:A07p045640.1_BraROA transcript:A07p045640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) UniProtKB/Swiss-Prot;Acc:Q9C552] MHRWGEDKTLDIIKPCPNFKTHKNLIQIVLLLLICDSSIIIYPCRVLSQSRFFEFPLLTLNFVLRILSCFLIIAITGSSRKIALMGQREKSSNNHSSRKRGMGRKDQKGGRGVDRDSNKRNQSNDAANVKSASKKQSEFEHQNQFVRKEIDPETSKYFSEIANLFDSNGVDLEERSVICGNALEETRGREYEIATDYIISHVLQTLLEGCELDQLCSFLRNSASVFPAIAMDKSGSHVAESALKSLATHLENPDAYSVIEEALLSICKVIVEKPLEMICNCHGSYVLRTLLSLCKGVSIETPEHRGAKSSKALAKRLNLKTSHSDENNLEFSHQGFPDVFSYLLSGILSCSREDMKYLQVDQCSSLVLQTALGLMVKQDDELLEIIPLVLGCNSANGKEEGVLIETDVAKEILESLKDNSFSHLMEVILEVAPESLYNELFDKVFKNSLYDLSLDRSANFVIQALISHAKNQEQMGLIWEELAPRLKDLLEQGKSGVVTFLLAASQRLQSHEHKCCEALADALCSKNETRISIAPRLLFLDSYFYSQDKSTWEWAPGAKMHLMGCLILQEIFKFSSELIQPFITSLTSMKPEYTIETAKDPSGARVIEAFLASNAASKQKRRLIIKLRGHFGELSLHKSGSFTVEKCFDACNVALREAIAAELLEVKADLLKTTQGPYLLRKLDIDGYASRPEHWKSRQEAKQSTYNEFCSAFGSSKSNFPKNTFVSDAPEDASQDVEVENTRKESDHRPTSGVKRHREEHARDKHVSFAGGKGTKQKKSKTSEATTDKPATKKKPFLSGDMIGKNRHSNKLRI >A02p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28578955:28581230:-1 gene:A02p045630.1_BraROA transcript:A02p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQANQSQKVRLLDGYVTNRNASQQPSDRAAMVGISGDQSVDSGQARLKELGYKQELKRDLSVFSNFAISFSIVSVLTGITTMYNTGLRFGGTVTLVYGWFVAGAFTMCVGLSMAEICSSYPTSGGLYYWSAMLAGPRWAPLASWLTGWFNIVGQWAVTASVDFSLAQLIQVIVLLSTGGKNGGGYEGSDYVVIAIHGGILFIHALLNSLPISVLSFIGQLAALWNLLGVLVLMILIPLVSTERATTKFVFTHFNTDNEVGITSYVYIFVLGLLMSQYTITGYDASAHMTEETIDADKNGPRGIISAIGISILFGWGYILGISYTVIDIPYLLSETNNSGGYAIAEIFYLAFKSRFGSGTGGIVCLGIVAVAVFFCGMSSVTSNSRMAYAFSRDGAMPLSPLWHKVNSKEVPINAVWLSASISFCMALTSLGSIVAFQAMVSIATIGLYIAYAIPILLRVTLSRNTFVAGPFSLGGYGMVVGWVAVIWVATISVLFSLPVAYPITAETLNYTPVAVAGVLAIILSYWLLSARHWFTGPVSNILG >A02p008220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3441079:3441546:-1 gene:A02p008220.1_BraROA transcript:A02p008220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALHFAFPAANPTFVCGIRRVTPSPGLLCSRLRVFSLPPPYSSSLKVVVEVVTLCVKLVAGRGHIGH >A10p007760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10982311:10984841:1 gene:A10p007760.1_BraROA transcript:A10p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSSFGNFMLHKVFNEMVLIFHLDMFFRSGADFGRYMGSLLGSLLKYNAPKDFLEVLFLWSPGSLLAESSLISSGVQVCLCRGMIYNSFVSEDFHVSRLQPSGRTDLKQKTDFIVSTSEITCLAHISLLQVPKISNKSDPPRIVSFNGCMNHKNFRIKILGFLDEYGEKYIKSFKLVVHGGWCIDGNDNIVNT >A06p018250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8874938:8875460:1 gene:A06p018250.1_BraROA transcript:A06p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDQRAERSESKHMKKGSCKSHRLTNMMEKWRKCKKGHFSVYTREGKRFVLPLDYLKHPIFQVLLEMAEEEFGSTICGPLKVPCDGGLMDHILMLLRMKSLSSHGGDDDDDVKKKNHGVSCKEASSVSYFFPLFRCNAAHDQTKLQSLVF >A05p007180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2890576:2892192:-1 gene:A05p007180.1_BraROA transcript:A05p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 86A8 [Source:Projected from Arabidopsis thaliana (AT2G45970) UniProtKB/Swiss-Prot;Acc:O80823] MEISTALMILSAITAYFLWLTFISRCLKGPRVWPLVGSLPGLIENCERMHDWISDNLLACSGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKLRFLPILETARLDSEPIDLQDLLLRLTFDNICGLTFGKDPRTCAPGLPVNTFSVAFDRATEASLQRFILPEILWKFKRLVGLGLEVSLTRSLVQVDNYLSEIIKTRKQDLTSKPNNDGAQHDDLLSRFIKKKESYSDEVLQRVALNFVLAGRDTSSVALSWFFWLITQNPTVEDKILREICTVLTETRGDDVALWIDEPLACEELDRLVYLKAALSETLRLYPSVPEDSKRAVKDDVLPDGTFVPAGSSVTYSIYSAGRMRSTWGDDCLEFKPERWISGSDGGRFINHDPFKFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLTVVTGHKVEQKMSLTLFMKYGLLVNVHNRDLTAIAADLRESKSNAVNDGVCNGVRR >A06g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18580822:18581941:-1 gene:A06g506650.1_BraROA transcript:A06g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPSARTRALTNLSSGYEENTRALDDEREGNVDPFGVGSWQRRSHLRLVVEERRFGGVDEWRRGGAQRERDITGNRLHGGERQRTRHLAELSQKEETDQKTATAEMENTRQFEIRDEKTWASLSRGREEMRYREEDERLALKTETKETRERL >A10g505760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14786863:14789591:1 gene:A10g505760.1_BraROA transcript:A10g505760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >SC166g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:251451:254613:-1 gene:SC166g500130.1_BraROA transcript:SC166g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSYTKQGDHVSVEQKKNIFIASHSSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIKQIEESHKNEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A09p022380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12312591:12313981:-1 gene:A09p022380.1_BraROA transcript:A09p022380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclase-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G44542) UniProtKB/Swiss-Prot;Acc:Q94LA9] MIPFLTIASTLFLSSVIAADEAFPSIPTTFHVAMTSSDDLKPIRREVYGERKIFDITHRYTQDMPVWESTEGVKPFLRLTTSMKNQSLSNTSEMKLSVHTGTHLDAPGHFHDKYYDAGFDSDSLDLQVLNGPALLVDVPRDKNITAEVMKSLHIPKGVRRVLFRTLNTDRRLMFEKEFDSSFAGFMMDGAKWLVENTDIKLIGLDYLSFAAYEEARETHKFILGERDIIPVEALKLDGVEVGVYSLHCLPLRLPGAEGAPTRCILIK >A07p028540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16120081:16121109:1 gene:A07p028540.1_BraROA transcript:A07p028540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDTAELDQNSYNGGEDSSKVLTLPTVLTLGRVAAVPILVATFYVDCWWGRTATTSIFIAAAITDWLDGYIARKMRLGSAFGAFLDPVADKLMVAATLILLCTKPMDAVVFGPVPWLVTVPSIAIIGREITMSAVREWAASQNGKLSKAVAVNSLGKWKTATQMIALTILLASRDSSFERLLPPGIGLLYVSAGLSIWSLVVYMRQIVRVLLKKK >A08p038640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22340043:22342302:-1 gene:A08p038640.1_BraROA transcript:A08p038640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTCVAVIGTNCVNCRIKCYNNYGNLATAYCDRSGAAVRVKASVISIHCLIGVSSLLCLSVRLAIAISAFRCARLPLLHQYQSTRVSGGVPTEMQLGLLSPLYLRKLFELMGTTYIKLGQFIASAPTFSQPEYVRRFSEPFNKAPPVPFEEVHKNLQEELGRPRDSVYKYVDPTQLASASITQVHEPWRKA >A05p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10725791:10726914:1 gene:A05p022400.1_BraROA transcript:A05p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMEPLGGNKGEGFNDVGYEGVKKITVGAEDVNITYIKIEYVKNGKVEIREHGAAHGELKEFSVDYPKDSITAVGGTYKHIYSYDTTLITSLYFTTSKGFTSPLFGEMKGTEFEFKGEHGEKLIGFHGRSNNAIDAIGAYFSTGDNSNSGSGSNSEFSTQKLDAQGGKGGNQWDDGGDHDGVTKIHVAVGRGIEQIRFDYLKNRQTNEGPAHGVKGGRSSIRTFEISRPNEYLLSVKGWSDSTNKIVGIKFITNTNTSECYGFEKTPGEEGTDISLEVKDKKIVGFHGFADSQVNSLGAYFAPVAS >A08g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5439537:5440718:1 gene:A08g502510.1_BraROA transcript:A08g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDASLWSIIVNMRSELLVTRVWSSTGIRVNSTRRESREYYGKGKGKMVDAADSKWVKVAERGSRKPPPHHGYRGEGEGSRYKNTRRDDGRHGFTGGGFGDQESRIRPSSEQSRDDLRQRVRAPEAREDGEIKSFQLE >A08p028030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17627417:17628507:1 gene:A08p028030.1_BraROA transcript:A08p028030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHEAFAHPPEELHSPASEKCSKQPKLPEETLNSFLSRYPLNTFSMSFGKAAVLAYVRPSASFSVHQRVFCGFDDIYCLFFGSLNNLCQLNKQYGLTKTTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVVYDSKAGSVFTALGSDGGVKLFWGIAADGSVVISDDLDVIKDGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKIKAMPRVDSEGVLCGANFKVDVYSRVNSIPRRGSEANWSL >A07g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6161180:6167012:1 gene:A07g503030.1_BraROA transcript:A07g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVEDSHSAKVSKLEVAIGELERDLGKTASSFLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFVLATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADQKSACFLPTCSEDPEGKDPMVGENGSGAAPGSDEAAVGRKEMSCRLISFFDCEMFHSRSFSRVHPRDVNSTGVLKVSNINIEAWFKNLLSFDIMSLRSSDLVARAVVLNILRKIRVSVCIYICLFCDGGFVRSKEINFEASFATSRDAKVGTEILTVDFRLNKETRKTLISQRTRISANYHTSSNQNTRTMAIKYKNSKKRAKKILFRICV >A02p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29914656:29917309:1 gene:A02p048070.1_BraROA transcript:A02p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPWYKSVSSMFGLRPRIKALLFFILGVLTLVTILAPLSSTSYDASTSSTLVPNVYSSYRRIKEQAAVDYLDLRSLSLGTTLKEFPLCAKERGSYVPCYNSTVNLLAGLQEADVLDRHCEFQREKERCVVRPPRDYKIPLRWPLGRDIIWNGNVKITKDQFLSSGTVTTRLMLLEENQIAFHSEDGLIFDGVKDYARQIAEMIGLGSDTEFAQAGVRTVLDIGCGFGSFGAHLVSLNLMPICIAEYEATGSQVQLALERGLPAMIGSFFSKQLPYPALSFDMLHCAQCGITWDIKDAMLLLEVDRVLKPGGYFVLTSPTNKAQGNSPDTKKTSISTRVNELSKRICWSLIGQQDETGTTSKRWIPIQNRTTSAELEIHGLKPEEFLEDTEVWRTALKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMIRNVMDMNARFGNLNSALLDHGKSAWVMNVVPVNARNTLPLILDRGFAGVLHDWCEPFPTYPRTYDMLHANELLTHLSSERCSLMDLFLEMDRILRPEGWVVISDKVGVIEMARALATRVRWEARVIGVQDGSDQRLLIQTMPPAISSVEKLFFSQTEKHLKKVL >A01p057890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32815424:32819342:1 gene:A01p057890.1_BraROA transcript:A01p057890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SEC [Source:Projected from Arabidopsis thaliana (AT3G04240) UniProtKB/Swiss-Prot;Acc:Q9M8Y0] MESGDLNRALQYYKEAVKLKPAFPDAYFNLGNVYKALGRPTEAIMCYQHAIQARPSFAMAFGNIATIYYEQGQLDLAIRHYKQAISRDPRFLEAYNNLGNALKDIGRVEEAVRCYNHCLHLQPNHPQAMANLGNIYMEWNMMGPASSLFQATLTVTTGLSAPFNNLALIYKQQGNYTNAISCYNEVLRIDPLAADALVNRGNTFKEIGRVTEAIQDYMHAITFRPTMAEAHANLASAYKDSGHVEAAITSYKQALLLRPDFPEATCNLLHTLQCVCCWEDRSKMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPILALEISRKYAAHCSIIASRFGLPPFNHPAGVPVKREGGFKRLRIGYMSSDFGNHPLSHLMGSVFGMHNRDNVEVFCYALSPNDGTEWRQRTQSEAEHFLDVSAMSSDAIAKTINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPLQYAHIYSEKLVHLPHCYFVNDYKQKNQDVLDPKSKPKRSDYGLPEDKFIFGCFNQLYKMDPEIVNTWCNVLKRVPNSALWLLRFPAAGEMRFRACTCDAAAQGVHPDQIIFTDVAMKNEHIRRSVLADVILDTPLCNGHTTGTDVLWAGVPMITLPLEKMATRVAGSLCLATGLGHEMIVNSLEEYEEKAVSLALNKPKLQALTKELRASRLTCPLFDTMRWVSLGSYLHSSLVPVKNLERSYFKMWNLHCSGQKPQHFKVVENDLEFPHDR >A07p043180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23429730:23430970:1 gene:A07p043180.1_BraROA transcript:A07p043180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELCPERPLFGGAISSAFPQRFQDASNIRQVPDHQEVFVDPSRDESLIFELLDFKPDVGDIGSASWFLHDLAREQDAQGFKLIEQSHVIDVPGLSYRNIPAIATTAIGEMAISKGRQGREAQNLLKVYVANIRLKGVETDVLVTAYEPILINPLSESANAVGSGLAVPASQSGIMPMCDVIKQSLSTFKVNDWSLFGSSA >A05g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24506826:24511616:1 gene:A05g508410.1_BraROA transcript:A05g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYGWVTFVCDSVCLEKPYTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFCRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A09p052730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46022665:46027852:-1 gene:A09p052730.1_BraROA transcript:A09p052730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFSGTRLRDMIRSIRACKTAAEERGVVRKECADIRASINENDPHDRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYVVGLALCALGNICSAEMARDLAPEVERLIQFRDPNIRKKAALCSTRIVRKVPDLAENFINAASSLLKEKHHGVLITGVQLCYELCTINDEALEYFRKKCTEGLIKTLRDITNSAYQPEYDVAGITDPFLHIRLLKLLRVLGHGDADASDLMTDILAQVATKTESNKNAGNAVLYECVETIMAIEDTSSLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAFDDQAVQRHRVTILECVKDPDASIRKRALELVSLLVNENNVKQLTKELIDYLEISDEDFKEDLSAKICSIVEKFSPEKIWYIDQMLKVLSEAGKFVKDDVWHALIVVISNASELHGYTVRALYKAVLTYSEQETLVRVAIWCIGEYGDLLVNNVGMLGIEDPITVTESDAVDVVEDAITRHNSDMTTKAMALVALLKLSSRFPSISERIKDIIVKQKGSLLLEMQQRAIEFNSIVDRHKNIRSSLVERMPVLDEATFNVRRAGSLPASVSTMAKPSVSIPNGVAAAPLVDLLDLGSDDIMAAPSSSGADFLQDLLGVDLGSSSAQSGATPGPKAGADLLMDILSIGTPSPAQNNTSSIDLLSTAGINNNPSNALDTLSSPAPPHIATTASAGGMFDLLDGLSPSPSKEATNGPAYPPIVAYESSTLKIEFTFAKPSGNPQETNVQATFINLSPNTFTDFIFQAAVPKFLQLHLDPASSNTLPASGNITQNLRVTNSQHGKKSLVMRMRIGYKLNGKDVLEEGQINNFPRGL >A03p056170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24278000:24286740:-1 gene:A03p056170.1_BraROA transcript:A03p056170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSSSETLTASRVDCGGGGGGGGGVACAGADNPSVANFKLNESTFLASLMPKKEIRADRFLEAHPEYDGRGVVIAIFDSGFDPSAAGLHVTSDGKPKVLDVIDCTGSGDIDTSTVVKANEDGLIRGASGAPLVVNSSWKNPTGEWRVGCKLVYQLFTDDLTSRVKKERRKIWDEKNQEEIAKAVKILYDFDQKHSKVDDAKLKKTREDLQSRVDFLKKQADKYEDKGPVIDAVVWHDGEVWRVALDTQSLEEDSDCGKLADFSPLTNYRQRKYGVFSRLDACSFVVNVYDEGNVLSIVTDSSPHGTHVAGIATAHHPEEYLLNGVAPGAQIISCKIGDSRLGSMETGTGLTRALIAALEHNCDLVNMSYGEATLLPDYGRFVDLVTEAVNKRRLVFVSSAGNNGPALTTVGAPGGTTSSIIGVGAYVSPAMAAGAHSVVEPPCEGLEYTWSSRGPTSDGDLGVCISAPGGAVAPVPTWTLQRRMLMNGTSMSSPSACGAIALLLSAMKAEGISVSPYSVRRALENTSTPVGDLPEDKLTTGQGLMQVDKAYEYLKQFKDCPCVCYQIKVNLSGKTTPTSRGIYLREATACRQSSEWTIQVEPKFHEGASNLKELVPFEECLELHSTDEGVVRVPDYLLLTHNGRSFNVVVDPTNLGDGVHYFEVYGIDCKAPQRGPLFRIPVTIIISKTVANQPPVISFQQMSFISGHIERRYIEVPLGATWAEATIRTSGFDTTRRFYIDTLQLCPLRRPIKWENAATFASPSAKSFAFPVVSGQTMELALAQFWSSGLGSREPTIVDFEIEFHGIGVNKEELIFDGSEAPIKVEAEALLASEKLVPVAVLNKIRVPYQPVDAQLKTLSTGRDRLLSGKQILALTLTYKFKLEDAADVKPYIPLLNNRIYDTKFESQFYMISDANKRVYAMGDVYPESSKLPKGEYKLQLYLRHENVQLLEKLKQLTVFIERNMGEIRLNLHSEPDGPVTGNGVFKSSVLMPGVKEAFYLGPPTKDKLPKNTPQGSVLLGEISYGKLSFDDKEGKNPKDNPVSYPISFVVPPNKPEEDKKAVSSTDSCKSVSERLEQEVRDTKIKFLGNLKQETEEERSEWKKLCTCLKSEYPTYTPLLAKILEGLLSQSEGEDKISHYEEIIGAANEVVRSVDVDELARFLLDKSEPEDGEAENLKKKMEMTRDQLAEALYEKGLAMARIENLKGEKEDEGSCQKDKFEENFKELTKWVDVKSSKFGTLTVLREKRLSRLGSALKVLEELIQNENQTANKKLYELKLGLLEELGWSHLATYEKQWMQVRFPTSLPLF >A10p023880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15534718:15536154:1 gene:A10p023880.1_BraROA transcript:A10p023880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >A03p018380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7497306:7498998:1 gene:A03p018380.1_BraROA transcript:A03p018380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCDDDAMVDTDSGEESLLSDNQVDSDYEFTDQDMDNASTSKRSQISYLVLKEEDVRKHQRTDIEQVSTVLSISQVEAIVLLLHYQWNVSKVEDEWFTDEKKVRELVGMLKEPVVDLNDREVNIECGICFDSYTQKEVTTLSCGHPYCNVCWTGYITTTINDGPGCLTVKCPEPSCSAVTACQYMVDKVITDKELKEKYYRYFVRSYVEASGNKIKWCPSPGCEYAIDFASGSGSEHYDVSCLCSYEFCWNCGEDAHRPVDCDTVSKWISKNTDESENTNWILANTKPCPNCKRQIEKSMGCNHMGCSICKHSFCWNCLGPMKGHRNCHKFKGGNETDVKRKRAKRAIDRYMHYYERWASNQSSRLIAMADLKKLQSVQLKWLSIRQGTTESQLQFTVEAWRQIIECRRVLKWTYAYGYYLPEEEQTKKEFFEYLQGEAEAGLERLHHCAEEELKHFVFETQDSSKNFGDFRRKLTGLTEATKTYFENLVKALENGLADVALSESSKSKTKSKPATKRRKLK >A07p018070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10889516:10890748:-1 gene:A07p018070.1_BraROA transcript:A07p018070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLSLFLIFSMVTYSNAAICVCKDGDEQALQKVIDYACGSGADCSQIEQNGPCFQPNTVKNHCDVAVNSFYQKKASTGATCDFNGAAVVSTSPPSNASSCLPSSGSTSTPTTGTPSTGNLTTGTPSTGTPSTGNSTFGMPTNPTTGVPTSSVFPGTSMGPSGSTGFDPSGGEKHYVQTTTVIVLTTIAAVALRF >A02p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5817960:5820997:1 gene:A02p013260.1_BraROA transcript:A02p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKQKLVLLQVTSNTLSSYRDPTLVNCLKMFRQAHQRSSTHYLWRCGYCIYRQTNDVAPRVTFVWHSLHPMNLENTISPVMHSKLATYALCLTHGSTSSKESAEVAENAFLLPNTSPPLMLIPQESSVKLFFFLHLFITLKLSSSSLNSHVTLTTYRNHRSVLTSANAIILDPPLNSHVSLTSSWNHRSKSTVGSSSKPVSSSPSDQNYGFSHELESKSVDIKALNRVDSEDPSISDNSSPVLVETAKIRANSPKIARRKTKGRTSYTSKRKGNSESIAIVLTSVDPERDFRESMVEMIVENKMKEQTDLEDLLACYLSLNSSEYHDIIIKAFEKTWFHLTHLT >A10p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16534914:16535859:1 gene:A10p025870.1_BraROA transcript:A10p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSDVNMIPAGESSSSVGASSSSKKAKRFEVKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >A01p024800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12352050:12354437:-1 gene:A01p024800.1_BraROA transcript:A01p024800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGNGFAIVAADTSAVHSILVHKNNEDKIMALDSHKLIAASGEPGDRVQFTEYVQKNVSLYQFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDKEAGASLYYIDYIATLHKVDKGAFGYGSYFSLSTMDRHFRSDMSVEEAIELVDKCILEIRSRLVIAPPNFVIKIVDKDGAREHAWLSMAVMSTVSAQSTCTNVLISMAPCLGYITGNSSSPSQQCCSQLAHVIRSSGECLCEVLKGGGPHLGINFNKTQALALPKACNVQTPPVSLCNDDSSVKSPTGPSNTSEHGNGSKTVPGHRSSSRGSSIKVSFSLLAVLSAVSYIINYSRY >A09p075490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56843995:56846007:-1 gene:A09p075490.1_BraROA transcript:A09p075490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid phosphate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT1G15080) UniProtKB/Swiss-Prot;Acc:Q9XI60] MDQTLHLSLSFEFPRETTQRFSLSRFLHSYSRNQQRVVETFSPAPIIIISNAKSMPEIQLGAHTIRSHGVTVARFHMHDWLILLLLIVIEVVLNVIEPFHRFVGEDMLTDLRYPLQDNTVPFWAVPLIAVVLPFVVISVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGRGFFHNVTRDVLCTGDKNVVKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDQRGHVAKLCIVFLPLLVAALVGVSRVDDYWHHWQDVFGGAIIGLTVASFCYLQFFPPPYDPDGWGPHAYFQMLADSRNVVQNSAGMNNLSVRQAELENVYVDQQGTSMEISRSNTRDTTRMLESC >A09g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25132906:25139559:-1 gene:A09g508970.1_BraROA transcript:A09g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFHQFKVFSDLEDFWDDLHVSRLKYNALDDFQEVFQKTSRKSYRRLRRLDFLEVIWTSWKFLIRWYSSLISCIFALFNPFIHEHFHHIDYDLAMSRLHFSFIVIIRCWSVQWSDLRCLGALSLPARATTSSHSQPERPARATSSSHSRFDGSRHKETRRERPPGASDYARSLRVFCLEACYFRGLLVEGLEGQEELCFINNNGNWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSASQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTPERPARATSQSDYLKSLPARATSSSHSQIDGSRHKETRRERPPGATMLGHSA >A10p039220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21761222:21763940:1 gene:A10p039220.1_BraROA transcript:A10p039220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptotagmin-3 [Source:Projected from Arabidopsis thaliana (AT5G04220) UniProtKB/Swiss-Prot;Acc:Q7XA06] MGFFGSVLGILGFAIGIPIGLVVGFFVFVYSQPSHEEYPPARPLVETSITLLLDLLPDIPLWMKNPDYERVDWFNRFLSYMWPYLDKAICEIIKSSAQPIFADYIGTFCVKFYETNEKELLFEPSVKWAGNPNIVLVIKLMSLRIKVQLVDLQIFAIMRVALKPLLPTFPCFGAVVVSLMEKPHVDFGLKVLGGDIMSIPGLYRYVQETIKRQVSSMYHWPQVLEIPILDASTASVKKPVGLLHVNIIRARNLLKKDLLGTSDPYVKLSLTGEKLPAKKTTIKKRNLNPEWNEHFKLIVKDPTSQVLQLEVFDWDKVGGHDRLGMQMIPLKKINPGEKKVFNLDLIKNSNIVMDVPEKKQRGRLELDLRYVPFRDESLKYRNDVQDEKSSEDDDEFLSNAGLLSVAIQSAKDVEGKKKYTNPYALVLFRGEKKRTKMLRKTRDPRWNEEFQFTLEEPPLKESIRVEVMSKGTGFHFRSKEELGHVDINLDDVVANGRINQKYHLINSRNGVIHVEIRWTTG >A09g509750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28402417:28404696:1 gene:A09g509750.1_BraROA transcript:A09g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWFTFWTRKPFGYCPGSSEPDRCTAVTIKSHVFFTTQAKSGSTIVLRISRWSGKMSSGTMTLRFMLCSRKPFGYCPGSSEPDRCTAVTIRTLARLSRWSAG >A05p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9461844:9464526:-1 gene:A05p020160.1_BraROA transcript:A05p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SC35-like splicing factor 33 [Source:Projected from Arabidopsis thaliana (AT1G55310) TAIR;Acc:AT1G55310] MEKQSPYKTLTATLILGPTRTAQRFSCCSIAADLRSPKLKLAAMRGRSYTPSPPRGSYGRRDRRSPSPRGGGRYGGARSLDLPTSLLVRNLRHDCRQEDLRRSFEQFGPLKDIYMPRDYYTGDPRGFGFVQFVDPADAAEAKYQMDGYHLLGRELTVVFAEENRKKPTEMRARERGGGRSIRSRDRRRSPPRYYSRSPPPRRGRSRSRSGDYYSPPSTRHHSRSISPREERYARGRSYSRSPAYNGSRGRSVTPARSKRRSISRSPSPGRSISPSPRRNVSRSPSPRRSRSNTPVPARGRSPRRKRRSNTPIPTRSSPKRKRSNTPIPARSRSPKRKRSNTPIPARNRSQREEEYEDRSPSQ >A02p006780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2886501:2887634:1 gene:A02p006780.1_BraROA transcript:A02p006780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGGGGEGGGSGKGNFFRGNDFAYALARMAVAQICEGVEITSYQESHAREGARFSSFHETALETLTEVVIQYIQSVGKTTQFYANMAGRVEGNAMDIVQALEDLGSGLGFNGAPDVERCLAESGVVKDIIRYTAEAEEVPFVYSLPRFPFNRGKRPAPSFAEVGAELPDEHIPVWLPAFPQTKVSNELEEDTSVEKIQGEVQSNENGSSLPRLQQSVEVDRLKVQKSMDQKEVEKPSEEQPECNPFLAAPVWVGEKNVPRVFRPSLLTNEEVSAGHVPEKQTNKSHHMPPLEAFAPSGIIKDKSRLGETEGGERNDDGRTQRALLRFKIGTRKAAPVRWTRKESLEEKGWFLEDGDKREKNVELEEEPVTIDTNVK >A08p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18261516:18266102:-1 gene:A08p029490.1_BraROA transcript:A08p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQEHVRDLQSCVRRNKVAGGGGDQLDVAVSSYVGLRKNMRKEAKKLLGSLKKIDGGSSSYDNEHLVAVIDVMRRVVSVSVVVLKSLLVFLPGTQSNMKTKLASLLVKKKYNHDATCKNELETLDYAICEDFSSHDDLQKKLVEVEVCIGGFEKSLHYKKIAILLRILELHKAYKARCVSLPVRLHPSVRRIQEVVSRVRALGSSSLESRTMVRDGLSGLTEIYRCLSEDLFKSSSETQQALLNSGLMDELLEVSLKYLEVCGGAKDGASRIKKSVVELQSALRRSKKGGEFSLEGDVDAYLATRKEIKKEIKKYMVMSKETEACLESSVWCGGRDDQDMSALVRVMQETSVITCFVLRTVLSFLSSPKGLKSKNHHQHRGWGIVMKLVKKGIDHHHHEKEFSCLELEAMEAELGKVVVVTTREDQEEEKEISEEVSEMIQCAVVRSKDVEAAMEELEEGLEGLFKVMIQARVSLLNILST >A08g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10846552:10846817:-1 gene:A08g506370.1_BraROA transcript:A08g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPASSDSNEKVMFFNDVSLGPHETQLRFRLIHFWEARNPVKKTLIGLEMLLIDEQIS >A03g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24081271:24087802:1 gene:A03g506710.1_BraROA transcript:A03g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVRKEAETHIQRLNKLLAAETDRSRQLESQLTENHKKVRMLSSGTSTLDHILTLGQCPSLNTGLGYKGSTSKDTETKFGDSPYLSLQNRNMQPTRRSSRLSEKETDIPDLSSSGPSGSSRKRIRKQRRKMTPPPSSPPAAYTSTDDEVEAFQLKEPRYQASRAIFQARNQENPELLRSHITPFSSRFVTSNSVERYEKLSSREFVIQQRIDVTDENLLDVKRVVVRSGLIYTLIDSDLFHPNVVKEFIANLGAAENRGDGVAVFLRGSMVEFSPSLINAMCLIPGFEEDPDYLAVDIDRVCSFLTDNRVRRSEAMSSKYLTPTNQVLYKLVCSNWIPTTNYTSMNQERLKFLYMLHHHRGFDFGQMVYDQIISFAANISTDRSRRVIFPTLIQQVIDYQRTVLSFEDDEEYTGYPKLVVKDIKAGRGQGGNSSAADLLADIERTIADLKSIRIRLRRGEYPQYPRRTQQNEQEDEVEPDSEESEMRYVCYDVMTCHKLMEVEDIALARSKEDLLLAIF >A07g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26520155:26522387:1 gene:A07g509080.1_BraROA transcript:A07g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRAHGQALHDDAARVRLGDAQFSEMMFSDHVTYVFVVGSVQLGELGLCVVRDPGMCCVWRHPLSVLFCSNLRGLGICGVRRTRPGVDFRCCLQGARCSRQVGSGSRMRNVVRLRRVGQVSGTAGYDGSSESSSSSESTSDSNFEDENVVESTESSHETGSFEFEEAEVLNEVEEESDHLEGMNFFAGMGAIPQASDSFKYQYGYGNEYWEAMDISSSDVEIIQPPTPEVIEISSDSTVAINIIDISSRESSPWISMPAWSPAFSLGGSLDFSLESIGQSFDPYYEYHYSPMPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMESTQNGRTRPNGALGGQVEKGSTSRRPASNVQDSRNIPTEEQCNVCGADDHHTRVCTRIRSQPDLSTYLICSSCETRGHFIADCPMTNVTRAVPISVVPPTSPARSASSATGGSNLRNLDLTRSPDWGSRGG >A07p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10440395:10442866:1 gene:A07p017190.1_BraROA transcript:A07p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASFICLLLSFSIIILSNAADINIDCGSSSSHVDADNRTWVGDTGFVTTGLTSTFKPIVTTAESLTTLRYFPTGETNCYSNIPVDKGGKVLVRTRFNYGNYDGENKAPKFDVVYDGKHRDSVVTVTSISGTRSEAIYIPESGNTSVCFFRMFLNENPFVSTIEIRRLDDSMYTDLGPKEGFILQQRTAYGRVQDLVRSPFDPYDRIWAPTPLSTVTLTSAATSINTSGADNRPPEIVLRTAWSREDMAFYDIKLPFSGVTFYIVLYFSEPLTLASDQKRTFYVNYDNKQVGPSVIAPPFGAVTQASLRAVVTTSLPYLTFKAKPDSTLNPLINALELYVISNSAGNGTNSTSSGSGGSPSTGGGGGGSPSTGGGGGSPTTGGGGESPSTGGGGGSSGSGGGGGKSDSSGEKSGNLVVSLSISIPSIAVLGTGGPLTTTINHHHYGSEQTVIAPNADTVTQIQNAK >A09p038080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000154.1:10506:10709:1 gene:A09p038080.1_BraROA transcript:A09p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQCKDARITSAGLCVHDFPTEGDAANNILSKAKQFLPDRYATQAPDQFNKHKTMLVKKLRRIVGL >A01p053000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29675497:29676831:1 gene:A01p053000.1_BraROA transcript:A01p053000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKWEMKRDEMGHRCCGKHKVNRGLWSPEEDEKLLRYITTHGHPSWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFTAEEEQTIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPSTHNLMPSHKRSATSSNNNIPKPSKMKSIMTNPTFDLSTTAFSITNLNPHTSTKPNKVKSPIRTPLPSQTIIPINNTMSSLLDYENMIPSWSDVDGVAPIHEEAPMFSSEKAVVGVDDDYFNMDILFNTPSTTTFDHDFASIFSSAMSIDFNPMDDLGWTF >A02p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2081107:2082496:1 gene:A02p004800.1_BraROA transcript:A02p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:Projected from Arabidopsis thaliana (AT5G11950) UniProtKB/TrEMBL;Acc:A0A178UJ28] MEDDKQRSRFRKICVFCGSHSGHREVFSDAAIELGNELVKRKIDLVYGGGSVGLMGLISRRVYEGGFHVLGIIPKALMPIEISGETVGDVRVVADMHERKAAMAQEAEAFIALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNSLLALFDTGVEEGFIKPGARNIVVSAPTAKELMEKMEEYTPSHKHVAAHESWKVEELGAYPGQQSKPQ >A04p027910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16840840:16842062:1 gene:A04p027910.1_BraROA transcript:A04p027910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein B [Source:Projected from Arabidopsis thaliana (AT2G29410) UniProtKB/Swiss-Prot;Acc:Q6DBM8] MTFKISEEDNIPFASPSDSQLMELEHIRISKPEDDYEETEEAVPLSCAFTRQEHCVSESREREESIQRLTSLICIYLIVMLVQIVGGYKANSLAVMTDAAHLLSDVAGLCVSLLAIKISSWEANPRNSFGFKRLEVLAAFLSVQLIWLVSGVIIYEAIQRLLTRSREVNGEIMFGISAFGFFMNLVMVIWLGHNHSHHHHHHHHHHHHSHKEEEEEEEMDPLKGGTDEKSSSKEMNINIQGAYLHAMADMIQSLGVMIGGGIIWVKPKWVLVDLICTLVFSAFALAATLPMLKNIFGILMERAPRDIDIDKLERGLKRINGVKVVHDLHVWEITVGRIVLSCHVLPEPGASSLEIINDVRNFCRKTCGIHHVTVQVE >A04p014160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5364806:5366223:-1 gene:A04p014160.1_BraROA transcript:A04p014160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKAKKGVKRTEEDNKEIPRQEKTEDFVDEEAERQAAAIRAIRDVEIEHTLTALDLLCSYFTEEQIQTPVLGFFKDNLPDLSIARDEESGEIELKWNDSDGVDVNDSILKRLSMGFPDLYNNSRPSLGGYNNVGGSMLGTGNTHLQNLGTSASQMLASHDALRTPVVNGQRLSFGMTPKTRRQPKPGEIMLSVHGSPLGVYKEDDNMGAINEEDS >A03g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13475467:13476069:1 gene:A03g503850.1_BraROA transcript:A03g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTVILGVIIMSLVMAQNQVEAKICCPRTIDRNIYNACRLTGASMTNCANLSGCKIVSGTTCPPGYTHDILENYGDAVNEYCKLGCASSVCGALTTLKNSDASEIVKGAVSQCTNACSNFCTKGSAKAVETA >A09p025050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13934996:13937556:1 gene:A09p025050.1_BraROA transcript:A09p025050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAISKFLLLSFDPSCISQEPPPICFSPRFLLHHGDACPRRTVFFVIFRYEEKAQLRIRRRLGQRKAHPFNIGQFGWKYMKEKFIRISCKTKDN >A01p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10155829:10156959:-1 gene:A01p020770.1_BraROA transcript:A01p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSIATPYRSSSYPSSSQALRVKLTGNTLFSAGVRSSATSSSLLTIRSAATKPDKPAAEVDWRQKRELLLEKRVRGVDVKEALRLQKENNFVILDVRPEAEYKEGHPPGAINVEMYRLIREWTPWDIARRLGFAFFGIFSGTEENPEFIQSVEAKLDKEAKIIVACSSAGTMKPTQNLPEGQQSRSLIAAYVLVLNGYKNVFHLEGGIYTWSKEGLPIESEED >A02g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19055928:19058189:-1 gene:A02g506800.1_BraROA transcript:A02g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVIEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELIKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTG >A08p018720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12870911:12872643:1 gene:A08p018720.1_BraROA transcript:A08p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASAAAELERLQIDILRRISALESSILPESSSPSLPDDESQTVSRLSAILRSGGVKDFSFKRVAPDYYDWPLESRRDVLGASSVDHLCKSIVLVNTQASSDIVDCSDRNNSKYYVVVVQYTARFNAEAVKNFLYSLNEGKIPKKRFNLRLAPEETSIELTGFEHNAVTCVGMKTSIPVILDEAIAKLKPDFFWLGGGEIDLKLGVRTSEFLDFVKPFVVPCS >A10g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16007598:16008759:1 gene:A10g505980.1_BraROA transcript:A10g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHSSRSPLTQNPIAGEQRKTLMSTECKSTSEKLYLAAPQVGVMETYQEKANQGKGVSSYHPFQERVDRHGNVFGERISTKQTRNPPPETNIITLDNSNLTWRQKAAQEKAKIYSSPAYVKERDQADRSIRRGRDLFPQKSTSQWRPKRSGEQEEKPSQEIPFPDPSLIRSPQGGEDVEEDIGMDPYYSDISPRTEAALLNKRKENAARIRSLIVSASMEPRVSRGLSQRPPVKPQAEEEKEETLQEFQNKERV >A02p013190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5780332:5781868:1 gene:A02p013190.1_BraROA transcript:A02p013190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGETAAKAAGSSSSSPSRYESQKRRDWNTVLQYLKNHKPPLSLSRCSGAHVIEFLKYLDQFGKTKVHVLACPFFGEPNPPAQCTCPLRQAWGSLDALIGRLRAAFEEIGGGLPESNPFAAKAVRIYLKEVRETQAKARGIPYDKKKRKRPLTAKATPNVDDGESSGGSGDRRGRNRSGDSEPKKRRRVSATINKMSRRRSLRGKEIAVDEVEVVPASLPERLFATDRFPYEKINMYSTIDFLLCVRDALNGTEEMVMLLRSCFGTLFRFLVRRVLMGKVIHEMLTRQVLTKKGGFILLRSAFVLVMIA >A09p067560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53262619:53263538:1 gene:A09p067560.1_BraROA transcript:A09p067560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRRSSSSSSIESSCKSNPFGGSSSNNTRNLSTDLRLGLSFGSSSGQYYNGGENHEYEVVAAADHEMIMEEEQEEDQNECNSLGSFYVKVNMEGVPIGRKIDLYSLSGYHDLITTLDYMFNASILWAEEEEMCSEKSHVLTYADKEDDWMMVGDVPWEMFLSSVRRLKISRAYHY >A05g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1268274:1269211:1 gene:A05g500340.1_BraROA transcript:A05g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSETLLLQMEIPREPHFFKLLLPGFQSGVAIPLDFYTKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIVKEDADGDDAPTFSYDYCFLAEVTATNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A03p057830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25060174:25061982:-1 gene:A03p057830.1_BraROA transcript:A03p057830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G22840) UniProtKB/Swiss-Prot;Acc:Q8VYY4] MNVITIPKETLHLKSKLRLLPRALPAPYRSQRVQLLPLNPLSNSSLTYPARIVSLSQGGGSIDLWRRYASDKFSDMGLDPGAGSDKMFNERAASIVETLKKANSILPHVVLASTVLALLYPPSFTWFTSRYFVPALGFLMFAVGINSNEKDFLEAFKRPKAILLGYLGQYLIKPLLGFIFGLAAVSLFQLPTPIGAGIMLVSCVSGAQLSNYATFLTDPALAPLSIVMTSLSTATAVLVTPMLSLLLIGKKLPVDVKGMVSSILQVVIAPIAAGLLLNKLFPKLSNAIRPFLPVLSVLDTACCVGAPLALNINSVVSPFGATILLLVTMFHLSAFLSGYFLTGSVFRNAPDSKALQRTLSYETGMQSSLLALALATKFFQDPLVGIPPAISTVVMSLMGFTLVMIWSNEKELSI >A05g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7652025:7653668:-1 gene:A05g502410.1_BraROA transcript:A05g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYSASEKAKWTAKSTSPVRRAPVQIPRTDNSELIEQNKLTLIGRVSNPTAQNTHALARFRVHINGLRPLERHLDVSLPSGDILKVELEYEGLHKHCFSCQSLLHEQDGCPSRSSSQQGPMGINQSRTLEKLAERRRNNGRTSSRPTGVQRKDSAPHRITRSYQGPSDGPLRSFNPSRDRNHRDARCYVSSDHRRAYAPSPPKRSEERRKEVWIPRTELSDSTPKSDPRATGRLSVKTVRQLQISEVSHTPPPRPPREPMISAAGTQSILSTSRDRRSARERISPALSLNVSSERRPTVERLSLPIHRDSLPLNEDDRIRSDLRQVLENRCIEEQVGDTPLLLGTRTLGTQDPRPEASPIRTLSEDRLHVSMRIGPLPVEPDSFDDLGSLPKRSGRLAAKVLGKRKPTSQPPTKTGPSSPSQGISIKRRRVTKSQSSPKRKLV >A02p049430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30654419:30655304:-1 gene:A02p049430.1_BraROA transcript:A02p049430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIAKRVTGLLTRPSHSQLQQERGIRVKVFSGDLDKALTILQRKMQSSGMERLIKAQQTHHIKNSEKKVLARKNLERKIKSIDFARKLQSILIKKVRSKKV >A10g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7475340:7476103:-1 gene:A10g502570.1_BraROA transcript:A10g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A09p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2938581:2939626:-1 gene:A09p005370.1_BraROA transcript:A09p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPSGPVVLTAEEKAVLNEGIGLILSRWTAMRAAVDNGWGGRDSHLKAERTVSNVLDYFIRLKDPTMGFDGLADILENGLNELNTLADDGSLEEVTETLLDLYYECLEGNYQRVEKLRVTSSQTSAKVVKVSNGNDEDEDEDDEESDDEDDDDEDTEMSNDQSTDMMVDAAENCSNGRPEAMPVDEPKADDGWTVVPSRKNKGKRN >A05p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6586270:6588852:1 gene:A05p014940.1_BraROA transcript:A05p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMMKNVKLSLFFISISLLLIAVAQAQDSHEGHSHSGKPQCECSHDDDHENKAGARKYKIAAIPAVLLAGVIGVLFPLLGKVFPSLRPETSFFFVTKAFAAGVILSTGFMHVLPEAYVMLNSPCLTSEAWEFPFTGFIAMVAAILTLSVDTFATSSFYKSHCKASKTIIDGESGEPSVDSAKVQILRTRIIAQVLELGIIVHSVVIGISLGASQSPEAAKALFIALMFHQCFEGLGLGGCIAQGKFKCLSVTIMSTFFAVTTPIGIVVGMGISDTYDESSSTALIVQGVLNAASAGILIYMSLVDLLAADFMHPKMQSNTGLQIMAHISLLLGAGLMSLLAKWA >A05g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6182071:6185089:1 gene:A05g501820.1_BraROA transcript:A05g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INLLLKFIPLSISPRYFLALQVYTTPAQHLDSTWSTPLTTTSASATTSANTSGHTSYILNLPFLALCADQAQHSSGSTTTLQPRSSLGNFHTKCAFLPHEMCTTPRFSPPMRYAFSICLIQLLHVSVNTQHTPLKDPKLPAYVSSPSSTSATASARPRTLPRASLSL >A04p018830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11236453:11239716:1 gene:A04p018830.1_BraROA transcript:A04p018830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQPPQDDYDEKSARQKEIDAWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGITVMVLSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEIGVCIVYMVTGGKSLKKFHDLVCDDCKPIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWAASVSKGVQEDVEYGYKAKSTAGTVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVAFVGYYIFGNGVDDNILMSLKKPAWLIATANMFVVIHVIGSYQIYAMPVFDMMETLLVKKLNFRPTTILRFCVRNFYVAATMFLGMTFPFFGGLLAFFGGFAFAPTTYFLPCIIWLAIYKPRKYSLSWWANWVCIVFGIFLMVLSPIGGLRTIVIQAKEYKFYS >A09p081460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59272174:59272881:1 gene:A09p081460.1_BraROA transcript:A09p081460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLNTVGASSSSCTTDPTPERLLKLKTGKNGAAKLKTVGASSSSWTTDPTPEWLLKLMTEKNGAELKKIIEKELSVTDVSRVHDRLSMPCSNIIDLEFLSPMEQRIIEEEDEGKKHMTRVDAKLVVKLVDSDVLKEFDVNLRRCNMHKTRGNHSFVYSLIRWKQVVEDEGCGLKKSDKIRLWSFHSDGKLYFALATLSPPPPPPSSDSGDSKPEEMISALVIDDKSNDDLPPN >A08p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20014771:20017655:1 gene:A08p033260.1_BraROA transcript:A08p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGRYSRVDGKKSSSSFGLTITIVLIVSLSLVGAWMFMSSWSAPTESIDFSSSQTTTKDVETTSKSDFTNEKNEETEVVTESNQEKVEERKEFEDKNGEGDRKNEKDTESESEKEKTQVEESSEENKTEENTNESEENADKSKDVFPAGDQAEITKESTTRTGSWSTQLVESQNEKKAQVSSSSSSIKWKLCNVTAGPDYIPCLDNLQAIRKLHSTKHYEHRERHCPEEAPRCLVPLPEGYKRSIKWPKSREKIWYNNIPHTKLAQVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNRTRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIWKAMSKLTKAMCWKLMTIKTDELNEVGAAIYQKPMTNECYNERSQEEPPLCKDSDDQNAAWNVPLEACMHKVTEDSSKRGAVWPEKWPERVETAPQWLESQEGVYGKPAQEDFTADHERWKNIVSKSYLNGMGIDWSYVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSADTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSALKKRCSLVSVMAEVDRILRPQGTFIVRDDSETVGEIEKMVKSMKWNVTMTHSKGGEGLLSVQKSWWRPTEVETITSAIA >A03g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9059602:9061754:-1 gene:A03g502930.1_BraROA transcript:A03g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLVRKDDLSGDLDGFDDVRADEVYFLQEGDSWIVSATGPGDSWRPYKDWDHATTRLHLRDKVTVQESEPALERAETNSKPLVKVLVMGREYTGKSSIGGQIMCMTGHKTFQEILDCIKETIGYYGISEPYLPSLMDPYKKERGGTTLVGRFEFETTRTSVTMMDGPGAREHLDQMVHASIEADAVVLVVSAVKGEFETGFTTDEGTLEHAELAFSLGVSKIIVVVNQLDDVTERESRYNEIVQKLEGCLQNIGFEGVVFLPLSALYGMNIVKGVGDEFGWWRGPSLFEAIDTIEPQPRALGNPILVDRLIARITINKRSERVVTAEYPAFLCTHRAIVGCEISEIRKIREDPSAAHLKKTTALERFASVPFFGRFALTDEDYIGVLGVGEVLGIPEWRGWWNFHIRREFPANVVQPWGFTYCPSEPLRWEL >A08g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5619085:5621512:1 gene:A08g502730.1_BraROA transcript:A08g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFLELEEFLELEDGGEEIWKLHQRLALIDTNLMRSIDNQPTSSINAHSISSIDTQQIASIYIHTPSSIDTHPRSSIDTHRLKNYRIHKEADGFHKRVKMIHDPVKFGVPCTVVEVEFPLTPDKGAHLSPYVEVLDDHQHIEASQRGLRFRDEVDKDPTEAASVDTDRIPLNDTKTPASIDITTSPSNDTGCISEQKEFDVRENLRRYHHAIRQVWGKEEEEFEKEKVDQGRSSVIIDPSLLRWCQEIHSAQQMLLTTICKALSTHPSRRLLSTSTDDTSLTSIDRTSDPTIDWIFIVSNDCSNHRPMRPCRHQSTALHQQRSIEPKLTSNTKLDSTACFGAWKRIRTGLGGYNH >A09g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:795798:797412:1 gene:A09g500230.1_BraROA transcript:A09g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEGKDHEEEDEAKLPGFRFHPTDEELLGYYLRRKVENKPIKLELIKQIDIYKFDPWDLPRVSSVGENEWYFFCMRGRKYKNSVRPNRVTGSGFWKATGIDKPVYSNLDCIGLKKSLVYYLGSAGKGSKTNWMMHEFRLPSTAKSESPTQQAEVWTLCRIFKRVTHHRNPTILQPNRRPVITLTDSCSKTSSLDSDHTSHHVVESLSHKLHEPQRQPQTHNPYWNQLTTVGFNQPTYTCHDNNLVNLWNINGEDFIGNAASWDELRSVIDGNTNHL >A01g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14711715:14713669:1 gene:A01g504950.1_BraROA transcript:A01g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRLCNQKVVSIDQLDALAKDIYCFCPIVTTYGFWRSSQHYGFENQAVRVIERCGNLPSGLRVVGSSLREKSGDALDGDLERVLRFRHDSLHEKDQALFLHIEILFNHKDKDNIKPMHGDCKLDVEYGLRNLVNSSLIDIYTDGVIVIQQIGRQVIHGQEPWKHHVLIDPHEICDLVLSYYIGRNTQERFSQRDFISKISWSSICVTASSRSSGKDLRTLRSFKCKKNLERLSLNDCMSMLKTLSMYACEKREVIRGHMNLAPLERLDMTLCQRLRNFPEISRNISWFSISVEELEKVPESIRLWYHLRVLTITSKGKLNLLAHLPQGVTHLHISDIGVQWMSCRKKSGQRESKEGLACPYATTYTEINYTNCFKLDQEAHKAILENNSYSLLGLFTLKRSACRGRSPSQRKFLDHLFVP >A01p008980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4310539:4312021:-1 gene:A01p008980.1_BraROA transcript:A01p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQCSLHLLQPYCSASYNNHSNLRFHNLAPFRVTRTIQAPKIQRVRADWSRRSVKVRVNGLNREMEEEEEDVEESEEEDEFTSRKRESYGGKKEDINYDKDPEFADILGDCLDNPEKAQKKMEERLRKKRNKILHTKTGSATSMQVTFNKFEYSNSYMWLEFHNAPLDKDIALITDAIRSWHILGRLGGYNSMNMQLSQAPVDKRPNYDAILGANIEPTTFYNIGDLEVQDNVARIWLDIGTSEPLILDVLINALTQISSDYVGIKKVVFGGSEFENWKENLTSEESGFRVHKI >A01p043060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:25065087:25066208:-1 gene:A01p043060.1_BraROA transcript:A01p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRSLPGDLVEDILSRVSAIPLVRLRETSKQWNAKLKSGSFAKMHAAHAPKEESLMITLIDHRVCLVKINLHDPSVKVAPHALYLKDPLSDSSKEVDIRNVFHCDSLLLCTTKDKRLVVWNPCSGETKWVKPRDSYKKTDYYALGYDNKSSSKQYKILRLDRQDRPNKNVYEIYDFTSNSWRVLGVATDWFLAKYRGGISVKGNTYWVATQAAEKPYHDFILSFDFSTEMFQNMSLPHPFPYGISSLSVVREEQLCLLGAKRHMLYMDDGASFSDLQVWVITSTGSWNKFLALYNTTSYPFSKGMSFLADEQNQVVVFLNTKSILHVMRQNKHILEKHLGGKSSVLLNYVPSLAQIQEATLPGGRKRKAPST >A01g501980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6944085:6944563:1 gene:A01g501980.1_BraROA transcript:A01g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVATARARSLCSDRAGRSLGRYVATERDDRSVATDRAGRSLGRYVATELWLELRSDRAGRSLGRYVATERDDCSVAT >A08g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5534886:5536479:-1 gene:A08g502620.1_BraROA transcript:A08g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRDDSVYSGTDHPLRKRITSFLTQGLEKKTVNHLCCYNETNDNKSQVSMRPAYHSSKKVLVNDKLEAEVESNGIVLSIDCKDVGANKIESTLHDDLALKTWKYDLI >A09p068690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53733111:53735157:-1 gene:A09p068690.1_BraROA transcript:A09p068690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKIELKKIECPKEKSSKFSKRKKGLLKKAEEMAVLCDSDIILLVFSPTSKPNLFYPHSRPLGRILERLSNMSEYEREERFDLSTLMKLFKNKNPNENHNHSSGRDEVQLQEDDKVIELGEARELLDENTSILRNWQDPHRVDDLARLTIMEDHLVSILSLIKKVQIEQG >A01p011730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5675108:5680920:1 gene:A01p011730.1_BraROA transcript:A01p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase CMT2 [Source:Projected from Arabidopsis thaliana (AT4G19020) UniProtKB/Swiss-Prot;Acc:Q94F87] MLSPAKCESEDPTARLDLLLSSPRSEPERLSLLLSLPNPAEAEMSTSRRSTRINCNSPKEIGGGEGTNGKSGSSKRKISSAPVKKDSGGLSFEDIAKSLEIGVVSECRDKNDDAEGRSQVPAKRKVDCDDMKRSSQSLSSNKRTRRSARFAKGMEDEEPVPSIRSPRLSGTVENGLGLCGAKQVRGTEKLVQVSENGNSKKCEGDGFVSSKQELLGSTVNGSRVKSLGKHRSSDPNASGDHTSSLKTSENGTSNGLPMSRTSECGAAADNGVTGEMHANATVIYLSDDDEEPQPAKDVQDSVEFLYTKSSNGDVLIQGASGSTLSSGGNGRQAPLDQNSPIKSTKGKGARVTRTAVREKHEHGSSFFIGEPIPCEEAKERWRWRYDIKEHKSKRRGQQAEDDEDMIVANVECHYSQAKVDNETFSLGDFACLMGDGEEPPIGKIVEFFKTTDGESYFRVQWLYRATDTVMQKQAADHERRRLFYSTVMNDNPIDCLISKVTVLQVSPRAGLNPNSIKSDFYFDMEYCVEFSTFQTLRTQTSENKLECGADVTPTESTESILEDKSFSKELLVLDLYSGCGGMSTGLNLGAKISGVDVVTKWAIDQNLAACESLKLNHPQTQVRNDSAGDFLQLLKEWGKLCKRYVRNNGHTTDTLNPENSTKEATESSSSTEDDSEPEEDEVEKLVDICYGDPDKTGERGLKFKVWQEDICHNFVVDRLYSLVFTGLRMINCQDAIREFVTSGFKKKILPLPGGVGVICGGPPCQGISGYNRFREVDSPLTDERNQQIVVFMDIVEYLKPKFVLMENVVDILRLDKGSLGRYALSRLVDMRYQARLGIITAGCYGLSQFRSRVFMWGADPNMKLPPFPLPTHDVIVRYGFPLEFERNVVAYCEGQPRDVETALVLKDAISDLPHVSNNETRERMSYESLPETDFQRYIRSTKHDMTGSATDNCTKRTMLLYDHRPSVLSEDDYNRVCQIPKKKGANFRDLPGLIVRNDNTVGRDPSMEPVLLPSGKRLVPEYVFTFQQGKSKRPFARLWWDETVPTVLTVPSCSNQAFLHPEQDRILTIREAARLQGFPDYFQFCGTVKQRYCQIGNAVAVSVSRALGYSLGMAFRGLAGDENMIKLPQKFSHSSYLQLQESIPH >A09p082400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59677065:59685520:-1 gene:A09p082400.1_BraROA transcript:A09p082400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12S seed storage protein CRD [Source:Projected from Arabidopsis thaliana (AT1G03890) UniProtKB/Swiss-Prot;Acc:Q9ZWA9] MHKLIFSLLSIASISLLLLFHGSEARQRELPLPNACHFSQINSLSPAHATKFEAGQMEVWDHTSNELQCAGVTVARITLQANSIFLPSFFSPPSLAYVVQGEGVMGTIASGCPETYEEAGGGVGGDMHRRFEDMHQKLEDFRRGDVFASLAGVSQWWYNRGNSDVVIVIVLDVTNRENQLDQVPRMFQIAGSKTQEQQQPLTWPSGKNAFSGFDPNIIAEAFKIDIETAKKLQNQKDNRGNIVRANGPLHFISSPSRQWQQDGNGNGIEETYCTARLHENIDDPERSDFFSTRAGRISTLNSLNLPVLRLVRLNAIRGVLYSGGMMLPHWTANAHTVLYVTGGQAMIQVVDDNGQSVFNAQVQQGELLVVPQGFAVVKTAGETGFEWIAFKTNDNAYMNTLSGGTSYLRAVPVDVIKAAYGVTEEEAKRVKFSQQETIFSRENVIAQKGKPCYKEYPKETGTCDAKSCKADCAKKHKNIMAFTSCIKDVHGKKFCRCQYLCPS >A01p054450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30456572:30459554:1 gene:A01p054450.1_BraROA transcript:A01p054450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFRSPAGAASVFSSDQKILNALRSSRSVFLGRNVRGGVHSLPPSSSSSSSIQAVSTPEAAAKATKRSKVEIIKEKSNFIRYPLNEELLTEAPNVNESAVQLIKFHGSYQQYNREERGGRSYSFMLRTKNPSGKVPNQLYLTMDDLADEFGIGTLRLTTRQTFQLHGVLKHNLKTVMSSIIRNMGSTLGACGDLNRNVLAPAAPYARKDYLFAQETADNVAALLSPQSGFYYDMWVDGERFMTAEPPEVVQARNDNSHGTNFVDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDIGLVVVSDDNGEPQGFNIYVGGGMGRTHRMESTFARIAEPLGYVPKEDILYAVKAIVVTQREHGRRDDRKYSRMKYLLSSWGIEKFRDVVEQYYGKKFEASRDLPEWEFKSYLGWHEQGDGAWFCGLHVDSGRVGGNMKKTLREVIEKYKLDVRITPNQNIVLCDIKSEWKRPITTVLAQAGLLQPEFVDPLNQTAMACPAFPLCPLAITEAERGIPSILKRVRAMFEKVGLDYDESVVVRVTGCPNGCARPYMAEVGLVGDGPNSYQVWLGGTPNQTQIARAFMDKVKIHDLEKVFEPLFYNWKLGRQAKESFGEFTTRMGFEKLKELIDSYEGSPNN >A10p021750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14529055:14529803:-1 gene:A10p021750.1_BraROA transcript:A10p021750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANDVDKTLKDSQTQSRTLISKSQMDGETAAAAKKAVATVASSTSSPSRYEAQKRRDWDTFLKYLKNREPTICQCRCSGAHVIEFLKYLDQFGKTKVHVAACPFFGEPNPPAQCTCPLRQAWGSLDSLVGRQRAAFEDIGGGLPESNPFAAKVVSIYLKEVREAQGKARGIPHGKKKWKRPRAAKATLNADDGGGGRGSGVAALVVSATMV >A10p033880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19754597:19756548:1 gene:A10p033880.1_BraROA transcript:A10p033880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCAGKRSVDRMVLPRKASGPVLRENMKKKDEKSVSFCSRIACSAKVTSTKGTNRIGSTADNAKVGRPGKEIVGSSSRAPGGFGYLRKPAVGTTGRRQPSSNVDTGSSETSSSLDHPAAVKPILPRLKTKRSAISVQSRNNGSGEVVGSSSRGTIRSSHQKPELRTRDTLMPPSVSSSSGSDHTLRGGLSRSGLRNLRCNSVSDVLPTKSSSGTKVSVTKKKNSDGESSSSSQGSKSRVSVMKGRNQSSTHGNGITVSDNRRNRMVPTIRDNSVVSSSGRRSGRLGAVASPATSRQTPQPAAPVNPNPSLSVTPSNSHSSTDWLSSMMPGSPSEAQPSSSLVDRDGLSDYNMNGIAEALLVLDRIDQELRYEQLASLETNLFASGMIRFYDQHSDMRLDIDNMSYEELLALGDEIGTVSTALSEEALSRSLKKSIYQETDETGPISLDKDDDIKCSICQEEYVDGDEVGTMPCEHMYHVNCVQQWLRMKNWCPICKTSAEEEKS >A02p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9868084:9871706:-1 gene:A02p020950.1_BraROA transcript:A02p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDASTKPSKRTKKPSGKKPSKRKTNPGVRVVGGRIYDSSNGKCCHQVNKHLHTLMMDHVCDRALVSFLQCRQKTMDFVASCKAVKNNKQCKLNICHTCLLNRYGERAQEVATLSDWHCPKCRGLCNCSFCRKEKGLEPTGILSHRAKASGLSSVSELLQVEGDDSFAYAKKEDVSIEDVANEDFKVAGKKKKALNKKTKTNLDDVSIEDVANENSKAAGKMKKAKATNEVKEEEIQIEAKLPIGISLTNVAGIDIPTEETGNVLQFLEFCSAFGEALDLKEGQAESIVSELFSCGRSTRRQQYCSVIPMMIQLLELISHDRDMSLSLSATDSTWFSSLGECLLQSGVLSDVFPPETFTSGVSEYTKMDASTRLKLLNFLCDESLSTLAMRNFIETKSVESEAQRREAKEKAAAAKEKEKQLRQKMQGDLAKAHMERNGAPLSIEEHHAILSQIKAEVKEAHDKMLEAKGMISRKRQRCDAAGTDPILLNDDGLVLWKLKCFEEEPKFLLQDLGTFGDLSPHEKWLAFKPEQKQEVEKYISAKGELILIYAFKRLKLEEWGRPSCNFQKSFNSFLPFFFYLGFFPSVRLILLFDDMLVLCLKLLL >A01p022500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11094632:11095684:1 gene:A01p022500.1_BraROA transcript:A01p022500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANQTCIFCQILHDPNSTTRLLHTDEKVVAFQDIKPAARRHYLVIPKEHIPTVRDLQRRDEDYSLVSHMLSVGQELLQKDAPQTIHRFGFHQPPFNSVDHLHLHCFALPFMPRWKVVKYMSLGPFGGFIEAQKLLEKIRPLSSKV >A06p012540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5726450:5727731:-1 gene:A06p012540.1_BraROA transcript:A06p012540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA34 [Source:Projected from Arabidopsis thaliana (AT1G15050) UniProtKB/Swiss-Prot;Acc:Q9C5X0] MECGSVIDLGLSVGGYREVTDDLKSNRGEFLQKRHETRNGRCGKECGSNEGGRKKWGYVKVTMDGFVVGRKVCVLDHGGHSTLAHQLEDMFGMQSVTGLRLFERESEFSLVYKDKEGTWRNAEDVSWKELVENVERLRITRRNDFLLFF >A02p039400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22438406:22439300:1 gene:A02p039400.1_BraROA transcript:A02p039400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FALFTPQVHIIHENAINFFFFKNDIFTLSPSSSSSNYKIVIVINTLTTMNNQFEALNAPKNDLPFFFLHSYELNTTYLSLSLHIKLKTPNGSRLENFLEVEISLEDFQEVQTTEMEVVWKTSSKSSTALYIRRLTGKSSQKSSRSEKPADQIQN >A09p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1129795:1134344:1 gene:A09p001540.1_BraROA transcript:A09p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAPMDEKAKRMRDLLSSFYTPDPSISTPTSSISTSFDNINGPSFDADQYMDLMIKKSNLDVLLQRHVQMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNIFGMEGNMAQLLHKIMSVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLQKCIKSEAYGDAVRFYTGAMPILKVYGDTSFKDCRRASEEAIETIIKNLQTKLFSDSESIQARAEAAVLLKQLDVPVDSLKDKLLEKLEQSLDGLQINPDEASKLAEHNVSSKDEESNNQGPAKIHEDAVRGFSEAMRAYREIFPDSEERLFKLARALTTTHFENMELYIRKRVSAADFLGIFREYSAFPPADVLLLSNSLRIIWEDVVLMDEVLPEAALSDLSAEAAQVSLKQFVARTFSHLQQDISDTLLKFDINQKEVVDGEVLKVVLEASGKAEFRQLLDENTGIFVKMNDLLIGWIQKGFQDFFRSLESHFLVLSGKTSSSNETEGLVEGKSSEKIHAGLILVLAQLSVVIEQKVIPRIAEEITASFSGGNSQAFIPGELCRVFHAASEKLLQHYIDTRTQKISNVLRKRFKTPNWVKHKEPREVHMYVDMLLQQLEEVGKEVKQILPQGTFRKHKRSDSNGSNTTTSSRSTTLHSDKMARSNSQRARSQLFETHLAKLFKQKVEIFTKVEFTQESVVTTTVKLCLKSLQEYVRLQTFNRSGFQQIQLDIQFLKAPLKETVEDEAAIDFLLDEVIVAASERCLDVTPLEPPILDKLIQAKLAKSKEHNNNNKTTVSS >A05p043710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26345496:26346445:1 gene:A05p043710.1_BraROA transcript:A05p043710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTAGNRDWTQIYAIYGIQQWQTLVFLLFHAFFFSLLSLLFLIYFDQICFFLDSFFLSGASRFTAGFTGAVTALSAVCLLFAAANFVYSDVPLQYEMAQRMVSSVSDWSCVKTALDLGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTAKLEGVQEYVTCREGDVRTLPFGDNYFDVVVSSVFVHTVGKEHGQKSVEAAAERMRVLGEIVRVVKPGGLCVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMAGSHIVTFRKPSELVAGPREVRLDWR >A09p070250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54506596:54507335:1 gene:A09p070250.1_BraROA transcript:A09p070250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLCSRSHILHDFLFLFFESYIFHLGVSSLPWLTRARDGRGRGNVSLRRGWSEKIMMKREGKMVRRRYVGIYGTYIPYCYYHFNNAQNLMWLFLLV >A09p077980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57820543:57824297:-1 gene:A09p077980.1_BraROA transcript:A09p077980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPETKTQTLLDAWDFQGRPADRSKTGGWASAAMILCIEAVERLTTLGIGVNLVTYLTGTMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFAAIQATGVSILTLSTIIPGLRPPRCDPTTSSHCVQASGIQLTVLYLALYLTALGTGGVKASVSGFGSDQFDDTEPKERSQMTYFFNRFFFCINVGSLMAVTVLVYIQDDVGRKWGYGICALAIVLSLSIFLAGTNRYRFKKLIGSPMTQVATVLVAAWRNRRLELPSDPSFLYDLDDVIAAEGSIKSKQKLPHTNQFRSLDKAAIKDQEMAMTQNVYNKWTLSTVTDIEEVKQIVRMLPIWATCILFWTVHAQLTTLSVAQSETMDRHIGSFEIPPAAMAVFYIGGLLLTTAVYDRLAIPLCKKLFNYPHGLRPLQRIGLGLLLAAMGMAVAALVEIKRLRTAHAHGPTVKTLPLGFSLLIPQYLIVGIGEALIYTGQLDFFLRECPKGMKTMSTGLLLSTLALGFFFSSVLVTIVEKVTDKAHPWIADDLNKGRLYNFYWLVAVIVALNFLVFLVFSKWYVYKEKRLADLGIELEDELDIPMGH >A04p019430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11631677:11633569:1 gene:A04p019430.1_BraROA transcript:A04p019430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQDKLEKMQLRQSYRNVWQSDLMSTVTADTPYCCFSCLCGPCVSYLLRKRALYNDMSRYTCCGGYMPCSGRCGESKCPQFCLATEVFLCFGNSVASTRFMLQDEFNIHTTQCDNCIIGFMFCLNQIACIFSLVACIVGSDELSEASQLLSCLADMVYCTVCACMQTQHKIEMDKRDGVLGSQPMSVPPAQQMSRVDQLTPPYAGYPPATGYPQPYYPQPGHGYPPAPGYPPPGHGYPPAPGYPPPGHGYPPAPGYPPPGHGYPPAPDYPSK >A01p057530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32603379:32606474:1 gene:A01p057530.1_BraROA transcript:A01p057530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKKKMARREDDMEKVKDKSESLLLPENGSDVSEEEGASWMVCLSTFIAVCGSYEFGTCVGYSAPTQFGIMEELNLSYSQFSVFGSILNVGAVLGAITSGKISDFIGRKGAMRLSSVISAIGWMIIYFSKGDIPLDFGRFLTGYGCGTLSYVVPVFIAEISPRKLRGALATLNQLFLVIGLASMFLIGAVINWRALALTGVVPCVILFFGTWFIPESPRWLEMVGRHRDFEIALQKLRGPNANIAREADEIKEYLATIAHLPKTTLLDLIDKKNIRFVIVGVGLMFFQQFVGINGVIFYAQQIFVSAGASPTLGSILYSIEQVILTALGATLLIDRLGRRPLLLASAVGMLIGCLLIGNSFLLKAHGLALDIIPALAVSGVLVYIGSFSIGMGAIPWVIMSEIFPINLKGTAGGLVTVVNWLSSWFVSLTFNFLMIWSPHGAFYVYGGVCVLAIIFIAKLVPETKGKTLEEIQAMMM >A09p002240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1577489:1578501:1 gene:A09p002240.1_BraROA transcript:A09p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTMGHKHQLQKSVPVKNHNHLGSGGLRRPGAPPLRDLNYNTRQMQQNNWQMSKGEDRMPAFSLGRASSGTGVYFPRIASHPPTKKTEIREDDSKTKEKKSETVETPFDSLEKLLPEEWTY >A07p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14054536:14055952:1 gene:A07p024410.1_BraROA transcript:A07p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHTILSFSSPCHRLIISPSSNAIPPLRSSFRGVSLNLHRPHSVSFSASSKKSQTVVSAAKKAVAVLKGNSDVEGVVTLTQEDTGPTTVNVRITGLTPGPHGFHLHEFGDTTNGCISTGPHFNPNNMTHGAPQDEIRHAGDLGNIIANADGGHELSLTTGNAGGRLACGVVGLTPL >A03p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4241964:4242831:1 gene:A03p010580.1_BraROA transcript:A03p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNAAKLTGIRQVVRLKEILQKWQTVTIGSKSDVPPLAAGKQAAAMISPAINKRLLAVKNCDSDEENCQSPEPPVDVPRGYLAVYVGPELRRFIIPTSYLGHSLFKVLLQKAEEEFGFDQSGALTIPCEVETFKFLVKCMENNSKDHHPDDGSAGDAVAAMEECTQIK >A09g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25083803:25088891:1 gene:A09g508940.1_BraROA transcript:A09g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLQHLNSGYASNIISNQVTFIIYSPLLVDSIPICMPSGTRSNKEKDLLFSDDPAHLERTIRRGQCSTSLDATTSSSINTHNQPSTDTRPSSSIDPDRSTTIDITPRTSIDTVSSKMVNIIILTQDENGNLYDQAGHLRNATDHDEDRSTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQTPLTYRVRLPSIDNDYISALRPPPKPLANPPEPKPNPLNSSPESVQEEQESERRRLRKRKEKIPKNLKREANDKKMNGFTKPIEKPFDEAYFTHRLWIFFRETKVIEEDIRRMFHQVREKMKHMITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASVSILPRIMADQLDIELNWNSSLLLGRSFLATVGPVCDMNKNKLCLTITDPNIHYDPIRPKRKVINSVDYGKELGFIGASHCGAEYESEYEIEYSESIDTLTLPSIDSNESTVTGSWADSGFHESFAVDTDERLETHKFTNTFPTSFDVVHSTSVDTHPRPAKQPLTSIDTHKENSIDISAAAKIQEQENIPSPTRDPDGNARAMDGRILQVNVALQTSIQTDPNNHTEVATTEINPYISRQPKGEASIDGTTETSIDRGTPTSIDRDNSTLIDRRYECGNRAFDMYGARKFTWEQKDEYGVYRDERGHARGLAGEMISVTKDDIRKLLERASVFEESHICLPEHATSFTLTRLAPELYTKDEINEMVFGICGAQEKLGEELKSLQLEKEATTSASIDAPHATLIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVSCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKV >A06p046270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24760293:24763254:-1 gene:A06p046270.1_BraROA transcript:A06p046270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDEDKPKEVPKARRFAPGRAGKPKPKPKPEPTASEKAEPSSQTECVSKSEHDVDATKVSDHPKVEPEVFNGAVKMEIDAKVDKEPETTEVELMEEDHHQLPLHQEEEKKEEEEDVVVREIDVFFNPSIDANTKLYVLQYPLRPSWRAYEMDERCEEVRVNPSTSQVEIDLSMDVHSSNYDPDFGSKLRMTKQTLTTTWKQPPTLDYAVGVLSGDKLHLNPVHAVVQLRPSMQYLSSKKNQAEATEESAGTSKRQNKGAQASTDQKPVPEEWVSLKYHGLQSEYCSRYLSGMMANGNSSIDFNMTPDAYINSLCRGDNSRNSESKALSKRLLASLPLEERLQTLLCQKQGPPLFRYSVLKHYAPELSDEEFLKVLQQYACLVQGLWTPKTRLLKLDGLEGFRDYVLSLFSQRLTIKYSEVKASRYADKIKTMLNVFAKERPLLCDWKFKEPTDVSFIKSHKKIAEEQAMFWKGMEEKLQPKIIQGGKGRGGDSNLRNAVGKNNPSATTAKHEVAAATVSEVGGSSRSAIPRVPRQKMPDEIKRAIPKALKKVFQTQKVCSYETICQRLRDLAVATSNNPRVDSGMAQQVALAVDSYQEELRVVISEVTVDIHGSFVSKSSQDHPEYDPLRDVVINLLLGNPPGAKIMKADVFAAGRSILGREITNNEYQKVMHDLCETHSSGWVLQKAR >A07p010760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6742830:6744575:-1 gene:A07p010760.1_BraROA transcript:A07p010760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 (Cell division cycle 45)-like protein [Source:Projected from Arabidopsis thaliana (AT3G25100) UniProtKB/TrEMBL;Acc:Q9LSG6] MVRMEKVESFYGKLRESATCSSSQNPLLIFPSSSDVDSICALKVITHILESDSVPYSCFPVSSFLEIHNYAPPAEGPVTILLINWGCHRDLKLVLKLAPAARVFVVDSHRPIHLHNLSDENHQVVVLHTDDDERQADLAYDFDVLKLANESFQMHQESGVDESDDEESDDDEEESEDDRPSKRRKMGVKLFKKLKRDYYKMGTFHGKPSGCLLFELSHLLRKNTNELLWLACVSLTDQFVHERLTDERYQAAVMELEQHINSSGNIDKITSVTLKDGTKVRAPDCSRISYEEEPRLMLLREWNLFDSMLCSSYIATKLKTWSDNGIKKLKLLLARMGFALIECQQKFPYMNNEVKRKMKQEFDRFLPEYGLNDFYYRSFLRLHGYSSRVSAADVVYGITALLESFLGSGGSSASKQFGEAYDALSLNNLDKLRSGMQQAIKVQRAILRQGSAAITKTGCIRSGRKFRWVKVEDSIDAKYLGYPQALTKFCYFLMDALREKGARMKPMLCACASQQPGKILVVGVCGKPRLGAVRGNAFGNAFRKAAQESRADYFHELFESSWIVLDASAVNSFMIRLTEKL >A08p030060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18428417:18437546:1 gene:A08p030060.1_BraROA transcript:A08p030060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRELERKFRMTSYARNSSVQKKSSDNAKHITLETVQQLYKETRPKSLGIADLGCSSGPNTLSTIRDIIKTVEIAHHREIPKQPLPEFSIFLNDLPQHDFNSIFKTLPDFHMELKRDTKNDVPPALFDHQGKSINKGCINICSSSPEAVSKAYYSQFKEDFSMFLRSRSKEVVAAGRMVLIILGREGPDHVDRGMSFTWEILARAIADLVGQGETEEEKLDSYETHFYAASAAEIEGEVNKEGSFELEKLEIMEVEKKDNEDGMSSGELAAKTIRAVQESMLAPHFGEEILDKLFDTYGRMFDEELAKEDIRPITFKKAYDQAKDIILKTLQQLYKETRPKSLGIADLGCSSGPNTLSTIRDIIKAVIVAHHREIPNEPLPEFSVSLNDLPRNDFNSIFKSLPDFHIELKRDTKNDHSPSVFIAAFPGSFYGRLFPENTIHFIYASFSLHWLSKIPPALYDDQGKSINKGCINICSSSPEAVSKAYYSQFKEDFSMFLRSRSKEVVAAGRMVLIILGREGPEHVGRGNSFLWELLARAIADLVSQGEIEEEKLDSYELHFYAPSAAEIEGEVNKEGSFELEKLEMLEVDMEWGNEDGISYGKAVAKTIRAVQESMLASHFGEEILNKLFDTYGRIIDEEIAKEDIKHITFVVVLRRKL >A07g508790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:24370377:24372563:1 gene:A07g508790.1_BraROA transcript:A07g508790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSHCNCFITIFFTLLLHTLASPPHHFCKQDQRDALLEFIAEFPTGESYTWNKSSDCCFWEGVTCNPRSGQVISLDLSYTLLNSSLKTNNSLFRLRSLRHLNLMDCNLQGEIPSSQGNLSRLVELDLWDNHLVGEVPVSIGNLNELRVLSLGGNKLSGNFPIIFANLTKLSFFGLNFNNFTSTLPVNMSEFINLEYFNINENSFFGPFPKSLFLNPLLESVDLGRNQFTGPFEFPNTSSLSSKLQFLNLANNRFDGPIPESISKFLHLSQIYLNHNNFSGSIPRSLSKLANLTDLHLSNNMLKGRVPGFLWRLIAVTLSHNYFSSFANPSKDPLIQMLDLSSNSFQGPFPHWICKLKKLSLLDLSNNLFNGSIPPCLRNSTVSLIDLILRNNSFSGIIPDIFADATELQSFDVSRNHLEGSFPKSLIICKALQIVNVENNKIKDEFPFWLGSLPSLNVLILRSNEFYGPLYHRHMSIGFQSLKVIDVSHNEFTGTLPSHYFSNWREMTTSSEEIDQYYFASIGYTTPWNTYHTIYYESVYHSMEMVNKGVEMNFERILKDFRAINFSGNRISGEIPESISCLKELRLLNLSNNNFRNDIPRCMSNLKNLETLDLSSNNLSGQIPQDLGNLSFLSYMNFSHNLLEGPVPRGTQFQRQTCSSFLDNPGLFGLEEICQQTHVPNPTSHQSEELSEAEEQVFNWIAAAIAYGPGLLCGLVMGHIFTSHNQ >A09p062890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51258826:51262921:-1 gene:A09p062890.1_BraROA transcript:A09p062890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALGHTFFPNFGRESTGVYESYNIVRDNHNNGTFLDFPVPNMYGVVHHQTSLVDSVSSEVNGIDSNSVVMKKLNHNANERNRRKKINSLFTSLRSCLPDLDELKKLSIPKTVSRSVQYITELKKQANKLRQKKDDLLVRVLVQKERYVQPQPKVIAGYVSTVFATKLRDNEVMSDEQHASRFGTKEQQKKTNPPNRFSQVFGEVIVGEKKLKKDVRKAGELLGVNLVSLDEKTMLIQGPIGNSETQQFQISAEGRVNLWAKLIRCYQKQHPLQAHGLSCCHTLQRPDQDCGAVGADTNRKFQTSWAKLVVSTLFSMMKTSPFSVSRCDDYNPIGQPQLCIAHSVFDKVVTHLDEKLTLLGWLFFNAIFGTHFYFDHETLVSQCFLKVLCGAEGYYSSTPSTYGGAKKIETVTLAEMNTYVLNSQPHSFMVSCIYDNHQRLPVANFAINAGADHPEDDMHAAVNLSVEHNPVVKTDAAEKTIMSECAPNIIGVNGGSEPVTSQTESSREELIGEEHDPSSKKLHTSDDVEDRTL >A06p055680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29057262:29059055:-1 gene:A06p055680.1_BraROA transcript:A06p055680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRLSDLPDPLLTQILSHLPIIDSVKTSVLSKRWESLWLTVSTLDLNDRDIAPPYKKLFATFIDSFLDHNFESPLLQSLKIKYDECNVNLFPISEWIATAVDLRGIHHLDVEIQNPMHVVDFTPASVYKSKTLVSLKLSHVRVRNLMEEVSLPCLRLMSLENVCYGTPLGKEGDLCVEKLISGCPVLEDLELVRKCDDMVKTLRVRSQSLKMFRLEFRSGMGGGVEIDAPGLEYMSFKDCQSDRIVVKNLNSLVMIDVDSGFNVKFGDSEDLIKSDTIRDFFTGVSSVRQMIISQPTLEILYRYSELVPVAEFQRLHHLQAAFSTSSLQLLPAFLESCPNLKNLVLDFSVSAESEQINLTNVPRCLTSTLECVEISKLIMREETGIKLVNYFLENAAVLKKLSVSFTDSPMADEDLDTYKELLTSTKRSRTCQVFIS >A06p038160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20592351:20594303:1 gene:A06p038160.1_BraROA transcript:A06p038160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEKFPDVFTWIQNIPQITKWNTTSLSFCICHSASDFPNSTLNLIAQRNPNPKIPTFSIIVQSNNHPSLYLWTSKQELSINPNSQNPFDEQTVTSLLFGFVESILTYTSNCSNYSTIKVPKPDPSMINGLKDIFNTVILTLSFLVCVYEAPLYLRENCLITLRNHLVTCHARQATTSLMKLLGSNLEEQWMRSVNLAITNWIIERKDNRSNKTTTTPLFSYAFSTYGLWKVQLYCPIEAMEVERSSNPTADSRLLFSLKFNQLEGVMQFNHKVVVRDKWIDVIVKIDNIRYDVIKLVNERLMSRKGAGEHEKHFPSRISLQITPTLQTDFISVSVSKSSNNPGREFEVERSIEGSFDPPNSLGLRVAGREASTMTMTPWKFEQSVLGYTANLNWILYDSSVGGREVFTTKPSRFSIMSPRSWFKDRYARAYRSFTRRGGVIFAGDEYGESVVWKIGKGAMGRRMEWEIKGSIWLTYWPNKYKTFYHETRRLDFTQLLYLTID >A02p025720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12104507:12106126:1 gene:A02p025720.1_BraROA transcript:A02p025720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSATTGQLSQKEADIKMMCAAEVHLGTKNCNYQMERYVFKRRNDGIYIFNLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPAQKWDVMVDLFFYREPEEAKPEDEDEVAPQAEFGLPAPEYGGGDQWTTAAIPDAAWPGEAQAPIAAAPAGASWNESGAAPAAEGGWDTAVPPTNAAVSGWE >A04p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2818269:2819429:1 gene:A04p005370.1_BraROA transcript:A04p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLSIFLTIFPLLLLLNPTNAWIPGVYSGSSWQTAHATFYGGDDASGTMGGACGYGNLYSQGYGTNTAALSTALFNTGLTCGACFEIKCVNDPKWCHSGSPSVFVTATNFCPPNLAQPSDNGGWCNPPRAHFDLAMPVFLKIAEYRAGIVPISYRRVACRKSGGIRFTINGHRYFNLVLITNVAGAGDIVRTSVRGSKTGWMGLTRNWGQNWQSNTVLVGQSLSFRVTTSDRRTSTSWNIVPSNWQFGQTFVGKNFRV >A03p028560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11994507:11996263:1 gene:A03p028560.1_BraROA transcript:A03p028560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSENDRPLLINRIDEEEEERAYDDTEKVHIVRDEDDNERDLEYGVGCGGAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGYSLLWLLMWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWAGMVLWVMAELALIGSDIQEVIGSAIAIKILTNGLLPLWAGVIITALDCFVFLFLENYGIRKLEAVFAVLIGTMAVAFAWMFGQAKPSGSELLVGILVPKLSSRTIQKAVGVVGCIIMPHNVFLHSALVQSREVNKRQKYRVQEAINYYTIESTIALIVSFMINLFVTTVFAKGFYNTDLADSIGLVNAGQYLQDKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNFKMKKWLRALITRSCAIIPTIIVALVFDSSEATLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGSFKIGPFYQTIAWLVAALVIMINGYLLLEFFSSEVSGVIYTGFVTVFTASYGAFIVYLIARGINFTPWRFKAES >A10p027320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17220516:17222323:1 gene:A10p027320.1_BraROA transcript:A10p027320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At5g18680 (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G18680) UniProtKB/TrEMBL;Acc:C0SVQ0] MTLRSLILEMRSRSHRVVHDLASAADSTPSASSEANRWSDIPEELLREILIRVEAADGGGWPSRRSVVACAGVCRGWRLLMNETVAVPEISSKLTFPISLKQPGPRESLVQCFIKRNRATQSYHLYLGLTNSLTDEGKFLLAASKLKHTTCTDYIISLRSDDMSRRSQAYVGKVRSNFLGTKFTVFDGNMLPSSTSTGPARLRKNRSYNPAKASAKVPLGSYPVSHITYELNVLGSRGPRKMQCVMDTIPTSAMEQPRGVSSSEPSEFPYLGGSRSTFSRSQSKPLRSSSSGLSHLKETPLVLSNKTPRWHEQLRCWCLNFHGRVTVASVKNFQLVAAAASSGVGGGEGLSPERQNERIILQFGKVGKDMFTMDYGYPISAFQAFAICLSSFETRIACE >A03p008450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3395503:3397972:-1 gene:A03p008450.1_BraROA transcript:A03p008450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIRGRDILFSTRVLYMLQKALLFTTLIQIKIWVSLKVGILILLSEETEQTKFFEELLSMSSIAAVLWVAASSPNPDPMNTCGLVRALESSRVFSRCQSQRVSNGRRKQTTKIMSCRRGRSSVVSSSLVVNPAGEMTLSSEEKVYNVVLSQAALVNEQLRSTSPVLDDVKKPQDTVRPGSLSLLGEAYDRCGEVCAEYAKTFYLGTLLMTPERRKAIWAIYVWCRRTDELVDGPNASHITPMALDRWEARLEDLYRGRAFDMLDAALADTVTRYPVDIQPFRDMIEGMRMDLRKSRYNNFDELYLYCYYVAGTVGLMSVPVMGIDPKSKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRNFMRLQLKRARMFFDEAEKGVTELDAASRWPVWASLLLYRRILDEIEANDYNNFTKRAYVGKVKKIAALPLAYAKSVLKVSS >A09p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15194863:15195923:-1 gene:A09p026920.1_BraROA transcript:A09p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHLLPQALHMIPRIPNLSSRSSGVSSFLPRASSSVNSRISVSRGFLNQSGAIDSRKRREFIAKAEESTDGETEADVENVAETVEAAEVEEAKPPWKPRTKLGDVMGILNQKAIEVSEKVRPVPEIRTGDIVEIKLEVPENRRRLSIYKGIVMSRQNAGIHTTIRIRRIIAGIGVEIVFPLYSPNIKEIKVVSHRKVRRARLYYLRDKLPRLSTFK >A09p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9118341:9119377:-1 gene:A09p017610.1_BraROA transcript:A09p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGTLEVVLVSAKGLEDTDFLSSMDPYVQFTCRTQDQKSNIASGQGTTPEWNETFIFNVSEGTTELKAKIFDKDVGTEDDPVGEATIPLEPVFLEGDIPPSAYNVVKDGEFKGEIWIALSFKPSENRSRGVEEESYGGWKNSEASY >A08p035320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20863104:20864552:-1 gene:A08p035320.1_BraROA transcript:A08p035320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSHRCFLLLIFFLASHSSVTSRILPKISVTTTTTLDVTDSIRKTKDASSFQLNQQEEQSQYPTSSSFSLQLHSRASVRGTEHPDYKSLTLARLHRDSARVSYGDGSFTAGDFATETFTIGSASVDNVAVGCGHSNQGLFVGAAGLLGLGGGLLALPSQLNTTSFSYCLVDRDSDSSSTVEFGSDIPNDAVVAPLLRNHQLDTFYYLGLAGISVGGEMLEIPASSFEMDESGGGGVIIDSGTAVTRLQTGVYDSLRDAFVKGTADLERAAGVAMFDTCYDLSGKTTIEVPSVAFHFPGGNMLALPAKNYMIPVDSVGTFCLAFAPTASSLAIIGNVQQQGTRVGFDLANSLIGFSANKC >A04p005350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2782518:2783065:-1 gene:A04p005350.1_BraROA transcript:A04p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSANAPQFQRWNLSSKKETFGDDGDTSRVTSSLRQKKQGKHGFTRKCGRLVREQGARFYIIRRCVIMLLCWTDNMYALEQ >A10p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18515299:18517621:-1 gene:A10p030670.1_BraROA transcript:A10p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNGNWVVLSLIFLFLLCGLSSVSAKPPPVKIVSGLVTNVASMLWKWLWSLQTSTTTTATTKSGVSSRSMVKYESGYNIETVFDGNKLGIEPYAVEVSPSGEELIVLDSENSNIHKISMPLSRYGKPKLVSGSQEGYTGHVDGKLKEAKMNRPRGLAIDDSGNIYVADTNNMAIRKISDAGVSTIATGGRFSDDFDLIYVSSTCSLLVIDRGNQVIREIQLHDHDCSHHEPETDLHLGTALLVAAAFFGYMFALLVRRVRSLFSSFRHNNKRHVAKPNMTMAPYQRYPRPVRQPLIPPQYEPENEEGFLGSLGKLVVKTGSSVSEMMTGPRKVTPQDFHYHHPQQPNQWPVQESFAIREEDGPPALEPRSGTNQDKPYLTAQGTNQNRSYYQDCDQYQNQKKRNVNDTEANRENEIVFGAVQEQDGRREAMVIKAVDFKEAVNDQRNLRPRINYMGYSSQVY >A10p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12612628:12614122:-1 gene:A10p017920.1_BraROA transcript:A10p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDKCITAIILSMLTTEVERVAVNVVKSVTLAENTAAKFASFDTTVMVSIQNLLNNFKEEVIHSVMQINSSANTTTQPTRPNVDTTNNAQRKLDIVQPQRDSNDEIIAQVTGNLSQYTVLPHNASVCPGLDGRIGHTTSRLPFVLQTQDPSFDDAHLSANSHTKEATKAQAGQIFHNSSRQPFGSQTEVPYLDCTTLSANSQTHTKDGSKMTVWSIFFPWTDISSESPSSGAHV >A07p038480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20467946:20468358:-1 gene:A07p038480.1_BraROA transcript:A07p038480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFEMKQEVTKARFRASEGKCKVMIESDCKKAIDIILNRASHFGLHNWIREIHWWITKFEGVKFQWILREANRVVDRLATEQQQLSLSFSFHFMYQCQYHLYYMQTVDSQYHLSK >A07p043540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23810944:23816303:1 gene:A07p043540.1_BraROA transcript:A07p043540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGGGGSAQKLTTNDALAYLKAVKDKFQDKREKYDEFLEVMKDFKAQRVDTSGVILRVKELFKGNRELILGFNTFLPKGFEITLLPEEDDQPPPKKPVEFEEAISFVNKIKTRFQGDDRVYKSFLDILNMYRKENKSITEVYQEVAILFRDHHDLLVEFTHFLPDTSGTASTTTDPAKMSIRDRGGIKSLPTMRDSDKKDRINDLKTEHMDLDHERSLLKESKEDVRRIDKKSDYMDGRDRKGDGIDSQKEQFLLGKKKLTLRDNDSPGISNQAREGGDKFRGDIATSSTYDDKGHVQELAFVDRVKAKLKTSEYQEFLRCLNLFSKEIISQPELQSLVGDLIGVYPDLIEAFRVFLVQCEKNDGLLSGIVTKKSLWSDGKVPQPTKSQDKDTDREREKVERFRERDREKERLEKAAASQKWAKPINELDLSNCEQCTPSYRLLPKNYPIPIASQKMEIGSQVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNSATNRVEELLAKLNSNELKTDTPICIEDHLTALNIRCIERLYGDHGLDVLDLLKKNAYLALPVILTRLKQKQEEWIRCRSDFNKVWADIYTKNYHRSLDHRSFYFKQQDSKNLSTKALLAEIKEIAEKKRAEDDALLALAAGNRRTVCSNMSFDYPDPDLHEDLYQLIKYSCGEMCSTEQLDKVMKVWTEFLEPMFGVPSRPQGAEDREDAVKSTTNLSECSPQNVVTVANNGTLKAIDLDRDVTASKTSDALLRDNAKKDKMPKNLTTPDERPETKQAVSIERVHSSTTPLVDGLLSQRNGVSNSNPKPTALASGMEMEMKPNHVNGPRVEVFPNGTVAETSTNQRPNEASAKVEREEGELSPTGEFEEDNFAENDLEPLSNGKDGGGENDANAVDEGDESAPRSSDVSGNTSQNGDVSGTESGDGEGCYPEDDNKAESEGEAEEEEEGMSDAHDDAEEGDNRPVLPISVRNLIHVKPLAKYVPPALCDKDKDVTNSRVFYGNDSYYVLFRLHQILYDRILSAKINSSCPERMWKTSNSANPADSYSRFMNALYNLLDGTSDNPKFEDDCRAIIGTQSYVLFTLDKLIYKLIKHLQAVAADEMDNKLHQLYLYEKSRKPEKYLDAVYYDNAGVLLPDEDIYRIECELSTPTKLSIQLLNNGHDKPDVTSISMDQTFAAYLHNKFLSSQPNVKENPRIYLNRNKRKNGEDQVKINNGLECKITCSSSKVSYVFDTEDVLHRVKRKKVSSRQDPVVASGSSIRQRRIQSYQKLLTGQ >A05p048510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28398203:28399492:-1 gene:A05p048510.1_BraROA transcript:A05p048510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSGLSRRTLAGLKETSSKLLGLRGIQTFTLPDLPYDYSALEPAISGEIMQIHHQKHHQTYVTNYNNALEQLDQAVNKGDASTVVKLHSAIKFNGGGHVNHSIFWKNLAPVNQGGGEPPKGALGGAIDTHFGSLEGLVKKMSAEGAALQGSGWVWLGLDKELKKLVVDTTANQDPLVTKGGSLVPLVGIDVWEHAYYLQYKNVRPDYLKNVWKVINWKYASEVYESECK >A05p026120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13844410:13845956:1 gene:A05p026120.1_BraROA transcript:A05p026120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIEERRSLLVPIVMVLFFFNTCHLQVMSCPMQTTNCTDQDRKLLEFPLNLEYLEAEFFLFGALGFGLDSVAPSLTMGGPSPIGAQIANLDRLTRDIVLQFAWQEVGHLRAIKKTVKGFARPLLDLSKKSFAKVMDDAFGRKFVPPFDPYANSYNYLIASYLVPYVGLTGYVGANPKLHCPASRKLVAGLLGVESGQDAVIRTMLYARAKHIVHPYGVSVAAFTDRISDLRNKLGKRGVKDEGLVVHKAMGAEENVAGNVLVGDKMSLAFDRTPEEILRIVYGSGNESVPGGFYPKGADGEIAKSYLD >A03p052540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20019042:20020514:1 gene:A03p052540.1_BraROA transcript:A03p052540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 84A1 [Source:Projected from Arabidopsis thaliana (AT4G15480) UniProtKB/Swiss-Prot;Acc:Q5XF20] MGSISGMEFESSPSSNPVHVMLVSFQGQGHVNPLLRLGKLIASKGALVTFVTTELWGKKMRQANKIVDGELKPVGSGSLRFEFFDEEWADDDDRRGDFLLYISHLEQVGKKEVSKLVRRYEEANEPVSCLINNPFIPWVCHVAEEFNIPCAVLWVQSCACLSAYYHYQNGTVSFPTETEPDLDVKLPCVPRLKHDEIPSFLHPSSRFAGFRQAILGQFENLSKSFCVLVDSFDALEQEVVDYMSNLCPIKTVGPLFKVAKTVTSEVSGDICKPADRCLEWLDSRPRSSVVYISFGTVAYLKQEQIEEIAHGVLKAGLSFLWVFRPPPHDLKVETHVLPQELKEECGRGNGKIVDWCPQEQVLAHPSVACFVTHCGWNSTMEALSLGVPVVCCPQWGDQVTDAVYLIDVFKTGVRLGRGATEERVVPRDEVAEKLLEATVGEKAEELRKNALKWKAEAEAAVAPGGSSDKNFREFVERLGVGASKVKENRH >A03p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3578207:3585446:1 gene:A03p008950.1_BraROA transcript:A03p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoacetylglucosamine mutase [Source:Projected from Arabidopsis thaliana (AT5G18070) UniProtKB/Swiss-Prot;Acc:P57750] MALVRSLLGAKKILGRSVTAASTSKRATSAAAPKGFLAVYVGESQKKRYVVPISYLSQPSFQALLRKSEEEFGFDHPMGGLTIPCSEDIFITLQTKKLEMAFVRNLLGAKKILGAATSKRATSAAPKGFLAVYVGESQKKRYVVPISYLSQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDIFISSNKNASFTLSLHSLSLSLTNAMDETQIASLLKSSDLFPIPQSLKLSYGTAGFRGDATLLASTVYRVGILSSLRSLKLAPSTIGLMITASQNKVSDNGVKVSDPSGGMLSQEWEPFADQIANASSPQKLVALIREFVEREEISIGDGKGEVWLARDTRPSGEALLRAAEIGVSSVSGCVAVDYSSAALDDGANGVGGEKMEVLKGYLRNLDIEIRNTGRDGGVLNEIVGADFVQKEKVVPLGFGVNDVGLRCTAYANGASTDYLKGLGLEVIFAKTGVKRLHKKAAEFDVGIYFEANGHGTILFSEDYVSLLVDKRKGLSEGSDEYNAVSRLVAVSKLINQAVGDAISGLLLVEVVLRHMGWSVQKWNELYKDLPSRQVKVEVPDRTAVVTTSEETEALKPLGIQDAINGEIRKYKRGRAFIRPSGTEDVVRVYAEASTQEDADSLADSVAQLVKSFREMALVRSLFSAKKILSRATSAAPKGFLAVYVGESQKKRYVVPISYLSQPSFQALLSKSEEEFGFNHPMGGLTIPCPEDTFINVTSQLQ >A06p048060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25519815:25520661:-1 gene:A06p048060.1_BraROA transcript:A06p048060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLLGAMGFVTSMVVFQLTRNALLNPDCRISKENRKMGVLENEDEGEKYAQHNLRKYLRTRPPQVMPSLNRFFSEEDN >A08g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6207880:6212797:-1 gene:A08g503390.1_BraROA transcript:A08g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAENKGYEIIGSLVRSSLLMENGANDVYLPDVVPEMVLWIGSDFGKKKDNFIVQAGVGLNEIPKIQNWNIVKRMSLMSNKIESTFFLNMLRLVVLDLSGNTNFYELPDEVSQLVSLKYLNMSRSASKDPNTVEELRVLNYLEILHSGIGYDSGLVQEDQEAEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLKIPIEKPFDEAYFTHRLWMFFIETKKSDPGKFAIPYVVKGVEFPHSMCDTGTSVSILPRIMADQLDFHVLDIELNWNSSLLLRRSFLATVGAVCDINKNKLCLTLIDPNIHYDPIRPKRKVINSLDYGKELGFIGACHCGAEYGSEYETEYSESIDTPTFQSIDSNESTVTDDRNNTSLDVTHPVDHFAPPNHYYQHFAFQPPSKRGHDDYSIGSWADSGFHESVAVDTVITSPNEEHTEEYDEDYWKERAIEMSLHDERFETHEFTNMIPTSFNAVQSTSVDTHPRPEKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTKCIDTYINRFAPPKPPPHTIADTQAKKMNTLPSTSTEKSMKSTHLKKTSSAEITLPSIDATGPRWQRTCNNGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVTTTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDDPMSINRRYEFGTALLTCTEPEISLGNKGTKDHICLPKHATSFTLTRLAPELYTKDEINEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFGSMAEMKTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAESQCSAQHRDEWEVSYIDTRISVVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKHVELLPYTAAEVDKITSKIYTALDTMEERLVKRCDDIYFAFDNKISRLDSHAEWLQKEVKAIQRQLEAQHQISASIDRTQAKSIDCNSPRSTNKHIIASIDAESTPIGEQLIHKTIESMQKELTELSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNEEATRSFIASWSRMSRDDVDACFPTSRYLGDPSRRT >A07g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1013478:1013967:1 gene:A07g500470.1_BraROA transcript:A07g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHEETLDRTLFVTFSFEVPLLQTVTDALIDGLNSFSPLLPRFLIQCISLPVGQSFAIKSTTVQGRLGGQECEKRLRSYGRSMLLLDAKA >A01p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22382306:22384328:-1 gene:A01p041620.1_BraROA transcript:A01p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHGQSSYRDRTDEFFGVVESRRRSIAPAAENNVPYGGGGRREDPRSAAANQSEFSKRASLIGLAIHQTSQKLSKLAQLAKRSSVFDDPTREIQELTVVIKQEISGLNGALLDLQAVRNSHNDESNISRDTTTHSATVVDDLKNRLMDTTKEFKDVLTLRTENMKIQEDRMKKFSKISSKESANPFVRQRPLASKPSPSQPAPLPWANGSSSSSSSSQIVPSRQGEAESSPLLQQSQQQQQQMVPLQDTYMQSRAEALHNVESTIHELGNIFTQLATMVSQQGEIAIRIDQNMEDTLANVEGAQSQLARYLNSISSNRWLMIKIFFVLIAFLMVFLFFVAWSKFRDWVDKMEHVLAYKAATQERSIC >A10p007560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11345037:11349164:1 gene:A10p007560.1_BraROA transcript:A10p007560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAYSSSSPSTSSESKLTTATVNDIGGAWNSPNSSHSRSIVLPHGFVASVAINMPFKKIVRELRGRNKAIGRRGRSHIAPEGSSSSSSPSTDECSEQSIWVDLPPELLLDIIHRIESGQALWPGRRDVVACASVCRSWREMTKDEVVKVPELSGLLTFPVSLKQPGPRDAPIQCFIKRERATGIYRLYLGLSPALSGDKSKLLLSAKKVRRATGMEFVVSLSGNDFSRSSTSYIGKLRSNFLGTKFTVYENRPPLVHSETSSNRKQPPSMRVSPWVSSSPHSYKMASILYELNVLRTRGPRRMQCIMNSIPVSSIQEGGQVHSPTEFTNLGNKKNKKSMMDLCTGNMGGESVVKEPLVLKNKSPRWHEQLQCWCLNFKGRVTVASVKNFQLIAAAAEAGKNMNIPEEEQERVILQFGKIGKDIFTMDYRYPISAFQAFAICLSSFDTKPMKAFVRGLIAKANLEMPKIQRKWTWKESAGFGGVTSPPPPPEKGLENLTVADVLLTKDTDGGGGKVDTLISCRTNDTVFDAMAKHNIGSLVVLRPGDQQYIAGIVTERDYMKKIIGAGRSSKVTKVGEVMTDESKLVSVSSGTNIIKAMQLMSENHIRHVPVIDGKIVGMISMVDVVRAIVDHQNGELKRLNQFIKGEYY >A01p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4822103:4833493:-1 gene:A01p009970.1_BraROA transcript:A01p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFSSIAPVITLGSISKGWIVPAWRIGWIALNDPRGVLKSAGVAQSIQQNIDINPDVTTVFQFALPEILEKSTKETFAEKNLILKQNVELVCDRLRNIPCVVCTKKPESCTYLLAKLELPLMEDIEDDMDFCMKLAKEENLVLLPGVALGLKNWIRITIGVEAQMLEDALERLNGFCKLSALQTEEEAERQTQYESDNSVWRFKGSDTAAKASSVTMRVIVYKLFDLCTPDIKKPLLPLAHGDPSVYPCYRTSIHVENAVADVLRSGKGNSYGPAAGILPARQAVADFVNRDLTNKVTPNDVYMTVGCNQGIEVLMQALAAPNANIFLPRPSYPHYEARCVYSGLEVRKYDLLPNEWEIDLKGIEAMADENTVAMVIINPNNPCGNVYSYDHLKKVAEMARKLGIMVITDEVYSQTIFGDNPFVPMGEFGSIAPVITLGGISKGWIVPGWRIGWIALNDPKGIFKSTGVAQSIQQNLDITPDANTLVQNALPQILEKSNKEMFAKKNSILKQNLDLVCDKLMDIPCVVCTKKPESCTYLLTKLELQLMEDIEDDMDFCMKLAAEENLVLLPGVALGLKNWARITIGVEGRMLEDALERLKGFCQRHMKKTEASLQSLKLSDNGEI >A01p050340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28363920:28364861:1 gene:A01p050340.1_BraROA transcript:A01p050340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSRFLNTVRCNRLASVSSVYQNGMMRYSSSVPSDSDTHDDFKPTQKVPPGGSTDSLKELVENDVKENPVMLYMKGVPEAPQCGFSSLAVRVLQQYNVPIGARNILEDPELKNAVKSFSHWPTFPQIFIKGEFIGGSDIILNMHKEGELEEKLKDVSANHKS >A02g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17389162:17390189:1 gene:A02g506020.1_BraROA transcript:A02g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLAEEACILRRLWPGSIEKEKQRMNAVSLRKKKERSWKLETLRRLSSRTFLRSISSRRKDLRNRQGSSDDFKLMHPKTSGVSIEICFVGYLRDHLIGTVRFLPSNFLPTATVKVPSLVVLRSVVLLEISKSVQEKGVESHREQKMIKSRSVVSFDDQGGSSKDNSLSGSVNFSYVGTDLMWSSPLPSPLRDWNAIRNQAGKNQVRSSSDGGGLMCCCLMKSSGMIPGS >A09p005940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3187812:3188380:-1 gene:A09p005940.1_BraROA transcript:A09p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKETVRCCSDCKTTKTPMWRGGPSGPKSLCNACGIRFMRQRRTELLGIRIIHTHKAYKKINPSLLSSHGSAGLKKQRRSLKEEEQAALCLLLLSCSSVFSDYKWIIVVTTYHNDVHLNLASLTS >A03p012890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5096637:5099043:-1 gene:A03p012890.1_BraROA transcript:A03p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLASSRNQHNGTVSSGVKGFVEQQISPGDERIVSSSSSTSLAHPPDPSLYYQNPTNLYPYGWNVAHSGYAQGINAWDGYPLYATSPEGLHVPQVVYNEDSSLMYQPGFAYNPYPSMMLEGQIPFSPAYYPQFGMHFSHSEFGGGNDPTSAYMIPFGGYGGGNLSGNQGANSLTYPQAMGILGPYDHDASQLPLHGNGVASSSSLGGYYPVGSYQSPSSIASYYGADNPVRLASDIGKRREKEYSSVPTTSDLYGNRGPRSFTRVKSKNGSGLISSAGDATNDSSTAGPNPSLYNHPEFETDYKNARFFIVKSFSEDNVHRSIKYNVWASTPHGNKKLDTAYGDAEKMGGKCPIFLFFSVNASGQFCGVAEMVGPVDFEKDAAYWQQGKWNGQFPVRWHIVKDVPNNRFSHILLQNNDNKPVTHSRDSQEVKLRQGIEMLRIFKDYEAHTSILDDFSYYDERESEKVGEDGGRKEETFAVEQLSERLQAVTVEDGKEGEKEDLKEKT >A08p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17310552:17311665:-1 gene:A08p027410.1_BraROA transcript:A08p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTFNTLRLVSSSLDSIPSISFSTSYSLSLISVGGITCSDVKRCLKHTCSVRAMSSSSAASSSSFGSRMEESVKKTVTENVVVVYSKTWCSYCIEVKTLFKRLGVQPLVIELNELGPQGPQLQKVLERLTGQRTVPNVFVGGKHIGGCTDTVKLKRKGDLALMLAEANGKTDQTLRK >A06p036530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19812627:19815728:1 gene:A06p036530.1_BraROA transcript:A06p036530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPVKPLTPVGLHPIRRNLTSTTTLKSQAMLTAVPSKHIIGLSTYNRCFEFKSWSQMRNPAFLSHRRRVSTVVASAGNITAPSSWESWMPDKNAAATTLLLSDVIWPAAGAFAAMAIMGRMDQMLSPKGITMSVAPLGAVCAILFTTPSAPAARKYNIFLAQIACAAIGVVAFSVFGPGWLARSVALAASIAFMVIARANHPPAASLPLMFIDGAKFHHLNLWYALFPGAAACVILCLLQSIICYLKENIKF >A03p059190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25715712:25716960:-1 gene:A03p059190.1_BraROA transcript:A03p059190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPQSPISPHLYPQAIQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSLSPPSPMTLPVSSSHQASPHLPSVCLLDVKVELKGKLHVVLFNEELGTRDSLCCVCLGEFELKEELVEMPSCKHIFHLDCIHLWLYSHTTCPLCRSSVLISSTKTSVDDDNNQPDSLETSPV >A09p024810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13774370:13775276:-1 gene:A09p024810.1_BraROA transcript:A09p024810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKAVGLPCPDYTRVHEGFKIAYTDMAQKYPCFGFSKMPNIAWWKTCVRDSFVKAGYDYDEETFEKVFRRIYSTFGSAAPYSVFQDSRPFLRWAREKGLVVGLVSNAEYRYQEVILPALGLNKGEWDFGVFSGMEGIEKPDPRIYKLALERAGNNIRPQEVLHIGDSMRKDYAPAKSTGMHALLLDRFKTEAAKDWREAGAVVLPDLVAVQQLLESDKLKC >A04g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3966868:3968119:1 gene:A04g501630.1_BraROA transcript:A04g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTLLANLKVGRCSNTTEVCLLRFWHLWFYEAPNVKKRGQLMTVEMLFLDEKSLSLQKKSHHQIFPIVALMVLPKKSESVRVFFHWDDVSVTESG >A07p049380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26235558:26238027:-1 gene:A07p049380.1_BraROA transcript:A07p049380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CML38 [Source:Projected from Arabidopsis thaliana (AT1G76650) UniProtKB/TrEMBL;Acc:A0A178WMC5] MMKNTQEPQSSSSFVKFCRKLSPKRKDSPAESTQHNINEDQDKNKDLEAVFAYMDANRDGRISPHELQKSFMTLGEQLSDEEAEAAVRLSDTDGDGMLDFQEFAQLIKGDDDQEDKKTELKEAFRMYIAEGEECITPRSLKTMLKKLGESRTTDDCRVMIRAFDLNADGRNHLDHLIIKLVIMKNTQRQLSSSFMKLYERLSSDINREDKNKDLAAVFAYMDANRDGRISAEELKKSFKTLGEQLSDQEAEAAVKLSDLDGDGMLDFEEFAQLLKGGDEFTEEEKKSKIMEAFRMYIAEGEDCITPRSLKMMLMKLGESRTTDDCVVMIKAFDLNADGVLSFDEFALMMMH >A09p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8026631:8028467:-1 gene:A09p015430.1_BraROA transcript:A09p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMSFPSHYYLPRGAFTNLSPSQVASGLHAPPPGMRPMPSPNVHHPQANNPGPHFPMADHRHSDFGHSIHMGMASSAAVQPPPLPQQPPPPPMEQPLVKKKRGRPRKYAPDGRVSLGLSPVPCSSAGSNKAKDSSAMTDPNAPKRARGRPPGTGRKQRLANLEPSLTYEGRFEILSLGGSYLVNEEGGSKSRTGGLSVSLSGPEGHVIGGGIGMLIAASLVQVVACSFVYGGVPKSNNNNNKTIKQEGEPKEEHNDSEMETTPTNPEAAAEQVAQQTPQNFSGQGMSGWPGSGEGSVSGSGRSLDSSRNLLTDIDLTRG >A05p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24294605:24296705:1 gene:A05p039720.1_BraROA transcript:A05p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRVIEANDSGSVRQTTYLGSRQAVDDLPGSRLAVDDLPGSRLVNAESRHAIHKTYHKQNYYRSFLYKDKLGFHLSRQDQTTIKKSRRLLRSPDDFQEEVQTTSRRLPDDFQTTNRSTFKLVEDEYHLIKNLQKR >A07p037400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20010691:20017322:-1 gene:A07p037400.1_BraROA transcript:A07p037400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYIARQQANDAQLSTMVAEQVEQAEAGLEALSASEKTINELRDNFISIDKLCQECQTLIDNHDQIKLLSNARNNLNKTLKDVEGMMSISVEAAAARDSLSDDKEIVNTYERLTALDGKRRFALAAAGEEVGRLREYFEDVDRTWETFEKTLWGHVSNFHKLSKESPQTLVRALRVVEMQEILDEQLAEEAAEAEGEGAMASVANPRRPGKKSTTTSASSKGLAQQKLKVQGKGYKDKCYEQIRKSVEDRFDRLLTLVFEDLKSALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIHMLRSLSDRATDLTNIEILKVTGWVVEYQENLIALGVDDSLAQVCSESGSMDPLMNAYVERMQATTKKWYMNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAEKQRVGEPASDIGLEPLCAMINNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELLVKLYQKDWAEGQVTEFLVATFSDYFTDVKMYVEERSFRRFVEACLEETVIVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISASKVESRIRIMSDLRELASAESLDAFTLVYSNILEHQPDCPAEVVEKLVGLREGIPKKDTKEVVQECKEIYENTLVDGNPPKTGFVFPRVKCLAASKGSLWRKRI >A02p027350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13269141:13270514:1 gene:A02p027350.1_BraROA transcript:A02p027350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAHIRPSGSGGGVLPTSTVSNGGGGGRSGRGALPRGRQMQKTFNNIKITILCGFVTILVLRGTIGVGNLGSSNADAVNQNIIEETNRILAEIRSDSDPTDLDSPQETEMSPNETYSLGPKVTDWDGQRKVWLEQNPEFPSTVNGKARILLLTGSPPKPCDNPIGDHYLLKSVKNKIDYCRLHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDALFTDILFQIPLVRYESHNLVIHGYPDLLFDQKSWIALNTGSFLLRNCQWSLDLLDAWAPMGPKGVIRDEAGKVLTGYLKGRPAFEADDQSALIYLLLSQKDMWMEKVFVENQYYLHGFWEGLVDRYEEMVEKYHPGLGDERWPFVTHFVGCKPCGSYADYAVERCLKSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETVTPLEFVGKFDIRRTVQVETKLHN >A09g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8118199:8119916:-1 gene:A09g502370.1_BraROA transcript:A09g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTNRLHRLRSHKQTKFIRYNSEEVTSIKWEFINMTEQEEDLISRMYRLVGNRWDLIAGRVAGRRASEIERYWIMKNNDYFSNK >A01p005920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3056890:3060536:1 gene:A01p005920.1_BraROA transcript:A01p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTSEARSPLPLLFRGGRSSTAPLGTTEERSPLSLLFRRRSSKDVKNITSVSSSLLPAFGTVVENNPSSKPFIVLPYDRRYRLWELFLVILVGYSAWASIFELAFEKAADGAFLTIDLVVDFFFAVDIVLTFFVAYLDTSTYLIVDDYNLIARRYLKSLAFVMDVVSTLPIQFIYKTVTGNSGRGQAFGFLNLLRLWRLRRVAELFKRLEKDTLFNYFVIRVIKLLCVTIFWVHIGGCILFWIAYHYPSPIDTWIGSQVEDFKERSIWLGYTYSMYWSIVTLTTVGYGDLHAVNTREKTFNMFYMLFNIGLTAYIIGNMTNLVVHGALRTFTMRSAFNHILRYTSKNKLPDMMRDQMLAHMQLKFKTAELKQEEVLQDLPKAIRSSINEHLFRSVIENAYLFKGLPDGLIVQMVSNIKAEYFPPKMEMILQNEIPTDFYILVSGGVEIIRSKGASEQVLAKLGPGDMAGEIGVFFNIPQPFTVRTRRLSQVIRIGHHRFKEMVQSDIEDSKMIITNFMTYLKDLNDDLKKEIPFLRDLLANADTQETVHTEEAPQSNNEEIVMVSRDENEKKEEHKREGAPIRVIIHGHPPKQDNNNNGRLVMLPDSIQLLFDLAEKKFGRRGSTIVMADGAHVEQLDALRENDNLYIF >A07p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6012654:6017560:1 gene:A07p009700.1_BraROA transcript:A07p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEPVRESSPFEPARIFSGLRGMSLCGIGLEAGWVRYIPRDARGSAKTGLYLHHRMIIVRLCIHHPYQTGLFSLKRKDTKPIKSGYTLASYLSILVRLTFGVVSFIPAQDAGGDSSLLDPPQNRFSDTLRQKFKIKYDECNVYLFGISELIAEAINRALLRIEMAGRERRVGTSQLPSVAHVLMKIGVPRTCTAKACTSRGSGLGSSSRNRIPRRPVPRRPALTVYCGEARQGGSVQPNCHLYII >A08p017140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11990803:11992996:-1 gene:A08p017140.1_BraROA transcript:A08p017140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNATANLTEEESSKLETNGMSPLENVPVETTQGEVFLVGEGKDGDKQRESFSDGVTGKETPETEPQSKELDNDPQVTKVEEDMSHNGLVMETDEQLHKNTSVGEGVETVCEPTKVEEFNETAGTEEKLVMNESLEETAPAGNVVRLDQEICMEQRTRFPEIEPQEILNLDNRVLDGEVINEGKADKEISKPGSQGSNAIGFEDYKEERDMEIAREESLKRMSRCRSLPVSNNSRFKGDSPVQGLVSEVVYPSRNKTGFDKAKTCDILPVSCQTSNKVQETTETINESSKEAKLEMRSPIFSNDLRIEERSNEPTEETPLLSQDKTETYKATLDVEEETVMLKRSETEKIRGFELSLGLSMNLSGRCDADDSFKETESSEDNLLEEKPNMSEILLVSCVGSKKAEDTNDVISESNKGDVLEMRSPSSGNDLRTKERSGKSTEETSLLSQDKTEAYNSTPNVEEKTVMLKRSETEKIRGFELSLGLSMNLSGRCDGDGSFKEINSSEDNLGDKEANTSETLFVSCVGSSSSKAQEASLLCQDKTETYEATIDVEKKTVMLKRSESEKTRRFELSMKPGERSEADDSLKENKDSGDNLLDKKASWGSMRGRVRKRSKSSLFGTCLCCNIAMN >A01p025120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20293064:20293636:1 gene:A01p025120.1_BraROA transcript:A01p025120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14723) UniProtKB/Swiss-Prot;Acc:Q2V3I3] MGALRRRRRFILAALATFALLHLFLAVSTVSAGGWLGQRTGSDFHGHFTGNKRFGGPGSSPPTCRSKCGKCQPCKPVHVPIQPGMSIPLEYYPEAWRCKCGDKIFMP >A01p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5804504:5805762:1 gene:A01p011930.1_BraROA transcript:A01p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESSQYALALSSSSSSLPPHYQNPESCIGSFINLASSYRSFLRHLNPHGLALGLQNVICYGTDNKNDNGVPPYVVFKKTQIKKIIVTLPSSFKHYGYGCLAREATLSKRSSHATSPPLPFIFSSCNHNGAKRRQKAPLLISNGELNIPQDTPHSDASSVNGSPSEVLQIALPQYSGSDLCDPWYMPCGEHINHQAPPTYAASSELVFASQSFFANFGPIPISYPPIDEGLDLEELTNNFKQYELWGGPQENNNLDDVNTIDGSSYVISRSPFDPIGRPCNPFGPIERPLPHLPSSCELADLGFV >A03p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7463494:7470646:1 gene:A03p018300.1_BraROA transcript:A03p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSLALILRAAALSPNPDERKASERKLNQLQHTPRHLVRLLQIAVDGNCDMAVRQIASIQFKNFIAKNWSPEGSSAGEQQRILQSDKQLVRDNILVYVTQVPTLLRSQLGECLKTIIHADYPEQWPHLLDWVNYNLQNQQIYGALFVLRILSRKYEFRSDEEITPVTRIVEETFPLLLTIFNGLIRIENPSLEIAELMKLICKIFWSSIYLELPKKLYDINVFNAWMILFLTVSERPVPVEGQPTDPELRKSWGWWKVKKWTVQILNRLDSRFGDPTLQTPESKPFAQIFQKSYVGRILEGHLNFLNTIRVGGYLPDRITNLLLQYLRNSISKKSTYDLLLPRLDVLLFEIVFPLMCFNDSDQKLWEEDSHEYVRKGYNIIEDLYSPRTASMDFVNELVKKRGKENLPKFVQFVVGIFRSYDEAPAEHKPYRRKDGAMLAVGALCDKLKQTDPYKSELEHMLVQHIFPEFNSPAGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGMRDPDLPVRVDSVFALRSFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYAFGLCQNLAAAFWRCLNTSEASDDLDDMGALAAVGCLRAISTILESVSSLPQLFVEIEPTILPIMQKMLTSDGQDVFEEVLEIASYMTFYSPNISLGIWSLWPLIVEALVDWAIDYFSNILLPMDNFISRGTAHFLTCKEPDYQQSLYNVLSTLMTDRNIEDSEIQSAPKLIEVVFQNCKGHVDHWVEPYLRLTIDRLQRAETSYLKSLLVQVDLKENHDVYLQVANMLYYNPSLTFGVLHNTGLASIVFDIWFLMLQQKKKSGLPANFKREHDKKVCCLGLTSLLALPGGQFPDEAMQRVFRATLDLLVAYKNQLAESMKLQKLAAQASDAQRFQNLNQSLDFTYQAIANGIAQHAEVRRVEIEKEKQKKAAEAAVAPHCCLLPGYTNTKKHSFVDFSNKKKPSSPELRADVWLLSEGLNVLVRLIDQGDF >A01p055210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31291551:31292803:-1 gene:A01p055210.1_BraROA transcript:A01p055210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3g09210/F3L24_8 [Source:Projected from Arabidopsis thaliana (AT3G09210) UniProtKB/TrEMBL;Acc:Q94AA3] MMKLQGGLLQWSPSSLIPSAIRTTRLSISACVVERKHELTARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYASWTEELNLDTLADAGQQWWVVRVSRVRGHETAQVLARALARQFPEMEFTVYAPAVQVKRKLKNGSISVKPRPVFPGCIFIRCVLNKEIHDAIREVEGVGGFIGSKVGNTKRQINKPRPVDDSDLEAIFKQAKEEQEKADIEFDEGERAEEEASLALQKALASNSDGTETVESLAETKPKRAPRKATIATETKAKKKKLAAGSTVRVLSGTFAEFVGNLKKLNRKTAKATVGFTLFGKETLVEIDINELVPEIQS >A05p046130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27450440:27452464:1 gene:A05p046130.1_BraROA transcript:A05p046130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVKLLAACLYLAAAATVVVHAEDPYFHHVWNVTYGTASPLGVPQQVILINGQFPGPNINSTSNNNVIINVFNNLDEPFLLTWNGIQHRKNCWQDGTPGTMCPIMPGTNYTYHFQPKDQIGSYFYYPTTGMHRAAGGYGGLRVNSRLLIPVPYADPEDDYTVLIGDWYTKSHTQLKKFLDGGRTIGRPDGIVINGKSGKGDGSDAPLFTLKPGKTYRVRICNVGLKTSINFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFGTIVTANQEPKDYYMVASSRFLKTVITTTGLLRYEGGKGPASSQLPAGPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGKINITRTIKLVNTQGKVDGKLRFALNGVSHTEPETPLKLAEYFGISDKVFKYDTITDDPTPEQIKNIKIEPNVLNITHRTFVEVVFENHEKSVQSWHLDGYSFFSVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNVRSENTERRYLGQQLYASVLSPEKSLRDEYNMPETSLQCGLVKNTPKPVNPYAGA >A05p046030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27410241:27411520:-1 gene:A05p046030.1_BraROA transcript:A05p046030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTRGGDPIIIIAEDIEKEPLDTLVVRKSRGKWNVASVKAPGSGELKSQYLDDIAIITGATVIREEDGLTLDKAGKEVLGNAYKVVITKEMTTIVGDGTTHEAVSKRVAEIKSLIEQAERGSEKETLNERLAKLSGGVAVIQVGGQTETERIEKKLSFEEALNGTKGSVVGGGCTLLGLAAKVDAIKDTLENEEEKVGADIVKKGLSNAGEGGSVVSEKVLRGSLEHAASAAKKFLMSGIKEPEAGNPMNNISGWLLR >A04p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:822037:828502:-1 gene:A04p001700.1_BraROA transcript:A04p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brefeldin A-inhibited guanine nucleotide-exchange protein 2 [Source:Projected from Arabidopsis thaliana (AT3G60860) UniProtKB/Swiss-Prot;Acc:Q9LZX8] MASSEVDSRLSRVVTPALEKIVKNASWRKHSKLAAECKSVIERLNSPQKSDPDSSSVPGPLNDGGSVEYSLADSEHIFSPLINACGTGLVKIVEPAIDCIQKLIAHGYIRGESDPSGGAESVLLFKLIESVCKCHDLGDEAIELPVLKTLLSAINSISLRIHGDCLLLIVRTCYDVYLGSKNVVNQTTAKASLIQILVIVFRRMEADSSTAPIQPIVVAELMQPVEKSDADGTMTQFVQGFITKIMQDIDGVLNPTMVGSVGKDAGLGSSTTVETTNPTDLLDSTDKDMLDAKYWEISMYKSALEGRKGDLADVEAEKDDDLEVQIENKLRRDAFLVFRALCKLSMKTAPKESSTDPQSMRGKILALELLKILLENAGEIFRTSERFLGAIKQYLCLSLLKNSASTLMIIFQLSCSIFISLVARFRAGLKAEIGVFFPMIVLRVVENVAQPNFQQKMIVLRFLDKLCLDSQILVDIFLNYDCDVSSSNIFERMVNGLLKTAQGAPTATSSTLLPPQEASMKLEAMKCLVAILKSMGEWLNKQLRLPVSNSLNKSEALEIELGPGSPQLANGNADESAEGSDTYSESPGGTSDALAIEQRRAYKLELQEGISLFNRKPTKGIEFLINAGKVGESPEEIAAFLKDASGLNKSLIGDYLGEREELHLKVMHAYVDSFDFQDMEFDEAIRTFLEGFRLPGEAQKIDRIMEKFAERYCKCNPKVFTSADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPADYMRSLYERITKKEIKMKEDDLNLQQKQSTSTNRMLGLDGILNIVIRKQGGDSYVETSDDLMKHMQEQFKEKARKSESTYYAATDVVILRFMIEACWAPMLAAFSVPLDQSDDLVVIHLCLEGFHHAIHATSLMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIEAIKAILKLADEEGNYLQDAWEHILTCVSRFEQLHLLGEGAPPDAAFFASKQNESEKSKQPKLNVLPVLKKKGPGRSQYAATGVLRGSYDSMSFGGKGSRNVRQDQMSSIVSNLNLLEQVGEMNLIFAQSQNLNSEAIIDFVKALCKVSIDELRSPSNPRVFSLTKIVEIAHYNMNRIRLVWSSIWQVLSGFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMTPFVIVMRRSNDVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVFLSFEIIEKIIREYFPYITETETTTFTDCVNCLVAFTNNRFSKDISLRSIAFLRYCATKLAEGDLKSPSTNKDKETSGKTPQSSLHTGKSGKLENGEIGNSNNQLYFWFPLLAGLSELSFDPRPEIRKSALQILFDTLRNHGHLFSLPLWEKVFESVLFPIFDYVRHGIDPTGGDESPDQGSFGEVDELDHDAWLYETCTLALQLVVDLFVKFYTTVNPLLKKVLMLLVSFIKRPHQSLAGIGIAAFVRLMSDAGVLFSEEKWLEVVSSLKESAKTTCPDFSYFLSEEYVERSQRNAESVAPLGSDGNEESQSTPVRLYAAISDAKCRAAVQLLLIQAVMEIYNMYRPQLSAKNTLVLFDALHGVALHAHSVNSNSILRSRLQELGPMTQMQDPPLLRLENESYQICLTFLQNLVTDENKETGEEAEEIESLLVKTCQEVLNFYIETSSSAKKQPSESSRGSEYRWRIPLGSGKRRELAARAPLIVATLQAMCTLEDVSFEKNMKSLFPLLASLISCEHGSSEVQVALADMLGLSVGPVLLQWC >A06p012880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5862353:5863235:1 gene:A06p012880.1_BraROA transcript:A06p012880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENAGNPLHLTSLNHVSLLCRSIEESMVFYQKVLGFFPIRRPESLNFEGAWLFGHGIGIHLLRSSEPEKLPKKTEINPKDNHISFQCESMSAVEKKLEEMEIEYVRAIVEEGGIQVDQLFFHDPDGFMIEICNCDSLPVVPLIGGMARSCSRVKLHQMVQPQQQTQIHQVVHP >A08p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4254097:4254415:-1 gene:A08p007260.1_BraROA transcript:A08p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIYLLVRRYGEETRRAVDEEFLSGSRGQHAPGFILTDTAELYFPTPMNGLRFVPVRRQGSTFSPNDYVWFMAMD >A02p045340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28431499:28432494:1 gene:A02p045340.1_BraROA transcript:A02p045340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEIEEEGEEDGRRGGLETVREIQFEGSNSGTVSMNGEDNVYVGVGKGDSSMEALRWALDNLITSSSSTLLYLIHVFPETRSIPYPLGRLTREQASQEQLETFMSQEREKRRTLLNKFIHACSASKVKVETILVESDSVAKALQDLITILHIKKLVLGIDKSSARKANSTRGNSVPEQIMRSTAAELCEVKVICQGKEIKMEETVMERVPSKSPKVQERTPSKSPKQQRLKKDQSNDPFACICFFSKPKTNS >A10p020780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14072271:14073232:-1 gene:A10p020780.1_BraROA transcript:A10p020780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEHNNTTPTPKASLLLMEDNLCKRRSEISRSSSVGVSSRLFYYYHHRSLDDGVPFKWEMQPGTPINPPPEEIVPPITPPPAHLSRSLTKPSFGESNKRSLFTANVKLWKWKNIRNKYFSKWSSQSMLSRDNKNARHGGSNSCGELERTEKSEDYRSSCSSFSSTSSSSKDRR >A03g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29528896:29531018:1 gene:A03g508840.1_BraROA transcript:A03g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLGSDKEKKGSKQPVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAMRAHDVAALSIKGSSAILNFPELSDSLPRPASLSPRDVRAAATKAALMDFGSTVRSESETSEETAVSGQKSESESNETTTSSKRSESETSETASFSSFSVTSVDEDSTVSDDLDYIVELPSLGTSLDESSEFVFFDSLEDLVFLPSWSLSGTVDDFTYDNDSLLNSMLKNGVVAGRSRDLMMAAKRRRHRRIAWQDEKERRASTNPCS >A02p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5667539:5668395:-1 gene:A02p012930.1_BraROA transcript:A02p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLMNRAISRTEPVGAFRLSLNLLRNFSAPAAAASPSTENPSSDPIKPKRRKKKNLIEVAQFLPNWGIGYHMAKAHWNGVSYEITKINLYKDGRHGKAWGIVHKDGLRAAEAPKKISGVHKRCWKYIPNLSKTTPATTSSTSAAEVQAA >A03p008240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3330956:3331366:1 gene:A03p008240.1_BraROA transcript:A03p008240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKMCMVMMMMVMVLVTVECATINEAIEDYGSCVNRCFNNCGTDEHCQYHCRWICPKHHTPQFILKDEAILPQEGRTTICFRNCTLKCGADMHCMHNCLKNCPH >A02p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1575916:1577294:-1 gene:A02p003880.1_BraROA transcript:A02p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSIFTISPSRNVARISLNQSLSPPLSLPLNRSSSVALRPKPRSSSLVLCSTDESKITAEKEIPIELRYEAFPTVMDINQIREILPHRFPFLLVDRVIEYTPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLQPEVGGSKSNFFFAGIDKVRFRKPVIAGDTLVMRMTLVKMQKRFGIAKMEGKAYVGNTVVCEGEFLMAMGKEE >A09p060350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50069647:50072740:-1 gene:A09p060350.1_BraROA transcript:A09p060350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPLTATRPTTAGISLSPLRQPPPLCTFLFIIVLIVATFYTLHQPDATPPLLFSQNTHNSLTLRRLFLSSASNATISSYLRALTRHPHLAGTKPSLDTLSYVTNHFQSLGLDTRLAEYEALLSYPAHISLAARFSNNTTVEFDLNDGPGDDDVVRPYHAYSPSGSAQGNVVFVNHGEERDYRALELIGVSVRGCVVLARKGESLGRGGIVKIAEAKGALGVLIYAENDGGGLGGIERGTVMRGIGDPVSPGWPGVVGGEKLSLEDGEVTKRFPKIPSLPLSLRNAEIILASLGGARAPVEWRNSGRVGSGQRVGPGQTVVNMTLQGELTMKKVHNVVATIRGSEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIGRRFSVLLKSGWRPRRTILLCSWDAEEFGMIGSTEWVEENVLNLGASAVAYLNVDCAVQGSGFFAGSTPQLDGLLLDALKLVQDPDDVALTVEDTFKSQNNIIERLSRVDSDFSGFLHHAGIPSIDMYYGADYPVYHTAFDSYDWMIRNADPLFHRHVAMAGVWGLLGIILADEPVIPFDYISYAEQLHAHRDALSKLLVGKASVDPLSMAIKEFSLVAKEAKDEAKKLKEQAYNKNDAAAAARRRGLNDRMMLAERGFLDSEGIKGKEWFKHLVYGPAAEPESKLGFFPGIADAIAVNSSEGMIQHEIWRVARAIQKASRALKGGFT >A04p014030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5262869:5266536:-1 gene:A04p014030.1_BraROA transcript:A04p014030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:Projected from Arabidopsis thaliana (AT5G37020) UniProtKB/TrEMBL;Acc:A0A178UFA9] MKLSTSGLVQQSHEGEKCLNSELWHACAGPLVSLPSSGSRVVYFPHGHSEQVAATTNKEVDGHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKETFVPIELGIPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSLQPPAQELLARDLHDVEWKFRHIFRGRNEKNQLFLGIRRATRPQTIVPSSVLSSDSMHIGLLAAAAHASATNSCFTVFFHPRASQSEFVIQLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHAGTSSLHGIHPPFVSSPKLLVAINLKSLLVFTDGRGELGSGLPWLRGGGGGEQQGLLPLNYPSVGLFPWMQQRLDLAQLGTDNNQQYQAMLAAGLQNMGGGDPLRQQFVQLQEPPHPQYLQQSASHNSDLMLQQQQQQHQLMHAQTQIMSENLLQRQEVSKQQPGGGGQQSVDQNAYLNALKMQNGQLQQWQQHSDMPSPSSFMKADFTDKFPTTATPMQQNSASPGSGHGSNLLNFSITGQSVLPEQLMGEGWSPKASNTFSEPLSLPPQAYAGKSLALEPASANVNPSVFGVDPGSGLFLPSTVPRFASSSSGGDAEAYPMSLADSGFENSLYNSCMQDTTHELLHGVGQISPSNQTKNFVKVYKSGSVGRSLDISRFSSYHELREELGKMFAIEGLLEDPLRSGWQLVFVDKENDILLLGDDPWESFVSNVWYIKILSPEDVQEMGDHGEGSGGSLPQNPTHL >A01p002680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1226671:1227385:-1 gene:A01p002680.1_BraROA transcript:A01p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISEGSSSNLMVLLFGIASVVLVLSSNNVNGLRDLKERDGSESRSMSGTTSSSVLDSKNFYTNVSTAGVENVREEEVVGVEEAVVEPEKKIHTKRKKEIVVVEVEVEAVAEVAEMARPWLGVVGRRWWEEAAGGGGGWWWGGGGGGGGGGGGGGGGGGGYRGGGGGGGGGGGRGGGWVGVGGSDGKGGEWGFGWGWGGDGHGGNGGRCWYPGCAKKIKGKS >A09g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10854821:10856553:-1 gene:A09g503410.1_BraROA transcript:A09g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLNPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFSASAGEVSSGECSGSGSTAYGHSDYQQYQGLQPELFFKMSHEIYNYGEGVHVNVQYPRTWEAQFQSGIKVSYCYINIYIYTLFHIYALSYIFMHQTAALRTYILTIYIYIFT >A09g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3129655:3130883:1 gene:A09g500860.1_BraROA transcript:A09g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDSRRLDAIGFTQGETRGFLQIKTSHGKNQKEYGFGFLMEIREYEIRLCGNKRINFEGINDWFRWISLGFFGSQIRSEDGKNMWGSIRVNLPQYTTHDYMRRAAFSYTGILRKEGVAVRVLTWWDLVRISWILVRICPEQAHGCSYLIVIDDSKVCRLPGKGMEWFHGRTLTLFELYKDLLAGELGGLVSFKRSVAMLFGILQLDCDLDLIKLSVSGGNRQMRTRCVQCYRSKEVLTYWYKQSCHGTRQMQRIRSSFFGDCLNAMETWFILTVVDLQGVHPRGGRSLNEVSLNTFKFLVVRFLLQQRREYYGALGDDNRVVIMRNHGNIFLVALDEGFFNKDVRGSFNKLCEQFMVQCFILYLVFKLGSSIV >A10p033130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19415358:19416991:-1 gene:A10p033130.1_BraROA transcript:A10p033130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREGVIEGTSRIISDPYYFLHLMAFFSYLPIRSSTAQYTSHRLFDRELQAFLAFLMFSAIKVVREETWEAFVADSLFYAKWRSVIYLLAQQPAFSRLGTAKKLTPMQLEDLLSDGTTTKYWLVRGNWIYPLLYSAVAVARMALNGFSIEFYACSSSKCVRSSGCFPELSITYSNNLLSFRTIDLGLFPNTAAKFGISLAGGMSQLPTYILFEKGVEVSRFPDFYVDATPSLPITKKLLCQYFELDRLLLDYINGS >A09p080750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59012183:59013882:-1 gene:A09p080750.1_BraROA transcript:A09p080750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAILVFGDSTIDTGNNNYINTIIRANFPPYGCNFPGHHATGRFSNGRLIPDFIASLMGIKDTVPPFLDPHLSDSDILTGVCFASAGSGYDNYTDLATLSLSVDKQADMFRSYMARLSRIVGDEKAAKIVSEALVIVSSGTNDFDINLYDMPSPRIKLGVEGYQDFILSGVHNFVQELYDIGCRKIMVLGLPPIGCLPVQMTFARQKQNERRCIDKQNSDSQEYNQKLKKSLTDIQSNLTGSVIFYADIYGTILDMATNPQSYGIKETTRGCCGTGELELSYLCNPLTRTCPDANDYLFWDDIHPTQRAYLVVSLSLVDQILHVLQ >A03p051960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20289405:20294231:-1 gene:A03p051960.1_BraROA transcript:A03p051960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger [Source:Projected from Arabidopsis thaliana (AT3G51860) UniProtKB/TrEMBL;Acc:A0A1I9LSS9] MSKPRRSYYSLRRNASNNVDRILLAHSVVHGAMAVGGLLNATCGNATELIIAILALANNKVAVVKYSLLGSILSNLLLVLGSSLVCGGIANIRREQRFDRKQADVNFFLLLMGLLCHLLPLLLKYAATGEASTSLINKMSLSLSRTSSIVMLIAYIAYLIFQLWTHRQFFEAQEDDEDDAYNDEVTVEETPVIGFWSGFAWLAGMTLVIALLSEYVVATIEDASDSWGLSVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISLFVVPLSVIVAWIMGIKMDLNFNILETSCLALAIIITAFTLQDGTSHYMKGLVLLLCYVIVATCFFFDQIPQPKGTGLGMQPKNSEGGGFVST >A02p017550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7932576:7936741:1 gene:A02p017550.1_BraROA transcript:A02p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAAVKLGLMFGGGYLLAVVSQFIKFATTRRERDEAERQHNLEQGTLLHHAEGDMRTKSDVSQSNTTDPALPTHINIQQDNTPKEPERQPNLEQGTLLHHAEGDMRTKPDVSQPNTTDPALSTHANIQQNNTPEEAERQHDLEQGTLLHHAEGDIRTEPDVSQPNTTDPALPTHATIQQDNTPEEAEKQPDLEQGTLLHHAEGDMRMEPDVSQPNTTDPALPTHENIQQDNTPEEAERQPDLEQGTLLHHAEGDMRTEPDVSQPNTIDPTLPTHTNIQQDNTPEEAERQPDLEQGTLLHHAEGDMRTEPDVSQPNTTDPALPTHENIQQDNTPEEAERQPDLGQGTLLHHAEDDMRTKRQPDLEQRTLLHHAEGDMITEPDVSQPNTSDPALPTHANIQQDTTPEEAERQPDLEQGTLLHRAEGDMSTEPDVSQPKTTDPALPTHANIQQDNTPEEPERQPDLEQGTLLHHAEGDMRTEPDVNTTPEEAERQPDLEQGTLLHHAEGDMRMEPDVSQPNTTDPALPTHENIQQDNTPEEAERQPDLGQGTLLHHAEDDMRTKRQPDLEQRTLLHHAEGDMITEPDVSQPNTSDPALPTHANIQQDTTPEEAERQPDLEQGTLLHRAEGDMSTEPDVSQPKTTDPALPTHANIQQDNTPEEPERQPDLEQGTLLHHAEGDMRTEPDVNTTPEEAERQPDLEQGTLLHHAEGDMRTKPDVSQSNTTDPALPTHANIQQDNTPEEPERQPDLEQGTLLHHAEGDMRTKPDLCLTHANIQQNNTPEEAEKQPDLEQGTLLHHAEGDIRTEPDVSQPNTTDPALPTHANIQQDNTPEEAEKQPDLEQGTLLHHAESDMRMEPDVSQPNTTDPALPTHENIQQDNTPEEAERQPDLEQGTLLHHAEGDMRTKPNVSQPNTIDPALPTHANIQQDNTPEEAEKQPDLEQGTLLHHAEGDMRMEPDVSQPNTTDPALPTHANIQQDNTPEKPERQPDLEQGTLLHHAEGDMRTEPDVSQPNTTDPALSIHANIQQNNTPEEAERQLDLEQGTLLHHAEGDIRAEPDISQPNTTDPALPTHANIQQDNTSEEAEKQPDLEQGTLLHHTEGDMRTEPDVSQPNTTDPALPTHANIQQDNTPEKAEKQPDLEQGTLLHHAEGDMRMEPDVSQPNTTDPALPTHENIQQDNTPEEAERQSDLEQGTLLHHAVGDMRTEPDVSQPNTIDPALPTHANIQQDNTPEEAEKQPDLEQGTLLHHAEGDMRTEPDVSQPNTTDPALPTHENIQQDNIPEEVERQPDL >A02g510400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27546537:27548409:1 gene:A02g510400.1_BraROA transcript:A02g510400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYRLQVSTSVVIRSVVAVIRFVVVVPELWMLKPCLRSGSSSLSFPSSPNRFAMSCVENYESESLRYLFSREQIQKTRSGPVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQLLSTPLDMARSYMRAQLPWGSLSVNNSEFWSPSSAGMQLLKEGTPFSYNAGNLSSSKFSNRKFAYQHVFLMALSELWLSQILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLLNLINLLFDSNNLNGQILQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGIDIKDTNVTCSWMLQWCCSEHQHQNQNEFKKFYKVLIYICCQDLLTNHHHSEREKGSTIIFKQ >A09p078600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58087297:58091467:-1 gene:A09p078600.1_BraROA transcript:A09p078600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERTYGRRKPGIPPRTPSNSLNDTVSQTEYLSSSSSPEIEPLDYPLVPFFSQESSTYREDYPEPVRREKRARNRREALAFTSTLLEAQEFGELMEHEDEVNFALDGLRKGQQVRIKRGSLSSLLAICASQHQRRSLRAQGISQSIIDAILNLSLDDIPSNLAAATLFFVLTADGQDEHFMESPKCIKFLIKLLKPVTVTSTQGKPPNIGFKLLSLLKDVDPARDAVKVNDPSSSVILSRVQELLVTCKEMRSVDSYTTETTRPELSTKWVALLTMERACLSKISFDDTSGSVKKTGGNFKEKLRELGGLDAVIEVVMDCHTVMERWMEYDALSVQDKKDNQHKQSLMLLLKCLKIMENATFLSIDNQSHLLEFKKCLGSRESRMSFTELTISVIKMLSGLHLRGGFSSSHSNNVNPHCSAGGILRADRRVNEEVVTISSDTCSSFGSISTRNESVSQRSHTIIDLDSQSSVSGNEPTTSTTRLGSTIPASFAGRLASLGSDIARSTSRTSQVGEPSCKRNGNFSFTEENEDPFAFDLEDSEPSKWALVSVKQKKSGAQKKKGCHKQSKDERYYQLHSSQEESSNHRLNSQEESSDRDCSISPQLSSSTDEIDEECLCLLSDCLLTAVKVLMNLTNDNALGCRQVGGCRGLESMAELIARHFPSFTESPLFSEMEETERFHQKKDKHLTDQELDFLVAILGLLVNLVEKDGVNRSRLASARFAITKPEGLQESEQEMIPLLCSIFLTNQGSEDTKEETTTFTLDDEEAVLESEKEAEKMIVEAYSALLLAFLSTESSTSIRNSIRDYLPKRNLAILVPVLERFVAFHTTLNMIPPETHKAVMEVIESCKLP >A07p044490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24260096:24262627:-1 gene:A07p044490.1_BraROA transcript:A07p044490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase LPR2 [Source:Projected from Arabidopsis thaliana (AT1G71040) UniProtKB/Swiss-Prot;Acc:Q949X9] MGFVLCRKTMTRVMLLLIVTMTWFVAGDAGGTKPEERLFNIEKLEMFVDKLPHIPTLHGFHIVNGVLKPKSLQIGMFFKKWKFHRDLPATPVFAYGVSKHKATVPGPTIEAVYGVSTYVTWRNHLPSSHILPWDPTISPAIAKRGGIPTVVHLHGGIHEPSSDGNADSWFTAGFKETGTKWTKPTYHYVNKQQPGNMWYHDHAAGLTRVNLLAGLLGAYILRHSSVETPLNLPTGREFDRPLIVFDRSFRKDGSIYMNATGNNPTIHPQWQPEYFGDAIVVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFSNGLDFIVIGSDSAYLAKPVSTKSVLLAPSEIIDVVVDFSKSTTKTAILANNAPYPYPSGDPVTDENSKVMKFIIKHKSETDTSSIPTKLVQYPRTDVSKSVRTRYIAMFEYVSSADEPTHLYINGLPYNAPVTETPKIGTSEVWEVINLTEDNHPLHIHLGLFKVLEQTALVETEKFTDCMTEKNDAVKCQISKYARGNKTAVTAHERGWKNVFKMMPGHVTKILVRFSYVHSNESYSFDATQEPGYVYHCHILDHEDNMMMRPFEMVK >A10g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5112998:5114595:1 gene:A10g501940.1_BraROA transcript:A10g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPNLARARLLRSDRARRTLGRYVATELGSGLVATVVPLAGGLMILTRLSVLDEIHTLNSAEGKLSRQYVCYEFRTHTSISSELLSFIFFTPPLLHTFKNLSSCKTFAFNMSSSQGDKRDSDVEMGETTSPAPVPCSPAEAPACVAGHLSFREKLDRRQAEKELAQAGSEFPSSSARVVAPGHGTEVMAPLPQALPAGSSTTPILVEEKERAADSMPPPPARKEIVLALRAPSAVPVAQPKSRKRKLVKSGDGETSQQGGSSLASGLRGKFMSLIGMISECGSETSRLDGELVELQGRWSETEAMLTAVKDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIGGDAGLASRGIEEATDALRGEFQACLAKISAPLGSLERIRNRDLALATIEGGMAVVRSFQSETPPTLEAEEA >A09g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19364156:19364903:1 gene:A09g506540.1_BraROA transcript:A09g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVMQEELVTWLSGLARLLLSHATVVRFSCGSKYCEAEDPSLVSESMLGYITHPSAETVWSEFSDNFNPFFGRLVFRGASGSSGKPKLPGSGSRLNLAYLFAASTWCGFGRASG >SC165g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:713:2382:1 gene:SC165g500010.1_BraROA transcript:SC165g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHYDGRASEWEEFEWSKRAIHVSIRKQTKWWYAKRFLHPEIVAPYEYIFIWDEDLGVEHFVIRKSMYLAVVKKHGLEISQPGLEPYEGLTWEMTKKRDDTEVHKHAEERNGWCSDPNLPPCAAFVEIMAPVFSRKAWRCVWHMIQNDLIHGWGLDFAVRKCVQNAHEKIGVIDAQWIVHQGVPSLGNQGRPEEGKQPWEGGKVQERVDDVPRQIG >A09p022670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12478097:12484112:-1 gene:A09p022670.1_BraROA transcript:A09p022670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XRN4 [Source:Projected from Arabidopsis thaliana (AT1G54490) UniProtKB/TrEMBL;Acc:A0A384KRW9] MGVPAFYRWLADRYPKSISDVVEEESGLGALDITRPNPNGFEFDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSMFEYIDHLFTLVRPRKLLYLAIDGVAPRAKMNQQRSRRFRAAKDTAEAEAEEEMLRQDFEAGGQILSAKEKAETSDSNVITPGTPFMAVLSVALQYYIQSRLNRNPGWRFVKVILSDSNVPGEGEHKIMSYIRLQRGLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITYPGQQEKCFVCGQTGHFAADCPGKSGSPDAASDIPIHKKKYQFLNIWVLREYLQYELAIPDPPFTINFERIIDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMHVYRKEFTAMGGYLTDSGEVLLDRVEHFIQAVAANEDKIFQKRTRIKQSMENNEEMKQRSRRDPSEIPPEPVEDKIKLGEPGYKERYYAEKFSTTNPEEIEQIKQDMVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKNLSDLEITFFIGEPFKPFDQLMGTLPAASSNALPGEYRKLMTDPSSPILKFYPSDFEIDMNGKRFSWQGIAKLPFIEEKLLLASTRKLEETLTVEERQRNSVMLDLLYVHPTHPLGQRVMQYYHFYHQLPPNECLPWMIDPNTSQGMNGFLWFSERNGFQTRVLSPVNGFPCIEQNRALNVTYLNPAKHSHIPEPPRGAIIPDKILTPADIKPFPVLWHEDNSNRRRQSRDRPQVAGAIAGHSLGEAAHRLIKNTLNMKSSAGSASGLIDPNSYNRNIPGNHHYGGPRAAGSSSYRKSYGDDSSYYNYGKYNNSSQGMYSNGPRYPGPSPDYNRNYNNSKMVVKQPNHGGIRTGSSVEDNGRSKQLYSSYTEAANANINNPLPSPPTQWIGAPQGGNFSGGYYREGGVGYGEMNGKKVIYQPKAQQSRPGFNE >A04p008400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8331562:8332431:-1 gene:A04p008400.1_BraROA transcript:A04p008400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKGALEGFYRLIMRRNSVYVSFVIAGAFFGERAVDYGVHKLWESKNIGKRYEDISVLGQRPVEE >A05p052750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30547390:30554924:1 gene:A05p052750.1_BraROA transcript:A05p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNKSLRAGASEMLFSITQLRGIHKHNKMVEANLKSRSKALELKEKELQTLSSELKQKVETFEKEKSEAGVMKKLVEECGEELRLKRNELTAKLDTSSRLQREIELKRSQWTQHSAEIGRLCSETSKKRNELTVTLEQVKECEKRFKMKSLELASKEKDLRGVRESIEVSDSELEVKQKMVISLNNEIDSKSKESREIKRVIEQQTSELVVMQKQHDSIRSSCEMEERDKEKELDLLKNQIESEEKKLLQLKREKEEVTGIKKKDLELTLSKIEESSQQLADVNHQLESQRRQLEMQSVEQVSKQMELESLRESSKRLVCDLEVKEKRLQELKNLIKLSGEQLNLKSKALGEIERELKLKRRLRQMSTVLVNREKQPISSQQNVEEETELIDTLMPDGISASLTRHEVSSVLRATPNPAGFVLEQVQDGIREGSTFQDTFLETLVLIFEELVKIQGPDESQLLQLQATEVATLWKERITIEAPKSTLEALAFLLFIIAYGLKALINEEETALLASSIAQYGQAPRLFVYLSLNPKIREFVEELIKKNLYIPAVRLICLFNLDKEDKEVSFSRSELLKKEIITFRRSALENRSTESSSQAKERDGGRLRAILELVADYKLKIDLPGDLIAKLMVEGERSAPVALCSVVHVASSSNPQAGLKKKKQLGQTKTVLVQLCQLMLSPSNSEHPPVTRIRGEGTEEKVNIYQEEEEATRAMNVAEEKMRCGDFVGAQKLVMKAQRLFPELENVQKLLAVCDVHSSADKKIKGLDDWYGILQVQPSADSDTIKKQYRKLCLLLHPDKNKFPGAEAAFKFVGEANRWLSDQIKRSQYDVRYRSHSLFASRESNANSLRNASSANTAAVNIASGLTFWTCCRNCGHRYKYLKVYVNQVMRCSSCQKSYTACNIGSDEVPFGSSTADANQEMNNTSRQHPCTGAESGSSSAAKAKNETVGKKINKRSQKKQKREAGDKKPKKDEGCTESEAEGGRPGKGERVSNNSVEVPKPDVLKPQPEVKEPETSAAAEMNKNGTVGKKLNKRSQKKQKREAGDKKPKKNEGCTESEAEGGRPHKGETVTNNSVEVPKPDVLKPQPEVKEPETSAAAEMNKNGTVRGKLNKRNQKRVAGDKKPEKDEGCIENDAEAGRPQIGAEIPKPDILKPQPEEKEPQNSAGKSMPDLSAPKTKQTARKKRKSVEESSMSFELDGSDAAVDKTDTDESNKRKPQVSYAEKRRNSDSVIPPTKKTKSGCEVDESDVNTKQTDEDNTSLEPAEKGKAKESENSGNNNIFSGKNKESEGCDRNGEDAALSSKMSEVENGHNKADENRNTLEIPDPEFNVFEDERRPENFAVNQVWSTCDSRDGMPRRYARVRKVLSSDFKLRVTYLKPVQENNDESIPVTWGKFNNGETKDVENRSIFSGQMLHSVCNRVVSIYPRKGEIWAMFSDWDEESSTLENHELPYKYDVVEIVNDFKEEAGIGAAYLGKVKGFVSLFQREAKNTVCQVQLAPERMLRFSHKVPAVKMTGTEKEGVPAGSYELDPTALPKDIFQVEEVNVEMDSETMKGKADSPYPEAPEIGMKTKPVPEIFPSPPRKRQKSDDDGGCSNRDKICSTSVSIGEAEGEASRTNDSSSCQANKKSVPNWSRKNGEASDAFKLRKSPRLQTTPSQQVEETKSAKQGDKMKTPKTTDKGLVTDSLGINESSKGIQQRVESQVGEGSKKRGRDGELPSSSKQNDLLAQLDGSTNKLLETTPASSSCKTPQRNAFDFNNERSVDKFRRDQTWAIYSDDKGMPTEYVKIKKVETKPELVLHVAHMELCPPSTEPVTRSVSCGEFRMETGKPKTLPLTRFSHRVKPFDSKQKIVKVYPRKGDIWALRKSCDSTEEEHDIVEVVEGYCEGKSIKAMALTAKGFSSIYTRKHGSHVSSLVIPKAEMSRFSHQVPAVKQEKRATRLAEGGYWELDPAAIPPPTTIVID >A04g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3627689:3629247:1 gene:A04g501440.1_BraROA transcript:A04g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGISGEIAGESKLYDYEFSDEIPVISLSGIDDVGRKKEEICGQIVEACEKWGMFQAVDHGVDTNLMVNMTRLARDFFALTTEEKLRFHMSGGEGGFSISSHLQGEAMKDWREVVVYPTYPVSNRDYSHWPDKPEGWLKVTAEYSERLMGLAYKVLAVLSEAMGKGTGMGLEKDALKNACVDMEQKIFVTYFPKRSKPDLALGMRHTDNEIITLLLQDQFSCLQVTRDNGKTWVRVPFVPGALVINLGDIGHYLSNGRFMTTDHQGVVDSNSSKLFINTFLFPAPHATVYPLKVRDGEKAKIEEPISYEAITMRKLKDLQLDRLKKSMASQQPSPKKKTKIAPNQVFVPKVVLKAQSHKNRFH >A02p007720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3250928:3252793:-1 gene:A02p007720.1_BraROA transcript:A02p007720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLLFFVFLCLLIQISKLGFCLERKAHNGNNGLKRHGFISVTSFGAVGDGKADDTKAFLKAWKAVCKGGSRGKTNFVVPLGKTFMLKPLTFEGPCKSSSITFLIRGNLVAPGYTWHAGNYPAWISFDSIYGLAITGGGTINGRGSVWWRNVQHRPTAMHFNNCNGLHISNLHHLNSPRNHISLSCSENISLSGLNMTAPGDSPNTDGIDISNCRGVDIRDSVIATGDDCIAINGGSSYINITGIFCGPGHGISVGSLGQNGHFSAVEEVRVKNCTLSNTKNGVRIKTFQNGLGFARKISFEDIKMVASENPIIIEQNYHDQGKNGDVSFEYSNHQSCHVNRLYQTESGSGNGRGVRVSDVRYSRIQGSSASSEAITMNCDANLGCVDIVMDHVNIVSAKSGHKVSASCKNVHGKYFDSVISCQKKH >A01p038910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14817450:14818522:1 gene:A01p038910.1_BraROA transcript:A01p038910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTNAYEPPRVRVLATLLAIWICEAIPNKQKRRGRLPYTPISLLASCNAHSKKIETYQSVGNALGVYDKADVDGSRFHVFVNGDNPLKFECKVGFDNGDVVKVPIKYEDLHRHCFTCKRISHEEGTCPELNEEQREHNHVLRIEQKELEERATREAFSLPQRQSLQDPEKKILPPRYQSNGTSERHT >A09g511750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34858008:34858633:-1 gene:A09g511750.1_BraROA transcript:A09g511750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHIKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFENNGLHFRTPWVIAIQDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A03p052440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20051163:20052748:-1 gene:A03p052440.1_BraROA transcript:A03p052440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKTTTTEMNENLITSFLEITSSSREEATFFLESHRWDLDAAVSTFLDTDATAAAAADNLPAGPNLSLPPHSIPAASPHSPDYSPSESSPSRSRSPSPPSRDAPYRLRSKAAANQGAGGNPSGSRNTRSRQQAGNIRTFADLNRSPADGADSDSDEGQEYYTGGQRSGMMVQDPTKKAKDVDALFEQARLSAVDRPVEPSRSASTSFTGASRMLSGEPVPSAAAPQQQQQDQPQLVMHTITFWRNGFTVDDGPLRSFEDPQNAAFMESIASSECPRELEPVDRNIRVHVDLVRRDDNYIEPPKPKNSFQGVGRTLGASGSGSAAAEPQAPSAQMNTAPVPSVGLVVDQAAPTTSIQLRLADGTRLVSRFNNHHTVRDVRGFIDASRPGGSREYQLLTMGFPPKQLRELDQTIEQAGIANSVVIQKF >A05p029660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15375552:15377011:1 gene:A05p029660.1_BraROA transcript:A05p029660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKRFDAMQSMVERLPGVAPPIRRSNPDSYTDTPFAEEIASVEMPRKFSFPSIKIPGRPRRKILPKGIQGLRKQEPGQVPIPAIRKRRGAVCVYLDRYLPSLNIHTSAGQYAESDGPTGDASSSPPRQDRVIHVISGGSEVSGVSHAAAKKKSTHNAKHGLETAQPKTLLLGTDEISFTAKEQEKILAPHHDALVFSLTIADCLVKIILVDNRSSSNIIFLTAYQDLGLEENTLTRKVTPLIGFSGEVK >A08p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14552744:14553960:1 gene:A08p021810.1_BraROA transcript:A08p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKNGLKKGPWTSEEDQKLLDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIHLHSFLGNKWSAIAARLPGRTDNEIKNFWNTHIRKKLLRMGIDPVTHSPRLDLLDVSSILASSLHNSSSDHLKMSRLMMDAHRQHQQQQPLINPEILKLATSLFSQNHNQNFVMDHESKIHDNHTAYHHDVNQIGVNQYQTDHQELQYCLPPFPNEAHFNDMDHHGEHTFASNSSTSVQDCNIQPFNDYASSSFVLDHSYLDQSFNFADSVLNTPSSSPSMLNSSAMAYINSSSCSTEDEMENYCNNLMKFDIPNFLDVDGFIL >A03p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14859187:14862813:-1 gene:A03p035370.1_BraROA transcript:A03p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRSRIKWIALLVLILSVGSLVVHLSITKSSGVQLASYARDSLWQDFDSLLGSQDFRNKHLWRPVKSLETLQPYANPRNSYPAPSSKSNGFIYAKIFGGFDKIRSSICDLVTISRLLNATLIIPELQESLRSKGISNKFKSFSYLYDEEQFISFLKNDVIIAKALPESLKAARKRNEFPLFKPKNSASPNYYLEDVLPKLKKANVIGLIVSDGGCLQSTLPASMLELQRLRCRVAFHALQLRSEIQVLGKKMVDRLRKSGQPFLSYHPGLLRDKLAYHGCAELFQDLHSELIQHRRAQMIKQKFISEELIVDSRVRRDKGLCPLMPEEVGILLKALGYSQKAIIYLAGSEMFGGQRVLIPLRAMFPNLVDRTSLCSTEELSELVGPETPLPEITFEMPTRKSDKQLKEEWNKAGTRPRPLPPPPDRPIYQHEKEGWYGWLTENDTEPTPSPIDLRNQAHRLLWDALDFVVSVEADVFFPGFNNDGSGLPDFSSLVMGQRLYERPSSRTYRLDRKVIQEFFNITRKDMYHPNRNWTVHVRKHLNSSLGESGLIRQSMLSKPRLFLSHPLPECSCRTSPLEDSRKIRGDDGRFLYGGEDECPRWIKSAGVEKSQTDDGDQPDYEHDLLTEQSETEEEFAKSKVASAFDQDEEWDPND >A08p023680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15576263:15578196:1 gene:A08p023680.1_BraROA transcript:A08p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELKERHAVATDTVNNLRDKLIQRRLQLLDTDVAKYTAGQGRSPVKFGATDLVCCRTLQGHTGKVHSLDWTPESNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPNGQTVACGGLLESVCSIFSLSSTADKDGTVPVSRMLSGHKGYVSCCRYVPNEDAHLITGSGDQTCVLWDVTTGLKTSVFGGEFQSGHTADVLSVSISESNPTRFISGSCDTTARLWDTRDASRAVGTFHGHKGDVNTVKFFPDGHRFGTGSEDGTCRLYDIRTGHQLQVYQPQCDGENLPVTSITFSASGRLLFAGYANNNTCYVWDTVLGEVVLDLGQLQDSHKNRISCLGMSADGSALCTGSWDSNLKIWAFGGHRRVI >A04p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10509499:10515865:-1 gene:A04p017100.1_BraROA transcript:A04p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNNLFAREELVEGLEGQEELCFINNNGSWYKKESNFQYNNYQQKSYPNNQQSGYSPRNNQQGSYQPQQNLSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNFHSKIDGSYNELNNKFSHLASTVAPSQSDQPERLAQVTPSQSDQPERPAQVARVLTGRDTKKRVGSDLLELSEYLHSQCFDISQNWFDNHLYYNICLRSLENS >A09p033450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20256052:20257678:1 gene:A09p033450.1_BraROA transcript:A09p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 23 [Source:Projected from Arabidopsis thaliana (AT4G11090) UniProtKB/Swiss-Prot;Acc:O82509] MKLKWESISTLHQNVFLVKVLAAVFITGLAFRFYAVRFGQVSVNEISDPQISPPSVIISENEDLLPIDIEVEKCDLFTGKWIRDPLGPIYTNGSCGVVVDSHQNCITNGRPDSGFLYWKWKPNDCSLPRFDALRFLQLMRNKSWAFIGDSISRNHVESLLCMLSTVEKPVEVYHDEEYRSKRWLFPLHNLTISNIWSPFLVKAAIFEDSSGVSSAAVQLHLDILDNTWTDLFPSIDFAIISSGEWFLKTAVYHENATLVGCHGCPQSSNITDLGFDYAYNTSLRHVMDFIARSNTEGMIFFRTSIPDHFENGEWHNGGTCKKTEPVNEDEVEMKVLNKILRDVEISQFERVVTEMGQEAGHFKLLDFAGMLLTRPDGHPGPYREFRPFDKDKSAKVQNDCLHWCLPGPIDYLNDVILEIIVNG >A01g511440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32993550:32999985:-1 gene:A01g511440.1_BraROA transcript:A01g511440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASISSSSPFVLHRNRIFDPQPSNQFPTTSPSINLSFMSSFRGNQISIFSPHSMAIKPNGKSPVTSDHDDKIMLFRDVTPGPHETQLRFRLIHFWEAWNPLKKTLIGIEMLLIDEQGSVIQGFLSPSRIERHLSKMKPGSLYKLNNFYGSSNKTMYRVSDHAVTVSFSWNSELSVLEDSPTPFDEDRFRFHSFEEFQAGCDSKGDLYDVLGHMKLVNGQCVTGTPVLDEVEIARARHVLVHVQSYDGPVVKLYLWDQAARDFCKKFKSYERTPTVLLVTTVNTKSLGGTLALTTMSSSRVFMECDVQPTVDYFSWLGSNPQSAELVNAEVVTKRETLTIGEIFSYIRDGSNKEAFFECTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQAVFVLLGDAGFELTGKHAAELVSSYFESNGDQGVTQEAPFPEALISTIGQKHNFCVKVTQHNLDGKSRSLTVTKILPMESPPVTEASGGNYNPRTLEDGFETGTKVCGASKISVDSAEGSKNNGDIDEMGKAKRLKRGVIPLSCCNQASYFPSLINARLNLLWKEWSSHLFHPLRRLQGQLLEGRVTGDIQPNDSKNLTEGDSYEFSRFYVIHNSRQRKLTQLPYYIQIGQRTTVLNVTLDGPMFPVHSLSPQKYTNLLRLASTPTYLPDVVGQIVIIQKIKLDHPELNIDATIGLRLNRSTIVKLILCDQQAADFSILQSKKNKKFKVMIITSVIPKLIQGKLILHSSPATVFYFNKSIDYIKHFKRRIRDYAITCSTA >A07p031010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17192072:17195267:1 gene:A07p031010.1_BraROA transcript:A07p031010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLCSAASCHYHSQLLYHGNWRGYSSLRRESIHRCSSGDTSFFGISNGTQSLQKSFLTQATGRFFTATIENTEQTSSTFRNLCENELDRINYMVYDMRDVRNGGLTYVESTSDVSPVEAVVADGSPLEAIVADLSPVEAVVTDASPLDAIVADVSPVEALVADVPPVENPAAETLTERTSSLIVSPEPGTGSSVDISPDTSVSLPDPTDLNQESLPDVKASFDDFSSGVKDSFSSSLNQGENAVKNTLDSFSSSVTSITKNASEAVDNAFKTAFSTLDQTGDVAGDKLSSFSGGLKEASNGAASLAIDLLRQSISTAERSVANGVSFAAYSYGSAKELLPPDVKSAINSSEDVALKVLRPLGAVLEQVYAAIGGLERNIGLNPDDPIIHLVLIVGSTGTFWVLYRVWTYGGYAGDLSPKSTLELLSSREKSVLVDVRPEALREKDGIPDLRRGARFRYSSVALPEVEGDVKRLLRGGREVEDALAAVIIKNLKAVQDRSKVIVMDADGTRSKGIARALRKLGIKASGFRSWVKEGLRVKEPKPETTLTILNEEAEAILEEINPSPLQVAGVGVGALAGSYALFEWEKTLQLIAVIGLGLTIYQRLASYDDSEDFKQDVRQLFTPVKLGAQAFSWAAGKLETNGVGLPTSPSSSDVRSRVLQAAAKHESQPSDDTSEGLQDASSSSSSGEALNNADVSEA >A01p012590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6176333:6179502:1 gene:A01p012590.1_BraROA transcript:A01p012590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VACUOLAR SORTING RECEPTOR 7 [Source:Projected from Arabidopsis thaliana (AT4G20110) UniProtKB/TrEMBL;Acc:F4JUS0] MSLVNGRALTSLLAALTIIAFAMVVVNARFVVEKESIRVLNPEEMRSKHDGSIANFGLPDYGGFLIGAVVYPDSKTDGCSAFGKTFKPKFPRPTILLLDRGGCYFALKAWNAQQAGAAAVLVADNKDEPLLTMDSPEESRDADGFIEKLTIPSVLIEKSFGDSLREGFKKGKNIVLKLDWRESVPHPDQRVEYELWTNSNDECGARCDEQMDFVKNFKGHAQILEKGGFTAFTPHYITWFCPFQFVNSPQCKSQCINHGRYCAPDPEKNFREGYEGKDVVFENLRQLCVHRVANESSRSWVWWDYVTDFHARCSMKEKKYSIECAENVIKSLNLPIEKVKKCMGDPEADTDNEVLKMEQVVQLGRGERGDVTILPTLVINNAQYRGRLERTAVLKAICAGFNETSDLPICLNTGLETNECLENNGGCWQDKRANMTACKDTFRGRICECPVVKGVQYKGDGYTSCTPYGPARCTINNGGCWSDTRNGATFSACSDSMSTGCKCPPGFKGDGLTCEDINECKEGLVCQCSGCKCRNTWGGHGCSCSGDRLYISDQDTCIERNGSKTAWWLTLLILAIVAVAGLAGYLFYKYRFRSYMDSEIMTIMSQYMPLESQRAREVPSEAEPFTHNSTA >A03p008500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3428988:3433032:-1 gene:A03p008500.1_BraROA transcript:A03p008500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G17310) UniProtKB/Swiss-Prot;Acc:P57751] MAAAAIEKLPQLKSATDGLKEMSDNERSGFINLVSRYLSGEAQHIEWSKIQTPTDEIVVPYDKMANVSEDASETKYLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGCKVPLVLMNSFNTHDDTQKIVEKYTNSNVDIHTFNQSKYPRVVADEFVPWPSKGKTDKDGWYPPGHGDVFPSLMNSGKLDAFISQGKEYVFVANSDNLGAVVDLKILKHLIQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKKLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFENAIGVNVPRSRFLPVKATSDLLLVQSDLYTLVDGFVTRNKARTNPTNPAIELGPEFKKVASFLGRFKSIPSIVELDSLKVSGDVWFGSGVVLKGKVSVKANSGTKLEIPDNAVVKDKDINGPEDL >A09g501370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4507134:4509898:1 gene:A09g501370.1_BraROA transcript:A09g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVIVEAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFNKKI >A05p038700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23740762:23742378:-1 gene:A05p038700.1_BraROA transcript:A05p038700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFQNSIILVLLCLLSLLCYSLYVRKPQRFDLPPSPPSLPIIGHLHLLLSLLIHKSFQKLSSKHGPLLYLRIFNVPIVLVSSSSVASEIFKTHDLNVSFRGLPPLDESLLFGSSTFLMAPHGDYLKFMKKLLVTNLLGSQALERSRCIRADELERFYANLLGKAMNKEMVDIGKEAMKVSNNIIFKMLMGSRYCLEEDGEAERARGLVIQSFALFKKIFFATLLHRPLKKFGISLFKKDILSVSDRFDTLLERVLVKHEEEKPSEHQTADMMDVLLEAFRDENAEYKITRNHIKTFFIELFIAGTDSMGQTTQWAMAELVNNPNILERLRKEIESVVGKTSLIQETDLPSLPYLQAVVKEVLRLHPPAPLFARTSREEFGMMVQCFDWTIKGGQVKLEEAAGELNLTMAHPLKCTPVSRTIKPFGFK >A03p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15763084:15765586:1 gene:A03p037700.1_BraROA transcript:A03p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKTIIFLTILLTSLRSCSSSYPFDPRFEHSISPNVYYETNHQHGHITHNRHMKDPHAAPRSSPRAFNVNSFGAKANGNDDSQAFMKAWEAACSSKGTVYIVVPENRAYTLKPVKFSGPCISSMIVFKIYGKIEAWKNPSDYRERRFWIVFQTVDNLRVEGGGRIDGNGKIWWPKSCKINPELPCQEAPTAVTFVECNNLMVRNIRLENAQQMHMRVQKCENVKALNLMVTSPGDSPNTDGIHVTGTRNILIQDSIIRTGDDCISIVSGSENVRATGITCGPGHGISIGSLGAHNSEAYVSNVVVSKATLIGTTNGVRIKTWQGGHGMAKNIIFKDILMKNVTNPIIINQNYCDQTKACPEQKSAVQVSNVLYKNIHGTSSSPEAIKFVCSKSIPCQGISLQNVELVDQITKQDVSKATCSNVKLKSSGRLSSLCT >A04p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20248941:20250665:-1 gene:A04p035010.1_BraROA transcript:A04p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPASRSAIEPGGLNPDSDPSLSENVRAEIDTSSPFGSVREAANRFGGFGFWRPHSSEPLQDNVREGDVIELKRQTAELQNYLMVNECETLDVMKELELTKARIINLNSKLLEKKNEEDELREEVQSHIKPAGVVLQDLNKAKMNLCKRTVDLACLRRSVEVLSKQLKEEKDALEKTRERVMHKSLKVISLEEEEEAKKGETSEKDLENNALRMINEVRRLGCEAQEFKKAGEKAMEEIKHTREKIKTVEIKLVAARRMKEAARAAEAVAIAEIKAVKGSENTVTISAEEYAKLSLDAREAEEEARKRVEDAMSRVEEANVSEMDNLKRADEAAKEVEASKRALEEAVERADAANARKLEAEEALRNLKSEKGERRRSSVNNTAKFKTRRETITTNSLMDVNGLHLTYDFVPGPSSSSVPVLKPAMSIGQILSKKLLVADDSDMSVVKERSKMSLGQMLAKNSNGDGALSKKSEGKENEKRSVKRKNIGFAKIVLLLNKESKNKKKSKKIGLNLR >A02p020830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9811095:9812145:1 gene:A02p020830.1_BraROA transcript:A02p020830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLADKVAKMESELRKSEALKLEMQEAHAEARSLVVAREELMSKVHHLTQELQKSRSDVQQVPALMSELDGLRQEYQQCRATYDYEKKFYNDHIESLQAMEKNYMTMAMEVQKLQAQLMNSANSDRGAGGHYGVNNTAENDASQSAVGYYGDAYQGYIPPPAPGTATAPNSIVGTAQYPYQGVAQPGGYFPPGPGYYFPRGPPPGSSNNTPYGSAATNAPR >A10g503110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8160042:8160473:1 gene:A10g503110.1_BraROA transcript:A10g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSISPSLLSSTIGGRSPRFFRVGVGVISSGFCPDVFPCALSDWSKGVTKSNLLPRTQVVPHGRTALVLGVRFLTLLARELVILSRSSDFFSKVENIFLTSSNAAVVILTTVMFDAIVFSALSSTASPSRVCWLLRSSADRSD >A01p059000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33705816:33708560:-1 gene:A01p059000.1_BraROA transcript:A01p059000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNHARKRKAIDAGPNSPPSYRASDGYEPTNAVDLSLLEELEKSSHHQVEAVDLKTLKKLVLSFERRLRDNIAARLKYVENPEKFADSEVDLHDELQKLKILAGAPELYPELVASNTVPSIVNLLSHENADIANDVVQLLQDLTDEDALEDNDEPARVLVDALVENNVLELLVQNMNRLSEGDPDEAAAIYATLTVIENLVEVKPAVAEMVCERTKLLRWLVVKIKVRDFEGIKQYASEILAILLQNSTANQKRLGQMNGVDAVLEGVAMYKSKDPKTPDEEEMLENLFDVLCCLLMPLENKERFVNAEGVELMIIIMKQKKYAYGSAIRALDFAMTNYPPACERFVDVMGLKTAFAAFMGKAMYLLLENACIHQSNVKEIPLNKRIKRERYKEELEERVISLVASLFAGILRGSRRDRLLSKFVENEYEKIDRLMELYIRYSDRVRSEAERLDQLELDDLELDEDEKYNRKLESGLYSLQLVAVILGHIWCSEHSGMRARIELLLKQQKLSKNDVKEILQEYHDNLGDVEGAEEKERGQARIQLFISAM >A01p000240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:91911:98211:-1 gene:A01p000240.1_BraROA transcript:A01p000240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHMSEKILVLVRLRPPNQKEIASNEPTEDWECLNDTTILYRRNTFRQSSNFPSAYSFDRVYGGECSTRQVYENGTKDIALSVVKGINCSIFAYGQTSSGKTYTMSAITEFAHEERAFSVNFSAIEIYNEAIRDLLSSDGTSLRLRDDPEKGTVVEKATEETLRDWNHLKDLLSVCEAQRKIGETSLNERSSRSHQIIRLTVESSAREFLDKENSTTLMASVNFIDLAGSERASQAMSAGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINFRDSKLTRILQPCLGGNSRTAIICTLSPARSHVELTRNTLLFACCAKEVTTKARINVVMSDKALLQQLQRELARLETELRTPAPPASKCDCAMTVRKKNLQIQKMEKEMAELREERDLAQSRLEDIMRMVELDEASKCGTPQHIDKWEDGSVSQTSITRAYVRSHSEDDDDEELPTRSEDPSEEYCREVQCIEIETSATVNHKDEKRAEPKNILGPSVGQNVRLRSWNRRETASTPPENIGTERPEEESHKKIVFSGLELGSSVSRNDSLSSCGSDSTATQSIRTPLGEEGGITSIRTFVDGLKEMAKRQGQVSIGDDDSGKMGRDIGLVIMDMEFERQRREIVELWQSCNVSLVHRTYFYLLFKGDDEADSIYIGVELRRLLFMKARFCQGNQTLEGGETLTLASSRKALHGERMMLSKLVGKRFSGEERRRMYHKFGIGVNSKRRRLQLVNELWSNPKDMSQVVESADVVGKLVRFAEQGRAMKEMFGLAFTPPSFLTAQRWRRLASENGMYRRRRGLRQRQGKSERMESGGQYENGRYNPDYYKEGTHSVWNAMPNHHQTKEDQHNALVMNQKIMSILAERDAALKERDDALAAKQEALATRDEALDLRDKALSLRDNAILERDSALSALQFREHNLNYILSRAKLGASQSSHLPNPSPLSTVPHEAAPSKRKKKRKQETRSKGKRVGEDHVASPGKKCRKDWDSNVVGLNLVTFDETTMPVPMCTCTGTARHCYKWGNGGWQSSCCTTTLSLYPLPQMPNKRHSRVGGRKMSGNVFSRLLSRLAGQGHDLSSPVDLKDYWARHGTNRYITIMFKGLNQFFILSALLTDHHLLRRVPNRSPCSHLAQSGHHQMFQQLNISQLRQEPQSQQNLNGTMSAKWTSRPGVEVLAGSKDWSCISTRQTIYNVVVMGREGQEIEVETERFSVCLVLFGSYSSDC >A05p042450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25680857:25681668:1 gene:A05p042450.1_BraROA transcript:A05p042450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRMGILVAHAVGFWDCRLFINAATEYQPLDFGTAGDKFQGIKEVSAFLGHVQAWSRGYGVATGGPLAWGLCYNKEMTPDQLFCDDYYKLTNYSYGQTGELGGVESGPTVIQSISRTMRHWRFKLLSRGGWRQRRSYHGFDKVSALGPRKMCNF >A05p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6234315:6239230:-1 gene:A05p014320.1_BraROA transcript:A05p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYATNTTTNRRRRKRRRGGCAKLESSGHRLIGYGPGLLFGLLLAHVIASYRTKWFIKIVGPNKHKEVDPGTLFMSMDSRWDSFNYKSNKDSHIISMINALVVGRPACRPDQIRALVEFKNEFESRGCNNSDYFHGVMCDNTTGVVTKLKLPSGCLTGILKPNSSLFDLHHLRRLDLSGNNFTSSALPSGFSNLSRLEVLYLSSNGFIGQVPSSFSNLSQLSYLDLSNNELTGSFDLVRNLSKLSFLDLSSNHFSGTLNPSSSLFEFHNLIYLNLADNNLVSSSIPSEFGNLNRLEFLSLSNNGLHGQLPSSFGNLSRLKLLNLQHSELTGTLEPISKLINLQQLDLSFLNYINYPIDLNLFSSLRSLLLLDLSGNSVSATSSSLNSDLPLSLEVLFFPSCGISQFPSFLKGLHNLERIDISHNKIKGKVPEWFWNLPRLSIVSLVNNSFTGFEGSGDVLQNSPVKILDLALNHFKGPFPNPPHSLTVLSAWNNSFTGSIPLAICNQTMLALLDLSYNNFIGSIPPCLSNFQTSLIVVNLRKNNLEGSLPDMCYDGALLRTLDVGFNQLSGKLPRSLLNCTSLKFLSVDNNKIKDTFPFWLKALPYLQALTLRSNRFYGPLSPSGQGLFAFPELRILEVSYNNFTGSLPPNYFVNWKASSLQMNEDGDLYMGDNSIPDYNYEDTIDLQYKGLFMEQGKVLTSYATIDFSGNRLEGQIPESIGLLKTLIALNLSNNAFTGHIPLSLSNVSELESLDLSGNQLSGTIPKGLERLSYLAYISVARNQLKGEIPQGTQITGQAKSSFEGNSGLCGLPLEQSCFGTNAPPIQQQPEQEKEEEEEVLNWKAVVIGYVTGMLLGLSIAQVIASYKPEWLVKITGPYKCRTP >A09p061290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50559417:50563043:-1 gene:A09p061290.1_BraROA transcript:A09p061290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDTGSELSWLHCTKPSTSTSIFDHAKSSSYSALPCSSPVCTTQTQDLTVPATCDKKANLCHVAVSYADGSSLDGNLAQETFGFGSTRRPATAFGCMDSSSSTTPEEDAKTTGLMGMNRGRLSFTNQMGLTKFSYCISGSDSTGVLVLGDARLPSLPPLKYTPLVTKLDRLPYWDRFAYTVQFQGIRVGSVMLPIPASAFVPDHSGAGQTILDSGTQFTFLLAPVYNVLKTEFTKQTKSVLTVDPGFVFQTAMDLCFRVGSTKLDFSKLPTVSLMFAGAELTVSGQKLLYHVPGRGADQKYCFTFGNSELAGVEMFIVGNHHQQNVWMEYDIAQAKVGFANDVKYQFPPSDHSLYPPPPPQLPTESYDQILSDYSCILPAGVAFHSSGFVSSDPVSFPRSYPIVLTEGDGTKIFVRLRFGTDSARMLLKLIVYHPIHTQTSVYVSSLTPPVSELFGILSRRFLFFTSPQKEAAIVGYYRYVSVSIFPLYLIAKLVNEGEVTKAKTMTIGEIFDYIKKGSAKVELELPTGETTFAATAELPLLSANVLSASSKGCGDLADEGMVAQITVAKHRKPNVLPMSNRSFQFLSFVMLLLSRTLCPNKEEDDECHSGSYRGLNRVTSGENLFIAWHVP >A06g503420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10326595:10327113:-1 gene:A06g503420.1_BraROA transcript:A06g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQSDNFQSVNSQSAISSSSTEHGVLCDCNRKAKIERAWTRKNPGRRFFGCKGRRVRNGYENCNFFRWFDVEKPHGWQHLALLAARDIIREQKEELAVLRNKVTIPNHEGSNMDISNEFVEKFKEKVEECEALKKEVLILGERSAVFRNVLVASSVGFAIILGGMIVMSKY >A05p015110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6654929:6666738:-1 gene:A05p015110.1_BraROA transcript:A05p015110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLIYNPRNVSDLHGSKIETRVKISTLKVQKGFKTELVCSKQTVTNSLRFEDTCMEVNEKVKIVITVASLVAATVLLVAEYRRRRHGRKQTSSPSSCYLHSETKPQFGFKRVLADNSYSGFKHMKKLDDVFSSSIEKPNNSHPYETEIAVLLENPRLDEVEFLRGECSLEMSGSYVWVETEYELKKLAETLAKEKVFGVDTEQHSLRSFLGFTALVQISTGEEDFLVDTIALHDAMSILRPVFSNPDICKVFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLPKPQRSLAYLLETIDLIWIRTRDCLRKNTNKREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGDDDSETESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAVPAPAAIPAPAPTGPPEVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEREDWRQRPLSEEMVQYARTDAHYLLYIADRLTAELTQRGTAMEITLPSPWMQRYILHSHFIMCIRYQSMGLMMFAFQFQELVRELCAWRDLMARIHDESTRYVLSDQAIIALACKNPTTAEEVHHSIAQADLATESSPSLSLSNQSPSDVICSHLNDIYQMTRDNKLPKLDALLPLVLEKCLGTDGTCPVSVFNYSLLINFKTKIGVHSAPKQNGHRKNLKQFTRKSSRDLFVKKFSCKAPVYHNCRIYANDGRLLCYCDRKKLEWYMNRGLAKLVEEEPPAIMLLFEPKGRPEDEGNDFYIQTKKNICVGCGEGNHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEVAHAAAERYKKQVASEFGIPLFVRRVVDSKEAASSVECDESRGDVKDVGVSPLHLRTAAMALLRHGNRMPSSRREELLQTVKMYYGGRDISEEDLERALLIGLSPHERRKLERKKGVPLKYSAEVAHMNKQENSSNVGEHGEEATGNSEKDMNEESRIVVADDSGGDGAPEPNDTQCNGNTPHQENSKLSLLGHGPHGKQVVEYLLREHGEDGVRDFCQRWRQVFVDTVHPRHLPGGWNVSHSGRRDFGEFSVYNPSKRHSP >A10g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21111321:21111982:-1 gene:A10g506960.1_BraROA transcript:A10g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNGTITHVFGNGKASIKAQNDKGWLNRMSLVSTSVKRPKKFKSKMGGTGWPDNIGRVHDQYNGQVSAESWGSKESLRLPLAQVVSSLLSSLSLNSTI >A09p066590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52799636:52800606:-1 gene:A09p066590.1_BraROA transcript:A09p066590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMATLTEKQANEYLNARPTYPTIWYKVLAGRTSNHKVAWDVGTGNGQAALGVADYYQRVVATDIDEKPMSIAKPHPNVTYLHTPASMSDDELVSKLGGENSIDLIVAAQSLHYFDLKRFYAIVRRVLRKEGGIIAVWVYNDLVVTPKVDAIMKRLVDSTKPYRNLKMNLAFDGYKEIEFPFKNIRLGTQGRPKALEIPHKLSLDGYLGFFKSWQPLVKAKEQGAELLKPSMINEFKEAWGDQNQVKDVAYRAFMLAGKL >A06p042240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22706453:22709248:1 gene:A06p042240.1_BraROA transcript:A06p042240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVGFACRSYLPVRNRTLQHHCLREIRFNAVAAAAANETVEEPDGFAKVGDRQDKVADATRDREWKQVNSKDLGISSSMIDKPTRQVLNGLKSKGHDVYLVGGCVRDLILKRTPRDFDILTSAELREVVRTFSRCEIVGRRFPICHVHVGDDDIVEVSSFSTSAQNNSSRNMRSELRESSVSDGDEDCIRLNNCLQRDFTINGLMFDPYAKVVYDYLGGIEDIRKAKVRTVIHAGTSFQQDCARILRAIRVAARLGFRISKETAHFIKHLSFLVQRLDKGRILMEMNYMLAYGSAEASLRLLWKFGILEIILPIQAAYLARSGFRRRDKRTNMLLSLFANLDKLLAPDRPCHSSLWIAILAFHKALSDQPRSPLVVAAFSLAVHNGGDILEAAKITKKITRPHDSSFFELVQDPEKKENIEFQTLLDEVMDLDASVKDALNQMTDGYYISKAMAAYPQAPFSDMVFIPLQLYLRAGRIFECVKEERRMGVVDAKQGSKIEYAALYSGDVTETRHVFARVVFDTVFPLNLSQES >A08p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:567576:568913:1 gene:A08p000970.1_BraROA transcript:A08p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESICLNPLSFARLTKNPSNQFRKRRNLSCRTRRSQFGAICSKTSDYQDYQSYARPLRLLPAEEVKVSIAKNPSLTVAKSQSLYMVKLQTSNVFGSGISDMNARVLLCLIDDKGDSVLQTIPATLSSNDESFKFQRGSVDEFTFLGPELGKIRAFWISLESGQWRLGGVSLWVVKGPVPGVTNVEEAYCYRYDFEVDDILLGESSDLSMVELRPSRITELTHSDQLSSSSAQNLDRTVVSNEESMEEYENLKLSLLLYDAVLILLGSSLVSFSLGENSAIAFFFGGTVGFLYLLLLQRSVDELQAPGSSSSSQNSSQILSGRVKIPVLSLALAIGLSVLAVRGYNPTAFAVTPRDIVVGTLGFLVCKVAVVLAAFKPLKDGS >A10p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12332983:12336281:1 gene:A10p012440.1_BraROA transcript:A10p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTARSKSTSTKENGVRVEEGLSLFKSDKFDADAYVQSKCSINEKDIKQLCSYLLDLKKASAEEMRRSVYANYPAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLANGVNIDHDGSPANGVLSFEDNDLSDLEKWAAEYPDQLDALLAERRVDEALAAFDEGELLISQANENHTLSSSLLSSLQFAIAERKQKLADQLAKAACQPSTRGGELRSAITALKRLGDGPRAHTVLLDAHFQRYQYNMQSLRPSSTSYGGAYTAALSQLVFSAISQASSDSLGIFGKEPAYSSELVTWATKQTEAFSLLVKRHALASSAAAGGLRAAAECAQIALGHCSLLEARGLSLCPVLLKHFKPIVEQALEANLKRIEENTAAMAAADDWVLTNPPAGSRHASTAFQNKLTSSAHRFNLMVQDFFEDVGPLLSMQLGSKALEGLFRVFNSYVDVLVRALPGSMEEEEANFEGFGNKIVQMAETEAQQLALLANASLLADELLPRAAMKLAPLDQTSHRTDDLRRHSDRQNRNPEQREWKRRLLSTVDKLKDAFCRQHALDLIFTEEGDSHLSADMYINMDGNGDDVDWFPSLIFQELYAKLNRMASLAADMFEGRERFATSLLMRLTETVILWLSGDQSFWDDIEEGPRPLGPLGLRQLYLDMKFVICFASQGRYLSRNLHRGTNEIITKALAAFSATGLDPYSDLPEDDWFNEICLDGMERLSGKAKGNNGEVHSPTASVSAQSVSSARSHGSY >A01g511380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32612192:32614441:-1 gene:A01g511380.1_BraROA transcript:A01g511380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAESTKKPYDSLIDFLPAPLNTDDFKGRMSRFCHAGVSKIGFGSITCYRQIRCLRSCPAALNGGSETETNSETLAFRICSSLQSSLSPALQKLQHQNLKCTDESSLEKKAISSLAGTPVHWHATLEEVPSGLPTIIIAHEFYDALPVHQFQKSLRGWCEKMVDVGEDSQFRLVLSPQPTPAALYLVKRCTWATPEEKEKLDHVEIILKSMDLTQEIAKEHKFVNILDNPGSADLSAYVDFPSIKHSAEEASENVTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRTGYWRLVGDGEAPFWEEPDEQTPIGMGERYLTLAIVNRNQGTPAPFQTVTSAYHHNRGEVYAQACG >A05g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10595894:10600718:-1 gene:A05g503680.1_BraROA transcript:A05g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFFTTHSPFPFIQSKVKMVKKTKGRLEAERQEAESQEFALRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESEDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMMGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLTKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLQRLLVHAFYPRKQAGNVTEACDCSVRLSVLTLNLECYPFPALISMLPLGWSVSLWAVSNTTETGRAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGSASGGIGVPHGVFGDTWRHLELKKECLEWSLGEQGMGATSPERHHQVALITLLERPNQSDREKSLAVSSLGDARESPERPLGATQRGRSSWERRYESDREKSLAVSSPGDARTNPERPLAATQRGRSRGLERLVGATG >A01p011270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5480897:5481487:1 gene:A01p011270.1_BraROA transcript:A01p011270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIGHT-DEPENDENT SHORT HYPOCOTYLS 9 [Source:Projected from Arabidopsis thaliana (AT4G18610) UniProtKB/Swiss-Prot;Acc:Q9SN52] MMSSEHHTPTKDPPDHPSSSSNHLKQPLPSQPQPQQPLSRYESQKRRDWNTFIQYLKSQNPPLMMSQFDYTHVLSFLRYLDQFGKTKVHHQACVFFGQPDPPGPCTCPLKQAWGSLDALIGRLRAAYEEHGGGSPDTNPFANGSIRVHLREVRESQAKARGIPYRKKKRRRKTINDVVVVKKDVANSSSTHNQSSA >A03p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6909605:6910929:1 gene:A03p017200.1_BraROA transcript:A03p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET10 [Source:Projected from Arabidopsis thaliana (AT5G50790) UniProtKB/Swiss-Prot;Acc:Q9LUE3] MAVSIVVLRTVFGILGNIISFLVCLAPIPTFIRIYKRKSSEGYQSIPYVIALFSAMLWIYYAMIKTNVVIMITINAVSLVIQIFYISFYLFYAPKKEKTLTVKFVLFVDVFAFGFIFFLTYFLLHGQKRVQILGYICMVFSLCVFVAPLGIIRKVIKTRSAEFMPFGLSFFLTLSAVMWFFYGLLLKDMNIALPNVLGFIFGVLQMILYMIYKKPGTKVLEPPVINLQEISDHVVDVVRLSSMVCSSQMRTLVPQDSADMEDTITIDEKIKGDIEKMKESKEMLLISKN >A09p081910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59485125:59485769:1 gene:A09p081910.1_BraROA transcript:A09p081910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKPGMASVKDMPLLQDGPPPGGFAPVRYARRISNTGPSAMAIFLTVSGAFAWGMYQVGQGNKIRRALKEEKYAARRAILPILQAEEDERFVSEWKKYLDYEADVMKDVPGWKVGENVYNSGRWMPPATGELRPDV >A03p025210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10602606:10604791:1 gene:A03p025210.1_BraROA transcript:A03p025210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLNPLASQPYNFPSSARPPISTFRSPKFLCLASSSPALSSKEVESLKKPFTPPKEVHVQVLHSMPPQKIEIFKSMEDWAEQNLLTQLKDVEKSWQPQDFLPDPASDGFEDQVRELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARQAKEHGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVMAFADMMRKKISMPAHLMYDGRDESLFDNFSSVAQRLGVYTAKDYADILEFLVGRWKIESLTGLSGEGNKAQEYLCGLTPRIRRLDERAQARAKKGPKVPFSWIHDREVQL >A03g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4547638:4548003:1 gene:A03g501520.1_BraROA transcript:A03g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVCMIMMTLIMIGCHLKACSGMENMSKEGHLTTMETIRPDDVPNPVCIRNCSVTSHSKKEFQDCIIHCG >A02p000400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:230865:231179:1 gene:A02p000400.1_BraROA transcript:A02p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGFVFIVAVIILCVSLVSSEMKLGLEDYNFPVDPSPTAKHSIEPGPIEHGNPSNPYIPKPRSSPPPQPQDGG >A01p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17475471:17476951:1 gene:A01p036340.1_BraROA transcript:A01p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLFWRKNSIIEPELDRDPYPWIIWYIWKARNDKLFRGIDRDPLELVRHAESECKAWFDANELVQPVVQDNNPVATQVISLGNICLLNGSWTSSAQFSGCGWVWMDSNGNTQLMGTRNFTRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMIKDPQAWPSYATELERIETLQICFPDTFRGTVLHIPPEYLSTDQSSEKNDVLRFGILLLELKQEKELSIGARKRGSRITILKAFRTWENPRYVLIDLDPATRRSRETMVVSRKRMKLYHESLIWE >A07p037600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22627729:22629039:-1 gene:A07p037600.1_BraROA transcript:A07p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSRSLWILVVFLTITTSFAEIRKSEIRSDDRPIIPLDEFGFTHTGRLELDASKISLSNTNPDLDLSKVGFFLCTRDAWVHVIQQLEEEEITCALQSDLVKHVFTFNKLNRNSSFSTVFSENDADQYSLVFANCLRDVKVSMDVRSAMYNLEGKNGGRDYLSAGRTVLPKVYFLFSIIYFSLAATWIYVLYKKRRTVFAIHFFMLGVVVLKASNLLCEAEDKSYIKKTGNAHGWDVLFYIFNFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANFAQVVIDETGPYGQDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAAKTDGKAAVNLVKLTLFRHYYIVVICYIYFTRVVVYALETITSYKYMWTSVVASELATLAFYVFTGYKFRPEVHNPYFVVDDDEEEAAAEALKLEDEFEL >A06p012030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5505211:5507777:1 gene:A06p012030.1_BraROA transcript:A06p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant UBX domain-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT1G14570) UniProtKB/Swiss-Prot;Acc:Q94JZ8] MEGMLSSSEQERLVSSFLEIAVGQTSETARQFLEATSWKLEEAIQLFYVGGEVGVLPSGGTHTQPTVDDPMAAHSWGAAETGNERMQNEVDEVRAPLPVVRETLYGDSMYYGGTRVGSSQREPASLIAFRNFSEEPKSPGIWEPDEGASSASGSASAPEAASAPRDSLASLYRPPFHLMLHGSFEQAKATSSSQDKWLLVNLQSTTEFSSHMLNRDTWANEAVSQTIKANFIFWQVYDDTTEGRKVCTYYKLESIPVVLVIDPTTGQKMRMWSGMVEPETLLEDLVPFLDGGPREHFASLSKKRPRGSFSLAPHSKPKEVVAKDEEEEELQRALAASLEDNDMKESSDDKSAIIPEEEVVVEAVTTTVLPTFPPLPEEPKGGDRSVQCRVGIRLPNGQRVQRNFLKTDSIQLLWSFCYSQLEESERKKPLKLTQAIPGESKTLEFESNLTLEQSGVANSMISATWE >A02p033530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17869256:17871643:1 gene:A02p033530.1_BraROA transcript:A02p033530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPKPPRRKPGPTTAGADSGEKLDELLISSAICNGEDLGPFVRKTFGTGKPETLLHHLKLFARSKESEIEEVCKAHYQDFIHAVDDLKSLLSDVDSLKSSLSDSNSKLQSIAAPLLSSLDSLVEAQTVSRNVDLAIRAVTHCVRVMELVSRANHHLQSGSFYLALKCVDSIESDFLEKTQSSTLKRMLEKRIPQIRGYVERKVSKELGDWLVEIRVVSRNLGQLAIGEASAARQREEELRMKQKEAEEQSRLSLRDCVYALKEEEDDDEYESGLEGSDAFDLTPLYRAYHIHQTLSLEDRFKRYYFDNRELQLKSDSQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLISRQEVEFLWDLAVGNMCAVLEDQFSRMQTANHLLLIKDYVSLLGVSLRRYGYTVDALLEVLSKHRDKYHELLLSDCRKQMIEALAADKFEQMLMKKEYEYSMNVLSFQLQTSDIAPAFPYIAPFSTTVPDCCRIVRSFIEDSVSFMSHGGQLDFYDVVKKYLDRLLGEVLDEALLKLINTSVHGVSQAMQVAANMSVFERACDFFFRHAAQLSGVPLRMAERGRRHFPLTKSQNAAEDTLSGLLKNKIDGFMTLIENVNWTSDDVPQGGNEYMNELIIYLETLVSTAQQILPAKVLKRVLRDVLAHISERIVGTLCGDVVKRLSMAAIKGVDVDIQLLESFTEQLKPLLTDKEAKEMKTAFVEIRQLINLLLSSHPENFVNPVIRERSYNALDYRKVAAVSEKLRDPSDSIFGTFGTRGSRQNPKNKSLDTLIKRLKDVS >A09p067000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52973680:52974718:1 gene:A09p067000.1_BraROA transcript:A09p067000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGDCGLLDQHGFARNKIWVIDENPPPLNSKESLGKSFVDLLLKPSEEDSKQWPHSFELRLRVSLAIDGDLTLTSRVRNINSKPFSFLFAFHTYLSVSDISEVRVEGLETLDYLDNLRKRELLTEQGDSITFESEMDRTYIRSPKVVAVLDHERKRTYVIGKEGLPDTVVWNPWEKKSKTMANFGDDEYKSMLCVDGAAVERPITLKPGEEWTGRLMLTAVKSSFCFDQLELQSKGF >A04p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1011723:1013051:1 gene:A04p002100.1_BraROA transcript:A04p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRNAVPRPAHKERSQPQARKKFGLLEKHKDYVIRAKAYHQKEDIKKKLKQKAAFKNPDEFYYKMINSKTVDGVHRPKEEVNKYSAEELMIMKTQDIGYVFQKWQSEKNKIDKLTASLQCTEDQPSRRHVYFAEDREEARELELQARSKSDVTTIAIPKDIKKKMDRSYRDLEVRKSRAKDLEKLYMDMSMQKELQKKGRKRKLREDEILNPNGKPVYKWKADRKR >A09p066730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52843488:52845032:-1 gene:A09p066730.1_BraROA transcript:A09p066730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREAMSGSYYIQRGMPGSAPPPPQTQQPFHGSQGFHHFSNPNSPFVSNPNLNQVGGGGGGSTAFVSPPLPIESSPADSSAAAAAAAPPQSGEKRKRGRPRKYGQEGPVSLALSPSMSPNSNKRGRGRPPGSGKKQRLSSSGELMPSSSGMSFTPHVIAVSVGEDIASKVLSFSQQGPRATCVLSVSGAVSAATILQPSPSQGAIKYEGRFELLSLSISYMNATENDYSNRTGNLSVSLASPDGRVIGGGIAGPLIAASPVQVIVGSFLWAVPKGKVKKRDEASEDVQDTDGLENNDNNTAPTSPPQQSQNLDQTPVGMWSTGSRSMDMHHAHMDIDLMRG >A05p031870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18169627:18171686:1 gene:A05p031870.1_BraROA transcript:A05p031870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEPYASQPTPSRLLFLLYSNQTLFSPSLPLATLPCRRRLRCSTLLRSPPPLSYRIALLKLERKVKVRVSGGWEIEQEICGFKEERKFFLKNATTLTGLHFAFTTLMTIVLRCLGYIHPSHLPFPELLRFILFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEMVLDKIRYSRDTKLSIGLVLVGVGVCTVTDVSVNSKGFLAAFVAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAATLLVVGPFLDYWLTEKRVDMYDYNLVSVLFITLSCTIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLVMGFFFFGREGLNLHVVIGMIIAVLGMIWYGNASSKPGGKERRSYSLPTTRQQKLGAASDSDDNEGTA >A10p003520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1778368:1779564:-1 gene:A10p003520.1_BraROA transcript:A10p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRRGGERQDFPAAAYNVVHKLPHGDSPYVRAKHVQLVEKDAEAAIELFWRAIRARDRVDSALKDMALLMKQQNRADEAIEAIQCFRDLCSRQAQESLDNVLIDLYKKCGRIEEQVELLKQKLWMIYQGEAFNGKPTKTARSHGKKFQVTVEKETSRILGNLGWAYMQLKDYTAAEAVYRKAQVVEPDANKACNLCTCLIKQGKFDEARTILFRDVLQEKREGFGDDSRLKVRVQELLSELDVFASVSVECEVGMDEIAVVEGIDEFMKEWRRPLRTRRLPIFEEILPLRDQLAC >SC133g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:246718:247681:-1 gene:SC133g500090.1_BraROA transcript:SC133g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTFGGESSSSSHEHQEGLLGDDSTSPVHQKSMTGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGV >A01g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16478784:16480240:-1 gene:A01g505530.1_BraROA transcript:A01g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLRPLSSNGAPRYEPQPKPDWGVQAIVSWVLAEVFGTQNLSIVAEEDTDSLSKSESLGLLDSVSNAVNEALSEARKYGLPKPDKPLGSHDILKAIGRCNSTGGPKGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKVLLGVLGCPNYSVKKERLHDEVFIKFAQSSYKEKIWDHAAGVVIVEEAGGVVTDAGGRKLDFSKGVYLEGLDRGIIACSRLTTSS >A01p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19483386:19486457:1 gene:A01p026540.1_BraROA transcript:A01p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTQLSSGDETASSGRGNPRFVSDYRSHRLPILSLATHVAIVHRRRDRCFLLSSLLSSPPNRSRSPHESIRSLSLSPFLPFVMGLDYSYTQPSQSDDYGLGNTTESDHCSTEMNIMLDQADIEASRVQYPPQPEVEFGFPRECYCGGEPVLRTSITGRRFYSCENIDDGDCHVYKCWEEAATEEIKALGTQYALLSDKIDYIAGVSDYESELNQVKDLHYQTELKVTMLEKTVSDLAKKCYGFELGLGVM >A07p035260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19088309:19091388:-1 gene:A07p035260.1_BraROA transcript:A07p035260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:Projected from Arabidopsis thaliana (AT1G79750) UniProtKB/TrEMBL;Acc:A0A178W4H6] MAFSIDHSLTDLTKLSLLPKPHGHASDMFPFRLSVFPRVHLSHRTSTSRLLSSLGSKVDPNGSVLIETTTPPSPSPDVTGGVQDVYGEDAATEDMPITPWSLSVASGYTLLRDPHHNKGLAFSQRERDAHYLRGLLPPTVISQDLQVKKMMHTLRHYQVPLQRYMAMMDLQETNERLFYKLLIEHVEELLPVVYTPTVGEACQKYGSIFLRPQGLFISLKEKGKILEVLRNWPEKNIQCLPVTIDVGTNNEKLLNDEFYIGLRQRRATGEEYSELMHEFMTAVKQNYGEKVVIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLIGALRFVGGSLANHRFLFLGAGEAGTGIAELIALEISKKCQIPLEEARKNIWLVDSKGLIVSSRKESIQHFKKPWAHDHKPIKELVDAVKAIKPTVLIGTSGVGQTFTQEVVETMAELNEKPIILSLSNPTSQSECTAEQAYTWSKGRAIFASGSPFAPVEYEGKTFVPGQANNAYIFPGFGLGLIMSGTIRVHDDMLLAASEALAEQLKEEHYEKGMIFPPFRNIRKISARIAAKVAAKAYELGLATRLPQPKELEKCAESSMYSPSYHSYR >A08g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12584007:12589146:-1 gene:A08g507220.1_BraROA transcript:A08g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPYDTGSWHVSRKQWPRDIASKRRKKGIRGFSFSEAISRATLTVGDLVVLIDGGLSPRRAEMDPAEEIRETKRQKEYIDMVGFVADSENGIPTRCPCGGTIIHEVRGKDDYDTLPGKRFFTCKNYKADGLHYRQPWVIGVEEHIERLSKRLEEVEMVIKWMPELTKQIERLEAEVKDLTVQVDNLNGEVYNLSVQQSTQSTSENTNQNFKTLIENQMYPFSLNSPDLDYYVSKYEKKGFTGAINYYRNIDRYLYTTRFNKYTITVLTNRSKSRFDF >A06p011860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5438032:5439552:1 gene:A06p011860.1_BraROA transcript:A06p011860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQLLHNNKVVIFDRTDYGPSNLSLPSQTCRNATVFDCSAHSLLYDVASNTFRPLTLQSDTWCSSGSLNASGSLIQTGGYGVGERAVRIFTPCEGVSCDWVENRAYLSSRRWYSTNQILPDGRIIIVGGRRAFTYEFYPKNPGKSVFYLRFLAETRDPNEENNLYPFLHLLPDGNLFIFANRRSILFDFVNHRIVKEFPEIPGGDKRNYPSTGSSVLLPLFLTGENNRSKVSAEVLVCGGAPPGAFLKAARTIPKVFVGASRTCGRLKVTDPDPIWVMEQMPSPRVMPDMLLLPNGDVLIINGAANGTAGWEDATNAVLNPILYLPNEPDPTRRFEILAPTRIPRMYHSASLLLSDGRVLIGGSNPHRSYNFTARPYPTELSLEAYLPRYLDPQYARVRPSILTVELARNMMYGKSFSVTFVIPAFGMFDGGVSVRLVAPPFSTHSTAMNQRLLVLRVRRVAQLSAFAYKADVDGPTNSYVAPPGYYMMFVVHRGIPSEAVWVKL >A03p046860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19838452:19840270:1 gene:A03p046860.1_BraROA transcript:A03p046860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAMKNAELNEEISDKFLCCVCLELLYKPIVLSCGHLSCFWCVHKSMNELRESHCPICRDPYVHFPAVCQKLHFLLKKIYPLAHHKREEQVLKEEQELDCFSPQIDEPKPKEESSCSGASLHVSDERKVEECSNAERLLSSEEPNENNKVITQISKDDLLCSACKELLVRPVVLNCGHVYCEGCVVDMFQEGEKIKCQECHISDPRGFPKVCLVLEQLLEENFPEEYNSRRSGIQKSIAHTSKRNIQSSHKEGPSLSGENNNDLPWWANPASNVHIGAGCDCCGVYPIIGERYRCKDCKEEIGYDLCKDCYETPSKVPGRFNQQHTPDHRLELAQVPQVLVNIESIGFLLGPMVSEGVSGEEDSDADDDDDSEEEGPPDSNEVSSSAD >A07p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22491511:22494428:1 gene:A07p041720.1_BraROA transcript:A07p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTKTNGLRSWSVESDDDNNPIENISSPPTSLMVSPFRFFDDETYYTTQYLPSTVSSFQTIIDEAIFDETYNPTTFYPLIDSPFQTLDDQPFNITYPSTLEVGPLQTVDDENRNTKPPPPLDVPPLPTINGETHKTEPPLPTLEITLPQTVDNNINNTPPPTLEVLPLPTIDGEPHKAEPPLPTLEITLLQTVDNNINNTPPPPLEVPPLPTIDAEAHKTEPPLPTLEITLPQTVDNNINNTPLPPLEFPPLPTIDGEPHIIEPPLPTLEITLLQTVDNNINNTPPTLEVPPLPTIDAEAHKTEPPLSTLEITLLQTVDNNSNNTPPPPPMVSLLQAIVPYETNKDSIAATDGPSSGAIKRKRGRPRGSKNSKTAIQKPKPYDSNSKVVTSCPSFDSGISEAERETGNKEVADSVLMRFDAVRRRLCQLKCFKGPLLPTALANCKNLGVKTNAKKRIGSIAGVNVGDIFYYWGEMSLVGLHMLMVAGIDYLTIKDGATEGPLATSVVTSGRYDDETEDTQTLIYIGHGRKTRDQELRGGNSALKESKLKGNEVRVIRGEEDPNNKGRKIYIYDGLYIVSDSWAAKGKSGFKEFRFKLLRKPDQPAGYANWKSSKNWSKCTDNSRKGLILQDLSYGAETLPVPLVNEIDENDKEMPQDFSYVNSSTCPSMTIVQNYQSTACIDCHQVQLCEDPTCICVQRNGGDLPYHNRILVCRKPMVYECGDMCPCPPDCHNRVTQTGLKIRVEVFKTEKCGWGLRSLEPIRAGTFICQLIGMAKRIHDVDEHDEYVFDSSRVYNQFKWNYEPELVGEDCWDQVPEAYKLRWRMVVSSKAYGNVSRFMNHSCYPNVMWQPVEYEDSRQPCVRIAFFAMKHIPPLTELRYDYGMSCRTEEVGEDGKTIFKGKKICHCGSFKCQGSFG >A06p053550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28209313:28210826:-1 gene:A06p053550.1_BraROA transcript:A06p053550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAGNCRKRPADDFAEDVDPFGSSEWMYGSHLAPPPQKLKPIRCLVKPLSEDRQHPLDILAGSLDRLPPEMGFLGDGGIADVEESGQLTRGFAKDEVEMEVRGRVSRRDGVSLSSSADSDSDSSQGEVKGKRKRKTREKMEHFVEKLVGSMMKRQEKMHNQLINVMEKMENERILSEEAWSQQELERMKQNEEARMREMSCSSSIISFIKSVIGEEEDIEMPNLTIPLPKQSAHQRQGDVKFVPSGRRWPHEEVQALIDSRSQVEEKTWFHRVAIWYDVSAKMKERGYERSAKKCKEKWENMNKYYKRVVEGKKTQPEHSKTHSYFEKLGKLYKTNSVVEKEE >A08p009670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7598831:7600184:1 gene:A08p009670.1_BraROA transcript:A08p009670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLRVSLSFIFSALLISPAVSQSCSSQTFSGDKSYPHCLALPNLKAFLHYSYDAANTTLAVVFSAPPSKPGGWIAWAINPKATGMAGSQALVASKDSKTGVASVTTLNIISYSSLVPGKLSFEVWDVKAEEEAAGTLRIFAKVKVPADLAANGKVNQVWQVGPGVSPNGRIQPHDFNTPNLNAVGSLDLSGATTGVSASGGGGAGNSRIHKRNIHGILNAVSWGILFPIGAMIARYMRIFESADPAWFYLHVSCQFSAYVIGVAGWATGLKLGSESKGIQFNSHRNIGIALFAIATLQMFAMLLRPRKDHKLRFFWNIYHHGVGYSILILGIINVFKGLSILNPEHTYKTAYIAVIATLGGITLLLEAVTWVIVLKRKSANSNNPPKP >A09g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1968483:1969591:-1 gene:A09g500440.1_BraROA transcript:A09g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIREYEIRLCGNKRINFEGINDWFRWISLGFFGSQIRSEDGKNMWSSIRVNLPQYTTHDYMRRAAFSYTGILRKKGVAVRVLTWWDLVRISWILVRICPEQAHGCSYLIVIDDSKVCRLPGKGMEWFHGRTLTLFELYKDLLAGELGGLVSFKRSVAMLFGILQLDCDLDLIKLSVSGGNRQMRTRCVQCYRSKEVLTYWYKQSCHGTRQMQRIRSSFFGDCLNAMETWFILTVVDLQGVHPRGGRSLNEVSLNTFKFLVVRFLLQQRREYYGALGDDNRVVIMRNHGNIFLVALDEGFFNKDLGSSIV >A03g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31573843:31574453:1 gene:A03g509840.1_BraROA transcript:A03g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLRSQTAVRRVTRSQSAGPKPERKGKSRETTNQTPRYAEPENSYQEKSTSTSGAEFIETMHSPPPQLPVRLFARNCYPNKPHLNIYSKATAISSIVKSLKGTPELDKLLGSQFGSLFGSPVVRCLNSAKLVHSHVSRQLVTLKEKKECSLDER >A07p028830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16263338:16264776:-1 gene:A07p028830.1_BraROA transcript:A07p028830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKAASTFSLMTVHGVKKDVSPLSSSVSSGKNLSFSVRASTQPLSGVVFEPFVEVKKELDLVPSSPQLSLARHLYSPECEAAVNEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKDSSVEERDHAEMLMEYQNKRGGRVKLQPMVMPQSEFDHAEKGDALYAMELALSLEKLVNEKLLNVHSVASKNDDVHLADFIESVFLNEQVEAIKKISEYVSQLRRLGKGHGTWHFDQKLLETAAA >A07p041870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22569358:22570302:1 gene:A07p041870.1_BraROA transcript:A07p041870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWKRAAAAIKDRKSLLAVGFSRRTSHHNLDLEAAIIKATSHDSSSVDYSNAHRVYKWIRSSPHLNLKTLIHTLSSRVSHTRSWIVSLKSLMLLHGVISCKLPSVLGELRRLPFDLSDFSDGHSCLSKTWGFNIFVRAYYAFLRSYASFLSDQFHRRPVNRRSSVNQEIERIQKLQSLLDLILQIRPIADNMKRTLILEAMDCIVIESINIYGRICSGVIRMLPSSGKADAAATLKIINKATSQGEDLAVYFEFCKGFGVPNARDTPQFVSIPKAEVETIKNMIENVGEEEVVEEEKAMVGDDHHREMGDFRR >A03g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9744790:9745408:1 gene:A03g503110.1_BraROA transcript:A03g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILSCWINFDQDCIQLIIGLYTNIYSLLSDTPPLQLKSVAAEATLMQAQATVNIQCVTVKLAVQNRQLHLWSLRR >A04g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7501253:7502440:-1 gene:A04g503450.1_BraROA transcript:A04g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNTPWWDTQKVRDTFTDEDAARILKIKPGLGTDDTDVWGFTKDGIYTTKSAYKMLSKQSLAQGNIKSFPWILWNLWKGRNALVFEKSRLSASSCVSKALEEAEIWNKVNLKDDTTHMSRDNAPVLQNLWVKPPPGFIKCNVGMAWNNAGPLNGASWVTRDNSGRPIHHSRRAFSQSSCKRESDLKALLWAVEAMDSLKQKKVIFEASSVELLPLSQKILTLLHHFEEWSIFHVSGPKNRVATAIAESVVSGARTQIICRVWGAPMA >A08p035720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21062669:21063986:1 gene:A08p035720.1_BraROA transcript:A08p035720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRPLDDNKFHELPFKHSRQLGFNDKSMQFEESSQSPLATLVDEGDLLKPQGGKTFDEESSFVYPGLDMDGCFDRVMEDCHGKDATQSPYSPRTLAPVESFYSFFLDQPARKKVPTGPDHQAMIPEWEGSLNGHLEPLGTGTCVIPMPAHMDDNIVGKGREFCVCEDMGSIRCVRQHVKEAREDMVKVLGSEKFRDMGLCDMGEEVAQRWSDEDALLYHEVVYSSPVTLGRNFWKHLEAAFLTRTKHEIVSYYFNVFVLRRRAAQNRSMILDIDSDDDEWHGGSGGGPLGAQYVEEDGEEEDIAVESPLHKETEKFNEKVHPLHQEKDASISDNDEDDTREGGSGLCDEHKMNAGYMDMFSGCNEERLNVENDSCLTFELAHDAVNSVWKNCAKKEETGLGDEQKKVEGV >A06p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24255218:24257592:1 gene:A06p045200.1_BraROA transcript:A06p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEEITASVKAIKEVCAPTDYKKTCEDTLRKDAKDTSDPLELVRAAFNVTMKQITNVARKSQTMIELQKDPRTKMALDQCKELMDYAIGELSNSFVELGKFEFHKVDEVLIKVKVWLSATISHEQTCIDGFKGTKGDAGETIKKALKTAVQLTHNALAMVTEMSNYLGQMQFTELSSRRLLAQEPSWVDGRVRRLLTAPLSEVKPDMVVAQDGSGQYKTISEAMQNVPKNKNVTFVVYIKTGVYKEFVQVDRTMSDLVFIGDGPDKTVITGEKSFQDGITTYRTATVAIIGDRFIAKNMGFQNTAGAAKHQAVGIRVLSDQSIFYNCRFDGYQDTLYAHSHRQFYRDCTISGTIDFLFGDAAAVFQNCILLVRKPLPNQACPITAHGREDPKETTGFVLQGCTIAGEADYLAVKETSKAYLGRPWKAYSKTIIMDTFIPDFIAAEGWQQWKGNFGIDTLFYSEARNTGPGAGVTGRVTWPGIKKLTDEDILGYTPAKYIQGDEWIPSKGVPYTPGLFVGTGLATVAASSNSTQAGSSSNTTGTGPAAAPQAGSSSNTTGSGPAAAPQASSSSNTTGSGPAAAPQAGSSSNTTGSGPTAAPGVSAITNTTGLGSPSATPSASPSPSTSPPAITSASPSASPSATLSATPPSASPSIISPSASTPST >A07p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10325509:10327228:1 gene:A07p016830.1_BraROA transcript:A07p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTILRSVFLSESRRTSAASRCFFFPSSPASVPVHGLFPAPKRLSFSGFASVPDRLPRLNCTNNDPSEQGPPQEAVLKAISEVSKTDGRVGKTTNVIIGGTVADDSAKDWLELDQKVNTYPTERGFTAIGTGGDDFVHAMVVAVESVIDRRIPEDCVKQTLSSKGKYVSVNIGPIRVVSSEQVQAVYNAMRRDERMKYFL >A02g510500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27677873:27679311:1 gene:A02g510500.1_BraROA transcript:A02g510500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKVGEKRKRAEEVADMMVVSKEGFDDERKLLRTVFVGNLPLKFKKKLILKEFRKFGEVESVRIRSVPIVDRSVNAYVVFETEQAAEASLAHNMSLIDGNHIRVDRACPPRKKLKGQDDHLSVVLISGLSCSIVINIISYSQFVLFTGKSNLENNVEAVRVIRDLQYRISRVKADATPSKRKTNPSDAYSPAQKRDKVVIPVATEKANLSYQGVRASNSGDDKKKPYQKSPAQSKMRPRSSSSSSNEGNKAGSSSNNSAVKQRSQKRPSCCCKEIDSKHERLKREWDLQGVSVNKKAEHLIASPIRRNQNGV >A02p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:526734:533858:1 gene:A02p001080.1_BraROA transcript:A02p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT4.15 [Source:Projected from Arabidopsis thaliana (AT5G03620) UniProtKB/Swiss-Prot;Acc:Q9LZS6] MDSLIKVFFCLSVFLLLGEINGVEGSDKNQRMYGFRPTKLFVFGDSYADTGNIKKSLSSSWKFPYGITFPGKPAGRFSDGRVSTDFLAKFVGIKSPIPYFWKDYAGKKRLQYGMNFAYGGTGVFNTQVPLPNMTTQIDFFQNILTPGDIYSSSDFTSSVALVSVAGNDYSTFIARNRPNSEFPAFIKQVVDQTEVNLRRIHALGVKKIAVPLLQPLGCLPGITVASSFQRCNESQNALVKLHNSLLQQAVAKLNNETKQSTFITIDLYNAFLTVFKNKGANPGSTTFQSPLKPCCVGVSSEYFCGSVDEKGEKKYVICDNPKAAFFWDGSHPTEEGWRSNMSLWLFILCFYLVNTGFKAETQDEFDKREPYIVYMGDAAEKYNVEASENHHNLLSKVIGDESKAREVRMYSYGKNIDGFVARLLPNEVEMLSREEGVISVFKNTQRQLHTTRSWDFLGFVESKYRRSEAIESNIIVGVLDTGIYIDSPSFDDKGFGPPPAKWKGKCVTGNNLTRCNNKVIGARYYHLKRPNYNDTAADYDGHGTHITSTIAGVAVSNANLFGIANGTARGGVPSARIATYKVCWEEGCSDMDMLAAFDEAISDGVDMISISIGGASLPFFEDPIAIGSFHAMKRGILTTCSAGNNGPGLYTVSNLAPWVMTVAANSVDRKFETVVKLGNGDTATGISVNGFNPKKKMYPLTSGFLASNVTAGDYGEPSACEPGTMGEDKVMGKVVYCEVGREEAGGSSEGQDHIIKSLKGAGVIVQLLEPTDMATSTLIPGSYVLYEVGTKISDYINSTKNPQAVILKTRTTKMVAPSIASFSARGPQRISPNILKPDISAPGLNILAAYSKLATVTVHAEDTLFSIMSGTSMACPHAAAAAAYVKSFHPDWSPAAIKSALMTTATPMRTKDIEAELSYGSGQINPRRAIHPGLVYDITETSYLSFLCKEGYNSTSIGLLLGGSNETKKEYRCVDHKQGLGSDGLNYPSMHKQVGSKGTNVSETFYRTVRSVGYGPSTYVARVWAPKGVRVVVEPRVMSFVKPGEEKHFKVVIDGVMEEAMRGILSASVEWDDSRGHLVRSPILLFQAGKM >A03p062220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27023188:27023868:1 gene:A03p062220.1_BraROA transcript:A03p062220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLAQLAQSVILGLIVSYLLAKLISIVVTFKEDNLFLTRHSEPESEVDSGRVESSTVGGEAEQGSSRGEDDDWEGVESTELDEAFSAATLFFTTAAADRLSRKVPGDVQKQLYGLYKIATEGPCTAPQPSALKLTARAKQAWRKLGVMPTEEAMEKYIEIVTQLYPT >A09p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17203630:17208014:-1 gene:A09p028650.1_BraROA transcript:A09p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNAEAAQEEEFSEWVVIQTSSTSSPVDASSPLSSPISQPSQGRDDDDEDSVVPVVEEEEEDESSSTVNQPFPWRVIETAKKRLKDSGIFERAPCNYVSSTRVFWSFTLICGFSLVSSLVYVKIVRWWRRLQEEKLRFLLLQLKEKDQLFTGLTNQISRFSLPVEPVRLLPPRRNYTSNGILRVSCNGGLNQMRAAICDMVTIARLLNLTLLVPELDKKSFWADPSDFEDVFDINHFIDSLRDEVRIIRKLPKRYSRYKLFQMPPVSWSNEKYYLHKLLPRFRKHKVIHFNRSDTRLANNGLSLHLQRLRCRVNFQGLRFTPRIEALGAKLVQILQQRGPFVALHLRYEMDMLAFSGCTHGCTEKEAEELRKMRYAYPWWKEKEIVSKERRVQGRCPLTPEEAVLVLKALGFQKDTQIYIAAGDIYGGERRLALLKESFPRIVKKEMLLDPKELQQFQNHSSQMAALDFIVSVASDNFVPTYYGNMAKVVEGHRRYHGFKKTILLNRKRLVELLDLHKNKTLSWDQFAVYVKEAHEGRRMGEPTHRKVISDKPKEEDYFYANPQECICKNPSTLSE >A10p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11598424:11599554:-1 gene:A10p007290.1_BraROA transcript:A10p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAAVVYLATALVKLVCLATFLQVSETEVFDPYQEALKAMIGFIDVAGLYFALAQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGARGLEFTWDYVLQGLEANANLVFTISLAALGSLMWLRKNKPKTLIPIIYTCAVIIATMPSITSYLRRVMGWHFPKVVGFELMTSLVMAFISCQLFILCQRPSL >A07p013580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7387932:7388351:-1 gene:A07p013580.1_BraROA transcript:A07p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPNRRSNSQPQDDKQARFRGVRRRPWGKFAAEIRDPSRNGARLWLGTFETAEDAARAYDRAAFNLRGHLAILNFPNEYYSRMDDYALRPPYSSSSSSSSGSTSTGVSRQNQREVFEFEYLDGRVLEELLDSEEGKKR >A06p000840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:85033:86149:-1 gene:A06p000840.1_BraROA transcript:A06p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFQSKDSSGSTWENSNIQRSQSFSLTKNMMMMSTTQLPSSMKHSSLQLQNQDSSSTQSTGESGGGEAASFGEPNRYGCNSIVANTNLSGYNKSTTTSSMVSQEPVFPPTCGPPSWPLQCAETSHFNGFLAPEYASQPTALTHLEMMGLVTSRVPLPHNIQENEPIFVNAKQYHAILRRRKHRAKLEAQNKLIKSRKPYLHESRHLHALKRARGSGGRFLNTKKLQESSNSPLCSSQMANGEGYSKSSTTPSSSSDRNNMFQNTPFRFSGYPSTHHVSALMSET >A01g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24302309:24302841:-1 gene:A01g508980.1_BraROA transcript:A01g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDKKAQKEYYNMIDFVANAQQGIPKICPCGSITKETVDEDDTYDYLPGKRYFICKDFENDGLHFRQPWVTAIHEEVERLKERYHEQAKLLRECQALKDQVRMLQDEVRMLLMRVAELERAL >A03p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1945463:1946894:-1 gene:A03p004630.1_BraROA transcript:A03p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNVANPTTGCQKKLEIDDDQKLRAFYDKRLSQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGHGRRTGERRRKSVRGCIVSQDLSVLNLVIVKKGEKDLPGLTDTEKPRMRGPKRASKIRKLFNLGKDDDVRKYVNTYRRKFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKANADAADYQKLLASRLKEQRDRRSESLAKKRSRLSSAAAKPVAA >A06p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18947663:18948816:1 gene:A06p035060.1_BraROA transcript:A06p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WDR5a [Source:Projected from Arabidopsis thaliana (AT3G49660) UniProtKB/TrEMBL;Acc:A0A178VK59] MAEEVPAASSYIPYVHSQTLTSHAHALSSLKFSSDGRLLASASGDQTIRTYAINLKGDPIAEAAQVFTGHESGISDVAFSTDARFIASASDDKTVKLWDVESGSLIKTLQGHTNYVFCVNFNPQSNMIASGSFDETVRIWDVTTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDSGTGHCVKTLMDDENPPVSFVRFSPNGKFILVGTLDNTLRLWNISSAKYIKTYTGHANSQYSVSSAFSVTNGKRIVSGSEDNCVYMWELNSRKLLQKLEGHTEPVMSVACHPTENLIASGSLDKSIRIWAQEE >A09p054120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46721407:46722643:1 gene:A09p054120.1_BraROA transcript:A09p054120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKREIVTSVKAGRGSNRLWDFFSLTSIGGGALLDIKENEKSSSMGSKVSDPRGIAVRQNGKVIGDFGNLVNIPTGKYAASGGLKDVVNGNIDALDDGDKLAVVEYADDIFKFYKSIEEEGRDYMGSQPELNIKMRSILIVDMGWSKVVGGPTLCENPFYVSPNQIRALEKRNKAGNFAKKIKAKTR >A10g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1085482:1086975:1 gene:A10g500360.1_BraROA transcript:A10g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNLQLIAVLNQDVQHVLNLSHERWLNAEELQILFQNLALLPQSTYTFDEPGLYRVQTLKFADDHAWTLFPNGNLVIGGRVVHGGLTFNYTSAQSPDLQRRTIRRQQLYVTFTFSIKFKFVREQYVCSLRGGLALSRVLYYFRCLLIEIEKDLLWAMARLPGTEPLLFFLGLSAREYLKIDPSSATLGSSEQLDFPKNDLR >A07p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20306489:20309153:-1 gene:A07p038060.1_BraROA transcript:A07p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSLSQGSHSAASKASLSRTSSSPASDSTLGFEGGDCFRSWFCLGLAAAIRPQMSEASRRSRVTITLGRSGQVVSRDGTDIDELPRVGTKRSVKERLGNQLDTSAYGSDAVSKRQRGEASFSGNDLQISQHDLRFKLLQKNAQRRTQSEEGSTIDLREMLLSKSKSEQLPRSLDARPRMADPRDDPLPSSRTARGPSQMLSSSSAYSPWARDDTRRRSPERLMSASRGRSPPRNGGSISGTRRSLSPPRSTRSFIGGSRGLSPPRSSGRMISHPRNVSPPPRSGGRMIGHHRDLSPPRSAGRIFSHPRDMSPPRNAGSISGTPRALSPSRNTRSFTGGSRGLSPPRNDGRMFGHPRDLSPPPRTAGRMISSTPRELSPPRNPRSFSSGSRALSPTRNVGSSYVGSSRGFSPSRNPGSSYVGSSRGSPPPRSIDDFHGRSRMVDDVRPSPYAVRGVVNNQAPGSGITLSRTMLPPPVPNPHPLPPLSQLPPLGSMMQNSPFPMEEPLTVESFLNSLGLGKYFLAFKREEVDMTTIKQMKESDLKDLIIPMGPRKKILQAIACLPKR >A10g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7879715:7886807:1 gene:A10g502930.1_BraROA transcript:A10g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIAKITSALTRRLPGKSSTDRRIPGKSSTARRLPNSLAYIRLLQAHIITNESNPPRIVSFYDSMNHKNFRNKILGFFSSLWRESERYVVFSSQEWKKNKGKSILGALRASNWLFMVVVVLMTMAILAKPIFKKKGGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVWKETGHKRPQFVQKTFKKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A03p010380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4160802:4161306:-1 gene:A03p010380.1_BraROA transcript:A03p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGRSRTSSVLCRLSSPEKLLNHHQRPSASLCNINLRLKSFFSRKHSRNLRCVQVFVLSHGAPWLVPVRFSPPFRKREEQNPKFTGEAKQVCVEADRVKKSLAASMVTPSPLHISRRFDFRPRPSRFYALRKDQDLISSRL >A04p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6798659:6800415:-1 gene:A04p011300.1_BraROA transcript:A04p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHNLFYTTGQLKCFVLLGSDVMESRWISQTCPVDPSESEYKSCEILLYTHSPESSRITVNCSCDTEQGYEDTMMGSHPGGRVTACSIRCSILEYLMEMMVIFISPLGSFLSGQTNYRIFLFRVEKPKMIFDRSVGILRTSDRSSRNIDSVISGHLRSGVSHH >A08g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14675264:14676054:-1 gene:A08g508140.1_BraROA transcript:A08g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSVLAEGGNEVNQEKPPPRVCPRCNSTNTKFCYYNNHSVSQPRYKCKECRRNWTHGGALRNIPIGGSGRKKKSTMIDQPFVSQAVSAEIQQVSRRRQPFLHAQKTNQFVEYFGGSSYGFDVDNHVGSFPKICGDGVLPFQSFPPMDRSYFHDGLFQQDYYNVDYNVVSSNHNSYINQEHRDKWNQSLNNTMNMNHNASTSGSREWWDTDHMNNYNGNIKKNCVYESSYHLEKHGP >A02p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:161498:163287:-1 gene:A02p000270.1_BraROA transcript:A02p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALC-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT5G01370) UniProtKB/TrEMBL;Acc:Q9M035] MTQRANPKTGCLTAVVRRLLCSGSQQTHPSDNILDSDETLQLLSTYEKIEEPKKETKTETQTQEDDVSPPPPPNVVAKLMGLDDPAPGSNRFRYFDDSGSAVARSKSVNFMDYILRVKEEEEEEDEKDGHLTCRRVSASVSFREIVPTSARSSSNQQQKKHDFLLMYLDKLDEKRELVGSSSSSRSKRFEKVLEDSKKPPLPPPEKKKENEKVAKKFKDERRKVAKKKKKSENGSDHVNGAKKVRWFLSPSKSKSTEKMALLGGGGGECKNIPADEFSGKETESPENKSNASPVSVLDRDLYDYLILDDDYYFSGDSESASELSTKQVETTAAKSSCSSSPARTRTNSKKENNNNTNSDSEETEFITKLMNMLSDLSEEDMKSSTWVSTSSTKPVDYTQVEDFCVEFGQEILDLVMDQLVDELLYLV >A06p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5135275:5139401:1 gene:A06p002500.1_BraROA transcript:A06p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 1.2 [Source:Projected from Arabidopsis thaliana (AT1G52190) UniProtKB/Swiss-Prot;Acc:Q9M817] MENTTTETEAKQIQLNGEKKPKGGIITMPFIIANEAFEKVASYGLLPNMIMYLIRDYRFGVAKGTNVLFMWSAATNFNPLLGAFLSDSYLGRFLTIAIASLSSFLGMVLLWLTAMLPQVKPSPCDPTAAGNHCGSATASQLALLYSAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSAVAVLIAFTGIVYIQEHLGWRIGFGVPAVLMLIAALLFVLAFPLYVRRNVSKSLFTGLAQVVVAAYVNRKLSLPNQQDSSITYYHMKDSELKAPSHKLRFLNKACLISNREEEIGSDGFALKPWRLCTTDKVEELKALIKVIPIWSTGIMMSINTSQSSFQLLQATSMDRRLSRHGSFQVPAGSFGMFTIIALAMWVVLYDRAVIPLASKIRGRPFRLSVKLRMGLGLFMSFLAMAISAMVETLRRKKALSQGYANNANAIVDISAMWLVPQYVLHGLAEALTAIGQTEFFYTEFPKSMSSIAASLFGLGMAVASLLASVILNAVNELTSRNGEDSWVSDNINKGHYNYYYWLLAIMSFINVIYYMICSWSYGPLVDQVRNGRVNGVREEEELLDIVGKGFEKEDLRDVVKTN >A09p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3368602:3369588:-1 gene:A09p006440.1_BraROA transcript:A09p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRLELRLAPPCQQLTSNNNINGSTQRSLTKETSFVFNNRVETAPVVGWPPVRTSRRNLTVQPKEEMKKGVNDEVRELYVKINMEGVLIGRKVNLSAFNNYQELSHAVDQLFSKKDLADVNRQYTLVYEDNEGDTVLVGDVPWEKELKYFFSHATILKVYIDFWGFSSIGQINDIVYLFLRMFVSTVKRLHVLKTSHASMLSRLPIP >A07g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13730251:13732288:-1 gene:A07g505930.1_BraROA transcript:A07g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSKPTKPTTDRNPKHSSPPPILHHRSSVFDIFVERYKGKDISVVAGVEGRGFIFGPPIAMAIGAKFVPMRKPKKLPGMMARTQKNKATAHHLDLLKCCMSPLLQNQLAELTNQKQQQQVATGGDKKRRKLVVVSQNCIEPLQALCHGSKEVMSMKGQSADKRRDIATLRKKRKEEKIVNIRDFRLPKWHAAFQPWQGLGNRLPRLSPM >A06p050220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26424989:26427225:1 gene:A06p050220.1_BraROA transcript:A06p050220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGIRRKRLFGRTILFTSVVFFIGFGLLLLTLRSVDDPNSSFIDDDDDDAVSDEDNARWINSSSVVEAKVDGGRLCATVEEMGSEFDGGFVDQSLRVRDVIRRHFHLNGAAAVRELAPEAFCRRGYVLGKTAEAGFGNEMYKILTSGALSIMLNRSLIIGQTRHILVLSQSFFSAFLRTVFASLSELGKYPFGDYIAYSNDTFTLTEVKHLWRQKGCVKKYGRRLVMRLDDFEKPAKSNVLCSNWKKWEEAIIWFQGTTDAVASQFFLKNVHPEMRAAAVELFGEQGNSAPGANVFGELMMSLISPTKDVKDAVDWVLRETGDPDISLHMRMLMSKSVRPLRAAVNCLGKAVNRLGVTKPRVVIVSDTPSVVKNLELNISSIAEVLHFDYKLFRGDIAQRGRGLPMLDFRIKDWGPAPRWVAFVDFFLACRAKRAVISGAHKRVGTTYAQLVAALAAANSLEDGSSNSSFAFLSSFQSNLLADGLKNQVGWGHVWNRYAGPLSCPKQPNQCAFTPLAPPGWWDGLWQSPIPRDARKLAAYGVELSGFGTVNEDRLHAFCNAKKAYLSTVTIV >A10p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:832713:833230:-1 gene:A10p001610.1_BraROA transcript:A10p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 60S ribosomal protein L22-1 [Source:Projected from Arabidopsis thaliana (AT1G02830) UniProtKB/Swiss-Prot;Acc:Q9SRX7] MARGAAVKSSAKKKGVSFVIDCSKPVDDKIMEIATLEKFLQERIKVGGKPGALGDAVSITTAKGKITVTADSTFSKRYLKYLTKKYLKKYNIRDWLRVIASNKDRNLYELRYFNIEDDAAGEEED >A01g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:163543:164670:1 gene:A01g500030.1_BraROA transcript:A01g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQGESASIQTNLRPALAFQTSSAVHAPSPPPRVGIITIGSRIIRQEGTCTLFSGISATVLRQTLYLTTRMGLYDILKTKWTDLETKTIPTAAPKPPVMNPADVSMVRMQADGGSRWSTGGTTRAFWPRSRRWFAAKASRRCGESTRASQLATYNSVKETILERGLMRDGLGTHVTSSFAVGFVASVTSNPVDIKTRVMNMKVEAGKTAPYKGAVDCMLKTVRAEGIMALYKGFLPTVSRQAPFTMIMPVVLIYFNQSSTMSSSAATVAQQSVSSSTFDDLSLGPTSQVVAGQILCFWD >A02g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16423420:16425031:-1 gene:A02g505500.1_BraROA transcript:A02g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNLIAEISVDMTKKDQWGYVEIIAKGSMGTTVSALGNYVEPVVAEFYAGLPNTKVEADAYEIAVQVRGHTYEFSPTMINEALHVQPLDEDEGPVVYTKDKRFGEIYEQYLAKAKGKAKKGGEGKLSSRSAHVSHPLPSFSSAQTPGNTTGPRRFSVHDLGSVSIPQGLLTQDDLQTVLQQTTRALQALTDIDTRSWSSELTSIQGRINEGVSLKEVLEKKQSNSEERKIGLFAEEEDMKSFH >A03p033220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14059094:14060319:-1 gene:A03p033220.1_BraROA transcript:A03p033220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT4G01630) UniProtKB/TrEMBL;Acc:A0A178UWZ2] MAKIASLLVAVIFYTMFFMKISSVSAGWLRAHATFYGGSDASGTMGGACGYGNLYTDGYKTNTAALSTALFNDGKSCGGCYQIVCDASKVPQWCLRGRSITITATNFCPPNFAQASDNGGWCNPPRPHFDMAQPAFLTIAKYKAGIVPILYKRVGCRRSGGMRFTMNGRNYFELVLISNVGGAGEISKVWIKGSRSKKWETMSRNWGANWQSNTYLNGQSLSFKVQLSNGRIKAALNVVPSNWRFGQSFKSNIQF >A01p042200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22736893:22742634:-1 gene:A01p042200.1_BraROA transcript:A01p042200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFMMPRNAVVRDTGEPQSPNPSLTKSKSQRKTRSAKENAPPPDPNSLLPDYKSSPAKLKSPLPPRPPSANPLKRKLIAEAASDNGVAGVSDSGVKVIVRVKPPSKGEEEEMIVKKISSDALSINDHTFTFDSIADPDSTQGEIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANGLLEEHLSGDQRGLTPRVFELLFARISEEQVKHAERQLSYQCRCSFLEIYNEQITDLLDPSQKNLMIREDVKSGVYVEYLTEENVKNLKDLSRLLIKGLANRRTGATSVNAESSRSHCVFTCVVESHCKSAADGLSSFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPSQSCRSETFSTLRFAQRAKAIQNKAIVNEVMQDDVNFLREVIRQLREELQRVKNNGNPANPNAAYATSWNARRSMSLLRSFGLSHPKSLANGDDDGDTEMEIDEEAVERLCAQIGLQSSPPAEENNPDTSRVEKIKPSLQTLALEDENYENSHLQSSDGQSTGKQFPEDTDVNMDDASCQTENHEAVTINNEPTVEEIGITAAVQTMDHGSSVLPHLITNSLGSPISDTDHDNSLGKAENLQSCQGLVPGALVSSIVSVADASDDTEHFSIIPVSPCLSLDPASASPVLITPTESVSPRIRNSRKSLRTSSMSTASQKDMERANQVTTEIMEPSPAVSTEMLKLPSAASTEKSGAFPVPTNQLAASLHRGMKILDSYRQSTAQRRSTFGFSYKALECKPSTVLSKADVGVQTYPEADIIAEENPKEVVCSKCKSIAECDVQETSDISNLQLVTVDNSEKSSFQVPKAVEKVLAGSIRREMALEEYCTKQASEISQLNRLVQQYKHERECNAIIGQTREDKIVRLESLMDGVLSKDDFLDEEFASLMHEHKLLKDMYENHPEVLQTRIELKRAQEELESFKNFYGEMGEREVLLEEIQDLKAHVHCYTDTSLTSSRKRGSLLKLTYTCDPNPAPPLNAIPESVEESPEKTLEQERLRWTEAESNWISLAEELRNELDTNRKLMEKQKRELDTEKRCTEELTEAMQMAMKGHARMIEQYADLEEKHIQLLARHRRIREGIDDVKKAAARAGVKGAESRFINALAAEISALKVQREKEAQYFRDENKSLQSQLRDTAEAVEAAGELLARLKEAEEGLKIAQKRATDAEYQASEAYKQIEKLKSKQETGFRTLNQQQGIAESHNHIESLHGDDMAKYDGPVEEPSASSGDEQWREEFEPFYKKDAELAKLAEPSWFSGYDRCNI >A10p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18736662:18738936:1 gene:A10p031310.1_BraROA transcript:A10p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSACAVVGSKNKRSIIQESVVFVLQLRVPVQTDLQRQLKGVAFKTTIDRLACLRNQIQLVAEDSGGSAISELRAALEEYLSLLTGLIKKKDDGMEGCVEFKWKLLGDGGRAELCFTNLWMEMLTVIHMMAALALAEASSLMIPKDRSGSGHGVRVVSSGCKRDAVDLLLKASGYLEFCTREILPRIPLDVKSKLPDDMQESVLQTLSIQALGQGTEIQLGLAVNSKKATLSVKRRLACEQVIYFSQAYQCLSGCDVVSHGCAKKLLLFIFWKFLEAKAAAYYYHGLVTEKGSEPACHASAVCCFLAASEILGESKKACLSFCLSPPVTRAPPMWGVMKHLSQKIPEIAFKKSQMYGYLLKEEEKVMQSLPELPDFQLSLIPEEFELPEMEAGSFARKPDPFA >A05g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19879742:19881321:1 gene:A05g507040.1_BraROA transcript:A05g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFEQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRTYTNKKTGQIDDGLVREVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRIESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNE >A08p039790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22818536:22818898:1 gene:A08p039790.1_BraROA transcript:A08p039790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNDHIPKRCSFLVVFQYNNWFTFSEFEEHHARSCRPIHARELTTVNAFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVGMLHPMLVRNSLVIV >A04g506780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15311939:15312910:1 gene:A04g506780.1_BraROA transcript:A04g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPTPRLRLQELHYLVQSPEIHKIRSLLRKDSLYISFRNKNDLSQCENPRWYTLRRAENNPSENQFVSVCLALPNHYHRMPSIVAQGPEIFFICKPLFLTSSFWVFDSRSDKLRPGPSMAVYRTYKSVGVVGRKVYVVGGVRSNDNVAEAFDLNTQTWEPAPIPKEIKTWHASATVSLDRKVCALRLVGAYAICYDTGDGSCEGFALPKDKWWKTGVCGMENVLYVYYARFGLMWYDTKLRVWRVVNGLDHVKKVRSVGMAEYYGKVALLWKEHGGCGKEIWCRMIAMGKCEEGVKGTAESAQLLGSVPDGYRMDNCLSVSD >A09p065780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52466042:52466864:1 gene:A09p065780.1_BraROA transcript:A09p065780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTKKVGIVGKYGTRYGASIRKQIKKMEVSQHSKYFCEFCGKYGVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQIEG >A07g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17355775:17357966:1 gene:A07g506850.1_BraROA transcript:A07g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVDMLLIDVNSTIMHATIYDNRLPRFLSMLATGKMFSISGFDGARCAQNYRLTDSPLLLRFSDLTDFDELTEPVSPLPQEGFRFCNHSELAGLAKTNTQLPDIIGEITAVKSTVNDTLGEKDRIIATIKLDNGANVILSIFDDQAVVCSSMQHQGHTSTLTRRPTQEKFTSTLKDTGVPSAAPLLKGYAKVETLTVSELNNFITSATSQEIDFICTGRFVRLDVDKGWCYVVCSKCSKKLQRTVSALQCTRCSNTNAVGVLRYRVELAIADDTAEGVFVCFDGVMTKLHNLRASEPAEEGVNPKDAVVPPFITDMEGKTFTFQVRVSAYNFTAHHQTFTITRILNEHERIPVPDFVVDVRMCLLCFDHLGGDDADDDDKPDGSPVPVQTETGESSIDAAKNGDDNTDGLAPENIVHPANKEAKKARVV >A04g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15234228:15235787:1 gene:A04g506750.1_BraROA transcript:A04g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELHLSTSPSVLFKFVSPRFGLVINFFQRSDFESPSRFNHFASSVVQLLFLANKCERNRFWQAGNANHSASTEEDYKPIKLFALLVNPTSSSYSIYVFQVGVDVNKIDKFIGDIKANTENHVFRGEQEAQVGKGSRGDVTILPTIVINNKQPRGERSKDVLLERSMVLKDLCSGFSETTEPHICLNKGPLYPSYTYLYLINTNFNADIETNECLQNNGGCWDQHYSLRGATFRGRVCQCPVVQGVKFWVKLLLLFNMIIEKAANVHLD >A09p012640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6438733:6440849:-1 gene:A09p012640.1_BraROA transcript:A09p012640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMESELHNGFATSDGNSAYDDNGWKEVVEYNGFQTSDANHHEYNEWKEVVYSSKRSQKQKPADQAANGDVSDKIVPDGDKQAEDQRRAVKLVAADVEEEQNGSRVARDENVKAEETKKPKLRKKKNNKKKNTKVSLSEAAAKIDPSHLSEFLVKQALEPGTSQLVEFLEYFETAFSQVSSVQFPWMEMFNNNCPLSTVIDVPLSYIPEPVYKASADWIDQIPDMKVSNFVKWASKNIRTDLAEEEEVIIDCEKDELHEKVALYVALAMVLRMKPHSLIGLLPAMWTDISAKYRKLDEVPLTVWMMAQASQGDLSVGLYSWAHNLLPLVADKKCNSQSRDYILQLVENILSNPEASTILMNGTLSDGKRRIPPHVFEGLLRLTFPASSARVEDTERFESIYPFLKEVALAGAPGSETMKQIFTSTLKLAGEGNAILADEATSIAIWLLAEDADCFNLWDSIYEENLEASVALLKKLVDEWKDHSLKLSSSPGDIITLKRTIKSFRKKNVKAIIEGRANASLYIEADKSLKVIRGRLSRGSGCLICTAITAVLLAAGGAAAAISTGSFL >A09p047860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41499470:41502142:1 gene:A09p047860.1_BraROA transcript:A09p047860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYSRVDGKKSSGYGLTITIVLIVSLSMVGAWMFMSSWSAPTESIDFSSSQTTKDVETTTKTDSTNEEASNEKTEETEVVKESNEEKPDPEKTEEAEEKKEFEDKNGEESSEENKSEDGNKTEENAEENTEKKTEETEENTEKSKDVFPSGDQAEITKESSTGSGAWSTQLDESQNEKKTQVSSIKWKVCNVTAGPDYIPCLDNWQAIRKLARKHYEHRERHCPEESPTCLVPLPEGYKRSIKWPKSREKIWYNNVPHMKLAEVKGHQNWVKMSGEYLTFPGGGTQFKNGALHYIDFLQESYPDIAWGNRTRVILDVGCGVASFGGYLFDRDVLALSFAPKDEHEAQVQFALERGIPAMSNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKTEEDVGIWKAMSKLTKAMCWELKTIKKDELNEVSAAVYQKPMTNECYNQRSQNEPPLCKDSDDQNAAWNVPLEACMHKVTEDSSKRGAVWPERWPQRVETVPQWLDSKEGVYGKPAQEDFTADHERWKSIVSKSYLDGMGIDWSNVRNVMDMRAVYGGFAAALKDLKLWVMNVVPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSSLKKRCNLVSVMAEVDRILRPQGTFIVRDDSETIGEIEKMVKSLKWDVRMTQSKDGEGVLAVQKSWWRPTEVETITSAIAKAGEV >A06g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14105929:14107253:-1 gene:A06g504520.1_BraROA transcript:A06g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELEKRVQRSAYESVNEEDVWQGIQLLGSDSVAAMELLRRNMIELASVVPIGVLMILHVTPVCHAPILATIQRYVPGLPLIQRNGAKCKDVESMRKTTFIYDSRLRVNTHMQRQQI >A05p047410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27928331:27929755:1 gene:A05p047410.1_BraROA transcript:A05p047410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase [Source:Projected from Arabidopsis thaliana (AT3G12040) UniProtKB/Swiss-Prot;Acc:Q39147] MKTPPRGSKRVEQESELPKVTTPVALRAAREKKHCSKARAVRVRPEYPLTRTTHEMKLMPPEFFQIDALDLAPRLLGKFLRRDNVVLRITEVEAYRPNDSACHGRFGNTPRTASIFGPGGHAYVYLCYGLHMMLNIVADKDGVGAAVLIRSCSPVTGLETIQERRGQTIDKPLLLNGPGKVGQALGLSTEWSHHPLYSPGGLEVLDGGEDVEKVLVGPRVGIDYAFPQHVNALWRFAIGDTPWISAPKNTLKPL >A03p019760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8096370:8098816:-1 gene:A03p019760.1_BraROA transcript:A03p019760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASSIDNEEKVLVCRQRKRLMKKLLVFRGDFADAQLAYLRALRNTGVTLRQFTESESLELENTSYGLSFPSPPPTLPPSPPHPPTLPPPPPPPPFSPDLRKPEADEEEEGAAEIDGNVDGSGATPPPPLPNSWNLWNPFESLELDSHPNGDNVGTQTDLKKKQKIQQAEEENWAETKSEFEEEDEQQEALGLSVQRIEAGGGSEVKKPRRLKFKLEEVMDDNSSMTSCYGKDLENADCRIRRTLEGIIKELDDYFLKASGCEKEIAVIVDINSRDSVDPFRYQETRRKRSSSAKVFSALSWSWSSKSLQMGKDATTSGTVEPCRPGAHCSTLEKLYTAEKKLYQLVKNKEMAKVEHERKSALLQKQDGEAYDLSKMEKTRLSLESLETEIQRLEDSITETCSCVLNLINDELYPQLVALTSGLAHMWKTMLKCHQVQVHISQQLNHLPDYPSIDLSSEYKRQAVNQLETEVTSWYNSFCKLVNSQREYVKTLYTWIQLSDRLSKEDNQRTSLPVAARKLCKEWQLVFEKLPDQVTSEAIKSFLMAIKSVINQQTEEYNLRRKWNKLEKRLEKELISLAELERRLEGMSAMEEDANSTSLGSKHPLLIKQAKIEALRKRVDVEKTKYLNSVEVSKRMTLDNLKSSLPNVFQVLTALATVFAKGFESIHGLTATDVSNTSQDSDETKP >A08p041660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23512620:23515242:1 gene:A08p041660.1_BraROA transcript:A08p041660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDEDKKIEKSSEEPMGHGTLSRNNSHSSLSPTEDDEDEDKNLELGPMIALREQLEKDKDDESLRRWKEQLLGIVDLEEVGETTDPVVKIMNLTIRSPDRDDMVLTIPENGKPTSKGPWFTLKEGSKYTLVFTFRVNNNIVSGLRYSNTVWKTGIKVYSRKQMLGTFSPQAEPYNHVMFEESTPSGMLVRGSYSVKSKFVDDDNKCYLENNYTFDIRKNWLTKPNFNMKKTYKFQTFFSSLIFPIFLLTLLLSISRTNAVSSGGGCRHPPSQNSCKTCMAEQTKYDCPKCVPVLRCMARCLWSGVSQRKCTTICGVDTVARPSLLDCKRCVSRCKCSCAA >A08p008670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4750808:4751986:-1 gene:A08p008670.1_BraROA transcript:A08p008670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRLQQREIPNDVVMEEILARLPAKSLMRFKCVSKVWSSLISSRYFSNRFLTVPTRPRPRIYMCLQDNNDYSNSVTLSLALDTTNPNRFVVDHNLTSPRVGGYVLQNLCGFMCYSFISKPRIYNPATRQLVTLPAPIKSQTEEDAYGYYFGYDPVIDQYNVLWSTGVYVKHLGEIRSEHRVFVLKAGREGSWKKASPTAPDFLPNIPAKRGMCIDGVIYYMGWTGRYNLVLVSFHIRSRDFKMIQVPRRDGDEVLLRMKNVSLIEYGGKVTIIDQTNLREKGMLDLWAVEDAGNKNSWSRKTMVVQSSQLHLVINNITIYNMKGTTHDNKVFFIPEDMFSPFHILSYDLGSNDMIKIEIKGIPDHWFSIDKSTVNVMLMDQSESLVYLET >A02p045760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28655301:28655927:-1 gene:A02p045760.1_BraROA transcript:A02p045760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYIRVINITVFGVISWGLIFILLRRIFSNYSFDFSTRIVSALHATVVVVLATLTIQDWSCPVCPTASTSTIQQMETMAFSLSYMIYDLICSHFDQVISIDNAVHHSICILGFVAGLCSRECGSEIVAALWIGEISTPFLNLRVILKEIGYRDTDLNLAADVSIIIHNNILTKIELILLLT >A01p043720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24736969:24738047:1 gene:A01p043720.1_BraROA transcript:A01p043720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLRVKLDLRINHVELGLSLVISDSDFKVMLLMVMNEPTRFSKWEPSTPEIYAFLVGKQLNVTQESLQKLKEFDIRMNHISIAAAVIYITTQLSDEKKPLRDLQNEL >A09p017810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9227662:9228408:-1 gene:A09p017810.1_BraROA transcript:A09p017810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKDPYTEPKPISQASSSSVTIVPAHTCGYSLSESSTCEHSCPLATVSFAAASSTTSSNDNVTLMATTEAGPTPRRKKHPIYRGIRCRSGKWVSEIREPKKTTRVWLGTYTTPEMAAAAYDVAALALKGREAVLNFPESVGSYPLPVSSSAAHIRMAAATAAATVGAEAAAAAANAAVKEGEKEVEEVAEVVGSSAMEFVDEEELLNMHGLLEEMAKGMMVAPPWMGSPPSDDSPENSQEESLWSY >A10p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16776522:16782799:-1 gene:A10p026430.1_BraROA transcript:A10p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFSSSQAPILILPVLIILLCSTQTQCHTKGLRLRPRNQKIVNSTSQTQNPEEEFLKWVRYVGSLKHTVFKAAKNKLFASYTLTVHKKHNKGDFTKIQDAIDSLPLINLVRVVIKVHAGVYKEKVNIPPMKAFITIEGEGADKTIVQWGDTAQTHDPKGNPMGTFNSASFAVNSPFFVAKNITFKNTTPVPLPGAVGKQAVALRVSADNAAFFGCKMLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNALSLYEGCHVHAIADKLGAVTAQGRSSVLEDTGFSFVKCKVTGTGVLYLGRAWGPFSRVVFAYTYMDNIILPKGWYNWGDPSREMTVFYGQYKCTGAGANYAGRVAWARELTDEEAKPFISLTFIDALVFLIAAFSFPETSLLPHTLPLLSLLRQRFHRLKPPHPHAPPPRRRLISQRRSCITRPLLLHLLLPQIPPRSLQKSSKSIIAFSLEQEHLGDNPYADEVTPTFHAYAKSGDVSGPAAYANYGRVEDFLGLNVSGAVVVARYGEIYRGDIVRNAYEAGAVGVVIYTDERDYGGGDECFPESKWMPPSGVQVGTVYKGLGDPTTPGWASVDGCERVSEEGVELRGDSPGIPSLPISAADAEVILKSVVGGVGPGPGILNLSYVGKTVIAEIENVIGVIEGEEEPDRYVILGNHRDAWTFGAVDPNSGTAVLLEIAQRLDKLQKRGWKPRRTIILCNWDAEEYALIGSTEWVEDNREMLASRAVAYLNADCAVSGPGFRASATPQLDDLIKQVAKEVRDLDNTTQSIYESWIRSNNSGVIGRLGSGASDYASFVQHVGVPAVDMLFGGGYPVYHSMYDDFTWMEKFGDPMFQRHVAIASVLGLVALRLAGDEFLPFNYSSYASELKKSAEDLEKEKLGHSIDISPLIKSIQDLSTAAQEINIEKERVKTKASWEHVQHEIWRVSRAIRHASLVLKGELR >A03g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29431159:29431738:1 gene:A03g508740.1_BraROA transcript:A03g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEVINGLGCKMSFSSYKVNSESILKPNRYEISYVLDTEDFFHRKKKQKKKSKNLEHDKSSSQQRNLYRIERFHNLYK >A09p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:480599:481738:1 gene:A09p000740.1_BraROA transcript:A09p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor 22 [Source:Projected from Arabidopsis thaliana (AT4G01250) UniProtKB/Swiss-Prot;Acc:O04609] MADDWDLHAVVRGCSAFSSSATTTATVFSSNVSSHTSPVFTFEPRSNTVVFGETRDLYTPFTQESNASSFSCLNYPEEPRQRQNQKRPLSLSASSSSVTSKPTGASNTSRSKRRKIQHKKVCHVAAEALNNDVWAWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPTMFIVTYTAEHNHPAPTHRNSLAGSTRQKTSSDQPTTKSPTTTIAPYSTSPVTSSADDFVLPVEDIEVGDEDLLSLSDTVVSEDFFEGLEEFAVGDSFSGNSAPASFDLSWVVNSAATASGGI >A03p063740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27713472:27715861:1 gene:A03p063740.1_BraROA transcript:A03p063740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTILADPLLGINQETVAESKKHRNNLRLALPLLLLTLVSISGYCFSDNITVWLAREATNRHSHATRSDAVESENGVVAADDGRCSDIGVSVLRRGGHAVDAAVATSLCVGVVNPMSSGIGGGAFLIVSSMEDSKAEAFDMRETAPLAASKDMYKSDEDAKFIGALSMGVPGEIAGLYEAWKRYGRLPWKPLFEPAIELARGGFVVAPYLGRAISKHSSMILKDPGLRNVFSRNGQVLNPGETCYNPELARSLEMISELGPVAFYNGTVGENFVNDVKKAGGIITMDDLRSYKVRVTNAMASDVMGYKIYGMPPPSSGTVGFSMVMNILDSFSELYTGSGTDLGLHRLIEATKHMFAARMDLGDPEFVNITNAMKQMLSKPRAESIRERILDNTTFPPEYYLNRWSQLRDQGTSHFSIVDSDRNAVSMTTTVNFVFGAGVLSPSTGIVLNNEMGDFSVPTENTPDDLPPAPTNFIEPNKRPLSSMTPLVITKDGELVATLGGSGGMKIIPAVIQVFLNCFVLKMKPLEAVESARVYHKLIPNVVQYENFTAINGDHIGVTKNSKMFLAERGHELEEVSGGAIVQLIVQSFEEGEDKENIIELGRKLGKDSTKRLKPFKGLLTAVSDPRKDGKPAAV >A09p073850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56158139:56159978:1 gene:A09p073850.1_BraROA transcript:A09p073850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAYAILAIPCYIASLGFSTSSSTFDHNRTKPMVAARHDRPVNVPLVSPSHSFASEDEDPMSKVVSLSSTSKREVKNLKLKLISEVDKVRIVITRFDPQGGNKKIETVKKSGHGGTVHIFRNCNNLLRKLMTHKYGWVFNVPVDAEGLCLRDYHTIVKEPMDLGTVKSKLGEGLYNSPLDFAEDVRLTFNNAILYNPMGNDVHSMAKLLLSMFEEKWVSIEVQLDSLPIVDPLPAPTVLKDRTLERVESMTTPVETPVDNRDLTLDEKRRLIEELQDLPCDKLETVVQIIKKSNPELSQQDDDEIELDIDSLDIQTLWELYRFVTGYKESLNNRKEDQKEMLNLLTILSKNRPTTLALSIYSHTKKWDNDYSSSFTLSGSQLTSLFFFDLQILTVIAPRDIKALPPPESYTPPPEPELAKPQYTDDLVNLKENDVTGDDQGNKFALALFAGPPGSQWEAFPSDGVTSAWQNPAAEPGKADWELALVETASNLEKQT >A09p013320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6834140:6835351:-1 gene:A09p013320.1_BraROA transcript:A09p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISPSSSPQVIPLKPNTSFDLASKVSPDILLIIIILSIIFFISGLIHILVKFLLMPSTQNREDYFDNSSATALQGQLHQLFHLHDYGVDQSLIDTLPVFHYKSITGLKISPFDCPVCLCEFEAEDKLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRSNLLLSDFSPRHSPYLLVLESASGRDMVPVPEANDLGSTHFGSGRTSCDPDGVDGNVVPLEVKLGKFRNMDHVGEGSNNKNNISGNSNLDVRRCFSMGSFEYIMDQEAILKVHVTTKKQSDKDIRLSGRRAVMSEYGFDPRAKGVGKSVVERESFSLSKIWLRGKKEKQKVTSVRDQECSSLSSYSIQFPNQSNPPEMKSGIDEENQKSENSESLETRTPSFARRTMLWLAGRQNKVVHPSTSHV >A06p058060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30165989:30168335:-1 gene:A06p058060.1_BraROA transcript:A06p058060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NLP3 [Source:Projected from Arabidopsis thaliana (AT4G38340) UniProtKB/Swiss-Prot;Acc:Q9SVF1] MGGEKELLIQLWVPVERGMRRVLSTEEQPYSLNLFSQSQSLALYRDISAGYSFAAEVGSDQLVGLPGRVFLNRMPEWTPDVRFFRSEEYLRIGYAHRCQVRASLALPLFQGPSGTCMGVLEMITTHPSLEYGSQLHTIHHALQAFDLRSSETSIVPTSLKVCLLLSLRFLIFALSLIYSIDGALSTSSTAPHREVASILKGICISYGLPLAITWGHQQDSCLSAIVSASYASDQNSRSFLDACSEHHLVAKEGIAGRAFATKKQYRKRCGNPQLPSLPLRQDVRPPRCSCYSSPDQIQPQRPICSRRPILLASQLSLRFQSSPHLMVDDDQLKLAECMSRKGKGKDVSVSSFSYSSSYLESRKRKRRDEKDITLDILRQHFAGSLKDAAKNIGVCPTTLKRICRQHGISRWPSRKIKKVGHSLRKLQVVMDSVEGVQGSHHLASFYSSFPQLQSPLQLDPSKTVAKSPPPSSSSSGSSTCCSSEEKEKQLGGGFHKPSSQVLLTLSSSLMKDEQRPVRATSSLPPLPSARKAKDAGMKVHAMFGDSKMIMRLKHHWGIADLRREIAKGFGMDDDALTSNFTLKYMDDDEEWVLLTCDADLEECIQIYKSSLYKETVRISVSSLL >A05p050810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29568039:29569822:1 gene:A05p050810.1_BraROA transcript:A05p050810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPVETCHVQSHRWRCSNTADFSVYLFSIRRAHAGRPVYNQPYFQYQSKQHAFVCLAKDLNAIFVVFRGTQQQTLFCQQLDLKYPDMPDDIKLCAMASIVLIKIQLYILKLRAEHQHPDHV >A05p044550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26778075:26780165:-1 gene:A05p044550.1_BraROA transcript:A05p044550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnose biosynthesis 3 [Source:Projected from Arabidopsis thaliana (AT3G14790) UniProtKB/TrEMBL;Acc:A0A1I9LN83] MATYKPKNILITGAAGFIASHVANRLVRTYPDYKIVVLDKLDYCSNLKNLNPSKSSPNFKFVKGDIASADLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDASVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMSRKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVNHVYNIGTTKERRVIDVANDISKLFGINPDSTIQFVENRPFNDQRYFLDDQKLKKLGWAERTTWEEGLKKTMEWYTANPEWWGDVSGALLPHPRMLMMPGDRLCDSGDEHKDADGNQTFTVVTPPKTGGSGDNKTSLKFLIYGKTGWLGGLLGKLCEKQGIAYEYGKGRLEDRASLVADIRRVKPTHVFNAAGLTGRPNVDWCESHKTETIRVNVAGTLTLADVCRENGLLMMNFATGCIFEYDAAHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSYIEPGFKWSNFTLEEQAKVIVAPRSNNEMDGAKLSKEFPELLSIKDSLIKYVFEPNKRT >A03p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8078566:8081343:1 gene:A03p019720.1_BraROA transcript:A03p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKRFVIPSLGGRSCLKNNKKMDHPTPRKNLPKSSPIQFRRRVTLAWPMGFERSPETRALAESLSRDIIRGNPNVKWESIKGLENAKRLLKEAVVMPIKYPSYFNGLLSPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIRVLFDLAKHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLQKTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPDPEARRGMFEMLLPSQPGDEPLPHDVLVEKSEGYSGSDIRILCKEAAMQPLRRTLAVLEDTEETVPENELPKVGAILPEDIDRALSNTRPSAHLHAHLYDKFNDDYVCLYIITFALFRLNWGLSLTPSPFLELTTRGVSHSSSSAVPSPLLEAKILAVCFSNILFSASVIVNLFLSESFATSRWKSE >A01p014750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7126080:7130342:-1 gene:A01p014750.1_BraROA transcript:A01p014750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKELHPLCCITLESPHALDDNKPPPEPVTNLTRSRSLPATSLTGGSNRRSLASAGSVAGILYKWTNFSKGWRSRYFLLRDGILSYSKIRRPENLNLLSSSDDVRLIGNISADRLSRMDSCSGRRKQEKSVGIVHLKVSSFRESKSDDRKFYIFTATKTLHLRTDSISDRAAWLQALASAKCIFPLRSLNGDFSFTSPKDLSISTERLKKRLQEAGMNENLVKDCEQIMLSEFSEMHGQIKLLHEERTNLFDALRQLEEANLEAGASGIHSSLGRGKYSGTCSNALNAFSFLCVCWLDVIWFLECSTTASSDDKQEFEDVSEEDEPSFHDTKEYFNEPNVGSGSNLPNNSGYADIKRRTKLPDPAEKEKAVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKSGNGLLRALNVAAFAVSGYASTEGRHCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTVIANHCEGKGWKFWGDTNLRSKFWGRSIQVEPVGVLTLEFDDGEVFQWSKVTSTIYNIILGKLYCDHHGVMQIRGNRQHSCTLKFKEQSILERNPHQVNGFVEDVAGQKAATVFGKWDDSLYYVAGDGVSKTKVSDPASNASLLWRRTKPPLNVTRYNLTSFAITLNELTPGLKEMLPPTDSRLRPDQRHLENGEYEKANLEKQRLERRQRMSRQLQESGWRPRWFEKQGENETFKYTGGYWEARGHRKWDDCPNIFGEFTEEQLADSA >A08p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20125694:20126908:1 gene:A08p033540.1_BraROA transcript:A08p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEIYGKWDVSRSNLMRCLHLKFQWNMIICIATKNGFCSILNLAAFKLLWPSPYEVYWLKDL >A10p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9233881:9235556:1 gene:A10p005280.1_BraROA transcript:A10p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g06140, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G06140) UniProtKB/Swiss-Prot;Acc:Q9LND4] MLPVNRTNTLLTILTQIKALHLTRKVHTKIIVHGLKEEVVLGSSLTNSYIQLNRLHFTTASFIRSNPTPEEETNHGESLRLYNRMRRDCDGGVVDSFNLVFASKACVGLAFLENGALIHGLAVKCGLDKDDYVAPSLVEMYGEFGGMEDAQKVFDEMPLRSLVLCGVLMKRYLRYSRDSEVFRLFYLMREAGLGVDNALTLICLVNASGNVFAGKEGKSVHSLSIRRGFIDQSGYLQVFVVDIYVKCKLLDNAREMFETSVDKNVVMWTTLVSGFAKCERVVEAFDLFREMLGESVLPNQCTLAALLVACSSLGSQRHGRSVRGYMISNEIKMDAVNFTSFIDMYARCGNIQMARKDFDMIPERNVISTERNAFGTNGIHSGNIKEGQKQFASMTSDYGIAPEKEHYACMVDLLGQGGEVEEAKFFIDSMLVKPMARAWGALLNACRIHKNVDLGEEIAEKLLSMGSIESSVYVLLSNIYADAGMWEMVNSVRRKMCIKGYTKPMGPYAIEVC >A08p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18895750:18896751:1 gene:A08p030870.1_BraROA transcript:A08p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDSCNTGLVLGLGLSPTHNSYNYAIKKSSATVDHHVNPSLTLSLSGESYKVEKTVSGAGDQIYRQTSSHSGISSFSSGRIKREREVCGDGEEEAEETEKRVVCSSVRDDHEDEEGFSARKKLRLTKQQSVLLEENFKMHSTLNPKQKQALARQLSLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKMSQPFYMHMPPATLMVCPSCERLGGGVAGGGRGSTVAVDGGTAKGAFSIPFINPSAAC >A09g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4446828:4453995:-1 gene:A09g501350.1_BraROA transcript:A09g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQETYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGDRIGGDGGRGSLGNTYVVTGFDFPGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A09p016340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8590315:8595668:-1 gene:A09p016340.1_BraROA transcript:A09p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETVKHLVGSGGPSGFGSRSTAEHVTANCDLRSLTAIITGATSGIGAETARVLAKRGARLVIPARSLKTAEETKSRILSEFPDAEIIVMHLDLSSLASVRRFVADFESLHLPLNILINNAGKYAQKHAISEDGVEMTFATNYLGHFLLTKLLLKNMIETAEQTGVQGRIVNVTSVIHSWFSGDMLQYVADISRNNRNYDATRAYALSKLANVLHTIELSRILHKMDANVTANCVHPGIVRTRLTRDRDGLITDLVFFLTSKLLKSVPQAAATTCYVATSPRLRNVCGKYFSDCNEAWTSKSGSCNLKAQRLWTASELLVAPASTPNVYQTFNYLLANDAIEMANAQKAFTIESLRTLAKQSFRCLVVPVRLRRAIKKYLREEDDPHIRKKVRQLSESFQEIKDSNLLLPETTAKRLADSMNSVEAKRWKIQTVYGDSGLEYRDGETAAYVASRMPAAYSVCYRVLTEIRRRLPGFKPTRVLDFVQEVWPKCAQKVNIVEPSQSMQRAGRDLLQGLKNLPLIHGYTSLLSLSQELNKKCRILNDKSERKHELVIASYVLGEIPSLKDRITMVRQLWDLTDDLLVLVEPGTPHGANIISQMRSYILWMENRKLRKKEKAEAGKEVLDLKSGAHIVAPCPHDGKCPLENTAKYCHFVQRLQRTSSQRSYKRTKGVPLRGFEDEKFCFVVFRRGQRPREPWPLDNIKLETLKEMRANRKPEDLEIDYEEFIKTQVVEVPYIDPRAQDSDITDEDEDELEEIEEGEGTDEEDEVEVEEEEGSGRASVGGGWGRIIFPPFRKGKQVTLDMCVPTNEEGSEGAFERRVITKSKNPHLHLQAKKSFWGDLWPLTTQQQEITKENKKVDAEWCRPNEDQKWGAWP >A05p048700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28457038:28458654:-1 gene:A05p048700.1_BraROA transcript:A05p048700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPRPGGGRHPPPLAPTVSNFKPRAQWSTLESSMFLNVNLPGFYMDQIKITKDERARTINIEGQRPLSTQTRARFSEVYRVPESCDMTKLNTSFSHGLLTIEFPVIAESEKAGKVAHDKGKTVQRPNHEGNRGTGPDGSSVRRSRLSDKENQVGTSQDKAGPREKKEEPKTYKSVVEGKREVPAANRVKTEQKVKEGEASPSLGRKEHAKQEKVVEKKEIPQMSRQKTVQKVKDEEARGRPTVGGSVKAKVPAQEEKVMERKTEQKVKPEGITKLPAIGGSSEHKVHAKADKVVERKGNGKIGQKLKEERKTSLGQKKEEKHTKPSVGDEARRSEKEISALNQAKPELKAKERVEIASLNVNGNVITKNDEKMVGDKVSKGEIQERVREKKVEEAGLVKETRDPKDNPQVVEPNKVDSGGPVKKESTIGGEDKEKMVEKSSGSDTVPLLVQGQKETKMDPPAAEGSGLEKEEKHKYDTSLVNVGVASLVIMVFGACLFVPLVKMFF >A09g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14242741:14244088:1 gene:A09g504700.1_BraROA transcript:A09g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSDPIQDGSDEQQKRSEIYTYEAPWQIYAMNWSVRCDKNFGHQDDILAIDALRKERALTLGRDRTMQLHKMSETSRTIYRAPASSLESCCFISETEYLSGSDRNALLKKKPVFLLKNAHSVVAGVITTNENGDHDCVEYSNSSTTSSWKTGFVNSMAFAKSGKFLIAGVGQNCYGFCDINMLQETRFGIWG >A07p030410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:16945686:16946903:1 gene:A07p030410.1_BraROA transcript:A07p030410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAPSPDQLLPPPQASRVSPKTVHEAVTSLLKWKTEKSKLAKPQLLEQDEFVYLIVTLKKIPQTNRTKPHRILLPHPLINTEEDSPELCLIIDDRPKSGLTKEDAAKKIKSDEIPITKILKLSKLKSDYKAFEAKRKLCDSYEMFFADRRVIPLLPRLIGKKFFTSKKIPAAVDLKHRNWKEQIEKACGSAMFFVRTGTCSVVKVGKLSMEGDEIVENVMATLNGVVEVLPGNWKYVRSLHLKLSESLALPVYQSVPDLKLKIDAFGSEKSVVVEEEKKKKKGESVVVDGGEKSDGVKGKKKKGRIHEVRYMDSNVSEVLDEDEIGDVEVSIESGGDKEKKMKKRKKEVSEVAEAEKPKKKVVKGKLKEKSKDEIKPKKKTKITKEESGGGLKPKSKKNVLRK >A03p009930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3956501:3957896:-1 gene:A03p009930.1_BraROA transcript:A03p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSNFVVESYDVDSEEGVKLHTRIFKPRDEQVSDDGDLAIVLVHPFSLLGGCQALLKGIASELACKGFKAVTLDTRGAGKSTGRATLTGFAEVKDVIAVCRWVSQNLGAHRILLVGSSAGAPIAGSAVEQVEQVVGYVSLGYPFGLMASVLFGRHHKAILSSLKPKLFVMGTQDGFTSVSQLKKKLKSAAGRTETHLLEGVSHFQMEGPEYDSQMADVICNFISSL >A01p052730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29563154:29563579:1 gene:A01p052730.1_BraROA transcript:A01p052730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKMRMMMLLRRCKSVSTQLSRSYSYTSLRSQSARRDPQDHLHDIDQSSPTPSLYQTVLVGRTKKPYLISKQHLKHPLLNALVEKQQRYEDDDGDEDGSCIITVKCEVVLFDHLLWMLENGDQGQILESLDDFAHLYLSP >A08g510520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23999683:24000830:-1 gene:A08g510520.1_BraROA transcript:A08g510520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVTGTCHIIIGKGNGGDASSFHLRSFLCFGFSVSTTRKAIHVALGGDTATSQTVGLIDAGKPRSDHWCLSDTQINAADRSMSGSRRTIQVQEEDKRRLLVRLMKRNGLQSVYAVIKLCWTTY >A03p067620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29733536:29734862:1 gene:A03p067620.1_BraROA transcript:A03p067620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFHVSPRYFCALEHSSEPYGENIAWSSGDMSGVEAVNMWVSEQADYDYGSNTCASGKQCGHYTQIVWKNSARLGCAKVSCDNGQTFITCNYDPQDLRTQDRPQDFLAAHNQARAAVGVDPLRCD >A03p046980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22632134:22639095:1 gene:A03p046980.1_BraROA transcript:A03p046980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGLLKELHVLNFSSNAFSGHIPSSLADLTALESLDVSQNKLSGGIPQELGSLSYLSRMNFSHNHLAGLVPGGTQFRTQDCSSFENNSGLFGPSLDEACRVTNMSTPQRHETLEPEEDHEEVLSWVAAAVGFVPGIFFGFTVGCILVSYKPEWFINIFGPSKRRRTSTRTDMMILLSHCYCVSGFFVIYVSLLLHPLASPTLHFCRHDQRDALLDFIDEFPFDESTTNTWVTSWNKSSDCCHWKGITCDGNYGQVISLELNETFLNSSLKSSSSLFRLQYLRRLNLSSCNLQGEISSSLGNLSRLTSVDLSYNKLVGAIPVSLAKLTKLSYLSLNSNNFTSTLPSDMSGFHNLEHFDVSKNSFVGSFPRSLFSIPSLQYVSLGDNQFTGPVEFVNTFSSSSKLHFLGLSRNRFDGPIPESISKFLNLRQLYMSGNNITGSIPKSISKLVNLTDLHLSNNKLLGQVPSFLWRMATMTLSHNHFSSFENSSQETQIQILDLSYNSFQGPFPHWICKVKGLRYLDLSNNLFNGSVPPCLSNSIVSLMEMNLRNNSFGGDLPDIFVDATKLRSLDVSHNQLEGKFPNSLINCKALQLLNVESNRIKDEFPYWLGSLPSLNVLILRSNEFYGPLYHRHVSNGFQSLRVMDVSHNDFTGTLPPHYFASWHVMTILTEGNDYMVNFIKYYSSYLLIYRSMEMVNKGVETRFERIQKDFRAIDFSGNKIRGKIPESLCFLKGLRLLNLSGNAFTSDIPRSLANLTNLEALDLSRNKLSGQIPQDFGKLSFLSYMNFSHNLLHGPVPRGTQIQRQECSSFLDNPGLYGLEEICQHTYTLNPTIQQVEEHSETEEQMFSWLAAGIAYGPGVLCGLVIGHIFHSHNHAWFTKIFGRRKLKSLYKGSLSLPNNISKVFSCVFPKL >A07p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21480454:21482469:-1 gene:A07p040540.1_BraROA transcript:A07p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 4C [Source:Projected from Arabidopsis thaliana (AT1G66260) UniProtKB/Swiss-Prot;Acc:Q94EH8] MADSLSMSLDEMVKRSKAAKKSAGKGVSRGGAKGAGRGAGVPVRRGPLAVKARPSSFSNKASSRIWDLLSLNRRKKNLPWQNGLFEESMRSVGVTGIEVGTTVYVTNLDQGVTNEDIRELFGEIGEMKRYAIHYDQNGRPNGSAEVVYMRRSDAFQAMKRYNNVLLDGRSMKLEILGGKNEVAPVAARVNVTGLNGRMKRTVSIGQGIRGGRGRGSAAPSMRRLPIGNQQGGGVRSGRGGFRGRGRGQAGGGRGNKGGRGGKKAVEKSAEELDKDLETYHAEAMNIS >A07p021900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12881785:12883976:-1 gene:A07p021900.1_BraROA transcript:A07p021900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKGWRLCGTSYMQSLPGARHHRAPTTTRKPVWIIMAVVSLITMFVIGGYVFPHHRRAACYLFSSKGCKGLTDWLPPSPRELSDDEVAARVVIREILSSPRVIKKTSKIAFMFLTPGTLPFEKLWDLFFQGHEGKFSVYIHASKDTPVHTSRYFLNREIRSDEVVWGRISMIDAERRLLTSALRDPENQQFVLLSDSCVPLRSFDYMYNYMMYSNVSNVDCFDDPGPHGIGRHMDHMLPEIPKEDFRKGSQWFSMTRQHAVMTMADSLYYSKFRDYCGPGVESNKNCIADEHYLPTFFYMLDPGGIANWTLTYVDWSEGKWHPRTFMPEDVSHELLKNLTSIDAVSRVTSEGTGIVTWTQCMWNGIKRPCYLFGRKFHADALDKLMDLFPMYTSGFD >A05p010350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4356504:4356890:1 gene:A05p010350.1_BraROA transcript:A05p010350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGEFVVSTISINPNFVFNLLQKHIFKAHPRSRRRNLINLRKTAMPPKLDPSQILEVYIRVTGAASSLAPKKIGEYIAQETAKKFEKLDPSEIVDVYLRVTGGEVGAASSLPRRSRKKPPRNSRRWS >A08p044110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24447758:24449303:-1 gene:A08p044110.1_BraROA transcript:A08p044110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLAKMTKNYPTVSEDYKKAIEKCRRKLRGLIAEKHCAPIMVRLAWHSAGTFDCVTKTGGPFGTMRFTDEQAHEANSGIQIALGLLDPVRVQFPTISFADFHQLAGVVAVEVTGGPEIQFHPGREDKPQPPPEGRLPDATKGCNHLRDVFAKQMGLTDNDIVALSGAHTLGKCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLIQLVSDKALLDDPTFRSLVEKYAADEDAFFSDYALAHLKLSELGFADA >A02p034110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18494891:18499797:-1 gene:A02p034110.1_BraROA transcript:A02p034110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKPFVHPAPQYKTIETFWDEEEDAPGPRCAHTLTAVAATKTHGPRLILFGGATAIEGGNSSSVPGIRLAGVTNSVHSYDVLTRKWTRLKPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKYKWHRVVVQGEGPGPRYGHVMDLVSQRYLVTVTGNDGKRALSDAWALDTAQKPYAWQRLNPDGDRPSARMYASGSARSDGMFLLCGGRDTLGVPQGDAYGLLMHRNGQWEWTLAPGVAPSPRYQHAAVFVGARLHVSGGVLRGGRVIDAEACVAVLDTAAGVWLDKNGQVTSARGSKVQMDQDPSFELMRRCRHGAASVGIRIYVHGGLRGDVLLDDFLVAENSTFQSDISSPLSASSDRTQQSSTPRFSYAARPPSGSEPASEGMSLDENSLEKLTEASAAEAQVASSVWRAAQLSSASLDEEPSASDGSSPTVETITDYPETEGDVRLHPRAVVVAKETVGSLGGMVRQLSLDQFQNESRRMVPMNNSDVISALLRPRNWKPPGNRKFFLDSYEVGELCYAAEQIFMHEQTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDVTLCRPGATQPGDYNFAACIEGKTIEYPENVHLIRGNHEAADINALFGFRLECIERMGENDGIWAWTRFNQLFNYLPLAALIENKIICMHGGIGRSISSVEQIEKIERPITMDAGSLILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTEFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPILSPENSPEHSGDDAWMQELNIQRPPTPTRGRPQPDFDRSSLAYI >A10p004780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9012922:9013596:-1 gene:A10p004780.1_BraROA transcript:A10p004780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTSASGEKFSTQRSRLGMLCYSLPTMCESIAIEDEKVVSMNGALTCISYIPSESKWKIGNQETSKLNRCWHLIENVVYCCELGGWILWREAHEWEEWREVMGLESLRETLAASKLVNYAGRLGDLWESNKPLMLAAGFEITELDEKFPGHKLSNSGPNMLIFWDVLAPRKLEIWCEEVSLERHKETCQIRGNILWSQAIMTLDPPPPHQLHYHILCTSPLNL >A06p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5113547:5117618:-1 gene:A06p002530.1_BraROA transcript:A06p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSCKDGKMGCLDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVHENSYFRQHTPNPTLPAKDTSCESVVTSGQHQLASQNPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIISISHGCAGVAARACGLVGLEPTRVAEIVKDRPSWFRECRAVDVMNVLPTANGGTIELLYMQLYAPTTLAPPRDFWLLRYTSVLEDGSLVVCERSLKSTQSGPSMPLVQHFVRAEMLPSGYLIRPCDGGGSIIHIVDHMDLEACSVPEVLRPLYESPKVLAQKTTMAALRQLKQIAQEVSQTNSSVNGWGRRPAALRALSQRLSRGFNEAVNGFTDEGWSVIGDSMDDVTITVNSSPDKLMGLNLTFSNGFAPVSSVVLCAKASMLLQNVPPGILLRFLREHRSEWADNNIDAYLAAAVKVGPCSARVGGFGGQVILPLAHTIEHEESMEVIKLEGLGHSPEDAIVPRDIFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSTKEVSSPNRTLDLASALEIGPAGTTKASTDQSGNSGTCARSVMTIAFEFGIESHMQEHVASMARQYVRGIISSVQRVALALSPSHISSQVGLRTPLGTPEAQTLARWICQSYRCYMGVELLKSTSEGNESILKNLWHHTDAIICCSMKAMPVFTFANQAGLDMLETTLVSLQDISLEKIFDDNGRKTLCSEFPQIMQQGFASLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFVFINWSFV >A03g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29478428:29479985:-1 gene:A03g508770.1_BraROA transcript:A03g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILQNEIPTDFYIIVSGGVEIIRSKGASEQVLAKLGPGDMVGEIGVVFNIPQPFTVRTRRLSQVIRISHHQFKEMVQSDVDDAKMIITNFMAEMVHTEESQQSYNEEMVTFSRDENENKEEPKREGVPKRVIIHGHPPNQDNNKNGDSNGRLIILPDSLPLLFDLAEKKLGKRGSTIVMVDGAHVEQLDVLRENDHLYIF >A10p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16426494:16432210:1 gene:A10p025670.1_BraROA transcript:A10p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVSQKGSGITSSPPMENGGSTSSSLQASMQEFKLFETQSNFYMIGWNGNGVYRVLKIDRLDASELNVSEDSTAYTKKECYELLKRIHEGNKATGGLKLVTLCYGIIGFIKFLGPYYMLVITERREIGEICGHRVYEVSKSDIISLRNSSVLSNFANSRDENRYKRLLCMVDLTKDFFFSYSFNIMRSFQKNICDRESGGTLYKKMFVWNEFLTRGIRHHLRNTVWTVALVYGFFKQTSLSEAGRNFKLTLIARRSRHNAGTRYLKRGINESGNVANDVETEQIVSEDVPEDHPMQISSVVQNRGSIPLFWSQETSRMNIKPDIVLSKRDLNFEATRLHFENLVDRYGIPIIILNLIKTKERRPRESVLRAEFANAIDFINKDLPEENRLRFLHWDLHKHFQSKTANVLALLGKVATCALMLTGFFYYQVTPAMKLDGYLSLSSSDADMSPRNSSDDDSGEYDSPEKNFRPSKNVDNGDFDVKPSRLQSGVLRTNCIDCLDRTNVAQYAYGWAALGQQLHALGIRDAPTIELDDPLSSSLMGLYERMGDTLAYQYGGSAAHNKVFSERRGQWKAATQSQEFLRTLQRYYNNAYMDADKQDAINIFLGTFQPEQGKQAIWELRSDSQSNGRNGELSMEEDERFFVKRCLSDGNILHECRTPMSAMSSKHESISRKGLSSRQVTHIVSESSPDMPAADVALSRCAPSMPSSHFFGDAQKVQRNGSNSNYLSEQEDMSSVSNFVDVEWLSSSENLCENDQLYRPSALASCSTAEMSSSENIISEIKQSTPAMSESGSSSRKGKEPMGTEPSVHTNIRDDFTDSFKQWVAYGEALCH >A05p048610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28430586:28431494:1 gene:A05p048610.1_BraROA transcript:A05p048610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFDFFQNFHFFYLIFFSSWRFTRLFVASFYWGLMTSRKNTHWCNTCRRGIRLQGEDLRRGGGCSHCGNTFLERLCENVELNPFDLFGLAIQESRSRRVNNRRRPVLENQLSFQELFNRLSAQDRRGPPPASPTVINSMPKIKIRKKHLGLNPCCPVCQDRFEMGSSARKMPCRHIYHSECIVPWLFQHNSCPVCRKELPEDGNNGRKNPFLFLWPFTSSGAASNYNGPPFH >A09p047480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41274295:41275545:1 gene:A09p047480.1_BraROA transcript:A09p047480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEESAKTRETTVNQQQQYYYGTFQGVANYPPPAPPPQLLPLPQQPIATSPLIPPVHGYQNLPGHGGGFVNYAQGYPVVPQYTVVEVRPVREHDVPVPCCGFGMGWFLFIMGFLFGGIPWYLGAVIILFTSVDHREKAGYVACSIASVVYLIAVMLGMAGNINIIW >A01g511280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31506458:31508363:1 gene:A01g511280.1_BraROA transcript:A01g511280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCLYFHEKKKAPKDSDNSRRRNGELTSRDNNKTHPENPKPNKTGNEQNKNDDANKEVTNNIAAKTFTFRELATATKNFRQECLIGEGGFGRVYKGKIEKCDKIVAVKQLDRNGQQGNKEFIVEVLMLSLLHHKHLVNLIGYCADGDQRLLVYEYMSRGSLEDHLLDLTPGQVPLDWDTRIRIALGAAMGLEYLHDKADPPVIYRDLKASNILLDNDFNAKLSDFGLAKLGPVGDKQHVSSRVMGTYGYCAPEYQRTGQLTIKSDVYSFGVVLLELITGRRVIDTTRPKDEHNLVTWAQPVFKEPSRFPELADPSLEGVFPEKALNQAVAVAAMCLQEEATLRPLISDVVTALGFLGTAPDGTIAVPRYDEVRPPQPSGETSGEDSMAPKERERAVAEAMEWGVASRANSRNTSAGHSLNPSAAHSRNPSAS >A03p017160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6888743:6891396:-1 gene:A03p017160.1_BraROA transcript:A03p017160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGILRRSAVDGGGFSASSLRRTRFSLVSARSYAAGSKEMTVRDALNSAIDEEMSADPKVFVMGEEVGQYQGAYKITKGLLEKYGPERVYDTPITEAGFTGIGVGAAYAGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYASVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISEEALDSSFCLPIGKAKIEREGKDVTITTFSKMVGFALKAAEKLAEEGISAEVINLRSIRPLDRATINASVRKTSRLVTVEEGFPQHGVCAEICASVVEESFSYLDAPVERIAGADVPMPYAANLERLALPQVEDIVRAAKRACYRSK >A10p004480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2175551:2178013:-1 gene:A10p004480.1_BraROA transcript:A10p004480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 5 [Source:Projected from Arabidopsis thaliana (AT1G05300) UniProtKB/Swiss-Prot;Acc:O23039] MRITHNVKLLLFFFLISLLLTVSAGESKCECSHEEDEGNKAGARKYKIAAIPSVLVAGVIGVLFPLLGNFFPSLRPETNFFFVTKAFAAGVILATGFMHVLPEGYEKLTSPCLKGEAWEFPFTGFIAMVAAILTLSVDSFATSYFHRLHNKTSKKIGDGEEQIGGGGGGDVLGLHVHAHGHAHGIVGVDSGESEVQLHRTRVVAQVLEVGIIVHSVVIGISLGASQSPDTAKALFAALMFHQCFEGLGLGGCIAQGKFNCMSITIMSIFFSVTTPIGLAVGMGIASSYNESSQTALIVQGVLNAASAGILIYMSLVDFLAADFMHPKMQSNTGLRIMAHISLLIGAGIMSLLAKWA >A04p007310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8930027:8931490:1 gene:A04p007310.1_BraROA transcript:A04p007310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGNMDNLLLQTLLGRLQIRPPNSPFLSQSLDDLLFKSDDTDNDDDDEDLDPQNNLEREEAKLEKELIRVIVSGRVDSLKPNSGQAVTVNEHHICVGFHEDEESDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERLPVKLLPSRGVGKEVKEEEEVESLGGLRELIDGGDGDRGRVLHRNVNIGSSRA >A06p015530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6970257:6973607:-1 gene:A06p015530.1_BraROA transcript:A06p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin candidate 2 [Source:Projected from Arabidopsis thaliana (AT1G18190) UniProtKB/Swiss-Prot;Acc:B0F9L7] MANWISSKLKAAETILQQIDQQAAESLRKDEKSETHEEVFETSSKSSSPVSLKDQLRKKTYDGSDSGGGGSQRNSTEQKPSYLSSSKNLRKPDQSREKTTSSPSKSLTKEKPTTLTDADWTELLSAPPNQGTSTSKPRTPRGAAVIRGLKKDGKRQGNGGKSPTVSDAKRSDKTSGNVVDSRAKSQKQPNKEPSSSSSDTKNVSQNIFVKSTNKESEKGVSAEPPSADDSAKSAKETLPREPLPSAGKQVRGEVLRRNVSDGLKRKDSSLSSDASESDYESDSSTDSERERLREERRRRREKVFAEKVAAKAVAVIKERENMVARLEGEKQSLEKIVEERAKQQAQEAAELQTNMMETLEAADLEKQKHNNTRMEVFSRLAGLEAANAELTRSLAAGQKKLEAQIDQVAVLREQVELKESALEGLKRKTSNIQGRGNLVNKLDASRGDMFEHQMLEAEISLLTDKIGWLQDKANKLEANINTMRKELEEPTEVEIELKRRLDQLTDHLIQKQSQVEALSSEKATISFRIEAVSRLIEENKGMSATEASSQDLEAGEWKLSGSKFKPAFQDKIRSGKRHLGWLVMQLNAIFVSGTVFLRRNPTAKIWALVYLVCLHLWVLYILLSHSSDSSSSELRSGAVISLENFNNSSLH >A08p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14522943:14525466:-1 gene:A08p021770.1_BraROA transcript:A08p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G21534) UniProtKB/Swiss-Prot;Acc:F2Y4A3] MAPAEVIVVDLVLIDGELGMVKLTANGVLEAIEYGEPSRYWTVKKDVLGFVVEGKYIRIKTVVEREEGICCGEFGGDYSRKDFVFEPFSEDAKNRFCFKLRHYLDSLGRPKRLLVFVNPFGGKKSAIKIFEKEVKPLFEDADIQLDVQETKYQLHAKEMVRSMDVSKYDGIVCVSGDGVLVEVVNGLLQRSDWQTVFKLPIGVIPAGTGNGMIKSLLDAVGLQCCANSATISIIRGHTRSLDVATISQGNTKFFSVLMLAWGLVADIDIESEKFRWMGSARMDFYAIQRIISLRQYNGRVLFLPAPGFESYGQPTSYRLHKEPPVKVLGYQGPDTKFEDVEWREIKGPFVSVWLHNVPWGAENNLVAPAAKFSDGFLDLIVVKNCPKLALLSLMTQISEGTHVQSPYVAYLKVKAFALEPGALVDEPDKEGIIDADGEVLARGRRTYKCEQIALMSYDKLQITVDQGLATLFSPEY >A01p018870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9170225:9171690:-1 gene:A01p018870.1_BraROA transcript:A01p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein CoAc2 [Source:Projected from Arabidopsis thaliana (AT4G26180) UniProtKB/Swiss-Prot;Acc:F4JU70] MAESEEKNGILDSMPVFAKELIAGGVTGGIAKTAVAPLERIKILFQTRRDEFKRIGLVGSINKIGKTEGLMGFYRGNGASVARIVPYAALHYMAYEEYRRWIIFGFPETTRGPLLDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSAKAQAKAVTIPMEQIVYRGITDCFSRTYRESGFRGLYRGVAPSMYGIFPYAGLKFYFYEEMKRHVPAEHKKDISLKLVCGSVAGLLGQTLTYPLDVVRRQMQVERLYAAAKEETVRRGTMQTLVKIAREEGWKQLFSGLSINYLKVVPSVAIGFMVYDVMKLHLRVPSREETEAEDRTTRKRNTLS >A04g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3944812:3950494:1 gene:A04g501610.1_BraROA transcript:A04g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKKGGNLFHNFFTFLFFSKIFLKKLSIFLSTLFLYTLKRSVKMSSKKKIARKGSSSASPYEELVVLKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDAGRTTDEFLATMRLFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPKILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSRKKFFFFVRMDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDQNSVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPILDFDYFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASPFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTLADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTEFPDGGEEVDRPADAFGLDGRICIYRDWPLVALNPLPLCADYLYDKCFISCLEMFETRALGLGQDLGLLSVKVCAVTSRLLFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKMIIFTIFGLEGAADKSLNVFRRVLKFCFMPRVFSLGGRCRDVRLDRVDRGWVDAIFRMFRLSCRVGFGFMSSFDVRCVCVDRRFYFFLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVYILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLVRVLTGRSFPRESCSIEWGGEVEPLPADFGGSTGTDSLGSCRIHELILFFRPFVIGGEHLLELLERRGVGLRVGRGYVRYWSVEIGAAASIKRSLHVICVVG >A05p036290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20534096:20535551:-1 gene:A05p036290.1_BraROA transcript:A05p036290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLLLYILSLFSDTKPKSIQKQDRGSYSTKTMKLLHVMAAFAAMFLMGMFVSSDAQLQMNFYAKSCPKAEKIISDHIEKHIHNGPSLAAPLIRMHFHDCFVRGCDGSVLINSTSGNAEKDAPPNLTLRGFGFVERIKTILEAECPKTVSCADIIALTARDAVVATGGPWWSVPTGRRDGRISNLTEASNNIPPPTSNFTTLQRLFANQGLNLKDLVLLSGAHTIGVSHCSSMNTRLYNFSTTVKQDPALDSEYAANLKANKCKSLNDNTTILEMDPGSRKSFDLSYYRLVLKRRGLFQSDSALTTNSATLKMINDLVNGSEEKFYKAFAKSMEKMGRVKVKTGSAGVIRTVCSVAGS >A10p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17547301:17549186:-1 gene:A10p028130.1_BraROA transcript:A10p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLLKNNLTGNYTINYSRRFEVRNNFRSPPHSHPNFSLARKHPHDKSVSVLESGDEHEKERGGNGLKRRGKNGIYSKLCSRGHWRPTEDAKLKELVAQFGPQNWNVIAHHLLGRSGKSCRLRWFNQLDPRINKRAFTEEEESRLLAVHQAYGNKWALISRLFPGRTDNAVKNHWHVIMSRRTRESQRQRQMQTPQAPSGNAEIVSSSSRYNHGELFGTVANGTVFNEKDDDGDDEDASAVSTCTTELSLTPPSSTHQARFLNYDSTLVPGKDGQCVQRAEVNGKYSKKMDHQNHHTITVSEERKVEMQSGFYFFDFLGVGAS >A08p015950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10407377:10407655:-1 gene:A08p015950.1_BraROA transcript:A08p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRSSIASLTLFMFFSLGLHLHFRTIAAARKSVKVFSPPIPLEWSPPSPPKEDFAWFKINIYKNIEQTAFRPTGPGPSQGIGHKDPPGAP >A03p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4399284:4400836:-1 gene:A03p010980.1_BraROA transcript:A03p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIEASYRQGRKVVVIGGGIAGSLAAKLLQFDADVTLIDPKECFEITWASLRSMVEPSFAERTLVNHKNYFKNGRVVTSPAVNITESDVVTADGAVVGYDYLVIATGHNDLFPKTRQEKLSQYQTEYEKIKSSESVLIVGGGPSGVELAAEIAVDFPEKKVSLVHKGPRLLEFVGEKAAHKAFDWLASKKVEVILNQSVDLNSASDGNKTYRTSGGETIHADCHFLCVGKPLSSQWLTGTVLKDSLDGKGRVMVDEHLRVKDRKNVFAIGDITNIPEMKQGYTAEMHANVAVKNIKVMMSGGKKKKMSTYKPGSEMAIVSLGRKDSLAQFPFATVIGCLPGLIKSKDLFVGKTRKTRGLDPKRVRD >A08p009880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7994598:7995312:-1 gene:A08p009880.1_BraROA transcript:A08p009880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTQQSGTKELTVTFIISEHSSITVDDQPATETQRFHSLEDFEANYGLTGDLLFIVKHDCLSLSHRLTTLSRDNFFHTYVDVVGNIKLSNGHQKRPIPDSREHGQGPVEGYRGTRLLKIAVGSLTEVYKFISSYQSSFQSNIMLCIGSRFTVDQDGAGVT >A08p014350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9586504:9592782:-1 gene:A08p014350.1_BraROA transcript:A08p014350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISDTTPQTQTVTFDIEDGGSGGDSRSPGISRPLVSVSFVQKLIGEFVGTFSLIFAGCAAIVVNDTYGKAVTLPGIALVWGLTVMVMIYSIGHVSGAHFNPAVSIAFASSRKFPFKQVPGYIAAQLLGSTLAAEALRLVFHLDDNVCSLKGDIYVGTYPSSSNTATFVMEFITTFNLMFVISAVATDKRANGSFAGIAIGATVVLDILFCGPISGASMNPARSLGPALIWGCYKDLWLYIVSPVIGALTGAWTYDMLRSTKKSYGEIIRPNCNKISSRDRQEASQDEICVLQVVNQANRKEFICSSPTDINDKRNVTCKLP >A02p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:333406:335492:1 gene:A02p000560.1_BraROA transcript:A02p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSRAEVFFFIPTVLKALTLPQSIEKVLSLSAPPPSMADNPNPNPNPDEEDVSYYEKTVVLRDWWLIKCPIESQGKRFGVAGTQIAQTGAVRVFTSSPILKAFDVFTLEASDGVCIVLRGFLNKPRLVQSGFLPQICSEFILGFPPYWESKCNLSFVGLPSGSASINKASGTILSPCNDKKRNLEDIPAQRRVVKTTVTANKKQNTVEISDKPSRKKSLRLQSKSVELMSKVQTTSSTNDGLDKSAKCSDDVEKTDESEVTNNQVDGCGKKHVNHQSGTKVERKLDVIELQKNPTTNDGVERDEPMDNKEISSPSPVDGCGTNTKKITSKNATLTSEERNGKLKVTKTSLKNGKKSEKILQGDLDDVVVEPMTTTHSRSSKVKHNLSVGKTIRKIDFDQEVTPEKDATKHNKTNSMSADSLGQKRSRSGRVLVSPLEYWRNQLPVYDKDRNLIQVNEGRQTNTTSSKGKGGSVSRKPRR >A02g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15225445:15229146:-1 gene:A02g504760.1_BraROA transcript:A02g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDNRHYPSLTSAMNAGVVRSFKRPPVPSRSFDSHRHQNVQTVVRGNENTDGYDSSDDEDESHNRNVSYYMTMVHKSNSDLEPSILDSRDESTADNWIHRNSSMVRLTGKHPFNAEAPLPRLMHHGFITPVPLHYVRNHGPVPKADWSEWTIEVTGLVKRPVILTMEQLISEFPSREFPVTLVCAGNRRKEQNMVKQTIGFNWGSAGVSTSLWKGVPLSEILRRCGVYSRRGGALNVCFEGAEDLPGGGGSKYGTSIKKEMAMDPARDIILAYMQNGELLTPDHGFPVRIIVPGFIGGRMVKWLKRIIVTPQESDSYYHYKDNRVLPSLVDAELANAEAWWYKPEYIINELNINSVITTPGHEEILPINAFTTQKPYTLRGYAYSGGGKKVTRVEVTLDGGETWSVCELDHQEKPNKYGKFWCWCFWSLDVEVLDLLSAKDVAVRAWDESFNTQPDKLIWNLMGMMNNCWFRIRTNVCKPHRGEIGIVFEHPTRPGNQSGGWMAKERQLEISESNPTLKKSVSSPFMNTSSKMYSISDVRKHNSVESAWIITSISHDVRRFRFALPSEDQQLGLPVGKHIFLCANINDKLCLRAYTPTSTVDAVGHIDLVVKVYFKDVHPRFPNGGLMSQHLDSLSIGAVLDIKGPLGHIEYKGKGNFMVNGKPKFAKKLAMLAGGTGITPIYQVIQSILSDPEDETEMYVVYANRTEDDILVREELEGWANKHKERLKLWYVVEIAKEGWNYSTGFITEDVLREHVPEGLEGESLALACGPPPMIQFALQPNLEKMGYNVKEDLLIF >A02p017440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7892905:7895456:-1 gene:A02p017440.1_BraROA transcript:A02p017440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTKTISFSLLFLSLLTPTTVTAACTNAVCRHGDPIIRFPFRLKPHQLKSCGYDKGFDLTCGSDGVNRTTITLPFSGDFTVEMIDYAAQEIWINDPHNCLPKRILTLNLSATPFAGVYARRFTFFNCPTSEYLRFRPLNPITCLSNKNSTVFATASPRVVNYLLSQSCREMKTVEVPVRWPFYEQAVSYSELSDNLWLTWRVPRCGRCEIRGGKCGIKSNSSRETICSDAHKPAIPRKARYAIAIGAGIPGTLIIFGLFCFVYSKINSCIKRRRLVPHSEINSTQAHSLQSSIMITGLDAPTIESYPKIVLGESKRLPKIDDATCSICLSEYEPKETLKTIPPCQHCFHADCIDEWLKLNGTCPVCRNSLEQILSSENNNP >A09p022630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12455468:12463500:1 gene:A09p022630.1_BraROA transcript:A09p022630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-11 [Source:Projected from Arabidopsis thaliana (AT1G54560) UniProtKB/Swiss-Prot;Acc:F4HWY6] MIVWLLISSIAFQKLFFVLLKLQGTPVNIIVGSHVWVEDTDVAWIDGQVEKINGQDVEITAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLGHPKTFHYLNQSKCFELVGISDAHDYIATRRAMDIVGISEKEQEAIFRVVAAILHIGNIEFTKGKDVDSSVPKDDKAKFHLNTVAELLMCDVKELEDALCKRVMVTPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVQKINVSIGQDANSRSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNKDVLDLIEKKPGGIVALLDEACMFPKSTHETFANKLYQTFKTNKRFIKPKLSRTDFTVSHYAGEVQYQSDQFLDKNKDYVIAEHQDLLGASKCPFVVGLFPKLEETSKSSKFSSIGSRVKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAIFENINIMQQLRCGGVLEAIRISCAGFPTRKPFFEFVNRFGLLSPEALEGNYEEKAASKKILDNIGLKGYQIGKTKIFLRAGQMAELDARRALVLSDAAKKIQRRIRTHQARRRFILLREATISLQALCRGRLSSKLFENLRRQAAAVKIQKNGRRYHSRKAYKNMHVAALAVQTGLRAMAAHKEFRFKKQTKAATTIQAQWRCHRATSYYKKLKKGVILSQSRWRGRLAKKELRKLKMASRETGALKEAKDILEKKVEELTYRVQLEKRLRVDLEEQKTQEITKMQSSMEEMRKKVEETNVLLVKEREAAKKAIEEAPPVVTETQVVVEDTQKIESLTEQVEGLKTDLEQEKQRADDTARKFDEAQESNEERKNKLEETEKKVQLLQENITRLEEKCTNLESENKVLRQQAVSIAPNKLLSGRSRSILQRGSASGHLSVDARPSLTQDNNDILAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPQGVNLSMINGGADTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVGNSEAQQALIAHWQGIVKSLTNFLNTLKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSSWDELKHIRQAIGFLVIHQKPQKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERIEIGDVEPPPLIRENSGFSFLLPCSG >A09p066940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47798979:47800667:-1 gene:A09p066940.1_BraROA transcript:A09p066940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPYLKSPNQTRFPLIFGKPFPLSTLRRHNRGRSPLPPPATANPTKEETPDQQRPIPPIATQPKMVQPQEPHFFQPLLPGFQTHLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGSMVFHVTPFGPSCCNIQYTYPNSMEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTLPLMCVCSPSKECLKSAGDIASSSRVN >A09p001050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:861760:863137:1 gene:A09p001050.1_BraROA transcript:A09p001050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLCSGLKVLGYLVILLVITFVAVSYYAVVVNTWWPILIENNHKALSALACLIILVFHFLLIMLLWSYFTAVFTDPGSVPEHFRRELVVVGDSLEAGTSTEQGALGSLGYCPKCRNVKPPRCHHCSVCQRCVLKMDHHCVWIVNCVGARNYKFFLLFLLYTFLETLLDVVVLLPSFIEFFSQAIKRSSSPSQLASLILYPIDAVLNLAFVLSLLCFIVMHLSLVSTNTTSVEVHEKSGDVRWKYDLGKRKNFEQVFGKKKAFWLLPLYSKDDLHNITSLQGLEFPTRSDIDP >A10p037160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21017290:21020541:1 gene:A10p037160.1_BraROA transcript:A10p037160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKSRFKRICVFCGSSSGNKPSYQEAAIQLGNELITGETIGEVKAVADMHQRKAEMARQANAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLTFIDKAVDEGFISPMARRIIVSAPNAKELVRQLEEYEPEFDEITSKLVWDEVDRLSYVPGSEVAT >A09p015890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8292787:8293118:-1 gene:A09p015890.1_BraROA transcript:A09p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSRTQFIYAELFFKRQKELAVLELSGNRAGIVVATNTIISTYAIVFVVESDEKSFFSTIALVATPSPLGVIAGALACVLSYHKNG >A03g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32070546:32071540:-1 gene:A03g510000.1_BraROA transcript:A03g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GENHGISNTQLVNEFITAADVPRVLCIKVSRTGRRDDYIWSFTSSGKYTVRSGYAIAIVEEVGAAEPTEGPANQASEMQSHVYRWRCQVDASWKEKEEGAGLGFILFEDNHVRLVGLKKGPLAASPLHAEAESLAWAMRETRKLGTDMFSASEPICFSRIQCF >A06p018110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8807048:8807548:-1 gene:A06p018110.1_BraROA transcript:A06p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSATVAIPSFTGLKSTISKPSAVVRIPMAATSSKLTVKSSLKDFGVAAVAAAASIALAGNAMAIDVLLGSGDGALAFVPNEFTIAKGEKIVFKNNAGFPHNVVFDEDEIPSGVDASKISMDEQDLLNAAGETYEVALTEPGTYSFYCAPHQGAGMVGKVTVN >A08g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6235057:6239921:-1 gene:A08g503410.1_BraROA transcript:A08g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGANDVYLPDVVPEMVLWVGSDFGKKKDNFIVQAGVGLNEIPKIQNWNIVKRMSLMSNKIESTFFLNMLRLVVLDLSGNTNFYELPDEVSQLVSLKYLNMSRSGIPCLPVDSKDPNTVEELRVLNYLEILHSGIGYDSGLANPPEPKPNPLNSSPKPVQEDQEAEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLKIPIEKPFDEAYFTHRLWMFFRETKVTEKDIRRMFHQVREKMKHMITLTKKSDPGKFAIPYVVKGVEFPHSMCDTGTSVSILPRIMADQLDFHVLDIELNWNSSLLLGRSFLATVGAVCDINKNKLCLTLIDPNIHYDPIRPKRKVINSLDYGKELGFIGACHCGAEYGSEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVTHPVDHFAPPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESVAVDTVITSPNEEHTEEYDEDYWKERAIEMSLHDERFETHEFTNMIPTSFNAVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTKCIDTYINRFAPPKPPPHTIADTQAKKMNTLPSTSTEKSMKSTHLKNTSSAEITLPSIDATGPRWQRTCNNGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVTTTEINPDLSRQPTGQASIDGTTETSIDRVTPTSIDRDDPMSINRRYELGTALLTCTEPEISLGNKGTKDHICLPKHATSFTLTRLAPELYTKDEINEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFGSMAEMKTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAESQCSAQHRDEWEVSYIDTRISVVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKHVELLPYTAAEVDKITSKIYTALDTMEERLVKRCDDIYFAFDNKISRLDSHAEWLQKEVKAIQRQLEAQHQISASIDRTQAKSIDCNSPRSTNKHIIASIDAESTPIGEQLIHKTIESMQKELTELSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNEEATRSFIASWSRMSRDDVDACFPTSRYLGDPSRRT >A06g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6536471:6538365:-1 gene:A06g501740.1_BraROA transcript:A06g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLFPSHSQNVFLRCRCCVIRRTDCFCRRSKVADEVAEKEANKEALRKYLELVEFFTKVLIALYEQNDKPSSALEFIQQKLGGPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDVSELNLSEDFTAYTKKECYELLKRIHKGNKATGGLEFRREIGEICGHMVYEVSKSEIISLRNSSMICSISNSRDENSFQKNICVSCDHESGGALYKKRTK >A04p028420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17076989:17079330:-1 gene:A04p028420.1_BraROA transcript:A04p028420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLHQPAILRIALPVHSVILRTMATASSSSSSLLFPSVSLNKLSSSRNASSLGFSVTRSRVSMSLSTESQTAINDSLFAHYKPSSAFLFPGQGAQAIGMGKEAQSVAAAADLYTKANHILGYDLLDICVNGPKEKLDSTVISQPAIYVTSLAAVELLRVREGGEQIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQAAADAAKSAMVSIIGLDSEKVQQLCDAANQEVDEADKVQIANYLCPGNYAVSGGLKGIEVVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIRSPRIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVKTLLSKGLKSSYELGPGKVIAGIFKRVDKSASVENISA >A05p015490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6900504:6901248:-1 gene:A05p015490.1_BraROA transcript:A05p015490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFNNSPDETAYSRMLLNRENISNAAVMIQPSLTAYSFNSIPQAALLDVVSIASDQPQEDAQMIAHGLFPMPRLVVCDQHGSQASFLLAKLNPSASYNNASEMNTGSDVIFTDDASLEVFYQHLQKLAVQIMRKTATK >A02p011990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5216177:5218171:1 gene:A02p011990.1_BraROA transcript:A02p011990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLLLGIILMLYCVCPSFQQDTTFVYNGFDQGDHRLHLDGSAIILPKKHVLQLTNATATQIGHAFFQEPVDFNSSEPVSFSTHFVCALLPVGETSGHGMAFFVSHSTDFKGAEPSRFFGLFNANGSASTRVLAVELDIAKAPDVGDISDNHVGIDVNSAKSVISANASYFSDKKGRKIDMKLLSGDPIQVWVDYEGTTLNVSLAPLRNKKPSQPLLSSTSINLTEIVQGRRMFVGFSGATGSTMTYQYILGWSFSKTMASLQKIDVSKLPKVPHPHNKIKSTSLVLDALLGLISLLVLGLLTGAAYMYRKNLYAEVREEWEKEYGPFRYSYKSLYKATKGFSRNEFLGRGGFGEVYKGTLELREVAVKKVSHDGEEGMKQFVAEIVCMRRLKHRSLVPLLGYCRRKHELLLVSEYMPNGSLDHYLFNHDRSTLPWWRRFAILNDIASALSYLHTEAEQVVIHRDIKAANVMLDAEFNGRLGDFGMSRLYDRGADPTTTTAAVGTVGYMAPEITTMGPSTGTDVYAFGVFLLEVACGRRPVEPGLSAAKRFLIKWVCDCWKRSSLIDAIDPRLAEFSSKEVERVLKVGLLCANLAPSARPSMEQVVQYLNGNLALPEFWPYSPGIGVITPPPQLMLPSLSQLSSSSANNSMFMTHSIVYGSGR >A03p001250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:639919:643196:-1 gene:A03p001250.1_BraROA transcript:A03p001250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIGESDDKRLKTKYNNAIFVIRRALSLYSSCIAIYFTDLCSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKKEMSSSNGGLSSFPVRTIYFESPSAFTEINAFTYDAAQTYGIQLDIIRQDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVKYCSLYDQGYTSIGSIHDTVPNALLSVNDTSSKEKFKPAYLLSDGRLERAGRVKKNASVKNDVGSDSQKHEVLLASVIAVGDEILSGTAEDQLGLSLCKKLTSVGWSVQQTSVLRNDVDSVSEEVDRQRSICDMVFIYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLISEQCTGDRNEMAQLPEGITELLHHEKLSVPLIKCRNVIVLAATNTEELEKEWECLTELTKLGGSTSLMESKLLMTSLTDVEVAEPLSKLGLEFPDIYLGCYRKSRQGPIIITLKGKVHNTSSAFIYFLQDS >A01g510130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27715924:27716560:1 gene:A01g510130.1_BraROA transcript:A01g510130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVYVSCGVWKLVVSSGWSFNVDKKKGGRLLALELKSSLEELQKNVIENFGFEETDADLELSYLPIGLINSSNYKAKQGNPNKIDIDLNRMPTDASTSEENKRNPCDIGTASNIVKGAKHNEKRKGKMKQSEVDGDDYDADKHNEKKKGKMKQDEVEGDDYDADKINSEKENREKLAKSQ >A03p074310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32335730:32342876:-1 gene:A03p074310.1_BraROA transcript:A03p074310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMRQAAALLADEDPEESSSSRRPATSLNVVALGNVGAGKSAVLNTLIGHPVLPTGENGATRAPIIIDLSREESLSSKAIILQIDNKSQQVSASALRHSLQDRLSKGATGRGRDEIYLKLRTSTAPLLKLIDLPGLDQRIVDDAMIGEHAQHNDAILLVIVPASQASEISSCRALKTAKEYDPDSTRTVGIISKIDQAAENPKALAAVQALLSNQGPPKTTDIPWVALIGQSVSIASAESGGSENSLETAWRAETESLKSILTGAPQSKLGRIALVDTLASQIRSRMKLRLPNILSGLQGKSQVVQDELARLGEQLVSSVEGTRAIALELCREFEDKFLLHLAGGEGSGWKVVASFEGNFPNRIKHLPLDRYFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKGRSSKKGQDAEQSLLNRASSPQPDGSSTGGSLKSLKDKFLPQDKDKDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTVTLEECSIEEISDDEGEKSKSSKDKKSNGPDSKGPGLVFKITCRVPYKTVLKAHNALILKAESMVDKNEWINKLQKVIQARGGQVGGASMRQSLSEGSLDKMVRKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRKRDRYQKQSSLLSKLTRQLSIHDNRAAAASSWSDSGTESSPRTNGGSAGEDWMNAFNAAASGPDSLKRYGSGGHSRRYSDPAQNGEDDSSGSGGSNRRTTPNRLPPAPPQSGGSSYRY >A08p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13696545:13698602:-1 gene:A08p020160.1_BraROA transcript:A08p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENASTVRFYSCRGVAFEIKPHANPFAIITTDQNLNANVEREETSRRFRLPKDFIRNASKAFPSSLQQSISLASSHFCDLDSDNDEEEDEDDFYYLEEGVFKEGDEQRNNTDENAILDSSSSKHSEKPQQPPVPRKRVSRLSIILLDQGLFTVYKRLFVTSLFLNVVGLVLAATGRFTYARNGASLFSIANILALTLCRSEAFLRLVFYLTVTILGRSFIPLRIKIAVTSLLQSLGGIHSGCGVSSIVWLVYSLVLALKDRDNNSTAIIAVASAILSLLCLTSAAAFPLVRHLHHNVFERVHRFAGWSALGLVWAFIVLTTSYDPVSRSYTDNLASKLIKTQEFWFTLAITVAILLPWLTVRRVPVDISSLSGHASLIKFSGGVKPGILGRISPSPLSEWHAFGIISDGKTSHMMLAGAVGDFTKSLVSKPPTHLWVRTVHFAGLPYLVNLYDKVLLVATGSGICVFLSFLMQQSKADVYLIWVAKGLDDNFGSEIVNRIKAYPHQDRIIVHDTAILGRPNVSEMSVKASKKFGAQVVIVTSNPEGSRDVVNACKASGVPAFGPIWDS >A04p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8768034:8768534:-1 gene:A04p007590.1_BraROA transcript:A04p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIARIMRPRSIAKELSGTVREILGTCVSVGCTVDGKDPKDLQQEIQEGEIEIPEN >A01g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13133939:13135778:1 gene:A01g504250.1_BraROA transcript:A01g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSIDTPFAPSIDYSREITCPGRRRKVSDSGTGTRDRRQDPDLGAGTQDPKAGTRTWGHGPGTLGEGTWKTEAGLISSWNIFPQQFAPYFLAACLKTGNTMTFFIGLYKLHRSIRLPCRSFSDAVALGLYSASAQAGLSGSTNCVEECMGQYPEILRGRIWARQMIRRTKRLNKTRRPKLRILMLDSNGLSCASRACKGYVASCVVSGFYLDFW >A10g500270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:968879:969487:-1 gene:A10g500270.1_BraROA transcript:A10g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGKKGEKSIIPLDVTREILLRFPAKSLVRFRCVSKLWSSLTTEPYFIKSFTTRSSSRPRLLLSFGKQAKINPDVDKCLSHNDDLSSNHVDLPRNYGCMSTFESVHGLISFNKDCNHIVVWNPSIGQHVTIPEPENYRHGLRYLGYDPFGDTYKLLFIPPHITDSIHNRDSFGPRVLTLEAEESWRLIEGNSTTTMHGLTV >A05p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27276429:27279336:-1 gene:A05p045660.1_BraROA transcript:A05p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLKRGKQEKPLVSDGAEKVIVAVKASREIPKTALIWALTHVAQPGDCITLIVVVPSQNSGRKLWGFTRSFPMFAGDCASGHRKPHSEALPAIKSDLTDTCSQMILQLHDVYDPNKINVKIKIVSGSPYGAVAAESKKAEANWVVLDKHLKQEEKRCMDELQCNIVVMKRSQAKVLRLNLVGSPRKVAEKGSPPLPTGQEAASEKDTKNTKGSSDSNRDLPVTPTSSPELGTPFTSTEAGTSSVSSSDPGTSPFFTLETSGYMKKDGALVIKENDDSASETDSESQSLASTSMRFQPWISEYVSTHRHSSLDADDRAQISTTKALLEKFSKLDVEAGLSSSRRIDLEFSGNVRDAISLSRNAPPGPPPLCSICQHKAPVFGKPPRLFSYAELELATGGFSQANFLAEGGYGSVHRGVLPEGQVVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRQRETLEWPARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDNEPLVGDFGLARWQPDGELGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAIDITMPKGQQCLTEWARPLLEEYAVEELIDPKLGDCFVESEVICMLHAASLCIRRDPHLRPRMSQVLRILEGDMIMDGNYCSTPGSEAGNRSGRLWSDHYSSGQLTKDGFGSDRFSERLSVETPRLALRERGQRSELNNHNKQY >A09p002230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1573876:1574583:1 gene:A09p002230.1_BraROA transcript:A09p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGQAYDHLLKKQISQNADVGGWSFLQSLCETKGIVRNREDQTKKTSYVHPTDQKLSVAKLSLEMCTESLGTESGSETGDEISLLALEATNIPMLPVKRTPREETYPKVRENSFPPPLKSVKGFNHSRMVKSYTEDGRLVVQAIRVCSPPRCFVSERYEGRLRLCLSESSLLSHDENEQFEDSENGLEDDAEEEDEGKIGNNKFRRSRRRCKENGCEPKTMHKWKQQQFWVTT >A01g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27348505:27352188:-1 gene:A01g509980.1_BraROA transcript:A01g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLPSTSTGKSMKSNHLKNTMSKEDIADILQEANGPDNLFSQQSDTPDVIQTDPKKHVGVATTEINPDLSCQPKGQASIDGTTETPIDKITPTSIDRCYEFGYRAFDMYGARKFTLERRNEYGVYRDECGHARGVAGEMIPITKDDIRKLLERSSLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLQLEKEATTSASIDAPHAPSIDVSLPTAQIPAEPQCSAKHKDEWEVSYIDTRINDVYYPLNNNMDWLSTKIELLQQDLDTIHKKDQQPATSIDVCTITSLDAKISATDNRLKTYEIMHDRFANSSLIDRLRGPWIDGKNHVELLSYTAAEVHKITSKIYTAIGTMEERLDKRCDDIYFPFDNRISGLDSHAEWLQKQVKAIQRKLAAQHQISASIDRKRAKSLDGKSPRSNNEHIIASIDAVSTPAGEQLVHKNIESMHKELTELSAYAYDNIGWHQVNIDNIQDRLQNISNALKMMDDKWTRNDEATRNSTIDAKADQPINYTLALTSSKRDLEAAIFKARFRKELLDIGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERKLEREKLGTNFYLQLQILLKGHFTRADHVEVDEMKNNRSMHIQCCRSTPRDASTDED >A02g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13168858:13170781:-1 gene:A02g504000.1_BraROA transcript:A02g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDPSLSLGSRTGSFPFLSLDLCLSIVLFISLFVFWLTPGGFAWALYKARVHTRQQSKARAAIPGPAGLPIIGLLLAFVNNASTHRILASIANSCNAKALMAFSVGSTRFVITSEPETAKELLNSSAFADRPLNESAYELLFHRSMGFAPFGDYWRELRRISSTHLFSPKRISGFAESRRKIGNSMVEDINSAMASYGEVEIRRILHFGSLNNVMSTVFGRTYDFNDGTNELEHFVSEGYELLGIFNWGDHFPGARWLDLQGVRRRCRSLVGKVNVFVGNIIDDHISKRSLHDSQEEESTNEDDFVDVLLGMQGNSKLSNSDMIAVLWEMIFRGTDTVAILLEWILARMILHPDIQAKAQAEIDVIVGESGRQVSDSDLSKLPYLRAIVKETLRMHPPGPLLAWARLTIHDTQIGTHFIPAGTTAMVNMWAITHDEKVWPEAHEYKPDRFLGAPESGNFPIMGSDLRLAPFGAGRRVCPGKSMGIATVELWLAQLLGRFKWVPCGEVDLSETLKLSLEMKNPLVCKAIPRV >A03p070370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30934991:30937146:-1 gene:A03p070370.1_BraROA transcript:A03p070370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLVEMFRAGLNWVTMALDAPSARVVLFGVRIQWHIFVEVLLGFVIVILLSQKSYKPPKRPLTEQEIDELCDEWVPEPLIPPITEDMMHEPPVLESAAGPHTTVNGKDVVNFASANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHLDCETRISKFLSTPDTILYSYGLSTMFSTIPCFCKKGDIIVADEGVHWGIQNGLQLSRSTIVYFKHNDMDSLRSTLEKIMTKNKRSKNLRRYIVAEAVYQNSGQIAPLDEIVKLKEKYKFRVILDESNSFGVLGRSGRGLAEHHGVPIEKIDVVTAAMGHALATEGGFCTGNARIIDYQRLSSSGYVFSASLPPYLASAAITAIDVIDQNPELLVKMKQNIALLWKGLKDIKGMSLASHPESPIVFLKLEKSSGSTKEDLLLLEKMADRALKEDSLLVVSSKKSFLDKCRLPVGIKLLVSAGHSESDLVKVSESLKRLASELLL >A03p049550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21229423:21231581:-1 gene:A03p049550.1_BraROA transcript:A03p049550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor, Vegetative developmen [Source: Projected from Oryza sativa (Os06g0667200)] MNPEEEEGVNKRKRGEMGRGKIEIKRIENRTSRQVTFSKRRSGLIKKTHELSVLCDAHIGLIVFSATGKLTEYCSDPSKMPQLIERYLQTNGLRLPDPNDGQEELYQEIEVLRRETCKLELRLRPYHGHDLASIPPHELDALEQQLKHSVLKVRERKNELLQQQLENLSRKRRMLEVDNSNMYRRLHEHGTAMEFQQAGIETKPGEYQQFLEQVQYYNDHHQQQPPNSVLQLATLPSEIDPNYHLQLAQPNLQNDN >A08g509490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:18953343:18953726:1 gene:A08g509490.1_BraROA transcript:A08g509490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEERENLQWQASSPPDTELHATQEEENWRVAQVIEKKDTTVDFFLSNSRNLENESQLPICQVDASWVTNSTVSGGGFDFDLAPEIHTYGSLGMDHVLSPMHAEFTVLLNAMRGCCQNPSGRVPSD >SC315g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000160.1:11913:12086:-1 gene:SC315g500010.1_BraROA transcript:SC315g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLECITRTDC >A08p004720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2791550:2792614:-1 gene:A08p004720.1_BraROA transcript:A08p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNEEFVKFLGEGAYGYVNLVRYTNPDDGSSFLSAVKNSYDADYDTLQKELEILLKLRGCPRIVTCFGDSLRQGLSNYGNKVHKLQLEYASEGSLSAFMDRYADRKLPEPLIKDFTRMILEGLVSIHDHGYVHCDIKPDNLLVFPSRQDSYELKISDFGNTLEVGEVPKFWESEFPWVGTPIYMPPESVRDGFANKGIDLWSVGCLVLEMYTGVIPWEGVNINLLATRLRCGKAPEIPENLPSDAKAFIETCFSRNPEERGSACELLLHPFLPRPQIEEEEKKTKNSFLLKLFKLRIRRTSSNKIPTADVVAVSDKKPLKLRFFPTKTTQFKRTLHKVLRLKKSTHFNLVSVH >A05p035350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20044697:20048377:-1 gene:A05p035350.1_BraROA transcript:A05p035350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNNDKSTPQKPPTTSAAASSSHHRKSRWGSNNNDGGSIKTNTTTTNNNKQTTGGQKIADKKPSSNLAPIPSQSRPNHPNPTPSSSSSRSALPAAQFAFPDPSAALGAPPAPTYGFHMLERRTIVLVDGSVRSYFALPPNYRDFPPKPRLPDPAANRFTGPEFGRFPPEEFRDQMQFYDRPEGGSMKRKHPGEEEIDRGELLRQRQQFMLYANPDDPSLMAGTSRRDAGEDARAAKHMRVGSSRNESGGQVALKKAFLDLVKRIYEDPLEKKNYLENGRKGRLQCLVCGRCPKDVQDTHGLVMHTYYCDDASSRVHHLGLHKALCVLMGWNFSKPPDNSKAYQNLPAEMVAINQDELILWPPHIIVHNTSTGKGKDGRMEGLGSKRMDDRIRELKFNVGKSRALYGRDGHLGITLFKFAGDDSGLREALRIAEYFEKMNRGRKSWAGLQPFTPSKDDENNPSLMEVDGKTGEKRRVLYGYLATVKDLDKVDMETKKKTTIESLRELAGSK >A05p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8855375:8856244:-1 gene:A05p018930.1_BraROA transcript:A05p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRITLKKSDPGNFLISCLIGGIDYPSALCDTCSSVSIRPKVMAYHLVCYSDPGDEIESDIGASIDTQPELSLDGRFEVMIDRALGAPIDSDYANEIDDFTERSINSWENDYYQPSFTIHTATSSKKKICVIQRDEYGVYRDEDGNTHALDGRIINVSKEDIENLLEMVDKSGGKYSSS >A03p054440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23381165:23383430:1 gene:A03p054440.1_BraROA transcript:A03p054440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 12 [Source:Projected from Arabidopsis thaliana (AT4G17970) UniProtKB/Swiss-Prot;Acc:O49696] MSNKVHVGSTEMEEGLRNKKWKVLEPSEKIKKIPKRLWDVGKEDPRRVIHALKVGISLTLVSLLYLMEPLFKDIGSNAIWAVMTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVANDSGKVLRAIFIGTAVFIIGAAATYIRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVDSVITIAHDRFYTIAIGCGICLFMSLLVFPIWSGEDLHKTTVGKLQGLSRSIEACVSEYFEEKEKETSDSKDRIYEGYQAVLDSKSIDETLALYANWEPRHTRRCHRFPCQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPCVRLAGEVCKALSELADSISNHRHCSPEILSDHLHVALQDLNSAIKSQPKLFLGSNLHRHNNKHQNAVSQRNRANDVSNKTTETGSRQGQSGAVSLSSFRTDTSALMEYRRSFKNNNRSETTSAAGERRMLRPQLSKIAVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGRIACFKEYDNTRDPTADDVRCEKPANVVISVGAAE >A03g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3653277:3658883:-1 gene:A03g501220.1_BraROA transcript:A03g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTAESTAANADTLKVELKKLLTETLSNGGGETESDGSSGVLKAIDEAIRLLNRLREVESKKPESDIPSSSSSESPKVEVPKEFKCILSNAIMIDPVTIASGNTFEKRYITEYLKHEDKCPKSEEVLSHSLCTPNHLLDELITKWCLANGHDRPKPADEVVTELSNDGIESLLQRISSPSSDEDQIQAAMEIRCQTHKFPNVRNRFVALHPGGITDLIRPLSEGSTIPELEENIITALFNISIVMDNVEAIAQTNKVISLLRTSLTSGTMETRRNSALTLLSLLAIASNKVIIGDISTFTALGELIGRGDPVTSLVAGAVVYHLCQESEYREAAISARVVNALMRKIKAERYAAQFLSVLVLLTTHERGVKEMKESREFMRHLFRILRKRSCLESCENAVVIVLNMCNLATPYGRLGVVNLEENDYATFSTLTKERSSESLVKNAEMVLQWLKKKKLQGEIEAFSPDQFALINPSFDLEPLQDPPQTTASSGTGNGKIRYRSPSSPELMESGTAAVSSSGHHSPSHSSESHQGLLSVDGGKTTAAKRGIGRHESIADKIQRHRGILLLISVAILLIGLVLLLMPGRSTSDAVVEEYTVLNRKGGPNSRPPKNYAVIFDAGSSGSRVHVYCFDRNLDLLPLGNELELFVQLKPGLSAYPTDPRQAANSLVSLLDKAEASVPRELRPKTPVRVGATAGLRTLGHEASENILQAVKELLRDRSMLKTEANAVTVLDGTQEGAYQWVTINYLLRNLGKPYSDTVGVVDLGGGSVQMAYAISEEDAATAPKPLEGEDSYVREMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEDSNNPCIVAGYDGTYKYGGDGFKAAAVQSGASLNECRRLTVNALKVNDTLCTHMKCTFGGVWNGGRGGGQKNMFVASFFFDRAAEAGFVDPKQPVATVRPMDFEKAAKKACSMKIEEGKSKFPRVEEDNLPYLCMDLVYQYTLLVDGFGLEASQTITLVKKVKYGEHAVEAAWPLGSAIEAVSSP >A08p038070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22101381:22104079:-1 gene:A08p038070.1_BraROA transcript:A08p038070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISTILGTIGFGFGTTIGILIGYYLFIYHQSTDVQASSNLLLNSLDPEIKPLMELDSEAIAKMFPEIPLWVKNPDFDRIDWLNKLIGYMWPYLDKAISNMAKSIAKPIIAEQIPNYKIDSVEFEMLTLGSLPPTFQGMKVYATDDKELIMELSVKWAGNPNILVAAKAFGLKVTVQVVDLQVYATPRITLKPLVPSFPCFANIFVSLMDKPQVDFGLKLLGADVMAIPGLYGFVQELIKDQVANMYLWPKTLCVQIMDPSTAMKKPVGLLNVNVIKAIKLKKKDFLGGSDPYVKLTLSGDRVPGKKTVVKHSNLNPEWNEEFDLVVKDPENQVLHLIVYDWEQVGKHDKIGMNVIPLKDLTPEEPKLITLELLKSMEPNEPVSEKSRGQLVVEVEYKPFKEDNIPDNLDDPNAVEKAPEGTPSGGGLLVVIVHEAEDLEGKYHTNPYVRLLFRGEERKTKRVKKNREPRWNEDFQFPLDEPPINDKLHVEVISTSSRMGLIQSKEALGYVVINLADVVSNRRINDKYHLIDSKNGRVQIELQWRTSS >A10p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:32584:34209:1 gene:A10p000090.1_BraROA transcript:A10p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWDNPSVIILSGEDRSHHHQQEPDPTRVLSIFDPTQTHHSQSSYSNTCPPLFPSLSNQQHLTVYGHQTSNQFHPSFVYDPRITNHPYSPSSDSIYGHPHHSALFSFDQTGSGGSGSSYNFLIPKTEVDVSRPLDFTSNRIGLNLGGRTYFSAADDDFVSRLYRRSRPGELGVGNTLSTPRCQAEGCNADLSHAKHYHRRHKVCEFHSKASTVVAAGLSQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKCHQSISKPTPDTTTTPQSPNDSGVKSSSSPSNALPTISLECFRQKQFQTTTTPSSSTSASSSNSMFFSSG >A03p024080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10185162:10187684:-1 gene:A03p024080.1_BraROA transcript:A03p024080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSPDQGPKTEAGGGGESSENVSASDQMLMYRSFKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYSRDLEEMQNLSREEYLATLRRKSSGFSRGIAKYRGLQSRWEASASRMPGPEYFSNLHYGAGDERGAEGDFLGSFCLERKIDLTGYIKWWGDNKSRQPESSSKASEDAKVEDAGSELKTLEHTSQATEPYKAPNLGVLQRKGKQITSPSSTSSALSILSASPAYKSLEEKVMKIQESSSTRENDENANRNITSIEKSHGKEIEKPAVSHGVALGSGGGVAPAAALSLQKSMYPLSSLLTAPLLSNYNALDPLGDRILWTPFLPPGSSHTSEVRDKDRDKLFHIQLPPTREVSRSPLDCL >A06g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13695228:13695925:-1 gene:A06g504350.1_BraROA transcript:A06g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTMRFEEVKVVANGSGLVAAITSLNLLHIFSEGGLQKHVISLGGPVVTATGCRDQLAVVTHVSDCLPSNEQVGIVLYFLVYFPYGLTLESPYIHLKIK >A03p029210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12283574:12285188:1 gene:A03p029210.1_BraROA transcript:A03p029210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSSGSLWLAANPSKRWGELFFLFYTPFWLTLSLGIVVPYKLYERFTELEYLLLALVSALPAFLIPMLLVGKADRSLPWKDRYWVPHTTFFMTHACFLFYHVASNITLRRLRHSISGLPDSLRWSFEAAWILALSYFIAYLETVAIANFPYYEFVDRSAMYKVGCLFYAIYFIVSFPMFFRMEEKPSDEWDLSRVAVDALGAAMLVTIILDLWRLFLGPIVPLPEGQNCLQSGLPWFSR >A06p033260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17850642:17854605:1 gene:A06p033260.1_BraROA transcript:A06p033260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxysteroid dehydrogenase 2 [Source:Projected from Arabidopsis thaliana (AT3G47350) UniProtKB/TrEMBL;Acc:F4JBH8] MDMLNTILNLFLPPLTIIFLFLFYTYYLLIKFVVCLYKHLCLENVSGKVTLITGASSGIGEHVAYEYAKKGAYLALVDRLEDRLEIVAETSRKLGSSNVIIIPGDVANVDDCKKFINETIHHFGKLDHLINNAGVFQTVLFEDLTQVQDANHIMDINFWGTTYITYFAIPHLRKSNGKIIVNTSNSANIPLPLSSVYSASKAALLRFFETLRVELSPDIKIIIILPGFIATNMTSPHFIEKYGSDFILSESVKKCAKAIFRGIARGETYIEEPSWTKWIVLVKNLCPEFVEYSLKYLFYRYLKPSYFKSE >A09g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13866824:13867584:1 gene:A09g504530.1_BraROA transcript:A09g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding APKWHEIYVRSQQHTSQQLARHTQHETSEPKRKTEPTNGCHHHMSSCFGRWLSTTSLSEAAQAGSFSRHHSLTNTQANNLLGTHYLNMRLRVGNSSTRVYKNSSLTRHEFTETLLLLGTSSQDRLHLSLRTWGDLLLGVDLHPTSSPLDNKLDPFYYEALASSLYK >A08p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2746847:2747694:-1 gene:A08p004680.1_BraROA transcript:A08p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTRRASARITSAASQRRQKPEPEPAVKKFIKVILPSTIKEKMMKIPARFVRLGPKLTDTVTIQTPVGFKRSIGIKRTGNEVWFDNGWSEFAEAHSISEGHFLYFCSEGNSSFRVMIFDVSASEIDYPMDKVHVIESDDDEVMEVMDTDDGEGFTRVDSSDNDSSDEEAIDLEKLLKKKPRVNVKFETINIGNINVVDETQEDKRALRRSKRSS >A09p075570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56864216:56866783:1 gene:A09p075570.1_BraROA transcript:A09p075570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQVERDPSIQTHLPESGHSTTPSPPASPLLNRSRSKSSVQPNRTLPHRVSWFLLSLLLRRQGILLFAPLIYIFCMLLHMRTASFDPGPFINRRPAPGSVYRSPQVYAKLQAAMVADNATADAISTIWKRSYKGVEWKPCVNKSSGVLPESNGFIFIEANGGLNQQRTSICNAVAVAGYLNATLVIPNFHYHSIWKDPSKFGDIYDEEYFVSTLANDVRVVETVPEYLMERFDYNLTKVYNFRVKAWAPTHYYRDSVLPKLLEEKVIRISPFANRLSFDAPRPVQRFRCLANNVALRFAKPILTLGKTLVKKMKELSANNAGKYVSVHLRFEEDMVAFSCCVFDGGNQEKQDMIAARERGWKGKFTKPGRVIRPGAIRLNGKCPLTPLEVGLMLRGMGFNKSTYIYLASGPIYGANRTMAPLLEMFPNLQTKEMLASEEELAPFKNFSSRMAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKLAVLFDNPKLGWRSFKHQMLNMRSHSDSKGFKLKRASDSIYIFPCPDCMCRRNKTTATT >A02g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8000063:8002686:-1 gene:A02g502430.1_BraROA transcript:A02g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVATRSIQGSMLSPNGGSVSTRSDKLLKPASFAVKVLGNESKKCGRVSVRGRRAVDTTVRSARVETEVIPVSPEDVPNREEQLERFLEMQKFSDTSVGMWSKPTVRRKTKIVCTVGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHKKVIDLVKEYNAQSKDNTIAIMLDTKGPEVRSGDLPQPIMLDPGQEFTFTIERGVSTPSCVSVNYDDFVNDVEAGDMLLVDGGMMSFMVKSKTKETVICEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENKVDFYAVSFVKDAQVVHELKNYLQGCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPILQVSACFSFKINQENELLKFNSFAIDMQERIINLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAAGVMHTVALRTEATITTSTEMPPNLGQAFKNHMSEMFAYHATMMSNTLGTSTVVFTRTGFMAILLSHYRPSGTIYAFTNEKKIQQRLALYQGVCPIYMEFSDDAEDTFTKALATLLKQGMVKKGEEIAIVQSGSQPIWRSQSTHNIQVRKV >A03p051480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20479782:20480686:1 gene:A03p051480.1_BraROA transcript:A03p051480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGQVIACHTVEAWNEQLQKGNDSKTLVVVDFTASWCGPCRFIAPFFADLAKKLPNVIFLKVDIDELKSVASDWAIESMPTFMFMKEGKIVDKVVGAQKDELQSTIAKHLA >A04p000170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:76769:78805:1 gene:A04p000170.1_BraROA transcript:A04p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G63250) UniProtKB/Swiss-Prot;Acc:Q9M1W4] MYGLSRCRLREREEEVVHIVWIVYTFAQDVTTVGMVTGNTKAEAFYSMKELLKETGGYAIIDGGLATELERHGADLNDPLWSAKCLLTSPHLIHTVHLDYLEAGADIISSASYQATIQGFEAKGYSIEKSESLLRKSVEIACEARNTYYDKCKDDNNKILKKRPILVAASVGSYGAFLADGSEYSGIYGDLITLETLKDFHRRRVQVLAESGADIIAFETIPNKLEAQAFAELLDEGVVKIPGWFSFNSKDGVNVVSGDSIKECISIAETCEKVVAVGINCTPPRFIEGLVLEIAKVTSKPILVYPNSGERYDPERKEWVENTGVGNEDFVSYVEKWMDAGVSLLGGCCRTTPTTIRAIHKRLVSRRSLFSSSSSSSHH >A09p076340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57137809:57140992:1 gene:A09p076340.1_BraROA transcript:A09p076340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRPLFPNSPLKIMSNTGVVFPTIDLGEVSDEILNQKLREAGERLGCFRVINHGVSLSLMSEMKKTVMDLFERPYEVKVRNTDVLPGSGYRFRPSETDPNYEALGLLDLASPQALNTFCDQLDASAEQREIMLKYAEAIHGLAKDLSRRLAESYGLADTTNFFKSWPIQFSINKYHFKPETVGKVGVQAHTDFGFLTILQDDENVGGFEAMDPSSGTFFPLSPLTNSLAINLGDMATIWSNGRLGSVKHRVRCEAKTRFSIVSFLLGPVDTDLEAPRGTWKHGSIEINCQRHLFSNSTLKIMSATSVIFPTIDLGEVSDEILNHKLREASETWGCYRVINHGVSLSLMSDMKKTIMDLFERPYEVKVRNTDVQQWSGYTAQSEINPYNEALGLYDTASPQAVNTFCDQLEASQEQRDIMVKYAEAIRGLAKDLSRRLAESYGLADTTNFFKSWPSEFRIHKYHFKAEAVGKPSGVNLHTDWGFLTILQDDENVGGLEAMDPSSGTFFPLPPLANSLAINLGDMATIWSNGRLCNVKHRVQCNEAKTRFSIASFLLGPMDTDLEAPSEFVDAEHPRLYKAISHKGYRSLRMATNLHDGEALKLISYE >A06g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25922051:25924627:-1 gene:A06g509100.1_BraROA transcript:A06g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDTQKQLLSLIRDFTSERSRGEQRVVGLKKRIESLQSEVEAANADVEHAKRIKEVAEEELNGYEVESSLNDATIQSLEARIALLQDEVSTVGNEVDALKNKEGLLRDQFISQMVELNKEIRVFQKTVASRVGNDDSTSITAIYSSCQVCDYLLRDNGLLWICFVSADVKVFEDGHGADSQAIKDMLSDVNSQLAKEEDGFLAEQKIKEQLQKELDEYEKKMSLMEAIKGITNSNLRAAECFYFYSHLPIVSLTCNTQSSELEQTLASLGEELQKRCRCQHCQAENLEVLSLLLQGDQDMVAS >A09p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9788233:9792975:1 gene:A09p018620.1_BraROA transcript:A09p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKMSSRIQVCMLLILTFCQICSVSALTNGLDASVLQALKSEWTRFPDNWKGSDPCGTNWVGITCNNNNHVISISLGNLNLEGKLSADISSLAELQILDLTSNSKLSGSLPSNIGNLRKLTTLNLMECGFSGEIPESIGSLDQLITLSLNSNKFSGTIPSSIGRLSKLNWFDIADNQIEGTIPVSNGTSSPGLDMLLETKHFHFGNNKLSGDIPEKLFSSNMTLIHVLFDGNQFTGKIPNSLGLVKTLTVLRLDRNKLTGDIPSSLNNLTNLNELYLADNRLTGSLPNLTSLAILYTLDVSNNQLTFSLIPSWISTLSSLSTLRMEGIQLEGPIPILLFAPTLLQTVVLNRNQLNATLDFGTNYSKQLELVNLQNNEITIYKPAANKGIQVILADNPVCQEAGNQQSDFCRTIQPSTDFSVPQINCSPCGQGREPSPACLCVYPITGKLIFRSPSFSGFSNNTNFIILHQGIADFFRDPSYQVDSVAIRNFKETATGHRLLVDLLLFPLNKESFNKTEMNSAISAFSTHTYDPPAIFGPYIFSADQYRPFSGGSISTNTGIIIGAVVGAVVLVMLLTIAGIYGLRQKKRAEKATGQNNPFAKWNKSTSSVDAPQLMGAKAFTFEELRKCTDNFSPANDVGGGGYGKVYKGILPSGKLLAIKRAQQGSSQGELEFKTEIELLSRVHHKNVVKLLGFCFDRNEQMLVYEYIANGSLTDSLSGKNGTRLDWTRRLKIALGSSRGLAYLHELADPPIIHRDIKSNNILLDESLNAKVADFGLSKLVGDPEKNHVTTQVKGTMGYLDPEYYMTNQLTEKSDVYGFGVVMLELLTGKSPIEGGKYVVREVKAKMDKSMNLYDLQELVDTTIIANSGNLNGFEKFVDLALRCVDEEGVKRPSMGEVVKEIENIMQVAGLNPNIDSATNSRTYEEASKGSGDPYGNEIQ >A02g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9727005:9728036:1 gene:A02g502930.1_BraROA transcript:A02g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVHHVSENLNLGVEDEPIALSCILDSEINISWRIAWASGSCSATGSSAAAPGGCRSEAGGLRPGDSVRRCGAWIWRCVCVVEARGVLVRSLLVLDGLYPKIVFAWRGVFCFSSRRRKGLVSSSGLGCSRSWETASLLLVGSCTGFVWLCGFRSLVVLRLHPRRCGKGLSSMAFSSASHEGALPLLSSPMYLVELLWLCFVSSLTGPKRSKTETGVLFRIRSSSCSAGWVSRLRGRLGGLAGVLGLWSAGLFMLDSD >A09g518340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55738289:55738922:-1 gene:A09g518340.1_BraROA transcript:A09g518340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGRKEEIVTREYTINLHRRLHSCTFKKKAPNAIKEIRKFALKAMGTKDVRVDVKLNKQIWSRGIRGPPRRVRVRVARKRNDDEDAKEEFYSLVTVAAIPAEGLSGLGTKVIDEDE >A09p037890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:32504:33052:1 gene:A09p037890.1_BraROA transcript:A09p037890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSILHPSSRLFMPLRVESSLPPSLLTICVCSQDTEEALDMIIHDQSPSKGRASLPSLFPMKLLSTPISLLGCIGPWEWIPANSLLSSPFACVHRLQIRLWVLSTMIKTVQRVVLNFPLDLPQNCLFMAFTPPWVLDWESDQLSVFFYGFFVFQGVEGSPGVPAKARTCLPNIGEGVVTS >A10p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20866737:20868004:1 gene:A10p036760.1_BraROA transcript:A10p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 53 [Source:Projected from Arabidopsis thaliana (AT5G06720) UniProtKB/Swiss-Prot;Acc:Q42578] MAVTNTPTTCDGFFIVILTTLIISSSLFGTSNAQLNATFYSGTCPNASAIVRTTIQQALQSDSRIGASLIRLHFHDCFVNGCDASILVDDSGSIQSEKNAGPNANSARGFNVVDNIKTALENACPGVVSCSDVLALASEASVSLSGGPSWTVLLGRRDSLTANQAGANSSIPSPVESLTNITSKFSAVGLNTNDLVALSGAHTFGRARCGVFSNRLFNFSGSGNPDPTLNTTLLSSLQQICPQNGTGSGITNLDLSTPDAFDNNYFTNLQSNNGLLQSDQELFSTTGSATIAIVTSFASNQSLFFQAFAQSMINMGNISPLTGNSGEIRLDCKKVNGS >A06p014980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6688095:6688868:1 gene:A06p014980.1_BraROA transcript:A06p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDRVYPSSKPPGAATANPTFPANKAQLYSANRPAYRQPASRRRTHSRGCCCRCCCWTIFVILLLIAAAAAASAVVYLIYRPQRPSFTVSSLKVSSLNFTSANHLATGISLSVIAKNPNKNVGFNYDVTDITVYKTSPGDDDVVIGKGSIPSFVHGKKNTTLLKSTIGSPPGDLDELSAGKLKGELKAKKAVAVKIVLDTKVKVKMGSVKSPKSGIRVTCEGIKAAAPSGKKSTTAATSGAKCKVDLRIKIWKFTF >A06p050260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26436588:26439309:1 gene:A06p050260.1_BraROA transcript:A06p050260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKASIGRLRKLTSHKVDAKEKGELVATALVDELARAGKDMQDMRDCYDRLLAAAAVTANSAYEFSESLGEMGNCLEQIAPHNDEESSRILFMLGKVQFDLQKLLDTYRSHVFKTITSPSESLLSDLRTVEDMKQQCDEKRNVFEMALVKDKGRPKSSKGERHIPPESRPAYNEFHEEATMCIFRLKSLKEGQARSLLTQAVRHHTAQMRLFYTGMKSLDTVERHVRVSAEKQHIDCDLSVHANEVEGSSEDDDDQYINKERELSFAYKENEQRVDAASISPTWGSMKMDDTDLSFPRPSVTRAAVVTADHREEYPHRMTSYSAPLFPEKKTKTNPSSNAYVLPTPSPYSNPALNPRPANHNIWHSSPLEPIKSVKDVESNSIYVRLPRPSTTDKHPHQQQTAVRYAFSGPIKPSSSKPVTTTTTDTFRPLPTPPVHQSHSPRASPTASPPPASSSSPRYNELHELPRPPGHFAPPPRRAKSPVLVGHSAPLTGWNQERNKTVSLAPPSTTNIVASPLPVPPLVVPRSYSIPSNNHRAVAQRAVRRNEDGVASPPLTPMSLSRPLESTGVARTSQIRGKKTHQKNVLFFSLFTSRRADRTMKLVFDQNENQHK >A01g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2581417:2587953:1 gene:A01g500690.1_BraROA transcript:A01g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAQHGVHDVLNFSTEVHVFHRTEQTDRVVYWTVPHTSGKELWLEPWPDDRSDRTGACLSCPTSQAKADGQARINLGRANSDSDHSFSHLARLARTACTSDCADDLAALFIPIMDFSFGYFSKARILKLSEDLGHVGTRLVRSERSAAFAERPAALADRPAHVLILSALDTTSSDESGQEPNSLLDYSKQTPLSCWTGASHPATDRDCLSNGPPLVSSFIPPVLLSEFISPLPVIMFGLQRKNTKEKSPRPSASQSSFKSSLNYFDECVSVQEKPNRWSNEHVNTSKGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVRKSQKKSTSTRAPVAEPSFSISKKTEGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDRPYLESEFINDNEQANVELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPFLPDLQEHCEKSELVISLPDMFDKISSLDVIRFGLDKKKENCFSKSVFGNMINSFKIFEPDKFLDQQRFQNNLGISSEIILSFDQSLEQSKVFDHFEKYLEFDMKQTDFCATKSFDSFVFKENSFDLNSSRHRLITDDSFASSLDLDDFLIKKMQEQNSLETETGFCELDFCDSVLQPDLLSFENDKTWNFLRSSCENFVDLSVADILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKICYLSCTFDPGLLVFFLSIQRRQVQPLNENIGRAQQPQIWRSFVVQTSYLGASDRGSVQDGYLNSPKVFCLESNFKRNKTHQGFTEAWNRMKSFTDEEVMNFSNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKVFPQSFYCLNQKHCMDHELIASTLHENVLKPRISKRKPILTWLKNVLLKPFHELISLSCALKEIWCRKKHEPKLLRPKNQFDFIHDKNFSDLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDLDVLRIEKPFHYFFGRFAVVSLVALNKQDKHDHFLRRASTNGRQSTWNSLMKMTSKTDRVVYWTVPHTSGKELWLEPWPDDRSDRTGACLSCPTSQAKANGQARINLGRANSDSDHSFSHLARLARTACTSDCADDLAALFIPIMDFSFGYFSKARILKLSEDLGHVGTRLVRSERSAAFAERPAALADRPAHVLILSALDTTSSDESGQEPNSLLDYSKQTPLSCWTGASHPATDRDCLSNGPGSSDVLRVLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHGRPAFADGRPVCADGRPVCTDGHTDTHGQPQTPRGPKSPEQSTERADMCTDGQPDVLCVLTDGHGRPVCADGRPRTSSDVLCVLTDTHGRTLLSLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNFPGTFPANFPVDRFAPNFKFSLLHGLGLVSSVFQLLF >A09g518410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55852567:55857181:-1 gene:A09g518410.1_BraROA transcript:A09g518410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGVEPTSLAAKPTKLDRPCRARACYVMGLMEGCNPSPTISPPPVHLEASGSEAPSLVVRPRRRARRRSGIDQSDRLPAPRSVPFYEVDCRPVIFHPGGIFEELPSLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKGSKKRSREETSVGAMETSTAAENDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGERLPKSEMAHGMNLRRVREPLRLLRGRPVREVEIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSEIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPEGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPSQVEGLGDQVVEEETIEALDPSRDDQDVVTSDEPVIGFTRLPSCFDLELSKSR >A04p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2441107:2442301:1 gene:A04p004730.1_BraROA transcript:A04p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMGKENVATRLSCVHGLRGHALTFKRRNGGRNKHNRGHVNPIRCSNCGKCCPKDKAIKRFLVRNIVEQAAIRDVQEASVYDGYTLPKLYAKMQYCVSCAIHSHVVRVRSSTNRRVRTPPPRFARRKEDAPKPGHPGQAPRPAGGAPAAPRA >A10p015860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3387721:3388976:-1 gene:A10p015860.1_BraROA transcript:A10p015860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSFYGGFPWRISCSSSVYSVSPAHVLHLRCATSEFFGKPETFKHDVELMGGSHASHGERLSSAHFQTPFQDNGTGFSSDKRFRFRNHDELLCVDNTITHLPDIISELAAIKSNVSDPLQGKYRVMSTIKIVSDVSVTMSMFESQWKAYGWIQGLLSQQS >A03p010460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4201967:4204882:-1 gene:A03p010460.1_BraROA transcript:A03p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHRRAPSFNPMTEEDNAMFLDILHEAPLFGHRESRSLVGSCIYLIILASYAVLAAGAPLILQPVQSLIPSLLCSCNVALLMLTGMFQQYFVNQVQKIRLQGYYSFSQKLKHVVRLPFAIMAYGTASMLLFMVWRPYVSVLPILTVQRFIMSVEAISAASFMIVFVGYVRQYNSVNSQPDVLNSLYSPLQPAALEGLRYHEAGRLSDQQMALLQYQRENLHYLSEEILRLQESLSKYEESNGTSTPQVDLAHLVATRDQELRTLSAEVDQLHSELNLARSLISERDREIQHVRNTNNQYVAENERLRAILGEWSMRAAKLERALEVERITNVELRKKVSGLREQRQMQ >A09g502960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9816157:9816447:-1 gene:A09g502960.1_BraROA transcript:A09g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALDSEFDEIQAVSKEFSEFSIAYIPRSLKFRTNSLAKGVRSRASRSAFVNPFAPSWLPHKLA >A06p042620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22901652:22902969:-1 gene:A06p042620.1_BraROA transcript:A06p042620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSNSGEWEKMKKEISELMTEGRDYAHELKFQLGSSSSDQESREHLAKKILESYHKSLTIMNHPAELDQVSPHIHGGGAMIPTKNPTKTSRVQRSQCQGGPKKSELPLELRLIELLTMGSVGESTAKRISSEPSFQGYYRCTYRKSQGCEATKQVQRSNENHQMLFEISYRGIHSCSQAANVRSAIPVRVLEPNQPQEHENLEMVKESLDAGHHNYNHQSHLHQTLQYHLSSTPTLESNNMMLQLADQNIDLFGSTSFSSDLGANVNYDYLASHNVGSVSHSTSNSPSESPFESFDPNNPFKGFRGF >A10p031390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18765439:18766362:1 gene:A10p031390.1_BraROA transcript:A10p031390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMLYKLFASASLLTLGLYHLVCTFFHVIKSPQSYAAKPFYPLPRVSGNNKNNRLQKLPLIILILSLAVAFLHQTLISFYSDPLVKGSTPVHRFSSLNSAAVFLLFLIVAVYLLLSESASSLIPLPSDLLFALASGGFFLHYSAATASAAIQTSDLQAHCDSLSARVSALCSLLCLLLACRPRLFVADAALAASVCLQGLWQLQTGLSLYVDGFIPEGCHRLLDVSGGVEGSTQCDIQESKLRAVSVLDLMFTVHVVLVVILLFVTYTMVAMAAGVRRTGSYEALPNNSSDSSNHIQMKSLTGTQA >A08g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10230454:10231040:-1 gene:A08g505950.1_BraROA transcript:A08g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQGAEELESLNHNRVGDVFDFPGGALNNQLSELNPPFLQIKDPNTILTAVLGLGFIDAG >A06p006090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2033117:2039925:-1 gene:A06p006090.1_BraROA transcript:A06p006090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 6B [Source:Projected from Arabidopsis thaliana (AT1G08135) UniProtKB/Swiss-Prot;Acc:P0CG16] MSQQKSQMDYWDAQWRGYKRNASSFCETHPFLINSQGVWEILGNKAQGMSFWEYPLPNLEIIIFSTFVIWRLFDFTCSKIGLRVPRFTYMMIAGIILGQTCYVNNKSWLHNIFFPDDGRPKVAETLGAFGFLLYWFLKGVTMEAGTGLRMGKKAGVIGFTTMFAPLICGNFLFRWRKRGNISVLITEYRLIIFMQSISAFTSIDTLLKDLKIKHSEFGRIALAGSMVTDMLAFIITFLNAMHYEKYDGLLQTVFSCLFFAFMVFVMRPAMYWVIKQTPEGRPVKDFYIYLILALACLSFKYFVAIHSYGPAGSFVFGLSVPNGYPLGSAFVQKFESFNLGALFPLFGSLTMMQVDVPWLLKECGNLIRMEGQLYEVVSFILFVNATKFIASTIAAYSFKMPLRDSFALALVLNNKGVFELAYFAYAVETKKVRPEVFTIVAAIILLNSIFIPMALELVHDPSKRFKSYRKRNLAILKDGAELQSLVCIYKPDNITSMISLLEAFNPSKDSPMACNVLHLIALVGQATPTFISHQLQKPELGSISCSDNVITSFRRFQQKIYQYTSLDIFTSVSMTKHMHEDICWLALSKSMTLILLPFHRTWSVDRSTVISNDDKLRIININVLRRAPCSVGIFIYRKPIVEYHMTAYHSKICLIFNGGKDDKEALAITNRLRLTDTRISLTIIRFTPTFSEMKNEGMEEAFQMVSLEETVSNFVKEKDESVTYIDKAISDGSETSKILRAMGNDYDLFIVGRSSGLGTEATSGLSEWTEFEELGPIGDLLASHEFPSRASVLVVKKQEYIHHTKSQKRRSKRCIIFIDKICSKMDAQEATWHREMWNGQVKRTDMGTNMFCEVSPHIMLNSHGAWEKLASGPTAMSFWEYPLPKIEIAILSTFLSWRFFDILFKKLGVPIPRFTSMMLVGALLSETFQSSKMAWFQRIFVCDIYMPRVAETIGTFAFVLNWFLRGVTTNIGMVKNFRTRSTVIGVAAMIIPWYIGKLVYTYREKSSDLTMTNMEYSVIILTTSMAPFTCINMLLTDLKVVHTEFGQIAQSSAMVTDVLAFTMTISSQISRDYYSGMRMGLALMVFFVSLYLVRQVMLWVVRHTPEGAPVKNIYIYIGLLLGYLAYIFWDYFLFFGPLGAFVLGLAIPEGPPLGSEFIRRFDSFNEGIFLPLFGSLTMIKLDWSFIIKELGSGRHLHGHTYECLSFLFIIYMTKFTTSFLTAIASRMPLRDSAILGIIMGTKSSFELAYILYAFDKERVGLEVFTLMGIYILINSLLTPMAIHFLYDREKRFASYGERSLKQKPELQMVVCISKPDNITSMINLLRATAPSKESPLMCCVLHLIELVGKATPTFISHQLQKPKPGSQSYSENVISSFQMFQDIHLDYTSIHMFTSLTSAKEMHEHICWFALDKNSNLILLSFHRNWGPSGYGIISDDQTLRNLNRSVLKRAPCTVGILVHRKPIWQPKPVESPCRVCLVSAGGNDDKEALALADHMRGNPKVSLTVLTLIPMSIAEERGGEWSQNQMVDTCLVEERPGDNSITYIVRMVAEGGETSKILHSIAYDYDMFIVGRSSGNGTEATKGLGDWTEFEELGIIGDLLASEDFPSRASVLVLQQQVP >A09p075550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56861474:56862274:1 gene:A09p075550.1_BraROA transcript:A09p075550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMILDVCNEIIKIQKLRRAVSYAGFYCFTAALTFFYTNNTTRAGFSRGDQFYASYPAGTELLTDTAKLYKAALGNCYESEDWGPVEFCIMAKHFERQGKSPYVYHSQYMAHLLSQGQLDGSG >A03p032220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13636744:13637943:1 gene:A03p032220.1_BraROA transcript:A03p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLSVGSSSSSQIAAIFSVTLLLFYFSEATLGSPCPINGLPIVRNISELPQDSYGIPGLTHMTVAGSVLHGMKEVEIWLQTFAPGAATPIHRHSCEEVFVVLKGSGTLYLAETHGSFPGKPVEFPIFANSTLHVPINDAHQVKNTGNEDLQVLVTISRPPIKIFTYDDWFMPHTAAKLKFPFVWDEQCFQESQKDEL >A03g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9370566:9371400:-1 gene:A03g503090.1_BraROA transcript:A03g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A variant 2 [Source:Projected from Arabidopsis thaliana (AT2G38810) UniProtKB/Swiss-Prot;Acc:Q9SII0] MAGKGGKGLIATKTTTTAANKDKKKSISRSSRAGIQFPVGRIHRQLKTRVSAHGRVGATAAVYTASILEYLTAECASLLDILKCIWVTLTS >A10p036730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:20855443:20857401:-1 gene:A10p036730.1_BraROA transcript:A10p036730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLACKLLFLILTIACKIRTQVKCLEFDFPTFEASNDSDLIKVNSYIVLGAIQVTPDTRGGSLTNHAGRALYNKPFKLWNKDKKATFNTTFVINISNQTDPGGEGLAFVLTPETTAPQNSSGMWLGLVNETTNRTLGSKIVAVEFDTRKSHPDDLDGNHVALNVNNINSVVQESLTGRGIKIDSGVDFTAHVRYDGNNLSVYVSRNNSEVYNQRNLVFSRAIDLSASLPETVYVGFTASTSNYTELNCVRSWSFEGLQIDGDGSKLWVWIIVPIVCLVVIGAILGLLFLRSRSRAGETSPDIEAELDNCATNPQKFKLRELKRATGNFSHENKLGQGGFGMVFKGKWEGRDIAVKRVSEKSHQGKQEFISEITTIGSLNHRNLVKLLGWCYERKEYLLVYEYMPNGSLDKYIFLQGKSRSNLTWETRKNIIRGLSQALEYLHNGCDKRILHRDIKASNVMLDSDFNAKLGDFGLARMIQQSEMTHHSTKEIAGTPGYMAPETFLNGRATVETDVYAFGVLMLEVVSGKKPSYVLDKENQSNYNNSIVNWLWELYRNGNIMDAADPRMGSSFNVDEMKSVLLLGLACCHPNPNQRPSMKTVLKVLTGETSPPDVPTERPAFVWPAMPPSFSDVDYSLTGSQINSVTELTGR >A09g518290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55707383:55707997:1 gene:A09g518290.1_BraROA transcript:A09g518290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQSYVDDHLMCDVEGNHLTAAAILGQDGSVWAQSAAFPQLKTEEINGITKDFEEPGFLAPTGLFLGGAKYMVIQGESGAVIRGKKGPGGVTIKKTTQALVIGIYEEPMTGGQCNLVVERLGDYLIESDL >A10p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1613204:1617607:-1 gene:A10p003160.1_BraROA transcript:A10p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSRRESFSDTATSTVCPGTDLLWPFGKLDGLDKDEIRETAYEIFFAACRSSPGFGGRTALTFYSKHNGGDNEGDGLGGGGGSSKGSGFGSLGRKEVVTTPTSRVKRALGLKMLKRSPSRRMTTASGAVSAPSSPGNGSSVGGGSSGHISPGSGFLTVPPSRPRRPLTSAEIMRQQMRVTEQGDTRLRKTLTRTLVGQSGKRAETIILPLELLRHVKTSEFSDVHEYQIWQRRQLKVIEAGLLLHPSIPLEKTNNFAMHLREVIRQSETKPIDTGKTSETMATLCNVVISLACRNTNTAASDVCHWADGYPLNIHLYVALLQSIFDVRDETLVLDEIDELLELMKKTWSMLGITRAMHNLCFTWILFHQYIVTSQMEPDLLGASHAMLAEVANDAKKSDREALYVKLLTSTLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSRIVGEDVTISQGSSQEKGDVKLVDSSGERVDYYIRASIKNAFSKVTESMKDKVSATEEGDEAAKMLVDLAKETEDLALRERECFSPILKRWYSVAAGVASVSLHQCYGSVLMQYLAGRSSITKETVEVLQTAGKLEKVLVQMVAQDSEECEDGGKGLVREMVPYEVESIILRLLKQWIDDKLKTVQECLSRAKEAETWNPKSKSEPYAQSAGELMKLANDAIAEFFEVPIGITEDLVHDLAEGLEQLFQEYTTFSYIPTLPPLTRCNRDSKFVKLLKKATPCTASGEDLNSLSGGSLGISDGHHPRPSTSRGTQRLYIRLNTLHFLSSQLHSLNKSLSLNPSVLPATRKRHRERTNSSSSSYFDSTQAGIETAFHHVSEVAAYRLIFLDSYSVFYERLYVGDVANARIKPALRILKQNLTLMTAILADRAQALAMREVMKASFEAVLTILLAGGHSRVFYRADHELIEEDFESLKKVYCTCGEGLISEEVVDREAETVEGVVQLMSQPTEQLVEDFSIATCEASGMGLVGTGQRLPMPPTTGRWNRSDPNTILRVLCYRDDRVANRFLKKSFQLGKRR >A02p026420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13859373:13865534:1 gene:A02p026420.1_BraROA transcript:A02p026420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMFYFLLALTAVLVVTASPGEPVLDINGDIIFDGSYYVLPRIFGPAGGGLTLSPRGGNNCPLYIGQEYSEVKRGIPVRFSDWRTKVAFVPESTNLNIEMDVKATICVQSTYWYVPAPDMVFEAAFIAAGPKPSNDFFQIKKFEDSIGGYKIVFCFNGEDCVDVGIFVDKHGVRRLALASTPFEVVMNPMFYFLLALTAVLVVTASPGEPVLDINGDIIFDGSYYVLPRIFGPAGGGLTLSPRGGNNCPLYIGQEYSEVKRGIPVRFSDWRTKVAFVPESTNLNIEMDVKATICVQSTYWYVPAPDMVFEAAFIAAGPKPSNDFFQIKKIEDSIGGYKIVFCFNGEDCVDVGIFVDKHGVRRLALASTPFEVVFVRASETKTSSKPIMSII >A05p041880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25380112:25382017:1 gene:A05p041880.1_BraROA transcript:A05p041880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGTMDVVTHLPPQLPSLSDLEARMQLLRVSAFEESQGDDDTFAQRAEWFYHRRPLLLSLCLDLYNGYLTLLGRSHQTRPNQQLKHAPSHPNHLLQDDEDCVSEVDSGSELSSESTLSFQQMEDPAAVSEKVDELVSQLVTANLDKEILQDELLNKEEKFCEAAKTIELLKKFVMLLEMEKEVAVEENANLGYKVSTGCFGLDKYKTKKKKENKTEDKTGFKWLKKLNNINLFTKLMILSAIFSLTSLMLKSEGANHTVGDSSGWELLTNYTNWTQGREFHVGDVLVFNYNKDEHNVMQVNSTAYADCGRDNYISLFTNGNDSIIISEVGEHWFICAMYDHCENGQKLSINATL >A01p058110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32979235:32981516:-1 gene:A01p058110.1_BraROA transcript:A01p058110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTASSSDTLATGLSLLETADLFQELSLGSDGSEASRNRNKGSLQQQYGHVPSGAFTAPSLHGSERRPNLNVGDFFGSYPWGYLPTNHPSGGYQDQSFGYGHNSSLNTYSHLMNPHSSQEALSYDQFGYNDHMYSNNGLYGLYGNVIDSGHAYGTFGYDSWKLGRGWYPVDGYKKTKSFNYGRGYSEEKADRLNELCRGPRSSEGLNSTVKQDSPVVENFPETLVNAKFFVIKSYSEDDVHNSIKYGMWSSTPTGNKKLNAAYQESSQDCPVYLLFSVNASGQFVGVAEMTGPVDFNKTMEYWQQDKWIGCFPVKWRIIKDVPNSLLRHITLVNNENKPVTNSRDTQEVKLEDGIKIIKIFKEYMSKTCILDDFKFYETRQKIIRDKKIKQKKQVLDGATVETIH >A05p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4582589:4584298:1 gene:A05p010800.1_BraROA transcript:A05p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 37 homolog 2 [Source:Projected from Arabidopsis thaliana (AT2G36680) UniProtKB/Swiss-Prot;Acc:Q3EBL9] MFNFWGSKEQQLGESRPSSSSEPSPQQPWYSPSLVTSPSSSRPQSSGGQIPSHVSPGEAAGIIALLKDKSVDELGKLLTDKNAYQQFLNSLEQVSIQNNIREELRKETLHLARENLEKEPQIVELRNQCRIIRTSELATAQEKLNELENQRDEILRFYSPGSLLHRLQDAMKKGDEESEELQQKYMEKEIDTAAFVQKYKKLRSLYHRRALIHLAAKTSSMG >A02p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14677082:14682728:-1 gene:A02p029080.1_BraROA transcript:A02p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQRQLSSSFTKLCERLPSFRNGEDNIRDLEAVFAYMDANRDGRISAEELKKSFNTLGDQLSDEEAEAAVKLSDIDGDGMLDFEEFSQLIKGNDEFTEEEQKRKMMEAFRMYIDEGEDCITPRSLKTMLMKLGESRTSDDCVVMIKAFDLNDDGVLSFDEFSLMMMQRNHSDHFLIQFLHQKQEENL >A05p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21062319:21063755:-1 gene:A05p037100.1_BraROA transcript:A05p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSLHGNNGGMHNGGDELPMHVQYEHHGMMDEHVDDGMNGALETDIPSHLANSSDHRGEVVDRGGENGDQLTLSYQGQVYVFDHVLPEKVQAVLLLLGGREVPQTLPTTVGSPHQNNRVLCLSGTPQRFSAPQRQASLLRFREKRKGRNFDKTIRYTVRKEVSFSECRMQRKKGQFTSAKSSNEESALTGPDWGSGQSWALEGCETQKPEALCRHCGTSENSTPMMRRGPEGPRTLCNACGLMWANKGALRDLSKGPPPQITRNLPANKNDESNLEADQMMVVAGDNSNSN >A01p042350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22854808:22855461:1 gene:A01p042350.1_BraROA transcript:A01p042350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLEVRRSGDEVLLWSHGERRSLVALSLRRSSRWCLKSCFLLWVTGFLVAVEKSDEGIDVAVAPRNPGSADVYFLRQRAHQTFFYSVARAGLCAAVWWRVISGSGVCCVFVGQAVGTNLAEPPDIDFGLLCLPMFRLDRVCVLV >A03p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23501703:23502765:-1 gene:A03p054670.1_BraROA transcript:A03p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHHCCIYSKMLSKCTFPSLLIISSIFLTVRVLYVLYRCGKPFSKGASPSFSTLIVLGSGGHTAEMLSLLSVLRMDRYTPRFYIAAATDNMSLQKARSFEDSLVDKSAVKEASLQYTQIYRSREVGQSYVTSVWTTIVAIVHALWLMIRIRPQVILCNGPGTCIPLCVIAFLFKVLGIRWSSIFYVESVARVKRLSLSGLLLYKLRMADQFFVQWPQLQKNYPRAHYVGCLM >A10p006320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9672621:9674472:-1 gene:A10p006320.1_BraROA transcript:A10p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTHRCDTYYTQLYNTFDTTRLSLKEEEDESHGTSVCPIHKKMASFIVLNAFRRKKQGTSSTMLSLYSSSTIKASFRSSIPPLRSPPPSLSVGSLIRFEKRDHSTVAACGGVSMNHGSSSQVAEPFFRSVLGQMETVYLNRNPTPRSVLELVRSVDDDQLCYDHLAFRTFGIGGYGIDSLASFFLDYGYTQMDELRFPAKKLRALWFAPPHASAAVPGGSGVDGPLPRVFISELLVDQMSTQTQDVIRRYTETSPNGRKYAALSSALGSLPWGKPLSSEFEQLARESEYAAWTLANGYALNHVTISVHRLKSHLNKIKKLNQFLEEKGFKLNSEGGVLKVSPDGGLLQSSTVADSLSFKFSDGVTKYIPCSYIEFAERLVLPQFQNVPESDIKECHRRDGFEVGNADKIFESTNQEQLSRRTG >A01g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11827121:11827596:1 gene:A01g503910.1_BraROA transcript:A01g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDSGLANLGDWEETGLEKDDEDFEVGFSRRGKRERGEIRVSMFGAQEKESCVISVSAAAAVSTVVAASMRTVVVRFTDADAVAAAYHIATADFIGVSRRTQRSDAAAGSCINETNKS >A02p030070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15247904:15254316:1 gene:A02p030070.1_BraROA transcript:A02p030070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDQCQWPEKMMCMGVGGGCGAEEKENDKGVDLLAQASKHLSERSPYDVPEDGLALGLSVSTLPVVLANLLNQKDDKKRHKKSHHGTETKKKKSSRVGEKLRAGSIWVEHDDYFRRLEAPDLETLSDLASLRSLSSRNCFLVPSASIQQRETDATARNEDAVCGEEAKDILSEGVNEVVGHQPVSVDNVGDEISSGGLEWVLGCRNRILLTSERPSKKRRRLGSDAGLEKLVVAAPCRENALLCDFCCTGEAKGYHRQLIVCTSCKATVHKKCYGVVEDTDKTWLCSWCELENGRGDSERPCSLCPKKGGVLKPVLSKTENGGPPEFAHLYCSLWMPEVYIEDLNKMEPILNLPGIKETRRKLLCNLCKVKSGACTRCCYATCRASFHPICAREAGNRLEIWGKHGCDTVELRAFCSKHSDIQDSGRPINGGNINAADPPVCHLPTESIRDRLSNDETGVEVGAQGTGSDISRNSELQELESPRSEFDRSATDIVESGMTERSTDNEKKTRSESLSFVLILKKLISLGKVDVKDVAAEIGVNPDALNAKLMDGDLLPDLLGKIVKWLSQHAHMGTRDKCGNIKSTNTTKSERRVANCTEGIVMLDSNIVDPGVFSLERASAEICTGIGFVVDEAKANNPVLKKEISGNFPSDHSPEEQKPVVLDQEFRLGKNTVHLSDNHGEKSNPSSSGLMVENAFSVGPNSSQNRGILNDPSPMILDLLDHEAYPGFNPHPYIHKELSEMGKGKTVKSSTDSYVDRMTSEPDGSEEGTKHLQDAGDHTTCCNSQSQRAGCGDTFCRLAKARKLGILDMSPKDEVEGELLYYQLKLLGTGVSRKQLSDDLAYAVTKKLPLEIDEQHGRIWDDVLVNKYFHDVREARKQGRKEKRHKEAQAVLAAATQAAATSSRNTSLRKDMAEEPAQQEMSPHRRGSAHLVPQTKETLLKVPVSGPPSEKRSDQRTREFSLENPRSCDICRRSETIWNLIVVCSSCKVAVHMDCYKCAKESTGPWYCELCAESTGSFNFWEKPYSTTECALCGGTAGAFRKATDGQWVHAFCAEWSLESTFRRGQINHVQGMESLAKKTNTCCVCQRIYGACIKCSYGNCQTTFHPTCARSARFHMTGGGKLPHKAYCEKHSLEQKAKAKSQKHEAAEQKSLKHYRVELERLRLLCERIVKREKLKRDLAVSSHEILAARRDHAARNPFSPPEVSSDSATTSIKGYPDSNISGSEAIQRSDDITIDSTASVKQRRGKGLVLIDTDQKTDDSATSRGRFTRNPTESQLFSVKTVPRKHCIVSPSVSEEGDEESETKKQHVETFAKELVMTSDEASFKNRRLPKGYFYVPVDCLQEDKQDSSDKPINQTVPYGECEI >A09p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10127793:10128804:-1 gene:A09p019180.1_BraROA transcript:A09p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G61520) UniProtKB/TrEMBL;Acc:A0A178W5Y6] MAAHALVSSSLTSSVQTARQIFGTKPVVSVRKTSFVVKAASTPPVKQGANRPLWFASSQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPADTALPWFQTGVIPPAGTYSYWADPYTLFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGFAGSGDPSYPGGPFFNPLGFGKDEKSMKELKLKEVKNGRLAMLAILGYFVQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >A01p055290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31268927:31270057:1 gene:A01p055290.1_BraROA transcript:A01p055290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELTRGGYTVCNDPLFFKKMINTLQSFRTFLTPNVLSNASRHSISRTQFICLSKSTGDGTSDSDPNPPKPEGDTRRQELLARIAMLQTSKVRLTDFLDERSDYLTKFAEEANAEFAKVGEDAMKDLDEASSRILENIESKMQAFEESAGLNRLEIEENDNKLAEFEEKIVEDRNEGLFFKSFRDKKPVDVEKAREETERIQEVTKVSAGSKSRRNIYLGLIGILVVAISDSFVSSPDWRKVAVLGAILVALLTQFVYEQTLLSEEVDKGKGNKKE >A02p044420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27997092:27999760:-1 gene:A02p044420.1_BraROA transcript:A02p044420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKSDTFRYRTIIYGLMANEIQGDMCGEVLPQVDCGAGSCGALCRQLKHGTPHCVRASNGKLACYCKPEPRNKQKDRRKAQNSAPELRNKSQTPSFNLHKPRSLPSGRSIRDLDREREQSLRVFTYEELSEATCGFSRRLKIGHGGFGNVYKGKIPTTGDSDPPLVVAIKKLNPQGLQGHKQWLAEVEFLGVVNHQNVVKLLGYCSEDGENGMERLLVYEYMSNRSLEEHLFTRGARTLPWKQRLEIMLGAAEGLAYLHEVKVIYRDFKSSNVLLNDEFCPKLSDFGLAREGPQGDNTHVTTAKVGTQGYAAPEYVQTGHLRLKSDVYSFGVVLYEIITGRRTIERNKAPAERRLLEWVKEYPPDSRRFSMIVDPRLRNNYPSAGTRSLAKLADICLKKNDKERPTMEIVVERLKKIIEECDSGDSYIAASKESS >A07p050380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26848455:26852406:-1 gene:A07p050380.1_BraROA transcript:A07p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 7 [Source:Projected from Arabidopsis thaliana (AT1G78060) UniProtKB/Swiss-Prot;Acc:Q9SGZ5] MAKMILLLLILFFLHGVDSSPPHACDASNPTTKLFQFCRTDLSVNRRARDIVSRLTVDEKISQLVNSAPGIPRLGVPAYQWWSEALHGVADVGPTPGIRFNGTVKAATSFPQVILTAASFDSYLWFRIAQVIGKEARGVYNAGQAKGMTFWAPNINIFRDPRWGRGQETPGEDPTVTGAYAVAYVRGLQGDSFDGKKTLSGGHLQASACCKHFTAYDLDRWKGITRYVFNAQVSLADMAETYQPPFKKCIEEGRASGIMCAYNRVNGIPSCADPNLLTRTARGLWHFNGYITSDCDAVSIIYDDQGYAKTPEDAVADVLKAGMDVNCGSYLQNHTKSALQQKKVSEADINRALINLFSVRIRLGLFNGDPTKLTYGNISPNDVCSPSHQALALEAARNGIVLLKNPLKLLPLSKRSVSLAVIGPNAHVAKTLLGNYAGPPCKNVTPLDAIRGYVKNAVYHKGCDSVACSNAAVEQAVAIARSAERVVLIMGLDQTQEKEDMDRVDLSLPGKQRELITSVAKAAKKPVVLVLICGGPVDVSFAKDNEKIGSIVWAGYPGEAGGVALAEILFGDHNPGGRLPVTWYPQNFVDVKMTDMRMRSSSSGYPGRTYRFYKGPKVFEFGYGLSYTTYSYSFKSLTQNTLYLNQSKPQTNSDSLRYALVSEMGRESCNTAKTKVSVVVENQGEMAGKHPVLMFARHEKGGEDGTRAEKQLVGFQSVVLSKGEKGEVEFEVGVCEHLSRANEIGVMVVEEGKYFLTVGDSELPLTVNV >A07p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7136486:7139653:-1 gene:A07p014100.1_BraROA transcript:A07p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMKLIKQRAKTPSPNKQQKMAFAEITNANMFLITLLLLSLSSIPLSSSTITQDFVMCLVDNSDASFAMDSSFFTHDLNASSFKQALETSAQNLRYLMPSKPKPEFIFEPLYETHVQAAVVCAKKLRLHLRLRSGGHDYEGLSYVSEMETAFVIVDLSKLRQINVDLESNTAWVHAGASIGETLEQDGTRILYKWQQVADKLDENLFIRAIIQPASKTPKSKERTISVSYQGQFLGDAKRLLQVMQRSFPQLGLTKKDCLETSWIKSVMYIAGFPSTAPPEALLAGKSLFKNYFKAKSDYVEKPIPIEGLEGLWKKLLEEDSPLTIWNPYGGMMAKIPEAETPFPHRSGTLFKIQWLTLWQDGKASEAKHMEWMRNMYSYMEKYVSKSPRSAYVNYRDLDLGMNGRGTDAREWGKKYFKGNFERLVKIKAKFDPENFFRHEQSIPTKFK >A01p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6473760:6476358:1 gene:A01p013220.1_BraROA transcript:A01p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKSESFRGVYSPRRFKLSHLLLATAGFYLVFLAFKFPRFIQMVAMLGGDTGLDGALRDTADVSLSGSLRSDMLDRKLEDEESQHGPASTAQKLPPEEKMNASKSKPVQQVPFRYGRISGEIMRRRNRTKHMSPFEKMADEAWMLGSKAWEDVEKFKMENIEESSVNEGAVESSCPSQISMSGDDLSKADRIMLLPCGLAAGSSITILGTPQYAHEESVPQRSRFTRGDGMVLVSQFMVELQGLKTGDGEYPPKILHLNPRIKGDWSHRPVIEHNTCYRMQWGVAQRCDGTPSKKDADMLVDGFRRCEKWTKNDNTDMVDSKESKTTSWFKRFIGREQKPEVTWSFPFAEGRVFVLTLRAGIDGFHINVGGRHVTSFPYRPGFTIEDATGLAITGDVDIHSVHATSLSTSHPSLSPQKAIEFSPEWKAPPLPGTPFRLFIGVLSATKHFSERMAVRKTWMQHPSIKSSHVVARFFVALHPRKEVNAMLKKEAEYFGDIVILPFMDRYELVVLKTIAICEFGLIMMVSSQVQNVTAPYIMKCDDDTFIRVESILKQMDGVSLEQSLYMGNLNLRHRPLRTGKWAVTWEEWPESIYPPYANGPGYIISSNIAKYIVSQNSKQKLRLFKMEDVSMGMWVEQFNATMQPVEYSHSWKFCQYGCTLNYYTAHYQSPSQMICLWNNLLKGRAQCCNFR >A09g516000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47398936:47399313:-1 gene:A09g516000.1_BraROA transcript:A09g516000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYSKVQLKPLKWDGECEE >A02p012130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5318285:5320761:-1 gene:A02p012130.1_BraROA transcript:A02p012130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFDLNVPYSYPSQSGGKEAAVAVANKLRVKLATKAMELGYVGIAHNHSIGGVMTEKDSCTIPLLTLGSLIKAAPRLSSSVAFHRDLLGVPRSTPFRQYTRATVKLESKAQCLGLNSGNPVLKSYDIVAVRPMNQYAFDQACTKAEVDIISIDFSNLPFRLMHPTVKAAIKRGVYFEIKYSDLLKDAEKRRQVISNAKLLVDWTKGKNLIISSGSPSVTELRGPNDVINLMSLLGLSTERARAAVSKNCRNMIAKILKKKRFHKEAVKVELLSSSDTFSLEQTLSGDFMKWDPISSGEGDMLLEDLAKAFDATTRAVANKSSKAIDVTSDRKGLPSHGFRLTDILGSEPSTQETADKMIDDAPVHCKSQVSDACMADSDIPSSVDNRLENKTISQIEISEDDNKVEPTTIVPLRKCSTSQRQGLSVQDQASASVTLIRCTKSDAASDVNMQTELESEDKSVSPSKIGHVVPQSLVENLKMETILVHDQVSLDEVSKEEAISGHAKIEHSVSIDGVEMEIDGSLEANHDQYMEVTVEDQKHETGDSNINLPNLSSSEATDLLRNSDNSLSPEAAGQDHDQVPSLDSSEAELGEEPAVPYNNTIEISMEDKKESGREIETNQQVHVQSERNNVRNSGKTRAKRSRTRLTQLQPLKPFLLHRFKQISKRRKHRRG >A06p043080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23181679:23183165:-1 gene:A06p043080.1_BraROA transcript:A06p043080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEYLDYILVPLGMGLMVFYHLWLLHRIIHRPSSTVVGLNAFNRRLWVQAMMEDSSKNGVLAVQTLRNNIMASTLLASTAIMLCSLIAVLMTSGTGERSVWFVFGDKSDRAFSIKFFSILVCFLVAFLLNVQSIRYYSHASILINVPFKKLMAVSSGGHGRGSLMINQDYVAATVNRGSYFWSLGLRAFYFSSPLFLWIFGPIPMLITCCVLVSLLYFLDLTFDSMDCSVGVAEAEETEIRSLADNV >A06g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25187088:25188854:-1 gene:A06g508890.1_BraROA transcript:A06g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIKAIQAPLKPISRRSLSLRRSLSPTVSLLRRSLSPTLPLFPSFVCLSLSHKVAGSLKFKPSPCSGSLKFKPSQAQTLFSKVAGLSQVHSLKLRPSLVRHSSQAHTLQLSLLRRLSSSLSCSLISSAFLSLFKMAKETWTPEEVRYFFELYAEERRKGNRTTSMNKVGKQNIIDAFEKRFKKGFLEWSLLKNKYD >A05g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8532096:8532769:-1 gene:A05g502750.1_BraROA transcript:A05g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLHKSYLLAFYTADEGQAQISALHLIEAREARKTPHVRTPEIFKLQIFFRLGSQGFEHTEVDPAAVFVCFLQASSEECVCKLALA >A05p001680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:381489:381701:1 gene:A05p001680.1_BraROA transcript:A05p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVMFLRALMSCRLTPNEEPTAAASLSAVKLYRSVAGDHHEFIIETTWGVNDMDEGFHWNIVKNHLLM >A08p045290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24861555:24865596:1 gene:A08p045290.1_BraROA transcript:A08p045290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPRNKAIVTSDQDVNLEEEDEEAYVMSSSDNDDSSDSEFQVSDEDADDADGNDDLPNPVPVPVLDPVPLAVAVPLPNVNAPRGTKRKSTTSVIKEKGKLLWELWEKEDEQWVDQHMTDDVDLDQHNNAVIAETAEPPPDLIMPLLRYQKEFLSWASKQERSVSGGILADEMGMGKTIQAISLVLAQRQVDRAAGCTLVLCPLVAVSQWLSEIDRFTSPGSTKVLVYHGAKREKNGNEFKKYDFVLTTYSTVENEFRKCVMPGKRQCEYCSKWFLPNRLMRHHVYHCGPSSAKALRKSKLNMNPLAVMERERAEASSKGKRSRKKKTKQALEEEDSVNRKKSVLYSIKWNRVILDEAHYIKERRSNTARAVFALEATYRWALSGTPLQNRVGELYSLIRFLQISPYSYYFCKDCDCKILDYTTHANCHSCPHNAVRHFCWWNKNVTNPITEPAYGNEERGKRAMILLKHKVLKDILLRRTKLGRAADLALPPRIITLRRDSLDVKESDYYESLYQNSQSQFNTYIEAGTIMNNFAHIFDLLTRLRQAVDHPYLVVYSSSGGANANLNDENKKEHECGLCHEPAEDSVVTSCEHVFCKACLIDFAASLGEVSCPTCSTLVTMDWTTKADIEQQAHKTTIKGFRASSILNRIKLDDFQTSTKIEALREEIRLMVERDGSAKAIVFSQFTSFLDLINYTLGKCGVGCTQLVGSMSMAARDVAINKFREDPNCKVFLMSLKAGGVALNLTVASHVFMMDPWWNPAVERQAQDRIHRIGQYKPIRVVRFIIENTVEEKILKLQKKKELVFEGTVGGSQEAIGKLTAEDMRFLFTI >A03p003990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1726314:1727174:-1 gene:A03p003990.1_BraROA transcript:A03p003990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATIATFMMMMMMMMILTCIASPLHVSESPIQSPTSSPFKCASCDHGMEANPKCVCKNPIKGSMYFPHPNLKDVNNKTEKILMEGLMGYLESSNVHVESVTIRNATTESNLQNLKVDISLFPMEKENFTQRELDIVSFALGTELYKAPATFGRYYFKQDTYIYLQGDGEEGGSDSPDRDALIIKVVSSLCGVLFMFATAGVLYFLRKKMIPVEEPREHNVEKAFNWIEMLDAMSDMI >A04g502920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6534919:6535098:1 gene:A04g502920.1_BraROA transcript:A04g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTAMMNHNEKREGETQHRGLNHTTNNMTDQIQFSCDTTRKMNAAAWRSSLVEAPLRF >A01g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3668704:3669466:-1 gene:A01g500930.1_BraROA transcript:A01g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDAPKQPRDRDGDRKLGRERGRETSNLKFVDTETFPERLLLCPSPYPTILDVPGSPHTYWGRFLCVPETSPSPRRTRYVRRHQVGVPVQPDAPSDVLGYVVLLLLHQHLKL >A05p054790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31337756:31338091:1 gene:A05p054790.1_BraROA transcript:A05p054790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L39-2 [Source:Projected from Arabidopsis thaliana (AT3G02190) UniProtKB/Swiss-Prot;Acc:Q8L8W6] MPSHKTFIIKKKLGKKMRQNRPIPGWIRLRTDNKIRYNAKRRHWRRTKLGF >A05p035600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20162038:20162749:-1 gene:A05p035600.1_BraROA transcript:A05p035600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVLRRSSFPSRQAPSVKLNLIGNGSTLSSASSPSSFGNIAPSMVSPAGISFQGYATGSESSIGDSKKIKISPENVSKKKKEGGESTSKIKGTRICIAIRSFDNPEKEAWCLPPHSRYAAMPDTRTLYTVLRSPHVDKKSREQFEMRFKKRFLVIKAQSHELSKKLFWLKRYRILGAQYELQFHCKTRLDMSPVLASINGSSIGGQ >A10g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15120878:15123351:-1 gene:A10g505870.1_BraROA transcript:A10g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKMADVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A03p010060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4012947:4014185:-1 gene:A03p010060.1_BraROA transcript:A03p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDQSKLMNPPSQMIAQPPPQRMIPPQQSLMNPVQPRIMNQPHPIIGLNHQPPVMMSNNNNQPLMMNPRSYNPNPSMSFGSNHTKTNRSNNNNSWKGKKIVTDKRPPPPMMRMYNPPQPQQPGGGYKPPTLNELQSQNRLKTKKFYPKKKYGNSNNRYVPYAPRNTTSFIIRAKKSGGIAELVSPCPVTPAILQTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSDGHDDDEDEDEGGSSGSDVEEHVEVERRLDHDLSRFEMIYPSYGGYEYNNVLESRVDDQDSHIAQLEEENLTLKERLFLMERELGDLRRRLQYLERRNMVEEVVENESGSEGDDTVGSDSRTSGDGKGNHVARGEDVAVKDVNVAEAKEIQHKLEGKEKGEKEVSGEKCEGANGAEKFEDASI >A07g500640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1268959:1269156:-1 gene:A07g500640.1_BraROA transcript:A07g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEYASTLTMPELWNRLQGADCNDKGIPRVAKLRDRIEEDRDAADLLPGLQNHPCATSAQPVF >A08g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15630078:15631126:-1 gene:A08g508520.1_BraROA transcript:A08g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKMAPLAERVVNRSVLLNFTIGQGGTALGMIKEKTWLRQVYAIQRTSSFCFLRAILKLEGVYSAFEILHFVKYPGLGNDFILVDNRDSSEPNITQEQAVKLCDRNFGVGAESSLRCLMCGNEVRCFARFIAKIENLQGKHSFTIHTGDGLIISEIQDDGQVKVDMGKPILRAEDVPTRLEGNKGESVVAAELAEMKCTD >A05p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2151032:2152583:-1 gene:A05p005590.1_BraROA transcript:A05p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease ATG4a [Source:Projected from Arabidopsis thaliana (AT2G44140) UniProtKB/Swiss-Prot;Acc:Q8S929] MKVLTDRFVPQSSRKSEKHDISPTPLVSSDSEHSDNKCTLWSNVFAPSSSSVSQPYRESSSTSGRKQLCAATWTSFVKKVSMASGAIKRFQDRVLGPNRTGLPSTTSDFWLLGVCYKLSDDEETDDGSVVAALKQDFSSRILMTYRKGFEPIKDTSYTSDVNWGCMIRSSQMLFAQALLFHRLGRSWRNKNSEGSSVQPEQEYLETLEPFGDSEASAFSIHNLILVGETYGLAAGSWVGPYAICRSWESLACKKRKQSDSLPMAIHIVSGSEDGERGGAPVLCIEDVIKSCVEFSRGEDEWAPLLLLVPLVLGLDRVNPRYIPSLKATFTFPQSLGVLGGKPGASTYIVGVQEDNGFYLDPHDVQQVVTVNKETLDVVDTSSYHCNVLRYVPLESLDPSLALGFYCRDKGAFDDLCLRASSLAEESKGAPLFTVTQTHSLVSHHSSCEFVEDTEEEHGEEDDWQLL >A06p044070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23698953:23701772:-1 gene:A06p044070.1_BraROA transcript:A06p044070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKVDNEESVARCKERRNVIKEAVTASKAFAAGHFAYAIALKNTGAALSDYGHGESDQTLDLLEQSRFEKQSERAAVVDPAPPPPPPIESLPPPPPPLPKFSPSPIKRAVSLPTGVAGRGRKVKGGVDDMAIEEEDEEEEEEEEGAGKVEEEEESSPRTPENVGKGRKRQETPEVVSASPASNLAWDYFFMVENVPGPDLEDREVGNGFESQKNHNFRFNEEIEEEEEEEKEEEEKAGMFRKNSGHIGVEEMEPKTPEKVEEEEEEEEEKGRAKNKIEHANTAPPEFRRATTASDVNLMKILDGIDDRFLKASECAQEVSKMLEATRLHYHSNFADNRGYVDHSARVMRVITWNKSLRGISNGEGGKEDQESDEHETHATVLDKLLAWEKKLYDEVKQGELMKIEYQKKVSLLNRYKKRGASAETVEKTKAAVSHLHTRYIVDMQSMDSTVSEVNRLRDDQLYPRLVSLVEGMAKMWSNMCIQHDTQLNIVGELKSLEISTSQKETTKQHHQQTRQFCTVLEEWHVQFERLVTHQKQYINSLNTWLKLNLIPIESSLKERVSSPPRPQHPPIQALLHSWHDRLEKLPDEVAKSAISSFAAVIRTILLHQEEEMKLKERCEDTRREFIRKKQGFEEWYQKHLEKRGPVEEEGGEDDHVTERRIVVESLKKRLEDEEEAHQRHCVQVREKSLNSLKIRLPEIFRALSDYAHACADSYEKLRIISQAQKPTLSS >A02p000340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:206730:209120:-1 gene:A02p000340.1_BraROA transcript:A02p000340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-10 [Source:Projected from Arabidopsis thaliana (AT5G01190) UniProtKB/Swiss-Prot;Acc:Q6ID18] MGFPIRILVLFALLAFPAACVHGAIRKYTFNVVTKQMTRLCSTKQIVTVNGKFPGPTIYANEDDTILVNVVNNVKYNVSIHWHGIRQLRTGWADGPAYITQCPIKPGHSYMYNFTVTGQRGTLWWHAHVLWLRATVHGAIVILPKPGLPYPFPKPHREEVIVLGEWWKSDTENVINEALKSGLAPNVSDAHVINGHPGLVPNCPSQGNFKLAVESGKTYMLRLVNAAMNEELFFKIAGHRFTIVEVDAVYVKPFTTDTILIAPGQTTTALVSAARPSGKYLIAAAPFQDSAVVAVDNRTATATVHYSGTLSATPTKTTSPPAQNATSVANSFVNSLRSLNSVTYPAKVPIKIDHDLLFTVGLGINRCHSCKAGNLSRVVAAINNITFKMPKTALLQAHYFNLTGIYTTDFPAKPHHVFDFTGKPPSNLATMKATKLYKLPYNSTVQVVLQDTGNVAPENHPIHLHGFNFFVVGIGSGNYNSKKDSKKFNLVDPVERNTVGVPSGGWAAIRFRADNPGIWFMHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPSDLPKC >A04p038690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22005194:22008514:1 gene:A04p038690.1_BraROA transcript:A04p038690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHPFASRENGFGYQEHMDGLTIGSGVWSFISDDMLNSSPTSELMNFNSFPTWCNIPFDTDMLFSQYGQEMSSRSHHHSFDIDELSGKRRSVLNCTIPRSLSHSLDEKMLKALSLFMQFSGSGDGVLAQVWTPVKTGDQNMLSTCGQAYLLDPRLSQYREVSRKFTFASKPNQSSYPGLPGRVFISGVREWTPNVMYYKKDEYLRVKHAIDNEVRGSIAIPILEASGASCCAVMELVTSDEKLSFDVELDSVCRALQPESPLPKLPKGSLKTAVDLRTSAVPRPQVTSEPTKSLAMYRPVGFRYLSSNQRDALAEIQDVLRALCHAHKLPLALTWIPNSGVLCVEETACYVNDMDMEGFVHACLDHPLREKEGIVGKAFVSNQPSFSSDVKSYDISEYPLVHHARKHGLNAAVAIKLRSTYTGEDDYVLELFLPLNIKGSVEQQLLLDSLEGTLQRVCRTLRTVSDVVGETNSTTSIFSDKSSDKDSTGSQGTCEQDMSKARTAERTKGATERNVSLRVLQQHFSGSLKDAARSIGVCPTTLKRICRQHGIMRWPSRKINKVNRSLRKIQTVLDSVHGIEGGLRFDSATGEFVAVCSSNGNNAHVMAEDASCELLKPESVDNTIKLEDDIITNGSFMEVNASDQPCALKAEQSGFNGSSQEIASGNIIEPNRSMSDSSHGSGAVMLRSSSPSMDDRNQRRAHKSNSSESGSATLTVKATYREDTTRFKFDPSVGCLQLYKEVGKRFRLQEGLFQLKYFDDEEEWVMLVTDSDLQECLEMLVGMKKQTVKFLVRDLPAARIGSSAGSNGYLGAGL >A05p039460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24116184:24127465:-1 gene:A05p039460.1_BraROA transcript:A05p039460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRISDLSQDLIEEILSRVPLTSQRAARSTCKQWNGLYKDECFTKKHRGKAANDTMMIRVCNSRACLVSVDLQGVSKQKKGLICSIYRANRWIKPSKFFSKFDRYCLGYDNKNNHKILRFLHHDNYGTTVEQEIFNFKSDSWRVLHLTPDWIIPYRGQGGLSLKGNTYFVARERLGMREYVICFDFTSERFRHCLDLESNYRNGETVILSAVREEQLAVLFYREDTNAIEIRITRKIEANEESWRTFLKVDVQPFMLSGMFSISPPCRSFFVDEKKKVAIICDNHFRRAYMIGEDNYFKIVAQGKTTQWPVVCSYVPSSVQIPKGPVHARGKRKGWNRGVESFSSSPFSLSTAISVSPCSLSQNLSQPFRLSTSFSAVPSLGRSISPSPSPDVSSLSRSVSRPLSPPFPLSADLSLRLRLPTFPLSPDPSLDLFLRRSLSRPIYLSGSVSRRFLSLPIRLSTSISADLSVSADLSLRLCLPTFPLSAESSLCRLISPPVKSNMGHDYSYSQPSESEDLFCNSVSSGFSETDDLIRRDQAEISLQAHSSVQYPPQPEVEFGFPQICYCGAQPLLATSTGRNNPGRRYYTCVNADDGECHIWKWWDVAVMEEMRARDRHVIQLADKVDNLTLSIDYETQQKMVRLEKLVTDITTKKSFFTGRFEYFVGATVLVLLASQRMARSPCKQWNGLYKDESLTKNQRGKAAYDNMVVMVCDSRVCLVRVDLEGVHNNKDGLVEISTKLMDQQNRVKVHREFMVCDGLLLCFRKNGNPLIWNLYLGQVRWIEPKRKLYISDETYCMGYDNKNNHKVLRLLYSGSTVQYEMYDLKSDSWRDLAVTCDWTIQHYREGLSLKGNNYFVAQRVKEVDQMGEYLICFDFTSERFRHCLDLQSNSRNGDSVILSSVRDEQLALLFYSVGTYEIEIQITTKIEANELT >A06g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4587139:4589354:-1 gene:A06g501260.1_BraROA transcript:A06g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVELENSILDALCSVAYLVLLVLDLVILFRQTTMGQDYSYSQPSSSSEFDMTSLLLAEAEAYADEAESSYPIEEPVQYPVQPEADEGIPTTCYCGAEPVVETSYTRRDPGRRYFSCVNVDDGDCHIWKWWDVAIMEEMRDFQRQNRLLKNQFFETDQKVAKLEKTVGLLRKKNSGVAKGVCLLVMVITVMILCWKSFGGFKPQRLNSRLSCQSDADHPLNMNHTTGYVNLLYSQCSVDLESPEPAWFSSNSKGRSHGVVKVVVPH >A05p022660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10910838:10916397:1 gene:A05p022660.1_BraROA transcript:A05p022660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPSVSRSHTTWKGFVQSNDGERYCTESLLQEMMVSKSLTLLCCVVLINLSNAQDQTGFISIDCGLQPDNSSYTESSTGIKYVSDSSYTDTGTSSFVAPEYRGNMMQSMWSVRSFPEGVRNCYEIGVNVSAKYLIRAAFMYGNYDARNELPGFDLHLGPNKWDSVKLESSEGTVSKEIIYSVLTDTLQVCLVNTGNGTPFISVLELRQLPNSSYATKSESLQLFQRLDFGSSTNLTVRYPDDVFDRIWFPSTPDGSKQLSERSTSSSRNSSGKFHLPQAVMRTAVVPETVGGSVDFGWTPDDPSLEFYFYLYFSELQEPSSGSVSKREFIISFNGNSFGRPFSLTYLETLVLSISNPLSEQSFQFSISQSRDSTLPPLINAMEAYFVNKLPQSSTDQNDLSAMRNLKSTYKVKRNWEGDVCVPQAYTWEGVNCSYNGTSMPRVIALNLSSAGLTGDISSDISLLTQLQVLDLSNNNLTGPVPAFLAQLQFLRVINLGNNQLSGPIPSILMGKSGLSFSIDGNPSICATGACEELTQNKSKKKKLPSFVIPLVASLAGLILIATISAAILFIFMRKKKQGRTVHRTITASSNGLSLLRRDTGSMPPSMQRRETGFSTRPSLQRIESGMTDHDMNETAVDGFDMEPANRKFTYAEIVNITNGFERDQGKVGFGRNYLGQLNGKEVTVKLVSSLSSQGYKQLRAEVKHLFRIHHKNLITMLGYCNEGDKLAVIYEYMANGNLKQHISENSPTVFSWEDRLGIAVDVAQGLEYLHTGCTPPIIHRNVKCTNVFLDENFNAKLGGFGLSRAFDAAEGSHMNTAIAGTPGYVDPEYYTSNILTEKSDVYSFGVVLLEIVTAKPAIIKDEERMHISQWVESLLSRENIEEILDPSLCGDYDPTSAFKTVEIAVACVCRNSGDRPGMSQVVTALKESLAAEVERKKDLPVVSTDSVEDLALGFGSNPPPRLR >A05p011360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4825623:4826544:1 gene:A05p011360.1_BraROA transcript:A05p011360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RMAKESTTIDVGEPSTITKSSSHVVVDQKKKKGFVAAAAGGGYKRGLAIFDFLLRLAAIGITIGASSVMFTAEETLPFFTQFLQFQAGYDDFPTFQFFVISIAIVASYLVLSLPFSIVSIVRPLAVVPRLILLISDTVVLTLTTAAAAAAAAIVYLAHNGNANTNWLPICQQFGDFCQATSTAVVAASISVVFFVLLIVISAIALKRH >A05p052420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30687409:30688242:1 gene:A05p052420.1_BraROA transcript:A05p052420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVATPAPAPINAPERNIILALATVETHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAITTVVTISEILKNNGLATEKKVLTSTVGMKDETKGRMIEIVLGKSDKFDSLVPPVTNGKTPEEVAKAETEAAVEVQEAAATEA >A03p027940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11684923:11685713:1 gene:A03p027940.1_BraROA transcript:A03p027940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSDHATVNRIYDEFDPIFNWKTEQGFETLTIYLPGFKKEQLKVQVTTTRKVRVMGERPAGANKWIRFRKEFPIQANIDVDSIGAKFEGANLVVKLPRLPMGKQTSPMGTTAKPPPVPKKTEKVQPTKDKEAGVEKHAEKAQFPKPSREEETKRDEKEKALKETEKENVCDGVKKDYRSNVNAFKENLGGYVALMKNNQKAITLGMVAPAAAVLLLSLGFYAGQMFTS >A07g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25677:26785:1 gene:A07g500020.1_BraROA transcript:A07g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRTKYSLGFKPNDRPARSLRSDRARAKARSLRSDRVIVPLGRYVATELSQARDRARAKARSLRSDRAIVPLGRYVATELVPLGRYVATELSQARSLRSDRARAKARSLRSDRAIVPLGRYVAIELEPKLGRYVATERSSRSVAT >A09p005140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2817872:2818450:-1 gene:A09p005140.1_BraROA transcript:A09p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIGHT-DEPENDENT SHORT HYPOCOTYLS 1 [Source:Projected from Arabidopsis thaliana (AT5G28490) UniProtKB/Swiss-Prot;Acc:Q6NNI3] MESISHQANRNINTSTQSTPPSPSRYENQKRRDWNTFCQYLRNHRPPLSLPSCSGAHVLEFLRYLDQFGKTKVHHQNCAFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGPPEANPFGSRAVRLYLREVRDFQAKARGVSYDKKRKRVNRQKTQTLPQTQPPLPLQQQQPHQGQSVMANYSGATV >A07p019640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11661392:11666756:1 gene:A07p019640.1_BraROA transcript:A07p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILKSNMGLRGIMEISQEELCFINNNGSWYKKEPNFQYNNYQQKSYPTNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSVGVPHGVLGDIWMHLELKGREIGDHWTSRAWERGSAATATCPERRPEVARISHPSQSDLPKRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRGRSASIICSISILLKGLLVISLG >A09p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34940865:34941538:1 gene:A09p042930.1_BraROA transcript:A09p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGTGKSQPCRGYSLIGGGVIGLLSEALSCNDKFGCFAAGAIIERCPPFPRPVLASIGLSSVEERDLYIGYVVSPWFADFSGGGLRVCLVLTLSLKEFPCLSNHFWNEMLAEFTPT >A09p041950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23694328:23700416:-1 gene:A09p041950.1_BraROA transcript:A09p041950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLKGKNIDLGDIEFSVDDSIIPEWNPDLAYGDGSSTNEIPISDFDDFFADLPSGFDAPLPTKKPGRPKVVAEGSRIIKEGLNLFGSALEASHREAMVYRFKAMIAERDLARMQGEMLERDAQLARDHARAVRKAERKGKRVIVEAMKNRASQFQIEYGNLKDAFTLVGNYRECRGSVGSLWKTQADDYVFEKEMRLMRGGMKDYARAEALIPPIDRRIKGFWDPILVSPDTLKTTTEFPGDCEEVDRPADAIGASSSFIYPAFVADYGFYLSFSAEWGFYFEILHEPVLAVLSFTGTDRWWLRIPSAYAISCLEMSETNAMNLGQDLGLLSRFPPCVGRSKTKIVIKLRLFKMAGVFVGANRRTGCKVFCRVLWSWSDNLFASSRILTWRCLVVLSKTIRIFYVLKNDCFAILGRIRPLKRVKFVDFLSVGGISALFRMFRSSCSVGFGIVLSFDVRSPAFLARSNRGFPPLSSLVYRTENFPEQIGFWSFLDVCRRPPCYHWRHRWDPSRRAQLHYPLNPSREAEDSQGSILRGFWPIEWGCEVKPFPEDFSGFVGTDCSSPCRGEHLFKLLERRGIGWCVGREYVCCWSVEISAAASVKRSLHVVRIVSPGYLLIPHAKQSEHEMTTTKYKNRKKRAKRSLIPNLRMSVYNKLEITKTKSCLIALSAKFAVKKFSPHASRLGLLIYLLLGRYSIFTDLRNYLQNFVFIRENLTIILPCEPSVNRPTVYGLLVKKSSLWAVFRLEAFITTSFDKNDLSAVFIAKFD >A02p050200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31013207:31014968:-1 gene:A02p050200.1_BraROA transcript:A02p050200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSSLNKSPFRTDNMVTPESPTKDISSVEKNDAVVSIVSNSSPSTKKTFESPRKSTTSIPVTSPAVKSRWSFSSSKKSFGSKDETFFDTQQWLQSDSDDDFHSVNGDFTPSRGNTPKCSFSDRPPRIQSLRFQDKKSSRGSSPAPRPRRKKLGELFRDSIRERPEESSGASSALSSPYLSVANSGEFSKAAIEDAGVKEQKKSNRQHHRCLPSFSSCGGSFMERRKKMSSETAVDTVK >A05p040770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24905669:24910158:-1 gene:A05p040770.1_BraROA transcript:A05p040770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQDGSERDKTTPSSSSSSSSPIPVVTSFWKEFDLEKEKSILDEQGLRIAENQENSQKNRRKLAESTRDFKKASPEDKLGMFNSLLKGYQEEVDNITKRAKFGENAFLNIYQKLYEAPDPFPALASIAEQERKLSEVESENRKMKVELEEYRTEATHLKNQQATIRRLEERNRQLEQQMEEKIKEVVEIKQRNLAEENQKTLELLKDREQALQDQLRQAKDSVSTMQKLHELAQSQLFELRAQSDEEKATKQSELGLLMDEVERAQTRLLTLEREKGHLRSQLQTANEDTENKNSDSVDSNNMLENSLTAKEKIISELNMEIHNIETTLANEREDYVAEIKKLNSLLNKKDTVIEEMTEELQERPSAKLVDDLRKKVKILQAVGYNSIEAEDWDAATTGEEMSRMESLLLDKNRKMEHEVTQLKVQLSEKTSLLEKAETKGEELTAKVNEQQKLIQKLEDDILKGYSSKERKGALFNEWEFSEAGVAEQSESPMDQKHVPTDQDQSSMLKVICSQRDRFRARLRETEEEIRRLKEKIGFLTDELEKTKADNVKLYGKIRYVQDYNHDKVVSRGSKKYVEDLESGFSSDVESKYKKIYEDDINPFAAFSKKEREQRVKDLGIRDRITLSSGRFLLGNKYARTFAFFYTIGLHVLVFTCLYRMSALSYLSHGAEETPMTETTTNLPRGF >A03p067470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29673143:29674489:-1 gene:A03p067470.1_BraROA transcript:A03p067470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-galactose dehydrogenase [Source:Projected from Arabidopsis thaliana (AT4G33670) UniProtKB/Swiss-Prot;Acc:O81884] MSIPQAKMELRPLGNTGLKVSSVGFGASPLGSVFGPVAEVDAVATVREAFRQGINFFDTSPYYGGTLSEKMLGKGLKALQVPRSDYIVATKCGRYEERFDFSAERVTKSIDESLERLQLDYVDILHCHDIEFGSLDQIVSETIPALQKLKREGKTRFIGITGLPLDIFTYVLDRVPPGTVDVILSYCHYGINDSTLLDLIPYLKEKGVGVISASPLAMGLLTEQGGPEWHPASPELKSACKAAAAHCKSKGKKITKLALQYSLANKEISSVLVGMSSVSQVEENVAAVKELEDLGMDQETLSEVEAILEPVKNQTWPSGVDQK >A10p038140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21372211:21376752:-1 gene:A10p038140.1_BraROA transcript:A10p038140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKGKFQEDEEEASAVARFHKIVLGWDYKQLAKENERENRKDSKGKLSVVKNTYKDADDYFETYEPLLFEEVKAQILQNQDAEEASVCKMRLVMECSEADGFHILLVTYEHEEEEYLAPNDLLLLSIEEVKGSSFPSSYGFAVVENRQNNLLRLRMYLAEEVVQITKNTKYSRTEPFIQSLSNMRSLITSSASLLDKRVFSLKLCSLSTIIREYIALRSISSLPFKDLIFTAAEKSCGSGDDAWKISGPLHEFFTENLNKSQKEAIDVGLSRRSFVLIQGPPGTGKTQTILSILGAIMHATPARVQSKERGLKRRFQMTIEEKDNHWAQASPWMLNVNPRDAIMPEDGDDGFFPTSGNDMKPEVVDSNRKYRKRVLVCAPSNSALDEIVLRLLTTGLRDENAQTYTPKIVRIGVRAHHSVMSVSLDHLVAQKRGSAVDKQKQGNTGSDVDSIRTSILDEAAIVFATLSFSGSPLLAKSNRGFDVVIIDEAAQAVEPATLIPLATRCKQVFLVGDPKQLPATVISTVAQDAGYGTSMFERLQKAGYPVNMLKTQYRMHPEIRSFPSKEFYEEALEDGADIEAQTTRDWHKYRCFGPFCFFDIHEGRESQHPGATGSRVNLDEVEFVLLMYHRLVTMYPELKSSSQIAIISPYNYQVKTLKDRFKEMFGSESDKLVDINTVDGFQGREKDVAIFSCVRANDKGEIGFLSNSHRMNVGITRAKSSVLVVGSAATLKSDPLWKNLVESAEKRNRLFKVSKPMSDFFSEENMETMKVREKDMEIPDALEFEDEAPPLAANYVGNDDNEFGDGGGDEDDAALAEED >A07p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11493323:11494328:-1 gene:A07p019320.1_BraROA transcript:A07p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQTPSAENQSSSTTPVSLTLLDPDVLECPICCEPLKVPIFQCENGHLACSQCCEKVKKICPSCKSPNGYSRCRSMERVIEACRVSCPNSKYGCKENTSFGNRSSHEKQCLFAPCFCPVPLNDCNYVGSDKNLRNHIRAKHKDHVIPIVFDTPLTLVMGSSEKIVCFQEKKDGELFVVQAFRKSYGLAVSVNCIARSAHGLGRFSCCMDVIIDPCIKLKQAFTVREIQKKIHEEPKDSFMLIPSHMLMLTDWSFGIDICFSRGMDSFIRA >A05p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11276592:11278911:1 gene:A05p023320.1_BraROA transcript:A05p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVKSGEWMCSRGDDWSFVVDKERRGRMVTLATTTTLKQLKIMVCEDYGVDHNAINAEFSYSLLNQRGNPPIIITNDRQASNFVGYAKRESSTTLCVMFSVSGVSQKERVNIDLNKELWDSSNVEDEKVPEINRVEFVKPSKDSCVRRTNHVAADGCGALRSENIKLCQNNGDSPTEFALSWFWGLWVRSSLASRHRSVTGG >A04p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1104749:1111574:-1 gene:A04p002320.1_BraROA transcript:A04p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKRNTYSKKNSFGRSDFPEGFLFGTASSAYQYEGAINEAPRGESVWDTFVRKYPERNCYSNADQAVEFYNHYKEDIQRMKDINMDAFRFSISWPRILPLGKKSKGVNQEGINFYNDLIDELLANGITPLATLFHWDTPQTLEDEYNGFLSEEAVNDFKDFAALCFEEFGDRVKLWVTLNEPWVYSIGGYDTGRKAPGRASKYMNEAAVAGESGLEVYTVSHNLLLAHAEAVEVFRNNPKCKDGKIGIAHCPVWFEPYDSNCPDDKEACERAMQFMFGWHMDPTVYGDYPEVMKKSIGKRLPSFTAAQSKKLRGSFDFVGVNYYSAFYVKSIPEVDHNTPNWRSDARIEWRKQNKAGQTLGVRGGSEWDFLYPQGLRKFLNYAKDKYESPKFMITENGHCDIDYEKKPKLSNLMDLQRTEYHKKHLQSIQQAIQEDGVEVEGYFAWSLLDNCEWNAGYGVRYGLFYVDYKNGLKRFPKMSAMWFKEFLKREEEMGDSEEEKYLLNVATKKKRFLLATETMRSKCLLLLLIITSIYVGVSAKNHFSIPILRRSDFPEDFIFGSATSAYQVLLMKMVEDQVSGTPSLKIFQEDVNLLHQIGFHAYRFSISWSRILPRGDLKGGINQAGIDYYNNLINQLLSKGMKPFVTIFHWDLPEALEHAYGGFLGAEIVNDFRDYAELCFQKFGDRVKHWVTVNEPFTVVRDGYIIGHKAPGRCSSFTNPNCTGGDGATEPYIVGHNFLLAHGAAVKIYRERYQAIQKGKIGIALNTEWHYPYSDSYADKLAAARATAFTFDYFLELIVYGRYPAEMVNHVKDGRLPTFTPEESSMLKGSYDFIGLNYYLSFYVKDVPCATENITMYTDRCASIVGERNGVPIGPTAGSSWLMIYPKGIRDLLLHAKLKYNDPFLYITENGVNEANTGEIFLNDDLRIDYYANHLKMDGVNVKGYFAWSLMDNFEWSEGYTVRFGLVYVDFRNGRKRYPKKSAKWFRRLLKGKYIGTKQQVAVM >A10g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6712199:6717828:-1 gene:A10g502460.1_BraROA transcript:A10g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKKSEEQDKLVNILTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAVVARERPSGQNPSEKSPIEKGTLKVFRSLQRTRRIMKPNTLTWILAMSPTTPTRMSTDIQEGPGADLLGKAPRKKKNSRNDKYVHHEGEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEITSVKDLILDSDRPPKTDRNPPAEKSSQRNQPGDKRENPRRLEALAVDSFSLSRAVSLLFLSLCRVSLPSLSSPRLLLSSSLSAVSLSPRREQPRVVVVAAWCHRSQIPFSLTSYSQIQIQIKAKGKNRGAGKIESRRVLAGRGRNTLQRRSEPEELGGGPTRAGDFTGSSKKRGGMVRLSCVADRLHRLSVVTRRFSFRIEPTISGNVNGKEGNATETHGTRNGTHGDVGKIDMCVLNPAPRNPGWKWGGAGVTIANVEGDFEQLRVSGQDRVNNKSLYCGVVEFQRGNGKPDIRAGGKRLADDTAPHRAGEAGEGMTDARPRRAQLHGGIKPCKEMDFWHSDITDDGGSSWGKKDDGGSSWGKKDDGGMSSPVHHELEERRMRYGPRGTRAYARKPYRDAWGDVVPALFPDEEEIEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKRGRPRKIASIDAESLRRTTGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRVAGPKPDGEQKSPVRSG >A06p002030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5344898:5345104:-1 gene:A06p002030.1_BraROA transcript:A06p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQKRKVKRSKPAAGTCSRCGHGASIADMKTSTRFCFVPIYWKSWRAVVCSFCGSVLKSYR >A08p044300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24514506:24515920:-1 gene:A08p044300.1_BraROA transcript:A08p044300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGQEYPNWLELPPELTSSILNRLGVMEILESAQKVCRSWRRICKEPLTWSKIDMRNVGHMGGSSHELEKICRHAVVRSQGGLVEIEIWHFATHDLLNYIADSSSKLRSLKLAMCYLIENEGLIEVIAKLPLLEVLEVSYCSLSGEPLEPIVPSSCPNQMTLGNNCDDVALAIAESMPGLTRLQLFGNRLTNFGLNAILDRCPNLEYLDLRQCINVDIVGDMERRCYERIKVLRRPNDPVDDYPYGVSDVDEMSEEYYDNYSAASDYSDTGEDLVSRCFG >A09g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17467519:17468851:1 gene:A09g505490.1_BraROA transcript:A09g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMHLDEKFLMYNYVGLNSPTAIRVLKAALNDQYVRLQNMASKHQSKLYEPIEEIDIMLNQLSGVSEDIMPLPGLNDPANFALPQQHLITPSGHVEILTHLLWIISGQIISDKHSEVESKLDFVKRTLRWRHLTSTAPNTLGCYSFTDIDTSLI >A04p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17798875:17801310:-1 gene:A04p029840.1_BraROA transcript:A04p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 14 [Source:Projected from Arabidopsis thaliana (AT2G31310) UniProtKB/Swiss-Prot;Acc:Q9SJW5] MGGLGSPCGACKFLRRKCIEGCVFAPYFCYEEGSSNFAAIHKVFGASNFSKLISHLPDQDRCDAVRTISYEAQSRLHDPIYGCVSQIFSLQQQVVSLQAQVVLLREEASRKFPQGDCSEQGEFLAQDTPQDLHSWFHQVVSDSNLNQMSDVASTSIDHDESLCRSNEFIYYQEVMFPWSVDNKVVMKKQVVFGVILLALFLIFINAKQVEATRLLRTTVDSEIRSVFQSLQKGTVPESGRNRCTHIPKGSGKCHG >A07p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16336949:16341496:-1 gene:A07p029040.1_BraROA transcript:A07p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKKKHSRSQSSSKKTIEPSPDSSPSPSPRLLSIFRSKLVSGKDLKHMFRGMKTKTAPGLSSQSRIVRCPKCHKLLQEPIDATIYKCSGCNSILQAKRWDLESNGDSIPEALLYSQNRSLSTQLESAEAGSKTPMRSTHREYKHETSDVHREWMRRADEFSVTGDSDAYASARSSPYNSRSNASEWTQHERRYHVPFYPASPSPSSAYEYGYNSPFHGSQASASEKSYYHHHQQNQFKQKEREGWFQESSASASPIRFPGETSNKNYYPSSSQYHNLYEPRSSVYSERSYQAPLRSTYSEHSKSGTSREKRSQRDKKKDVRKKKPVVKRYVLPSAGGAPFATCSYCLELLQLPQVSLHGKHKGYQVRCGSCSGVLNFFVREKADTGFAEETVSNHQDSASEGHDESHMSCSDNDDVILRRNVESFEDNESKEDTRSMSSTLLDSKLEALQPSLNQKLREQQSASSESIGDTSRKHLEKSEEACSEKSTEMDNNISERVSCDLEEVGLYEKNETLKVMFGDGSGESLEVPVYKKERMSDSSIEAERVADKPVSHSAETRVLSENTGDNQEFGWEETQEKEEYGGEGKWEDSMGDKSRYTGDSICDNSSSNGEAYEDTKIISAAPQNENQRFIGQSGEDTVTTSRTHEEQSKYENSSERQELDTTIGDSVRYIVSEESIYDTGKVSEDGSAVSLTKASETFSTSIEGERGEERSVSHQMDLLPNENVEDSYERLEYAGERTWEEETMEDRAGLHLEEYENYSKPFEWTSEVAPTFHNHMHESKVGTINEPDEEADGRLESSSRGSFSDHESSEESAVFQESQDAKSDQSKYVYELPSEKQELSNDTERHEIHEEDRYETNETFEPAKMVEDGSVVSLEKTGETNIGERGEERSVSHHQMDTENEDLEAMQDGAEFHLEDYHNNPHEWTSERAPTFRLDEYELGTMSASEEDANGRSSSSTDSTSDHESSNEKAVAHEKPSLMDENKFEELKVSLMVGDGPSLHLDKYGNDSTKLEDTFEWTERAPTFRLHDSELGTMLEPEEHADGGSESSSTGSFNNHVSSKLRADFHEEEEEEELQLHEETEDRVALDLKKGENGSIKLEETFEWTSERAPTFRLHDSELVTRLEPDEDADGRSESSSKEIDIYHEEEPRLVIDSRTKAQQEGEVAKDMASLHLECENEPMKLNETFEWTSERALTFRHRQYELGTTLEPDEDASESSLRGSFNDHGVSKEGAEIQEDEPWLVDDYEAKALKASVRADDGPSLHLEKCENEKVVLNQTLEPRDDLFKLSHDDTLEQERITFHLEKSQEKQVNLRQTFKQQGDTEHNIPASEGHESPCKMAEVDSEAEEDMLGNHLEPLQIENERSGLTSEPYSHFCNTTDPSEIVGLRLALYETQTSPLSSPMHTPTGSPLHILMRSPIASPMRTHIVSPMRSPINSSGSLSDVLFFGKKA >A09p062260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51011621:51013023:-1 gene:A09p062260.1_BraROA transcript:A09p062260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVANNNKAIKLKARHQLVQGREVATKLQHLLFQHGLGLNSADDLMAKILGSFNNSISALDSLEPISSSSSLVTAVEGSQNASCDNDGKLEDSGDSRKRLGPVKGKRGCYKRKKRSETWTVESTVLDDAFSWRKYGQKEILNAIFPRSYFRCTHKFTQGCKATKQVQKLEPESKMFNITYIGNHTCNTKEVSPKIKPCVHHDEIIMHSEDSPSLTTTMKEEEENHHHGSSTESDLQLVWQEILVCEEEHLQHHHEAIYGCGETSVNGLDSPDLWSW >A06g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10213209:10214595:-1 gene:A06g503290.1_BraROA transcript:A06g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVHIHVPWRLGFQERGDHYKRAMESGIRAGHLSYWCDPEGEDVHGCSVQKTIMLNDGRYGHWKVRMKLLVRRINDAAWIAVKTRWEEPTIFTAEGKKPKPKEPRQRVVREVVQEVARRMICNQAGGEVVTFLSKECISRGGEEHGDGRPDVDGAYLVGEKSISIVEDEDSATLSLLHKACGVVGYHVDARYAEMEKDKLRRVNQEACGVVETMWTQEAELAWDKLEEQVYTVEKRQEINLGSKFMP >A09p071630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55212822:55216082:1 gene:A09p071630.1_BraROA transcript:A09p071630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAILGFLQNNESIPDSGNFAAELNLDHEEVKNVIKSLQGFRYIEAKELKRETLVLTDEGKKYAAEGSPEFHFFSAVPEEGSISKDDLEKKLDASVFKIGSTQAAKKKWVAMGKQVSRKVQHVEDKVKESLLLIQQGLELDQESLNSLKTRKLIVTQGWTGYSDVKKGPSYAPKRKIFATDLTRENLQNWKEMGFKEYNFNAKGQPLDAGHLHPLLKVRKQFKDIFCQMGFEEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPSTTRELPEDYVERVKQVHESGGYGSRGYNYDWKREEANKNLLRTHTTAVSSRMLYALAKGPFTPKRYFSIDRVFRNEAVDRTHLAEFHQIEGLICDRGLTLGDLIGVLQAFFSRLGMPKLRFKPAYNPYTEPSMEIFSYHEGLEKWVEIGNSGMFRPEMLQPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGHKVDLDLIKRNPICRVGIE >A03g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30206151:30207175:1 gene:A03g509210.1_BraROA transcript:A03g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKEIIFVPTRKKKEKLSTTGDFDTKVKPKPLFCRFVDSASMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDKPENMVFHVTPFGPSCCEIQYTHPHIIKEEADADDVPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADDMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A03p025900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10921750:10923985:-1 gene:A03p025900.1_BraROA transcript:A03p025900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 17 [Source:Projected from Arabidopsis thaliana (AT2G45220) UniProtKB/Swiss-Prot;Acc:O22149] MAFRVDILTFVFLCILAASTVSGYNSKDVKAWCSQTPNPKPCEYFLTHNSNQKPIKSESEFLKISMKLALDRAILAKSHASTLGPKCRDTREKAAWEDCIKLYDFTVSKINETMNPNVKCSKTDAQTWLSTALTNLDTCRAGFLELGVTDIVLPLMSNNVSNLICNTLAINKVPFNYTPPGKDGFPSWVKPGDRKLLQSSTPRDNAVVAKDGSGNFRTIKDAINAASGSGRFVIYVKQGVYSENLEIRKKNVMLRGDGKGRTIITGSRSVGGGSTTFNSATVAAVGDGFIARGITFRNTAGANNAQAVALRSGSDLSVFYQCSFEDLRPVLGSTKTYLGRPWRQYSRTVFMKTSLDSLIDPRGWLEWDGNFALSTLFYAEFQNTGPGASTSGRVTWPGFRVLSSTSEASRFTVGSFLAGSSWIPSDVPFTSGL >A06p051240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26952782:26959492:-1 gene:A06p051240.1_BraROA transcript:A06p051240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT3G25690) UniProtKB/TrEMBL;Acc:A0A1I9LT48] MIVRVGFVVAASVAAVAVKQLNRKPPKPSKPSENGKGGDKEQDVSPNNNLNDKSVEEEEEEEVKLINSVINQTRESFSDYLDADIENLLSGEIEYPLPSDETSLEKAEKERKYESEMAYNDSELERLRQLVKELEEREVKLEGELLEYYGLKEQESDIVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISQNGVVRKELEAARNKIKELQRQIQLDANQTKGQLLLLKQHVSSLQMKEEEAMNKDSEVERKLKAVQEMEVEVMELKRKNRELQHEKRELTIKLDSAEARISALSNMTESDKVAKVREEVNNLKHNNEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPAGKISARDLSKNLSPKSQAKAKRLMLEYAGSERGQGDTDVESNFSQPSSPGSDDFDNASMDSSTSRFSSFSKKPGLIQKLKRWGGKSKDDSSVQSSPSRSFYGGSPGRLSVSMNKQRGPLESLMIRNAGESVAITTFGKVDQESPGTPETPNLPRIRTQQQASSPGEPLNNVAASFQVMSKSVDNVLDEKYPAYKDRHKLAVEREKHIKHKADQARAERFGGNVALPPKLAQLKEKPVSVPSLTRTVTASDQSSDGNNDGKASENAQAVAKMKLVDIEKRPPRVPRPPPRSAGGGKSTNVPSPRPPLPGGGPPPPPPPPGGGGGGPPPPPPPPGALGRGAGSGSKVHRAPELVEFYQSLMKREAKKDGSPSLISPGTGSSSEARSNMIGEIENRSTFLLAVKADVETQGDFVQSLATEVRAASFTDVEDLLAFVSWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVTSFVDDPNLPCEPALKKMYKLLEKVEQSVYALLRTRDMAVSRYKEFGIPVDWLSDSGVVGKIKLSSVQLARKYMKRVAYELDSVSGSDKDPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSRAKTESGGDNTNNNEESTPHVHSSFLSLSLSMLPLIVLCSLLSLLLLPPAYLAAVSDDEYLKLPLLRKSPLPSPTQALALDTRRLHFLSLRRKPIPFVKSPVSSGASSGSGQYFVDLRIGQPPQSLLLIADTGSDLVWVKCSACRNCSLHSPATVFFPRHSSTFSPTHCYDPTCRLVPKPSRAQKCNHTRLHSTCPYEYAYADGSLTSGLFARETTTLRTSSGREANLKSVAFGCGFWISGQSVAGTSFNGAHGVMGLGRGPISFASQLGRRFGNKFSYCLMDFTLSPPPTSYLTIGGVRSDAVSKLSFTPLLTNPLSPTFYYVRLKSISVNGAKLRIDPSVWEIDSSGNGGTVVDSGTTLAFLADPAYRLVVATMRRRIRLPIAAELTPGFDLCVNVSGVLKPEKMMPRLKFGFAGGAVFVPPPRNYFIETEEHIQCLAIQSVNPKVGFSVIGNLMQQGFLFEFDRDRSRLGFSRHGCASP >A10p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15980958:15984662:1 gene:A10p024700.1_BraROA transcript:A10p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDNGEDEQIDVRSVVEAVSADHSFGAPLYVVESMCMRCHENGTSRFLLTLIPHFRKVLISAFECPHCGERNNEVQFAGKIQPQGCCYHLDVPAGDVKIFDRQVVKSESATIRIPELDFEIPPEAQRGSLSTVEGILARAADELSALQEERKKVDPKTAEAIDQFLSKLRACAKAETPFTFILDDPAGNSFIENPHAPSSDPSLTIKFYDRTPEQQATLGYLADPSQSEGGLGAPSAATTTSTPHGAIGATGGHRAIAQSNNTDISDNLFRYSAPEEVMTFPSTCGACTKLCETRMFEVIVMASTCEDCGYRNSELKPGGAIPEKGKKITLSVKNVADLSRDVIKSDTAGVKIPELDLELAGGTLGGMVTTVEGLVTQIRESLARVHGFTFGDSLDESKKNKWKEFGSRLTKLLSLEQPWTLILDDELANSFISPVTDDIKDDHQLTYEEFERSWEQNEELGLNDIDTSSADACLWIYRDS >A07p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3595024:3597404:1 gene:A07p002790.1_BraROA transcript:A07p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNFVCDSHSVYRTGKIYGISISLILINLATLMQRADEKLIPSVAKDVKEAFNATLYDIGYLSFIRNIVQGLASPLAGLLVVSYDRPTVFAVGCLCWVLSTVAVGASHFFTQVTVGVAVNGFGHAIVYPVLQSIIADSFKDSARGFGFGLWNLIGTVGAICGTVLPTVMAGHDFLGIPGWRCAFMLLATMSAMIGVLVFLFATDPRKRKNSSFVSRDHERQDELMVLKGKNYDAATMEVMSTVWKESWVAIKDVTKLQTFQIIVLQGIVGLVPWNAMVFWTMWFELIGFDHNKTASLSGMFTTGQAIGSLVGGVVADKMSRIFPNSGRVTCAQFSVFMGAIFSIILLRIIPQSTSSYYIYLVILFLMGLTITWCGPAINSPILAEIVPPTHRTMIYAFDRALEVSFSSFGAPLVGIMSEKVFGFDAKGIDNIKDSGREAEALSKGIMWMMAVPFVLCGLCYTPLHFLFRKDRKIDRTPSSREAEII >A07p003450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3283303:3285307:1 gene:A07p003450.1_BraROA transcript:A07p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFDPTNLKACLSLLEDITSNANQIQDSVLEAILTRNAHTEYLRGFLNGQVDKQSFKNNLPIVTYEDYHSYIDRIANGEPFDLICDRPIIVLLASEKNCTSLLRNFTWVGQTQSMYCQLLCGLVERGNVSRLGAPFASTFLKVIKFLENHWSELCSNIRTGRVSDWITDANCVSGIGKFLEAPNPELASLIEQECSKTSWEAIVRRLWPNAKCIEAVVTGSMAQYIPMMEFYCGGLPLISTFYVSSECSVGLNLDPLSKPCDVSYTTIPSMAYFEFFEIKKYHQETGHDPVVVDLVDVKVGHDYEPVITTFSGLYRYRLGDVLRVTGFYNNAPQFRFVGRQKVVLSIDMDKTYEEDLLKAVANAKLLLEPHELMLIDFTSRVDSSSFPGHYVLYWELGCKVKDVKVEPDPEVMEECCFAVEECLDSVYRNGRRNDKNIGPLEIKVVRSGAFDELMSFFVSRGSSVSQFKTPRSLTNEEALKILEATVVSKFVSRKVPSWELHELHSSR >A05p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17626415:17627857:-1 gene:A05p033120.1_BraROA transcript:A05p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTSKESVYPKGYEVPTNLSLFLCVANCDKLLPGWNHFAQFTISMVEPIFLSFMKEKRSKLMEDKTRWERDGSKIKARDVSRENGCDTKTSCETFLHQEGSYILFGDGFLVSWGSTTQEKILHTISRQMGKLLKDDLDSSILKALEEEPKKERATDVKNLPAPIVTVDKDMFVLVDDAMLLMEKAILEPLPDESGPQNRMEVGKDGGREDEKRLTEFARRTAYKEAIALKMQEELIREEEEEENLNKRRVKSTK >A04p018930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11283295:11284805:1 gene:A04p018930.1_BraROA transcript:A04p018930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT5g40850/MHK7_8 [Source:Projected from Arabidopsis thaliana (AT5G40850) UniProtKB/TrEMBL;Acc:Q42606] MALVQRIPSFSSNLRNWKSTNSTNHKPVSSLHYKTQITASSSSPFTEKHSVERYQRDQWLYKASTPSPSPSPPSNQQDEVFVRENDIASQLPELKKLLEVLREKRESGCRGGDCGPGDVFLVGTGPGDPELLTLKAVRVIQSADLLLYDRLVSNDVLELVAPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIRVQVIPGITAASGIAAELGIPLTHRGVATSVRFLTGHSRKGGTDPLFVAENAADPDTTLVVYMGLGTLPSLAQKLMDHGLPCDTPAVAVERGTTPLQRNVFAELKDFATEIQAAGLVSPTLIIIGKVVELSPLWPHCTKESSCLVESR >A01g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26020992:26021305:1 gene:A01g509580.1_BraROA transcript:A01g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DMTKRPRFANHTNTLENSQKELETSNILEVDSKSGKRVDVREYRRVESYTMNLVNSASCHQLRRRMEIEI >A07p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24498802:24505767:1 gene:A07p045110.1_BraROA transcript:A07p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEDLGVEAKEAAVREVAKLLPLPELLQSISAIKADYIARQQANDAQLSTMVAEQVEQAEAGLESLSSSEKTIYELRDNFISIDKLCQECQTLIDNHDQIKLLSNARNNLNKTLKDVEGMMSISVEAAAARESLSDDKEIVNTYERLTALDGKRRFALAAAGEEVGRLREYFEDVDRTWETFEKTLWGHVSNFYKLSKERVVEMQEILDQQLAEEAAEAEGEGVMASVANPRRPGKKSTTTSASSKGLAQQKLKVQGKGYKDKCYEQIRKSVEDRFNRLLTLVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIHMLRLLSDRANDLTNIEILKVTGWVVEYQENLIALGVDDSLAQVCSESGSMDPLMNAYVERMQATTKKWYMNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMIDFQAAERKRVAEPASDIGLEPLCAMINNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVCVIFEDPGVQELLVKLYQKEWCEGQVTEYLVATFSDYFTDVKMYVEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISASKVESRLRIMSDLRELASAESLDAFTLVYSNILEHQPDCPAEVVEKLVGLREGIPRKDTKEVVQECREIYENTLVDGNPPKTGFVFPRVKCLAASKGSIWRKLT >A07g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4682477:4692353:1 gene:A07g502140.1_BraROA transcript:A07g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRCRLMSQGVHQSIISSECRPLVLLDDSSLRTSFAEERIEISDESSKQVVTQRPNVRPARSLRSDRAIVPLGRYVATEREPKLATELEPKLGHYVATELSQARSLRSDRAIVPLGRYVATELELGRYVATARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSVATDRALGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRQLTTYRSMGSECRLDNFFPRSFNCKFRPIHFNLVKVIYPPARVTTLTGWGANCWDSSLRKSLAEERIETSDESSKQVVTQRLNVRPARSLRSDRAIVPLGRYVATELEAKLGRYVATELEPSSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELKPKLGRYVATEHSFARSLRSDRARAKKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRIFLVSLFKRKSTVRISVPTLIPTKGAYELDQARGTSDTGQPSIFMIR >A07p047110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25354677:25358101:-1 gene:A07p047110.1_BraROA transcript:A07p047110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKVFLGQYLIWVIVLLGQLHGYKSCIQKERNALLELKQYLISISEEGQSDYVLTTWTNDTKSQCCWWEGVKCSRTSGRVTKIAFGDLFLKESSFFNLSWLHPFEEVQSLDLSECAFSALFDDIEGYKSLSRLRKLEILDLSSNEFNNSIFPFLNAATSLKTLFLGFNKMDGPFPVKDSLSELRNLTKLELLDLSGNGYNNSMPVFCGMKNMQELYLSGNYFSGQVPQCLGSLNKLQVLDLSLNQLSGNLPSSFSRLESLEYLSLSDNNFTGLFSLNLLANLTRLKVFKLSSTSDMLQVDTETTWLPKFQLSIASLPSCGLEKIPNFLMYQKKLHLLDLSSNRISGNIPSWLLANNQELEVLQLQNNSFTVFQIPTTVHKLQFLDISANNINGVLPDDIGHVLPNLKHMNGSHNGFQGNFPSSIGEMKNISFLDLSHNNLSGELPRSLFTGCYSLQILHLSHNQLGGDVLPRQTNLTSLVVLRMDNNLFTGEIGDGLLTLVNLSVLDMSNNLLRGSVPSFIPNSSDMFMLLLSNNILEGTLPSSLLANQHLNFLDLSGNLLSGALPSYDSSTYGIKLFLHNNSFTGEIPRTLLENAEILDLRNNKLSGSIPKFVNTMDMRIFLLKGNKLTGSIPRELCGLKNIGLLDLSNNKLSGNIPSCLYNLSFGSGEYEQVRNGASEAYGFVPSLQFELYRTTFLVDEFKIDYETYMSFEIQFAAKQRYDSYTEESEFSRGTVDFMYGLDLSSNKLSGVIPSELGELSKLRAMNLSRNFLSSSIPDSFSKLKDIESLDLSFNMLHGNIPSQLTNLTSLAVFNVSYNNLSGIIPQGRQFDTFNEKSYIGNPLLCGKPTNMICERNNFQKPDNEMEVEEESTIDMVSFYWSFAAAYVTILLGIFASLSFDSPWSRFWFYIIDAFIIHKARNFLW >A02g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12815132:12817238:1 gene:A02g503830.1_BraROA transcript:A02g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFEKPQEEEDHIEDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDRAELEGREVTEYEFDAAYALKEVMYWVPPSQLEGICISTLELQLEELCLPCGENIAHDLDSLVLINECLDLVCETRKLDELRIEKLARNHIEVCFDKNYLCASIDLEYEFLMFDEPRPLLELADLGDELDVDMLLLRIKNPHVKDNHENENIVFYLIDGDRVNYFVKTSFESVVDCVFPPYAFDSHDHLNLKEHFIIHVTSLVKLFEEKSS >A09g513040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39619989:39620320:-1 gene:A09g513040.1_BraROA transcript:A09g513040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKTLITFVFTIFFIVSSVHCGTTATANTPGYGEIKQVICYDFSRPCDTRGELGCDDFCIDWGFLRGRCAPRKCCCER >A08g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11488447:11489027:-1 gene:A08g506660.1_BraROA transcript:A08g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMRIYCLFLSPPIRLLLGVAREELSYPKIEISDSSILKETAAARLDAESLSNGSPTSGENGKVWELSGVWNWIINKKSRGSKLNFPNEWVE >A07p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18073824:18075664:-1 gene:A07p033050.1_BraROA transcript:A07p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMLSRRALGTEVPVMTQIRELAAELTNPMSLAQGVVYWQPPQKALDKVKELVWDPAISSYGPDEGLPELRVALQKKLREENKLTKSAVMVTAGANQAFVNLVLALCDHGDSVVMFQPYYFNAYTAFQMTGVTNIIVGPRHPHTLYPDADWLERTLSDSKPTPKVVSLVNPGNPSGTNLPEPLLKRISDICKDAGCWLIIDNTYEYFMYDGSKHCCVEGDQVVNIFSFSKTYGMMGWRLGYIAYSENLDGFAAELLKIQDNIPICASIISQRLALHALEEGGGWVRERVKGLVKNREIVKEALEPLGKENVKGGEGAIYLWAKLPEEHRDDFKVVRWLAHRHGVVVVPGCACGSPGHVRVSFGGLKEEETREAAERLRKGLEELVHRGMVE >A09p046590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40574880:40584162:1 gene:A09p046590.1_BraROA transcript:A09p046590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKAKAKDAAGPVERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDDRFDWLCQLYKPKAEIPAFLEIHDIAGLVRGAHEGQGLGNNFLSHIRAVDGIFHVLRAFEDADIIHVDDIIDPVRDLETITEELRLKDIEFIKNKIEDVEKSMKRSNDKALKVELELLLKVKAWLEEGKDVRLGDWKAADIEILNTFQLLSAKPVVYLINMNERDYQRKKNKFLPKIHAWVQEHGGDTMIPFSGVFERSLADMLPDEAAKYCEENKLQSALPRIIKTGFSAINLIYFFTVGHGEVRCWQIRRQSKAPQAAGAIHSDFERGFICAEVMKFEDIKEYGNETAVKGAGKYRQEGKTYVVLDGDIIHFKFNMQSKWKLPDRPSAVQSRSFTLSEPLPLILPDPPDSPSPFSPVNFPPPPNLSKSLTRSSSFRRSFSTVRQNDIVSGLQDPSTITNEVQTDFVSSTAENQKSATIVQETGSKALTLNFVFEPKSTILDPFVDPNSQNPSIIPPKSSSPILTSTSSGPSHSVTLSPPIVTSTETLLPSQSKGPPPSQNLPPLVSNSHTHKPPPSLLLVERLRASEDKILKRLAPISVSENGRLRIIIPDEVFHKGAEIHKDFIICYFNDKSPPFSQIQSVFNYMWDKGKRLEIHNNPLNRSVIVRISSDYLRKKILERRIWHVRDSMFHTAQWSYAHSMSTSPLKAIQIWAHLTGEPKETDNFTKNLVSLIVAHVKVEFDLTKPLPPVVEFQRQSGEVVEVLVHYPWVPLTCSHCKELGHIVRNCLTYSPPDQNTEKKQDQQTHKQKSKQQARYQPKQNNPSTTLVSSTYPQASTSKSDPPSTTPPPIFHSSSLAH >A09p002890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:710026:715751:1 gene:A09p002890.1_BraROA transcript:A09p002890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Callose synthase 12 [Source:Projected from Arabidopsis thaliana (AT4G03550) UniProtKB/Swiss-Prot;Acc:Q9ZT82] MSLRHRTVPSQPGRPPAAGAIEDEPYNIIPVNNLLADHPSLRYPEVRAAAAALKTVGDLRRPTYVQWRPHYDLLDWLALFFGFQKDNVRNQREHLVLHLANAQMRLTPPPDNIDSLDPAVVRRFRRKLLGNYSSWCSYLGRKSNIWISDRSPDSRRELLYVGLYLLVWGEAANLRFMPECICYIFHNMASELNKILEDCLDESTGQPYSPKITGENSFLNGVVKPIYETIKAEINESKNGTEPHCKWRNYDDINEYFWTDRCFSKLKWPIDLGSSFFKNSRGSGVGKTGFVERRTFFYLYRSFDRLWVMLALFLQAAIIVAWEEKPGGGSVTSQLWNALKSTDVQVRLLTVFLTWSGMRLLQAVLDAGSQRSLISRETKRLFFRMLMKVVAATVWIVAFIVLYTNIWKQRKQDRQWSRAANDKIYQFLYAVVAFLVPEILALALFIVPWIRNFLEETNWKIFFALTWWFQGKSFVGRGLREGLVDNIKYSTFWIFVLATKFTFSYFLQVKPMIKPSKLLWNLKEVDYEWHQFFGESNRFSVLLLWLPVVLIYLMDIQIWYAIYSSIVGAVVGLFDHLGEIRDMGQLRLRFQFFASAIQFNLMPEEQLLNARGFGNKLKDAIHRLKLRYGLGRPFKKLESNQVEANKFALIWNEIILAFREEDIVSDREVELLELPKNSWNVTVIRWPCFLLCNELLLALSQAKELVDAPDKWLWHKICKNEYRRCAVVEAYESIKHLLLSIIKIDTEEHKIVTIFFQMIEVSIQGEQFTKTFKVDLLPKIYETLQKLVGLLNDEKVDVGRVVNGLQSIYEIATRQFFLEKKTTEQLSTEGLTPHDPASKLLFQNAVRLPDASNEDFFRQVRRLHTILTSRDSMHSVPVNLEARRRIAFFSNSLFMNLPHAPQVEKMLAFSVMTPYYSEEVVYSKEQLRNETEDGISTLYYLQTIYADEWKNFKERMRREGIKTDVELWTTKLRELRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREDAQELGSMRSSQGNRLDGVDDVNDGSSLSRATSSVSMLYKGHEHGTALMKFTYVVACQIYGSQKAKKEPQAEEILYLMKQNEALRIAYVDEVHAGREETEYYSVLVKYDHTLEREVEIFRVKLPGPVKLGEGKPENQNHAMIFTRGDAVQTIDMNQDNYFEEALKMRNLLQEFRHYHGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRVYLALSGVEKSALADSTDTNAALAVILNQQFIIQLGLFTALPMIVEWSLEEGFLLAIWNFIRMQIQLSSVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVEHKSFTENYRLYARSHFVKAIELGLILIVYATHSPIAKDSLIYIAMTLTSWFLVISWILAPFVFNPSGFDWLKTVYDFEGFMNWIWYQGRISTKSEQSWEIWWYEEQDHLRTTGIPGRIVEIILDLRFFFFQYGIVYQLKIANGSTSILVYLLSWIYIFAVFVFFLVIQYARDKYSARNHIRYRLVQFLLIVFGTLVIVALLEFTHFSFVDIFTSLLAFVPTGWGILLIAQALRPALQKIGLIWNAVISLARLYDILFGIVIMVPVAFMSWMPGFQSMQTRILFNEAFSRGLRIMQIVTGKKSKGDVEVEKRRS >A02g504300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14370785:14372511:1 gene:A02g504300.1_BraROA transcript:A02g504300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLPLIFVLLSHFFFPGVEPTSFVMVNKCEYTVWPGLLSNAGVPPLPTTGFILQKGEEQTIDAPASWGGRFWGRTLCSTDTDGKFSCVTGDCGSGTLECSGSGATPPATLAEFTLDGSGGLDFYDVSLVDGYNVPMLVVPQGGSGQNCSSTGCVVDLNGSCPTELRVTSVDGAKQSMGCKSACEAFRTPDQKSSQDQSPDPKPTTTPAGGSTTWSPADTSMIYEGALDQSKASPSTSHLSLCGITVTLALAFCRMWWLF >A08p017780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12359408:12359713:1 gene:A08p017780.1_BraROA transcript:A08p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQKMISGKSVVIFSNNSCCMSHTIKTLFLDFGVNPTIYELDEISKGKEIEKVLAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALI >A07p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20111029:20112445:-1 gene:A07p037640.1_BraROA transcript:A07p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGYAFMIVAVVASVAIQQPELVTGQARVPAMFVLGDSLVDVGNNNFLATIARANYLPYGIDLNLRPTGRFSNGMNFIDLLAQLLGISSPPPFADPTTSGNRILGGVNFASAAAGILDESGQNYGDRFTMNRQVVNLGTTLSQLRTMMSPQNFTDYLARSLVILVFGSNDYINNYLMPSLYSSSLRYRPPEFANLLLSQYARQLLTLYSLGLRKIYITGVAPLGCVPYQRARSVGPPERCVDAVNQILGTFNQGIKSIVDQLNQRLPGAIYVYGNTYGAFGDMLNNPAAFGISVVDRACCGVGRNQGQITCLPMQNPCPNRSQYLFWDAFHPTQTALSVLARRAFYGPPIDAYPVNIQQMTLLH >A10p035840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20485713:20496223:-1 gene:A10p035840.1_BraROA transcript:A10p035840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRKYFYRKAPDRLVEISERVYAFDCCFSSDVMGEDKYKQYLDGIVAQLHYLYPDTSFKVCNFRAEDQQGQISTLLSQYGMSVMDYPNQHESIPLLPLQVISQFLISSESWLGQQNVLLMHCERGCLPLLDFMLSALLLYNKRYHGDQKTLELAYNQAPKELLCLSSALNPQPSQLRYLGYISTRDLGSDWPPPEAPLVLDCLILRGLPHFEGVKDWRPSLRILGQDHKAPTNRSSILLFSTPKTNTFYQQEECIQVKLDIQCHVQGDIVLELINLHDDLVREEIVFRIMFNTAFVRGNVLKVQSHEMDILWEAKDQFPGEFKAEVLFSDADPMVPVTERAPPPPPMRRGAPPPPFGSGGPPPPPPPLPFRSREPPPPPPPLMRPPPPPPPPPPMLRRAPPPPPPPPPPMLRRGPPPPPPPPPPMRRGAPPPPPPPPPPPFRSRGPPPFHWVIPRRVFLVSSWEEIPQRHEEGQTAPEFDVSEIETLLSARVQKPADNAPLPPPPPPPMHRGRGCGLPRPGLRSSTQKKSSLKPFHWVKITKAARGSLWDEFQRHGEGQTAPEFDISELETLFSAKVQKPTDKSGNQPVWAIPETIQLINLKKASNVEIILWRLKMPLRDIIAAVLAMDESIVDVDQIVNLIKFCPTKDEMELLMNYSGDKATLGNCEQYFLELMKVPRVESKLRVFSLKISELKQRLDLLNSACDEVRSSQKLKEIMKRIDYLGKKNQGPARGKTLALRSPGVAVGFKLKNLLNVGHTRGASSMQHLCKDLASRESDLMDFHKDLESLESASKIQLKSLDEDMQAIIKGLNILNQELTASESDGPASEVFHKLLKELVSIAETEVASVSSLYSVVGGNVDALVYYVGENPIHCPFEQVTAHLVNFVRLFKKAHEENIKQAELQKNEAANDKKVKKEKGLSLTRKVIDPDRADNLEIMLWRVKMPLPDMMAAVQAMDDTVLDIDQIEKLIMFCPTKEEMKLLNDLLQNYTGDKERLGKCEQLIRFGLSSIMLPQYFLELMKVPRVESKLRVFSFKIQFHTQVEELRKSLNVVKSACEEIRSSQKLKGIMKRILYLGNTLNQGTVRGKHYDIINIFLLKTFLSSVQCELDFKSGADKTLDLLSAGAAVGFKLESLLTLSDTRAANSKMTLMHYLCKVFASKASHLLDFHKDLENLESASKMQLKSLAEDMQAISKGLEMLDQELTASERDGPVSEVSYKRLKDFMRIAETEVEAISHLYTETGRNTDALAHYFGEDPSRYPFEQVTGTLTKFIRLRKKAHEENVEQAELEKMEAAKEARMKMAKGQFAFDCCFSCDVMGEDEYNMYLDGIVAQLHDHYPDASFMVCNFRAGYQRSRISTLLSQYRMSVMDYPNQQGSVPLLPLQVISQFLISSESWLGQQNVLLMHCERGSLPLLDFMLSALLLYIDRYHGDQKTLELAYNQGSMELLRHASSLNPQPSQLRYLQYISTSSEWPSLEAPLLLDCLILRGLSYFEWRRDWRPSLRVFGQDPKARACRSSILLFSTPKPDHMYQQEECILVMLDIQCRVQGDIVLEFVNLSDNLVCEEMIFRIMFHTAFVRGNVLKVRRTMMDILWDTKDEFPKELEAELLFSDAVVPAMSTAPPLCPLMPLGVPPPPPPPPFRYGGPPTSTPPPMGTPSPAMHGVAPPPPPPSFRYGGLSPPLLQPMGTPSHAMHGGAPPPPPPPPQFRYGGLSPPLRPPMGDPPRPMRGGVPPPPPPPPLRSNRRGVPPPPPPPPPFVYGKPQTPTPPPTGTPSPPMRGGSPRPPPFRYGGHPPPLWQPMGTPSSSIRRGAPPPPPAPPMRGGRGRPGLGSSSKRRSSVRPIPLPWAFNKTLREEFQRYGGQTHNFRKSPLITVSRRRWRIFSPASPLFPSSHASLHSGLCLLKFFWSFCVVFSVLGSSLL >A08p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2675545:2677118:1 gene:A08p004570.1_BraROA transcript:A08p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPARYYVLKYQKRRSNVVILNQIEMSGKTEASAKRVFIGAGCNKVVNNVSWGSSGLVSFGAQNAVAIFCPKTAHILTTLPGHKASVNCTHWLPSSKFAFKAKDLDRHYLLSGHTDWIQSLDFSSPLHSTEETTSSIMLVSSSQDKVIRIWKLVLLGDVGSWQRVITLASYIEGPVFVSGTFTYQISVESVLIGHEDWVYSVEWQSPVTGHQPLSILSASMDKAQSVAMAEIWLWEVGTCRSFAISHLEFSYDDSLLLSVSRDRHFSVFSIQRTGKTSVYS >A02p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26238638:26242125:1 gene:A02p041850.1_BraROA transcript:A02p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNDEIKQTVYISFNINDSDVSSFIRYLVAALHREGIDVASEKSGHDLNKGWFSRIKLFVVVFSKPCTYSVACLEKLVKLLEFLREEDNVVVPVFNDAMAKQIENFSGAFEALGKSHSADQVTTWQRVLKEITGLRGHEYTNKLREAAELIEEIAKNVFEKLNPTENIGIHTQLRVLENLLCKQPWGFRSIGILGKPGIGKTTFAEAALRRMRDGYDVPRFIRECNNKITEHFSDEDFQEISVEKFDLNNQDAGPCHRQKRLLLVLDGLQNAQDAESFLSGFNRFGPGSLLIITSSEREVLEKCHLNEIYELKGLNDEDALKLFTRCAFGKDVIDEELRKLSVSEIERCDGNPSTIRSHAAKMSRMETIDMESALGRFWHISSLCFQETMNTFSITPKQSFFITDAYNYQWDLENFSGFDLASSLEIRLSDLRGQCLTYDSRQKFFFSTISSTYLSDFTDLSLPNFTSPAIESMPIDLKILQLGQETLLVCQVNKPWEGYKSFSIFKIIKLGQSKKLVELEDISEARDLERTDIQDCTSMKSITVVTDHLEPLQVLNMSSCIESQILQWGLQTDPLCLLYNSANETKSHFYFDCTFCRSIWTAISSRIHLSPLQIWEGVFSAMVNLKESKAKELLSRMAWHSTIYGLLFERNSRLYRQSYRSGDAILAQIDREFRSQVSRLRKRHPKIASKILGLWLGTSSSR >A02p026560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13952277:13953417:1 gene:A02p026560.1_BraROA transcript:A02p026560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPAEDEKLKDLVEQYGPHNWNAIALKLPGRSGKSCRLRWFNQLDPRINRNPFTEEEEERLLAAHRIHGNRWSIIARLFPGRTDNAVKNHWHVIMARRTRRQTSKPRILTSTTSSLLETEQIMMASGDRKRILGDGISYPYQFSHINHLQLLKEFFTEKIAFNTKDAMVANQSKKPMEFYNFLQVDMDSNKSEIVDQDSDQSNPHDSDNKNESRVPFFDFLSVKNSTS >A10p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17399872:17401194:-1 gene:A10p027740.1_BraROA transcript:A10p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAHETEIRCHHCAGPLTKNLETSEWTVSPFIRDSFSMIGSAVGGTASAFIGFNHVMPIVRKWIKGPMWLHFLVGAPPVIVVSSACAGLAGGTVPALAQLASSSYRAAVHSSQPPKAQEKNKMQKSTTSPL >A07g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22811608:22815360:-1 gene:A07g508300.1_BraROA transcript:A07g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRIVFSSLSLLVALILCFSPIHSSNTIGKGYRLISIEESPDGGFIGYLQVKQKNKIYGSDITTLRLYVKHETDTRLRVHITDAKKQRWEVPYNLLPREQPPPVGKVIGKSRKSPITVQELSGSELIFSYTTDPFSFAVKRKSNGQTIFNTSSNSAFGEMVFKDQYLEISTSLPKDASIYGLGENSQANGIKLVPNEPYTLYTEDVSAINLNTDLYGSHPVYMDLRNVGGKPYAHAVLLLNSNGMDVFYRGTSLTYKVIGGVFDFHFVAGPSPLDVVDQYTSLIGRPAPMPYWSLGFHQCRWGYRNLSVIEDVVDSYQKAKIPLDVIWNDDDHMDAKKDFTLSPISYPRAKLLNFLDRIHKMGMKYVVINDPGIGVNSSYGVYQRGMASDVFIKYEGKPFLAQVWPGAVHFPDFLNPKTVSWWGEEIRRFHELVPIDGLWIDMNEVSNFCSGLCTIPKGKKCPTGEGPGWICCLDCKNITKTRWDDPPYKINATGVTAPIGFKTIATSALHYNGVREYDAHSIYGFSETVATHKGLLSIEGKRPFILSRSTFVGSGKYAAHWTGDNQGTWQSLQVSISTMLNFGIFGVPMVGSDICGFYPQPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWDTVAESARNALGMRYKILPYLYTLNYEAHMTGAPIARPLFFSFPEYTECYGSSRQFLLGSGLMVSPVLEQGKTEVEALFPPGSWYHMFDMTQAVVSKKGKRVTLPAPLNFVNVHVYQNTILPMQRGGLISKEARTTPFSLVITFPAGASEGYAKGKLYLDEDELPEMKLGNGQSTYVDFYASVGNGTVKMWSEVKEGKFALSKGWVIEKVSVLGLRGGAGQASEIVINGSPVSNEGKKVEVSLKEHTYVVGLEEEGENKSVMVEVRGLEMLVGKDFNMSWKMGINGAN >A03p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2724551:2726782:-1 gene:A03p006430.1_BraROA transcript:A03p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FIZZY-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) UniProtKB/Swiss-Prot;Acc:Q8LPL5] MESPQKTKTGLNLPAGMNQTSLRLETFSSSFRGISTLSSPSKSTCSDRFIPCRSSSRLHAFDLQDKEPTTPVKEGGNEAYSRLLKSELFGSDFVSNSLSPAGGGGGGHGSASSPMSPCTSNMLRFKTDRSNSSPCSPFSPSILGNDNGLSSDSSPPPKPPRKVPKTPHKVLDAPSLQDDFYLNVVDWSSQNVLAVGLGTCVYLWSASNSKVTKLCDLGPNDSVCSLQWTREGSYISVGTSHGQVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILSSGSRDRNILQHDIRVQSDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNNHSQQPIHKLTEHTAAVKAITWSPHQSSLLASGGGTADRCIRFWNTTNGHQLNSIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMLWKYPSMAKVATLTGHSMRVLYLATSPDGQTIVTGAGDETLRFWNVFPSVKMQTPVRDTGLWSLGRTQIR >A04g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12215913:12219180:1 gene:A04g505890.1_BraROA transcript:A04g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSDGLEEEHERWTEMESEWISLTDDLRMDIDNHRRHAADLEIELRKEKTASEELNDALGRAMLGPLTSYNDFIEQYTELQEKYDELVKRHNVTLAGIVDVKKAAAKAAVKGRHGKSFAKAFSAELTAIRAEKEKEREFLKKENKGLKIQLRDTAEAVQAAGELLIRLREAEQYVQSSEERFSLLEEENAKLKMQMEKLKGKHQTEMSTMKQYLAESKLPGSALQPWFTGNEEHLSEDRTGLNRFRMVNGVHGLRTMFGINYALYEKIETEDVERTRDVYRQILGNAIGKAPKDKIFKKYIEIELQMGNIDRCRKLYERYLEWSPENCYAWSKYAELERSLAETERARAIFELAISQPALDMPELLWKAYIDFEIAEGELERTWASYERLLDRTKHYKVWVSFAKFEASAAEQEEDEKEEEEIDAIERKKECIRPAYKWKKQNLAGSEEDYD >A05p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27638364:27640444:1 gene:A05p046560.1_BraROA transcript:A05p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGLPTLGRVKLCDLLPTEGSPSDSYKLAVSTLSQSLAQYSAAIIQFPATDAALLRSGLDSAKLYFHQRDSYPPTIHTTNDSREWCKTSGYYSDPHSWQESYEYRPGLTFTESAEFPPSGLPDIFGLLGKASRLVLDAIGFYLNLRSSPFTEILDNVPLRSNEVSSSVLSVCCYARPSFHHHNLAEDEQLLLYSDHDHQLDKSLISFVKSDKAGLHVRDMHGQWILVDVDLGPQEAVVYPGLALYQATAGYVSPAVYRTDLNSMQGSIEGRFSLAFKLMPKSMTNLSCSEMRAAGHGVDAQFLIPVSVDDFMQRPHSNDELFNRQTLQSFSVPQSQDGSMKQMKKRKKSDSRLKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNPKDCESNHNTMNSPCAHIKMEIGWPQGVPFVHPHDLPNKAKIGFLETYEPGWSEAHDMELSLSEAAQGNQHEMGEFQYWSLVCLDCIPVRVSDCTCYV >A07g508350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22852771:22858946:1 gene:A07g508350.1_BraROA transcript:A07g508350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSNFTQGLTHGKPKGWSTDLCECWRDINSCCLTCWCPCVAFGRIAEIVDRGGSSCGVSGAMYMIIFMLTGYAGSSLYSCFYRTKLRGQYNLKERPCCDCCVHFCCEPCALCQEYRQLEHKRAFDLSIGWHGNMERQARMAMSASSSAVPPALQPPMSRKMEATTITHVTTNNPNNTSIHGPVVEEVEGLIRVYKDGHVERSQFVPCVDPSLPLELGVACSDVHIDKLTNVWGRLYVPTVTNSTSVSKLPLIVYFHGGGFCAGSAYWSCYHQFLGRLSAKSRCLVMHVNYRLAPENPLPAAYEDGVNAILWLKKTRNDNLWSKQCDFGSIFLAGDSAGGNIANHVAGRLATADALIKPLKIEGTILIQPFFGGEARTETERRVENNTESSVLTLASSDTWWRLTLPREANREHPYCKPVKITTRTLVCVAEMDVLMDRAMEMCDSDKKMIRCVVYKGVGHAFQVLGESQLANTLTLQMDQPYAGELPQGLWTTGLCDCHEDGCICFQTAIVPCVSFAQNTEIVNRGTIPCINAGLIHLALGFVGCCWLYAFPSRSRLREHFALPEKPCSDYWVHICCTPCAICQESRELKNQGADPSLGWVSNIEKWRREKITPPIVVPGMNR >A06p037420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20234277:20236806:-1 gene:A06p037420.1_BraROA transcript:A06p037420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFYGVSDDILTNIMSRLPAKPFAAAACVNHSWNRISDHILSRPRLTSGLSLAESPEIAVDEALEMALSKPIRPHFAIAFVGLHFPIEEIHNRIASKIGANTILITTASLGTIDADSITNELEEMKWEDDEDAVITDDDMYLGVTLIIGFVPGLKINVVPLLHTNTEPQMPMVDEFISDVRDFTVLVAGNTNPDPVGIMLFGDHCRNLKPILAEIDAKISRETVMIGDAHNYFVCTNAEVDNIDTTLYTVDAVALVFARDNLNNNEVEIKFHVGISSGIIPIGPKIEILDVLVHEGKTTWLFARTQGEVWPLDAYNLILSLHTLIIDKPYFLYIGVSKETEPLDGGPSIKYREFYEVIGTQQIMFSVAGTGINPGDICMFYHSDMDSAMGSSNDVYNRFHHLVEPPPRHHQAYGQLGSSSRTNREKVFGGFMFASSNRGDKYFGNSVTDCMPFYENFPGVPFTGTFCFEPIGRSPVLEEEEEWQSDENRFNMQAFNTIHLVMSYKKTA >A10p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20573324:20578155:1 gene:A10p036030.1_BraROA transcript:A10p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLECWSNRGASERADDDLVDQVLMCSDDRSESLAAAPRFDQTSSAMQKRFQRLGRNVSDAIASLKSSLSLDSARENQNASTGGGGRKLVWANVVRSLAKMYPGSQLPEKLVSNLRKHYDSLPLSYSQAGFDMKEVFGHIKLIEQACGDDESPVFVIQEVCGEESVFKLTFASTCSLSWPTVSASLDSGLICCKNVQIFEKKGLTLGVVLLLVESGGEKLFKNKVENALRSAVRKPKSTSVKLPFGLCGCQEQRAGGGDFGDVDEESVDQCYGQDGPNTRIQIEMPPLDSKRLEQLQLRQSMRSDQRWSLLSIIFILFFLPHNLTFGLCFSTEEDLSLQGTLAPELGNLTHLKSLILRNNSFSGEVPKEVADLQELEVLDLCDNNFGQPFPFTSNGRKLLQIAPPGQDPGLGNASPPPPLPSPPPPLSSPESSFDLRFPPPPPLSRGESPPTGSPAVLPPQAQPPPIPPTQAQPPPLPLPPAQPPPVSPLALPPAHLPAIRKKKSHKPFIIIGVLAGVLSVIGALVAFFLLRKQKVIMIKTSATRSIGHLQDVGITGVPKLKLSELETACEDFSNIISSTSSNATIYKGTLSTGSEIAVLSIASGSLQNWTADLETQFQQKIQRLSLVDHKNFLNVIGYCREDEPFNRMLVFEYAPYGSLFEHLHDQDAEHLDWPMRLRIAMGIAYCAQHMHNLNPKPISQANLNSSSVYLTTDYAAKVADFTFLGSTPIDPKTNYVLSVGVILHEIITGKIPEDPDSPIKETKPARELVDPTLKNFDENVLQKMWEVVIECLNQRLEMKEVVAKLREITGITEEAALPRLSPAWWAELEIISTEV >A09p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19625546:19627790:-1 gene:A09p032320.1_BraROA transcript:A09p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITCSSDQRLSTSKRRLKPLMLRDYLLDDLSSCSSNGFKSFPRRQPPPSSSSATVRRLLDAEMKRSGLIQKPRLTRRSRTTCGTAISNAVHKASTAFLNAVKLIPFHATATLGKGDEKQQGGFSGSFSKRCFWRKPVSQSRREVTVIDVGDGEIQWWRSAAFFPDEESLGQPSDLFSQISTVADEATFSVSEDSAITTTVNIITGGDSSSSGSEFFTNSSSSEIVQSSSSLFSSTSNENDAVEDGDEIGESLNARDCDGSSVNCDSLCNRKEFVNEEKEQLSPVSILECPFEDDEDDDEEDEITGLISHQNDTYEKNARKSRRVNGLVRLEPLELEKRIEKYVEREEDEYSYHVVETEEDESENRANRLFALVKSRIGETNNILAFNVADNLLLDYLQEDSIGAKEETLMVKKVEDWVMDRQEEMFMSWEVREKREVYVKEMKWGCINGDEKENVVEELANGFFTFLVDEFIFDLVL >A05g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11161339:11161923:-1 gene:A05g503970.1_BraROA transcript:A05g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACPARVQRLPALFRLSLLYSTQYATITPRTVECLCTPKFTSFTSAGSHDENAVGFVYLNDRHCETSHEVDIRGAENTTGCPDATVDEGGTMDSTPLPCQTETVVSIDSKSK >A05g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24771342:24772885:1 gene:A05g508500.1_BraROA transcript:A05g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSLLMKLTGNRSRYGEHSIVVVNFLLNSFLPNSFHVFSLNASPLLPVCESSDINSYRRLFFFLTQLLENIFTLRRKLMQRGFILTSCHGEYFNHLFVCGFEKVESLTIGELNEFVVTAQPQAVEFLCTGKVELIAAYESDEAVFGGFNGKMTKLTNIRATEVGHLLVLKTLKTVSSLCTLLTLWARVTCSSLCCPTSTLLPHIRHQTLLSLPFKPWKFEETSRFPLTCS >A06g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6692186:6692924:-1 gene:A06g501780.1_BraROA transcript:A06g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSFSTCFSGIATTTLKSIRALLQILKLSAADYIKTFDPLTNLGELQREYGNKADGPSSHVFADYALKKLLHDPDVPRGCDPNSPEYVTDELGGSGSC >A02g504890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:15588745:15589038:1 gene:A02g504890.1_BraROA transcript:A02g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVNYKGEEKQFDTEEISSMVLIKIREIAEAIASCHCKEYCCHYSSLLQRLSVSSQKGRWCVIAGLNVMRIINEPTEAAIAYGLDKKVTVLERRTS >A01p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000100.1:650:5077:1 gene:A01p031540.1_BraROA transcript:A01p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSLGCQVLIKSCCRHPFRPRNSDLCSMQKTWLEAKEIYENLPENSFNHPYEACKKSDSNSKYFVFYIKNTPRNTTNVYCTRTVRVRSVGLFGPTLSRAGFRSMAGLSPVNFPGTFPANFPVDRFAPNFKFSRLHGLGLVSSVFHPAFSLLSWVFVCVPKMQRKPRTCSSVVETYHRVVHNSPCTSMKLPFYTHLPLRLSWPLGMNSGHLPSLLTICVCSQALEDALGDNITDQKPPESRS >A04g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2105204:2107747:-1 gene:A04g500750.1_BraROA transcript:A04g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSLDSDRLFPRRTAPSTPSNPSTPSTPSNPSTPSTPSNPSTPSTPSTPICSPIYCLTASTFYSLSISELASSSSGLTLFSCVDKERSVVTAAMGLDYSYTQPSASEDYGLGDSADSGYSQTDAEFEAAILMDQAEIEASRVHYPLQPEVEFGFPRECYCGGEPLLATSVTRNDPGRRYYTCRNVDDGDCHVWKWWDVAVMEEVRAMGTQVCQLSDKVDHLASSSDYESEVNQVRDIKYEMVLKLAQLEKIVVGVMFFVVLIIAMVIMFK >A05p008140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3310767:3313176:-1 gene:A05p008140.1_BraROA transcript:A05p008140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGEVNISYNACRLLLLHPNRVPSFRLIRLVNKTSFTSCRREVLAAEERVYSVFCLILKAMMTTTTNTIAMLHNLVFTVPISRMVVRRYSITTTAAAISTATTAVPSLKPASSKPARAPHVDSQVLLGMSEPELQQLAIKLGQEGYRGKQLHHLIYKRKAKQVEDFSNLPQTFRKELVESGYKVGRSPIYQTVTATDGTIKLLLKLEDNLLIETVGIPVQEEKGITRLTACVSSQVGCPLRCSFCATGKGGFSRNLQRHEIIEQVLAIEDVFKHRVTNVVFMGMGEPMLNLKSVLDAHRCLNKVAFAIFPFYMFLANDMKCHSCLLVCFSFTQDIEIGQRMITISTVGVPNTIKKLAAHKLQSTLAVSLHAPNQSLREKIVPSAKAYPLEAIMSDCRDYFQETNRRVSFEYALLAGVNDSVENALELAELLREWGKTYHVNLIPYNPIEGSEYKRPYKKAVLAFAAALESRKITASVRQTRGLEANAACGQLRNKFQKSPLLAETDGQESKPEAEEAVSC >A03p054280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23286732:23288145:-1 gene:A03p054280.1_BraROA transcript:A03p054280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDQDKGVKKGPWMPEEDYKLVAYIDKNGYGKWRSLPNLAGLNRCGKSCRLRWMNYLRPDIRRGEFTYEEESTVVKLHALLGNKWSKIASHLPGRTDNEIKNYWNTHMRKKMLQMGIDPITHEPKTNDLSPILDVSQMLAAAIGNGQFGNNTLFNNNIAVEDLLKLQLIHKMLQIITPKAIPNINSFNTNSLNTKPEPVVNNIKTNPVNPKPKLAAAGQLNAIGPHVFTNQTEDEDFMPFFEDVWDCFEDNQLPGLATVYQTGDGMMPEYYGDQLREIPSTGSMSVTPETSGLNYPGRTQHSSGSDVLEDWEKFLDDETSDSCWKSFLDLTQPTSSPGPW >A04p006530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3491983:3493929:1 gene:A04p006530.1_BraROA transcript:A04p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESLILQLHEIGAVKFGNFKLKSGIYSPVYIDLRLIVSYPSLLTLISQTLISSLPPSTRYDVVCGVPYTALPIATVVSVSNSIPMLMRRKEIKDYGTSKAIEGVFEKDQTCLIIEDLVTSGGSVLETAAPLRGVGLRVSDAVVLIDREQGGRENLEENGIRLHSMIRLTDMVRVLREKGKVEEEVEANLLKFLEENRRVSVPNVEKVKSKALGFKERLEMCKNLMGKKLFEVMMEKKTNLCLAADVGTAAELLDIADKVGPEICMLKTHVDILPDFTSDFGSKLRAIADKHNFLIFEDRKFADIGNTVTMQYEGGVFKILEWADIINAHIISGPGIVDGLKLKGLPRGKGLLLLAEMSSAGNLATGDYTAAAVKIAEAHSDFVMGFISVNPASWKCGNANPSMIHATPGVQMVKGGDALGQQYNTPHSVINERGSDIIIVGRGIIKAENPAVTAREYRDEGWKAYLEKCSQ >A02p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4273077:4283111:1 gene:A02p009940.1_BraROA transcript:A02p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGDATFPAATATSDAARGTGGKLRRQTARRHSTTTPYSRPPQNQAQRRPWISRIVDPAYRIISGGATRILPYFFSNAASAPAALTAPPEDEDRHQDELQDDPEENDPSSVTPSLSKPKLATIEEGGTSGTANVNESNFNISAQAKGNIALNDVLAISELERLMEGKTFSRAETDRLIEILNSRATDLSDVARDERVEIPLREGAQKNMSFLDKRKEPIGTKDASSELWATPTPLAKSITLNGDKHVRDEAGLSPAELAKAYMGGQTPSSSSQANYSGASPSSKPSACWPGVKLNEQLGFATPQNQRENFGIQSFPRTPYPRSILSSSKSKLMQLQDNSSKRLSTLQSPSQSAQTRYGQLKLSKGSDSGVFGPSRRARQSATMSPYSRPSRGRFENSGNMKSYEAGESSISMPQTTTYGKHIGLEVGTPTVPRHSSQIARTILDHLERTQPTPKDKSAELKLATSWRYPQSSKTVEPSNFNINNVTKDGSVKLNEDIRNVISNVPPSSVAKLPEVTTHDAQNAITKTASASNGIFSGSSSGTTLQYELGKPMGSLSGSTHEKDGTIAVSSSIGGEPANLPKPPSQSLGNNNNNKRLLSSISVAKPNQRWAFPSGSNASFTFPVSSSDGATSSEPPTPSIMPFTTSTAASGDGVAITSHHDEATKDDEVPQFSFGGNRRGDKTPLVFDFPSVSEEVMNEDDEKLGIKYTFGSKKPERISFSSAGSDGMAGFFKTVTFMVLVFAAVVFAEDYEVGDDAKWARPSDLEFYNTWASKKTFLVGDVLDFEFDAERHDVAIVTADEYENCEKETPLSLIKTSPAKIMLNDTGPIYFICTSADHCRFAAPLPAESTASSLGGASFMVALAKEITVLCDAKVALIVFASNGKMTDYCCPSMDLGAMLDQYQKLSGKKLWDAKHENLSNEIDMIKKENDSLQLELRKCEYRHLKGEDIQSLNLKNLMGIEHAIEHGLDKVRDHQAYIHLHAFIHMEFLMTKRRNVSYEKMLVEENRQLSFQL >A04g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6276034:6277173:1 gene:A04g502740.1_BraROA transcript:A04g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDKEKSMENPDVVHKVCDGPWLHQTERTVHATAPRLCSVPKSHPALLICFNSFLFSSLWLNTKGCELPKGVSNQKVRDTKGYEHQKVQGPRGMIGQRVHVPNGVFRDRLGPILMDQPNLSSKTRSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDRLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIESHVSK >A09p055690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47580226:47583121:-1 gene:A09p055690.1_BraROA transcript:A09p055690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNVGSSSSSGKGIAAVVGVGPQLGRSVARKFAHEGYTVAILARDLGRLSRVAEEIAREEKAQVFAIRIDCADPRSVREAFEGVLSLGFVEVLVYNAYHSSSSYPSHHPTSFTHIPFQSFQTSISVSVFAAFLCAQQVIPGMMDKGKGTILFSGCSASLNGVAGFSELCCGKFALRALSQCLAREYQAFGIHVAHVIIDGVVGPPRERNIAPRGTVAEQSFNTGGQDGEGEGESSGVMGMDPDVLAQTYWSLHVQDQRAWTQELDIRPSNTRF >A09g514730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43954860:43956786:1 gene:A09g514730.1_BraROA transcript:A09g514730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKKGGNLFHNFFTFLSFSKIFLKKHSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVLKMEFVPHSVHPAENKAWWVAHYGSLTPPKEKPFPVLVHRGVEEDDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFLSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFWRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALKFVQPGPALDADTGSDSEPDDQNPAEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWHPNLAYGDGSGSSEAPIPDFDDFFAGLPSCFDAPPPTKESARPRVVAEESRIINGGLSLLGSAIEAGHREAMVYRFKVEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A10p011000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6649765:6652046:1 gene:A10p011000.1_BraROA transcript:A10p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDGRSDTDASTVANEAESATEKYILGSKLEEIHLGVGRSHDGSFLKDFGENLCLKKKKSWLEDTLINLRKELDDERKKERKKEANTSDLKKENVRLAVHIEVLEKQVKDEKARSSDLNTKLEHHYKTVRMLTGSKELDKILSLGRQDQTSRGLGYIGNGKSDTKPIKFVPSSNSTGRTTTE >A10p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20356295:20364177:-1 gene:A10p035490.1_BraROA transcript:A10p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRFVNKKRERLTMEKNALWLLCVLVLPVIACGYKEDDLVTGLPGQPPVNFRHYSGYVNLGPRQKQKSLFYWFFEAQQNTARRPLVLWLNGGPGCSAIATGAAQELGPFLVHTKGGNLTFNEFSWNKAITLTDLQNLGDQVTAEDSLVFLINWFKKFPEFRSNEFYITGESYAGHYVSQLAEVIHDRNKKVTRDSRINLKGIMIGNPVINEATDAAGIVDYAWSHALISDEVHKLIHDSCRFGDKLTAQCNKSFTAFTAYAAINIYSIFTPVCLSSSPSSKNHTRVMSDHNLLTSQNIWGRLPYAYDPCTPHYAEKYFNRKDVQVALHANVTNLPYPYTACSSVIKWTEAPTTVIPIIQKLLKGGLRIWIYSGDTDGRLPVTSTRYSLRKMRLKVESPWRSWFHKSEVAGWVETYAGGLTFVTVRGAGHQVPLFAPAQSLTLFSHFLASLPLPPSHFKEKKVLAMKKNALWLLLVLILPAIACSRKPEKKVTVSSGRKEEDLVSGLPGQPPVNFRHYAGYVDLGPRQKQKSLFYWFFEAQHNSSGRPLVLWLNGGPGCSSVAYGAAQELGPFLVRTNGGNLTFNDFSWNKEANMLFLEAPVGVGFSYTNNSVDLGKLGDQVTAEDSLDFLINWFTKFPEFRSSDFYLTGESYAGHYVPQLAEVIYDRNKKVQGDSRINLKGFMIGNAAINEETDMAGLIDYAWSHAIVSDELHSNLHSTCGLDEEQTSNTTIQCYENIKAFMEAYNDIDIYSIYTPVCLSSDSSSSSSQRRPKLVVSPSLFTYHDMWDKFPASGYDPCTEAYTENYFNRKDVQVALHANVTNLPYPYTPCSGVIRRWGEAPSTVLPIIQKLLTAGLRIWIYSGDTDGRVPVTSTRYSIKKMGSKVELPWRSWFHESQVAGWVETYAGGLTFATVRGAGHQVPVFAPAQSLTLFSHFLSSTPLPSKRF >A02g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18263460:18267851:1 gene:A02g506470.1_BraROA transcript:A02g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRTKSAPGKDEVKSSIDANASDVEARHKSEAHATTQPEHPENSTTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTANPVPRQRSTERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNPLVNRRDKRLSMGTVTHPTIHQAHFLFKHIVIGSRPPKTSDQTAALAKVTHQGKGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIQLRFLRLEAVDHGFSMARLNGRAQQAQALQNRLACYKYIHRTITKKFSKKN >A08p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11427405:11431201:-1 gene:A08p012380.1_BraROA transcript:A08p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGIYSFGEKKLKPNYRIPDRSNVFQFNLERFWSKLTTPILEGINTNSPCLFGAGFTSGSCEEPSFYFTFANFSLNFIYKSIFNAFQRFLALWLGFVGADPGRDPPSFPFLALLIYIGVKLLRFFRRGGRGFPTIRCDLALKVDSDLNHRFSHLGVFLALRTAAELELGLSLVVVEASWSLGVRFRPIHSYAPNGGSISSILVRRLLVTVASDLDDVAVLGLLRFSYSVSLNLLCSWRFSLVLLFRCVPASFRRDYQVEQELRKGSSMIRSGVVTNALTKTLREEMPLTINGRVDNPIPTDVYGPKPLSLEGPPTDELNDMKKVVRELSLILKKAHDKEHSNISQLTAAHEFGDLVSFMKAQLRVARNERNTATE >A09p067120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53019238:53023845:-1 gene:A09p067120.1_BraROA transcript:A09p067120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKQTPLLLLLLFIFFIFPLLNASHPNPCYTSTCGRGNIDVRFPFWLSPKQPESCGDTGFKLLCTDRNETALENPKSKPFIVQEIDYRRQRIRLSDPDSCLARQLLSFNASGSPFSLFLPRSYTVLICPKDENVAPSFRSIQCLGNSTSSFFVTELEQVSSMSSSCHVFKTLHLPYSFHGDINQQYLWLKWDSPDCGYCERSGSRCGFINNTTRQVQCFSSVNSGLHNTSLQVLKIICLSLMGPLIALSFCVGLVFCSSERVSSQIQHAMAVTPEPSSDVQVIMRTGLDESTIESYKKVQLGESKRLPTGSNDVVCSICLSEYATKETVRFLPECEHCFHAECIDAWLKLHSSCPVCRSNPSPAQMAQYTVKKISPKLGGERGTRNPNGPTSSYDLVEPMEFLYVEVIKTINKSVLNPITHACKPIVEITLGNYQSSTRDLPVGPDGAWNQVFAFDKSTADVLSVALKDGQFLNNTLIGKQNFKVAADIPSRVPPDARMAPQWYPIPSTVEGYHMELLMSVWFGTQADEVYSNAWFAEASLVSAKYVKNTRPKLYLAPRLCYVRVTIVSGHDLLASDAKRTPNVYVRAILNENLTGEVCLKTKPIESENPSWNQDLIFVASESLEGTVKFELWDLIGKGPPHQQCIGTLEKKLSEMTALKVPGAASALFYDVEPGGKIIPEGDTRRFSCRLKMKLATDQSYHVFDESTQYCSDYRAFAKGLWPGTLGKLEVGILGATGLTPAKNVEKQRNAYVVAKYGNKWARTRTMVNNKSPKWNEQYSWDIYEKYTVLTLGVYDNHHILSMNDGDHVPLGKVRIPLSLLQWNRIYTGSYPIVVLGKEGLKTTGEIQLAVRCACPPSTLTFFPPSYAFATAPFRLLLPKSHYKSPLGFPQTDQLRELAVGVNCQNLARTEPPLRTEVVKDMLSPKNNNFSMRKTKANVARLYDFYTWLSSKYGRLDAEVRSTTDNTPKWITFAVCFCVLWFLPPLTLGLVVYLYPYCLVSFSVYVMIKVLVEYYKTRNGTGPPPPLVLFDVKLSRLEKLDDTQALDEVAEEFDATSAVDPAVLKMRYDRLKAMGAEVMVFSGEAASQFERFHALWVLCTSNAVVLICVLALLYPVLLVYYYFSLESLVKVFITVFVFKWVNFTFNRLDLPSAFKNFFRRLPNKEHLMM >A09p047640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41373811:41375277:-1 gene:A09p047640.1_BraROA transcript:A09p047640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRFPLRKPSALGLRRRRSAPRRKFICSDAETDSSSSSSSSSNGFSKPTYEELASEIDSEAIDSTEKETDLVVIMDMNGVVTDSGRVDPVQESGESTVSDAVSPPPPPPKKKLSTDGSLLGLVFKAIGFQFKLMSSLVKSSPFLLNCCFLFPFDPFTTFKLGRRFLLTQVSVFSEILFRTLKLSGFKDTKRAVNFACKFGWGLFRAAYVGVLLFGLLVLAFVLGGFAITRVADRPFVIKEVLNFDYTKSSPEAFVPITSCAGVACDGSCKESNEMLKIRGLRAIPRGHKLEITLSLTLPESYYNKNLGMFQVRVDLLSADGQTLDTIRRPCMLRFRSEPIRLVQTFFKVVPLVTGYVSEIQTLTMKLKGFAEKDTPTACLKVMIEQRAEFRPGAGIPELYDASLSLESDLPFFKRVVWKWRRTLFVWISMSLFFMELLFALVFCRSLIIPRTRLRDIPASNRTGSR >A02g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23071393:23072130:1 gene:A02g508530.1_BraROA transcript:A02g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKWLTPHPDSLDQATSSFSVDLRDFGSSAHLSHAKDRRRPSHALRPQPKPSANPPESTSTLSDDATGLMEANEKEIESFQKRVFRIPLEKPFEESYFTSKSWMFFRETKETEEDFRRMFCEAREKMKNKITLKKKSDRGKFAIPCTVKGIEFPLIPLKLP >A02g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24731734:24733660:1 gene:A02g509270.1_BraROA transcript:A02g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKEGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADVFGASLSGDFDFGL >A03p037660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15743394:15744058:-1 gene:A03p037660.1_BraROA transcript:A03p037660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDCLTKVVAGAAIGGAVGGAVGAVYGTYEAIRFKVPGLMKIRYIGQTTMGSAAIFGLFLGAGSLIHCGK >A07p037500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20062173:20064486:1 gene:A07p037500.1_BraROA transcript:A07p037500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHFRRLCMSSALLVVVLQILHGVSGQLVVVEDPVSASPPPLVEQDGSDGIVPALFVFGDSLIDNGNNNNIPSFAKANYFPYGIDFNGGPTGRFCNGLTMVDGIAQLLGLPLIPAYSEATGDQVLRGVNYASAAAGILPDTGGNFVGRIPFDQQIHNFETTLNQVASKSGGAVTIADSVARSLFFIGMGSNDYLNNYLMPNFPTRNQYNAQQFGDLLVQQYTNQLTRLYNLGGRKFIVSGLGRMGCIPSILAQGSDGKCSEEVNQLVLPFNTNVKTMITNLNQNLPDAKFIYLDTANMVQDITTNPAVGEYTFDINSTAKKKS >A02p052750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32231336:32233902:-1 gene:A02p052750.1_BraROA transcript:A02p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) UniProtKB/TrEMBL;Acc:F4K1P9] MRCSPPHLPCTSLVVLFLSVATVCLAADPYVFFDWTVSYLTASPLGTRQQVIGINGQFPGPILNVTTNWNVVVNVKNNLDEPLLLTWNGIQHRKNSWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTNFQRAAGGYGGIIINNRDIIPVPFLLPDGDVTLFISDWFTKSHKKLRKDVETKIDFGAPDGILMNGFGPFPYSSELASGGFPYGTINVEPGRTYRFRVHNSGIATTLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSFSFLVTMDQSGSNDYYIVASTRFTKSSSSPKATGVAILHYSSSQGPASGPLPDPPIESDTSFSMNQARSIRLNVSAGAARPNPQGSFKYGEITVTDVYVIVNRPPEKIDGRLRATLNGISYLPPSTPLKLAQQYNISGVYKLDFPKRPMNRHPKVDTSVMNGTYKGFMEIIFQNSDTTVKSYHLDGYAFFVVGMDFGLWTENSRSLYNKGDGVARSTTQVFPGAWTAILVYLDNAGMWNLRIDNLASWYLGQEVYLNVVNPEIDTSENSIPENTIYCGRLSPLQRDQSQRVNFSGSPRSIFATSRGILLALFAILIISLAR >A08p003350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1871614:1872106:1 gene:A08p003350.1_BraROA transcript:A08p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable histone H2A.1 [Source:Projected from Arabidopsis thaliana (AT1G51060) UniProtKB/Swiss-Prot;Acc:Q9C681] MAGRGKTLGSAAAKKATTRSSKAGLQFPVGRIARFLKKGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGGSSKPSGDDD >A08p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2945180:2946252:-1 gene:A08p005050.1_BraROA transcript:A08p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRLTQRREKKKRESERVKRLKQNLMESVAVTWSRGEEKSFENAIAVHCLEEEITEDQWMKMASMVPTKSLQEVKNHYQMLLEDVKAIESGQVPLPRYQRTGEEAAATSPANRDCHSSGGGGSTEKKPNHGVSGISSSNGGGRSSSKLEQERKKGIPWTQEEHRLFLLGLEKFGKGDWRSISRNYVITRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVNNQAPAVTGQQQQQQVVKHRPAQPQPQPQHHTMAGLGMYGGAPVGQPIVAPPDHMGSAVGTPVMLPPPMGTHHHIGVAPYAVPSYPVPPLPQQHPSPSTMH >A03g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30286632:30287758:1 gene:A03g509270.1_BraROA transcript:A03g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRESSPLWESIARTRRDSPIGEKEHTDHFSIHIGALSQIYCNTLRRTTCLKHWHCVKPEHSPRTCRHGFMVSKHSFNVLALLLVFRYYHLNYLRVVVMFYVMTPTLCIFFLFVAYTGRMKSHSICVNLNL >A02g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5484469:5485223:1 gene:A02g501690.1_BraROA transcript:A02g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSRRRRFDLDVDSGRAMLEWSLGFEVADNGISVGEEMLVVHWRRNHKQAPNIFQLIPNCKFVSVEHTVLANRQRPMISVASF >A01p025820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19921048:19922635:1 gene:A01p025820.1_BraROA transcript:A01p025820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVPNGTHWCKKMDRMSGLADETLNVIMGFNGATEAARVLTLAKRFRNLHTVVGSLNFDDINDFHGTFSEFVSERLGLLEDNQFVRRFSLKARAILDPADIAQWLRDVLKRGVVDVSLHIHGNNGAPLPLELFNSRSVGMLRLGRHLVISEVPATAALPALETLVLDSVRFHAFGDCAFQAFLSACPTLKDLTINGWFWETWRWGGMLSNNSLQRLTITRRMQSGFDGFDYQEISFQTPSLRYLDYTNFVPDAYPVVNLESLEEAKIDLQVVEDREWFGELLEDPDHLSNNPTNFIEGIKNVKSLTLSSSSTFQTLYFFRESIPLFENLHHLTMRHSDMRICWRFLPFLLSKTPNLKTLHIEGGLHYAQKSESLDYVCECVSEYSCLSSCAVEFMDINLWDDGAEGEMEQIKHFLGKLAHLELLKVHSLGSISDGEKLRITNHLLMLPRASPKCKVEISFR >A09g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2721805:2723418:1 gene:A09g500690.1_BraROA transcript:A09g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYSRSEKGKWQGPPEPPTKRPPVRIPANDCDDLIEANRLTVIGRLTNSTVQKPRAIWVEVNGLQPLVMKMEIELPTDDITEEADCLQRPQNALPPKDRILGITQSIALQRIEAEKRRHDDRRGYRRPDESRPLTRNPAAVYSQNRRDLSSEGRHYSREGGYGRDHSILSRTARSNSGYRRTEAPNMQYRVVEKSRLSSGSSAPHLNPMVQPVVAASTGNIPIAQTELHQQNHTPIRNVRDRLSGPIGETGTQAPASRLEITPARNLQSRIEVPDASRDGTHSGSHERRSALERLAEPKLRKPPNFESGRLQEPSDHMETEEQLAPAMIPATTRSNNLSAGTSKRAGHAIPIASQSKSAGKRKVLAKKRIARSPRQTLLQRRSTTSSSSTTTRRRLVGNDDINLPCNKA >A08p037840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22018034:22021060:-1 gene:A08p037840.1_BraROA transcript:A08p037840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSLVQTENAIMDTEFMDGLLLDGCWLDTTDGSEFLNLTSSDQSSFMWPPTQDTSSICTSEVVSQTYGQDCASLDEFPWNKRWWIGPGGGGSSITERLVQAVEHIRDYTTERGSLIQLWVPVNRGGKRVLTTREQPYSHDPTCQRLANYREVSVNYHFSAEKDDSKALAGLPGRVFLGKLPEWTPDVRFFRSEEYPRVHHAQDCDVRGTLAIPVFEQGSKVCLGVIEVVMTTEMVKLAPELESICRALQAVDLRSTEGCNLSYKAALPEIRNLLRCACETHKLPLAQTWVSCLHQSKSGCRHNDENYIHCVSTIDDACYLGDPTVREFHEACSEHHLLKGQGVAGQAFLTNGPCFSPDVSNYKKSDYPLSHHANMFGLHGSVAIRLRCIHTGSVDFVLEFFLPKDCGDVEEQRKMLNALSTIMAHVPRSLRIVTDKELEDESEVIVTPKIEITSEHTKDWLHQSNNPENLGLIFDVGGKASDEFGLKRGFGYTRDSNINESSTFSSKMAETKRTKADKTITLDVLRQHFAGSLKDAAKNIGGKQHSALMFSVQFIHKSHKIDSLVFVSVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKIQRVIDSVEGVSGLLPIGSFYANFPNLASSQEPSLQGKTSLPPQPLQLSKPPVSPYSHSSSSSQCCSSETQLNSDTTTTTDVGGGVLTLSSLENIPQSTNLSLSSLDNDFLRIKVSYGEEKIRFRMGNSRRLSDLLWEIGKRFSIEDMSRCDLKYLDEDNEWVLLTCDEDVEECVSVCRTTRSHTIKLLLHVSSHCYNSWQ >A06p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10472051:10474300:1 gene:A06p021160.1_BraROA transcript:A06p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHSNIVKLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPDFSKDLHMIKRYVYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSHHYSTPVDIWSVGCIFAEMMSQKPLFPGDSEIDQLFKIFRIMGTPTEDTWPGVTSLPDYKSAFPKWKPTDLESFVPNLDPNGIDLLSKMLLMDPTKRINARAALEHDYFKDIGVMP >A09p007420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3902633:3905708:-1 gene:A09p007420.1_BraROA transcript:A09p007420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVTQTAAVADAAGGNGGAQPQQQQRGFGSTISGIVRIAVFWYFASKFFSPKQKPMDPSQTHHLMTNLFHKGESLDMWFYLTEQEKFSDFSNEGLLYWHETNLPYAVWTPESVRTKSLKYYPSETLQSNGSLYAHVFFARSGFPIDPSDPEYQPLNSFGRTHPVAIYFPKRKVDKKKSLLGSPKDSDESKPEVEKVVDKNSEVKEEVPVEWVALWKPNVTINLVDDFTHYSQNGVPPNIAPHLLVEPTSGNYYPTIYFNEFWLLRDKMIPINETVSEVPLNLEISPISMMKWQLFQQVDQSFQMQRSYGSMLDGESDELKRVFLEGNPYLLGITMCVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVLNFICQFVIFLYLLDNDTSWMILASSGVGVCIEFWKIGKAMRIEVDRSGMIPRLRFHDRESYASNKTKEYDDIAIKFLSYVLLLLVVGLSIYSLAYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPILHRLSVFRDDVIFLIYLYQRWVYPVDKTRVNEFGFGGEDETAEKKLITEKQEEEDNKKTN >A05p040940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24961026:24961464:1 gene:A05p040940.1_BraROA transcript:A05p040940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTFDDHHPKKEHNDNPCTGVSIVKLNKDSLIIKKKKHLLHPFPPPQQLSIVSRNVPLRGRFRPQRYDYQSDQLMKTWENMDMPICLYLFSSRCPFATIASVHVCGDGDKQNVLLDIF >A03p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:608783:611509:1 gene:A03p001170.1_BraROA transcript:A03p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRLGSKSEAFHREGQTWLCTTGLVSDVTIEVGDMKFHLHKFPLLSRSGFLEKLIEESSSDDGSSCVLSLDDIPGGGKTFELITKFCYGVKIELTAFNVVSLRCAAEYLEMTDNYGEGNLVGMTETFLNEVFGNWTDSIKALQTCEDVTDHAEDLHIISRCVDSLAIKACADPSLFNWPKNATSGQNTEDESHLWNGISPSGKMLQPTGEDWWFDDASFLNLSLFKRLITAIESRGMKLENISMAVMYYTKKHVPLMNRQVTMDEQVIETPNTSEAEQKDALEEIIGLLPTKKGVNPTKFLLRLLQTAMVLHTSQSSRENLERLIGNQLEQAALVDLLIPNMGYSETLYDVECVLRMIEQFVSSTEQAGIVPSPCIIEEGHLVKDGAEMLTPPTLVATLVDGYLAEVAPDVNLKLAKFEAIAAAVPDYARPLDDGVYHAVDVFLKAHPWITDSEREHICRLMNCQKLSLEASAHAAQNERLPLRVIVQVLFFEQLRLRTSISSWFFVSENLDNPEHQNGGNGCVLKPRGENVRERVSELEKECISMKQELQKLVRSKRSWKNFTRKLNFKKKSECCKPKDQEKQAN >A06p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5121972:5123486:1 gene:A06p002520.1_BraROA transcript:A06p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHGINGVDDSYRHLPILYLTFLSIWSLSACSWTVNTFKNRNFQQTNSLQWILASVPLIKALQLTLSFLFWHSCFHHQICSLWMSFGVYVTGVLFQTASFVSFLLISHGYCITCERLSLTERRTTASLGCVFYLTLVGYRASVPYFAVLLILNYMISFYVIFHHISQNLSVLREQLSFIEDENVQAMHDAVYAKYIMFKKFQGAMQIVAMAETVIYMNMDNSSQNYWLRLLIREWAQFCIFLYIGWTFRSQDMAPRFSVMPTLKPKDNTIIPPIYSMEMDAKTFKEFRSHEWNIGVPMPHSNYERQKDSVLVIIQHPR >A07p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22967209:22968505:-1 gene:A07p042370.1_BraROA transcript:A07p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINDQDAGSLFLSVSSSTESQPQIQHGVAPPDSKLEKEVMPFTKFSFSDLEKATQNFSVYKGDLHNFGSITIKKVNTNSWSDPEKFDVLFLEEAKRVGELKHKRFVSLIRCCADGDERLLVAEFLPNDTLAMRLFHEPRTCVTVVLPLRSMVTHFRLHRLGLFDFR >A08p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8673152:8675775:1 gene:A08p010550.1_BraROA transcript:A08p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYRCDNYQQADPTKIILKALQNLKLGADKEKWIEKDHRRCLVAKGLNPFHQNPAEMKVALSRIWQLVRKVESQINDDGMVNFYFEKEQHLLKVLDKQLYTYRGWIVALDRWSNRSHPTFLRQIPSRVRIFNLPDMYRCYGIVDSIGSKLGHVDEVTIIEPTSVKEAEVWVKILFDEDDVITLTRTLELLKHQPPVELEFRYLGLQKFCMLCGSLKHGYEACDVSPQLQQRQYELMDIGSNPYVIAQEKRAAIGEYITSMEVGQSSGTTATGHTSLDQSQDRNIQTEDLSIVGEQKQTVGTIALVQVVPPTPPEPIVKYRNRQRHSIISSENAGYFQNKPRMLCALGLHDIKTIGGRFTWIGKRSKYLIMSRMDRAVANSDWLEMYPTATVTLLPWIGSDHRPLLLSINATKWKKGHSFYHQKMSQVSNWKSKRNTNSRKVIEQLKVDIQKAHESPAIYYIYLQTLKAQLQLQYRMEEEYWRPKSRILWLQAGNKNMKYFHAKTKQRRSYNRILHIQDDEGKHYSTVKDIHSHILKTCTTSMGKL >A01p058410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33119414:33121623:-1 gene:A01p058410.1_BraROA transcript:A01p058410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 24 [Source:Projected from Arabidopsis thaliana (AT3G03620) UniProtKB/Swiss-Prot;Acc:F4J158] MSSQGEMEENLLRVGSDAKAQSNSIESLYLRAKVWSEVSKMWRIALPSSLFRMTSFGSIIVAQAFIGHSSELGLAAYALLQSTFIRFLYGLTGGMSSATETLCGQAYGAEQYHTMGIYLQRSWIIDIAVTTLFLPFIIFAGPILRLLGQNVDITRTVDEIYPWTIPYVYSLIFTMTMQMYLQAQMRNAIVGVLSVLSLALDLLVTWWCVSFMGMGIGGALLGLNVSSWALVLAEFVYVFGGWCPFTWSGFSTAAFVDLIPMLKLSISSGFMICLEYWYLSLLVLMAGYTKDANIEISAFSICQYIYTLEFNICLGFLGAACVRVANELGKGDAAAVRFSIKVILTVSTIMGVIFSVLCLAFCGQISYLFTNSEEVSKAVDDLSIILAVSILLNSIQPVLSGVAVGAGMQSIVAVVNFVSYYAVGIPLGLILTYVFHLGVKGLWSGMSAGIAIQTAILCYIIYRTDWELEVKKTSERMKAWSIQPSHEESNPIREDERK >A03p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2213179:2216684:1 gene:A03p005280.1_BraROA transcript:A03p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAELPCEDVADNRSGVGGGISDVYGEDLATLDQLVTPWVTSVASGYSLMRDPRYNKGLAFTDKERDAHYLTGLLPPVVISQEVQERKLMHNLRQYTVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRKPQGLYISLNEKCCLPITIDVGTNNQKLLDDEFYIGLKQRRATGQEYADFLHEFMCAVKQNYGEKVLVQFEDFANHNAFDLLSKYMDTHLVFNDDIQGTASVVLAGLIAAQKVLGKSLADHTFLFLGAGEAGTGIAELIALKISKETGAPIEETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEYEPVKDLIGAVNAIKPTVLIGTSGVGQTFTKEVVEAMATNNEKPLILALSNPTSQAECTAEQAYTWTEGRAIFGSGSPFDPVEYNGKTYLPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALAAQVTEENFANGLIYPPFSNIREISANIAASVAATTYDLGLASNLPRAKDLVKFAESCMYSPVYRNYR >A10p032890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19333341:19335106:-1 gene:A10p032890.1_BraROA transcript:A10p032890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLEPAKVLYCGVCSLPAEYCEFGPDFGRCKPWLVENAPDLYPDLLKEANEKAADNVSDKLQSVGISSGGADGAPSSSQSGGTSKKEEAKRLPGGKLKKKDRQEVIIEKVVRNKRKSITIVKGLELFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIIYDIVEFITDTWPDVPERSIFFIEDGKKVQAG >A04p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12278031:12281498:1 gene:A04p020100.1_BraROA transcript:A04p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYITLSRTNPQIQIKTISSSSHHIMAIARWLNQTVGLFVFFLLDILDFLLCFTYKTLDFFFESEWKPCYCSSPLEAQAKTRKIIVSERGEYSKVVSMTRTKIHFDEISDTLYSRGPSLLMRLSKLVSSVKCFNYKGVIMRGSVVESCDHNYESKKKVSSGNKKRLMTLNSTVVEKSPTTPRWSDCNCSFCTSWLSPSNKDSLFVKVQQPKDNKKARDNVLFIHGFLSSSSFWTETLFPNFSDSAKSNYRLIAVDLLGYGRSPKPNDSLYTLREHLEMIEKSVIAQYKLKKFHIVAHSLGCVLALALTVKHPGAIKSLTLLAPPFYKVPKGVNPGQYVMRKVAPKNIWPPMQFGASLISWYEHLGRTVCLVLCKGHRLVDSLTRLFTLNRMRTYLIEGFLCHTHTGSWHTLHNIIFGSGGKLESYLDHVRDHVDCDVAIFHGGKDEVIPVECSYSVKTKVPRATVHVIPDKDHITIVVGRQKDFARELELIWQRSTTTHK >A10g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4391749:4392174:1 gene:A10g501560.1_BraROA transcript:A10g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPAEEYRQMKAWKRDTNMLGCVADAKCGIPTRCPCGGTIINEVSRNLKYPTDFDTLPEKKYFTCKNYENDGFHFRQPWVFGVQEEVEMLRKRVDAMAAEIAELKYNLTRQNPTTP >A09g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14903682:14905292:-1 gene:A09g504890.1_BraROA transcript:A09g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIFFFLELMKIFRGNSDGYLRIPTENIRRTLGFINSKRIFFPISLFFLSGDLSLLPAKCIPRDIPTTSFSEYSEDFPTNWWSSEFPRKLISSEFLRKFPRDFREKMNFRGVISEDFFRRYVVGIALFRRHTDDFFPQYAAVFL >A04g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5426691:5433778:1 gene:A04g502230.1_BraROA transcript:A04g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMDFGVASPIPHWAIHLSLTPHSSPFQVRPTSRSDYRTGAIGLLGFYRFFFVFIFIGPLGLWTFIMPPRRRTTRAQTARAVRDNVDEHEQPAVPPPAAPPVDQDALRQMVQDAARQAAQEALQQIAQETARQAAQEAARVAAQEVARQMAAVQQGPQVQVQQGPQIRVQQVPPVQVQQNQHDHQDPVQQVPLPQVPLQQGPAQQFAHGVQDLPPPPPRPHVYPVYDERFYRLTCQMRNMDMEHFSGTVDAVAAHDWKLALQRKMEIIECPPELSLRLTMQYLRGDALIWWEGIRLSHFGPEMLTFADFIREFDRKYFPKEAMDRKKCEFEHVSQGEMSIREYEVVFNQLRRFAGVGISEEDMIRKFLSGMRVEIRNRCRVVTYHRLGDLVEKAAEQEAVSGSPELVAGATVCRDHVQLVTPDQHPRPPSCSSRRDEAVDTNHTAIGARTKPLEPPEVSPLRGRETHAPSPSAGATVHSGHSPPYPTAVRRSRRDRPPSVRPPRSCRRVAASPPSPPLIFRIVLPDTETDFCMPDCMRGYGQSVDRLDRSLVWSINRLRAVTPSTLSEVLFGLCRA >A04p002380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1138701:1140222:1 gene:A04p002380.1_BraROA transcript:A04p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKPSSFARNPNLGGDMLQSLSRAPPENLLPSSDMNFALVFTTNVVRKAYRFLFPSRKFCNGNFNGDEAISVRSRVLEALLHQEEDSVFDAKSSPLDELNVRVSSLLVRDVLVRILRNLRCDDDNNKTRCAKLAYRFFVWSREQERFRHTVDSYHLLMKIFAECGEYKAMWRLVDEMVQDGYPTTARTFNLLICSCGEAGLAKQAVVQFIKSKSFNYRPFKHSYNAILNALLGVKQYGLIEWVYEQMLEDGFTPDVVTYNVLMWSNYRLGKMDRFDRLFDEMARDGLSPDLYTFNILMHILGRGNKPLAALTTLNHMKEVGIEPSVIHFTTLIDGLSRAGNVEACKYFLDEMVRVGCTPDVVCYTVMITGYVVSGDLEKAKEMFSEMTVKGQLPNVFTYNAMIRGLCMAGEFREACWMLKEMESRGCSPNFVVYSTLVSYLRKAGKLGEARKVIRDMVKKGHYVHLVPKMMKYRR >A05g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14898596:14902649:1 gene:A05g505390.1_BraROA transcript:A05g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSCQSASCSRRYARFTEEWSVCLARGSCREEKATSIDAAFCTSIDGDPRSYIHISTRAMKRGFLGPSRKELAGLCTISKSKREVSIDTLQGASIDIIHHQSIDTIHPTLIDKRQAAVIDRANKSSNNTVHRGTIHRGTIHPGTVHPGIVHYNTIHPSTVHHDTIHRDTIHLPSIDTIQIPSIETIHVPSIDTVHPVSVDTIHIPSVYTFHIPSIDTVHPVSVDTIHIPSIEIVHPVSVDTIRLPSIDTIHLLSIDTVYILSLDFVHPNTVHPNTIHRDTVHPNTVLRDTVHRNTIHRGTVPPMTNTTYGETEKVEALILKIDKKDHEKGIITSLCQISISQIMDFAYNEQDEDFEIPTTHVKQPDIQVHHADESKQKDELNREKLVNYDTVKDDEYHVSGEQSKVEEADTKDPTSALIDSSNSKSIDIRTSETIDTNICHRSIPSTIPDATTVYVRTGRPKAIRDYNKVADISDTSSSSIDTLTITSIVTPTSSSIDPSTSEMIDTDFCHRSIPLEILERSSCPQDIANSTQESIDESSCDLTSDVDKVTLKDFLELEEWLRQKLDDQPASGKGLKNSLKADDIDRHKPDEIDRHPPYDIDVQSPSNIDQHTPDCIARYPPDCIDRHPCLDELSGYMIEPELVGRKEHTSGASHLAVPENLRPPLCEEEALGFAKE >A09p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3563404:3568933:1 gene:A09p006800.1_BraROA transcript:A09p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSITRKKTPFQKHKEEEEAKKKKAEDETARLYQEFVESFQGESGTKTFVRGGTINPGDKPKADSEGEKSKDGGSVSKKGSRYVPSFLPPPMASKGKETERKREEERPKERDKGKTRNIDNFMEELKREQEMRERRNQDRDRQGDSSPTSRFDELDDFDPTGRLGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTDEEKRRQRNCGFVSFMHRADGQAAKDEMQGIIVYDYELKIGWGKAVSLPSQALPAPPPGHMAIRSKEGCNLIFSGQSGPPIITSVPTQNSELVLTPNVPDITVVTPENEHLRHLIDTMALYVLDGECAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWIPPPLPVNKSLEHDKESASTYAAGRSRRAEVERPLTDPQRDDFEDMLRALTLERSQIKEAMGFALDNADAAGEVVEVLTESLTLKETSIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYISGLRTTFLRSGISGVTSFHSICGDAPEIEKKGYNDISDIGYPDSALAIGKEGARQELMDLPISELERRCRHNGLSLVGGRVVMVARLLSLEDTEKQRGYEVVDEISKYPQQDHSTWEEVRNVTEVEMKEPMNLATTIPIPQPELKAFVGTEKSDLLLTASKWAKEDDEADDEQNKSYSPGSDNTGGITFKADDEDLKSNDRVRAQPDNGMDEEQRQKRRRIEVALIEYRETLEEQGMKNSEEIEKKVEIKRKRLEVDYGLSGSNEGSKNQKSTVERKERPTEDSRESSKKRQRGENQSQSPPRKSSTRERDHDLDRDRDRGRLRDRDRQHDLSRDRDRREKSLSHERDDHDKSRERDREWRRRGTR >A04g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11029692:11030227:-1 gene:A04g505060.1_BraROA transcript:A04g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLKARKLDIEKAKQMWADMIHLRKEFESLLHVLISLLEKEGEVSEERRVQGMCPLTLEEVALVLTALGFDISISCLRKQLDHKRFKELLDLHHNKHVRSVCNSCQGSS >A09p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2693904:2695465:-1 gene:A09p004880.1_BraROA transcript:A09p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVDDDPGQIKRALIDASAGAISGAVSRTVTSPLDVIKIRFQVQLEPTTSWSMVRGNLSGASKYTGMFQATKDIFREEGFRGFWRGNVPALLMVMPYTSVQFTVLHKLKSFASGSTKTEDHIHLSPYLSFISGALAGCAATLGSYPFDLLRTILASQGEPKVYPTMRSAFVDIIKSRGVRGLYNGLTPTLVEIVPYAGLQFGTYDMFKRWMMDWNRYMLSSKDQINVDTNLSSFQLFVCGLGAGTSAKLVCHPLDVVKKRFQIEGLQRHPRYGARLEQRAYRNMLDGLKQILMSEGWHGLYKGIVPSTVKAAPAGAVTFVAYEFTSDWLESISW >A09p076550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57226637:57228093:1 gene:A09p076550.1_BraROA transcript:A09p076550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNYNNILAVYQHVPCNLLWHIRVSIFVNRNVIDSVDLQFVASARDLSYRYELWCIARAAGIRYCVLFCDVDEDRCREWNKEREGRGEASYDDGIFEDLVRRFEKPERRNRWDSPLFELFPSRYGIEKSSPVVLEAVTYLTKTVDSKTQDVRILQPSIATQSARFSEANSLYELDRATQEVINAIVEQQALGGAISRVTLGNDLPPIEICRPIGLPELGRLRRTFVKLMGQSSLSGPPLPTDANSGKRRFVDYLNREFGGSNA >A06p035080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18960012:18964640:1 gene:A06p035080.1_BraROA transcript:A06p035080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASENQWFKGRVKAVTSGDCLVITALTHNRAAPPPEKTITLSSLLAPKLARRGGLDEPFAWESREFLRKLCIGKEVTFKVDYKVEAIAGREFGSVYLANENLAKLVVQNGWAKVREPGQQNKDKVSPCISELLQLEELAKQEGLGRWSKVPGAAEASVRNLPPSAVGDSGNFDAMGLLAASKGKPMEAIVEQVRDGSTIRVYLLPEYQFVQVFVAGLQAPSMGRRSTQETVVETDAAAAPNGDASAEPRGPLTSAQRLAASAVSSVEVSSDPFAMEAKYFTELRVLNRDVRIVLEGVDKFNNLIGSVYYSVGEEVKDLGLELVENGLAKYVEWSANMMEEEAKKKLKAAELQCKKNRVKMWANYVPPASNSKAIHDQNFTGKVVEVVSGDCLVVADDSIPFGSPMAERRVCLSSIRSPKMGNPRREEKPAPYAREAREFLRQRLIGKQVIVQMEYSRKISPADGVTTSGAGDTRVMDFGSVFLPTPTKGDTAEAAAATPGANIAELIIARGFGTVVRHRDFEERSNHYEALLAAETRAVAGKKGIQSAKDSPVMHVTDLTVASAKKAKDFLPSLHRSRRISAVVEYVLSGHRFKLYIPKESCSIAFAFSGVRCPGRGEPYSEDAIAFMRRKIMQRDVEIEVETVDRTGTFLGSMWESRTNAATFLLEAGLAKMQTGFGADRIPEAHLLELAERSAKNQKLKIWENYVEGEEVVNGGSKVETRHKETLKVVVTEVLEGGRFYVQTIGDQKVASIQNQLASLSLKDAPIVGSFNPKKGDIVLAQFSLDNSWNRAMIVNAPRGAVQSPDDKFEVFYIDYGNQETVPYSAVRPIEPSVSLAPGLAQLCRLAYIKVPSLEDDFGPEAGDYLHTVTLGSGKEFKAVVEERDTSGGKVKGQGTGTELAVTLIAVDDEISVNAAMLQEGIARMEKRKKWEHKDKQAALDALEKFQDEARKSRTGIWQYGDIQSDDEDSAPVRKPGRG >A03p048940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20909688:20911092:-1 gene:A03p048940.1_BraROA transcript:A03p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKSLTRAGRLISDRVQCNLREISIWGYVVFFSLFSIVLRRFTTPFNIDDKRTNKERSVSSSSSSQDSGDVVSTSEDAVYSEKFDLTNNSLRDHYAKLNTSSEKPIVEVETVTNKDSSKMTTTGLRKDGKVSRSVSGTTTCDVDLEAVEGNNGPTFKDFDECEATNRLEALDAALRRNGRFDCEIALGVPDVDARAQILSVVVQRLRLETWWTKVIHVAIEEKFISIESSEGDGVIDCTIKVTHFQQAVSLVSPSVSKQQIKHYERLREMLQRRG >A08g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6382108:6386641:-1 gene:A08g503590.1_BraROA transcript:A08g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQIDYTAWSLRSARAYTLLGRYVATEHAHARSLRSDQAHTLLGRYAHARSLRSDRARTLLGRYVATKHAHAARSLVATEHAHAARSLVATEHAHAARSLVVTEHAHCSVATDRARTRLGHYVATERPVRPKKGPPWGSLLNPHRNAFRFVSIGVSVEILRRKQVGLFLARFHSLRSDRARAKVWSLRSDRALPNIDTTPVHAFSSNLQMLSPEDHSKLKIVVSASSRETAQKGLKHDSRPILRFLNPKPVNHSTVYAWSTRKDKCQVSADKYGSFEDNWHKSKSVNRPWSYCDSIRFSRLRVARIRNLADKSRAQAYTLFANFGSHTCQTFTRYVATGKASERPPARYIATCEASKSSSFVFSFESRSKHFSFRLNRSFRRDFTTKTCKTRLKSFAYPYLQLCVLLQISIEMSLVSVGVTIETLRPKKAAKTCFLAWIPIKRIKRQRNPRKDIFTKSLAVKSCSNLNRTTKYDCPKAPDMYPNRLWTSSSMAIGPQTSQARSICSDQACTQLGRCVATESEPSSVAT >A06p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:391179:394842:-1 gene:A06p031570.1_BraROA transcript:A06p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYISTLILGLSPLALPVDCLGYFGTCGLSVQYTQDVRGCQPAHTGRPWLSDSTHRTSVGVRQHTQDVRGCPPAHTECLWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTQALPVDCLGDFGTRGLSVQYTQDVRGCQPAHPGRPWLSVNAHKMSVAVRVCPSAHTGRLWLSISTHISMLVLVLSTLALPVDCSGDFGPRGLTVQYTQDVRGCPLAHTGHPCVSVSTHRTSVVVRVCPFVSISTHRTSVAVHQYTYQHIGPRTQHADPSRGLFGTHKKSVAVHQYTYQHVGPSTQYTGPSRGLFSTHISTLILGLSTLALPVDCLGDFGPRGLPVQYTQDVRGCPPACVCPSAHTGRPWLSISTHISTLVLRLSTLALPVDCLGDFGTRGLSVQYTQDVCGCQPAHPGRPWLSVNTHRTSVAVHVCPSAHTVRLWLSISTHISMLVLGLSMLALPVDCSGDFGPRGLSFQYTQDVRRCPSAHTRRPCVSVNTHRTTLALPVDCSGDFGPRGLSVQYTQDVRVSPSANIGHPWLSVCVRVCPSAHTERLWLSISTHINTLVLGLSKLALPMDCLGDFGPRGRSVQYTQNVRGCPPAHKGRPWLSVAVHGCPSAHTDVRGSPCVSLCVRQHTQDYTQDVRGCPSAHTGRPWVSVSTHRTSVGVRQHTQDVCGCPCVSVSTHRTSVAVYQYTYQHVGPWTQHADPSRGLFSTLTLPVDCSGDFGPRGLTVQYTPDVRGCPLAHTRRPCVSVSTHMTSVVVRVCPFLSVSTHRTCVAVHQYTYQHVGLWTQHADPSSGLFGTHRTYPWLVHAYTYRTLVLTSANATRPVDCLGDFGHTVGFCSVHTQDVHGCQPAHTGRPWRSVNTHRTSVAVHVCPSENTGRLWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLSFQYTQDVCRCPSAHTGRPCVSVSTHRTSVAVHQYTYQHVGPRTQHTGPSHGLFG >A02p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2989544:2990040:1 gene:A02p007050.1_BraROA transcript:A02p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKQSFQAGQAAGRAEEKGNVLMDKVKDAATAAGASAQTAGQKITEAAGGAVNLVKEKTGMNK >A01p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25301775:25302849:1 gene:A01p042720.1_BraROA transcript:A01p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIHGFIGTTNISHNTNLMIAAAAVTTSSSSSSSSSGGSATTQLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCGGAHVLEFLRYLDQFGKTKVHTQLCPFFGHANPPAPCACPLRQAWGSLDALIGRLRAAFEENGGSPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPPMPLPPPHSVISSSPN >A09g516730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49252799:49253817:1 gene:A09g516730.1_BraROA transcript:A09g516730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITVEFSLLHSISKLSLLHSISKLSQAHTLSQTLSDQVFSLELCLTSSLSRALSSPSSLSQTLSSSLSQGRRSLKFKLSKLRPSQAHSLKLRPSLTVPIPLQLAQTVPVPLQLSLLIDTAVLVIDSTRFLSSFLQALSAQVHSSHFLRWQKRLGLLKKLGIFSNSTPKREEKEIERHQ >A03g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7505253:7508950:1 gene:A03g502350.1_BraROA transcript:A03g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISASPSPSFLSLRSSSSPALTPSTRRVSFPSKISHFSGKSSNRDNETGKRLERIVRSVNERVSDAPPTSKVRKHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNRDKALFTIVVSGTERVLQQVIEQLQKLVNVLKVEDISSEPQVERELMLVKVNAHPESRAEIMWLVDTFRAKVVDIAEHALTIEVTGDPGKMIAVERNLKKFEIREIVRTGKIALRREKMGATAPFWRFSAASYPDLKEQAPVNVLRGSKTGAIVPPSETTAGGDVYPVESTSDLKVQRVLDAHWGLLTDEDTSGLRSHTLSLLVNDVPGVLNLSLAVGHAEAQGISRITTVVPATDESVSKLVQQLYKLVDVHEVHDLTHLPFSERELMLIKIAVNAAARRDVLDIASIFRAKAVDVSDHTITLQLTGDLDKMVALQRLLEPYGICEVARTGRVALARESGVDSKYLRGYSFPLSG >A06p037490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20334630:20335909:-1 gene:A06p037490.1_BraROA transcript:A06p037490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavonol synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G63590) UniProtKB/Swiss-Prot;Acc:Q9FFQ5] MDVPIVDLSNPNEDLVARAVVKASEEWGIFQVVNHGIPPELIRRLKEVGTKFFELPETEKEAVAKPEDSVDVEGYRTKYQKDLEGRNAWVDHLFHRIWPPSRVSYRFWPKLPMDYREVNEDYAKHLKKLSEKIMEWLSEGLGLRREALIEGLGGETVEYLMKINYYPPCPDQDLVIGAPDHTDVNGITFLVANEALGLQAFKDNHWIDVKYTTSGIIVIVGDQFHRMSNGKYMSAKHRATMDKEKTRISWPVFVESSLDHEFAPLRELITGEDNAPKFKPYVYKDFKFRKLKKLPLD >A06g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21936801:21942586:1 gene:A06g507880.1_BraROA transcript:A06g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLPSPFGVITGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVIVPTACGFDISYFLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMLQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENTEQSASSEVMAPSEPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKLKVVPKKEHGDKEQGWRTRMVAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVIVPSECSSGRDF >A04p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2224612:2227926:1 gene:A04p004440.1_BraROA transcript:A04p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 7 [Source:Projected from Arabidopsis thaliana (AT3G56760) UniProtKB/Swiss-Prot;Acc:Q9LET1] MGLCHGKPNEHQSKQSLSITTNEEEEEAPKTPLNLFSPFSPFPSLFKTSSPAVSSSSVSSTPLRIFKRPFPPPSPAKHIRAFLARRHGSSTKPDRASIPEGSECEVGLDKAFGFSKQFGAYYEIDGEVGRGHFGFTCSAKGKKGSSKGHDVAVKMTTAIAIEDVRREVKILKALTGHKNLVQFYDAFEDDENVYIVMELLKGGELLHKILQRGGKYSEDDAKKVMVQILSVVAYCHLQGVVHRDLKPENFLFCTKDETSPLKAIDFGLSDYVRPDERLNDIVGSAYYVAPEVLHRTYGTEADMWSIGVIAYILLCGSRPFWARSESGIFRAVLKTEPNFEEAPWPSLSPEALDFVKLLLNKDYRKRLTASQALCHPWLAGSHELKITSDMIIYKLVKVYIMSSSLRKTALSALAKTLTVPQLSYLREQFNLLGPSKNGYISMQNYKTAIMKSSTEATKDSRILDFVDMISCLQYKRLDFEEFCASAISVYQLEAMGTWEQHARRAYELFEKDGNRVIMIEELASELGLGPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRRLQKA >A01g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1154023:1156904:1 gene:A01g500300.1_BraROA transcript:A01g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH12 [Source:Projected from Arabidopsis thaliana (AT4G36490) UniProtKB/Swiss-Prot;Acc:Q94A34] MSSIQQTAMKPRMGSFKKRSSSKNLRYSMKRRTSSKVTPVEIEDVHDAEELKSVDAFRQALILDELLPEKHDDYHMMLRFLKARKFDLDKTKLMWTEMLRWRKEFGADTVMEEFEFKEIDEVLKYYPQGHHGVDKEGRPVYIERLGQVDSTKLMQVTTLDRYVNYHVMEFERTFNVKFPACSIAAKKQIDQSTTILDVQGVGLKNFNKAARDLVTRLQAVDGNNYPESLNRMFIINAGSGFRMLWSTVKSFLDPKTTAKINASIQNPFASFFSVEIVLGNKYQSKLLEIIDERVKNGDHKCTKRSQAENGAEETIFEGSESTAEQAPEEKKDSAQPSSSSSDIVPVAAHPAWNMPEAHKFSLSKKEVYAIQEACNTATSDGGRSPIFTGVMALVMGVVTMIRVTKNVPRKLTESTLYSSPMYCDDASMNKSAMQSEKMMVPAISGEDFMAIMKRMAELEQKVTVLSAQPTTMPPEKEEMLNAAISRSNVLEQELAATKKALDDSLGRQEDLVAYIEKKKKKKKLGFASCLYSSTTGDQ >A03p004580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1922105:1923269:1 gene:A03p004580.1_BraROA transcript:A03p004580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPNSIFTTAPSRNLAPISLHQSLSSPLSLRITKSNSVAFRPKPRSSSLVFCSTDESKISAEKEIPIELRYEAYPTVMDINQIREILPHRFPFLLVDRVIEYTAGESAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGIVMLNPEVGGSKSNFFFAGVDKVRFRKPVIAGDTLVMRMTLVKLQKRFGIAKMEGKAYVGNTLVCEGEFLMAMGKEEE >A07p028370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16044917:16051964:1 gene:A07p028370.1_BraROA transcript:A07p028370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT4G13420) UniProtKB/TrEMBL;Acc:Q53XI1] MDGEEHQINDEINNHDHEQKLKEKKKSWGKLFRPDSFSIEAGKTPTNTGHPSLMSWRTTMSLAFQSLGVVYGDIGTSPLYVYASTFTEGIHEKDDIIGVLSLIIYTLTLVALLKYVFIVLQANDNGEGGTFALYSLICRYAKTGLIPNQEPEDRELSNYTLELPNTQHRRAHKIKEKLENFKFAKITLFLVTIMGTSMVIGDGILTPSISVLSAVSGIKSLGQDTVVGVSVAILILLFAFQRFGTDKVGFSFAPIILLWFTFLTGIGLANLFKHGFTVLKALNPLYIIHYFTRNGRKGWISLGGVFLCITGTEAMFADLGHFSVRAVQAAYLTKHSSNVSNTFYDSIPDPFYWPTFVVAVAASIIASQAMISGAFSVISQSLRMGCFPRVKVVHTSAKYEGQVYIPEINYFLMLACVAVTLAFRTTEKIGHAYGIAVVTVMVITTFMVTLIMLVIWKTNIVWIAMFLIIFGSIEMLYLSSVMYKFTSGGYLPLAITVVLMAVMAIWQYVHVLKYRYELMEKISGETAIQMATSPDINRVPGIALFYTELVHGITPLYSHYISNLSSVHTVFVLISIKSLPVSRVTPSERFFFRYVEPKDFGMFRCVVRYGYKEDIEEPDEFERQFVHYLKEFIHHEYFISGGEVEETEKEEETNVQTTLVPLSNSVPSSGRIGSVHSSSSDKIRSGRVVQVQSVESQKDLVEKAREKGMVYLMGETEITADKDSSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVGMTYEL >A08p041690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23517232:23519543:-1 gene:A08p041690.1_BraROA transcript:A08p041690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G12040) UniProtKB/Swiss-Prot;Acc:O65375] MLLHPVRIFLFLFLSSLCLLQIKAAESGLGVHIKVDPKLKFENPKLREAYIALQSWKLAIFSDPFNFTANWNGSDVCSYNGIYCAPLPGAYNKTRVVAGIDLNHADMAGYLPSELGLLCDLALFHLNSNRFCGEVPLSFNRMKLLYELDLSNNRFVGKFPKVVLSLPSLKFLDLRYNEFEGKIPWKLFDKKLDAIFLNHNRFRFGIPKNMGNSPVSALVLADNDLGGCIPGSIGQMGKTLNEIILSNDNLTGCLPPQIGNLKKVTVFDVSSNRLRGPLPASVGNMKSLEELHVANNGFTGVIPPSICQLPNLENFTYSSNFFTGRAPICAALSVADAIVNGSMNCLTGVARQRSVKECLSLLARPVDCSKFGCYNIFSPPPPTFKMSPVVRKLPPPVYVYKSPPPPSSKMSPTVRAYSPPPPPSSKMSPTVRAYSPPPPPLSKMSPTVRAYPPPPPPSPSPPPPYVYSSPPPPPPYVYSSPPPPPYVYSSPPPPPYVYSSPPPPPPSPPPPYIYSSPPPPPPSPPPPYVYSSPPPPYVYSSPPPPPYVYSSPPPPPYVYSSPPPPPPSPPPPCPESSPPPPVVYYAPVTQSPPPPSPPSPFNTSILCTGDTKSTTASTSILCTGTKPTPANPVYYAPETQSPPPPTPVYYAPEQSPPPPSPVYYPSETPSSPPPSPVYYPSETPSPPPPTEYYYSPAQSPPPAKGCTESHPPPTQAPPTYEPTPEYSYTSSPPPLPYYPDTSLPPIPSVSYASSPPPPSYY >A06p058000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30122776:30124227:1 gene:A06p058000.1_BraROA transcript:A06p058000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALMNFLNACLWPTRSDHHHHHQDGDGGRQDGLLWFRDSGHHVFGDFSMAVVQANNLLEDQSQLESGSLSSSSSSAPPYGTFLGVYDGHGGPETSRFINHHLFLHLKRFAAEQECMSADVIKKAFQATEEGFLSLVSNRFQTTPQLATVGSCCLVCVISDGTLYVANAGDSRAVLGQLFKSTAAAGDVHATQLSAEHNASIESVRRELQALHPDHPDIVLLKHNVWRVKGIIQVSRSIGDVYLKRSEFNREPLYAKFRLRAPFSRPLLSAEPSITVHTLQPHDQFIICASDGLWEHMSNQEAVEIVHTHPRNGIAKRLVKMALKEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFNTSLVVSRARGPAVSVRGAGVNLPHNSLAPCTTAGAS >A08p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2118839:2121038:-1 gene:A08p003730.1_BraROA transcript:A08p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWENPVTLACNTAFKKTLPSCTLLIIFIAVLCPVVMSQMVVPDSDADCLLRFKDTLANGSGFNSWDPFTSPCRGNDANWFGVLCTNFVYGLQLEGMSLSGKLDLEPLVPMKNLRTISFMNNDFIGPMPQVNRLTSMRSLYLSNNRFSGEIPADAFQDMPHLKKIFLANNAFRGTIPASITSLPRLIEVRLNGNQFQGHIPYFKQEDLKLASFENNDLDGPIPESLQNMDPGSFAGNKDLCGPPLNPCSIDPRSHPSPPQGKKSESFNTIAIVLISIGIILVIIALVICFIQSRRRNCLSDYPSAGKERVESYTYHEPEIDKINKPAESVVNHARRGSMPDPAGRLLFVRDDVKRFGLQDLLRASAEVLGCGTFGASYKAAISSGQTLVVKRYKHMNNVGRDEFHEHMRRLGRLNHPNLLPLVAYYYRREEKLLVTQLMANSSLASHLHANHSPDQPGLDWLTRLKIIKGLANGLSYLFKELPTLTIPHGHIKSSNVVLDESLEPLLTDYALRPVMSSDHAHNFMTAYKSPEYRPEKGQAITKKTDVWCLGVLILELLTGRFPENYLTQGYDPDMSLVTWVNDMVKEKKTGDVFDKEMKGKKNCKAEMISLLKIGLRCCEEEEERRMEMREAVEMIEMLREGEPHDEFGSMDHRKSGYEV >A09p078180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57927479:57929326:-1 gene:A09p078180.1_BraROA transcript:A09p078180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSLWQLGQSITRRLAQSDKKALSRRCFSSDADLKKTALYDFHVAHGGKMVPFAGWSMPIQYKDSIMDSTVNCRVNGSLFDVAHMCGLSLKGKDCVPFLETLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVITKVTDEHIYLVVNAGCRDKDLAHIEEHMKAFKSKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKLYFGQYTGEDGFEISVPSEHAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHISPVEAGLTWAIGKRRRAEGGFLGADVILKQLQDGPTIRRVGFFSSGPPARSHSEVHDESGNKIGEITSGGFSPNLKKNIAMGYVKSGQHKNGTKVKILVRGKPYEGNITKMPFVATKYYKPS >A03p021720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9012539:9014445:-1 gene:A03p021720.1_BraROA transcript:A03p021720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIEVLSPATYLDTSNWLFQENRGTKWTHEENKKFENALAFYDKDTPDRWNKVAAMLPGKTVGDVIKQYRELEEDLSDIEAGLIPIPGYASDSFTLDWGGYDAGNNGFNMNGYYFPAAGGKRGSAARAAEHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNIARNFVTTRTPTQVASHAQKYFIRQVNGGKDKRRSSIHDITTVNISDSPDAAAADSATANAPCSPPSVGGSQREASDSWEGETTYVETAAAFYNQNVFQETLLGMSSTPYMAKLQEQSFLNASQFESYNAYLQM >A02p019220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8885271:8886420:1 gene:A02p019220.1_BraROA transcript:A02p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILAAKMSMGERSPRNKVKFMSSFGGKILPRPSDGLLKYVGGETRVIAVSPDITFAELMKKLIEITENDVVLKYQIIPEDLDALVSVKSDEDLKHMMDEYNRHEAPKLRTFLFPANPLVLENQLGPIEPQTIEQRYIEAVNGILRKSVSLRAPIRTRPSFTLSASASSSPRSESSPDGYNHELPETGSSYQLSRLYPMHKVQSSPNITQPHSYHHHSAYLQPPNYLTCRLRPPPSPPLVLQRGWDPPSKAHSSGGGTGNGKFGCNEERRFWGRTGSVPQSPLNHVLRL >A03p030420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12755686:12761669:-1 gene:A03p030420.1_BraROA transcript:A03p030420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPEAEMGAVAVTAPPTPGTPGGPLITGMRVDSMSFDHRKPIPPCKCLPVMGNRWGQHDTCFADFPSPGVSLTRKLGAEFVGTFILIFTATAGPIVNQKYDGAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAHVPAYIAAQVSASVCASFALKAVFHPFMSGGVTVPSVSVGQAFALEFIISFILLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSTGASMNPVRTLGPALASGNYRLLWVYLVAPTLGAISGAAVYTGVKLNDNVTDPPRQVRSFRR >A08g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21745525:21745868:1 gene:A08g510080.1_BraROA transcript:A08g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYGCPDLRTLDLCGCVLITGHWGYTTALTPSAVQAVCDKFPPLHTCSLVMSGLSVLDVCALCLYTSGSSCGS >A09p002580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1722804:1725309:1 gene:A09p002580.1_BraROA transcript:A09p002580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDSGEMPADGDKHSAENSKKRKLKTPMQVMALENFYNEHKYPSEEMKAKIAEEIGLTEKQVSGWFCHRRLKDKRSVKEDGNNIGSQDRSSVVLQDRGSVLRQDSCGSTKQTDYWNPKPREVESQRLYDDDGEDSTSSERRSSLHKNLVSSKDVESSRYVGHSEHHPQAMRSYGVSKPSGYLKVKGDSENVAITAVKRQLGRQYREDGPPLGVEFDPLPPGAFEPQTNSVIVQEPIYVGNQRRSHTPYFTGTRKSFVPGPPSFKSPSLSYSNTVPDRKGPPQGVPLTNSKKSHISSKGRAEGSRNNLIDNFHNLSGTSQTHDYDKSILNGGRKTGYLTKSSNMLPLSGSRSSDSMERDTSSGMVGKYHGEKMNHMKMHREKLHSTGEPLVTKRLKHGYPQQVYSETFERKDQINRSGVELPSSFNGDETEESSSSSMD >A05p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6380692:6384388:-1 gene:A05p014580.1_BraROA transcript:A05p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIDTLFDSLNVRDLLSGHDLNDPTTPLSAPDLRLLINRLESHSLRIKSKVQSYLVSHHSEFSELFSTCQDAVSRTRLISDDVSDVVQLVSDRPVDVEIREVVDEIREKTKEVRVKRESLGLVSAVVGVCEALRETKEALKSGRFGFAAERIREMKVVLRVGEEEEGEPVVYVLLRREWSDCFDEIQEVLAKLMETAVRFEVDSRKLRISYQLTVGETNGIALRTVLEAMEVIGMLDYGLAKAADSIFKHVITPAVTHSSTFVAVEDSCKTSGEITEATLKLEQSSDHKTEDVDGDAIYSRVLTVVKFICSSLCFGNVTWIHSFGRLTWPRISELIISKFLSKVVPEDASKFPDFQKVIGRTSQFETALKELSFIPPSDAEGRLSKYAENVEVHFASRKKIEILAKARSLLLQCNFTIPQGLATSLKSDGAESLDVNASKHIVRLLFSSEMCVVSEAASQLVHLVHKTLEDVCVSSARVASEFYHAARDSILLYEAVVPVKLGKQLNGINQAAVLLHNDCLYLFEEILGLAFEYRASFPESIKEYAVFADTAPRFKLMAEEVLQRQVQLVISSLQEAIDSADGFQDTHQIKQFESAKFSVEQVVFSLEKVHLTWEPVLLPKTYKQSICMVLESVFRRMSRDILLLDDMAADETFQLQRLIHLMLENLSSLLGSLKSADDTSRPLDDLIPSLRTRFHQGYIYRFTVEKRMFMEDRRPVIAGGDKTKTGYGHEKKQNDPLLWKSHVSFLSSTLV >A09p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14341036:14343743:1 gene:A09p025700.1_BraROA transcript:A09p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNQKGDANERRHQSLRIRKPDSIAKKPEPRVHKSSKKTKKSRSKKSRPVGEPVRAPSVESLSASDESEREGSERERGIYFVINSVLVPTIGEQIMLARIIDEEGEYDRQGSPNQAARGVLPKKKDKEKVTFAEGSSSNSGLDSRLQGMEERILEFMGEGFVGLHVTMETKLESLGSRMSDIEKNQRLLRRRTKKIEDMLTSIESKMEPMGLLLLEERESEIREQEKEKSETDEVEFEAREAEIEKGTPTEGTPKYDHNESRVETNITDETLTPPRGRTKAMAARRLMTRPMEEEPEKCEKVVEEEKKKGEAVETEEKITEKVAEEEKKEEEAGESCGRRKKKEEETVKVVEEQTGEVVKEQAGEVFEEYTEEEKQRWIMVVYKEAPSLWIMHRCKENAAVAVPKKSGRPKRKSQWVWKNKFTSVTIPKNE >A02g501510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4501895:4502395:1 gene:A02g501510.1_BraROA transcript:A02g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPSQIVDVYVRVTGGEVGAASSLAPKIGPLGLAPKKIGEDIAKETAKEWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKVKNIKHNGNISFDDVIEIARIMRPRSIAKELSGTVREILGTCVSVGCTVDGKDPKDIQQEIQEGEVEIPED >A09p076250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57115037:57115970:-1 gene:A09p076250.1_BraROA transcript:A09p076250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRMAALTSLSSVQFRISDILGTRLKPCPLFPVRITNVFVKPLVIEARNNSRVESPKTRNRRSRKKFNGTQTKPRLSVFCSDKQLYAMLVDDFNKKCLFYGSTLQKSIRGDPPCTVLEAAKRVGEELIKASIDLKINEISSYDRNGNARGERMQAFEIAIKQHGFLP >A04p004570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2298250:2298615:1 gene:A04p004570.1_BraROA transcript:A04p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPTTVIKSTAAAIFTIIAMAAFASASTGLVQSPEQDRYLNQCLGKISSRCAMYATAEMYHHGPLKQNGCCLEIYHMGQICLNIVTRHVIEALVPKLEPTKKQDLIDKATQIWYLCVPV >A05g509580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29185589:29187742:-1 gene:A05g509580.1_BraROA transcript:A05g509580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPSYSPSRAASPQIRTSSDVDSQYLSQLLAEHQKLGPFMQVLPICSRLLNQEIFRVSGMMSNQGFTDFDRLRHRSPSPMASPNHMSNVPGAGYGGWNGLPPERMVGPHGMAMEWQGAPASPSAYTVKRILRLDLPVDTFPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPDKEEKLKGKPGYEHLNEQLHILIEADLPADIVDIKLRQAQEIIEELVKPVDESQDYIKRQQLRELALLNSNLRENSPGPSGSVSPFNSNAMKRPKTGR >A05p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9409947:9411416:1 gene:A05p020060.1_BraROA transcript:A05p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGMEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEHLSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHSAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKMREETYTAFENIYPVLTEFRKIQQ >A10p011460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:11798018:11799886:-1 gene:A10p011460.1_BraROA transcript:A10p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g43980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G43980) UniProtKB/Swiss-Prot;Acc:Q9LP03] MPSSFYFSRLVDRSLLSKCPTLARIVHAQLVKVGLIDNTFWGNRCLQLYFKSSSVIDALQLFEAIPEKNNISWNVCLKGLLKNDYLNHALYLFEEMPERDAVSWNTMISGFASCGYPRNAMRTFLDMQRYVIRPTEFTFSTLATLVTCVRHGEQIHGNVICSGGSLSSPVVWNSLMDMYRRLGVFDYALSVFLTMEGRDVISWNSLILCCSDSGNKEVALDQFRVMREMGYQPDEYTFSTIVSICSDLQDLCKGEQALALCIKMGSLSNAVVSGAAIDMFSKCNRLECSVKLFQQLEKWDSVLCNSLIASYSWHGFGEEALKLFILAMRQRVQPDKFTFSSVLSSLNVVMVDHGAQVHSLAVKLGFDLDTPVATSLMEMYFKTGLVDSAMRVFVTTDEKDLLFWNTVIMGLARNSRADESLAIFRQLLMHRGLKPDKVTLMGVLVACCYANFVNQGIQIFSSMKKVHGVDPENEHYACIVDLLCRVGMINEANDIAEKMPFEPSSHIWEPILYASIDIGDTRLAERVAERMLESEPKSSFPYLVLIKLYEMTWRWENSVRIRYALDKQKLKCAQGSSKIGIKHSVYCFEANQLQIHGGHDTWATLELLSWDSDDQRSAEQFV >A01p020410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10013275:10013814:1 gene:A01p020410.1_BraROA transcript:A01p020410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLQIVPAYNKVEAQYVEMMVPLYSYGCEKKIKKALSHLKGIYSVKVDYYKQKVTVWGICNKLDVLAMVKKKRKEARFWNAEENDQESVDDSIVQKEDTVKTSSDSDKSSAFYTYSPSSPRFKRPPLSLIRTSSFTWKAVKKVFSRSISF >A07p023120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13438309:13439463:1 gene:A07p023120.1_BraROA transcript:A07p023120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFYSSVIGLFDSFCFSFFDLIYSSLLGLFDCQFVLNLAKFFILLLYASSNGFGEIKVSVQLNRLEKVIDRSTADGGDGSYRLQSLMVLHVTVMEFLLQTMVTSKSSIKFYGTVPQGLEKNTSSVYITTSSLPSAYEAYLNQFQRDFGTFLRMRSEEMDIVSASKVESFNMPFYDLSKEEVNEVIQNEGSFEINDLEIHGFDLGQSSSNHEECKAGEKEAKCIRAVSESMLAAHFGDDIIDALFNKLCIPCVLTCWLH >A05p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:281882:283761:-1 gene:A05p001510.1_BraROA transcript:A05p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCPNVNGDKKHWWFTQRKLVDKYIKDAKTLMASEELNDVTSALHLLDAALSMSPRLETALELKARSLLFLRRFKEVADMLQDYIPSLKLTANEEEASPPSSEGSSSSSSSSRDGVKLLSDASLPGRESSFKCFSVSDLTKKVMAGICKKCDKEGQWRYVVLGQACCYLGLMEDAMVLLQTGKRLASAEFRRRSICWSDDSFTLLTESSSTSPPRNLTEGENFTHLLAHIKLLLRRRAAAIAALDAGLYSESIRHFSKIVDGRRPAPQGFLAECYMHRATAYRSAGRVAEAIADCNKTLALEPSCIQALETRAALLETVRCYPDSLHDLEHLKLLYNTILRDRKLPGPAWKRHNVKYREIPGKLCVLTTKTQKLKQKIANGETGNVDYYGLIGVRRGCTKSELDRAHLLLCLRYKPDRASSFIDRCEFTDQNEVDSVRDRAKMSSLLLYRLIQKGYAAVKGIIGEEAEAAEKRRKNAAAVQAPAQTPMHKVEEQKAVKKAVMVKITEFTEPRALEKSKITANTEPKPVNSNAYQGVFCRDLAAVGNLLTRAGFNHPIPVKYEALTC >A09g511770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34901255:34904856:1 gene:A09g511770.1_BraROA transcript:A09g511770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQREKRFEYIYATDDELEEMKQREFAGWMFTYVSAGLARGEIFDDWIREMIVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDVGVCSASGDDVYYRHIHEILEIKYLSMVGLRCTVFYCDWHDNTPDRGVRTDAFGVTSVNSKRKLQFYDPFILASQADQVCYIKYPRIRNRDDPWVTVTRLNPRGRVQGSSELEDPLQPSTSGNLSAAEDLAGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSYSSGDDDSETD >A09g510390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31577290:31585331:1 gene:A09g510390.1_BraROA transcript:A09g510390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHECPKVVSCQRVSGTKRYELPKVANIKRYEDQEARLAKGCMFQTVSFGTGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIESHAGKSKSGKSRKSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQQDGQEHRESDEEVESSNANRDGDQHERTADGTANVPAALSKEDLLEAMKVMGTQVAAMAQLFTPLVNSSADGPGLGQWRPGRSGHEAMGCWVLGQGCGLCPEGLGRGLGLWPTPNPIRKGEGMQVAERGQLLADGAHSLASRACSWGKTYPLVFYKYGGSLVDFIIQFQSKILRENVERKKERKREFRPRERPIVVVLCSGDSDRLRTNSGQEWEIKTRRRAWRTQTWFTRYVMGRGSIRPNGRSMRPHRGSGRFLSPIRLSLSISLRFSSLLSGCELPKGVRDQKVRVTKGCEHQKVRGPRGTIGQRVYVPNGVFRDRLGPILMDQPMACLPSGLGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRLDGLVFGDDPDLFELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYESVKKLCIESHISK >A07p006560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1621458:1621688:1 gene:A07p006560.1_BraROA transcript:A07p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMEVWVGEMKKMGEKMNRRNPLMQKKKSTSSVVSEQQQGEEERRLTQKVREKDEAATMSEITVCLLMDRFVPW >A08g510410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23199127:23200278:1 gene:A08g510410.1_BraROA transcript:A08g510410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPLCPLFRPPPDPPPCKFLPLASLSLVTPPEPPDPPDVPAVVALLRYLNTSSSLFPLAMTQNPDLDFPSLTPESRGCDVPFLLLGVSSVVFGCIFSIPSTQAFTQISTLKPPSRMATKNGGGGGSPVSASDTSLTYGFLSPVEEKFIVLFKLWNMEVAGYGFPLVPQLNQSSFLIFPPIWSELDEHVSLVLQGSSSHRMLSAYGAVCVVLRVTLDAIFEEAYDFVVIRFHMILFYDLYRHFIPYVIVVVCLAVNSLFVSSSSVGA >A09g516820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49479724:49481521:1 gene:A09g516820.1_BraROA transcript:A09g516820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFGVVPVVVLSSKEAAKEVLKTHDLETCTRPKLAGLKLFSYNFKDIGFTQYGEEWREIKKLVGLELFSPKNQKVLKYLKEEESDLLVKKLSNSAQTETLVDLKKALFSFTAGIIFRLAFGHNFHECEFIDMEEVEEMVTELETNAGALVFTDFLPTGLGWLIDQLSGQHSRMNKGFSKLTSLFQHVIDDHLKVSQPEEDSDLVSAMLNMVNKPTKTGSLKITIDHLRGVMSDVFLAGVNAGVITMIWTMTELIRHPRVMNKLQEEIRTTLGSNKERITEEDLERVEYMKLVIKESFRLHPPAPLLLPRQTMSDIKIQGYNIPKNTMIQVSTYAIGRDPQCWNSPEEFIPERFLNTSINYKGQHFEFLPFGAGRRSCPGMALGTTIVELGLINLLYFFDWSLPDGMKIVDIDMDEVGSLNIAKKVPLELVPTLYRF >A02g510700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28461593:28464410:1 gene:A02g510700.1_BraROA transcript:A02g510700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSSDYDEKVLFLKDLSLGPHEAQLRFRLIHFWEAWNPLKKTLIGMEMLLLDEQGTVIQGFISPGRIETYLPKMKRGSVYKLDNFYGSRNKSVFRVADHTVTVSFSWNSELTVLLDCPSQFDDDRFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIHEAPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAARKFCKKFKSYEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPDIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGSSWYYIACSGCHSKVSKGPTSLLCTNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEVPIPEALISTIGQKHKFCVKVTEHNLSGKTRSLTVTKILSLDTPPATASSEDNHTTATSEETSQNRVDSADGSKGACCSSELERAKRRKCGN >A05p029400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000215.1:3727:4576:-1 gene:A05p029400.1_BraROA transcript:A05p029400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDDARDELVIVYETVKKLCIESHSKKTAGQSSQVAMDGTNLSGLQTDPAAADTRDVLPTDQANLTGTQQDGQEHRESDEEVESSNANRDGDQHERVADGTANVPATLSKEDLLEAMKVMGTQVAAMAQLFTPLVNSSVGQATHVATTTPNTN >A09p015930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8777361:8777999:-1 gene:A09p015930.1_BraROA transcript:A09p015930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASFRWILQLHKDVPKAARFYAQGLDFSVNVVTLRWAELQSGPLKLALMQSPSDHVVSEKGYSSLLSFTVTDINTSISKLMELGAELDGSIKYEVHGKVASVRCLDGHVLGLYEPS >A06p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13759001:13759750:1 gene:A06p026570.1_BraROA transcript:A06p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENNAGKLTGIKQIVRLKEILQKWQSVTIGSKSDDTELGARKHTAIISPVINQRLLDMKTCDSDEETSQSPEPPSDVPEGYLAVYVGPELRRFIIPTNFLSHSLFKVLLEKAEEEYGFDHSGALTIPCEVETFKYLLNCIENHPKDDTSAGDTVETEE >A07p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21004311:21005782:-1 gene:A07p039530.1_BraROA transcript:A07p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLHQLSLSLFSCLFLVLAPTFTASNHVSDPELVVQEVNEKINASRRNLGVLSCGTGNPIDDCWRCDPKWEKNRQRLADCAIGFGKHAIGGRDGRIYVVTDSSDKDAVNPKPGTLRHAVIQDEPLWIIFARDMVIKLKEELMMNSFKTIDGRGASVHIAGGACITVQYVTNIIIHGVNIHDCKKRGNAYVRDSPSHYGWRTASDGDAVSIFGGSHVWVDHCSLSNCDDGLVDAIRGSTAITISNNYLTHHNKVMLLGHSDSYTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWQMYAIGGSAAPTINSQGNRFLAPNDHVFKEVTKYEDAPQSKWKNWNWRSEGDMFLNGAFFTPSGGRASSSYAKASSLSARPSSLVASVTGNAGALSCRKGSRC >A01p007930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3838821:3839162:1 gene:A01p007930.1_BraROA transcript:A01p007930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQGLALLGLLVISSLVMLTESRVARKDLGLDLGGIGVGLGVGLGIGIGGGSGSGAGAGAGSGSGSSSSSSSSSSSSSSSSSSGSGRSGAGSSAGSFAGSRAGSGSGPSGN >A09p068020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53462539:53463037:1 gene:A09p068020.1_BraROA transcript:A09p068020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKYEPSKGPVKLEPSSELDDGGGDGSTTQKGGEMVTGEALIAYRALLRATRRSFAGDVEMLKASASEIRKKFEESRHVVSEIPRLLEEAREATQFISTMIVQAKLNERGGYEVKASQEHAGATLELPTEAMLQTKSV >A09g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14212354:14213935:-1 gene:A09g504670.1_BraROA transcript:A09g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVEDIYPKWDNDKIYTDLDNMIKDILNGQLNEKFWDAMPTTKCQKRKNGVAASVVPNQRPSTKRRKDKEPADGGEASDMAADHSVAISGLAELVKILTAKLEGIDDSVADKVNKALDATIDSMVEARVRAYESDLRKQIAKLEAQINDSKNNANVNIAPDVATSKAYEDEEDGACSNDLKKISSQDGLPVDCVVKKEKKDKKTMDRTQNLTTREVIKTEKKAGIPLRRVKQEKAFEIPQLNDESISSKHLENHLQWEKSVICRAVLEALASNLKEPTRRPKPQLTKTQVWPFVGNSTVKRIITGEKVSKEPYDPLAKVEAEKLQKEAKEPGVGDESAGFFLNSNAYVSQTVQARTVSVFLFSNCISFSLVCELMGERLQELGPEQ >A03p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2179641:2183870:1 gene:A03p005220.1_BraROA transcript:A03p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESECATTISTPPPDGLTEGNPSKSRQGRTSGPTRRSTRGQWTAEEDEVLRKAVHNFKGKNWKKIAEYFKDRTDVQCLHRWQKVLNPELVKGPWTKEEDDMIVQLIQKYGPKKWSTIARYLPGRIGKQCRERWHNHLNPTINKEAWTQEEELVLIRAHQVYGNRWAELTKFLPGRSDNGIKNHWHSSVKKKLDSYMSSGLLDQYQAMPLAPYERSSTLQSLDGNGCVSGQAEKEVENCQISSLASCSISARDYRNGMINMEHHFHPCENSHKNEYYYPELEDISVSISELSYDMKDCSQFPDHNVSSQDYHFDFQELSDISLEMRHSMSELPMPYAKESKEASLGGANSTSNRDVATYTNTANVSETECCRILFVDQESEGLSVSRPSTQEPNEVSSTKSPMQSSLSKSIATSASGKETLRPAPLIISPDKYSKKSSLICHPFEAEPNSRTNETGGFITCANNSSEEDQSYHLNDSKKLVPVNDFASLAEVKPHSLPKHESVEQQHHEDMGASSSSLSFPSLDLPVFSTDLLQSKNDPLHDYSPLGIRKLLMSTMTCMSPLRLWESPTGKKTLVGAKSILRKRTRDLLTPLSEKRSDKKLEIDIAASLSKDFSRLDVMFDESESREDSMSLEKLEQSCLDASVKEKGVENVESVSGVLCESNTNKQVLSPPGQSVSKTEKAQVSTPRNHLHSSASLCLVINSPSRARNTEGHHVDNETRNENFSIFCGTPFRRGLDSPSAWKSPFYVNSLLHSPRFDTDITIEDMGYIFSPGERSYGSIGLMTQRNEHTSAFAAFDAMEVSLSASNYDDARKMKELDKENNDPLLAERRVLDFNDCESPTKVTEEPSSYLLKGCR >A08g502230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5019530:5020243:1 gene:A08g502230.1_BraROA transcript:A08g502230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVVVPYGRHASSTILGNPKGRRADGNLKLPDAEFCAFILLKLIIFGNPANKILKLISKLLTNVWKRTMEQSRRTLSSINLTVVVRPSI >A01p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5598140:5599120:1 gene:A01p011530.1_BraROA transcript:A01p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRWGRKRPVSSSSSYGLSRAHPVSWFSKLSGSSDLKPAKEKKQDDEKSSKTLQRVSVEKENAATRSANMESTDKFEEIMSSVRKKVRDCQRETCGFLKVEAMDRDKGTVRIQVNREKQRCERRDQRLLEQKPKRSEQDAEIKAKNPARRIGTGSSSRKDSLKADQQRKSLYLRRELNRLGTKESNKVRVFSPRASEKCRVKAIEDLKKAKLRAREQEHELVKEEADGGMENESFALVKCSSDPQRDFRDSMIEMIMENGINHPEDLKGLLVCYLRLNSDEYHDMIINVFQQVHHDLNFH >A09p069090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53932917:53940696:1 gene:A09p069090.1_BraROA transcript:A09p069090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQSSSDAVSSSSSAIDLSHPPSSSSSEKPLCSSSISPAEDHQVGSSRDGSGGAQEIVAIDRRGEYTAVCRWTVENFTRVKAKALWSKYFDVGGYDCRLLVYPRGDSQALPGYISIYLQIMDPRGTSSSRWDCFASYRLSIVNHVDESLTIHKDSWHRFSSKKKSHGWCDFTLNSSVLDPKMGFLFNNDSLLITADILILNESVSFSRDSNSDSGSLFKEPGPMPDVLSGKFTWKVNNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSVVNSQEYISMCLESKETDKTMASDRSCWCLFRMSALNQKPGCAHMHRDSYGRFAADNKNGDNTSLGWNDYMKMSDFVNPESGFLLDDKAVFSTSFHVIKEFSSFTKNGGLVLGRNNGNGSRKSDAHMGRFTWKIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRSSSDWSCFVSHRLSVVNQRLEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSVTKDYVEAESANSASQIDSSVKRSSFTWKVENFLAFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSAGTDVDNNFWVKYKMGILNQKNPAKSVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASDDDQDALTTDPDEIIDSEESEGISGDEEDTFQDFLSQAGFHLTFGENPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPTKVKRLLLPTKISCNDRSKLTKSDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEEEGSHSEPSLMDAKTDGCVASTSLESTRENGAAEPLQYVVDERFKSDADATTLSTSAVQGSGMNGIDMLEKALAIEPVSPPETYARQSSDAPVQSKTKWPEQSEELLGLIVNSLKTLDSAVPQGCPEPRRRPHSAQKIALVLNRAPKHLQPDLVSLVPKLVEHSEHPLAAYALIERLQTPEAEPALREPVYNALSQLDCDSEVWEHILLQSFELLSDSNGESLVAAIHFIFKTASQCQHLPEAVRSVRERLKVLGADVSVCVLEYLSKTVHSWAEIAEMILKDINCDDSLGGNFVTLPCGPFLIGENETTSEMADLMDEHEFYANRQFCDVYILLEMLSISCLAVEASQTFERAVARGAIVAQSVAMVLEKRRVQGPNLSATSGDPVLEGEASDEQAAEGIEFRGILNLAETLAHSGDPQVRGFVKMLYTILFKWFPDQPFRVQMLTRLVDRFTSRASGSNELDLELEVLAILIFQEQEVARPVLAMLKKVVEHANIDRAALWHQLRANKEELVRLKEEKKTEIQNLAKEKSAITQKLSESEAANTRLKSEMKAETDRFTRENKDLVEQFRDVESQLEWVRSEREDEIAKLSSDKKSLLNRLHEAETQLSLLKTRKHDELKKVGKEKTALTEKLKVTEAARKRFEEELKRYATENVTREELRKSLEDEIRRLTQTLGQTQEEKREKEDQISRCEAYIDGMESKLQACQQYIHTLESSLRDEISRHAPLYGANLDSLSMKELDTIARIHEEGLRQIHALQQRKGNGLPHGLPHGHTLYPTTPPQLPPTPIGLPPQILPNGSGGHSNGHVNGSVRPCYRFLILKSFLNHHPRSTLNASFFMEGGGNSIIKRSDDAPPPFIPVATPLGGQECGCELMGVDMLLLNFQATMMLATGNVNRLTHRPNLDSTSFDEVFEPSVPIAIESFRRCLALPTETSSYQEFGCF >A02p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1933487:1936756:1 gene:A02p004450.1_BraROA transcript:A02p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVNIKDVLTCYSPALDYLALSTGDGRIKIWDTVKGQVQTEFADIASSEETNIYTNGGKGHLSVDYTCMKWLSLERKKKRKLGTSILVLGTGGGDVLALDVASGQLKWRISDCHPGGVNAVASSAKASCIYSGGADGMVCEIDPHSGNLIRKFKASTKAVSSLSVSPDGKVLATASAQLKTFKCSDLKKVQKFTGHPGGVRCVAFTEDGKHILSSAAGERYIAVWKTDGAKKQSASCVLSLEHPPVFVDTWSETDEKGLYVLAISELGVCYFWYGSNVEELGNAKPTKVSLATEDSLVRQQHKGLPVIFAAKLQGVLKPGSANAFIASGLLVKPSFQRMVLQFGDDLVLNASESGILLPITQSVSKSKKGHGAHNKVTTLDRAHAEDALLPIARVADLHEKKSVQPHSSDQDTDMIDQSQADYEETFSMEDKLRSLGILKGTDETSSVSYASGIDGIDLESYLPPKKLKAAVLTMEPSTAFKTLEALVAMWQTRGCGGKYLLPWIYSIMVNHRHYIISQEPKNQQLLTTLHQITKTREMVLQPLLQLSGRLQLVTAQINKAAGNETQTRVHEQEIDNESEDEEEEEDVEEHFYGENDDNESDLSSDDGEDKDDNTLMEEI >A07p009130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:91334:93999:-1 gene:A07p009130.1_BraROA transcript:A07p009130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSKAQGPLHQLTNDELTRLERQNRQQPRTTNTNMGDHSNMDDLTAALALIQQQMQTQQQQMLQMQKTIQNQQQTAEDQAAENAAREERDDLAAKVDQLLKGNQSQVFIMEEATPKKSAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQEKPVDPAQSSQADTLAVERNTEPAVETSSLGPEQPAEAFRPIPEVVPPREYIPKVPYPVPAKATQDPLELALVRAEAEQSVVNIDADGYAKMLDSARSMGRM >A08p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13784764:13798919:1 gene:A08p020360.1_BraROA transcript:A08p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPNQFYCLFDIVTLYFFFLGFLLLHTFASPVLQHCHHDQMDALLEFKHEFLVNEFNSNSSLSSWKTNSDCSLWEGVTCDTKSGKVISLDLQYIPLNNFLKLNSGLFKIQHLRYLSLRDCHLHGEIPSSLGNLSHLEHLDLLGNYLVGEIPASLGNLTQLKYLNLNNNKFTGNIHVPFPNFTKLTHLDISNNQFTGEFPLVLLNLATSLSILAISNNLFKSIFPSDMSRFDKLEHIDVGGNSFFGPFPTHLFMIPSLTWVNLRENQLEGPIEFRNISSSSKLQSLFLSQNKFEGPIPKSISKFPNLETLFLSDNNFTGTIPISISNLVNLVTFDISQNNFTGPIPRSNLVKLQYLDLSYNKLEGEIPGWLGGVLELMLSHNSFSRFGKLLEVSDVTHIQTLDLSSNSFQGPLPHWICKLRPSMFLDLSNNLFNGSIPQCLRNTIVPLRALNLQNNNLTGILLQDLFVNATNLELVDVSGNKLEGKLPESLINCISLKFLNVRSNKIKDKFPSWLSSLPSLNVLILRSNEFYGPLYHPHVSIGFQSLKVVDISHNHFNGTLPPFYFSKWHGMTTLREEHQSYTVYMGYPAYGGFYRSSMEMVNKGVDTKFQRIRKDFKAIDFSENEFGGKIPSSIGFLKELRLLNLSGNTFTGNIPQSLANLTNLEELDLSRNQLSGQIPSELGSLSFLSIMNFSHNNLEGPIPRSTQFQRQNCSAFMYNSNLYGLEDICGKTHVPNPTPQESEDFSKPKEQVISWISAAIAYGPGDEVRTWQQQKNKKSDLAPAEEKKDFETGKMMSSHSYWFSSVVTLYFFFLVFRVLHTLASPIHHYCCHDQRDALLEFKHEFPVNESNSGPYLSSWNNNHDCCVWEGVTCDAKSGKVISLYLYDIPLNNSLKPNSSLFKLQHLRNLTLIACSLCGEIPSSIGNLTQLEFLYLDSNNFKGYIPASFANFTKLSLLDLSNNQFKGEFPLVLLNLTTSLSSLDISSNLFKSKLSPDVSRFRSLDQPHPSQSCHNNFIGSIPRLNSLTLGTLDLSYNKLEGKISGWLWHVPTLILSHNSFNRFEKSLEVSDLSNSVTLDLSSNVFRGPLPHWICKLRPSTLLDLSNNSFSGSIPQCFRNTVAGLTSLNLKKNNFSGILPSNIFVNATNLASLDISYNQLEGKLPESLVDCTMLVFLNVQNNKIKDKFPFSLSSLNVLILRSNEFYGPLYHPHVSIAFQSLRVIDISHNHFNGTLPPFYFSNWLEMIMLAEEFQSHSMYMGYDLFDDPFRYSMEIERSQNPLDY >A09p056680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48087361:48088707:1 gene:A09p056680.1_BraROA transcript:A09p056680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLMKLLSACLWPSSGRSVDSSGKQDGLLWYKDSGQHLLGDFSMAVVQANNLLEDQSQVESGPLSTLASGPYGTFIGIYDGHGGPETSRFVNDHLFQHLKRFAAEQGSMSMDVIRKAYEATEEGFLGVVTKQWPVKPLIAAVGSCCLVGVICGGMLYIANVGDSRAVLGRAMKATGEAIALQLSAEHNVSIESVRQEMHSLHPDDSHIVVLKHNVWRVKGLIQVSRSIGDMYLKKAEFNREPLYTKYRLREPIKRPILSGEPSITEHELQPQDQFLIFASDGLWEQLSNQEAVDIVQNHPRNGIARRLVKMAMQAAAKKREMRYSDLMKIERGVRRHFHDDITVVVIYLDTNVVSSARGPSLSIRGRGMTFPKKL >A03p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7509581:7511129:-1 gene:A03p018410.1_BraROA transcript:A03p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like fold domain-containing protein MRL7L, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31840) UniProtKB/Swiss-Prot;Acc:Q9SKB6] MILPYSTRVTSPVNGFFIPSPLLSGVSASALCKRNGFEVPSSRHDCNIASSKVNLTRCRRNVKAFGLVDKLGKKSWRNKEESDSEDEEDDVKKDTSDKRSSSLDDPEERREWRQKIREVIDNNPDVEEEEIDMVEKRRKMQKLLADYPLVVNEEDPDWPEDADGWGFSFNQFFNKITIKNEKKDDDEDEDDDDEKEIVWQDDNYIRPIKDLTTAEWEEAVFKDISPLMVLVHNRYKRPKENEKFREELDKAIQVIWNCGLPSPRCVAVDAVVETDLVSALQVTVFPEIIFTKAGKILYREKGIRTADDLSKIMAFFYYGAAKPPCLNGVDCSQEQIPSVDL >A08p031580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19260407:19262881:1 gene:A08p031580.1_BraROA transcript:A08p031580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWLVITQNRTKYPPNQKKSLSSLLHTISSPSNFCVSFKLLRERKREMGTINQGVSLFDEPQNIINTNNTNTLGFFFSFPNHTLSSSSSPSSLVSPFLGHHSFNSFIHNNSPSFVTHPRDPINPMVNLPETLISVSSLASSKQRHDHDGIVNLDQHRLTGEISSQRPAWSSQAGYEYNKKNNHTSEIDVDHNIDHDGCDGDGSNDDDDHQHHETRRHKINTSPLGIMSTLKMKKPKTRKKVREPRFCFKTLSDVDVLDDGYRWRKYGQKVVKNTQHPRSYYRCTQEKCRVKKRVERLADDPRMVITTYEGRHLHSPSNHLDDDPLSSSHHSSLSNFFW >A10p001810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:924304:926617:1 gene:A10p001810.1_BraROA transcript:A10p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLKRAIGAVKDQTSVGLAKVNGRSASLSELDVAIVKATRHEEYPAEEKYIREILSLTSYSRNYINACVNTLSRRLNKTKCWTVALKTLILIQRLLAEGDKAYEQEIFFATRRGTRLLNMSDFRDVSRSNSWDYSAFVRTYALYLDERLDFRMQTRHGKRGVYCVGGGDAVEDEKDKHEADLSTAIVVRSQPIAEMKTEKIFTRVQHLQQLLDRFLACRPTGGARNNRVVIVALYPIVKESFQIYYDITEIMGVLIERFMELDIADSIKVYDIFCRVSKQFEELDQFYSWCKNMGIARSSEYPEIEKITQKKLDLMDEFIKDKSTLDQTKESQSVEEDDDERAEEVNEEEEDMNAIKALPAPPPKEEEEEEEQPEEEVIIEKKQEEVGDLLDLVDINGNEALEAGDSLALALFDGPYASGSGAETGPGWEAFNDDGADWETALVQTATKLSGQKTELGGGFDMLLLNGMYQHGTVNAAVQTSTAYGASGSASSMAFGSAGRPAATMLALPAPATANGNSNGTVPMDPFAASLEVAPPAYVQMNDMEKKQRKLMEEQMMWDQYSREGRQGHMNLSQNQNKPSYSYTPQY >A02p046450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28985349:28986820:1 gene:A02p046450.1_BraROA transcript:A02p046450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKHISSRKINKSTKTMKLQLFWLALVFIAVKANPAKEGKNATIPALIVFGDSIMDTGNNNRLPTLLKCNFPPYGKDFPGGLATGRFSDGRVPSDLIAEKLGLAKSLPAFMNPNLKPQDLLKGVTFASGGTGYDPLTAKIMSVISVWDQLTYFKQYMSTIKQHFGEKKAQDILDHSFFVVCSSSNDLAHTFLAQSHKYDRTSYANFLADSAVKFVRELHKLGAKKIGVFSAVPVGCVPLQRTVFGGLFTRGCVKPLNNMAKQFNARLSPALESLDKELDGVIFYIDVYDTLFDMIQHPAKYGFEVADRGCCGRGSLAISYMCNSLNPFTCSNSSAYIFWDSYHPTERAYQVIVDNLLNKYLSKLY >A01p020570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10085246:10086308:-1 gene:A01p020570.1_BraROA transcript:A01p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLRNLKGQIPSIDLSLTLNTVAVSCEGERTSCRREDASSNPGDIESVKKKLYVAKLWVRNFKKFKSSIMPMSMDPYGGEFQGTVGRSSKGRFSKSFGKPCTKSKYSSKYSSGSGFKLITDGVIFHGKNSPRSYNKGYIPSQASHVMIPTLSVSADQRERLAMFMEWLDQSRDLIRESRKTMMETKNHNLSDIKFSAVVEKHWIRH >A03g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3754762:3756841:1 gene:A03g501260.1_BraROA transcript:A03g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPNDRLHFGKMGFGCQHYRRRCQIRAPCCNEIFDCRHCHNEFTSTLRNIYDRHELVRQDVKQVICSVCDTEQPVAQVCSNCGVNMGEYFCNICKFYDDNTEKQQFHCDDCGICRVGGRENFFHCKKCGSCYATGLRNNHRCVEDSMRHHCPICFEYLFDSLKETTVMKCGHTMHCECYHEMIKRDKFCCPICSRSIEATSMPSDYHDKKVWILCNDCNDTTEVYFHIIGQKCGHCRSYNTRAVAPPVLPQ >A06p036430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19763757:19766125:-1 gene:A06p036430.1_BraROA transcript:A06p036430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPLEVESTDHQKPPSAVYDGSVMAVDSVEEEVEETKVVYRGWKVMPFIIGNETFEKLGIIGTLSNLLVYLTAVFNMKSITAATIINAFSGTINFGTFVAAFLCDTYFGRYKTLTVAVIACFLGSLVILLTAAVPQLHPAPCGTALSCIGPSGGQIAFLLLGLGFLVVGAGGIRPCNLAFGADQFNPKSESGKRGIDSFFNWYFFTFTFAQILSLTLIVYIQSNVSWTIGLTIPVVLMFLACVIFFAGDKLYVKIKASGSPLAGIAQVIAVAIKKRGLKPTKEPWLNLYNYYPPKYANSKLKYTDQFRFLDKAAILAPEDKLEADGKPADPWKLCTMQQVEEVKCIVRVLPIWFAASIYYLTITQQMTYPVFQALQSDRRLGSRGFVIPAATYVVFLMTGMTVFIIFYDRVLVPTLRRITGIDTGITLLQRIGTGIFFAFVSLIVSGFVEERRRTFALTKPTLGMAPRKGEISSMSAMWLIPQLALAGIAEAFGAIGQMEFYYKQFPENMRSFAGSIFYVGAGVSSYLGSFLIAMVHRTTQNSAGGNWLAEDLNKGRLDYFYFMIAGILAVNFAYFLVMSRWYRYKGSDDEVTTYETNVDPIKQQDKNTA >A04p014190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5380137:5384202:-1 gene:A04p014190.1_BraROA transcript:A04p014190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQRQRKKKVTFACGRRRRRWKRRKEHSQGKIQSDLLVSVTERGCDGWVIKALVWCQIVYWSARLWKKYAVLFQKIEKMAVGGTYEYLDSSERKLQRSMTGLLSCGTRQVQYFEASRLVQFSEVLSCWSAGSVSGVEDQILVDVGELRQRCYKFKSAGYVSGYGSSRFRNSSPRQVEVTAYTGWSKHIQFGGTEIAFEGAMTLVVSRVESCLYKCCSAHLQMFFGFRWSSATGSDEFLKSSQCIEGKENQVECVQENVKCSDIKAESEREKQFLGGAIFSKESCIIRVLSSSLVQQEKSHHEHEIDVKEAVKETVQVQVSRLSWLDRRLVESGLIQGGNKYVSGFCKRFSVVFLILISEILYIESLLNRVVLSGVFCWQQQRCRVVFKSVCSSSGCEENLRLSSWCLLFVEIGFKGFESRSFWFEASDSCSASDSSQASSEQLMGFVELRMSAHLKFPGYGKGQIKINEEVEHITRLQAVKVELRLRGSKEQ >A10g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7652971:7653499:-1 gene:A10g502670.1_BraROA transcript:A10g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFLMLLQGTMINDQMMLHHVTKCRHEAYLASRLMSWYGREVRRSLSFWLN >A10g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7593348:7594328:1 gene:A10g502610.1_BraROA transcript:A10g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVGVWHNIRNFQVRPAGGAYRTTNHTYKISINQATAVSPCNFMNDELYLNLVDFESVLSGTLDEKLLIDVLGQVLDCGAVETIQCAGGNPRKKLEFTLRDINDSRIPCCIWGKLTDILHSSCNQDDGMVTLLLRFAKIGKFGGELQISNFFDASQMIINPTIPDAEAFKDMDTEDDKTLMPYESNEESQEYINKQVDQADKRVQRDKWLL >A01p043990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24604951:24606273:1 gene:A01p043990.1_BraROA transcript:A01p043990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPADLVEEILSRIPATSLKRLRSTCKKWNALFKDNRFIEKHLCNVPKQLHVLILEGCRLYPTSVDLNAVPPSIEFSDELSLNYSNNSEKVYIDTVFHCDGLLLCTTGEDELVVWNPCLGETRWIKQNDGCLCFRRWIPRFGQFNGRKTKFALGYEKNQFFRSYKILMFWGFDKTGGDLVDGFEIYDFNSNAWRVVNAPNCFVIRSHGVALKGNAYWNAYDDKDSDYLLSFDFTRERFIRLCFPLPSHDCIYTALSVVREELSVLRCIIGSSTMEMWVTNTNCEADLSWSKSFEVDYGFDVYTSLLIDEHKKVALCCSSYFREDRNVVCTLGEEDKYYTEIAFKATYLLRPHIFNYVPSLVQIQQGIESDVFFGKSPRRRWDERSGGGDSETWEDYHAQLQQEIDDMNSAFEEWDGWEIECTDFSSLCRNRGRGWKTR >A08g510390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23167863:23169508:1 gene:A08g510390.1_BraROA transcript:A08g510390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNLAFLLLLLLFVSSANAKATVSISPKTLNRSGDSVVIKWSGVESPSDLDWLGIYSPPTSPHDHFIGYKLLNASSTWRSGSGSISLPLTNLRSNYSFRIFRWTQSEIDPKHLDHDQNPLPGTKHLLAESEEVSFGSGVGKPEQIHLAFEDGVNGMRVTFVAGDGEERFVRYGERKERLGNSAPARGVRYEREHMCNAPANTTIGWRDPGWIFDAVMKNLNGGVKYYYQVGSDSKGWSEIHSFIARDVYSEETIAFMFGDMGCATPYTTFIRTQDESISTLKWILRDIEALGDKPALVSHIGDISYARGYSWVWDEFFAQIEPIASRVPYHVCIGNHEYDFPAQPWKPDWAA >A09g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21463490:21466378:1 gene:A09g507350.1_BraROA transcript:A09g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVKVKCFHSGRFKDEGGLCYVDGTVDEFELDADSLFTNLVMKMFEKRIVIGKLWFKLPFHELEDRKPLFENVEANKKRMESSARWYKELDIYVERDRVVLAEEGSTNVGVQERVMNVEPEEEGLHDRAEKQCEKLCEKLAEKNSETGLMFDEDEDEALDTLYDPLADDSDDEKCSEDALEKRRRLSKQCEEDAEEAAARNGQDEANDEAQETAEMEADLAAQMEDQVEVEFISSTAPQPSQPSQGNQAPQRNLRRSSRLAALLFG >A03p055620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24020087:24022092:-1 gene:A03p055620.1_BraROA transcript:A03p055620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHISIFSSESGQTLQSPPAIAGSSSSLLSDVSTVIHLYVVGGGGGTEESEVFDLKSQTWNPLPSLSEDNDYPEVQLRRGELLATTSNHKKYEFDPKQGTWKEHPGFSYTRNGPCVKGLKDVCTKPHVNHHTTILLANHGCGSILVLWDELHSLEFQWPGKCGNMSAKTRELVCGDQVEEVLLRITVRDLGEVVSLNAVLTVPRSFKLLSCITL >A03g501310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3772773:3772973:1 gene:A03g501310.1_BraROA transcript:A03g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGQEKEPMAQVYQLQKKPIMKTQQDEASTVVLGSNKTPRSCARSTEKQPLQLHNKYAALTIASY >A07p045420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24645418:24647302:1 gene:A07p045420.1_BraROA transcript:A07p045420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGDELGNTLLPGKPAVRCSPGGWKSARLIIFVEMAEQFAFYGISSNLITYLTGPLGESTAAAAVNINAWSGTVSFLPLLWGFVADSFLGRFRTIIIASLLYILGLGLLSFSAMVPSPSQDSNQLQVTLFFVSLYLIALGEGGYTPCLKVFGADQFVGNDLEKSKAKSSFFNWLMFGSCVSILLTRLVSNYIQENLSWSLGFGIPGASMLLALVLFLLGTKTYRFTTERGGNKNPFARIIHVYMEAIKNRRQPDLDFANPNETLLLLAHQGSKQFRFLDRAAISCDLAEIEKAKAVLKLVPIWMSCLVYAVVCSQPYTFFTKQGSIMNRSVSPGLLVPAATLQCVTSIIMVAFIPIYDRLLVPIARSLTQNHLGITMLQRIGTGIFLSILAMVVAALVETKRLQTAQDDVKMSVWWLAPQYAIYGISYVFTLIGLHEFFYDQVPSELRSIGMALNASIYGVGHFLSSFMISVIDKVTRQSCQTSWFDNDLNKAHLDYFYWLLAFVSSICFASYLWFAKSYVYNRSTTF >A01p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9137871:9140190:1 gene:A01p018780.1_BraROA transcript:A01p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIARLSGSYEITNGYSFIAESSIDNPAAEFLTSPEVSALQLLSTCLESVFDSPETFYSDAKLVLSDGREISFHRCVLSARIPVFKNALAAAAKDKKPAAVVKLDLKEIARDHDVGFDSVAMVLAYVYSGRVRPPRKGASDCVDDGCCHVACRPAVEFLMELLYLAYVFEIPELVSLYERQFLNIIDKIVIEDILVIFKLANICGETYKKLLDTCLEIIAKSDIEIVTLDKSLPQDIVKRVTDIRKELGLEPPEPNKHVVNIYKALDSDDVELVKMLLTGHTNIDDAYALHYAVAHSDVKTASDLIDLELADVDHRNMRGYTALHVAAMRNEPKLMVYLLTKGANASETTFDGRTALVIAKRLTKASEYNASTEQGKPSLKGGLCIEVLEHARKLGRLPRDVALARILFPVEAQVVMDIVKLEGTSEFTASSLDPDQQSCAKRTSLDLNIAPFVIREEHLCRLIALTNTVKLGKRYFPRCSLDHFMDTEDLNHLACLEEDTPEKRLQKRQRYMELQETVMKTFSEEKDDSGKSSKTRSVRSNGKLSHRRLRVDKRDLEKRICRKRKGDSRIEKHVTFEAGN >A08p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13211506:13215553:-1 gene:A08p019340.1_BraROA transcript:A08p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLQRPFLLLTVATVLFSTAPFSNAIPFIVFHGIGDQCSGGVSNFTQLLSSVSGSPGSCLEIGNGEEDSWFMPLVLQASEACKKVKLMNELSQGYNIVAESQGNMVARGLIEFCHDAPPVINYVSLGGPHAGIARVPKCPSGLKCDIAEAILKLEIYNDFVQDHIAPSGYIKIPGEMTKYLEHSQYLPKLNNERPDDRNSIFKDRFASLHNLVLVMFQDDTVLIPKETSWFGYYTDEGFGTLLSTQETKLYTEDWIGLKALDDAGKVKYVSVSGEHLMISFQDVVKYVAPYLMQINKNMSLQAKHINRDVMFLQGLGSLPKWPVSAHSEHVFFNLVSRKSDPETVTLLLYIRNSPRLHRSDLFLVLHIRSSSAGNTPFPFLPRPQIPSPLFLSPRDVKQVYPSRSVPYRGGLVVERVTADLSRAGCGLQNAGLNPYHRIYKP >A09p062000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50907171:50914294:-1 gene:A09p062000.1_BraROA transcript:A09p062000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56160) UniProtKB/Swiss-Prot;Acc:F4IZC4] MATIASMLASTQNPFPCFREPLYPGSRSLAFRRYLEPCGRRTPTVPRFIRACQPSDKVGGDGGSVSASAKSYHFGNELLSFASDNFLPLALVTGVGMGFANPTLGCLADKYSLSKFSTCGIFIISGLTLRTEAIGAAVKGWPLGIFGLASILLLTPSFSRLVMLFQLQPQELVTGLGIFCCMPTTLSSGVALTHLAGGNSALALAVTVASNLLGILTIPFWVSRYIAGGVGVSFPTDQLFRSLIVTLLVPLIIGKVIRESFKGFANFVDNNRKLFSRMNAICLSLVPWIQVSRSRSLLLSVEPKVFLAAIGIGMRTIMSFYRLLHLSLLAFNALSIRILSGLSGDYNSKNSKGNGTAVLLVASQKTLPVMVAVVEQLRGAFGEPGLLVLPCVAAHLNQIMIDSILVNVWLRKSNASSSTDGGSDSEDESDIEEEIQEVQNNDINNRYLQDNSDDDDDTDTKRVIKPTKDKRFEEMTHTVDQMKNAMKINDWVSLQENFDKVNKQLEKVMRITEAVKPPTLYIKTLVMLEDFLNEALANKEAKKKMSPSNSKALNAMRQKLKKNNKLHEEEINKYREAPEVEEENEPEDEDDDDEEDEDEEDDDSKEAVTDEEVDETTDTRWETMLNKKDKQMEKLLSKDPKEITWDWVNQKFKEIMAARGRKGTARFDLVDQLTHLTKIAKTPAQKLEILFSVISAQFDVNPGLSGHMPIKVWKKCVLNMLTILDILVKYTNIVVDDTIEPEENETSKPADYDGTIRVWGNLVAFIERIDTEFFKSLQSIDPHTREYVERLRDEPMFLALAQNIQDYFERMGDFKAAAKVALRRVESIYYKPQEVYEAMRKLAELVEEDEEAEDAEEESGPISSFIVVPEVVPRKPTFPESGRAMMDLLVSLIYRNGDERTKARAMLCDIYHHALMDNFGTARDLLLMSHLQDNIQHMDISTQILFNRTMAQLGLCAFRVGMITESHSCLSELYSGQRVRELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLEAVHLIGAMLLEVPNMAANSLEARRRVISKNFRRLLEISERQAFNAPPENVRDHVMAATRALTKGDFQTAFEVLNSLEVWRMLKNRDSILEMVKARIKEEALRTYLFTYSSSYESLSLEQLAKMFDVTEAQVHSIVSKMMINDELHASWDQPTRCIVFHEVQHSRLQSLAFQLTEKLSVLAESNERAMEARTGGGGLDLSSRRRDNNQDYAGAASGGGRWQDNNMSSGYGGRQGNNRSGYGGRPGQPRDWSGQNRGGGYASRAGSGNRGGGMQMDGSTRMVSLNRGVRT >A06p007290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2524952:2535497:-1 gene:A06p007290.1_BraROA transcript:A06p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACREALPVGRESSEFLTCACCFSRVERAWRFCYWASNTKFPLVTTGEREHICNDDFLTRKWEPDWRACNLTSGADFPFPSHNINTGTLEDQHLSAEDGLGTWEPPEDQHSNVTIICQYCRATAAALEKESDTTQMSLRLSMLKHYQCPFLHVNQNTSSHPYVTQDSAQVSENNEPSSSSYHHHSRENMSGSRPSHSSEGSRRSRHSARIIAQTTVDAKLHADFEESGSCFDYSTSVRVTGPVVENQPPRSDKVTTTYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENAAELLTMASHAVPSVGEQGALGIGTDIRSLFTAPSASALQKALGFGDVSLLNPILVHCKTSAKPFYAIVHRVTGSIIVDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEVTKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCNAKHVTVLQDEKLSSDLTLCGSTLRAPHSCHLQYMANMDSIASLVMAVVVNEEDGEGDAAAPDSTAPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEVELENQIVEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKDKVWKLGITPSEFHLQEIASWLCEYHTDSTGLSTDSLHDAGFPRALALGDSVCGMAAVRISSKDMIFWFRSHTAGEVRWGGAKHDPDDRDDARRMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDGEATDVNTKIIHSKLNDLKIDGIQELEAVTSEMVRLIETATVPILAVDSDGLVNGWNTKISELTGLPVDEAIGKHLLTLVEDSSVEIVKRMLENALEGTEEQNVQFEIKTHLSRADAGPISLVVNACASRDLHENVVGVCFVAHDLTGQKTVMDKFTRIEGDYKAIIQNPNPLIPPIFGTDEFGWCTEWNPAMSKLTGLKREEVMDKMLLGEVFGTQKSCCRLKNQEAFVNLGIVLNNAVTSQEAEKVPFAFFTRGGKYVECLLCVSKKLDREGVVTGVFCFLQLASHELQQALHVQRLAERTALKRLKALAYIKRQIRNPLSGIMFTRKMMEVSELGPEQRRILQTSALCQKQLSKILDDSDLESIIEGCLDLEMKEFSLNEVLTASTSQVMMKSNGKSVRITNDTGEEVMSDTLYGDSIRLQQVLADFMLMSVNFTPSGGELTVTASLRKDQLGRSVHLAYLEIRITHTGAGLPEFLLNQMFGTEEDMSEEGLSLMVSRKLVKLMNGDVQYLRQAGKSSFIITAELAAANK >A04g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17628925:17631391:-1 gene:A04g507280.1_BraROA transcript:A04g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQFRGFSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGMMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWSRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p003950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:958450:965794:1 gene:A06p003950.1_BraROA transcript:A06p003950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSVDIPCDQVVSQTYRCLFGDGNHIHMMKANLEALDTATRELRERRFDLSRRVSLEEDKGLERLAKVEGWLSRAESIDSEVSHLLREEPSETKRLCLFGYCSKNCISSCKYGKKVSKKLEEVKELLSKGVFEELAEKRPASKVVKKDIQTTIGLDSMVGKAWDSIMKPEGRTLGIYGMGGVGKTTLLARINNKFNEEVNEFDVVIWVVVSKDLQYKGIQDQILRRLRADQELEKETEEKKASFIENILRRKKFILLLDDLWSAVDLNKIGVPRPTQENGSKIVFTTRKKEVCRHMRADDELKIDCLSTNEAWELFQNVVGEAPLKKDSEILTLAKKISEKCHGLPLALNVIGKAMSCKEDVHEWRHANDVLKSSSREFPGMEENILSVLKFSYDGLEDDKMKSCFMYCSLFPEDYEIKKEELIEYWINEGFINGKRDEDGSNNKGHVIIGSLVRAHLLMESETTVKMHDVLREMALWIGSTSEKEEEKQCVKSGVKLRLIPDDINWSVSRRISLMSNQIEKISCCPKCPNLSTLFLRDNDLKGIPGKFFQFMPSLVVLDLSRNRSLRDLPEEICSLTSLQYLNLSYTRISSLSVGLKGLRKLISLDLEFTKLKSIDGIGTSLPNLQVKCDRFFNLSGKYPKSGGIANEAWELFRSIVGEDTLKLHQDIPALAKQICEKCYGLPLALNVIGKAMSCKKDVHEWRHANKVLKTSSHEFPGMEEKILSVLKFSYDGLETEKMKSCFLYCSLFPEDYEIEKEELIEYWISEGFIKGERDEDGSNNEGYDIIGSLVRAHLLMECDTKSFFQFGFIPAVKMHDVLREMALWIGKEEEKQCVKSGGKLCRIPEDINWSVLRRISLMSNQIEEISCCPECPNLSTLFLRDNKLEEGIPGKFFQFMPALVVLDLSRNRTLRELPEEICSLISLQYLNLSRTCISSLPVCLKGLRKLISLDLEFSRLKSIDGIGTSLPNLQVLKLYFSSVFIDARSIEVLQLLEHLKILTGNVKDALMLESIQRVERLASCVQCLWISEMSAEVLTLNTVALDGLRKLDIESSKISEIKIDWKSKEKEDLLCNSSPYFKHLSRIAIFGLEGPKELSWLLFAPNLKHLEVSISGSIEELINKEKGMSFRNVHPEMTVPFPKLESLDLSYLPGLKRICSSPPALPHLKNIIVKDCPNLPKAAMKFPRHE >A05p024720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12068508:12070306:-1 gene:A05p024720.1_BraROA transcript:A05p024720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEDVGSPVVVGALILDVHAKPSSTAPISGTTVPGQVLFAPGGVGRNVAECIFKLGIRPFMIGALGIDGPASVLLKDWQLSTEGILRREDITTPIVSLVYDIHGEVAAGVARVDAVEKFLTPDWIQRFEPNISSAPVLVIDANLSALALEASCKLAAEFNVPVWFEPVSVTKSQRIASIAKYVTVVSPNQHELIAMANTLCARNMFKTLEPEDNKLLPQDVFCALRPAVLVLLESGIKVVIVTLGSNGALLCSKGNPNKALNINRKFSGEIFRRVQLICSPNRFSEPGLSHGSSLFAMHFPTVPAKVKKLTGAGDCLVGGTIASLSDGLDLFQSLAVGIASAKAAVESDDNVPPEFNLDLLTDDAELVYSGARMLLAHQSML >A03p065290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28503426:28505227:-1 gene:A03p065290.1_BraROA transcript:A03p065290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRRAVRDSSKITPRNGKTSDSGEKKRCERRLMKFEELPKYLKDNEFIHNHYRCEWSLKETFLSAFSWHNETLNIWTHLGGFLIFGWMMVVSSMETTEVGLTSFVRLFSGKTIRWPWPSMAISKDVFFSSYQTLYHDSNVTHTSSFLNHQGEEVNHETVPKWPWLLFLSGAMACLMCSSLSHLFACHSRKFNLFFWRLDYAGISLMIVSSFFAPLYYAFSCHPYWRLFYLSSISILGLLAIFTLLSPALSAPRFRPFRAALFLTMGFSGIVPVSHLVYLHKDHPNVLIALVYELAMAVLYATGAGFYVSRIPERWKPGAFDVAGHSHQIFHVFVVLGALAHSVASLLIMDFRRASPSCAF >A03p020080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8236734:8237555:1 gene:A03p020080.1_BraROA transcript:A03p020080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGSTSRYVHNVGQFSTDNHHEDDGGAGGNHHHQGLDLIVSNDNSGLGGGGGGGSGDLVMRRPRGRPAGSKNKPKPPTIVTRESANTLRAHILEVGSGFDVFECISTYARRRQRGICVMSGTGTVTNVSIRQPTAVGAVLTLRGTFEILSLSGSFLPPPAPPGATSLTIFLAGAQGQVVGGNVVGELMAAGPVMVMAASFTNVAYERLPLDEHEEQLLVQSGGGGGGNIYSEATGGGGGLPFFSLPMSLPHMGGEHWPGNSAGTGRAPF >A04p037860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21554933:21556618:-1 gene:A04p037860.1_BraROA transcript:A04p037860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTIGLDQFLNDHHTKPVESNLLRQSSSPAEMFTNPTAQNGYGSVRSLMNYEEDEQSPSSSSELRRHNSLSSRPPSSLGMLSQIPEIASEISFQYSHWNDPASFIDNLSSLKRETEDDGKLFQNGESGNRMQLLSHHLSLPNASSTASDMVTVDKYMQLQDSQTNTADMLDLAVDYIKDLQRQYKILNENRANCKCMNKEKNLI >A09p032730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19844207:19845024:-1 gene:A09p032730.1_BraROA transcript:A09p032730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQGVFCDGLLQDGSQIAVKRLSKESAQGVQEFENETSLVAKLQHRNLVGVLGFCMEGEEKILIYEFVPNKSLDPVLRLHFSRVFDAWAILQEI >A02p015440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6838387:6839022:1 gene:A02p015440.1_BraROA transcript:A02p015440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLVSPLPSLPFFQTGNLTGRSSSLPLNPNPQFSKSSLSRGRAATLVLRSKGDDSVDASDRIISAVCYFYPFFDGIQYGKFIITQYQPFQILIQPLFPAIKAFKSFPFNGFLIFIALYFVVVRNSNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPRDGFGLDVVMSLDSTVFLFLLVCLIYGFSACLFGLTPRLPIVADAADRQVL >A02p048400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30103428:30104580:-1 gene:A02p048400.1_BraROA transcript:A02p048400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root meristem growth factor 3 [Source:Projected from Arabidopsis thaliana (AT2G04025) UniProtKB/Swiss-Prot;Acc:Q6ID76] MTTLSKILCVLIILFLCISFRYSLNEDGDQESSRDVVSVRKVAENGDVVIRGRKLMMTNGEADKEITVKRKRKSGKSVDEDGLVAFTADYWRAKPKHHPPRNN >A03p049470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21184857:21189092:1 gene:A03p049470.1_BraROA transcript:A03p049470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWATLPKDLLNLISKSLDSSFDLLHFRSVCSSWRSSADPKPPLPTHHLPILPDNGTSLFPDSAVGFRLSQRSILLVRPHKPPINESDLFGWLVKVEEDLNAPRKVTTLLDPMSETRRSLPEHFPRSLDVSSFKIRELGREFKLHYFNTVGDIVNSLYLEKAVVRYLDSCSKFVLLTIHVSGKLAVFTSWDRAWTVINDMPSPYDDVIVFDGRFFGVDNNGRTVVVDFDDLKLELVASPVYGGDKKFLIESCGEMLMVDMYLSMEEVDGDPGFGEEVFEHHAVFMNERTVKFKVFKFLEREKSWVEVKDLGGKMLFLGDDCNFTASASDLLPSCGGSCVFFNGNVFNEDNVDAMQDRDLGVFDFRSGKIELVHKLPEYAKLFWPPPPWITSHEIIREKHHQNVKCVIWSLRPWRFNRYGGVVVTRTSTLVYPLTLLFITQMTISTCSIALQRFLFTPPPQSLSQGRREAPMKVRCKAGAVPLMKQSHKFLSSLSSPALAGDPSATNRHIKKFVAASPKSVSLNVLSHLLSPHTSLPHLSSFALSLYSEITQASWFDWNPKLIADLVALLNKLERLQESETLLSTTLTNLKSNERDLALFLCSLAESNSKQGSAQGFNQACLRLREILQTSSSVYLKTQAYKSMVSGLCNMDQPNDAETVIEEMRLEKLKPSVFEYKSVLYGYGRLGLFDDMNRIVHRMETEGHRVDTVCSNMVLSSYGAHDALPQMGSWLQRLKDFNVPLSLRTYNTVLNSCPNITSLLKDLNSCPLSVSELLTFLNEDEVVLVRVLTQSSVLHEAMEWSSLEGNLDLHGMHLSSAYLIMMQWMDEIKVRFSGDKCVVPAEIVVVSGSGKHSSVRGESPVKALVKKMMVRTGSPMRIDRKNVGCFIAKGKTVKEWLCQ >A09p022540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12396420:12397430:1 gene:A09p022540.1_BraROA transcript:A09p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQEPHLPSYMKDDNVSQETKNLISSLPSDKDFMGYSLYNYKGCWYYPNTLQAVLDVQEHFQPRKKDIILASLPKGGTTWLKSIVFAVLHREKYRENPQTHPLLSQNPHDLVPFLEIELYAGSQTPDLTKFPSPMIFSTHMHLNTLLEATTKSSSSSSPCKIVYVCRGIKDTFVSAWHYRNKLHRTEMDQASFELMFDAYCRGVHLYGPYWEHILSYWKGSLEDKEHVLFMKYEEIIEDPRLQVKRLGEFLNCPFTKEEEESGSVEEIVNLCSLRNLSSLEINKNGTIRVGVDTNVFFRKGEVGDWKNHLTPQIAKTIDEIVESRLRGSGLIFQ >A04p023040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13954462:13961283:1 gene:A04p023040.1_BraROA transcript:A04p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLKLITLLLLALSHHAESGSIVKFLPGFEGPLPFELETGFVNHVFFFTLFYYFVKSENNPQEDPLLIWLTGGPGCSSLFAILFENGPLALKFELYNGTLPSLVTTTYSWTKMANIVFLDQPVGAGFSYSRTPLLNKVSDTGEVKVIHEFLQKWLSKHPEFYSNPFYVTGDSYSGKIVPPLVQEISRGSYLTNLRYEIKFFSRSVRPDDLKGYILGNPITQIKSEDNSKIPYAHGMALISDELYESLKRICKGNYENVGSRNTECLKHIEMYNKCTDKLNRYHILLPDCDMTSPDCFLYNYFLLDKWANNERVRRALQVTKGSIGEWKRCNYDVIPYNYDIQSTVPYHMNNSISGFRSLIYSGDHDMVVPFIATQAWIRSLNYSIVDDWRPWMVNDQIAGYTRGYANKMTYATIKASFLVST >A06g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19932193:19932448:1 gene:A06g507170.1_BraROA transcript:A06g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYYLDNKIEKIENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A06g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13410636:13412684:-1 gene:A06g504290.1_BraROA transcript:A06g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFNEYVVAMEDRVEVSRNDKEIKKKRDAKKIEALIDDRKRTCQENATLAALVFAQRAKIAVLEVERDQEILESLKEELDEVSAEIQLQEVVANIDLLNELKDGGLTVDAELPRLKEMEKDCEDPVTLAALDLPQVSEDSVDQAGGRLFPMESILGLSQYYDRFLFPPVEKTWHREGQPFVNPNGYEIDLVVFVRNTSDLGEDSAARVKLSSPTGSEGRDRPPQKEKMNGSDHILGVSSDTADCPITEDPDSVAHLVRHFNPAGCPLPSLRNMMEREGYLKVVVAHATVVFLIIAMEANNEFAATLEWHLQDIPRSDKLYEIKKDQERASAAQLSTAEKLGNHAATSEARIQVVSNERKSALENVSYFEAKVESFANKFSDDLRHATYDAKKVLEDSYLDVLVSLKEKWEKKKTATDCEARLSEFMANIDLLKEIMNNNHLSSDELLHLRRKEIELGSKLDLTAVSDFSVGKLDLPQISEDLPVDFFVKVPYVVNDTGDEMKRAGGQFEDGKFDIEE >A01g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21001395:21001867:-1 gene:A01g507180.1_BraROA transcript:A01g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHIIPFFFFFALGLWHLFDHIKLFSLHSKSYISCSSLFFYVCGSLPGQKNHQPFDPNQWLIGRQYVINLIVALAFAQQLFLFHFHSSDNTGVDCHYHLLLQLV >A07p033730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18430934:18437316:1 gene:A07p033730.1_BraROA transcript:A07p033730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSELVINSFYVTVTKPSKLAKCDTDEAEEDVIEDENILNKSQRREKGKKSKKEAKKQEKELPKEIIQEEETPQAAVLGELNEELSAEDTFENKKNKLEELGMQLLSDPEANIRTIKEILPTEKELEMKVSKAVKKTRFYESTLLKGLQRMHSYLQKLVAFEKQSVFMSIRFDEDIGKRDRDDANKNKFKKNNKRNIQEEQNNEQENDVPADYKGVTYEPDVNFFVIGMCSWSSEAWIKENCPINRIMITNQLGGGQVLGYKCSNWLYQNWEGGLRTFNQNRTWEFADVTNRRERTRYACDLWFGARKEFHFDELEIYRAAANTRCNQIRQWAARPDGIWFRRDHDKPLGLVMLRWSRNLFFSNIKPFEEKGLADEWDKVGMYLSGNVSGQTAKWVSSREAAELSGGSDRGTRQKASEKTVKTEDGVLGEFHGSTKASRRPMVERSPSSTSLERRFINNQSSARWGSEVEETGLRNNVKDFSAPEDERFRVDDTSQGNISFNNKAYLRKCH >A09g518680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:56884454:56886412:-1 gene:A09g518680.1_BraROA transcript:A09g518680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDTSLQHPPLPPDPHTPLHPSQFPPLPGSPSSPPFQFGTTPWPVFAWNSKKKSQDSAHSTPEIGVIVDGPSSKMDISPTQGSGPVSTEVEPAITQSLTSAGSPVKEKTEPAIAKGTKSPLISTQTPTVQNPRSGNTIHFLGERLDLPANVSTSQIPSLPEQVPGGFSPDAIPNFTADHPSSSSKTWADKARKGTDRSLKKLATPTLSPEGVPQIKVPDSVFQRGAEAHKDYVLGVFTGKVPSFSQIQSVLTHIWGRGVKLQIHLRPDSRSMLVKIPNDFIRQKVVEQEIWHIGTSLFYVAQWSAQVAAKLPSFDSIPLWAHVRGVPFDLYTQEGLSLVASLIGFPVEADEFTIKMVSLEVAHLKVRADCTKPMPSIVELIRDSGDVIQVSVEYPWLPPTCACCKHLGHTEARCPNAKWAPAKGNSNQGKTHKATYQEKGKGLANKGNVPANNQPKPPKVQVPPMASKVQNSPLASEFPSSILEKDSRKSSLQSSTVNNSAAKATGADLLLEPEVEVSTAALPVPGSGSSLSFTVLKASSGDSEKSIILASKDLVSSDGFQSVRSPAHQKSIFQAVLNSSKKRKSTNLSSRGSLSPPISVNPFACLADQLALVDPSVATAQSTTLVVFGSLDEQSSTPATGSSLIEGKIIL >A08p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23329769:23331622:1 gene:A08p041300.1_BraROA transcript:A08p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional UDP-glucose 4-epimerase and UDP-xylose 4-epimerase 1 [Source:Projected from Arabidopsis thaliana (AT1G12780) UniProtKB/Swiss-Prot;Acc:Q42605] MGSSVEQNILVTGGAGFIGTHTAVQLLKEGFKVSIIDNLDNSVIEAVDRVRELVGPDLSKKLEFTQGDLRNKGDIEKLFSKQRFDAVIHFAGLKAVGESVGNPRRYFDNNLVGTINLYETMAKHNCKMMVFSSSATVYGQPEKIPCMEDFELKAMNPYGRSKLFLEEIARDIQAAEPEWRIVLLRYFNPVGAHESGRIGEDPKGIPNNLMPYIQQVAVGRLPELNVYGHDYPTEDGSAVRDYIHVMDLADGHIAALRKLFDDPKIGCTAYNLGTGRGTSVLEMVAAFEKASGKKIPIKLCPRRLGDATAVYASTEKAEKELGWKAKYGVEEMCRDQWNWANNNPWGYQKKL >A05g501440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5160406:5161117:1 gene:A05g501440.1_BraROA transcript:A05g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIALNLSSTHFSLSRESPSVVRRLSSLFRSAVFRSDPPSPFRFAVYSPSLSSRSTVSVLNRRLRPDSSSSSRSTKQSRSRLKTSNIAESVSFSSDKKYDITQVKAPPQLQKTMC >A08p032590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19686375:19688085:-1 gene:A08p032590.1_BraROA transcript:A08p032590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVHLLLCFTLVFASVTLLDVTSAFLKLKPSLPQIEDPKTVGDVEGYTVNVVMVFVGDLEKECPKTSKFKMFFDKLRGFAKYVCPLKIFGKKDDTDMKAKEAGILKSIASFAIGRIKSEIQEEKQEAIETFKFMKSLAGRILGGRKKEEKETTTLTPEQLKEIKDGILKWQTVIVKITNTMVVSTTNNEGSAGSNPGAGTPSMDTNNQSQGTPSTDDTNNQSQGTPSTDKNNQSQGTTGGSSSPNSGSATGSPSNKPSAGSNPGAGTPSTDTNNQSQGNTNTASSRSETTSQTTEVTVTEVETQTSEQVMTFLMNLEKKCPPKEEYKQFFEKLKSTMTGSAKVSSPKKKGGLFGMIKGAVGKIGDAMQFIRSRIGNKSAEVKKSMETYQAEVIKNMEELNAIYAKIVTQNQSKKGGAMTCTPEQQAEIKTTITKWEQVTTQFVEVAIKSETSTTTSTSSSTGTAQPN >A07p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1073304:1074342:1 gene:A07p007360.1_BraROA transcript:A07p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGKPTQEGDTRLVVAATTASALMEAKKADNGGGSTSIVEYKPPVMLEDEEDLEIKLRRILENVPARVSNTSGSSAGSGSGDFHQYRQMRRREQDRLTRMDIDYNKRLKMAEFTIRREEKLKAAEEKTSKKRLKRQKKKQRKQEKKRKPNTEEEQGEQPREEVSSGDEGNEEESVVEARPRPIFNKSRFQEKRP >A07p044140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24112755:24114837:1 gene:A07p044140.1_BraROA transcript:A07p044140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLSDKQYLNNVKPDVDDDRVGERGLSKKIAKQWGKAKLLPNSSFMPAAADLQWCPVSAASIHRRSQFGGGAFQNANQLLLGGSIPLTNHSAFRPAFNSSKNPRVASSGSSPQLAIFYAGTVTVFNDISPDKARAIMLCAGNGLQGETGESNLKKPLRETERVYGKQIHKATAAASSSSATNADSFSRCKDKHVGATNAMTMTIESFNAGPSNMIPSVPQARKASLARFLEKRKERIMSAMPYKKMLLDLSTGESSAASHT >A07g507110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18427331:18428876:1 gene:A07g507110.1_BraROA transcript:A07g507110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSRNIVGRGDAPRRLGCNWGGALVVLEAEKVRILGEVFRPVEAMDESGAGDRSTATKLPEKLKGEDVKKDETRVNFEG >A02p048790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30323211:30323508:-1 gene:A02p048790.1_BraROA transcript:A02p048790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQEDIVPFVIHRTLREEKTHFQDFETQTSKTIQVLLPGIALRRIEHTRSFSKSGINVIMF >A01p026080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19761247:19766943:-1 gene:A01p026080.1_BraROA transcript:A01p026080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPWRLPCRTSGMNPASLTTGTKPPKLPLPPKTLSSPKSGNPAPSTTETLLSQSTLTRPLEFLSKAATSTTPSPTVGVENTGPTLVPMATVTSESLVPSSLETETLTDKTLNSQNPNPKFTVLPPQNSSPLNTNKASTTNPKPVSTLVDVEMASPTPPVPTSTSNLSPVTPLQPNPPTLFERIRLSEDKTLQRLAPVSYSETGRPRVLIPDAVFQKGAELHKDFIICYYNGRAPPFTQIQSVFNHMWGKGKKLEIHNNPLNRTTIVRITSEYLRNKILEKSIWYVGDTMFHTALWSSTTSAQPPAMDSIKIWAYLNGVPLDLRHREGLSLVAGLVGDPKETDAFTLNLVSLSLSHVKVEVDLTKPLPSVVEFERQSGEVVEVLVSYPWLPPTCSHCKELGHIARNCLKLPVPPAAPPGKGKDSMRKPDKKETKEKSAPQVQYVAVKDHNTKKPLGEAESGSATVNLSSPRTPLSKDSQSLPFPMDTENSFSIPKSTTRKTHHKKPSQTSISSSPNPFAILNTPQSPPDPPRPSLKRSRSSPTLSPPLHQKTLTLPAPSSYPSEITKPATTTRSSGVAGPDSFIPNHTILTSPAPSSSLSETSNLATTTLSSGVAGPDSTPPNHIPQISDPNLSCPFSLGLNDSDKHRPFVSWLNSQHVYFGALLETHIKELTLPHIMSSICPRWNYASNHQADDDGRVILIWRDPLSVSIISQSRQSVTCEIKIPGLPAFIYTAVYAANTSQERTDLWAELTHLHSVFDLDSKPWMMGGDFNQILHSTEQSVPFDYNNSSAMYQFRDTLLQVGLFDSRFQGPSFSWSNKQHALPIAKKLDRMLLNYASIQSFPHATSFFQAPMISDHSPCLTDLAFPLSKAGTQPFKFLNYLTKHPNFHQVVQDAWIQAGSLCMDLASLCWKLKNIKRELRTLNKEIFSNIQERVQSLDSPSAETFLQESILSQKWHFLRQIEESYFKQKSRINWLSLGDQNTTFFQRMCQARASYNAIRSFLLASGEFIVDPLEMSHLAVGHFKSVLGPEANKLIKARDMIFPLIKCRVGNGLTIRFWNDNWSPFGSIYSFLNARSSRLGIPQRATLASLSDNGNWTLPPARTENQIELQAYLTTLVLTEEEDCYEWEIAGRTSNAFSTGEVYTYLRGSIATVNWSHLVWSSFGIPRQSFLTWLLMQLTGTKHSKRLTLLCWQSTIYFIWRERNDRLHRGNFRSPELIIRGLDRLIRNMIQSIRVENPRSSSAMMQDWLGSN >A09g517230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50572237:50572619:-1 gene:A09g517230.1_BraROA transcript:A09g517230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGLGQRTQGDVMGLIFGQPISEEEQLDGSHPKRCKRGSLCLFPLTGCACSPKTRVPGTENGTKFLRKSSAKIIPERKRERDRRLEKRTVCGGACLAGVF >A06p048130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25547157:25548313:1 gene:A06p048130.1_BraROA transcript:A06p048130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEKREDGKIYRNIPRPISRDLLQSFMSKKNKNMSVEDGEVEIELDLGLSLNGRFGVDPLAKTRLLTRSSSIPDFVVNDGRSELLSRTCSLPVETEEWRKRKELQSLRRLEAKRKRFEKQRNVRVLREKHKTGAGGGGREEKIVEEGSIGSSGSGSSGLSELDNTPPPPPPVQATTNKSVETSPPITAPAARNIIEDMPCVSTTGDGPNGKKIDGFLYRYRKGQEVRIVCVCHGSFLSPAEFIKHAGGSDVTHPLKHIVVNPSPFL >A10p011180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6513487:6514355:1 gene:A10p011180.1_BraROA transcript:A10p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPDIEDGFRARIAGPPPASPIEDRGTAVPIEDRDRSIPKRLRLCGVIVKELSVSLMWRKNDFIGNSGSLSGTSSLTPHILLQVTSRKDHSSRRTIGAGVDLTSFAKDSFSRYINVCYTFDCVHGPYE >A07p004680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2719484:2720341:-1 gene:A07p004680.1_BraROA transcript:A07p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNACDADTLLPPRKRLLAGFKKQNSNGSSSTSYSDGSSSSSASTDVQTHLDNLLSSQINDDNGRSPEELAQASKATAALAAKIAKAARAAANEKAFIASKAVAAAKSALELFASFPAETAKERSPRKNKQKKHVPVHVLYSKGVADEDLARRLNRAIDNSPRVLTGHRNKKQKSVASTMYDGHDVAGVVDSDTSTDDEIDRTRVNKKVLLCDDNALKEKTGEGSGSSLGKRRGRVKLKKLALSKCASKDQEKGIITKSPLAGSVNPLAQQEGSNGGVGQVRS >A01p027600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18781350:18782177:-1 gene:A01p027600.1_BraROA transcript:A01p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSGRLLQLRLAEELSDSDPKNSGGGSSESSLPVTLPLDSYASPHENPRQTHYPENLGEDGVNFYALGCESQTVTLWAR >A07p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3833415:3835614:-1 gene:A07p002430.1_BraROA transcript:A07p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSDLSDGDEVAEDGWFGGDSGPVPFPEISLPGTTPCGFVVSDALEPDQPIIYVNTVFEIVTGYRAEEVIGRNCRFLQCRGPYAKRRHPSVDSTVVSKMRQCLEKGIEFQGELLNFRKDGSPLMNKLRLVPIREDDEITHFIGVLSFTDADIDLSSFPDLSAKEIPRRSRSFSSALPTGERNVSRGLCGIFELSDEVIALKILSQLAPSDIASVGCVCRRLNEVTKNDDVWRMVCQNTWGTEATRVLESIPGEKRIGWVRLAREFTTHEANAWRKFTFGGTVEPSRCNFSACAVGNKIVIFGGEGVNMQPMNDTFVLDLGSTSPQWKSVLVNSPPPGRWGHTLSCVDGSHLVVFGGYGSHGLLNDVFLLDLDADPPTWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLNDTFLLDLSMDTPTWREIPVPWSPPSRLGHTLTVYGDRKILMFGGLAKLGTLRFRSNDVFTMDLSNDEPCWRPVIGYGSSLPGGMAAPPPRLDHVAVSLPGGRVLIFGGSVAGLASASQLYLLDPAEDNPAWRILSVKGSPPRFAWGHTTCVIGGTRLVILGGQTGEEWMLNEAHELLLATSSTTISSSRHEEKRIF >A07p019330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11505161:11505918:-1 gene:A07p019330.1_BraROA transcript:A07p019330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDFLSDYFSNNFDVSIRKRKKHKVMQTVNIKVKIDCDGCERKIKNAVSSIKGAKSVEVNRKMHKVTVSGYVDPKKVLKRVQTTGKKKAELWPYVPYTMVAYPYAAGAYDKRAPAGFVRKSEQAQAQPGGTDDKLMSLFSDENPNACIVM >A07p032810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27705632:27706832:-1 gene:A07p032810.1_BraROA transcript:A07p032810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSFLPLCSFTFYPRRAQDTLSSSLCHLVYPTHLLANFFPFKKLENMESIGVLMMCPMSSYLENELQKRFNLVRFWNFPVFLETHRNSIRAVVGNASTGADAELIDGLPKLEIISSFSVGIDKIDLGKCKEKGIRVTNTPDVLTEDVADLAIGLILALLRRLCECDRYVRSRKWEYGDFQLATKFSGKSVGILGLGRIGTAIAKRAEAFSCPVSYYSRRVKPDLGYKYYPTVVELAQNSDILVVACPLTDETRHIVNRHVMDALGAKGVLINIGRGPHVDEQELVNALTEGRLGGAALDVFEQEPHVPEELFPLENVVLLPHVGSSTVETENAMADLVVANLEAHFAGKSLLTPVV >A07p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12808947:12810900:-1 gene:A07p021800.1_BraROA transcript:A07p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRMMASLTVFIILIIAVMVTGREYDDTRDAEIDELLKKLNKPALKSIKSPDGDIIDCVHMKNHPIYDNPLFKNHTIQMRPSSYPKGWNKEASKTQNHSMVMQLWTINGKCPKNSIPIIRPRRENILQAESVERYGRKDPNSLPQPKPTNPPSNTTNEYAIVTVNSPQGKFHGAQADINVWKPHVQTRREFSLAQIWVMGGTYPSRDTIEAGWQVFQRFYGDDKPRFFIYWTADEYKKTGCYDLRCPGFVHVNRDFVIGAPMPKVSVMGGRQASFLTTIWKDPRTGNWWLRLGYYQILGYWPSSLFSNLKNGASEIHWGGEITNYKEDSQHTSTNMGSGRFGYEGYKKASYFMNLEIEEDGVTKPPESLTPFVTNQNCYNVIRNPFHPQLGASFYYGGPGRNAMCK >A02p039120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22321200:22322346:1 gene:A02p039120.1_BraROA transcript:A02p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAKQSPYEEFRLRRVEENKKRMEALNLPNLCRTLRLTSVKTSPMKKSNLAREKQLVVVRRSSRISNASSSSPVYKEASILIVVVQRVHLPRRVSKGRDLSNRVYVSEEKREEAFSKAEKLQADLRNRFPSFVKSMLQSHVSGGFWLGLPVHFCRSELQKQDGVITLIDEEGEEFETVYLAKRTGLSGGWLGFAVSHNLVYGDALVFELVRPTVFKVYITRVKSSGENPKM >A02p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16963943:16964890:1 gene:A02p032390.1_BraROA transcript:A02p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRTTSAPILNSWLQQHCSRESSPEPESLLQPRNRSLSLLASKSIDEHTGEMLHQAFSEHRESVLKSSYNDHDSSNVLPRRQRRSSLDESSHGTAYNGKILDPSSTLLTERLFSGSGLGEKVSDDEDHLEALVIGGAGGMGSSGVKICNGGDDSGSSGSEDATDVYYREMINLTPGNSLLTGNYAKFLKEVRGDMKKAEEYCERAILGNTNDGNVLSLYADLILHNHGDRKRAHFIRKVFVGCGG >A06g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7539852:7540481:1 gene:A06g502130.1_BraROA transcript:A06g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLQKACLVYFFHVLNTGRESDSDPEDLEHAEKLRQVKAVLEEEGNFSGIYRKVQLKPLKWNGEGEEERPVEALMILKYGGVLTHADRRAW >A04g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15867229:15868651:1 gene:A04g506880.1_BraROA transcript:A04g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIRCVYAHIHSIVLRRIVRDCTCLAPDKNRGVILIFKGIIESIPEVYALSNLTFCVLGILGLLVQGVVAAKAIRLNLSSYSSLSPCQTSVNQKQKTIVTFLTSCRKGKRRSLLTVKSVLNNTIPSFKDNGTAEEPSKILLDKLFARTHEHTNENLVYPPDEALSYSSLGGLGSDLQAALMALLKREEDLQDAERKVLSEKKKLNREKEGLEKRERVILQASLKHKSLQEELKPANVELASQAREIEELCKRH >A08p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23118844:23124840:-1 gene:A08p040710.1_BraROA transcript:A08p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQTKVNMYHIFQISGDVFRALGLKIKILITVIFSGLLIGSVILLSFSNNFNDQLLDATLNGSSESETLHDKFIGGLLKPGFDEGSCVSRYTQSLLYRKPSPYKPSPYLVSKLRSYEKLHKRCGPGTKAYKKATKNLGHDDENYASISVGKCRYIVWVAVYGLGNRILTLASVFLYALLTNRVVLEYSRCYGTMLNNHAISTNSTPRHLYLHILHDSRDEDKMFFCTKDQDMIDKVPWLIVKANVYFVPSLWFNPTFQTELMKLFPQKEAVFHHLARYLYHPTNQVWGMITRYHDAHLARADDRLGIQIRVFSDKAGYFQHVMDQILSCTQREKLLPKVVSHEESKLNMSESQKLKAVLVTSLYPEYADRLKNMFWEQPSSTGELIEVYQPSGERYQQTDNKLHDQKALAEMYLLSLTDNIVTSARSTFGYVAHSLGGLKPWLLYQPRDASAPDPPCVRSTSIDPCHLTPPSHGCDADWGTDSGKVVPFVKHCEDRDNDGLKLFDEFNMKKLEDNISFKISGMTKLTITFATCLVLSMVLLLPSYNISNLHKSHLTTTGGLLATGFDEESCLSRYHQSSLRKPSPFKPSTNLVSKLRSYEMLHKRCGPGSDAYKRATKRLGNNNVINSNGDDCQYVVWTPMFGLGNRILSMVSVFTYALITDRVMLVDQRNDIADLFCEPFPGTSWLLPLDFPLTDQIDNFNRTHSHCYGTMLKNHTVNTTTRPSHLYIDIFHDSRDHDKMFFCEENQAFIKNVPWLVVKSNLYYAPSLWLIPSFQTKLIKLFPQKDTVFHHLSHYLFHPINQVWGMVTRSYNAYLSRADEVLGLQIRVFSTPAGYFQHVMDQIVSCTQREKLLPELATKGSQNITKTPRLKAVLVTSLHPEYSDELKNMFLERPSLTGEIIEVYQPSGERVQQTDKKVHDQKALAEIYLLSLTDKLVTSTRSTFGYVAQGLGGLKPWILYEPRHNKAPDPPCVRAMSMEPCSLKAPISACQAETIKTTPFVKYCEDRITGIKLEAVLISLHATK >A03p039430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16375297:16376838:-1 gene:A03p039430.1_BraROA transcript:A03p039430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSFSRFRSSSGDNGGGGVQMTHPAGVNLDKFFEDVESVKEELKELDRLNDTLRSSHEHSKTLHNAEAVKDLRSKMDADVGVALKKAKMIKVKLEALDRSNAANRSLPGCGPGSSSDRTRTSVLNGLRKKLKDSMDSFNRLRELISSEYRDTVQRRYFTVTGENPDERTLDRLISTGESERFLQKAIQEQGRGRVLDTINEIQERHDAVKDIEKNLRELHQVFLDMAVLVEHQGAQLDDIESHVGRASSFIRGGTEQLQTARVYQKNTRKWTCIAILILIIIIVVVVLAVVKPWNNGGGGGGGGGQPTSGQGSPPNPPQARRLLLR >A03p053200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22823035:22824833:1 gene:A03p053200.1_BraROA transcript:A03p053200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFSSCYFHWESQFKTENRSAMTLLVYLSQVIALSILFIPVVCLAGKNIPASFVFGDSLVDAGNNNYLTTLSKANYDPNGIDFGSATGRFTNGRTIVDIVIQALGSDELTPPNLAPTTRGSLVLKGVNYASGGSGILNSTGKLFGDRINVDAQLDNFATTRQDIISWIGESAAAKLFRTAIFSVTTGSNDLINNYFTPVVSTLERKVVPPEDFVDTMISRFRLQLTRLYQLGARKIVVINVGPVGCIPFSRESDPTAGNECSVEPNEVAQMYNLQLKTVVDDLNANLQGSRFVYADVFRIVYDIIQNYGSYGFESEKVPCCSLAGKVGGLIPCGPSSKVCVDRSKYVFWDPYHPTEAANIIIARRLLSGDTSDIFPINIRQLANLKLNT >A10p035240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20291761:20293380:1 gene:A10p035240.1_BraROA transcript:A10p035240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEEVSDGSAWSREDDIAFERALANHTDESEEKWEKIAADVPGKSVEQIKEHYELLVEDVDRIESGCVPLPSYRSSPEDAGDDGGSSKRGGNSHDQGSKAKADQERRKGIAWSEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGDVDVSTPQGPITGQNNNNDNAGSAAVAGGGNKSAKQPASQPPPGPPMYGTPTIGQPVSGPLVSAVGTPVNLSAPPHMAYGVHSAPVSGSVVPGAPMNMAQMPYTMPRTPTAHR >A01p052440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29448616:29450794:-1 gene:A01p052440.1_BraROA transcript:A01p052440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCNVPALIEAQVEMGSANEVEQKTLFKLEEDAKQAKEASLMEQGGSLSPTFPPHTTKSPKNTVLKSIRIVILSNKLNLLLPFGPLAILVHYMIDSKGWVFLLSLIGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNVTELIISIFALKNGMIRVVQLTLLGSILSNMLLVLGCAFFCGGLVFHQKDQVFDKGLAVVNSGLLLMAVMGILFPAVLHYTHSEVHAGSSELALSRFSSCIMLIAYAAYLFFQLKSQSSSYSPLEEETNQNEEASSDEDPEISKWESIIWLSILTAWVSLLSGYLVDAIEGASVSWNIPIAFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFAVPCCVVIGWMMGEQMDLNFQLFETAMLFITVIVVAFFIQEGTSNYFKGLMLILCYLIVAASFFVHEDPQQDGGI >A06p047130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25079262:25080128:1 gene:A06p047130.1_BraROA transcript:A06p047130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQESRASRGIRRRKAVIDLNDVPRDHEGTTSASVREAPTVVPSGASVPSQPVPTMIDVDAIEDDVIESSASAFAEAKSKSAGARRRPLMVDVESGGTTRLPANVSNKRRRIPPNQPVIDCEHVPVDVRESSPKPPPPPPEEPKFSCPICMCPFTEETSTKCGHIFCKGCIKTAISRQAKCPTCRKRVTVKELIRVFLPTTR >A03p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1538971:1541411:-1 gene:A03p003500.1_BraROA transcript:A03p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDRFTLGEKRNPSVDDSSSQNPQRRHDFRLSKCRKLDGGNDAVSREPGSNTSVVEKFRARLEICSPDSFSVTPVQLQGFRFPEKQDCLRQLNEILSEAMPSHYTQNQDGGKAGVYKIRDYSMVLGRLRRSKLVEVEEIPWITFAVVEKLSQSYVSGRWEPCKHEHFTEEKVEELIGNLPRKLVDSLLPFQVDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFMSEGSILVVCPAVLRYSWAEELERWLPFCLPSDIHLVFGHQNNPAYLPKWPKVVVISYTMLQRLRKTMLEREWALLILDESHHVRCSKKNSESSEIQTVLDVAEKISNTDSVTRPGLLGKNKYEFAKTYCEVGLVRGFFKGYSLTGVERAAKPNSDDKKTEAACFNTVTPKT >A10p000010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8539:9399:1 gene:A10p000010.1_BraROA transcript:A10p000010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKENSSLMSFLLLLSLSFLASSKSHGNPASEMVNVLNQNRTAWKLGKLHESPGLGCIALQYAELCEGDCNVNNNTLTCEPPEDDFTQVFAPNCGVELPTFGTITGHVLGCGTHYATPEASFSDILFRDNKSLLVLRNRSHTEVGVGMARLHKGTYFWCILFSDGGTNSSFSLEANGRGIKQRKGCYSGSAFSCSNAHMISMRLLNSFLGILLSIYTLAITV >A02g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15993755:15995471:-1 gene:A02g505150.1_BraROA transcript:A02g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A08p015530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10180688:10180927:-1 gene:A08p015530.1_BraROA transcript:A08p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKILSMTWIMMMILTLIVIEGEAKSEMECNVICRPHCKAFGSAGECSECHKKCNLSPPSLMTKILKNQNNSKQDDLIN >A03p003660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1606865:1607347:1 gene:A03p003660.1_BraROA transcript:A03p003660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine-zipper 75 [Source:Projected from Arabidopsis thaliana (AT5G08141) UniProtKB/TrEMBL;Acc:F4K9L7] MEAEDQHPSHYFNLFEGDSLKNDHFNLQNPQNDHNHDHDQDLHVHDHGTHPQASVVMDQEKRLRRMVSNRESARRSRMRKRKQIQEMKMHVEQLTVDANYNLYNKVISLLEKTRQILHENSQLEEKLSYFHQYFMEDRMVLPGNNIDCDITRQYLHQPTW >A01p058990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33704033:33704852:1 gene:A01p058990.1_BraROA transcript:A01p058990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLRLAPSPTALSPTTGGFSPAKKQCRIPSYSGVATTTRRIGFCSLDYVKRGDSSVVRCSLETVNVSVGQVTEVDKDTFWPIVKAAGEKIVVLDMYTQWCGPCKVIAPKYKALSEKYEDVVFLKLDCNPENRPLAKELGIRVVPTFKILKDNQVVKEVTGAKYDDLVAAIETARSASSSG >A04p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1347010:1348883:1 gene:A04p002810.1_BraROA transcript:A04p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNLEKIKTKKKLTFIGLGLGQILSLLCTCNVFTSSELARKGYNVPTTQSFLTYTLLAIVYGGIMLYRRPTIKGKWYHYFFLALADVEGNFLVVKANQYTSITSVMLLDCWAIPCVLVLTWVFLKTKYRLMKITGVVICILGVVMVVFSDVHAGSRAGGSNPVKGDILVVAGATLYAVSNTTEEFLVKNANTVELMTFMGFFGAIISAIQICIFERGELRAIDWSAEGAILFLRFAVSMFLFYSLLPVLLKTSGSAMFTLSLLTSDMWAVLIRVFAYHEKVDWLYYLAFASTAIGLIIYSVKEKDEEGQKDEQRKLLDEEGRVSLIATSTGEP >A02p009610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4051646:4053313:1 gene:A02p009610.1_BraROA transcript:A02p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSIPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEDEGEEY >A03p042540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17794955:17797030:-1 gene:A03p042540.1_BraROA transcript:A03p042540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGDLASGSDSGSGSGMKKKQKLDDFVDGEHKPVDDSSPQEEEEEEDEEVVEKRRYRSMAKVAIGYSINPTVENSTITDMVHIVCPTFTLDTSKLQNAILQLYQEGKEKIKNLLKDAQGKLTLSCEWMVLGDWKWTSEDIVGPILHQDFMVISVYFADENFKMRKWILSYHPHPPEDMKVKDVYLDSFKNVVKDYEIESKVSTLLVPNNVDLGLDGFRKWIEERGPNVFLIYCCSDMFRLMVDDMYKDVRVSCLMDRVRILLGWESDGRLYPTHWTYTLNKLQTAVDMEAKDVFEDEKYDYYDYPSDEEWIRIRTFCKLTGCIYKVAKELFDGEYPTANVYFHLLVELRLMLNQEVKNGDGDYFLGKAKEILERFEKYWDEMFLVLATASVLDPRFKLKYLDFYCSKSSDQGSKAETVVDYLRTLYSCYAASDIRPLPERAAEPTPRCVFYMFEDELEEEEEKKPDGYGDFAFFQEYLKFEGCCSREFHGLELDSYFKEPVLEWKKDFDALEWWRKESSKYPILSRVARDILSIPISRGTSTRAYVADKRECPEFIVALEGKLLNAMMCGESWPATLIWPSRYFEM >A02p023990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11969266:11973394:-1 gene:A02p023990.1_BraROA transcript:A02p023990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESRTYQNIVKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIHHTESNDEIFGVLSFIFWTITLVPLFKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPSCQLADEQLIEYNGSSLEMAAQSGFAARLKSTLEKQRVLQKVLLVLALIGTCMVIGDGVLTPAISVFSAVSGVELSTAKEHHKYIEVPAACIILIGLFALQHYGTHRVGFLFAPVILLWLMCISAIGVYNIIHWNPHVYQALSPYYMYKFLKKTQSRGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLILAYMGQAAYLSQHHAIASEYNIGFYVSVPEKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEINWILMILCLAVTIGFRDTRRLGNASGLAVITVMLVTTCLMSLVIVLCWHKNIFFAIAFVLFFGTIESLYFSASLIKFLEGAWVPIALSLCFLAAMCTWHYGTLKRYEFDVQNKVSVNWLLSLGQTLGIKRVRGIGLIHTELVSGVPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVRPEERFLVGRVGPKQFRMYRCIVRYGYRDVHKDDIEFEGDLVCSIAEFVRSEAETAVVETNGEGERMAVVGTCSTYMQGFEEGSDVDEMDKPGPSEILSPKLKKKKSKVKKKVRFVVPETPKIEKETREELMELTEAREGGVAYIMGNAYMRAKHGSGLVKRLAINVGYEFLRRNTRGPRTALTSPYASTLEVGMIYHV >A02p054560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33116227:33119736:-1 gene:A02p054560.1_BraROA transcript:A02p054560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHGLALVFLLAAASCKADEEITCEENNPFTCSNTDILSSKNFGKDFIFGIEGGRGRGVNVWDGFSHRYPEKAGSDLKNGDTTCESYTRWQKDVDVMGDLNATGYRFSFAWSRIIPKGKVSRGVNQGGLDYYHKLIDALLEKNITPFVTLFHWDLPQTLQDEYEGFLDRQIIQDFKDYADLCFKEFGGKVKHWITINQLYTVLMNIIGLYTVPTRGYAIGTDAPGRCSPMVDTKHRCYGGNSSTEPYIVAHNQLLAHATVVDLYRTKYKFQKGKIGPVMITRWFLPFDESDPASIEAAERMNQFFHGWYMEPLTKGRYPDIMRQIVGSRLPNFTEEEAELVAGSYDFLGLNYYVTQYAQPKPNPYPSETHTAMMDAGVKLTYDNSRGEFLGPLFVEDKVNGNSYYYPKGIYYVMDYFKTKYGDPLIYVTENGFSTPSSENREQAIADYKRIDYLCSHLCFLRKVIKEKGVNVRGYFAWALGDNYEFCKGFTVRFGLSYVNWEDLDDRNLKESGKWYQRFINGTVKNAVKQDFLRSSLSSQSQKKRFADA >A04p003520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1733034:1735370:1 gene:A04p003520.1_BraROA transcript:A04p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRPPPEDFSLKETRPHLGGGKLTGDKLTSTYDLVEQMQYLYVRVVKAKELPGKDLTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRIQASFLEATVKDKDVVKDDLIGRVVFDLNEVPKRVPPDSPLAPQWYRLEGTKGEKVRGELMLAVWFGTQADEAFPEAWHSDAATVSGTDALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDKGRYPEVYVKAIVGNQALRTRVSQSRTINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGRCAIPLQHLDRRFDHRPVNSRWFNLEKHIMVDGEKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLEVGILNATGLMPMKNKDGRGTTDAYCVAKYGQKWIRTRTIIDSFTPRWNEQYTWEVFDPCTVVTVGVFDNCHLHGGGEKNGGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMYMYSVPLLPKMHYIHPLTVSQLDNLRHQATQIVSMRLTRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMGVLSGLIAVGKWFEQICNWKNPITTVLIHLLFIILVVYPELILPTIFLYLFLIGVRYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAVVLYVTPFQVVALLVGIYVLRHPRFRYRLPSVPLNFFRRLPARTDCML >A09g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4192746:4193217:-1 gene:A09g501260.1_BraROA transcript:A09g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLRYTPRRFVVHPKKKLLVIVEEREAARKECFEAGGVERMVNAVQIRWRMVRTMRIRKTRSVMSSPKPSQRNNEAAYSVCTVNFHDKEYGTLMAEQFPTLPIDLQRKIAVELDRTPTEILKKLEDARNKII >A10p011410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11822089:11824928:-1 gene:A10p011410.1_BraROA transcript:A10p011410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVKNVQGDVLPRSSSGLLDDDGRPKRTGTVWTTSAHIITAVIGSGVLSLAWAIAQLGWVAGPVAMLLFSFVSYYTSTLLASCYRSGDPVTGKRNYTYMDAIHSNLGGIKVKLCGIVQYVNLFGTAIGYTIASAISLIAIQRTTCHHNNGGKAPCPVNGSAYIIGFGVLQILLSQIPDFDQLWWLSLVAAVMSFGYSTIGLGLGISKLVENKEIKGTLTGVSIGTVTPTGKMWRTFQALGNIAFAYAYSMIFVEIQDTLKSPPSEEITMKKAALVSVAVTTFFYTLCGCVGYAAFGESAPGNLLAAGGFTNPYWLLNIANLAILIHLIGAYQVYAQPIFAFVEKKASKMYPESKFVTKEIEIPLFSGSKPFCLNFFRLVWRTVFVITITLISMLMPFFNDVVGLLGAIGFWPLTVYFPVEMYIAQKNLPSWSRRWLCLQVLSLVCLIISLAAAAGSVVGIVSKIKTYKPFQSDF >A01p006110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3140947:3144808:-1 gene:A01p006110.1_BraROA transcript:A01p006110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable potassium channel AKT5 [Source:Projected from Arabidopsis thaliana (AT4G32500) UniProtKB/Swiss-Prot;Acc:Q9SCX5] MDNKKKKVWFWPEKRGGGGVSIKEAEDIAAEHVSTEETMSHYSFSKGLLPPLGVNSGSTRRVKLRFFIVSPFDPRYRAWDWFLVLLVGYTAWASPFEFGYLQTPRAPLSIIDNVVNGFFAIDIVLTFFVAFLDKATYLLVDDPKRIAWRYVSSWLIFDVVSTVPYELFGSLLHNTIQGYGIFSMLRLWRLRRASNCFARLEKDRKYNYFWVRCTKLLLVALFVVHCGACFCYSIAAHYPDPSMTFMALAEPNWTQKSLLIRYVTAMYWSITTFSTTGYGDIHGNNASERAFILFYMIFNLGLLAYIIGNMTNLVVHVTSRTRNFRDTIQGASAFAQRNKLPERLQEQMVAHLSLRYRTDSEGLQQQEIIDSLPKAIRSSISHYLFYEVVDKIYLFHGISNDLLFQLVSEMKAEYFPPKEDVILRNEAPTDFYIMVTGAAEIIAPVNGVDQVVGEAQPGHVFGEVGVLCYRPQLFTVRTKRLSQLLRLNRTAFLNLVQANVGDGAIIMNNLLQHLKDSEDPVMKGILADTEQMLAQGKMDLPLSLCFAAARGDDLLLHQLLKRGSNPNETDKNGRTALHIAASKGCHYCVVLLLEHGADPNIKDSEGSVPLWEAIFGRHEEISKLLWDNGAKLSPDTVAHLSCLAVEQSSLDTLKDIVKYGGDTSLPDSNGTTALHRAVSEGNMEIVEFLLEHGADMDMPDVYGWTARGLAEHQGHEDIKALFHSQRPVEKKPKSVSGTPENVTPLMKHSSEPVMIHHRSRESMPFLRGGSQRRKLSNFKNSLFGIMSAANTGDEGEAATLSEGVGGVYPARVTISGEASSAGKVVKLPNTLEELIEIGEKKLGFVATQILTREGAHIDDISLIRDGDFLLLLKVSC >A06p057800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:30019413:30020504:-1 gene:A06p057800.1_BraROA transcript:A06p057800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEIRAQKKQPPSPISSLPEEIFVDIVARVSRSYYPTLSQVSRRFRSLVASPELYARRSVLGRTEQCIYVAISKDQTSDIHWFTLCIKPNGGGGTSDHRLVHIPSLPPMPLQGSYVAVGSSIFVMGGFQDWSITSSVSLIDCRSHTAQPLADMPKAVAGSVAQVIDGKIYVIGGANGHSPMKSRSRTMMEFDIETEVWKLKSRLDWEQGKVWFSSVAMGGKIYMRTCYNSFVCDPVTSPDHFVKDEVLHSKEWLGSCVVEDVLYYFDVRGKCLRAYDPKLRSWGVVNGLEGLLPRGCSWSKAASYGGGKMVLFMQTEEIWCAEIAVERRQGGEIWGTVEWCEVVLGGNFRIMDCVPVAVLL >A09g502990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9854524:9854802:-1 gene:A09g502990.1_BraROA transcript:A09g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSIFKRREINQLSDPTFAPFGNDDLNMLQYGSRLEVRLFYSEYQLGFHLSFLLRTQSGIHDPVACLFFSACRISSCQGSAFTCDLSQHH >A03g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:636374:639615:-1 gene:A03g500190.1_BraROA transcript:A03g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPFDSGDPMSTRSEIDQVWNQMQQGVRRMRPLVVEQQPERDMRFPKPAMVVQERCSRSSYLERMEKYWTERWIEIALAGGTSMEEIEEIRAFVNYDRPVRTLEEERKARLEMLEECRRMIEREDEEDAAKKSREEETFAAEDSDLGHVEGSLKSLCPLLEPIQLTLERKNELADDHQVASQETQNGKTLICWDRKVEPYFERGKDASKKLLETKREKCAHQVFEQMAEGDVRETTNEKEMVSKQVPELAKQLRSHVTDLKKREKWKKHKAKQKQEISDTCFSNQIVFSGNLKSKEKTFTKFRGWRFRALEIDSGTLGIKKKLVTKKEVKRKYKHQKQWKHVKKRLPVISVWCKRNHCEKSYFGSVKLLQLVGDVKWDWVLLLAVKTKKAMSRRELLTLGMLLYFVVSMKYQMKWKRQRIRCKYRNMRISWEHVAALVNFIMKLMYTKRHLWNVCKRKQWRNQVTCSINELFVVIIPWKYVMVVMTHLFTGRKRAKFKKNLISNRLGWLKMRSPPGMSGKKTSFKSFCGFVFLLHISENQIRKSVLPPHDVEHLGSETVHGIGNCWKVKHVTEQYELLLGRKGLEEQKLQMGNMAKRLQLVVVMIQRIFKGAVGIKNTREAVEYKLCGFVTLFQLGVARKRNKFKNLGRVAAMCGENLNMNAALDSQIYCVIDDFAYIEMGNTNATSRTQWLKTAVNIKLKRKEQGEDLRIHIFCLRDSVFSVREKNKHVQPEELLELRAAPIQARERFPKTHATKKKVDELKNCEYGVCFVALYLTGQKMVMGCKFREKGRFVKETLGSFVLEMVEVTAQGDDACATKVRDTRAKPIEKVKVSQGFSAKTNSIEMTDNARIDLAVQGLCKKFKEDTERKQPERIGYTYKVTAAVDGNVAF >A09g514390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42939986:42943590:-1 gene:A09g514390.1_BraROA transcript:A09g514390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNQYRLIRNLIQIQFQYSPYGYIRNVLNRFFLMKRPDRNFEYGIQRDLIGNDTLNHRTIMKDTINQHLSNLKKSQKKWFDPLIFLSRTERSINRDPNAYRYKWSNGSKNFQEHLKHFVSERKSRFQVVFDRLCINQYSIDWSEVIDKKDLSKSLRFFLSKLLRFLSKLLLFLSNSLPFFFVSFENIPIHRSEIHIYELKGPNDQPCNQLLESIGLQIVHFKKLKPFLLDDHNTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRKNRRKSFDNTDSYFSIVSHDQDNWLNPVKPFQRSSLISSFSKANRLRFLNNPHHFCFYCNKRFPFYVEKARLNNSDFTYGQFLTILFIHNKIFSSCGGKKKHAFLERDTISPSSIESQVSNIFISNDFPQSGDERYNLYKSFHFPIRSDPLVRRAIYSIADISGTPLIEGQRVNLERTYCQTLSDMNLSDSEEKSLHQYLNFNSNVGLIHTPCSEKYLQRKKRSLCLKKCVDKGQMDRTFQRDSAFSTLSKWNLFQTYMPWFFTSTGYKYLNLIFLDIFSDLLRILSSSQKFVSIFHDIMYGLDISWRILQKKLCLPQRNLISEISSKSLHNLLLSEEMIHRNNESSLISTHLRSPNVREVLYSILFLLLVAGYIVRTHLLFVSRAYSELQTEFEKIKSLMIPSYMIELRKLLDRYPTSEQNSFWLKNLFLVALEQLGDCLEEIRGSGGNMLWGGDPAYGVKSIRSKKTDLKINFIDIIDLISIIPNPINRITFSRNTRHLSHTSKDIYSLIRKRKNVSGDWIDDKIESWVANSDSIDDKEREFLVQFSTLRAEKRIDQILLSLTHSDHLSKNDSGYQMIEQPGTIYLRYLVDIHKKYLMNYEFNTSCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRSILVIGSIGTGRSYLVKYLATNSYVPFITVCLNKFLDNKPKGFFLDDIDIDDSDDIDASNDIDRELDTELELLTMMNALTMDMMSEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLALGLLVNSLSRDCERCSTRNSLVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFHTNGFESITMGSSARDLVALTNEALSISKIGSGSWDPFLSDRKGCCTKCTYK >A03p054150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23232502:23233713:-1 gene:A03p054150.1_BraROA transcript:A03p054150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTHHPSRFLFVNNNGQEDNNCSLQPQDTHFTLIKAGVVDSRKRSRDVSSVGFIQFSSVAAQMNPPPPKPPQVIGMSELLQSHNRKTLNMVSTGLLRSSHEQSQNGEQLLSSSSMLPGDLAGESKRQRDELDSFIQTQGEELQRKLALYGESRYVELLYAAEELAGRRVREKEAELEKATRRHAELEARAAQLTEEARTWQLRAATREAEVSSLHAHIQKVIASQATAEKQRTIGGETEEAEDAESVFVDPERIELIGPCCSICRRNSATVMALPCRHLVLCKGCDGGGDVRVCPICLAVKNFGVEVLFS >A08p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10711263:10711605:1 gene:A08p016450.1_BraROA transcript:A08p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEDLTTLPSQEFREQLEKTQATGTEAISDPVDAEEGLKKVQDDLQDFSEEDTEVVIIAQGQENQIQETDKQIVTKEE >A09g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1419892:1420294:-1 gene:A09g500340.1_BraROA transcript:A09g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFARVASDGVVLFAGLLVNQKSKVAEFSKFGRPAKMCSTSWWDRFYNQISLEERRTKQEV >A09g513360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40684970:40693067:-1 gene:A09g513360.1_BraROA transcript:A09g513360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVIPDVIDVAETNTFNLTSQWYDWGSEDPFYGLPHEDPKDLIKRLEELTSANMHDEISADHIICKIFPYCLSRDAFSWFSKLQPRSLTCWEDIKEAFIGKFFSEAVETRSKRLDYMIKEREKGIMISMSQIIDFIYSEENGDIGTPTTHVKQSNIQVHHADESKQKDELNREKLVNHDTVEDDEYHVSGEHSKVEEADTKDPTSASIDSSNSESIDIRTSETIDTNICHRSIPSTIPDATTVSSSSINTLTIISIVTPTSSSIDPSTLEMNDAAFCHRLVPLEIPERSSCPQDIANSTQESIDESRCDLTSDVDKVTLKDFLELEEWLRQKLDDQPSSEKGLENSLKADDIDQHKHDEIDRHPPYDIYLQSPSNIDQHTPDCIARYPPDCIDRYSWLDELSGYPIEPGPIEEIMHMSKTSHIDVPEHLRPPICAEEAVGICKRVKKIHDPVKIMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQHHVEAYQRGLRFRNEVAEVPAEAPSSDMSKSELIDTNTSSSIDTDQIPSIDTRCESEQNEYELCGNIFYGDTTTHSDKSGGKKWRNWKKKKRINEGSQLSLIPHFSDDARKSRVRLHKSLGKKERNWKKRKQTKRGYQLPLTPYFSDSIRKSKVRSKCFSHPYAKLKALLIPSTDETMSLSIDSTSSTSIDCLFIVSIDIDVEQIVLKILKWINLSTMFTLAEIRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >A06g501250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4532505:4533484:1 gene:A06g501250.1_BraROA transcript:A06g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIEQQSKQSTYVSSDQTPAAKSSGFPFYSPSPLPSLFKPSPASASSTPLRIFKRPFPPPSPAKHIRAFLARCNGSTNKPNEVTIPGNSGRWLPSPGPLSHQFEAESFSSSRDLRSANQVSSRRLSFSSSFSDGDHSFRRGGGGFEHAYSFVGMHCIFDQCKSSVTVLKFGHMSSDLLAYGASDGTLTVCSLSQEPSVLKQLTGHSKDVTDISAMDSILSNTIGHTF >A06p055800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29098413:29101266:-1 gene:A06p055800.1_BraROA transcript:A06p055800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 4, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G44780) UniProtKB/Swiss-Prot;Acc:O48582] MAAMLSHRLRRVLLALPSSFHRSFTPTRASHFPHVSSLLRQTLAVKQPTELTQSPARLLSTTQYQYDPYTGEDSFTPDNEGCDFNHWLITMDFPKENPPSREEMISIFEQTCAKGLGLSLEEAKKKIYAICTTSYQGFQATMSIGEVEKFRDLPGVQYIIPDSYVDVENKVYGGDKYEDGVITPGPVPVPTKEGFDSLEKESASEEDKSQEAQKQPDQELTSGQVLDQESEKPPEQRFFKQDQESRMPPEQERSFKQNQGTLTPIQWRPTPRQWKGRGEVSTSRQGPSFQWSFNRDQGNPTLGQRQVQGNQIPSFQGSFNQGQGTPFVGKVQDQESQTPSSQRSYNQGQGTPMSGQGQGSQAPSYHMGYSQGQGAQAPPYQGSPSSYGQGAVANYNQGPPQGNFSQGTHENYNQMGRGNNTLQSGGNYGPSQGAGSPGFGHGQGQGGGQLLSPYQGSYNQGQGTPMSGQGQGGQIPSYQIGYGQGHGAQVPPYQVNYNHGTPGNNGQWAFVNYNQGPPHCNFLQGPHQNYNQGGQWNYSPPNGVNYGPPQFGNQMPQYQLSYNQGQGTPFPGQWQCPGCGMLLYQGSYNQGQGTPNHGQWQGQGFAKMPSYQASYSHSQGASVPPHQGTPSSSGQGTSANFNQGVPVNYNQKGEGNYNLQSGGSYGITNTHGSAGFSGQGQNQTFRQENQRNVAGDWSNNSNPKDPIETGKHMMYVRVKDSKESKD >A01p047600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26846495:26847450:1 gene:A01p047600.1_BraROA transcript:A01p047600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMATLSRRLYRSLLYHPRFSQSLRSLCTNNNVDELSSSISDTESPHEPNASDPNHRFSGEDRVMEEGPLENGLDAGIFKAILVGQVGQLPLQKKLKSGRTVTLFSVGTGGIRNNRRPLINEDPREYANRSAVQWHRVSVYPERLADLVLKNVEPGTIVYLEGNLETKIFTDPVTGLVRRIREVAIRRNGRVVFLGKAGDMQQPSSSELRGVGYY >A03p062710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27213021:27214698:1 gene:A03p062710.1_BraROA transcript:A03p062710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRELGLHKPVISLKEQLARTTLRNLRSQGHTYVEIREDGKRFVFFCTLCLAPCYSDAVLLGHLNGSLHKERLSCAKITLLGEKPWPFNDGVLFFDSEIKEEEEKAQISGGEGVAFPLLDWCDDERFAIVVYDENRGQNGDDNQPADEIGRCADNLLISGLLIKERTVDLEAKFIGFGRVAARLFETKGRSTWIDKLWCEWLGEEGASDEEKASVPEHDFAIVTFSYFYNLGRLGFLDEPSRLLTSSPAESVKGEDNNGRKRKKSFSDPEDTSDSLCSQYDSSEEVSSRALIANYDDSVVDKRIIKNRKVRRELRKQQRINSERICEVCKQKMLPGKDAAAILNMKTGKLACSSRNLLGAFHLFHVSCVVHWFLFCESEILGSKIVCGKGKKRCTKQSGANTNGLVSNVSWQIFSVFCPECQGTGINIEGGEIERDTFPLSQTWRFGVKVSEGRKAWVKNPEKLENCSTGFHFPQQDKESVKGQEERVQSMKLVRFYRVEL >A03p019510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7961558:7963137:-1 gene:A03p019510.1_BraROA transcript:A03p019510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFKSKPHHHQWQHDINSPPLPSSASHRSSPFSEYGQVGDANVFYWFQNRKSRSKYKLRLLHKHSLSQPQPQPQPQPQPSSSSSSSSKSTKPHKNKDKNNTNLSLGGGQMMGMFPSEDAFLFPVSTVGAFGGITASSQLGFLSGDMIEQHKPAHPTVEQCTGYLLSEIMNGNASYGTHHQQHLSDKEDEEMSMKMLQQPQPYAATSHQITSYNNNNNIILHVPPITPTTSTTTTTSTTTSDPLDIVLSTSDQLQVQVCTRIRVFINEMEFEVSPGPFNVRDAFGEEVVLINSAGQPIVTDEYGVALQPLQHGASYYLVLFPLLVSLIQMSNFCEQK >A07p014500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9049751:9051343:1 gene:A07p014500.1_BraROA transcript:A07p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLVKTPLRKLRRRGTKRSWRLKQKLKLTWKSIKIQVRSHLPGAFLSTKKRLTHVKSRNQEQELAQVARKICKISNDSTSSLAFLLQLPKYSATDFLDRGDLMTPAASPRENISKMWRELHGSKNWENILDPLHPWLRREITKYGEFVESVYDSLDFDPLSEFCGSCRYNRNKLFEELGLTRHGYKVTKYIYAMSHVDVPQWFLSSSLGETWSKDSNWMGFVAVSGDRESLRIGRRDIVVAWRGTVTPTEWFMDLRTSKEPFDCESEHGKRVVKVQSGFFSIYNSKSELARYNKESASEQTMEEVKRLVKFFKERGEEVSLTITGHSLGGALALMNAYEAARDVPELSGNVSVISFGAPRVGNLAFKERLNSLGVKVLRVVNKQDIVPKLPGIVFNKVLNKLNPITSKLNWVYRHVGTQLKLDVFSSPYVKRDSDLAGSHNLEVYLHVLDGFHRKKSGFRVNARRDVASVNKSTDMLLDHLRIPECWYQVAHKGLILNKQTGRWVKPVRAPEDIPSPLSTGPKLIYSS >A10p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22083241:22085608:1 gene:A10p039970.1_BraROA transcript:A10p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIRYPSFLFLFLYLLGFLGQSSVDAAVKKYQFDVQMKNVSRLCNAKPIVTVNGMFPGPTVYAREGDRVIINVTNHVQYNLSIHWHGLKQYRNGWADGPAYITQCPMQTGQSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPQPGKPYPFPQPYQETNIVLGEWWNGDVETAVNQANKLGAPPPMSDAHTINGKPGPLFPCSEKHTFVVEVEAGKRYLLRIINAALNDELFFGIAGHDMTVVEIDAVYTKPFTTKFILLGPGQTTNVLVKTNQSPNRYFMAAGPFMDAPVTVDNKTVTAILQYKGVPNTVIPILPKLPSPNDTSYALDYNQKLRSLNTPNFPALVPLKVDRRLFYTIGLGINACPTCVNGTNLAASINNITFVMPKTALLKAHYFNIPNVFRTDFPDRPPKPFNYTGVPLTANLGTSTGTRLSRVKFNTTIELILQDTNLLTVESHPFHLHGYNFFVVGTGVGNFDPKKDPAKFNLIDPPERNTVGVPTGGWAAIRFRADNPGVWFMHCHLEVHTMWGLKMAFVVENGNTPELSVLPPPKDYPSC >A08p003970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2297499:2305124:1 gene:A08p003970.1_BraROA transcript:A08p003970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRLIRKNQRLSCFVLKQDKCLAKPPTRDFTGSPSYRLTNPLTNHFTRKHPSYFLIASGNSLSLSQNSQFRRFSSEGDGAGEDNLSKEKTGKEKSVLGGVNRFDSHAQLGKQDQIDWLNNEKLASESKKESPFLNKRERLKNEFSRRIQPWETIQLSFESFPYYLHEHTKDTLVECVSSHIKQRNVASTYGSRLGSSSGRILLQSVPGTELYRERLVRALARDSQVPLLILDSSVLAPYDFADDYNEESESDDEAAESDDETAESEAEEETDANNDEEGSSEAKVEGSDDEERYLEISKEVLKKLGADIEDIEKRMSGQLLGSSEVPKAIAVDHSDKAKRPLKKGDQVRYVGSAKKDEGKRRVVLGKISTSDGQKSAFTVIPGRPLSKGQRGEVYEVSGNRVAVIFDSGDNKTSEGSEEKPAEQPQTLPIHWVDAKELKLDMDMQAVDGYIAMEALNEVLASNQPLIVYFPDFSQWLSRAVPKARRKEFVDKVQEMFDKLSGPIVMICGQNKIETGSKEKEKFTMVLPNFSQFAKLPLPLKRLTEGLAGSKRSEENEIYKLFTNVMRLHPPKEEDALGLFKKQLGEDRRIVISRSNINELLKVLEEHELLCTDLFQVNTDGVILTKQKAEKVIGWARNHYLATCPEPLVKGGRLSLPRESLEISIARLRKLEDNSLQPSQNLKDIAKDEYERNFVSAVVAPGEIGVKFEDIGALENVKKALNELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSYATKLAPVIIFVDEIDSLLGARGGSSEHEATRRMRNEFMAAWDGLRSKDSHRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAENRLKILKIFLTPENLESGFEFDKLAKETEGYSGSDLKNLCIAAAYRPVQEVLQEEQKGEGADASPCLRPLSLDDFIQSKAKVSPSVAYDATTMNELRKWNEQYGEGGSRTKSPFGF >A03p028130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11752520:11755226:1 gene:A03p028130.1_BraROA transcript:A03p028130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPN13 [Source:Projected from Arabidopsis thaliana (AT2G26590) UniProtKB/TrEMBL;Acc:A0A178VY31] MSSSEAFPVMQEIMLEFRAGKMSLQGTRVVPDARKGLVRIARGEEGLVHFQWLDRTLNAVEDDQIVFPDEAIFEKVNQSSDRVYILKFNSDDRKLFLWLQEPRAEGDADICSAVNQYLNQPLGTFCSPEFGEGDAVAIPEEVEEMAEDDVSSRAGNLVVPNVSTEVSDVSSSSGPVKLADLQRILNNLSSGPAGITGDEDEGLALGDILKPELIMLLLEMLPVQERLSSHLPEGHCRAEDILELLQSPPFRQQVDAFTYVLRTGQIDLTQFGIDPSKYKFTVVSFLEALEDSVSSQSNDAMDES >A01p035770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16863275:16864853:1 gene:A01p035770.1_BraROA transcript:A01p035770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGEAGEYHSKDFEWEFVKNLVENDPSLSHHLQKPHLVDSSSSSFSSSVSKPWQDFHSRHSSGKFFKERRYLLKEFPELVLCGENTKLLEVGCGNGSTVLPVLRGSKNITVYACDCSSEALVRTKENINRAIATVDNFHSFTCDFSTSAFPNWLACHHCRHNKHCHHSGESDDTSLNEHCIGGVDFVTLIFTLSAVPKERMPRAIKECFAVLKPGGLLLFRDYGLYDMTMLRFEPEKCIGFREYVRSDGTLSYFFCLDTVKRLFTGAGFIEVELENCCVKAVNRRKNKNMYRIWVHGKFQKPFLK >A08p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22074346:22077460:-1 gene:A08p037990.1_BraROA transcript:A08p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGIDMDEGALEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSMRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSQDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTS >A06p009620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3401063:3401633:1 gene:A06p009620.1_BraROA transcript:A06p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQRTLLHCKKMSEHEVFKKFGRNIGRLVKFRLYAKQLLIYLKHPKNCAVLYCDIKPGNISFPWLRNNDILRLHMELKGPFPKKMLRKFSFKFNTGDYIISSVSKHQPLSFVLIPSTI >A09g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13016130:13016571:1 gene:A09g504170.1_BraROA transcript:A09g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRFQYGVTGGEAKKKALAYIYKCKGVRDVSLENCILIVRGEGLDEDKMRRKMDKILSSNPGCLSCFSSSG >A02p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6739482:6742591:1 gene:A02p015100.1_BraROA transcript:A02p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSTENPPRDPLSSSSSSSPSSNLLRLTTSPSHELGQSSFSIRDYAYSNRKNNIKNSWPFSTKSLHLCSSHGVTDPLPPFQKLSRLSNKFETTPSVSQVHQTIAEASKRVCNQSRIIENGLGTSRSVSKSKVEIVVAATSNNKSKKCGRGGGMVKSKEDSCGTASESTVASKTCPICKTFSSASNTTLNAHIDQCLSVDSSVPPVSSKPNKPRVKPVDSAVPPVSSKPSKPRVSSVGSAVPPVSSKPRVKLQVKVKTLVDIYTTAKRCTLEDLDKRNGTQWASVLSCTNRVAADKCEVSKKRKGSPVGVGPVYIDAKGQKLRILTEFSEKKTTTTLREQQEDGSSEKKSSSQGSKENKKRGRRKKHGKCIKLTSHKANTAENVLEYQREGSSKGPRRIYNQRMLAKRGLVSKKLNEKGHKLSALRYQPSDDDDEDDSWSGEDRLVLRGADTSATGSSPLNKQKLRSQVSGRSKTMFESKRAHSRSSRAQIEEKSLTGAYSNNTVHSKKSLASIQEDKHPPGKNVRDASPRATSMRNLSPPFVANGWRRLSPLVEELKKSRFDLSDEEEEEETGKWESEMTQERGLSDDDDYVSGDDNPSFSRYYDYDDDDDDDEESSDEEEEDNRNSRANVLDKSNDANEIIPSERAMYYSEDMIYGQTGCEEEDVRFGSEVRGKGSLFVEVDTIPIPGPPGSFLPSPRGMGFDGNSSVITSQFQSSMDQLDRNSSESPVSAVSNFASGRLNFQAEFPSSLDIPMSFCVPSHHGTIPEAEPMSVDKATTPLSFRNNDHESCCCQRKERVSLNHQASHLLQRRAASSSSIAMSLTKSPTRLDPNHPFEQSQYVHSTFSNRAVVPPSPSNSVLRLMGKDLMVMNQGEAEDNNEEASRTSLKPSPQFHDPQPCAGTSLYFNTGFYLRNSFEPKYEQQTPKAQQQTQARQASAFRNNFDHLRYFPPS >A04p001810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:897854:898366:-1 gene:A04p001810.1_BraROA transcript:A04p001810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRGIKIRRPFQRITRWILRKTRLRRSRYIRLSPNRPVCKPKAIAKLISWGRSLSSHSARFLGCKPSKSGYIPIGKEPVQTKPDPVPKGHSAVYVGKKDGDFHRVLVPIVYFNHPLFGELLREAEEEFGFCQEGGITIPCPYSDFKRVQTRIESGSGFCKFPWSRRRQ >A02g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6972857:6975760:-1 gene:A02g502150.1_BraROA transcript:A02g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLESSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVSSGLLRSRLDFLKVVWSCLLKWNPSFNNVFQDTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSIARRLPNSLAYIRLLQAHRITNGSHPPIIVCFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2932317:2933487:-1 gene:A08g501190.1_BraROA transcript:A08g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGALFLQPSFLDQNRYSASRGAHLNLKRAEKARVLVSKIHAMVDTLVAKTRAWEEEHNMSFAYDGVPLLAMLDEYGTKEGSRTATRGARHCLQHQAKPCETVSAKKPVGARASNGGANGTPNRHLSLNANQNGSRSVAKERGKSVCNFAIVNLKIS >A01p009630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4669600:4671088:-1 gene:A01p009630.1_BraROA transcript:A01p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMFRLIDNDQEAATNRCIWVNGPVIVGAGPSGLATAACLREQSVPFVVLERADCIASLWQKRTYDRLKLHLPKQFCQLPKMPFPESFPEYPTKRQFIDYLESYASRFDISPKFNECVQTARFDETSGLWRVKTVSDAESTRTEVEYICRWLVVATGENAERVMPEIEGLSEFTGEVVHACDYKSGEKFAGKKVLVVGCGNSGMEVSLDLANHLAKPSMVVRSSVHVMPREIMGKSTFELAMKMLKWFPLWLVDKILLVLCWFVLGNIEKYGLKRPEMGPMELKSAKGKTPVLDIGALEKIRSGKIDVVPGVKRFNGNRVELVNGEELDVDSVVLATGYRSNVPYWLQESEFFAKNGFPKTAIGNSGWKGRTGLYAVGFTRRGLSGASMDAVNIAQDIGSVWKLETKQPTKRSTASHRRCISQQF >A01g510170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27915643:27917960:1 gene:A01g510170.1_BraROA transcript:A01g510170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHLSLSTTQRDEESLQTSLAGGALLFEIKGRWPEQETKVDEEASAKQRIAFSGAIQDDLALKERLSKIKLLETLIARQEELKDYEKDLKKSLVNELMPKSRVKNKPKQSRVKNKPEQFHVTDFCITAIL >A02p034890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19091863:19093102:-1 gene:A02p034890.1_BraROA transcript:A02p034890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPPCVAGHLSFREKLVRRQAEKELAHTGSEFPSSSAQVVAPCHETDVAAPLPQVLPAGSSTTPILVDDKEKAADSMPPPPAKKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVGNSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDAFRAEFQARLAKISASLGSLECIRSRNFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSVCFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A07p048350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25840860:25841330:-1 gene:A07p048350.1_BraROA transcript:A07p048350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GASA1 [Source:Projected from Arabidopsis thaliana (AT1G75750) UniProtKB/TrEMBL;Acc:A0A178W4S5] MAISKALIASLLISLLVLQLVQADVENSNKKRGYRKKIDCGSACVARCRLSSRPNLCHRACGTCCARCNCVPPGTYGNYDKCQCYATLTTHGGRRKCP >A03p068760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30110234:30111331:-1 gene:A03p068760.1_BraROA transcript:A03p068760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFHLDGENTLSVSLFSDVTNSKELLNSMLDGSLKLEVSFLNALLITESLKRCGISETTTYILAARFNASPIEMEEVAKLINGKEIDLEELKTQANQAHILKHYKITSQELVISSLGDAIVCRIAARDAL >A10p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5322709:5325194:-1 gene:A10p008950.1_BraROA transcript:A10p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLGNGVTPSAADVAVYADLHSSVPGLGKAKTTMMLRNRFLGKLPKPGLSEEQHNKARVVVLVEISPCQMSRSTHERLKKTMVRSLVTTTIASQIHPYSPGSCSQNEPDLKRELSRSYVGFSDGVADSVFEASTMEDPVKVAAEVELCGKGGGSAKN >A04p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3742315:3746355:-1 gene:A04p007010.1_BraROA transcript:A04p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEQNHLPKKKKSETEDDKRRKKIVPGSLLKALVRPGGGGESSPVDGDQVIYHCTVRTLDGVVVESTRSECGGRGLPIRDVLGKSKMILGLLEGIPTMHKGEIAMFKMKPEMHYAEKDCPVSASGDFPKDDELHFEIELLDFAKAKAISHHELLRYSVLLCRKTLKTCISYVIASDDLGVIKKILNEGEGWESPREPYEVKARVSAISGDGKVILSHKEEPYFFTFGKSEVIAKFIGIFSFYSLSMHIICGEIIADYRLSIRQVPKGLEIGIGTMARKEKAVIYVRKQYLTESPLMHIAQDFEEVHFEVELVHFIQVRDMLGDGRLIKRRVRDGRGEFPMDCPLQDSRLSVHYKGMLLNEEKTVFYDSRIENNDHPLEFSSGEGLVPEGFEMCTRLMLPGEIALVTCPPDYAYDKFPRPPGVPEGAHVQWEIELLGFETPKDWTGLDFQSIMNEAENIRSTGNRLFKEGKFELAKAKYEKVLREFNHVNPQDDEEGKVFGDARNMLHLNVAACLLKMGEWRKSLETCNKVLEAKPGHVKGLYRRGMAYMAGGEYEDARNDFNMMIKVDKSSEADATAALLKLKQKEQEAESKARKQFKGLFDKKPGEITEVGSEIREESKTIEEIDETKDNDEIEEEEEEEERTRTVVSTERKRKWSEKAWPIMKNVIVQIGIQVGVALLGVLIFQYASSRFT >A01p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8115062:8116383:-1 gene:A01p016390.1_BraROA transcript:A01p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLISRRIPFQNLNRTSVLHRAQPLLTKPAAPSTAFFSSQRSLKTRLREGENLYGLFLLSFSPEMAEIASYAGYDFIVVDMEHGAGGVREALNCIRAVEAAGSSTVLRVPDNCQAWTKKALDLGPDGIMFPMIETGKAASDAVSYCRYRPDGLRGCAYSIVRDSKFGFDEEYLRNYTDQLLIMCQIESEEGVKNVKDIVAVDGMDCVMMGPRDLSASLGLLHDPGNAKVKSLMRTAETAVIASDPENGGAYLAGMATAQDKPVDLRLRGYHMIIGATDVPLFKKAVVDDVKSFKFGCS >A06p057160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29723380:29723860:1 gene:A06p057160.1_BraROA transcript:A06p057160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKKLDADPSTLEKVLEELKSDESNRNKINFILFLANNDPTTNRSWCPDCVRAEPVIYKTLEESAEEVNVIRAYAGDRPTWRNPMHPWRVDPRFKVTGVPTLVRWDGDSVKGRLEDHQAHVPNLIRPLLAPST >A02p049770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30830319:30848940:1 gene:A02p049770.1_BraROA transcript:A02p049770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLLGSVSGLSTVSSERRLSNAVFSSLKTNTRRQTYRVTAINSVESKTHHGGVRRIRKNEDGAAVAKVVENPYSEAETASPDLHKSLSDFLEEARDFVGDDDGPPRWFSPLELLIGPTSPVYLSTLEDGKIVRGLEGIPSEGPVLFVGYHMLLGLELIPMITQFLKERNIHLRGLAHPMLFLDGQDVFVDPQIFDKFKLIGGVPISKFSIYNLLRSKSHVLLYPGGIREAFHKKGEEYKLFWPEQPEFVRVASKFGAKIVPFGVVGEDDICDFVLDSDDQMNIPFLKDFMEEATRQAGNIREGDETELGNQKCYLPVILPRIPGRLYLYFGKPIETAGKEQELRDKEKTQDFSPMELTLLGSFSAFSPVPSERRLESNLQRQTHRVKAVKAVASKTHVGGGRRIRKCENGAATVAKVVENPYSGAETASPDLRKSLSDFLEEARDFVGDDDGPPRWFSPLECSSQAQGSPLLLFIPDATSPVYLSTLANGKRVRGLEGIPSEGPVLYVGYHVLLGLEIVPVITQFLKERNIHLRGLAHPMLLWNIQDDRLVDPQVLDKYKMVGAVPVSNFNIYKLLQSKSHALLYPGGIRESFHRKGEEYKLFWPEQPEFVRVASKFGAKIVPFGVVGEDDICHLVLDANDQRNIPILKDVMDYMAEATKPAGNLREGDETELGNQICYLPGLVPKIPGRFYFYFGKPIETAGKEQELKDKDKAQEFYLQVKSEVEQCIAYLKMKRESDPYRQLLPRMMYQASHGFSSEIPTFDL >A07p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12945617:12948371:-1 gene:A07p022060.1_BraROA transcript:A07p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRLRVLLDNISSYLSISSSNDKLSSNAAHKYYSRVEEIAKLLMPLLDNPVVSDASPSELLNNSFEELSQYVDELREQFESWQPLSSRILYVLRIESLASKMRESSLEVFQLLKHLPADLVSPSEDCIELVKLVGREEVSYTIDLALIDQRKGVELTPEVLVKIAESVGLRSNQDILIEGVVLTNLKEDANLVKDNTKAGFIKGLIYLTKQMHDYLAKIEQSQSPSDFFCPLSLQLMTDPVIVTSGQTYERAFIEKWFDMGLMVCPKTRQALSQTTLTPNFTVKAFIANWCESNNVNPPDPLELIQSNQPFPLLVGSCSADDSRDSLPLPVMDDTLKCNHIESVDASKCEELHQVLTRSASAPGVVSKVVSKANTSISQAPGETVPQPWIIPATMKETGSSSNMEAEETGGSSSMEVEVKKLIQDLKSSSLDAQREATAQLRLLTKDIPDDRTVIARCGAIAALVNKLYSMDKMIQADAVTCLLNLSLDDSNKTVIAYSGVFKPLVHVLKTGNMEAKENSTRAIVSLSKAEENRTKMLQAGAIEPLVRLMGNGSPRGKKDAATALTNLATRNREKEMVIRGGGVRYLIQMMDPAAGTVERAVVVLANLANVPEAKMAIRDGGGIPLLVEVVELGSPRGQENAAATLCLLCMDSSRFCNIVIREGAIPPLVALTRSGTTRAKEKAKSLLKYFEALKQENQRRN >A09p056410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47981551:47986497:1 gene:A09p056410.1_BraROA transcript:A09p056410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYSKIGGKSTMQRTSRRLEGTAMGSTVFDLKPGVGIGPFYIGMRFLSKSLLRFFSFGTRGSVNHRYRTWKMTIGIGLRSAIACRFRILLIAYSISNRCILVHHNTVQPPISLIDDSDQFDRRWCCFSGMPICDAFGKIEQDPNVYDVVHVKYYDEDPLKLDVVISFPDHGFHLRFDPSSQRLRLIEIYDVKRLQMRYGNSTIGGPSTLATFVAVYALFGPTFPGIYDKERGVYALFYPGLSFEFPIPDQYTDCCHDGEVALPLEFSDGTTPVTCRVSIYDKSSDKKVGVGKLMDRASVPPLAPGSLYMEEVHVKLGKELYFTVGGQHMPFGASPQDVWTEIGRPCGIHPKQVDQMVIHSASDLRPKTTLCGDYFYNYFTRGFDILFDGETHKAKKFVLHTNYPGHADFNSYIKCNFVISVGEGETEANRGGNKITPSTNWEQVKEVLGECGPAAIQTQGSTSNPFGSTYVYGYKDVAFEVKDFSSDEERSYSHHNFVPVMMCVYLRGAKLRSSLPEMMTASGLTVTPPRFHFRWRTSQRTSQPITLIAKLNRLDASASPLLQRACLALPTQRNNAMIPRAMSSSFGDVADDSTAVFPRINVKDPYKRLGISRMASEDEIQGARNFLMQQYSGHKPSVDAIESAHDKIIMQKFRERKNPKIDITKKVREVRQSKAVNFVFERFQTPATAFLVKTAVTFAVLGALTVLFPTEEGPTLQVLLSVIATFYFIHQRLKKKLWSFLYGSGSFIFSWLIGTFLMVSVIPPFIKGPRGFEVMSSLLSYVLLWVSSSYLR >A03g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3698489:3699048:1 gene:A03g501240.1_BraROA transcript:A03g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKACVGSFSCKRNHPSERGIKATQPNSVIESVLEYNLSRTERWLLFGPQDYCFNLIDASDVVFISGFGRRGHCVGDIHGVGYKFSGVSISPLYKRYEHPTSLTKLQVFEFALDIISTYRLFG >A05p047080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27824785:27827650:-1 gene:A05p047080.1_BraROA transcript:A05p047080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLDGDIDMEKGGMTLQSSENQDPSPTPSPSATATAPALVLSNSGKRMDQAGKKKYVKQVTGRHNDTELHLAAQRGDLAAVQQILKDINSQMEGTLSGEEFDAEVAEIRASIVNEVNELGETALFTAADKGHLDVVKELLKYSSRESIAKKNRSGYDPLHIAAIQGHHAIVEVLLDHDATLSHTFGPSNATPLVSAAMRGHTEVVNQLLSKAGNLLDISRSNHKNALHLAARQGHVEVIKALLAKDAHLARRVDKKGQTALHMAVKGQSSEVVKLLLDADPAIVMLPDKSCNTALHVATRKKRAEIVELLLSLPDTNANALTRERKTALDIAEGLPLSEESSYIKECLARRGALRANELNQPRDELRSTVTQIKNDVHIQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDNNDGSAVVVGRASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKRVVEVINKLMWLASMCTSVAFLASSYIVVGRKNQWAAELVTVVGGVIMAGVLGTMTYYVVKSKRTRSMRKKVKSARRSGSNSWHHSDYSNSEVDPIFAI >A08g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8650023:8651082:-1 gene:A08g505240.1_BraROA transcript:A08g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIVVGVKNGCDKLNIQNILSYEKALRRKERDVRRKLKTGRYAATKRATLGRYVATESLRSDRASRPSSSETTPVHAFSSNLQCYLPKTVASSVYDFRYSKSSIKLCGLIPRKVRSLSKEIVVNASSRKTAQRYLKPDSRPILRFLNQNPVNHSTVYAWSTRKDKCQVSADKYGSFEDNCEDRENGISPFLCYDGLRAEDCDSIRFSRLRVARTRNLADSSRAQAYTLYPGPLRN >A09p005690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3092542:3094009:1 gene:A09p005690.1_BraROA transcript:A09p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSM4 [Source:Projected from Arabidopsis thaliana (AT5G27720) UniProtKB/TrEMBL;Acc:A0A178UIB9] MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEKTRTDRKPPGVGRGRGRGMDDGGARGRGRGAPMAKMSGNRGAGRGRG >A06p044060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23696038:23698430:1 gene:A06p044060.1_BraROA transcript:A06p044060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRQRPTVATTEPEPVSENCVDGLTILSDDEDSPSDAAVKHLRGSWELASVLNFINVFRPLISEKLKVTAEEIEMGLIDSDATNAQLHIALLKGIPPVNNKSLEDGGDAWITALCKKLDPWWPSIANGEIPLTANKGEEISGYKGLDPTDRLQFLKALCELRAQQDDARKYIQENANEGALNPCFGKRKLGGDGKKTSYWFDGNDILGYRLYKEVNEVSKSAKARKNVFSDASCFCWETEATNLDEFQRVARELSSSKASSLAAVGKTIETDAIPVVEKFHKKKERAIKKKMRQEMLVSVNISRPIRFTRSCRNRKPVTYTFDEYDKMITDAVEDTDETDRDDEEGIEQQNASLAGSNNSSEDTKMKSAEDVSKTEEEAPESDIDDVKETEKSKEDSEEKIHFGAKNRMRQRVTRNSALC >A08p007700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5085430:5096317:-1 gene:A08p007700.1_BraROA transcript:A08p007700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGGKAIYQLYIPCSKVTLFDMHNAKLTRLSGGLDINFLLSAADITLGWEPDVHLYLYGLYLRLSSLAYAQNAEEHECAAGSVDVQTTERKSIFAIDVEMLTISAGLGDGVEVKFNARSIFTENAFIGMLVEELMLALNGSRVLKTTRMQLSRIPTVSLDLSDDIVPVRTSGPWDWVVQGLDVNICMPCKLQLRVIADSIEEKLRDLKLITEAEEESLEPKNSSSGFGRLRFCIGRLNAYIEEEPIQGWLDEHYLLLKKEACELAVRLKFLEDFIHKASHKGAETSERKIVFDGDEIDVHDPLAISKVKEEIQKRTFRSYYQACQGLVPSEGSGACREGFQAGFKSSAARNSLLSVCATDFDLNLTAVRGCDDDAGLMQVLRKLDPSCKEISGSKVNLKTGSLVVKLRNYTLPLLSATSCKCEGPIVQQAMSSQPKMKTHSDLRICFEQGEVFFGVGYEPAFADISYAFTVALSPCAPQVSNEEQSLPWWDNMRNNVHCNITLSFSESSKWNVLATTDPYETQDKLQIVTGPIEFKQSDGRVVVNAKDFKIKLRSRHSLNVPAGGNSGAAFFEAPLFNIQVTMDWECEPGSSLNVLDPLRSASLSLQCNLSLRPSDKNESMSRSSPTMNLGAQDLAWILKCCSLYSDPPHKLRSFSGRPRFGVPRVVLVAEDLSLDQVITEFMVRVDATPFLINYVPSDLDDPAKGLIFDIKELKYELCYSRGKQNYTLECKRDALDLVYQGLDVHVPKVFINKDGHKGDEKNRDEGFLLSCDYCTIRRQAPKADIERLSAWQEAGRKNLEVTYLRSESANRNESDEDLQSDPSDDDGYNIVLSDNCQRVFVYGLKLLWTIENRDMLFSLLLAEYRRGSNLLLLVSIRRARFLKETQKIKRKLQHLLQAQEKAEQFTSGSHEEGTSHFMVNVIEPQFNLHSEEGNGRFLLAAASGRVIARSFKSIMRVDEEVIVQFLGTASLQSPKRIPEMTWTRLEISVMLEHVQAHVALTDVDPGAGVQWLPNIRRNSPKLKRTGALLERVFMPCDMYLRPRKSSLHFPTEDDDAVDEVIPYGNEEVEIAKINLKEKEWEQKLLLVDIQILSHYSGNMEDTHVEKEGDSWMISSRKSILVERLKKELLYVQKSRKMASASLRSAQQKSANLQLMEKNKSAPYAMRISLEINRVVWCMLVDGRAFAEADINNMIYDFERDYKGIGVARFTTKFFVVRNCLCNATSDMILSAWNPPSEWEKKFMLRVDAKQGTPKDGNHLELFHVEIYPLRIHLSETMYKMMWEYFFPEEEQNSQRRQEVLKVSTTAGSKRVKRQLASHESSSSSAAVQSQSNVDCAQKSNILDVRSTAGVSADQELRRTSSFDRTWEESVAESVANELLLHSYNSPVSSSNDQKGESSRQMNLKNAKTDKPRSSSSREKKARKKQVEMIKISNIKIRQVELLVTYEGSRLVVNELRLLMDTFARDEFAGTWRGLFARVKKHITCGVLKSVIGIQGKKFSYKSQKNAQFTDDDLKLSNNDESVTWIKKDESGGAGDNFVTSVRGLFNTQRRKAKAFVIRTMRAEAENDFNGEWSDSDVEFSPFARQLTITKAKRLIRRHTKKFRPSSQRGLTSQQREALPLSVKDFETDASESSYSSESSPYEEFSG >A08p043010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24037284:24040357:1 gene:A08p043010.1_BraROA transcript:A08p043010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLGNGVGSSRSAKGGKTSSSSVDWLTRDLVEMRIRDKVVTEDERDSEPDIIDGAGTEPGHVIRTTVRGRDGHSRQTVSYIPEHVVGTGSFGTVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNVVALKHSFFSRTDNEEVYLNLVLEFVPETVNRAARSYSRMNQLMPLIYVKLYTYQICRALAYIHNCCGLCHRDIKPQNLLVNPHTHQLKICDFGSAKLLVKGEPNISYICSRYYRAPELIFGASEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLLCRFFQYSPNLRCTALEACIHPLFDELRDPNTRLPNGRPLPPLFNFKPQELSGIPSEIVNRLVPEHARKQNLFMALDA >A02p057840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34801027:34802553:-1 gene:A02p057840.1_BraROA transcript:A02p057840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESKDPAIKLFGMKIPLSSTVFEAEEYELSAEQNQNETLTDQSDKEKTLKKPTKILPCPRCNSMETKFCYYNNYNVNQPRHFCKACQRYWTSGGTMRSVPVGAGRRKNKNNSSSHYRHVTINETNGPVLSFNLRDDHKTLEKNNHQPVDDSRTRNNEMNGLRCIPGVSWPYTWNHGFYPVYPYWNVPMVSSSPYSTPNSTLGKHSREEDETIKRKQMNGSVLVPKTLRIDDPNEAAKSSIWTTLGIKNEVMFKGFDSKKEVSINKEETETSLVLCANPAALSRSVNFHEQM >A03g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18878138:18879713:1 gene:A03g505220.1_BraROA transcript:A03g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDCCFVLFQIRPAKTAKVRSVIPITKKSNNDFRTAMFERKKSPNRLMHVYDEFECSGMLTRISHALKFSVHLKFESLRMRIRRQEETKAVHLLLIVSFDDMQRAMLTFSSIALDRMLEPGIHHLYRLKLKLFPDESTETKPYAETQRHLELLGKIIQQIVRTESTSKIWGLYARWSRIKGNLMFNNHKDSNGGNTIGDALRPSALAVYNRFVGSKS >A08p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13244325:13245463:1 gene:A08p019400.1_BraROA transcript:A08p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLIVVYLVALLVLTVSAAAEEGNDSGCSAAVNSATDLKYKIVAIFSTLIFGVFGVCLPIFGLDGDGFFYACVRQFGAYVMLLASVIYILPDATASLTSSCVGDFPMTGVVVARAAAILTMIESKSFASAFMNISHSENHNGDDDHVDNSASQGHSVSRQVHNKIRQKLVTRVLELGIVVHSVIIGIVLGASPNLSTIKPLIPAITFHQLFQGISLGGCISKAKFDLKKTLIMVIVFSLTTPVGIGIGIGVAEIYYKNGPTTLIVSGSLNAAAAGILLFMTGVTSYNLKPHSIFHMLKACGFVLLVLM >A02p055420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33593017:33599282:1 gene:A02p055420.1_BraROA transcript:A02p055420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLSQKYGSLMSLKFGSVSSVVASTPETVMDVLKTFDVDCCSRPYMTYPARITYNLNDLVFSPYNKYWREVRKMTVVELYTAKRVQSFRHVREEEVASFVDFIKQSASLENPVNFNQKLLKLSGSVICRVAFGINLKGSKLEKTYEQVIVEAFQVLGSFAAADYFPIFGKIIDRITGLHGKCEKVFEAIDSFFDQAIKHHLEDESIKDDIVELLLKMERGEVGLGEYQLTRNHTKGILLNILTAGIDTSAQTVTWVMTHLIANPRVMKKVQAEIREVIQNKDHITEDDIERLEYLKMVIKESFRITPLVPLLVPREASKDVKIAGYDIPKKTWIHVNIWAVHMNPRVWKDPEAFIPERFMDNEIDYRGANFELLPFGSGRRMCPGMGMGLALVHLTLINLLYRFDWNLPEGMKAEDVDLEESYGLVCPKKVPLELIPNTRKTKKNLPPGPPRLPIIGNLHQLGSHPHRSLFKLSQKYGPLMSLKFGSVYTVVASTPETVKDVLKTFDVDCCSRPYLTYPARITYNLKDLSFSPYDKYWREVRKMTVIELYTAKRVQAFRHLREEEVSSFVDFIKQSASLENPVNFNKKLLELSGSVICKVGFGIKLKGSKLEKTYDQVIVEAFQVLGSFAAADYFPFFGKIIDRITGLHSKCERVFKTLDSFFDQAIKHHLDDESIKDDIVDLLLKMEMGEVGLGEYQLTRHHTKGILLNILIAGIDTSAQTVTWVMTHLITNPRVMKKVQAEVREVIQSKDHISEDDIKQLEYLKLVIKETFRITPLVPILIPREASKDLKIGGYDIPKKTWIRANIWAVHMNPSIWKDPEAFIPERFMDNEIDYRGLNFELLPFSSGRRMCPGMDMGLALVHLTLINLLYRFDWKLPEGMKAEDVDLGESYGLVCPKKIPLELIPVLTQWS >A02p010990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4757074:4759343:-1 gene:A02p010990.1_BraROA transcript:A02p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILLPDPPSLSSGVPEIFEQGGPQNVVRRAVVIGNGFPGSENQSIGLARALGLAENHLLYRVTRPKGGIHEWLHWLPVGFHKKLEFILRHLYLYSRLMLSSKQTKYISSENGGSVGLSSILEADVKEIVTMARETYEKDGPLVVIACGRDTVSIASSIRRLASENVFVVQIQHPRSHLNRFDMVITPRHDYYPLTPEAQEQVPRFLRSWITPREPPQDHVVLTTGALHGIDYATLRKSASAWHDEFAALPKPLVVVNIGWPRRNCRYGADLAKQLTDAILSVLDSCGSVRIALSYKTPEKVSRVIFKELGDNPKVYIWNGQEPNPYMGHLAWGDAFVVTADSVSLISEACSTGKPVYVIGGDHCKWKIADFHKSLRERGVVRPFTGFEDMSESWSYPPLSDTAEAAMRVRSALAARGWSLRS >A05p048560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28415899:28423417:1 gene:A05p048560.1_BraROA transcript:A05p048560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGVKEAKSVSGEEDSVKQSQLSSINIYLYESECTEREETESACACVCLCLTHTLRRRNVKLMSNSAGEQTCQICTENVGRAENGYPFVACDVCSFSVCRPCYEYERRYGNQSCPQCKNRYKRHKGSPAIPGDKDEDCFADDSASEFSYTENSQKEKNSEGMLRWNLTRGKGKEVDHSDSDKEVSPNPIPRLIRKLEVSRESSAASLEGQSVSSTTAASRRTVDPVKDLGSTGLRNAAWRERVEGWKMKQENRFSPVRTQSASERGVYDFDATTNVSVDEALLNDEAARQPLSRKVSIRSSQINPYRLVITLRLIILCLFLHYRVTNPVPNAFGLWLVSVICEIWFAISWILDQFPKWFPVNRETYLDRLSLRYDRAGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSIMAVDYPVDKVSCYVSDDGAAMLSFESLAETSEFARKWVPFCKKYSIEPRAPEWYFALKVDYLKDKVHPSFVKDRRAMKREYERFKIRINALVSKAQKVPGEGWVFLGQNGGLDAEGNELPRLVYVSREKRPGFLHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPELGKQVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPVKPKHKRASVLSRLCVVSRKKDSKSRKGSSKHSDSTVPVFNLGDIEEGVEAPGLDDDKTLLMSQMRLEKRFGQSDIFVASTLMENGGVPLYATPENLLKEAIHVISCGYEDTTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPITSIPLLMYCTLPAVCLFTNQFIIPEISNLASVWFLSLFLSIFATGVLEMRWSGVGIDEWWRNEQFWVIGGVSAHMFALVQGLLKVLVGIDTNFTVTSKASDENGDSAELYLIKWTTLLIPPTTLLIINLVGVVAGISYALNSGYQTWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTVIVVWSVLLSSIFSLLWIRVDPFTSRFIGPDTNQHVAILRIETIESTEEDDEDLPRSLIIDESTGDAAVVDPVEPEKVIKSAEQHSANIKFVLTTHHHWDHAGGNEKMKQLVPGIKVYGGSLDKVKGCTDAVDNGDTLSLGQNINILALHTPCHTKGHISYYVTGKDGETPAVFTGDTLFVAGCGKFFEGTAEQMHQSLCVTLASLPKPTQVYCGHEYTVKNLEFALTVEPNNEKIQQKLSWARQQRQANLPTIPSTLEEELETNPFMRVNNPEIQEKLGCKSPIDTLREIRNKKDQWRG >A05p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15047325:15050941:-1 gene:A05p029860.1_BraROA transcript:A05p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRSMFSDETRPDPTNFINYSSTFKSLKAVKDVVSVEVWEYVEKSPLGIIIKFMNLEFSWSSTLVHYVLSRQLYCKKRHELWFLIEKQPARFSIFKFQDITGLNCEPLPNTMVVEDVEKSNSFWALFNLRCTRSTPSAEDICTLCQSPDVCRSWSREDQIRLCYLAILTGGLLALDRREAIPSAKTKLPMDLEIFEQYPWGSVAFIELVQQIKDATAKKIKENSSYVCKGFVQVIQVWAYAYIPCLGEAIGRPIRSDGPCLLRFKGKCGKLALGAILEKAKVTCMYQRSLDEVHPVWEDQDEDPEIDNFMEFLRQDNSLSTITWQALPEYPLTPIECNKRSLVASQRKSKKAKKAKKFPRSMFSDETRPDPTNFINYSSTFKSLKAIKDVVSVEVW >A02g504310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:14386133:14386378:-1 gene:A02g504310.1_BraROA transcript:A02g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGTASRGGPARCDPTELEPVAGRAIWLRVGSFAISIQNHRQTDPEHSPCKEEFQVIQEPYASVAKALIVSGCLLCLAFN >A06p015900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7081070:7082176:-1 gene:A06p015900.1_BraROA transcript:A06p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKQRTPKVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVEAPVEKPAKFYPAEDVKKPLANRRKPKPTKLKASITPGTVLIILAGRFKGKRVVFLKQLASGLLLVTGPFKINGVPLRRVNQSYMIGTSTKVDISGVIIEKFDDKYFGKVAEKKNKKGEGEFFEADKEVKKEIPQEKKEDQKTVDAALIKAIEAVPELKTYLGARFSLSQGMKPHELVF >A07p020640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12155437:12157844:-1 gene:A07p020640.1_BraROA transcript:A07p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSLFLMAIFSLSYTHLVKGQSLSGCQTRCGNVSIEYPFGTSQGCYYGGDNSFKLTCNETNKLIFGGNEVINITHNGELRVLVTRSYVCYNSQGNQTGRSNRWTRLGNLTFSDKNRFTAVGCNTYAFLNTNEVGNYSVGCMSTCSTPRETNGTCSGGGCCQTSLPRRINYFRVRPYSFSNHTSVHSFNPCSYAFLVEDGMFNFRSSEDLMNLRNITRFPVVLDWSIGKHSCQQVGSANICGWNSVSSNSVRGAGYICKCKNGFEGNPYLSNEHGCQDINECSTNSTIHRHNCSDSSTCENTMGHFLCTCPSGFNLNATTNSCMRKGRPEYYGWTQIFLGTSIGFLVILLVRLSGAGPSNVEVKIFTEEGMKEATNGYDESRILGQGGQGTVYKGILQDNSIVAIKKARLGDSSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSMSDSSLTWEHRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDEHLTAKVADFGASRLIPMDKEQLTTMVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLSGQKALCFDRPQTSKHLVSYFASAMKEKRLHEIIDGQVMNEDNQKEIQEVARIAVECTRLMGEERPRMKEVAAELEGLRGTKTKHKWSEQYPQPQEAEHLLGVEILSAQGDTNTIGYDSIMNVTRLHIEAGR >A10p004910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9068410:9070974:-1 gene:A10p004910.1_BraROA transcript:A10p004910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGTTFALRSFIQLFSFNARKLSSSSITDTTRPFPDYSPKKPSVRDTELVHQIANAIKLRRAEPLRRSLKPYECKFKTDHLIWVLMKIRSDYNLVLDFFEWARSRRDSTLEALCIVTHLAVASKDLNVAYSLVSSFWERPKLSVNDSFVQFFDLLVYTYKDWGSDPNVFDVFFRVLVEFGMLREARKVFEKMLSYGLVLSVDSCNLYLSKEKTGTAVIVFKEFPEVGVCWNVASYNIVIHCVCQLGRVSEAHHLLVLMELKGYIPDVVSYSTVINGYCRFGELEKVWKLIEVMREKGLKPNSYTYGSVILLLCRSCKLAEAEEAFREMIGDGIVPDNVVYTTLIDGFCKGGNIKAASKFFYEMLSLDIAPDIVTYTAIISGFCRVGDMVEAGKLFHEMICRGLEPDSVTFTEVINGYCKAGEIKEAFSVHNRMIQAGCSPNVVTYTALIDGLCKEGDLDSANDLLHEMWKIGLQPNLFTYNSIVNGLCKSGNIEEAIKLVGEFEAAGINPDAVTYTTLMDAYCKSGEMSKAQEVLKEMLGRGLEPTVVTFNVLMNGFCLNGMLEDGEKLLNWMLAKGIAPNATTYNSLVKLYCVRGDVKAAAAVYKDMCAREVGPDGKTYENLIRGHCKARNMKEAWFLYRGMVEKGFRVSAGTYSDLIKGFFKRKKFVEAREVFEQMKREGIAADKEIFDFFSDTKLKGRRKTDTVVDPVDDIIEREYVESRHCKEAVGDDVLLRELS >A10p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20709583:20711779:-1 gene:A10p036340.1_BraROA transcript:A10p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSTILFLFYLCIIFGISVITRCNATTYFVGDTSGWDISSDLESWTLGKRFSVGDVLSNGPSPSPVGAPGAAPVGILQPSSKKNNPPTGVATSSAPHVGGCEAPCSVSLPLCLNFILSRVLSSSSSLSRKFSMNILNRFGLGSGGQTSTDPSPIAQGPDDDSPAPGNHFAQFAAGCFWGVELAFQRLPGVTQTEVGYTQGITHNPSYEDVCSETTGHAEVVRVQYDPKDCTFESLLDLFWSRHDPTTLNRQGNDVGTRYRSGIYFYTPEQEKLARESLERHQQKMERKIMTEILPAKKFYRAEEQQQQYLSKGGRYGMGQSCAKGCTDPIRCYG >A07p049270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26190639:26192293:-1 gene:A07p049270.1_BraROA transcript:A07p049270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDKB2 [Source:Projected from Arabidopsis thaliana (AT1G76540) UniProtKB/TrEMBL;Acc:A0A178WJF2] MDKEVIAVSAMDAFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDEEGVPSTTLREISILRMLARDPHIVKLMDVKQGLSKDGKTVLYLVFEYMDTDVKKYIRSFRQTGDNIPPQIVKSLMYQLCKGMAFCHGHGVLHRDLKPHNLLMDPKTMRLKIADLGLARAFTLPMKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCVFAELVTNQAIFAGDSELQQLLHIFRLLGTPNEEMWPGVSTLKNWHEYPQWKPLSLSTSVPKLDQPGLDLLSKMLQYEPAKRISAKMAMEHPYFDDLQDKSSL >A06p006810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2321915:2325815:1 gene:A06p006810.1_BraROA transcript:A06p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase ANP1 [Source:Projected from Arabidopsis thaliana (AT1G09000) UniProtKB/Swiss-Prot;Acc:O22040] MQDFFGSVRRSLVFRPPGDEDNQENQPPFPGALADKITSCIRKSRALIKPSSSPPPPPTAADTPPPILWRKGQLIGRGAFGTVYMGMNLDSGELLAVKQVLIASNYASKEKTQAHIQELEEEVKLLKNLSHPNIVRYLGTVREDDTLNILLEFVPGGSISSLLEKFGPFPESGANILVDNKGCIKLADFGASKQVAELATISGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMVTGKAPWSQQYKEVAAIFFIGTTKSHPPIPDTLSSDAKDFLLKCLQEEPKMRPTASELLKHPFVTGEHKQSASTDLGSVMNNGSTSTLSQLTNTKCSPNSTCNDVGDMCNLGSLNYSLASPLKSIQNNNFWQQNDNGGEEDDMCLIDDDNFLTLNGGETGPILENNSDMKKSCDATSDMSNALNPKFDESPCNGVKETKMSMEVDQPSYSEDDEELTESRIKAFLDEKAADLKKLQTPLYEEYYNNLITCSPSGMESNVSSSRREDTARGFLKLPPKSRSPSRGPLGGSPSRATDTVTCTKSPGSGSSREVIMNDGGDEASQDGVPARVSDWRGLIVDTEQESKSQSAALSEIEKKWKEELDQELERKRQEIMRQAGTGSSPRDRSLSRHREKSRFASPGK >A06p050950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26761409:26762509:1 gene:A06p050950.1_BraROA transcript:A06p050950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVPLSVSPNPLISRQCHRLYSPSTSFKGNVSVIGANPCHILPLKLHLKRRTGLLLVFNQTSSSSSPEAAVGSERFRLDNLGPQPGSRKRAKRKGRGISAGQGASCGFGMRGQKSRSGPGIMRGFEGGQTALYRRLPKLRGIAGGMRSGLPKYVPVNLKDIETAGFEDGDEVSLETLKQKGLINPSGRERKLPLKILGTGELSVKLTFKARAFSTSAKEKLEASGCTLTVLPGRKKWVKPSVAKNLARADEYFAKKRAAAAETAASEPATSA >A01g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25175001:25175458:1 gene:A01g509290.1_BraROA transcript:A01g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAWCVAPRDKMVLLPNSSSTAERRHSPTWSFRWDNHNRGRVAGEKASLSWLSDGISRNDVSDIKSDFLSSQGSPLDSFRTQTMQPASGFLYLLLDLPMHLSTDHNNVT >A05g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9817958:9825881:-1 gene:A05g503340.1_BraROA transcript:A05g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHSTFAIMSFDQHDCALHLRKLSPPAFPFPASSSGAQPLLHQATSLSPPENSSLSQWPTLSLSLTVVLSDSCGEKDFKVLICLPFSPQESERTTVRERLRVGRNLRERGVFRRRERGGLVERRLSAGGAKAEHRRSWPERGTPAERAFSGGEHNRADNVLEI >A10p026030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16593949:16597961:-1 gene:A10p026030.1_BraROA transcript:A10p026030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIEVVEEVQSNHKENGESSSKPIEDESLKNDVYTAAAYGDLEKLHRLVECEGCSVSEPDGLGYYALQWSALNNRSAVAQYIIEHGGDVHATDHTGQTALHWSAVRGATQVAELLLQEGARVDATDMYGYQPTHVAAQYGQTAFLCHVVSKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMITDNTGLTPAQLAAEKNHRQVSFFLGNARRLLEKRCDGSTPLGKLSKLGLAPVLWFMILLLLLIYTNSVILASNLPKLTTGIGSLAWLGFILATAGLVLFYRCSRKDPGYIRMNIHDPQTMKDDVGFLNLLQEPLLKIELNNPALLSGNWTQLCATCKIIRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKREFFLFLLLEVLAMMITGGVTLARVLSDPSAPSSFGAWISHVATNHVGALSFLIVESCLFFSVAVLTVIQGSQISRNITTNEMANALRYSYLRGPGGRFRNPYDLGCKRNCSDFLLKGYNEDIECQEEDTTPRQEGISMMQMERSSNLQNGNGHVAIDVNPIHNSQSGHVHSSKCSHGHSSKPKSDSVPLGLGLGLGRNPTRAVVPP >A05p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1569931:1572520:1 gene:A05p004060.1_BraROA transcript:A05p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLNQMFGVSSGAGPYRESPMTGLESLNFIDEIQQLAATFPPDNTGSFTALLEMPATQAVELFTSSSPAAGNTAPPTLHPLRRLNFPPDLAAVIAAEQNGNISGESSSFGIRVKSEPEETDSSQRFDSHPTVENQNRNKRKEPYSINEDNGFYCVNASWIVPLRWRSESVFWGPSSDLKFEKVSGFLWRRVSSVVSSLISLIQGTALVLDEIINHVQSLQHQVEMLSMRLAAVNPRIDFNLDSLLASQNSFLMDGSFNGEFYHQLQQWPLDGYHQPEWGREEDHHEASFLMGSATLHSNQVKMEL >A06p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:39633:51187:-1 gene:A06p000950.1_BraROA transcript:A06p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPCFKFTHIHSVSHQFCPASLTQVRHASQKFRQASHISTRSLKIAAVAPTLQGEWIKVEQKGGNTLSDRSSHGIAVVGDKLYSFGGEFSTNIAIDNDLHVFDLNTQNWSIAPAKGSGPDIPKSSFGVRMVAVGTKLYVYGGRNQKEGNNDFYSYDTVKNEWKRLAILGEEGGPEARTFHSMASDENHVYVFGGTSQGDGVTPNPHRFRTVEAYNVSEGKWAQLPDPGENFEKRGGAGLHVVQGKIWVVYGFASSLTPDGKSDYESDKVQYFDPASQKWTVVETKGEKPSARSVFASAVVGKHILIFGGETWPDPKGHFGPGTLSNEGFALDTETLVWERFEGGDEPNTRGWTASTAATAYGKKGLFMHGGKTPQYTRINDLYFYAVEQKGGQTPGPRSSHGIAVVGDKLYSFGGELTPNISIDKDLYVFDFNTHTWSIAPSKGVAPDVKALGTRMVSVGTKLYLFGGRDENKKFDDFYSYDTVTNEWTKITTLDQEGGPEARTYHSMASDENHVYVFGGVSKGGTNKTPFRFRTIEAYNIADGKWAQLPDPGEQFPRFERRGGAGFVVVQGKIWVVYGFATSPDPNGKNDYESDQVQFYDPATQKWTEVETKGDKPCARSVFAHAVVGKYILIFGGETWPDPKAHLGPGTLSDEGFALDTETLVWERFGGGAEPGQLGWPGYTTATVYGKKGLLMHGGKRPTNNRTDELYFYAVNSA >A01p043390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24914926:24916545:-1 gene:A01p043390.1_BraROA transcript:A01p043390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEAIWHDIRYIHCCYMAKIETGIFCRNPYNVTGICSRSSCPLANSRYATIRDHDGVFYLYTKTIERAHTPKHLWERIKLPRNYEKALELIDKHLLYWPEMLQHMVKQRLTKMNQMRIRMRILALETREKIMCMPMPRREIKREARREEKTGIAAVFDNAIETKLLENGVYYPDILNYLENNVQAEEEEEEEEEEPEIEYVEGYGELEKEEEDMEDFYGFLFKESHLDDHGSEDEDGDDAAEQVVIHRKGRALRKYDDNGKSKKKPRVVVVEVEQESGDTRQSL >A09p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:716645:721236:1 gene:A09p002900.1_BraROA transcript:A09p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLIGRESRGGGGSTDRIVRRSEAITHGTTFQKAAALVDLAEDGIGLPEQILDQSSFGESAKYYFIYTRLDLIWSLNYFALILINFFEVLTLVILLVHTFFPISYEGSRIFWTSRLNLVKVACVVVLIVDVLVDILYLSPVAFDFLPFRIAPYVRVIIFILSIRELRDSLILLSGMLGTYLNILALWMLFLLFASWIAFVMFEDTQQGLTVFTSYGATLYQMFILFTTSNNPDVWIRAYKSSRWSSLFFVLYVLIGVYFVTNLILAVVYDSFKEQLAKQVSGMDQMKRRMLEKAFGLIDKDKTGQIDKEQCIKLFEQLTNYRTLPKISKEEFGLIFDELDDTRDFKINKDEFADLCQAIALRFQKEEVPSLFENFPQVYHSALSQQLRAFVRSPRFGYAISFILVLNFIAVVVETTLDIEESSAQKPWQVAEFVFGWIYVLEMALKIYSYGFENYWRDGQNRFDFIVTWVIVIGETATFITPDENTFFSNGEWIRYLLLARMLRLIRLLMHVQRYRAFIATFITLIPSLMPYLGTIFCVLCIYCSIGVQVFGGLVNAGNKQLFKTEMAEDEYPFFFMHSLLEKLSYLLFNFNDYPNGMVTLFNLLVMGNWQVWMESYKDLTGTWWSITYFVSFYIITVLLLLNLIVAFVLEAFFTELDLEEEEKCQGEDSQERRNRRRSAGSKSRSQRVDTLLHHMLGDELSKPECSTTATDT >A01p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5631343:5634495:1 gene:A01p011630.1_BraROA transcript:A01p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDKVPVACPASTGEGKEPMGDPTKTTTAMLDKGTAMLQSMKPIKQMSLHVCSFACYSHDPGRQIEVHMYGHRVNQDFLQCAVYDSNSSKAHLIGIEYIVSEKLFQSLSPDEQKLWHSHDYEIQMALLVTPRVPELVAKPELKNLAKSYGKFWCTWQIDRGDRLPLGAPSLMVSPQDVSLGRIKPELVKKRDEEHGISTESLKPSREGICGPEKKNLIADYWVRFRTGFAIDVVETDMKRTAPFPFLSISLKLSTMKHKVSKRVISLKWVTFICISFFVFGAIFTSKSWEPSSDSRSQLISQRGRDHELQIVSDDCAHKKVCFLIQLQLRRLRLDCKVNGIMEEVKKKKDVYSVWALPDDETEPRFRKLMEALRSEFSGPRFDPHVTVVGATSLTAEEAKKMFESACDGLKAYTATVDRVSTGTFFYQCVFLLLKSTPEVMKAGEHCKNHFKCSTTTPYMPHLSLLYAELDEEGKKKAQEKAYSLDNSLDGLSFRLNRLALCKTDTEDKTLESWEKVAVCNLGP >A03g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31141877:31142750:1 gene:A03g509640.1_BraROA transcript:A03g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPLSPETFSPPSTAGHPDLFRPVETTPTATTHRRWGARPIEAFVDLAGVVDLAGASAIHRPILTRRQNHEEERTRSVLASIASAVSYAAPSDSTPHTVGEGEGVSEKTSPLTPRQKHTHDVVPGLGQSELRLISSYEAFGENVKPIAAAF >A07p007430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:988892:990857:-1 gene:A07p007430.1_BraROA transcript:A07p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTSRSRSSSSSSSSRANPMFLQYFRRIVKWQQMDIEYTFWQMLNLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICSLLLILSTLAYCATYDHSGSHAVVVVISVFLTHFLITGGLIATCCWFLTNSYLREEAPNSHVVEQRVEWLYTFDVHCNSFFPMFVLLYVVHYFLSPLLITHGFIPLLLSNLLFMVGASYYHYLNFLGYDVLPFLERTTFFLYPIGIVIVLSPILILSGFNPSNYFMNMYFSQRL >A08p040580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23099723:23100709:-1 gene:A08p040580.1_BraROA transcript:A08p040580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNGFGVEVTGLSPAVTEKDLIDFFSFSGAIEDIDIVRSGEQACTAYVMFKDSYSQETAVLLSGATILEQRVCITRWGQHHEEFDFWNATQRGFVDDTNSHPHPQRGEFTAGEAVTKAQEVVKSMLATGFVLGKDALAIAKAKGFDESHGVSAAAAARVSQLDQRIGLTDKIFAGVEAVRLTDQKYQVSDKARSAVSATGRTAAAAATSVVNSSYFSSGALWLSGALERAAKAASDLGSRGSRQ >A07g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15500013:15500530:-1 gene:A07g506440.1_BraROA transcript:A07g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNKVDDRPKSTDVLQWMREGSQACHLQVESKRCRIGGGEGVDSVEVNLELERVTVVGYVERKKVLKAVRRAGKRAEFWPYPDMPRYFTSSDHYFKDTTREFRESYNYYRHGYNLSDRHGHIHVTNRGDDKVSNFFNDDNVHACRLM >A02p005620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2439034:2444875:1 gene:A02p005620.1_BraROA transcript:A02p005620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLTTSSASPAVLRRQASPLLHKQQQVLGLTFASALKPGGALRFTPRRRPLHRPITCSVSPSTAEPSSEVKKKKTLVRRDDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVMQERIMDSNDLERERGITILSKNTSITYKNTKVNIIDTPGHSDFGGEVERVLNMVDGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNATDEQCDFQAIYASGIKGKAGLTPDDLSEDLGPLFEAIIRCVPGPNIEKDGALQMLATNIEYDEHKGRIAIGRLHAGALRKGMDVRVCTSEDSCRFARVSELFVYEKFYRVPADTVEAGDICAVCGIDDIQIGETIADKVHGKPLPTIKVEEPTVKMSFSVNTSPFSGREGKYVTSRNLRDRLNRELERNLAMKVEDGETADTFIISGRGTLHITILIENMRREGYEFMVGPPKVINKRVNDKLLEPFEVATVEVPENHMGPVVELLGRRRGQMFDMQGVGSEGTVFLRYKIPTRGLLGLRNAILTASRGTAILNTVFDSYGPWAGDISTRDLGSLVAFEDGTSTSYALASSQERGQMFVGAGVDVYKGQIVGIHQRPGDLGLNICKKKAATNIRSNKDVTVVLDTPLTYSLDDCIEYIEEDELVEVTPLSIRMCKNPKMAKKGRQDIEEVPCSGSWRMELKRSHQWLTEESGSELFSSKRQVVEIDGFSTPHMSLSAWESSLVPGQLTDCLFDPANAQHSAHLLGRNISPVDGQYIEEQSIGFPVAHGSSFDLDAIRKVRVNEVCEPGNIPESMVQFYGEGTSRSFGMGPSCDSTLSYGQTCSNIDKSFILPGPLAGGNFSYSKGNENVFSTFQPFEKGVENFALMSQSLYTEGNEMAFMVSNQGRADQNNDQVSHADRSKTISFGDYQSSPVHVINSFENFNHAPKVLLHTEAEENMSFEFRSPPYACPGVDTLLVPKSKDSKTAKKGSTNTFPSNVKSFLSTGVLDGVTVKYYSWSREKNLKGVIKGTGYLCGCGDCNLNKVLNAYEFEQHANCKTKHPNNHIYFENGKTIYGVVQELKNTPQEKLFDAIQNVTGSVINHKNFNTWKASYQVASLELQRIYGKDVVTLAS >A07p014630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9086820:9087122:1 gene:A07p014630.1_BraROA transcript:A07p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDAKTLPERRKKQSKVGTEGDSTEEVEKAEDDTVRENPEVKPSSSPKLQSETSEPSASGNREKDAPPEPSASGHRRDRYAVRGKTRQPILREPSSCQK >A03p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5343126:5345739:-1 gene:A03p013600.1_BraROA transcript:A03p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVGARNFAAMAVTAFPSRSSRRRFPVVKRYSFKNLRCGLCSRVVRASVGGGGGGSGSESCVAVREDYADEEDFVKAGGSEIMFVQMQQNKDMDEQSKLVDKLPPISVGDGGALDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFNDLGLQKCIEHVWRDTIVYLDDDNPITIGRAYGRVNRRLLHEELLRRCAESGVSYLSSKVESITEAPNGLRLVSCERNTVVPCRLATVASGAASGKLLQYELGGPRVCVQTAYGVEVEVENSPYDPEQMVFMDYRDYTNQKVRSLEAEYPTFLYAMPMTKTRVFFEETCLASKDVMPFDLLKRKLMLRLETLGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGCYSVVRSLSEAPNYASVIADILKHDTTSFTKHINSNISRQAWDTLWPSERKRQRAFFLFGLALIVQLDIQGIRSFFHTFFRLPKWMWQGFLGSTLTSGDLVLFAFYMFIIAPNNLRKGLINHLVSDPTGATMIKTYLRV >A10p001100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:556937:584436:-1 gene:A10p001100.1_BraROA transcript:A10p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPVGYRFHPTDEEIVGDYVRPKNIESNTSRVDEVMNTVDIYEFDHKSRINSTDTVWYFFGCKKDQHNRGERQSRRTKSGFWKKTGVTMDIMRKRGNREKIGENRVFVFQYSKILGGPSKPKSDWVMHEHVATFLSPDSPNQTMMMMKCTVCKVMFKGDERVLSSSSSSAGQIQHHHLSLIPHVKSNNSGGLSTETEVEPRQFTGFPHLEEETLFVDEILRGFNNPPTDDWNSLFSNDEEQGNTMFMQEDRNDYRPKMSLTGVFIGHSDDDSDSDSISSRTTTGSIKTSSTCVTFGCSNHPTDLPESPSSSTIESGSVTQEVSQALGTNTVTSERKMNPCDDDAQLSEIGGDKIDQEMVIKNKRAAQSSSTRKETDNVLYFFCPKENRYNRGERQSRKTKSGSWKKTGVTTNIMRKRGDCEKIGEKRVFVFQYSKILGGSKSKSDWVMHEYVATFVFPAQTPMVTYALCKVMFKGDASDLPSSSAAAPAGGGGSGGSGGGGCEGGGGSGGGGCDVRGGDGDGGGNRGGGGGSGGGCDGGGGGGDGGCDGGGGSGGGGEVEHTHSLITPMNNRGGGMSAEAEAETERSSSELHNLRQFSGFLHLEEETQMEDAIRRAINNLSPHDLNCLLNNDYDDEEQGNTQEPLLASPWGVRRMRRVESTIKVQWRVLVGIEGCVQRRLRTLTSLESVYALVMLVCVPSTSMFFSSAKSRRESRDQVWYFFCRKDKRGERQSRKTKSGFWKKTGPTMDIFQKRGDREKIGEKRVLVFHLSGSKSKSDWVMHEYVATFLPPTDQVSKSSLDASKEKYDDVQGTEMGEYYKMDQEVINSKIGKSSSMLHILIVFCFFFSARLRLTQKVYRQRREMGDPVGYRFHPTDEEIVGDYVRPKNIESNTSHVDEVMNTVDIYEFDPWELLCKSRINSTDEDQQNRGERQSRRTKSGFWKKTGVTMDIMRKRGNREKIGEKRVFVFQYSKILGGPSKPKSDWVMHEYVATFLSPNFPNQTMTYTVCKVMFKGDERVLSSSSSAVAGEIEHGLSLIPLVDTYSAGLSIETEVDPRQFTGFLHLEEETQFEDEMFRVFNNLPTDDWNSLFNNDEEQGNTMFMQEDRNDYRPKKSLTGVFVSDDDSDSDSITTTCSLKSSSTCVTFGSSNPPIYLPDSPCSTIESVSLTQEVSKALGANSAISEKKMSPCDDDAQVNEIGGDQMGQEMDDTKIHQENQAMFLCLENMMLIAKLVCPLLKKWKIEWVSDSFLRTRRSWTITSGSKIAVVPTLTMSIKPLEQSTSVASILGTKSRRESRDQVWYFFCRKDKRGERQSRKTKSGFWKKTGPTMDIFQKRGDREKIGEKRVLVFHLSGSKSKSDWVMHEYVATFLPPTDQGLQDPSQFNVSTDDFNCFLNYNDDEEEQGNTMFMQYDLLKKHLVSKSSLDASKEKYDDVQGTEMGEYYKMDQEVINSKIGSFFTGNPKLHQEN >A08g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6057839:6061300:-1 gene:A08g503250.1_BraROA transcript:A08g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVWTTQVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSLQISKSIAKITSALTRRLPGKSSTARRLKAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMVIL >A03p056200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24299721:24301343:-1 gene:A03p056200.1_BraROA transcript:A03p056200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20900) TAIR;Acc:AT4G20900] MCPFEDRRAPPGVYWTPPPARRTENAAAMPMPMSERRRPPSSEKRDPFHIVHKVPSGDSPYVRAKHAQLVSKDPNRAISLFWAAINAGDRVDSALKDMAVVMKQLDRSDEGIEAIKSFRYLCPFEAQDSIDNLLLELYKKSGRIQEEAELLEHKLKVIEQGMGFGGRIMRAKRVQGKHVTMTVEQEKARVLGNLGWVHLQLHNYGIAEHHYRRALCLEPDKNKQCNLAICLMRMGRIPEAKSLIDAVRDSSAEIESGDEPFTKSYDRAVEMLAEVESKDPEDGLSDKFYAGCSFANGTMKENKAPRNANRNHSHVPPSPASVRQNSAGLFTQPRGCKGDQKNGVSEEETGGAARKLLFDKPIGSQRVKLLKSGEGEQHMKGKKLDQNMIHDLHEYIKDTADCLKSGSKKSWADMAEEEDEESVQSQLKTAET >A01p046850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26356334:26375683:-1 gene:A01p046850.1_BraROA transcript:A01p046850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAHVSLTLPTSLSKTKVNLTKQTMSTTIRFTLVILSVLLASSSLGGVTAKLLEQNLMERNIFERWLVENHKNYNGLGEKDQRFQIFMDNVRFVQEHNSVPNQSYELGLTRFADLTNEEFRAMYLRKKMERTRVSAKAERYLHKVGDKLPDEVDWRAKGAVVPVKDQGSCGSCWAFSAIGAVEGINQIKTGELVSLSEQELVDCDTSYNEGCNGGLMDYAFQFIIDNGGIDTEEDYPYAATDVNMCNSDKKNTRVVTIDGFEDVPENDEKSLNKALAHQPISVAIEAGGREFQLYQSGVFTGTCGTALDHGVVAVGYGTSQEGQDYWIIRNSWGSNWGESGYVKLQRNIKDSSGKCGVAMMASYPTKSSSINPPKPPPPSPVVCDKSYTCPAKSTCCCLYEYKGKCYSWGCCPYESATCCEDGSSCCPQAYPVCDLEAGTCRMKADSPLSVKALTRGPATATTKATNVLTKRIKEPFSFSALIVPPSTSSPTLTNKASSSHQSIPIPSQQTTHETIQHPILPSPVLTGDANASSSSQTIPSSLIQKIRLSEDKTLHRRAPVTISASGRPRVLIPDSVFQKGAELHKDFIICYFNGKALPFNQIQSVFNHMWGKGKRLEIHNNPLNRSVLARIHSEYLRQKILEKNIWYIGDSMFHTAQWSSVHSTSTPPLKAIRIWAHLTGVPLDLRYEAGLSLVAGLIGEPKETDDFNKNLVSLTLAHVKVEVDLTQPLPAVVEFKRENGEVVEVSVHYPWVPPTCSHCHELGHVMRNCLHYTPPPADEVAAKKKQPVNHGSTKKYTNQEASKQSKKYRHVSQSKPLPSQSLFTTEDLGLAVVPAASDAPLFDPITAVVPSLAPTPLLSPHQSSFAIIPGLTLNCSASINHSLNPFLTPEPQQRPSLKRSPDPDGRIILIWRDSINVQILSESRQCITCKINFPNHQPILYSAIYASNLSSERVELWTELIHLQTSLGLDNTNWILVGDLNQIIHPMEHSEPSITAPDFLMYQLRDCFTQLGLFDLRYIGPTLTWINSRPENPISKKLDRLMVNNSLVSSFPDVLASFLPPNFSDHSPCVIDLAFNLPLAGTQPYKFQNYLIKHPATLYWLWTERNTRLHTQMFRSVDQIFKLLDRQLKNKLQSFRDTNRTRSASLLTSLSKSKLSLPC >A07p049560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26330022:26331224:-1 gene:A07p049560.1_BraROA transcript:A07p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMASLAATLLVLALSLGFVSETTANYYYSSPPPPVKHYTPPVYKSPPPPVKHYSPPVYKSPPPPKKDYEYKSPPPPVKHYSPPPVYKSPPPPKKHYEYKSPPPPVYKSPPPPVYHSPPPPKKHYEYKSPPPPVYKSPPPPVYHSPPPPKKHYEYKSPPPPVYKSPPPPVYHSPPPPKKHYEYKSPPSPVYKSPPPPVYHSPPPPKKHYEYKSPPPPVYKSPPPPVYHSPPPPKKYYEYKSPPPPVYKSPPPPVYHSPPPPKKHYEYKSPPPPVYKSPPPPVYHSPPPPKKHYEYKSPPPPVYQSPPPPVYHSPPPPKKHYEYKSPAPPVYSPPPPVHYSPPHHPYLYKSPPPPS >A09p061030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50429542:50431108:1 gene:A09p061030.1_BraROA transcript:A09p061030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPVNQFDSHNWQQQGNTQQLDRVTSDQNPLQHFSSPPAAQAGSSQARVNSMVERARIAKIPLPEAALKCPRCESTNTKFCYFNNYNLTQPRHFCKTCRRYWTRGGALRNVPVGGGFRRNKRNKPNGGGRSKATVAVSADNNNTTSLLSCHPSYSNSSKFLSYGQMPGFNSNLPILPPFQSLGDYNSSNIGLDWSSSGGIVDPWRISSLQQAQQFPFLINAKGLEQPSTVMYPSLEGNEGVSYANPQKEGSDYSNQLRSKPLMDMASSGDQTAQARNVKAEENDQGGNGLNNLSRNFLGNINIKPVEENDGYTSWGGSSNISSSWTGFTSNNSTGHLSF >A09p032540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19716846:19718255:-1 gene:A09p032540.1_BraROA transcript:A09p032540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHKDHIVVSITYGPSSEGSVTASPISHQAPSVPTPSSLAYSVTPSASRFSSRRASAHVSGLVLRFITLVLCFVAALSLAANVHRPSQRHRSQSSSSFASYPELLYCFGVAVTGLVYTSLQTFKGVCDITHRGILISEPLSDYISFILDQVICYLLVSSSSLAIAWIQHANSDSVKTLRNNSIVSASMAFSAFLVLALSSLLSGYKLCKRFMW >A10p011590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11736273:11738794:1 gene:A10p011590.1_BraROA transcript:A10p011590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSLVSLKNIHNLWVLPVRALLTSIGSVASSECALVVSGGLAEGLGCGLIVLIRVMSTFGNCTRYVRGARRSKGARVCQSVAVFRCQSMAVSRCRSMEVSRCQSMAVSFDSVGVVDRCSREAVDQFLLKSVDRCSRGAVDQFLLKSPLSSRTRIMVATMSHGSVSIDVRTEVSIDVGWKISVDGRVVSVDGGERVSVDETGVWFDDGWRELNDELVLLSIDEEHLSFWIERSKLEGFDENSIQVSLLVLVLLDMH >A03p051240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20601912:20603357:1 gene:A03p051240.1_BraROA transcript:A03p051240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITRPHAAMFASPGMGHIIPVIELGKRLAGTHGFQVTIFVLEADAASAQSQFLNSPGCDVTLIDIIGLPSPDISGLVDPSAFFAIKLLTMMRETIPTLRSKIEVMQHKPTALIVDLFGLDALRLGEEFNMLTYVFMTTNARFLAVALYFPTLEKDVEDEHIIKKKPLAIPGCEPVRFEDTLETLLDRTDQIYQVFVPFGLVFPTADGIIVNTWDDMEPKTLKSLQDPNLLGRIARVPLYPIGPLCRPVDPSKTNHPVLDWLNKQPDESVLYISFGSGGSLSATQLTELAWGLELSQQRFVWVVHPPVDGSSCSEYISANSSDVQDGTPEYLPKEFTSRTHERGLVISSWAPQAEILAHQAVGGFLTHCGWNSILESVVSGVPMIAWPLFAEQKMNATLLNEELGVAIRSRKLPSEEVVLRVEIESLVRRIMVEEEGCEMREKVKKLKDTAEMSLSCDTGSSYESLSRVAKECDRLLERDI >A02p047220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:29493838:29494155:1 gene:A02p047220.1_BraROA transcript:A02p047220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRNLLGANKILGRYVTAAAGTSKRATSAAPKGFLAVYVGERQKKRYVVPISYLSQPSFQALLNKSEEEFGYDHPMGGLTIPCPLVGRRGFNDNVPKEEENGS >A07p009170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5592573:5592975:1 gene:A07p009170.1_BraROA transcript:A07p009170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSNNKNSRSTFVFLDYLSLDLGPQLSLVGPEKVSIDTPFSPSIDTTNELSIDEPSRDRYHTDREPSFRLRPNIYWQGPS >A08p035340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20866042:20867917:1 gene:A08p035340.1_BraROA transcript:A08p035340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLQGFTKSLAMTFVSEIGDKTFFAAAILAMRYPRRLVLAGCVSALIVMTILSATVGWAAPNLISRKWTHHITTLLFFGFGLWSLWDGFKEGGGGSEELAEVEAELDSDMKANGKTTKDKTEDENKKQKRPFLTQFFSPIFLKAFSINFFGEFGDKSQLATIGLAADENPFGVVLGGVVAQLVCTTAAVIGGKSLASQISERIVALSGGMLFIIFGIQSFLTSVEA >A04p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20273563:20275307:1 gene:A04p035060.1_BraROA transcript:A04p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLTMDNNRTRQNMNGSVNWSQQTARASPASLEDLEIPPKFRSFAPSSISTSPSTCFSPSAFLDSPAFVSSSANVLASPTTGALITNESDHKSITKEEKTNNNNSLSLFDFSFQTQPSGLSAPTTTTNSSVLQWSQTDTRPNNNTHQAVPYNGREQRKGEDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVEMSLDGQITEIVYKGSHNHPKPQSTRRSSSSSSTFHSGGLDHHGSSDSFAIQQEDNTTSGSLGDDELSVISRDEEDCGSEPEAKRWKGENETNGGNGNGSKTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRPNAIAGHSHYTTSSQAPYTLQMLHNNNNTNAGPFGYAMNNNLQTQQNNFGGGGFSIAKEEPNEESSSSFFDSFLS >A02p008940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3679131:3683358:1 gene:A02p008940.1_BraROA transcript:A02p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPPPPEMDIQPPALLDDDPSSATWDWGDLLDFAADDDRLLFSPLPPAMITTQSESYPSPDESGSGSDRVRKRDPRLLCSNFVQGMVPCSCPELDQKLEEAELPKRKRVRGGSGVVRCQVPGCEVDISELKGYHKRHRVCLACANASSVVLEGVDKRYCQQCGKFHVLPDFDEGKRSCRRKLERHNNRRKRKPVDKGGVASKQQQVLSQNDNSVTDVDDGKDNTCSSDQRVEQEASLISEDRNIPTQGSVPFPHSINADNFVPVTGSGEAQPDEGMNDTKFEPSPSSGDNKSAYSTVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLATMPVELEGYIRPGCTILTVFIAMPEIMWAKLSKDPVAYLDEFILKPGKMLFGRGSMTVYLNNMIFRGTTLKRVDVKLESPKLQFVYPTCFEAGKPMELIVCGLNLVQPKCRFLVSFSGKYLPHNYSVVPAPDQDGKRSCSNKLYRITIVNSDPNLFGPAFVEVENESGLSNFIPLIIGDKAICSEMKLIEQKFNATLFPEEQDVTACYCSLTCRCRDFKERQSTFTGLLLDIAWSVKVPSAECTELTVNRCQIKRYNRVLNYLKQSSNSPSILGNILHNLETLVKKVDPGSLIHSKCDCDVRLLHENMNLARKQQSDEDSKVNPVTSACCCESSFHKDKPSRTLNFNQDPEAGLDCKERIQAATPDTGGKETDPLLEKEIVMNVSDIGDWPRKSCIPIHSAQTIRSRQTAFLITTFVVCFAVCAVIYHPNKVTQFAVAIRARLAHKL >A10p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20875378:20877700:-1 gene:A10p036780.1_BraROA transcript:A10p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAVKYTPIHNGTTTTATAATEIKSFFSAVKPRKTSTFAYAFVITFVAVTLFFAFSPSTNSSSPWFSNIFSSFSSTTTAPSPDGSHFSSIFSYIIPNATSTNPTNRSSDATSNTTVSDVAKNSTLQSPPPESSTPMVKDKTFESPVVNRTNPVAKNSTLQSPAPENRTPVAKNGTNPVAKNSTLQSPAPEKRTPVAKNATFGSPVVNGTNPASQPLLPGKSPTGSSNQTRTTTDDVRNQTSAPPVSVDLTANSSSSSKGDSVSLVKQEIEKWSDSLKNCEFFDGEWIRDETYPLYKPGSCKLIDEQFNCISNGRPDKDFQKLKWKPKKCSLPRLSGGILLEMLRGRRLVFVGDSLNRNMWESLVCILKGSVKDESKVYEARGRHHFRGEAEYSFVFQDYNCTVEFFVSPFLVQETEIVDKKGTKKETLRLDLVGKSSEQYKGADIIVFNTGHWWTHEKTSKGEDYYQEGSNVYHELAVLEAFRKALTTWGRWVEKNVNPNKSLVFFRGYSASHFSGGQWNSGGACDSETEPIKNETYLTPYPSKMKVLERVLRGMRTPVTYLNITRLTDYRKDGHPSVYRKHSLSDREKKTPLLYQDCSHWCLPGVPDSWNEILYAELLVKLSQLGKARRDP >A04g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4228869:4233423:1 gene:A04g501750.1_BraROA transcript:A04g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPNSDSSEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQD >A08p005170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2982409:2982909:-1 gene:A08p005170.1_BraROA transcript:A08p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTSPSHIQQRPYGSPIAPPRGNNINHPIASFLRQLQSQSPEHSRQRFGLLAFFISGGILLLLTGITVTAFVLGFIAFLPIIIISSPIWIPLFLLVTGFLSVAGFLFSTAIVMSWMYRYFKGMHPVGSEQVDYARSRIYDTAAHVKDYAGGYFHGKLKDAAPGA >A08p018100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12568297:12569855:1 gene:A08p018100.1_BraROA transcript:A08p018100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTSMIQRLFTQGTKIVCVGRNYAAHAKELGNAVPKEPVIFLKPTSSYLENGGTIEIPHPLESLHHEVELAVVMGEKARDVPESTAMDYIGGYAVALDMTARELQASAKASGLPWTLAKGQDTFTPISSVLPKAMVHDPDNLELWLKVDGETRQKGLTKDMIFKVPYLISYISSIMTLYEGDVILTGTPEGVGPVKIGQKITAGITGLSEVQFDVDRRVKPLS >A02p000370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:212746:213387:-1 gene:A02p000370.1_BraROA transcript:A02p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSKSQKFKMGDAEIVQGVNCPIARWIYRGICMLAVVSWLIELALEPEFSSLSEPKQKESPGMLVLCSAPFLIYTVVVVRSFYLPVPTSFDLQADWRLLLSCFLAVMTVTMCAASTRRYVEVPILVFSATSGIYSALLLEWPVKDLCVQHVVYTYFAVALIGYLGYQRPVWPACLFVVALVIVNAFIFYGVLRLDLPEPEEEERDYQDSPV >A09p083570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60153526:60155442:1 gene:A09p083570.1_BraROA transcript:A09p083570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNVMLLVAIVAAYWLWFKRISRWLKGPRVWPVLGSLPGLIEQRDRMHEWITENLRACGGTYQTCICAMPFLAKKQGLVTVTCDPRNLEHMLKTRFDNYPKGPTWQSVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILESAQAKAEPIDLQDLILRLTFDNICGLAFGKDTKTCAPGLPENSFATAFDRATEASLQRFILPEFMWKLKKWLGLGLEVSLSRSMEEMDGYLAKVINTRKQELMSQQESGHDDLLSRFMMKKKEAYSDTFLQHVVLNFILAGRDTSSVALSWFFWLITMHPTVEEQIVREICSVLIETRGTENAASWTEEPLGFDEIDRLVYLKAALSETLRLYPSVPEDSKHVENDDVLPDGTFVPAGSSVSYSIYAAGRMKSTWGEDCLEFIPERWISPIDGKFINHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVLLRHRITVVPGHRVEQKMSLTLFMKNGLLVNLHKRDLQGTIKSLVVVKSDCVVNGKCDGVGV >A07p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1435936:1439898:-1 gene:A07p006840.1_BraROA transcript:A07p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPEMVFRTTNKAFMDEMRNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGSFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNEKSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDLFLGKNMSLRVNSTAHVLHAFVNGKHIGSQHAENDKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLIGFESQLFRTESMSKWSVESVPFNRTMTWYKTTFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSKNGCDANCNYRGAYHAEKCLTNCGEPTQRWYIFHILLGSRIKYVNVEHENLYWDFVFFVLFLNRYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSLCANVYEKNIIELSCDRKPISAIKFASFGNPDGNCGSFVKGTCESSNNTVDILTQECVGKQKCSIDVSTKRFGTPDCSGAVRRLAVEAIC >A02p002250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:941920:942285:1 gene:A02p002250.1_BraROA transcript:A02p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPKLELKLNLSPSTSSRRRMVRSPSRSATTSPTSPQSSCVSTEMNQDESSLRYSTSPEATSMVLVGCPRCLMYVMLSQDDPKCPKCKSTVLLDFNHENASNANAPADASSGRKTRRN >A06p042140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22648519:22649803:1 gene:A06p042140.1_BraROA transcript:A06p042140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGLGAMGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGTIKRVIRSQNRVIVEGKNLIKKHIKGGPDHEGGIFTVEAPLHASNVQVVDPVTGRPCKVGVKYLEDGTKVRVARGTGTSGSIIPRPEILKIRTTPRPTTAGPKDTPMEFVWEQTYDAKTGQGMPDL >A09p049370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43691490:43691938:1 gene:A09p049370.1_BraROA transcript:A09p049370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYHYLALAVDFSHILSCVSKAKSSVDDANDVGMIQEADTAVCINGVQIMQFMTAQFRFLERLLMFMETEAINE >A06p036360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19734926:19735506:1 gene:A06p036360.1_BraROA transcript:A06p036360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSEMEKMVFRKAMLLAFLFSLSCALEGEARVNEEMRDVTIQRGGSCNNDNVCHNHCPGCAITRCIFNQCVCSRCNPPQQQLRDVTIRRGGSCNNDNVCHNHCPRCAITRCIFNQCVCSQCNPPHQHLRIKSHM >A03p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5888017:5888751:-1 gene:A03p014870.1_BraROA transcript:A03p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNNTREGERTSKQQPQVPMSLKLVDTCLRLSVVPLSVATIWLTVSNHQSNPDYGNLDYNSIMGLKYMVGVSAVCAIYALLSTISSWIACLVSKAWLFFVPDQVLAYLMTTSVAGATEIVYLLNKGDKPVTWSEVCSSYPHFCSKLTIALGLHVFVLFAFLFLSVISAYRAFSLFDPPCDPQTNNNA >A08g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15086005:15086613:-1 gene:A08g508260.1_BraROA transcript:A08g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPVTSSGASMLLLARLHQTLITYSHFYTASIFKAVHAFESGVKPSPSSPNQLRCIGPWEWIPAIYLLSSPFLCVLRPWRMLWVITSRIKSHQKVVHNFPPLISPKTASLWPSHHHGSWIGNPTNSLSFLCVSLCFRM >A05p003330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1213481:1213666:-1 gene:A05p003330.1_BraROA transcript:A05p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVMMVLLAVVPAVLPPLPPPPMILMGIPVVLMLMLVYLAIYYPPLSSSSFDTTSSQVM >A01p057830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32794576:32797127:1 gene:A01p057830.1_BraROA transcript:A01p057830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWFGCDCFYWSRGFSELDSEPSEPKPFSLPSPLPRWPQGKGFANGRINLGELEVVKITKFHKLWTSDSSHKKSKGVAFYRAEEVPQGFHSLGHYCQPTDKPLRGYILAARASKPAKTDDPPPLKKPVGYTLVWSVNTEKNGGGYFWLPNPPAGYKAMGVIVTDKPKEPETEEVRCVREDLTESCETSEMILSKKSNPFSVWTIQPCERGMRSQGVSVGTFFSCTYELSSHETVRDIACLKNLDPTLHAMPNLNQVHAVIKHYGPTVYFHPEETYMPSSVQWFFKNGALLYPQGKPISSTGSNLPSGGSNDLEFWIDLPEEEEAKSNLKKGNLESAELYVHVKPALGGTFTDIVMWIFCPFNGPATLKIGLFTLPMTRIGEHVGDWEHFTFRVCNFSGELWQMFFSQHSGGGWVDASEIEFVKGNKPAVYSSKHGHASFPHPGMYLQGLSKFGIGVRNDVAKSEYVVESSERYVVVAAEYLGGGVDEPCWLQYMREWGPVIEYDSGSEISKIMDLLPLVVRFSVENIVDLFPVALYGEEGPTGPKEKDNWEGDELC >A09p055440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47434639:47435991:1 gene:A09p055440.1_BraROA transcript:A09p055440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVVLISSSIVQPGNSGQSGRTKIHLTPFDLSLLQVDCPQRGLLFPQPDQDFHLISRLKSSLSAALEIYFPFAGRLAKVENLEDNTVSFHIDCDGSGARFLHAEAKSLSNSDGLTEPLLAVQVTEMKDGVFLGYYYNHMVADGVSMWNFLHTWSMICSSGSSSGQQPLVLKRWFLEGVSYPIHIPVSEAEKPPPPSRELSSVPVTQDRVFHLTKKNISDLKAKANSQVGSSDKNISSLQAVSAHMWRSIIRHSGLTGEGETHCKVVVDLRQRVNPPLEKDCFGNMVYITPATTTVEELLGRGLGWAALQISKLVSSQTNENCKAFAEDWVSNVRNLKTGVGSRMAGDTVLVSSSPRFDVYRNDFGWGKPVAVRAGPGNSISGKLVLFPGIDEGSFDIQATLWCDVLVSLLADVEFLEHVTTMV >A06p024330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15539096:15541345:-1 gene:A06p024330.1_BraROA transcript:A06p024330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSGVAEENTVSSKGIRKKVKNSESVALQRYKIDTYNLVDLDKVLNDVYCSCRPVSADYEARKDTLKHINALAFDIYGDSKGGRPVLKAYGSFAMDMFFARSDLDVSINFGDGVSELPRDSKLQILERFAEKLHSLQGEGHVRNVVSILSARVPIVRFLDQRTCVECDLAVDSKEGVLNSRIIQIISQIDDRFHKLCLLIKHWAKAHDVNSALRNTLNSISITLLVAHHLQTQDPPILPPFSVLFKDGVDPPNVEKRTREFLNKEKRNKESLGRLFVTFFVKLQSVEFLWRQGLCVSLRSGLWISKRWRRAGVGINVEDFLDVSQNVARVVNDRGAKKIYSSINQTVEELFEFLNGKIDGAHLKDKLFVPQPLVEPPPPVPQVEVYHQPPHHNYRCDEFKGHHNKRPRFGNGGEEPIHAAGDDSHAIDLPPPPPPFGRVYRIYCDKFGPQNLP >A02g512990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35688672:35690023:1 gene:A02g512990.1_BraROA transcript:A02g512990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRKILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSETGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNSKIPCVGPHPKNVILLACDAFGVLPPVSKLDLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMLHPTKYAAMLAEKMQAQGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLNATYQKTEIFGLEIPNEVEGVPSEILEPMNAWEDKVAYKDTLLKLAGLFRNNFETFTSHKIGEYGKLTEEILAAGPNF >A06g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12937177:12941338:-1 gene:A06g504180.1_BraROA transcript:A06g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMLPGWDPNLAYGDGSGSSEAPILDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEVGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKTERKGKREIVEVMRTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSYRGHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASPRWPYLYLPGLAVGGFESLAALRDQSVGFRARPRCTFGLRFVRLLAGYRFSCCDFFLTRTDLNALLSFCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLNVFRRVLKFCFMPRVFLLGSRCRDVRLDRVDRGWVDAIFRMFRLSCRVGFGFMSSFNVRCVCVDRRFYFTACMRGDRAWFVRFESQRILLRKNFVLVIFTKLHLFFYYLFRKYDLRGFSGGNSVVTVFDPNKAL >A01p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3274613:3278867:-1 gene:A01p006490.1_BraROA transcript:A01p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQEERSPSLDDCLKLLKGERDEQRLAGLLLVTKFCKNDDLVSLKKVYEAVGIHFLDCLLRTGSGDGGENRDVYLRLSVTVLAAFCRVPEIASSVDMVSRIPLILEIMSKRPGTNILEECYELLYLVSTACEAGVMALVNSGGLRVIAPQMSDLPDGSHAMEVAIKILQLLVCKISSESMNIERFFELSLVVAAVARQFSVLHNALKFEALHLLSAIFCSDYSALLHEPLRSMPDNNWADYMRTGVVSILQNRVAPSEKLHALILAESMMSILGEKWLIGRVKLPSVEDYLPADRCLLLVLESSHVDISVLSNELAYMKYEAPSSTVEEHLLKQRYLAIAFSLVEKIIKYISTVGENEGTLSDEAVFLKVIKTLDETVKVVLEYLKDAKEHGKKRGNDLLASVRVIGSYLAETPDACKEQVQDLLDYMLSVEGEEESRPFLSTCFLLPMLCQITMKDEGCRLLASSGGYVAVVECLVKLIQSDGQNGEDNGSIFLACDTVMNILLKREQIRFSPEMSTCTGLLKALVYWADGTKDASVVMMAASICSLVFDFTSEDALLKQPGFDGSSLDRLGRIIARSLPSSGQGTSDTADLLEIIAAGYSRWGDRFPTIKKHNR >A02p006360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2689401:2692171:-1 gene:A02p006360.1_BraROA transcript:A02p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKRKNADGDGDGGATVNSGDDRIPSSASSKSSRATRSTRRIFLLCLAFRVVNALLIQTYFNPDEHWQSLEVAHRTVFGYGYLTWEWKRGIRSYLHPMLFAFLYKVLHLTGLDTPYVMSKAPRLMQSVFSALGDLYLYKLSDALYGKHVAAWSTVLTIMGLYYWPCIRDPSKDHPVNRKWGFVIAALACAIRPTSAIIWLYVGTLELFLTPSKVKFIFLEVIPIGAYLLSGVRSLVLGFTCLLDRMMYGSWVIVPLNFLKFNFLSSGGDYYGTHPWHWYFSQGFLVMLFTFTPLSIAGIIKSKDKKLSALVLWVLTVYSLLGHKEFRFVLPVLPIALIFSGYALAQMETSVSSLSSITTKKQVSRKKHIVKWSPKLILSVLFLLVTNVPMALYMSLFHQRGTEDAMNYLSEEAYKGRVKSILFLMPCHSTPYYSTLHSNIPMLFLDCTPSEEKGKLDESDRFMMDPLGFVTELAGNWSEPPSHIVMFASEERKLRDFIIQHSFREARTFDFNSNVKRFFHAHFKVDRDLQSSVVVYANNIEG >A07p045250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24564924:24569108:1 gene:A07p045250.1_BraROA transcript:A07p045250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNVSSEEFSFPLLASRDSSQFPSGTDSPPLWKHSPENLRRGDHDRGLEKEDGNDQAKSFSYVERKSIWSDKTEEKMDMLWEVLNEELPPRSQSLRMDPCRVVGEKKPSLFPDESSAVAVGGGMKLTKKKMSPNVFVLMRVLKKLLVMRSSSRRSPAKTHPRTKQQMGVIDLQGAPSYTCANVRKSRALRQNITRPCCVQTSSVEESKNLTMGGDSFIRPHLRQLAAYQPILPFEVLSAQLGRKPEDIVKLDANENPYGPPPEATLGNMKFPYVYPDPQSRRLRDALAHDSGLDSDYILVGCGADELIDLIMRCVLDPGEKIIDCPPTFSMYVFDAAVNGAGVIKVPRNNDFTLNVDRIAEVVELEKPKCIFLTSPNNPDGSIISEEDLLKILDMPILVVLDEAYIEFSGVESRMKWVKKYENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYMWRAKQPYNVSVAGELKEDLAKMGVMVRHYNSQELKGFVRVSAGKPEHTDALMDCLKQFY >A07p023930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13806295:13807265:-1 gene:A07p023930.1_BraROA transcript:A07p023930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDDEKNQNREHDRTLADDEQNRSRESNLTLASATPKDEQNRNPRNGSGQILATADPPARALIPLLDEVGPRPVRRYKRFTAVENKAIEDGYKKYGPNWSLITQEYSVELAERSETTPLRVIFHHEASLVEPITTNFPRVEKYLDVVTSIVRSKVKLLNESSFASCGKIEDWVSAYKVTDLSESCKEDTMKKLYHKDPSRRRDGAFFSLLLLYTVGENRDNFSTVGEIVLRTC >A08p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13337674:13337976:-1 gene:A08p019610.1_BraROA transcript:A08p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAFEGEVSTNRRFPLCRSVVVLGNGRKGPVEVQGRRMLLTVGVFTVVCGGHVAVMTL >A06p023020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11883179:11883493:-1 gene:A06p023020.1_BraROA transcript:A06p023020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLMSFAPATVRVYATTKGTSGGAKEEKNPLDFVLGYLTKQDQFYETDPILKKVEEKGGTRSGTTGGRGTVRGGKSSAPVPVAPKKNDGGFAGLGGFFNKK >A03p014070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5585614:5589674:1 gene:A03p014070.1_BraROA transcript:A03p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLSTILLLASAIRSSSSPLNDPFLGISPQDEKYYKSSSEIKCKDGSKRFTKAQLNDDFCDCADGTDEPGTSACPNGKFYCRNAGHSPLILFSSRVNDGICDCCDGSDEYGGNVTCPNTCWEAGKAARESLKKKIETYRQGLVVRRKDIEQAKVGLEKDAAELKKLKSEEKILKGLVQQLKERKEEIEKVEEKERLQKEKEEKERKDAELAAQPAKENSEEKTDGNEKVEGSTAGEEMPGASHDDDYPDEDTYHDEIGNYKDSPTDEEPAAEAEPTSILEEATHTNPADEHVVDTKKESPSSEDPLTDGSQNDGSTKKESDEVKKVDDLVSEKKEELSKEELGRLVASRWTGEKSDKPAAEADDTPKADDQENHEHTPITPHEVDEDDGFVSDADEDTADEGKYSDHEPEDDSYEEEHRHDSTSSYKSDAEDDLDLSETTTSNPTWLEKIQKTVKSILQAVNLIQTTPVDKLEADRVRKEYDESSSRLNKIQSRVSSLEKKLKQDFGPEKEFYSFHGRCFESKQGKYTYKVCGYKEATQEEGYSKTRLGEWEKFENSYQFMTYTNGDKCWNGPDRSLKIKLRCGLKNELMDVDEPSRCEYAAVLSTPARCLEDKLKELEQKLEKMMSQDQPHSHDEL >A10p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14767983:14770227:1 gene:A10p022360.1_BraROA transcript:A10p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSEIKRCGKDPKTFPEGPNSFYVYNQGSKCKRQKGKKKKSERESLDTDPKDIDYWYSVQFNRDPPRFALRSENNNNHRSRIGEFCKTKKRGEMANKVSNFSDLIQRVTASCLLHPLSAGRQDLAANRRGEYDSEEGEIRYEDASENENGEEDETVRGKSKSGGSVVSVEAVQEMEMVMEEVFTAAAALKRAYVALQDAHSPWDPEKMHDADVAMVAELRRIGTLRERFRRMKGGGRRRNDGGRGMLREAVAPYEAVVKELKKEAKAKDAEIENLKEKVKAMVNGNGNGGKKHRLLSSRKVNCTTQVAVSPVPELFEMTMSQVKEASKSFTGILLSLMRAARWDIAAAVRSIEAASAASDGGMSTTASALASSVPNGHAKFALESYICRKIFQGFDHETFYMDGSLSSLINPDQYRRDCFAQFKDMKAMDPMELLGILPTCHFGKFCSKKYLSIIHNKMEESLFGDSEQREVVLAGNHPRSQFYGEFLGLAKAVWLLHLLAFSLDPSPSHFEANRGAEYHSQYMESVVRFPDGRVPAGQVVGFPVCPGFKLSHQGKGSIIKSRVYLVPRA >A09p066130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52604483:52605916:1 gene:A09p066130.1_BraROA transcript:A09p066130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREFNIFNGPTIQVVYTSYITRRAPLYVFFCSEFRHNYPLLSEFLPRVVKRKYPNDIEIFTVLRFAGESQFLEFRIEVCVFDLNPKKIDSYLLIKDEQIVKETSMASQGMVSLTKSLCIMTPKVRLKNTKTTKNLITRSCNLRVLCSKLSQWEQAPFIHDSTEEAGGGIVLEKTKNVFESIVSETEAEEQKIQVFKWPIWLLGPSVLLTSGMAPTLWLPLSSVYVGSKVVSVLSLIGLDCIFNLGATLFLLMADSGARPKDPSQPCKSQPPFSYKFWNVFALVTGFLIPTLLLLGSQTGLLASLQPELPFLSVAVLLFPYFILLAVQTLTEILTWSWQSPVWLVTPVVYEAYRVLQLMRGLKLSAEVNAPVWVVHVIRGLVSWWVLILGMQLMRVAWFAGFASRTTTTTQQPESVASE >A04p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2475776:2476453:1 gene:A04p004800.1_BraROA transcript:A04p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSNLASLPPSMLHKILSKVATSHLRDFGCARIAFSGFNQIGRKEYFYRSADLFNLNDWIDEANALRTFRLRCYQAGNLEAIYIREDFVHNYPGFSREFVDRMNYMITISVSSGHWGYEKPEMDLEHSGHAIIVSGNVQLGTSAMIFT >A04p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21727755:21729266:-1 gene:A04p038150.1_BraROA transcript:A04p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGGFWGLNGFNHRRKKNNSSGDRRNQRKSGSSDSVDVSRDAAGYQFPLKQAVTAGALTFTGDTIAQLSGRWKKRAAALKQSSSDKPDQEELWNLFSEHDWVRALRMSTYGFLLYGPGSYAWYQYLDRSLPKPTATNIVLKVLLNQVILGPSVIAVIFAWNNLWLGKISELGNKYQKDALPTLLYGFRFWVPVSILNFWVVPLQARVAFMSMGSVFWNFYLSSTLSK >A02p053830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32725500:32729614:1 gene:A02p053830.1_BraROA transcript:A02p053830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional L-3-cyanoalanine synthase/cysteine synthase D2 [Source:Projected from Arabidopsis thaliana (AT5G28020) UniProtKB/Swiss-Prot;Acc:Q9SXS7] MSEKAVTIRTRKFMTNRLLSRKQFVIDVLHPGRANVSKAELKEKLARMYENGLDTKIEKSRKQIKERKNRAKKIRGVKKTKAGDPKKKKTNMEDRCLIKNDVTELIGNTPMVYLNKVVDGCLARIAAKLEMMEPCSSVKDRIAYSMIKDAEDKGLITPGKSTLIEPTAGNTGIGLACIGAARGYKVILLMPSTMSLERRIILKALGAELHLTDVKIGIQGMLEKTEEILSKTPGGFVPQQFENPANPEIHYRTTGPEIWRDSAGKVDILVAGVGTGGTISGVGKFLKEMNKDIKVCAVEPAESPVLSGGERGPHLIQGIGSGIIPTNLELSIVDEIIQVKGEEAIETAKLLALKEGLLVGISSGAAAAAALKVAKRPENAGKLIVVVFPSGGERYLSTKLFESVRFEAENLPIE >A08p033930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20275335:20276843:1 gene:A08p033930.1_BraROA transcript:A08p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNCDQKNKMPIISSPNTNPLASMQSKNMIVAPSHQQQQQQPPQLKCPRCDSSNTKFCYYNNYSLSQPRYFCKACKRYWTQGGTLRNVPVGGSYRKNKRVKRPATTTVASTASTTTSSSPNNPHHQISQFSSVNHHPLLYGLSDHVRSCNNLPMMVPSRFSDSSKISSSSGLESEFISSGFSGLGALGLGLPHYLNHDHTINSSFLNNSTTNKPFLLSGLFGSSVSSSSSTLLQHPHKTINNGGEMMGQSHIRTLAPLPHVGGNTDDMNKEGKLDQISGNINGFMSSSSLNPSNYNNIWNNASVVNGACLDLTNNDMREEAWIREIKSGAVLILLKLPRILFISPSTSSSFKLLKKTKEAQDWFKGYYVRLLSSPKVHHMG >A08p029600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18307979:18312347:-1 gene:A08p029600.1_BraROA transcript:A08p029600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDKNLSPSDDKPESEQKSTKPESTASGSSPSSANPPSLNLNAFDFSNMAGILNDPSIRELAEQIAKDPAFNQLAEQLQRSIPNAAQGGGAGGGGFPNIDPQQYVSTMQQVMHNPEFQTMAERLGKALVQDPQMSPFLEAFSNAETAEHLTERMARMKEDPELKPILDEIDAGGPSAMMKYWNDKDVLKKLSEAMGMPIAGLPDQAASAEPEVAEEGEEGEEEEESIVHQTASRGDVEGLKNALASGGNKDEEDSEGRTALHFACGYGELKCAQVLIDAGASVNAVDKNKNTPLHYAAGYGRKECVSLLLENGAAFIKKYIQKSCPLFSDTYTFAMNCMHRSRFLIKSLLSRAKTIARLGSSTLSQPQPPSLSSTVMSRLETHNTKLCIVGSGPAAHTAAIYAARAELKPLLFEGWMANDIAPGGQLTTTTDVENFPGFPQGILGAELTDKFRKQSERFGTTIFTETVTKVDFTSKPFKLFTETRAVLADAVILATGAVAKRLSFPGSGEGSGGFWNRGISACAVCDGAAPIFRDKPLAVIGGGDSAMEEANLLTKFGSKVYIIHRRDAFRASKIMQQRALANPKINVIWNSTVVEAYGEAEGGVLGGVKVKNVVTGEVLDLKVSGLFFAIGHEPATKFLDGAVKLDSGGYVVTKLGSTQTSVVGVFAAGDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIESQQGKSD >A09g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7559671:7561773:1 gene:A09g502180.1_BraROA transcript:A09g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSLILVSIVSLFFLASDGLSETESLLKFKKSLVIGGASGLDSWDRKNPPCKWFGILCDQGYVWGLRLENIELAGSLDIEALTGLKSLRSLSFMNNKLRGPFPNFKKLGALKSIYLSNNKFDVTIPKDAFDGMGWLKKIHLEHNKFNGEIPVSVAKIPKLLELRLDGNQFTGQIPEFTHKLHILNFSNNALSGPIPNILRTMDPKLFEAAVVAVILALLIIIGLIIVLCRRQSYKQPLMSPDSGTSSLRKRAGIQKGDKGQYCCHSKNRVAKRMIHTTKLSFLRDDKGNFDLPDLLKSSAEIMGSGCFGASYKTLLSNGSMMVVKRFKHMDSAGSEEFQDNMKRLGRLNHENLLPIVAYYYKKEEKLFVSDFMDNGSLADTLHGHRSLEQPNFDWPTRLNIVKGVGRGLLYLHKNLPSLMAPHGHLKSSNVLLSENFEPLLTDYGLIPMINAESAQELMVAYKSPEYLKQSRVTKKTDVWGFGVLILEILTGKLPESFPQSDKESEEDITSWVKSIFKGEWTQELFDQEMGKTNNCEGDILKLLRIGLSCSEVDVEKRLDIKEVVEKLEDLMKEREGDDDFYSTYASEADGRSSRGVSSEGINLS >A05p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4004667:4006271:1 gene:A05p009570.1_BraROA transcript:A05p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNRSKSSERVVGKGKVTPVQVAFLVDRYLCDNRFLETRSIFRSEASSLISKSPLREAPCSLIPLGDILNDYISLKEHKVIVDQEKTRVQNLLHGMQDVMNAYNSTASPPPAAPPTAIQVVASSTSQPSNICVSPSGGTPHNTPNLMPASLPGNKRVGNFTAPSSIQSVTKKRKCPEGAAPSVSNKGRKKIPPVDNNVANESSHITSSVAKCLFARSDVSPPTNPSCLSTPQSQVSPQSDVSITPTNCTIVTKERITVSPHKQNPSYTVERSHMVSSFSPIKSNAKMSSKRDHVKGRLNFDDAEATMNLSPPASGDFVSTSSSGSEAEVDLFDIDFLSENFPFSEVLVDFDIACQGMPDPCLPQPSNCSFQLA >A02p054390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33050384:33053861:-1 gene:A02p054390.1_BraROA transcript:A02p054390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G26820) UniProtKB/Swiss-Prot;Acc:Q8W4E7] MVVSMALLRQSPSFELLFHCPVQRTRFLSPAVLSGVRNRFPSCRWLTLTSPASSSYRRLNSFTSRCSITNTDVCHEFVTTTDDEDLPSPPEDHPIPIVHLDNTNIAVTESLSLLTECTYVDTVLTALPVLSEEEQTALAATPAHPEGLYVLYASCLVGNLVEQLWNFAWPSAIAMLHPSLLPVAVMGFVTKLAIIICGPVVGKFMDHSPRVPTYISLNVVQAAAQVLSAGMIIHAYTVPSTLGSSILLQPWFFALIFAGAIDTLCGIASGVAIERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTMLFGILLSKYDPVTCLKFAATLMMGSLPTMTALIWLTNKFSSGVLDRPKCSQSSCASEGPRSETESIFDLGMEAIKLGWKEYIQQPVLPASLAYVLLCFNIVLTPGSLMTAFLTQRCVNPSVIGGFTGLCAVMGVAATFLSANLVKRFGILKAGAVGLFFQASLLGVAVAVYWSSSLSQKSPLFFFLSMIVLSRLGHMSYGVVGAQILQTGIPSSKANLIGATEISVASLAESLMLGVAIAANDASHFGFLAVLSLLSVVAASLIFCRLLRNPTDEQRRLFSFDPLAN >A03p009770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3890796:3892946:1 gene:A03p009770.1_BraROA transcript:A03p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT5G19180) UniProtKB/Swiss-Prot;Acc:O65041] MADLEAPQSKTRDLDKLLLRAGNLVGPDFYPGAELRDDIRDYVRILVVGAGGLGCELLKDLALSGFRNLDVIDMDRIEVTNLNRQFLFRQILLLEDVGKPKAEVAAKRVMERVSGVEIVPHFSRIEDKELEFYNDFNIIALGLDSIEARRYINGVACGFLEYDEDDTPRRETIKPMVDGGTEGFKGHARVIVPGVTPCFECTIWLFPPQVKFPLCTLAETPRNAAHCIEYAHLIKWHEVHGGKSFDPDEPDHMKWVYDEAIKRAELFGIPGVTYSFTQGVVKNIIPAIASTNAIISAACALETLKIVSGCSKTLANYLTYNGGEGLHTKVTEFVRDQDCLVCGPGILIELDTSITLQKFIDMLEEHPKLLLSKASVKHGKDSLYMQAPPVLEEMHRPNLSKPLYDLMGRVQKDTVHVFGTALKNEEKQSSLTKLRVVFKGADGVTDMDTAIGA >A09p064930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52143317:52143707:1 gene:A09p064930.1_BraROA transcript:A09p064930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEELSFLRMDTTGAGDSYVGAVLCNIVDDRTVLKDEARLREVLRFANACGAITTTKKGAIPALPTESEVQSLLNGN >A01p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19222008:19224938:-1 gene:A01p026940.1_BraROA transcript:A01p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASIGATLSLLIIYLSLPIYAHAAGENTLLQLQSPPMVFPLFLSPTSSSRSVSVRHRKLHKSLPHSRMRLYDDLLLNGYYTTRLWIGTPPQMFALIVDSGSTVTYVPCSDCEQCGKHQDPKFQPEMSSTYQPVKCNMDCNCDDDKEQCVYEREYAEHSSSKGVLGEDLISFGNESQLTPQRAVFGCETVETGDLYSQRADGIIGLGQGDLSLVDQLVDKGLISNSFALCYGGMDLGGGSMVLGGFAYPSDMIFTDSDPDRSPYYNIDLTGIRVAGKQLSLSSGVFDGEHGAVLDSGTTYAYLPDAAFAAFEEAVMREVSPLKQIDGPDPNFKDTCFHVAPSNDASGLSKIFPSVEMVFKSGQSWLLSPENYLFRHSKVHGAYCLGVFPNGKDHTTLLGGIVVRNTLVVYDRENSKVGFWRTNCSELSDRLPIDGALPPPPPAKLPLNDSNPSLNTSSNLPREKTQIGQINLDIQLTVSSSYLKPRLEELSKVFSKELDIKPTQVYLSNLTSNGNNSLIRVVVVPTESSSLFSNVTATSIVSRFSNHQIKLPDIFGDYQLLTYKLEPPRKGTRWQMKNTIVVIAIVMVSVVVSLLAYGVWLMWERKQTSNLYKPVDEAIVAEQELQPL >A07p029620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16592988:16595548:-1 gene:A07p029620.1_BraROA transcript:A07p029620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTAGSFPQNDDSNKPKKGKKKQNPFSIDYGLHHNGGGGGGLKLTVLSDPTGREIEQKYTLGRELGRGEFGVTYLCTDKETGGVLACKSILKKKLRTAVDIEDVRREAEIMRHMPEHPNLVTLKETYEDEYAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIIEVVQVCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVAQAIIRSQLDFRRDPWPKVSEHAKDLIRKMLDPDQKRRLTAQQVLDHPWLQNANTAPNVSLGETVRARLKQFTVMNKLKKRALRVIAEHLSDEEASGIREGFQIMDTSQRGKINIDELKIGLQKLGHNIPQDDIQILMDAGDTDKDGYLDCDEFIAISVHLRKMGNDEHLKKAFAFFDQDNNGYIEIEELREALSDEVGTSEEVVDAIIRDVDTDKDGRISYEEFVTMMKTGTDWRKASRQYSRERFNSISLKLMQDASLHANGDTR >A03p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4613965:4615356:1 gene:A03p011530.1_BraROA transcript:A03p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRKAYVLVALFALLLLTDIVIAASDGGKGNGNNGQGQVEKAKGGDAGKGKGNGNGPKDKEKEKKDKKEKDEKEKKAKKEKEKKEKEEREKKDKERKEKEKKDKERKEKEKKDKEQSEAAARYRVLSPLPTGQEQAMCQAKGPCYYKTLVCPGECPKRKPTKNRNTKGCFIDCTSKCEATCKWRKTNCNGYGSLCYDPRFVGGDGRMFYFHGSKGGNFAIVSDNNLQINAQFIGTRPAGRTRDFTWVQALNVMFETHNLVITANRVTQWDENSDAFTLRYNEELITLPEDEQTEWRATSGQREIVIERTDERNSVRVLVSGLVQMDIRVRPIGKEENRVHNYQLPQDDAFAHLETQFKFVDLSELVEGVLGKTYRPDYVSSAKVGVPMPVVGGEDKYQTPSLFSPTCRLCRFKPHEEPLSADI >A09p072610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55589158:55595718:-1 gene:A09p072610.1_BraROA transcript:A09p072610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQLREYGHRMVDFIADYYKTIETFPVLSQVQPGYLHNLLPDSAPDQPETVEQVLDDVKTKILPGITHWQSPTFYAYYPSNSSVAGFLGEMLSAGLGIVGFSWVTSPAATELEMIVLDWLAKLLNLPEQFLSKGNGGGVIQGSASEAILVVMIGAREKVLRRVGKNALGKLVVYSSDQTHSALQKACQIAGIHPENCRVLKADSSTNYALRPELLQEAVSKDIEAGLIPFFLCGNVGTTSSTAVDPLAALGKIAKSNEIWFHVDAAYAGSACICPEYRQYIDGVETADSFNMNAHKWFLTNFDCSLLWVKDQYVLTEALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGAETLKSYIRNHIKLAKDLEQLVSQDPNFEVVTPRIFSLVCFRILPVDNDEKECNNRNRNLLDAVNSSGKLFLSHTVSFVGKNSTTLRHRSATDGGEAREGDMEGYPGRSFTLAWQAVSRRLVQRPLGGGASIYSSSSLRSLYGVSDHHLNGADNRRYSSSLATKGVGHLARKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQVVPMKFDPRDEDSIKAVMAKANVVINLIGREYETRNFSFEEVNHHMAEKLALATVMRPATMIGTEDRILNPWAMFVKKYGFLPLIGGGTNKFQPVYVVDVAAAIVAALKDDGSSMGKTYELGGPDVFTPHDLAEIMFDMIREWSRYVKLPFPIAKAMAGPRDFMVNKVPFPLPSPQIFNLDQINALTTDTLVSDKALTFQDLDLVPHKLKGYPVEFLIQYRKGGPNFGSTVSEKIPTDFYN >A02p007610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3214051:3220238:-1 gene:A02p007610.1_BraROA transcript:A02p007610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDPEHQPTSSAFAEVGKSSGEIRSEREPLIKDNHTPENYSVVAAIFPFLFPALGGLLYGYEIGATSCATISIQSPSLSGISWYNLSSLDVGLVTSGSLYGALVGSAVAFTIADVIGRRKELVLAALFFLVGALATTLAPIYSVLIIGRVTYGVGVGLGGYGIGSLTVNTLSGWRYMYATSVPLAVIMGIGMWWLPASPRWLLLRVIQGKGNVENQKEAAIKSLCRLRGSAYVDSAAGQVNEILAELSFVGEDKEATFGELFQGKCKKALIIGGGLVLFQQITGQPSVLYYAPSILQTAGFSAAGDATRVSILLGLLKLIMTGVAVVVIDRLGRRPLLLGGVGGMVVSLFLLGSYYIFFSAAPVVAVVALLLYVGCYQLSFGPIGWLMISEIFPLKLRGRGLSLAVLVNFGANALVTFAFSPLKELFGAGILFMGFGVICVLSLLFIYFIVPETKGLTLEEIEAKYARETIIITKTKHLGEMESMVMSNKQVIFRDYVTGFLSESDLLINSTTVNLKVPAGSMTALVKNLYLSCDPYMRNRMRKPDPSSPATALSFIPGKPISGFGVSKVMDSGHPDYKEGDLLWGAVGWEEYSVITPIPNLHFKIHHTDVPLSNYTGLLGMPGMTAYAGFYEICSPKKGETVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNNFGFDDAFNYKEESDLNAALKRCFPVGIDIYFENVGGEMLDAVILNMRPHGRIAACGMISQYNLQVPEAVYNLWLITYKRIRIQGFNTIDYLHKYSEFLEFVLPYLREEKITYVEDVADGLESAPAALVGLFHGKNVGKQLVVVSRDLD >A08p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3319289:3321196:-1 gene:A08p005760.1_BraROA transcript:A08p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSVIGDSNKGGAWRSNDSAANIDGPAIMSDLHAFIEAFISSKKGATHSLDSKPKPIIIDSGANHHMISDRNLISDVQLASMNVLIANGDKVKIEGIGYPRLFDRESIALYMPLLPLTMNITMVVNRDQTFENHVHEMFDQRFLQLSVDIIKRPLSVANTSSFNDVFHNRGRHTSSKISLHDRIEAFQRLQEDFEDVNLSHIPRSRNGRADALAKETRIKGYIFSHIDQTQIDGDTPRRIGSSILHLI >A08p016180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10506114:10506761:1 gene:A08p016180.1_BraROA transcript:A08p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKANVIFSSTITIFLLLSISHFPGALSQSDKECTPEYDNTCTDKNKAFNLKLVAVFTILITSLIGVCLPLFARSVSAFQPERSLFLIVKSFASGIILATGFIHVLPDSFEMLSSHCLNDNPWHKFPFTGFVALISAVFTLMVDSITTSLFSKSGRRDPCADVASAGSPDEEMGHVSHYGHGLHHSNGKELGSNLQLLRYRVIAIVKYTFTLVF >A03g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3688870:3689341:-1 gene:A03g501230.1_BraROA transcript:A03g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSIFPCPKLFYHDKFSRNLSRNLRSVSAHHLVVLRRRLASLLVYQLGGILKSPKGHPDTSWRKHSSWSVEAREGVVIKCAKRGRRRSHEIRFQVQIYKHVSGI >A02p001480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:662868:663485:1 gene:A02p001480.1_BraROA transcript:A02p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRQTHFPSRLQERRCSSVSTLSSKATPGYASKSSKPSQNSSTTTSHLDRLPLRGTITASGDLEYVFSYVDDPSSLAKPLMQKLRNFLVEYGLAEPGHEVNSVFEKIGKFEAEMKSLLPEVVERVRIEYEKGTSDVANRIKECRFYPLYRFVRDELKTGLPTGENVRSPGEDFYKVFTAISQGKLIGPLFECLKERNGAPLPIS >A04p005270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2754980:2755781:-1 gene:A04p005270.1_BraROA transcript:A04p005270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPSSPTILMSIFVDCYYKRKNLYKKLDSTEEAIEHNLVRTGYENVRAPRIYLESSKTSCFQWLLNLAAWP >A04p036780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21100948:21103016:-1 gene:A04p036780.1_BraROA transcript:A04p036780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKNIRGTNPQNLVETIVRKKIHDHTFWKEQCFGLTAETLVDKAMELDHVGGTFGGNRKPTPFLCLVLKMLQIQPEKEIVVEFIKNDDYKYVRVLGAFYLRLTGSDVDVYRYLEPLYNDYRKVRQKLADGRFSLTHVDEVIEELLTKDYSCDIAMPRLKKRCTLEQNGVLEPRKSVLEDDFEEEEEKEENEGMADGSEDEKDHHRRSPERERDRDRDRDRDRDRRRDSHRHRTSVSSMAFQAISGLHTASEIETVEILTGFTVLAVYSEKDRDYDRDYDMDRDYDRDRGRGRDRDRERDHYRGRDRERERDREGDRRDRARRRSRSRSRDRKRRDSDDDRDREEPKKKKEKKEKKMREDGTDHPDPEIAEANKLRASLGLKPLR >A08p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15561644:15566098:-1 gene:A08p023630.1_BraROA transcript:A08p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:Projected from Arabidopsis thaliana (AT4G34510) UniProtKB/TrEMBL;Acc:A0A178UZI2] MDDNVQIRKNVKLCYHYLITHFLKLLLVASLTVLVMNISRLSLNHLAFIFLVAVLGTTLFFMSRPRSVYLVDYSCYLPPSSLQFTYEKFMKHSILTNIFNESSLEFQSKILKRSGLGDETYLPEAIHYVPPRPTMAAAREEAELVVFGALDSLFENTKVNLKEISVLVVNCSLFNPTPSLSAMIVNKYKLRENVKSFNLGGMGCSAGVIAVDLANDMLQLYRNTYALVVSTENITQNWYFGNKKAMLIPNCLFRIGGSAVLLSNKSCDRKRSKYKLVHTVRTHKGSDEKAFNCVYQEQDETLKTGVSLSKDLMSIAGEALKTNITTLGPLVLPMSEQILFFATYLVNKLFNAKKKMKPYMPDFKLAFDHFCIHAGGRAVIDELEKSLRLLPKHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRMRKGNRVWQIAFGSGFKCNSAVWVALRDVEPSVKNPWEHCIHRYPLLYHYVITNLFNLCFFPLTAIVAGKAYRLTIDDLHHLYYSYLQHNLITIAPLFAFTVFGSVLYIATRPKPVYLVEYSCYLPPTHCRSSISKVMDIFYQVRKADPSRNGTCDDSSWLDFLRKIQERSGLGDETHGPEGLLQVPPRKTFAAAREETEQVIIGALENLFKNTNVNPKDIGILVVNSSMFNPTPSLSAMVVNTFKLRSNVRSFNLGGMGCSAGVIAIDLAKDLLHVHKNTYALVVSTENITYNIYAGDNRSMMVSNCLFRVGGAAILLSNKPGDRRRSKYELVHTVRTHTGADDKSFRCVQQGDDENGKTGVSLSKDITDVAGRTVKKNIATLGPLILPLSEKLLFFVTFMGKKLFKDKIKHYYVPDFKLAIDHFCIHAGGRAVIDVLEKNLALAPIDVEASRSTLHRFGNTSSSSIWYELAYIEAKGRMKKGNKVWQIALGSGFKCNSAVWVALNNVKASTNSPWEHCIDRYPVKIDSDSGKSETRVQNGRS >A09p080740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59010069:59011739:-1 gene:A09p080740.1_BraROA transcript:A09p080740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSKLLLLICYVSLIFSVSSSSSSSDLPSSPKVSLGLYYESLCPYCSSFIVNHLTKLFEDDLISIVDLHLSPWGNTKLRSDNATAVCQHGAFECLLDTVEACAIDAWPKLSDHFPFIHCVESLVTEHKYDKWETCYQKLNLNSKPVSDCLSSGHGDKLELKYAAETSALQPPHQYVPWVVVDGQPLYEDYENFISYICKAYKGAKKPDACAKYSSSHSIRSAKVNRFPLVCRKGVNRMWDLLERVKISLLSYTGLL >A01p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7043271:7044554:-1 gene:A01p014500.1_BraROA transcript:A01p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFDLGTTQRMTTETHRTTTFLDLLRRQMSVVDRTRRKRTLRERLRFKFTACCGGPTLNNNTTTNATLHSPTSREDEIEDFQSEIQFVPGSDTGSGSGMNLATALEAERYNRGEPAVDMTPRRMSLMRLLDETAERVDNDGRKTEISTASMGTLTGNDSRFILGGEPKNKSFCRVCSRELWLNRGSCPLCNRPIIEILDIF >A09g512510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36657941:36664746:1 gene:A09g512510.1_BraROA transcript:A09g512510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDEGEPDLRREEPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRGQPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEMAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A03p062740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27221908:27223576:1 gene:A03p062740.1_BraROA transcript:A03p062740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRVNSGFDFGSDDILRTYDDFTNNQDSSNGSNSDPSIAATNNFNKEFHKTRMARSSVFPTSSYSPPEDSLSQDVTATVERTMKKYTDNVMRFLEGISSRLSQLELYCYNLDKTIGEMRSDLSRDNEEADVKLRSMEKHLQEVRRSVQILRDKQELADTQKDLARLQVVQKDSSSPSHSQKSEETPVPEAKKSESSSDAHNQQLALALPHQIPPAQPQPQQQQQYYMPPTPQLQNTPAPVPAPPTQPQAPPAQAQFMPPPPAPSHPSSAQTQSFPQYQQNWPPQPQARPQSSGGYPTYSPAPPSNQSPVEPSPSSMQMPSSYAGPPQQSMQGYGYAAPPPQAPQQTKMSYSHQTGDAYVPSGPPPPPGYANAMYEGGRMQYPPPQPPPPQQQQGQHYMQGPQGGGYAPQQHQAGGGNTGTPPPVMRSKYGELIEKLVSMGFRGDHVMSVIQRMEESGQPIDFNALLDRLSVQSSGGPPRGW >A02p027040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13085563:13086066:-1 gene:A02p027040.1_BraROA transcript:A02p027040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 11 [Source:Projected from Arabidopsis thaliana (AT1G74000) UniProtKB/Swiss-Prot;Acc:P92976] MFTIGYECSDVLKAVASKDASGKLFKYDPSKRVVTVLLEGLSGSAGFAVSSDGSFVLVSQFTKSNIKRYWIKGSKAGTSEDFTNAVSNPDNIKRIGSSGNFWVASVVNTATGPTNPSAVKINSDGRVLQTISVKDKFGDTLVSEVNEFEGRLYVGTLSGPFAGIIDL >A09g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:798078:799268:-1 gene:A09g500240.1_BraROA transcript:A09g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALHLFPASLHSISNPSSSSSSSRSKQRHLLLQLSPPRLRSDRSHSGVTENDNDPSSSGSSSSSVRTQLDLLEQLTSTSDGYLSDGGGSFRGSTVREQLAGLVGDRDDDFTIPLGKNLKKVSPKFLTTSQKRNIKRQSYLNEVSQRNDSVFFATIGAFVILPPLLILAIAILTGYVQLFP >A09p000680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:456996:463340:1 gene:A09p000680.1_BraROA transcript:A09p000680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLLHSSASSFHCRFPSLAVTSSAPPPLRSVVKVSASGTVLVEKSEAEKVQRLKTAYLERIIPALKDEFKYINIHQVPKVQKIVVNCGIGDAAQNDKGLEAAMKDIALITGQKPVKTRARASIATFKIREDQPLGIAVTLRGDVMYSFLDRLINLALPRTRDFQGVSPSSFDGNGNYSIGVKDQSVFPEIRFDAIGKARGMDVCISTTAKTDQEGQKLLALMGMPFREGSSANTGAPKKNPKLSKKTDEAAPAESWDPLLGLLNILWAYTMSIPNEQQFAMDDQLVNTTTDDESTKKPRVSYTRKFLLSLSDKDVCKKLPNLPSEFNDLLLRSDSDDPSPERPRISGGLRRNDYSSSPPTRGEFGGNSRGTHGRWEGRSGGWNDKDSDSSHSDRDPGEPGRRSGMPSRRPWQAPEHDGLLGKGSFPKPSGLGAGPAGPKPPQSDDSYQLRRSNEPYHPPRPYKAAPYTRRDTRDSLNDETFGSSESTNDDRAEEERKRRASFELLRKEHQKVFQERQKANPDPRKNDFDFTELLGESKDEKERPSRSDEVNNNPSIPNHSTPPQSIAPRPLVPPGFASTILERKQGEKPQFITPSTNFGHTLQTETSQHERSPLIPKGINVVNGASVTNEEKPSVFRIGSSEMPIEAADEAPGYPRKVEQATVKLDQKKSLEISDEPSILDKIFNTAINLNTGDSSNSTQKIVEKVEEKRSPQTVKSSKFAHLFLEEDNKPVEDLPSSKPPRGLLSLLQGADKLQTFETDAKGEHSMDFPYQGHVTKNTDKLSNTSATKPVKAAPPVLTCEDLEQSILSEVSESYHPPPPAPVDQDLSVSSVKKTNQGKPSVDNQASHHLLSLLQRSADPISQDTQPLSVTESRPPPSVKPPTAGEAGPGKSLTLENLFGSAFMNELQSVGEHVVSNAPGIPLRSDGSIGDPRQRNQIRPDGLLGGLLGLPEDGNLLAVSNPANPQSYMSFPGPRNQKPEVAFNISDKLAALNSGPRNERPTMGGQDSPFLDHHPQQYAANPSPHLNGSRPGFHPLDTRHAHIKPQLDFMGQGSIIAQHQGPPPNMIHRPPFNHSTSGVPEFDRLPPHMQQKMHMQDNLQRHHLMQGFPGGGPPGPPHHHSPHVNNQMHGLIPELNPSQGFPFAHHQPNYGMPPAGSQGNRVEHPASLNTLLGIHQRMDPSKQPPAMGQAGGPNRQGSMGQELDLGFGYR >A09p007360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3868062:3868652:1 gene:A09p007360.1_BraROA transcript:A09p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQRRPAAPRSSSTGMTEDTKKILGFIRSKKGMGATKYEITAGTSIQQALVTKATISLKKSKLIKEVSNKGGKHFLAVEFEPCKELQGGHWYVDGALDVSMIEGLKEVCVKRIEQLKNEVVTLDVIYLCFKKDTLTREQIKEILDNLVLDNVIMEVKSNGSSEHSALRIGEVCYKLIGKKSRGGEARDGAFASIP >A07p041250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22299435:22300734:1 gene:A07p041250.1_BraROA transcript:A07p041250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVRKTKRAFYSADAGDGVFMPGKKRPRCLSSPVSKAGPDLLDTIPDDLLVSILRRLASTSRCPADFINVLMTCKRLKSLATSPLVLSRLSRKAIAVKAQNWSEDAHRFLKRCVDAGSLEACYTLGMIRFYCLQNRGNGASLMAKAAISSYAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHVDALRELGHCLQDGYGVPQNVSEGRRFLVQANARELAAVLSSENEACSWLTLSQPQVTNQIRGCPLLSDFGCNVPAPEIHPANRFLADWFAVRGGDAPGDGLRLCSHGGCGRPETRKHEFRRCSVCGVVNYCSRACQALDWKLRHKMDCAPVEQDAAGGDGEGNVQIEGNGNGDNVLVPMS >A05p045350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27134137:27136300:1 gene:A05p045350.1_BraROA transcript:A05p045350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQQNTNNGGSKDEEEDDDRTPRSKQTIKSLTSQIKDMAVKASGAYKSCKPCSGSSNRNSDAASASGRFHYAYKRPGISRSGSSTPKILGKDIESRLKGLLSGEGTPESVSGRTESTVFLEEEEDDERKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFDKRQAQKWWAENFEKVMELYNVQQFNQQSVPFPTPPTSKDESSTKNGPATPPLNSECPRGKGSLAHQPKPQTQSRYRSDLSGLATTPKLSSISGTKTETSSVVGSARSSRLSREDEEEEDAEHSEEVSVSNASDNETEWVEQDEEGVYITIRALPDGTRELRRVRFSRDRFGETNARLWWEENKARIQQQYL >A04p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15840372:15841080:1 gene:A04p026180.1_BraROA transcript:A04p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEPTTKKTEAKEAGATITVKYEDIQKKRKRRVAIYVTVAVFVLVVLVSLIFILTKVRVPRFMLDDVSLDPISRSKVLVKLSSTNPSSSSLYYSEMSLHVRIEEIFETERVYLQSTLQEPHERTTWTGVVARNNVNDKPGGTFQMSEGEENGDVIVEVKIQKKSSMFHPKRTLRVTCPVLLNLKDLTAGVSRPMRTCQ >A04p035200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20329300:20330532:-1 gene:A04p035200.1_BraROA transcript:A04p035200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANKEMAVYCFDTLVSHYNNEDSPPPAFDDANHPLFVTWKKIVNGGEPRLRGCIGTLEARRLISGFKDYALTSALRDRRFPPIQPKELPFLQCTVSVLTDYEDAEDYLDWEVGTHGIIIEFIEPVTNIKRNATYLPEVPAHEGWTKIEAIDSLVRKAGYNGEITEAVRRRIQLTRYQSTLFSMHYSEYLSYVKATRGLVPAINGTSKPLS >A02g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14641940:14647212:-1 gene:A02g504440.1_BraROA transcript:A02g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIMIYFGFDPFLSMRSTRRRIIDRYASVLAIDIRKCLDRSRLISIGVHKYRRSMSRHQTRHFGFSRLKNQGQAKLPKCPDEESFSFLDLVLLQVSFGREDHLVFSYSRIGLARTRRSMSSTDNRSMLAKVYRSTCMPSGTRSNKEKDLLFSDDPAHLERTIHRGQHSTSLEATTSSSIDTYNQPSTDTRLSSSIDPSRSTTIDTTPCTSINNVSLKMDENGNLYDQVDHLRNAPVVRNENLEEGDFEIESSMSLGGSQWCRLMSMNSHRSTDHDEDRWTDYSKHRSTSSADSTECNTHPHPPSPFYEKIDRSVNLTIDRQSESDVDRHNTPPIDRQTPLTYRVRLPSIDNDYINALRPPIKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDAYFTHRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDLGKFAIPCIVKGVEFPHSMCDTGASVSILPRVMADQLELGFIGACHCGAEYESEYETAYSESIDTPLSPSIDSNVSMVTDDHNNTSLDRGHDDYSIGSWADSGFHESFAVDTDERLEIHNFTNTFPTSFDDVHSTSVDTHPRPAKQPLTSIATPKGTSIDIRTAAKIQEQENIPSLTRDPDGNARSMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDPNNNA >A08p033980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20300217:20302863:1 gene:A08p033980.1_BraROA transcript:A08p033980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGVRSSSNGSLEKTGLNGVVLSIQTTRAKPSKMHKEREAGLLLPWICKLAGRKKVGMLLLFLISAVVFLRVLFVGKGEDGQVPPSLHFNGTFVVNHSYMLPTNEEEESINIRNISFLGLNAVLSPPMHFLGYTLPQGHPCNSFTLPPPPADRKRTGPRPCPVCYLPVEEAIALMPNAPSFSPLLKNLTYVYEEPLNRDTEFGGSDFGGYPTLKHRNDSFEIRETMSVHCGFVKGPQPGRNTGFDIDEADLLEMKQCRGIVVASAVFDAFDDVKAPQNISKYSEETVCFYMFVDEETESILKRERGLNGNKKVGIWRVVVVHNLPYSDGRRNGKVPKLLVHRMFPNARYSLWIDGKLELVVDPHQILERFLWRKNATFAISKHYKRFDVLVEAEANKAAGKYDNASIDFQVEFYKNEGLTPYSVAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKTNWTVSMFLDCERRNFVVQRYHRAEQERYARQKPPVPKFSPPPPSLPTKPVLISSDLPRKVSSGRAVSRTTPPRRRGRRSGPRGHRKANLPVRLQDSA >A08p000880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:526690:528268:1 gene:A08p000880.1_BraROA transcript:A08p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALECPFSHLSKPHNVFRRDSPQLFRCYVCNEESKEYSESYYCSTCKKESHIGCYKFQPQIKQHPYHPSHPLTLVITPNSNSLIPNSWPDEEVISPLDENLGGCKCCRRQLQDRYYHCSICKFSINATCAINPPLLLTIVPKKSHEHMAAFTLFPRRISLPCDACGVLLDKGSDHVYTCLSSNYIAHRKCIKELPCVIKITRHIHRLQHTPSLFSPNVDTSGFACGVCHKPVDVNYGQYSCIKGCHYAVHSKCATRKDVWDGKELKGVREEQEKDGVVELFQRIDDETILHCNHEHHLKYSGENNDVCDGNKYCQACLLLIVDSDSLYSCMKCNFILHEACALLPRKIAHPLHKHPLTLLPFPTNLYLIQFKVFVEGMFKCSGCHQRGCGFMYRCTEKGCRFQLDVRCASLEESFIHGSHGHPLFLSLNKGIHSHFVTEKKVQEVVNTGVSYVKQHYIQVNGSIHVTFVESLFMLLVCWGKRYT >A07g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12317920:12319882:-1 gene:A07g505530.1_BraROA transcript:A07g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIHRKERSDSTLQAFLSAWELEAAMDFVRHESSILHHVHKNSVIHGFIPAAHANQYGASLRTGTIFKVDRFEVGQCTNIYKITDYPFVIRYIPTTTVDEVLTAAPVINLHKFMLRKFDHLQAFANTNLELPALMLYAKYGVQGSALNNVTATTPAVVCFLIDPSTLTPPSPPLKHSPRAQMESKEVVEMAWGMCNNQPFLWVVRPASILGSDGIETLPNEVSTIVSERRYIVKRDSK >SC127g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:42174:50903:1 gene:SC127g500020.1_BraROA transcript:SC127g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRPRIKTEEDSWSVVGCASTEPTNTSYPITTHTPNTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGVHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDVRQHTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRLWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISAFWPFLWTVRDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLMLTTHISMLALPVDCPCTDPCTDLCTDPYTELISVGVKNDSSLRKSLAEERIETSDESSKQVVTQRLNVRLARSLRSDRAIVPLGRYVATELKPRLGRYVATERSSCLVAIDRARTKARSLRSDRAIVPLGRYVATELSQARSLRSDRAIGPTDRARAKARSLRSDRAIVPLGRYVATELSQARSLHSDRAIVPLGRYVATELEPKLGRYVATERSSRSPLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTC >A05p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4883922:4885706:1 gene:A05p011440.1_BraROA transcript:A05p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCTICSFDPSGFLGKKNKSIHSSSYEDEIFLTSLIFFNRFEIILNWLKMLGDFIIRFLVLILGYTYPAFECFKTVEKNKVDIEELRFWCQYWILLALISSFERVGDIFISWLPLYGEMKVVFFVYLWYPKTKGTRHVYETLLKPYIAQHETEIDRKIMELRARAWDFFIFYFHNFAQAAQSTFIQAFQYVLAQSLRFPAAAAANQPPNVNVKTQSPVETESEPNSPPAPRALNKSLSALRSLEKQTSRGRKWPPPTPPQTPGREAAGTFNGEGVDIPDTIPGSPITDARARLRRSNSRSQAAS >A01g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7925011:7925496:1 gene:A01g502280.1_BraROA transcript:A01g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WPAVGLSRRSANPNLPAHPIPLPAVLKPTHFLLAPPSLVLLGLWPDPVTNLMIGGDSGSVLPRRLTGMKRVTASSPPFCNLLCFSPVSFFGRNFRASPSCSHGLRRSDETEELVGDLSLSSSDNNHGFL >A06p015260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6840894:6842063:1 gene:A06p015260.1_BraROA transcript:A06p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYARRTYGFGRTDEATHPDSIRATLAEFLSTFVFVFAGEGSILSLDKLYWDTAAHTGTDTPGGLLLVALAHALALFAAVSAAINVSGGHVNPAVTFAALVGGRLSVIRAIYYWVAQLLGAILACLLLRLSTNGKRPIGFHVASGVSELHGLLMEIILTFALVYVFYATVTDPKRGSIGIIAPLAIGLIVGANMLVGGPFDGASMNPARAFGPSLVGWRWDNHWIYWVGPFIGGALAALIYEYMIIPNVNEPPRHSVHQPLAPEDY >A09p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23365669:23367413:-1 gene:A09p041370.1_BraROA transcript:A09p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSQIRIGVLSCANIVRKVCRAINLAPNATVSAIASISNSIEEMKSFAASNNFPPTAKIHGSYESLLEDPDVDAVYFPIPASLHVEWAVRAAQKGKHILLDKPVALNVYEFDQIVEACEANGIQFMDGTQWIHNPRTAKIKEFLTDPVRFGQLKTVQSCFSFAANENFLKNDIRVKPGLDGLGALGDAGWYTIQASLLANSFRLPKTVTGLPGHVLNDAGIVLSCGALLDWEEGVTATIYCSFLANVTMEITAIGTKGTLRVHDFVIPFQETEASFTTSTLACLREHKTMNEIPQEACMVIEFARLVGEIKDRGAKPDGFWLSFSRKTQVIVDAVKESLDNNLERVLVSGR >A06p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3000289:3007359:-1 gene:A06p008630.1_BraROA transcript:A06p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 10 [Source:Projected from Arabidopsis thaliana (AT1G10680) UniProtKB/Swiss-Prot;Acc:Q9SGY1] MQPSDVSATVAEKKEKEKKRPSVSVFKLFSFADFYDCVLMTLGSIGACIHGASVPVFFIFFGKLINIIGLAYLFPQEASHKVAKYSLDFVYLSVAILFSSWLEVACWMHTGERQAAKIRKAYLRSMLSQDISLFDTESSTGEVISAITSDILVVQDALSEKVGNFLHCMSRFIAGFAIGFASVWQISLVTLSIVPLIAVAGGIYAYVSTGLLASVRKSYVKAGEIAEEVIGNVRTVQAFTGEEKAVRSYGEALENTYTYGRKAGLAKGLGLGSMHCVLFLSWALLVWFASIIVHKGIANGGESFTTMLNVVIAGLSLGQAAPDISTFVRARAAAYPIFQMIERNKEVKTGRKLGKVDGEICFRDVTFTYPSRPDVVVFDKLNLVIPAGKVVALVGGSGSGKSTVISLIERFYEPTDGAVFLDGNDVRYLDLKWLRGHIGLVNQEPAFFATTIRENIMYGKDDATDEEITRAVTLSEAVSFINKLPDGFETQVGERGVQLSGGQKQTITISRAILKNPSILLLDEATSALDAESEKTVQKALDKVMVGRTTVVVAHRLSTVRNADIIAVVHGGNIIESGSHDELISNPDGAYSSLLRIQEAANPNVNHTPSLPVSTEPLPERPITKTDLCSMDQSGNQPDTTRQGKVTLGRLYSMIRPDWKYGLFGLFGSLVAGSQMPLFALGISQALVSYYMDWETTQKEVKRISILFCCASVITVISHAIEHTTFGIMAILRNEIGWFDKVDNTSSMLASQLESDSTLLRTIVVDRSTILLENFGLVVTSFIISFILNWRLTLVVLARYPLIISGHISEKIFMQGYGVNLNKAYLKANMLAGEAISNIRTVAAFCAEVKVLELYSNELREPSERSFRRGQMAGILYGVSQFFIFSSYGLALWYGSVLMGQGLSSFESVMKTFMVLIVTALVMGEVLALAPDILKGNKMVASVFELLDRRSQVVGDKGEELSNVEGTIELKGVHFSYPSRPDVTIFGDFDLTVPYGKSMALVGQSGSGKSSVLSLILRFYDPTAGIIMIDGQDIRKLKLKSLRRHVGLVQQEPALFATTIYENILYGKEGALESEVMEAAKLANAHDFICSLPGGYSTQVGERGIQMSGGQRQRIAIARAVLKNPAILLLDEATSALDVESERVVQQALDRLMRNRTTVVVAHRLSTIKNSDMISVIQEGKIIEQGSHNSLIENENGPYSRLINLQQQQLS >A03p069560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30569271:30569741:1 gene:A03p069560.1_BraROA transcript:A03p069560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKDIVTRRAVAGTIKLTVLAGKASPETPVSPALGPYRLNMMAFCKDFNARTQKYKPDTPMAVKITAYMDRSFEFTFKSPSLSWYIKKAAGVDKGSTRPGHLTVTTLSVRHLYEIAKVKLTDPFCQYMPLESICKSIIGTANSMGIKIVQDLE >A05p011050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4700353:4705440:-1 gene:A05p011050.1_BraROA transcript:A05p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBE2.1 [Source:Projected from Arabidopsis thaliana (AT2G36390) UniProtKB/TrEMBL;Acc:A0A178VXI8] MVYTISGVRFPHLPSIKKSSSLPSFNDDRRTNAVTFSLRKDTRSSSGKIFARKPSYDSESSSIATTASENLGSHQSDSSSAETQETLSEVTQVHDDVDAQKAETEGQEQTSALSTSGGQSYKENIASMSESVEQKVVQRKIPPPGDGKKIYDIDPMLKSYDGHLDYRYGQYIKLREEIHKNEGGLEAFSRGYEIFGFTRSATGITYREWAPGAKAASLIGDFNNWNSKADVMTRNEYGVWEIFLPNDADGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKYSVQAPGDIPYDGVYYDPAEEDKYVFKHPRPRKPTSLRIYESHVGMSSTEPMINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGLVVLMDIVHSHASKNTLDGLNMFDGTDGQYFHSGERGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVEFTGNYNEYFGYSTDVDAVVYLMLVNDMIHGLYPEAIVVGEDVSGMPAFCIPVEDGGVGFDYRLHMAVADKWIELLKKRDEDWQVGDIVFTLTNRRWGEKCVVYAESHDQALVGDKTIAFWLMDKDMYDFMAVDKQATPRVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRTDQHLPDGRVIPGNNGSYDKCRRRFDLGDAEYLRYHGLQEFDRAMQHLEEKYGFMTSEHQYISRKDEGDRVIVFEKGNLVFVFNFHWTNSYSDYRIGCSVPGKYKIVLDSDDSLFGGFSRLDDSAEFFTSDGKYDDRPCSLMVYAPCRTAVVYAAVDGDEDSSLVPISLVPDDV >A02g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26862666:26867535:1 gene:A02g510020.1_BraROA transcript:A02g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETLRAHRRFDISYLGARQKLASNLWCPQQHKAESPMGSIISYAPFSLSGREMTGMPLKSGKKIKKIKKRKFEIGGDFDRSGFRFGFGVGFGQGFINSLWFAAPSLTATESVIDVDSYSGDTVGRQGFHRLAFFMIGGNAALPGDLSDQSIIPPLLFYLCLVFSYPFPKHFFTSPPLSISTLSHHSYTFSLSIFFLYSLKIHGFTLSLLLNMNHPYEEMKEMKRLKKHYDMLGFVADAQYGIPTRCPCGGEIMTNVSPTPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRREVKELAEEIAKLKRLITSTSRP >A03p017940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7332179:7333315:1 gene:A03p017940.1_BraROA transcript:A03p017940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISTWFRYMAHKLEYSLTLSLKSHRREKLSDRELIQIIFKNLFHGKITYLHSGKGVEMSPTMAAHENTLLVRKIPIANTRYLFVGDAVVLKDPNDSDKYLVRRLAAVEGFEMVSGDAKEEPFVLEKDQCWVVAENKDIKAKEAYDSRTFGPVSTADIVGRAIYCLRTAVDHGPVRNSHTAMGQDSPILAVELDVDEMAKNHKAQ >A04p039710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22465741:22467375:-1 gene:A04p039710.1_BraROA transcript:A04p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRIKEQIQESLPEWRDKFLRYKELKNLISSPAPAESIFVGLLNAEIEKFNAFFVEQEEDFIIHHKELQYRIQRLVEKCGDNDDVMFREEIGEIRKDIVNFHGEMVLLVNYSNINYTGLAKILKKYDKRTGGALRSPFIQKVLRQPFFKTDLVSRLVREWETTMDAMFPALTWEVEGYERSAAVSSAAAGEGIFRNTVAALMTMREMRRGSSTYGAYSLPPLNLSDSDLVLRPRHIPSPIPIPY >A02p054750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33219834:33223550:-1 gene:A02p054750.1_BraROA transcript:A02p054750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGVPSLYQVPHLETSKPTSQKRSCFSPLSLDNPFFSSPISLRTTRLIHSSSAVATPNSVLSEEAFKSLGLSDNGSKEDDGEELAISKLGLPQRLGESLEKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTEKAGDYSAFRKSGRLPKFLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYTIQQSALTRGVDVVVGTPGRIIDLIEGRSLKLGEVEYLVLDEADQMLAVGFEEAVESILENLPQKRQSMLFSATMPAWVKKLARKYLDSPLNIDLVGDQDEKLAEGIKLYAISATSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALSNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMHSSSQKRTVRSLERDVGCRFEFISPPSVGDVLEASADQVVATLNGVHPESIKFFSATAQKLHEEKGTDALAAALAHLSGFSQPPSSRSLLSHEQGWVTLQLIRDSTNSRGFLSERSVTGFLSDVYGPAADEVGKIFLIADERVQGAVFDLPEDIAKDLLEKEMPEGNSVSMITKLPPLQDDGPSSDNYGRFSSRDRMPRGGGGGSRGSRFGGRGGSSRGRDSWGGDSDRRSRSSGGGGSSWSRGGGSSRGSSDDWLIGGGSDRRSSSRRAPSRERSFGGACFNCGSSGHRAADCPDKRGF >A02g510650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28254367:28254840:1 gene:A02g510650.1_BraROA transcript:A02g510650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDALQMPEPELVRSRFCCLLEDLRTRVQDFFPIACVAFTTMVHVNWKNKGLDGLKHSNISYSDIDKLSLSNIPNGSDIFWVHLCMAYAITFWTCLMLKESIII >A09p010890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5510394:5518491:-1 gene:A09p010890.1_BraROA transcript:A09p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 3 [Source:Projected from Arabidopsis thaliana (AT5G66640) TAIR;Acc:AT5G66640] MHSTSSSSAVLFFCFSDYILVSFSVSDNPPQDPFEAEIDIVKQVSSNDAHVQEDEQLALAIQKSKEDEEEGRRTRDLEEHAQERGERQNNYDNSSSLKDKKEGQTSEESVKEKEKRKQFEEEVKHDEQLAVSPPPPLEEHDNISSEAPLDENEEQRIIWESLKDKGQTKPSEDEVIPPRSKCGGCHSEIEQGGSVDVFGVPWHPECFSCGACRNPIAVHEVQNHVSNSRGKFHKNCYNRYCYVCQEKVKIREYNSHPFWKEIYCPAHETDGTPKCCSCERLEPRETEFVMLDDGRWLCLECMDSAVMDTDEVQPLHFEIRDFFHGLFLPVEKEFSLLLVEKQALNKAEEEEKIDNQHGVVTRGICLSEEQIVTNVSKGPKMGENKQLTGKTTESQRVVSGCPVTAILILYGLPRLLTGSIMAHEMMHAYLRLNGHNNLNKVLEEGICQVLGHMWLETQRYAPIDVAAASSSSSSSSSNAAKKGEWSELEKKLVDFYKYEIETDESAVYGEGFRKVNYMVTNSSLQETLKEILPRRDGGEEVSYRVWGGSPASDGIVRQRRERDYQAAIERAKEISLKQFEKEEAERSLQKSKEEGKREKVDDNDDQVDDEQTQIDRATEKSLKELEKEEAKRRLEKSKEEGKRKQVEEEVDKGQFKHSKDKEVALPNICNGCKSEIKDGLSVNALGDLWHPHCLCCLHCHKPIAGDEISRKGKVHRSCYKEHRHPTCCVCQNKIPSTDKGIVFSEHPFWKEKYCPCHDSDGTAKCCSCERLEPRGTNFVLLSDDRWLCLQCMESSVMDTYECHDLHLEIREFFDGLFLPVDKEFPLLLVEKQALNKAEQEEKIDYHYAVVTRGICLSEDQNVASVKERPKMANNKLIDIVTETQMVSGSAVTAILIIYGLPRLLTGYILAHEMMHAYLRLKGYKNLKLELEEGLCQVLGLRWLESQTFASSDAAAASSSSSRTPPAASTSSKKGDDWSDYEKKLVEFCIHQIKEDDSPVYGLGFKQVHKIWVSNHSLTDTLKVIVNASKNVPVSKF >A04p033330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19398580:19399393:1 gene:A04p033330.1_BraROA transcript:A04p033330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVFTENEIDRARSEVGFIVESSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >A03g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3301658:3303981:1 gene:A03g501040.1_BraROA transcript:A03g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEMQQITARTIEKVVVHPLVLRNIVDDYNRVAKESGKRVVGVLLGGSSNGIVDVINSYRVPFKEGDKDPCGGFFYHKHHLSMLQRFHGINDMVGWYSTGPELGDNDLYVHAQFCHYAPNPVLVVINVVLGIPTNAYYTMSSLEKIEKEVFVRASVEIAPHEVKEDSGEEHLLSEFQDTTTEIAPKRMTLGGVSFVDAMESYMEFYKNQVRISVEGYDISLRPVDLDISLVKHFSSCGNVEFVKVPRDPVTNAISGTSTTVVLRGKGASEKALALNGSDVGGWRASVKILPPALSSLRSGLTTREAARQYVAHFKRYMSRGITVKGYDYSLCEADVKRALVNYFSSCGEITDVFVFKRRALVYFFEYEAVESALKVCRPSQRRVTGTCFRARAMPIPKRLIVYGPDSCLATPTY >A06p014090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6360266:6365791:1 gene:A06p014090.1_BraROA transcript:A06p014090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMWRLNIGEGRGEDNPYLISTNNFAGRQIWEFDPNAGTSEELFAVEEARRTFYNCRHRVKACGDLLWRMQFLKEKKFEQVIPPVKVDDAQRITKEEATNALRRSVHYFSALQSSDGHWPAEITGPLFYVPVMVFCFYITGHLDEMFTKEHRKEMLRYIYCHQNEDGGWGLHIEGKSGMFCTALNYICLRILGEGPDGGPRNACKRARQWILDHGGVTYIPSWGKSWLSILGIYDWSGTNPMPPEIWLLPSWLPIHLGKTTCFCRLVYLVTSYFYGKRFVGPITPLILQLREELYVQPYEEIDWNKARRSYAKEDMYYPHPSIQDFVWDSLHVFGEPLLTRWPLNKLVREKALKVVVDYIHYEDENSRYINIGCAGKAMCVLACWVEDPNGEYFKKHLARVPDYFWIAEDGMKVQSFGSQLWDTSLAIQALLASNMSDETAHVLKKGHDFIKRSQIRENPSGDLKKMNRHISKGGWTFSDRDQGWNVSDCSAEAFKCCLLLSKMPPDVVGPRMDPEQLYDSVNLLLSYQSENGGMTAWEPARGYGWLELFNPTEMLADLVIEREYVECTSSVIQALIMFKKLYPDHRTREIDRTIEKAVQFIENTQEADGSWYGSWGVCYTYATWFALEGLVAVGKTYENCLAMREGVAFLLKKQNSSGGWGESYLSCSEKRYIELEGGRSNVVQTAWALMGLIQTGQAERDPVPLHRAAKLIINSQLENGDYPQQEMTGVFMKNCFLNYVTYRNIFTIWALAEYRKVI >A07p041480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22400014:22401619:1 gene:A07p041480.1_BraROA transcript:A07p041480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCSIPMFHTLIPKPSASVSPRPKFRNRSSSQPFSSTALQVLSQTSLPLVAVPPRSSLAAVSSHLSPSDIPQKSEEWFALRKDKLTTSTFSTALGFWKKNRRSELWHEKVYDSESPRVVEDSAKFAMNWGVQMESAAIERYKRIMGCEVGSMGFALHSQEQFHWLGASPDGVLDVGILEVKCPYNKGKTETVLPWSKVPFYYMPQMQGQMEIMDREWVDLYCWTLNGSSVFRVMRDRSYWRIIYEVLREFWWENVIPARGALLLGKDDAEVKKYEPTSTHKLTGLAIAKSISLAAESKLIKQIIVLDYLETGDGMCIFGCEMFSFVKVEKKMDSGLSWADQWDTNPDPPPSCTKEDEGKKKTKKDKDGSRSIFGKTILGFKWMKDLRKKPEK >A10p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19574459:19575770:1 gene:A10p033430.1_BraROA transcript:A10p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OCP3 [Source:Projected from Arabidopsis thaliana (AT5G11270) UniProtKB/TrEMBL;Acc:A0A178UCN6] MMIKAMAVALSSPGVAFHLRSPALSSNFRNQNASPSLLSLPTHRASRSVLVFGRGKNRKGFVSSSSSSPKKNKKKGFAGDDNGGGEEAEEDDPFEALFNLLEEDLNNDKSSIDDEEISEEDLDRLAAELAEVLGGGDDVDGIDLFGSVTSDVADDDDEEDDDDDDDDSEEEDERPVKLKNWQLRRLAYALKAGRRKTSIKNLAAELCLDRAYVLELLRDPPPKLLMLSATLPDEKPPVAAPENSSPPDPMESSAAEDAVEVEPVEKVKEEAVHVMQQRWSAQKRVKKAHIETLEKVYRRSKRPTNAVVSSIVQVTNLPRRRVLKWFEDRRAEDGVPEKRAPYQAPV >A01p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8498233:8500693:-1 gene:A01p017170.1_BraROA transcript:A01p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISATSFPSSSSSSALALCSSPTASLRCQNVACPKTTSSFQELSVRRSQLVGNALATGHLPAIRSSKNQAIRAVLSSDGQAADPKGAGLRGKLKKVVLAYSGGLDTSVIVPWLKENYGCEVVCFTADVGQGIKELDGLEQKAKASGASQLVVKDLTEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDIAAEVGADAVAHGCTGKGNDQAIITWTVRFELTFFSLNPELKVVAPWREWEIQGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDQPEYIEIGIESGLPVSLNGKPLSPATLLSELNAIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFAAVQELESLTLDRESIQVKDSLALKYAEMVYAGRWFDPLKESMDAFMEKLTEKTTGSVTLKLYKGSVSVTGRKSPNSLYRQDISSFEGSEIYNQADAAGFIRLYGLPMRVRAMLEKGI >A01p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28434154:28435594:-1 gene:A01p050500.1_BraROA transcript:A01p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDSSGGPPPPQPNLPPGFRFHPTDEELVVHYLKRKAASAPLPVSIIADVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLASDGNQKVGVKKALVFYSGKPPKGVKSDWIMHEYRLIDNKPNNRPPGCDYGNKKNSLRLDDWVLCRIYKKNNAGRHVDNDKDHDMIDYIFRKIPSGLNHNVSRSMNFFPGRFSSGYGMFSDNDPGLYDGSGMMCSSGTDAVNLNVCNGNPIADVVGNGLNPVSSSGPMMMMMANLKRALPVPYWPVGEEGQEVSPSKRFHGVGGGGDCTNMSSSMVEEPPPLMQQQGGVLGDGLFRATSYQLPGLNWYSS >A03p028740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12082682:12083018:-1 gene:A03p028740.1_BraROA transcript:A03p028740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGKGTSVAGCNSRCGCPSPCPGGESCRCRMSAASGGDQEHNMCPCGEHCGCNPCTCAKTQTSAKVGKAFCTCGEGCTCATCAA >A01p030110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21091369:21094570:1 gene:A01p030110.1_BraROA transcript:A01p030110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTIRAWAPDEEGATRGGQGTDGRDSGRKPISDCEYQAKDHLGVKRMMEDHLGVKRMMEDHLGVKMDDGGSSWGLTVKRIILGQKGMIRTTREILAYARKPYCDAWGDVVPALFPDEEEMEFAEQPNAPIQETTMRRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRTGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRSITGLCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSEWEEEPASSTGSGRAAGPKPEGEQKSPVIRGFWRYLETYLFQVGCHFVLPLIVFGNSPQFWDVKFELKVWNSGRIPNKRRRIKRSPAENSRRLEALAVDSLSLFRAASLLLLSLRRVSLLSLSAASLSPRREQPRVVVVAAWCHRSQIPKVPGKGGINYPRRVG >A08p041550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23427581:23428765:1 gene:A08p041550.1_BraROA transcript:A08p041550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGLSDEQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSITASESLTSPFDFSRFLDLMAKHLKTEPFDRQLRDAFKVLDKEGSGFVAVADLRHILTSIGEKLEGNEFDEWIKEVDVGSDGKIRCKLCMTACSQIHSLVSSVCSKEAGAANYFKLPD >A07p051400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27289384:27302105:-1 gene:A07p051400.1_BraROA transcript:A07p051400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFMPDDELARLSSDAASVVAERADEYIRKLYAELDSVRAKADAASITAEQTCSLLEQKYLSLSRDFASLESQNAQLQSDLDGRLAELAQSQAEKHQLHLQSIEKDGELERMTTEMSELHKSKRQLMELLEQKDAEISEKNSNIKSYLEKIVKLTDANSEKESRYAEAGAELARSQAMCSRLSQEKELMERHTKWLDEELTAKVDSYAELRRRHSDLEAEMSAKLVDVRAYFLMLCFDFLKVLFLYVSFFVIPYQVEKNYNECSSSLNWHKERLRELEAKITSLQEELSSCKVAAATMEEQYNAELSTTNKLFELYKESSEEWSRKAGELEGVVKALEARLIQVESGYKDSLEKEMSTNQQLEKENEDLKQKLEKLEAEIEKTRKTNELTLLPFSSFTRGADDSGTSNMIEESHAIISKVPAGVSGTALAASLLRDGWSLAKIYEKYQEAVDAMRHEQLGRKEAELILQRVLSELEEKAEFIQEERGEHERLVEAYSLVNQKLQDSVSEQSNMEKYIMELKADLRRRERENILSQKDISDLQKQVTILLKECRDVQLRCGAARDDEEDDAQLSDVEMEMESEADKIISEHLLKFKDINGLVEQNVKLRNLVRSLSEQIESREMELKEMFETELKKKTDEASSKVAIVLKRAEEQGQMIESLHTSVAMYKRLYEEEQKRYSSNSRSSDLPPVPGRNNFLHLLEDSQEATKRAQEKTFERIRSLEEDLAKARSEIIAIRSERDKLAMEANFVREKLEGIMKESERKREEMNGVLARNIEFSQLIIDHQRKLRESSESLHAAEDISRKLSMEVSVLKQEKEVLSNAEKRASDEVSTLSQRVYRLQATLDTIQSTEEVREEARAAERRKQEEHIKQLEKEWAEAKKELQEERSNSRNSTSDRNQTLNNAVMQVEEMGKELANALKAVSAAESRASVAEARLSDLEKKIRSSDPKGLDMDSGGVVSLSDNEMSVELRTAKEEMEKLRGDVESSKSHMLQYKSIAQVNEIALKQMESAHENFRLEAEKRQESLEAELVSLRERVSELENDCLQKSEQITNAAAGKEDALVSASAEIASLREESLVKSSQIEAMNIQMSTMKDDLGKEHEKWCVAQRNYERQVILQSETIQELTKTSQALASLQEEASELRKLADSRGTEISELNSKWSEEKCMLEQQKNQAEKMYHELNEQNKLLHSRLEAMHLRSAEKDSRSGNLSSGSIDSDQLGDSGLQSVVNYLRRTKEIAETEISLMRQEKLRLQSQLESALKMAESARGSLNAERASTRASLLTEDEIKSLQLQVSEVNLLRESNMQLREENKHNFEECQRLREVAQKAKTDSENLENLLKQKQTELDLCISEMERLRKESDLEKKRVDELRETYKNIDVADYNRLKVEVRQLEEKLKAKDNHIEGFKKLLLEKQNKISQLEKDLTNCKNDLSEREKDLTRCKAELSEKEKTLDSSQQAQATMESDSEKLKAEITRVKRSYTFMKKKLEKERDDLNSDNQSLSKQLEEAKEAGKRTTTDATVEQAVKERDEKEQKIQILDKYLHSLKDDLKKKDDELTKEKTERKSVEKVLEDSLANIKKEKTKVDEELVKLERYQTALANLSEELDKLKQADGNLPEGTSAVQVLSGSVLNDQAAAYVSAVDSFERVARTFVLNSQGSTKSTDMVTEASPATVEPSATARASSSKAPVATTQQQLKVKRLTLQKPNAELRRGRIIRPQLGKPEEPPQVDTEMPEAEGAGGEGKQPSSNVTESQGTTVVPPVQTHVRKRQADSLASEPPQATQGEASTEIVPPAFKKAKGSETEPDTTEGENLSKEPDMDETMDATNAAEDDNEETEAETADEETMEAQQETEAEEGQDKTDEPVEENPTKTETIPTEEEFKDQTEQENQDLADAEFDKEEGELDLDTLEDLEEPTDVATTPMQSPIRMETTMEEAETTIEPPLEDVKNDEGGDVAVEEASDKPNNGNNQQVAETDLKPETTSASATPPTSSTPETEETKRNRSQVAETTVATTVSASTTPASAGASETPETSEETKRAASPSRTINIADKAKEKAAIRQAGVAALGGTRTPSPANRAPSPPAPRGRGRIVNTRGGGRVNSRGGRTTRGGRGPPPSQP >A02g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12471896:12472254:-1 gene:A02g503770.1_BraROA transcript:A02g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGHNQSFTCGDFTPGVFPLLASCECVKFSPSSATIPMAATDGIFKTFIIWDLQPGPGLRNFGPEAKKNFWPIIFKNQKKWLKMQCRDLNSAPLIRILVL >A02g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8096180:8097063:1 gene:A02g502460.1_BraROA transcript:A02g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIDGKFAVPFFFATPPSFSFFLLPLSSILLLSIYTDMLFSSGFSGSPSEISRNKVSFLRSGSDLGAYGSSGMESVAASPGAFLTVLQTLCRWFAIHWFVWVPLLCLLQVHDVEAIVEVYSVARSVSLHSSAFSAFGSGELLLFADRQGILGCLESLTFVLAFFESRRAFRLGMWPVSVPTACFHTVKVMSLVRLAVVDSPGVGSVVCADAELDHLFRLMRLQPPCPRGTLIIRLL >A03p070150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30832831:30835343:1 gene:A03p070150.1_BraROA transcript:A03p070150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAIGYALLTTFTVLLSYTSLSHGLLQPRRISHGLSASGKYLTRDELWFNQTLDHFSPYDHRKFGQRYYEYLDHLRVPDGPIFLMICGEGPCNGIPNDYLSVLAKKFEAGVVSLEHRYYGKSSPFNSLATENLKYLSSKQALSDLAAFREYYQAGLLCCFCNVLEAASIDSLNVKLNRSGKVDNPWFFFGASYSGALSAWFRLKFPHLTCGSLASSAVVRAVYEYFEYDQQIGVSVGPECKPVLQEINKLVELGLKVNNKAMKALFNATELDVDADFLYLIADAQVVAVQYGNPDKLCVPLVEAKKDGSDLVEAYAKYVREYCVEVFGLSSKTYSRKHLLDTRITPESADRLWWFQTCTEVAYFQVAPANDSIRSHQINTEYHLDLCNSLFGKGVYPEVDATNLYYGGDRIAATKIVFTNGSQDPWRHASKQTSSPDLPSYIVNCHNCGHGSDLRGCPQSPMIIEGDSKNCSSPDAVNKVRQHIIEHIDLWLSECRGVLRSSM >A03p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10337926:10339274:-1 gene:A03p024470.1_BraROA transcript:A03p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSREKCAMWLDLNREEAVEKYNEEESSVEDEDQQVTSSNNVRQYVRSNMPRLRWTPDLHLSFVRAVQRLGGPHRATPKMVLQMMNLKGLSIAHVKSHLQMYRSKKLEATSLHEVGAMMGAQRNYLLDMIDIPYGGLRHACYPKTVPSRVHNQGTVFTNLGANFVMRPSSWCNRLSGNELHGVRGGLNNRDSLESKTLPLLEIRRTTPEKRVREEAAREVSSLKRSKPMKGDGINTMLSLSLFSTSSGESP >A05p014860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6541998:6542467:1 gene:A05p014860.1_BraROA transcript:A05p014860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSQNQSAGAYPTPPVSTGPYVAPPPAGYPTNDTSHATMAPVETKSKGDGFLKGCLAAMCCCCVLDACF >A03p022750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9596036:9596771:1 gene:A03p022750.1_BraROA transcript:A03p022750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDMLSQFVQLLYKTAPNMNNYLMVDRSLCGEDELQSSESYISELCPTIPISYLAQVLGFTGASGQSTGEKESDGMEVGSEWLKALGASLISDSNGDMLLDTKVGKKECNSAKMKFITCCDLQETNVDK >SC139g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:91772:115259:-1 gene:SC139g500050.1_BraROA transcript:SC139g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVITKADFEAFIRALKESGKMLGNTLGYSYSAHTLPSISDKLKTTSWFSEKDIKKLSQVVMDVEKQLKRTNTARPSMETQHQEPVTTVSELKNVEPESAAPIQEVQTETSMEKENSETGQECSLFLPQSEFNFNNSFDELTCLEPVQPSSIVSVSQVAKEDSAEREAEQSTQGEKLEQPNSLQSNTTPESLSYDLQKHSEKGTGEERGYNDQSINDESLAKLEMQQSNLGNCLAASFDIGAVRGSYLSNQKELSNKLDFHGNLTHQGLTANWNHVQSFSGERVMGSTSQVNLCLLCLNFSEFITSQSYLWRPDMMHLFLSKEPCADYEEALKHTRRKNKREEDKRFKPPILEKLGHDQIIFKTPVRLINTSHTACPLHRTGLNLPLSTDFTATLEKLGNDQEHLYLEPRLEGAKLVMILCISMELGCLNHHRESHKTHLSLHNDPCYTSCRLRTRYVQWYYAMAISHCIINHTTLPVDYGLTCPSQKMGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEEEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVFMEHVQALTASKKHAIQSGASKPMVIDSGAKSSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSITTWEVI >A09p055040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47182532:47189942:-1 gene:A09p055040.1_BraROA transcript:A09p055040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSLDRSGDRICGTVDWSGIAATIPYSYIFPSRKATMAKPPRKHTTHHPPPPSLSSLPEEIVLRCLALVPRSYHLSLSWVSQNLRSLVRSPELNGLRSTRHKSSLYVCLRNHYNDTSFHWFTLCPTEETATTTTEYRLVPNPTPFPHPKHGSLTVVAGSKIFFIGGGSSEPSSDLWILDTRSGNMTKGPSMSVPREKGEAAVGVIDGKIYVIGGGGGFQEERFNEEIQVEAFDVKSETWELAGMENVRKISRCSASVEGKVYMVEYGEINVYNPRECERERMVRMVSQRLERGRKDMLNDSAFIVCVVEDVLYAFFYQSGIMWFNTKLNVWRRLLDRDGKELPFIFHVDAMAEYDDGKLVILYMLSEKEIYHTVTKSIRCMLVSLHRSGDMICGTVDWSGSMGTVPSSGITVCRVEADILQMRVSLLLKAAKCPTPTPPSLSSLPDEIVLRCLALVPRSYHLSLSWVSKNLKALVRSLPEHNGLRSIRHKRSSLYLCFQQEYNDSSFHWFTLCPTEETSTTEYQLLSNSTPFPPHKYGSSTVAVGSNIFFIGRSRRPSSDLWILDTRSGNMTQGPSMSVPRNVEEAAVGVIDGKIYVIGGGGYEEEIQVEVFDPKSETWELAGIENMRTIPRSSASVEGKVYMVEYEKTSVYNPRECEGQRLVKIVSERLSERGRKETVPDTVERIDVWRRLVGRDGKEMFIFHADAMSEYEVMMLTCLMKKSQESLGLVQKRYELGGDDRHEIISAIAMRSLTVTKSVRCKLVSVHRAGDRICGTIDWSGVSSETSEFQTVKHPIESKCKQVVDKPLIKFERPAPARHDMTSPCTGSSPRLIFNFKSADDWLKDAGNEAVYRSVILNKMTTMCL >A07p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17337856:17338545:-1 gene:A07p031310.1_BraROA transcript:A07p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLERRVCCMCGDVGFIDKLFHCSKCLNRFQHSYCSSYYKEQADPIKICDWCQWEARSPTGAKHGVKSRSSKRSYRSEYSSAHQIKQQEINQITTSSSIPPVTNKGKTGAPSPRSATRRYKLLKDVMC >A07p000440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:692242:693859:1 gene:A07p000440.1_BraROA transcript:A07p000440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCPFRSIPRKLSSLAHTSSVNADISMESPYHLLSANGGAVGRNIYSNDHPSMASHIGSSSDTPFISEILDWDHPAPIPDLFDFPLDIPIQTNQMDDIHRPSELAELDEELITDDENPLMHALLNDLFLDTSSTSAASKVQEPTMQSQIQQPQVVLHQTSPIVRTVSSNSLTSNNTAAAKGRVRWTPELHETFVEAVNQLGGMENAKPKAVLKHMKVQGLTIYHVKSHLQKYRTARHVPEPSEGWREKKLTPVEHVSSLDTKSGMYITEALRIQMEVQKQLHEQLEIQRKMQLQIEKQGKALVMMIEKQNMEFGKPEEAEAEADSRRSKRPRKG >A04p037380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21334502:21337215:1 gene:A04p037380.1_BraROA transcript:A04p037380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVLQLGLQSSVVQAAKFLVVVPFRSLRFGSSIVSVRVGTSSFNKRLMSNATAKSVPLSINNIKGKPVKGSDNDIEAMTVQELRATLRQAYEPFLLHVERLCNHVTMFFFFLQCRKLGLPVKGLKKELISTLKLHMDNSSPDESSGAEKMETTSSTLSESVTIKRKTRNQEEADDDYYGNDNGEKKVKQSTEKNLKVKVSSKEEEASLTIVVSSSNQSEPWTVLAHKKPEKDWKAYNPKTMRPPSLPEGTKSVKIMTWNVNGLRALLKLESFSALQLAQREDFDVLCLQETKIQVKDVEEIKKSLIEGYDHSYWSCSVSKLGYSGTAIISRIKPLSVRYGTGLSGSDHDTEGRIVTAEFESFYLINTYVPNSGDGLKRLSYRIEEWDRTLSNYIKELEKTKPVVLTGDLNCAHEEIDIYNPAGNKRSAGFTIEERQSFGENVLEKGFVDTFRKQHPGVVGYTYWGYRSGARKTNRGWRLDYFLVSESIAANVHDSYILPDVNGSDHCPIGLILKL >A09g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20088431:20089170:-1 gene:A09g506790.1_BraROA transcript:A09g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCFLHQLQQEAFKIRHFLQLQPISFFQPDMSHQFTSSRSRFRVELAVDDGKDSATFVVFAKEMTKLIKKEATNLALEEPPTYLIEMSGGEEYLEDLAGYEYVSQLRVTPYILIPNHRPTVSYSDISNPPPVVDMESGEATESANTMGWWRYHRELVKQV >A05p041950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25394112:25394744:-1 gene:A05p041950.1_BraROA transcript:A05p041950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRPNGNSSSSSSPKKHKTESDEEELLMVPDMEAVGSTCVLSSNADDGVNKQEIDQTQNVTSTAKRGRGRDPVDKECRSHKRLLRNRVSAQEARERKKVYVSDMESRANELQNNNDELEEKISILMNENTMLRKMLINTRPKADDNH >A07p013040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7730551:7732513:-1 gene:A07p013040.1_BraROA transcript:A07p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGLRVEQRHGKARVRVGRVWRQGADGSHHFVEWNVSISLLSHCLSSYHRDDNSDIVATDTMKNTVYVKAKECGDRLSVEEFAILLGNHFCSFYPQVYTAIVNIVEKPWERVCIDGKPHLHGFKLGSENHTVEATVQKSGALTLTSGVAGLALLKTTQSGFEKFVRDKYTILPDTRERMLATEVNASWRYSYESVASIPKKELYFSEKFMEVKKVLMDTFFGPPETGVYSPSVQRTLYLMGSAVLRRFPDVASIHLKMPNIHFLPVNLSTKENPSMVKFKDDVYLPTDEPHGSIAATLSRITSKM >A09p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3315885:3316820:1 gene:A09p006280.1_BraROA transcript:A09p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNNLWSLKEKQSHSSATKKIFRIKIIPGDGRSTRFWSDNWSPMGNLKKHSATLHDLYHQGSWHLPQPRSEAQLNILIHLSTITLSTPRSISCGLREITDIIDRSIDRFLIRNRISSFRDQNPILSSKMLHTWFDN >A03p013000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5124337:5124522:-1 gene:A03p013000.1_BraROA transcript:A03p013000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVNVKHVRCYREEVQGGDAVPVNATKEVYASLFTSSKKKSDFRETYSCRSLPALLCNLH >A03p035350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14854422:14858214:1 gene:A03p035350.1_BraROA transcript:A03p035350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-methyltetrahydropteroyltriglutamate--homocysteine methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT3G03780) UniProtKB/Swiss-Prot;Acc:Q9SRV5] MASHIVGYPRMGPKRELKFALESFWDGKSTADDLKKVSADLRSDIWKQMSAAGIKYIPSNTFAYYDQVLDTTAMLGAVPPRYGWNSGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVKFSYASHKAVNEYKEAKALGVETVPVLVGPVSYLLLSKLAKGVDKSFDLLSLLPKILPIYKEVIAELKGAGATWIQFDEPLFVMDLEGHKLQAFSGAYSELESTLSGLNVLVETYFADVPAEAYKTLTSLKGVTAFGFDLIRGTKTIDLIKSSFPEGKYLFAGVVDGRNIWANDLAASLITLQSLEGVVGKDKLVVSTSCSLLHTAVDLVNETKLDAEIKSWLAFAAQKVVEVDALAKALAGQTNQSFFSANAEALSSRRSSPRVTNQSVQKAAADLKGSDHRRATEVTARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEDYVKAIKEEIKKVVDIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSTAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKGGIGVIQIDEAALREGLPLRKAEHSFYLDWAVHSFRITNCGVQDSTQIHTHMCYSHFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTDEIADRVNKMLAVLEQNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLIRSQLSSAK >A08p033280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20023987:20027669:1 gene:A08p033280.1_BraROA transcript:A08p033280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKVIGGGGARKGNNDIPTGSRKIVQSLKEIVNSPEAEIYAMLKECNMDPNEAVHRLLSQDPFHEVKSKKEKKKETRDVPDYRLRGGHNTYNRGGRGGSDRYAGRSGSTHLNSTDSGNFQGKSTNKRESGTQGYTSSWSSASGVANQHQTPHRFSLDCDSVVTENKLPPAASSDGISSSSQPASGHQTPWFGAPGQMSMADIVKRGRPQNKTTNSQRSEINHEHEVNANHQVPVKDEWPSIEKPLAPITSSVSVAPAESEACGGAVDFQSERVDQQHLRDRLENIHLAASGPSEDLGVDHVQPGSVGNVQEDDSGVSSESNDNQYTYETQSHPVEHRKDEDEVSSGSADSQELTIDGHDQEASHEEDRPAVVIPKHLLIHTEECSQLSFGSFGGFGSRPLSNNAEETPDVAPQNEHPDARNTEFYGDERLGSTVNGSMGHAPAAGSYDDSLESRREVLKQENPETVQENQYTFAQSETEYAKQQQQLNTAYDASQTNAQNQMQNLASLSNVMQGYPHSDPNSLLAQNARELEFQYSNFAQSMQSRNSNNASSLGGQSISMPEALRGSGIPATQQNLQGANIATGPALPQQLPQMHPYSQPTMPLAHFANMISYPLIPQNYPYMPSAFQQAYAGNSSYHQQLAALLPQYKTNPSPSNLPQSATAPASAYGFGNSTNVGSAGNFPLNQQQSAPTGYEDVLSSQYKESNHLLALQHQQQQQNENSAMWHHGHGSRTMSGVPANTYYNLQAQQQQLQQTQQAAAGGYRQAQQQQQRYGSHGYPNFYQSQTEMSLERQQQNPRDGAGAQVGQQPSDQTQQQLWQNSY >A07p043410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23749372:23754676:-1 gene:A07p043410.1_BraROA transcript:A07p043410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTYDHFKTKQSRRVLNEGKKKMELFTRGSAVRLRSCHENYLFAVDDEKAVRQSSDGTSRQSVWTVEMVPRKPNFIRLKSCYGKYLTASESSFFLGVTGHKVVQTPPFRQAEHESNWEPIRDELPVKLMSWNGTYLRGNGGSPPWKNSVTHDYEPHVHKKWISWTVEVVENPENVSFADGFSSPASSFNSAVNDESSVKESPTFGSSESIGSDPVSVSSSKLMFTPSMSETSTPKPTEKKDSTKFDEHSSAIDIFRIAKSVRLRSNVHEKYLIADDNEESVVMGRNGSAKEARWRVELVPGSGVIRLKSCHGGYLTASNERMMLRATGHKVVQSRRTGDGDPAGEWKPVTDGSKVKLKSRNGGNFLRANGGMPPWRNSVTHDIPNRSATQDCVVWEVDVVEIMERSHETDIKLNFKRLRSTSLCAALDLVSINKIVIKYTPNIAFTSQFVL >A04p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4644562:4648235:-1 gene:A04p013820.1_BraROA transcript:A04p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLNLPNLAVLPNVNRSSFPSTFTFGVATSAYQIEGGWNEGKKGPNIWDKFTHLEGKVLDGSNGDVAVDHYHRYKEDVELIGTLGFSAYRFSISWSRIFPDGLGTEVNEEGVAFYNNLINSLLEKGIEPFVTLYHWDLPSHLQESIGGWTNRKIVDYFGLYADACFASFGDRVKHWITLNEPLQTSVNGHCIGIFAPGRKEKPLVEPYLVSHHQVLAHATAVSIYRSKYKESQGGQIGLSVDCEWAEANSEKMEDKVAAGRRIDFQLGWFLDPLFYGDYPASMRQKLGDNLPTFTPEEREFMLQNSWDFLGVNHYTSRLIAHVSNKEAESDFYQAQELERLVEWEDGEPIGERAASDWLYVVPWGIRRTLNYISKKYNHPPIFITENGMDDEDDGSASMHEMLDDKRRVAYFKSYLANVAEAIKDGVDIKGYFAWSLLDNFEWAQGFTKRFGLVYVDYKNGLSRHPKSSAYWFMKFLKGDEDNKGKKD >A07p044700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24338568:24343775:1 gene:A07p044700.1_BraROA transcript:A07p044700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQDYIKESDNLVSLHDQIHDCDSILSQMETLLSGFQEEIGSISSDIKILQEKSMDMGLRLKNRRVAESKLAKFVEDIIVPPKMIDVIVDGEVNEEYIRTLEILSKKLKFVAADPAVKSSKALKDVEPELEKLRQKAISKVYDFIVQKLIALRKPKTNIQILQQSVLLKYKYIISFLKEHGKEVFMDVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATAYDLIGVETRSAGLFSRAREPLKNRSAVFALGERIKIIKEIDQPALIPHIAEASGLKYPYEVLFRSLHKLLMDTATSEYIFCDDFFGEESIFYEIFAGPFSVIDEHFNPVLTNCFDAIGLMLMIRIIHHHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDSHISSLRDANIKTLWEDDVHPHYVMRRYAEFTASFIHLNVEYGDGQLDINLERLRMAVDVLILKLAKLFPKPKQQIVFLINNYDMTIAVLKEAGPEGGKIQMHFEELLKSNTSLFVEELLVEHFSDLIKFVKSRASEDSSSNPERSITVAEVDPLVKDFGSRWKTAIELMHKDIITSFSNFLCGMEILRAALTQLLLYYTRLTDCIKKIPGGSGLNKDLVSIQSIMFEIRKYSKTF >A03p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11575950:11576619:-1 gene:A03p027620.1_BraROA transcript:A03p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAFLLAILSGKASPTSADIKDILGSVGAETEDAQIELLLKEVKGKDCAELIAVGREKLASVPCGGGGVAMASAPSAGGGGGAAPAAEAKKEEKKEEKEESDDDMGFSLFE >A10g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11211884:11219727:-1 gene:A10g504600.1_BraROA transcript:A10g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQTPPKPFNMHTREVDDSDDFVGQVPQCVSSRPTHDTSDGVYEDDDFVKPEGPKKKKLNTCSRCHISGHNKKSSIASLRNQRTMVGMTPATQKTVKLKILFDSSTCYCGGRPKLATSRTVNDPGRRYYTCDNVNDGDCHVHKWWDDAVDYLTFLNDYDPQLNKLKELQNETEHKLVRLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIIKTPNNLSSQHSTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCKDFKNDGLHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFELAQMREDIKELKQLIMGKMTVAKYKRFFYSLPIVGERTEQQLIELAKAGLKEEIRHGLETNEFATVEALFEEAEEVEEGLKETPPSTPRKRRRTSPDPCSSKRARKAEKKGDPEDEGYGYDGEGASGFKDDEEGEYWEWMQMETDVDDDASDRTDDTLGSGQLRISGLPLQRLSIGSLWILPFHKYHPIEGHEMTFLDLPSEIQQLIVSCVAKNFFQDLYRLRSTCKSMRAMADTPDVYSSFDLCYDVGNPSTLYIKGVEYFYALQRHEEGLALMKRAADAGYERGLYTYAMTRKLYSDDEEYFARFTREAVGTIGWLVRMDDVPWVPVVNEWFLTKKFMFMSTDRPLLYNCPCSPTLNFDWDMWHMELSKTEDMCNRCFWIKEVGLFLRDFRCATSFAPFDSWQ >A05g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23992054:23998758:1 gene:A05g508230.1_BraROA transcript:A05g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIRTRDCLRKNTNEHREFGGWMFTYVSAGLARCETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHINEILEIKYLGMVGLRFDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRFRRTPHNIRASPIFFPISLFLSAPHSLSLSRFPATPPFSLAISGESALTLPHNHIFVRLNGRIVSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDYVSPHSSYHTSPSPLPAPAAPAPAPPAPAPPGPPGVMSVAELVQQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFIHKVIDNYGKQMYEWKKKWEVNKVPKSMNDTVWEELCAQWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKKMYPNEVFPNIPDP >A03p048830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20871549:20873343:1 gene:A03p048830.1_BraROA transcript:A03p048830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLTDSDSSNPCLSSSLTLQSFSALPRKSREKEKTPLLIFLQDSAVNFADSESIVEMESSKVHEAGKVEETHQKVDPESEESKEDPNATRVLALDMILKSLLKISVFLSYFLLEFCQETHHSLTEDGEYAVTPSTQLRDFLLNNLNVTHPLEANSVFDFLVTILEVLPLWRNVEEPLSSKFEVYHQVAKKICNRCKLVTPAFKDFAFENILKVTRIALMMMPCDKEGCGKQNYVERVISKLPAVFTIAVEWEKNETEEDIFATTSVLATEIDISEIFKYEGDSLFTKYRLVSMVCCHGDQYSCIAYENRSWVIHFGSQNEVSGDWNRVLSIFAKLKIRPEILFFENVMGRDQIVSGN >A07g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9019072:9020532:1 gene:A07g504490.1_BraROA transcript:A07g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHLRNVSQQLKRFKAAFVRKDYNSCSDLLSQLKVLLTNIKLVSHCLRILKGHETSGIVSGESVVEKAVGLEKDDEVANGDSSMEKLIKSLYTTNSGRSNQAPAVDLESEQPTASASSTGDAGKIALGDDEANQPCSVADEKIPTPT >A02p046480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29011850:29012159:-1 gene:A02p046480.1_BraROA transcript:A02p046480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMPSSSKLLAVMIFSFMALFIISQARTLSPGRKLTQYPAPSYGSYTPVPPGCFNPPGCRP >A10p030810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18571109:18573729:1 gene:A10p030810.1_BraROA transcript:A10p030810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVRDSEIFSAELKEFLCAAVQRRRDDDGFRGSGGGKSRNAMDLDPDGGNRLVCVTGGVSYLGRAIVKRLLVHGYTVRIVIDCEEDKEKVSEMEADAETASFSNRITSVVSRLTEIESLVKAFDGCAGVFHTAAFVDPAGISGYSKSMAELEAKVSENVTEACTRTGSVRKCVFTSSLLACALQENFYNDLDHSVINEESWSDEQFCINNKLWYALGKLKAEKAAWRIADSKGLKLATICPALITGPDFFHRNSTSTLAYLKGAKEMYSNGLLATMDVNRLAKAHVRVWEGLGDKTAFGRYICFDTILSKDGAEKLAKDIGVQVEKICGSNSDSNANAETSTRNLKISDKKFFDLMSRTLRSCYHES >A10p005800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9460445:9467460:-1 gene:A10p005800.1_BraROA transcript:A10p005800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCGGSLNSVIRRCDNKLSFARQASSEQGFFMKQASPDETGTGGGITLKNSVVRRCDNRLYFARQASSAQGLFMRQASTDEDAAASTKCSATKTNGFPSSQQQPLLSRPEYAPPQFSKAAAKDEFFVCSDSPYQQKEDGLEKPYNPDLPKLANLGTVWSPRSNVAEYENNYLVAVELPGASINDIRVEVDNTNLTVTGRRTSVCQKVDACTKGSVFGCHKQEILQGPFKVSWPLPSNVNKDNVAAEFISLLLHPCGGSIITVRSKTTSGQYVASRSRDPVFEKLMDKYKNLLKVIAIQDLILANPTVDPPSVSIEFLSRLSQKLHLNRGAASFLRKYPHIFHVLYDPVKSQPFCRLTDAAMEISRQESLAINASLPVVVDRLIRLLSMSISKSVPLRAVFKVWRELGLPDDFEDSVISKNPHVFKLSDGHEPNTHILELVHEEGKESLSFEAAVEKWRVVECCEEDCSVDRTEVQFSFKHSYPPGMRLGKNFKAKVKEWRKLPYVGPYEDMVGKKKNKSGVMGVEKRAVAIAHEFLSLTVEKMVEVEKISHFRKCFGIDLNIRDLFLDHPGMFYISTKGKRHTVFLREAYERGRLIDPNPVYEARRKLLDLVLLGRHAALSDSRDTNIFSFVCQISTVQMAASTSCLIGSGLSVYTTKHRSKQLGLSSRFALVDRTSKVTLVKASLDVNKHEARRGFFKLLLGNAAAAGVGLLGTGKANADEQGVSSSRMSYSRFLEYLDKGRVDKVDLYENGTIAIVEAVSPELGNRIQRVRVQLPGLSQELLQKLRAKNIDFAAHTTQEDQGSPLLNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLQIGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGVIVVAATNRADILDSALLRPGRFDRQVSVDVPDVKGRTEILKVHSGNKKFEDGVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAVGDLQQITGLAKQMVTTFGMSEIGPWSLMDSSAQSDVIMRMMARNSMSEKLANDIDSAVKTLSDRAYEIALGHIRNNREAMDKIVEVLLEKETMSGDEFRAILSEFTEIPPENRVASSTSTSTSTPTPASV >A02p046370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28945122:28946095:-1 gene:A02p046370.1_BraROA transcript:A02p046370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKMVCLVSRTGRQFQRYNKGRRQVVGCIPYRFKLSSDGKFSDELEILVISSQKGHAMMFPKGGWELDESVEEAAARESLEEAGVLGNIGHQLGKWDFLSKSRGTYYEGLMFPMLVTEQLDLWPEQHARQRIWMNVVDAREACRDWWMKEALDVLVERLSSPLNQPKENKTISISIETMC >A03g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13081254:13082750:-1 gene:A03g503790.1_BraROA transcript:A03g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STWKVHPYRVDTCLASPQTIAFTSSPPSCLCVSGNGSDESGRCHRVGLDREVIRTGVSLAMVQETENDDLEQDVKLRTLEGDDLKWVNWEEEDDDEEDGEAEIEFQRSSSADISSIDDGEVYLQFLFSLVLLLSWIDTTMDTHLSDPMGKHLWYAMYPQDFSPPFSKLTCLKNSQQVMIRELFFPMFLGNKTPSPSCKESSSHCGVGGKRKHRHLSWRKDLNGEKENQRFSLMAGLVMERTSEMVIQSPHLVQLPVRMWESH >A09g514760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43991632:43992525:1 gene:A09g514760.1_BraROA transcript:A09g514760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRVSSRTRKVATKMAAALTSTDNRTQAAIARLEALENDNGALEVVDLNDDEKASLHEEYDLALLQGHFCSCNIPNPLSKTSPTKRTIRIIESIRDTN >A09p018360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9598369:9599261:-1 gene:A09p018360.1_BraROA transcript:A09p018360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADVEQVTPAAAEHVEMTPPKTVEPEETVAAVVTESAPAPVTETEAPVEETEKQTEEAEETKKEEDAPVEVTTKDLPVEEPEETKTETEEIKKEEEAPVEVTTKDLPVEETEETKTETEEIKKEEEAPVVVEEESKTEEVVEPKKEEEAPVVVKEESKAEEVVEPKKVEEEEVKTEETPAVVEEEKKAEAEEEKPTEVAAVEAAVVPAEVAVEKADE >A07g508200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22711633:22712580:1 gene:A07g508200.1_BraROA transcript:A07g508200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNNVALIPSLVGVSHVAIQFPVYEKIKQYMAKVGDKSVDQLSPGEVAVASSISKVIASVSTYPHEVVRSKLQEQGQVRNAKAKYSGVIDCAKKVFRNEGVPGFYRGCATNLLRTTPSAVITFTSYEMIHRFLVQVLSPEKEN >A09g501580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5746576:5746923:-1 gene:A09g501580.1_BraROA transcript:A09g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A05p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11381870:11386408:1 gene:A05p023550.1_BraROA transcript:A05p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polymerase gamma 2 [Source:Projected from Arabidopsis thaliana (AT1G50840) TAIR;Acc:AT1G50840] EQGFKGKGNTSSSDGHNLVFLSTCLKPTKIATINPILSSRLQRGVTLFKLGRLASLMAMGVSFTSHSNPLLRHLSPSPSWPFRSSFFLVPCRKTLQRRLASTEGSVGYSTSTVCHGFQNPVHQRPSSVVFNGEWILLSEPNRARMVPKTNKVGNQTEVGETVNHQVPGTVSAWRDEANKFRARHGQVATRNLDDASYFSGSSIPVTPPSAPSYGRSSQKIDYGFKPRGNNSTSATLHKESIGVMQSEPLVTSPNKNLEVVRLQGDGKPKPLVSGKASDKASNVNPVTISKVEKSNEPSKVRANLRRIYDKVVVVDTVPAARNVVAKLVDQYRNLVHSCDTEVSDIEVKDETPVDHGRLICFSIYCGSDADFGNGKSCIWVDVLGENGREVLAEFKPYFEDASIRKVWHNYSFDSHIIRNHGIELSGFHADTMHMARLWDSARRTEGGYSLEALTSDPRVLGGTQTKEEADFLGKISMKTIFGKRKLKKDGTEGKIIVIPPVEELQREDREAWISYSALDAISTLKLYESMSKKLQLKEWRLDGKLLSGRTMLDFYHEFWRPFGELLVKMEAEGILVDRDYLAEIEKVAKAEQQVAVSRFRNWASKYCPDAKYMNVGSDTQLRQLFFGGISNSENDEVLPVEKLFKIPNIDKIIEEGKKAPTKFRNIKLHRISDTPISTETFTASGWPSVSGVTLKTLAGKVSAGYDFMEDVTDTSAEEDDDAQLLEQASEAQKAKTDVDTSAYGTAYAAFGGGDRGKEACHAIASLCEVCSIDSLISNFILPLQGSNVSGKDGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRKAFVAAPGNSLIVADYGQLELRILAHLAGCKSMMQAFKAGGDFHSRTAMNMYPHIRKAVENGEVLLEWHPQPGQDKPPVPLLKDAFASERRKAKMLNFSIAYGKTAIGLSRDWKVSREEAQETVNLWYNDRQEVRKWQELRKKEAIKDGYVLTLLGRARRFPAYQSRAQKNHIQRAAINTPVQGSAADVAMCAMLEITTNQRLKELGWKLLLQIHDEVILEGPMESAEMAKDIVVDCMAKPFNGKNILSVDLSVDAKCAQNWYAAK >A07p051870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27472028:27475103:1 gene:A07p051870.1_BraROA transcript:A07p051870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADASGPQLISTTGDADSDQIIVPENKSWKNFFAYLGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAGLVIQSLAANLGVVTGKHLAEHCRAEYSKVPNFLLWVVAEIAIVACDIPEVIGTAFALNMLFSIPIWIGVLLTGLSTLMLLALQQYGVRKLEFLVAFLVFTIAICFVIELHYSKPDAGEVLHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSSTGIKEACRFYLIESGLALMVAFLINVSVISVSGAVCNASDLTPEDRAKCEDLDLNKASFLLRNVVGKWSSKLFAIALLASGQSSTITGTYAGQYIMQGFLDLRLVPWLRNFLTRCLAIIPSLIVALIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKIKMGSHVNHMAISALTWVIGGLIMGINIYYIVSSFTKLLIHSHMKHVLVVFCGILGFSGIAIYLASIAYLVFRKNGEAGPLLASTNSQTVETLPRQDIVDMQFGKASTSNAD >A01p029160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20784705:20785984:-1 gene:A01p029160.1_BraROA transcript:A01p029160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFLSPQRPSLQNQVSTVAVEMEKLDVIQESEKVEKLMLEQSISHTIVCNKMKKEYPGRDGNPPKMAVQGLSFAVPSGECFGMLGPNGSGKTSFINMMTGLVKPTPGSAFVQGLDICMDMDRVYTSMGREHLLFHGRLKNLKGSDLDQAVEESLKSVNLLRGGVSDNPAGKYSGDGRLQCIGNPKELKGRYGGSYVLTMTTAPEHAKDVDESV >A02p015250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6812969:6815210:-1 gene:A02p015250.1_BraROA transcript:A02p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNTLTIVDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKITLHLKEDQLEYLEERRLKDLVKKHSEFISYPISLIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDDAVEADAEMPPLEDDADAEGSKMEEVD >A01p042130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22706072:22706735:-1 gene:A01p042130.1_BraROA transcript:A01p042130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRPSRSTVKKVKQRTLQYVPVVKNSHEVPKNSDSMEALVNSTYGDQEKSPSEEYDTELEEGEIYQQVLEVFTVSQQSTDGTLAEQKNPASDDLIVGSEVSKGLGVVLVSSTAAVVSLDSSTENSVPASLNLLLHSQDAAVEVHDTGEVIAGLGSALEVSVNDTEDIPNANGGKKAPDIEVTEYAPEQEQEEPYIL >A09p024790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13767947:13774142:1 gene:A09p024790.1_BraROA transcript:A09p024790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVQFFLNVCYFLNLTTHTRLMLLHDSRNEDGIKSFFQEVHELYIKILLNPLYLPGSRITSTHFDTKHCVREMEEQALKIQSIFVYPIKSCRGISVSQATVTQTGFQWDRYWLAVNYKGRAYTQKVEPKLALVECELPKEAFFEDWKPTKNSFLVVRAPGMSPLKIPLTKPSSVAEGVFMWEWSGSAFDEGEEAAKWFSDYLGKQSRLVRFNKETETRPSPPEYAAGYSTTFANTFPFMVSSQASLDKLNTILTEPVPINRFRPNILVDNCDPFGEDLWDEIKINDLVFQGVRLCSRCKLPTVNQETGVPDAAEPIETLMKFRSDKVLMPHKKPHGKVFFGKDMVWNWNTTNNQGKGNKIIKVGDSISVLRMISSVSEAACTIALLREKMEGQALKIQSLIIFPIKSCRGISVPQATVTQTGFEWDRYWLVVNHKGRACTQNVEPKLALVEAELPKEAFFEDWEPTKNSFLVVRAPGMSPLKIPLTKPSWVAEGVSMWEWSGSAFDEGEEAAKWFSDYLGKQSRLVRFNKETECRATPPQYAVGYSTTFSNTFPFLVSSQASLDHLNTLLPEPVPMNRFRANIVVENGDPFGEDLWDEIKINDLVFKGVRLCYRCKITTVDQETGVPSTEPIQTLRKFRSDTLLMPDKKSQRKVFFGKEMVWNWNISNSQGKGNKTIKVGDSISILSKISSITEAAT >A05p047150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27849200:27851125:-1 gene:A05p047150.1_BraROA transcript:A05p047150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSRTDASTDGDTDPRDLGSERGQMMLAGASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTGGNGALAFDAEHSRWLEEKNRLMNELRSALNAHAGDTELPTIVDGVMAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQVLGINSLQQTSQQAEDALSQGMESLQQSLAETLSSGTLGSSSSDNVASYMGQMAMAMGQLGTLEGFIRQADNLRLQTLQQMIRVLTTRQSARALLAIHDYSSRLRALSSLWLARPRE >A05p022920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11074531:11075186:-1 gene:A05p022920.1_BraROA transcript:A05p022920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLHCEEEPQEEAEIYVWQRYTSGRDIRLAETYVLDPLYLPSLVPHEIKNCSVPKEVKRSSRELGVATSSLDFSFAGFQKTLEFIATMVDTLYVLMLLRSD >SC133g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:181876:183631:-1 gene:SC133g500060.1_BraROA transcript:SC133g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSQLPLLIMGGKGVNQRQLKRVVEHLVHMIKMWK >A02p027640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13433273:13434083:1 gene:A02p027640.1_BraROA transcript:A02p027640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSITDDSQLSHGSPITRDVFKKRKVSFPTRVRRDTRSFIANMLRKLKHECKVDGARRQQRTVEDGDETVVLFDNSTDDDYSDALSMFNEEEDPSESTSTTEKPEPPSPEEKGTDEKKEGDDCPVCAEKMDATDLLFEQCSSCEYKMCLFCYNNINESTRVCPGCRKKYEKQTSGNSGEVSFQRRGGDPIPLSSSFQALNDSA >A08p035270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20833039:20834561:1 gene:A08p035270.1_BraROA transcript:A08p035270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH75 [Source:Projected from Arabidopsis thaliana (AT1G25330) UniProtKB/Swiss-Prot;Acc:A4D998] MAQYEPYNYNIGLNPSLPHINQNQELINLDLPVSTTPSSFMLFSNGDLVDARHNNSHFSPNLLHGEDFFFLFFYKWQVIWEDKTKKKRVRREKINERLKCLQDLVPGCYKTMGMAVMLEVIIDYVRSLQNQIEFLSMKLSAASAYYDLNSLDIEPTDTFQGGNMYSAEEMERILREGVGTQTPNFSSTLPF >A04p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22711260:22721134:1 gene:A04p040310.1_BraROA transcript:A04p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSIWNLQQQQGRNSEANDGMFAYHPGGVQGMMGGGNFGSSSGSMQQPQQPRRLFDSPQQQQGSSQEGQQSFNPMQQAYLQFALQAQQQKAQQQARMGMMGSSKDQDARMGMLNMQGAMPMQASNQAQGSSSNPSAEQFARGERQMESGHETKPHPQQVGTGQLMPGNITRPMQAPQGPQGVNNMGTNQLAFSQQWQAMQAWARERNIDLSHPANASQMSHILQGRMVAQQKANEGNVASQSPSIPVSSQPSSSSGVPGENSPRPNSAGDISGQSGSGKARHAIPTSSFASTSSPRMMNPAANPFSAQGRDNPPYPRHLVQPTNGMPSGNSMQTSANETHVLDHNASTNKGLGSAEHLQMQQPRQMNAPSPKAVISDAGLLNKSSLQSGQGIKQEQQRSGFTKQQLHVLKAQILAFRRLKKGEGSLPQELFKSIAPPPLEVQTPLQIFPVRVHGQDRSSDKTVENQARSLESGKESQTAASSNGQIFAKEEDNVGGTEVPLATGHSQLFQNLGKEAASTAAATKEEQQADVFPVKSDQGADASTQQTPRSDSNADKGKAVASDGGQSNVPPQANSPQQPKDTAPARKYHGPLFDFPFFTRKHDTYGSATANANNNLTLAYDIKDLVCEEGAEFFNKKRTDSLNKINGLLATNLERKRIRPDLVLRLQIEEKKLRLSALQSRVRDEVDRQQQDIMSMPDRPYRKFVRLCERQRLEMNRQVLANQKAVREKQLKTIFQWRKKLLEAHWSIRDARTARNRGVAKYHEKMLREFSKRPDDGRNKRMEALKNNDVERYREMLLEQQTNIPGDAAERYNVLSSFLTQTEDYLHKLGGKITATKNQQEVEEAANAAAIAARLQGLSEEEVRAAAACAREEVLIRNRFVEMNAPKDNSSVNKYYTLAHAVNEVVVRQPSMLQAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGTKDQRSKLFSQEVCAMKFNVLVTTYEFIMYDRSKLSKVDWKYIVIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPDVFDNRKAFHDWFAQPFQREGPSHNIEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPPKVSVVLRCRMSSIQSAVYDWIKATGTLRVDPDDEKLKAQKNPIYQAKIYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNDPDTDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVEKISSHQKEDELRSGGSMDLEDDLAGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLHEVNRMIARSEEEVELFDQMDEEFDWTEEMTCHEQVPKWLRASTREVNTTVADLSKKPSKNMLSSSNLIVQTGGPGGERKRGRPKSKKINYKEIEDDIGLFSEESSEEVNVDSGNEEEGDIGQSDDDELTGALGDQQTNNGESDGENPVAGYDYPPRSGSYKKVPPQDDAGSSESSPESHRSKEMASPVSSKKFGSLSALDTRPGSVSKRLVDDLEDGEIGASGDSHIDLQRSYDRDEGGGEQVLQPTIKRKRSIRLRPRQTAEGTDVSDVPAAQPLQVDRSYRSKLRTVADSHGSRQDQSDSSSRLRSLPAKKVANTSKLHVSSPKSGRLNATQLPVEDNDEAARETWDGTSPIGSSNAGARMSHTIQKRCKTVISKLQRRIDKEGQQIVPMLTNLWKRIQNGYAAGGVNNLLELREIDQRVERLEYVGVMELASDVQYMLRGAMQFYGFSHEVRSEARKVHNLFFDLLKMSFPDTDFREARNALSFSGPSPTLVSTSSPRGAVGISQGKRPKPVDEEEPEEPSSPQRRQQRENSRIRVQIPQKDPKLGGTSSHTDESPILAHPGELVICKKKRKDREKSAPRTRTAGSSSPVSPQAMIGRGLRSPVSGSVTRETRLAQATHPNNSGAAGDSVGWANPVKRLRTDSGKRRPSHL >A02p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1844709:1854853:-1 gene:A02p004220.1_BraROA transcript:A02p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVVESDVQLSLDSIRESLVRQEDTVVFSLIERAKFPLNSPAFEEPRCLDSGNSSSLTEFFVREIETIQAKVGRYDNPEENPFFLDNIPHSIFPTHKYPQVLYPKASSVNINKRLWDVYFKELLPLFVKPGDDGNYASTAASDLACLQAISRRIHYGKFVAEVKFRDAPHDYEPAIRSKDTEALMKLLTFEKVEEMVKKRVEKKAETFGQEVKCVSGDDESEKNYKVEPSLVSRIYGEWLIPLTKDVEMQAAIRSFVSGGNVVKASLLQHLRVINPAIQPSSVFFTRSESTQTSRMEEHGFESTTISDVMNAKGKSADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQQSLAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPETKVLRAMQLMTDNRIRHIPVIKDKGMVGMVSIGDVVRAVVTEHREELNRLNAFIQGAFAINSLITLVIIVCTVSFIVWAMLRHDAVKFRVQAAELTQFTFDPDNTNLHYNLSLGFSIRNSNSRLGIHYDRFDARIYYDHHRLAAASVPPFYQGHKSTVAVGTVFQGQTLVLLGDRGRGRFEDERRSGVYGLDVELKLRARLMFGLVNTWRFKPRVRCGVKVQLSFADARGIFVVSKRINKLYKRSVASDLAISLVGTAMAIEFRRSAIAFTLLLFIHVAHSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCQPKKIVDSTENLGEVLRGDRIENAPYSFKMREAKMCNVLCRVTLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPIERGDQGSPPVVYQLGYHVGLKGQYEGSKEQKYFMHNHLAFTVRYHLDMQTDSARIVGFEVKPYSVKHEYDGEWSEKARLTTCDPHKKRLVVSSSTPQEVEPKKEIIFTYDVEFEASICESEVKWASRWDAYLLMSDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLFRDISRYNELETQEEAQEETGWKLVHGDVFRLPANSDLLCVYVGTGVQCLGMVFVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYAASRLYKMFKGTEWKRIAFRTAFLFPAVVSSIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYLGFKKPPVDDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLQITKLVSAMLYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSDLWN >A03g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29548918:29550595:1 gene:A03g508850.1_BraROA transcript:A03g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPRGFVEDLSMEEPKTSLRRPSICFRPINPSDLERLEQIHRDLFPIRYESEFFQNVVNGGDIVSWAAVDRSRPDGHSEELIGFVTAKFVLAKESEISDLIRYDSSKGEETLVYILTLGVVETYRKLGVAKSLIKEVIKYASSIPVCRGVYLHVIAHNNPAIRLYKRMSFRCVRRLHGFYLINGQHFDSYLFVYFVNGSRSPCSPLDLVVLVLNYVRSGIKVVASKLTMKHEEKGLKGVKCKDNMRCLLPTQSKRNLASSERVSSGYDYV >A03p035770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14996762:14997469:-1 gene:A03p035770.1_BraROA transcript:A03p035770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSICLLVLLCAFAAKTAAQSANVRATYHFYNPAQNGWDLYRVSAYCSTWDGNQPLEWRQRYGWTAFCGPAGPRGRDSCGRCLRVTNTGTQAQATVRIVDQCSNGGLDLDEAVFKQIDTDGQGYARGNLNVNYEFVNC >A03p017570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7085183:7086035:-1 gene:A03p017570.1_BraROA transcript:A03p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPETPYHPDPEDLLRIPVDLFASKKLPGLSPGDLGFADSSDHLLFVLRKSSSSLRFLLDPSGVPLFSISRLHNGMWELHKGDVGKRKELVLTVKRTSNRFSKTELDVSFAGESSSQQRLVIKGCPFQKSCTIYSQDSIVAQTSLMYKLRQIYVGRSKFRLTIFPGSIDHSLVVAMVAVFLRGRN >A03g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24615623:24617158:-1 gene:A03g506990.1_BraROA transcript:A03g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQELLRGFIGTTARIFIQQQKLRTTQIFEEDDKDDVIRNTLFAKTNHNQHQLHEWEDSHDQVRDFIGAPIYDEYDYDSFREPRHNSEVTAKEGDMSSHINLLSPVDSPEINDDITKGTRLDRPIFTSDVESYYAAVTKLTDGPIYDVYDDGVFTESYYYKDTPCSDDDQVQGVNNGCNDQVLVDDAYIGVRKQYMNHGLGKKECHRQFHHEPPDRGRHNTTHTKLLDEISHILKLEHDQEDCLKWIDAKEDSETGKLHSIINANRTTLTKDINLTTSTYICGTSLVASLTFLARPWKYGKRISYDSFRNTYDVAFKGTKSTLVEETMDLVRHNKEIGNLSSPLCLFTSAMFRSGSKLLGKASLQNFVILGADVSYYQFITTTVLACILTIFLWEKGSRRYK >A05p003930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1530634:1532301:1 gene:A05p003930.1_BraROA transcript:A05p003930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPLPLSSLLPVPLSDLYSNRSPTATASYFCGQDRDGVLASLLQLSQPPAPVSDGQQRDVIDKKQEKALDDDGVKSSTDASGSKNVNPTGESDSSTQVVEKNENVVTLRKRRRGFISFEEQEDEDDEEEEEASGGGGGSKGKKKAKKSGALEEGSRCSRVNGRGWRCFQQTLYGYSLCEHHLGKGRVRSMNKSAGGRGGRDKKKAVVVEVKSKRVKLGMVKARSISSLLGQTSTSSGTVESEISAPADQFAASDK >A02p057730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34733941:34735908:1 gene:A02p057730.1_BraROA transcript:A02p057730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGVSPSGVITVKGDEEATEFQQNPSLLQPVGPTTVVSPSPPPPPPPPPTSVTPVSAAAAPPPLISSAGLDLTKKKRGRPRKYAPDGSLNPRYLRPTLSPTPISTSIPFSGDYSHWKQGKAQQYLPVELIKKPHMFEYGSSPGLEFLNYIIGQSQWHQRSILSIAAFPPPGLSCYVGANFTTHQFTVNAGEDVMMKAMPYSQGSQAICILSATGSISNVTLRQATTSGGTLTYEGWFEILSLSGSFMPTEQGGTKGWSGGMSISLAGPNGKIIGGGLAGMLIAASPVQVIMGSFIVMNQAEQTQNKKPRIMEASPPPQPQQHPTFNITNVNSTSPVVATIDDPKQQTDGGGGMMRPVAQTPFHNDNSAMNNFTTTNHGYGNVNTSTNKEEADYADGGDDDQPDDDSCDTRSLSNGD >A09g512770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38396880:38398064:1 gene:A09g512770.1_BraROA transcript:A09g512770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGCPSAHTERRWLSSSTHISMLVLGLSTLTLPVDCSGDFRPRGLSVQYTQDVRGYPCESVCVRMCPSAHTGRPWLSISTHIRTLVLGLSTLALPVDCLRDFGPRGLTHRTSVGVRQHIQDVLVCPPAHKAHLWLSVAVRGRPSAHRGRLWLCVCRCVSVGVGQHTQDVCGCPWLSVSTHRTSVCVCQHTQDIHGYPSAHIGRLWLSVSTHISTLVHGLSTLALPMDCLGDFGPRGLSAQYTQDVCGCPPRPWLSISTHRTSVDVCVCLSVSISTQDVCGCPSVHISARWSLDSARWPFPWTVWVILAHVGCLSSTHRTSMGVRHGRGCLSAHTGRLWLSVCVRQHTHDVRGCPEVQYQHDSPWTQHADPSRGLFV >A02g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18559021:18559542:-1 gene:A02g506630.1_BraROA transcript:A02g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLVGKVANPIVRKCIYTDHDWIDAGRCSNRLCSWFVLLRVLCRENGDVERNLGLRCRLSLEMMLKSLSNQHYQKYYSLFICEHA >A03p029790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12529324:12533538:1 gene:A03p029790.1_BraROA transcript:A03p029790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPSYSRAASRFPPALHSSGNVFTGFIPIRRVTGAPVCTRRSTLKVTCRRVRERVIEEESSQMAETNKQSFNVSSGEKSPLGVSQVDKGINFALFSHNATSVTLCLSLPQSDKEDDVDVVELVLDPRVNKTGDTWHICVEDLPLRNVLYGYRVDGPGEWNQGHRFDSSILLLDPYAKLVKGRSFFGDSSQKFAQFYGTYDFETSPFDWGDDYKFPNIPEKDLVIYEMNVRAFTADESSGIDPSIAGSYLALIEKIPHLLDLGINAVELLPVFEFDELELQRRPNPRDHMVNTWGYSTVNFFAPMSRYASGEGDPIKASKEFKEMVILDVVYNHTNEADDKYPYTTSFRGIDNKIYYMLDPNNQLLNYSGCGNTLNCNHPVVMELILDSLKHWVTEYHVDGFRFDLASVLCRDTDGSPLSAPPLIRAIAKDSVLSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGMYRDDVRRFIKGDCGMKGSFATRVSGSSDLYQVNQRKPYHGVNFIIAHDGFTLRDLVTYNSKHNEANGEGGNDGCNDNYSWNCGSEGETGDTHIKSLRVRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTALNNFQWKEATRRKEGEPFQGEITWHEDNWDNPESKFLAFTLHDGVSGQDVYAAFNAHDYFVKALIPLPPSGKQWFRVADTNLESPDDFVKEGVAGVAEAYNVAPFSSILLKSM >A03g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30114300:30116187:-1 gene:A03g509160.1_BraROA transcript:A03g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSELKERHAVATETVNNLRDRLRQRRLQLLDTDVSKYSAAQGRSPVKFGATDLVCCRTLLGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPNGQTVACGGLDSVCSIFSLSSTADKDGTVPVSRTLSGHRGYVSCCQYVPNEDAHLITSSGDQTCVLWDVTTGLKTSVFGGEFQSGHTGDVLSVSISGSNRNWFISGSCDTTACLWDTRAASRAVRTFHGHEGDVNTVKFFPDGYRFGTGSDDGTCRLYDLRTGHQLQVYHQANGDGENLPVTSIAFSASGRLLFAGYANNSTCYVWDTLLGEVVLDLGELQDSHKNRISCLGMSADGSALCTGSWDSNLKIWAFGGHRRVT >A08p025340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16354556:16360702:-1 gene:A08p025340.1_BraROA transcript:A08p025340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-type lectin S-receptor-like serine/threonine-protein kinase SD2-5 [Source:Projected from Arabidopsis thaliana (AT4G32300) UniProtKB/Swiss-Prot;Acc:Q8RWZ5] MRGFFFFFFFIITCLAFFLNPLHAGVPYNGSIAPGFAGSQMNYINNGGIFLESVNKDFGFGFITTPDVTLFTLSIIHKSSSRLIWSANRASPVENSDKLQFQDNGNVVLRREEQGGGGAEVWRLDNSGKNASRIELRDSGNLVVVSSDGASIWESFNHPTDTLITNQVFKEGMKLTSNSSSTSNMTYVLEIKSGDMFLSVNSLTPQVYWSMGIDGNGTWIAVLGNNGVITFTSLGSGVSAADSSKKIPDDQCATPEPCDPYFVCSGSKVCGCVSGLSRARSDCKIGITSPCKKTDNNATLSVKLVNARDKVDYFALGFASPFSKNTSLDSCKEFCNSNCSCLGLFFQNSSGNCFLFDWIGSFKASKNGDSGFVSYIKVATNGDRGGDNGDDDGKHFPYIVIIVLVTIFIISVLIFVAFRILRRKKTVLDDDQDQEQSSDEDNFLDNLSGMPIRFTYKDLQSATNDFSVKLGQGGFGSVYEGSLPDGSRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEGAHRLLAYEFLAKGSLERWIFSRRDEDILLDWDTRFNIAVGTAKGLAYLHEDCDARIIHCDIKPENILLDDNFNAKVSDFGLAKLMTREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVYSYGMVLLELIGGRKNYDPSESSEKCHFPSYAFKMMEEGKLLEIVDGKMKNVDVDDERVQRAMKTALWCIQEDMHLRPSMSKVVQMLEGVFPVVQPPSSSTLGSRLYSSFFKSISEEGGGTSSGPSDCNSENYISAVRLSGPR >A02p028430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14376625:14376959:1 gene:A02p028430.1_BraROA transcript:A02p028430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIITLIFAALILFAAFDYLIINNDMYNIEAPTKVEGQKLCRKPSGTWSGLCANSDACRKQCIRLEKARDGSCKYEFPAHKCFCYYPC >A01p010650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5209105:5212513:1 gene:A01p010650.1_BraROA transcript:A01p010650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGGGGGGSNAKVGGVPASSRKVVESLKEIVNCSDLEIYAMLVDCDMDPDETVSRLLSQDTFHEVKSKRDKKKETKDPAESWTRSTQNRGARSSGYDGYNTSRGGGNRFKSNERGSVQSVPANRRENGTRNHWAGSSSTPGVLGRQPPPNSDPTNAEVKKAQPTGSNEAVTSSSLPTPAYQSAWANANPGQRTMADIVKMGRPLHQKKNVAVPRPTESQESGSKAPLKDEWPSIEKQVVSYPTSSSLLKPAAESEVPVDQFSEPRHLTETHLDDLPVASPPASVSNRNLLHDDVDDARDSSEYEDENNKVEHHAFEENRGEDVSASVATGFEQLTIESEEDHETLTKEDKPPVIIPSHLQVHTSECSHLMFGSFGLGLGSGQVGSGLNDNSEETKETEENSSFRHPESDFYREEEEQQLKNAATDEQTSYQIDSTARNYHASSDSETEAAARHEPPPLQEDHQYKFSSPPDYGFENSQQLNPPSETNPQMQSLDTFPNAMHQGIQDAREPDLHYSPFPTKYNNPTPSSLSGSMAEALRASSISPQNQMPSGAGEQAAALAQHLALNPYSHQPGMPLGHYGNLMSYPFMPQSYNPYMPSAFQQAFPSGNHHQSMLPQYKTQATAPPVPPPSAYGFGGGGSALSSNFPLNSTSAPNSYEDVLSSQFRDSSHLASSLQQQNEHLAAWHQGQQSNSRVVPGSGYYSHQNQQPPGFRQAQLLQQPSQQQQQQQHFGGHGYGSPYHSQAPMSLDHLHHQHQQQQNARDASKQTHQQQLWPNNY >A09g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24734249:24735939:-1 gene:A09g508840.1_BraROA transcript:A09g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHEKLGEGDFEVESSMSFGRSHWCRPMSMDAHRSTDQDEDRSTDYSRHRSTSSAESAADCSASRIMTHEEFTEKHPHPPSPPYVKIDRPHEPAIDRQREINIDRSPSPPIDRRTPLPHRVRLPSIDSNRINALRPPPKPLANPPEPTTNPSYTTPEPMQVDEATEGRVLRKRKEKTLKNLKREANEKEMDGFTKRVLRIPVEKQFDEVYFTHRLWMFFRDTKETKEDIRRMFHHVRERMKRRITLKKKKSDPGKFAIPCLVKGIEFPHALCDTGASAIRVVRQQVNLVELGNDLSYIAACHCGAEYKTEYSESIDAHTVTSIIFNESPTTNEHYPTLLDGMQPVDHSTLPDQYYQDFAFQQPNKNGQDDYSIGSWADSGFHESFAVETVILSSNEDPTEDYDDDYWKERAIEIAMQDKRYSTHYFNNTHTPSIDIIYSASVDSHPHPAKRSSASIDTIPIDQY >A01p038980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14855523:14856300:1 gene:A01p038980.1_BraROA transcript:A01p038980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHCQVVKHGLGGDGYVNNEYPLNNLYKTLFIQLYSSSVNILSHDIEGFLSYPLMSLYRQVLQPLLHHDPKTLPRVSLSIRSAYVSLSLYIRLIFIPLSLSPRYSLALQLYTTPAHHLDSTWSSPLTTTSASTTTSATTSCRTSYIFIDLAQKVFNYMPDRSLVSLDLF >A09p044780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36286934:36287340:-1 gene:A09p044780.1_BraROA transcript:A09p044780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTQNETNQNFSYLPFGGGPGKCIGDTFASFENVVVAIAMLIRRFNFQTAPGAPSGIVLSATTIPIFSQYRSMISKLN >A09p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1026577:1027977:-1 gene:A09p001330.1_BraROA transcript:A09p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQISLSLCLFLFILSISSFLQETEARKYSYRRAPITRLVSRSLYDSIFIHKENNACPAKGFYPYEAFLEATRWFPRFGSVGGITTRRREVAAFLAQISHETTGGWATAPDGPYAWGLCFKEEVSPQSNYCDASNTEWPCFPGKSYKGRGPIQLSWNYNYGQAGRALGFDGLRNPESVANNSVLAFKTALWFWMTEQTPKPSCHDVMVNRYRPTKADIAANRTSGFGLTTNIINGGLECGIPGDGRVNDRVGYFQRYAKLFNVNTGPFLDCENQRPFS >A01g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15650643:15658365:1 gene:A01g505240.1_BraROA transcript:A01g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVVCQHTHYVCGCLSAHTRRPWLSVCVDHHTWDHTQDVRGCPSVHISARWLFPWTVWVIFAHIGCLFSTHMTFVGVCQHTQDVRGCLLLSVSTHRTFVAVHQYTYQHSGPWTQHGGPSRGLFGTHNTSVAVHQYTYKHVSPWTQHADPSRGLFGTLALPMDCLGILAHLGCLFSAHRTSVGVHQHTHDVRGCPWLAHTGRLWLAHTGRLWLSVCVRLCLCVSVCVRQQRQDVRGCPLAHTGRTWVSVSTHRTSMCVRQHTQDVRGCPCVPASRHRTSVAVSGCLSANIGPRWPIPWTVRVILAHVGGLFSTEKTSVGVRQHTQDPGCCLWLYVSTHKTSVAVLVCLWVSAITYRTSVAVRQHTQNVCVCLSTDTGRPCVSVSTHRTSVAVHQYTHQHAGPSRGLFGTSVAVHQYTYQNAGPWTQHSGPSRGQFGTLALPVDSLGDFGHVACLFSTHRTSVGVRQHTHDVCGCLSAHTRPHTGRLWLSISTHISTLALPVDCLGDFRPRGLSVQYTQDVCGCPPVHTGRPGPSVAVRQHTQNFRVCPCVSVCVRQHTHDVCGCPAVHISAHWSLDSARWPFPWTVRVILAHVGCLFTTHRTFVGVRQHTQDVCVCPSAHTGRPWLSVCVRVCPSAHAGRPWMSINTDISTLVLGLSTLALPVDCLGDFGQHGLSVQYTQDVCGCPPAHTGHPWLSVASTHRTSVAFLVCSCVSVSTDMTSVGVRQHTKDVCVCPSAHTGCPWLSVCVRQHTQDDVRSCPCVSISTHKMSVAVHQYTYQHAGPWTQHGGPSRGLFRTSVAVHQYTYQHAGPWTQNSGPSRGQFGMSVCVRQHTEDVCGCRSVRISARWSLDSARWPFPWIVWVILATWAVCSVHTGRPWVSLSTHMMSVAVCQHTQDVRGCLCVSISTHMTTHISTLALPVDCLGDFGPCGLSVQYTQDVRGCPPAHTGRPGPSVAVHISTLVLGLSTLALPVDCLGDFGQHGLSVQYTQDVCGCPPAHTGHPWLSVASTHRTSVAFLVCSCVSVSTDMTSVGVRQHTKDVCVCPSAHTGCPWLSVCVRQHTQDDVRSCPCVSISTHKMSVAVHQYTYQHAGPWTQHGDPSRGLFRTSVAVHQYTYQHAGPWTQNSGPSRGQFGMSVCVRQHTEDVCGCRSVRISARWSLDSARWPFPWIVWVILATWAVCSVHTGRPWVSPSTHMMSVAVCQHTQDVRGCLCVSISTHMTTHISTLALPVDCLGDFGPCGLSVQYTQDVRGYPPAHTGRPGPSVAVRQHTQNQYTYQHTGPWTQHAGPSCGLLGTHRTSVAVHVCPSAHTRRLWMSISTHISTLVLGLSTHALPVDCLGDFGQHGLSVQYTQDVCGCPPAHTGHPWLSVASTHRTSVAFLVCSCVSVSTDMMSCPRSWIGSSGRTAVKGISQLRLNQDTMETRVKELGAGRLAHSAGNSWRSAQSSERCVLVRISVGESGTVTGKGEKPPMGGYGAIMGQFWEEGIGFWRARRSMDAPDEVTGGDCRPLDIV >A01p035680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16922890:16926627:1 gene:A01p035680.1_BraROA transcript:A01p035680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVRADDFASRHSADSIRSIAARSDDQMSFLLSTIDQIDEVNFVDTLGIYRLEHRTNCHVKRSISRTGTKIHASVGEQLIKKFDDKLREGDAIVVQLFKVYDAIGEYRAYSISGGYNSTHILLNPTLDFIEEFKASLPNDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIREIIDSTLVGTFVTLGTIETIDTERGWQYLSCKYHNNKK >A09p081570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59314580:59317720:1 gene:A09p081570.1_BraROA transcript:A09p081570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKALLDDLDVLEKSLSDPAPIHKLRSHVENLAALSKCNPHRRSKVKELSSEVVDSNPYSRLMALQRMGIVENYERIREFSVATVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLAEINPDVVLESFTMNITTVQGFETFTSSLKNKSFCPTKEGCGVDLVLSCVDNYEARMAVNQACNELSQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNSLKFLLNFGEVSPYLGYNSLKDFFPTMQMRPNPQCSNAACMERQKEYMLAKPARDVAAKAKMEADALTAVDDGPLHDDNEWNISVVDDENEKDTAKASSSSDTLPEGLTRELPVADEYEKAIAIGSGETEEEEDDLEELKKQLEALNAA >A06g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9434626:9436158:-1 gene:A06g502940.1_BraROA transcript:A06g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLICLIFVSCLLMVQPQEPHFFQPLLPGFQTYLTIPIVFFSKHIQGKTNGNTWTLTSDSMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGSMVFHVTPFGPSCCDIQYTYPNSMEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTWPLMCVCAPSKECFKSAGDIASSSR >A03p056180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24291983:24293286:-1 gene:A03p056180.1_BraROA transcript:A03p056180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWNKSKSKSKSGRISRFVSDLRQSPKRGGSLVVETGFPTSLIDLFVKNRDRLNKSSSKRNNNNNSNKAQTQTVPTRRLVSSPPPPPPPPSLPQKLDPPSEDLAASKIEECLVAAENRDDSGNDHDSGNRRGGGCVLMVVAVKIFMVAVVALSTKKLAAGITLSAFSLLFLERVFTLLNLCPDAQVRFVTLIGKLIFRKRTEKLEESSSTQRDKVSFEIIESVEESRDCVEETSILDKAEEREVQTIKDVVVFTKEKSKSAKLRSKIVKKIVPKKLRSYKKNRKMKQQAVEVVEEEESLTEVSSLYSEDRVESKVSEGDEIVCEEIEEEEESKGDLTKAIVLMIVIALAGLLSGKVVAIGLTLSSCLILRLVCLVGPTYQRVAVLKGSDVGLLAVRSYNCLLMKL >A04p018660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11193999:11194202:1 gene:A04p018660.1_BraROA transcript:A04p018660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide 24 [Source:Projected from Arabidopsis thaliana (AT5G40730) UniProtKB/Swiss-Prot;Acc:Q5PP12] MMMKKMFVQIAVFCLLATIAAVSGHEGHVHSPAPAPGPATNSAVVPTTNMFTGLAFAAVALVLGLNH >A08p037320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21778464:21779927:1 gene:A08p037320.1_BraROA transcript:A08p037320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVPNFAPFTLKSLRRNRSIIVKVEDADGGGPDEYDEEVEEVDNKKDYDVEYDPTLAASGGAGDGDIAFVQSKSFVSTQGINEDEFHKISLLDCDFFIRKPPDPENDVYDFREMYVTPPDTDIYSIPRVLAPVPQKVQPFNPYWLLCFGTHPLYKSEREISKVFLTKHYRNRRSNDPEFVLALEEIYVIDSKSKSITRARVLVTVPGGRKRDRKDDLLVIRDNENSFKIIHVGERDDPTTVIEREEWTKTREDMEKHLRKLRDFSVSNWF >A07g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8958195:8960723:-1 gene:A07g504420.1_BraROA transcript:A07g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTLMETFQHFAHSCDSSLSETSEEGICSLCQEETSIQFTCYSCKFGLCKLCFKLSSKLSHSLDPEHFLEFLQRQPDHIRISFICAGCGYISSGSYYECKVCDIKIDPKCASIGITSRWDAKKTDHYSHRHVLTRCKTGWSNGTGTCFICERPYSDKQICHGCLSCLCFVHDRCLDLPNEIRHPAHRHLLKRLNYMQHRERKVQCDVCQESIHGVPFGCLNINCDFNIHMRCADSLLRSLRHQTHEHPLVYVPRNAAHAITVENPCKICEEPQKIWKNCYYKCIQCDWNFHIECLRIPDFVVSKFHHSHHIQCKLFLNEEYEYCDVCETIINLGSYAYSCNKCVFQAHIECIFNKQGKQRMMYLKDIYSCEEMSSKIKEDKLKIDDVKHTHILRSCNLKDKLCNICKQGPVGCEYGCEMCEFTAHQSCAGLAKRVGGRFHSKHPLILLPLPPVEKMDCDICKKAIDGFNLFCRTCGYIADIKCITQDTRHVPKVIIRWGGQCLKNHNLVQILGTVDSERRPCSICGEELYGESPSCLECKEIYHAQCLEVEVKVEHPFHYHPVYLCNEVKYGFNCGSCGDNIISYSYKCLECEDVYFHVNCSKLVEASLKFKKRHEHNLHRFVASVDCSADHDPAMIDLTCNVCKIPCLKIFYGCTKCNFYCHVECIGLPTYVKHRVHAHALILSHSLTGESCNKYCEICVKACDPMFPNYICTLCGCVLHPECALCWDDDWKATTEEEHKRGMLMLMVSTLKRYHTQDPLFPYV >A08p011630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000188.1:1672:3249:1 gene:A08p011630.1_BraROA transcript:A08p011630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLMQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A06g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4721461:4723955:1 gene:A06g501300.1_BraROA transcript:A06g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEETIEQINKKKRIQSTSSFPLDLISEILSKLPAKSVGRCRCVSKLWSSITTAPYFINKFETQSRQKKPSLLVTFKKGDRLFVFSIPQDRQSSDEPHTIPSQPVHSYHMTYPKSRRFFPRASVHGLICCFQKAKKLIIWNPTTRKFYTLTKPEKRLRKYATFLLGYDPTDAKYKVLCIRFCDTTDEGCVLTLGSAQESWRRIKTNHKHYVNTYPAYVCTNGFIYYTAYTDKTDRVGFIMSFDVRSEKFRMIKYPWDTFWYFSVLIYQGTLACLRSNSPGHTMTLWILQDAEKHVWSPKDFLVPFHYYDQSLKLVCNLIGITDAGEIVYVPEKCYKSFYVIYYDSERNKFHRVEYKGIADDESRLKNGLVRSRLFNIPIVRNHMETYTDKIERFSFIMRFDVRSEKFHMIKFPWNDIKYCGVLTY >A09p056170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47863581:47864526:1 gene:A09p056170.1_BraROA transcript:A09p056170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRKQMHPRHHQSPPPFLLKLTMEHGGKCNAIATTTKISTKILEAKPHTNSYPKAKPGLDPTLPRFLVKKENHKPSSRGDQETKYKECQKNHAVSTGGHVVDGCCEFMPGGEEGTLGALKCAACSCHRSFHRKEVYEHINSTQELMSPTFYRSDSLYKAMIQPRGLYLPKKKRIRTKINEEQKEKMKEFAERLGWRILKKDEEEIDKFCRLVNLRRQVFKVWMHNNKQAMKRNGNACNLQK >A06p016490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7393508:7397725:1 gene:A06p016490.1_BraROA transcript:A06p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDLVVPGSGDHRSPADDTQIPAEETASLRRTRPSRACTVRAQQRLQEQQAAERKLRPPKKEYKREHRQKEEVEEEDDQEEEGEDGDDDVERQCGGGSSGKIVTSLVQPPEPSQMPRWNLRSMWELASVLNFLHVFRPLLKINAEFSAEEFETALLNPNDTLSDIHIPLLKAIPPVTRMALTRDTWVTVLCRKIRDCWHWVAEGDLPIVASQGREIEAYKSLDPAIRVVILKALCDIRVEQEDIRSYIDNSVKTGVHLSTFRKDRVGGDSHGVNFWYEDDPLVGHRLYREIRKAEVVKVKTKGSKILPNVTYQWETVATNFDEFQDVSEKLNSSSSRIEVSLGKKLTRDMLPEIEKEHKRKEKLLKKQHRQALLLDNYLVADGLGGGRSLRDRKPVRYTFDDYDRSINEAIKITTNQHPSQEPFLHRRESARLDSLVNGRSTTSSSHPPDPVNGTASGSSSDFADGDEFDEQRDESLDRRQDARYSATDFVETDNHVESGSDDDIVGEAVYDEEYLRKRKQKNFSSGSEGEEEKGDEEYKWDEDNAEYEEEEEEEEDSPSASEEDSDEPRRVRKMPRRETKLRSKSNGFRPGLRRSKRATRIDYQQYELSESDNEAAGAAKRKRLVEPDPDEDSDESGNGDFTMGSEDSEENGNDSETNSAEEEVEEEEEEEPREVNDNAGTANGTENNQLNKPNGTDQEEVEGVLGKRHFLDLNELAPVSGFDDGPSTVLKDDDKTDSS >A03p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15926523:15928529:-1 gene:A03p038150.1_BraROA transcript:A03p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFGAIAPSISNWVAAVTKSVNGLVGYEGVEVINPEGSNEDAAEEANKGRWNQEDRDGYWKMMHKYIGSDVTSMVTLPVIIFEPMTMLQKMAELMEYSHLLDMADKTDDPYMRMVYASSWAISVYYAFQRTWKPFNPILGETYEMANYNGLNFISEQVSHHPAMSAGHAENEHFTYDCTSKLKTKFLGNSIDVYPVGRTRVTLKRDGVVLDLVPPLTKVHNLIFGRTWVDSPGEMIMTNLTTGDKVVLYFQPCGWFGSGRYEVDGYVYNASEEPKILMTGKWNESMSYQQCDGEGEPLPGTELKEVWKLADVPKDDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEMGDMSKSGNEKSSLEERQRAEKRTREEKGHSFTPKWFDITEEVTPTPWGDLEVYQFNGKYLEHREAADKSEDNTDPKSIPFNPWQFQDMST >A01p016430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8130277:8131020:-1 gene:A01p016430.1_BraROA transcript:A01p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSTDFDRYVEKESLKVKAFYVRFTGLPTREFLPDSLTLLYPPRINEAAFELDGSKIRPDSPAFVTLHRVVKGGEAIYGCREPVRVWEGIRFEVYMSEERVVKGIFRKEDGDKWKIECECEMEEGAAEVVVAAEGHVATSTMARKHRRRRRKQFECLEEIPEEREEGRESDDVCFCTCSCGESDDGEGEWESVEWTAEMESEAEGMGWAVDLGIWVMCLGVGYLVSKASTKTLRSGGRRRRTRSLF >A02p020710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9719810:9720199:1 gene:A02p020710.1_BraROA transcript:A02p020710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVKITETIKINGDKDLAVQGCSCFASSPRNNELFKESIDVSHDEALIAHHEPLTPTPKKLSQDEPVSGKPPPLRDKNLEDKVLWRLENQKFSFVRQTLKNHS >A05p054400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32080805:32083455:1 gene:A05p054400.1_BraROA transcript:A05p054400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAADCTVGSIVWVRRRNGSWWPGKILGQDDLDSTHITSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGDFDDCIEKVENSQGLTIRKREKYARREDAILHALELEKEILKKEVKVERPRARARGDSPDAATKERMVVSRVHDISNGGLGRNHVGDVRHLGKDKEEEQTRFEEEAQPRMRGLQDFGLRTASSKRKFSSSNGPTDTSFKSLARSNSSASSSGDHSMERPSFAIGKEKTRNSMEAKRTKYMFAPNESNDVLDLHESLLSHRAAMHSSFAGGDHSRYSLSEYDPPEFLEDVESVSSESETDSSDMEEDTDDDIPLLSGAGRHSEQHNPFSRHMSAEDESTSSEEDCYESSMSGDSSHLYSQDPDNEADTVSKWQLKGKRNMRNLPRRSARNGRYSEYKRRAFGQKPMGYGLDSSGTNDMSDGTDDTDPNERQFRDRMIGPGDDEYRLSSMVASGFKNIYSHDMLDWDDDPWEGQIGKKKRWEEKFEGSGQEFHASSHRHSRRNMYSPLMDVELEVRGSYQKGPLPIVSLMSKLNSRAIIGHPVEVEVLADGSSEPYIQRTEYFGNETTYHDKPFLLPHAWKTARRSSSRVPRLQPLSPSLEADADVHSPADQGRKPFFKKLGSGNFSTDDNSLRRSNLMHIPRPPGERKQQQQKKVMKNTNATPSQKTRALSSFGSEQAHNGIKALGDGTHELSNRRVLQGPPTVACIPVKLVFSRLLEKINRPLSKPQ >A06p053600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28229016:28238595:1 gene:A06p053600.1_BraROA transcript:A06p053600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFTTCIDISTLPTDMEGFYATNNQFQKRGPKGFIQVKVLDDDKLYVRVDLPGVPDDAVHHRVDAVRQKVVFFSAVAFNDGYEKQGVREYSGTAGLGCDCCEITGVDAKMKDGVLRMILSRVKVKDHDTKCTHTVPPFTGKSGRRVEEHPFVVKGRKRAFVGEPTADGGLFFAVDVPGVGDGDVEVLANESEIKFTAEVKNVFEHDESAGRLYLGSIDTSWSGDSAASLLSHYNITGAVNFGVLKVLITPRPNTGAKTTSFSRMSKAGSNSSGNKSHGSSGSGVPRNSPPVPRNRFQKSGSQAVYEVKETEDTVIYRVDLPGCPASDLQYWVDGNNVHFFADEPAMSEYDHDGRKYGGTMVFNPVAYDVSGAKAKLRDGVLWITVPKVAGVNIKLTVVEKMLNCKITKDDVGIYATNNQFQKAGPKGFIHVKVLENDSLYVRVDLPGVPDDGVRHRVDAVRKKLVFFSGQTPDGNRREGVREYSGSAGLGCDCCEITGVDAKMKDGVLRMIVSRVKVKDHDNKCTNTVPPNAGKSGRHLEDHPFVVKGRKGAFVGVPLPGDGLYFAVDIPGVRGDDVEVLANEQQVKFYAEVKNVYEHDEGGGRVYLGCVNSRSSSSDPPPSILTQRLAYDAEFGVLKIVIAPRPNNTSSD >A09g512750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:38216777:38217154:-1 gene:A09g512750.1_BraROA transcript:A09g512750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDLGSWPIYRMQQPIRFRLVAASVSLCMAPDACTVTPRAPHVLQHGQESCRAPPLLPVVRLHDWNSCKAPQHHTHGWPHASVACVATSRAWPLHLVLLCVRLHGLLSCTSTPPPCVDTQLLM >A10p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1862501:1865273:-1 gene:A10p003740.1_BraROA transcript:A10p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGHHRLHGKAGGVGTKGMVAKLSIGVIVLLICTLSLLFSFNIGGNPEPTRPSKINVEELWESADSGGWRPSSAPRSDWPPPTKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDASGFQGIYDVEHFIETLKYDVKIVGKIPDVHKNGKTKKIKAFQIRPPRDAPIDWYLTTALKAMKEHSAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRSQGHFMSIHLRFEMDMLAFAGCFDIFNPEEQKILRKYRKENFAEKRLIYNERRAIGKCPLTPEEVGLILRAMRFDNSTRIYLAAGELFGGERFMSPFRTLFPRLDNHSSVDPSEELSASSQGLIGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIAREKGKTAGFEEAVRRVMLQTNFGGPHKRVSPESFYTNSWPECFCQMNPKKSGDKCPPDNVVEILESRLESVGDPDSTSQTNSTVAGLER >A07p039130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20798995:20799952:1 gene:A07p039130.1_BraROA transcript:A07p039130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPSYDLVRLGGGLRSTAVTVSNNRTVDMLYHSHIKRKLPSPFSLCSIASTTNIESKREDIHRERLERRRSMVLPGEGDRKHERPTKKALHNFRFPHLNWGTQQTMRCVKVEEPHGGSGGEEGIEEFREKMMSDIRTVRESIFRQHKEEEEDTKEKDEAEQPKKETETEREVSPPEETAEVKRWNLRKRRGDCEDSFIGLGFGFVEEEKVNTSIRCKFILSLTKKEVEDDMIKMGKAPLRRPKKRPKALQKKINLLHPGFYFSEEVTEDIYHVSDAAEKGKVTSFIIP >A10p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18650738:18652304:1 gene:A10p031040.1_BraROA transcript:A10p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTSPAGEVNQSKRRSKRIKKRKQMESTSMDKSPSNLETSRRQIRRKTKRPKFLSLKLELGTSHEIEESRGTTKSKKKKKKKQKQSKSKGVDTTAFKEKKRAETVGGEKEEEHYDNVAAYLFSSATDSSMSSIQDLLPSSAVHVDCGGGRNLPPYDRQEHGGSSSSSLLKTAMRKGASKEEETTEERWVSYSEVVEEVMSRSGTPRCCGGDGKDGRRSLALKLDYEQIMEAWSDKGTLYVDGEPPQTVPDLHGSADVFNGGGDSGSLWTVPEMETTERLWRGHREASLLRYKEKRQNRLFSKRIRYEVRKLNAEKRPRVKGRFVKRDD >A02p024280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12873799:12878577:-1 gene:A02p024280.1_BraROA transcript:A02p024280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYQPPRPYMRPPSVPPPAADPYHQYYQHTGRPPLLPPPQPGGYSSQFHSPHSPSPPPQHWGPPPAYPPPYPIHSHQQPFNAAANGNSQFAPSPAGPPPRQPYPQEWSQQDHNAQANSNAEDWALKAKEWAEVQRSATNQPSGQVYHQHPDQGYQDVHQQTAPALQLPSYQQFPAPPPERYPNYATAGLSHQENMPIHQQEVPYSYSSVAGREESGNATQHHVQIGVPNGGGPVHAEQQMQYAYGDQTVAPITNLHDQPLQFATRESSDYSSHHNAWLPHASTGVVYPPIPSSVPSMPEHNSSMAMPPVTGHNMPPYGGYTPPNLQPVGPPYAFGTKPPIHSVTAFMDDSYAASSVPSKNVNLPNWLKEELSKIKPSHGKPSSGSFEEREAMDDDILYKPTEKFDQRDNKRFSASKSSDEEEEDEEKDEDEMDAARSTAINSEIKRILTEVLLKVTDELFDEIATKVINEDGAIQKADPTHKSSAKVLVSVGGTDKKADVLGLASYASDDDDADTDAASSADVDDGDGVESHGMGSRNDVTQQPSADNPPEPKATVDTRLNPEVVAGDVSHNHNKAGLDQMLGSRRNSFSEGNKTVNVSASSDDASGCRTNDPDRTVSDKEAILEQPHRKNSGLESDCSLRQDSNKSSGKDLSDDLSRDKSRGDEMKSGKEKGDSRNGSKDRVKQKDMKSAEEVKGFESNKKSTDLDVKKDSKDAERHHRTNSKEDRGKKREKEKEERSRHRHSQGSSKDKRRRSPTSNGSSDDSIRKSRSRKRNISPSPVRARRKRSSPSSDESSDDSRRKSSSRRRNRSPSPGRSRKRHITSRSPHSKHSENKHSSYSSHEKSSGTQIWFAIQVKAVKVQIPPQATSGLMNTVEQNRGQDNAVRRDLVILDVPWLINGVWVMVTGHGYKVTHWFETEMSKDFFFDCLF >A06p008390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2905631:2908854:1 gene:A06p008390.1_BraROA transcript:A06p008390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) UniProtKB/TrEMBL;Acc:Q93ZV8] MASSSSTSSLYLHSLPKASSGSGQWKNGFLGGSVSSRRLFVSPVMFLQKRPRLSAIRASSEGGSRRRVYKESQAATGFPNAKVQQIASSVLPVGSFVVVTFVLWKVVEKFMSPKSSSPGEGKASTQGVKWSIGAGTNLLQGFAAKVDREAKQRLNEFAKELRAFRSVDMSGCNFGDEGLFFLAESLGYNQTLEEVSFSANGITAAGVKAFDGVLQSNIMLKVLNLSGNPIGDEGVKALCATLMENSSIEKLQLNSTDLGDEGAKELAELLKRNSTLRVIELNNNMIDYSGFTSLAGALLENNTIRHLHLNGNYGGALGANALAKGLEGNKSLRELHLHGNSIGDEGIRALMAGLSSHKGKLALLDLGNNSITAKGAFYVAEYIKRSKSLVWLNLYMNDIGDEGAKKVADALKQNRSIATIDIGGNNIHAEGVNAIAQALKDNSVVTTLEVGYNPIGPDGAKALSEILKFHGNIKTLKLGWCQIAAKGAEYVADMLRYNNTISVLDLRANGLRDEGASCLARSLKVVNEALTSVDLGFNEIRDDGAFAIAQALKANEDVTVTSINLGNNFITKFGQSALTDARDHVLEMTEKEVEIYF >A09g515060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44726145:44731068:-1 gene:A09g515060.1_BraROA transcript:A09g515060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHPIRSIQPATNNPRPDPEHPLWANCKLSKRTQSQPVEVDSSRPHTACALKISHGIRARFVRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWVLWWRLKHGERRCFWHGGFKEKGQAKKEMLVLKPTAQICPFMMRVALEVQGTSTGSLFVHMMCSLFIINLSKRGRLYPLGMVAGVYVDTQGEWSSPKSRGDWYTSSDTSNSPTTKNVKTKVFCHCISSLGHVSPHSTGSVVKCSMGYYAIRRVTCEALYGDSNTLVPGIRKRAAHKTETITTFQIEVSETKLEGGMLNKQGSWPDRSLQRLLRRTINRAKSVACLGKRRFRAVLAKDIRGVWEEMVDGAKLGSAVRTAVRTVGTGTDEIDPKHLFSTI >A06p019080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9258943:9260506:1 gene:A06p019080.1_BraROA transcript:A06p019080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQQRLSFSSSKLVFFFCILSLFSRPSLSASFLVDGVSVWKTPVVHVGDSVIFRHKYGNDLYIFRTKDAFNVCDFTQATLLTKSNSTSFTWYPSRPGSYYFSFTNNTSLPRTCQLNQKLTVQVLLAAASSPSQPPTPAIAPGPVSEGGDVSSSPSYPWPLGPREGSALSPGPSPSEITSVTVPGKDGVPFINSNPAVPLPTGEVDSTSINPLPTSTNSAHQVMMTVTVKLVLLCCVAMFLLL >A05p053280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30788562:30790931:1 gene:A05p053280.1_BraROA transcript:A05p053280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable low-specificity L-threonine aldolase 2 [Source:Projected from Arabidopsis thaliana (AT3G04520) UniProtKB/Swiss-Prot;Acc:Q9FPH3] MVTPVIRTVDLRSDTVTKPTESMRSAMANAEVDDDVLGNDPTAVILEREVAEIAGKEAAMFVPSGTMGNLISVLVHCDERGSEVILGDDSHIHIYENGGVSSLGGVHPRTVKNEEDGTMEISSIEAAVRSPKGDLHYPVTKLICLENTQANCGGRCLPIEYIDKVGELAKKHGLKLHIDGARLFNASVALGVPVTRIVQAADSVSICLSKGIGAPVGSVIVGSKSFITKARWLRKTLGGGMRQIGVLCAAALVALRENVAKLEDDHKKAKILAEGLNRIERLKVDVAAVETNIIYVDLPKDPKFGAEDACKSLEVLGVFVIPQTTFRIRIVLHHQISDSDLDYVLSCFEKLFSSVPEEKA >A09p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40181196:40193945:1 gene:A09p045930.1_BraROA transcript:A09p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDVKTAKRTEARLVPADLEPKTSPIYKITLDECMPSSTRSNKETQLLFSSDPASLERSIHKRRRSSSIDNNISSSLDSRQPLLTHTPIMSIDTRSPPSTEATLSSTEATLPSIDIFHPTSIDISVRTSIDTESRDMVATLILVRDDNGDLHDQEGHLRNAADEAARPRTLADYNHPNQFYVSRSAIHPPAIQRSDFELKLQYYTLYIPSSTRSNKENQLLFSLDPASLEHSIHKGIRSSSINNNSSSTLDSRQPPSTQTPILSNDTLSPPSTEATLSLIDIFYPTSIDHHSIQG >A03p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1042155:1047825:-1 gene:A03p002220.1_BraROA transcript:A03p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGETAGKPMTSVGGQICQICSDNVGKTVDGDRFVACDVCGFPVCRPCYEFERKDGNQSCPQCKTTYKRHKGSPAIPGDKDEDVFADEATVELSYPQKEKISERMLGWHLTRGKGEEMGQPEYDKEVSHNHLPRLTSRQETSGEFSAASPERLSVSSTIGGGKRLPYSSDINQSPHRRISDPVGLGNVAWKERVDGWKMKQEKNNGGPVSTQAASERGGGDIDASTDILADEALLNDEARQPLSRKVSIPSSRINPYRMVIMLRLVILCLFLHYRITNPVPNAFTLWLISVICEIWFAFSWILDQFPKWFPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFEALAETSEFARKWVPFCKKYSIEPRAPEWYFAAKIDYLKDKVQTSFVKDRRAMKREYEEFKIRINALVSKALKCPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFILNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKVKHKKPSLLSKLCGGSRKKNSKSKKDSDKKKSGRHTDSTVPVFNLDDIEEGVEGAGFDDEKALLMSQMSLEKRFGQSAVFVASTLMENGGVPPTETPENLLKEAIHVISCGYEDKSDWGMEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKFLERFAYVNTTIYPLTSVPLLLYCTLPAVCLFTNQFIIPQISNIASIWFLSLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIVNLVGVVAGFSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSVLLASIFSLLWVRIDPFTKRVTGPDILECGINC >A06p046350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24799097:24808560:1 gene:A06p046350.1_BraROA transcript:A06p046350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYPQGLMALRKNTPKADSVSPPMKPLSLSKKLPGNVQMADPDIAQNVVPNIDLDMREVYFLMLHLLSSGPCQRTYALLRHELLEHELLPRRYHAWYSRSGLPSGDENDDGDSFPLNYAELVKRYSHVKKDHLVELLKQLVFVSSRPTPSRGLGDGNGNKLIAAGVPTLLGTGSFSLLSYDKDIVRGLSLREIGGGFARHHRAPSIRAACYVIAKPSTMVQKMQNIKRVRGHRNAVYCAIFDRSGRYVITGSDDRLVKVWSMETAYCLTSCRGHEGDITDLAVSSNNTFIASASNDCVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPGSPYQLLSSSDDGTCRIWDARGAQFAPRIYLPRPPSPDGKNNVPSSSNAQQSHQIFCCAFNANGSVFVTGSSDTLARVYSVWSANKINNDDPDQPNHEMDVLAGHENDVNYVQFSGCAAGYKFAVTDYSKDDSVPKFKNSWFCHDNIVTCSRDGSAIIWIPRSRRSHGKSCRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTASTYVMDVHPFNPRIAMSAGYDGQTIVWDIWEGIPIETYKISHFKLVDGKFSPDGTSIILSDDVGQLYILSTGQGDSQKDAKYDQFFLGDYRPLIHDLYGNVLDQESQLPPYRRNMEDPLCDSAMIPYEEPYQTMFQKRRLGALGKEWRPSALKMAVGPDITLDQDYQMPPLADLDLAEPLPEFVDVMEWEPEVDILSDENDSEYNVPEEYSSEKEQECLNSSTSGESGSSSSESDEDGDHQNSLRRSKRKRHKTGAGIMTSSGRRVKKKNVDELEGATNKNKRSRKSRNGRKESKRKSSKSKSSRPRRAAAQNALSWFSKITGKSKDTEEEEDVSELSGSSESESTTQDSGTGDSELDVALLNGLGKQSKGKNILVCDSDDGAQQCDIRETHPAERKRLVVRFPVKNSDKLTLLENLPGTSSHVPTPTLGNGCAEDSSVPENLGHTNQFNGLDASEVKWGMVKARTSKRMRCEAKSSHGLMGSEPEGKEKNVNEDENHDNGVSAPSCLGTDTDGVAVDAHLTISNGLPNGDERCLMDGSPSQMADDGASKCSQDVAGPLHDLKDSLPPLSRTLRIRSKRASRAPDTSLKQEVKSSSINVENGGSVVNDGSADTRCDLALESQNDGVVGTEQSLRNDSAHESNPPIGDPVSIANDVHVSHPKRMFDFVYRRLKSRKHKNNSDGGATLSQEISLGSCSQDQSSGANSHEVVTNGFRGTESNGLEKSEGSLTDSRDKLSNSRGNQNSQEEERSTSGATFRLRSTRNRRSTYPFSETKPAETKKPQQSTEKVSWLTLSTHEEGSRYIPQKGDEVAYLRQGHQEYLNFSSPREVAPWTSIKGGNMKAVEICKVESLEYATLAGSGDSCCKMILKVIDPNSEVFNKTFKLTLPDVVTFPDFLVERSRYEAAIQRNWTFRDKCKVWWRDEGEEDGSWWEGRILAVKAKSPDFPDSPWERYTVKYKSDPTETHLHSPWELFDADTKWEQPHIDDDERKRLLLALTKLETSNKRTKDSYGLQKLNQTVGSSSYTNMFPVPLSLEVIRSRLENNYYRSVEALRHDVAVMLSNAETFFGRNKSVAAKISHLSKWFDRTLPS >A08p017320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12090609:12090929:1 gene:A08p017320.1_BraROA transcript:A08p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVEPVMCECCGLTEDCTQHYISEVKANFAGKWLCGLCSKVVSDEVSRDLKQTTMEEALNAHVLFCGKFKANPAELVADGMKQMLRRRSGDMLPAKSKMFGRSKF >A02p035310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19272292:19273992:-1 gene:A02p035310.1_BraROA transcript:A02p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMO1-1 [Source:Projected from Arabidopsis thaliana (AT4G12110) UniProtKB/TrEMBL;Acc:A0A178UWJ7] MIPYGTVEEASFALGRNLTWLETVWFDYSATKSDYYLYCHNILFLFLVFSLAPIPLVFVELARSVSGWFDRYKIQPKVNYSLSDMFGCYKDVMKMFILVVGPLQLVSYPSIQMIGIRSGLPLPTLSEMLPQLFVYFLIEDYTNYWVHRFFHSKWGYENIHRVHHEYTAPIGYAAPYAHWAEVLLLGIPTFMGPAIAPGHMITFWLWIALRQIEAIETHSGYDFPWSPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRFQKKLLEQIKESSKKSNKHNGGIKSD >A08p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11610934:11611821:1 gene:A08p012910.1_BraROA transcript:A08p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASPIQCIRILNPSSSSSTTSSSFRFSTIKPCVFVIRCSQAEGPLRRPSAPPTLREPSPPQKPVPPVPSSSPPPSPPLQKTVAVDGKGVVTVEFQRQKAKELQEYFKQKKLEAAGQGPFFGFQPKNEISNGRWAMFGFAVGMLTEYATGSDLVDQVKILLSNFGIIDLE >A08g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11750136:11750551:-1 gene:A08g506770.1_BraROA transcript:A08g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSILEKATSALSEAAKTDVFKDAVDNVVSRGIEGGKSLLHNLEEKKGEVSSKIVGAVSQFTGGSAVSSATTADRDLPVSTDNQPLLASGEKATPWWKSCCEILDLLKA >A09p000210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:122134:123704:-1 gene:A09p000210.1_BraROA transcript:A09p000210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 7 [Source:Projected from Arabidopsis thaliana (AT4G00200) UniProtKB/Swiss-Prot;Acc:Q4V3E0] METSDKISFGVTVIGAEGPSTFHMAPRPSDEIPNQFLGSSLAPMEAPMASASSGDALGKKKRGRPRKYEANGALLPMQICSSASLVKKKGGRGKFNGLDMKMHKRMGFHTSGERFGVGFGSNFTPHIITVNAGEDINMRVISFSQQGPKAICILSANGVISNVTLRHPDSCGGTLTYEGRFEILSLSGTFMETENIGSRGRSGGMSVSLAGPDGRVVGGGVAGLLIAATPIQVVVGSFVTGDQQEHKKPLRKQRVDHTTSSTVMALPPPPPPSVFSSSNPEREQPLPSSLDISSWNNGEDNLRSLDTDINVSSPAH >A03p061730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26804742:26808106:-1 gene:A03p061730.1_BraROA transcript:A03p061730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLNFYCVLQNVMYLRQKQGLNMVKAVLDTLTETAYSTRITTTKVMIQSTDSSVLVEFRNQSKYETVYKVEKTISDISDSAIEEIKEFASAVVITKVSVFPTFYGFVTGQTNVVERLQKSQLPVYVELFQNEFVSQPYDFFSDATVEINSYVTGAGVNGTITEFPFTAARYRRNKCLGSIETPPYMVPVQPGGLLEVVSPASLPPAEAPNPVFTDADVPGYDHYKQCSQTFLCGNQGGLLYPFWTPDRETCGYPGFKLNCSHGFAELTVSSVKFRILNANYTSRNIKLARSDYIDNICPSDPLNGPFYQEALQLANDTNLLTMLYDCQDLSSIIYSSEAYNYVTDFQCKEGVKNNNYCVVINSSSSLFNGRDGIDYMKENCTDYVSMPVSGSNLHTLDSNNLKKTLEQGFELQLKQDCSMCLESKGACGYDQTFNRFVCYCDDGTHGDTCSSGKTSQGFSVNTAHKGSLVNAIGKVSGSVAGVVMFLVLLSLFLCYLWKRETRQRQQNLKSLIPLKHYSYAQVKRITKSFAEVVGRGGFGIVYRGTLPDGRMVAVKVLKDSKGNGEDFTNEVASMSQTSHHNIVSLLGFCSERSKRAIIYEFLGNGSLDKFIAGNTLDWTALYQIALGVARGLEYLHHGCKTRIVHFDIKPQNVLLDENFCPKVSDFGLAKLCEKKESALSLLDTRGTVGYIAPEMISRVYGSVSHKSDVYSYGMLVLEMIGARNKEKAHQDSASNTSSIYFPEWIYRDIELGKSRRLIEDEISNEEHELAKKMALVGLWCIQSSPLDRPPMNRVVEMMEGSLDALELPPRPVLQIPIAPLQEPSTLSRDISVYTEE >A07p050800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27052017:27054420:-1 gene:A07p050800.1_BraROA transcript:A07p050800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78560) UniProtKB/Swiss-Prot;Acc:Q93YR2] MASSVISLSLTTPLKANSFSKYNLTPLPSLRRISCCSTREITLKPRLALPDTCKQRRSVELLTRCGVSSNDFPAEKEKKRSFGEWVEFLGEAVSTAFPVWVSLGCLLGLVKPSAFNWVTPDLTIIGLTITMLGMGMTLTLDDLRGALKMPKELFAGFVLQYSVMPLSAFLVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVIMTPLLTAKLAKQYVAVDALGLVKSTLQVVLLPVLAGAFMNQYFQRVVKFVTPLMAPIAVGTVAILCGTAIGQNASAILASGKQVVMACVLLHLSGFLFGYLFSRLLRIDVASSRTVSIEVGMQNSVLGLVLAAEHFGNPLTAVPCAVSSVCHSIIGSVLAGVWRRSAPKQLED >A07p008460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4998705:5004900:-1 gene:A07p008460.1_BraROA transcript:A07p008460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKEEIQGNISLALKEAVGTSTHPPAVPIVTPTAPRNPVGGGSVEDEFYRNTIRNVMGSIKQYTMPPHHNIQVHNNRTALSASSHTHMRKDGVNEIKVPDNATKEQEKSPCNEQLGTVRLAVDLNVAHDEGEDNVEGNNVCRKSKRQQIFPSNLMADYECGHNIVGHGRQAPMLLFVLPDSEVTITKFQQLGEKLLSSFVINVTGLSVSDEDIKDIAERSCPLSAKVVDVVTRILRTVQDIFAKCRNKEGHVFPKGTLDFLYNINDSICMIRRFYLPFNFDKKTLGGMENLCPRLQHVIKSDKDIVKDLTPIAEAFPYLLKQACGSTYEDNLDPMVIERVKGVGVSGMTAMVLMWNHSIGGLEGCRSVNADHVTLEAKGAAVMAYEFHEQL >A04g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15390908:15392170:1 gene:A04g506790.1_BraROA transcript:A04g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDLGNHREVAQPDCIKALIVEFITTFFFVFAGVGSAMATASQIYGLCCLYFIHGIDSLVGNTLVGLLAVAVAHALVVAVMISAGHISGGHLNPAVTIGLLFGGHISVFRAFLYWIDQLLASSAACLLLSYLTGGMGTPVHTLASGISYTQGIIWEIILTFSLLFTVYATMVDPKKGSLDGLGPLLTGFVVGANILAGGAFSGASMNPARSFGPALVSGNWTDHWVYWVGPLIGGGLAGFIYENVLIDRSDAPLADDEQPFLN >A04p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5200597:5202992:1 gene:A04p013930.1_BraROA transcript:A04p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protease Do-like 10, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G36950) UniProtKB/Swiss-Prot;Acc:Q9FIV6] MLLRSIRTVEHLRRISASSVSAYLTSPSLVSRALPRESALSSPISRFYYSNPHHRHTTTTSSPVSSRWRISIRHMSRRRNAAKGSAAYSSAVDLAMDSVVKIFTVSTSPSYFLPWQNKSQRESMGSGFVISGRRIITNAHVVADHSFVLVRKHGSPIKHRAKVEAIGHECDLAVLVIDSEVFWEGMNSLELGDIPLLREEVFVVGYPQGGDNISVTKGVVSRVEPTQYVHGATQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLAGGENIGYIIPTPVIKHFINGVEESGKYTGFCSMGVSCQPMENAQIRSIYQMSSEMTGVLISKINPLSDAHKILNKEDVILAFDGVPIGNDGTVPLRKKERITFDHMVSMKKPNETALVKVLREGKEHEFCITLRPLQPLVPVHQFDQLPSYYIFAGFVFVPLTQPYLHEYGEDWYNTCPRRLCERALRDLPEKSNQQLVIISQVLMDDINTGFERLAELQVKKVNGVEVDNLRHLCQLIENCDTENLKLDLDDGRVLALKYQDARLATSLILKRHRIASAMSSDLLIEQNNPATELAASCSTAVV >A02p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28910011:28910679:1 gene:A02p046230.1_BraROA transcript:A02p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRR3 [Source:Projected from Arabidopsis thaliana (AT2G01590) UniProtKB/TrEMBL;Acc:A0A178VVT4] MVVLSSSIFSMSRASTAMASLTNDSPSPLPSKPTKKPTRISQKPGNENQQLSRKQRREKKPSIAQIERAFGAGSYRDSEGEMDMNTVFDELLLGHANKFEGKLEKKLREVGEMFVDLTESKLRSSGKPVLLFTIQWILPIWIVSLLLACGIIKLPFSLPLLDDLIM >A06p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18292407:18294168:-1 gene:A06p033890.1_BraROA transcript:A06p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREGRDKKNKSEASTRSHTQARSSSDIFKTILLVVIVGSLAWFYKAIQPPTPRTIGSTSGAAVTWPRIKLRDGRHLAYKEFGFPRDEAKFKIIYIHGFDSCKLDSPFPQFLSQALVEELRIYTVSFDRPGYGESDPDPNRSPRSIALDIEELADGLGLGPNFYVVGLSMGGEITWTCLKYIPHRLAGAALLGPVINYWWRNLPRDITREAFSLTSPADQWALRKWFPFSNVIAGNPVIFSRQDMEVVSKLGGFRPNLAYIRQQGEYESIHRDLKVGFSSWEFDPLDLEDPFPNNNVSVHLWHGDEDRFVPVKLQRYIASKLPWIHYHELSGSGHLLPYVEGLTDKITKSLLVGEEEVPESREASA >A02p003220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1331348:1333733:-1 gene:A02p003220.1_BraROA transcript:A02p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVLSSLLRSSSARSAAKFGSRNPRLPSPSPARRAAPFGDILGRVAEYSTSSPANSAAAGPAKDEGKKKSYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQFDKEVVINSVYSLVKANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A06p052320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27666462:27667156:-1 gene:A06p052320.1_BraROA transcript:A06p052320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVATMRGLEAWFATPMESWRHGPLDDKKKIVIHQSRVNSGYHTMEAWRHDPGNGGFNLVSSDVGLPCSTPEIAGLGELVKAYNLHWR >SC336g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000179.1:4273:4797:1 gene:SC336g500010.1_BraROA transcript:SC336g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGQKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A02p051250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31514073:31514358:-1 gene:A02p051250.1_BraROA transcript:A02p051250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPHLRPSESIRSRLSRNQRTVKHAYGGALYGVAGRESTYVCFTIVRAFLVEEQKIVKKLLKLQKSKEKTAPKS >A02p060130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35846639:35847825:1 gene:A02p060130.1_BraROA transcript:A02p060130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit alpha-1 [Source:Projected from Arabidopsis thaliana (AT5G67380) UniProtKB/Swiss-Prot;Acc:Q08467] MCHSSSPRISSSTSLASELFEGFNVNTKEKCIVKILKPVKKKKIRREIKILQNLCGGPNIVKLFDAVRDQQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLSEFYHPGKEYNVRVASRDLNFLWTCKTMTIRWICGALVIFRKEPFFYGHDNQNQLVKIAKVLGTDELNAYLDKYQLELEPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDH >A09p007500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3927073:3927453:-1 gene:A09p007500.1_BraROA transcript:A09p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIIKLFSSSLPLFLLLSLFISDGVYTVYSSRNLLLQTEKVQCPIDFHYLNYKIIKSRCKGPLYPPLQCCAAFKKLACPYSPYLNDESTDCLTVMLSDISLYGGYYPVGLFGNICLQGRQHIDCP >A05g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4037681:4040143:1 gene:A05g501100.1_BraROA transcript:A05g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDCKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p003620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1580546:1582091:1 gene:A03p003620.1_BraROA transcript:A03p003620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TCP17 [Source:Projected from Arabidopsis thaliana (AT5G08070) UniProtKB/Swiss-Prot;Acc:Q9LEZ9] MEGKIYKFQVEPWKPIASFVSVDNVSIRMSRLLLYPCLFLSFSSTYVTKNHSICLNLADSSLLGFHYPSIKYQRMRTNSMGIKQEGDNQYQATSLSSLRQNPRIVRASRIFGGKDRHSKVCTVRGLRDRRIRLSATTAIQLYDLQERLGLSQPSKVIDWLLEAAQNDVAMLPPLQFPPGFHPNLTAAAAAEESFPGIFESFDLGSCSSRTDTTQKEGLNLESHGFDIDHHFFSNSNHRDKLYFPSSSSCHYNLGQLQQSLLDQSGNVTVALSNNNNLNPQTVETMSSLFPRYPLFLEGGDHQLQLFSSNSNSSKQTDHVE >A03p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5084648:5088529:-1 gene:A03p012840.1_BraROA transcript:A03p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 25, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G58270) UniProtKB/Swiss-Prot;Acc:Q9LVM1] MSRGSRLLRAPSLLLRHAYTRTHPKPPSFSYSLRSNYLLHHHHGFSTFTRRSSINAFLSDPSPSPSPSQSPIRFVQRSSMVNNNRLLFSTSPPKPDKETDKPKQIKTVANSDMADMKILRTLAGYLWMRDNPEFRFRVITALGFLVGAKVLNVQVPFLFKLAVDWLGSETGSGASMSAFVASNPSLVAAFATPSAVLIGYGIARTGASAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLRYHLSRETGGLNRIIDRGSRAINFILSAMVFNVVPTILEIAMVSGILAYKFGAAFAWITSLSVGAYIAFTLAVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEGYEAEKYDQFLKRYEDAALQTQRSLAFLNFGQSIIFSTALSTAMVLCSQGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLIDMKSMFQLLEEKSDIRNIDDAKPLVLKGGNIEFENVHFSYLPERKILDGISFVVPAGKSVAIVGTSGSGKSTILRMLFRFFDTDSGSVRIDGQDIKEVKLDSLRSSIGVVPQDTVLFNDTIFHNIHYGRLSATEEEVYDAARRAAIHDTISNFPDKYSTIVGERGLKLSGGEKQRVALARAFLKSPAILLCDEATSALDSTTEAEILNALKALASNRTSIFIAHRLTTAMQCDEIVVLENGKVVEQGPHEVLLGKAGRYAQLWTQQNSTVDMIDAAIKLEE >A10g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:61053:61716:-1 gene:A10g500020.1_BraROA transcript:A10g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNPSTPGKINHRSSRRQWQSSRVLVSGISGPCLHLLLPFAAPLGAVPSGRNVSDPPTVISLPGAERSVRGGRRHQLDVLPLLKKLVELVPFTHVMHLAAQAGVRYAMDNPSSYVHSNKPKRLLTLTTTSTASPSPPSGFLPSTVLGEDLTWLISSSPETSSEANLFPSSKAEKNTGSGGKKRGPAQLRVSSV >A02p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:616490:620486:1 gene:A02p001360.1_BraROA transcript:A02p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSNSTRELRRSHPTTFREGRKEAVVIGGRVCVRVTVITLSYLVAILYAINPKKKLRKTFSNYYYFLKFTSLSDFFSVPKVNTFLLASLILDLPLSNEANVDPFSIGPTSLIGRTIAFRVLFCKSMLQLRRDLFRFLLHWFLTLKPSVSPFVSWFHPRNPQGILAVVTIIAFVLKRYTNVKKKAEMVYWRNFWRNTMRAALTYEEWAHAAKMLEKETPKMNESDLYDEELVKNKLRELCHRRREGCLRDIMFCMRADLVRNLGNMCNSELHQGRLQVPRLIKEYINEVSTQLRMVCSSESLEELSLEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVRTLVEHKLLPRIIAGSSVGSIICSIVATRSWPELQSFFENSLHSLQFFDQLGSVFTIVKRVMTQGALHDIRQLQCMLRNLTFNLTFQEAYDITGRILAITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGTEPYARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIVPLLRIKDIVRAYGGRFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPTHVELQKAANQGRRCTWEKLSAIKANCGIELALDECVTNLNHMRRLNRSAERAAAAAGTSSSSHHGLASTTRFNGSRRIPSWNVIARENSTGSLDELVAESNLHASSGWNLSDSETESVELSSWTRTGGPLMRTASANKFIDFVQSLDVDIALSRGFSSSPTSPAVPGPFSPSFSPDSRSMDNNNNMLGANTSSIIVTEGDLLQTERTSNGFVLNVVRREDLGMSIENKNTELPESVQLDIPDKEMDDSSVSEHEVDQEDDDDEEHGGSDPVNVS >A02g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11570212:11577715:1 gene:A02g503460.1_BraROA transcript:A02g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAVRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFFVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSICEYQISKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENISPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPRPVDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEKEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGQSLMKKQQASHPLLWRIIYALIPAQLLPLYLRIFKSTVRNLLLLILCLTYVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTNQGFTEAWNRMKSFTDEEVMNFPNRRFFSPFICEYQISKRDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKYILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKFLRPKHSFDFVHDDNVSNLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKCLDHVFGVLRIEKPFDYSFTRFDVPFSRSRSTDRAVYRIDPRAPERDLRMDPRPDDQISQTTCVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTSVLLLTANDLITRG >A06p022320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12342352:12343593:-1 gene:A06p022320.1_BraROA transcript:A06p022320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHVPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEIHDYLKTICPDLHIARGEFDEDARYPETKILTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSSINQDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTSTSNSAP >A05p013050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5685764:5686147:1 gene:A05p013050.1_BraROA transcript:A05p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFDESEVVFSEDFNFKRDDENENHMFGVKEMKKTSRIINRTELSRSLPVNVPDNMFRRRYVGKEEDEYSGGGGEMVPPHVIVGRRIQGGEMAFSVCTGSGRTLKGRDLSRVRNSVLKLTGFLEA >A09p043740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35618673:35622180:1 gene:A09p043740.1_BraROA transcript:A09p043740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMMKKKGEGEETRDGTVDYYGRPSIRSNSGQWVAGIIILVNQGLATLAFFGVGVNLVLFLTRVLQQNNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLSSLSLSSYMFLIKPKGCGNEVTPCSTHSTMEITMFYLSIYLIALGNGGYQPNIATFGADQFDEEHPKEGYSKIAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWASTGSAVLALILFLVGTPRYRHFKPTGNPLSRFCQVLVAATKKSSVEAPSRGREEMYDEDRQEKNDSVNNTGRRIMHTDEFKFLDRAAYITARDLDDKKQGEVNPWRLCPVTQVEEVKCILRLMPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSDFKIPPASMSSFDILSVALFIFIYRRVLEPLATRFKKKDGTKGITELHRMGIGLVIAILAMVAAGVVECFRLKYADKSCTHCDGSSSLSIFWQVPQYSLIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMMSMSMGNFVSSLLVTMVVKISTVDHMPGWIPRNLNKGHLDRFYFLLAALTSIDLVVYIACARWYKCIKLEAKDEMQDMLSDDDVSDTDSDDYEERPKDSKV >A04p039730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22472625:22473450:1 gene:A04p039730.1_BraROA transcript:A04p039730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSSLKLEFDLEKRQAESLRIIEKYPDRIHVIVEKAEKFEIPNIDKKKIKLSSEKAIFIYVDNVLPPTGEILSSVYEEEKDEDGFLYITYSGETTFGASSI >A09g516770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49333558:49334100:1 gene:A09g516770.1_BraROA transcript:A09g516770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLLLLKVVQVRLLRMELRQNLDFIAAEYEDNRLLLWSGSRNVALEIISFGLIMYRVLILGKRKMCTQKKKKCVRIRNIPKWLLNCILICLYVIQSMEHEKMVLKHHGRSKTQELNVVSTM >A07g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:770522:770809:1 gene:A07g500330.1_BraROA transcript:A07g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPILALAAAAAASIRTVVVRFADADVSVDAAAYYIATASFIGISRRMRRLDAASDAASCVNETNNDAEC >A10p026280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16723673:16734165:1 gene:A10p026280.1_BraROA transcript:A10p026280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTQNKNVLLTIFTLCMICSGARSQLSPGIYDKSCPYLVQIVRKQVNMALKAEIRMAASLIRLHFHDCFVNGCDASVLLDGADSEKLSISNANSARGFEVVDTIKAAVESACPGVVSCADILTLAARESVYMTGGPMWRVALGRKDGLVANQSSANNLPSPFEPLDAIIAKFQAVGLNVTDVVALSGAHTFGQAKCDLFRNRLFNFTGQGSPDATLETTLLSDLRTVCPIGGNGNVTAPLDRNSTDVFDNNYFKNLLQGKGLLSSDQILFSSDLAVNTTKRLVEAYSQSQSLFFRDFTCSMIRMGGIMNPINGSSGEGCDGSVLLDAAPADGVEGEKEAFQNAGSLDGFEVIDDIKTALENVCPGVVSCADILAIAAEISVSLAGGPSWDVLLGRRDGRTANRGEAVTALPLGPDSLEILTSKFSVHNLDTTDLVALSGAHTFGRVQCGVITNRLHNFSGGSLTARVNLDDTSPDSFDNDYFKNLQNNRGVIESDQILFSSTGSPTVSLVNRFAENQNEFFGNFARSMIKMGNVKVLTGTEGEIRRDCRRGCDGSVLLDAAPVDGVEGEKEAFQNAGSLDGFEVIDDIKTALENICPGVVSCADILAIAAEISVSLAGGPSWDVLLGRRDGRTANRGDAVAALPLGPDSMEILTSKFSVHNLDTTDLVALSGNLYIPVLNLRSRPKNIGAHTFGRVQCGVITNRLHNFTGNNGQSDPSIEPKFLKTLRRKCPQGRSLTARVNLDPTSPDTFDNDYFKNLQNNRGVIESDQILFSSKGAPTVSLVNRFAKSQRKFYKAFAKSMIKMGNVRVLTGDDGEIRRDCRRVN >A04g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19792931:19793978:1 gene:A04g507800.1_BraROA transcript:A04g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDWVSAFFKNNEWLIVYLKGMVKPAAALAVVLLAVALSYSQKLSLEGDMIYSVIRSFLQLSVIGFVLQFIFNQENAGWIILAYLFMVSVAGYTAGQRAKHVPRGKYVAGVSILAGTSITMFLLIVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKQLRDDIKMQLNLVKLLYINDFVLNRTKFPVETALALGATPRQATLQQVKRALVISLSPVLDSCKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMMVGAATVSSIMCTYLCWPSFFTKAYQLQTRVFSS >A08p007530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4439343:4439558:1 gene:A08p007530.1_BraROA transcript:A08p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQMTIYHVWRERNERRHQMKGKTADQLHKVIDKTMRNRIACLKYTGSTEMMDYCRDGLNFQADRSSYTC >SC132g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:103891:105206:1 gene:SC132g500090.1_BraROA transcript:SC132g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKISRKGSSSASAHEELLVPKIEFVPHSVNPAENEAWWVAHYGSITPPKEKSFPVLTHRAVEEGAPCRSTDEFLEIMRSFYHIPDTVEFRVPHRGEHAKSPPEGYFPCYEAFVVRCCLWFPIPEIIVRVLDRFEVAISQLNPLAIQHLVGILILSYEHGLYLSVDHFEPLLRLQLVKDTDKYRLVPRSFMSVVKRFISNFNSWKKFFFFVRIDAASVEESCIPLLRRLPNDHPFINLLAPFPDDIIEMRDLLRNTETGNDSEPDDQSPDAAPTAATRWNSSKGKDIDLGDIEFSMDDFMLLGWDPDLAYGDGSSTSEVPIPDFDDFFAGLPSGFDAPPPTNESGRPKFIVEGSRIINGGLNLLGSAIEASHREAMVYRFKAEKA >A09g510500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31877660:31878707:1 gene:A09g510500.1_BraROA transcript:A09g510500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSLLSQSKTVTKALLCRQNTWASAGSKMSRVQCLDIDRFYLCTSIDINLHLSRHLLMSIDCTDAHRSIVLPLVDLYMVSSGEMSFKLQNAPNECFTLGVSIIGCKDFRQVSGAAGSVTKIGQASMNQNLMVVATKLCSLLFDMYPRVLCESEP >A09p069380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54065336:54072093:1 gene:A09p069380.1_BraROA transcript:A09p069380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFYKKPPEGLVEISERVYVFDCCLTTDMLEEEEYRVYVGRIMSQLREQFPGASFMVFNFRDGGESTSLMESVLSEHDMTTIMDYPRHYEGCPLLTMETVHHFLKSSENWLLLSPQNILLAHCERGGWPVLAFMLASLLLYRKQFSGEEKTLEMMYKYAPRELLQLMSPLNPLPSQLRFLRYVSSRSVGGHSQWPPLDRAVTLDCINLKLVPDFDGEGGCRPIFRIYGQDPFMASDRTSKVLFSMPKRSKAVKHYKQVADCEVVKIDINCHILGDVVLECITLDSDHEREEMMFRVVFNTAFLRSNALLLNRDDIDVLWNTTDRFPKGFRAEVIFSEMGAGNNHVSIDLPDMEENDGLPMEAFAKVQEIFSDGEWLDPNSDVAVTVFNQITAANILQESLDSGSPRSPDSRSLLESALEKVREKTKLMISENVAVSPDAFSPVWRERDSDSCHRSYADPNSLIKKVDEPQGLRVSVQRQAHSKIISPRLLQSSVTSPVLNRSPTQGSSPASVSRFHSSPSSLGITSILHDHGPGKGEEATSSSSPSITFQPALHPLILKASPSNGSPPAEAVVKPPTLPLLKPLKILSPPPPPPPPPPPASSSSLRSTATQGPPPPPPPPPPPQRSAQPFFPSPPPLPPPKKVVTTSNAPPPPPPPLRSKPLSGAAAPPVPPPPAPSALSRSQNGGCNGNVPPVPGPPLGLKGRGMLQTSLKGQGQTRKANLKPYHWLKLTRALQGSLWAEAQQTPDEAATAPEFDISELEKLFSAAIPSSDNETKGGKSGRRGRPKVQKVQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDESVIDVDQVDNLIKFCPTKEEAELLKGYTGNKENLGRCEQFFLELLKVPRVETKLRVFSYKIQFHSQVRGSAKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRSRNSKMTLMHYLCKVLAEKLPELLDFPKDLVSLEAATKIQLKYLAEEMQAIRKGLEKVVQEFTTSETDGPVSKHFRMNLKEFLSLAEGEVRSVASLYSTVGGSADALALYFGEDPARVPFEQVVSTLQNFVRIFVRSHEENCKQVEFEKRRVQQEAENEKLKKGVCSGASGIGAEAARLFTDHGAKVVIVDIQEELGRNVAVSIGKEKASFYRCDITEETQVENAVKFTVEKHGKLDVLFSNAGVLDPRGSILDLDLDRFDRIMAVNVRGAAAFIKHAARAMVEKGTRGSIVCTTSVSSEIGGGRRHGYTASKHGLLGLIRTACGELGKYGIRVNGVAPYALATPLTSHDEETARQVEEEFAAKGVLKGVVLNARHVAQVALFLASDESVYVSGQNLAVDGGYSMCRSGNVQI >A05p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20799424:20801256:1 gene:A05p036650.1_BraROA transcript:A05p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMSFGCNSTEFTCKGKSKYVSSKELSQRSKEDEDRISNLPDHLICQIIYLLSTEEAVRTSVLSTRWRHVWLWLPGFELSSRNFSDTNAFVSFGDRFLDSSRVTCIRKLKLTLGDNANTVKDGSYFTSWIDAAIKLKIQHLDIDWAAYDFFTHRRLRLHSCETLVYLRLSNLFLDDEVFVVLPCLKTMYLEQNWYSSETTLKKLISSCPVLENLNIVASNSDAQVYQVHSRSLKRLSIERRILKVLDGVPGIVIDAPLLSCLSIHDHESKTFIVKSNLESNAKLYISLNYGLVGSDVIKSVSSRSSFRDFLTGISMVGDMTISQSTSQLICLYSKLEPLPQFEYMSRLCVSLHATNSIWLKTFIRSFPNLRSLILEQIGYVNYPEPQSEEENPKCFLSSLEFVDIYFSCQGRYTDMKLVSYILENSAILKKLTLSWDHHSTKGEIIKELLKIPRRSTKCEVVILGFKRQAPLSPWRKAHQGEGPDATYL >A02g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14707276:14707679:-1 gene:A02g504500.1_BraROA transcript:A02g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTLMISLIPVIFLGAYLAVHKIINKVVVRKVVRKHKSSSDTNTSKSSSDTNTSTYKIPSTTPTSQETAIDNLTYIGLYTCSWIWKITMTDCDIDYLA >A05p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12305712:12306007:1 gene:A05p025250.1_BraROA transcript:A05p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKIPTRIYPNSLAIEIGGLQPCKVKSPSYTQLERITPLQIILIISQMVQVLKNTMNEHYKLLEQREDKLSQL >A08p015900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10368136:10392053:-1 gene:A08p015900.1_BraROA transcript:A08p015900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERATRDVINDERCNEMREQRDKKKQNEIIEPWIHPRAMKKEHISQITKNQILKTKTSRDYRLWANWEFHMSFDVRAGLIISLASIFDMDVNKYRQVLYKGHLSEMFVPYMDPVSDDWYSITYLDCGDFGCGQSAVSLEPYTDCPANAAFMDGIFASQDGTPTKVSNVMCIFEKYAGNIMWRHTEAEIPWLKITEVRPDVSLVVRMVTTVGNYDYIVDYEFKPSGSIKVGVGLTGVLEVKPVEYVHTSEIKEDDIYGTIVADNTVAVNHDHFVTFRLDLDVDGKDNSFVRTKLVTKRTQKSVGTPRKSYWTTNRKVAKTEAEARVKLGLRAEELMVVNPNRRTKHGNEVGYRLLPGSVSGPLLTQDDYPQIRAAFTNYNVWITPYNKSEVWASGLYADRSQGDDTLAVWSQRNRKIENKDIVMWYTVGFHHVPCQEDFPTMPTLSSGFELRPVNFFEQNPVLKTKLIKITTTPKTRFLKSKQNSPTSIEWQSPTRFERAFDGGMDEISIYEAFLVACFRRGTHALIAEVSSYFGSSPSQLDPLTWRTLIFTKNNMAPLHFTIFIFFSFIFAISSSSFAPPRHPFDPLTETELKLVQTIINKTYPVGPSHKFTFQYVGLNEPDKSLVLSWYSSPKHNIKPPPRQAFVIARDSGKSREIVVDFSSRAIVSDKIHVGNGYPMLTNDEQEAATELVLKFKPFLDSVKKRGLNVSEIVFTTSTVGWYGESEAETERVIRMMPFYLDGTVNMYLRPIEGMTILVNLDVMKVTEFTDRLTVIMPKANGTEYRISELKPPFGPTLQNAVFMQPDGPGFKIDGHVVRWANWEFHISFDARAGIAISLASMFDMDVKKYRQVLYKGHLSEMFIPYMDPSDDWYFITYLDCGDFGCGQCAVSLEPYRDCPAGAVFMDGVFAGQDGTPAKIPNVMCIFEKYAGDIMWRHTEAEIPNLEITEVRPDVSLVARIVTTVGNYDYIVDYEFKPSGSIKMGVGLTGVLEVKPVEYTHTSEIKEDDIHGTIVADNTIGVNHDHFVTFRLDLDIDGSPNSFVRNELVTKRTAKSVNTPRKSYWTTSPKTAKTETEARVKLGLKAEELVVVNPNRKTKHGNEVGYRLLSSPPSGPLLVQDDYPQIRAAFTNYNVWITPYNRSEVWAGGLYADRSHGDDTLAVWSQRNREIENKDIVMWYTIGFHHVPSQEDFPTMPTLSGGFELRPTNFFEQNPVLKSKPIKIASAKNCIPKND >A09p037260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25128408:25128803:-1 gene:A09p037260.1_BraROA transcript:A09p037260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMKMSSLLLFSLMVFILGMNVHRRGHVKAPRNAISIVYCWVSNMGDFVIHMGLRFVVA >A10p036530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20787830:20789948:1 gene:A10p036530.1_BraROA transcript:A10p036530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEN1 [Source:Projected from Arabidopsis thaliana (AT5G07710) UniProtKB/Swiss-Prot;Acc:Q9FLR0] MDPVDRSEIAFFDVETTVPKRGERFAILEFGSILVCPKKLTELRSYTTLVQPADLSLISTLSVRCNGISRNDVVLAPLFSDIADTVYDILHGRIWAGHNILRFDCARIREAFAEIGRQPPEPKGAIDSLALLTQRFGRRAGDMKMATLASYFGLGKQTHRSLDDVRMNLEVLKYCATVLFLESSLPDELLDESVSPSETTSLRRHLRASSSGVDNTVITPFSLPSIGENSVAQPDPFNMSFLRNEMASDNNLQLDTLMEEEEEETQPSDTVVVSANTSDHEGFLSPDAISLSNIRAVLVPFYHGSQLMRLKLLHGNSSPLQLYCSGLKIRFGISGKFLDNSGRRRLNFVVDLNPRLCSILEGCDSNAQKVSVDSGSSSDWNTVVSPVKGFVNCPNARIHIPTEISGDAARYAIEVHQRESGGDTQKLIFSNPSAEELESLLKQGSVVDAFLSLEPYDYQQKAGVRLVAKKLVMHS >A06g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22851280:22852861:1 gene:A06g508110.1_BraROA transcript:A06g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKIQKGSNGKRKAEEEQTHTNRLKSQKTNGVACIEVLSKDYFHVYMKELEEILNSGFTKLSSEISSLKAKLNHLDKNFESLKKTMKNDPKTTLNATESTDSSVEILSITPLKTNEMKTLDKKIKKFGMLLDTSGGTERRKQVVKSYDPFAVVDHGKDAWLDSWMKIDRDITINLGIIKADKFFFAELIEPKVWLSEEHIDVGMSLLRRKLGEKSCPFQSNRLAFLDVPFTLLISRSYQKFLEDPKNFEWSSEFISYYNGILPKCERTHKRLGVDVDDAYVVLNIKNVHWIALAISILQRTVEVYDSSWMLSGDDEITEFMILLKFSVCISI >A09p057190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48330092:48331456:1 gene:A09p057190.1_BraROA transcript:A09p057190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLCESSFVGDIDTSAPFESVREAATRFGGFGFWKPSSLNNILEPSQNDVEEANIVASELEKELISKEGEALKVLKSLETTKAIVEELKSKLHRREDKENCDVNVLKELNQAKTNLCKTTEDLAAIRESVELLNKRLEEERAALEKTRERLNSAEISKEIERLSDDALEFSKTGEEARFAVDKAVCEIERTRSKIKAAEMRLVAARKMKEAARAAEAVAIAEIKDVTRRRRRTTRETLQEEILEKIEETAQEIRSSKKTIEEGLERVNSAKMEEETQWQWSERRRRSSSYKGKYKNRRETVLMDVNGLDMMMNGDGTASSVAVLKPTMSIGQILSRKLLLADEAAMMMNGRVSLGQILGKTNVKERSGEGKEKEKRVNGKRKRFGLAKLSVMLNKECKNKKKKIVLNLK >A02p020630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9690843:9693022:1 gene:A02p020630.1_BraROA transcript:A02p020630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPNWELRGCCNRNQNAFLITIGIFTVVILLLWRTFLLTPFKLITVFLHEASHAVACKLTCGDVEGMEVNANEGGSTTTRGGIYWLILPAGYLGSSFWGMALILASTNLLTARIAAAGLGLALFIVLFIAKNWTLRGLCIGFIVFLAIIWVLQELTTVKILRYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCCTGCGWGGNDIIYVSLRFAVSWACDPIIEKGVLVVQILRGEMQRFFSIISAQLTIV >A01p058480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33330997:33332174:1 gene:A01p058480.1_BraROA transcript:A01p058480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNQQHQLLLQMQQQQQMQQRQQQLFLMQHHLQRQQQQQAAMSRFPNAPGLIQTRPVNPAFHNPNVQQQQQRPMMMMQPSQQQQQQEKKQMRPLNQMELQFAYQDAWRVCHPDYKRPFASLEDACERLLPYHVVADYEAEEDEMILHSDTTVSRSQQWDDNIAAKVGEFTETFEKQVQAFNAITQKRKEGELRSEERLIVEQLLLMEERKACSEVAREMKAHEARLRMAAMAQAGQGMGYNPLRGNAFGNYGEQQQQQGRYMDPDEMMMRMRGWVNSNNNSINSQREEKEPEEDFLNDEETGQHGNWRGNGEFDLNTR >A02p025040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12477414:12478294:1 gene:A02p025040.1_BraROA transcript:A02p025040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLIIITYHLTSLPHPPPLRRLHSSKRSMEPSFNVNVNMLRNETNNAIVYYHLNKLLASQFEKPPQEIAEASRSASAAATAAASAAKAARANANAKAYAAARAVAAAKAALKLIASFPNQEEVRKDKHVAVAEVEAEAEVDEDGDMVLKDQELLLHSGDASNGMIVPISSPSKNEEWSVGRLRERPNAEYDSINKRSAVEVGSSSRVVESSGSGQGGGAKEDVQKPTRKRGRPRKH >A03p010830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4331612:4332250:-1 gene:A03p010830.1_BraROA transcript:A03p010830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPKYTGVRKRKWGKWVAEIRLPNSRERIWLGSFDSAEKAARAFDAALYCLRGSGARFNFPDNPPEIPGGRSLTPQEIQVAANRFASEELLPPPPRQQGSPSPRGDKSEERGGILARGETNVGGPTLGEVGEYNNYNSENNSNGNDTTTYWPFLWDENLLVSSMSEELGTFYMEDSLQQHEQELSSDFYYDGAYVVEDDFSHYNINLWNF >A09p028740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17234508:17240322:1 gene:A09p028740.1_BraROA transcript:A09p028740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SETLRSLTTQDINHGGTTEGRGSKRHQLQSLDRTGRFGPFLVWPIPGARLKKNEQLIHQDKPPNRRSHCRKDTVTKLTRPKEGNSSHASTHKDLTRRKEIHCVKLGVLHKGKWFNDMIIVKHVDFIFWNDAFKTTKVSVRNSIGVIKGETAKSNHEEDGGTTRALQECWESCGYSFITRLMDRATCNFTSEKVMNDIIEEIIRSCLGVFQVWGHQSKKLTEKEYLHKNKIRGRIFSNLEKMMQIRETIGACVSKIGGAWRHGGSDVSPSSKHHRTGSHLRVSTTSYDDEVSITFSGKLSSFSGNLGNAHGSNRMKGLFKHKPRPPAEIVRQTRDLIALSENENQETDMKHSKRLGICPEICRNIRELKSILYGNSEAEPVAEACLSLTQEFFREDTLRPLIKSLPKLDLEARKDATQIVANLQKQQVGSRLVASEYLESNLDVIDTLVEGIGRDHHLALHYTGMLKECVRHQVVAKYILESKNLERFFDYVQLPYFDVATDASKIFRELLTRHKSTVSEYLAKNYEWFFAEYNTRLLEKGSYFTKRQASKLLGDVLMDRSNSGVMVRYVSSLDHLRIMMNLLREPTKNIQLEAFHIFKLFVANERKPEDIVAILVANRNKILRLFADLKPEKEDEGFEADKLLVVGEIASLSLKLSE >A09g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8134311:8135176:1 gene:A09g502420.1_BraROA transcript:A09g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGGCSLPVEVFIQNLTDEASELLGLEKFDEAIVCLRVARELSEYHLGDVAILSVSTLLLSCLVEKLYLETQNDGIKNMIEEGMIVFNQMKILAGKADYDSVVKVYSDLGDAALNKGEVDLALKIYSEALFFVETVADLLSSLVYTKHQAIMNLAMSSCLEQAKKHGEALRCFEISVLKSGALLNMKETAQDRKIAWWNYQLLPAQ >A06p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12275314:12276097:1 gene:A06p022440.1_BraROA transcript:A06p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PRE4 [Source:Projected from Arabidopsis thaliana (AT3G47710) UniProtKB/Swiss-Prot;Acc:F4JCN9] MSSRRSRSSRQTGTSMISEDQINDLVVQLHRLLPELGNNNRRSGKVSASKVLQETCTYIRNLNKEVDDLSERLSQLLESTDSAQAALIRSLLM >SC122g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:35593:42204:1 gene:SC122g500020.1_BraROA transcript:SC122g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGVEEEKRWRSLGGLGVNGTDVRTRPYMAVPSVPKVSTKTYPTRPSETVTRECYLGDLRRRDQTVEWIREERARLEQAVKRQSVYTRVVLKVLKLWSVLVEAVLRSVGLRDSRLKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNGYRHADMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYISTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTLSRPHQASRTPKSNIHSSYNQIVTKSQLYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVSTEVIKRFGLDKNLNQKSSLIKNVFKIKIITFLVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDEYQGAFPQPLVSPFDPHTLRNLSLLIRFCKDYPICSRASS >A06p008270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2852799:2854276:-1 gene:A06p008270.1_BraROA transcript:A06p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNGDLDRQIEHLMECKPLSEEDVRTLCDQAKAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHNNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEISENMEQNFLQFDPAPRQVEPDTTRKTPDYFL >A03p013010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5122612:5126320:1 gene:A03p013010.1_BraROA transcript:A03p013010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVGKMKQYSNVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGSRVLELLCNREKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFADEEAKDEDRRQEEMENGNGEGKGEFITQNNNGFFDSMSMLSSLPPPWGSSLPPSPHPPPHSLLHALTESGGPTTGSQDGLQHAQGTVSTTTSPPVARQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSMSRLGAARANGPRLNGLTSEVGGRLNALSVPSNGVVNGNGNATGSSSESLRSTEQRVAKLMEEDMGSAMQYLQGKGLCLMPIALATAMSSSSAHSRGALFNPTSNIVATEEANVVVAAAPEASSPMDDVSASNKA >A10g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5045544:5060251:1 gene:A10g501880.1_BraROA transcript:A10g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLFCDLLGTTETEKNALPEKASILWLIVEFQFDIGLNRYFEGLCTFLLQMFGMIDNSGRFIDDAWTVIWLFPRGRLGICRFRENCHKQIGSEVDMRGDRFSTFGEFRLVCKIWMNNYGTIYRDRKNRLKLSSLDYPPSFNGNSDRNKRRFDRDLKENTKLEVRASRSKRRNLDESYLFLSVKGRNVASWGSERSLRSEWKQARKSPICFRRRYVATDGLTGRYVASRSKPRRVLFVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQRVGASQRVLLVFVVKSQRKLRLRRNEKRRYVATDGLTGRYVASGSKPRRVLLFFVVKSQRKLRLRRNEKRFDEDSKENSKEDLSDALQVVTQRVESWLELGRYVATERCVCSRPSSVCVLGRYVATEQCACSVATYRPSSVCARSLRSDRAVCVLFLWRKRNRELVLVLFLWRKVATKFSILLNNMAFADRAVCMLGRRVSIELGLSAVRLPYSSLSAADLDTCPLPSDNRYLVVRLRFEQDFTARLFVKIFLRRLLFHKGHFTRADHLEVDERKNNRSIRISADDRYQEMPRQMKINIDRCTHVPSIDVETPDATFWIQQTCMPSGTKSYKEKDLLFSDDPAHLERTIRRGQRSTSLDASTLSSIDTHNQQSTDTRPSSSIDPSRPTTIDTTPCTSIDNVSSKMGNIIILTQDENGNLYDQAGHLRNATVVRNENLEEGDFEIESSMSLGGSQLCRPMSMNSHRSTDHDEDRWTDCSRHRSTSSVDSTECNAVRILTHEEFAAKHPHPPSPFYEKIDRSVNSTIVRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLAHPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIWRMFHQVRGKMKHRITLTKKSDPGKFAKPCIVKGVEFPHSMCDTGASVINSVDYGRELGFIGACHCGAEYESEYETEYSESIDTPMFPSIDSNVSMVTDDHNNTSLDVMHPLDHFTSPNYCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFVVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLETHNFTNMFPTSFDAVHSTLVDTHPRPAKQPLTSIDTSKGTSIDIRAAEKIQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTNSAEITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFDIFRDPDGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDHNNHAGVTTTETNPDLSRQPKGQASIDGIMETSIDRITPTSIDMDNQTSIDRRYECGSRAFDMYGARKFTWEQRDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLEPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLDRGYNELFRCMAEMKTEIDSLRQQLEKEATTSASIDAPCAKSIDVSLPTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPLNKNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLNAQKDIENITNQSFLQKKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDEVYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISSSIDRTKAKSIDGNSPRSTNEHIIASIDAESTTIDEQLIHKIVESMQKELTDISAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRSFFASWSRMRRDDVDACFQQAAASPPNSQSPPQKTCISTDDETSTSFDIGNHTTIDVTLTHRSISNTVRCILPTCYISYIIYFSSYLRLSYTGTSSKRDLEAAIFKARFHKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQKPRDCLYVLLEDKQKGHFTRADYLEVGERKNNRSIRISADDRYQEMPRQMKINIDRCTHVPSIDAWLEPIDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTGETRDLVC >A09p043400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35395958:35397735:1 gene:A09p043400.1_BraROA transcript:A09p043400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKVNLSINGQSKVPPGFRFHPTEEELLHYYLRKKVHSQKIDLDVIREVDLNKLEPWDIQEECRIGSAPQTDWYFFSHKDKKYPTGTRTNRATVSGFWKATGRDKIIYSCVRRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDETPTTNEYSDVVSEDPMNYNEEGWVVCRVFRKKNYQKIDDSPKITLSSSPGDTEEKRKSPTSHNTHNGNVLDHVLLYMDRSCPNICMPELQTTIQRQHNQDDILFMQLPSLETPKSEDLVYQSLKIPNQLDSSLVQEKKNGRPVCSNWASLDRLVAWQLNNGHHMCDRASFDEEEEDGDTMMQRWDLHWSNDDHVDLWSSFTESSSSPSSLDPLLHLSV >A07p010380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6523002:6523961:1 gene:A07p010380.1_BraROA transcript:A07p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSSTSTKMKSNNKLSVRNQTRFKKSFLLLREKTILKKALELSILCDNDICVIHYDREGNLVNAYPEDQSQVKDILERYNRLSDREKIKKNTNLSQFYNKKLVDEKRRSLTDAEERKRFTKKVGEFKGSLLDQLLVLQDRARYLLYSQDHQTEPDQSRFIAAMSEQNHNFSAPSSGFFPHNDFSSSLIDEEDPLMSFCPPVINNPVSDHQQQIGSSLTNLLMSGDASGSSNDRSKFSMFLFNHETATFTQLPNSVSSSFDQGLTPCSNNLITASHGAQDYNFGYGNSHSSQGFNFGCSNNLNTQGFNFGCNNNLCT >A04p025020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15146135:15146983:1 gene:A04p025020.1_BraROA transcript:A04p025020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTTRFHKDCILSTKRIFYILTLSPFVDEYVVVTPSLKYFKVEEYMLNYSYLVRHMPEVEEADINVQYYLFNIFDSFTSVKRLSLCVSFNIISDEYMYHDGIFFNRLEHLKLCIRFDYWSKLLFRLLHDSPNLRVLNLCVSKYIRFKKYEPINWNSSEISVPSCLLESLETFEFAEYRGSREERDFVSFIIRHACHLKSSTITPLISFQKVLMSAKEESHIRFWIF >A05p054070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30097678:30098136:-1 gene:A05p054070.1_BraROA transcript:A05p054070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRLHLLCFYVFLTSLILICNVRDQLIKPFIYCNNRDQETAKPFILFPSRRIQSDAYNHNRSTNLWKMRGFLTNSYSPKKFRSRHKKLCFGPTTMPDCVVVFDAERKSSVILEAAKLQVPVVAIVDPNVPLKFFDKITYPVLARDSVKFVM >A06p042260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22715484:22716830:-1 gene:A06p042260.1_BraROA transcript:A06p042260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPEVARFGSFSYKINTVGFLRSLSSSHLKAFVFTNLECDLRKMSKRKRPEPKSSSSGINEDEKNILGLIRSKQGMGATTYEMRTATKIQPTLVTRAVASLKKSNLIKEVPNMNNKGIKHFLAVEFQPCKELTGGDWYIDGALDVSKIEGLKEICVRILERLKSKVVTLDVIYSYFEKASSDADKLSREQTREILKNLVLDNVVMEVKSNGLTDFSATRIGEICYKLTGKKSGGGEVRDGGFASVPCGACPHIGLCTPDGVISPTTCVYFQKWLDF >A01p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18690257:18705263:-1 gene:A01p027750.1_BraROA transcript:A01p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHQAMEIKIPETTMRKDEEEEDEQPLSPAARVFHAPEFNCYVISVIGVKKKIEPDVIMEGLKQTLIRHPRFSSKMVSRRNENGQTQIWVRTNVVVSDHVIVPDIQTQNIENANADKFLESYVSDLTLIPLDTSKPLWELHLLDLKTSDAENVAVLKFHHSLGDGMSLMALVLACMRKTSNPDELPTLPNQNRSSSRSSRLKAGSRGDFRFLGLIMALWSAIMLVLNTMCDALEFIATAMFLKDTETPIKGDFRLSKHKRMSLVHRTVSLDDIKLIKNTMNMTVNDVVLGVTQAGLSQYLETRYGEKKKKLGEDQRNSNHMPKIIRLRSAILDLSDMMAKGSKCRWGNWIGYIVFPFSIGLRKDPLEHLRSAKRIIDRKKNSLEAALTFIVGKLMIKSFGVKMTANIINRALSNTTMSFSNLIGPIEEISFFGHPIAYMAPSVYGHPHALTMHFQSYMNKMTISLTVDPTVISDTHRLLDNWEESLKNIKAASTPISELREGFIQIPKKKNIKIKNSDESVESGNNQRREQKRVQTKVVAEDHVVIPKIDMHNIENANADAFLDSYVSDLTTIPLDTSKPLWEVHLLDLRTSDAEKVAILKIHHSVGDGMSLMSLVLACTRKTSNPDELPSLPNQKSSLSGSRSYSRLFWLVMALWSVAMLVLNTVCDALEFIATAMFLKDTETPIKGNFRLSTSKRMCCVHRTVSFDDLKLIKNAMQMTVNDVILGVSQAGLSQYLKRRYGEQEEPMPKGIRLRAALLRAANILKRALSNTTMSFSNMVGPIEEISFYGHPVTYMAPSVYGHPHALTMHFQSYMNKMTVSLTVDPTVISDPHRLCDDWEESLRSIKAAVQEKSCTH >A03p060100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26027807:26032353:1 gene:A03p060100.1_BraROA transcript:A03p060100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22D [Source:Projected from Arabidopsis thaliana (AT4G24960) UniProtKB/TrEMBL;Acc:A0A384LBZ3] MTKFWTLVTALHTGAGPVVMLVYPLYASVVAMETATKVDDEQWLSYWIIYSFLTLTELILQSLLEWIPIWYSVKLVFVAWLVLPQFHGAAFIYNRLVREQFKKHGVFPHQQFKPKILHSIFPHRKRGVIMSAAS >A07p031570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17416981:17419739:1 gene:A07p031570.1_BraROA transcript:A07p031570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEEASEPMMEMCTNGGGEETSNRRPIISGEPLDIEAYATLYKGRTKIMRLLFIASHCGGNQTMQLEALRMAYDEIKKGENTQLFRDVVNRINGRLGDKYNMDSAWCESVDRRAEQKKGRLENELSSYRTNLIKESIRMGHNDFGDFYYACGLLNEAFKNYVRTRDYCTTAKHIIHMCMNAILVSIEMGQFTHVSSYVNKAEQNHETLDPIVAAKLRCASGLAHLELKKYKLAARKFLDVSPELGNSYNEVIAPQDVATYGGLCALASFDRSELKAFIPLRFFSLVAKVIDNINFRDFLELVPEVRELINDFYSSRYASCLEYLGSLRANLLLDIHLHDHVDTLYDQIRKKALIQYTLPFVSVDLSRMADAFKTSVSGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQKVLQMGNEFDRDVRSMMLRANLLKHEYHARAGRNH >A08g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7829069:7832273:-1 gene:A08g504690.1_BraROA transcript:A08g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVSEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p042350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17744441:17747151:1 gene:A03p042350.1_BraROA transcript:A03p042350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRGLGSGGGSSSGKNQGGEAVVEMFPSGLRVLVVDDDPTCLMILERMLRTCLYEVTKCNRAEMALSLLRKNKHGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKSVVLKGVTHGAVDYLIKPVRMEALKNIWQHVVRKRRTEWSGVPTHSGSVEETGERQRQQQQREAVSGGEEDGADDNNSSSVNEGGNNNWRNSSSSSSRKRKEEEGGEEQGDEDASNLKKPRVVWSVELHQQFVAAVNQLGVEKAVPKKILELMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGSLNNSFMTSQDASFGSLPTLNGFDLQALAQLPAQSLAQLQAAGLGRPAAMNSKPGLPVSSSIVDERSVFSFDNPKMSLLHGVPTGMEPRQLAGLQQHRMTIQQQIAAVRAGHSLQNNGMRMPLASQQQQPFSRPQQSSIRQPMLPNRSGFSGRSSIPESSRVLPTTSYTNLAAQQQHSMAFSNFQQELPLNSFPLASAPGLSVRKPHSSSYREDVNSSEAGFTAPSYDMFSSRQNDWDLRSMLSPHQDSQAYSSSSMSRNNNSAVAATDHSRNHHQQTPQGMVSHHQVYGNGGGSSVKVKAETMGFHEQYSNQEDLMSALLKQEGIGPVDTEFDFDAYSIDDIPV >A09p026680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14436163:14437146:1 gene:A09p026680.1_BraROA transcript:A09p026680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRFVLLIFLVVVLPAVSADATRDLVTMVGGPYFDVKLGRKDGLESKAHKVQGNVPMPNQTVHDIHGMFKKNGFSLREMVALSGAHTIGFSHCKEFSDRLFGSKADPEINPRFATALKGLCKNHTVDDTIAAFNDVMTPGKFDNMYFKNLKRGLGLLASDHLLIKNNSTKPFVELYATNEKAFFEDFARAMEKMGTVGVKGDGEGEVRRRCDHFNNLNV >A02p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4596253:4600289:-1 gene:A02p010610.1_BraROA transcript:A02p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETERSLADDREMIGHVTGSETKSPWKTAAPPIEPADAPVMGAHSWPALADAQQPRPKNPPPPPAAAAAKSIPTSIPNHSQAVVTGHAKSKAGGKANPAHKNPPGSYSKPGSKSNQSGPTPPPPPAYPMHAVPYHPPPFPPMSYPTGPDFPYPLYPPYPIPGAPPVAESGNEKPVQASPLPPPPPPQGDPRQHQRGFGPRNMPHGPAGGPRNFVRPPYMGQGPGFMVGPGPGFPGPVYYLPGPPPGAIRGYPARFGPYPGNQGPQALSPEQLDLKDRHVTFRILIPFFSDENLQNDQYLISLMDEQGWVPIKIIADFKRVKMMTMDVEFIVYALRFSTSVEVQGDKIRKRDEWSKWVPASKRLASEQKTGDNDKDSSESVTSKDNFKNSSKPTAFSSEGAQSSGTNVYESDNLKSLSDDFSNTFLLDEEMDLEHKIPRKSGLSMSRRIDDEDDDIAVDDHDIQKLVIVTQNSGRSDGTGISGTKAKNIPKELASTINDGLFYFEQELKKNRSGRRKNNSHLDSRDGKVKAGGGLNTKLGENSAANGGSEEHSIRRKQSKGAHKHHIAHARRFFSGNMRNHGASLSSHTSESPPSSSIGFFFGSTPPDNHGHRLSKLSSSPQYSLSGSSPPVGSLPKSFPPFQHPSHQLLEDNGFKQEKYLKYRKRCLNERKKLGSGCSEEMNHLYRFWSYFLRETFVPSMYEDFQKFALEDAAGSYNYGLECLFRFYSYGLEKQFEEDLYKDFEQLTLDFYHKGNLYGLEKYWAFHHYRGQKEPTIKKHPELEKLLKEEYRSIADFRAKDPITSQKENKSH >A04p013020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4140032:4142541:-1 gene:A04p013020.1_BraROA transcript:A04p013020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVMWRGNTYTIEIDPNANLKELGYELRKLTGVTAETLRLIVPRLNEKGSNLILPFSDEHLRLTLHESSLVEGKTIRMMGVTEEEVNGVQKDAMPDMRILGFEDEERRRLKLKKLSSTSIKLPQGPYIFCGFRTLQLPGVELNPPAADALKRMHMLASDPGIIAIMNKHRWRVGIMTELAPVGYVGVSPKCLLGFNKNQGEEISLRLRTDDLKGFRKYQSIKKTLLHELAHMVYTEHDENFYALDSRLNKEAESLDWTKSRGHTLNGAKATKDFDDEEDFFVEIGDENFGQRLGGNQYDHLGNACQSSVAAAYRRLSHTSDVGASKLREEPDPDDSVDENKQPVLPEAHSDSRSELEPDPDDTIEDALTPEHHLGSLEIASGVAHPAEPDPDDVETRTSIAEVENMEIANDTVVSDGNLNRPPGETNADETTTEVDEPVPDDMEIRRIQDSVTIVSNRLTKAINALKTGASSGEATNVLQMLLKIVRNIIEQPNEMKFKRLRKGNPAIKRKIVNFAAAVEILSVVGFGEEMVSEGTGAQEPYLVLKRNDPGLLWIAKSMIESHTTGS >A03p023490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9926271:9926738:-1 gene:A03p023490.1_BraROA transcript:A03p023490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPVYLTGSRFLPTELGLVRLYLRNKVTMNKNGFITTLNAYNDEPWLLNHFNNVLFKRITNGATLVRGTEEVSRLKSVNWTVPGRRESEGGRWKRTSGQEAIMDKTNKVEGYKESFVYYKKLKGKEDKTGC >A07p050270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26797695:26798543:-1 gene:A07p050270.1_BraROA transcript:A07p050270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRGSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSIPGDQ >A06g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16747113:16748964:-1 gene:A06g505820.1_BraROA transcript:A06g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPRYGRSVKGLRLGFRIENRQGQEQLEAVKDRLGAVIAERLQGRGRHLFGYNSHPFGPMAGNLCQNRERQTPKREERTGDPRKEPARGLAVPGETGSWDARPEDMDTRQRDREKDKEKEMAPGERTPKGTLNQGPGRFSIQVLGLWSDCSWSDLDVLDQTWTVVRQMHRKDSGHGKMCGEWIIVDRCEILIAYCATCELMELSGLSPGRARKRLTGLVNEEIGEPLATFLPTEVQVDNLDEQQEEGREEEANSSHAGEKTGPGDGAEELAEPSMREVMDVVKAMGTQMLAFTQAFTSFVNSSVGQMTRAQATVQETQRAARTAGTAA >A06p014070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6351259:6352744:1 gene:A06p014070.1_BraROA transcript:A06p014070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYCTALLLVLSCLFSVSYASLGDADPNYRTCVAECERNGCIGQVCFPQCNYSSSSGGPWYTQEPLYLQWQKLGCQGDCRYHCMVNREKERESLGHVPVKYHGKWPFKRVLGIQEPASVAFSVLNLAMHFHGWLSFFITLYYKLPLKQDKTAYYEYVGLWHMYGLLSMNSWFWSAVFHSRDVDITERLDYSSAIAVLGFSLILAILRTFDVRVEAARVMVSAPILAFVTTHILYINFYKLDYGWNMIVCVTMGVAQLLLWARWAAVSRHPSSWKLWVVVVAGGLAMLLEIYDFPPYEGYFDAHSIWHAITIPLTVLWWSFIRDDAEFRTSSLLKKSKPKAK >A03p032590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13792010:13793996:-1 gene:A03p032590.1_BraROA transcript:A03p032590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERCLGAHRCRRIQRALRHLKVTVLCLVLTVVVLRGTIGAGKFGTPEQDLDEIRQHFQTSRKRAEPHRVLEEIQSGGGGGGGGDSGDGGGAGSNNYETFDINKIFVDEGEEEKPDPNKPYTLGPKISDWDEQRSDWLGKNPSFPNFIGPNKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAFELPWERYKDYNLVMHGWNEMVYDDKNWIGLNTGSFLLRNNQWALDLLHTWAPMGPKGKIREEAGKVLTRELKGRPVFEADDQSAMVYLLATQRDTWGNKVYLENGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETSNPLEMKDELGLLHPAFKAVKVQQTNQA >A07p023740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13756364:13757129:1 gene:A07p023740.1_BraROA transcript:A07p023740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLFAKKKPTRKLEEGASLSVSYEDIQQKREQKILVWSCAAVGLLLLGLVVILTLIHPPVPQFMLDDLSVDPVSNSSLVVNLSSTNPSYTTDIYYSKMSVGVQIEGIFQSESVFLQSTLQKPHERTPWTAVVTINKTNGSLGMRDGTMKVVAHVNILGKFGILKNSLVVTCPILNDLKAIVSKPCQVID >A10p028680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17762237:17765258:-1 gene:A10p028680.1_BraROA transcript:A10p028680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKRKVVMCGVLSLLGLLSAVTAFAAEATRIKKSQVKVTTLDGFTRCSYNRSPAYNLGFASAIFLMMAQIIASVGSGCFCCRKGPAPTRSKFTFVLAFLVLLTGASLNDEHIQETKTADHYLCYIVKPGVFFIGSIISLFTVGLGIVYYLCLNSVKQNVAAATTTPANHGIAMGQAQIPERVEDPVFVLEDTYMRRHNRMVVKLYGQVTAACPQRVLLCLLEKEIEFEIIHIDLDTLEQKRPEHLLRQPFGQVPAIEDGDFKLFESRAIARYYATKYADQGTNLLGKSLEHRAIVDQWADVEVGYFNVLVHPLVMNLVIKPRLGEECDAVLVEELKVKLGVVLDIYENQLASNRFLAGDEFTMADLTHMPAMGYLMRTNINRIVKDRVNMNRWWEEVTARPAWKKLMKMAGF >A03p069520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30548543:30550659:1 gene:A03p069520.1_BraROA transcript:A03p069520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQLDKRLDSTEQVVEEIMRLHRSLPPRPGLDDVEAARSLILNVEKEDQAWLEAIANQRTPSEVPGDLFTVLQEMKKGLVLFRSKEQRREATKLLDLETVHSSFDEFIQRASHCIASPSSNGSAPSRPPRVPKPPASLYLSEKAPVRPKEMVSRDDSFVTTKAKPSSLYGDALVAHRSPQLLDSTLTAGKFTGNDGDNLSLIKLASLIEVSSKKATKVLNLQNELTEQVEWLPDSIGKLSSLTSLDLSENHIVVLPNTIGKLSSLTKLNLHSNRITHLPESIGELLNLVYLNLSSNQLSSLPSSFSKLSQLEELDLSCNNLPILPESIGSLANLKKLDVETNEIEEFPYSIGGCSSLKEVRADYNKLKALPEAIGKITTLEILSVRYNNIRQLPTTMSSLASLKEVDVSFNELESVPESLCFATTLVKLNVGNNFADMVSLPRSIGNLELLEELDISNNQIRVLPESFRMLTKLRVFRAHENPLQVPPRDVAEKGPQAVIQYMNDLVEMRNEKSLVVKPKKSWVQMCFFPKSNKRKHNSMEIV >A08p008760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4679320:4691788:1 gene:A08p008760.1_BraROA transcript:A08p008760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase-, DNA gyrase B-, and HSP90-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT4G13750) UniProtKB/TrEMBL;Acc:F4JTS8] MQGNRDGSWSQGSSGNGGSGRGNGGYLPQPSNPVFPSFSLQQQPIRYPLPQFPTSYYRPNFPDFSFGNPNFQNLNFHHPQFGGGGANVFLQSHVPSFVLPPQPPPSSNDISASQERGGAIATERPSSKRRRKEGTDKEVPKSLPIPATVGADDSGGSRRGTASSSEKPSSKQPKRKVEVMRIDKAVNETRKAVIAAGESVSSTRVSRSVLEQLQADSWRTLGVQMQDVPSLRQLMALEGKVNAFIHCYVGARRIVTLYDLEMAICRNEFVDSFDDLELGPLLQHSLVLLYFPSISSSTGPVQITTEEIISFLDTYLYTYITNDVKVDEFLNFVATKKSVASKENLGVRIQSLRMHVSYIQDAKRKEGETLKILLTELHQKHNIPSSKKQPKVKSLTISERAESFALQHKDYCGKHTRFDSSSSEDNDSVDYEVQNLKTSDHTSSCPYPSVAEEMKRLGGSDKKRKDDNPSHGKSNSSKSLRRRPTKLLRENAKREIPKSADYSDDKKIFGVDEADFTLDEGALRKFISIWKDPCKELSTSTVVEKMLSFYHLGGSEVRNERAKAMSSFPFVGLLNVAVTSMRRGTCDSIYDTLQLASQSDTTNPCTGNQVDDIKPSEDNELNKTQHVMLPKQSNTAEEIIRRLSLHFEHDFSGEKLISIFRKLQTCQVLLAEQFQVPDFESLGWGGFSTFLEKHMLLLPTQLRRFLARELREEYPLEVHVNEKLLTQLLSQASEFAGGNEISRQMVARLLAEQFPTVSLEVVGKDSEEIFTEIISSYKNKSGSKCLLFSSTLLGAEKSLTSKHLEESLTVGNTADSGSSPLNAVSSKEILDVLHRAPFLSDLNSWCHWDLKFAPYAGPLMGCLNEINSKDLLCLVTKDGKIIRTDPSATADSFLEAALQGSAYRTSAQLLSLISLNGRTHLPFSLLKCYAKRAFEVFVDNHSKEMDLDGRNSLGNVRGPVQFSASSDKVIVGEHKTKVGKSDYAASKFLLDCLGYLPGEFCCLAVDVLLSGLRSVVKDAPTRVLSACEHTEQRIMLHDAGLRLGIVEWINDYSNFCSSSVPDSAIVENASSNLDSGEGFVQELEDPIHTDKSCMIVSETPCENNKEPHGSCHTFGGAGGLCDSVGEAFTQTAPEFHDNPASVIDLIRRDEFGLDSSSSGVETSMLQKQHARLGRALQCLSQELYSQDSHFILELVQNADDNKYPENVEPTLTFILQKTGIVVLNNECGFMPENIQALCDVGRSTKKGSGGYIGKKGIGFKSVFRVSDAPEIHSNGFHFKFDISEGQIGYILPTVVPPHDVESLTSMLSGRALQLKDAKWNTCIALPFRALDSEITTVNHIEPMFSDLHPSLLLFLHRLQCIVYRNMLDDSLLVMRKEVVSKNIVKVSCGENSMTWFVASEKLKSANLRDDVQTTEISIGFTLDMLEDGTYRSCMIQEPVFAFLPLRTYGLKFILQGDFILTSSREDVDEDSPWNQWLLSEFPGLFVGALGYFCSLPSFDQNLGKAVSSYMQLVPLVGEVHGFFSSLPRSIISRLRTTNCLLLDGDGEQWVPPCKVLRNWNEKIRVLLNDGLLQEHLALGFLDKDIVLSDSLSRALGIEDYGPKTLVQILSSLSHKKDCLQSMGFAWLSSFLTELYIVSRSSGHGNVELGIDKTLMDSLRKIPFIPLSNGKFTSLDEGAVWLHHETSGSDVGDVFEAFPMLYGNLRTVDHSFLLATSVGEKSTADDLINMLSVIGIQKLSAHEIIKVHILPAFEAKNRGTPEGLMVDYLCFVMTHLRSSCHVCQSERNYIISELRSKALILTDYGLKQLGEASIHFGEEFGNQVNMKKLTKTLDILWHVVDGTYLKHPASKLYACGMKEWRGFFQEVGISDFVQVVQVEKSIAEFYAVSRCEKYDTNLLSPGLAVIDWESPELVDLLSLLHKSNCREGCKYLLEVVDRLWDNCYHDKTTVNYNSGRHGVIKSSESSFMRAICVSQWIVSSMDNKLHLAKDLYHDCDDVRSILGMNAPYAVPKVTSVKLLNDIGFKTKVSLDDALEILETWVHCGDSFKSSISQVTRFYKFLWNEMADSKQKITEKLHALSFVFVPNQNGSRQNDLISGIFLSHNDVYWNDSAGVLDEIKEISSQISGVVESLRRKTLCNIYPGLHDFFVNGCGVPETPSFQEYLKILGQFAHYVSPSCAAKAVFKIFLKWSDDLKSGKSAEDVVHFKEKLSEVDYTVLPTESDKWISLHSSFGLVCWCDDEKLKKRFKKKDIQFIYFGENTDEEKELIHTKVSVLMQSLGIPSISEVVQREAKYEGLQDNSVTVSLVNWSLPYAQRYIFTLHHEKYTQTKKTVYSQVKRLQVFVVEKLCYKNVIPQYDIFSKKEYKCSSLLQDKALYTTPCLDSHSLFMELSRLFFNGVPDLHLANFLHLIKTMAESGLSEGQMESFIVNSQKVQKIPDGEKIWSLKSALKAKKKAGISLSWLPSSSKRRHGSSELHVDDSKQELASGHVSSSEEDVAESFKEQIPIQTADTNLVAGYDNTAGTSSLASHQPNPLYSMHMESGSTSGNQATFNLNPNLLHGWNNSFSADFSERDQLHTGTPWAAQAQQTGRKGEEIAYRYFAATYPKEAKVRWVNEQSETGLPYDLLIENEGGKLEYVEVKATVSSRKDYFNLTVREWQFANEKGESYIIAHVLLGNSNAILTQHRNPVKLCQEGNLRLLILMPNKRNEVNVSF >A02g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2958801:2960345:1 gene:A02g500970.1_BraROA transcript:A02g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSLCLSLCNEHYKTTNQPYRIRSRRVSQDVWISLDDQVKPCGPIKEADLDLTVADLLTTELQWNTKRINEVLPELEAQIKGLHPSELGAEDKIIWQPLPSGVYSTKSGYYAATLKTLQPTTNPSVPEVNWIKDVWMGSISPKLKLFMWTILHNALPLGENLKRRGLLVNTKCPRCDEEETTLHIFFECVFSKKVWELIPLKNAVHLAAGETFHGAINIFRRLVCLPPTGISGDVLPWVCWILWTSRNNLIFENRSFSPEETATKGLALAREWNLAQNKEKAPKEVPKIMHQRNQQKDVGLDSNETIRCTTDAAWEKDTQRAGLAWTFEGQGLPDRLQGSAIQHSVSSPLIAEAVAMRSALRKALALGFHKIKAFTDNLTLVRAINSNLQRKEILGIIHDIRMISSEFASISISHFPRSENFRSDSLAKATLRSSLCFNSALVL >A08p034860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20651862:20657933:1 gene:A08p034860.1_BraROA transcript:A08p034860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENDDPPSDSVAADENVNDDDPPQDSPESVAADVIENDDETNEQELDPDQGTGFVDSKEDMFVDAPEELNFDTPSKEALTTDDDDNNEKEEEDREKGLVALQEQFNLSTGENHSKVEEEKIHHEDALKELQGIIIKKDEEIAHLTAKISELTSSSSSQDEKEQQLVSATDRILLSLSNVFGQEEPQLGSSVSEKITNLENGVAFLSAKYTEFYYGADQLRKCLSSDDADLRFQEDFGSALGGACSELLELKEKEASLHERLTHLEDENKKLVEQMNKDREVIESMKAELEQEKTRCVNTKEKLSMAVTKGKALVQNRDALKHQISEKTTELENRLAQLQEKTVALETSELLKGQLEQSLAEMADELSDKSVSLEACEAAKREVEQSLDEKAKELEECLVKLQALDESELIKGELVKSEAMVASYQEMVSSKSSIIENIESILPHVNDSSDDIIEKVRSLVEEREEYNRLKDMNLSIDLPEEISESTLEARFTWLRESFLQAKDEVSALQNQIERLSTSLSAEMEEKCSIREELDDITLRFKALEETAERDSLEREEIIRRLVETSGLMMTEGVEHHAVLVDRSFDQIEKKLRDSSESSYGNEESFEKFQSLLYASGLELSLCKEMLGEGMLASLQVSNLSNELASVREEKLALETDLERSEEKSALLKDKLSMAIKKGKGLVQDREKLKSQLDEKNSEIEKLMLERQELTGAIDSYTNQMSTLSGDLERTKELEDELVAIKDERDELKQSLSLNDTLLEKVMSIPVDLATEDSSEKIDRLAGYFKEVQEARVKEQEELERIKEEASTLASKLEETNTALKLVEDALSAAEGNINQLAEENRQVQAAKELVELELQKAVGEASSLSSELDEACAIRNTLEAALKQAEINISDIISEKEEAQSSTATAEMELEKVNNKLTEAHSTIQSLRDTLTQTESNVDSLSKQIEEDKVLTTDLKNELEMLRNEVELERSKMAEASLTIGSLEEALMKAENSLSVLQGEMVKAEVEKSTLSSKLNVCMEELAGSNGNSQSKSMEIIAHLDNLQMILKDGGLISRVNEFLERKFKNLRDMDVIARDIMINFGEKGLAGEMDNVTEDDSTVAKSLLNGLDDSVDIELENSKENAGDEDEISSSLRKITEGVKLRNKTLEKNFEVFSTSIDTLIAALMENMTAARADVINVKGHNESLQEQVRSAEDILREKENTIAALETDLSSLMSVCGEAASELQLEVKNNLLELVQLQENDNGGETESTEHPQELLDVSECSRRAKELSSATEKACGTLKLFERTSNAAAVLIRDMEGRLKEASTALEKVVLERDLNQTEVSSYVAKVESTEALCQDLKLQLENLKAEEEKWHEKEVELSTLYDKLQEQEAKESLIPASDIHALFDKINGIEMPSVDQTNELDPQSPYDVKKLFAVVDSVTKMQHQIDLLSYEQKELNSTLAEKDLEIQGLKEVTEAKSTTEQELVKANKELSKLISGLEKLLGNDPVVDLDFSESWTLLQALEKKIASLLLESESSKSKAQELGLKLVSSEKLVEKLSLKVKEFEDKLQSKAVQSDVIVHERSIFEAPRASSSSEISEIEDKGALGKKSISAVPTAAQVRMVRKASTDHLAINIDSESEHLMNNNEADEDKGHVFKSLNMSGLIPMQGKMIADRGLRWKSINEPSSSKAWRYGIQSLIASVAPSFHLVARQVIDIS >A04g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7356400:7358238:1 gene:A04g503320.1_BraROA transcript:A04g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPAEGRTTNPVDPTLQLAETLAADASPTASDPSTIREIAELKLNFQQMTHVEPRQHAPSDKNNRKNGLLYVVDENGKKWNTFHRETDPPSESPRATAPATVAQVDSAAGSSRTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESGEIKIPPPKPKSENSWSRNKDRKNQRKNQAKPRQDDQKPKVAEQIPHRDDDGDASADEDPPAARQRIEVIRAQPESSSDEESDFEEALDPLDLRVLLKRKTTSTNDKTLGSSDLRVELNAKRTKHSLSPGSSLATTEGNPIVDLRDQLNARVSDLRAKLDHKKA >A09p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8571357:8572560:-1 gene:A09p016310.1_BraROA transcript:A09p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF1.8 [Source:Projected from Arabidopsis thaliana (AT1G64620) UniProtKB/Swiss-Prot;Acc:Q84JQ8] MDTAKWPQEFIVKPMNETCLKQPNPAAAAPVEEKKARPEKDHALNCPRCNSLNTKFCYYNNYSLTQPRYFCKDCKRYWTQGGSLRNIPIGGGLRKNKRPSSSSSSSSNSNSSSSSKKTLSVNNKTPPPPLQQLNLTSVENDHEAKAYSHGFGNAHEAKDLSSAFSQGFGIGHHHQSIPEFLQVVPSSMKSHALVSTSSALELLGISNSSTTSSNSRPAFVTYPNVHDSSVYAAASPGFGMSYPQFQEFMRPPLGFPLDGGDPLRQQEGSSGTDIGRPLLPFESLKLPVSSSSTNSGGGGNGNDHKREKEEGEADNSVGFWNGMLSAGDSAASAGGPWQ >A09g510290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30713723:30715083:1 gene:A09g510290.1_BraROA transcript:A09g510290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQKAERDLARMQGEMLERDVQLARDHARIVHKAEQKGKWEIVELMMNCASQFQIEYGNLKVAFTLVGDYRECRGSVWSHWKSQADDYVFEKEMGLMKGGMKDHAHAEALIPTIDGRIQGFWDPIPVSPDTVETTTEFPGDCEEVDRPVDAFGASLSGKWSDHPEGGVCLSCVCGRVGSLFIYFVPSVAFISRHCMSLIWLFYHLPGLAVGGFESLPLMRFLYMMGVYAETSRLSIFLLRFLPDSYRFKVRDKFSAYMTFMPTGSARPKFRIFFVARSHPCVGRSKIKRVIKLRLFKTTGVFVGANRRMGCKVFWWLQSENLFASSRILTWRRLAVVSKNIRIS >A07p038580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20522987:20526547:-1 gene:A07p038580.1_BraROA transcript:A07p038580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHGWQLPYHPLQVVAVAVFLALAFAFFVFFAPFVGSNLHQYIAMGIYSPLITCVVGLYIWCAASDPADRGVFRSKKYLKVPENGKLPQSKDTKDGCGSTTGGAKSHDQEMEEVNLLSSRRVRMGFFYCSLCEVEVFKYSKHCRVCDKCVDSFDHHCRWINNCIGKRNYRKFFSLMVSAIFLLIMQWSTGIYVLVLCLLRRNQFNADIALKLGSSFSLVPFVIVVGVCTLLAMLATLPLAHLFFFHILLIKKGISTYDYIVALREQEQELETCEGQQSPQMSMISSFTGLSSASSFNAFHRGAWCTPPRLFVEDQFDVVPPENASVSSYGKKTVAEERVKKKTQPVKISPWTPAPVNSNEKQRRQSKRIRLPAEPLMNVQSRAGLAPLQLEARSAFRTSREMSGSGGGVMITSSPESSLEDLHDIHPFRVSSEAEDLAQLNGFSSAVGLMGQQREEEEQQQSMMMSLSRSTSDGYDASGGEDRDQVPSRNIHKS >A04p026170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15839033:15839749:1 gene:A04p026170.1_BraROA transcript:A04p026170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDPNARPATGYPYPYPPQQPANGYPPNAATAYPYQNHNPHYAPQPSPRAVLLRRLFTAFTVFLLILGLILFIFFIVVRPQLPTVYLNSLSVSNFTVSDNRLAGNWDLRLQFQNPNSKMSLHYDGVLCTLYYDRASLSETRLQPFDLGTKDQTPINATLSVSGTYVDGRLVDSIGKERGEKGSVEFDLRVVSLVTFRYGVYRRRRYVTVFCDDVAVGVGGNSSSGNMVGSARRCKP >A08p033590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20148028:20153332:1 gene:A08p033590.1_BraROA transcript:A08p033590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLKKLISTFLFLLSSTVIIVASSEPLCHPYKSIISFGDSIADTGNYLRLSDVNHLPQAAFLPYGETFFHPPSGRYSDGRLIIDFIAEFLGLPYVPPYFGSQNVSFEQGINFAVYGATALDRAFLMEKGIESDFTNVSLNVQLNTFKQVLPSLCASSSRDCREMLGDSLILMGEIGGNDYNYPFFEGKSINEIKELVPLIIKTISSAIVDLVDLGGKTFLVPGNFPIGCSASYLTLFHNAKEEEHDPFTGCIPWLNEFGEYHNDQLKTELKRLQKLYPHVNIIYADYYNSIHTFFQEPAKYGFKNRPLAACCGVGGKYNFTVNEECGYRGVNYCQNPSEYVNWDGYHLTEAAYRKIAHGLLSGPYATPAFDWSCPGSASRSSKRDDSYLHMTSSLKKLISTFLFIFSSTITVDPSEPSCRSYKSITSFGDSGADTGNYLHLSDVNHPPQAAFPPYGETFFHAPTGRNSDGRLIIDFIASQKPYLLRSLSPAFKVFLAWTATEFLGLPYVPPYFGSQNVSFKQGINFAVYGATALDCALLIEKGIGSDFTTVSLSVQLSIFKQILPSLCASSSSHDCKEMLGDSLILMGDIGANDYDYMFFQGKSINEVEELVPLVIKAISSTIVDLIDLGGKTFVVPGSFPYGCFPAYLTLFETAKEEEYDPPTGCLSWLNELGKNHDEHLKTELKRLRKLYPHVNIIYADYYNSMYRFFQEPAKYGFKERPLGACCGVGGQYNFTIGEECGGQGVGYCKNPSEYVNWDGYHLTEATHQKIAHGLLNGPYATPAFDWSCLGSASVDTESSFTS >A07p017650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10668322:10670241:1 gene:A07p017650.1_BraROA transcript:A07p017650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLNQLFNRGVFGAKCKTSLNFAIARMKLLQNKRDMHLKHMKKEIAQFLQAGQEPIARIRVEHVIREMNLWAAYEILELFCEFVLARVPILESQKECPRELREAIASIIFAAPRCSEVPDLLQLKNLFGTKYGKEFIMVSSELRPDSGVNRTIIEKLSPASPSGETRLKVLKEIAKEYSLNWDSSATEAEFMKSHEDLLGGAKQIHRQDSVSESRPSQQSHAQSLVSREAEVLPAEATLRLQKLQAQNPVSKNMSSSPKLHVASQAPPDTRRDHSDVMERARAAIASADHATAAARAAAQLVNASYGAAPAVAAEGKPSNLM >A03p028840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12131945:12134565:1 gene:A03p028840.1_BraROA transcript:A03p028840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVALSYCKPSLHPLRFHPHCGNLWKRENVRRRTRGASTVTAMFWRSDKSPQVREFDISLANYPLTGSDTSQEGQNVISLSVVSSISEITPSEWDACALDSSQPQSYNPFLMHGFLSSLEDTGCATRETGWMPLHIVAKDESGHVLGVSPLYLKSHSYGEFVFDHSWADAYRSFGGRYYPKLQSCVPFTPVTGPRILTRDTPLKDQVFDAIVSAMTQLAAKLQVSSLHITFPSGAEWNKLKEKGFSQRIGMQYHWKNRDYKNFDEFLMDMKQSKRKNIRQERKKIGTQNLKMRRLRGDEIKARHWDSFYDFYRNTTDNKWGTPYLTREFFHYMASKLGDGVLLVLAEENEEPVAGALNLIGGDTLFGRLWGCRPDSYYPSLHFEACYYQAIEAAIELNLKTVEAGAQGEHKIQRGYLPVKTYSCHYIFDEGFRQAIDEFLVRESNQVDYVIKVLHESGPFKETIE >A06p001970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5370986:5371679:-1 gene:A06p001970.1_BraROA transcript:A06p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVFDFVKQSKSIQLNNKLFLDLLSSSSSSTKPKALHDVFINHRGTDTKRNIATLLYDNLNARNIRPFLDSKNMKPGDKLFDHINRAILTSKVAVTVFSPNYCDSYFCLHELALIMESKKRVIPIFFDIKPSQLDVMIERVTCSDDEIQRFRWALQEAKDIVGLTFDSCKGNLSEVVTVASDVIVERLVELDSEDEAV >A08g510620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24596508:24597084:1 gene:A08g510620.1_BraROA transcript:A08g510620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKNNNAGSDSDSNSVEESQDYYEPISAVDLDGGNNDADEEETYLPISGDNFSNGLCNGHCTIPEAVDGVSSISVNGNAEAKSDTEEETETVTVTPDSEIRTAFEEDERRRRSPLLVENAARVMEAMRAISFPGTAPDWASDVNEDRWVDQLRRLRSTSQ >A04p012610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4750079:4751336:1 gene:A04p012610.1_BraROA transcript:A04p012610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPQASPRKVQNSSNDCISPNGFQALQDIREEGEIEDDEETEEVEVPDSASLIVESLPVVVVTNETSVTAQRHGGSQRNKGRGAKRGIVNSRDLVQAVTQQQKANKTAADRGSIREFQNVVHNCDLMDIAQVGPSFTWTNCQDENPISKKLDRVMVNSCWISALPNSFVTFESGGVYDHLRMHIQLREVPQGNAKRFKFFNHKAF >A02p021730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10218633:10220115:-1 gene:A02p021730.1_BraROA transcript:A02p021730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D5 [Source:Projected from Arabidopsis thaliana (AT1G68090) UniProtKB/Swiss-Prot;Acc:Q9C9X3] MATMKIPMTVPSPRVDAEQLFKAFKGRGCDASVIINILAHRNAMQRALIEQEYETKFSDDLRKRLQSELHGHLKKALLLWMPEAVERDASILKQALRGAVTDHKAVAEIICTRSGSQLRQIKQVYLNTFGVRVEQDVESEASGNHKRVLLAYLNTTRYEGPEIDDKIVENDARVLKKAVARKHKSDDQTLIQIFTDRSRTHLVALRSTYRSMFGKELGKAIRDETRGNFEHVLLTILQCAENSSFYFAKALRKSVKGLGTDDTALIRMVVTRAEVDMHYIVSEYRKRYKKTLYNAVQSDTSGHYKTFLLSLLGPNV >A07p052470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27688501:27691253:1 gene:A07p052470.1_BraROA transcript:A07p052470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALGSPSLIPSSLYFSGDGPPSLSSSSSSLSFSVGGTKRSYLSVSSSSSSPYGRRGISGRRSVVVVCAASGDYYATLGVPKSANIKEIKSAYRRLARQYHPDVNKEPGATDKFKEISAAYEVLSDDQKRALYDQYGEAGLKTSVGGGPSATYTTNPFDLFETFFGASMGGFPGMDQADFGTTTRRSKGDDLRYDITLQLSEAIFGSEKEFDLTHLETCEACAGTGAKPGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEIISENCRKCSGEGRVRIKKSIKVKIPPGVSAGSILRVAGEGDSGPRGGPPGDLYVYLDVEDVRGIQRDGINLLSTLSITYLDAILGAVVKVKTVEGDTELQIPPGTQPGDVLVLAKKGAPKLNRPSIRGDHLFTVKVTIPNQISAGERELLEELASLSDTSSNRLRTRAKPQQSTTLNSATISSQNRTDEVREESQEPEQENDLWKNIKDFAGSVANGALKWLRDNL >A01p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1045599:1049004:1 gene:A01p002280.1_BraROA transcript:A01p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G36770) UniProtKB/TrEMBL;Acc:W8PV35] MEIHGVLVASPGMGHAAPILELGKCLLSHHGFDRVTVFLVTDDVPRSKSLIGKTLMEEEDPNFVIRFIQLDVSGQDLTGSLLTKLSEMMRKAIPQIRSAVMGLEPQPSVFVVDLLGTEALAVAKELEIAKKHVLVTTSAWFLALTVYMASLDKGTLFKHLSSNGALLIPGCTPVKFDRVQDPSSYVRELAESQRIGLEVVTADGVFVNTCHSLEPVTIRSFLDDKNLGLVMRGVPVYPVGPLVRPAEPDLKHEVLDWLDLQPKESVVYVSFGSGGALTAEQTMELAYGLEMTGFRFVWVVRPPAEDDPSASMFDKATNQTEPIDFLPYGFLDRTKDVGLVVRTWAPQEEVLAHEATGGFVSHCGWNSVLESIVNGVPMIAWPLYSEQKMNAWLVSEELKIAARVDVGNGSVKKEDIAEMVKRVMDEEEGKEMRENVKELKKKTAEEAQRAQIMIVAWRIVKTGCLLRRRIGDDIDTGFRKLTQMLLPTIIVFHPSEYILARAIHGPSRGTSPVLMCRPDHGCMFGDSDMSPARGGSCT >A06p013030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5920394:5922903:1 gene:A06p013030.1_BraROA transcript:A06p013030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIQTRGIFSLPAKPIGARRTLLLQPSHAIKQRLSTRNLPPLSISSNGHSKFQSFHKISISHKERNRGIICKAEAAAAAAGEGDSTALTPPKVFGVEVTTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIVPFIAYFGAFGFIMYPLSNLIHPEALADKLLATLGPRFMGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSKKKKAKPQMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFDKYGWGVAAKITPTVLLVTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGVILLGIVTAWLAAAKSLEGQFNTLMSEEELEKEMERASSLKIPVVSPEDAAPGESTSQLPEKSAPTSI >A06g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2446190:2449050:1 gene:A06g500600.1_BraROA transcript:A06g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSTSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLSNSLAYIRLLQAHKITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWREKWKKKKCKSILGALRASNWLFMVVVVLMTMAIL >A09p059970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49898447:49901209:-1 gene:A09p059970.1_BraROA transcript:A09p059970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKWVYMVTAQTPTNIAVIKYWGKRDEVRILPVNDSISVTLDPDHLCTVTTVAVSPSFDRDRMWLNGKEISLSGSRYQNCLREIRSRGGDVEDKEKGVKIEKKDWERLNLHIASHNNFPTAAGLASSAAGFACLVFSLAKLMNVEEDPSQLSAIARQGSGSACRSLFGGFVKWKMGSKDDGSDSVAVQLADEKHWDDLVIIIAVVSSRQKETSSTSGMRESVETSLLLQHRAKEVVPKRIVQMEEAIKNRDFASFTQLSCKDSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNRSEGTPQVAYTFDAGPNAVLIARNRKVAVQLLQGLLYYFPPKPDTDMKSYVVGDNSILKEAGLDGENSVENLQPPPEIRDNIGSQDQKGEVSYFICTRPGRGPVVLPDQTQALLDPETGLPK >A02p051690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31645094:31646023:1 gene:A02p051690.1_BraROA transcript:A02p051690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKTYKHLNMNRSMSFVMLLAIIVSVADAAWEDAHATFYGDISGKETMQGACGYGDLFQEGYGLETAALSTALFNNGQTCGACFELTCVNSQWCKQNAGAIRITATNFCPPNYTPPVDIHWCNPPNKHFDLSMKMFTSIAEYKSGIVPVKFRRVKCQKRGGVRFEVKGNPNFIMVLVYNVGGAGDVNSLAIKGHKSNWISMQRNWGQNWNTGVGLVGQRLSFTVKTSDGRSLTFYDVASESWGFGQTFEAKSNFY >A09p023010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12676610:12677326:1 gene:A09p023010.1_BraROA transcript:A09p023010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSSPFFNMESSAVLSMLRHTGKSMDSKHPKKSSGSIGGGVLKMFKLLPMLSSGCKMVNLLSRGHRKPLLKDFATTGTIFGFRKGRVFLAIQEDPHCLPTFIIELPMLTSALQKEMASETVRIALESETKTPRKKVLEEFVWGIYCNGRKIGYSIRRKNMSEEEMYVIDALRGVSMGAGVLPCRDQYEQETEGEMTYMRARFDRVVGSKDSEALYMINPEGSGQGTELSIFFLRSH >A10p027040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17068127:17070259:-1 gene:A10p027040.1_BraROA transcript:A10p027040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLPSSSSASAAASTSGSSSSPAAASSSSTTTTTSWFSGIYRGKSGTAKLSKSASVAGGGGSGDYGGGPIKGKNQFRGVLFKYGPKSIQVAFKTGEYKQQVIFIGGLTDGLLATDYLEPLAIALDKEKWSLVQLLMSSSYSGFGTSSLKQDAQEIDQLVSYLINKENSEGVVLLGHSTGCQDIVYYMGTNAACSRAVRATILQAPVSDREYKATLPETPALIDLAAKMISEGRAEELMPKEADPCAPISAYRYHSLCAYMGDDDMFSSDLSDDQLKTRLGHMANTPCQVIFSMGDEYVPDYVDKKALVNRLSKAMGGAEKVEIEHGNHSLSNRVHEAVQAIIGFVKREGPSGWDDPWS >A05p050830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:57413:57768:1 gene:A05p050830.1_BraROA transcript:A05p050830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSVTLSQRPETIFFSDCTFSFVIWSTIASRTNLHVSQNWVDTITKLRQLPRDNHGKKPSPASINFPISICDNRFNRQPDPEPYSEHKRFKTFVLQQL >A04p030750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18233852:18237163:1 gene:A04p030750.1_BraROA transcript:A04p030750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 33 [Source:Projected from Arabidopsis thaliana (AT2G32860) UniProtKB/Swiss-Prot;Acc:O48779] MTSNSLTLLLGFLALSSTLSFNAEARPQPSDEDLGIVIGPHTTFEDDLGIVIGPEESEDIPQVNLDDEDLGTIIGPEFEVHKSDFPDDFIFGTSVSAYQVEGAKKGSGRGLTTWDEFTHMFPDKVEQHSDGDVGVDFYTRYKDDIKLMKELKTNGFRFSISWTRVLPYGSIEKGVNVEGVKFYDDLINALIADGIQPAITLFHWESPLALEMKYGGFLSEQIVEDFRKFAKFCFDKFGDRVKNWATFNEPSVYSVAGYSKGKKAPGRCSPFEVIKCPSGDSSEEPYRVGRNQILSHVAAVEEFRKCKKLRVDRFLRPLVYGQYPKEMLEGTTSRVEAFTPEESKRLRGSLDYVGINYYGAFFSTPLTNVNSSQISYHSDMRVNWTVDQNHSPHLKSTAMGIVIYPAGLMNLMRHIKDEYMDPEIYIMENGMDELDDGTKTLVEALNDYGRKEFIKSHILIMGKAIRMYNVRLKGYFIWSLMDNFEWEKGYKIRFGLYHVDFNDNMKRYMRSSGKWLSEFLDSKESLHKCYFEGHREKGYAPKLSDREIYDRDNWRIRYTSDVM >A06g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6892502:6894650:1 gene:A06g501900.1_BraROA transcript:A06g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIELPTDDIIEVEFEYIKIEKHCFTCFSLFHEEVECPQRAHNAPPPKDRILGITQSIALQRIEAEKRRHDDRRGYRRPEDFRSTTRTHATNYSQTGRVRSTAERYQHRDADYRRDQSILSRTARSNSGYRRADEPTMQYRRVEKSRLSSGSSVPHNGPSNRPTGDEITGNIPVMQQVVNQHGGSQMDFTPTRNLRDRLSAPGDEVMPQAPIPLLEVTPARNLQSRIEVPPANREGTHSGSRERRSALERIAEPTSRKPPSFESGRLQIAEDLVGGEDHPDHESGEEQDREPVRVPAALRFSDNTAVSSRRVGHSIPLAPQSKSVGKRKVSARKRISRSPLQTLIQRKPTATRSTTSTRRKLAERNHKVVKRTTGAEQHNHQTKSGSSGCCSL >A02p009230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3825406:3828767:1 gene:A02p009230.1_BraROA transcript:A02p009230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLETNVIIIVILLLLMVLMLFLFSLVILFACKPWRYLPLFRSSSFKLGELQRPLVSDGGDEHLNQGQTGEEGSREYDLEGACYQNEGLLQGRAYKQRLPPSSSPHLNQGRTIKHPADKVSLEDVETDDLQDNTSQNLQHDLEKERLSEISPVIVNDQISWLCLEVISGPSIGLQFAVHSVSTSKLPLGLGRVSPSGMILKDPEVSGKHAQITWNSTKFKWELVDMGSLNGTLLNSRSVSHPDLGSRKWGQPVGLASEDVITLGTTTKVYVLISSQNEFKTPFRVGVASDPMAKRRGGRKLPMEDFCYYKWPLPGVNKFGLFCVCDGHGGAGAAQSAVKIIPEVLANILSDSLKKEKVLSQRDASDVLKDVLAKTEARLEDHLYEGCTATVLLVWKDGEENLFAQCANLGDSACVINLGGRYIQMTEDHRVASLTERRRIQEAGLSLRENETRIFGINLARMLGDKFPKQQDGRFSAEPYISEPLRIDQSNKDVFAVLASDGLWDVVSPSKAVQLVLQMREKERGTEDSAEKIAKGLLDQANVMRTKDNTSIIYLDFDPSL >A06g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27273066:27278051:-1 gene:A06g509440.1_BraROA transcript:A06g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRESSPLWESIARRRRDSPIGEKEHTDHFSIHIGALSQIYCNTLRRTTCLKHWHCVKPEHSPRTCRHGFMVSKHIFNVLALLLVFRYYHLNYLRVVVMFYVMTPTLCIFFLFVAYTGRMKSHSICVNLNLLLVPMENKETNLSSLPDEMVINCLAHLSRSYHPKLSLVSKRFRSLILSRELLFARSHLKIQEHVLDVCLKFPGRRLPSWFSLWIRPDQILTNDMEEDKSTTRNTLLVPIPSSYSPNVADLSMGMVGSKHYIVKDYNIPPTASPMLVRDHNEGTHTWRKSPSMKVARENPMVAILDGNIYVVGGCKADETTNWAEVFDANTQTWESLPDPGAELRSSLLKSTKVTDGKVYVRSNAKNEYYYYDPKEGKWGVVTEALQFERKSVIENVWYYCGEEYFSWFDTKLQNWRMVKGLEVLNRNCCAGALAVANYCGKLLILWDKPGQGENKNIWCSVIALEREGGGDDVWGHVEWASVVLRVPSSYVFLSCRQ >A05p041560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25230853:25231791:1 gene:A05p041560.1_BraROA transcript:A05p041560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPYGVSNLCRSQEVLSATVQIRPSGRQITGGVRVAKKVLYNGLTSSVKIRESKQIMRCRVSSESSTETEEDSATKTKKTPFGYTRKDVLLIGVGVTALGIGLESGLEYVGVDPLQAGNAVQLILVLGLTLGWISTYIFRVGNKEMTYAQQLRDYESQVMQKRLESLSEAELEALMEQVDEEKRQV >A01p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2299064:2300356:1 gene:A01p005370.1_BraROA transcript:A01p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLKSEALREDQTLASSSSSSPSIDRTAANATFRIPINWGSSIRRYLKKTGTFSRRSYSSGDGSLYFPDLDNESKILDQTSGRFEGKSRVWYKCELEQDIKKLQQQLQEEINLRLALTSAVEHSSSPFMESPCQLPDKAQELLDSLAVLEITVSKLEQESVSLRYLLRQEKNERRLTEILQKKKSHYCAPSKLTNAQSFPNKLVTRKRESKQVVSVDDEALQID >A10p016630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2895129:2896523:-1 gene:A10p016630.1_BraROA transcript:A10p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTYGNLYLTKFVKRYGKTKLERAIELHQMMYVRTLYLRYAKLKEDYGLAKQALKVYEEATKKVPECQRVEMCDIYIFRAAEIFGDRLHQVARFLGATWERTHQEKRVCRLQSDSFHSAREHMQKKDEMEDVEEVKWCKKTGVCEPATGNVEGTELPEECDDELLEKTKYRSLMKKSMMLWLEDLQERERKTVKKALKMVAKPLDALKRTNRHKLAQ >A04g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11001880:11003234:-1 gene:A04g505050.1_BraROA transcript:A04g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAFNNFNKNFTRNETESSLTNDNLAYLQKDHINCFNEYLGQSSVNGWSNSSNMTSNYDSNHQSLMSVMPNENYNHFGRSFACTQNLPTFNSLITEIPPRYPFIDIDLPASTEGNFNTGAWDQSEDTHLRKFVELYGTKNWKRIANMLGTRIGKQCRERWHNHLRHGIKKSAWTEEEDRILVEAHKVFGNKWAKIALKLCGRTENAIKNRWNGTKRRMHQKRMKQSDKNANPPQNVILARYIRHVTNENETPNTKETDCTKDSDHENAFDGEMDLSLDVTTQTREPLASMSTTSCSVPEPATTFSWDDYFTYICESMDDIQMLMQGLD >A08p004130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2391596:2394219:-1 gene:A08p004130.1_BraROA transcript:A08p004130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB3.2 [Source:Projected from Arabidopsis thaliana (AT1G49910) UniProtKB/TrEMBL;Acc:A0A178W7L6] MTLVPSIGRELSNPPSDGISNLRFSNSSDHLVVSSWDKSVRLYDANADSMRGEFKHGGAVLDCCFHDDSSGFSASADHKVRRIDFNAGKEDILGMHDEPVRCVEYSYAAGQVITGSWDRTIKCWDPRAASGPERSQIGTYKQPERVNSLSLVGNHLVVATAGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVSMEFFDLSEAAQAKNYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGGLLAIASSYTFEEGDKPHEPDAIFVRNVNEIEVKPKPKVYPNPPA >A03g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24160292:24165369:-1 gene:A03g506750.1_BraROA transcript:A03g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPTKPPKFVRLKATTTMTRDDQESNRTFKELLPSPWTDQFHTISVDVSEIDALRKEIDVLKPKVKKALMSYQGIDSAKKRILMIYLLVSLGLAHHFEDEIDETIKEGFEKKEEMIEGENDLYTVSIIFWVFRRYGPYIFRRFTKDNGNFKESLVGDAKDLILLSMQFKTHVKANFDLAKWGLVYHVPSFEEYMEVGEVEVAVYATLASRYMSMGKMAAKEAFEWLKSRPKLVQSLCVKGRLMDDITGFQDDISRGYVTNAVSCYMKQYGVSQNEAFRELNKMVGEADKIINEEFLTKTGVRHCVLKAVIDLARMIHVCYNGYEGYTDPQGKIKEYMTSMFVDQIRL >A05p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2618904:2621775:1 gene:A05p006500.1_BraROA transcript:A05p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) UniProtKB/Swiss-Prot;Acc:Q9SLN5] MACEPDASNVATLSCARCDKPALLQCPKCVELKLPREGASFCTQDCFKAAWSSHKSVHVKAQLSSIANSLPGDQNSDLVSQGWLYCVRRGQGRTHKLPHFDWTGPLKQYLISPKRSVPAEIEKPDWAIDGTPKIEPNSDLQHVVEIKTPEQIQRMRETCKIAREVLDAAARVIRPGVTTDEIDRVVHEATIAAGGYPSPLNYYFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVCYKGCHGDLNETYFVGNVDEASRQLVKCTYECLEKAIAIVKPGVRFREIGEIVNRHATMSGLSVVRSYCGHGIGDLFHCAPNIPHYARNKAVGVMKAGQTFTIEPMINAGLWRDRTWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKK >A09g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24167601:24172718:1 gene:A09g508600.1_BraROA transcript:A09g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQLSHVPLVNRLDLVSHRLDADNERVSIENKNSLCRQTLRHLLDPKCRVWCLDIDRRYLCTSIDINLYLSRHFLISIVSTDAHRSIILPLHKYKVNALPWEYRSHDARISDRISDQDWTGFHESKLNGGCHQSSLRKRALKIAASKSRFELFYLSLYESSLNGVTFQTYLKNPIPCIPSSKTSGYVRSTKVKDSVEGSMVKPSWSAMILGRILTDAPVSHIEWGNSTPLTMHGIANFPGSLFFVNVILCFIFPLT >A09g501900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6852121:6852303:-1 gene:A09g501900.1_BraROA transcript:A09g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGETVILRNSRIDMFKGTISLWVDKWARIEATEPASFTVKEENNPSLVEYELINVNDQ >A08g501040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2593803:2594267:1 gene:A08g501040.1_BraROA transcript:A08g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFVSAILGTSVTELTETLTSFSVSISSVSSGSANAISGVTGKLTEILVAEFCCSLLMVSGSATIAITGPPAGFDWGSRPLGASFSNCRVVDSFSGAGEPAATLSVSDSTTTEPVGVGGSDGGTFGTEAEPEVDLPSFGGDLSFGPFLLPISE >A01p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9666856:9669658:-1 gene:A01p019730.1_BraROA transcript:A01p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQSPPAANGAAAGSGQDQQAYHHQQWMMMQQQQQQQGQPPAGWNPQSSPSLGQQKQYGGGSQNPGSGGEIRSLWIGDLQPWMDENYLKNIFSTLTGEVQQAKVIRNKQSGYSEGYGFIEFVSHAAAERILQTYNGAQMPGCEQTFRLNWAQPGAGERRQADGPEHTVFVGDLAPDVTDYMLTETFKAVYSSVKGAKVVTDRTTGRSKGYGFVKFGDESEQIRAMTEMNGQYCSSRPMRTGPAANKKPLTMQQPVSYLGQQVDYCGYECQAMEGTKFTCDNVISWRILVYIAPKTPLEIQLSTKLENLCAYQSAHGNPGETDPTNTTIFVGALDESVTEDGLKSVFGQFGELVHVKIPAGKRCGFVQYANRACAEQALNQLNGTQLGGQRIRLSWGRSPNNKQTQPDQTQYGCGGYYGYPPQGYEGYGYAPPPQDPNAYYGGYPGAGYGNYQQPGGYQQILQGHS >A03g502090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7103695:7104225:-1 gene:A03g502090.1_BraROA transcript:A03g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex 1 subunit 1 [Source:Projected from Arabidopsis thaliana (AT2G30330) UniProtKB/Swiss-Prot;Acc:O22929] MNTPMSMLTSRGRVLPFSEKERTEEETGTLESSLLQMIEDNRRSSLQLREKTERSRKEAIRHAARTADLLVKAVNGGVEECFVNEKRIEAEIRSLAVTVARFGKQTDQWLAATHAVNSAVKEIGDFENWMKTMEFDCKKITAAIRNIHEDH >A03p013050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5135981:5137740:-1 gene:A03p013050.1_BraROA transcript:A03p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 10 [Source:Projected from Arabidopsis thaliana (AT5G57920) TAIR;Acc:AT5G57920] MKFLIVLHKAVMPKSDFQILTRIKVGGSELGVSWIHQMNTRCVITESQKERCRDEDKVLERRNGKREGERERGREILVGGKSNTWKVPESTEETLNQWSERTRFKIGDSLLWKYNAENDSVMQVSQKDYERCDRSEPIRGYKDGHTKIELKRSGPFYFISGEEGYCQRGEKLLVVVLSPNHNRSYAADAPLTAPVTKNKGSDHVLINQAQRSIATVCFLVLPLVLLV >A09p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3082189:3082733:-1 gene:A09p005640.1_BraROA transcript:A09p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAAAKPAARGGAGGRKGGDRKKSVTKSVKAGLQFPVGRISRYLKKGRYAVRYGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHLCLAIRNDEELGKLLHGVTISSGGVLPNINPVLLPKRAAGSEKAEKPEKAAKSPKKA >A06p028460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000056.1:97478:101909:-1 gene:A06p028460.1_BraROA transcript:A06p028460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTVCTAVRPWYGPVRTELKALRPSTSDKDILKRSLEQDEVFSFLLSLDKSYDELVRKILTEEILPDVESVCGLVKHEQATMQPDKRRKITELEQVITGKSLYSAYIGDIKDGDSLTNRHEKGGADKEESERMSPLDHEGGSEAESGVQQQQKSDSGSHDQGETQGEESPRSDGDAQADRESPRSDGDAQTDRDEQAAMQNPVESLPWLYPDEGTRPREGWIRFGASWKGKATLQPVQACEASQPIICIDLTCL >A09p051750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45533453:45535774:1 gene:A09p051750.1_BraROA transcript:A09p051750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRMAYDQSLHSPNNPHFFQPLLPGFHTYLNIPVAFFLKHVQGSNDHIKTAKLTTDASAKTWLVKVDGVRLTDGWEDFAVGHDLRIGDITIFRHEGEMVFHVTAFGPSCCDIQYTSESSHNINDDSQDQTNNAGNSSGEKRKRVKKNPRTKEDSSSDHSQFVAHVSPSSLSSDRLYIPTGFARSNGLDNMSGKEIIVLLNEEGRSWSLDMTCNKVGMQTFVRPGWRRFCAENGMKKGHHYTFKLVRRSAPLIIRLSHAEHEPEPATESSLNHSYYVGSVSPNSLSTDKLYLQRHFVTANGLEKGLSEITLKNEWGGSWILGFRHYEPQNHTYLGPGWKTFCQVNGIKAEDSFMFKLVETGDKPVLLLCTSNRGKTPLECSEDRDDVNSLSSDTSSEDDSKEESQESDKESIEDADRSQQCIVMEKENNSLRCICSSPYSKHRFVRLSFTQSALKTSVLYLPLAFTRMNCINKPIKIMLLGKDGVKKQVVDLLKNKSTGAMRFGKGWREFCDAHGVKVGESFQLELFREDEEAIPVLKFCTTT >A07p005760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1977221:1977650:1 gene:A07p005760.1_BraROA transcript:A07p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGDDESIDGELLKGAYIVTLTSFDTYTFSLREPPMSFSQTQCLSYGHFDECETSLSFFKGTWKRGSSESVSFGA >A06p011560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4311502:4312320:1 gene:A06p011560.1_BraROA transcript:A06p011560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTTKTPPPYLRRPNHHRRVNPLFPVICCLGESQQDSFTRRRTLTSLITLTVIVPTSSALAQEKWGTRSFIKEKYFQPGLSPEDAAARIKQTAEGLRDMREMLDHMSWRYVIFYIRLKQAYLSQDLTNAMNILPESRRNDYVQAANQLVENMSELDFYVRTPKVYESYLYYEKTLKSIDDVVELLA >A10g501000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2927706:2928134:-1 gene:A10g501000.1_BraROA transcript:A10g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSYLNHLNVSGAFEELSELSTIDLKGRLPTKSLRSAEKDCSCCGGGGASSEKMFPSSSTLKSSVTATLRTKRDAADEAKMEREMDWELSSKEREEVGWKWRQVWRWRRWRERGMKLKQPWRRKRRDRVDGIASNSTGLAG >A02g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16552078:16554224:1 gene:A02g505650.1_BraROA transcript:A02g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEWRRRGRLQSKLSRRLNFQSSQVTDFKVNCKNNLCVDQTTSSEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p037880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21303956:21305848:1 gene:A10p037880.1_BraROA transcript:A10p037880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNESGYESLLASLIVDIKSYSGKDHLLPWIRGIKKMKESLPPQILNEKLPRFLQKCAQSFESDKRYRNDSRYIRVWLQLMDFVDDPKALLRTMEANSIGTKRSLFYQAYALHYEKLKRFEDAEKMYRLGVQNLAEPMDELQKSYLQFLSRMERHKRKKTQRQELKVSEKHQKAERSQKEPRLASKDDTPVLNFVDKAIVGKPEAENACHHGLVDPTINMKEAMNAINSMFKEPIETAPLQRKSQQRSQDKENQGGFEVFVDKNQGSFEIFVDDENDDETTDENDESGKGFVFLQPRDHSPESSEEADRNNSPPRARFREDTVVRRFVGSTISEEPAVENVCHHGLVDPTVNLKEAMEDINNMFGEPIDFVRPNRSKNKGKAVAEVKKPDAAAGFSILEDDDEAEEEHQETNRVTQISPRKENERDLFEPTVCTKVALDEINKLFAMPMDF >A10p018990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13109274:13110839:-1 gene:A10p018990.1_BraROA transcript:A10p018990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTPEGSLTSSSQSMSVSTLADQVSSTLSFADPSTDTKTANNNKTSEQGESGKSSTCRPSTSSDISDESTCSSFSSSINKPHKANDVRWEAIQAVRTKHGGLGLNHFRLLKRLGCGDIGTVHLAELNGTRCYFAMKVMDKTALASRKKLLRAQTEREILQCLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKRFTEQAAKFYVAEVLLAMEYLHMLGIIYRDLKPENVLVRDDGHVMLSDFDLSLRCTVSLSIVRSTNLGSEGLSKNSVSCSQQPACIQQPSCISMAPTSCFGPRFFSSKSKKAKTDNGNHQVTPLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRSLLVKEPQHRLAYKRGATEMKQHPFFEGVNWALVRCATPPEVPKPVDLEPVKQHAPATPASAASTSVRSDQSNYLEFDFF >A01p057380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32464070:32471516:1 gene:A01p057380.1_BraROA transcript:A01p057380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIITCYLPHKTQTNQKKMAMMIPSQSYSYSCIVISLYYSLFLISFVLHTLASPTLHHCHHSQRDALLEFKNEFHVDAALDSWNKSRDCCSWKGITCDAKSGKWLDLSSNALQGPLPRWICKLTSVEILILSNNLLNGSIPPCLKNSITSLTDLVLRNNSFSGMIPDIFNNATKLISLDVSRNQLEGELPKSFIHCTRLQLLNVRSNRIKDTFPFWLGPLPSLHVLILRSNKFHGPHVSTKFQSLRVIDVSHNDFTGTLPTFYFSEWLEMTTVGVEDDSFMISDVPYMGKVLNATASYISSMEIVNKGVEMEFKRINQDYKTVDFSGNSFCGNIPESIGLLKELRHLNLSGNAFTGNIPQSLGDLTKLESLDLSKNQLSGQIPQNLGGLSFVSTMNFSHNLLKGPVPRSTQFQGQSCSSFMDNLRLYGLEDICGETHVKNPVLEVPAEKEEQVVNWIAAVIAYGPGVFCGFVIGHILTSRKHKIVVTKSEVMFYKYSNEDDSFMISDVPYMGKVLNATASYISSMEIVNKGVEMEFKRINQDYKTVDFSGNSFCGNIPESIGLLKELRHLNLSGNAFTGNIPQSLGDLTKLESLDLSKNQLSGQIPQNLGGLSFVSTMNFSHNLLEGPVPRSTQFQGQSCSSFMDNLKLYGLEDICGETHMKNPVLEVPAAKEEQVVNWIAAVIAYGPGVFSGFVIGHILTSRKHKIVVTKSLTPLKVSKTLPRTEKGKRDAMECFRVSPPIPKLSFFSNSRNSTSQRFIIPSCRERRNRDEPLSTSSPYSILGVEPNCSSLELKAAFRAKVKQYHPDVNREGSSSDVMIRRIIQAYEVLTNTSRAEIIEGECLDPFDNPECEALDVFVNEVLCFGKRCSYPCFKTASHVFSCDSTGTARAMSQGRGEDYRVQSAVNQCPRSCIHYVTPSQRIILEELLDSVLDKPYDCSAEAELLYALIVKAQFENNRYRKPKKKQPESSSKHVDWL >A10p014120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4347597:4349211:-1 gene:A10p014120.1_BraROA transcript:A10p014120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWYRRTKLVLDSVRRNLNPKILPSRINPTGSSNPSPKFSGFSSIEVGLRSWTNTNRVAHNPFPSQAKRSYYVDRYQVRHFKPRGPRKWLQNPKAVWTVVLLGSGALITLYFGNLETVPYTKRTHFVLLSKSMEKRIGESQFEQIKKTYKGKVLPAIHPESIRVRLIAKDVIDALQRGLSHELVWSDLGYGSMDSTARGSDRGVKEVGMALSEDGQETLSGMRWSKDDQILDDKWIQESRKKDSKGESAHLEGINWEVIVVNEPMVNAFCLPAGKIVVFTGLLDHFKSDAEVATVIGHEVGHAVARHVAEGITKNLWFAILQLVLYQFVMPDLVNTMSALFLRLPFSRKMEIEADYIGLLLLASAGYDPRIAPKVYEKLGKLGGDVALGEYLSTHPSGKKRSQLLAQANVMEEALMIYREVQSGRGIEGFL >A03p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6495333:6496415:-1 gene:A03p016310.1_BraROA transcript:A03p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor [Source:Projected from Arabidopsis thaliana (AT5G52260) UniProtKB/TrEMBL;Acc:Q9LTJ5] MGKMTKSGERPKQRQRKGLWSPEEDQKLKSFILSQGHACWTTVPILAGLQRNGKSCRLRWINYLRPGLKRGTFSAEEEETILTLHSSLGNKWSRIAKYLPGRTDNEIKNYWHSYLKKGCLKSQPQLKTQRSNLTESSSSPVSCGKRNPETLDHVISFQTLPEKPYSPQSQGSNKNMMKSNNMPKLFFSEWITSSDPHVHYSSEFTDSLHINQTQNRSEDEELVMIKNSYSSLEDDVMFRTEFQQPHHEYANYYTSEDFFINSDVTYM >A09p023210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12764700:12766273:-1 gene:A09p023210.1_BraROA transcript:A09p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroflavonol reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) UniProtKB/TrEMBL;Acc:B1GV15] MVAHKETVCVTGASGFIGSWLVMRLLERGYFVRATVRDPVCLNQNEVIKPTVNGVLGIMKACDKAKTVRRIVFTSSAGTVNVEEHQKNVYDENDWSDLDFIMSKKMTGWMYFMSKTLAEKAAWDYAKEKGIDFISIIPTLVIGPFITTSMPPSLITALSPITRNEAHYSIIRQGQYVHLDDLCNAHIFLYEQAGAKGRYVCSSHDATILTISEFLRQKYPEYNVPSTFEGVDENLKSIMFSSKKLIDMGFNFKYSLEDIDDNKEIKNGSAGLTDGMVACKKTEPGMAGEKADSHMSAQQICA >A03p007560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3116900:3118095:-1 gene:A03p007560.1_BraROA transcript:A03p007560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIFLMITILILFNLWFSFSMIKTLERYQKCSYGSIEVNNKPAKELETQYMLDQLSDLQTKEQMLLETNRALAMKLDDMIGVRSHHMGGGGGGWEGNEHNVSYAHHQAQSQGLFQPLECNPTLQMGYDNPVCSEQITATTQAQAQPGYIPDWML >A06p005480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1650823:1651656:1 gene:A06p005480.1_BraROA transcript:A06p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRMFCFVLVMVLMGCCCSAKIYKVGDSKGWTAKHGTYYDWAKRKEFQVGDSLMFQYDGNVNDVTQVSSRLEYQFCNSLSPKAVYNTGHDVVTLTEPGYHFFITSNHSQCVAGQKLVVLVVHDHPIPPPPPPRKILPFGKDYKVGDSNEWRVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKSCDTTSPVAVHNAGQDLVRLTKPGVRYFITSKTSHCEAGLKLRVEVRPLSKTLPKKMQLSPFDRFINWLHESFRPHPHH >A08g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6476507:6477436:-1 gene:A08g503770.1_BraROA transcript:A08g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGHYNRYPNPTRSKRFGSGLGSDKYTIGYHLHQFRITEPDRNKDVKDTRKSLLVCLLRFVWVCLLEPGD >A08p007950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5254991:5255512:-1 gene:A08p007950.1_BraROA transcript:A08p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAKQVISLVETMKSVFFPRSVRPDNLHVSRLAVDDLHGSLLVNADTIYTEVGPTYIEVGPTTYIEVVMILF >A09g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10420151:10420527:-1 gene:A09g503210.1_BraROA transcript:A09g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A03g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25524258:25527410:-1 gene:A03g507240.1_BraROA transcript:A03g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHVEMIRDLDEFLAFPWGRASFHTLVTSLISKDEIALSQASVAIRGYVDAIQMVLLAAIPELKEEITQSEPIVIEDSESNDESTLEHAPPEEEKALHSEKPSQTTKYCLIPGHAKSVDTDCQVRVKCILDEPYEDWSAGLDFLWPDEYDDPAVDNMVRLINEGFAFRKEMFKGGVSATDLARMRAEKKLKEKEPKEKYDKDHYPEAQDCDGSDSQTHILIANMVASQLGEKIRSPPTDVRVEISSLEKRIYEALDAKLEKIVASTIQSQHVAFIQNTISQYLQGIDKKVADTLACQLKTMEASLLKLSENVVPHVNPSIPAEPDNITPAETADFRINAVLRDLNTVPDLSTHETSDVNFRSVPLEGKLSDNKDNQVAEPDTVIPPKTVYSELPSQKLVHAAGDITLSEEPEITTYTNADQSEEPVEANQNSEKEIDHSLDAQPMDVDEPVAQEVEIEETHIPFYLLEIPSFSLGLSQEGAGGVEEMPHTATDASPPRGQELDVIEQRKSKRPRSRPAVLQDYKCDPKVSSGLCIIPDLDHRFKRMEGTLQTEATIISLTATVYFTGVVDVLVGYLSRALASVSTVAIYDTTLPVALMNQTTRFNKTAVKDRAKLKFTTVPLPKPLVKSPDRIYFPFNLDKQHWVGVCIDMKAWTLLVLDCNTTFRSDSLLKKELNPIATLIPYVLKYAGYMETIPYGKAFTVSQCKGIPQITSQSDAGVMAVLLIEAHAAEGLGGCKSITPRLLPEASKQLVVKLFESIYM >A07g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22095511:22097431:-1 gene:A07g508040.1_BraROA transcript:A07g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLPELNVAVSAFQSLISSPPLSLSLHIPYEFGDTWIHPAGRASYYRPSLRGGSVVKLIRERKELSFQSKIRDTYVIAVIFDKIGSCWALSVVGAVDGINKIKTRELIYLWEQEFIDYYREDGNGGCDGGPCEDGFGNHDVLAVWHGTTVGVGSPRARNEAKLRYKEKKLKHIIGKKVRYASRRARAYPKKIVRGRFVKAGDNYDYDPSSLTMKSMSFLFP >A08g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4769513:4770620:1 gene:A08g502110.1_BraROA transcript:A08g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLGPLYIYLLNPIPWPLPFTKTLVSKLYHSEMAFCKNLGGLLRQGAVSQTGNVPVQSMLGSLRYMSTKLFVGGLSWGTDDQSLREAFSNFGEVIDSKVIVDRETGRSRGFGFVNFSDESAANAAISEMDGKELNGRNIRVNVANDRPSAPRAYGGGGGYGGGGGGYGGGGGGYGGGGDGGGY >A01p058010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32941149:32944849:-1 gene:A01p058010.1_BraROA transcript:A01p058010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYLLLVTVSATIFTLLGRRIFSFVYGKFRVQENRIIASSPKSTLPRNCTHDVFPSFHGADVRKSFLSHLVKEFGSKGINLFIDNEITRGEFIGPELKKTIQGSRIAIVLLSKRYASSSWCLDELVEIMKCKEELGQTVVPVFYEVDPSDVKKQAGEFGKVFKKTCKGKTNEVTRKWSKALAKVATLAGYHSMNWDNEAKMIEDVATDVAKKLFNSTPSRDFDEFTGMEAHMEKISLVLRTDLDEVRMIGIWGPAGIGKSTIARCLFNQLSHTFQHSVFMMNVKAMYTPPVCSDDYNVKLHLQQKFLSQLLNQSEDLKISHLGVAQERLNDKKVLVVLDNVDRRIIITTQDRKILKGHGITHIYKVDFPTDCEAIQMFCMYAFGQKSPEDGFEKLVWEVTDLAGKLPLGLRVMGSYFRGMPKEEWENALPELRMCLDGEIESILMFGYNALSHENKDLFLHIACFFNLERTKKVVEHLSKRFSDVRQRLNVLADKSLISFERGCVSMHDLLVQLGRDIVRKQSSEPGQRQFLVGERETCELLADDAAGSRSVIGIIFDGEEINVSERAFEGMSNLQFLRIETECDGGPSYLSRKLRLLDWSYFPMTCLHCIPNPELLVELIMYRSKLEKLWEGTKLLSYLKWVDLNYSENLKDVSSLSTATSLEKLNLPGCSSLVELPSSIGNAIHLKELILAGCSSLVELPSSIGNAIHLKKLDLSECSSLLFEVGGAPLRCSSLVKLPASIGNLHKLETLFLIECRKLELLDLTNCSLVKSFPEISTNIKCMCLSGTAIKEVPSSISENLKELPHVLDTMTVLVMSNTEIQEIPPWIKRSSRLHRLVLKGCKELLSLPQLPTNGYSLVIKLNERPSPSTIIGKACILLVSKEEVQASKEKITLDHWIKQNSINVPCSRSFHNLFPALTEHLYIFAFEADVTSDELCLKFGVEGDEWMIKECGVHYLNTS >A10p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:831576:831962:-1 gene:A10p001600.1_BraROA transcript:A10p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEA3 [Source:Projected from Arabidopsis thaliana (AT1G02820) UniProtKB/TrEMBL;Acc:A0A178WJ88] MARSLSTAKTLSVIVAEGFSNAIYRRGFAVAADTALHGSVASGGTTASTAAMKKNVGEESSEKAPWIPDPKTGYYRPATVSEEIDPAELRAVLLNNKQ >A09p057690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48544922:48547365:1 gene:A09p057690.1_BraROA transcript:A09p057690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIIPGSKPEQSHHRLFDFAKTAIIKIFAHPYTTVCELYCGEAPDTEKWEAALIGHYIGIDTSSSGISCVREAWESHRKSYNVEFFEADPSKEDLEIKVEKIVGEVDLVSCWRHLQLCFETEENARRLLTNVASLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSGGTKPNVFPNYIRSESYMITFEVEEEKFPLFGKRYQLKFSGDNPPEDHCLVHFPSLIRLAREAGLEYVEIQSLTDFYDDNRAQFASLLMNAGPNFVDPRGKLLPRAFDLLGLYATFIFQKPDPDLEPPLSTPIPFESSNDNDEASREFPMVTDTRAPSEDSSQGLGKISEQKGILGPGPADLRFSEAI >A09p073100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55862912:55867920:-1 gene:A09p073100.1_BraROA transcript:A09p073100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSPKSFSNFSLSNDDDGVELRPSPVAGGAMLPIFLSSLSRNGSGSSWKRELVEVTLELDGDDSIVVCGMSEAASVDTRPRLMRNLSTAPARIRQTLEKLLRSESSRTTVSSVGAREISAETGRFTRIRQTLGKLLRSDSTRTTTSVGRERDIESQGRETPIMSARDKRKEEVKLQRTRSSAQRALQGLQFINKTTKGNSCGCGWDCDCDEMWKKVEKRFETLSKEGLLAREDFGECVGMKDSKEFAVSVFDALARRRRQKLEKITRDELHDFWLLISDQSFDARLQIFFDMADSNEDGRITKEEIKELLMLSASANKLAKLKEQAEEYASLIMEELDPENYGYIELWQLETLLLQRDTYMDYSRPLSTASVGITTPRRNLIKPRSVVLKCRQKLQCLVLDNWQRIWVLLLWFIVMAILFVWKFLQYRDKAAFKVMGYCLTTAKGAAETLKLNMALVLLPVCRNTLTWLRSTRARAFVPFDDNINFHKIIACAIVIGILVHAGTHLACDFPRIINSTPEDFALIASPFNGVKPTFKDLMTGAEGITGISMVILTTIAFTLASTHFRRNRVSLPAPLDRLTGFNAFWYTHHLLVVVYIMLIVHGTLLFFADKWYQKTTWMYISVPLMLYAAERSIRACRSNHYSVKILKVSMLPGEVLSIIMSKPPGFKYKSGQYIFLQCPTISRFEWHPFSITSAPGDEQLSVHIRTQGDWTEELQRVLTVGKDLSTCVIGRTKFAAHCNIDLQDRPKLLVDGPYGAPAQDYRSYDVLLLIGLGIGATPFISVLKDLMNNSRDEQILNEFSRSDFSWNSYTSSYTTPTPTSTQGGEKKAVKAHFYWVTREPGSVEWFRGVMEEISDMDYRVLNTNISSSISHHQLACLIAILTYSFIFLVRQGQIELHNYLTSVYDEGDARSTLIKMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFGSIARKHPNSTVGVFYCGIPTVAKELKKQAQEMSQKTTTRFEFHKENF >A06p050790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26717935:26720548:-1 gene:A06p050790.1_BraROA transcript:A06p050790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFRAFPLAGNLDPRAQEFVPLNPMSSRFHFPYTSLPPPPLPPPPPSYGLSPSDPRMFTFFNIPPHPMMFPPAPHPPPPPPPPPRPWFNGFSAVQRPSPPSNSPTRSLSLIYVPRDVTESTVRRDLEVFGDVRGVQMERISEGVVTVHFYDLRDAKRAVREFCGRHMQHQERLGSSSGGGSVWRSPSSSARGFVSGRPVWAHFVVPDTNAVPGGCNQGTLVIFNLDPEVSSTALRQIFQVYGSIKELRETPFKKHQRFVEFYDVRDAAKAFDRMNGEEIYGKQVVIEFSRPGGLKNKFTPFRQPQLPFQPRQVLLTPPLKQSVILTNSKSKSVSPNNGVDVVEASMRSLCDIDDEAEPETKSKNVAKLGRKKQMKSMELSQFLISEETMNDPSCRDPRTTLMIKNIPNKYSQKLLLNMLDNHCIHINEAITEEERDEHKAHHDQPISSYDFVYLPMDFNNKCNVGYGFVNMTSPEAAWRLYKAFHRQRWEIFNSHKICQITYARVQGLEDLKEHFRSSRFPCEAELYLPVVFSPPRDGKQLTEPVSININDCTGLNNIHHLEPIDGPDHSVGGSCCGSDNDNSQEDGLSGNNIDGGRSFTVVGATSF >A08p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17114046:17115884:-1 gene:A08p026920.1_BraROA transcript:A08p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSIENAHEDSVWAATWVPATEDRPSLLLTGSLDETVKLWRADELDLVRTNTGHSLGVAAVAAHPSGIIAASSSLDSFVRVFDVDTNATIAVLEAPPSEVWGMQFEPMGTILAVAGGSSASVKLWDTASWRILSTLSIPRPEAPKPSDKTSSKKFVLSVAWSPNGKRLACGSMDGTICVFDVERSKLLHQLEGHNMPVRSLVFSPVDPRVLFSGSDDGHVNMHDAEGKTLVGSMSGHTSWVLSVDASPDGGAIATGSSDRTVRLWDLKMRAAIQTMSNHNDQVWSVAFRPPGGTGVRAGRLASVSDDKSASLYDYS >A06p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8059741:8062973:1 gene:A06p017890.1_BraROA transcript:A06p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIIQSINYFFSSYLYHTNVTFLRRSNRERLEDKSNGVGAVEAAMLSLSVEDESKGKETEKAILSLSEAAEKIDPSDFAAFMGKVRVPFSHIPKSVYERTTVDWINKLPFKSHWKFVTWALDRLHLDWVSHARGEEQPNIEYQVGTLVQLAMVLRATPDSLTFLLPMLKGKPKFHGQENLPLIVWMMSQAFHDDLPAALYSWALNLLPLVVNECYSSHSIHLILQFVEMILSSNSEARAVLLNEPVRHGERLIPPCSFEMLVRLTFPAPSARVESTQRFEAIYPLLKEVALAPDITANALKRIFTFSLKLAGGQGNTALANEATAIAISVLTQNVDCFKQWVVLYKENLEASVALLNKLVDEWKYHSLKLSSSSSDTLTVKHAINSFRMKNEKAITEDVANPSLYKEADQACKLISSRLSRGIGIAPLTAMVIAGVVGAGAAHALIYLARSKKSFS >A06g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24063971:24070697:-1 gene:A06g508520.1_BraROA transcript:A06g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSAPTVPPGFAPRSVVAPEVFEEMQMYMNCTDPEERRLREYKMKRALQDLSSNPGAQSSYLRLEDQPTISGVQNKNMGRVFDFRTAEVEALGHQNIGEGSNIPPLSTHEREININPRGDRVIPETHLRENPFTTSMRHTATVEKPQKDMEGAGEFVPSNSGVVFSLGHDNHSASGTSGKSRASKRKGTSWKRFKKTPSGARKETQSGLQKNTQDVEEIVRQSWNTPHHGSVNTVSDRIISCRKALSKWKRNEARNWNIETLTELFPPNEVVRIRQIMPGDVNDGFVWAYSQHGAYTVKTGYDLIVQEKMETAGQISPQELTRIELKKRVWKIPTLPKIRMFLWRVISGAVAVADRLNSRGLGVDPTCRLCNNGVETINHVLFQCTTASQIWADVDVALPARVLQHSLEENVAYVFDAMQDLSKTEAVRRSIPWVLWLIWKNRNSVIYAHVQESLERLLRVMFEEVEQWFELNKVQPTRTDMNTRLEGDDIWRPPEDGGIKCNIHANWGNAHLHSGVAWVARDQRGNVLYHARDAITHAPNRFVAELRCVNLKEEFDSLDFEEEKILTNRIARDIAKSVLRDGRFQSYLALGGPSWLHDRIAGETIRSDV >A05p023940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11608105:11610296:-1 gene:A05p023940.1_BraROA transcript:A05p023940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANRHLPFPHPPPIARPYISPKVKTFVSLPHSPEAVIPTMTSRKLQVVTPVPSDIDIANSVEPLHISEIAKDLNLSPLHYDLYGKYKAKVLLSAFDELQDREDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAYLNKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITASNNLLAAAIDARMFHEASQSDKALFNRLCPVNKEGKRSFTDVMFRRLRKLGISKTSPEELTPEEVRKFARLDIDPESITWRRVMDVNDRFLRKVTVGQGSEEKGMIRETGFDISVASEIMAVLALTTSLDDMRESLGKMVIGNSRAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEVGFGSDIGTEKFMNIKCRYSGLRPQCAVVVATVRALKMHGGGPGVVAGRPLDHAYVSENVSLVEAGCVNLAKHISNVKAYGVNVVVAVNVFSTDTEAELNTVKKFSMDAGAFDAVICSHHAHGGQGAVDLGIAVEKACQNITQPLEFLYPLDISIKEKIEAITKSYGASGVEYSDQAEKQIEMYTQQGFSNLPICMSKTQYSFSHDASKKGAPSGFVLPIRDVRGSIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTVTGKVVGLS >A06p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28639052:28655151:-1 gene:A06p054670.1_BraROA transcript:A06p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACALLCSSTPITFTPVQLFSPNNTSLHFQTFELSRPVSASTRAPCHFSLVGTRGRCSFTVRSTATEEAVETSSDSKLDLVEVGFLSGVHGLQGEICIKPNTDFPDLRFSKPGRRWLKQQLMGQDKIDEVELVEGRPHPAQKSWILKFRGLDDVDQVRQLVGATLLAEEDDRPELDDGEFYSRDLLGMRVLLKETGQLVGTVANVFDNGGNDLLHVLLDSSMEACNGSTKTNQLVWIPFVDAIVPDVDLERREMYITPPKGLLEVNMRADERSKKERRQLEWKERKKQQKRLIAAKKKLCELEQKHVFDGLRFGEKSQRSLLADHILDVNSTLLQKALQSIEDSSKKRWNVTEEINTLRARELDCTLNVSREGLGFDASKEKVGDNFSFLQQGRSLLSEGKVSICLVLNDHETEQLEGESGAVSYLHKLLDDEQRFLKEEERACVPLIIVSPEHAIEDLRNLFQENDYFGFESEKVWFIKEETLPVVCSSPEEPKKHKILMKSPWEILKSPVGSGGVLSVLASHGITDSLSSLGIDYLQVHSIETRSQSPQHYINPMLVGFVSAKGAEIGIQVTEESEVKNMEMAFTLKFLKRLKGKIEFKAVMKMNSHVQMVEKEWVESVPTEPNSFEFRSDIYSVLSECSSPAKICLMNITERRLRDLHARSRNGGSVANEEGREEEESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNSADLELLMMHNRTYCAEIASNVSTKKRKAIVERASQLDIVVTNRLARLRSQEDEFRAFSFSCLDSHVVHGSCYRSFSAATELRSREVSICNHLQNRRLDEAREVFNQVPSPPWNAMVHGYLQFGRVDDALELFERMPRKNVISWTTMICGLDQNERSGEGLVLFRNMLGCCIKATSRTFTCVITACANAPAFHMGTQVHGFIIKLGFLYEEYVSASLITFYANCKRTEDSRKVFDEKVHDQVAVWTALLSGYSLNKKHEDALRVFSEMLRNSILPNQSTFASGLNSCSALGSLDWGKEMHGVAVKLGLITDAFVGNSLVVMYSDCGDANDAVMVFTGILKKSIVSWNSIIVGCAQHGRGKWAFIIFGQMIRSNKEPDEITFTGLLSACSHCGFLQKGRKIFEYMSRGPNHIDRKIQHYTCMVDILGRSGELKEAEELIESMLVKPNELVWLALLSACRMHHDVDRAEKAAAAIFQLDSKSSAAYVLLSNTYASAGRWSNVSKLRIKMKQKGIMKKRGSSWVVFKGKKHEFFSGDRPDSLRIYEKLEFLKEKLKELGYVPDYRSALHDVEDEQKEEMLWYHSERLAIAFALVNTVGGNAVTVMKNLRVCEDCHAVIKLISRVVGREIVLRDPTRFHHFKDGICSCGDYCDAERHNLIQETGSVSELERGSAEEVEAEMEVEAAGLLQSDFRTNTRNGYTDQFQDSPQEAKMIEAIANDVLGKLNLAQSKDFEDFVGMEDHIANMSVLLQLESQEVRMVGIWGSSGIGKTTIARVLHSQLSRQFQGSVFIDRAFVYKTMEIYNSANPDDYNMKLHLLRSFLSELLDKKDIRIDSLNAVQERLKYQKVLIFIDDLDDQMVLDTLAGQTHWFGSGSRIIVITKDRHFLKAHGIDHIYEVCLPSTDLALEMFCRSAFRQNSPPAGLVELASEIALCAGSLPLGLKVLGAYLRGRDKEDWIDMIPSLRSRLNGKIERTLIVSYEGLNNKKDKALFLTCGSRIFQSLAGLRVMDLEGSKNLEEVPDLSMATNLQILFLDYCSSLVELTSSIQHLNKLKELSMSFCENLETLPTGIYLQSLDKLFLDGCSKLKILPDISTNISTLILDETAIDEFHFIFRLKKPIILGFRKTKIDKLWAIEKPLTSLMTKLSQTLKRLFLSDIPSLVELPSSIQNFHSLDCLGITECINLETLPAGINLQYLYDLDLKGCSRLRTFPDISTNVRYLLLDRTGIVEVPWWIKNFSKLGYLGMESCSNLERVSLNIYKLKKLKTVQFSYCRALTGASWTDGPSEVEMATENIHSKLTVFHETSSSLPHNLSSEVSTHFICLNLEPEVLLHQRPFIFKKLVLSGEEVPSYFTHRTTGTSTLTVPLPPSSLSQPFLRFRACAVGNVDYEHTDRSNAVYIRVNCRFRGIFGDSFDSFGQLQKFWTDKKDSHLFILECRFPLNKDSAPIAQMNYDHVEIQLLGSNRMDSTLDHDKVDHVKIISESSKFNLKGWGIRLAEDCLIPKNQFGNPYTLPRVCEDNIVFHETKQECGDSCEETKNDNKRMRIA >A01p044440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24364984:24365893:1 gene:A01p044440.1_BraROA transcript:A01p044440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISPSVSVRLLLVPILAVVAIQLLFVRAVSSVNMTNPYLHHKCFVTLGKYKPGSQYEKNLKRIISEFPKGSFKRGFISMTLGESPDFIVMLFQCRGDTHGPKCRSCYATAKPEFFKRCPRHKGGIIWYDQCSLYFSTIDSLGMIDYDNNFCMSNATKITDDLYNKVKPRHFIDDMIQNATRDANREAPYYTAEEKRVGKETIYGMAECSFDMSQKNCRKCLERNNFYFARCFWDKLGARVLGKSCSFRYEFYPFILPKAGPKYLKP >A08p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10645740:10646555:1 gene:A08p016390.1_BraROA transcript:A08p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWLLSSPATYGAPVMAVRWPSKLPEKHYFDHYYRKSLLKLIEKIIELKNLEDRPAGTEDAAERKTSKPVCHL >A04p004240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2097025:2097348:-1 gene:A04p004240.1_BraROA transcript:A04p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVCASPTASLRLVTFTNDFLEWSRRVPSLLATIASPSLDEAQTESTISSITAQVHRSICHARAGRSWLEPRTPDRASLVSIFIAFSFTRYRLQKATLCGLFTNGP >A08p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13083934:13085756:-1 gene:A08p019110.1_BraROA transcript:A08p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKEKEGLRVTKERKTWRASASLFGRRKIQRTNIKLSLSASTRQTRRNILCQERGSMAKIRADAPVETPPRISEVGEIDTRPPFQSVRDAVSLFRQASFSKQQQPRLSSSSSQDTTDVSEKETQLLLAEQEMDRVQLCLDTSVKAKARALSALDSAQRKAFDLRAKLEATKHSKKSAILTKHTMNQRLEKLQSQNQETERARENYILATAELLIAKQKLSEIKQEFSTSVEERLSELQRADEAECATVVNSQKIRDMTHEIAEMCNAAERLKSGADRIKKEEEKINEERVDMGETYGDMKREAELRLEDLRRGCDPDLRKDIDELEEISAENELLREEIKLAMELKEAKSAMREICDEERSYKSLVGSLTVELDGVQRENRDMRGKENEREAFEEVEWVEASRKVDEIISEAERTRQEAEEMRMQVDELRRETSGRRTVMGEAVKQLEIVGRAVVKAKTAEKRAVEDMRVLTDKKESLKHDEPDKKIRISLKEYEELRGKHEESERMVQYKAKTVAVQLEEIKESRVEGEIMLEEKMKEMEEVEEAIDSALRNAEIAEEAHCIVDAELRKWKPQEL >A09g512960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39244850:39247024:-1 gene:A09g512960.1_BraROA transcript:A09g512960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRLGKRKDRPPPTAPPPQKSGTSKNSKKNKPKKSSKKRKTTDEESPAVDFVGTVGVAEENEVEEPAKDVEDREKEKEESEKEKEREEENGDEDEEEEGNSDESQEEKDENGDKDEEEEGNSDEEVENKDEEKIQEEEDTGEEENGTPEENRGQNENENQEQGEPPLEAELGNVDGDGEGVLGQGEELKRVKKTMDKFEERMVVPFEAFMKKAMDEGQGSRE >A08p046020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:25133129:25133650:-1 gene:A08p046020.1_BraROA transcript:A08p046020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPTATLLKPNPPPPHKPTIITASVSPPRRHTLPRRDFISLTAAASTLIITQSIQFIAPSPASAAEDEEYVKDTSAVISKVRTTLSMERTDPNVADTVAELREASNSWVAKYRKEKALLGKASFRDMYAALNAVSGHYVSFGPTAPIPAKRKARILEEMETAEKALSRGR >A01g510540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29003864:29005236:1 gene:A01g510540.1_BraROA transcript:A01g510540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKIYREKLKDAPPNTRLRRRTLTKLQLAQPTATSGAPAPVLTPSSAVSLVPTLFSLLHCFPSFVPSLVRRYAFRCGLISGVAARKVEFWSGGEACLELERGWSLRCCSLRSRSDLARSALLVVRRAQWWCSGLTLLLLSSPVRDCCGRLRFWVLVVCLGGGGELLPADSLCRWLRLVLVARRLDRQTYVESSVLEGCPLCECAGPWCCRPGLLSRWRLGSRWLWRAYHPLASPNKSGFLACCYGVCGLVGLLSSIGPMLRQVFGWSAGATSIVVCCNFLPHDASVLDAHRRTSVVGEFFGGELGEANIYRLVTHGVQYRLNTVFRNACRTLQVALLSPSTFRAGSWFWV >A06p038980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20940700:20941382:1 gene:A06p038980.1_BraROA transcript:A06p038980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVERQSEEASYLWLPFHFLNQTIKAILRCLGILHHDPPTVTKTSSDSAPLNQPEGEEEEDVFMEDNVVVATMGSKNGIIITSRGTKVNAKRKEKAKVSSGRPGKHH >A02p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9703860:9706468:1 gene:A02p020660.1_BraROA transcript:A02p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase 2 [Source:Projected from Arabidopsis thaliana (AT1G67070) UniProtKB/Swiss-Prot;Acc:Q9FZH5] MGADAIQTNGGDPAKLTVVEGIKRLRCAVKNYEWGKLGHESLVARLHEANSGNRVDPAVPHAELWMGTHESGPSHVVKEEELGSGHGGSDCMVTLKSWVSDNPDVLGSRAVDKWGCDLPFLFKVLSVTKALSIQAHPNKALAEKLHREEPLLYRDANRKPEIALAITPFQALCGFVSLKELKEVIANVPEIPELVGSKAADQIFTVNEKDGEESVKSVVRLIFTQLMSATNNETKRVISEMKMRLITETNHRELSEKEKLVLELEKQYPGDVGVISAFFFNYVKLNPGEALYLDADEPHAYISGDCVECMAASDNVVRAGLTPKHRDVQTLCSMLTYKLGYPEILKGFPLTPYITRYLPPFDEFEVDHCDLPTGKSTIFPAIPGPSVYLVIEGTGKLQTGSSQLLVNRGDVLFVPAHNEIQVTGESDVIKLYRAGVSSRFFQTL >A01p058720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33492515:33496716:-1 gene:A01p058720.1_BraROA transcript:A01p058720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNHFTNSRSNLSTNSDAAEAARNHQQPPGVTFARRTSSGRYVNYSRDDLDSELGSVDFTNYTVHIPPTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTRAHLMDKVIDSETNHPQMAGAKGSSCAIPGCDVKVMSDERGQDLLPCECDFKICRDCFVDAVKTGGGICPGCKEPYRNTDLTDLAENNNKGQQQRPMLPPPSSGGGSKMERRLSLMKSTKSGLMRSQTGDFDHNRWLFETSGTYGYGNAFWTKDGNLGSEKDGSHGMGPQDLMSRPWRPLTRKLQIPAAVISPYRLLIFIRIVVLALFLMWRIKHQNQDAIWLWGMSVVCELWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPTPSNPTGKSDLPGLDMFVSTADPEKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHNIEPRNPDSYFSLKRDPYKNKVKTDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYHAREEIKAMKEQRQNREDEIVEPVKIPKATWMADGTHWPGTWINSAPDHSRSDHAGIIQKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHSPGFCSCCFRRKKKKSRVAEENRSLRMSGGGGDSDDDEEMSLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVQNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLIYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVIQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLVAITISLLWVAVNPPAGSTQIGGSFTFP >A05p048640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28438650:28440308:1 gene:A05p048640.1_BraROA transcript:A05p048640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAMTEKEAMVDPFLFCGWSLIIQKFFQNPEQLQFEFSPFPTSYLRLAAHRVAQHYGLVTMALDNGNGAVDGSDNRILVTKTAESRFPHVCLSEIPVKQPENGRPEGGFKIAIKARPKRGSGSGGSGSGVQQNLQRSVEERKEEYDKARARIFNSPGSSDSEDSSSLRAPPPPPEVKNTSVNRNEAEVAVSNNSLDAGGSRESGRTSVAIIRDREKDRYDPDYDRSYDRYVVDPAYRYVRVMPSGQSFNPMPMHIPFHDGGFPQMPRGHQANLNYGHPFNPAMSPFISNPAAYTPWPNSPAMNYAHSLNGPDTNLFRHPSASNP >A08p009700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7604716:7605075:-1 gene:A08p009700.1_BraROA transcript:A08p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSIFTLELDNEIDRRDPIFIENKEVSLAPRTGMTCSGWAPSAKGCALSATCIPSPILIGFGSDRSPKYSPSLLDLAHGLVQRPNSPWPHGQTSQPATGMDPNHRPKTRTVCRAEMS >A02p011900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5199780:5200177:1 gene:A02p011900.1_BraROA transcript:A02p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTTLSGNYGFPLCISRIAQQLSISKEMADHDRRRKGMKKRRRSEESMSHGEEEMVGVERFDELWIQQMRESEDARDLIALFQDLVSWSVSSHTAKAA >A07p033370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18243959:18246183:1 gene:A07p033370.1_BraROA transcript:A07p033370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein CCZ1 homolog B [Source:Projected from Arabidopsis thaliana (AT1G80910) UniProtKB/Swiss-Prot;Acc:C0Z274] MNFLRHRVVGFYEILEAMGMASMNSSGESLKLCVFDLRRGQNEGQELDKILFFYPPDVTFSTQLSVIGLSEGLITFTRLFSPEAACEVIEAERHSHVFHEAEPDIWMVMVVEKNKEIEAIWRVDALQKVLKEVHSLFVMFHGSVRSLLDKEPSGGLIRSHLYPFITDYLNDLSVGKKLQLPSFRETLKERGTVQMLTLARDAALEVQSLVGVLDSCAGTLRCHSVLLYHDLLVSTTLSPDDTVDLFTYSVMRLTSNALSSGTSSWSYLRKGTSLSQTSSRSISPQLLGSSDSLPSNGNDAGRVIRPLQHNKWSKGKDGFLVTDIWGKDATPTIWLHQRQERVHLLAYQHKCLTLVLLVPTEAIVNGELDISFVKHQVTENGTAKILKVEEKLSKGWGGENAYHVSGYRYLLVDNDMEVSRASPSGKVATLAKETLLAVNKLRETVDTEKSRTKEEKDMEICIRAKNNAWAIARVTRGKELYMALEKASDTLLDATDSVQSFSNRYCNGTFSMD >A04p036460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20970383:20971848:-1 gene:A04p036460.1_BraROA transcript:A04p036460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLWFRILVKEKTLAEKIVTFFSFFPETGLMFFTGHEFVQMGLVKEKTLAEKIVAFLSFFPETALAMRKRKSRDGATSVAETLNKWREDNEITEAASRNDDGCLKPKPIRKAPPKGSRKGCMKGKGGPENGIWNYRGVRQRTWGKWVAEIRQPCRGARLWLGTFPSSYEAALAYDEAAKAMYGESARLNLPDISNVSSLTTAAGSVTTLSNESEVCALEDTNVKEEDGGDEYGFVKSSQCVKEEMGVPDSADTFGYGNEHEAWDFGVDEMFDVDEVMGLLDEINVSGQETTQSQDASLLGSLNHMETAHPGVDCGYPIVQPSERNNSCVDLDRY >A01p020460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10031769:10033988:1 gene:A01p020460.1_BraROA transcript:A01p020460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQRSSNRNRMIDQLAAAMIQNRPFDAVLASSTVASPWTHQLVSNILRSIPRFFFMSPRSIGRQKGFRHRSPLKQRNLREESARRRLEVLVLGPGAYVDPKKVSLGLHKATEFFFWIETQFGFEHNEITCREMSCLFAKGNDFKGLWDFLRQVSRRENGGSVVTTSSITCLMKCLGEEGFVKEALATFYRMKKLFRTLQKQQQHLAPDPKISVIDVASAWIFDWLNTGSGFEKDEFVLDHLRKTFEYRNKAFIAVSFSNSDSNWEEVVKEKEKKMMKNVNKATPVYATSETLVWWDMDSCPLPNGYDPSRLGPRIDTELKNLGYNGPLTIIAVGNLDGIPYEFLKVLSSNGFAIKHSGQDIHGDMREYFISRSRLCQPPPVTIMIISGVPLLLESVTREIYVRPDCGYNLLLAYPPHSEPKQPHPSSFVRFGGEWLWDRASLLKGSSNEEKRRLDKGSVIPFSCGLCYFFCPSFEDFTSHLQSAKHARKVEVDNGGRDTRFKLALKELEAAKLSEATLS >A08g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7919352:7938484:1 gene:A08g504750.1_BraROA transcript:A08g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAWPTRKDKCQVSADKYGSFEDNYSEFSALRNFRLILDKFGFYDWHSITRRTRPGSSISCPALSIELQIFTKSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTVDKPSSIDTRQPSMHTARSLRSDRASVSLGRYVATERSSRSVATDRARAKARSLRSDRAIVPLGRYVVTELEPKLGHYVATELSQARDRARAKARSLRSDRALVPLGRYVATELSHARSLHSERALVPLGRYVATERGSDRAFVSLGRYVATGLEPKFGRCVAIEPFRTSIRHQSMHSRQTFECYLPKTVASSVHKPRKTRSKCVESEDGPKGPKTRLEAHPTIFLIKSPINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDSVSMTGTQLLDELAQAVRSLVQLYQLNYFPYLNGNRQCKFRFPQFGARRRGGYGLLLLMATKRLIETMFGYMKDKLAALTAPMANAYANAVVFNKIKNLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPVNKSRCIRVLPKHVFRKHFGRIKLVLPKKPLKNPYVNRGKRKHNKMITQLGRYVATEHAHCSHAHAARSLRSDRARTLLGRYVATEHAHAARSLRSDRARTLLGRYVATEHAHCSRPVRPQKGPSLESLLNPRRNAFRFVSIRVSVEILRRKQVGLRPVRPQKGPSLGSLLNPRRNTFRFVSIGVSVEILRRKQVGLVSACFHSLRSDLSDCQSLRSDLGPPLRSPLNSHRNAFGFVSIGVSVEILRQKQVNLILNSLACFCSPYLHLCVHFRISIETSLVSPRLKLPLRLYDIKKKPQRPIFSHGFRLISVKISITVFTKSNLRKEIFTKSLAVKYRPNLNRTTKYQLSEGNGHVSKSAADKLEYRNQTVDKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATELEPSSRPSIRLARSLRSDRARAKLGRYVATELEPSSRPSIRLARSLRSDRARAKLGRYVATEPKLLGYVATERPSRSVAIFLKNLCRWNTHSEQSLGLLRYPEQSSKLFCVKTTKLHCCWGQNRSRRNQCLKVRKNQHNRFYENLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRRPSMHTARSLRSDRARAKLGRYVATEHPSRSRPSDRPALSLRSDRARAKASATELSKVGSLRSDQAIVPLGRYVATDLRQVGRYAADRAIRAPAGRYITTELSQARSLRSDPIRRSSRLCRLRATELEPSSALVVPSSSRSSFCIRSDRALVSLGRYVATGLEPKFGHCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHVSRYSNSSIKLRGLKTAENS >A05p033830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19040158:19040632:1 gene:A05p033830.1_BraROA transcript:A05p033830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKMKTTRGKDKAKNTKEALKPVDDIKVRKRKARLRRLACGRRRPRRIQTNPKELSVPYLSS >A03p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1288686:1290773:-1 gene:A03p002900.1_BraROA transcript:A03p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALYLGDNTNKFSFLEKPSKIPNNPSASSTSTSDKNIGFNMGLDVLGFGSQRSLSSSSSPSVEDEKKYKSKLTQRANYSDGVRVSSSVDPSLQLQLHLPWIPENRAPTVAEPSMPVSPPDSVTSSFRLDFGIKSYGYEKRNNKRDMEDEVEKSTSRASNEDNDDENGAIRKKLRLSKDQSAFLEDSFKEHNTLNPKQKIALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCESLTDENRRLQKEVKELRALKTSSPFYMQRPATTLTMCPSCERVATSSSQPSTSAAHIDLSTSSFHSPLIPVKPGPAKQAS >A08p039560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22738795:22741761:-1 gene:A08p039560.1_BraROA transcript:A08p039560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVIGQAKNLIDQTRQARHESLRLLSLLAASDSAPVLSSPRLFSSSSDMSGSDSSSSSSLPVTLDSLNPKVLKCEYAVRGEIVNIAQKLQEDLKINKDAYPFDEIIYCNIGNPQSLGQQPITFFREVLALCSHTALLDESATHGLFSSDSIDRAWKILDQIPGKATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFMTDGASPGVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEASGWGLEISELKKQLQDARSKGITVRALVVINPGNPTGQVLAEENQREIVDFCKKEGLVLLADEVYQENVYVPDKKFHSFKKVARSMGYGEKDVCLVSFQSISKGYYGECGKRGGYMEITGFTSDVRAQIYKLASVNLCSNISGQILASLVMSPPKPGDDSYDSYIAEKEGILSSLAKRAKTLEEALNKLEGVTCNRAEGAMYLFPCINLPQMAIAAAEAAKTAPDAFYCKRLLNATGIVVVPGSGFRQVPGTWHFRCTILPQEDKIPAIVNRLTEFHKSFMDEFRN >A09p006300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3320719:3322534:1 gene:A09p006300.1_BraROA transcript:A09p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKCEAKHNLIFLKHLIKHKGRMENGRWSLRVICFAFFFIITSSSAEFLIQQVTEYNSSYNLNANLGEVTRELRSERPSSKIVTIGNFSVVMERFEPYESSVFEASGYKWRLILYVTGNKDDGGNNHVSLYVRIEDTESLPTGWEVMVDLKLFVFNARRHKYLTVTDEAVKRYNQGNREWGFGQLIPLSTFRNPNQGFIVQNTVSFGAEIFIIRPVGQQERVSFVSNPPDNVFTWRILRFSSLEDKIYYSSEFLVGDRFWRLGFNPKGEGEGRPNAIPIFLYAQGFRPNAVETSTWGAVNLRLRHQLGSNPKRASSAAWYPVRPGHREGVSNIILRKDLQDGYLVKDSIVFEAEMVTVSVTNIVPV >A04p012490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5055712:5056442:1 gene:A04p012490.1_BraROA transcript:A04p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLSTDADAASDLVQVPSMLYQGILLLGRIGRATLGYAALHAATVSIQPLLIAGWCGLTTTAFIYASCWMFGWRKSAARQPFGTSLGTLRANLPVTKNF >A01p048100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27105947:27107308:-1 gene:A01p048100.1_BraROA transcript:A01p048100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 43 [Source:Projected from Arabidopsis thaliana (AT3G18070) UniProtKB/Swiss-Prot;Acc:Q9LV34] MFLFLLLLSASRSGESSPSGDANRKSFPEGFVFGTATSAYQVEGETYQDGRGPSIWDAFVKIPGKIANNATAELTVDQYHLYKRLRLIYYNFRLLNTDGTGKINWNGVAYYNRLIDYLVQKGITPYANLYHYDLPLALEKKYKGLLGRQVVEDFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDNGIFAPARCSKAFGNCTEGNSATEPYIVAHHLIVAHASAVQRYRQHYQEKQKGRIGILLDFVWFEPLTSSEADNDAAQRARDFHLGW >A03g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27708815:27711375:-1 gene:A03g507870.1_BraROA transcript:A03g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLVKSSEHPGSRQDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18066055:18069172:1 gene:A03g505090.1_BraROA transcript:A03g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTKPVESVKWFCLNCTMPNPGDMVHCYMCGEHKEALKRNVEEAHLQPAQQLLVSTRECCYTLKNFHMLRDLTRLRAIAASLATAGVFPGRCFPIHAREITKQELQMVHTSEHVDAVDITSQLHNSYFTSDTYANEYSARAARFAAGLCADLAKEIFSGRVKNGFALVRPPGHHAGIKDAMGFCLHNNAAVAALVAQAAGARKVLIVDWDVHHGNGTQEIFEKTNLHEGGKFYPGTGAANEVGTNGAEGYCVNVPWSCSGVGDRDYIYAFQHVTPAGYSRMTQMLGDLCGGKMLVILEGGYNLRSISSSATAVIKVLLGENAENDLPIATTPPSRACLRTVLEVMKIQMKFWPSLATSYSKLLSEWEASRPEKRAEPRREAVVTQGDVDAEAVVVDEEAPSEFAFNFSYSGDGSLLDDKEACGELFRLFRPEIFPLLMRPICTMSMLSVLLGYSIASVNTMVAEYENALRTARADLEEQKKELEEMAALRRELGEERAKVGAMRLEKKRMKKEAKVLKSEVKRLRKSRTDYVAREKMYNEVVVKSASRFQKMRSRLY >A02g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21069258:21071888:1 gene:A02g507570.1_BraROA transcript:A02g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEEVRKVKFVKTTSDNIEKTTTENVESTGTAKTTEIVDSREKTTDVSTEVTTDVSTEKTTDVSTEKTTDVSAEKTSEDARESTAEITEPSDVALETAPATMNKGPAGPSPPAPPATPAIGTESEEEENEEIPSSGDEENQKAGSGEEENDHDDRSDDSSQENEDAEKEQEEADEKEETEGSGEGNGDREGNGDGEGKDNENEDDNVNPPEPGNPTEPEKVDQLDGRLAPLEEFVKEAQGKLVEVEEAESQGKGKRKKTHKSVGKGKKQKTK >A09g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10759324:10759835:1 gene:A09g503380.1_BraROA transcript:A09g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFWGKSFAAVETEHEVPSQTYLPETYHETQSRAQKRLFKEDTAVSVSSSAYCLQTWLMKSWLCDLSVINGQDSPRRLCTVEDRYPVRS >A10p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16686777:16687497:1 gene:A10p026180.1_BraROA transcript:A10p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALFNFHSFLTVVLLVICTCTYLKMQFPAILEQKTGFRGFFWKAARIGERLSPWMSVGCFMMGVSIIFF >A06p016430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7351284:7353100:-1 gene:A06p016430.1_BraROA transcript:A06p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARKEARKEVSPPCNRRLLTVILLLKLTERKQITKMMCMKCMIIQPLGATCSNISCNSSMGKYFCKVCKNYLTMKGKDLFCFSNYSLHFSDEMLHSMPSREKIGIEYFHCMKCNACMSRTLVEHVCIEKCLEDNCSICHEYIFNSNSPVKALPCGHVMHSTCFQEYTCFHYTCPICSKSLDTRGYAG >A09p048340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43097847:43098294:1 gene:A09p048340.1_BraROA transcript:A09p048340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKHSIKLRYFSKIFSVQEYGMIFSRDFLEGTRSILRSMIVLQQERFMRSNYEGYEYPMKSTTATC >A09g515530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45875433:45876360:-1 gene:A09g515530.1_BraROA transcript:A09g515530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSDKVVWTSKKSSGLPGSRLDFQEVVWISRKSSGLPGSHLSILFCLMSFLLIYLFCRFLIRWYSSSTNLKVFQIWI >A07p040550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21484552:21486811:-1 gene:A07p040550.1_BraROA transcript:A07p040550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVSKVVPSSPILAKRVNASRSQRVLLAFSPRKGSSSAEELQGGLSCTKPVTFVTSRRSSSTLCFFGKSQDTETKPQEDYLDSPNSQIVQKEGEKKVMPRRRSSSSQVLVEYVSNDAKFVNERARSDFVLLSRGIMRLDARARQDFAILGSGFLKLDARAREDTEKIDRNVKRKAERLHHIASILKNIAQSKLKNAADKHWSDGALEADLRRADYRAKQRAMEDALMALEFIKNIHDMMVQKMVDSLVMSETGTTDRISLEKNGKALDFFPGEVSSDRISAIEEAYKSMASALSEADGIIDYTDPEELELLVTTLIDLDAMDGKSSASLLAECSISPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDSNPAIAAAASRAIIALKKQWEVEEGDSLRFMMNFEKPSDDDVKEKEEEDGDSDHDEI >A08p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21200257:21202522:1 gene:A08p035970.1_BraROA transcript:A08p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL4 [Source:Projected from Arabidopsis thaliana (AT1G26970) UniProtKB/Swiss-Prot;Acc:Q5PP29] MGNCFGSSAKVDSRESPYCGSSRIYAKPSQSSRLSSLTIAPPSYSDDRSSTSLPTPKSEGVLLASPTLKAFTFNDLKTATRNFRPDSVIGEGGFGYVYKGWINERTLTPSKPGSGIVVAVKKLKDDTPQGHKEWLAEVDYLGRLHHMNLVKLIGYCLKGDYIRLLVYEYMPKGSLESHLFRRGAEPIPWKTRMKVAIGAARGLAFLHDAQVIYRDFKASNILLDSDFNAKLSDFGLAREGPKGDRTHVSTQVMGTHGYAAPEYVSTGRITTKSDVYSFGVVLLELLSGRPTVDQSKVGVERNLVDWAIPYLGADRRKVFRIMDTKLEGQYPQKGACLAANIALQCLNQEAKLRPNMSDVLSTLEELQMKSSTSKTVMKMTSSSSPLAEKRRVKAPGASSVVTRGRGPRDR >A07g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5084275:5084810:-1 gene:A07g502390.1_BraROA transcript:A07g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSHLWRGQSKKPIKEATETQRESNCGGLKTELDGLQALRDDLGAIRTFQNFISKTDPDTLSALNRGKAGGDMSPDMFKTASSMIGKMSPEEIQKMVQTASSFKGDIPGENGFAPTPDMLITCV >A04p004810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2480492:2481541:1 gene:A04p004810.1_BraROA transcript:A04p004810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVKGRVEPEAVFQTVSKTGKKTSYWPVETEAEPKAEVEPKAETETKAEAETKTEGKVVEVLDAKVDATKVEPEANVEPKFAETETKTEGKADDEVLDAKVDPKVDAKADVEPKLVEAETKPPQV >A03p056520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24437831:24438931:1 gene:A03p056520.1_BraROA transcript:A03p056520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATYHRHSNVMLSPQIDKTIARSGQASSNNVTFMTRTQGGGGRNMGLCLVRACAGEEESDKQIKPERRSFLSLAEAGLVEISGLGAHEKFLCRLTISSLNLLRVISEQEGCSIEELNAGKICDWFLKDKLKREQNMESAVLQWDDPDFPF >A04g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14455585:14456768:-1 gene:A04g506490.1_BraROA transcript:A04g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRNPYRPNSGYVGLLNNLQNNNVQDNFPYESYPSSVDIGASENPPFSSQEPDGPSQPQDTPVERVRRKWTPRDDEAVISAWLNTSKDAVVGNSMKLKTFWKRVDEFVAETLHEKIENVHCKQRWHRINDQTNKFCAAFSAAERQITSGQSDNDLLNVAHQIFYADQGHKFNLEHAWCVLRHEQKWISLNTPTPTGAKRKSGEVNSETAGAHVGEESPQAPVRPEGIKAAKASRNSSKGKAIEDYKSIYELKCEDLARKEKLSKMAILDTLLAKTSPLSESQETVMGLDYSYTQPSQSDDYGLGNMALSFSTL >A02p055210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33427761:33431909:-1 gene:A02p055210.1_BraROA transcript:A02p055210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTVVSLFLLLITTANSAGVSFRDGMLPNGDFELGPKPSDMKGTEVLNKMAIPNWEVTGFVEYISSGHTQGDMLLVVPAGKFAVRLGNEASIKQRLKVVKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPVQTVYSSSGWDLYSWAFQAESEIAEIVIHNPGEEEDPACGPLIDGIAMKALYPPRPTNKNILKNGGFEEGPLVLPGSTTGVLIPPFIEDDHSPLPGWMVESLKAVKYVDTEHFSIPQGRRAIELVAGKESAIAQVARTIIGKTYVLSFAVGDANNACKGSMVVEAFAGRDTLKVPYESRGTGGFKRASIRFVAVSTRTRVMFYSTFYAMRSDDFSSLCGPVIDDVKLISARK >A06p050840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26734208:26737470:-1 gene:A06p050840.1_BraROA transcript:A06p050840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSCLNTGVVPMSPTTGCNLSGSLVKLDSGFSVPTKLQSVRKSGRERLRVQAVFSFPPAFLTRNGRAEKQKQLKQDLLEAIEPLERGAIASPDDQLRIDQLARKVEAVNPTKEPLKSDLLNGKWELIYTTSASILQAKKPRFLRSITNYQSINVDTLKVQNMETWPFFNSVTGDIRPLNSRKVAVQLKVFKILGFIPVNAPETARGELEITYVDEELRLSRGDKGNLFILKIKSPMGFVALQYWSRGASTSLHLLRPVGPYPKLYDARAVQAVKFDVRASSSRKPLKKLQRETQRGKDITKRSVTEAEAKEDVIAQVDADTSTSNDSIDVVVAAPRDKVLQACTVTSGLMAALGLVIRMASHVASTEGLPIPDCSSDIPFGFETWHLGLIAGTVVLISSSRFLLLKSWPDFADSSEAANQQILTSLEPLDYLVVATLPGLSEEMLFRGALMPLFGTSWVSIVGVGLIFGLLHLGSGRKYSFAAWASVVGIVYGYAAVLSSSLVVPMASHALNNLVGGLLWRYSSSSKLKSME >A05p047720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28057372:28058747:-1 gene:A05p047720.1_BraROA transcript:A05p047720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLLLCFLFAAALTPSLTSAGDDNHVYSPCSDSTVAVGDGFTFGIAFAAKDSFLGTNRSVQYSPCDRRRLSLNGNSELAVFRPKVDEITLLTINTSSSSSSYRPDSSKGYMVAFAGAKYAARSVPIMVADNDHVVTSFTLVLEFQKGRLENLFWKKDGCSKCTGDAKFVCLNKEECAIKRQSCTNQGGQVDCSLGIQLAFSGTDKHYTALNSWYEVANLKQYSLYGLYSNLKDSLSSQFSNIF >A03p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8302979:8304550:-1 gene:A03p020230.1_BraROA transcript:A03p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEEVIEKEERLVEEVGNVADGDEEMVVSRGNVIVLTTKMAIVGVGARALFYPTLVYNVVRNKVEAEFHWWDRVAQYILLGAVPFQSDVPRLKELGVCGVITLNEPYETLVPSSLYKSYCIDHLVIATRDYCFAPSMEAICQAVDFIHRNASLGKTTYVHCKAGRGRSTTVVICYLVQHRHMTPEAAYDYVKSIRPRVKLATTQWKAVLEYYHVKVLNTQSSLPDATSALIPRNMKQVCSGNVVVFDDGSMVLVTHSDVEGYDERSMNVTGNELWAAAADISMVYRVKVVGQAALARISCLWLGLRENHKLSGKNLSMGGISVDISVY >A02g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22335565:22346793:1 gene:A02g508060.1_BraROA transcript:A02g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSVPLKLALPGLKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRDKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNSNVFPTNVTQKRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDGKPDDEIVREKLTSESPASQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQGEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIETQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHYRPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEQNLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKTRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEHAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDYNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEIEVKQGKSVKPSQDDHAKKGKPHVGKKKKANAQPVDLLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLTHWMDLRGIYRVPFYINGKEIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPPACFVPVKFLEEVGYAYESGCVGELVKGLIHPKKVWLEDVDVIYGVIEDKLSCHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFEVKFAEGLPKTKFPYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A02g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12011654:12012141:-1 gene:A02g503520.1_BraROA transcript:A02g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMFEITHLISHISISFFLSHVISLSLFFCYRSKSDLISFLILWISIFLNHHHHNHPFVFAVPASQPPPHDHSFAVQASPPPHNHGMEEARQGRYGG >A07p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8391202:8392773:1 gene:A07p012000.1_BraROA transcript:A07p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCETLNTQMSFADEFESNLVSLAHVLQKKYALLRDLDKSLQENQRQNEQRCEKEIEDIRRGRTGNVTPNAHFSEEAIDEQKHSVRIADEKVALAMQAYDLVDMHVQQLDQYMKKSNEQMRREKENASASNLENSGEAGKAGEGRRGGRKNYGEMIACDNNECKIEWFHFGCVGLKDQPKGKWYCPDCATVKKSRKGR >A04g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5244852:5247780:-1 gene:A04g502160.1_BraROA transcript:A04g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWGAMRNFNYGSEEAVQQSKKGGKGGDESASEPKGAAKKGGKGGEESASEPKGAAKKGGKRGASVSLSSPERSRKSKIVEGISMLASTEASKTRRGTQYGSPVSSLEKVTRRGTPYGGSTPSPRQSKKQKVTGVLTVSPPPGNSKNKQVVEEEEECPQKETNEEEEFGNIEDDAHSSQAQASPWKIPQSSQASQGQASQTRAWEDGFRSRTTLLFIYV >SC240g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000091.1:55076:62208:1 gene:SC240g500030.1_BraROA transcript:SC240g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLGRSLSYQDTLITIHRWRSLGGLGVNGTDVRTRPYMAVPSSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELVERLEARNGSGFDYLISSSVESDLLLFQFRKDRIQIVAC >A06p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1179707:1183141:1 gene:A06p004500.1_BraROA transcript:A06p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTQVEAKRVFIGAGCNRVVNNVSWGASGLVSFGAQNAVAIFCPKTAQILTTLPGHKASVNCTHWLPSAKFAFKAKHLDRHYLLSGDTDGVIILWELSTNSNNWRHVLQLPQSHKKGVTCITAFMCSETNAMFASGSSDGVVNVWDVSFPSKPSEECKVSCLDSISADSKAIVTLSLAELPQNPGRFALAMGGLDNKIKLYCGERTGKFTSVCELKGHTDWIRSLDFSLPREETTNSIMLVSSSQDKVIRIWKLVLVGDVGSWQREITLASYIEGPVFVSGRFTYQVSVESVLIGHEDWVYSVEWQPPVDHHQPLSILSASMDKTMMIWRPEKKTGVWVNVVCVGELSHCALGFYGGHWSPDSVSILAHGYGGSFHLWRNVGSSKESENWQMQKVPSGHFAAVTDITWARTGEYLLSVSHDQTTRVFSSWKSDEEDEHWHELARPQVHGHDINCVAMVQGKGNHRFVSGAEEKVVRVFEAPLSFLKTLKHTCAGGEGSFPENLQADVQVLGANMSALGLSQKPIYLHSSSEPLERNGGGEGLETFETVPEAAPVELKEPPIEDQLAFHTLWPESHKLYGHGNELFSLCCDHNGSLVASSCKAQSAAMAEIWLWEVGTWKAVGRLQSHSLTVTHLEFSYDDTLLLSVSRDRHFSVFSIQRTDDGEVSHKLMAKVEAHKRIIWACSWNPYGHQFATSSRDKTVKIWSIEKDARVKQVLALPQFGSSVTAVAWTGLDQKEKSGCIAVGMESGLIELWNIKIKETEEEGTTATAALALRLEPFMCHVSAVNRLAWRPTESTQSLLRLTSCGDDNCVRVFDFKF >A09p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17656111:17657353:-1 gene:A09p029570.1_BraROA transcript:A09p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSRRHFSSHLSDESMKIANGYGDSASVDILDVLPSDPFGMDINNTFTAITGWLEDLEVDCSRYGRDEVWVGDGSHQLFAGLSFIWNNAMRFQEFPESNVYINGAFLSTSSVDEVGESSGRCTTNEDAHVHPAFGFCLYRLGVKDLLSASMVCKSLHTTVCDDLLVWKHIHICQPLSEKITDEALLQLTERAQGTMQCLRLVDCSRVTDDCLKQVMERNPQVVKLGVSGCTRITIDGVLSILRDLKFAGKPQVKQLEIGGIFGVTKDHYDELFGLLDVDNNVEQSIQKPRFYHRGDSSCVSCDDDDRVLDIEMCPKCQNARLVYDCPAEDCKGKEECRACSLCIQRCFQCGRCINDSEYEETFCLEFLCADCSKQSPKLPLEVENSI >A07p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19320246:19323250:1 gene:A07p035830.1_BraROA transcript:A07p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALDH10A8 [Source:Projected from Arabidopsis thaliana (AT1G74920) UniProtKB/TrEMBL;Acc:A0A178W4Y2] MAIPPMPTRQLFIDGEWREPILKNRIPIVNPATQDVVGDIPAATKEDVEVAVNAARRAFSRNKGKDWAKAPGALRAKYLRAIAAKVTERKSHLATLESLDSGKPLDETVWDMEDVAGCFEFYADLAEGLDAKQKAPVSLPMETFKSYVLKQPIGVVGLITPWNYPLLMAVWKVAPSLAAGCTAVLKPSELASVTCLELADICREVGLPPGVLNVLTGYGSEAGAPLASHPSVDKIAFTGSFATGSKVMTAAAQLVKPVSMELGGKSPLIVFDDVDLDKAAEWALFGCFWTNGQICSATSRLLVHENIASEFIEKLVKWSKNIKVSDPLEEGCRLGPVVSEGQYEKILKFISTAKSEGATILHGGSRPEHLNKGFFIEPTIITDVTTSMQIWREEVFGPVLCVKTFSSEDEAIELANDSHYGLGAAVISNDAERCDRVSQDFEAGIVWINCSQPCFTQAPWGGVKRSGFGRELGEWGLDNYLSVKQVTLYTSNDPWGWYKPPC >A01p015880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7800942:7801652:1 gene:A01p015880.1_BraROA transcript:A01p015880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNGEDPAKSLAPLYLTPRSDQPEEDQYQDQTKQHDHQRTKLILCCGFILSLTILIAVTFIILSLTVFHLHSPKLTVTSISIIQPLDFVNGKVNTTQNATLAVEISLHNPNPAVFKVKDVSVLFYHDELVVVVGESIRRSETIPAKRTVEMNLTTEIDTRKLLASVPGLMEDFNGSVVLRNRVAVNGKVKMIKIFKKSVQLKTDCVVTMMMMNNSSKPSFDCNRTRNTYEHVRN >A07p031710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17497353:17498501:1 gene:A07p031710.1_BraROA transcript:A07p031710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein SKIP4 [Source:Projected from Arabidopsis thaliana (AT3G61350) UniProtKB/Swiss-Prot;Acc:Q9M2C9] MERIVHESTNECADQSKETQTSLISGVPDDISNLFLARVPRSHHMAMKCVSRRWRDFISSDDFCDYRNKFNLAESWIYALCRHNTCGRVFLHVLNPSSSRRSWRRVHEIPPHITFRDGMGFAVLGKRLFVLGGCGWVEGASDDVYCYDAAMNTWLHLQPSLSTKRCFFACETLDGKIMAIGGLGVNSKAPQTWDIYDPVTKACVSCSDANIVRDIEDSFVKDGKVYVRGGAGAAVYDGLSGVWNRVEDDMASGWLGPAVVVGDDLYVLDQSFGAALTMWCKETRVWIRIGKLSQLVMTQQCRLVAMGSNIFVIGKDCSTVVIDVENVRKKTVNGVMVCSSIPKTWDDTIDVICCKSIAI >A07p035230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19066816:19067725:-1 gene:A07p035230.1_BraROA transcript:A07p035230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKIVLKSSDGESFEVDEAVALESQTIAHMVEDDCVDNGVPLPNVTSKILAKVIEYCKKHVDAAASKSEAVDGGGSSDDDLKAWDAEFMKIDQATLFELILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRTTFNIKNDFSPEEEEEVRRENQWAFE >A08p020590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13902248:13904724:1 gene:A08p020590.1_BraROA transcript:A08p020590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNATPLQPPETQEDEEEKREMYAVWAVPEEDVEDRLRRLMEGLRSEFGGPPFDPHLTLVGPQKLTAGEAKLMFEAACEGFKAYPATVDQVSAGTSYFQCVYVSLRHTVEVMNAAGHFMGHFKAFTGKLYVPHMSILYGDLTEEDKKKALEKASTLDSSLDGLNFRINRVELWITDADVGSWVKIDEHNLIS >A09p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24486693:24488257:-1 gene:A09p036540.1_BraROA transcript:A09p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRANDSCPLVKNILLLDSEGKRVAVKYYSDDWTTNATKLAFEKYVFTKTAKTNARTEAEITLLENNIVVYKFAQDLHFFVTGGEDENELILSSVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGMVLETDANVIAGKVAMQSAETSSSLSEQTLTQAFATAREHLARSLLT >A01p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11245124:11246099:1 gene:A01p022810.1_BraROA transcript:A01p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGISPGNVALYHGGKMKLIDRRLRLTELLLRCSVTALAFLGLILIVTDTQVKQIFTIEKRAKYTNMKSLVLVIAHQQVHNLRERDLFLVVTNGIAAVYSLLQSVRCVMGSMKGDVLLNKSLAWAIFSGDQAMAYMSVAAIAAASESGVIGIRGEEELQWMKVCNMFGKFCNRGAGGVASAMLASVAMVLVSCISAFSLFRLYGATTQRPPNLAVVK >A09p053600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46481433:46482255:-1 gene:A09p053600.1_BraROA transcript:A09p053600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVETKQEIKGATEPETKVATELETKADSMVGEIWIDVDIKASAEKFHHMFAKRPHHVSNATPRHIGGVELHEGEWDKVGSIVLWNYIHEGKPKVAKDRIEAVDPEKNLIKFRVLEGDVLKEYKTFLITLQVTPKQGGSGSVARWQLEYERIDEKVAHPETLIPFLESMSKEIDEHLLSTE >A05p007370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2960926:2961564:1 gene:A05p007370.1_BraROA transcript:A05p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic acid receptor PYL6 [Source:Projected from Arabidopsis thaliana (AT2G40330) UniProtKB/Swiss-Prot;Acc:Q8S8E3] MPTWLQFQRSSSAAETSNATVSSYHHHHKQIHKVSLTRGMADVPEHVEIFHTHVVSPSQCFSVVVQDVDASASAVWSILSRFEHPQAYKHFVRSCHVAVGDGREVGSVREVRVVSGLPAAFSLERLEIMDDDRHIISFSVVGGDHRLQNYKSVTTVHECSSTEDGKKRARVVESYVVDVPAGNDKEETCSFADTIVRCNLQSLAKLAENKPK >A10p016880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2721266:2721760:-1 gene:A10p016880.1_BraROA transcript:A10p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPPDGEIEATATVTTNCSLPASSSPMNNSLSVKSSSLNAEIMMGEAPIQTNTASIVDPLLCDDELSNAPLAITNQSTAITEASSPLVDSDIVPLTDEESELSSNPPNAFKPSIGAWAKPLAFAPPPTPPTPATPSGFDPQYLNNLLDSFWPTLNDEISEFW >A06p027440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8275452:8276138:-1 gene:A06p027440.1_BraROA transcript:A06p027440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRKPNHHKIGLALAGTLSLSFFLSLYDFSEYFTLDWMNEIDREGPIFIETEGRLKALPKHYGLSPRPCPKPQRSMAAWPDPHGPPLARIRTIGPKPEQSNACLDVLRLASTFPRTMAVPTILFRIRDVTQT >A09p018980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10020074:10020457:1 gene:A09p018980.1_BraROA transcript:A09p018980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHLRLQTPGCSSCVCELSPRGFWFWFQRSLPQPAPEWIAWPSVVAAERILGVHQSLHRCGVLLALRRRGRVTLWISSTVNQLCAAFSSSPFMVLGSACKEPLLMLVALLIDQSVVLLINLVV >A10p029990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18214508:18214990:1 gene:A10p029990.1_BraROA transcript:A10p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASNSDTGKENPTFKIRRLEISDKRKGYMELLGQLTVAGSLTDEEFDRRFEEISSYGDDHLICVIEDESSGKLAATGSVMIEKKFLRSCGKVGHIEDVVVDSEFRGKHLGKRVVEFLTEHSREMGCYKVILDCSVEKKVFYEKCGMFNRGIQMSKYFD >A09p020140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10694767:10702406:-1 gene:A09p020140.1_BraROA transcript:A09p020140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNKHVVSKTSSSLAIVEANPPANKIIPIEAPIVSSYNDRIRPLLDTVDRLRNLNEELLFRTHPKLSLIDDDIVGIPVLAQKLMLIQATMIARCLPEIVRKINEKMEISVLELNKLPMVMASAGEALMTLMDIIGSAKESLLRILIQGDFSEYPEDHKMHCTARLAEMLSQFSDNLQAHSNDVTVDFLMDEIKILDECKCVGLPNFIPRSAFLAILSHYVDGIHSKPVEFIKKIWEYIEAVLIPVISKYAENFPQIQSSVKRAGRNLITKMKEQSVNRVAEIVEMEKLTDYTCNPDYMTSWTEKTASQQSFIHAVLYDEAKPENFSLAGFGEVKISHLRMYHAHLLQQAFDMKMRIASYWNIVLRRIVDNLALFLQFSVKNLVNAQFQKEIVAEMVDPRGGGGVERMMEESPSVASKREKLKKSVKLLKESKDVVAAIVDQNSGYGGHIVGIPVLAQKLMLIQATMIGRCLPEIVRKINMKMETAVLELNKLPMVMASTGEALMTLMDIIGSAKECLLKILIQGDFSEFPDEPKMHSTARLAEMLSHFSDNLQETPKEVTEFLMDEIKILDECKCVGLPNFIPRSAFLAILSHHVDDIHAKPVEFIRKIWDYIEGVLISVLSKYSENFPQIQSSIKRAGRSLITKTKEQAVNRVSEMVEMEKLTDYTCNPDYMKTWTEKTAAQQSFIHAVLTDVKKPEKFSLAGFGEVKISHLRKYHAHLLQQAFDMKMRITSYWTIVLRRIVDNLALYLQFSVKNLVNTQFQKEIVAEMVDPRGGGGVERMMEESPSVASKREKCEALEGV >A01p003410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1510359:1510784:1 gene:A01p003410.1_BraROA transcript:A01p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMMETLQIRKPASLPVSQRLSPSTKDEHGVIRRRLSSLSLNLLNQPSEIASRFPKSKSVSDMGKQVGSSVKEWWEWGWSWILSRKPIFIRDLELNREEAKTIGSQNRGSIMHVFIKLRSQIRSLVGSSSDTLPKYKRQQ >A10p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1556042:1557498:1 gene:A10p003020.1_BraROA transcript:A10p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSYNERKAFDETKAGVKGLVDAHITEVPHIFRLPQGTLSDKKPSVSASAIPVVDLAEVDVSRERVVEKIKYAAETWGFFQVINHGVPLSVIEEIKGGVRRFHEQDLEVKKSYFTRDPTKSVVYNSNFDLYNPSSLCVNWRDTFVCCMAPDPPNPEEIPLACRDAMIEYSKHVMTLGGFLFELLSEALGLHSEILKSMDCMKALNVLGHYYPPCPQPDLTLGTSQHSDSSFITILLQDQIGGLQILHKDSWVDVTPLPGALLITNDKFISVEHRVLANRAGPRISVAGFFSTNMLPNSTVYGPIKELLSEENPPKYKDITLEEYNKGYFEKGLDGTSHLSRFKI >A09g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28950609:28953789:1 gene:A09g509960.1_BraROA transcript:A09g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSDQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVIALIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A03p059930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25921460:25927540:-1 gene:A03p059930.1_BraROA transcript:A03p059930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRVSDSPAPKAHNGNVSSSASVQELNDEKFSSEEVGYIPSAPPISWAVEESGETKPASIQSSGSLGRYASYAQYISNSYLQSVFSARGTSHAVVSYDACVRLCLHAREKGCMEARMFLENECALLREAFGVKEHLSLSEEEMLVSQSSQAPHEGVAPKPKKNIGKMIVQIWHVKTDLDAAPTGNGILYVEPSLKKIEKLRVHFSNIATRISSKWRALKKINLRAKEKHLCRLRLKSSTVDDAAVIMKSGSDEEGHVFFPDSHEDDLIVEIFESNGKEFGRALVPLAKATEVSAYSLSWESVFREPGHQLVGKIQIHFDYSASSDDNSQLKGCSVAETVAYDLVLEKALKMQCFQQRKLLLHGSWKWLLEEFASYYGISDVYTKLTYLTYVMDVATPTSDCLHLVHDLLAPVIMKVNGKATLSHQENWILNEIKNQTEQILKLVFENYKSLDESSFSGMNDVVSSATGVPAPALAPAVKVYMLLHDILSPKDQSNLCHYFQVGAKKRSRMHMGETDEFVTNNNDPNFWDPSSMSSAYKKMTMVCKNVKNEIYTDIEIHNQYILPSFIDLPNLSASIYSTDLRNRLRTFLAAFPPSGPSPGVAELVIATVEFQRDLSSWNIGPIQAGVQWGGVRTQHSTTPFVDEMYTRLNETIQDYQVIISRWPKYIFVLESAIADVEKAIVEALEKQYANVLSPLKENLPPKKPYVVPDELGILLNTMKRMLDVLGSNIEDQFKAWSSSCIPEGGNVAHGDRLSEVTVMLRAKFRSYLQAVVEKLAQNATTLKKILQDSKGSGLHCAFSKESVGESDMHNLKEQLTNTLNNLHSVCATHVFIALSRGYWDRMGEIVLSFLENKTENSRAWYKGPRVVVSMLDDTFAAEMQKLLGDSLREQDLEPPRSVVELRWILCKENA >A01g507030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20507806:20511546:1 gene:A01g507030.1_BraROA transcript:A01g507030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGKGKSSISIWDDLFRGEEKPTPGWIMERLVRGKKYKDRLTRLRLSLLVLVEGILCPTCGTTNIRPEIVSMLGDLDAFLKYPWGRESFLLTVRSTKARSAVNYVKDTMALQGFTHAMVLVTVTACPSIIIKTGGADPLADSNLSSEEIIRRVVDRKVVVNIVSVKSVDQLGQVTEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLEAATTAIGHLETTVTGEFDKINQLLKSGLRGADMGATYGFSPGRHSSPFPGQNDDFNYTKVDPDRHTTHSGVPQSTPRDGEDVAATETASVGLGQNLDEGERGEGLSPGKQTESTHGAEFRAETGVQHVGDADIGHDPINVEVGEQGGDAEMGHDPINVENPSHSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKILSEAGIDKTSSDVNPEKVGLDAVHDDRGEAAVGNKGDDVDEDDVTITKVQAGHKNTDAADGQVDGGRRFSRRTHISTKRYTPPAPTVRKKDGNKKVVRQTDDNPAPPKRVKKVAAEPSNPKPRPQEKHTFIGGFSPFTPPTPAAREAFLMTMAEAKSNVPSLGSISSIASLDDLFHCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFTDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYTLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVVKDVVNPISIMMPHMVSRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLGMFKVVPPNPAV >A09p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2642089:2647539:-1 gene:A09p004730.1_BraROA transcript:A09p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEEELRVFRMRRNDKMSKVMERYNDARGAEPGTYAFLSEGGSMINKDKTPDEMEIKDGYQIDAMLHQHGGFGPSSIKFFTDTSVDSFLESLGLEKYSTSFQVEEVDMDARMHMTDDDLKALHQWAQGRRYFLRWVLNVDSCFAIHFLLWSKSKTKASVNTSRLSSVVERVTFNPVAVGSIPTDGVCFLNRPHVPKFGDWKQDVPFTVVFDKASRTKQNANTSNPNEYPNMNPNPVQTPNHRYDQPPNHNARPRHERFSSREETEFRSSPAHNERNNRVRAPPPAETYNHQAYGGGGRSHGNPPETNRRQQHEPPRVQPIPNLRGRNSERVAIPPFPGSGSENQSYTLIFDKVKEDRRQSGNVRSYNGSSHTTPTRPLNDQRHQPLPSSPK >A01g504470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:13589362:13590057:-1 gene:A01g504470.1_BraROA transcript:A01g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFPLLELPEEIQAVVVERAARNSIQDLFGLKASSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYAEGNPSTLYIKGVQFLYTFDFKEEGLSLMKRAADAGYEGAVYTHAMTRAIFWGEGKYLSRIPIESLDRIGKLVRSVKWGWGLWHTPEFKERIALFISHILPKFYSCQCGNPVERDCPCLWHIDVTKDDNMCPHCLWLKEIGLFLREFEPVSLYRDTRKW >A03p062080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26967099:26968839:-1 gene:A03p062080.1_BraROA transcript:A03p062080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRNNGFSKADKVCGPNWILIAGGALLSTFSIRFAWRLRHSSHPLSNSSSLGFQANRTSEREKSLGCCLHSSKSSCAHNDEYCCFHSNSGTEHLEGKEEPNEHVVSASDTSLPLVTLPAPLYSKEDGVMWTSSPDRLELPPKPYNHHSTCSDSPCVSETSSDIFSKREVIQKLRQQLKRRDDMILEMQEQILELQNSYNAQRAHSSHLQAQVDSMNRDLFESEREVERLRKAIADHSVGCTGSNGKTSPVAPWSNGFMESENNYESVEKGSRDGERIEMLRKEVEELKEVIDGKEYLLRNYKEQKIELSQKVKELQQRLDSQFPNIL >A09p043810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:35651267:35652100:-1 gene:A09p043810.1_BraROA transcript:A09p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISTTETNEFKPVHTLVSTPVTIVLTGGLLFIILTGFFSFFYCGSFLQKLLASWNLHRNRNRPSNLIQPSTPPENTGLNSKIIQSFPEFPYSVKDRGMDQCSICLLEFMDDDTMRLISTCNHVFHTICIDLWFESHKTCPVCRRELDVDRTSLEKPPNVPEIDLVRSENHEEPLSRDTLTIIVHEEHPTIGSLDQTDEIESYERRMKESNLRFWRSHSTGHSIVVKTENDQETEEQEKEEFKIHIEISGECQFEDHKRTLPNRNMYCVRGTYSVG >A09p047300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41056013:41057035:-1 gene:A09p047300.1_BraROA transcript:A09p047300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAMRELQEETGVVSAAIIAEVPTWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLVRLINDEDEREINLANNEADSEFAEWKWARPEEVVEQAVDYKRPTYEQVIKTFGSYLNDPERAAKCKSAKW >A01p020240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9932532:9933747:-1 gene:A01p020240.1_BraROA transcript:A01p020240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHEAFAHPPEELYSPASEKCSKQPKLPEETLDDFLSRHSDNTFSMSFGKAAVLAYVRPSASFSVHQRLFCGFDDIYCLFFGSLNNLCQLNKQYGLTKTTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFAFVVYDSKAGSVFTALGSDGGVKLFWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKIKAMPRVDSEGVLCGANFKVDVYTRVNSIPRRGSEANWSL >A01p051030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28640292:28646732:-1 gene:A01p051030.1_BraROA transcript:A01p051030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRLLFPSYFLLVSIILSGFASSQTLPQEEVDALRAVAKELKKTNWNFSVNPCDGNSTNSGWINLNAGQGTEDNVTCNCSPVCHVTHILLKAQNLRGSLPKELTGLPFLEEIDLSRNYLNGSIPPEWGTLPLVSISLLGNRISGPIPKEIGNITTLMSFVVEYNQISGKLPPELGNLQNINRLFLSSNNLSGDIPSTFSKLTKLTDFRISDNQFTGTIPDFIQNWTGLDKLVIQASGLVGPIPSTIGTLKSITDLRITDLSGPESPFPPLENMKEIKYLVLRNCNLTGELPTYLGSRSVLKTLDLSFNKLRGPIPATYGALTDVDNIYFTSNMLTGEVPNWMIDNGDNIDLTFNNFSNVQRTLECQRKAVNMFSSTNPLLTNDHSNVSCLSSYACPKTFYGLHINCGGNELTINKTKYDADTNNIPQYYDSTNGWVSSNTGHFMDDARSPEKVTIWKNTSALKIADPSLYTEARLSAISLTYYALCLGEGNYTVNLHFAEIMFSDDNNYTSLGRRFFDIYVQGKRVAKDFNIVTEAKGAERAVVKSFPVTITNRKLEIRLLWAGKGTQALPQRGTYGALISAVSVDPDFTPPKEDSPGGGTSIGAVVGAVIASTVVLVLLIGAILWWKGCLRPKSQMEKDFKNLDFQISSFSLRQIKVATDNFDPANKIGEGGFGPVYKGEMNDGTIIAVKQLSAKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPPETQIRLDWPTRQRICVGIARGLAYLHEESRLKIVHRDIKATNVLLDTELNAKISDFGLAKLDEEENTHMSTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGRSNTSARSKGETFHLLDWVHVLREQNKLVEVVDSRLGTDYNREEAMTMINIGILCTSQVPSDRPSMSTVVSMLEGSSTVDVEKLLEASFSRGNEKDEESVRAMKKHYAIISGEEMTSMTDQSINTYGPFTSSSTSTANASDLYPLKPDSAYWKSRV >A06p052230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27615161:27626049:1 gene:A06p052230.1_BraROA transcript:A06p052230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPWPLHLFFSNKSSKPMMGQKQGVESGVEDIIMTGPSPFDFLPEDCISKIISFTSPRDACVAASVSKTFESAVKSDIVWEKFLPPEYSSLIPRSRAFSSKKELYFALCDYPVLIEEGKKSFWLEKATGKRCIMLSSKEVWITWGSSPQYWRWVSVPESRFEKIAELLSVCWFEIRAGMHTRYLSPGTRYSVYIVFKTEDGCPGLGDIPVEAGVGLVGQESSQKLIYFVGPSGRRRDRERRDVTRPKERGDGWMEAELGELYNESCCDDISVSVVETKSPYWKRDSKNAMEQSELDDSHPGGGCSRNDEIVSIGAPARLDTLPEDCISMVVSYTSPRDACVVASVSKTVKSAAGSDLVWEKFLPQDYSSLVPPRSVDFSSKKEIYMSLADDSVLIDDGKKSIWWEKGSGKKCYMLSAMDLNIIWIDRPLYWKWNTDPESKFEKVAELRHVCCFRIRGKISCGMLSKGTHYLVYLVFKRTSGGSVGFEETPMEAQVGFVGKESSKSFVLLEPSRRGYRYSCVWRRPVYREFRTGRPREGVRGEREADGHVEEPKERGDGWSEVKLGNFYISDGGCDDDGDEIEFAIMEPRNSEMGQRKSGLIFQGIEISCQKEGYRKKRCDETKGKGEMGGWKLSLASCTMNHVLMISRLVLLRPSLLIGNVKNDMEQIEVGGGSSRNGAARLDTLPEDCISMVIYHTSPRDACVAASVSKTVKSAAESDLVWEKFLPQDYSSLVPPRSLDFSSKKEIYMSLADDSVLIDDGKKVETFIESDSSFWLEKGSGKKCYMLSAMDLKITWSDCPAYWQWNTVPDSKFKRVAELRDVCWFEIRGKISCGMLSKGTHYSVCLVFKRAGGRSYGFEDTPMEAQVGFAGKDAASKTFVVLEPIDMDQPSGYRYTSVSLTWVSREDRTRRLREVGGNVEEPKVRGDGWSEVKLGKVYINDGGYDDDDGDEIEFSIMETEQGDWKSAMIFQGVEIRPMKKGEEMVITK >A02g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13081826:13084371:1 gene:A02g503960.1_BraROA transcript:A02g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFSDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVVWKSSGLPKSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A07p007960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4910647:4913577:1 gene:A07p007960.1_BraROA transcript:A07p007960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLHYKLFQLHLFTHLRLNSLSLNSVSLNSVSFIAYLSQKDLNMSNIQGKFAASFQCCVLGVGQLVAWNTILTISDYYYQVFPDYHPSRTLTLVYQPFVLGTISVLVFVGQKIKNQRRVFTGYCLFVIGSILLLTVDIVTKGKGGITPFLLLSIVSAGFGVANALVEGAMIGDLSCICPDLIQPFAAGLGVAGAITSVLRLLTKAVFQNSWDGLRKGALSFLAISLFIELVCVIIDGVMFPKLPMVQKHRARSGSNPVEPVEEVPPLSNQQLAHQNLDRVISLFLIYALTLSIFPGFLYENTGKHSLHSWYPLVLVTCFNLSDALSRYITMVKPLKMQSGKVIVASVLARMLFLPAFYITARYADQGWMITLTSFLGISNGYLTVCVLTQTPKRTYNLSEANALGNILVASMLGGIFAGVCLSWLWLIGSNKSF >A06p050470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26537457:26541249:1 gene:A06p050470.1_BraROA transcript:A06p050470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKNLTEALLSTAENSDPTTHLPFSPANDIPPITTIGGFVKEFNVETKKLWYLAGPAIFTSVNQYSLGAVTQVFAGHVSTIALAAVSVENSVIAGFSFGIMLGMGSALETLCGQAFGAGKLSMLGVYLQRSWVILNVTALILTLLYIFAAPILASIGQTPAISSAAGLFSIYMIPQIFAYAVNFPTAKFLQSQSKIMVMAAISAAALALHVPITWFVMEKLKWGMAGLAVVLNASWWFIDVAQLVYIFSGTCGEAWSGLSWEAFHNLWGFVRLSLASAVMLCLEVWYFMAIILFAGYLKNAEVSVAALSICMNILGWTAMVAIGMNTAVSVRVSNELGANHPRTAKFSLVVAVITSTLIGVIVSTVLLIFRNQYPSLFVGDEEVIILVKELTPILAVSIVINNVQPVLSGVAVGAGWQAVVAYVNIACYYLFGIPFGLLLGYKLDFGVKGIWCGMLTGTVVQTIVLTWMICKTNWAKEAAMADDRIREWGGEVSEIEQLSN >A08p010050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7715574:7717528:1 gene:A08p010050.1_BraROA transcript:A08p010050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKSPVSGDLISNKPNGKDGVSSAEPIKAMNSNSKSHVSSDLISKIQNGKDAVSSAEPIKRTGQTGVSYAKAVSGDPMSKKPNGKAVVCSEVPIKHSGGNGVPPAKTDEVLFFRDVKFGPQEGELRFRLIHFWEAWNALTKILIGLEILLIDEQGTMIQRFIPQGRIDTYLPHMIAGSIYRLIKFYGSKSKIVYRVAELILTITFSWNSILSCLGDCSVRFPEYRFRFHGYEEFEAACDLKGDLYDYVGHMKLVNEQTLNDSLVLDEVEIASARTQVVAVAATDFCEKFKAQGNTPSVILVTTVNPKRFRGIPLLNKLGTNSDVANKINVDIVTKAETVTIGELFSYIKQEGAKPPFDDVVHGSAWYYFACGGCKTKATKGPTTVMCKKCGKAEVVGVAE >A10p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3722259:3725088:1 gene:A10p015230.1_BraROA transcript:A10p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At5g52790 [Source:Projected from Arabidopsis thaliana (AT5G52790) UniProtKB/Swiss-Prot;Acc:Q9LTD8] MAANDVPCCEPMFWFYLTACFGLVAFAGLMSGLTLGLMSLSLVELEVIAKAGEPNDRRNAEKILPLVKNQHLLLCTLLIGNALAMEALPVFVDSLLPAWGSVLISVTLILAFGEIIPQAVCSRYGLSIGAKLSILVRFIVIVFFPLAYPISKLLDLLLGRRHSTLFRRAELKSFVFMHGNEAGKGGELTHDETTIISGALDMSHKSAKDAMTSVSKIFSLDINSRLDEKTMGLIASEGHSRIPVYSVDPTVIIGYILVKNLIKVRPEDETPIRDLPIRRMPSIEMRRTDNKDGNVVLSIPVMNSSEVNHQSPIRYIDSIADEDEEVIGIITLEDVMEELIQEEIFDETDRYVEVHKRITINMPISGNSNPQSPGTATWLSELTSPISPYRSSPLSPNIRISTLLRSPINSPYRQSPFLRPTLCASPPSQPPSVLSPDSNDRYYYLSPSRVGKTYVKLPRSNGS >A06p043950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23641261:23642804:-1 gene:A06p043950.1_BraROA transcript:A06p043950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSVYNLLQDNVSKPRFCESLSSGETWSSKSVRIIPEEFVRSAPGAFEHRVVFSVRWGNSWQLWLQRDKNGLFMEEEDWDEFVSDNFLGPNDVLLFTHADTMFIEVQIYKQSSYHLKEITSAPLQADPQAEVVTPMPQTSPPETPAPAPASTSGARQADAHVNSPEQYLSNPSNPFFVKTLGKKIDVLYVSHLVTEKYGLQFGPHNSTMFYLLPNEKIEANTKIYGGCACFNGWAAICRKYKLKQGDAVVCELERSGGVVTAVRLHFPNE >SC127g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:87405:88812:1 gene:SC127g500040.1_BraROA transcript:SC127g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDMVHQLGISHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHLRVHGKGQHTDMCGQHADMSSVHGSVHGSVHGHTRISPRTSTRTVHGKGQHADMCGQHADMSSVHGSVHGPVHGQSTGRASMLICVYTDQSTDQYKRTPRERAIMLICVVSMLNEFSTRDHYTDHTTRRQYTDQSTGRASMLICVLTCIRISTRISTRTVHGKGQHADMCGQHADMNSVHGSVHGAVHGQPRTWASMLICVYTDQYTDQYTDSPREGPAC >A08p020760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13956391:13957794:1 gene:A08p020760.1_BraROA transcript:A08p020760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARVFLPDLKSGRCSEVRLLRFWEARNIKRGDELMSVDMLLLDSNVTSSQQTLTFSRPYITGELTAVKSHVNDRPKDKIRVMATIKIDSDVSLALSVFPLSSCVISQKLESFRVDTRVFVATNYNLKIVGGTRYDKETDARESYFYKNVLLHDLSHTLSRDTHYYYSFFTNDTGNISAASLLRGFAKVEPMKIAELNQFIITPQPQSIKFICTGKVTVSSQKKDGATSWTLEITCLGSVSLSAKMFGGGNEKENNSGSKTSTGLILKKAQTTSAKVKSMLELVTLIRV >A02p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7388913:7389891:-1 gene:A02p016460.1_BraROA transcript:A02p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFTNSSSVFCPTTFLGQTKSSSFNPLRDVVSLGSHNTIWSGNYLWYGPDRVKYLGPFSVQTPSYLTGEFPGDYGWDTAVIYGRWEMLGAFGCITPEVLQKWVRVDFKEPVWFKAGSKTFSEGGLDYLGNLNLVHAQGILAVLGFQVILIGLVEGFRINRFDGVGEGNDLYPGGKYFDLLSLVDDQVTFVELKVKEIKNGMLAMFSMFGFFVQAIVTGKGPLESLLDHLDNFVANINAWAFTTKFVPEA >A07p042850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23203361:23204562:1 gene:A07p042850.1_BraROA transcript:A07p042850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGNLRISASLLTPYHQHPKCLSLPSSSKVLVRNTGGDYELSPSPAEQEVESFLLNAINMSFFDRLNIAWKIIFPSRASKRSSNARIAKQRLKMILFSDRCAVSDEAKRKIVNNIVHALSDFVEIESEEKVQLNVATDGDLGTIYSVTVPVRRVKAEYQDVDEVGSITNVDYKDTLDGSVDVRFDFYVPE >A01p001790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:812382:813102:-1 gene:A01p001790.1_BraROA transcript:A01p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKPSRSDEIADPDQQIKNTNQIRAGFDSLAPKRPTKPTRSEPGPFGCFSTPEPTTDHPEADKFQTLQSQTHGNILHEGGSAAVQDEFLETEYYTSLTAIDKQHHTTGSGFINVGKEDGGEEAVIAAAAIGDGGEKAIYRSNPATNEWIPAVEEDLGSESSSKPNRSENS >A03p039020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16246996:16249178:1 gene:A03p039020.1_BraROA transcript:A03p039020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVLSECGIRPLPRIYTTPRSTFLSNTTFKLKPSPAFRLNGFSTKNWALNVTTPLTVDSSPPTSEEHETQRFDPGAPPPFNLADIRAAIPKHCWVKNPWKSMSYVVRDVAIVFALAAGAAYLNSWLVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPRLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPMSEKIFKTLDRPTRFFRFTLPLVMLAYPFYLWARSPGKKGSHYHPDSDLFLPKERNDVLTSTACWTAMAALLVCLNFVMGPMQMLKLYGIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGVINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLGILAKSMKEDHYVSDEGDVVYYKADPNLYGEVKV >A10p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19027672:19028781:1 gene:A10p032010.1_BraROA transcript:A10p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPLLFLLLLSSSLSHQALGRGRGRSPPGGSSDPSSRITVVGVVYCDTCSINTFSRQSYFLQGVEVHVSCRFKASSPKTTEEVNISVNRTTNTNGVYKLEIPHVDGIDCVDGIAIASQCSAKLLKPSDNNVGCNIPVFQTAANEVSIKSKQDRVCIYSLSALSYKPSHKNTTLCEKRSRQREEDEKMENKLRDSKLFWPYMPPYWFPWPYSTLPPLPTLPPLPSFPFPFLPLGNPNPALPAFDWRDPTTWIPYLPRFPPSDNNP >A08g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5530645:5531012:-1 gene:A08g502610.1_BraROA transcript:A08g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTESLHLKYSLGRRSYTNSSKNSISGAPVSQADVRHSTFDSLCLGRTSHGIELWESGVGEFVSDGNGEGDGG >A03g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19111112:19111828:1 gene:A03g505340.1_BraROA transcript:A03g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKSVFQFFLEDGNKTLHVGKPWMGLAWMGAKALCAYRISGSVCNEHAAVDMRRRCIMCGCMRRVAKAVVVGGVVVGSGVVSKCVYGQCVDGGCEVGLQAVVRQACEVVRNQG >A07p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2046699:2047289:-1 gene:A07p005590.1_BraROA transcript:A07p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAIVESSSTHLRVSPNCSRTQQLILTRIVTPPNSLLSIDRARPAISGLNIFLLPGLLLLQRVALTVLSYGDRRKFVGFSRAL >A05p024370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11850685:11851695:-1 gene:A05p024370.1_BraROA transcript:A05p024370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLRSSVVYIGLRMCFPSLLTSSSFIVFFLGRLTVTWDHRGSLDRVELAYLLDIGLPSVNSGGLKKFSPFHVPVTKSSDLDNLYENFKSSINFKIDRAVEIDLVSGNKTPEDAREEYCGAYTMYFEDCDLSSLISGLVLEILTELGLVFAQMCLNFLRHFLTLSVELAKKD >A04p017010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10440729:10441682:1 gene:A04p017010.1_BraROA transcript:A04p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 10 [Source:Projected from Arabidopsis thaliana (AT5G39760) UniProtKB/Swiss-Prot;Acc:Q9FIW9] MDMATHTTITSPPKSPEPEPETPNRIQPAKPISFSNGIIKRHHHPTLLFTYKECLKNHAAALGSHALDGCGEFMPSPSLVSTDPTSLKCAACGCHRNFHRREPGNDSSIRPPPSSVAATIEYQPHHRHHPPPPPQLPPPRSPNSSSPPPISSSYMLLSLSGTNNNNNLAFSGNNHHHQTGSRKRFRTKFSQFQKEKMHEFADRVGWKMQKRDEDDVREFCRQIGVDKSVLKVWMHNNKNNFNRRDIQFSVAAAGATEIHKTDNGGGGIHAPIPAGETNNNGCNELHHSVSNGGGGFDSDSGGGGGAHGGDVNGSSSS >A06p051670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27345158:27346877:1 gene:A06p051670.1_BraROA transcript:A06p051670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clp protease adapter protein ClpF, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G03390) UniProtKB/Swiss-Prot;Acc:Q67Y99] MVQSQSLSTLTICGSVQDYSSWRNRVKFVKSPGLIGDRCVSCQFFSRSSSLRSHWKSVKQRNMMRVEARWPFQGGDQGLDPNSERSESANEDILIFFFQLDLATRVQCALNMEQYDIAQQLREKLTEVEEEAIRLQELKRGSSSKSEAQDKGISIIRLRADLQNAIDGEDYGLAAKLRDEISKLEAESLAVSAKALAFENAEYAFRLGQKLKHKAFGYRAVVCGMDPVCCESSSWMEAAGVEKLPRGSNQPFYQVLVDVRTHPDLLVAYVPEDNLLAPEKPDKERFDHPYISFLFYGADTAGDFIPIQQLREKYNKPRHEVPFDSQDED >A05p022350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10681786:10682226:-1 gene:A05p022350.1_BraROA transcript:A05p022350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKSVNSPALGETPGKVRGPKKNLAKELDKDTWMKRTLGEDFGSVAKATDLDFVYVSPSKATTDDKDAMVPAYGRGCRGRRTVKDEDAADKKKTVYEEAALKRKEKADGKRKEVELKKQKQTELENQEAEAKIKETELKKKKQAG >A09g513640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41545163:41546987:1 gene:A09g513640.1_BraROA transcript:A09g513640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTIKALEKRPIFYRVWFVFPVDMQSIIGLTLQISLLLPLPTTLNNGLLSEVSLIRAFVPKSRDSSSFQIEDTTLFLLKAYFITVALNVDAKLPTMSLIASDFSFLPENTTGCPDATIDEASTMDSTPLPCQAETVISIDNIASDFSWKQQQCIKSIS >A04p025230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:15291393:15291962:-1 gene:A04p025230.1_BraROA transcript:A04p025230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTLVSIDVDGTPVPHHPSARNSICINLVNQIQRLAIDESSETKTCTGRYPSPSYFAQINLDFHDYDFSPSYLFSLIDYHLLSPHTSDLITQKMAYCAIQHIGKPYRMFVDVVTTENVFVTGTCSHQKEEEEEEDEEEESTCAICLEDMLNDEKMKLVFPCSHRFHLRCIAEWLQTSNSCPLCRQILI >A09p077300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57502770:57517460:-1 gene:A09p077300.1_BraROA transcript:A09p077300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHGPVSSSVVCPVLKKRSIPFSRLRSVLTMKLDTSGFETSMPTIGFGSSNDMLDGFSTVPSFDLPRTTDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKFDMSVEEASELARRSIYHATFRDGASGGVASVYHVGPNGWTKLSGDDVGELHYHYYPVPPAIAEQVMEEAAADFFNSSVFVSTILLCFFLVLLLILVSTIYLKNFKNSKFNLPPSPSSLPIIGNLHHLSGLPHRCFHSLSLKYGPVVLLRLGFVPVVVISSSEAAEAVLKTHDLECCSRPKTVGTGKLSYGFKDISFSPYGAYWREMRKIAVIELLSLKKVQSFRYIREEEVDYVVKKVSESALTQSPVDLSKTFFSLTASIICRVALGQNFHVDGFVIDQERIEELVTDGAVALGTFTFSDFFPGGAGRFLDWLFRRNKKINRAFKELDAFYQHVIDDHLKPEGRKNKDIVSLLLDMIDKEDADSFKPSMDNLKAIVMDVFLAGIDTSSITMIWAMTELVRNPRVMKKAQENIRITLGAKREKITEDDLGKVEYLSLIIKETFRLHPPLPFIIPRETMSHIKIQGYDIPPKTQIQVNVWAIGRDPKRWTDPEDFIPERFANSSVDFRGQHFELLPFGSGRRMCPAMPMGAATVELGLMNLLYFFDWGLPDGMKTGDIDMEEYGTLSIVKKVPLQLVPLRRYGCGVQETESNKSRTRRNMSISLCFLCLLPLIFILLRKLQPSKWNLPPGPLKLPIIGNLHQRGELHPRNRRNLSQKYGPVVHLRFGFVPMVVISSKEAAEEVLKTHDLECCNRPETAGIRMISYNSKDIGFAPYGEEWRAMRKLSVVELFSSKKIQSFRYVREEENDLLVKKLSESASSEYLVSLKKTLYTLVGSIVCRVGLGQNLHESEFIDEDGIADIVQRSELLTRTSMFSDLFPGRIGELIDWFSGQTKRLENAFSELDTFFQNVLDDHLKPGRRVQEGSDIIDVMIDMMRKQDSFKITTDHLKGMISDIFLAGVSTSASTMIWAMTELIRNPRVMKKVQDEIRTTLGDKKESLTEEDLNKLHYFKLMVKEIFRLHPAAPLLLPRETMSHIKIQGYDIPKKTQILINAYAIARDPNVWKNPDEFDPDRFVDSSVDYRGLNFELLPFGSGRRICPGMAMGIVIVEFGLLNLLYFFDWGLPEKEPAEKITTGDEVALDLVQDIFLAGVSTSASTMIWAMTELIRNSRVMKKVQDEIRTTLGDKKESLTEEDLNKLHYFKLMVKEIFRLHPAAPLLLPRETMSHIKIQGYDIPKKTQILINAYAIARDPNVWKNPDEFDPDRFVDSSIDYRGLNFELSPFGSGRRICPGMAMGIVIVEFGLLNLLYFFDWGLPEKEAAKKITTGDEVALDLVQLPPGPKKLPIIGNLHQLHELPPRNVSQNYGPVMLMRFGFVPVVVISSKEAAEEVLKIHDLDCCSRPETEGTRKISYNFKDIGFAPYGEEWKAMRKLSVVELFTTKKFHSFRYIREEENDFLVKKLMDSASKRSLVSLKRTLHALVGSIVCRIAFGINLHECEFIDEDSIVDLVHKSEILEMTSMFSDFFPGGIGRFMDWISGQSKRLDHVFSELDTFFQNILNDHLRPVRRDGERYGIINVMIDMMEQERDGDSFKLTTDHLKGMISDIFIAGVSTSAFTMIRAMTELIRNPRVMKKVQDEIRTNLEDNKERITEQDFTKLHYFKLVVKEVFRLHPAVPFLLPRETISQVKIQGYDIPTKTQIMINVYAIARDPKLWTNLDEFNPDRFLDSSVDYKGLNFELLPFGSGRRICPGMAMGITLVELGLLNLLYFFDWGLPEEVEAGRIIKDNDVALDLVQVTRH >A07p022470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13123669:13126957:1 gene:A07p022470.1_BraROA transcript:A07p022470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTPFCYSINPSPSKLDFTKTHAFSPVAKQFYLDLPSFAGKSGGGLSGLRKRRALVGVKAATLLAEEEKRETVTESKKKPRVLVAGGGIGGLVFALAAKKKGFDVLVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDIGVAEEVMEAGCITGDRINGLVDGVSGTWYVKFDTFTPAASRGLPVTRVISRMTLQQILARAVGEEIIRNESNVVDFEDSGDKVVTVVLENGQRYDGDLLVGADGIWSKVRNNLFGRSEATYSGYTCYTGIADFVPADIESVGYRVFLGHKQYFVSSDVGGGKMQWYAFHEEAAGGVDAPNGMKKRLFDIFEGWCDNVLDLLQATEEEAILRRDIYDRSPSFTWGKGRVTLLGDSIHAMQPNMGQGGCMAIEDSFQLGLELEQAWKQSVETNTPVDVVSSLRRYEESRRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKFRVPHPGRVGGRFFIDIAMPLMLNWVLGGNSEKLEGRPPSCRLTDKADDRLREWFEDDEALERTINGEWYLIPHGNECSVSETLRLTKDEEQPCIVGSEPDQDFPGTHIVIPSPQVSKMHARVIYKDGAFFVMDLRSEHGTYLTDNEGGKYRVTPNFPARFRPSDIIEFGSDKKAAFRVKVIRTTPKLTRRDEKSDGKLLQAA >A01g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10661885:10663289:-1 gene:A01g503330.1_BraROA transcript:A01g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLAGVNKITVEAAAWMTSEAMSIIVDVNRRIKKAAQDGDIERLYKLVAEDPYILKHFDRVPFCETPLHTAEEKGQTHFPMELMTLKPSLALKLNASGFSPMQLSLRNNHIPMVRGFIAMDIGLVSIKGRGRITPLHHVARLGDAELLGELLCSCPSSIDDLTIKCETAVHIAVKNHRFCWDGLREPTGRRSWTGRMKMVMKLLRGSVKAKAKNLDGKTAMDILQIHQSPLFSPEATSSLFNNARERLVSTTITTLAKYLSKKPSFIEKWNNAFGLTNLRKTRNTSLNSNDSRSVILVVAILIVRIRSAVIGTTTWQGR >A05g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16045644:16048980:-1 gene:A05g505710.1_BraROA transcript:A05g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTAQLENPRKRSPAENPRRSEALAVDSLSLRAVSLLLLSLRRVSSLSPSLLAASPSLSLGRVSLSPRREQPRVVVGGIKVPGEGWKEMKRGGRSVQKRRRCGAIASDKNGRVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGMQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGISISHRCPFSLWFCSTVVANVEGDFEQLRVSGRDRVNKKSSYCGVADTSSQRGNGKPDIRAGEKRLADDTAPHRAEEAGEGETDARPRRAQLHGRIKPCKEMDFWHSDITDDGGSSWGKKDDGGSSWGKRDDKNHSGDIIHGMMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAGSLRSITGMCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRAAGPKPDGEQKSPVRRYSRILAIP >A08p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4595896:4598266:-1 gene:A08p008900.1_BraROA transcript:A08p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGPAELLLYNDKITSVKGLNFIFDSGSKNGTYAGDIRKDLKGTALMDTKEDKSLPVCWKDKKPLESLYDVKKYFKTITLRFGSDQKKGQLFQVPPESYLITTEEGSVCLGILNGAEIGLDDYNIIGDIFFQGIIVIYDNEKQRIGWIPSDCDVLPNVNQDHGGDLSKEEASYPIPRGFGLIRWLFSGTDSSKKKKDGEL >A10p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9715593:9715917:1 gene:A10p006420.1_BraROA transcript:A10p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKNFSAFSAKVDYGRSKQALHYNQRPCRPDCGKKKLRKSNNEEKEGLTGYWYFPKPDLPEIILAQGYFDSSRAS >A02g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14144176:14144550:1 gene:A02g504250.1_BraROA transcript:A02g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHSIILIRKVEKNFTTEQNRKRKKVRSVARFPVRVFFLPCRRDDAPIVVPASFLFPPSFCCVAVKDRKLPPPCNSSGLTALQN >SC117g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:353982:358569:1 gene:SC117g500120.1_BraROA transcript:SC117g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSITTWEVI >A10g501200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3438866:3439360:1 gene:A10g501200.1_BraROA transcript:A10g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSASSSTMVHKNEKGILCKCKKLARVVQAWTDDNPGRRFYGCEGRKVDHGYESCNFFQWYDVERPHGWQYLALLEARDIMRGQKEEIKQMKESMRALTHERERQLESPALEELKVSREECEALKREVLVLSERSRVFRNVLISSTFGFVVVLGVMVAMGKQH >A04g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6255263:6264707:1 gene:A04g502710.1_BraROA transcript:A04g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIGIVVRLRSHEDLGRGGCGTRKRSRKTKDGTGASGAGDASVLNSVELSVPPTGRTGEAILTETQINQTEVQLGGEDRQLEETREQLNGAGSQLGAASGQLRDEQDGEAESSETGNRADPNVQRDGRTGPVDQMAEPSMKEVLDAIKGTRKRSRKTKDGTGASGAGDASVLNPVELSVPPTGWTGEAILTETQINQTEVQLGGEDRQLEETREQLNGAGSQLGAASGQLRDEQDGEAESSETGNRADPNVQRDGRTGPVAWFECTETIDDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVAQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEVDMKPANDSVGEDHIVPVPQAIIDTIGQTWNFIVKVSNHNLTAKTQTLTVTKVLPLEAPEPEGNLGVNVGKEGDSESEDHADKSVKRGADGIESEGVKRAKCG >A05p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9714213:9716566:-1 gene:A05p020500.1_BraROA transcript:A05p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase 2 [Source:Projected from Arabidopsis thaliana (AT1G53830) UniProtKB/Swiss-Prot;Acc:Q42534] MAPIKDLISKFSDFKNNKKLIISSAVLALVLFSAVVGIAVTKSNQTKNQKIPTLTPTSHAVLKSVCSSTLYPELCFSAVAATGKKLTSDKDVIEASLNLTTNAVKHNYFAVKKLITKRKGLTAREVTALHDCLEIIDETLDELHVALEDLHQYPKGKSLRKHADDLKTLISSAITNQGTCLDGFSYDKADRKLRKALLKGQVHVEHMCSNALAMIKNMTETDIANFELKDKSSSTNNRKLKEELTGDLDGEGWPNWLSIGDRRLLQGSTGVNADATVAADGSGDFTTVAAAVAAAPEKSNKRYVIHIKAGVYRENVEVSKKKKNIMFLGNGRGKTIITGKRNVVDGSTTFHSATVAAVGERFLARDITFQNTAGPSKHQAVALRVGSDFSAFYNCDMLAYQDTLYVHSNRQFFVKCHIAGTVDFIFGNAAAVLQDCVINARRPNSNQKNMVTAQGRSDPNQNTGIVIQNCKIGATSDLMAVKGSFSTYLGRPWKQYSRTVIMQSDISDVIRPEGWLEWSGDFALDTLTYREYLNRGAGSGTANRVKWKGYQVITNVTEAQQYTAGQFIGGEGWLGSTGFPFSLSL >A06g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5895172:5896815:1 gene:A06g501540.1_BraROA transcript:A06g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPLVCHGHSRPVVDVSYSPVTPDGFFLISASKDSNPMLRNGETGDWIGTFEGHKGAVWSCSLDKHAMRAASASADFTAKIWNALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKILRIFDMNRPDAPPKEVGNSPGSIRTVEWLHSDNTILSSCTDTGDIRLWDIRSDKIVQTLETKFPVTSAEVSQDGRYITTADGSSVKFWDANNFGLLKSYEMPCNVESASLEPKLGNTFIAGGEDMWVHRFDFQTGEEIGCNKGHHGPVHCVRYSPGGESYTSGSEDGTVRIWEVVGSVNHEEKESDQSGNVKLVAEEVVRKAESLRISEKAAEAK >A06g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24224417:24225050:-1 gene:A06g508590.1_BraROA transcript:A06g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLFDDGRVDEATEVYKEMLRSRVSPNCHTYTVLMEFLVGTGKCGEALDIFFKMQEIGVQPDKAACNILIGRACKSGETSFMARILVYMKENGILLRYPVFLEALETLKATGESDDLLREVNSHITAESLCSNDIVEAEVDGNEKFR >A07g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1260704:1266776:1 gene:A07g500630.1_BraROA transcript:A07g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEMLFGEFGTFGDGLETRMVILVHKTKSQLSQRPTAAAKTSRWRNPLLPVRYLEDAAAKRQETGRWSKQEAPPVINEVDGLEGQEELCFINANGTWYKKEPNFQYQNNYQQRPLYNNQQGGYQANQSPQTQGSSSQTQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASHLKALESQVASMPSSSKQPMGSLPGKPEKNPKESCNVVFSTTSPEIELSDHEKEEDEIERLDAARKVEATNLQKVEHKAAKQVEERADNKLKKVKLEEATEVEPSSYDKLPFPQRVLTKAQKKVLSKFRKDLSDVGIRLPKISDMREAHVMEMLFGEFGTFGDGLETRMVILVHKTKSQLSQRPTAAAKTSRWRNPLLPARYLEDAAAKRQETGRWSVQRPSAAAK >A03p023610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9979785:9980355:1 gene:A03p023610.1_BraROA transcript:A03p023610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGGGGALKSLKPKIQSVDIQAAAGWGIAAAAGAIWVVQPFDWIKKTFIDKPAAEEN >A04g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6786827:6789354:-1 gene:A04g503100.1_BraROA transcript:A04g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASAYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKSFPVLIHRGVEKEDASRSTDEFLAMMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFVQPGPASPANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDLNLAYGDGSGSSEAPILDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMWSEKRNLLVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNERAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGKEVDRPADAFGASLSGTLTLDYEGWISYPFICLDGRICIYRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMRSFVLVLDVLKIKRVIELRLFKTAGVFVGANRRTGCKVFGGRVRTIC >A07g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2557763:2562015:-1 gene:A07g501220.1_BraROA transcript:A07g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDVDNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEAEHIDLDPSDVSNDSDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAISSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLVAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSKNSKRNIYRINKPHKSARDSKPPTAIEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGTLKIPVLNLELRRASLHHLDDLSFAFPLRSANSPRMITSKLCLPLQHLALHTGEIPLRFLRLETVDHGFSMTRLDGRAQQAQALRNQ >SC244g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:2904:4620:1 gene:SC244g500010.1_BraROA transcript:SC244g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A02p045430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28531772:28534849:1 gene:A02p045430.1_BraROA transcript:A02p045430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAMTKTLLALLIIFSLFHVPAFAVKKKSYIVYLGSHSHGPEVSPLALKRVAESHHELLGSFLGSHEKARNAIFYSYDRHINGFAAVLEEEEAEAISKHPNVISVFLDKGKKLHTTHSWEFMQLEKDGVIPSSSLWSKARLGEDTIIGNIDTGSCNTGGNVSCNRKLIGTRYFNKGYLVSAGLKSNSSFESARDHDGHGTHTLSTAGGHFVRGASVFGVGNGTAKGGSPMARVASYKACWPPVNGSECFDLASGERFKGESMSKALPAGKMFSLTTGAQAKLANASAIDAMLCKEGTLDPHKAKGKIMVCLRGNSSRVEKGRQAAQAGAAAMILCNDKASGNDITADPHFLPATHINFFDSQALFSYVNSTYLEPMGTLTAPAAAHLLSFLITFLAYPDVTAPGVDIIAAYTREQSLSGLEFDHRTTAFYIESGTSMSCPHALQENIGILREKIVGMSSE >A05p015840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7031634:7032752:1 gene:A05p015840.1_BraROA transcript:A05p015840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVTDGDDDQRSEEGSRGEKRCLELSLGSYGEEETRSVRVGEEEDSVSTELGRRKIDDECKNQQNPTTYRHDYASSSSSSSSSWSLSSVESKKRRVVYQEPINAEPLREVKPSVRGKKSVKPERGVTPEWLVNLMITEKGVDAKLVIDKMIQTSDVNANQGRLLIPFKQIVEMDFLNEAELHLIDEHQRDNSSNKGVDVIVVASDGRKRNAKLRRWNMNCPNYALCSGWNHVVRENNLEDKVGQTFRLWSFHSQDGTKLYLAFFHQPPASDMSQAMHAASSSSMALERDSGQCILDLNVPFVQERRDRRTSLESVTETTTVDLVLRLGRSVDLNIPMAPVRTEMAPLEAVQETSLESVTETTTTVDLELRL >A08p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19102065:19110390:-1 gene:A08p031290.1_BraROA transcript:A08p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G39850) UniProtKB/TrEMBL;Acc:F4JJ27] MSISYQRLHSRSHFPFQERQSYQSENGRRSIGFNKIVIIFSSSPVSARFEEFRCGRLFTSLVGYGVGDSSDFAAVEIRWLLFITLVVSGVVDTCYQEEEEEPSFWFWRIQFNSVPAMPSLQLLQLTERGRGLVASRRKSILLAAGVVAAGGAAVYLKSRISSRRLGSSRHCNGQSDDDEALEKMTGNDKKTAKKKKGGGLKSLQVLTAILLSQMGKMGARDLLALVGTVIFRTALSNRLAKVQGFLFRAAFLRRAPLFLRLITENIMLCFMLSTMHSTSKYITGALSLRFRKILTKLIHSHYFENMVYYKISHVDGRITHPEQRIASDVPRFSSELSELIQDDLTAVTDGILYAWRLCSYASPKYIFWILAYVLGAGTAIRNFSPSFGKLMSKEQQLEGEYRQLHSRLRTHSESIAFYGGETREESHIQQKFKNLVSHMSVVLHDHWWFGMIQDFLLKYLGATVAVILIIEPFFSGNLRPDDSTLGRAEMLSNIRYHTSVIISLFQALGTLSISSRRLSRLSGYADRIHELMAVSRELSGDDKISFQRNRSRNYLSEANYVEFSGVKVVTPTGNVLVEDLTLRVEQGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYMAVGTLRDQLIYPLTSDQESVPLTETGMVELLKNVDLEYLLDRYQPDREVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFAAKVRAMGTSCITISHRPALVAFHDVILSLDGEGGWNVHYKRDDSVLLTDAGVDSVQISDTNRQNDAMVVQRAFAAARKESAKTNSKAQPYSTQLIEKSPVVDKSVVLPRFPQPRTPPRALPLRVAAMLNTLIPTLFDKQGGQLLLVACLVVSRTLISDRIASLNGTTVKYVLEQDKAAFVRLIGLSVLQSGASSVIAPSLKHLTQRLALGWRIRLTQHLLRNYLRNNAFYKVFHMSGNSIDADQRLTRDLEKLTHDLSGLLTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRRVAPDFGDLAGEEQQLEGKFRFMHERLNTHAESIAFFGGGAREKAMVDTKFRALLDHSLMLLKKKWVYGILDDFVTKQLPNNVTWGLSLLYALEHKGDRALVSTQGELAHALRYLASVVSQSFMAFGDILELHKKFLELSGGINRIFELDEFLEASQSGANSDNHRSRLDSQDRISFSEVDIITPAQKLMASKLSCEIVSGKSLLVTGPNGSGKTSVFRVLRDIWPTVCGRLSKPSLDIKELGSGNGIFFVPQRPYTCLGTLRDQIIYPLSKEEAEKRAAKLYTNGETSAEAGNILDVHLKTILENVRLVYLLERDESGWDATTNWEDILSLGEQQRLGMARLFFHRPKFGILDECTNATSVDVEEQLYRVAKDMGVTFITSSQRPALIPFHSLELRLIDGEGNWELRSIEQTAE >A05p023020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11119958:11120771:-1 gene:A05p023020.1_BraROA transcript:A05p023020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMVFHHMVFIFYSFKDRSINFRCICRFFRSGFGYVGFSDIEDFWDDLSDNLPGSLLTESSHMFPFHNRSERFVFNKMVLIFHLDMYFVCSIKVDLYNLPLIFSVFQIWSRF >A05p002690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:949987:951477:-1 gene:A05p002690.1_BraROA transcript:A05p002690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERECEGEGDIEKKLRRSFYMGNCSKRAGPSTPPPTWRLGASSSKEFLVNSDVSVRKLCAELWETEHFRPPVGLPRCRRRDSDVDSPCDHQPPSRGTSLRRQIDDHRLVQRHGDLLEPITPSSSSNHSLEVVKRKPAFSQTGSSMAAKSASYGLGSSTKLLKVLNRIWSLEEQNTANTSLVKALKMELDECRAEIKEVQHREKQSYRRVCKKKEEEEEEIKDVLRSMKKELDEEREVRKKSESMHRKLTRELCEAKQCLTKALKDLENERKERVVVEDLCDEFAKTVKDYEDKERRRRSHSSQSDSGYVHQRVCLKELEEGLKRRSRRDNKLQLKRSDQVLNLSMSSEGDKIHPEVGTPRKVDDLEMSTTFSKSVMVREESRSLKDKLMEARVESRRLRSLKSTPSHPVQAI >A02g512550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34083770:34085072:1 gene:A02g512550.1_BraROA transcript:A02g512550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHKAGPIPFGKNLDDFARSVRNAKSGDGGVRLMEGSIDKSLMILAIAKLLFVATEITTSLLLTLLSQLSIIMDGQKAGSNIEHPLSDQQETLRMSLKNTTSSLVSYAQAQDEILNSLDKGQETAALEEEKLKFELSIVKERYSKLVKESSWDKQLPEASRERAMRDWKRKRMEYMEEEIKQISFAFSSRQRSLISFHNEIKSKMQKLTTHNPKLR >A09g516810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49411553:49417159:1 gene:A09g516810.1_BraROA transcript:A09g516810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKVMSEFCVIKGKFTSITLDLLPKNLLLLLSTGAGNSHCREDMADLLQKAIQSMSLEEEEPLTLPDSPRGPVLTEPLSGPPGFPLMFPELSGEDRKMAMLYISHADPTERLARIERVKQGIAENTAASSVHLTRITKELDKGKGPVFSYTTLLESQHRQLPSDCSSPLGPSSEGRVIGSTGAHKSQRRRPQAWKRRATGKDLKLPAPLSIPSEYSQDGIVDLTLSVADLIDTQTGTWNRALVSQVIAEEDRNLVLNTKFQLASQDRLLWGFSKNGRYDSQSEKTVSSEDSSPSWQKPPPLFLKCNVGASWDISSCSSGASWIVRDSKGVVLYHSRRAFSCIDSRVHADLTAISWAAEAIRDFKLKRVIFEISSDQAQTALDFPMSFLGNPHVCQRALFAIHAVSEAKLHLVQANCIIIANLIAGSVTRDHRHQFYVARNGPVWLSSQIQLEASS >A07p048540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25912327:25914930:1 gene:A07p048540.1_BraROA transcript:A07p048540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNTSWSCFCFSLKLCLLSVLFLTETLVAIKLPPNLTFPALIAFGDSIVDTGNNNNVKTVVKCDFQPYGINFQGGVPTGRFCDGRVPPDLIAEELGIKSVVPAYLDPSLKTEDLLTGVSFASGGSGYDPLTPKLVAVISLEDQLKYFEEYIEKVKNIVGEERKGFILANSLFLLVAGSDDIANTYYVLRARPHYDVDSYTTLMVNSASDFVNKLYGYGVRRIAVFGAPPLGCVPSQRTLGGGLLRECAENYNEAAKLFNSKISPKLDLMQKTLPGIKPVYINIYDPLLDIIQSPAKYGFGVSNKGCCGTGVIEVAVLCNKITSSVCPDVSSHVFWDSYHPTEKTYKVLISLLISKFVDQFV >A01p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11036409:11044110:-1 gene:A01p022390.1_BraROA transcript:A01p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAEVWRSSGRLVTTSSPQLNGGGNKSALWKWRSFSGQPKRTVMWTWVCGFMLFTLGVISLFTGHVVSHLEWYSQQLSKRSLLDMSRREPIDVWKSKYSKFFYGCSERGRNFPPAVQEHRSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFNDIFDVNWFIASLTKDVTIVKRVPDRVMRSMEKPPYTMRVPRKSTPEYYLDQVLPILSRRHVLQLTKFDYRLANDLDEDMQKLRCRVNYHALRFTKRIQSVGMKVVKRMRKMANRFIAVHLRFEPDMLAFSGCDFGGGEKERAELAEIRKRWDTLPDLDPLEERKRGKCPLTPHEVGLMLCALGFANDTYIYVASGEIYGGEKTLSPLRELFPNFYTKEMLANDELKPLLPFSSRLAAIDYILSDESDVFITNNNGNMAKILAGRRRYMGHKRTIRPNAKKLSALFMDREKMEWHTFAKKVKSCQRGFMGDPDEFKPGRGEFHEYPQACICQRPFSYDKTSKEDEDEDNIPEEVHNNNITRHGYLSSADNERDEVFPDYRYKETDQHIVNDRSMRKMFTVLAVFFSLLSLLPLPSESAVSSVDLGSEWVKVAVVNLKRGQSPISVAINEMSKRKSPALVAFHSGDRLLGEEAAGITARYPNKVYSQLRDMVGKPFKHVKDFIDSVYLPFDIVEDSRGAVGIKIDDGATVYSVEELLAMILGYGSDLAEFHAKVPVKDMVVSVPPYFGQAERRGLIQASQLAGVNVLSLVHEHAGAALQYGIDKDFSNGSRHVIFYDMGSSSTYAALVYYSVYNEKEFGKTVSVNQFQVKDVRWDSGLGGQSMEMRLVDYFADEFNKQLGNRVDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLHDDRDFRSTISREKFEELCKDLWERSLTPLKNVLKHSGLKIDDIYAVELIGGATRVPKLQSTIQEFIGKQDLDKHLDADEAIVLGSALHAANLSDGIKLKHRLGIIDGSPYGFLVELEGPNVKKDESTKQQLVPRMKKLPSKMFRSFVLDKDFDVSLAYESEDILPPGITSPVFAQYSVSGLGDATEKYSSRNLSAPIKANLHFSLSRSGILSLDRGDAVIEITEWVEVPKKNVTVDNNTTSTTGNASTGAASDENSQENKELQADAENSTASNTTTEEPAMVDLGTEKKLKKRTFRVPLKVVEKTVGPGAPFTKESLAEAKIKLEALDKKDRERRRTAELKNNLESYVYATKEKLETPEFKKVSTQEERKAFVEKLDEASINFLLNYVQDWLYMDGEDANATEFQDRLDSLKAIGSPISLRSEELTARPVAVEYAQKYLTEVKEIIKEWETNKTWLPKEKIDQVSKEAEKVKSWLEKNETEQKKSALWSKPVFTSDEVYAKVFTLQDKVTKVNRIPKPKPKPKIEKATKKENASKEEEQSKSPGSTNSSESESANEEEGSQEEL >A06g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25212241:25215012:-1 gene:A06g508900.1_BraROA transcript:A06g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSWFGFCPSSSWINMSITKFWESRAFINLSLSPLNLESSFHADGSMRRSYKIRVTVLLNGYHVNPIPEVDLEGMEALGENDLYVPRTLMKAWRKLGAMSPEEAIEKYIEIVTQLYPTWLDGGLKVGSRSGGDDAVSNSGGAMGPVFQLTDQIVSVNQRFIKNSESMKTDAIHAFAREGEGEGEGGVDIEAEFLVKQKS >A07p001480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:111833:113206:1 gene:A07p001480.1_BraROA transcript:A07p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHLCLLCLLLSLVNFAASQDDATIMQSLKSTLHLTPDVDWSNPDPCKWPSVQCDGSNRVTRIQLKQKGISGTLPPDLQKLSELIVLELFSNKISGPVPDLSGLTHLQRLNLHDNLFDSTPANLFSGMNSLQEVYLDNNPFASWEIPETIKEATSLKNLSLVNCNLAGSIPDFFSSQTLPSLVSLKLSRNNLQGVLPSSFGASSLQQLYLNGQKLFGSISVLQKMTSLVEVDLQANTFWGPIPDLSGLQSLRLFNVRENQLTGLVPPSFTGLKSLTVVNLTNNCFQGPTPLFDNSVAVDIIANTNSFCLETAGAPCDPRVEALLSVADSFGYRVKLAKSWKGNDPCGRSWLGITCSGSNDVTVVDLGRQELTGTIPPSFAKLTSLETINLSNNQLTGSIPTELTNLPMLRTLDVSNNDIHGDVPKFSPSVSVVTTGNVNIGPVSPTDWKSFRNRI >A03p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16725715:16729472:1 gene:A03p040130.1_BraROA transcript:A03p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 7 [Source:Projected from Arabidopsis thaliana (AT3G13050) UniProtKB/Swiss-Prot;Acc:Q940M4] MEDGNRSFTVDEALVEMGFGKFQLYVLAYAGMGMVAEAMEMMLLSFVGPAVQSLWNLSSREESSITSVVFAGMLIGAYSWGIVADKHGRRKGFIITAVVTFVAGFLSAFAPNYTWLIVLRCFVGLGLGGGPVLVSWYLEFIPAPNRGTWMVIFSGFWTVGTILEASLAWLIMPSLGWRWLLALSSIPSSLLLVFYKWTPESPRYLILQGRKAEALSILEKIARTNGTQLPKGVLGSEMETEMEETKSHPTENTHLLKPGEVEEAPPPVSKIILKPENKGPLLVLLSPELIKRTLLLWVVFFGNAFAYYGVVLLTTELKTSQNNCYPKLPGLLISAAMVDRLGRKASMSSMLFTCCIFLLPLLTHQSPTLTTALLFGGRICISSAFTVVYIYAPEIYPTAVRTTGVGVGSSVGRIGGVLCPLVAVGLVHGCHQTIAVLLFEFVILVSGICVCLFPFETSGRELTDTISTSNEPSSSSSYSEDNVHKSIKYNVWASTPNGNKKLDAAYREAKDEKEQPCLVFLVFSVNASSQFCGVAEMIGPVDFEKSVEYCGNKTNGMGSFHRDTQEVKLEQGIEMLKIFKNYDGETSILDDFLFYEEREKVIQERKARRQPSLPSAVGETEYKPASAALMTEFIKNMSKSFAQVVHLEEGSKASTDATTTTIAVSSGHSN >A10p022570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14871369:14872907:-1 gene:A10p022570.1_BraROA transcript:A10p022570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRVEQDYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNALRWLRELRDHADSNIVIMMAGNKSDLNHLRSVSEEDGRNLAEAEGLSFLETSALEATNVEKAFQTVLTEIYHIISKKALAAQEAAAANSAIPGQGTTINVDDTSGAAKSGCCSS >A05p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5690859:5693574:1 gene:A05p013070.1_BraROA transcript:A05p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYSRVDGKKSSNYGLTITIVLIVSLCLVGTWMFTSSWSASDDSAGYSSTDTSKDVDSVTKNVAEEKKEENESVTENSQVKTDPEESSDEKAEVVEERKENDESSEDGEKEKIVKEVESESDESKEKVVKDAESESDEAKEKEKTQLEESTEENKSEDGNNGTEENASETEESTEKSNKEVFPAGDQAEITKETSTKDGSWSTQLVESQNEKKAQESSVPKDQDSVYEWKTCNVTAGPDYIPCLDNLQVIKRLQSTMHYEHRERHCPEESPRCLVSLPDGYKRSIKWPNSREKIWYNNVPHTKLAAIKGHQNWVKMSGEHLTFPGGGTQFVNGALHYIDFIQESYPAIAWGNRTRVILDVGCGVASFGGFLFERDVLALSFAPKDEHEAQVQFALERGIPAMLNVMGTKRLPFPGSVFDLIHCARCRVPWHIEGGKLLLELNRALRPGGFFVWSATPVYRKNEEDSAMSELTKAMCWKLVTIKKDKLNAVGAAIYQKPTTNECYNKRPQNEPPLCKDSDDQNAAWYCVLTPFLNSLVKNVPLEACMHKVTEDSSKRGASWPNMWPERLETAPEWLDSQEGVYGKPAPEDFAADQEKWKTVVSKSYIDGMGIDWSNVRNVMDMRAVYGGFAAALKDLKLWVMNVVPVDAPDTLPVIYERGLFGIYHDWCESFNTYPRTYDLLHADHLFSTLRKRCKLESVMAEVDRILRPEGTFIIRDDMETIGEVEKMVKSMKWEVKTTQSKDNEGLLSIKKSWWRPTQTETIESAIA >A04p026930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16181459:16182876:-1 gene:A04p026930.1_BraROA transcript:A04p026930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRGSSKSFPEARLSKGLQHHNHLCRSFLLRFRRCQLVARSLSPVELSSDSRVSIRLSHVIGTRLKAYAAVSYGGELRQEEETMVNTSSSSTTTMTEDASFVRHGGESWRRHDGCDGAVAGSFRRQQEAAMVLGDRSSQPQSEHACNCSTSRCQDSTRVNRRKWRPQSEEVDHGWRSRVKAGRDRVTTNPTRPFCEVLPRDVWVANEVLDFACSLCRLEMVAAIIHSRAFYHFVLVF >A08p028230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17727049:17729274:1 gene:A08p028230.1_BraROA transcript:A08p028230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKHHHRGLELSASKSFVSKKLTFFLCIGFFCAGTLFSDRMWPEPEANVVSREASDERLHLVSDDCDSSKKSLKLESKDSLGEAYKSPDAIQTLDKTISTLEMELVAARAAQESIMNGSPVSDDFKLPETATRRKYLMVVGVNTAFSSRKRRDSVRATWMPPGEDRKKLEEEKGIIMRFVIGHSATPGGILDRAIQAEESKHGDFLRLDHVEGYLELSAKTKSYFTTAYALWDADFYVKVDDDVHVNIATLGAELARYRMKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNKYFRHATGQLYAISRELASYISINQNVLHKYVNEDVSLGSWFLGLDVEHVDDRRLCCGTTDCEWKAQAGNMCVASFDWSCSGICRSADRMKDVHRRCGEGPNALLAASF >A04g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11565884:11566974:1 gene:A04g505410.1_BraROA transcript:A04g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFLLSLPRSVFSCSPLEGKGRNPSKAPPFLHTDHHRSFFHDFLQRFRRNVVSRAAELKQVKGCLVSQEQFFVNKILSRKSGELGALGVMKS >A07p036120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19463205:19464578:-1 gene:A07p036120.1_BraROA transcript:A07p036120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPAHKRPSGSGGGGGLPTSTSQNGGGARGGRGVLPRGRQMQKTFNNIKITILCGFVTILVLRGTIGVGNLGSSSADAVNQNIIEETNRILAEIRSDSDPTDLEGPQETEMSTNETYALGPKITDWDSQRKVWLDKNPEFPSTVNGKARILLLTGSPPKPCDNPIGDHYLLKSVKNKIDYCRLHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEVEWIWWMDSDALFTDILFQIPLVRYEKHNLVIHGYPDLLFDQKSWIALNTGSFLLRNCQWSLDLLDAWAPMGPKGPIRDEAGKVLTAYLKGRPAFEADDQSALIYLLLSQKDTWMEKVFVENQYYLHGFWEGLVDKYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYADYAVERCLKSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETVTPLEFVDKFDIRRTTQVETKPQN >A05g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11043871:11049614:-1 gene:A05g503920.1_BraROA transcript:A05g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTMEIPKAHFSLAILVILFAVSSSQNVATPACKAKEPFNCDNPLTFNRTSFPKNFTFGAATSAYQIEGAAHRALNGWDYYTHRYPEKVPDHSSGDLACDSYDLYKEDVKLLKRMKAQAYRLSIAWSRVLPKGRLIGGIDENGIKYYNNLINELKANGIEPYVTIFHWDVPQTLEDEYGGFLSRRIVEDYKNYAELLFQRFGDRVKFWITLNQPYSLASKGYGDGSYPPGRCTGCEFGGDSGTEPYIVGHNQLLAHAKVVELYRKRYQKLQGGKIGTTLIGRWFTPLNENSIRDTAAAKRAFDFFVGWFLDPLVYGRYPKIMRQMVGNRLPKFTPQESKLVKGSLDFLGLNYYVTQYATTAPRSTQPNVITDARVTLGYYRNKVPIGVQAPSFVYYPPGIRQILNYIKNNYGNPLTYITENGVADLDTGNLTLPDALADNGRIQNHCSHLSCLKCSIDDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKASGKWEDYKKYAELLFQRFGDRVKFWITLNQPYSLASKGYGDGSYPPGRCTGCEFGGDSGIEPYIVGHNQLLAHGIAVALYRKRYQKLQGGKIGTTLIGRWFTPLNENSIRDTAAAKRAVKFFFG >A08p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11253517:11255131:-1 gene:A08p012000.1_BraROA transcript:A08p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSCSCAVSSFRSVEYGAPSFCAGSHVRLTTGALQSGQGYFLNLKNKRPPRFAVSAVVDDKSVVTPKEKKDESVVDDQKMVKVCDKLIEVFMVDKPSPSDWRRLLAFSKEWDSIRPHFYKRCRDRADSEDDNPEMKHKVLRLARKLKEVDEDIQRHNELLNVIKTTSPADIGQLVSRRRKDFTNEFFDHLHIVAESYYDNPDEQTALASLGKLCIAAVQAYDTSTESIDALNAAEMKLQDIINSPSLDAACRKIDSLAEKNQLDSALVLMITKAWSAAKDSNMMKDEVKDILYHLYVTARGNLQRLMPKEVRILKYLLSIEDPEEQMSALQDAFTPGEELEGTDVDYLYTTPEHLQSLIKTVLEAYHFSREGSLVKEAKDLMHPELIAKMEQLKQVVEKKYM >A03p026410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11056043:11064130:1 gene:A03p026410.1_BraROA transcript:A03p026410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGGPARNPGMGPGARTNSTSSAASPSSSSSSVQQQQQQLASRQVKDSEIQLKVDLQHQLRNSEGNDGMFAYHAGGAQGMMGAGSFGSPSASMQPRKFFDSPQLQQQHGEGQQSINPMQQAYIQFALQAQQQKAQQQARMGIMMGSSKDQDARMGVLNMQEMMPMQASNQAQASSSRPAGEQFSHGERPMESGPQQRNETKPHPQQAGTGQLMPGNITRPMQAPQAMQGVNNMGTNQLALSQQWQAMQAWARERNIDLSHPANANQMAHILQARMSAQQKAIEGNVASQSPTMPVSSQPVSSSALPGENSPRANSASDLSGQSGGQAKARHANSFASTSSPRMVNPAASPFSQGRDNPMYPRHLVQPANGMQSGNFLQTSANDAHVLDQKKSSGSSEHLQMQQPRQLNAQTPNLAAPSDAGPLSNSSRQSGQGTQQAQQRPGFTKQQLHVLKAQILAFRRLKKGEGSLPQELLKAIAPPPLELQTQRQVSPVRLQVQDRSTDKTVEDQARSLESGKESQAAASSNGQIFSKEEENLRDTEVPLAKSHSQLFQNRGKEPASIDAVTKLEQQTDVLPVKSDQGAEASSTQQTPRSDSNADKGKAVASDGDQSNVPSQANTPQQPKDTASARKYHGPLFDFPFFTRKHDSYGSATANANNNLTLAYDIKDLICEEGAEFFNKKRANSLKKMNGLLAKNLERKRIRPDLVLRLQIEEKKLRLRDLQSRVRDEVDRQQQEIMSMPDRPYRKFVRLCERQRLEMNRQVLASQKAVREKQLKTIFQWRKKLLEAHWAIRDARTARNRGVAKYHEKMLREFSKRKDDGRNKRMEALKNNDVERYREMLLEQQTNIPGDAAERYAVLSSFLTQTEDYLHKLGGKITATKNQQEVEEAANAAAVAARLQGLSEEEVRAAAACAREEVVIRNRFLEMNAPKDNSSVNKYYTLAHAVNEVVVRQPSMLQAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGTKDQRSKLFAQEVCAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPDVFDNRKAFHDWFAQPFQREGPAHNIEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPPKVSVVLRCRMSAIQSAVYDWIKATGTLRVDPDDEKLRAQKSSIYQAKIYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNDPDTDCFIFLLSIRAAGRGLNLQTADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVEKFSSHQKEDELRSGGSIDVEDDLAGKDRYIGSIEGLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLHEVNRMIARSEEEVELFDQMDEEFDWTEEMTSHEQVPKWLRASTREVSTTVADLSKKPSKNMLSSSNLIVQTAGPGGERKRGRPKSKKINYKEIEDDIGGYSEESSEERNIDSGNEEEGDIEQFDDDELTGALGNHQTNKDESDGENPVRGYDYPQRSGCYKKNTPRDDAGSSGSSPESHRSKEMASPVSSRKFGSLSALDTRPGSVSKRLVDDTEDGEIAASGDSHIDLQRSGSWAHERDEGEEQVLQPTIKRKRSIRLRPRQTGERIDGTDMPAAQPLQVNPSYRSKLRTVVDSHGSRQEQSDSSSRLRSLPGKKVANTSKLHVSSPKSGRLNTTQLPPEDNTEAARETWDGTSPIGSSNAGARMSHNVQKRCKTVISKLQRRIDKEGQQIVPMLTNLWKRIQTGYAAGGVNNLLELREIDHRLERLEYAGVMELASDVQYMLRGAMQFYGFSHEVRSEARKVHNLFFDLLKMSFPDSDFREARNALSFSGPTPTLVSTLSPRAVGISQGKKQKPVNEEEPEPSSPQRPQQRENSRIRVQIPQKETKLGGTSSHNDDSPILAHPGELVICKKKRKDREKSAPRTRTAGSSSPVSPPAMVGRGLRSPVRETRLAQQQRWPNQGTHPNNSGAAGDSVGWANPVKRLRTDSGKRRPSHL >A09g514110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42543845:42544316:-1 gene:A09g514110.1_BraROA transcript:A09g514110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEMAWELYVCMKPKWVKRESLEVI >A04g502970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6596929:6597105:1 gene:A04g502970.1_BraROA transcript:A04g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTNLLKTDSVKLINTATTCLRKTKEKLDEEDDELVKDGHRETHEYGDGGSPRERR >SC297g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000143.1:21136:22581:1 gene:SC297g500030.1_BraROA transcript:SC297g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRALFGRRGGPNLPISVSSSSDSSPPSTPAPLPTPSFDATPSGSSFETDPSEGSYNQIPVHMPLSPDPYFMDIEVDVVHDSPVHGDHYAAPASPAAHIPPAPAAPIPAAQPQPAPTDPAIIALLELMAEMRAVNVEEAIAAERASSSHSAQPRRPSVQSQPQPHSPMPRGRGGRAFRGGRSGDPRPRTPTCFTCGQLGHRQATAGRAYALELPGPSGPPQGPIS >A07g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25305050:25306988:1 gene:A07g508890.1_BraROA transcript:A07g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGRLQTAYTTLHKLHEVLGSFSTSLPYRAQPQRSRAGSKYTEETNEHRDNRRSYSTHRRPHQRNDHPYKRHDHGYTGDRSSHHYSSRYQHQRSHQEDNPRDMIYRPVSRSHLSRYDNLADHSVKQVGNQGFDTPKKRDEEANDNLEKNRTEVSSSARGVPLRELHNPVTQAALQVALGEVRDAMLQYTACADPTESAARKERMRQAEECGEPEETAIQMVRAAMITAEQPREEVITEVSPRVPALQRLGPAPIPDDLDAGPSNRKEKRKPGRPPGAKTVRASPLALGGISARKRKWE >A02g507210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20486859:20488540:-1 gene:A02g507210.1_BraROA transcript:A02g507210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFITRFSSSIHLKVDLSILDMYICVFYKNDLPVSRLKDNALDDFQEVFLEVIWTSWKSFGRHGSLLMKFSSISSEVQACLCRGMIYNSFTTYTLVVSSFFPKRLTCKSSKIRRFDQNLGIKSWKTYM >A10p031830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18943368:18947804:1 gene:A10p031830.1_BraROA transcript:A10p031830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTKLDDSPMFRKQLQSMEESAEILRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFANMDLHEVKEARKRFDKASLTYDQAREKFLSLRKGTKSDVAAALEQELHTSRSMFEKARFNLVTTLSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSRERSNYEQAALDEKMQEYKRQVDRESRYGSNSANGSPNGDGIQAIGRSSHKMIDAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGSGSQLSGQRNSSELGSGLLSRWLSSNNHGGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPSKNYTLQAESALDQMDWIEKITGVIASLLSSQVPGSPMESGHHRSASESSSYESSEYDHPTTDEFVCERSFMGYNERSSRNFQPQRSIRKGEKPIDVLRKVFGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVITLFQALGNNFANTVWEELLHSRSAFHVDPGLTGSDKSRVLVTGKPSYADMISVKEKYIQAKYAEKLFVRRSRDCDFPQSVAQQMWDAVSANDKKSVYRLIVNGEADVNSVYDQPSSSSSLTLSRVMLIPERPPTREDVLLKLRNELLDRTSSGFSSSIPPEETGGCSLLHCACEKADIGMVELLLQYGANVNATDSSGQTPLHYCILRGKAVVARLLLTRGADTEAVNGEGKTALDIAAESKFTDAEVLSLLSEAANGYNHRQC >A06p039010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20954276:20958210:1 gene:A06p039010.1_BraROA transcript:A06p039010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G64940) UniProtKB/Swiss-Prot;Acc:Q93Y08] MAASSSSSSSPSSLLLPNINFHSTTTRSVSIPGIFLPRNRLRYSHNLPLRIRASRDDNVAVEDRGNAVINGNGSVRLNGNGATRKSINNGDLNGNGRLNGNGSLVKYVNGSVTEEVSKKREEEKRQKRVEDIGQEDAWFKKTQQKQQVEVSVAPGGRWSRFKTYSTIQRTLEIWGFVLTFIFRTWLSGQKFSYKGGMTEEKKVLRRKVLAKWLKENILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFSSATALSIVEEELGASVDDIFDRFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANSELFANNFKNLEYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVIQAMVQMGVLVPTGDLTSIRRTALFFLNSFEERLAAQRKEKEELAAAEELGFKKPLTKEEKQEKKKQRLAAIGEDLLAISADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVVKDLRKRWDRQSQAFYNVFRQADRVEKLAVIIQRLEQGDLKLRVRALESERAFQRVAAVQKTVGSAVAAGSLVNLATILYLNSLKTPATIAYTVCAFFSLQVLIGVIKVKKFDQREKLITGTA >A03p072550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32072963:32074669:1 gene:A03p072550.1_BraROA transcript:A03p072550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTFPSSDDESEESTDTESPSSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMIDENALSDTDDYSDEETSCSDPSSVFHVESFTQAYDTALKSRTRRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGEVIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRRQVSAVILHSGKNLAAGTKNNSDIGKPDDADETGKSNSHPIFLDELEPNPSQENRKTTTEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTSTVDQQPEKPIDRRSTQPEPIIER >A06p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3474711:3478035:1 gene:A06p009740.1_BraROA transcript:A06p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) UniProtKB/Swiss-Prot;Acc:Q0V7R1] MASPPTCLVFHLRRRIETHHNRRLSWSSRRLLRRFSSSPTYDDSISLHGVSSSGGRCVKFGDQLLVSRLMNATDESLLELGYGPEECSCFDGVKVIRFSSKMALRLALRRSNTQFKTQKVSAMCLRLCLFLLFPIAISSVTTTDTDLPAFREAPAFRNGRECFKTVWSPEDRTHNPSIIHIAMTLDAIYLRGSVAGVFSVLQHASCPENVVFHFIATQRRSADLRRIISSTFPYLTFQIYHFDPNLVRSKISSSIRRALDQPLNYARIYLADLLSASVRRVIYFDSDLVVVDDVAKLWGIELGRHVVGAPEYCHANFTHYFTSRFWSSQGYKSALKGRKPCYFNTGVMVIDLGRWREGRFTVKLETWMRIQKRHRIYELGSLPPFLLVFAGDVEPVEHRWNQHGLGGDNMEGLCRNLHPGPVSLLHWSGKGKPWLRLDSRRPCPLDSLWAPYDLLRYSPLISDS >A01p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6802777:6817419:1 gene:A01p013930.1_BraROA transcript:A01p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWWDNVNESTQWQDGIFFSLCGAYALVSAFALVQLVRIQMRTREYGWTTQKVFHLLNFVVHGVRAVLFGLHHQIFLMHPKVFCWILLDLPGLLFFSAYTLLLLFWAQIYHEASKLSKRFLFDSRSLPTDKLRKTYIAANVAVYLAQVVIWVCIWVSDNSTVELVGNIFMAVVSFIVALGYLHHGGRLFIMLKRFPIQSKGRSKKLHEVGSVTAICFTCFLIRCIVVGVSAFRRDLRLDVLDRPVQNLIYYMVVEIIPSALVLFILRKLPPKRPPVQYHPVVSVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSTSPITFMSNMLYACSILYKTRLPLVLAFNKTDVADHKFALEVISVYSVESFDNLLSESFVDMGFMQWMEDFEVFQAAIQSDNSYTSTLANSLSLSLYEFYRNIRSVGVSAITGSGMDDFFKAIEASSDEYMETYKADLDKRKAEKEQLEEERREKEMEKLRKDMESSQGGTVVLNTGLKDKDAAEKMMVEEEDDEDFKIEEDSDDAIDEDEEDEEMNRFY >A04p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2750983:2752679:-1 gene:A04p005260.1_BraROA transcript:A04p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFASCIRRSASRLASVCGRVARSQAISAVVVVNRSSLAHNPSSLLRPFGSRSLLYSTDTNDRLKSDQTLIQVIDSEIKDAFDADVQGEDETPGSGDFPFKIEDNPGHRTVTLTREYNGEQIRVEVSMPGSMDEHEDGMDDNEDGDEKVNETSIPLVVTVTKKSGLSLEFSCTAFPDAIVIDGLSVNHSDKSSEEEEQLTYDGPDFQELDENMRKSFHKFLEARGIKPSVTDFMHEYMMKKDSREYLLWLKNLKNFIQE >A07p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20931484:20937864:-1 gene:A07p039410.1_BraROA transcript:A07p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWSLNRSKTESLRCGVKEKRFVSLEQRDTKGDHLFSAVPPSASQRRLIRSRLLIQSSAFSPAREMKKKKPKKSPSKSPPQKPPPSSPVVDPPTLMEIQPPFDSLVASDAQIDSMVDAEAQPPPDCSDLELNPTKIATNETEIAVSTADPSPVCDEKLNITIESSSGGPPQSSSESVLNREASSSEKPNPEAGSKRATAEAKVVPSSSQLAEESLTEDQPYLKEIGGSQERHSDLAIPTKSPQESGSLLSTKKQSQDPQKNNPEPTKRKTRRSKSRGKQIWKRVDQVNLPQTEAPRTEAPPPIASTDYQHTEIVKHSKLGTGCDREIGETSETPSYLKISRPGSGSGISRSSKSDLPSDSSDVETSDSELEEGEFSDRDRDFQSWLYKGFSLCVLRFFCVVEMENKTNNGNEDGPKHSQVVKIKREFEKISQPSLKQPEMRRVLSEITRRQRSRSPLGLGERSISVGH >A09p080590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58937012:58938679:1 gene:A09p080590.1_BraROA transcript:A09p080590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTKRINTETTPVQRDASAKQTATKGPYGGKNPGCTTSCGLRLPRKTEASAARLIKHLGCKFAKGLRLVVMRKKRESPASKVSSSSSSGRSQPSIMPISNDSHRSEAIEDCIEFINSSSSFTRSNSTS >A09p030340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18434157:18436175:-1 gene:A09p030340.1_BraROA transcript:A09p030340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRDRTWIYAVSTGEFNHQSYLISMTFKLKYNFHNIYGLDGVARIHNTDGLLQLTDDTTLQMGHAFFNRPFDFGSDQPLSFSTHFVCALVPKPGAGDGGHGTAFVLSSSMDLTQADPTQYLGLLNMSSNGYQILAVELDTVKTAEFDDMDNNHVGIDENSLHSVVSASASYYSDREGTNKSLTLLSGDPIHVWIDYEGTLLNVTLAPLIIDEKPSKPVLSRNINLTSIFPARKAFVGFSASTGTLVSYQYILGWSFSTSSNSLKSLDVSKLPTVPHPKMIPKKTSPPLIILMVILALIVMAVLGGVCEYRIRKYAEVKEPWEKPYGPLRYSYKSLYKATGGFSKDGRLGKGGFGEVYKGTMPLGGDIAVKRLSHGAEQGMKQFVAEVVTMGSLQHKNLVPLLGYCRRKGELLLVSKYMEGGSVDQYLFQDDKPPLSWSQRLAILRDIAAALCYLHTGASQVVLHRDIKASNVMLNGNLQGFLGDFGMARFDDNLSATAAVGTIGYMALELTSTGPSTWTDVYAFGAFMLEVACGRRPFDPEMPVEKRHLVKWVCECWRKGSLVDAMDTRLRGKFSLGEVETVLKLGLLCTCIVPDSRPTMEHVVQFINRHQTLPDFSPDTPGIGVSTPVLTRIHSLAITSTSETSSPSVSSSSANNSMFISHTIIYGDGR >A06p030930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:42434:47658:-1 gene:A06p030930.1_BraROA transcript:A06p030930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGMLSSKSNSSQWRTDELISFVDVAKVRPGSGPSDVSHRPRPRRPGSGLTTPAHTGCPWLSVAVRQHTHDVCGGPCVACVYVDVRQHTQDVLAVNGCPSAHIGRPSVSVSTQDVRVCSSAHTGRPWLSISTHISTLVLGLSTLALPVDCSGDFGPHGLSGQYTQDVRGCPCLSVCVRQHTQDVRGCPCVSVCVCQHTQDVRGCPSVHISARRLWVSAITHRTSVAVCVCPSVFVSTRRTSVAVHQYTYQHVGPWTQHAGPSRGLFGSFWPTWAVCSVHTGHPWVSASTHMTFEAVCGCLSADTGRPWLSVCVCGCPPAYTGCSWLFVAICQHTQDVRVCPSAHTRRPCVCVCVRQHTQDVCGCPSVNISARWPFPWTVRVILAHVGCLLSTQRTSVGVRQHTQEVRVSPSAHTGRLWLSINTHISTLVLGLSTLTLPVDCSAPWPFPWTVWVILSHVGCLFSTHRTFVGVRQHTQNVCGYPTAHTGRSWLFASTHRTSVDVFACPCVSVSTHMTSVCSAPCTDLWTAVYHTGHLWLSFITHRTSVTVRMCPWVSASTHRTSVAVRHHTHDIRDCPCVSVITHRTSVGVRQHTHDVRVYPAAHTGRPWLSMCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFVPPGLSVQYTQDVRGCPPVHTGRPWLYLAVRQHTQVRQHTQDISSCPCVSVSTHKTFVAVHQYTYQHIGPWTQHADLLVDCSGDFGPRGLSVQYTHDVRGCPTHRTSVAVHQYTYQHVGPWTQHADPPRGLFGTHRTFVAVHQYTHISTLVLGLSTLVLGLSTLTLPVDCSDDFGPRGLSVQLVLGLSTLALPVDCLGDFVPRGLSVQYTQDVCRCPSAHTGRLWVSASTHRTSVAVRQHTQDVSGCPCVSVCVRQHTHDVPHTGRPWLSVITHRTSVIVRVCLSAHTGRLWVSVSTHMTSVCVQQHTQDVRGCPCVSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFVPRGLSVQYTQDVCGCPPAHTGRPWLSVAVRQHTQDISSCPCVSVSTHKTCVAVHQYTYQHIGPWTQHADLPVECSGDFGPRGLSVQYTQDVRGSHTGRLSISTHISTLVLGLSTLTLPVDYSGDFGPCGLSVQCTQDVCGCPSAHTGRLWLSVCVRGPSAHTRRQWLSIIHTGHPCVFVSTHRTSVCVRQHTEDVCGCPSVHSERMWLSISSHIGMLVLPLADFGQPQTVHGLPISTYISTLTTHISMLVLPMDCSCTDFGQLMHHVSTYISTLALSVNCSCTDLDKSSSFDGLDCPSQSD >A08g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7868241:7871265:1 gene:A08g504700.1_BraROA transcript:A08g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFTGFWLGNRRMDLESCFRSVWAVFRLDTGKHGLSLLRSSGDSIRRFDENARIGVVSMFGRVPSLHSDRTLARARSLRSDRAGRALGRPRSGPSLAELGRYIATELWLELGRYVATERDDRSRPSLAGLGRYVATERDDRLRPSGTSARSLRSDRALAIARSLRSDRAWLGLGCCIATGRRVCTVTELENYIFPFTISFGNTISEDFRVRSVKMSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLAMMRSFYHIPDAVEFRAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVMDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASAEESYIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTFFTPKRVRRALRFVQPGPASPANTGSDSEPDDQNPVEAPNAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLACGDGSSSSEAPIPDFDDFFAGLPPGFNAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMWSEKRNLLVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDERIQGFWDSIPVSPDTEEVRRVSRWWRGSGSSRGCVRCFVVRGL >A04p014690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5638902:5641243:-1 gene:A04p014690.1_BraROA transcript:A04p014690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRLALVAVISSSVAAQSASTTSLITYIFGDSLTEVGNNNYLQYSLARSDFPWYGIDFSGGQATGRFTNGRTIGDIISTKLGIPSPPPYLSLSRNDDAFLNGINYASGGAGILNETGLYFIQRLTFDDQINCFKKTKEVIRAKIGDRAANKHVNDAMYFIGLGSNDYVNNFLQPFMADGQQYTHDEFVELLTSTLDNQLTTIYKLGARKVIFHGLGPLGCIPSQRVKSKTGMCLKRVNEWVMEFNTRTKKLLEDLNKRLPGAKFAFADTYPAVLDLIDNPTRYGFKVANTSCCNVDTSVGGLCLPNSKMCKNRKDFVFWDAFHPSDSANQILADQLFSSLISSSSHSPAPAPKNNY >A10g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1041754:1043247:1 gene:A10g500330.1_BraROA transcript:A10g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNLQLIAVLNQDVQHVLNLSHERWLNAEELQILFQNLALLPQSTYTFDEPGLYRVQTLKFADDHAWTLFPNGNLVIGGRVVHGGLTFNYTFAQSPDLQRRTIRRQQLYVTFTFSIKFKFVREQYVCSLRGGLALSRVLYYFRCLLIEIEKDLLWAMARLPGTEPLLFFLGLSAREYLKIDPSSATLGSSEQLDFPKNDLR >A09p061850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50853008:50858003:-1 gene:A09p061850.1_BraROA transcript:A09p061850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56040) UniProtKB/Swiss-Prot;Acc:F4IY62] MANPQTSPLLHNPLSFFRFRATPSPPPSFTSPHFKKPPLLFLPSSFPSQQCNHQATRVSTVPVEYSTPTPPDSDDFSSEIDRLKALLTKLDVSNDLRRKEAVIESDSRVRRFFSENRGGLSKVLGSLGLDSREMFLVKCVIAAGQEHALCVGYEETFEEEEEYTVRSSVKTALYALVEMIERFDVNSSGYKDRSRVLEAENVAHFRKFLTFLGEIEQFYDCIGGIIGYQVMVLELLHQSTKKHNTNRSHLVEESLGCQYLEMHTPSVLDLTKEKEYASQAALWGIEGLPDLGEIYPLGGAADRLGLVDPETGECLPAAMLTHCGRTLLEGLIRDLQAREFLYFKLYGKQCVTPVAIMTSAAKKNHEHVSSLCKRLKWFGRGESNFQLFEQPLVPAVSAEDGQWIVSKPFVPVSKPGGHGVIWKLAYDKGVFKWFNDHGRKGATVRQVSNVVAATDVTLLALAGIGLRFGDEKDENLVLIANGPMFAGKLGFASCKRNAGATEGINVLMEKKSLDGKWEYGISCIEYTEFDKFGISNRSPTVNGLQADFPANTNILYVDLHSAESIGSSSNVKSLPNMVLNTKKRIEYIDQYGDYHSVMGGRLECTMQNIADSFSNKFTSRCQGSLEGVDYSLNMILPDKLDTYIVYNERRRVTSSAKKKKPHASAALHQTPDGAVLDILRNAYDLLTECDIKIPMVEPNDQYVDSPPPYLILLHPALGPLWEVSRQKFKGGSISSCSELQLEIAEFSWNNVEVDGSLIINAENAMGSTTINENGEPILQYGLRCGKCKLHNVKVMNRGIDWNSKSNVYWRNDVNRLETCKIILHGNAEFEASNVTIEGNHVFEVPDGHRLKITQGRSPDSGLSINLEAIEEEVMETGSWYWNYKLNGSHIRLEQVHVSQN >A04g505010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10935383:10935847:1 gene:A04g505010.1_BraROA transcript:A04g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSKKLLDDLYSRFVVNGPEEDKKSMNRLMFLVESAHWYYEDNVVENDQTLKSLSFREFTRLLFNNSDLLRPYVASIDKIFRDFGYYKSRIPVAGAIILDETYERCLLVKGWKQSSNWSFPRGKKNSNEEDDVCAIREVLEETGFDDLEAA >A03g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7421170:7421868:1 gene:A03g502310.1_BraROA transcript:A03g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKAEIDMVAGRFMDVFSIIDLSGKQSHQQNHSSLNKTWMDRKLEQLEITMNVRMLGMRIQEGYGGRDHVIH >A04p019420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11628302:11629848:-1 gene:A04p019420.1_BraROA transcript:A04p019420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLYIFDGSSSSTSSIFRNSSPDMFSSDTTTTTDLFCNNELYSVDESLNMFDHFTPHILSSSPPSDLLGTLTLSHQIPTGLYPNISDAVKTEQFYGGSGHNQTETVASMARSYSAIENAGRYMQRSFSTNSVDGKPNQIPFNNVPIMDSLNIHYNTLNSPENDFFSGQMMRRVYSTGDLQNTRKNVAEQRSSEPFPDAQNLKVGRYSAEERKEKISKYRAKRNQRNFTKTIKYACRKTLADSRPRIRGRFARNEDVVEIPTIEDDDAELWKLDGLHEENEAFGSSFVVQQSQLQYAASDFSSSFW >A08p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16901427:16904128:1 gene:A08p026570.1_BraROA transcript:A08p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIDKQRSVIQGFIPPSRSERHLPKMKPGSLYKLNNFYGSSNKTVYRVSDHAVTVSFSWNSELSVLEDSPTRFDEDRFRFHSFEDFQASCDRKGDLYVLSGHEIVECYCVVFLLTRLMNNGIDVVGHMKVVNGQCLIGTPVLDEVEIARARHVLVHVQSYDGPVMKLYFWDQAARDFCKKFKSYEGTPTVLLVTTVNTKSLGEVVTKRETLTIGEIFSYIKQGSNKCTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQTVFVLLGDAGFQLTGKQAAELVSSYFEANGDQGVTQEVPFPEALISTIGQKHNFCVKVTKHNLDGKSRSLTVTKILPLDTPPVTESSGGNYNLATSEETFETGTNVCEASKISVDSAEGSKRNGDIDEMGKAKRLKRGV >A09g513600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41345025:41345491:1 gene:A09g513600.1_BraROA transcript:A09g513600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLRKHEFEQIGDAQRPRQVAPARRSGLRERPRWVAARGRSESDFVFPRTKMASDFSLSLCDLMPSLREVAPGSARPKTTLITSFELQMHPNVSRNSMWYSNT >A03p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11862010:11877464:-1 gene:A03p028300.1_BraROA transcript:A03p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNETEILSRLAANHLHLAQFEPLKATLLALRVRNPDLAHTILQTIVSTAGRFDNVLWSRSCSSPSLLAFLSTIELLRFENPASPWGFDSETLGLRADFLLMVQVLIDGDKEGNCVRVLQGFLDLGVERLRFDVDASSSGGSSYMIEEEDVVALRSIVLDYSDVFDALCCNIRRQLNGGSESSCMVEEVEHEVEAIGEEDTNNVFALILRNVQLAQLDAIRRKLDEGDALGAADRIRYLHLDYGVEKENYHAVLKALLSRVMEKKDEYGDSWHMVRQNLLFIYKEALSSNCGDLLEMIQGIQDDMLLPDSQLHLSLDNDQIPPPLECFRRYLVDLKTERNVEDKNSPLSIAVNSCLRDMYHYARISGSHVLECVMCAALSYVKKEMLQEANDVLTLFPRLRPLVASMGWDLLPGKTAARRKLMRLLWSSNSQAIRLEESTLYGNNTDEKSCVENLCDTLCYRLHLASFAAYISSGKSWTPKASFLMHGNVSSENNDAEVDPFVENLVLERLSAQSPLRVLFDVVPGVKFKEAISLISMQPIASTAEAWKRIQDIELMHMRYALEAIVLALGAMDEVIKDETDASHHVVFYYLKDLTTHLEAIKNVPRKIMMVNIVISLLHIDDIRLSSTSSLDLGDLTTEGENEIVISFTRKLLNVLRRNLPSELIEQECQLDGNYSADGRQALEWRVSMAKHFIEDCEWRLSIMQHLLPLSERQWGLKEVLSVLRAAPAKLLNLCMQRAKYDIGEQAVHRFALSAEDKATLELAEWVDNAFNRTLVEDVMSRTAEGASAVQDLDFSSLASQLSPLAVILLCIDAAASSAKSTTISKQLLDKASSVRNFHSQVMLSEIYPGGSPKVGFTYWDQVHEVAIISVLRRILKRLQEFLEQDNPQILQASFSGDTIISSATESHRQGQKDRALAMLHQMIEDAHKGKRQFLSGKLHNLARAIGDEKPEVDILKGDVRDIPFDKDGVLGLGLKYKKQSPSGLASRDVDGNHVSHESEEKGKRSFGPFSNKTSTYLSQFILYIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRSSTDAAAKVAEVMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTTTYSHSESKVLSPSKEAKPNCYVRSSATPGVPLYPLQLDVIRHLVKISPVRAVLACVFGGSILYNGSDSVISSSLNDEFTSSPDADRLFYEFSLDQSERFPTLNRWIQMQTNLHRVSEYVVTPKQKPDDARIKPDERTAIKRLREHDSDSESDAEEAFSNNIRPALTHYSARDGGSFETGASRTDPTVFLSFDWENEGPYENAVNRLIDEGKLMDALALSDRFLRNGASDWLLELLIKSREETPSTLGRSQGYGSQSIGSNSWQYCLRLKDKQLAATLALKYMDTWELDAALNVLTMCSCHLLESDPVSYEVLHRRKALQKYSHILSADDRHNSWQEVEAECKEDPEGLALRLAGKGAVSAALEVAESAELSIDLRRELQGRQLVKLLTTDPLNGGGPAEASRFLSSLQDSADALPVVMGAMQLLPDLRSKQLLVHFFLKRRDSNLSDLEVSRLNSWALGLKVLAALPLPWQQRCSSLHEHPHLIVEALLMRKQLQYASLILKEFPALRDNNVIMAYAAKAIAVTVSPPPREPRITVSASRLRQKQRAGPAKPSFTSSLSNFQREARRAFSWGPRNAENRTTSKDDRKRKSSGLGAPERAAWEAMTGIQEDQGSSYSADGQDRLPSVSIAEEWMLTGETTKDEAVRASHKYESTPDIVLFKALLSLCSDELVSARTAIDLCIKQMKNVLNSKKLSEAASVETIGRAYHATEAFVQGLSYAKSLLRKLLGASEFTNNNGDRSRDLDDISSDAGSSSVGSQSTDEPSEVLSLAEIWLGRAELLQSLLGSEISASLDDIADKLSSESLRDRLVSDERYSMAVYMCKKCKIDVFPVWKAWGLALLRMERYAQARVKFKQAFQLKGEDIPDVIQEIINTIEGGPPVDVSTVRSMYDHLAKSAPTILDDSLSADSYLNVLHMPSTFPRSERSRRSLESEKNNSVPGSNFEDGPRSNLDSTRYSECTNYLQEHSRQNLLGFMFRHGHFKDACILFFPPSALPPPLQSLSLGAVSSSSSPQRTDPLATEYGTIESLCEYCVGYGAISSLEEVITERLESAKHQDQAINQYIAGALSRICAFLETNRHFNYLYKFLVLKKDYVTSGYCCIQLFMNSTTQEEAVRHLEHAKAHFEEALTARHRGSDSKKLVTKGVRGKGVNEKLSEEALVTLSSRVKMQIDVVKSFSDSEGAPWKLSLFGNPNDSETSRRRCEIVETLVEKNFDLAFQVIYEFKLSAVDIYAGVAASLADRKKGSQLTELFKNIKGTIQDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKRAFQIASKSGSVADVQYVAHQASHANSHAVLGLCKQWLSQNM >A07p048490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25881818:25883041:1 gene:A07p048490.1_BraROA transcript:A07p048490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein ARAC5 [Source:Projected from Arabidopsis thaliana (AT1G75840) UniProtKB/Swiss-Prot;Acc:Q38937] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGLGWIGAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELRKLIGSPVYIECSSKTQQNIKAVFDAAIKMVLQPPNQKKKKLNKSLCVLL >A08p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14323384:14326630:1 gene:A08p021360.1_BraROA transcript:A08p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIFTFFLVLSSISLLFSPILCSSSSSSLNLSLVRQAKVLFSIKQSFDSYDPSLDSWTVPNFNSLCSWTGVSCDNLNQSITRLDISNLNISGTLSPEVSRLPSLVFLSVASNSFSGKIPKEIHKLSNLEVLNISTNAFEGELAPLEFGQMTQLVTLDAYNNNFTGSLPLSLTKLTQLEYLDLGGNYFNGEIPISYGGFLRLKYLSLSGNDLIGRIPNELGNITTLEKLYLGYYNDFHGGIPADLGRLINLVHLDLANCSLKGSIPAELGFLKNLEILFLQTNELTGSVPRELGNMTSLKTLDLSNNFLEGEIPLELSGLQKLQLFNLFFNRLHGEIPEFVAHLPVLQILKLWHNNFTGKIPAKLGSNGKLVDIDLSSNKLTGLIPETLCFGRKLQILILFNNFLFGPLPEDLGQCEPLWRFRLGQNFLTGTLPKGLIYLPNVSLLELQNNFLTGEIAEEEAGNTGLSSLTQINLSNNRLTGPIPGSIRNLRSLQILLLGGNRFTGQIPGEIGSLKSLLTIDMSRNNLSGKLPPEFGECQSLTYLDLSHNKISGQIPVQISRIRILNYLNVSWNSLNQSLPVELGSMKSLTSVDFSHNNFSGSVPTLGQFVYFNNTSFLGNPFLCGYSSNPCNGSQNQSESQILNQRNANSNGEISAKFKLLFGLGLLGFFLVFFVLAVVKNRRMRRSNSNLWKLIGFQKLGFRSEHIVECIKENNVIGKGGAGIVYKGLMPNGEEVAVKKLLTIRKGSSHDNGLSAEIQTLGRIRHRNIVRLLAFCSNKDVNLLVYEYMPNGSLGEALHGKAGVFLKWDTRLQIALEAAKGLCYLHHDCSPFIIHRDVNSNNILLGPDFEAHVADFGLAKFMMQDDGASECMSSVAGSYGYIAPEYAYTLRIDEKSDVYSFGVVLLELITGRKPVDKFGEEGIDIVQWSKIQTNCNKQGVVKIIDQRLSNVPLGEAMELFFVAMLCVEEHSVERPTMREVVHMISQAKQPHTF >A06p028790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000110.1:65423:68101:1 gene:A06p028790.1_BraROA transcript:A06p028790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQKGELSKKEKLFLEEFTASMDKACKDQLRKFRQDIQQQRKGRSSRDGYKKKEFDQMDINWKHAGLKYQIPSFHGKADPEAYVKWEEKIELIFSSQHYAEKKKIQMATAEFCGHALRWWNQLIKCRRLDGKEPVETWLKLRALMRREYVPRQYHKEVIQKQPETKLCSSLSVQKQPDSKRSSPSSNLVSSSKTSTYSFEDSIRKAISQAFRDVEKQFKQSKTISPSLEVQNQAPSSTASELKDAKPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIVFGDLLPSEASGMHVSSQQDKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKPGAYSRILIILGECSARSRTSWGNKELEADQNSLLLGHVKIWKPPDMQQLQHHYKDYQTMSGDGGFTGENGEVITGSGEELMFSSQIKEKPPDQHSLQQTPKQPTRGIYLNSKKNMKPDLLAVRTGQTVLSSTLFEKRAYSYQSINDESLAKLETQQANLGSCLAASLDIGAVRGSYLNHHKELISKIDCHGNLTHQGLTSDWNHVQSFSDERVMGEHPKVIDHVFKNYFCIVYTDMMHFSLSKEPCADYDETLKYTRRNYKREEDKRFKPPDLDQDKHKGVPGFVLIKEAPPDAAYHPKPSKNLFGIRLLLFDKSSYTNLLYFIVCESGLRNASVVWRAQHKTDLRSNPFQEGGDDVIMDQLVDEDALAIPEA >A09p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11270210:11278636:-1 gene:A09p021160.1_BraROA transcript:A09p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACLQHCKTHKQISSTTANNSDQTMKLQMLWLALVLIAVKTNAAKQESNATIPALIVFGDSIMDTGNNNGLHTILKCNFPPYGKDFPGGYATGRFSDGRVPSDLIAEKLGLTKTLPAYMSPNLKPEDLLQGVTFASGGTGYDPLTAKMMSVISVWDQLTYFKQYIATIKQHFGEEKAQNILDHSFFLVVSSSNDLAHTFLAQSYKYDRTSYANFLADSAAKFVRELHKLGARKIGVFSAVPVGCVPLQRTMFGGLFTRRCNKPLNNMAKQFNARLSPALDSLDKELDEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A10p024570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15880915:15893137:1 gene:A10p024570.1_BraROA transcript:A10p024570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTPKFVLPETFDGVKMEITGQLGMIWELVKAPVIVPLLQLAVYICLLMSLMLLCERVYMGIVIVLVKLFWKKPHKRYKFEPIQDDEELGSSNFPVVLVQIPMFNEREVYKLSIGAASGLSWPSDRLVIQVLDDSTDPTVKQMVEMECQRWASKGINIRYQIRENRVGYKAGALKEGLKRSYVKHCEYVVIFDADFQPEPDFLRRSIPFLVHNPNIALVQARWRFVNSDECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFRAFRFQQHRWSCGPANLFRKMVMEIIRNKKVRFWKKVYVIYSFFFVRKVIAHWVTFCFYCVVLPLTILVPEVKVPIWGSVYIPSIITVLNSVGTPRSIHLLFYWILFENVMSLHRTKATLIGLFEAGRANEWVVTAKLGSGQSAKGNTKGLKKFPRIFKLPDRLNTLELGFAAFLFVCGCYDYAHGKNNYFIYLFLQTMSFFISGLGWIGTYVPSVTMQTTCRANLAMNEQGTIQRSGEDWISFLPDPLLCQILSDLPTKNAVTTSVLSKRWRNLWFFIPVLDLDIDDFPDYTTFTSFVSRFLDFSQESCLHSLNLAFKRQDDEDPSLLASWIETAVMHMEENLYADDEILEKLVSSCPVLESLTVVRNVDETVKVLRVRSKTLNSLKLVLDSSKSWYNDDSNDWEVLIDAPRLNYLSLEDDQSVSFVISNVASSAKVEIDVSFNVNDIWDMDESMERFSAVGKMLNGLSSARDMTISGTTLKIISHYMSFEPVPCFPNMIRLQTKLYMTDMLPNFLESFPNLQSLVLKLKGVRYSDTMWFSFVPKCLQTSLEYVEMTRPNCGAGIEMIRKLVKYLLENSVVLKKFTLRLECEGKEQESNVVKELMRFRRCSSSCVINVVRLEDD >A03p011800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4718875:4719978:1 gene:A03p011800.1_BraROA transcript:A03p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLVLPSQWSNLPLDLLELISDSDYVNDCSSVTVDLLRLRSVCATWRHSLPLSNKNPLSKFPPLLPFWSSSPSGFFILKQNSVYKLEAHPTSWLVKLQETSPGTMRVLDLFSNDRLCFLPENFPEKIDLRESHVRLVRKAYRVEYANKGGGEMSSFWSLSSDKVVVLSSRGHSAVIAIHSGGKLGFLKNGDDEIWKILDNSWNMTYEDIITYGENCIVVDDKGKTVIYDVEFNVSDLAEGLAGGGGHKKHLVECYGGEVLLVDKYVKHVWCKSEFSKSAVEFRVYKLKREEKRWEEVRELRRDVVLFVGEDCSFSVEITDGDLAGGGCIFYRDYRNGGRSRGVYSDGDGVFNMELKDAGKYWVSY >A09g511030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33539743:33548363:1 gene:A09g511030.1_BraROA transcript:A09g511030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGFSLSPAFEKRYCLTTDVRSKNCCSWLDANNLIFDRRIRNEDVLYSSKSFLTNFSLDAAYYGFFERILMTHSFLERICQPEVDLANHREESAPFNVHDATSILDFSSSQMFSMLFRDLLGTTETERNDLPEKASILWLIVEFQFDIVYEYSIRTYSPFLYERKRLNRYFEGLCTFLLLMFGMIDNSGRFIDDAWIVIWLFPRSELDMRGDRFSTFGEFRSVCKIWMNNYGTIYRDRKNRLKLSSLDYPPRFYVQRNRIVKAAKVLISMVTSIEAKLETFRSRFESERKDGGRYVATDGLTGRYVASGSKPRRVLLAFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQGATSLRSEWKQAKKSPTCFRRKISTETPIETKRKAVSMRIQKRTQRRTFLRTYRSLRRYVASGSKPRRILLVFVVKSQRKIRLRRNEKRFDENSKENPKEDLSEALQGAIDRAVFVLGRYVATEQCVCSVATDRAVCVLGRYVATEQRVRVRSLRSDQAVCVLGCYVATEPCACSVATDRAVCVLGRYVATKLFNRFVVFPFSAINVGVFQRFFWENKFYPSEIFSETCFGKTLTHRYFFCSVMSQTYGVLIRFIVFLRVYREKSRVCFSALPVWKVATKFPILLKTTAFAHFVATSKSNLLPPPLLPALLLAPNCGNRNSHCRFTLEKTVDLISNPRKSVAIITRDHKSFGCKGFESQLDNKRRKRYADYVPLSRIKPNVVQLIELDKRPNCLGECMPSGTRSNKEKDLLFSDDPAHLERTIRIGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNRSTTIDITPRTSIDTVSSKMVNVIILTQDDNGNLYDQDGHLRNATGQEIYAQGTGGNINFFGNGQRSNQSSQFQKPFNNSKSYSNSYCQNPPPQTQESKIEEMLDRTLGTQVRKFETQVIQTGETLKRQEAFAREAGADKGKHHLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTTSAKSTKCNAVRILTHEEFAVKHPHPPSPFFDKIDRSVDPTIDRQSESDVDRHNTPPIDRQAPLTYRVGLPSIDNDYINALKPPPKPLDSPPEPKPNPLNKLGFIGACHCGAEYESENETEYSESIDTNTFPSIDSNESTVTDDRNNTSLDVDQPPPSKRGRDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLEDERFETHKFTNMFSSSFDKVHSISVDTHPRPAKQPLTSIDTHTGTSIDIRTTAKIQEQENIPSPTRDPDGNARAIDGRMYGARKFTWERRDEDGVYRDECGHARGVAEPHLSSRTSHFLHTLTRLAPKLYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMVEMRTEIESLRQQLEKEATTSASIDASHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVSYINTRINDMYYPLNNNVDWLTTKIELLQ >A06p004320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1088676:1101075:-1 gene:A06p004320.1_BraROA transcript:A06p004320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSAATVIVSVAIAVVLWWIWRTLKWVWFEPKMLESYLRRQGIPGTPYTLLIGDIKRDHSTLMEARSKPIKVTDDIIPRVLPFPSHMLKTYGRTFFTWLGPIPTITITDPEQIKDVFNKVYDFQKPHTFPLSNLIVSGLFSYEGDKWAKHRRIINPAFHLEKIKNMVPVFHQSCRDVVGQWDKIVSEKGSSCEVDVWPGLMSITEDVISRTAFGSSYKEGHRIFELQTELAQLIIQAVQKSYIPGYRYLPTKDNRRIKAAAREAQVILRGLVNKRLSAREAGEAPHEDLLGILLESNLEQAKGNGMSIDDVIEECKLFYFAGQETTSVLLVWTMILLSQHQDWQARAREEVKQVFGDKEPNTEGLNHLKIMTMIINEVLRLYPPVTHVKRAIHKEMKLGDLTLPGGVHISLPTMLVQRDTQLWGNDAAEFKPERFKDGVSKATKSQVSFFPFAWGPRICIGQNFALLEAKMAMALILQRFSFELSPTYVHAPYTVFTLHPQYLPTKDNRRIKAAAKETHDILRGLVNKRLRAREAGEAPNEDLLGILLESNLGQAKGNGMSIDDVIEECKLFYFAGQETTSVLLVWTMILLSQHQDWQARAREEVKQVFGDKEPDTEGLNHLKIMTMIINEVIRLYPPVTLLTRTIHKEMKLGDLTLPGGVQINLPIMLVQRDTQLWGNDAAEFKPERFEDGVSKATKSQVSFFPFAWGPRICIGQNFALLEAKMAMALILQRFSFELSPTYVHAPYTVFTLHPQYGAHLILHKL >A06p013990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6314339:6314862:-1 gene:A06p013990.1_BraROA transcript:A06p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETCNASRSAYYEVILEWSSIEAWKALTSPACFPNVSYGLSRLLRKANEFEQFQVLLTPPGINSIALSIALGTAAAVCPSPPAQASCSFKGALFSFLLRHLLMSVFAFS >A09p066340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52704033:52705459:-1 gene:A09p066340.1_BraROA transcript:A09p066340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLVFLSTSTVRVQLLLLVLTSQRRKIIDGRLKPLLKEQFFVYCKFHVDSHKGDCNMYYLDCTNGELCSLCLAHHKDHLTIQSKCIGRSLGLYRSISIACHSSWIHNLTIFYPSSNQRISTYKKGCLEKVIGGDSGGGSQHHYGTETHRKKKRYHHHTAQQIQRLESSFKECLHPDDKQRNQLSRELGLAPRQIKFWFQNIRTQLNAQHERGDNNALEAEKDKIRCENIAIREALKHAICPNCGGPPVNACLFQLN >A07p024250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13954033:13955382:-1 gene:A07p024250.1_BraROA transcript:A07p024250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSFLKTLKTTKRTYPSSIHNLLICSSSTTQSPTSPEKPISDLTLSTLRRIVSDPDIKSSKRVSFFRFILENPSLFSFRPDLRTHLSLSLRVLSERKFSVARELLNPDVLRYPFRDIASGALDECEGFEKKVVARLFNSMITVYSDSGRRSDEVELANDFFRLMVASELGVVSVYSLTVVVSALCCNGEIKRGRELVEEVENIKPNIVTFKSMIDCCVKRWNFEELGLVLELMEEKNVSLDLDAYKILIDGFASYGKVEEAERLVSTMHDKQLKVETYLYNLIINGYARVGLVEKAFEIHSAMCSRGVASNKDTLMKRCIRYRAGRVDNALEVVAEMVREGYIPDGKVSERLADALSEVNREEAGMMMFTFVVKSGIKPSSLWPEMKML >A01g510670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29435507:29435782:1 gene:A01g510670.1_BraROA transcript:A01g510670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHWIVLGCSPGDSSCVIVEDEINATIVRPLPYGVKLHAIVECDMLMEFVKDFKGTAQILEKGYVTDIQDNRKDVVVEHSSSFAVLKAWN >A07p044500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24266982:24267700:-1 gene:A07p044500.1_BraROA transcript:A07p044500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIPP20 [Source:Projected from Arabidopsis thaliana (AT1G71050) UniProtKB/TrEMBL;Acc:A0A178W5Y0] MGALDSLSEYISDYFHVSRKRRKRKVKQTVNIKVKMDCDGCERRVKNAVSSMKGVETVELNRKIHKVTVSGYVEPKKVLKRVERTGKKAEMWPYVPYNVVAYPYAVGVYDKKAPAGYVRKSEQSQPLPGAPDDVIMSLFSDENPNACTVM >A07p044200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24151958:24152435:-1 gene:A07p044200.1_BraROA transcript:A07p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNKQKKNAKGNRILISVTVLGSAGPIRFVAYEDDLVASVIDTALKCYAREGRLPLLGSDFNDFLLYCPMVGPEALSAWNAIGSLGARNFTLCKKPEEKKVVKEGNGARKGGSFKAWINKSFSLKVSTH >A01g510420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28629485:28632043:1 gene:A01g510420.1_BraROA transcript:A01g510420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDSLPLLLGEWLQISSYASLSYATPLSPAMVIKPLGSEVSPVDGTALSFGELKESRGMIEQVKGHFYSVPALLGTNSLLPMDESEEESVADKGDKSWLKVSLASLKLRASQLGHPMKGLYYCVIYLIPGDYHRIHSPADWNALVRTHFAGRLFLNECATRNIKNLYVENESSYLKGYGNKVLWYLLLLVRPTLDPLKQISKRKKLFPTEPPEEQVYDPQGHGVKLEKGKEVGVFNMGSTVVLVFQEPTANSPDGSSSSSDYRFYVKLCLLMTIPRRTCWQAMLLSCSSKTYNFTPNYGTFTMSATSKDVSVKLFFFCVLRVCETHSEQHNSTRGMADCTTTCFWAVTRWMGLQKGWTLINQPNPDLEMRRRAANAPGVIVGSTTRRLSHQVFFSCIKSSTQLLFSVLLYYIPNYRH >A03p003710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1622049:1622829:1 gene:A03p003710.1_BraROA transcript:A03p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDASLPKATMTKIIKENVRVARDAQDLLIECCVEFINLISSESNEVCNKENKRTIAPEHVLKELQVLGFGEYGEEVYAAYEQHRYETIQDSQRSVKMNTGAYMTEEEAAAEQQRMFAEARARMNGGVSAPQQLDTQQSSLQS >A05g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24926392:24927482:-1 gene:A05g508540.1_BraROA transcript:A05g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDEFINVAGNGIVAVLICSFESSLHHQAFLTSGSISQPLSQPWRAMDRHHLFLLSTLSQISISKPLSYLKSPSLGVVFKPFVEVKKELDLVPSSPELSLARHMYSLECEAAVNEQVFQGLKCGRKRPREMLMEYQEKRGGRVQLKPMVMPQSEFDYADKGDVVYGKALSLSSINHGVGSIIGETGQCEAPIRFLQRWAPNITTKFLFKDGFMGVV >A07p023960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13819686:13820648:1 gene:A07p023960.1_BraROA transcript:A07p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKASGIPVVDADVVARNVLKKGSGGWKRVIAAFGEEILLPSREVDRPKLGQMVFSSDSTRQLLNKLMAPYISTGIFWEILKQWAKGAKLIVVDIPLLFEVKMDKWTKPIVVVWVSQETQLKRLMERDGLSEEDAGNRVMAQMSLDLKRSKADVVIENNGDLDHLNQQFSKVLSEIRRPLTWTEFWRSRQGAFSVLGLVTSGLFVCKHFSFGS >A02p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2713149:2717250:-1 gene:A02p006430.1_BraROA transcript:A02p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSYIGNTRRGTSAAASGTGGGWGQSLLPTALSKSKLAINRKPRKRTLLVNFLFANFFVIALAVSLLFLLLTLFHFGVPGPMISSRFLASRSSRIAKPRKTTTRRHANGSLAVDITTKDLYDRIEFKDVDGGPWKQGWPVTYKGDEWEKERLKIFVVPHSHNDPGWKLTVEEYYQRQSRHILDTIVETLSKDSRRKFIWEEMSYLERWWRDASPNKQEALSNLIKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKELALHKNLEYIWRQSWDAMETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFKYELCPWGKHPVETTQENVQERASKLLDQYRKKSTLYRTNTLLIPLGDDFRFISIDEAEAQFRNYQVLFDHINSNPSLNAEAKFGTLEDYFTTLREEADRVNYSLPGEVGSGQVVGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHTLRGAEIMMSFLLGYCHRVQCEKFPTSFAFKLTAARRNLALFQHHDGVTGTAKDHVVQDYGTRMHTSLQDLQIFMSKAIEVLLGTRHEKEKSDQAPSFFEAEQVRSKYDAHPVHKPIAAREGNSHTVILFNPSEQVREEVVTVVVNRAEISVLDSNWTCVPSQISPELQHDRTKLFTGRHRLHWKATIPALGLRTYYIVNGNVECEKATQSKLKYASEFDPFPCPSPYTCSKLEGDVTEIRNEHQTLVFDVKNGLLQKIVDRNGAETVVREEIGMYTSPDSGAYLFKPKGQAQPIVKSGGHILTSEGLLVQEVFSYPKTRWEKSPISHSTRVYTGGDTLQDLVVEMEYHVELVDEAFDDQELIVRYKTDIDNKNVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSNGQRFSVHSRQSLGVASLKDGWLEIMLDRRLVRDDGRGLGQGVMDNRAMTVVFHLIAESNISISDSVSNSSPRNPSLLSHLVGAHLNYPINTFIAKKPQDISVRVPQYGSFAPLAKPLPCDIHIVNFKVPRVSKYSQQVEGDKPRFALILNRRGWDSSYCHKGRRANCTSVADEPVSFSDMFKDLGATKVKPTSLNLLQEDMEVLGYEDQAGTVPLQEGRVSISPMEIRAYKLELRPHK >A02p011690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5125867:5127943:-1 gene:A02p011690.1_BraROA transcript:A02p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSLWFSRTIGCFGCFGTSTRTRELPPERPLQHDDTHSSGSEGTSSSRSEEDEEEVEQKSRSKRSDEILKFRLDHGLICRQVPVKVTNQLIRGEDEDGNKMINEYVRVCKIGSGSYGKVVLYRSSVDGLSYAIKAFHKSQLLRLRVAPSETAMSDVLREVMIMKILEHPNIVNLIEVIDDPETDHFYMVLEYIDGKWVYDGSGPPGALGEKTARKYLRDIVAGLMYLHAHNVIHGDIKPDNLMVTSSGTVKIGDFSVSQVFKDDDDQLRRSPGTPVFTAPECCLVSGITYSGRAADTWAVGVTLYYMILGQYPFLADTLQDTYDKIVNNPLILPDGLDPLLRDLMEGLLCKNPSERMTLKKVSEHPWFLGEDGHVPEYFCCCKRKSALKIEQEEEANGMSEDSDF >A05p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7717068:7719689:-1 gene:A05p017200.1_BraROA transcript:A05p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QIENRERSNKTLELVLWLLLVYKHTHKQGFSVPSMENAVVKTSNLSDIISKFVKVCKFRSIGVFPDQKPNPNTPSDEVVAEAFEDITCKPSRKKIPTSRWNDDDVSKLFDAVSALKLAYLEFQRAHLPYDPDKIIEADNLVVSHLETIRRFKRLYLKTKQLQAKTELDAASRLNRLRDEVEVNEKHLWELKTQTKAKESEVHSLKETLNCLVAENNKLEERLVSVSSFEFVFRAASKSIHDFAKPLITLMKATDWNLEKAVESIVPNVTYAKNSDKKYAFESYIVQRMFHGMKLNPYDVTELMSFDDPLDALTAFPDSAFARFCGQKYLLVVHPSMEASFFGNLDMRGLVLLGKHPRTVFYRIFAKMAKWVWVLGSLAASLDIEAKIFVVRRGTRFSGAYMESVVGDDAREEEEEEGQEDLRVEFITMPGFKVGDSVFKSHVYLSQTKSFESFVGKDIICFVTMYTFKIQSVDSRSNLNLDASVSAELSIESGDKFNEDRALKILPAGEKLLYVHQVIHFPFFDNQLNQIVFKASASIFNCIVFECISCAQPFLGRLCRNRRRDGDKTAKRKRNRLASWDDDDDV >A09p046210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:40389134:40390717:-1 gene:A09p046210.1_BraROA transcript:A09p046210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILVFCLLLLSVSVATSQSVIDSATFLRCIVRQGLNPPDQTSEVTYIPTNSSFATVLRRRIPNLRFDKPTTPKPLAVVTVKNWSQIGTALACSRELSVQVRIRSGGHDFEGLSYTSTVPFFVIDMFSFRSIDVNLTEGTAWVDSGATIGELYYRIAEKTNVFGFPAGLTPTLGVGGHFSGGGYGTMMRKYGLSVDNVVGSGIIDSNGNIYTDRVSMGEDLFWAIRGGGAASFGIVLGYRIRLVPVPERVTVFTVGKTVGEGALDLIMKWQSFAPSTDRNMFVKLSLKIVNGTKPGEKTVLASFTGIYLGGSSKTLNVMNRDFPELKLKRTDCTEMRWIDSVLFWAGYPIGTPTSVLLDTAVANKLFMKRKSDYVKRAISRTDLDLILKKLVQVEKVEMNWNPYGGRMGEITSSRTPFPHRAGNLFNIEYLIDWSEAGDAVEKDYLSRAREMHGFMTPYVSSNPREAYLNYRDLDIGSGGNSTTYEGGKVYGAIYFKDNFERLVNIKSIYDEINFWRNEQSIPVRK >A01p004810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2066910:2068497:1 gene:A01p004810.1_BraROA transcript:A01p004810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMGEWSNNLGGMYTYATEETDFMNQLLASYDHPGTGSSSGTAGGDQQGLYWSLGSNHNHLSLMPEASSFGFSGESSSYSVADSRYYTVVPPTVEETNNGSLGFGMEDATVNTNSYLVGEETSECDVEKYSSGKTLLPLETVVENHDDGESMLQSETTTDYHRSLAGSKKRSRATSTDKNKRSKVGKRGQKGIEMTCDNNNRGEEEEGEKVKRRKSGAMMSRQNSSTTFCSEEESQCPSQDVGGEEDEDASKALNLNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDQWMYAPIAFNGMDIGLNLPR >SC291g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000137.1:27911:30259:-1 gene:SC291g500010.1_BraROA transcript:SC291g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVIEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENVQAEEQVETLRRSTRIMILES >A10p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11131189:11132415:-1 gene:A10p008060.1_BraROA transcript:A10p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHLDPHLQDSKNFRDFCGQISPELGFNSSTNLHDQSQHPPYIPPFHVAGFAPVVQIDGGEWNSFGRERVKEMDFLENSSQISSIDFWQGRSVSTGLGLSLDSAPIGSSDGSALLSLVRDDVERELQRQDAEIDRFLKIQGDQLRHAVLDQIQRSQYKTLALMEERVVQKLREKDEELEMINRTNKELEVRIEQLAMEADAWQQRAKYNENMIAALNYNLERAQGRPRDSIEGCGDSEVDDTASCFNGRNSKMMCRFCGVGEVCMLLLPCKHMCLCKECERNLSSCPLCQSSKFLGMEVYM >A05p016960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7564941:7567397:-1 gene:A05p016960.1_BraROA transcript:A05p016960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVMFDQKVPVLTYAACEWFLIFLMLIDALLSYLLVWFARYCRLQMPCFLCSKILHPLHWRLLICRNHRSEVSSYMSCLNHDNKLSDCRGMCNDCLLSFTKTTGPNPDVNRLLLGKLGYDLLSTSHSAHLRSCSCCDKPWRARHHTQRLIRLGSRGRNSKPNIPAPRHHLTRRGSGGSLKKMRDRRPATSGGEYVDAGSRSDGVAHAGYTELKVHSGSESDFLFTDDDAFLQMTDFNVVEPPERRFRKSRSRTSFEDKKTPKRKQDVQDNKDKKKDYKNVGSPMHERKIVDKTQDQPPVPIGEHASVIFELITMSEARPFSLDLPKDDNAGEVTHIANETETSGNSSPSGGEFLSPRETSASQEFQIQEHDDSADSAQNISDSDVKMGASDAEMEEKVSAHQPDSVADDEEDGAEGDFKPSASDSLAHEQSSEEEKETNEKNVAEEYFSNEEVDEVNAHSELPLTSKEVSVSAEEQSSEEVEDSHEVKDVDNGDSEQLTSNNATGSATEEHSGKEEHGDDHEETEPLKSPKPSLEHTDKDSSKVTETQSTSNPTQELKHSASVESFASISSDIEGESLVDLLKQQLEYDRKCLRELSKELEEERNASAIATNEAMAMITRLQEEKAALQMEALQYLRMMDEQAEHDVDALERANDVLADREKEIQDLEMELEYYRVKYPDESREEILASMGVLEGVETSGNSETDETSEKVPTDA >A02p028490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14396164:14397344:-1 gene:A02p028490.1_BraROA transcript:A02p028490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIEGGGGRRRNEEAGGSRRRGEVAGGRKSPESSTTLSSCIAPWSVARFINSFLIIISLLTEQFSITLSCFETLIRPQVIVILSLLLMSFSLEHLYYTEEEIADSKAQVTAIHLIVGTLQRINVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFSVDDLDGIKDGERALLCLRRALRIANAAQQMANATRGTYISMIKGNPRITPSDIQSLIELINTEMQSDNNGNTRTHSDPFFTSTLRYMRFQKQKGGLMGDKYELIKL >A08p028460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17807141:17813074:-1 gene:A08p028460.1_BraROA transcript:A08p028460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVDSYEISNQSSTILSAAAEPTDNTESSAVRPPELSPVDVSALQLLSINLESLFDSPEAFYGDAKLILADEREVSFHRFIVAARSPFFKNALAAAAEKDPQKSSTAGTKLELKNIAMDYEVGFDSVAAVMAYVYSGRVRPPPKGVSDCADEDCRHVSCRPALDFMVEVLYVAFVFQIPELVTMYQRHLVDVVDKVIIEDALVILKLANICGQACKKLFDKCTEIIVKSNVDTVTLNKSLPQQIVKQVIDIRKELGLEVPEPNKHVSNIHKALECEDLALVDLLLKEGYTNLDEAYALHFAVAYCAVETATELLKREVADVNRRNLRGYTVLHVAAMRKEPSLIAFLLTKGANASDMALDGRTALLIAKQVTKAGEYNCITEEGKDSPKGRLCVEILEQPENLGRFPEDASSACLALAPDNELKIRLIDFENRVQMARCLFPTEAQLAMELAPMKGTSEFTVDSQELDGTGAKRSAPDQYMVPFVFEEKHRSRLEALSKTVEFGRRFFPRCSTLLDKIADCETLSILAFVEKETPENRLEKRQKYMEIQESLLMAFNEDNEERGKSSRSGSSSSTSKSTKRSNESLSIVGSVICIRAAAEGLQESKILEEMFKAPCIQNLVKDQVKWFFGLQPSPSQEGSRVSPRTSTSILTNPWKVGIHDKVLSPFGYWLWNVPLLKQISPQKMSNNHKDNFSLAGLTASLKDEDRAGLLNAHKNKLAGHSSDMLENLTALVRARVDALKDIQSQHDELEAKFREERAVLEAKYEKLYHPLYAKRYEIVNGTTEIELTPEDTKMDEVDDKTAEEKGVPSFWLTALQNNEVTSEEVTEHDEEALKYLKDIKWCKTEEPKGFKLEFLFDSNPFFINNVLTKSYLVIDEDEPLIEKAIGTEID >A09g512460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36563424:36565395:-1 gene:A09g512460.1_BraROA transcript:A09g512460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A02p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30334445:30339035:1 gene:A02p048800.1_BraROA transcript:A02p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSTEKKKKRFSFFEKDKKVDDDVEEMNEPKSQPVIQPVEQELLENSDISKLQKELEDVTQQKEKLEGERHTLRAQQILQLSLTNETKYSSNFGYPIKLPQIQDVNPEARFFGEVYMTKLLDLLDSKDVDVQFQATKVIANLTAEERNHKTIMKEYGHEVLIEVLSRNHPEDIHRIAVDNCQTQIIELDIIEVLSNVAEKARDVKTVEMVAKAIANLCGNISSLLVTHSSSASTDNLQKDRKGIQALFEIADTWPQPKVFEEVARGVANFARETRQSKFQSPLLASKHMKWILENIENKNSAIRLQLETALCYLAHLQNGEKIKQKVVIIAKKSSNEDIRIFAEITLNKIDHIGINDHTDTSWKPCRVTLRGDFRIDSDPVDFTFSHGFTSFSVTSTSYSRCLFPNSSVEEINKFRISMNLVKRNEDKGEETIGDLKLSLDTQLGSKSSKVFLGRFKNRDVAAKRSLIIKKGNLEIDRLSSYDSHPNIVSSKQIGGRKSAADPDLINLMQGKQLWDANSNPSETLMNLMKNIAYALDFLHTLKVVHTSINPENILVTDSLTAKLSRLGHIILISEELVSSTFLSSSSNLGWRPVDKSVTYALDMFSFGCILFYSLSGGLHPFGDEKNCVKHIASNQPSLSEINHLPEAKQLVRGLLNSNPESRPSASEVLKHPIFWSTDKKIQLIVEASDHFTAVPAFLQNLTATERKKTTALAKKWVSQVDGNVLNSMRSKYRDNDFGELVRFFRNCKCHYSDIMIDIKGSIVSIQTVMGDMPDGFHSYFASRFPELLMVVHNIISNNLRSESKFNIFFKKTS >A02p010070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4331893:4333351:1 gene:A02p010070.1_BraROA transcript:A02p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMGLPSSANQGTTFRPKKRKTPPSGSKGSQLEELITTTLGSGNLREAVKLPPGEDINEWLAINTIDFYNHVNLLYATLREFCTSDTCPIMNAGLYEYRWADGVAVKEPIRVSAPEYVEYLMNWIETQIDNETIFPQKPGVPFPPNFKDFVKQILKRMFRVYAHIYHCHFKKVVGLKEEAHLNTCFKHFVFFTSEYQLIDEANLAPLKDLVDKILNP >A08g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9629993:9631007:-1 gene:A08g505740.1_BraROA transcript:A08g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNLTTLTSFPARSNDAEKFSGKADRLDKLRRTRRSLSQRLRNTNKENYKCVLSAFGFKGCCGEYILKIYEVGELFEEARETLKKNVVCAIKKLRIYNAL >A01p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3280208:3282109:-1 gene:A01p006500.1_BraROA transcript:A01p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSSHLLPPQEDLPLRHFSDQSHQHPQPHFSETPSLLTATFLNLPPAAATADSDFAPPNRGGDSSSAAAATNRRWLSFDSSEIQNTGEAPSEVIDGVNADGGGEDWKSASCKAAIVRHPMFEQLLAAHVACLRVATPVDQIPRIDAQLSELHTVAAKYSTLGVVMDNKELDHFMSHYVVLLCSFKEQLQHHVCVHAMEAITACWEIEQSLQSITGVSPSENNGKTMSDDEDDNQVESEVNMFDGSLDGSDCMMGFGPLVPTERERSLLERVKKELKHELKQGFKEKIVDIREEIMRKRRAGKLPGDTTSVLKEWWRTHAKWPYPTEEDKAKLVQETGLQLKQINNWFINQRKRNWNSNSSTSSTLSKNKRKR >A01g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2064595:2065840:-1 gene:A01g500450.1_BraROA transcript:A01g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQCSANVGSYMILYFSLSTLSLEDDKLELRLLRHRRRVAARTVTGGPLRLVSFSRFAAIPSVSLPSICALFWARAWLRNLLRCRGVLIRWSLSFLWNNGEKVERSVAVSSLGFRRFAALAQAVLGYGGCLSSVFEPEYPPPSVVCAGGFLYHGGEFVSFSTQAGWCLSSVAGSRRSYGSDGPSVSNFSSSVLGDDERVVVGLGVGCSEVAAPFCSERHHVQVVFGSNKSSVLVSAGVLGLCSCCLFLWDCAIKPRQAVLGWAIIFKSGSSGRPKLPGSDSRLNLAHSFAASCCCGHGRASGIAV >A04p024520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14883189:14911290:1 gene:A04p024520.1_BraROA transcript:A04p024520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKKSSNMVWVVKSKQPAKTTEPATEKKTSLLSDSVSKLQLSSKEQHTSSDWSFLPEELLRIISSKLEDSFDFIHARAVCRPWRSIFPYPSWLLRTTYSLPSFDRFPRRPRGGTLEKFPVFLFRVRSPDTLPCEFFLGGIRPDKSTDPVELPSPTLINTADCRIIPLGHMCRMVGYDPDSLSRSYRGVAILPLNEETGEFIVLIGYDHHILALRSTETRWMEVDNCSRADCKDIVTFRGKFYAVFINGDVFAIDPYTLETTPLRPPDITGCGRQNYLVLYGDDELYLVERIIVRNSVLSFTKMACRVSVLDEEAGEWVVVNDLGDRVLLIGKPGNSSGNSACSAKELPEGCGVSGSSMLFINEIFDETFPYKYGVDTGNPGDDLNVWRCSRETRVTILNKSPMQFPSSSANMSEPPSEKKASLSSSSSMVPDWTQLPEELLHIITEKLEHCFNVIHARSVCTSWRSTFPFPHSLLRPSYSLPAFGDFPYVSKGLCTLEKVPLFLFRVQTTPAASPSEYFLGGVGRDETVDHMELQYPIQFSVKIPGSEPTVLNIRNSQVFPPGHQYRIMGWDPESWTTKYKGVAFLPLNKEGEFVVLLNYTMDLLVLRSSEMRWMRLKETSNAQCRQLVAFKGRFYAAFINGDFFIFDPYTLKRTPFTPLPLLRSSKYLVQSGDYELLLVEKFNPFPDAEILDFNRFTCRVSRLDNEADKWVEITDLGDRVLFIRDSGNFCCSAKELPDGCGVSGNSIVFTNTGYMTFAYKYGVHTGKEDDELNIWRFSRENRVTILNTSPMVAFKVEPEIFQTVKSKQSVKMTEPATSSSSLPLDSVSKLSLSPSTNEITVSVVPDWSLLPEELLHVISKNLDDCFDALHARSVCTLWRSIFPFPSHLSRPSYTLPTLDNKGSWSLERIPLFLFRPRALAASEYFLGGIRRYEPEEEEEELSSPIQCSVKVEIPGSDPKLMKMNDCQILPLGHQYRMIGCNAKEYRNVVVLPLNQEGGGGGDFVVLLNFTKVLLVYRSTEMRWRRLHTQPFTTATCEELFTFRGKFCAIFISGDVFAFDPHFLGLTTLKPLELPNCGSCNDLVQSGDDELFLVEKIIPRNDDVLDFNRLALRVCRLDVEAGQWVVVTDIGDRVFIIGEFGSVSCSAKEFPEGCGVSGNSILYTHMPWNETYFYKYGVDTGREEDDLNCWWYSRDNLVTILSTSPVVALRVERVVCVLTKFLLILAKQWTEHKGHGAGYVCEDNIIITHDASNVSSEKTVSVPDWSLLPVELLHIISKNLDDCFEALHARSVCTLWRSIFPFPSHLSRPSYTLPTLDNKGSWSLERIPLFLFRPRALAASEYFLGGIRRDEEPGEEEELPSPMQCTVKVEIPGSSDRRLMNMKDCQILPLGHQYRMIGCNAREYRNVVVLPLNQEGGGDFVVLLNFTRVIFVFRSAEMRWRRLQPFTEATCEELFTFRGKFYAIFISGEVFAFDPHFLELTPLKPLELPNCGSSNELVQSGDDELFLVETILPRNDDVLSFNRLTLRVCRLDVEAGQWVVVTDIGDRVLIIGDLGNVSFSAKELPDGCGVSGNSILYTHMPWNETYFYKYGVETGREEDDLNCWRFSRENLVTILSTSPVRSNEPSIKDMGLRLREIDLDLWPKGKDNLVSKLSLSPSAKMTTNEINWSLLPEKPLNIISEKLDDCFDVVHARSVCTSWRSIIPFPSYLSRQSYSLPTLDNKGSWTLEKIPLFLFRPRALAADYFLGGIGRDEPEEELPYHNQCSVKVEIPGSSDPRLMNMLDCQIFPLRHQYRMIGCNAKGYRCVAVLPLNKEGGGDFVVLLNCTSVLMVLRSNEMRWRRFQTLSVHPCDDLVTFRGRFYALFVNGDVFGFDPHFLELYPLVRFELDRKCGWSTSLVPSGDDELFLVELISSHNADVLDLMSRLKLRVCRLDVEAGQWVVVKDIGDRVLVIGDLGNVSFSAKELPDGCGVSANSILFTYGPSNVTCSYKYDDDLNCWRYSREDLVTILGMSPVVALRVER >A09p003740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2189749:2190021:-1 gene:A09p003740.1_BraROA transcript:A09p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGIRALVRSVVSLVIIVFLLVSILANSAPSVPSTENVKPLRFSGKDANLFHVSKRKVPNGPDPIHNRFSSSSFKFWIFNFRFFEFAI >A01p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7961314:7961897:-1 gene:A01p016070.1_BraROA transcript:A01p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSTELYYVFLKRDPEYERLKEIRTKKGEEELDRYLEKRHEEILESNLEPGSYKRTVSLVVVHGFGVEITKHQAEVLRSADGVYTVEKNEEIKLVSS >A07p013620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7366023:7367243:-1 gene:A07p013620.1_BraROA transcript:A07p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMMRLWLKMMTRWGRSVMAVTENNRTVYLPEDMLVEILSRVPEASLARFRSTSKEWNALIKKERKLDYKSLVVILIDRRVYLARLDLHGIQDDNVVNLISHFSLNDPLSTSSKEVGIRQVFHCDGLLLCTTMDNRLVVWNPCSGETSRMIKPLNSYFRYDTYALGKSSNNKYKILRVHHHGPGYLEPCLVDYEIYDFTSNSWRVVGKTREWSIPHMWRGGTSVNGNTYWLTFTFRQNGPRSRDTLRCFDFSTERFGPVSLPGDPRSYYVFALSVTREEQKLCLLTSSRDEVYDIDVWIGTKIKSTGDMSWSKLLTVERTQRCQFITLRTGMSFLADRENKVLVHPTKYKISSNCLLHILGEDKYRQVDLHDVGFKCSLPVQYGPTLVQIQQGSLGVGTWKAPIT >A04g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18642387:18643084:1 gene:A04g507480.1_BraROA transcript:A04g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGIRTTEGLVGSMGKRGGRSVQKRQVKNRGAGQAAPQERSKKGEVTSRFSFRIERTISGNVDGKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSYTMMSRTN >A04g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:219946:221604:-1 gene:A04g500040.1_BraROA transcript:A04g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSHHNKFVKHCSKSLNFTVTAFSVIYRRHSRRRLSSFAAAHGPTPMGKRKPPKRATPLKLPAGEKVLASSSSESPACTGSTDTLQVSIEAPTSQRTASVSKFQDPISVPVTGSEDPNTVITVNSAKVILVTDPAKTPTCEDKEEGEISPTKSNLITEKDDAILDKTRNFVLASVPTTDNTATAKSAPPAVYIPVDPKRHSTASLKESASSFKKMEIGETSGKVESSARGSIDRASSDLGGLEMSAAESDSSDLDSSDSRL >A06p005990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1965360:1976646:-1 gene:A06p005990.1_BraROA transcript:A06p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSIVRVIFLIVISITTASGSPDHGEVEDETEFNYEKGGEKGPEKWGTLKPEWKMCGNGTMQSPIDLTDKRVFIDHNLGPLRSHYLPSNATIKNRGHDIMLEFEGGNAGMDITINGTVYQLQQLHWHSPSEHTINGKRFVLEQHMLHQSKDGRLAVVAFLYSLGRPDSFLLSLERQLKRITDAHESEDFVSLIDPRAVNFKTKFYYRYLGSLTTPPCSENVTWSISREMRTVTLKQLDLLRVSVHDQSNTNARPLQRQNGRPIRVGVGDLRKVRRAIDSAHSSSLSSVQRKMKKDEKKKVSTGRTICTRSSAVASASTVLETTPLRRSTRGTPSTSTKPITPASSIRRSGRLASSPASVTKISGRIEKKPAASPLGRSSKGKTVPSEKNPKGSSEKPDRNANASSLGVEESNGKKIDSVEEESTDEIKKRKAEMTARSLRALNRGHLKTGSNASSNDEELVVVGCSRRVPAENDDARDDNSPPHANSGSKGLPVGDFSLEKGPDFPMEVTRDTEKTVVDSSPMVETGDDSVIGSPSEDPEPQKLRDSETSLETGIDLALKRKRDTAENDDARDVNSPPHANSGSKGLPVGETGLEKGSDFPVEVTRDIEKTVVDSSPMVESEDGSVIGSPSENPEPQKLRDSETSLETDKDLALKRKRDTAENDDARDGNSPPHANSGSKGLPVGETGLEKGSDFPVEVTRDIEKTVVDSSPMVETEDGSVIGSPSENPEPQKLRDSETSLETDIDLALKRKRDISEIVMDACTNADDRIMSTDGVIPFPPVCTNINQPERVNDNFEDLSVCSCIAQPVQGSDQVAQDIKECGPATSKDYGENRQKMQQDKSFNPKLLSMYTEYWVPVQLSDVQVEQYCRTLFSNSSSLSSMLKNDLVGETLTSVRKTCDHPYVVDVSLKQLLTKNLKLHEILDVEVKASGKLPLLGAMLTQIKAKGLKAVVFYQATHSPEGLLLGNILEDFLMQKFGQNSYEHGVCLSKKNAINNFNKESECFILLLEIRACSQSIKLLRAESFILFGSSLNPSHDVKLLEKIKVESCSERTKIFRLYSVFTVEEKALILARQNKPLENLNRPLTHALLMWGASYLFDKLEHFHGSGTPGSGVPFEQSIKDAVIQEFSSILSSDVGEENEGKLCLLLEARHAQGSYSTDATLFGEEHVKLSDGSPNMFWSKLLSGKNPMWKYCSDTPQRSRKRVRHLQGYEETSKVGNGGNLKKKKKASDDVTVDNAERKASGKDHMGHLESPDVATLKSSRGSASGTYDSLTGNDATGLYSLGSHISGVPDDMLAGIDWRQIPHESQRSLYAVLKPKMAKLCPSEDSASIAEKFLEYIIEHHRVCTEPATILQAFQIALSWIAASLVKQTCNREESLVRAKSELGFSCSEEELDCLGTNSKLAKVNESLSGDIVRQERSNTKSMRSSVTKDVEKTISDIKNKCSKKLQELVRIHEEKKVDLMNRSADKEQELQNGKKLEVLYIRVTCSGLSSQSLLDNLQRVEDDFERKNDDLKIEMNDCLKKLEGMHEAEKKKLAEDEAYWISRIENWAQAQAELIDCAPIQSGNNKHLSGLRSSNTSKNTPDVQTCNDASGEATYADTSSMVAKKNQLPEAESTLRTMSGGSTQQVHDIVASRNDKALDILDISPLSHEQPTDNVATKSQPNELASLIAPEILIPAGCQEESGVLNVQSSDYPNCVRVTSATPNEDVPSRVAEISQSVANLAKSASLESWLNREEALVSIENDKTIRSGSDTNNIFDHQYEEACSLYKEIPDELVSRIPHPAPVLKTRDSAESYQGDDEICPVPSSPTGQQPDPAEKNQGKNVEASIESQLAVSESVETGGFAAFEQGDQVACPLPSSPTGNQPDSGANVESQNISTSEEPHNAGPGVVETGVTAVSDQEIMGAQDLCSLPTPLIGTQADLATNTEGQNIITVAQLHTAGSDAVETSRDASPLPVSSPGNQSEAAVSTEGLNNNTTMAAPRTTGSDACVMGVAVPDAQVLPDAQVEQSTFANNVEPLVRERSVLKAEFDRKMAELQAEYQRKFHEVEAEHTAKATKLQTSKNFVIMNKLLTNAFLSKCASRMESHPSAAPRGRIQQLAQRAVQMSALRNYYTASAPAPLQPHASSVSRSPYLPPSSTHCPMPQPRQPLISNPAPRFSASPASATNLAVNGRLVSPALPLNSYRSSSSSVPIPMTTTPTSSAVPQALTYSSSVPIPMTTTPTSSAVPQAFTYSSSVPIPMTTTTTSSVVPEALTYSSSVPILTTTTPTSSVVPQALTYSSALTQQQEQQQQQNMGSGSQRSGDVVCLSDDE >A04p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7870520:7871539:-1 gene:A04p009140.1_BraROA transcript:A04p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVNKLEQKMRSGWSVEKKKKDKDLQEERWFLENGSILLQKFTADCNGKSVPLRTFSSDQILKATNNFDSSCFIAFEGIHTWWYRGIIENRTYMIKRYLVDKGCCLESCYPVLVFENAEHGVLNQRGGVMVNWREESFLPWSVRLKIGKEIANALAYLHKAFPKITIHRDVKPTHVFLDKNWTAKLSGFSLSITLPEGKTKSATVPVVAGGNIQVHRSIVRCHSGKREGVIGYVKDLYEKDKLDEVIDTKMVKDMTSGQRFQVEACVALALRCCEKIDEYRPKMIEVAKELKRIQTSF >A02g511860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31956897:31958851:1 gene:A02g511860.1_BraROA transcript:A02g511860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYGVEVAAETGKLRLTGVENVKENVEVLKLKTKRGNQVVAAYIKNPTASLTLLYSHGNAADLGQMFELFSELSLHLRVNLIGYDYSGYGRSSGKPSEQNTYYDIEAVYRCLEEQYNVKEQDNVDKISSVKCPVLGTSDDVVKWSHGKQLFDLCKEKYEPLWIKGGNHCDLELYPQYIKHLKKFVSAVEKSPHQGQACVPPQTEKARSSTDVREPARPSTDQREKSRTSMDQREMPKLSVESKDKARASVDKRERTRKSVDGNATEQQIQTEKARNSIDRFGGLIRSVSLCNIDCFKPTATAK >A05g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13341818:13348908:-1 gene:A05g504800.1_BraROA transcript:A05g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDWIQRSDGAIRTWRPSYKASRRLDLATKQRENLVRKTRASDCYALSLDVRGVVRAHGQVLHDDAARTQLDDCGTGCEALGHDIEVIANWNGLVESLDLFLILGRTGLTLETEQMSNQGSGAGGSFGTSGQDGSRYANYHNFWDAPNSANQTGDSGPRPMEGVERAHSEEYVSHVTWRLSVSPPNSQHVESEPFVGPQRPHSSHADSEGSTSIRINSRPAEPATPTPAPAPAPAEGSRPADPTLSMVHNLLSKVLTNKVTPSPYANQPETRQPDFLKYVLTMKNMAEREPTVGSNPKPQSSQSKDDKLRTNQKKGRKCQFKRHGKKPKAQVTCYNCGQLGHYSPECTNSTAEKTDWKASVTCYSCGEKGHFANECTVNRPGQGRGSSALLCRYEKIDKNRARGDRRFETKEGEALSK >A09p054820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47076234:47077348:1 gene:A09p054820.1_BraROA transcript:A09p054820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSASSVNGYYSFLNRSMDDLERVYLSNNFMSVHFLQRALCLLRTSHSHLTLLVQKLNLPVGDKWLDEYMDESSKLWEACLVIKSAVSSIENFSSAGISIASTLDGHYHHRRLSPQLSRQVIRAITGCRREAIGIEAENRALMENRVQRFPFWSEQAAATVMESSTKLQNGFSGFRGVLYATRNMSSLLLMVLMNGLVYCVPSDATLSQTQTQNQVSGFAGAMGRLQQRVAAEVGRTGMRKGILMHEYRRSKAALEELKAELERRGGGGGGSEEEEEGERELRERVENLKGYFGNLRNGTESIVSQIDDFFDEIVEGRKKLLDFCSHR >A09g511180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33775341:33783606:1 gene:A09g511180.1_BraROA transcript:A09g511180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNSESRRLSNGLKQVWSKSTEKSTRWSKVNPVNSVPSRSTLDQIGIDLNQPVLLNRNQFQLDHLSNQPVDRVTELTHRVDSVDHRRRRRRVAAAYRKINSGDGGEAVDVPWIVDPSQDGAQVDPTKVSPSDEATMVEPEANFGRAGRSDTYLGELVELNQSDTYISELDELSELSDTSLELNELSDTEADPRKIHNKFNLGRFYTKFDQALLMVLCLFASRNINKRSQSRGHIKGHSTSHLFLPIIFVCRLVVNQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNIQLKMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFDFVQKQKKRQNRCDDEKWVRSGDRPFTKAKRSNRDVPDQNELQTYASLEKMLHKAIHVVRQLQKKGNTNTSSAPKQQSSSELILQFEMNSKKVLNKNEFSGPLNAFDIGAYDLGLGSFVSIQEGSDEEQYRATMVEPEANFGRAGRSDTYLGELVELNQSDTYISELDELSELSDTSLELNELSDTEDEAGLVAGRNGPFSAQRKIHNKFNLDRFYTKFYQVFADGLMPICIKKYQQKESKSWSYQGAFNNTLISIPEVPFAFSDHIQHPAKVILPILGFYQLVSEPLWLFWLESLLKRNPGGVVEEKPCWLKRNPALGQLRRIHIKISSLFFLFSFPTKMFGLLKKSKPQQDVYFPFKTIFEKEQLIFDKKQFASKEFDFVQKQKKRQNRCDDEKWFEMNSKKVLNKNEFSGPLNAFDIGAYDLGLGSFVSIQEGSDEEQYRATMVEPEANFGRAGRSDTYLGELVELNQSDTYISELDELSELSDTSLELNELSDTEDGAGLVAGRNGPFSAQRKIHNKFNLGRFYTKFDQVFADGLMPICIKKYQQKESKSWSYQGAFNNTLISSQKWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNIQLKMFGLLKKSKPQQDVYFPFKTIFEKEQLIFDKKQFASKEFDFVQKQKKRQNRCDDEKWVKSGDRPFTKAKRSIRDVPDQNELQTYASLEKMLHKAIHVVRQLQKKGNTNTSSAPKQQSSSELILQFEMNSKKVLNKNEFSGPLNAFDIGAYDLGLGSFVSIQEGSDEEQYRATMVEPEANFGRAGRSDTYLGELVELNQSDTYISELDELSELSDTSLELNELSDTEDEAGLVAGRNGPFSAQRKIHNKFNLDRFYTKFYQVFADGLMPICIKKYQQKESKSWSYQGAFNNTLISSQKWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNIQLK >A08p002620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1471946:1472302:-1 gene:A08p002620.1_BraROA transcript:A08p002620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGIPLPNAHNRSKVVFTTCTEEVCKDMREKTKIKGDKLVERELRICLRGNFEEPATRRLQSGAMSFFLSNSLLISQNHNDGDKYKILYIM >A04p027220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16389614:16390293:1 gene:A04p027220.1_BraROA transcript:A04p027220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRTRNSSMESPASKSSTVKKVEFPPRRGRVKREIFGVLASSIVSAAVRAGGVFGKNAEGDGGVSSSATATPPSGYTSDQNSETT >A02p056300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34012838:34015598:1 gene:A02p056300.1_BraROA transcript:A02p056300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKISSKTHVQPNKPILGKKQFQLTTFDLPYLAFYYNQKFLLYKFENLLDLEEPTFQNNVVEKLKDSLGSVLEDFYQLAGKLAKDEDGVFRVEYDADEEEINGVEFSVADAVDVSVDDLTAEDGTAQFKELVPYNGILNLEGLRRPLLAVQVTKLKDGLAMGLAFNHAVHDGTATWHFMSSWAEICRGAQTISTQPFLDRAKARDTRVKLDLASPKDPNASSNGDAAAEPPQLVEKVFKFSDSAINTIKSRANSVVPSDGSKPFSTFQSLTSHIWRHVTLARGLKPEDITVFTVFADCRRRVVPPMPEEYFGNMIQAIFTGTAAGLLAAHGPEFGAAVVQKAIVAHDARVVDARNEEWEKAPKIFQFKDAGVNCVAVGSSPRFKVYEVDFGWGKPETVRSGSNNRFNGMMYLYPGKAGGVSIDVEITLEARVMEKLEKSKEFLLIDEEEDGNKITNGNGHGHGHGHANGNGFA >A02g510590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28139486:28140893:1 gene:A02g510590.1_BraROA transcript:A02g510590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIYLQPLAMGIGFIKCNYVPLISLRSNFRLTIEFQLLKSDAKLANKVLIAKKKSIEEGAERFRRDLLHNLSNHLVSSTVRGVMSDDVARFFNLLTTTQFWATMAYLSRKYWKRRCWAITKSMENEIVVEAFMNFRTHTSGSRNQHGGFVRGG >A07p031070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17217953:17221377:1 gene:A07p031070.1_BraROA transcript:A07p031070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALADQSPRKPSSKEPSRPSASLISLDDLPTHLSLFIDLALFRNQTMDEEYEVIVLGTGLKECILSGLLSVDGVKVLHMDRNDYYGGESTSLNLNQLWKKFRGEEKAPEHLGASRDYNVDMMPKFMMGNGKLVRTLIHTDVTKYLSFKAVDGSYVFVKGKVQKVPVTPMEALKSPLMGIFEKRRAGKFFSYVQDYDEKDPKTHNGMDLTKVTTKELIAKFGLDDNTIDFIGHAVALHTNDQHLNQPALDTVMRMKLYAESLARFQGTSPYIYPLYGLGELPQAFARLSAVYGGTYMLCKPECKVEFDEEGKVIGVTSEGETAKCKKIVCDPSYLPNKVRKIGTVARAIAIMSHPIPNTNDSHSVQVIIPQKQLGRKSDMYVFCCSYSHNVAPKGKFIAFVSTDAETDNPQTELKAGIDLLGPVDEIFFDMYDRYEPVNEPALDNCFISTSYDATTHFETTVADVLNMYTLITGKQLDLSVDLSAASAAEE >A01g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12235841:12236858:1 gene:A01g504060.1_BraROA transcript:A01g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILHLSIVTDDPREKAYHLKPRLLEREQSFKRRDNHMGLSWTWQTTSISPDEKFESQTLDILKFILFVFVMVQKFHEEEYKDDKLEGDAALNKFFREIYLNSDEDMRSARANHLKMLVLRKSRALFMMIWRSRHRKYDLI >A09p083510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60075629:60077411:-1 gene:A09p083510.1_BraROA transcript:A09p083510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVLKLDLHDDKAKHKALKTVSTLPGIDSIAIDMKEKKLTVIGTVDPINVVSKLRKYWPMTDIILVGPAKEPEKEKKEEPKKEGGGEQPKKEGEAPKEGEAPKKEEEKKEGSDKKEGEKKDQPQPQLQPQPQPPPLDHVLELVKAYKAYNPHLTTYYYAPSMEENPNACVIC >A04p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13533929:13535654:1 gene:A04p022440.1_BraROA transcript:A04p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPPKSDIEVKIAQNPNPSPIVDPKATDQGPKKGGDNASIEIEIETKGSDPTTAQPPVRTGSKKNVHWSPELVSGSQEPDHSSYPAGSNPYIARSPPETTDASLKDTMESVKGALGRWGRKVAEAAKKTESLAGNTWQHLRTAPSFADAAMGRIAQSTKVLAEGGYEKIFRQTFETDPEEELLNSFACYLSTSAGPVMGVLYVSNANLAYSSDNPLSYKNDGQTQWSYYKVVIPLHQLKAVNPSASIVNPAEKYIQVISVDNHEFWFMGFLNYEGAVTSLQESLQDSGLRSV >A06g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25684277:25686095:-1 gene:A06g509040.1_BraROA transcript:A06g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGTLPSTKIEAILRSVSSGVGDAFSRRRRDCPSSLSLCFTFKFFFLTVMMSTLVSSVHRLAPPPSVQIFVVSGPWLEPTGTVPFSGGSRKAWSSSTAAQSYTISFHSCTLLFSSRSLCSSAPVNRLKPDEMLRQSHSYSQQHLFLTSAPEMASSVSGVPHLIRRPVQKARGTMRLCFPLHALIIITPSDVYLDCYSGESFCTIKGYISSPICLAAQTVHSDETSFSPAALFISSTRSLGGVQFGDPNPSNITIWAWPIKGYKKVSPLLRKEFSKFLFTSGFIGTIYTTFITIILLSGDASRITPCLTVRSGSEDATNIVLTIFRGADWILTSRYKVTKFQVSSTAVSLAPMHSSHASSSLSFYLRGFSTFSLFMNEATKDAFNGACFAKASAALFSERGIQPKDT >A04p029140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17445962:17448637:1 gene:A04p029140.1_BraROA transcript:A04p029140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRIRNSASRGTMMSRQISGLRSMSSWWKNVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGTSFMEYLPMGGSVKMVDETLKLAYGDNSEFIKDKRIAAVQSLSGTGACRLFADFQKRFRPDSQIYIPVPTWSNHHNIWRDAQVTQKTYHYYHPETKGLDFSGLMDDVKNAPEGSFFLLHACAHNPTGVDPTEEQWREISQLFKAKNHFAFFDMAYQGFASGDPARDAKSIRIFLEDGHHIGISQSYAKNMGLYGQRVGCLSVLCEDEKQAVTVKSQLQQLARPMYSNPPLHGAQIVSTILGDPALKGLWLKEVKVMADRIIGMRTALRESLEKLGSPLSWEHVTKQIGMFCYSGMTPEQVDRLTSEYHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSS >A01p014130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6892178:6893914:-1 gene:A01p014130.1_BraROA transcript:A01p014130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 42 [Source:Projected from Arabidopsis thaliana (AT4G21960) UniProtKB/Swiss-Prot;Acc:Q9SB81] MGGKGAMMVAMLCLWALSATTEAVVMEEEPGLMMNFYKDTCPQAEDIIREQVKLLYKRHKNTAFSWLRNIFHDCAVESCDASLLLDSTRRELGEKEHDRSFGLRNFRYIEEIKEALERECPGVVSCSDILVLSAREGIEAVGGPHIPLKTGRRDGRKSRTDMLESYLPDHNESISVVLEKFKSIGIDTPGLVALLGSHSVGRTHCVKLVHRLYPEVDPSLNPDHVPHMLHKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLLVDHQLAHDKRTRPIVKKMAKDQAYFFKEFTRAIQILSENNPLTGSKGEIRKQCNLANKIH >A07p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19786404:19787421:1 gene:A07p036940.1_BraROA transcript:A07p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAQKKNCPHKISITGSYCIPTKCLDMCKKQHGTAGSCSEEKGFCNCACKHAMGGKIDSTISEHDKALARKNNLTTRKKQSMPYNEDVNVKGCACSDPSCNKWSDLYPHAQVTRRNMWAKKLK >A04p031960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18717495:18723642:1 gene:A04p031960.1_BraROA transcript:A04p031960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPEKLVFSISRQYQSLKPTQLDEPRPRDLARDFLCLLKKCVSANQIREIQAQMLSNSVYKPNFLIPKAVELGDFNYASSLFSATEQPNHYSYNFMIRGLTNTWRDHGAALSLYRRMKYSDLKPDNFTYNFVFVACGNLPEIGVGRSVHSSLFKVGLERDEHVNHSLITMYAKCGYVDSARKVFDEITERDMVSWNSMISGYLLAGCAKDAVGLFRKMEEEGVEPDEWTLVSVLGACGHLGDLKTGRLLEEIAVRRKIGLSTFLGSKLISMYGKCGELDEARRVFNQMVNKDRVAWNAMITVYSQNGRSSEAIKLFREMEGSRVSPDAVTFSTVLSACGSVGALELGKRIETYALETGLQHNIYVATGLVDMYGKCGSIEEALRVFEAMPVKNEATWNAMISAYAHHGQAQGALSLFDRMSVPPSDVTFVGVLSACVHAGLVDQGRRYFHEMSSTFGLVPKIEHYTNAIDLLSRAGMLEEAWELMEKFPGKPDEIMLGAILGACQKRRDVVVGGKAMGMLMEMEEAKNAGNYVISSKVYAEMKMWDECAKTRALMRERGVVKTPGCSWIEMDGELMEFNAGSDCLECGKEDSGSLYGLLVEEMKSESNMADSEPITPGQVSFLLGVIPVFIAWIYSEFLEYKRSSLHSKVHSDNNLVEMGEVKTKEEEVAVLLEGGGLPRSVSTRFYNSPVKTNLIRFLTLDDSFLIDNRATLRAMAEFGGILFYFYICDRTSVLGESKKNYDRDLFLFLYCLLIIVAAMTSLKKHNDKSPITGKSILYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNLFVAFSCIILNNDYMLYYICPMHTLFTLMVYGALGIFSRYNEIPSVMAVKIASCFLVVIMMWEIPGVFEIFWSPLTFLLGYTDPAKPDLPLLHEWHFRSGLDRYIWIIGMIYAYFHPTVERWMEKLEECDAKRKNSIKTSIIAISSFVGYLWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNSTQRLRNFSLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLCIIPEYPMLNFMLTTAIYVLVSHRLFELTNTLKSVFIPTKDDKRLLHNVLAGAAISFCLYLTALILLHIPH >A01p055360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31193701:31195733:-1 gene:A01p055360.1_BraROA transcript:A01p055360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLQVDRSAKDEDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDPQKRAIYDQYGEEGLNSQAPPPGPGEFPGGSDRGASFRFNGRSADDIFSEFFGFSRPPGGGFRFAEDVFSSYRSAAPETFNVAPPRKAAPIERQLPCSLEDLYKGITKKMKISRDVLDSSWRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGIIPSDLVFIVDEKPHAVFKRDGNDLVITQKIPLVEALTGYTAQVTTLDGRTLTVPVNNVISPSYEEVVKGEGMPIPKDPSKKGNLRIKFNVKFPSRLTTEQKSGIKRMFSSC >A01p051990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29130773:29131417:1 gene:A01p051990.1_BraROA transcript:A01p051990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGAIPTSSHASPSPPVVDVESLSSDNNQCTACAMPRRPWGVMFDLHSMGLPHGISDASSRFKTNYNYFRTNYGIFFSINYLIAIFGSLIKHPVSLMAFTLLVSIYILLYYLQEDEEPIKLFCCQISDKMIQIVKTCLFLLTMALLVVTNSSYVIYGWPLVIVYVPILIHTVVRKTEDLFLGEEAATMSETYWSSLRLHVMFVLFNLYLSFG >A01p051360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28790951:28793467:-1 gene:A01p051360.1_BraROA transcript:A01p051360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFVTATLHLFRELSIPIKKMEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRKVVEQLVRRAEKAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITSMPILVKGVLTGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLSEITRNHIITEWETPRHLPKL >A02p019330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:3187:6372:1 gene:A02p019330.1_BraROA transcript:A02p019330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPSPGRYRPLHSDRTSDPLGRLLRSDRARAKARSLRSDRALIPLGRYVATGLEPKLGRYVATELKPKLGRYRPAIVPSVAYVSDRASSRSRSPRSGRAIVQLVSLSSDRALARARSLRSDRAGRALGRYVATALWLELGRYVATDRVGRTFGRYVAADIGPAWYGFLDDNSSNHGIYSCLYFLLDTYSHKCVTDMICQYFLAAARRKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEKNIKITMEDFLHAIHEVQPAFGASTDDLERCRYARTLLLWSMHLSLFNCIATIDNVVWDSRCNEETFHFLRKSFRLNTSDFYSNQSPRTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRKPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHSALTTRRDYGAGERQADWAREQRTQHGLQTRQEVNVFPENEGYKELSQIVEQAKKRAEIARLREIHTFKGHVESVVKLKGMDIDTSGHHYTL >A07g503670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7126556:7127155:1 gene:A07g503670.1_BraROA transcript:A07g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKRNTVKPNSSRVTQRPATLPAQYDFIPRDPSLSIPPILPKNKPLPKNKPLPKNNPLPSVRDYPPPRKLFPETNFPPSQSAPSPLTPAAATSQLQQRQTHSTERMNTLPPSQPAPVRASQSPHSSEAQNSLFPEEEEEEDMSDVEAPVQPNLASDHMDLLNSLLNQPGRAKNTIVLSRNLEPGTTWYFVFLSYNC >A04g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20186356:20187262:1 gene:A04g507890.1_BraROA transcript:A04g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal 5'-phosphate synthase subunit PDX1.1 [Source:Projected from Arabidopsis thaliana (AT2G38230) UniProtKB/Swiss-Prot;Acc:O80448] MTETKQQSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVIALERVPADIRAQGGVARMSDPEMIKEIKQAVTILVMAKARIGHFVEAQILESIGIDYVDESEVLTLADEDNHINKHNFKIPFVCGCKNLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGTIRLLRGIDDELMTRYEAAREITSGSVRRRGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRAKAIVQAVTNYRDAAVLAEVSCGLGEAKVERFANRSSKPIREFKCFISV >A01p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20167343:20170530:-1 gene:A01p025320.1_BraROA transcript:A01p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSTSPRQPSSHHMDLPTPQSPDKSPATILLGKYELGRLLGSGSFAKVHVARSIETEQLMAVKIIDKKRTATAGMEPMIIREIEAMRRLQHHPNVLTIHEVMATKTKIYLVMELAAGGEIYTKIRDSGRLKESEARRYFQQLVSALTFCHREGIAHRDVKPQNLLLDNEGNLKVSDFGLSALPEDRRSTGMLHTACGTPAYTAPEVIAHRHYDGAKADSWSCGVFLFVLLAGYVPFNDCHIVLMYRKIQGRDYKFPNWISKPARSIIYKLLDPNPETRMSIEAVSETKWFKKSLKTSEFKPNVLESDERLGPLRSDTITAFDLISLSTEWDLSGLFERRKMKRTRFTARVSVEGVVEKAKAIGEKLGFRVKEKSEARVVALSKGRTTVVVEAVELVEGLVVAEMKVVEGVEEEEDESHWSEITVGLEEIVLAWHHDVDTVAYYLSVLKPLFPNGINVLFMFTGISGGEVALHRLQIPMKTVVSVEISV >A10p031890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18967665:18968878:-1 gene:A10p031890.1_BraROA transcript:A10p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDAESSSSTRLLHSCGVSNSFAFMRLLQKAHHFSSFSEDNNNNNSKKDIRLGSDHYQVCRKDCSFMADQEEPRSTTSRLSSKDDHDNNNNNNNNDNNTKNEAEDHEMRQEGWLRLSTGHEDDVKPDLDNKHQTNQTAGRDSFLELNLYSGGSNREERVDVPLMSSIFHHQHEPGGMMINPLMFPTRPDQETIGSWAAAAFRTPFVPQNLVQPSSSSTSLMMPFIGPYFGRSNFQHQLIGNNNNNNPDVVAGPSSSFRVIDPPRRSYSGIWFLLQASQNQTREPFLPQIPKSYLRIKDGKMTVRVLMKYLVSKLRLEHESQVFYISH >A01g505180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15234353:15236300:1 gene:A01g505180.1_BraROA transcript:A01g505180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASAHEELIVPKIEFVPHSVDPAENEAWWLAHYGSITPPKEKSFPVLTHHTVEKGAPSRSTDEFLEIMRSFYRIPSTVAFWVPRRGESADNPRRVISLATKHAASVEESCIPLLRRLPNDRPFINPLAPFPEDIIEVRDLLRNAGNDSETDDQSPNAAPAAATGWNSSKGKDIDLGDIEFSIDDSMLPGWDPDLAYGDESGYSKVPIPDFDDFFAGLPSGFNAPPPTSETGRPKVVAEGALTCLARPLRRAIGKLWSIVLKWRKRNEISLECKARCWSEKAQLARDHARAICKAERKGKREIVEGMKTCASQFQIEYGNLKNAFTSVGDFRECCGSVGSLWRTQADDYVFEKKMSLMKSGMNERAHAEALIPSIEERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPVDAFGASLSGDFDFGP >A01p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1085009:1086676:1 gene:A01p002360.1_BraROA transcript:A01p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQISGDNPTEKTAEKLSETPTGVNRYALQCAIVASIVSIIFGYDTGVMSGAMVFIEEELKTNDVQIEVLTGILNLYALVGSLLAGRTSDVIGRRYTIVLASVLFMLGSILMGWGPSYPVLLTGRCTAGLGVGFALMVAPVYSAEIATASHRGLLASLPHLCISIGILLGYLVNYFFSKLPLHIGWRLMLGIAAIPSLVLAFGILKMPESPRWLILQGRLGEGKRILDLVSNSPEEAQVRFQDIKIAAGIDPKCEDEVVKMENKKTHGEGVWKELILRPTPAVRRVLLTALGIHFFQHATGIEAVLLYGPKIFKRAGITAKDKLFLVTIGVGIMKTTFIFTATFLLDKVGRRKLLLTSVGGMVCALTMLGFGLTMAQNSGGKLAWALVLSIVSAYSFVAVFSIGLSPITWVYSSEVFPLKLRAQGASLGVAVNRVMNATVSMSFLSLTKAITTGGAFFMFAGVAAVAWNFFFFLMPETKGKSLEEIEVLFQRDGDHKVRGENGTV >A06p048350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25617130:25618866:1 gene:A06p048350.1_BraROA transcript:A06p048350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVTCSLLFSPLNKPPTRLKLLNPSTLQRSSYGTRSIAIKSMAVQEDDKRTSDEAMSIDNLRGFVDLNVGKWTGSFHQFDGDGNLLHKIDTRLSASSYGEDELISLNQSLYIKQATSATSVADEEEEEAEWVEYKIKETNMFTVDKYQQIGFFPKERAFSLRYQTAGMLDTTLRQGVLGEDDTGEESPRFLKLPSRRPALVCENCLYSNKTDRRARAFHIMDPKGVLEMLIVFLEERGLENLVHPVLDDSQYDGERITPFLGTWKGRSITKRSGVYGSTLSEADTVAVLDINDNGQVVQNISSTSDVKNVTTNVHWVGEMSKNLVTFAEGYQMTLLPGGIYMGCPCAVAKSVAELKSFHLEFCWLESSVSRQRLVRTYDHEGLAVSSTYFSETKV >A03p029970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12581939:12582932:1 gene:A03p029970.1_BraROA transcript:A03p029970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPFALLAMARRDSPPNFAQQVQGEWRTHHPKMTELCGQAQQLMSQFDSCDIQHVPREFNSEADAQASRATNLVEGETQEDFAGRGYGRRGY >A02g505660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16560012:16561351:-1 gene:A02g505660.1_BraROA transcript:A02g505660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRRDYTAAATQPSTSLPEALTVKPIVPMSGTKRIKSCNAKCKGSKKKKKCITTTGLWDRHIPTEILEGILSRLGLKDNIHASAVCKTWCESAVSVRKLPCRPWLLHPIDDWTIPGSPYLLLDPLKPHHDQSQKYNLDFPHMRFTPAGMSCSRDGWVLAKSPHLMYAFFFNPFTKKIFVLPRGSIYHLMSRLAFSAAPTSTSCVVISYSRIPKTADFRIETWRPGAARWTTHRFENSVLRRWDKCVFSNGVFYFLSTCGCLGVFDPCEATWNLLPVKPLLFPEVDSPVFLMEHEGDIFVMCSRLDSNHMVFKLNMKQNVWEEKRDLGGLTVFASCPGSFIRACLSAEEMNRIYPSFTDFYLIYGSTSCRPPRTNLSCRVAWVEPPQNNVDLL >A09p079970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58698336:58704533:-1 gene:A09p079970.1_BraROA transcript:A09p079970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDSSMLPETDQDRDSRSPDEAQSPLPMEREANRDESDSGSAPEAASSQEQPPQVVGPRCAPTYSVVDALMDKKEDGPGPRCGHTLTAVPAVGEEGTPGYIGPRLVLFGGATALEGNSGGTGTPTSATPSAGIRLAGATADVHCYDVLSNKWTRLTPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDGLLVAEDLSAAETTYAASHAAAAAATNSPPGRLPGRYGFSDERNRELSDSAADGAVVLGSPVAPPVNGDMYTDISSENAVLPGTRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDSGGETSPSGTPTFSLKPDSMGSTGGTPAGIRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSNEPTVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLEVISLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAASIEKKIICMHGGIGRSINHVEQIENIQRPITMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSTETPEGHTEDTWMQELNANRPATPTRGRPQTANDKGGSLAWM >A06g502700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8799328:8799564:1 gene:A06g502700.1_BraROA transcript:A06g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALDKELDEIQAVSKEFSELSIAYIPRSLKFRTNSLAKGVRSRAS >A06p012630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5766778:5768878:1 gene:A06p012630.1_BraROA transcript:A06p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAESSTKDVLLLSVDRVQVVTWRDLRDGSFTEELKRLISFAAPMAAVVIAQFSLQIISMVMVGHLGNLALASASLASSFCNVTGFSFIIGLSCALDTLSGQAYGAKLYRKLGVQTYTAMFCLTLVCFPISIIWFNMEKLLVFLGQDQAIAHEAGRYAAWIIPGLFSYAVLQPLTRYFQNQSMIRPLLITSSFVFCLHVPLCWLLVYKSGLGFLGGALAMGLSNWLYTILLGSIMYFSSSCFETRAPLTMEMFSGVGEFFRYALPSAAMVCLEWWSYELIILFSGLLPNPELETSVLSVCLQTIATIYSIPLAIAAAASTRISNELGAGNSRAAHIVVYAAMSLAVVESLIVSMSLLVGRNVFGYVFSSDKETVDYVAKMAPLVSISIILDGSQGVLSGIARGCGWQHIGAYINLGAFYLWGIPFAATLAFWVHLKGVGLWVGIQAGAVLQTFLLALVTGCTNWEHQAFEARKRMALA >A01p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5501460:5503005:1 gene:A01p011300.1_BraROA transcript:A01p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKLNLYAPLPSIRRMSSKKEYSSESENKKTAITRPELPSRLSKEQETPVFVLPDQSFDHLTEPASVPFVWEQIPGKPKDDMATLIQESGLLETDDEDEEEEDEDTVSSTGSFSVNCSTSGVSDIEKNGERSEDVSSRESLDLMMSRFLPAAKAMAFTHQKHQSPSSYNSSEQKQTVQNREALVTRQRRQLVAEHEHFAIVQSLYDDLNIEDDDESDDDDDDDHRILPEVTIKKACGFLPRLCARNSFKFSNPVPLDTKLNRSLKHSGEQTGIPNWSTRRLSGFTSPYRTSCSETGFLGAPVKPESFKRLTRGISKSQELYPPRTRRETLPNYSHSGEIRMFRNSTSTPSRIQRTTTMHDSRFLVEEVNRRKSSSINRSGSLLKTSPEYTAAAISPPPLPETPSRSWLRRTLLPPVSPKPYGVGVGQVGTKKLDQEILESTKWETIVKTSYVHNDHVRYSQELVVHPSRQQNT >A06p037540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20368967:20370979:-1 gene:A06p037540.1_BraROA transcript:A06p037540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTIGSKGLVKKTELVRIMTDALISLGFNGIATDLQNQSGVNLLDPTLRHFLDEAKRKEWNSCVKLVQEEEEGLQVRDEKVARFLLLEQIFLDFLKDDNLDDALNTLQEEMTPLGVKRRRLEKLPSKFVFADSGEERFTEVTRKVRKLFPPAAIVPEGRLVRLLEESLYHQMRDCDYHNAPDTDMSLCFDHCCWKSKIPSKTVQTLVGHTDEVWFLKFSNNGKYLASSSKDNTAIIWEINAEGGEFSMKHKLEGHEKPVVVVLWSPDDQQVITCGENEVIKRWDVGSGQCLQTYGRVDVGSISCGWLHDGSGIIGAMADRRIYLWNLDGTEIEHEQGQRGQKIYDVAMTSDGKWLVSVGREQNEISLFDRVTRGETVIQVEGMVTSFSLSRDDKYLLVNLITQEIHVWMIDGRREPRRVYKFSGHKRSRFVIRSCFGGYDDNFIASGSEDSQVYIWHTDFEKGPCCVLPGHGGAVNCVSWNPTDLHMLASASDDKTIRIWGLDLE >A06p048200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25578855:25579835:1 gene:A06p048200.1_BraROA transcript:A06p048200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVAQLQMQYRNYTVSLYQQGFLDDQFTELKKLQDDASPDFVAEVLSLFFEDCVKLIGNMARALDQTGTVDFSQVGASVHQLKGSSSSVGAKRVKGLCVTFKEYCEANNYEGCVRCLQQVDIEYKALQTKLQDMFNLEKQIIQAGGKVPQVDIN >A08g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6390246:6390698:-1 gene:A08g503610.1_BraROA transcript:A08g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINLMLRRTSTRAVQAKLFCICFKLRIGRRDGLIVLQDDGSTESIMFAMRHHEAYDAKKKKLGPQDTEEGLNRSNKLFGDERYELLVESQELLQELRSGWTDFKSSRSSIFYTRYGTN >A10p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9896190:9897938:-1 gene:A10p006790.1_BraROA transcript:A10p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR45a [Source:Projected from Arabidopsis thaliana (AT1G07350) UniProtKB/Swiss-Prot;Acc:Q84TH4] MSYSRYSPSLSPYDKRRGRSVSRSLSRSRSRSVSSDAENPGNSLYVTGLSHRVTERDLEDHFSKEGKVTDVHLVLDPWTREPRGFGFISMETVGDANRCIRHLDHSVLLGRVITVEKLFACFHFQISVAAGLLFVAAAALHQIANVATVQTHTVRVDFLSFLNHFNMPSARRRRGRTPTPGKYLGLRAARGRHRSPSYSPRRSVSYSRSRSRSHSSDRSRSYTPSHRRSRRSLSNSPYYRRGRTYSRSPSPDDRYYRRRDRSESPYFRRRYRSRSRSYSPDYRARDRSYSPYYRRRYRSRSYSPDYRARDRSYSPYYRGRDRSYSPYYRGRDGSYSPESRYYKRYRSVSRSVSPVRRSKSRSVSPKKGRKESRSKSHRRDSQSSMNHSRSARSSTSRSVSP >A01g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18157530:18161218:-1 gene:A01g506200.1_BraROA transcript:A01g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSRPPWYALVKVQIPVKKLPGKRRGDDAHREREEHSGGCDGNHFLAGAAERFQINLEQPGIGVGVWFGLFGHDQDLEMAYHDSISGRLRNDLGFRKGICGILRKVKIWIEGQWISIIITMDSSVNTGWIMVCDSFCSYFIRNDLYYQWLIWVLRCFEKVRLAEINLQGYGIAPDSKWVKVPERGNRGPSHHYGNHRGNGEGSRVKTTYREDVPVVGSGAQVMTSRTYPTQPREDQGQQAVPQVTCEEGEITRNGDAAAALPSVEFQMELSKTQAEGSEVVAEAWEEERGLLTVQGMREQQDDTFEDIEMELDAINAAMLESGIDLETEEEFQTLSEEELEQASEAQAENVLIQDEEQPVAGDAYINKELGTGELATRQSHGKRLFKANSSIAGSAKMRMASALLSPRKKGVAKVGTRHGDNTKPPENKGPSIPKPVNLKF >A01p046270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26058546:26061063:-1 gene:A01p046270.1_BraROA transcript:A01p046270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWISKVKDGQHLSEEELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARHPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGRVLCVHGGLSPDVRTIDQIRLVDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFDENMERDVKYFNETEENNQMRGPRTGVPYFL >A03p051700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20416748:20417304:1 gene:A03p051700.1_BraROA transcript:A03p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSFVKDQQLKMESTSSPSLQATVLTFIYTLRFLLHFSLTNQPKAETNRRSLPTWPTPRDCLALCGGTFSGDLPAASPPFAHQSNKPLERTLPPLKSKLSSEARRAFRALKSLVKLQAVARGVLVRRQARIALHCMHALARLQVRVRARQILSH >A08p043510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24211778:24212756:1 gene:A08p043510.1_BraROA transcript:A08p043510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPEACVANILSFTTPADTFSSASVSSVFRLAGESDFVWEKFLPSGYSRLISTWTHGSFSSKKELYRCLCESILIENGRKIFKIDKLSGKITYVLSARDLSITWSDQRHYWSWSHRSDSRFSEAVQLIMTDWLEVTGKIQTGALSPNTSYGAYLIMKVTDRAYGLDLVPAETWVKVGNGGKKIDTSYLSCLDDKKQLMKRLFYGHRDQRTAKNDPKVRDDGWMEIELGEFETGREGGDKEVVMSLTEVKGYQLKGGIVIDGIEVRPKP >A03p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17365893:17367563:1 gene:A03p041620.1_BraROA transcript:A03p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor 56 [Source:Projected from Arabidopsis thaliana (AT3G15510) UniProtKB/Swiss-Prot;Acc:Q9LD44] MEITDSPGGSPPPQPNLPPGFRFHPTDEELVVHYLKRKVASAPLPVAIIAEVDLYKFDPWELPAKASFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLASDGKLKVGVKKALVFYSGKPPKGVKSDWIMHEYRLIDNKPNNRPPGCDFGNKRNSLRLDDWVLCRIYKKNNASRHVYNDKDPDMFDYIFRKNPPSLSSGLHHNVSRSMNIFPGKFSGGYGIFSDGDPGLYDGSGMVNINVSNGNPNGLDPASSSGPMMMMANLKRALWPVAEEEQDASPSKRFHGVGGGDCLNMPSFVMEETPPLMQQGGVLGDDGSFRTTSYQLPSLNWYSS >A03p063280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27484432:27485656:-1 gene:A03p063280.1_BraROA transcript:A03p063280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNGLELSLGLSCGGGKPKGTNNAGTSSENLEGGSDRSAKVIDDFKNFLHPTTSQRPAAAEQSSEPPPQQNFFNDLSKAPTADGEASTEPLWVEDEVVKEAGSSKRKEEARVDMHEKKKKTKVSHVSNATDEGSTADNEDVAESEAGGGGGGSSSSLAKDVDNLAGQRKNTEEFTANRNMSYTVPFAGLPYSLPPKESGQHAAVTSLTQPTANAGNLPIMFGYSPVQLPMLDKDGIVTVSQSPFAGRGPSNSATAKGEGKQPVAEEGSSEDASERPAGDNNNISTAFSFDFSAIKPGMAADVKFGGSGARPNLPWVSTTGSGPHGRTISGVTYRYNANQIKIVCACHGSHMSPEEFVRHASEEYVSPESSVGMSAASAHT >A09g518570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56705504:56709484:1 gene:A09g518570.1_BraROA transcript:A09g518570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISEEVSLGVNMLPMSFPGQAHGHSPAFKAPDDLPKTVARTLTQGSSPMSMDFHPIKQTLLLVGTNVGDIGLWEVGSRERLVQKTFKVWDISKCSMPLQAALVKEPVVSVNRVIWSPDGSLFGVAYSRHIVQLYSYHGGEDMRQHLEIDAHVGGVNDISFSTPNKQLCVITCGDDKTIKVWDAATGVKRHTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQLLTAIDGDGGLQASPRIRFNKEGSLLAVSGNENVIKVMANSDGLRLLHTFENVSSESSKPAINSLAAAAAATSAAADRSANVVSIQAMNGDARNMVDVKPVITEESNDKSKIWKLTEVSEPSQCRSLRLPENLRVAKISRLIFTNSGNAILALASNAIHLLWKWQRNERNATGKATASLPPQQWQPASGILMTNDAAENNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPLDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQRSKVLPLPQGRPNTAPSDTRVQFHQDQAHFLVVHETQLAIYETTKLECMKQWPVRESSAPITHATFSCDSQLVYASFMDATICVFSSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQEPNMFAVGLSDGGVHIFEPVESEGKWGVAPPAENGSANGAVAAPSVGASASDQPQR >A02p057000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34334036:34337692:-1 gene:A02p057000.1_BraROA transcript:A02p057000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEVKRLEMMQNLFGDNSEEEEEEEEEEIDSEHESNPHPNDPSDEAEGGVERGEEEGEEAVVEVQVEAESEGEKGDVEPGHGESEGEREQSSQERDVADPVEESEEEEEEEEEERDEERVVTKRRQDVVESGSERSGERRYESEDEEVEQTRIQRSPSEEKEEAQVAQSDVNIRNVFGSSDDEDAEEYVRNDIEPDEPRSPVEDEEGSEKDQRPDDMGLDDMIPEEDPRYESEDERVEARHRDRDRPVGPPMEVGVPFRPPPGDPEKMNMIKVSNIMGIDPKPFDAKTFVEEDIFESEEPGGKMRIRLANNIVRHRFVKGRDGKTYSESNARFVRWSDGSLQLLIGNEVLDITEQDARQDQNHLLVKHEKGILQSQGKIMKKMRFIPSSLTSNSHRLLTALVDSRQKKDFKVKNCVTDIDPEREKEKRERMENQNLKASTKLSQAREKIKRKYPLPAARRQLSTGYLEDALEEDEETDHYGSHRSNRGYEEDLEAEAQRERRIMNAKKSNKGFTGRSSMTSARPTRRQEYSESEREESEYETEEEEEEERPRSRKRVKEPEDEYEEDEEEEVEEEDEEEEEAEGLRGDKDRGGGGRKRKGIESDEEESPPRKAPTHRRMAMVYDSDED >A07p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17414465:17415170:-1 gene:A07p031560.1_BraROA transcript:A07p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKK >A08g502090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4719881:4720102:1 gene:A08g502090.1_BraROA transcript:A08g502090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHRLVSIDIQVEVSIGGSSKVSVEEVELLSIDIARLSLKIVLSKRAGSENNSDFSLVLLVLLGMHLKRSRK >A08g510580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24432066:24432930:1 gene:A08g510580.1_BraROA transcript:A08g510580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFFRYYAGWADKIRGLTIPADGNNHVQTLHEPIGIAGQNIPWNFSLLMFPWKVVSTLACGNTILLKKLNKLIALLFMSASFSLKAQECHKLSSLQQAVNKWLERLQVDQPGLQFLHLQHPANEGKTYTLPSIWLWSEQKTHYLLFYFTYCLY >A07p047100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25348186:25354553:-1 gene:A07p047100.1_BraROA transcript:A07p047100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTYIAERAGLVWLTERMDTSFAFGKEDTLYDYDSIFRGTLFYTFDSLGRHFLDEQEDRRYFKSPILLDQFRMISYRYDTYLGENLGLLYGLDLSDNELSGDIPADLGSLLELQALNLSHNKLSGLIPESFSSLKNMESLDLSFNRLQGRIPSQLTALSSLSVFNNLSGVIPQGRQFNTFDAQSYLGNPLLCVQSINTSNDNNHYQEPDNGVEADESTVDMVSFYWSLAAAYVTILLGILSSLSFDSPWSRYWFYLVDAFIHKAQDVAGKFFFRKYLIWVILLLGQIHGYKSCIEEERKALLDLKKYLISVTEESWFHYVLPTWTNDTKSDCCHWEGVKCNRTSQRVTEIAFNTPFFKQDSLLNLSLLHPFEYVRSLNISRNFFIGLFDDVEGYKSLGRLRNLEILNLASNKFNNSIFPFLNAATSLTTLFIQKNRLSGHFPAKEFKDLTNLELLDMSGNKFNGSIPIGGFPKLRKMKALDLSRICEMKNIQELDLSQNKLVGQFPLCLTGLTGLQVLDLSSNQLTGKLPSSLGNLKSLKYLSLLDNNFEGFFSVGWLANLSELSVLKLNSKSKLLLVDSEGSWIPKFQLSVIELISCNMVKVPYFLIYQNDLSHIDLSDNKISGDLPHWILANNKKLEVLFLQNNSFTSFQLHESSHNLLLLDVSANDLNHLLPENIGWVLPNLQYMKLANNNFQGTLPSSLGNMKEISYMDVSRNNFTGTLPRSFVMGCYSMQVLTLSHNKLSGEVFPESANFTKIRELSMDNNLFSGKMGQGLRRLSSLSLLDISNNNLTGVIPSWIGELPSLYALLLSNNSLEGEIPISLFNMSNLRLLDLSENTLSGDIPPHLSSSWPVVLLLQDNNLSGVIPDTLLTSVSILDLRNNRLSGNIPKFTNIHDTHSLLLRGNNLTGNIPRQLCGLRNIQLLDLASNRLNGSIPPCLSNTSFVLGKSLYDNDYSSISRNAQYNDVSPDGKTGIGAEVVPYIVEYMSNTQFKSLIVLDRFRLEYLTITPIKIEFATKHRYDAYVGGNLKLLYGMDLSENELSGDIPAELGGLLELQALNLSHNKLSGSIPKTFSGLKNVESLDLSFNRLQGRIPSQLTELSSLAVFNVSFNNLSGLIPQGKQFNTFDAKSYLGNPLLCGLSINTSCDRSHYQEPDNVVEADESIVDMVSFYWSLAAAYVTILLGLFSSLSFDSPWSRFWFYLVDVFITKAKSLLW >A05g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14236043:14237574:-1 gene:A05g505120.1_BraROA transcript:A05g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLILKVVNHDPCEAYLSKPNLLRRRVWRFHSLQSPFLLCIYHNQSRSLRSNPPPLASNESFGKSFVDLLLKSSEEDLKQWPHSFKFHLRVSLAIDGDLTLVSRVRNISGKPFSFSFVLCSDPVGKRERITTRSLFKTWVDHIVKSLHFFKTEKSQL >A07p018330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11002249:11003284:-1 gene:A07p018330.1_BraROA transcript:A07p018330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G26820) UniProtKB/Swiss-Prot;Acc:P42815] MKFFLCILALQQLYVLSVAQDFDFFYFVVQWPGAYCDSRHSCCYPKTGKPAADFGIHGLWPNYKTGGWPQNCNPDSTFDELRVSDLMSNLQREWPTLSCPSNDGVHFWTHEWEKHGTCAESELDQHDYFEAGLKLKQKANLLHALTNAGIKPDDKFYEIKDIERAIKEAIGFAPGIECNKDSSHNSQLYQIYLCVDTSASNFINCPVMPHGRCDSRVQFPKF >A04g501180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3154204:3154881:1 gene:A04g501180.1_BraROA transcript:A04g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRDGRANGDTASQPAKTEMRTWKTEMETAKTVRRVKRSSQPAKHIVKVATDTQVHEESSRHATSPLKGSATSSRKHQQARPVLVRRQHPSAQTRKQRRHSRSNSSKPRTEVRSTKPPEHGRLPRSRFLQWRLRLSSHMLCSCVKVVWSLAYQDVINRKRPFDRGKVRIMEKLFSKIGMNFGDKVLLEGGVLMRVKGLTKVNQWFGVRRRDIIIVPKLMELSFH >A03p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1532730:1534430:1 gene:A03p003490.1_BraROA transcript:A03p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDSFFLGCNHLNRDITTDARTGYRLYPVQDIDSVLSNVNVDDTFSALCGDLYVRQLKETMKHTMLVQESVFESQIHELHRLYQRQKELMMEMEGTHTTHCLSSSVSVYQTRNFPYGEENIPKQTEAVDSTKKSEKMVLDLELPVLECHDGEEETSLMNGEVANSLESGSQSNKLQFDLNEPAKTEEDYQFISPDSLNESDKKNEGEEGSVKGSCWMYEAQGYGIDLNMSPLSSLEEVNVVVKKLGAEKPQECLSGQSRVLVQALPCLNSTLLLSKPHDSYKPRKKKKKKKKVKLGPVKKTFKGSDLDLHSSAQATTDKGTKVVGKKKRKKSRRICLVKEGSYGEISAAEAMVDMSRKSDREASDFITYLSRKNLLMLAEVSSLVVGGYELDYSEATTEMNLEDHKRILRSNTTAVNKTSVSSIVLKKQKRSYVKGKRNDDLQGTFSECEASEEDPQVKVDEVSGQQGDCEFPKKKRRSSLKRTEDFTWGAKSKRRRVSRIPAVDFQHMIINQ >A02p004680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2035023:2036678:-1 gene:A02p004680.1_BraROA transcript:A02p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDDDMLDAYDEESGEYDLYSDVDDEHSHGGEDDSDCILEEVVDDSITHGSQINYVVLKEEDIRKRQEDDIKQLSTVLSITNAEASVLLLHYRWNVSKLTDEWFADEEKVRRTVGVLEGRGGGSVVVGNHRKVKCGICFDKFRRKKIVPVVCGHTFCSTCWNGYITTAINDGSGCLMQKCPEPSCHVAIGRDLVEKVVSEEDLRKYDRYFLRSYIEESKKMKWCPAPGCEGAVDFSASGTGINYDVLCSCSHRFCWNCNEDAHSPVDCDMVSQWILKNSAEAENTTWILANSKACPKCKRPIEKNHGCMHMTCGQPCKHQFCWLCLGAWKDHGGRTGGFYACNKYEKDKKEGLYDDSEKKRQMAKSSLERYTHYYERWASNEKSRGKAFGDLQFLTEKIAKLSVVQCIPETQLTFITDAWLQIIECRRVLKWTYAYGYYLPESEREKRCFFEYVQGEAESGLERLHKCVETEAEVFQDAEERSELGFTAFREKLSNLTSVTKRHFETLVKALENGLSDVESQAACTKETESFKREIKSKETKSKKRRRER >A05p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11838059:11839683:1 gene:A05p024360.1_BraROA transcript:A05p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTQEEESALRSGVIKHGPGKWRTILKDPDFSEVLFLRSNVDLKDKWRNMSVIANGWGSREKSRLAVKRTHSLPKQEATSLAYTSPLQSDEEMADATGTSAPNVRLDSLIMEAISTIKEPGGSNKTSIGTYIEEQYHAPPDFKRLLSAKLKYLTACRKLIKVKRKYRIPNSTPLSSHRKRQLGTSSGKQRSLSSPSPKTDGDEVSVETNAQIDPELARMKTMNVHEAAAVAAQAVAEAEAAMAAAEEAARDAELAEAEAEVAQAFAEEALKTLKGRYNNCKVMIRA >A09p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:299753:300628:-1 gene:A09p000520.1_BraROA transcript:A09p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVWWALLQAQALASQHRLCDARRFPCLHPHCHEVCRARAKAAHARTSNSFSDLVQELWNQGRLRKRALNTLVLLPVETTLFAFVSCFADDNVSA >A10p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13031391:13032208:1 gene:A10p018730.1_BraROA transcript:A10p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEAYIFRPITQNPFSLFKICENVLLSLETKRGNKQSSRNDRNSTPSSSCVGDFPPLTRALHNRESAHLSDCSRKFTYRQKKAHLNGITSTRSSGIMPLMGKVQRLRVGGRPPGSGDGTATVCYCHGLRKLECGGKQSCLVELNLQSKKTWVKQPVTKCQKLYCLLGQLFEDGFSGICES >A07p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19847083:19848923:-1 gene:A07p037100.1_BraROA transcript:A07p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFHTLVSISAALILLLVALSSSKNTVDGRQMAAASMDFASSEAMRELQMNEESLRGEKNSFRRIPRSGSSPINNKMGPVTYVGATAASETEQPKISKIKTYQKGVFTMKMFHTSVSTSTALILLLVALCSTKNSVEGQQMAPASMAIASGKAVRDLQINKETKEESPRGEKDSFRRIPRRGSNPINNKNYPLKDVGGSRKQSTTEREP >A03p027400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11442040:11444145:1 gene:A03p027400.1_BraROA transcript:A03p027400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDLNLDADSPESTQYGDTNADRQTSDGSGNRVDESGTSTSSVINADADDDSCSARAFTHSFDILKVGGGGGDGSTASIAGVTKELFPVARDCGKLRGSSSRSWMDLSFDRVAPAPAPAQVRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTVGDYEEDMKQVQNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKYGKWEAGMGQLLAKKAYNKAAISSNGREAVANFELSTYQKEINSESGHDKLDLNLGISLCPENAVKQNGRLSHFPSNPYETPPGVSLTIDTEFMGKPVNTHLPYGSSDHRANFEENISEAEGGMMSNWGWHRPGQTSTMRPQQPGAQPPQLFSVAAASSGFSNFRQQPPSENASHGYFYPQF >A01g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25448135:25449647:-1 gene:A01g509410.1_BraROA transcript:A01g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLLINSEQKGSNEMDRDYAFKHTPINLMCQQYHNRMRYDEPAASIRCVCSSSDFVFFVSAKLAVVVQLVLQPPQHIATHQNRDCRRLSSSSRNQARAVVVITGSAFLVVAENFSRRRKSSRDCATVSSKTRPSFLRGRAQLHRDRAQLHRGRARRFRPRKSFDPLQW >A04p018300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11016052:11016372:1 gene:A04p018300.1_BraROA transcript:A04p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR6 [Source:Projected from Arabidopsis thaliana (AT5G40460) UniProtKB/Swiss-Prot;Acc:Q29Q81] MGFSKKSQLDGGLDSDGKKWVIAGIGIRASLKPVKTKLRAPETEAEVEEEEECSTTPTAKEAKIPEKLKCPPAPRKRRPALKCRSNAVREFFTPPDDLETVFIRRR >A05p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4670585:4673108:-1 gene:A05p010980.1_BraROA transcript:A05p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKKKHLHELLEDDQEPFHLNHYISDLRSQMGCSTLRVKKRKSENATVLPPGFFSCERSCFFATYTNSPDPRKSPLFELRSPANKKSRDGRVFLQIPTRTAAILLEAAARIQKQQVEKADKTNNRARNRGNAFGMFGSVLKRLTNRKAKPCLHSADGNAVLSERGSEPTSSSSRRERLVEVDDKWFCESPFHFVLHTTPSTSGNRTPHFTSTATSPARRSTEDEDSDETESLEKVRGQGEEDKEEEDKEQCSPVSVLDPLEEEEDDEDHHQREPDHINLPPCSFEVVQRAKRRLLKKLRRFEKLAGLDPVDLEGKMSEEDEEEDEESEEDDNVRVYDSDEEYEDVDDDAMAGESGSAEEERKKKNEEGQKKWRMMHAWRVGMGAEEDVDVVAQKDMRGEAGEWTKHGGEVEEAVSDLELSIFFFLIDELSHELVSSPP >A09p004820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2676114:2676644:1 gene:A09p004820.1_BraROA transcript:A09p004820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHQHHLEEEEEEEEEIMLTKEANKIDEDTSSSRLFPCLFCSRKFHSSQALGGHQNAHKKERTAARRAKRAYDFVNNNDLLHTLPVFLSSPTPHHLTILSYPSSASVACFPATHTDLPIFKSNGAHFVLASSHQGRDSNGGYLGQNCVQILDNNCNMVNSENGQNQCLDLSLHL >A06g500530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2274904:2275344:1 gene:A06g500530.1_BraROA transcript:A06g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFIESEETVYIEIVGDPIFDLYDDDGWIQYDVNEFYGQVVHKLSNEVTRQNIFLLVDGINLKQGNSRFGSCGASWVFVKGDPVQAFTHHRDKEEHMDISFMMITLFTAQVDFDEICHHCLLVWQEYCHWMLRNRRNKLIEDHG >A04p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22637639:22640434:-1 gene:A04p040060.1_BraROA transcript:A04p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNTDDDDFQIPPSSSQLSIRKPLHPANGSSSHRPPRKKQRLSPNPGKENIPPAPEAGSSSTTPDCSSLLDCIPSSVDCSSASEPFCSLPAEVENDGVLVYGKEKKGECFKANREGYSCNSMEARLLLKSRVSLRLEDEEEDECCFVESDSELDVLIKLCSDDSIQCPLCETDISSLSEEERQVHTNNCLDKAPEQDSLRRCEKSSSLIEESVDNPELVNDLSPVLKWVRSLGLAKYEDVFLREEIDWDTLQSLTEEDLLSIGITSLGPRKKIVNALSALREEASASSAEAQAQSLSNVTERQRERSTTRKASEPRKPTANKLITEFFPGDGIRKLPKEPVTEKSPSDSGCRRAAVRRNGNNGKSKAVPQWNCVPGTPFRVDAFKYLTRDCCHWFLTHFHLDHYQGLTKSFSHGKIYCSLITAKLVNMKIGIPCERLEVLQLNQKVSIAGVDVTCFDANHCPGSIMIRFEPANGKAVLHTGDFRYSEEMLNLLTGSPISSLVLDTTYCNPQYDFPKQEAVIQFVVEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARVLREKIYINPAKLKLLECLGFSKEDMQWFTVKEEESHIHVVPLWTLASFKRLKHIANRYTNRYSLIVAFSPTGWTSGKSKKKTPGRRLQQGTIIRYEVPYSEHSSFTELKEFVEQVSPEVIIPSVNNDGPDSAAAMVSMLLT >A01p019790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9689485:9689957:1 gene:A01p019790.1_BraROA transcript:A01p019790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLQDDDGQITEPRSSISAGLDYPGVGPEHGFLKDVGRAEYYSVIDGEALEAFKRVSRLEGIIPVLETSRALAHLEKLCPTLPLTRWNQRGLEFQWERG >A04g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18147706:18150644:1 gene:A04g507400.1_BraROA transcript:A04g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDLGSRLDFSERFGFSDLENFWDDLLVSRLEVWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8069497:8070189:-1 gene:A08g504890.1_BraROA transcript:A08g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWGNIATDVTNSLQLRSEKCVILVLRFGKIKDRSVSNAYNVTDVQLHPNMAGLRNLGPWRRLSPSIY >A10p017650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2328996:2331655:1 gene:A10p017650.1_BraROA transcript:A10p017650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHISPSLRHVTVVTGKGLREFIKVKVGSRRFSYQMMFYSLLFFTFLLRFVFVLSTVDTIDGDPSPCSSLACLGKRLKPKLLGRRAETGNVPEAMYQVLEQPLSEQELKGRSDIPQTLQDFMSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLAHEHSINAAARLQLPEAELVPTLVDNNYFHFVLASDNILAASVVAKSLVQNSLRPHKIVLHIITDRKTYFPMQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSVIVANNEENPVVVAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMDGKVNGAVETCRGEDKFVMSKKFKSYLNFSNPLIARHFDPEECAWAYGMNVFDLAAWRKTNISSTYYHWLDENLKSDLSLWQLGTLPPGLIAFHGHVQTIDPFWHMLGLGYQETTSFSDAESAAVVHFNGRAKPWLDIAFPHLRPLWAKYLDSSDRFIKSCHIRAS >A03p045160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18883858:18885713:-1 gene:A03p045160.1_BraROA transcript:A03p045160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVFRRKLSFLFSRLRWVIKKRAWARVIVRRLRRARRTENLETKVSSIHLSSNTRRHIRVATFNVAMFSLAPVVQNMEETTLLDHIDDNNIRAPISPKGILKQSPLHSSAIKKPRVCINLPDNEISLAQSFSFLSIVDGEEDDNEGKENRGSNSMRSPVCLPSCWWDQESFNGYNSRRSIAELLGELDADILALQDVKAEEEKLMEPLSDLASALGMKYVFAESWAPEYGNAILSKWPIKKWRVQRIADADDFRNVLKVTIEVPWAGEVNVYCTQLDHLDENWRMKQIDAITRGDESPHILLGGLNSLDGSDYSTERWNHIVKYYEDTGKPTPRVDVIRYLKGKGYSDSKESAGECEPVVIIAKGQNVQGTCKYGTRVDYILASPESPYEFVPGSYSVVSSKGTSDHHIVKVDLVITKDRSSGSFKHSRKKAKQKIIRMKANLMS >A09p069890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54357501:54361299:1 gene:A09p069890.1_BraROA transcript:A09p069890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRAARKSSAMVAVSDSKGLDSRMGRRLMRFEELPGYLQDNEFIHDHYRCQWSLRDTFLSAFSWHNETLNIWTSLETTELSIAGLFNGISGAWIRLSSNQTLLRHDSNVTEHIPLLTSQEVNHQNYHEAVPKWPWLVYLAGAMGCLICSSVSHLLACHSKRYNLFFWRLDYAGISLMIVSSFFAPIYYAFSCHPNFRFLYLSSISILGLLAIITLLAPALSAPRFRPFRAYLFLAMGFCSVVPASHVLFLYWGHPNVLFALACELATGLSYAVGAVFYVSRVPERWKPGAFDIAGHSHQIFHVFVVLGALVHCVATLVIVDFRRASPCGV >A09p080700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58990282:58991737:1 gene:A09p080700.1_BraROA transcript:A09p080700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNEPEHEHRDVEESGANEDEDTGAQVAPIVRLEEVAVTTGEEDEDAVLDLKSKLYRFDKEANQWKERGAGTVKLLKHKSTGKIRLVMRQSKTLKICANHFVKQGMSVQEHVGNEKACVWHARDFADGELKDELFCIRFASIENCKAFMQKFNEVAESEVEKEESKDASDAAGLLEKLTVEETKAEEKPVEKVKTEVEPEEKTKTEPEKADEEKKTEEAAAPST >A07g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:104929:106078:1 gene:A07g500040.1_BraROA transcript:A07g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVCNHGFFMMAPNVWDPKSKSLTRPLTLSNSSSVIHGINNVSRVDEELILQQVGRMLRISAEDDRDVTEFQQLHEDAKKYGFGRIFRSPFLFEDMVKSILLSNITWERTLGMASSLCILQFKLADGTVSSQTNKKRKRVVKATKETSGNFPSAKEIASLDKELINEHCKLGYRANWIVKLAKMVESGKLNLEEMESRDMKAEQVSEKLNKLKGFGHFLTATVLMCIGYYHLVPSDTETLRLFRETLETAAQSFYDRFSPFQSLAYWFDLIQNYETKLELSQLDYKSVSGCSHMKQLKAD >A05g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25819663:25822496:-1 gene:A05g508760.1_BraROA transcript:A05g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGSLSLNRAVGESPHETSSSHVEKSYWLVRRALSTGNGEETRESPHFLLSPKKLGFATREAILVATPTILVATPIQSTIVHQIDDVVRSDRAEMDPAEERRHSKKQKDHCDMLGFVADSQYGVPRKFVCGGRIIDEVRGKEDYNSLPGKRFFTCVNFEDDGLHYRHPWVVAVQEEIKTLSTRLDEAEEVMKGVWKLNKRIEDLEVSTLSEQVDYLTVEVGTLEKVTGLASCLDHGLLCLMLLGCCCFFSTDAVYYFVSLYTATMF >A09p052880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:46073612:46074625:1 gene:A09p052880.1_BraROA transcript:A09p052880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLSVMELLKRAAKLLFSNISLAFLLLLCSLPLFCFLILFELSLQTTISLTYQFLSQELDLGCYFFLQDHKDLSENDLIPWLIQTSLLYFFPYTLIDLVTTTVIVAASSVAYTSEEEEPLGMVCLVQRSAKICQNRLVGCLITSLYVLLLSTSVFFGFFSDSVNYLFIASLSRDYESFHSKAAILFHAVVFLIHGTIFIVLAVKFGKWSAVWNMGLVVSVLEEEEGEDGKGGIYGTNALSLSYWYGRGHEKRDLLMMLMFLVFAIATRMPCLYSGCSFSSSGNGVLYTGLYVGLICVGNVVKWVACVVSYYDCRARVLEKKDDVEIGSKAKGFAT >A07g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:159858:162769:-1 gene:A07g500090.1_BraROA transcript:A07g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSLLGSLLTKSKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVIWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRFPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6618587:6622437:-1 gene:A10g502420.1_BraROA transcript:A10g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSLPPDVPSLLMPAPSSSTVVPSSSPVALNVLMAAPSLPLDVPSLPTVALSSPPDVPSLPTAGLSSPLDVPSLPSAGPSLPTVALSSPPDIPSLPTAECGRDAEGTSLPLVGSSSDFVSSSSVPSSFIGSSLSFAVPSLSLSMELCHGEVGLSSSSFGSSSSFVPNLSYVVPNIPSVMETLELSSLIGMPLDGKVAREQLSLLVHKMELVDGRKSWSEIAESVIAVELGTLTGSEAEDTVSASMIEEGTGFLFDENGTNLAVEPGVEGSRLSLTTPLIVPNAVANSSQTKESSSKTTSSSDDSSYSSSHCEKSVDSADVPGQYDNISEDDKRDDDVENVDVEDDGALGSADDEWNGTCDVNVGEESDQDEYASDGDHAAVGDDEMGDRNGVDGHSDFSSECDDFSDEQDSFSGEQSGVSGSLVVIVVDDGRDDTDGGSDRRADVTDILRKIKQESMAASLAGSLDVFGNPCGYGKKKKRVRKARERPRIFVGPLVNHLDPSESFPYIVDEDTIKWISANCCRNGVIEALIPGEDERPWTVPDGWLCVYDFWFTEYHLWFPLRVAALFTATDIGVHMSLFLFERIAHITRCDKTDGAFYVSMKAGCGVVGERKRKTLCWIKKFFYVRIAPSSVPDVSDMSVPFRSRHLVGVPLAPGDSECVEYFKETKARDWTVVRRAEVWRCIPFIDSACWRKMDLSEIPSLVDRFAGGIDDAVVESCQCAAGVEPSASARSIVVGLTSTTVLIPFAGVSGKEVVVAGGGSVVAKRAAAGRSPKDASFEPFDHSFNGLASRCGDLLLLFQSPGGVDGLCFDQVRRGEWCQGFACHLAIVKAELEKTKAELASIKDKDDGSEEIAALRGKYEAEKKVSSDALEEVEKLTAKIALEAGRVKKRQAADLEHFKKEKEVAGRRYRRAVTRHDDVLATFNSRMEKVRRYVENQKVVRTAMHGVNQIMGVLDAVKTWKKEAIIIPDGKVKHLENELVRRKEKANLVVRVEFEPKELADIPFFDFTRPLSHVHLSLTAGVEDAAKARGKEENRRREEASRRRVADRAGAASSAVRREGQVPSHP >A04p017970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10845921:10847696:-1 gene:A04p017970.1_BraROA transcript:A04p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEELSDVTTSHGENDDVSSYDDDDDSLTPSMVFDQIMGPTVMLASHEHPFYIHGSSNGHCDGCPERRDNGFFGYSCPTCDLTVHKECAESSPEINHPSHRRHPLTLFKHGLPLAAADDKCRLCGEKLGKLVYHCSICDFSIDLYCARNPLELVVRYPKGHEHILTLMPRLIRFTCNACGLESDRSPYVCPQCDFMIHEDCIYLPRVISIIRHRHRISRTYFLGSGDWTCGVCRRRVDGRYGAYCCSICPDYAVHSKCATRSDVWDGRELEDEPEENDECEEPFWVVSDGVINHFSHREHDLRLEDGLANILGEHTRCRACIRPVYSNSFYSCMHCDDFILHETCANLPRKQRHVLHNHQLTLYNDDSIVMDFPSTRGVFLCSACDRLCSGFRYECCNIKLDVRCGSIAEPFLYECHPHPMFQTSIGSKICVVCKQESVYVLSCLDCDFVLDFKCATLPNRVRYKYDRKRLSLCYDGDKDVTGSYWCEICEGEMDQNIMFYTCESYGSTIDIHCVLGDFRYVKPRLQFEFNGKKWKVALNGINRPGCYKCGFRCKGPFVAVRVDYDNGVVCSLSCLWKGDTFEFVRRID >A02g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10929511:10930018:-1 gene:A02g503320.1_BraROA transcript:A02g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEAKAPHHQAVRVIVIQKTLIEHAEKLRQVKAVLEEQRTFWNGAGRKFFRDIQEGSTEAAEVGMVKAKNKDL >A10p038430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21480738:21483650:-1 gene:A10p038430.1_BraROA transcript:A10p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQPRGTGLGVQHEDFVPKSEWKNQPKAILLTIDLPGFTKEQIKVTYVHTSKMLKVTGERPLAGPRRWSRFNEVFSVPHNCLVDKIYGTFNNNSLTITMPKKTIRKMPDLPEAPKTGDEKVEKLEEKRVLEESIRKAKEEEAEKKKKLHEEREAILRKLQEEAKTKEMAERRKFQEEAKAKEKFPLTAARQQPRGTGLEVQYLDFVPKYGWKDEREATLLIIDLPGFTKEQIKSTYVQTSNRLRVTGERPLAGPRRWSRFNEVFTVPHNCLVNKIHGNFNNNSLTITMPKETVTKMPDLPEASKTMVQKVEKLDEKRLLEESRRKEKEEEVEKKKKLLEEKERILRKLQEEAKAKEKAEERKLQEEAKAKEKFPLTAARQQPRGTGLEVHYVDFVPKYGWKDEREAILVIIDLPGFTKEQIKSTYVQTSNTLRVTGERPLAGPRRWSRFNEVFSVPHNCLVDKIYGTFNNNSLTITMPKKTIIEMPNLWETYKTVAEKVEKVEKLEEKRLLEESKRKKEEEEAEKKKKLLEEKESILKKLQEEAKAKEVEARKLQEEAKEMVESMRLQEEAIAKERAEAKKLQEEANIKEMAEARKLQEAAIAKELAEARKFLEEAIAEEKAEVRKLQEAAIAKEMAEARRLLEKSIEEEKAEARRLQEIAKENEIAEAKKLQEAKAKEMAEERKLQEETIAKERADARKLQEAAKAKEMAEARKLQGAIIAKQRAEARRLQEEANAKEMAEAKRLQEEAKAKEMATAKKLQERAEAKRLQEETRAKEMVEARKLEQATKTKEMAEARRLQEEAIEKEKAEARKVQEEATTKEKLVEEAALENKIQKNESVRKEKILMPAKDRKPSELEKASKTGLYKMRSWCWDQTCIWGSKRGRENQQKKN >A04p003190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1547170:1550152:1 gene:A04p003190.1_BraROA transcript:A04p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANSIPTDATLDLDEQISQLMQCKPLSEQQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGMCPDTNYLFMGDYVDRGYYSVETVTLLVGLKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHSNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >A10g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19266405:19266785:-1 gene:A10g506620.1_BraROA transcript:A10g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVFLLRRPCEDSCVDELVSGMAVLREISLLDAEALLGRFRRSGREATLGMAVLVKTNRGWIDVRFQTHRLPRSCEVIGSNTHLRSSGMGQ >A05p011270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4789350:4789742:1 gene:A05p011270.1_BraROA transcript:A05p011270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRIASFKNLAKKVKSINTREGGSESTQSMSLLMGEAEDTSPAATKTPTGTFAVYVGEERVRRVVPTSYLKHPLFRMLLDKSHDELHCLQQKVMLVIPCSLSVFQDVVNAIESCHGNFDFGDFVEEFL >A09g518380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:55800738:55801430:-1 gene:A09g518380.1_BraROA transcript:A09g518380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWKTKMNTRDGRANGDIASQPAKTEIRTWKKEMEMAKTVRRVKRSSQPAKHIVKVATDTQVHEESSRHATSPLKGSATSSRKHQQARPVLVRRQHLSAQTRKQRRHRRSSKPRTEIRSTKPPEHGRLPRSRFLQWRLRLSSHMLCSCVKVVWSLAYQDVINRKRPFDRGKVRIMEKLFSKIGMNFGDKVLLEGGVLMRVKWLTKVNQWFGVRRRDIIIVPKLMELSFH >A09p063330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51457805:51460113:1 gene:A09p063330.1_BraROA transcript:A09p063330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL18 [Source:Projected from Arabidopsis thaliana (AT3G57390) UniProtKB/Swiss-Prot;Acc:Q9M2K8] MGRGRIEIKKIENVNSRQVTFSKRRNGLMKKARELSILCDAEVALIIFSSTGKAYDFSSGCMEQTLSRYGYSGASHDHKQREEQQLLLCSSQENVAMLPKDDAMKSEVERLQLAIQRLKGKELEGMSFSDLISLENQLNDSLHSVKDQKTQILLNQVERSRLKEKRALEENQILRKQVEMLGRGSSGPKGLSEIPQFSSPQAEPESSSSDDDDENDPHSDTSLQLGLSSSGYWTKRKKPKIESTYDNSGSLVASD >A05p043820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26434572:26435430:-1 gene:A05p043820.1_BraROA transcript:A05p043820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKAFAHPPEELNSPASHSSGKSPKLPGETLSDFLSLHKDTAFSMTFGDSAVLAYSRPITPLRQRLFCGIDGIYCTFLGALTNLCTLNRQYGLTGKNTSEAMFVIEAYRTLRDRGPYPADQVLRGLEGSFAFVVYDTQTSSVFSALSSDGGESLYWGISGDGSVVMSDDVKIIKQGCAKSFAPFPTGCMFHSETGLKSFEHPRNEMKAMPRIDSEGVLCGANFKVDACSKVNGIPRRGSEANWALANSR >A04p007430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8889713:8890084:-1 gene:A04p007430.1_BraROA transcript:A04p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFGSFTKERRNGPKAPDTVSFPLKERNLRMVAVSTTSPAAAIPNSPLAAFQSPSSPPPPERPSTLRMVAVSTSPAIPNSPLAALPKQSPSSPPPNSPPSTLFLMTITFVGLVLARLQSRSD >A03p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9699870:9703843:-1 gene:A03p023000.1_BraROA transcript:A03p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSYGVSHVSHISNPCIFGAGSSSSPEKKWNLMKWVSKLFKSGSNGGTGGARTNRHPPQFQEDENMVFPLPPSSSDDRSRASRDKEELDRALSVSLADDTNRPYGYGWSMDNNSDFPRPFHSGLNPSFIPPYEPSYQVRRPQSRICGGCNSDIGLGNYLGCMGTFFHPDCFCCDSCRYPITEHEFSLSGTKPYHQICFKELTHPKCEVCHHFIPTNDAGLIEYRCHPFWNQKYCPSHEHDRTARCCSCERLESWEVRYYTLDDGRSLCLECMETAITDTGDCQPLYHAIRDYYEGMYMKLEQQIPMLLVQREALNDAIVGEKHGYHHMPETRGLCLSEEQTVTSVLKRPRLGAHRLVGMRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLSDPSSRSMPSTSTATSSSSSSSSSSNKKGGKTNVEKKLGEFFKHQIAHDASPAYGGGFRAANAAVCKYGLRRTLDHIRFTGTFPL >A03p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1680785:1684017:-1 gene:A03p003880.1_BraROA transcript:A03p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHCSITKPTFSVSISTNKHRRSTINLGFRIRCDSGDVSASSSSMRTNALSLSSEMEDSSLKKNLMESEVKKSELYPGGMPKMGPFTGRDPNVKKPAWLRQKAPQGERFQEVKESLTRLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPAPPDPMEPENTAKAITSWGVDYIVITSVDRDDLPDGGSGHFAQTVKAMKRLKPDIMIECLTSDFRGDLEAVDSLVHSGLDVFAHNVETVKRLQRLVRDPRAGYEQSMSVLKHAKISKPGMITKTSIMLGLGETDEEIKETMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKTYGESIGFRYVASGPLVRSSYRAGELFVKTMILSPAEMTSGFALSPAIIAAVRSPASQDCLFSASVNRRKASSSSLSTSYGRSKCAFSISRKNPRSKKIRCDVSVKSEADLSSLETEEDEKAKEKIGARIRVTAPLKVYHVVRVPEVELMGMEGSIKDYVVLWKGKRISANLPFKVQFVKEIEGRGPVKFFAHLKEDEFELVDDGP >A01p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10114536:10115421:1 gene:A01p020670.1_BraROA transcript:A01p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYTIVMTKILETCKSFQGSFRLMLVPGGGMGVTFRNPYDCLHAPTHHGNPLSSLMSLRKLFLIPVYVLSFLLFRRSIESYIYSSWMFQCIEHIGGDMFLNIPKEDDIFMKSITKLTSPLTRRLSGKSSTLRRLTQNEITSLAYKSLLQAHKSSNESDPPKFITFNVFMNHKKIRIKILGALRASNWLFMVVGVLMSMAFL >A10p027550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17333636:17335288:1 gene:A10p027550.1_BraROA transcript:A10p027550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSRDLISSLPDELLGKILSLVPTKEAASTSILSKRWKNLLCLVDSLCFDDSMVMYPTKEGVATCGAAHRFLDFVDKTFALLNNSPIIKKLSLSRGHVYGSRCQATCKHDHVKLCLDRCIWTAMERGLLELHLHADTCCGIHIMQELFTCKTLVKLTLSGNHLIQVLERVFLPALKSLSMLSFPAIDHPDYCRLIDGCPVLEDLFISDADPLKPSYCGEHVESETIKRLVAFHFCCESMPMFNNLLNLSIESDKEKGWQVMPLLLNSCPNLHTLVIKGLVHRITNRCGDACACIPKKQRKILEEEKTISCLWTCQVKVLEISEYGGSFEELNQMMHFLGKLKCLETVKVGVNSDKDDQIEFLRANLLTLPKASSKCNIQFS >A03p024830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10451416:10456613:1 gene:A03p024830.1_BraROA transcript:A03p024830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFGQTVRDLKREVNKKVLKVPGIEQKVLDSTSNEPWGPHGSLLADIAQASRNYHEYQLIMGVIWKRLSDTGKNWRHVYKALTVLEYMVGHGSERVIEEIRERAYQISTLSDFQYIDSGGRDQGTNVRKKSQSLVALVNDKERIAEVREKASANRDKYRSSAPGGMHKPSGGYGDKYDYGSRDEERSSYGREREYGYRDDDRNSRDGDRHSRDSEDRYGRDGNREDDYRGRSRSVDNYQNGSRGRSSERERTFEDDGHSSRGSGARADDSSQDGRGQLQRKFSEQNIGAPPSYEEAVSDSRSPVYSERDGGETPQATAPGAGSPPPPQAVAPGAGSPPFPQAVAPGGGSPPAPEVAAPGAASPPAGNNTDNKSTGFVNESSPQNFEVFDEFDPRGAFAAGPPAYASADGVSAPPTVASTSAAPTSNNVEMDLLDSLADVFSSNALAVVPAESTSVETNGQANAPSFSTPQPSTQPFDDPFGESPFKAFTSTDSDSNPQQSFGAPFQPTPPAFTSEVSHPDNSHNFGFGDSFSAVANPEPGVQNVQSPSNSPGFPQEQFDTSENDFDILAGILPPSGPQVSVPQQSSASVPTSQFPPSGNMYEGYHPQPVSTAPNMPGQTPFGQAVQPYNMVPRSQNTTGATPFHNGGFMHQPGSAVYNPGVVTSHPTSESFHPRPVAATSSSSQTPYSTPSGPAGQFMAPSHAPQRTQSGPVTVQGNNNFMGDMFTQAGPTSTVTSSSSSYSDLTPITGAIEIVPPPQKKFEPKSSVWADTLSRGLVNFNISGPKTNPLADIGVDFEAINRREKRLEKPTNTPPATSTINMGKAMGSGTGLGRAGATAMRPPPNPMVGSGMPMGGGGYGGMNQNQHMGMGMGPGMNPNQPMGMGMGPGMNQNQPMGMGMGPGMNMNMNMNMGGGYGQGYPMQPQNQGMVPGPNMPGNNNYNPMMGQGGYNPQQSYGGGYRPSTCKIKKRTLWFRDKIVGLVSRFTEVLRLHYSSQHQDFLHSSFPLLYAYKLIYTTQILFLRVSRIECVQHFPFTEQIKYFVFLACSRLYILYLYIHCHSKKTWILSPAVSLKSNRSFPPTSSAASSINCFRFTPPKATKRPQALSLSLKQKLNKHTTNKTNYYLFRETHIRKSYYTYRNPDVEFLKPITSNDFDPQRP >A03p032380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13689393:13690690:-1 gene:A03p032380.1_BraROA transcript:A03p032380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVETPSPNHTNKESGSLIMVSESSPSSDKRLWSSLRNRIDVLLDEKSKDHKPITSSPLVAQKSVGESERAKRLKSDSMLLLKGFDSVSHTLSQLSSNLDNALQGVRELAKPPTLSEILHSNLKADKIQQEQREEEEEESKGKKRKHESDAEVQEDSSDEDEKRPKERKIMKRAKNIAISMAAKANSLARELKSIKSDLSFIQERCGLLEEENKRLRDGFVKSVGPEEDDLVRLQLEVLLTEKGRLANENANLVRENQCLRQMVEYHQITSEDLSASYEQVVQGLCLDFSSPFAEMDYEHEEKALDVSKSLIESFEKAEEEEEEQH >A05p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8023867:8026279:1 gene:A05p017580.1_BraROA transcript:A05p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSSSSSTVLCLFLIIFTCALVSSARLSLSFPGNELTVVRERSLMVSTNDYGEPSANSRHDPPGGARRRRR >A07g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3422007:3423045:1 gene:A07g501650.1_BraROA transcript:A07g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVLCILGMGLYSFASLIPSSTVTLYFGNSSSAQYFKHGIEEMAGRTLRCVALAFRTYELEKVRMVTGDNVQTARAIALECGILTSDADASEPNLGMDTCIRLNMKPHQNWKQLFSIKRRSNRSFLRVMQDMYMNLFFGDEVFKYFRSYDS >A05p026850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17159819:17161359:1 gene:A05p026850.1_BraROA transcript:A05p026850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQPEFDYLFKVLLIGDSGVGKSSLLLSFTSNTFDDLSPTIGVDFKVKYLTIGEKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVSKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLTAEGSSGGKKNIFKQNPAQTSSASSSSYCCSS >A01p055720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31711025:31733033:-1 gene:A01p055720.1_BraROA transcript:A01p055720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLGIYTILVLCLLGYSANAEVFTAGGPPNSDITAAVLKAFTSACQAPAPSQVLIPKGDFKLGETVMTGPCKSPIEFTLQGNVKADGGSTQGKDRWVVFEKINGFKLNGGGTFDGEGNAAWKANNCHKTFECKKLPISVRFDFVDNAEIKDITSLDAKNFHFNVISGKNMTFDNIKIIAPAESPNTDGIHLGRCEGVKILNTKIATGDDCISVGDGMKNLLIEKVVCGPGHGISVGSLGRYGWEQDVTDINVKNCTLEGTDNGLRIKTWPSAACTTTAAGIHFEDIILNKVSNPILIDQEYCPWNQCNKNKPSTIKLVDITFRNIRGTSGNKDAVKLLCSKGHPCENVEIGDINIDYTGPDGPPTFECTNVTPKLVGTQNPKACVGPVAVLKAFTSACQAPAPSQVLIPKGDFKLGETVMTGPCKSPIEFTLQGNVKADGGSTQGKDRWVVFEKINGFKLNGGGTFDGEGNAAWKANNCHKTFECKKLPISVRFDFVDNAEIKDITSLDAKNFHFNVISGKNMTFDNIKIIAPAESPNTDGIHLGRCEGVKILNTKIATGDDCISVGDGMKNLLIEKVVCGPGHGISVGSLGRYGWEQDVTDINVKNCTLEGTDNGLRIKTWPSAACTTTAAGIHFEDIILNKVSNPILIDQEYCPWNQCNKNKPSTIKLVDITFRNIRGTSGNKDAVKLLCSKGHPCENVEIGDINIDYTGPDGPPTFECTNVTPKLVGTQNPKACVGPVVFTAGGPPNSDITAVSIFTCFLLLAFQSICKPFLILTCSWELLLQAVLKAFTSACQAPARSQVLIPKGDFKLGETVMTGPCKSPIEFTLQGNVKADGGSTQGKDRWVVFEKINGFKLNGGGTFDGEGNAAWKANNCHKTFECKKLPISVRFDFVDNAEIKDITSLDAKNFHFNVISGKNMTFDNIKIIAPAESPNTDGIHLGRCEGVKILNTKIATGDDCISVGDGMKNLLIEKVVCGPGHGISVGSLGRYGWEQDVTDINVKNCTLEGTDNGLRIKTWPSAACTTTAAGIHFEDIILNKVSNPILIDQEYCPWNQCNKNKPSTIKLVDITFRNIRGTSGNKDAVKLLCSKGHPCENVEIGDINIDYTGPDGPPTFECTNVTPKLVGTQNPKACVGPVVFTAGGPPNSDITAVSIFTCFLLLAFQSICKPFLILTCSWELLLQAVLKAFTSACQAPARSQVLIPKGDFKLGETVMTGPCKSPIEFTLQGNVKADGGSTQGKDRWVVFEKINGFKLNGGGTFDGEGNAAWKANNCHKTFECKKLPISVRFDFVDNAEIKDITSLDAKNFHFNVISGKNMTFDNIKIIAPAESPNTDGIHLGRCEGVKILNTKIATGDDCISVGDGMKNLLIEKVVCGPGHGISVGSLGRYGWEQDVTDINVKNCTLEGTDNGLRIKTWPSAACTTTAAGIHFEDIILNKVSNPILIDQEYCPWNQCNKNKPSTIKLVDITFRNIRGTSGNKDAVKLLCSKGHPCENVEIGDINIDYTGPDGPPTFECTNVTPKLVGTQNPKACVGPVALLKAFTSACQSPSPSKVVIPKGEFKLGEIEMRGPCKAPVEITLQGTVKADGNAIRGKEKWVVFGNINGFKLNGGGAFDGEGNAAWRVNNCHKTFNCKKLPISIRFDFVENAEIKDISSIDAKNFHINVLGAKNMTMSNIKITAPEDSPNTDGIHLGRSDGVKILDSFISTGDDCISVGDGTSNLHVEKVTCGPGHGISVGSLGRYGKEQDVSGIRVVNCTLQETDNGLRIKTWPSAACSTTASDIHFEDIIVKNVTNPILIDQEYCPWNQCNKKKASTIKLVNISFKNVRGTSGNKDAVKLLCSKGYPCQNVEIGDIDIKYSGADGPATFQCSNVSPKLLGAQSPEACSSPLTKLPGQ >A09p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23708515:23710032:-1 gene:A09p041960.1_BraROA transcript:A09p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLELAKRIFTLEEKSFPFKSIAYHTNDFKLLSAVRAALHDDEYEELKDSRLGVFIEFKELNFGWSLHMLCFQLNIKKKFELWSLVGPELVKLTLIEFEYLTGLNCNYIENLENQKGEFIKEMVSFWETMGVVVDAGPSSELIISTWKKYSSTTRATLARLVMDLEEFENYSWGEIGVDLLCAARLGYYLWRTHTKQTDSTFSGLQRRLLGRLIVKSFESHLTRMINYVQKDFGEMFSNWNNDAEDTATENISPVKEETVVKEESGRAQKKSRKEASTVVSGVNKAEIEQCFKDLAEAMRDGFGMCLQEIKLLGDWMKAV >A09p044530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36114780:36117888:-1 gene:A09p044530.1_BraROA transcript:A09p044530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEGESWKEMVRKMLPPGAPLPEDPSEFDYSIAMEYTGPTPVQDIPRVSPVDVNNPIPLHVSRIAGGVTSSSGRSPTRGSPASSDSVVSVLRNNPDESSSSGSASVSPVSQNGTQVRRPVVKFKPVVVDHVRIDSKDAAEEETDRERKVHECTASTKRRRKKKRECYRCGKAKWEHKETCIVCDDKYCGNCVLRAMGSMPEGRKCVGCIGQAIDESKRSKLGKHSKVLSKLLSPLEVKQIMKAEKECAANQLRPEQLIVNGCPLKPEEMDELLNCPLPPEKLKPGRYWYDKESGLWGKEGEKPDRVISSNLTFMGKLCPHASNGNTQVYINGREITKVELRILKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRLMCALFSLPVPHGQPRGMVQPSSSYATVPNYLEHKKIQKLLLLGIEGSGTSTIFKQAKFLYGNKFSVEELQEIKLMVQSNMYRYLSILLDGRERFEEEALSHMRGVNAIEGDSGGEEANDDGSNATPQCVYTLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQATYRRKDELHFLPDVSEYFLSRAMEVSSNEYEPSERDIVYAEGVTQGNGLAYMEFCLNEQSPMSESYPENPDDVLSPSQPKYQLIRVNAKGMNDSCKWVEMFEDVRAVIFCVSLSDYDQISITPGTVHYQNKMIQSKELFESMVKHPCFRDTPFILILNKYDLFEEKLSRVPLTSCDWFSDFCPVRTNNNVQSLGYQAYFYVAMKFKLLYASLTGQKLFVWQARARDRANVDEGFKYVREVLKWDEEKEECYLNGGEDSYYSTDMSSSPYVRPD >A04p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11342030:11344763:1 gene:A04p018960.1_BraROA transcript:A04p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:Projected from Arabidopsis thaliana (AT5G40870) UniProtKB/TrEMBL;Acc:A0A178UHR6] MPENSSSVDYAMEKASGPHFSGLRFDSLLSSSPPNPSVSSPSHLPSAVSSPSSPAPKQPFVIGVSGGTASGKTTVCDMIIQKLHDQRVVLINQDSFYRGLTTEELQRVQEYNFDHPDAFDTEQLLHCAKTLKSGQPYQVPIYDFKTHQRRTDAFRQVNASDVIILEGILVFHDPRVRNLMNMKIFVDTDADVRLARRLRRDLVERGRDVDSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLITQHIHTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIREKDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGAVYTGVDFCKKLCGISIIRSGESMENALRACCKGIKLGKILIHRHGDDGKQLIYEKLPQDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPALKIVTSEIDQCLNQEFRVIPGLGEFGDRYFGTDE >A01p057180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31905636:31907738:-1 gene:A01p057180.1_BraROA transcript:A01p057180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTLLFRKYRNSLRSVRAPMTEAKSGVGPVIEMASASLLHPKRSYAPVSTEDPGSSSRGGAITVGLPPDWVDVSEEISGNIQRARTKMAELGKAHAKALMPTFGDGKEDQHQIESLTQEITFLLRKSEKQLQKLSAAGPSEDSNVRKNVQRSLATDLQFLSMELRKKQSTYLKRLRLQKEDGGDLEMNLNGSSSRAEDDDFDDILFSEHQMSKIKKSEAISVEREKEIQQVVESVNELAQIMKDLSALVIDQGTIVDRIDYNIQNVASTVEDGLKQLQKAERTQRSGGMVMCASVLVILCFIMIVLLILKEIFL >A06p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20174890:20176563:-1 gene:A06p037300.1_BraROA transcript:A06p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEDVQTVDLMSELLRRLKCAQKPDKRLIFIGPPGSGKGTQSPIVKDEYCLCHLSTGDMLRAAVASKTPLGLKAKEAMDKGALVTDELVVGIIDEAMNKPKCQKGFILDGFPRTVAQAEKLDEMLKKRGTGIDKVLNFAIDDSVLEERITGRWIHPSSGRSYHTKFAPPKTPGVDDITGEPLIQRKDDNAEVLRSRLAAFHTQTEPVIDYYAKKSVLTNIKAEKPPKEVTSEVQKALS >A08p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7728222:7729566:1 gene:A08p010110.1_BraROA transcript:A08p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGSLSNAGNFGSIGRQSQASQKNRENSISPPSNMHHHSASLNNLLIDEQPAWLDDLLSEPASPKINKGHRRSASDTSAYLNSAFMPFREDDLLNSHFSGPSGIVQNINRHDDLWQPNSYDNHGKLGWEFSNKNGTNVQTHVSWGAVNKAGTSASKSAETQVSKMKEGSFTKPDGPGSKTDSKRIKHQNAHRARLRRLEYISDLERTIQVLQAQGCEMSSAIHYLDQQLVMLSMENRALKQRLDSLAEIQKLKHVEQQFLEREIGNLKFRKHQQQPQQNQKQMQQNRYDYRPPATQEPESQFAALAI >A08p001660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:995374:997622:-1 gene:A08p001660.1_BraROA transcript:A08p001660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLIP5 [Source:Projected from Arabidopsis thaliana (AT1G53920) UniProtKB/TrEMBL;Acc:A0A178WKF5] MRKSTLMEKVTQRTISSSSSTTFFLCFFIFSSSILFLAGKSAAKVSYDGDNDDNVTALFIFGDSFLDAGNNNYINTTTLDQANFPPYGQTFFGLPTGRFSDGRLISDFIAEYAKLPLIPPFLEPGDSQKKLCGVNFASAGAGALVETFQGSVISLRTQLDHYKKVERLWRIKFGKEESKKRISRAVYLISIGSNDYSSPFLTNQSLSVSMSQHVDIVIGNLTTFIHEIYKIGGRKFGFLNVPDLGCFPALRILKQKNDSCLRDASILASIHNKALSNLLFKMQRQVKGFKFSLFDMNKSLKLRMDHPSKFGFKEGEEACCGTGKWRGVFSCGGKRIVKEYKLCENPDDYIFWDSLHLTQNTYKQFANLIWNGGHMSDSLVLGPYNVNRLFQIS >A03p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19654872:19656810:1 gene:A03p046560.1_BraROA transcript:A03p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARHGHSSYRDRTNEFFGVVETLRRSIAPAANNVPYGGGRREDPRSAAVMQSEFNRRASVIGLAIHQTSQKLSKLAQLEMEEAVVFLAYTLSIEFNQLCHLVAKSVAKRSSVFDDPTREIGELTAVIKQEISGLNTALIDLQAVRNSHNDERNISRDTTTHSATVVDDLKNRLMDTTKEFKDVLTLRTENMKIHENRRQRFTNNPSKESTNPFVRQRPLASKPAPSQPAPLPWANSSSSSSSQLVPRRQGEAESSPLLQQSQQQQQQQMVPLQDTYMESRAEALHNVESTIHELSNIFTQLATMVSQQGEIAIRFVNTKMCITCQNALNICEKRKYYNMLLNKLVCTTESIRTWKIHWRT >A01g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1273258:1274043:-1 gene:A01g500310.1_BraROA transcript:A01g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSSSVQSSPPQHQVFINFRGKQLRNGFVSHLEKALRKDGINVFIDKNETKGKDLSILFSRIEESRIALAIFYKVETDDVKNLKGVFGDKFWELAKTCRGEKLERSFRGCPQKVRIHFNDEGEYISKIVGQVMKVLSDVSAGLERDVPIEDPSEADPDSSPLYGIETRLKQLEEKLEFECESTLTVGVVGMPGIGKTTLTKMLYEKWQHKSSCAVFSFTMSVNCGKTA >A05p003240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1172212:1175298:1 gene:A05p003240.1_BraROA transcript:A05p003240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCHGKPIEHQSKQSLPAPDEPQPPPPPPPAKSSGFPFYSPSPLPSLFKSSPAVSSSASSTPLRIFKRPFPPPSPAKHIRAFLARRHGSSAKPPSEVSIPEGEEFEIGLDKSFGFSKHFASHYEIDGEVGRGHFGYTCSAKGKKGSLKGQEVAVKVIPKSKMTTAIAIEDVSREVKILRALTGHKNLVQFYDAFEDDENVYIVMELCKGGELLDKILQRGGKYSEDDAKQVMVQILSVVAYCHLQGVVHRDLKPENFLFSTKDETSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRTYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPNFEEAPWPSLSPDAVDFVKRLLNKDYRKRLTAAQALCHPWLVGSHELKIPSDMIIYKLVKVYIMSTSLRKSALQALAKTLTVPQLAYLREQFTLLGPSKNGYISMQNYKTAIVKTATDATKDSRVLDFVHMISCLQYKKLDFEEFCASALSVYQLEAMETWEQHARRAYELFEKDGNRPIMIEELASELGLGPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTLHKA >A06p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21786821:21788263:1 gene:A06p040270.1_BraROA transcript:A06p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILSDPQFSSVLKSRSNVDLKDKWRNISVTALWGSRKKAKLALRRNLPAPKQDDNNNTTPLSIVSLANGQERTNPTSPGGFGAGSPQTHASKKSITSLDRIILEAITNLKEPRGSDRTSIFLYIEENFKTPPNMKRNVAVRLKHLSSNGPLVKIKHRYRFSTNFTSVGARQKSPQLLLEGNNKKDSPSPEADGEMFMIKGMTSQDAAEAAARAVAEAEFAITEAEEAAKDAERAEAEAEAAQIYAKAAVKALKLRIRNHTW >A01g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14351011:14356005:1 gene:A01g504810.1_BraROA transcript:A01g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDELRNGVTKDAFIRIKQEYSGVEPTPLAAKPTKLVKLAGLRPGCNPSPTMSPPPSPLVSVATVSVDLWVSLRDEGENASGSGSETPRSVARPRRWARRGVRSGQTERLDWESRLPCVLGPCKSRLSLFTRKQQKLLNKAREMEGVPDLSALLKGRLQLLSKKSAPVDPSETTDSGGVGAFGDRGVSKEGASNSNDEGISVEPSAPSPKKKKKDKKTTEKPAGETSPLLSASLATSSEGQGTKKKKKKRTRDEATSRDEGTAMDDAIPVERPKKKTKKKAAGTEPGSSVVVPTPIGAVREDDATPNAPLEKKRKALAQRSGYGSESAGGEKSVPGSSTSRGPRLEGSLPKKGRVEYPDRVEFLYDEKTPLILNPLRCAELTRQIRGGTKELPQLEDLFFRDEYIDVATLRARSDGSMNFLVERYDTTLKQTIAQLGAADKLAATRLKVIERVRAELKQGNEKAAKEKEVLRVKFEELENKLKADRAAKKELSVHRESREKEGLEEIPEKGSPIAGEGIERVGVEDPVVVSDSSSGDQDGEGDDDAGEISRLRPSEEEKTDDVVEGEAVSSPPGVDLLASTRPEETVTPIAENPAEPFGSFRSCCIFVLRSRLNEYSNFENKELILRVEGSFVRFLSDDRIAG >A04g507650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:19190963:19191148:-1 gene:A04g507650.1_BraROA transcript:A04g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVVKRIVL >A01p043240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21572505:21573124:-1 gene:A01p043240.1_BraROA transcript:A01p043240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFMKVLMRHFGYILSKAFREAKRDGQMNGIHHTKRLEGDDLVHTFTRHIPSFSFRKRSLSREKSCQARDSNFSSSLTIEDGFSYASFEAVGYDFNTIELSQLVRRVPFFDSKSSL >A01p002160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:995807:996334:1 gene:A01p002160.1_BraROA transcript:A01p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSTESTQVATAKLISQDGRMMEFTKPVKVGYVLLKNPMCFICNSDDMEFDEALSAISADEELQLGQIYFALPLRYLRQPLQAEEMAALAAKANSAFMRSGGGGGGGSCRRRRVDPIVAGDKYRVRVASCDDTVGSGFGRRKGRNADGGGGGSTSSRRRRECYAAELSTIEE >A04g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16280069:16280586:-1 gene:A04g506980.1_BraROA transcript:A04g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSRPGCAPLVDLFRCEAKRFRSVGVFLRARLFPSLLVLLDPFVVITVVSSGEDIVSGRADLLRVLRRGGACGGVALLGGSSFQNNVSALSCGCSSPRVSLSGWVGCSLGGRLRAVLG >A07p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17516823:17524035:1 gene:A07p031780.1_BraROA transcript:A07p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWSSVASLVEAIQRGFVVLAWYCELEVQECSFFSDHCSCYVLPGVWVLDSLLWTKFPEILSFLGGKKLRLNKKLNVPAVSRSGTSVRAVAADPDRPIWFPGSTPPEWLDGSLPGDFGFDPLGLSSDPDSLKWNAQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFVVELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKSYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERILTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNETSDATISFQGESYVVPAWSVTILPDCKNEAFNTAKIITQTSMMVKKRNEAGDAPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPISGKIMSLRVNSTAHVLHAFVNGKHIGNQHAENGKFNYIFEKDVKFKSGRNVIALLSITVGLANYGAFFESKLAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLNGFENQLFRTESMSKWSVEGVPFNRTMTWYKATFKAPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSENGCDVKCNYKGAYHAEKCLTNCGEPTQRWYHVPRSFLNAQGDNTLVLFEEMGGNPSLVNFQTTRVGSVCANVNEKQIIELSCDRKPISAIKFASFGNPNGNCGSFEKGTCESSKNTVDILTQECVGKEKCSIDVSTEKFGAPDCSGATRRLAVEAIC >A01p021900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10870697:10874800:-1 gene:A01p021900.1_BraROA transcript:A01p021900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLNDSVRGRFTSTALLFIGLISCLTVYAVFFNILRPRPQDHTLDSAVRFTDSRDHARVDGGGCCRGVANLELWGPAVKWGTDFKFESPGECCKACKAMCSGNDGPCLCDTWVFCGNKEACGPKFGECWLKKQKDVLVPDRQEGGQKFMWTSGLIFGQGQGIVGFETDHGVLHVKLHPECAPHSVYYILSLLTQRHCAGCQFHRAENRGSYWDSEGYHINNAPYGPPYAMIQGILQPEGNIFTPVPTEHCPTITRGSVAWVGSGPEFFISLANHHEWKQSYTVFGSVLPEDMDVAERIAGLPTIADVWNSVNVSVLEKPVSLTVRRMKSGQEQAEPGSRVPPPCWNDEETAALVNAYKDKWFALRRGNLRAADWDDVAAAVSSLHTLGGPAKSAIQCRHKIEKLRKRYRGEKQRSLNRPGKFSSSWDLFPILDAMEFAPVTPTAVEPYDPDLDNDDESNGLDGFRVRSKRSGKFDSPRDGFGVRSRSKSQMKMYGGFDSDHDSGGGFGLKRRYNGNPKVSGDFDADSDEEIVLVPKATRLKGSHGKPSSGEFGGGFPLKSFGDRSFASHGFKAKNFSKPEANFSPEMDYDDEFDEGFNPRIQHSRSSSRANGYGRKDGSYPRSNNTGVSNGYGSSSRFKHEQMNAADVESDPIDEVVSSVKMLTEMFVRVENSKMEMMREMEKTRMEMELKHCQMMLESQQQIIGAFAEALSEKKSTNARRPGS >A02p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18177294:18179984:1 gene:A02p033820.1_BraROA transcript:A02p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKYINQQSHKCITPLVKRQQDCSFVLLILSCQIEEKQSEKMGGHGEGVSLEFTPTWVVAGVCTIIVAISLAVERLLHHFGTVLKKKKQKPLFEALQKVKEELMLLGFISLFLTVTQGLISKICVREEVLMHMLPCSKQEAELSKHKNVTTTTTEHFQSLIPIVGTTRRLLAEHAAAEAGYCSLKDKTPLLSLEALHHLHIFIFVLAISHVTFCALTVVFGSTRIYQWKKWEDALADESFDPEAALVKKSVTHVHQHAFIKEHFLGIGKDSVFLGWTQSFFKQFYGSVTKADYVTLRLGFIMTHCKGNPKLNFHKYMMRALEDDFKHVVGISWYLWIFVVIFLLLNVNGWHTYFWIAFIPFILLLAVGTKLEHVISQLAHEVAEKHVAIEGELVVKPSDEHFWFSKPEFVLFLIHFILFQNAFEIAFFFWIWVTYGFDSCIMGQVRYIVPRLVIGVFIQVLCSYSTLPLYAIVSQMGSNYKKAIFEENVQVGLVGWAQKVKNKRASNGNEGSSNAGTTPSPPGAGAGFAGIQLSRLTRNNAGETTQNEITPAHVNNH >A04p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22083983:22084716:1 gene:A04p038950.1_BraROA transcript:A04p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEEEEKKVRERIRNKVNQVSSVSQSLLSPLQDHINFTLQKAYFKCAYECFDRTRTHAEISQCAETCSAPITNAQNHFDNEMSVFQEMLNRSLVACQDKFEAAKLQRTRNEAVVGLEQCVNQTVDDAVKTLPSLVSKMKKALSVSD >A02p046900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29362660:29365454:-1 gene:A02p046900.1_BraROA transcript:A02p046900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYNASVAPSSSSAEPFFLTGSGVGDNEFDRKDTFISMIQEPNSSAQPPKKRRNQPGNPILIDKHSGSYWWLNLIDQTDPDAEVVALSPKTLMATNRFICDVCKKGFQREQNLQLHRRGHNLPWKLKQKSTKDVKRKVYLCPEPTCVHHDHSRALGDLTGIKKHYYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTIFSRRDSYITHRAFCDALIQETARNSTVSFTSMASASSGAVSGGFYRRLDGGNALAHRNLNDHHNSGFSTIPGYNLNIASSENSRDYVQQTSDPNFLIQISSSQRMLTVPNNNDQSFMNEQGKIQFDPVNNTNLKSNTNNSFFNLGFFHENTKNSETSLPSLYSTDVHTRSSNVSATALLQKATQMGSVTSNDPSSFFRGLASSSNSSSVVGNDFGGGQIMGNNNNGSLQGLVSSLVAVNGGGAGGSGGNIFDVHFGNIENMSGSDKLTLDFLGVGGLVRNVNRGGGHGDVPEQNHTFGRP >A03p063400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27508119:27511435:1 gene:A03p063400.1_BraROA transcript:A03p063400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFLIGVLVVVLFIGNVIEEANGEISPTVLSKIEWMNKRGPYLGIVAPNNFELNPLLASQAYVPYPSLPFIDFAGRRFRFGKISNQRVVIVMTGLGMVNAGVATQLLVSLFRLKGVLHYGIAGNADVNLEIGDVTIPKYWAHSGLWNWQRYGDGIDDELALEAPGDYTRDIGYLQFSKYTNGSDNLLNRVWYQPEEIFPVTGTPEVREHIFWVPVDKSYLNLARQLEDTKLPQCVNTTCLPRPPKVTIVDRGVSASVFVDNAAYRTFLRSKFNATAVEMESAAVALICHQQSIPFVVIRALSDLAGGGSDMSNEADIFGSLAAQNSVDVLVKFVGLLPPYGSKIQSE >A04g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13719106:13724134:1 gene:A04g506360.1_BraROA transcript:A04g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPWGRTVTLPPSQGKMEWRPVTRPRETEESSGTQRLKKEAGNNRSPMEQSKTESSTVLRTQREANASTENSEPLGEPEMEKENEQTRSHAKVPEIARHGLTHDPEKETMEAIERRKKDKEKEDAELERSIDEYAEMAMNEEMIDADDLLDENFENEERLGEEGREEEEEQIEAIAQLSQNRSTRPPIGEKIKEKSMLQVKETSREAALDEQNGKNGKRNNMPLGKKREGQAPFKWKCQVDASWTGEKESCGLGFVTLEEDKPILYGAMGGGAQTPIHAEANGLIWALQEMVKLGIQVMHFESDCEQLVNIINREEDWPVLAMELDEIKEYAKSFTEFSLSFIPRVLNVRADDLAKGAISRNFKFPSVDGCAPRWLVNSGHMDAK >A02g510920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29019909:29020610:1 gene:A02g510920.1_BraROA transcript:A02g510920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIIQRFTTPGSRIRFSLIKVNQEASTSTPGLNPYEDILYLYRNLLLTYPEFRKLCQRVAITFSPGTTRGYNSELPSSAQSRRAAQRLDPEVTSWRARDGFSKRNYQRAEVCSRESAEFRNKDLEKIDENSGLESEGGNKIRTEFLVTGFGLDTWTVLRYEGGFDNWTVDCKCGAIDDDGERMVACDACKVWHHTKCHSIEDGEDVPSAFSCYRCFGY >A04g502950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6583351:6583605:-1 gene:A04g502950.1_BraROA transcript:A04g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLNNREYHQRLKLLATLRAAGAIGSSPDEHDDAADENSYGGGYNNGELTILDEHRAQFGCDGLISRGVGQVGDDDGFSISFD >A10p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20267032:20269142:-1 gene:A10p035150.1_BraROA transcript:A10p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEVVKDLGTGNFGVARLLRHKDTKELVAMKYIERGRKARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSILHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPNDPKNFRKTIQRIMAIQYKIPDYVHISQECKHLLSRIFVTNPAKRITLKEIKNHPWYLKNLPKELLESAQAVYYKRDNTSYSLQSVEDIMKIVGEARNPASSSSISKSLGSGAGEEEEEEDVEAEVEEEVEEEEEEDEYEKHVKEAHSSIQEPHEALKEKK >A10p010960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6665100:6666540:-1 gene:A10p010960.1_BraROA transcript:A10p010960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSSQEGDYDQIQFYLLSNRCLAPLFEEPKLTSNLNQLNLHVLGFGIHWIRFLFQSIYWFMYVLEKYGDLKLFEVHNYTDASDVNQQPIAEVMPVLLKSGQSASRDEAVEEMKDCRSMVHPCHRSTVMPEHGLSIIYEQLKPISHTKLPKYSRTIRNPI >A09g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3942146:3944297:1 gene:A09g501220.1_BraROA transcript:A09g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDMKAEIISNSVFLATEVIDTKSSTQTIEVVNDGVIQRMGEDDEELQKPRAFVSLETELLKRQMIPEEEELDSHEPTTSTLPSDCVPAPALVENSFVEDLSSVVWVSSEDSVNQFQSYSGLVVDTLSLGSDSGRLYAHQDEAIYTLTSSEIEDLVQTSTCVKEKLHVQKLFVPVLRRVQGKKKKQKYQKRWKFKFKKRSWERVFFQELFDEFSVGFGCGSLWERVELLTRPIYVTMRRFAHQLFNKMFLDLRREKIQNVQRKLQKSWRFKFRRRPGLHLQRTLADNPFLWHGSEAVETLSQFGFGIVQLCLMSNRVTWRRHETDSFEPVSASYGAVRTAVVMSENHMLLLLSNLWRQSCVKGCLATQGSSNDWLFCPLTADTSSWCIRATTGSISQFMPLDKDGADTDLWLQLDKDMFREKFHLKHRWKSKVLHQKLMIYWKKIKERDGFANAETTRCKLDAVWTDGVGMLLQEREVVYKLDLKATHVRMVEQVSSEQMLQSQVQKQQRKRKFMKCWMFKYRETNIQLVFLELLEVDSQAVAVMSSKRHLHQLTMACYRCDYHKLIFLSEPSQEEHNDQLESADCGVTNPQSYELKQCSYSAAVIMVLQNNNTPYGTAKILVLALESVTRTGLQMNCMTSVFIEAADVSTG >A03p001080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:572371:572782:1 gene:A03p001080.1_BraROA transcript:A03p001080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVVIFLMYLLFVVPCFVAIASENTDSEVYEIDYRGPETHNSRPSPETSHGKPPFIHHKTSAAGSASAYVGGQN >A04p000010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3036:4059:-1 gene:A04p000010.1_BraROA transcript:A04p000010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKIRLESRITSTAKKRWGLGVLLVDQGTKKRGVLLKRWGMNGSWNYALVCGCRGVLCFALVPLSYPFLREGNEDGSLLEAIYEHLMRHGGEMEVLQRSGT >A04p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18676599:18678373:1 gene:A04p031840.1_BraROA transcript:A04p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKLYSWINDTRMGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFSDMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >A10p013640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4651327:4651917:1 gene:A10p013640.1_BraROA transcript:A10p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLCKKRIFSQENKIQRLNYQVQDLRRQLVQCRNENQVKLTELVTELDQLPLREGFAMREAIKKINAIGIFGV >A02p023490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11693314:11694357:1 gene:A02p023490.1_BraROA transcript:A02p023490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDNHGAHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADYTRLRQSKRIVPDGVNAKFLSNHGRLSNRQPGSAFISATSD >A02p021670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10194508:10198175:1 gene:A02p021670.1_BraROA transcript:A02p021670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSYPISEFVVADTGVFWDIAYCPIPGATGEKPIYRSGSLQDVGDASACSGSSQGVGDVKRIFGFYRRWVRVSVHFRMRKINPFALWYMRVLLNCVAFAVCFSNKTLFSLLYFFSFCCDAVDGWCARRFNQVSTFGAVLDMVTDRVSTACLLVVLSQVYRPSLVFLSLLALDIASHWLQMYSTFLAGKSSHKDVKDSTSWLFRLYYGNRIFMCYCCVSCEVVVSTLTQISPLSLLLALTIFGWSMKQTVNIIQMKTAADVCVLYDIEKQQKNP >A07p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13502562:13503287:-1 gene:A07p023320.1_BraROA transcript:A07p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKPEEVVTVDVSQAKTLLLSDHQYLDVRTEEEFRRGHCVAPKILNVPYMLSTPQGRVKNPNFLDQVSSLLSPTDDILVGCQSGARSLNATSELVAAGYKKVRNIGGGYLAWVDQSFPINKEQQQSAN >A07p046740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25190697:25192965:-1 gene:A07p046740.1_BraROA transcript:A07p046740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSQETQVDSLQQETRHHLRQIFISMMFKSRRFFHHLVLYSFLIGFGFGLGFLLNFHMRNVSFSPQLFRLSSPSLSPQPQPENFVLVNETVAYDSDEGQKGHSMVEFEKVMHHNTTEEELMWRASKVQERPSTVKKKVAFMFLTRGKLPLAKLWERFFNGHQGLFSVYVHTSNLSYVDDGIPERSPFYRRRIPSKEVKWGMVSMVEAERRLIANALLDAANQRFVLLSETDIPLFNFSTIYSYLINSQHSFVDLYDLPGPAGRGRYNRRMSPVITRSKWRKGSQWFEIDREIAVAVVSDKTYFPLFKKHCRWGCYADEHYLPTFVHVMFPGKNANRSLTWTDWSRRGPHPRKYTRRSVRAELLTKLRNREGCVYNGKESNNCYLFARKFDDSCIDTLIHFAGRIMGF >A09p057270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48366175:48367428:-1 gene:A09p057270.1_BraROA transcript:A09p057270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNSTDDSEWEVRPGGMLVQRRDDSPSSSDPQHPAVFVQTIRINVSHGSSHHDLPVSAHATFGDVKKALVQKTGLEAADLKILFRGVEKDDAEQLQASGVKDGSKVVLVEEPKKRVEQVEQPPVMTEEMAKSVAAVKAVSGEIDKLSDKVVALEVSVNGGTKVAVEEFDMTAELLMRQLLKLDGIEAEGEARVLRKAEVRRVQKLQEAVDTLKGRCSNPFVDQSKAAAVSTEWETFGNGVGSLNPPPPASPSANVTQDWEKFD >A04p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1343873:1345877:-1 gene:A04p002800.1_BraROA transcript:A04p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSMDSDYHRRGLAADDRSPVYFVRLDKPRAVDDLYIGKREKMRRWLCCACHVEEPYHASENEHLRSPKHHIDYGYNKRAPVALKPAALKEPPSIDVPALSLDELKEKTDNFGSKSLIGEGSYGRAYYATLKDGKAVAVKKLDNAAEPESNIEFLTQVSRVSKLHHDNFVQLFGYCVEGNLRILAYEFATMGSLHDVLHGRKGVQGAQPGPTLDWIQRVRIAVDAARGLEYLHEKVQPVVIHRDIRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGDYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLRSSTAVPVPSQGI >SC206g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:47757:48228:-1 gene:SC206g500030.1_BraROA transcript:SC206g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEMAWELYVCMKPKWVKRESLEAI >A03g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5686904:5687644:-1 gene:A03g501820.1_BraROA transcript:A03g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALLYGLSFASVFATISHVALYDGKLITSVGNICNTNLLPLGSPWTCPGYEVFYNASIIWGVIGPRRMFTKEHIYPGMNWFFLVGILAPVPFWYLSKKFPEKKWLKHIHIPLIFSAVSSMPQAKAVHYWSWTIVGVVFNYYIFRRFKSWWARHNYILSAALDAGTAIMGVLIFFVFQNNDMSLPDWWGLEN >A02p037570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20087930:20092917:1 gene:A02p037570.1_BraROA transcript:A02p037570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAKNYYSDLSKAETGKSRPHSYGDIGRRQSTFSVVTSSLFSSFGRSVTSLFWTSTSPLSDGKKNSSPTRAMPARLVMNLHEFETYPWGRLAFKWLVDSVKCKDLTSNCYTIDEFVQVLQTRVFKYVAKEIGEMYPTWDNDAVDVSVKNLVEFMFAKPEWKWTLECWQAQGTKQWTIPVYVKKEPHQRPVKEESRAPKKARTEAGTSEDPIEPPLESSAAKNGLMREEIELMFKEMTKVVTAGIGQCVKEIKLLGDRMEAMEKIVGINTKDTQEMTKVVTDGIGQGVKEIKLLGDRMEAMEKIVGINKKNTDNNEIQLTVSELKQNKHPQEPEVRMEAMEKKVGINQKDTDDKARREPGVSTKPPFKIQMVRNTDDKARREPGVSTNPPTVVYLVLPYVRLLDLSESVNGDKRERENTEPGGMKEKSVIILDKTKATKSDLKKEEGRRDKQKDTIMELCRAKSERKRKLAASQKSPFQGNSTAKTDRSNQEDWDHRLTYERKPLGSQSLWFTMLRTPQKWLLSSVSLLNSTSRPEVFPKSSWSLHVVLLKSFRTLPGVFPKSSMSLPKEDFVDSSLSLPGVFLLVMFLMLHMDGFLHLLRLRYSEHPEHFRSDRLCFLYHHFGQMWINKYVDFKSSEPDMNGLGRRLPPGAFDHCAGLVPEFRKSNLRWGKDVDDIYAPVNYINEHWIAIWVSVPKKHSTIWDSIPTHIKDGPLAELMEPFTTMIPYTLKSQFELSKAIRLLKPVRKAKYLKH >A06p036770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19912410:19913852:-1 gene:A06p036770.1_BraROA transcript:A06p036770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response regulator 6 [Source:Projected from Arabidopsis thaliana (AT5G62920) UniProtKB/TrEMBL;Acc:Q0WSS6] MAEVMLPMKMEMANDPSKFTSPDLLHVLAVDDSHVDRKFIERLLKVSSCKVTVVDSATRALQYLGLDVNEKPIGCKDLKVNLIMTDYSMPGMTGYELLKKIKESSAFRDVPVVVMSSENILPRIDRCLEEGAEDFLLKPVKLSDVRRIRDSLIKVEDLSFTKSINKRELETENVYSLDSSVPLQLKRTKI >A02g510690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28442265:28444026:1 gene:A02g510690.1_BraROA transcript:A02g510690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSVLDKRHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSINDTVWKELCAHWDKEETKEISSTNSNNRRSDRKGKGIYKHNLGAQFIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLFRSVPKKKGRLVGLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYEIQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3199088:3201521:1 gene:A02p007570.1_BraROA transcript:A02p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNHRHHTPHRPRSKTLIFTLLLFSFSLLVILYTISSSSRPSISNPNQSDRTETSFVASLEQFLIHKAPKLSIRDDTVHGESNDDDPRKLDEMVFERENRLLNEDPVYPVGYPVKVYVYQMPKKFTFDLLWLFRNTYKETSNATSNGSPVHRLIEQHSVDYWLWADLISPESERRLKSVVRVHQQHEADFFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKTVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDRCDAKCLSESAPKRTTLLFFRGRLKRNAGGKIRAKLGAELSGVKDVKITEGTAGEGGKLAAQVGMRSRSLFCLCPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYKKVAVIVSSSDAIQPGWLVNHLRSFVPSQVKKFQSSLAQYSRHFVYSSPAQPLGPEDLTWRMMAGKLVNIKLHTRRSLRVVEGSRSICRCDCWRRNSTTASNSLSPLLS >A05g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24596674:24600150:-1 gene:A05g508450.1_BraROA transcript:A05g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKAQRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIIIGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEILEREVQLTRDYARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTLVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDHAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFVILLTVFGRVWPFEFGFRLGLDGRICIYRDWPLVALNPLPLYAISCLEMFETRALGLGQYLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMRAFVLVLDVLKIKRVIEMCLFKTAGVFVGANRRTGCKLFRGLYVYFCVFERYNFTIFGPEGAVDKSLNVFRRVLKLSRGLRIYVELRRPMRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNSQKVFSSYP >A06g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19088869:19090756:1 gene:A06g506900.1_BraROA transcript:A06g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINQLHFSDLKDGCCKKTVVAQLLRFWDARDLKKVGEHLGLDLVFLDKKISENEQLMALANINVDFPDVFGDVCGIKTTLTMRIRPFNVLLCRCCHDKPKRPSGCVCVFGMIVYQLHQKLEVSGRLLLNATPGTTSFDNECLASQSVLAALYGSDDGSSSTASKYGSVKKIERVTLAELNNYVLNSPPQTAKFLCTAEVGGIDTTNGWCYFSYSKCYQKLQRGFTAQVNLSNRLPRCIQDIIVQNLTFQLKLSEIHFSSTMVRIFYTNHCPHVPNFLDDDYPGDDMPGAVSQTLKPTLVLTKSISENNT >A06p004510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1184072:1186169:-1 gene:A06p004510.1_BraROA transcript:A06p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVCETCGDEGWVEALIFCDSCKLAAVHRHCCGITPVPIDGYVTWFCSDCDESDSASDSIQVGVESLDVSSSPPTMEETETRGIPGSSKSNESVLEMSGNEKKKKKKKKRRKIVTPDDTVVETMEVSKKQDGSENGESDELVGLARNEVSVLEKSGKKKKKKKKKIDKGEGSNHVSPVLEAEGHGLQGTTSVEPMKESKKRQCSESKEAEGLNVLVGNEVSVLEKSAKKKRIQESSNHTSPVLKDVDCGHQDTASVEAMKESKKHKKSDELIGEKSEKKKKKKTNEESSNHTALVLAAKDNVACDTTDNVEPAKAQESSASRKPHEPAGLEKNEASVSEDGNSTNVPDDNSCTTSKKRRLSSGNIQVTSENTELPAINSSCKEAESHMPQTNEVMPALNNGRAQPICTPVWRGSVTVKQGNNCSIHGLVAHVSNLACPKVYEKASSLRTRLSAEMLPRLEIWPPSFLKNGPPTDDSIALYFFPSHDSNSENLYYSLVDEMKKKDLGMRCLLDDAELLLFTSYQLPLPCWKFHSKEYLWGVFRRRKTSGH >A10p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1900968:1903094:-1 gene:A10p003870.1_BraROA transcript:A10p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMSETHHVQSSTGSVRSSQKIEDAFRKMKVNDGNGVEQPDPYPDRPGERDCQFYLRTGLCGYGSTCRFNHPTNLPQVMYYNEELPERIGQPDCEYYLKTGACKYGSTCKYHHPKDRNGAEPVLFNVLNLPMRQGEKPCPYYLRTGTCRFGVACKFHHPQPDNGHSTAAAAYGMPTFTSAGLHHAGGLTMVPTYGTLPRPQLPQSYVMVSPSQGLLPPQSWATYMGASNSMYGVVKNQVYYPGSSAPMHMGVTLNGVDLSERSEQQQQCRFFMNTGTCKYGDHCKYTHVSVRVSPPPPPPNFMNPFVLPARPGQPACGSFKSFGFCKFGPNCNFDHSVLPYPTGLPMPSSLPNPYPSHVPSNYQRISPTPSRSGSKAMHNDKPDVKKEMPGTEKPEQVQDLSSPRS >A09p078360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57996630:57997362:-1 gene:A09p078360.1_BraROA transcript:A09p078360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein CXXS1 [Source:Projected from Arabidopsis thaliana (AT1G11530) UniProtKB/Swiss-Prot;Acc:Q8LDI5] MEDQEQQKNSRVVKVDSPESWNFYVSQAKSQACPIVAHFTASWCIPSVFMNSFFEDLASSYKDTLFLIVDVDEVKEVARRLKIKAMPTFMFLKDGNTMDKLVGANPDEIKKRVDGFVQSSRLVHIA >A04g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11321785:11322473:1 gene:A04g505230.1_BraROA transcript:A04g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRTQLSPMIMSNMIQPRGGNSNHDLPIAGTSNPRERGTQKSPLIMSNMIQTQDEISSTHDSPIAGSSNVFERGTKTHAETEWNSIQRVDEVSGIQHTRISDSLISPSSGLVSNKRGLDSIGFAVYGSG >A01p010000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4884964:4885417:1 gene:A01p010000.1_BraROA transcript:A01p010000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMRVAFAMTCMLFAITTADKGDRPWPRECLEVANVMVEECKLFFVEQESPPTAECCGWFSSRREKAKDRRRICRCMEFLTTAFEAIKPSVLALSDQCHFGGGFPISKNHACA >A03p049530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21223708:21224266:1 gene:A03p049530.1_BraROA transcript:A03p049530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTTALIPTEKYRFRKYKQLMVLANTNIDFSDVYGDACGIKRFKATYNDKSKENQRVMWTAVCVSVFDMVANKLHHKFETLCVEPKVIVSTDINPKFVGGELCLFSMLVSILV >A09p000450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:271669:273577:-1 gene:A09p000450.1_BraROA transcript:A09p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLNPDENGDRVYHHHQSSVDPNDNSTSETPVSLTMSMDSFVYPRTCSESTSGFSDQIDETSSSCSEPSPSDWPVLTESKSSKCLTTGLEFQTNEILEAQEISEPELETMKERFAKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLEAEKRALWKREMNCLLSVCDYIVEFIPRCQSLSNGTTVEVMESRPRADIYINLPALRKLDSMLMEVLDGFQNTEFWYAEEGSLSMKSARSATGSFRKVIVQRKEEKWWLPVPLVPLEGLSDKARKQLKNKRESTNQIHKAAMAINSSILSEMEIPESYMATLPKCGKSSVGDSIYRYMSGSGRFFPEKLLDSLNIASEHEAVQLADRVEASMYTWRRKACLSNSKNSWNMVKDLMSTTERTDKNYVMAERAETLFFCLKQRYPELSQTSLDICKIQYNKDVGKAVLESYSRVLEGLAFNIVAWIDDVLYVDKTMSGSE >A09g514490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43226327:43228793:-1 gene:A09g514490.1_BraROA transcript:A09g514490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRDGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p061680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26786674:26792103:-1 gene:A03p061680.1_BraROA transcript:A03p061680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARKLEDDNPPSSPLNETLLIATMCIVGLQVHVLVKDGSVFSGVFYTASFENGFGIVLKNAKMSKKGKGKANVASGSVVETLVIMSPNIVQVVAEGVSLPSSVTGNSECEIVGSAMETLPSKPRLSAAKKNGIEGRGNHHRRQPGANILKRNEHIPDVHQEDIQISSSNLDNMSERVKPIKEDMLTPEPISNGFHDAAERPSSTDKSSSRSETVDETSELCQGIKASSNEPIPIQAIKKAKEFKLNPEAKNFSPSYTKRLSPSPAAMPDIQNVAYIPSNTPMLPVPEPVYPEVGNSPYMPQTSPHSKFVPYGNLTAGNTVSVFHFPQHMIGPIVNRAHPQGFTSPYQSVQASPMFVNPNPQVMVARSGQLYLQPVSQNLVQATPPLPPMLSRPLPPAQHVQYLKHQGVVAAGQPMQLCVPQPFTTGGLQPYSVPAQYPVMQTPFPTNQPMHIAVPNGFFGTKNSEGGKLPTSLKNLDLNKSHEPKNKIPVSAYLSSSRISALKRGPPSLVSLCLGVVGRHLEEIVPCLSDISDIFPADIKMSIAAIAKRRKLLDDDLVISLADTSWEILDVSCSDVSDSGLAKVSEMCKSLRAVDISRCNKITSMGVSELVQNCRSLETLRCGGCPSSESTARRSLSLFKPDLSNVEGDTWEELDVTEIGHGGHSLRWLVWPRIDKDSLEMLSMECPRIVVNPKPSFLTYSLHEVPREASPDVALDEPFVKDIDPKTWVVRGVVKIPTTSSLSLTSSSSELSIAEKFRLAFAERDARLAPKRAKNARQQQRRAERDWMMSSDEAKAMAFASKATRCLRKK >A06p027630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:9845:10327:-1 gene:A06p027630.1_BraROA transcript:A06p027630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAEKGLITLQGMMEKQDYMAEDIDMELEAINATILESGVEVEAEEEFQTLSEEEAEQASEVQHRYVHTQEEEELVSGDADADKDMAAGAVVTRQSNRKRLAKPPISTAGSNKMRMASALLSPRKRAAAKVGTRHGDSGKPTESKGPSNPKPVNLKF >A10p021020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14156789:14158724:-1 gene:A10p021020.1_BraROA transcript:A10p021020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) UniProtKB/Swiss-Prot;Acc:Q94CG0] MGLFGTKKIGKYEIGRTIGEGNFAKVKLGYDTTNGTYVAVKIIDKSLVIQKGLESQVKREIRTMKLLNHPNIVQIHEVIGTKTKICIVMEYVAGGQLSDKLERHRMKESDARKLFQQLIDAVDYCHNRGVYHRDLKPQNLLIDSKGNLKVSDFGLSAVPKSGDMLSTACGSPCYIAPELIMNKGYSGAAVDVWSCGVILFELLAGYPPFDDHTLPILYKKILRADYTFPPGFTGEQKRLIFNILDPNPQTRITLAEIIIQDSWFKLGYTPAYHQVSDSVKENVAEINAATASSNFINAFQIIAMSSDLDLSGLFEEQIQPKEIRSRSSYDLLSAEVIEVTPTNCVIEISKSAGELRLYMEFCQSLSSLLTAEVS >A10g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14978535:14979264:1 gene:A10g505810.1_BraROA transcript:A10g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFIIVSDKSELQSCAEMSLSASPVPQTGVSGIRHSTFESLRLCRSIFADSVIHAFILAGRVNHYKSSLKIVSIVARCSNMYKITDHSFLIRFISPTIIDEVITGAPEINL >A09g513970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42235072:42236411:1 gene:A09g513970.1_BraROA transcript:A09g513970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIQAWASSVKLKTPNYSLWVRMMKKNHRGKGVWSHTSDEAPWKICKVFAHVNERQPEAHQQKPRSSQSFSGFLSDPLNQEDQEDRRGSKGELYKQGQTHKEKELGNESKTQIHQAQMSSVYMKVQGTSPGSLA >A05p052530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30646316:30647256:-1 gene:A05p052530.1_BraROA transcript:A05p052530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINSLPEDIIIDCISRVPKHRTEYCLYVTISKYDINNDGDVHRLYTLRQNFNSPDKKYSLVPVTSLPRLPPNASYVTADSKIFVIPDDKSLASTDTLLIDCRFHTAHHLPSMPNEVGEISIEGYYKEVSDGVAMFLNQIPRTLVCMYEWTYKSDHKLYMVTLKTDILNLKAWHHDNKCFLDGVSYFSDPQAVDIRQICVEDVKGVKLITDEDANVSHTVIYGEKVVVFLQIEICEKNEIWCVEIKVEKDQKGELCGRVEWCGCLIEGHWAAGPNLKDALVVKV >A03p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5112076:5112750:1 gene:A03p012960.1_BraROA transcript:A03p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRGKREEKMTTEKKEMEVVKGLDLERYMGRWYEIASFPSRFQPKNGADTRATYTLNPDGTVKVLNETWDGGKRGFIQGSAFKTDPKSDEAKFKVRFYVPPFLPIIPVTGDYWVLYIDPEYQHAVIGQPSRSYLWILSRTAHVEEETYKQLVEKAVEQGYDVSKLHKTAQSDTPPESDAAPDDTKGIWWLKSIFGK >A09p060370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50081028:50082417:1 gene:A09p060370.1_BraROA transcript:A09p060370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELKFSSRDVVKRCDYSLEASSSSSEPLTRTVKRKLNDFLLRGSSSDSSSNAKSLVENECAALLEDLSTQRKTVKDLHLELEEERKAAASAANETMSMILRLQREKAEIQMEARQFKAFAEEKMTHDQEKLLVLEELLYEKEQAIEALTYEVEAYKDKLLSYGLTEGEIHDEILGFGKDSVDVYPCEYSVDDQSPRWPYYDPNSPLGTAKEIKGTEFCADSPMSSSGDRVYTIDSIHVGVSEVKIVDEPSRLSKGKLNGDHWDSPRKEEPVMMMMAQQGGNEPGIEKLYTRLQALEADRESLRQIIVSMRTDKAQLVLLKEYAQRLSKETVTTDRRNMVSKMPFFKGVSVVTAFKWMVSFVSWRRKAKRNKYVYDMSANNMGMLMILGEGSRSRRWSCLTSSHV >A09p079070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58294956:58301590:-1 gene:A09p079070.1_BraROA transcript:A09p079070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDELSQLSDSMKQAASLLADEDPDETSSSKRPATFLNVVALGNVVRLELKSSLSLLYLNQGAGKSAALNSLIGHAVLPTGENGATRAPIIIDLSREASLSSKAIILQIDNKNQQVSASALRHSLQDRLGKGASGKNRDEIYLKLRTSTAPPLKLVDMPGLDQRIVDDSMIAQYAQHNDAILLVIVPASQASEISSSRALKIAKEYDPESTRTVGIIGKIDQAAENPKALAAVQALLSNQGPAKTTDIPWVALIGQSVAIASAQSGSGENSLETAWRAESESLKSILTGAPQSKLGRIALVDTLASQIRSRMKLRLPNILSGLQGKSQTVQSELARLGEQLVDSAEGTRAIALELCREFEEKFLLHLVGGEGSGWKVVASFDGNFPNRIKQLPLDRHFDLNNVKRVVLEADGYQPYLISPEKGLRSLIKIVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVLALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLSRASSPQPDGPFAGGSMKSMKDKPSLQDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTITLEECTIEELPEEEVEKSKSSKDKKANGPDSKGPGLVFKLTCKVPYKTVLKAHNALVLKAESVVDKNEWINKLQKVIQARGGQVVNVSMRHSLSEGSIDKMARKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAIGNERIESLIQEDQNVKRRRERYQKQSSLLSKLTRQLSVHDNRAAAASSWSDNGAPESSPRTSGGSSSGDDWRNAFNSAANGAPDSLSKYGSGGHSRRYSDPAQNGEAESPGSGSNRRTTPNRLPPGPPQAGSSYKY >A07p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13477006:13478633:1 gene:A07p023220.1_BraROA transcript:A07p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIAARAKLHVAMVVFQTGYAGNHVIMRFALNLGVSKLVFPLYRTIIALSVLAPSAYFLEKKERPAMNTSLLIQFFLLGLVGITLNQGFYIFGLDNTSPTFASATENAVPAVSFLMAALLGIEKVELKRRDGIAKVVGTFVSVAGSLVITLYKGPTIYEPSLRIMDQPILNGGSEGEEENKNWTLGCLCLMGHCLCWSSWIVLQSPLLKKYPARFSFISCSCFFAVIQFFGISAYFERDVESWKILSGGEVYALLYTGLVGSAMVFAIQIYVVERGGPLFVSAYLPLQTLVAAVLATFALGEHFYLGGMIGAILIISGLYLVVMGKSWESQALIVSRQQQQRFVYSATEEDGDEDEHNKERRSCSIIQPLITS >A03p060190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26082752:26087945:-1 gene:A03p060190.1_BraROA transcript:A03p060190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDTEEKNSSDIVPLSGSFRSTDHNPVMENAGDTDGSSVRDKREKKRNGLVNQIKRFAGKPARLNRSKSTTGQALKGLMFISKSDGGDGWTAVEERFETITKTTEGLLIRSKFGECIGMKSKDFALVLFDALARRKNMTGDVIDKEILKEFWEQISDQNFDSRLMIFFDMMDKDGDGRLTEDEVKQVINLSSSTNNLSAIQKKADEYAAMIMEELDPNNIGYIMVESLKNLLMKAETETLAEITSSQDPKQLIEKLKHTPDPNPLRRWYRGLRFFVLDSWQRFWVIALWLSIMTILFTYKYIQYKNRAVYEVLGHCVCFAKGSAETLKLNMALILLPVCRNTITWLRNKTRVGVLVPFDDNINFHKVIAVGITIGVSIHSIAHLACDFPRLIAATPEEYKPLGKYFGEEQPKRYSQFVKSTEGITGLVMVFLMAIAFTLALPWFRRGKLEKTLPGPLKKLASFNAFWYTHHFFIVVYILLIVHGYYLYLSKEWYKKTTWMYLAVPIALYACERLIRAFRSSIRTVKVVNAAVYPGNVLTLKMSRPKHFKYKSGQYMFINCPKVSPFEWHPFSITSAPQDDYLSLHIKVNGDWTKAIKGVFSEVISKPLPVKDTSHGAHNPDYPKIMIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIIKDIINNMYAMENAQLHQMENGLQREPQDKNEKFKTRRAYFYWVTREQGSYDWFKNIMNEIAERDVNKIIELHNYCTSVFEKDDARSALIRMLQSIAYAKSGKDIVSETRVKSHFAKPNWEEVYNKIAMDHPDGTNVGVFYCGSPVLTKELRRLALEFTHKTKIRFSFHKENF >A06g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21704418:21705936:-1 gene:A06g507760.1_BraROA transcript:A06g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRQARRGGAYLPISISSSPDSSPPSTPAPLPTPSFEVTPSGSSFESDPSEDSHEYIPLQTPMPMSPDPYYTDIEVDVVHDHPAEHPAAHAAAAEDVPHVHAEAPPAAQPAPAPAPTDPAIVALLELMAEMVNLQHQTLNAQHAPRAPQAGSSRSPLPFPIPPAKRQAISGRAYALELPGPPGPPKGPITD >A05p043150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26052352:26053585:1 gene:A05p043150.1_BraROA transcript:A05p043150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERWTNTALLVIDMQNDFIEEGSVTQVKGGKAIVPNVIGVVELARQRGILVVWVVREHDPQGRDVEIFRRHHYRSEKVGPVVKGTLGSKLVDGLNIREEEDYKIVKTRFSAFFGTHLHSFLQTSGITKLVIAGVQTPNCIRQTVFDAVELDYPDVTVIVDATAAATPEIHTANLLDMRNIGVKTPTLHEWSEEFA >A09p055130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47232672:47234314:1 gene:A09p055130.1_BraROA transcript:A09p055130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKHNKKPALLRCSPFSLVSTLAGCVFMIHLIMLYSRNYSVDLEVSSRLLTHHPIVRELERVEEENIHMPPPRKRSARAIKRKPKRPTTLVEEFLDENSQIRHLFFPDIKTAFGPTKDGNDTLHNFFPGKIWLDTEGNPIQAHGGGILYDERSKSYYWYGEYKDGPTYLSYKNGPARVDIIGVGCYSSQDLWTWKNEGVVLAAEETDETHDLHKSNVLERPKVIYNSETGKYVMWMHIDDANYTKASVGVAISDTPTGPFDYLYSKSPHGFDSRDMTIFKDDDNVAYLIYSSEDNSVLHIGPLTEDYLDVKPVMKRIMVGQHREAPAIFKHQNTYYMITSGCTGWAPNEALAHAAESIMGPWETLGNPCVGGNKVFRLTTFFSQSTYVIPLPGVPGAFIFMADRWNPADLRDSRYLWLPLIVGGPADRPLEFTFGFPMWSRVSVYWHRQWRLPLGGGKNIA >A01p001520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:636033:638567:-1 gene:A01p001520.1_BraROA transcript:A01p001520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEVMTRDRYIYDIDVWKKVPLARTVHIAVDDDFQTSLVKFRQAICLPPSGISSTILPWICWSLWTARNRLIFEDKSFTANEVMIKGLVLAREWIGAQESKSTILQRLPHARVGHPEDARDFEATCHTDASWDPITKKAGLAWIISQSSHSLPQEGAQSML >A09p071690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55241687:55243181:-1 gene:A09p071690.1_BraROA transcript:A09p071690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETQGKVITCRAAVAWGAGEALVMEDVKVDPPQRLEVRLRILFTSICHTDLSAWKGENEAQQAYPRILGHEAAGIVESVGEGVEDMKAGDHVLPIFTGECGDCRVCKRDGANLCERFRVDPMKKVMVGDGKTRFFTSKDNKPIYHFLNTSTFSEYTVIDSACVVKVDPRFPLEKMSLLSCGVSTGVGAAWNVADIQPGSTVAIFGLGAVGLAVAEGARAKGASKIIGIDVNPDKFPLGREVGINEFINPKESDKTVHERVMEITEGGVEYSFECAGRIEALREAFLSTNSGVGVTVLLGVHASPQLLPIHPMELFQGRSITASVFGGFKPKTQLPLLFTQCLQGQLNLDLFISHQLPFSDINEAMQLLHQGKALRCLLRL >A01p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19491616:19495438:1 gene:A01p026530.1_BraROA transcript:A01p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLMVPGKDRLKGPVEIWFLSHGCKPACLESTRTIPPSSFSLSPANRSYLSPTLSHSSSFQNWKGSAKISYEDIITIESNFASTGDVFPSISTSGNFKDVITDAEFVRACEMKDERVDLIIDMQRNKYDWSKHVWAYKETVKPFQYSSEEDGSDEEAAVETSETEIEEEIESIRVSPTKKRKNRFRDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQSMFNSSFTALGLEVREIIEDRFTKLEEKILSSQTQGGAPANTQTRGTDPFWTPSAAAAGAAAAATAPASVSGRPPAPTRASTEAPASVSTPGLAPSRSAASAPYRSRASATAHNGGPANAAKTRSQTKVNKK >A03p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6588152:6590830:1 gene:A03p016530.1_BraROA transcript:A03p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHSSHRNIHLPCLVFSRSRYSISLFLYVHIAQCVTICICILLLKPLFFYPREAGYYSFTKDAKLAPMFARFDYIIIGGGTSGCALAATLSQNASVLVLERGGSPYENPAATDIGNFATTLSNTSPKSWSQLFISEDGVYNTRARVLGGGSVLNAGFYTRAGDDYVKEAEWESGEVEAAYEWVEEKVAFQPPVMGWQTALKDGLLEAGEFPYNGFTYDHINGTKIGATIFDQAGHRHTAANLLEHANPETLAVYLHATVHKILFTTKGRPRPKAYGVIFQDANGVLHKAELAKIATNEVILSAGAIGSPQLLMLSGVGPMAHLTAHKIKPVVLDHPMVGQGMGDNPMNAIFIPSPTPVEVSLIQVVGITKHNSYIEGASGVIFSYSWTRNYFDGVLNYLNEMQTSRTNTSTTFSTQSITDFFKSIHPLLSATTKAGLILQKVAGPVSRGHLELRNTNPNDNPSVRFNYYQEPEDLKKCVEGITTIIKVINSKAFAKFKYPDGTIHGLLDLILSIPTNLRPRHVTSVFDLRQFCIDTVMTIWHYHGGCQVGRVVDKNYKVLGIDGLRVIDGSTFLKSPGTNPQATVYGTKDSSRETTIYHLWKERNNRFHSNSVRPPVQIIKDISLQLRSKLFSLDRETTNLRRPVTLQTQQQQQTFLSIWFDRVQV >A09p053870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46592385:46603365:-1 gene:A09p053870.1_BraROA transcript:A09p053870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUN domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT1G22882) UniProtKB/Swiss-Prot;Acc:F4I316] MGTSHRRLHPSSDKILLSRLRLVLSADHSLLILNPNRRRSGAHHISFLRLLLRRRVSHKSFNGMKTSFYKVSLSLVFLLWLLLFLSTLLISLGDGAKDAPLVHSVGISDPDETAVPFDGSSEGSGDQKKSVKQEDTNSIVSWKDTESKDKDDFLNQSEANRTDTKGSDAESKDTDFLKQSEMNKTVLWNDAESKDIELLKQSQTNKTCTGNDTEDTKGNEFFKKIQTNKTVTGNGTENKDNEILKQNQKINKTDPGSGTEEINASKPDQLSRAVPLGLDEFKSRASHTRNKSLSSQISGVTHRMEPGGKEYNYASASKGAKVLSSNKEAKGAASILSRDNDKYLRNPCSAEGKYVVIELSEETLVNTIKIANFEHYSSNLKEFELQGTLVYPTDTWVHMGNFTAANVKQEQNFTLVEPKWVRYLKLRLLSHYGSEFYCTLSLVEVYGVDAVERMLEDLISVEDNMKEKPVKQQAESSEGDDGSDRIVETEKELESSPESTVAKAEASMASNKITEPVEEVRRHQPGSRMPGDTVLKILMQKLRSLDVNLSVLERYLEELNTRYGNIFKEMDHETDVREKTIATLRLDVEGVKERQERMVSEAEEMKEWRKRVETEMERAEKENDNVSKRVEEVWQKIEWMEKKGLIVFTVCIGFGTMAVIAVLVGIGTGRAEKTGGGEVYGVDAVERMLEDLIAVEDNKNIFNTREGDSEQKEKPVKQQAESLEGDDGSTEREKELETSPENAVAKAEASMASNKITEPVEEVRRHQPGSRMPGDTVLKILMQKLRSLDVNLSVLERYLEELNTRYGNIFKEMDHETEAREKVIETLRLDVEGMKERQERMVSEAEEMKEWRKRVEREMERAEKENEKVSKRVEEVWQRVEWMEKKGLIVFTLCIGFGTMAVIAVLVGIGTGRAEKTGGGGWMLLLICSTFIMFVLSL >A03p013200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5169524:5169754:-1 gene:A03p013200.1_BraROA transcript:A03p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCKKVKPHGRLGRKDKPKFGETCMRRNLGILRRVLPSCEEVDDEEVLILKSIQHLMLLKSQVTLLRKLADVCGL >A06p057350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29839676:29841300:-1 gene:A06p057350.1_BraROA transcript:A06p057350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRPMSPRLEQIHGEIRDHFRALAYGMVSKSWIRSRIQLDKASSGEPAAEENVQMASSMSNQEFVDAGMKRMDETDQAIERSKQVVEQTLEVGTQTAANLKGQIV >A09p081700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59382625:59385661:-1 gene:A09p081700.1_BraROA transcript:A09p081700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRGVSIALLCVSVLLVVTQECAGESVLSRNSSSFTSPPLPQKPSSVNVGALFTYDSFIGRAAKPAFKAAMNDVNADQTVLKDTKLNIVFQDSNCSGFIGTMGALQLMETQVVAALGPQSSGIAHMISYVANELHVPLLSFAATDPTLSSLQYPYFLRTTQNDHFQMHAVADFISYSGWRQVIAIYVDDEYGRNGISILSDALAKKRSKISYKAAITPGAESTSIESLLVSVNLKASRVYVVHVNPDSGLNVFTVAKSLGMMGNGYVWIATDWLPTSLDSMAPVDSETMDLLQGVVAFRHYTHESSMKRELKGRWKNGFNSYALYAYDSVWLIARALDVFFKEHNTITFSNDQNLTKTSDSSIQLSALSVFNEGEKLLEIILAMNHTGLTGRIQFDAERNRVNPAYEVLNIAGTGPRRVGYWSNHSGLSVVTPETLYSKPPNTSTANQRLYGIIWPGELTKPPRGWVFPNNGKPLKIAVPNRVSYKDYVSKDKNPPGVRGYCVDVFEAALELLPYPVPRNYILYGDGKKNPSYNTLINEVVTENFDVAVGDITITTNRTRFVDFTQPFIESGLVVVAPVKAAKSSPWSFLKPFTIEMWAVTGAFFLLVGAIVWILEHRFNQEFRGPPKRQLITIFWFSFSTMFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQKLTSRIEGIDSLIESNEPIGVQDGTFARNYLVNELNISPHRIVPLRDEEHYLSALQLGPKAGGVAAIVDELPYVEVLLTNSNCKYRTVGQVFTRTGWGFAFQRDSPLAVDMSTAILQLSEEGELEKIHRKWLNYKHECSMQISNGENSELSLKSFWGLFLICGVTCFVALTIFFWRVFWQYQRLLPDSGDEEERACEVSESSRSGRGLRAPSFKELIKVVDTKEAEIKEMLKEKSSKKLKSSQSGAGSSHSQRSDIP >A08p045150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24807705:24811707:1 gene:A08p045150.1_BraROA transcript:A08p045150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMESLDPEGIDSVRMTWNVWPRNKVEASKCVVPVAACISPIRYHRDIQSVPYAPLRCRTCSAALNPFARVDFSAKIWICPICFQRNHFPPHYHVISETNLPCELYPQYTTVEYSMPGPSQPTGPGNLDQSGAVVFVFVLDTCVIEEEFEYAKCAVRRAVGLLPENALVGFVTFGTQAHVHELGYSDLTKVYVFRGDKEISKDQVLEQLGLGASGRRTGFPVGRDGSGVSRFLLPASECEFTIDSLLDELQTDQWPVQGGRRQSRCTGVALSVATGLLGACLPGTGARIVALVGGPCSEGPGTIVSKDLSEPLRSHKDLDKDAAPFYKKAEKFYDGLANQLVNQGHVLDLFASALDQVGVAEMKAAVERTGGLVVLSESFGHSVFKDSFKRVFEAGEQSLGLCFNGILEINCSKDIKIQGIIGPCASLQKKGPSVADTVVGEGNTTAWKMCGLDKSTCLTVFFDLSSSDQSTNPGAVNNQLYIQFMTSYQNPEGKSLLRVTTVTRQWVDTALSTEELVQGFDQETAAVVVARLASFKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPASFTLNQNFSLFPQFTFNLRRSQFVQVFNNSPDETAYNRMLLNRENISNAAVMIQPSLTTYSFSTLPQPALLDVASIAADRILLLDSYISVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLEAPQEDAQMIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNPSEMNAGSDVIFTDDVSLQVFFQHLQKLAVQS >A02g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12855126:12858121:-1 gene:A02g503850.1_BraROA transcript:A02g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNASKIDLPFFFFHSCELNTTYLSLSLHNELKKLKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSRRLLRSPDDFQEEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTSKSSQKHKNLPKRSEKSRRLPRSPDDFLKVQTTSWKSRRLPGSPDDFVRRLPRSPDDFQTTSRRLTDDFLDDLHFSRLVKKLKQTTYM >A02g511120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29525582:29526672:1 gene:A02g511120.1_BraROA transcript:A02g511120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTIPTPRTVEEVSSDFSIDMVKLYQTCHPEKDNLCLFGLPNETWKVNNLPDEEVPPEPALGINFARDGDAKKGLGLFGRCSQLRGRGSFR >A05g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2874097:2874586:-1 gene:A05g500790.1_BraROA transcript:A05g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTFSNMRGLLRGNNKPDKRLPPRLFAQYRFPTGRLNIYSKPDILPFIQHVLRNTEELQYIKNSCFGKLFEFPARQCPVSCKLIHAFLTRQLL >A08p034020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20337221:20339235:-1 gene:A08p034020.1_BraROA transcript:A08p034020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPKYSVWNPTLRRLLTLPQPQQTISVKDLPYLGYDPWEGKHKVLFMLRMKYTDQPRVLTLGAQESWRIITKGRCPIGNTHLHLLAKRMRVFKKNKKRRRLEKSKDDRSQPNHIPLDLIFEILSRLPAKSIVRYQCVSKLWSSFTTLPSFINSFASRSSSRSPRLLLTFTLQGKHFVFSFPQNQNPEGSYSPVYSYHMKNAYNDYMRSESVHGLILLYGFRIWNPSLRRIFTLPHPEEHIPISLCSRRSYLCYDPLEGKHKVLCLYYGSSSVEPLIITLGAQESWRIITKGRCPVHSPTKEGYGRCFNGILYYQARVDDHDIIMSFDVKSESFSPINYPKYSSFRRSYKMMIPYDGRLALVTRDFPSELYILKDADGHEWTRQCLPRVRFKSKWRIYMQLKGITDAGELVFAPKSFVDSFYILYFDPRRNSTREAFFEGFMGDEFRRSDYRFPNNRTDCFGVFANHMESLVSF >A04g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20521229:20522112:1 gene:A04g508020.1_BraROA transcript:A04g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A09p075190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56758523:56760122:1 gene:A09p075190.1_BraROA transcript:A09p075190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVLTDVFRGHPIHLPHTHQPDFTSLSELPDSYTWTSKDDPLFIAPPSPAVAGENIPLIDLNHPDAANQIGRACRTWGAFQIANHGVPLELLQGIEFLTGSLFQLPVQRKLKAARSDTGFSGYGVARISSFFNKKMWSEGFTITGSPLNDFRKLWPQLHLNYCDIVEQYEEQMQKLASKLMWLSLNSLGVSEEDIKWARVSSDLNWAQSALQLNHYPVCPEPDRAMGLAPHTDSTLLTILYQNNTAGLQVFRDDLGWVTVPPVPGSLVVNVGDLFHILSNGLFKSVIHRARVNQTRPRLSVAFLWGPRSDTKISPVPKLVSPDESPLYRSVTWTEYLRTKATHFNKALSMIRNHREK >A01p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18677219:18681119:-1 gene:A01p027770.1_BraROA transcript:A01p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidial pyruvate kinase 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G49160) UniProtKB/Swiss-Prot;Acc:Q9M3B6] MVFFCCCSISNALFNMLVVLVLRFFSGVSLLVKGSSQVLIPLTLALWQGHMTSYMSSAPSVTNLWTTPNNVIQLADMVSTESYHLLSGKKTLIFSLRPTKSFPLSLTQMKIRVPRTLAFASAKGKGKAEGGVEAAIVGDNNTSTERNWSFDFPESKAEFCLVDSEACQNLSSVLEKLNALRSHLLAAEKWNASRLQSCDRKYLECATNLIHYMALRSLDTEQLKSYLASLGLSSLDNNNNLSVLSNLDATINLLMKSPMESWKQQKGNKIIEKNDKGRVLSSYKESLLGKLREGKRTHIMVTVGKEATESETFITDILKAGASVIRINCAHGDPTIWGEIIKRVRRASQMLEMPCRVLMDLAGPKLRTGTLKPGPCVMKVSPKKDAYGNVASPAIVWLSVTGTEPPPHLSPDATMFVQDHEFLAGLQIGDSVRLYDARGKKKKLRISKEFDVFSSTGFVAECFDTAYVESGTELCVKGNRGRRLFGEVVDVPPKESFVRLRVGDLLVITREGSFDEPSVTVPGAHRLTCPSGYLFDSVKPGETIGFDDGKIWGTIKGASPAEVIVSITHAGPKGTKLGSEKSINIPQSDIRFKGLTSKDIKDLEYVASHADMVGISFIRDVQDITVLRQELKKRKLNDQLGVVLKIETESGFENLPLILLEAMKCLNPLGVMIARGDLAVECGWERLANIQEEIMAICKIARVPVILATQVLESLVKSGVPSRAEITDAASGRRASCVMLNKGKHITEAVSMLDTILHTKLTYKKLDSGNLH >A03p065900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29155623:29158166:-1 gene:A03p065900.1_BraROA transcript:A03p065900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPLPAQSSLLSRRFTLHFEKLNYFPSLLLEDKMAPRLDFSDWWAKDTRKGTPVVVKMENPNYSVVEIEGPDSAFRPVERSRGRKNAKQVTWVLLLKAHRAVGCLTWLATVFWSLLGSIKKRLSFTHPLGSERLGRDRWLFSAIKLFLAVSLLILGFEIVAYLRGWHYFENHIPTSTLEIQSLLHLVYVGWLGLRADYIAPLIKALSKFCIVLFLIQSVDRLILCLGCFWIKYKKIKPRFDEEPFRNDDAEGCGYVYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRILVQVLDDSNDESIQQLIKAEVAKWSQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVEAYEFVAIFDADFQPNPDFLKLTVPHFKDNPELGLVQARWTFVNKDENLLTRLQNINLCFHFEVEQQVSGVFLNFFGFNGTAGVWRIKALEESGGCLERTTVEDMDIAVRAHLHGWKFIYLNDVKVLCEVPESYEAYKKQQHRWHSGPMQLFRLCLGSILTSKIAIWKKANLILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYIPVFMSFLNLLPSPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRSSESDLLSITEKETLDKRSQLLRGVSDSELLELNQLEEQKQRKPVKKTNKIFHKELALAFLLLTAAVRSLLAAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >A10p021690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14502663:14507455:1 gene:A10p021690.1_BraROA transcript:A10p021690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal acetyltransferase B complex auxiliary subunit NAA25 [Source:Projected from Arabidopsis thaliana (AT5G58450) UniProtKB/Swiss-Prot;Acc:F4KEY9] MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKLLTSLLSKYPKSPYALALKALIHERMGKPDEALTVCLDAKELLYKDDSSLMDDLTLSTLQIVFQRLDHLDLATGCYAHACGRFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLAGEERFLLWAVCSIQLQVLCDKSGEKLLLLAEGLLKKHIASHSMHEPEALMVYISLLEQQSKYKDALEVLSGNLGSLLVIEVDKLRIQGRLLARARDYNAAVDVYKKILELSPDDWECFLQYLGCLFEDDSMWKFFDDIDQIHPTKNIECKFSHLTEEMFDSRISSASELVQKLQRDTENSNLRGPHLAEIEIEKRKFLYGKKNDSELLKSLLQYFLKFGHLACYASDVEAFLHVLPPHKKAEFVGMLVENADSVSASATTVLGQTTTILKVQELTGNIFELPLGEIEASAVKLAKLYCQNLPLSKDLDPQESMFGEELLSLISNMLVQLYWRTRDFCYLAEAIMVLELGLSIRGHVWQYKILLLHIYSYIGALPLAFERYKALDVKNILTETVSHHILRQMLESPMWVDLNNLLQDYLKFMDDHLKESADLTFLAYRHRNYSKVIEFVLFKQRLQQSNQYEAARVEASLLQLKQNADSIEEEDRVLEDLKSGVQLVELSNEMGSRTLRFNEDMQTRPWWTPCPEKNYLLGSFEDFSYCPKENVNKDREENVRRAIQRKSLVPRMIYLSIQCSATALKESIETNGSGGDIKTCEELKSLLDEYTKTLESSFSDAVEKITEISQGARTFETLGSDLVDWLNFAVFWNAWSLNSQEHWNVLNLLFERLILDRIKSMGSSEMSSCYSDVQVLVQIITEPLAWHSLIIQACTRSSLPSGKKKKKAQHSDHLPSSPMSQAIKDSIHSLCSTVQEVSNWLVNQMNNPEDDQVERFLTTLKRNKGPGQVLEVLESFIATSEESEVGSRIFESLKTWSKADSARKTVTAQQRVLHEFHQICESKRKLLEKLKQQMSHV >A02p052360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32051738:32052420:-1 gene:A02p052360.1_BraROA transcript:A02p052360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDFKAETMEIDSGGETFALDNKPSSARDLLSAARRLVDQGQPSQALHAVVMAMRTQGGDEAVLQILNRTRELYKRRIQETASVDQLASLFAECAIREAEPLGHQPTSADLFCSTKERVTADAHGVSILEKSGRSQIMLDAFADGSSFICLKCGGLVSVHRRDEHYAYWCSNM >A07p037300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24586050:24591581:-1 gene:A07p037300.1_BraROA transcript:A07p037300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVENQMNGPDSSPRLPQDTCDQPSSLFSSSPCFPITLKFVDVCYRVKIQGKSSDSVKLKRLLGLEHKPSDEIPSTERTILSGVTGMVTPGEFMAVLGPSGSGKSTLLNAVAGRLHGTGLTGKILANDSKLTKQTLKRTGFVAQDDLLYPHLTVRETLVFVALLRLPRSLARDDKIKAAESVISELGLEKCENTVVGNTFIRGISGGERKRVSIAHELLINPSLLVLDEPTSGLDATAALRLVQTLAGLAHGKGKTVVTSIHQPSSRVFQMFDTVLLLSEGKCLFVGKGRDAMAYFDSVGFSPAFPMNPADFLLDLANGVCQIDGTTEREKPDVRQTLAIAYDTLLAPNVKACIDASPSPAENARYVKTRKNARGIMSGLATWFSQLCILLHRLIKERRHESFDALRVFQVLAASLLCSLMWWHSDYRDVHDRLGVLFFISIFWGVLPSFNAVFTFPQERAIFTRERASGMYTLSSYFMAHVIGSLSMELVLPAAFLTLTYWMVGLRPGLVPFLLTLSVLLLYVLASQGLGLALGAAIMDAKKASTIVTVTMLAFVLTGGYYVNKVPSGMVWMKYVSTTFYCYRLLIAVQYGNGDAILAMVGCEPKGTQGAAKAAGCRFMEEEVIGDIGLWTSVSVLFFMFVGYRVLAYLALRRIKL >A03p063510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27549191:27551165:-1 gene:A03p063510.1_BraROA transcript:A03p063510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLYPKTSRRCLSFRLSLEVISAITLFAESISLPPFLSGLRFATTRSWMMMNNPCEEEPLSRRKKLKVCPPSGLSLLPEEMVLSCLARISKSEHDSLSLVSKWHRSLLLTPELHNFRTLSGCTEEKLKHPSRTKSTLCGLTFTSLRKHLAWWHMVAGSTSWVVGLAEEPPRRVSCSWIIDPTRGSLSPPIYVFGGCDDSKSSKWGEVFDPKKQTWDALPMPPPHCSRPLMCEKIVIKEEKEMLAMTGTGQCLSYIPSESKWKEGNRLRPSALNVVYCSNSDGLVTWCEAHKWESPETEVVAWREVMGLEALRDTLAASKLVSYAGRLWDYWETTVKPELLARRIKIQELDQVLPGHKLSNSGPNLLIFWDVLVPPHKLEIWCAEISLVRRKETCEIRGNIVWSQVVITLDPPPHHHHLADAMYDLITAVSVVCSFYNSFINSSALSLEFISVR >A04p001300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:640730:641661:-1 gene:A04p001300.1_BraROA transcript:A04p001300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKHVTRRRRPCHLPYYGKKQGEEDYSDCKDRYVAAKTAVFWDMDGCEIPQGGNADLISRNIKLAIENDGFGDTVTIYAYGYMSQIRGLESFGIVPRYFPAVGDRKEKLEMILVDALCCAVDNPDTTNLMPDELKERSTATFFSKKEMALVKSISGKRPFAANLKHATPYGLYGCCRTLT >A02g502190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7092801:7093904:1 gene:A02g502190.1_BraROA transcript:A02g502190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKIKSTYKTQPSTTASEPSFSCGPTLIIIIKKRPCRSFFFALRRASFFLSLKLAHPLSIPISISQMGTPESSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGTYNSPKGSRFLGGKQAVAMNAPRLGGSFAVWGGLFSTFDCSMVYLRQKEDPWNSIIAGAATGGFLSMRQGPNAAVRSALVGGVLLALIEGAGIALNKMMAEPQHMQMEEGMAGMPGMPGMQMGQGQQQQQQQMIPPPENTASSSWFGGLFGKKNGEPQQTSSGSETKVLESFDAPPVPSFEYK >A10p015370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3652061:3653164:1 gene:A10p015370.1_BraROA transcript:A10p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSFVKFWLTNNTNGNKPRREIRISESAVESSTALGDSEVDLCEEDDSFFELEISLSDFSLKKNKIPEETEEKQNTFPVSKSKVLPFVETTSKPQSPITLLQPSEKLRAFSFKKSTTTEKKEPNSRSLNVRFRFEDETTRCEDSASSVPSSSKRFFDLIKPLYNKTTKKQSINSVSTSPASSPAAREKQRSNITRSVRRQLGKSRSASATVGAMSPVKRLDESLQVQQDGIQSAILHCKKSFHGSRESATLSRSTSESSSQEKLSTSSSEDSYLFSRMSSDSMSEKSIDSLTSIKEQREKISD >A02p014840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6593470:6593652:-1 gene:A02p014840.1_BraROA transcript:A02p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide 14 [Source:Projected from Arabidopsis thaliana (AT5G56540) UniProtKB/Swiss-Prot;Acc:Q9LVC0] MEAMKMKLSIAILVALIVFSAVQQSAAAVDAPAPSPTSDASSFIPTFFASVTVLAFGLLF >A09p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36097328:36098120:-1 gene:A09p044460.1_BraROA transcript:A09p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLKPWRHKTLQFLLKWWNIKLQRRNKDSGILGSHALSYSAFLESRFQAIPDASDSASA >A02p010690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4630887:4635704:-1 gene:A02p010690.1_BraROA transcript:A02p010690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFLSVAKFVEAILRRRFSSAGLSLYKPYPSTPKPPYNSGAHGHLCHMKTNKNRPFSSSTVLALPLYGSGAGSLYIPDLVFFGGSTTTSSCSDENRSEMFQASCMGRLMEKLGVERFSVVGTSYGGFVAYNMAKMFPEKVDKVILASSGVNMRRSDNEAFIARAKCHRITEVMLPSSGTDLRRFSGMVSSRRLDFVPDFVLNDFCQKMYSENREEKAELLEGLSIGRDDKTNITPIQQDVMLIWGEHDQVFPLKMAHDLREMLGKKAKLKVIQRTSHIPQTEKPKEFNGTIIIFYYLNSMDHLMTSTERWTNNCMGWVPPCSQLYIAMLLYIQTMRAMQASGYLSPGSEISSLLGDFCYLFPLQNLWIPGPLVDAFRSVACFSPSASRRFGNITPALPSSPGWSRARRYRIADAATTHLPNINIFISRLNSICAAATRPNVTPEIFFRDVDGPYYMANLFSQPCDQSENEQANLTSPGACLTYSGSLRLWQDANYQLLFLGSPQSLDVNATEVDDNWSNFLRLSGHSTWFGKVAAMMGKYCQFWKGSVPLYDCSASSSAAGAVRCTATDTDVFDPPHWTAQAGNHNATQHANAHQVGHYSTRSYLSLVFKAATSVEDISRAHISAANTYNIYLSPNDDGHAGRAQYCRPCGHGALRRGMFWSIYPDAYTRSGIETYPGVIPSLARDYHSYSRIVSN >A10p027030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:17064640:17066337:-1 gene:A10p027030.1_BraROA transcript:A10p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKRMTPSPALSHHRPSPLHQWRFSVLTSLVFFLMVIVWSIDGCTIKTFIDSWRLNASYSMQVTASSPSAKPKPHSLDLDTTQVKFNWISSEMEQNFTANLLKNWSAPGGEKCREAKTVEISVPNLDGKDPVHLTAGEIHEFRFQSLDETGKPVCVGGDYFETDLSGENWKSRPPVKDLTNGTYSVSLQIHPEFAGDYNLTVILLFRHFQGLKLSPARFAFNRKLRSFKLRFVEKNDVVLPDLRQCVKSDFAREVWSGRWTRLGKNNECKISNDGRYRCLDDNFPCRKPWCDGALAELESNGWVYSSHCSFKLFSGDSAWSCLKNKWIFFWGDSNHVDTIRNLLNFVLGRPEIGAVPRRFDLKFSNPRNSSETVRITSIFNGHWNETQNYQGLDSLRDHGFRELLRSYFAEETGVPDVMIVNSGLHDGVHWSNLRAFAKGAKTAAAFWRNVFDSVKRRGFEPPEVVFRNTIATGGYARELAFNPSKMEVFNGVFLEKMKEFGLVSGVIDNFDMTYPWHYDNRCNDGVHYGRAPAKLRWRDGEIGHQYFVDLMLVHVLLNALCVR >A04p023150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14042048:14044828:-1 gene:A04p023150.1_BraROA transcript:A04p023150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRPIGFTISSLRNASAANDLRFSFLSISSPSPAKKKSLLSFLRGFASLYRQQPRVNKSETLAQKIGKSIRRAGAPSKARVYADVNVIKPKDYWDYESLAVQWGSQDDYEVVRKVGRGKYSEVFEGIHTTDNEKCVIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEHVNNKDFKVLYPTLSDYDVRYYIYELLKALDFCHSRGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLMDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELKTYLNRYRIELDPNLASLVGRHSRKPWSKFINSENQHLAGPEAVDFVDKLLKYDHQERPTAKEAMVRNRFFTHIRISTRLEMHKAVAHHTRGQ >A04p015510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9634702:9636881:1 gene:A04p015510.1_BraROA transcript:A04p015510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQLLLPPNPFTKSASAKLFFTGDDSTLKRKSKHPTRVSNGFSLRANAALRSNHSSSVEIPSRWYNIVADLSVKPPPPLHPKTYEPIKPEDLAHLFPNEIIKQEATLERFIDIPEEVLEIYKLWRPTPLIRAKRLEKLLQTPARIYFKYEGGSPAGSHKPNSAVPQAYYNAKEGVKNLVTETGAGQWGSSLAFASSLFGLNCEVWQVANSYHQKPYRRLMMQTWGAKVHPSPSDLTEAGRRILQVDPSSPGSLGIAISEAVEVAARNEDTKYCLGSVLNHVLLHQTVIGEECIKQMEAFGETPDVIIGCTGGGSNFAGLSFPYIREKLKGKINPVIRAVEPSACPSLTKGVYAYDFGDTAGLTPLMKMHTLGHDFIPDPIHSGGLRYHGMAPLISHVYEQGFMEAISIPQTECFQGAIQFARTEGIIPAPEPTHAIAATIREALRCKETGEAKVILMAMCGHGHFDLSSYDKYLRGELIDLSFSEERIRESLSKVPHVV >A09g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9778604:9779334:-1 gene:A09g502900.1_BraROA transcript:A09g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKLIGIKDRIAYHKHAGEASNSHLPMLDAVSNEFWEQKIVRKSNGANIYRLQRNVKKRDMLGLYKDGFEREKACVFKLRHKNLNRPVEKILETYAQFFIGFVMEKKIRNGYRSCRRITNLKPGEEQKDPERRYVE >A01p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3367545:3375997:-1 gene:A01p006630.1_BraROA transcript:A01p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLCSTSLCGDITVSDTFLVSNIKEKRNSLLNGGLKFHTLKLGSLFHRKKQRNTMKGSDFSVSMINKKKKFKSSGEEEVAKILKSLPDTASAFSYFKEVAQSHTTETCNYILEALRLDGKLQEMAYVFDMMQKRVIKRDATTFLTIFKSLSLKGGLRQAPYALTKMRDSGFPLNAYSYNGLIHLLLKSRLCVEAMEVYRSMIFNGFKPSLQTYSSLMVGLGKRRDIDSVMSLLKEMETLGLKPNVYTFTICIRVLGRAGKINEAYGILKRMDEEGCGPDVVTYTVLIDALCTAGKLDCAKEVFSMMKTGRHKPDRVTYITLLDRFSDSRDLESVRRFWSEMENDGHVPDVVTFTILVDALCKGGRFSEAFEILDVMRERGVLPNLHTYNTLICGLLRVHKLDDALEVFDNMESFSVTPTAYTYIVFIDYYGKTGDSVSALETFEKMKSKGIAPNIVACNASLYSLAKAGKDKEAKQIFYGLKNIGLAPDSVTYNMMMKCYSKVGEVDEAIKLMSEMLENECEPDVIVVNSLINTLYKGDRVDEAWEMFTRMEEMKLKPTVVTYNTLLSGLGKNGKIREAIELFEVMEEKGCPPNTVTFNTLLDCLCKNDEVMVALKMFFKMSCVPDVCTYNTIIYGLMKKGRVKDAMCFFHQMKKLVGPDFRLVVNGVCRDGESILVPMVRYCLKHGDNPSGARTLFERFTKDLGVKPKLPVYNLLISGLLEADMIEVAQELFLEMKSSGCCIPDVATYNFLLDAYGKSGKLEELFEMYKEMSSHECVPNTITHNIVISGLVKAGNVDEALDLYYDLISDGDFSPSVCTYGPLIDGLSKSGRLYEAKQLFEGMLDYGCSPNCAIYNILINGFGKAGEADAACKLFKRMVREGVRSDLKTYSVLVDCLCMVGRVDEALRYFKEVTESGLDPDVVCYNLIINGLGKSRRLEEALELYNEMKSSRGITPDLYTYNSLILNLGIAGMVEEAGKVYSEIQRVGLEPNVFTFNALIRGYSLCGKPQHAYAVYQTMVTGGFSPNTGTYEQLPNRG >A06p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5254982:5255448:-1 gene:A06p002280.1_BraROA transcript:A06p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILSCSHGVVIATAMVFSSTALFLTISRQLSGNHQTSDQQILRPCLCSEEKKKQRKKKKKVKFAENVKEPKGNGEEYRRKRESLRRNVPEPVIKPDKTGSLCRNDMPANRIALYNGILRDRDHRVQCSY >A01p010590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5191145:5194316:-1 gene:A01p010590.1_BraROA transcript:A01p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNNPPRSRSSLSLILVVGLCCFFYLLGAWQKSGFGKGDSIAMEITKQAQCTDVVTDLDFKPHHNTVKIPERLADPKPVSFKPCDVKLKDYTPCQEQDRAMKFPRENMIYRERHCPPENEKLRCLVPAPKGYMTPFPWPKSRDYVHYANAPFKSLTVEKAGQNWVQFQGSVFKFPGGGTMFPQGADAYIDELASVIPIKDGSVRTALDTGCGVASWGAYMLKRNVLTMSFAPRDNHEAQVQFALERGVPAIIAVLGSILLPYPPRAFDMAQCSRCLIPWTANEGTYLMEVDRVLRPGGYWVLSGPPINWKTYYKTWNRTKADLKAEQKRIEDIAESLCWEKKYEKGDTAIFRKKINDRSCDRSTPVNTCKRKDTDDVWYKEIETCVTPFPKVSNEEEVAGGKLKKFPERLFAVPPSVSKGLVSGVDAETYQEVVKLWKKRVGRYKRINSLIGSTRYRNVMDMNAGLGGFAAALESPKSWVMNVVPTITKKTLSVVYERGLIGIYHDWCEGFSTYPRTYDFIHANGVFSMYQHSCKIEDILLEMDRILRPEGIVIIRDEVDVLNDVRKIADGMRWDTKLMDHEDGPLVPEKILYAVKQYWVAGDDANNQSSSADSSEEE >A04p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10966893:10970189:1 gene:A04p018240.1_BraROA transcript:A04p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable galactinol--sucrose galactosyltransferase 5 [Source:Projected from Arabidopsis thaliana (AT5G40390) UniProtKB/Swiss-Prot;Acc:Q9FND9] MAPPSVIKSDAAVNGIDHPGKPLFRLEGSDLLANGHVVLTDVPVNVTVTPSPYLADKDGEPVDASAGSFIGFNLDGEPQSRHVASIGKLRDIRFMSIFRFKVWWTTHWVGSKGSDIENETQIIILENSGSGRPYVLLLPLLEGSFRSSFQPGEDDDVAVCVESGSTQVTGSEFRQAVYVHAGDDPFKLVKDAMKVVRVHLNTFKLLEEKTPPGIVDKFGWCTWDAFYLTVNPEGVHKGVKCLVDGGCPPGLVLIDDGWQSIAHDSDDIDVEGMSCTVAGEQMPCRLLKFQENFKFRDYVSPKDKNEVGMKAFVRDLKDEFSTVDYIYVWHALCGYWGGLRPGAPTLPPSTIVRPELSPGLKLTMQDLAVDKIIDTGIGFVSPDMANEFYEGLHSHLQNVGINGVKVDVIHILEMLCEKYGGRVDLAKAYFKALTSSVNKHFDGNAVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDINGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYISDCVGQHDFDLLRRLVLPDGSILRCEYYALPTRDRLFEDPLHDGKTMLKIWNLNKYTGIIGAFNCQGGGWCRETRRNQCFSQCVNTLTATTNPNDVEWNSGSNPISIENVVEFALFLSQSKKLVLSGPNDDLEITLEPFKFELITVSPVVTIEGSSVQFAPIGLVNMLNTSGAIRSLVYHEESVEIGVRGAGELRVYASKKPVSCKIDGEDVEFGYEESMVMVQVPWSAPAGLSSIKYLF >A05p031320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18550240:18551819:-1 gene:A05p031320.1_BraROA transcript:A05p031320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCKSMKEHCHRSIMMPERGPTIFQDRLNPRSHTKYMSFSTRRSKEKLLFFSDPTHLERSIRKEKCASLIDTTSTTSIDTTSTTSIDTCDRATIDSSTRTSIDTNPRADMVATLVLQRDENGDLHDCGGHMCNAAGQKIDGQGTAILEPSAATEGAKVPLQR >A06p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22888605:22891712:-1 gene:A06p042570.1_BraROA transcript:A06p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRSKEDKEDPKVAVLKRILGSLGVEDKALDHIRTEAYKEKRKNMERRMRGDTCTITTRRRTYRRIESKAGSSRSPEESYLQFIKIETKNPPTSIPCSSSLTRRFFDRPLDSKCFNVGVGALDQLFIRDGTLDKLIWNDNWSVDETVSFFRYPADKKLPSRWSPLGSFFVSSNYNLVIIWGSRYYTDFGRLMRFNHYQVEEFDISPGEKYLVTYNRPDPTDPNGLWLKIFDVSTGTGIVGLNNGDVADSPQWPVIRWAGGKDDTYFATLSKSNTVSVYETKTFNLLGKAPLKLDDVIDISWSPTEAVLAILCGEKPLKVLLLQIPDEVKLAEKEITAIVGDCKMHWQSNGEYLAVNTYGGFEFFRIKEKGIPTDFLKVDKKILAFAWEPSGHRFAVIYGDEPTLSASFYSMKTPGKVTELTTLSNKQADSLFWSPKGNLVVLAGLKEGKLEFFDVDRLAQISLVANVKANQVVWNSSGKYVATVSTIPQEEFDSDWCSDPNDPLDRFTIWSSGGDCLFVHQCQNRIMQLDWRPYGGIIDDDMLKTQ >A01p029320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:20824168:20824467:-1 gene:A01p029320.1_BraROA transcript:A01p029320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRVVLKTKEDGANSVVEETVVVTCKTVEVTGDEVVNPSTIDKSDDPETEDSPPDADGNEENASDKEEEKESGEEEKEPKEEQKEPEEEEKEPGEEDK >A05p022060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10510559:10511225:1 gene:A05p022060.1_BraROA transcript:A05p022060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLIKTSTEPGSVGTGFDVVSNLLNELNNYPVSLEHFNLVGVSGKDNGKVENWLEAEESSEDLRGNWEKVSGVRMKKEPLNADLDGSVVPSATSDSITDLDDNKNRVEELEEGELLPDMEQVPEEKVANHAPKAPKGVANKAMKHGAKSTFKTVIRTKELKFVKATTSKKVPSRKL >A02p056220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33931768:33933101:1 gene:A02p056220.1_BraROA transcript:A02p056220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLDARLFNFEFLRISGCRIYLNQDLYVSGYKVKTKTNNSREKGLLVWAALCRRRRKLQYPRRDMRIRTFLHPLRRVGTIVTAAEVEVLYELFKKLSSSIIEDGLIHKEEFQLALLGNRNRNNLFADRIFDVFDVKRNGVIEFGEFVRSLGVFHPNAPVHEKIKFAFKLYDLRQTGFIEREELKEMVIALLHESELVLSEDMIEVMVDKAFTETDRNNDGKIDVDEWKDLVSMNPSLIKNMTLPYLK >A09p008910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4577717:4581106:-1 gene:A09p008910.1_BraROA transcript:A09p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 30 [Source:Projected from Arabidopsis thaliana (AT5G63120) UniProtKB/Swiss-Prot;Acc:Q8W4R3] MSSHDRRFADPNSYRQRSPNGFAVGRGGGRGGYGGYGGVRGGYGGGGGRGGSGRRELDSVSLPKQSFGNLVHFEKNFYVESPVVQAMTEEDVALYRTEKDISVEGRDVPKPIKMFQDANFPDNILDAIAKLGFTEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVSAQPRLGQDDGPIVLILAPTRELAVQIQEESRKFGLRSGVRSTCVYGGAPKGRQIHDLRRGVEIVIATPGRLIDMLECQHTNLKRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLLWSATWPREVETLARQFLRDPYKAIIGSADLKANQSINQVIEIVQTPEKYSRLLTLLKQLMDGSKILIFVETKRGCDQVTRQLRMDGWPALAIHGDKNQTERDRVLSEFKSGRSPIMTATDVAARGLDVKDIKCVVNYDFPTSLEDYIHRIGRTGRAGAKGMAMTFFTHDNAKFARELIKILQEAGQVVPPTLSALVRSSGSGGGGGGGGRSFRSRGGGRGGFGDKRPRSTSNFVPHGGKRTW >A04g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6502431:6503440:-1 gene:A04g502890.1_BraROA transcript:A04g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVILHLSIVTDVPREKAHHIKPRLLGKIAVVKCGYEVISTKIKKSLLKSEIITRTSLEHGKQPTVLPDLNFLNRGFNETGLSFFQERDAALNKFFREIYLNSDEDMRIFHNQQVKSIGTVLSIDWKDVGTKKIQSTLHDNLALKT >A05p014050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6144326:6147737:1 gene:A05p014050.1_BraROA transcript:A05p014050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLVSSIASKARVARNGTTQIGSRLSSTRNYAAKDIKFGVEGRALMLRGVEELADAVQVTMGPKGRNVIIEQSWGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGIKLAVDTVVTNLKSRARMISTSEEIAQVGTISANGDREIGELIAKAMESVGKEGVITIQDGKTLFNELEVVEGMKIDRGYISPYFITNQKNQKCELEDPLILIHEKKISNLNSIVKVLELALKKQRPLLIVAEDLDSEALAVLILNKLRAGIKVCAVKAPGFGENRKANLQDLAILTGAQASTYLLFYYMQELGMNLEKVDLSMFGNCKKITVSKDDSVFLDGAGDKKSISERCEQIRSMVEASESDYDKEKLQERLAKLSGGVAVLKIGGASESEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELEKLSTANFDQKIGVQIIQNALKTPVYTIASNAGVEGAVVVGKLLESDDPDLGYDAAKGEYVDMVKSGIIDPVKVIRTALVDAASVASLLTTTEAVVTDIPTKEDASPAMGGGGGMGGMGGMGGMGGMGF >A05g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8370098:8370639:1 gene:A05g502650.1_BraROA transcript:A05g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTDQRAERSESKHMKKGSCKNLKLTNMMEKWRQCNKGHFSAYTREGRRFVLPLDYLKRPIFQVLLEMAEEEFGSTICGPLNVSCNGGLMDHILVLLRKKSLSSHGGDDDDVKKKNHDVSSKEASSVSYFFPLFRCNAAQDQIKLQSLRNRDSV >A09p051550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45443004:45444281:-1 gene:A09p051550.1_BraROA transcript:A09p051550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSDKERETFVYLAKLSEQAERYDEMVETMKKVAKVDSELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNESNVKTIKGYRQKVEDELANICQDILSIIDQHLIPHATSGEATVFYYKMKGDYYRYLAEFKTEQERKEASEQSLKGYEAATQAASTELPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNAKTDEPKKEEAKPAEATEN >A09g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11302887:11303226:-1 gene:A09g503600.1_BraROA transcript:A09g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYESEAGENAILVSSHIDTFLNVCGAGDCSSCVAVMLELARSVSQSAHRFKNSVIFLFNTGEEEGFNGAHSFIT >A08g506400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10955663:10956543:1 gene:A08g506400.1_BraROA transcript:A08g506400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIFSEAQAMVPDTLSQSRIDGERSSSLAKTALNSLLPRHATILVRLMILVEAFAKTYSPSSLEPRLEGAKLVMILCISMELGCLNHHRESHKTRLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKIFVFTFLVVGEFHVSHSLCT >A09p067940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53423172:53428672:-1 gene:A09p067940.1_BraROA transcript:A09p067940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 14 [Source:Projected from Arabidopsis thaliana (AT3G62700) UniProtKB/Swiss-Prot;Acc:Q9LZJ5] MWWLSSSSWVSGLSCSSSGFIEPSSSLPTPIQWLRFILLSPCPQRLLSSAVDLLFLIILTFFALHKLCSSSTTTTEADIRKPLIARRTVTRTTGLFKTTVVATILLSFCSLALCVLAFTTRTNLKLVDALFWLIHAVTYAVIAVLVLHQKRFASSNHPLTLRIYFVSSFIVTTLFTVSGILHLISDDPSAASLRSDDVASFVSFPLTAVLLIVSIRGSTGIVTTTSNVAIAAKSNDVVLEKSSENVSLYASASFLSKTFWIWMNPLLSKGYKSPLNLDQVPTLSPEHRAEKLASLFESKWPKPQENSRNPVRTTLLRCFWKEVALTAVLAILRLSVIYVGPVLIQSFVDFTSGKGSSPSEGYYLVLILLVAKFVEVLSTHQFNFNSQKLGMLIRSTLITALYKKGLKLTGSARQNHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVAVALVLLYGVLGPSVVTTVIGLTGIFVFILMGTRRNNRFQFSLMMNRDSRMKATNEMLNYMRVIKFQAWEDHFNERILKFREMEFGWLSKFLYSISGNIIVLWSTPVLISALTFTTAVFLGVKLDAGTVFTTTTIFKILQEPIRTFPQSMIALSQAMISLGRLDAYMTSRELSGETVERVQGCGEGNVAVEIKDGSFSWDDDDDEPAIENINFEVKKGELAAIVGTVGSGKSSLLASVLGEMHKISGKVRVCGNTAYVAQTSWIQNGTVQDNILFGLPMDSHKYNEVVKVCCLDKDLQMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQEADVYLLDDVFSAVDAHTGSDIFKKCVRGALKGKTVLLVTHQVDFLHNVDCILVMRDGMIVQSGKYDELVSNGLDFGALVAAHETSMELVEAGSANVPTTSPITQRSISIESPRQPPTPKSPKIHRTTSLESPRIQRTTSMESPRLGELNDEHIKSFLGSNIPEDGSRLIKDEEREVGQVSFQVYKLYSTEAYGWWGMILVLFFSLAWQGSIMASDYWLAYETSAKNAVSFDPSVFIRVYLIIAALSIVLVCLRAFYITHLGLKTAQIFFKQILNSLVHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFMIGLVAAMYTTLLSIFIVTCQYAWPTVFFVIPLAWLNIWYRGYYLASSRELTRLDSITKAPVIHHFSESIAGVMTIRSFKKESIFRQENVKRVNANLRMDFHNNGSNEWLGFRLELIGSWVLCISALFMVMLPSNIIKPENVGLSLSYGLSLNSVLFWAIYLSCFVENKMVSVERIKQFTDIPSEATWEIKENRPPPTWPYKGNIRLEDVKVRYRPNTPLVLKGLTIDIKGGEKVGVVGRTGSGKSTLIQVLFRLVEPSGGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTEKYSDEEIWKSLERCQLKEVVSSKPGKLDSLVADSGENWSVGQRQLLCLGRVMLKRCRILFLDEATASVDSQTDAMIQKIIREDFSSCTIISIAHRIPTVMDCDRVLVIDAGKAKEYDSPVRLLERRSLFAALVQEYALRSAGI >A02p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:706595:711441:-1 gene:A02p001600.1_BraROA transcript:A02p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRNSSRRLRSLRYSSEKMEGTGSWDVLEWTKLDQASWSSSYSNLDCLLDSERITFECCGVILVNTNEAGTLLLTNFRILFLREGTRDPVPLGTIPLVAIEKFNKTVQKVQSNRHQSTKNPPKRLLQVTGKDMRIIVYGFPPGTKQRRSLVDALLRCSNLERVWDLYAFTCGPSKFGNKNPKERLLNEYFRLLGKGSLRASMNMIKDGSFSVSNDFWRITDLNSNYNLCPTYPFALMVPKSISDEELTQASTFRAKSRLPVISWCHPGTGAVIARSSQPLVGLMMNMRSNFDEKLVASFCTQLAGHKGAPRKLYIADARPRKNAESFSRLRDYLDMHGTTSSDGTSSFLRHGGWTWGGGNLSSMSASVSLLGESGWLSHIQSILAGVAWIAARVAMESASVLVHCSDGWDRTTQLVSLACLLLDPYYRTFAGFQALVEKDWLAFGHPFSDRIGMPNVAGSGSFELPIQSSSASSFPSSPVRQTSGSAATQSPGSSHGLNNYSPIFLQWVDCVSQLMRMYPCAFEFSPTFLVDFTDCLLSCRFGNFLCNSEKERQECRITESSGCLWAYLTDLRSFGGTSHAHCNPLYDPSRYNGALLPPAAALAPTLWPQFHLRWACPVEPDASETEVQCRAMSVKHLEMKKGKEEAERKVDALSSTVESLNEELRKERNISRAAKESAKRAIKERGVISRAVQSLGCKVNFTRSGDCTVEVEDGPQKCSHSIPHDVSESISSSVSEDKVCEALLCPLRAREGTCRWPDAGCCAQNGSQFVGLKANFEAFEKLSIYDSYFTAE >A06p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1300163:1309459:1 gene:A06p004730.1_BraROA transcript:A06p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCD1 [Source:Projected from Arabidopsis thaliana (AT1G49040) UniProtKB/TrEMBL;Acc:A0A178WQS8] MGRIFEYFVVCGLGPEMRTLDGDLGFHGLDTNYLPSLLDQFPPSDHSLYPPPPPQLPTCVLPAGVAFHSSGFVSSDPVSFPRSYPIVLTEGDGAKIFVSCIAFRDRVCEDVTEAYQLPPNTYADKCICIVSHAPNFRALRDSLEEIFVLCFSSEGSCKPLWDIIAYMVSNVPLPTPGKDRVLYAVENCLLSVEAPPEDSLPQADISLQPLVQCLDVDNLIKLFTSVLVERRILLRSNKYSLLTLVSEAICHLIYPFRWQQVYIPLLFFSGVDYIDAPTPYMMGLHSDVDTSDLAMDGVVVVDLEFNQITTSEEIPPIPEPEFSALRNDILKLLQPNVVGIDHLRGFGNSAEQGPKSLSKPWGEDHDLQLRVIFLKFFASILGGYRNFIENKVFSTDAFLKRRSRSTNQPPEPMLVQFLGSFAFLDYLERRLGSDENSTNLLEKLQDAVGRGQDAMSILPKSSMEPEIITIADPDVEEPATRYTYDRFPANVRSEEQEEKRKQILAAASGALESNGRHSPSSPPGKNTKEDSFSSRERAAERDRMVLDIKVKLQGLWLRLLKLGSDEDPLSSFEYGTILALIESDAEGIGGSGFIECIREHLKNSDWNGGLTVEQFIAVKELLKMAVSRAASRNDLSTVQYALEVSAEMFKKDANNVSDYVQRHLISIPIWEELRFWEGYFEYLMEQPANDSVNYATLVTARLIIVASHMAGLGLSDTEAWNMIETIAEKQKLGYKLLIKLRGFLSHVQQLRVGYWGASSFKQQSISSGLPSPRPKADASDETQQPSEASGRSWVQSMFSRDTASRANSFSRVRKWVSDNASSDITAAAQKKIQTNVRVLKGHSGAVTALHSVTRREVYDLVGDREDAGFFISGSTDCLVKIWDPSLRGSELRATLKGHTGTVRAISSDRAKIVSGSDDQSVIVWDKQTLQLLEELKGHDAQVSCVKMISGERVLTAAHDGTVKMWDVRTDMCVSTVGRCSSAILSIEYDDSTGILAAAGRDTIANIWDLRSGRQMHKLKGHTKWIRSIRMVEDTLITGSDDWTARVWSISRGSCDAVLACHAGPVQAVEYSTLDKGIITGSADGLLRFWENDDDGIKCVKNITLHNSSILSINAGEHWLGIGAADNSMSLFHRPSNAGTKVSGWQLYRVPQKTAAVVRCVASDLERKRICSGGRNGVLRLWDATINI >A06g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6852556:6855747:1 gene:A06g501880.1_BraROA transcript:A06g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPSRQQTTVPASVGGGTFPVGGLSPLSEAIWREKTPTEFVGDVSARLTWQDLTVMVTMGDGETQNVLEGLTGYAEPGTLTALMGPSGSGKSTMLDALASRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETIWYSARVRLPDKMLRSEKLALVERTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRALSRDGRTVIASIHQPSSEFFAQAGFPCPALRNPSDHFLRCVNSDFDKVRATLKGSMKLRFEASDDPLEKITTTEAIRLLVDYYHTSDYYYNAKAKVEEISQYKGTILDSGGSQASFLLQTYTLTKRSFINMSRDFGYYWLRLLIYILVTVCIGTIYWNVGTSYSAILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVIANTLAATPFLIIITFISGTICYFMVGLHPGFTHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGFFRLPNDIPKPFWRYPMSYISFHFWALQGQYQNDLRGLMFDSQGSAFKIPGEYVLENVFQINLHRSKWINLSVILSMIIIYRIIFFIMIKTNEDVTPWVRGYVARRRMKQKNGTQNTTVAPDGLTQSPSLRNYIATRTNGAPRW >A06p054490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28556999:28560411:1 gene:A06p054490.1_BraROA transcript:A06p054490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLNSKPFLIDSPCLLLAAIFLLSFLYLTKLRTFFLRIFDLGFLLMAEPSPIIETLDEDESPPQIQTLDESPSDDSSNEIGSRNRRPRRYMRYFDYSSDDDDGLSNGMFRNRSAVYGDLYNRRTRRYMRSFDYSSDDDVEMELPKPIDIFSCSSPENKPTGVGAGLNNSGNTCFIASVLQCLTHTVPLLHSLRSYKYHCPCNCGNESFCVLRTLRYHIEHALRSSGCDIMIDRFHFSSDFQINHQEDAHEFLQSFLDKLEKCCLDRSHRDNPPSVSSQDVNIVDHVFGGRLVSKLRCCNCNSVSETFETSPGWSLEIEDVEDLSSALESFTCVEKLEDQFTCDDCKEKVSKEKQLKFDKLPLVATFHLKRFKNNGVYMQKINKDVKFPLELDLLPYMSSNENPEVSTKYHLYAMVEHLGSGTYFGHYSAYVRSAPETWHHFDDEKVRRISEECVLSKSAYMLFYAREGTPCFASAFEELKTLFEATPMNFSPKSVLETTTTCSEECVSDLSYQTVSDSSNACNGSVGVSIPCGNSSDYHCDEAQDEVFHSAESNSGDEYFAFKSPKADDSEKPFAATFHQEERPLYPDGNRATTDDAYVPVVKIQEQVSSPKREAAERAIIGEENFLTKRKMQKRNPFSKRQGKTFQIRHEHLQNKKKREETCITNPFRSIVAAASDPKEKKHAVSYLRSRPCSSRSRLLVAALGVNVKKKKVSNIRRSSLHRNL >A05p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13788784:13790485:-1 gene:A05p026270.1_BraROA transcript:A05p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKEICVRMTRAAAKRKAMATEEERVTKKRVVLGELPNVANLNQKRVIPQPTKSLVAPAKQRKTAPVESGSDIDARSDDPKMCGPYVSDIYEYLREIEVKPKQRPLPDYIEKVQKDVTPSMRGVLVDWLVEVAEEYKLLSETLHLTVSYIDRFLSLKTVNKQRLQLVGVSAMLIASKYEEISPPKVEDFCYITDNTFSKQDVVKMEAGILLALQFELGRPTISSFIRRFTRVAQEDFKVPHLQLEPLACYLSELSLLDYKAVKFVPSMLAASAVFLARFIIRPKQHPWNQMLEEYTKYKAADLQVCVGIIHDLYLSRRGGALQAVRDKYKHHKFECVATMPVSPDLPVTYWEDVTI >A06p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22031661:22032535:-1 gene:A06p040750.1_BraROA transcript:A06p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRQLQPTAYEETVDNIAPHDQQLKEVLRQRLCFVCASEDSEMISSVSDFFNQVRSSQTVHIFIDAKDLPRYMLFFTFYLTK >A07p033760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18443332:18446262:1 gene:A07p033760.1_BraROA transcript:A07p033760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGMEGSSMNQAPGADDLKKAVDNPTGDSVFDASQYAFFGNDEVEEVELGGLEEEDEILSFNGIGDGFSFDKEEVGDSRPLSDVDDLATTFSKLNRDPDVYRNMGPITDRRSSQNSLVPEWTQREKLPDWHGSDAIKDDKAWSATPYPEPQRQLHQNHNQQQFPSEPIIVPKSSFVSYPPQGSISPDQRLGHPNLPYHSGGPQMGSPNFSQFPTLPPQLAGMHHGSPQRTGNMPQFRPALPPNNRPPAQWMNRQNVHPGDNSGIMNNVMLQQLPHQNGLIPPQMQGSQNRLQHPMQPPLGHMPGMQPQLFSPHLSRSSSSASYDGMLGFVDPRESRPGSAQGNRPNMRFHQQGFDGGVQRKYSGWPPYRSKYMTAGEIENILRTQLVATHSNDPYVDDYYHQACLAKKSAGAKLKHHFCPNHLRDLLHPRARTNNEPHAFLQVDAHSLGRVPFSSIRRPRPLLEVDPPNSTKSGNAEHRATDKPLDEEPLLAARVYIEDGHNLLLDVDDIDRFLELTQLHDGGIQLKQKRQALLETLAVSLQLVDPLAKNGQSRSQDDLIFQSIISLPKGRKLLIRYLQLIFPGSDLMRIVCMAIFRHLRSLFGVLSSDPDITKTTNKLANVVNSCIHKMDLGPISACLAAVSCSSEQPPLRPLGSPVGDGASTVLKSTLDRASELLRANNFNNAGMALWRASFNEFFNLLMRYCISKYDGIMQTLNSQLPPEFASEISDAAAQAIVREMPIELLRSSFPHIDEQQKRLLMEFLKRSMLGSQKTEAVLG >A07p009160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5588831:5590933:1 gene:A07p009160.1_BraROA transcript:A07p009160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSLILVSIVSLFFLASDGLSETESLLKFKKSLVIGGASGLDSWDRKNPPCKWFGIFCDQGYVWGLRLENIELAGSLDIEALTGLKSLRSLSFMNNKLRGPFPSFKKLGALKSIYLSNNQFDVTIPKDAFDGMGWLKKIHLEHNKFNGEIPVSVAKIPKLLELRLDGNQFTGQIPEFTHKLHILNFSNNALSGPIPNILRTMDPKLFEGNKKLCGKPLLTECYSPYNLSEEPKPSSKKKTSKFLYIVAAVVAVILALLIIIGLIIVLCRRRSYKQPLMSPDSGTSSLQKRAGIQKGDKGQYCCHSKNRVAKRMIHTTKLSFLRDDKGNFDLPDLLKSSAEIMGSGCFGASYKTLLSNGSMMVVKRFKHMDSAGSEEFQDNMKRLGRLNHENLLPIVAYYYKKEEKLFVSDFIDNGSLADTLHGHRSLEQPNFDWPTRLNIVKGVGRGLLYLHKNLPSLMAPHGHLKSSNVLLSENFEPLLTDYGLIPMINAESAQELMVAYKSPEYLKQSRVTKKTDVWGFGVLILEILTGKLPESFPQSDKESEEDITSWVKSIFKGEWTQELFDQEMGKTNNCEGDILKLLRIGLSCSEVDVEKRLDIKEVVEKLEDLMKEREGDDDFYSTYASEADGRSSRGVSSEGINLS >A03p028260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11829675:11831916:-1 gene:A03p028260.1_BraROA transcript:A03p028260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGNDNCNANDSVWQFRGNGATSDAAAVTLRKLVFGMFKNCNFNNGKTILPSTTHSFKTCPEAEEAVAAAVRSGMANSYAPSPGIFNARRAVADYLNGELPTKLRPEDVYITGGCNQAIEIVLDSLAGNPAGNILLPNPGYPHYDARAIYSGVEVRKYDLLPERDFEIDLDALEAAADKNTVALVLINPNNPCGNVYTYDHLKKVAEMAKKLGIVVISDEVYDKVVYGDRPFVPMGTFASIAPVITLGSISKGWVVPGWRIGWMAMNDSNRILKNTGVVESIEDCLDLTPQPSFLLQEALPEILEKTPKEYFAKKNKAMKRNVELSFERLRDIPCLFCPKKPESCSYLWLRLDTSVLANIENDLDFCTKLVTEESLVLVPGVALGAKNWVRISIGTEESELAETFDRLKSFYSRHAISKETIKSHVDTVNQIVVAVV >A07p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21494076:21495152:1 gene:A07p040570.1_BraROA transcript:A07p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT1G66360) UniProtKB/Swiss-Prot;Acc:Q9C8Y2] MENMLGLLRLHVIRGVNLAIRDSKSSDPYVIVRMGQQKLRTRVVKKNLNPEWNEDLTLSISDPVLPIKIMVYDRDWFSRDDKMGDAIFHIDPFLEAIRIQNQFRGLPEGTVIMKIQASRQNCLSEESKIVWNNEKIVQNMFLKLQNVECGEVELQLEWIDVSGLLSINEHEDVAY >A04p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18445924:18447876:-1 gene:A04p031180.1_BraROA transcript:A04p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESEAFATTAPLAPVTGERKVRNDLEETLPKPYLARALVAPDTEHPNGSEGHDSKGMSVMQQHVAFFDQNGDGIVYPWETYAGFRDLGFNPISSVFWAIFINFAFSYVTLPSWLPSPLLPVYIDNIHKAKHGSDSSTYDTEGRYVPVNLENIFSKYALTAPNKITLKELWNLTEGNRMAIDPFGRLANKVEWLLVYLLAKDEDGFVSKEAVRGVFDASFFEYCAKKNKEKADSRKQD >A04p016310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10030922:10031618:1 gene:A04p016310.1_BraROA transcript:A04p016310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNEVTNRTDEATAKSYEAAARSDEATARSAETTVRSDEATARSDKATVKSDYFAKALKSGKSTVKATVKSDYFAKAPMGEDVQRVPLSHIPLIPLLSFEILVFLTFLFTSTRVKVSIYREGETIYPLLRDVALSPDMPGGSNAMKQMFTFALRSARKVLLQEIMF >A06g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14941053:14944042:1 gene:A06g505040.1_BraROA transcript:A06g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQKVIPNESRRQSLRVRKPDSAAKKPEPRVQKSKKSSKKSKKSRPVREPARAPSVESLSVSDESEREGSDREGSEREVINSVLVPTIGEQIMLARIIDEEREYDRQGSPSDTWNYWLNVKQKNIWWEELYELDQAARGVLPKKKDKEKVTFAEGSSSNSGLDLRLQGLEERILEFMGEGFAGLHVTVETMLESQSSRMSVLEKNQRLLRRRAKKIEDMLTSIESKVEPSHGEDMDFRQWDNDTYEEKDKACSEKEKANAEHEAGKEKDNIENTEEEGEKEADDNAQQEGEKEKENSEADEEEDSESESEELKQMKERSRRQAAKLWKEIANEEKIGGKHDEEESEEKEAETSEEENENNDEKDEEKVVESEAEGEDDQVEVGGKEDQEEEVEGKEDEKEEVEGKESETREKEKEKNETEEARETEIEKGTPTPPRGNQTEGTPKDDDNEPRVETNRTGETPTPPRGSQSAGTPTPPRGRTKAMAARRPIIRRMEDEPGKGEKVVEEEKQKKEAVETEEKSREKVAVEEKKKEEVVKEHAEEVVEEYSEEEKQRWIMVVYKKAPSPWIMYRCKENVVVAAPKKSGRPKRKSQWVQTPFTEGKKRKTKP >A03p048180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22036986:22039868:1 gene:A03p048180.1_BraROA transcript:A03p048180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPRIFIWLILLSDLLLRAVGNSEGEALTAFKDSLSDPTNALQSWDNQNSDSPCTWFHVTCNPENRVVRVDLGNAKLSGQLVPQLGQLPNLQYLELYSNNITGEIPKELGELRELVSLDLYQNRLSGPIPSSLGKLDKLRFFFANNNLRQPPPSPPPPISTPPPSPPVSRSRMTAAVAGGVAAGAAVLFAFPAIAFAWWIRSRSQDRFFDVPAEENPEVHFGQLRRFSLRELLVATDNFSHKNVLGRGGFGKVYKGRLADGSLMAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERLEGNPALDWPKRKHIALGAARGLAYLHDQCEQKIIHRDVKAANILLDEEFEAVVGDFGLAKLMNYNDSHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQKAFDLARLANDDDIMLLDWVKEVLKEKRFESLVDAELEGKYEEKEVEQLIQMALLCTQISSLERPKMSEVVRMLEGEGLAEKWEEWQNEEILTNDSNYLQVGTEWFIPISNSLIENDYPSGPR >A09p055320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47351044:47352379:-1 gene:A09p055320.1_BraROA transcript:A09p055320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEEEEIQNAPFCMLFCEEDSSGFDEEDEDSCDKSDGKFPFFHLGFLDRSMSWEEDELSSLIFKENDFRPSLTEEGVLDDEYLALCREKAVDWILRVKSHYGFSSLTALLAVNYFDRFITSRKFQTEFPWMSQLTALACLSLAAKVEEVRVPLLVDLQVEEASYVFEAKTIQRMELLILSTLQWRMHPVTPISYLDHIIRRFSFKSHQQLEFLSRCESLLLSIVPDSRFLSYSSSELAAAIMVSVFRGFKTRDESEYESQLMTLLKVDSEKVNKCYELVFDHIPSKKRMQPASPTGVFDASFSSDSSNESWVVSASPSPEPLFKRRRVQEQQMRLSSVNRVFLDVFSSSPR >A10p038860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21634726:21640303:1 gene:A10p038860.1_BraROA transcript:A10p038860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSLSFEIDDNGGAGRDGNHNRLRLKPISSGDRRDRSSHSGSDRSSLLRSSNTARPHHIGGRSLNRKGVISWLKPRGNWLLYFLVAFTVCAFVMSSVLLQDSIAWQGSARGGGGVRRRIGFGSSLKYVPGGVARMLIEGEGLDSLRSGVRMGVRPPRLALILGNMKKDSRTLMLVTVMKNLQKLGYVFKVFAVENGEARSLWEQLAGHVKVLVSDQLGLADWTIFEGVIADSLEAKEAISSLMQEPFRSVPLIWIVHEDILANRLPVYLKIGQNSLISHWRSAFARANAVVFPQFTLPMLHSVLDVGNFVVIPESVVDVWAAESYSEVHTKQELREINGFGEDDLVIMVLGSSFFYDEFSWDNAVAMHMLGPLLTRYGRRKDTGGSFKFVFLYGNSTEGQNDAVQEVASRLGLTQGTVRHFGLNEDVNRVLLMADILVYASSQEEQSFPPLIVRAMSFGIPIITPDFPVMKKYMADGVHGIFFRRNDPDALLKAFSPLISDGRLSKFAQTIASSGRLLTKNMMAAECTTGYARLLENIVHFPSDTFLPGSISQLQVTSWEWSLFRSEIGQPKSFIQDSTYASIRPGIVFQVEEKFTGVVESTNPVDNNTIFLSDELPSKLDWDVLEEIEGAEEYEKVESEELEDRMERDVEDWEEIYRNARKSEKLKFEVNERDEGELERTGQPLCIYEVYDGAGAWPFLHHGSLYRGLSLSSKDRRLSSDDVDAADRLPLLNDSHYRDILCEIGGMFSVATKVDSIHMRPWIGFQSWRAAGRKVSLSSKAEGSLENIIQQDTKGEIVYFWTKLDIDGGALGSRNALTFWSMCDILNRGNCRTTFEEAFRHMYGLPEYIEALPPMPEDGHHWSSLHNWVMPTPSFLEFVMFSRMFSESLDALHNNPNDSQSCSLASSQLERKHCYCRVLELLVNVWAYHSGRKMVYINPRDGSLEEQHPLPQRRGLMWAKYFNFTLLKSMDEDLAEAADDNDHPRERWLWPLTGEVHWKGVYEREREERYRLKMDKKRKTKEKLYDRIKNGYKQKSLGG >A09p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7415564:7416378:1 gene:A09p014420.1_BraROA transcript:A09p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQCYINEKGEKVYTTKKESPLGSATESAHPARFSPDDKYSKERVLLKKRFGLLPIQGAPVKY >A10p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15632601:15633975:-1 gene:A10p024150.1_BraROA transcript:A10p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLEVQEGGPTAARDYVDPPPAPLLDMEELGKWSLYRAVIAEFVATLLFLYVSVLTVIGYKAQTDANAGGVDCGGVGILGIAWAFGGMIFVLVYCTAGVSGGHINPAVTFGLFLARKVSLVRTLLYIVAQCLGAICGCGLVKAFQSSYYTRYGGGANELADGYNKGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQEKAWDDQWIFWVGPMIGAAAAALYHQFVLRAAGIKSLGSFRSSA >A01p055240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31283573:31287831:-1 gene:A01p055240.1_BraROA transcript:A01p055240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGRRCLLRFFLFLILSNPSYGENKFRERKATDDELSYPEIDEDALLNSQCPRNLELRWQTQVTSSVYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSNVHASPLLFDIDKDGVREIALATYNGEVLFFRVSGFLMSDKLVVPRRKVNKNWHVGLNPDPVDRSHPDVHDELLVHEAEEMKASMTTQPNATTTTPNVTVSMSKEFHGEASNVLSQEDQKKPENNQTEAVVKPSPGLHNSSLDVRANNSAANDTTAGSTQNLNGNVTSNEVDQSNMSENKNETVIKLNSSTDNSSETLGTSGNSSTTETGTKSGRRLLEDNDSKESVDGHSDNKDNSEGVRMATVENDGVLEAEADSAFDFLRDNEELGDEYFSDYDDYVNDTMWGDEEWVEEKHEYTEDYVNIDAHILCTPVIADIDKDGVEEMVVAVSYFFDPEYYDNPEHLKELGGIDIKNYIASSIVVFNLETKQVKWVKELDLSTDNANFRAYIYSSPTVVDLDGDGYLDILVGTSFGLFYAMDHHGNIREKFPLEMAEIQGAVVAADINDDGKIELVTTDSHGNVAAWTTQGVEIWEVHLKSLVPQGPSIGDVDGDGHTDVVVPTTSGNIYVLSGKDGSIIRPYPYRTHGRVMKQLLLVDLNKRGEKKKGLTIVTTSFDGYMYLIDGPTSCTDVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPSPHHPLKAWRSTDQGRNNKANRYGREGVFVTHSTRGFRDEEGKNFWAEIEIVDNYRYPSGSQTPYNVTTTLLVPGNYQGDRRIKQSQIYDRPGKYRIKLPTVGVRTTGTVMVEMVDKNGLHFSDEFSLTFHMYYYKLLKWLLVLPMLGMFGLLVILRPQEAVPLPSFSRNTDL >A03p038760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16160614:16166609:-1 gene:A03p038760.1_BraROA transcript:A03p038760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit A, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G10690) UniProtKB/Swiss-Prot;Acc:Q9CAF6] MTPFCHSTVSIPNPNSLMSLSSTLRLSSSLLRRSLSHPRHFRFPLADPLCRLRRTQPSGLRFISSLPPQSSNNGGMVVSGDNNNSNDGGGDPRIVPFELHKEATESYMSYALSVLLGRALPDVRDGLKPVHRRILFAMHELGMSSKKPYKKSARVVGEVLGKFHPHGDTAVYDSLVRMAQSFSLRCPLIQGHGNFGSIDADPAAAMRYTECRLDPLAEAVLLADLDHDTVDFVPNFDNSQKEPTVLPARLPALLLNGASGIAVGMATNIPPHNLGELVDVLCALIHNPEATLQELLEYMPAPDFPTGGTIMGNLGVLDAYRTGRGRVVVRGKTKVEMLDAKTKRNAVIITEIPYQTNKATLVQKIAELVENKVLEGISDIRDESDRNGMRVVIELKRGGDPALVLNNLYRHTALQSSFSCNMVGICDGEPKLMGLKELLQAFIDFRCSVVERRERFKLSHAQKRKHIIEGIAVGLDNVDAVIQLIRKASAPSAALQSEYGLSEEQAEAILAISFRRLTALERKKFTDESSSLTEQITKLEQLLSSRTNILKLIEQEAIELKDRFSTPRRSVLEDSDSGDLDDIDVIPNEEMLMAISEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVDDAMSDFLVCHAHDHVLFFSDRGIVYSTRAYKIPECSRNAAGTPLVQILSMSEGERVTSIVPVSEFSEDQYLLMLTVNGCIKKVPLKLFSGIRSTGIIAIQLNSGDELKWVRCCSSDDLVAMASQNGMVVLSTCDGVRTLSRNTKGVTAMRLKNADKMASMDIIPSSLRKDIEVKSEETSAEKQSTGPWLLFVCENGYGKRVPLSSFKPSRLNRVGLIGSKFAEDDRLAAVFVVGYSLAEDGESDEQVVLVSQTGTVNRIKVRDISIQSRRARGVILMRLDHAGKIQSASLISAADVEEEGEGVQSLEEATASL >A08p031400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19160959:19161573:-1 gene:A08p031400.1_BraROA transcript:A08p031400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAT1 [Source:Projected from Arabidopsis thaliana (AT4G39730) UniProtKB/TrEMBL;Acc:A0A178V098] MARLLPLLLLIATVSAVAFADDEPDCVYTFYLRTGSIWKAGTDSIISARIYDKYGDYIGIKNLEAWGGLMGPDYNYFERSNLDIFSGRAPCLPSPICSLNLTSDGSGDHHGWYVNYVEVSTAGVHAQCSTQNFEIEQWLATDTSPYELTAVRNNCPVSLRDGVSRVGSEIRKQLSWVI >A04p030220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18053986:18054540:1 gene:A04p030220.1_BraROA transcript:A04p030220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLNETQPTVVVSSPPGRISLRPMTLSDVDDYMVWATDAEVARFCSWEPCTSREEAIKYITDSVLTHPWLRAICLEDDRPIGYILIMPVDKIRKEIGYVLARKYWGKGFATEAVRLVTAEVFKEMPEVERLEALVDVDNVGSQRVLEKVGFTREGVMRKFIVMKGSLRDMVMFSFLPTDPFK >A09g513280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40485169:40486123:1 gene:A09g513280.1_BraROA transcript:A09g513280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKRNHFRPNAKKKRKAIDDRRFRSVSIRRFDDVFFPFFSCLKMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRLDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGEIVIFKHEGDMVFHVTPFGPNCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNK >A02g502540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8185169:8185483:1 gene:A02g502540.1_BraROA transcript:A02g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVKFLVIVMTISMALCPALVQCRQIKCDWLSGNCIKGGTEDITKMISYIGVSHRILQGTRYINYDALKHNVPAKQHGQKDRPDNSYRRGCTLATGCYRLTN >A02p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15244537:15245743:-1 gene:A02p030050.1_BraROA transcript:A02p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTGLVAVISMLFLFIQIICPAGNERCRGHHRSLLRPQRQQPPIPGRYNSFIQEQQHRRHPYVRALRRYARSSSRLRPLRRVRSTKRRDPIPSPRPAAATNFVATWILPYKNDVAIKWITIGNEVFPGDIAPFVAAAIKNVNTALTNSGVSGIAVTTVVAMSALENSYPPSAASFLPDLTEIMTEISSILSQTNSPLMANIYPYFAYASDPKDISLDYAVFKSNTPVVIDGDLKYSNMFVAMVDGFNAALEKINARNVVVTVAESGWPTEGNPPYTSVDNARAYNLGLLTCGGATRMRTPRRPETAVDVFLFEMFREN >A05g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1473396:1478484:-1 gene:A05g500370.1_BraROA transcript:A05g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFSRKVVPVCGRLCILCPALRPRSRQAVMRYKKLIADIFPRHQEEAPNDRKIGKLCEYAAKNAVRMPEISDLLEQRCYKELRNENFHSAKIVMCIYRRLLVTCKEQIPLFSSGFLRTVQALLDQTRQVEMQIVGCQSLFEFVNNQTDGSSLFSLEGVLSKLCQLALEVGDDDRSRSLRAAGLQALSAMIWLMGEYSYIPSDFDNVVSGVLENYGHPKKLANAIDNARKWVDEVLKNEGHLAHADSLINVPSWRAVVNDKGELNVKMEDSLDPSFWSKVCLHNMAKLGEDATTMRRILESLFRYFDEGHLWSTENSIALPVLRDLQFLMELSGQRTHFILSMLIKHLDHKSVLKQPRMQLSILELTTSLAENAKVEHSVAIVSAISDIMRHLRKCMHSSLDESNLPTDVANCNRLASVAVDKCLVQLTKKVGDAGPILDAMAMLLENISAVTDVARTTMAAAFPEALFHQLLVHPDHKTRIGAHRIFSVVLVPTSVCPRPSSTTTELKKGMGLPRSLSRNASVFSSSAALFEKLRKDKVSSVLTSDKSQNEILDRIKSSYGQAYSSWNQSVDSVADNSELDAVCIRLSSHQIGLLLSSLWAQSISPANTPENYEAIANTYSLVLLFSGFKNSSHDALIRSFQMALSLRDISLMEGGPLPPSRRRSLFTLATSMVLFSSKAFNLFSLADFAKVALRGPTLDPFLHLVEDHKLKAVNPDQLHIVAYGTEEDDASALDTLSKIAVSTEHSRGTLVYEIVKSLENMCSSEMEKMQEQLLTEFMPDDACPLGTRFLEDTQTSFQADLGDVKHQNLAALFSHEDQEFGNVTETVADNNPLTVAEVPDLLTVNQILESIVETTRQMGLISFHTAADASYKEMTLHCEDLLTGKQQKISSLFNSQLRHKSSVNGSPGQHDEEIKIATFLPMINSAFHTETQCYSELQAYKLPASTPYDNFLKAAGC >A09p069510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54153107:54153796:-1 gene:A09p069510.1_BraROA transcript:A09p069510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKKGEATSTTSSSSAANTSEPSTKKKPSMIPDWSLLPGELLDVIPKNLDNCFDVLHARSVCTSWRSSRLTLRVSRLDEEAGKWAEVSELGDRVLFIGHFGNVSCSARSFLMDVGVSGNSVVFTNEPVYVTHAYKYGIHTGRPEENFNCFRDLKK >A05p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7319067:7321045:1 gene:A05p016450.1_BraROA transcript:A05p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QQT1 [Source:Projected from Arabidopsis thaliana (AT5G22370) UniProtKB/TrEMBL;Acc:A0A178UBM9] MVFGQIVIGPPGSGKTTYCNGMSQFLSLIGRKVAVVNLDPANDALPYECAVNIEELIKLEVVMAECSLGPNGGLLHCMEYLEKNIDWLEAKLKPLSKDHYFLFDFPGQVELFFNHDSTKKVLTKLTKSLNLRLTAVQLIDSVLCTDPGNYVSALLLSLSTMLHMELPHINVLSKIDLIGNYGKLESVGELVKLIDKSNGYIFAGIDASVVEYSKISVRQTDWEYNRYPLALNILNHLFTVAAVQEKYMKDEDTED >A06p042000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22586271:22587125:-1 gene:A06p042000.1_BraROA transcript:A06p042000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermembrane space import and assembly protein 40 homolog [Source:Projected from Arabidopsis thaliana (AT5G23395) UniProtKB/Swiss-Prot;Acc:Q8GYJ4] MGQAQSNDNSASSTTPTSNTPPPSTNSPRDSNGDDTSMGSLLAEAAAFGEGDNENESLEAKAQKALDCPCIADLRNGSCGSQFTEAFRCFLISTAEEKGSDCVHPFVALQKCVKAHPNAFSKEVLEGEKETEKKEEQQQPVQQDHRIIPPLWAKDPPRSAKSKL >A01g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19056207:19057350:1 gene:A01g506600.1_BraROA transcript:A01g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDAENARLACFSSFPLLFQKLGFSSSEAIFVATTIERSFFHQIDEGEVEISVWMDPSEEQRHSKQQKEYCDMLGFVEDSQYGIPIRCACGGRIIDEVRGKEDYDSHPGKRFFTCINYEVSIFSLIYVIKIYETRTVSNILFSVVNKDNGLHYSHPWVVGVQEEMERLRRLSRGCRVSITRLRAWRNRFEASPVQVGTLEKVCFD >A10p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9710169:9714665:-1 gene:A10p006410.1_BraROA transcript:A10p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKRTSLVLYILLIIHLQHNFSSVSSRPSSHNFPSINSRSASIDVYYESFPLRATKSDVDGFEGKGQELAAVTKNGSGGGWRGGDCGSTRIGDGGGWRGGGGTIIGGGGGLHCCRGGCGGTRIGGRRGLSGWFGSRIGDRLRDWFGSPIGGGGDHGDGGNSGGGGGSGGGVVAAAAVAAAVRRWRRGGIGGGGGLPGGGGGIGGGGGFPGIGSGIGGGGGFPGIGGSGGGEGFPGIGSGTRSGIRSGIRAGKKLPDGSDSDNGTESSGGSHGGGSGKGIGGGGGLPSGDSTGGSHRSSSSQNIRGGVCAVCWLSLLVLAGLLLVYKDLKYMLAI >A09p026560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14524886:14526181:1 gene:A09p026560.1_BraROA transcript:A09p026560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTFFSVDPWILAARPNEYHGALRSGAIVKGGGFEVGRCTNMYKITDSPFVFQIPKFLDVRSPIFHIVHIQMVNLFYANILLATCETHSLTHTRSSTKFYLDTNILAIEAFTNSYFFSIDTVKTQKANFLFKAWKCATTEWWAVRFLHRLQQEVGQIMECTIPQQMCITQDRRGFKVNGNGGYELLSCLADLDGQQYLFQIRITPYNFTPRHRTFTVSAISDDICS >A02p051070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31434208:31435755:1 gene:A02p051070.1_BraROA transcript:A02p051070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVAIWGITAKSVSSVMLIWAVYSQYIPRHVRSQMEIYFYKLLGWLSFYVHIKFTEHTEEGLKRSENYNAIRNYLSTNTAARAQRLKANESKNSKSLVLSMDDHEEVEDVFNGVKVKWYSNVKVTETQSNYGRNNSYERRFFTLTFHRRHRGMIIDTYITHVLREGKAIGVRNRERKLYTNNSSSEWYPWMSGKWSNVPFHHPATFETLAMDPEKKERIKKDLVKFSKGKDYYKKVGKAWKRGYLLFGPPGTGKSTMISAIANFLDYDVYDLELTTVKDNSELKKLLLETQGKSIVVIEDIDCSLDLTGQRKTKKEEDEEEDKEKKKEKKKEDEKKSKVTLSGLLNSIDGLWSACSDEKIIIFTTNFVDKLDPALIRRGRMDNHIEMSYCRFEAFKVLAKNYLEIESHELYGEIERLLEETDMSPADVAETLMPKSDEEDADVCIKRLVKTVEEEKEKAKKLAEEEEKSKAEKEEKRKKKKEEEADNKKTEEDEKKVKGSKVNGDLSEKNGTN >A08g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13579822:13581086:-1 gene:A08g507680.1_BraROA transcript:A08g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASIPEQVIQPLLLASDSSHSLEECLQFLIESSKTDSGRIDLASKADILPSILALLQLLPYPSSRHHLNLSLKVLRNLCAGETRNQEAFVDHNGSLVISELLDSAIGDAETVRFGLQVLANVVVMGENRQRDVWLRFFPERFLAIAKVRRLETCDPLCMILYVCFDGSSEIASQLSSDEGLSIIAETMRAVGSVEYYWLKLLVSRLCVEGDCFPGLFSKLANHKDSTFTSEHAFLLSMVSDIVNERLKEVSIPKDTAHFVLGLLNQSVQVFDFASAERSELPTGSAVIDVMGYSLVVIRDACAGGSLEELKNDSSGGNVDMLLSSGLIELLLDLLRKLEPPTTIKKALKQSPTDEKYFEGVAYRHPSSPPRASENLRRGTSTVEPVFKTVSN >A01g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25117886:25119111:1 gene:A01g509240.1_BraROA transcript:A01g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWAVGFCITISATGSNTADLSNFTNSILLRSLPVPFGMDELDLPSRLFETGFEPTGKKMFNNYFNLCWIEVIKSALEDEDLAMLNASQFEQVLKMGFHTFSVMFLHYILARQLVTIPISFVFHGAKFCIYYTHSTLLNSMVSIE >A06g509800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28836839:28837045:-1 gene:A06g509800.1_BraROA transcript:A06g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLPEVHSIYLRLASLILGSGDTFYTAVGSFSFRETVALTAPDFAGYRLRESGGSDSTVLSPASSPI >A03p020450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8380439:8384913:1 gene:A03p020450.1_BraROA transcript:A03p020450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLASLSPLSTLRFLLPLSPKLFPLPSLFRISAMGPNSQGGRRGGGFSSGRGGGRRGGGRGGGGGGGRGGGGGRGEQRWWDPVWRAERLRQQQAEMEVFDENEWWNKIEQMKTGGEQEMVIKRNFSRGDQQTLGDMAYQMGLYFHAYNKGKALVVSKVPLPDYRADLDDRHGSTQKEIQMSSETERKLGSLLKTTQQVGSTSGSNDQQDRTSAIGVKKSDSASKFSDSHEKEKFSVALKDRQDKLKATESVKALHAFREKLPAFKMKQDFLTSVSENQVLVVSGETGCGKTTQLPQFILEEEISSLRGADCNIICTQPRRISAISVGSRISAERGEPIGESVGYQIRLESKRSDQTRLLFCTTGVLLRRLIEDPNLSGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLVLMSATINADMFSTYFGNAPTMHIPGFTFPVTELFLEDVLEKSRYTIKPSDSGNYQGGSRGRRRDSESKKDDLTTLFEDIDINVHYKSYSPATRLSLEAWSGAQIDLELVEATIEHICRREGDGAILVFLTGWDEISKLLENIKGNRLLGDSTKFLVLPLHGSMPTVNQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKVACLLPSWISKASAHQRRGRAGRVQAGVCYRLYPKVIYDAFPQYQLPEIIRTPLQELCLHIKSLQVGSIGSFLAKALQPPDALAVENAIELLKTIGALDDTEELTPLGRHLCTLPVDPNIGKMLLIGAIFQCVNPALTIASALAYRSPFVLPLNRKEEADEAKRYFAGDSCSDHIALVKAFEGYRDAKRGGHERDFCWENFLSPLTLKMMEDMRNQFLDLLSDIGFVDKSRGPNVYNQYSQDMEMVTAVLCAGLYPNVVQCKRRGKRTAFYTKELGKVDIHPGSVNARVNLFSLPYLVYSEKVKTTSVYIRDSTNISDYALLMFGGSLMPSQTGEGIEMLGGYLHFSASKNVLELIQRLRGEVDKLLNRKIEDPSLDITVEGKGVVSAVVELLRSRNIRY >A08g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12038776:12039087:-1 gene:A08g506970.1_BraROA transcript:A08g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQRFPVMVKWVYTVPVECNGGGNLRRNLLNDGIVSLRLFLVPPVVSFILPALWSWLDSSLGFVLG >A06p056390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29361265:29365438:-1 gene:A06p056390.1_BraROA transcript:A06p056390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MEPNTMASFGDEQHRHSSFSAKICRICRDEVKDGDNGQTFVACHVCAFPVCKPCYEYERSNGNKCCPQCNTPYKHHKGSPTIAGDDEEEENNGHVDSDDELNIKNRKDTSSIHQNFAYGSENGDYNSKQQWRPNGRAFSSTGSVLGREFEGERDGATDAEWKERVDKWKARQEKRGLLVKGEQTKDQDSQSDEEEFLDADARQPLWRKVPISSSKISPYRIVIVLRLIILVFFFRFRILTPAKDAYPLWLISVICEIWFALSWILDQFPKWFPINRETYLDRLSMRFERDGEKNKLAPVDVFVSTVDPLKEPPIITANTILSILAVDYPVSKVSCYVSDDGASMLLFDTLSETSEFARRWVPFCKKYNVEPRAPEFYFSEKIDYLKDKVQTTFVKDRRAMKREYEEFKVRINSLVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGKEGAYDIDGNELPRLVYVSREKRPGYAHHKKAGAMNAMVRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQLGKKLCYVQFPQRFDGIDRNDRYANRNIVFFDINMRGLDGIQGPVYVGTGCVFNRPALYGYEPPVSEKRKKMTCDCWPSWLSCCCGGGRRGKPKSDSKKNKSGIKSLLSGLRRKKKKESETTTSYSRKRSTEAIFDLEDIEEGLEGYDEHDKSSLMSQKNFEKRFGMSPVFIASTLMEKGGLPEATNTSSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSIYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYAWGGKLKILERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTINNFASIWFLALFLSIIATAILELRWSEVSITDLWRNEQFWVIGGVSAHLFAVFQGLLKVLFGVDTNFTVTSKGASDEADEFGDLYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLAKQTGPLLKQCGVDC >A08g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20803594:20804783:1 gene:A08g509800.1_BraROA transcript:A08g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNVIGSTSLNPKIVGDGSASTATKYGGVKKIVSLTLLLSCNYPLTNPNTCRLQSSCALRKLTALKKQMGGATSHNLNAPGNFSVVSHRLRRRSGRPREHSLPKCVKDIVVRNLTFQLKLSEFNFSGKQLFTKYSFTVSRIFCRNQRPPLPQHNEVMITLTMRCHELEL >A07p022840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13301434:13302964:-1 gene:A07p022840.1_BraROA transcript:A07p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNIDKDDGLETVLEVPIPEEMFSGMGNNPALRCQNMMTWMKAQTSDKWSQPLIAARINELRFLLYLVGSPLIPLQVQVGHSVHKPVKDSSIQASTAKYIVQQYIAATGGPAALNAVNSMCVTGQVKMTASEFHQGDESVGNLKSNDEMGGFVLWQKDPDLWCLELVVSGCKVICGSNGRLSWRHSSNQQTPASTGTPRPLRRFLQGLDPRSTANLFLDATCIGEKIINGEDCFILKLETSPAVREAQSGLNFEIIHHTIWGYFSQRSGLLIQFEDSRLLSMRTKDGDVFWETSAESVMDDYRYVDNVNIAHGGITSVTVFRYGEASANHRRQMTEKWRIEEVDFNVWGLSVDHFRPPANLKIGK >A03p061540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26736500:26737713:-1 gene:A03p061540.1_BraROA transcript:A03p061540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKTNLSLTKSGPCLHTDPTRIHIIHTGLARNEIRSSSSPIHHHRPRDLHSDLIRHGFPCDILNIRCRGNHCFDFHKDLLQPRPLHQSATFYFGHYSHCLLLDDMKPLIVPILSEVE >A02p004950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2143799:2147440:1 gene:A02p004950.1_BraROA transcript:A02p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLT3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G12170) UniProtKB/Swiss-Prot;Acc:Q8RWL5] MATTTPPRRITTGSITSVQSHSAVRPLSMISLIRRHHHLNLRLPSPIASVSRRWLIEAVARSPWEGSDDGVAQADGKKPGVCGYAISGNEIEGSSGELVEGDQQHVNTMEMVMWAAATAAFGVANRVMYKLALVPLKQYPFFLAQLSTFGYVAVYYSILYFRYRAGTVTDEMLSVPKMPFLIAGVLQALAAAAGMAATANLSGPSTTVLSQTFLVWQIFFSIIFLRRRYSVNQILGCTLVALGVVVSVASGSGAAHSLKEAGVFWILLMVLSYLFQGADTVLKEVIFIDSQKRLKGASLDLFIVNSYGSAFQAICIALLLPFLSKLWGIPFNQLGSYLKDGAACFLNIGTMTKGCEGAPLLPLLFVIANIGYNIALLRLLKISSAVVSSLASTVSVPIAVFLFTLPLPYLGVASSLPNGFMGGTIILVLGMLVYSWAPQGPNGSHTDSVIPSPPPT >A03p030530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12816285:12819354:1 gene:A03p030530.1_BraROA transcript:A03p030530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMKTRSASVGRWVNEVVSFVVFCLLDIFDYLLCLLYKTADYLLEAEWKPCYCLSPKKLITTSRGNILLSHNNCESKILTVSPLQQLSGRSKIELEEISETLYSRPSLLSDHLSKLSINDLTKWVMNMTRSHSDCGEIKISKKRRKTMKFKPSFTVVEMLQGKIKPQNLSRQVSRWSDCDCGFCTCWNSTCVKDQSLFVKTQFPNGNIGNEDVLFIHGFISSSAFWTETVFPRLSKSKYRLFAVDLLGFGKSPKPADSLYTMREHVEMIEKSVLLKHNVKSFHIVAHSLGCILALGLVAKHGGSIKSLTLLAPPYHPVPTTEAEPRQYVMKKVAPRRIWPPIAFGASMACWYEHISRTICLLICKNHRLWQFLAKLITRNNRTVNFLIEGFMCHTHNAAWHTLHNIICGVGSKLDSYLDIVRDKLKCNVTIFHGRDDEVVPVECSYNVQSRIPRARVKVVENKDHITLVVGRQVEFAKELEEIWKSSSC >A06p048640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25747689:25754210:-1 gene:A06p048640.1_BraROA transcript:A06p048640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSAIVFPTSFTTSLNQSSLSQCCSKFHSDIEELVSLNSTYAQVNHAKRVVASFGEVLSKTHENEEAAFVREAIRLYLEVLFMENSQPLHRTLVSALAKTRKFHSVISSCFRELCDEYGGLEDGGKRFCVSRVALSVMGMPKLGYLVDIIEDCAVLVGRDVVSGLNGIVLETEACARPPPTVMEQCQEALSCSYYLFQRFPLKFKGMVGEDASFMEKVFAVQMSILKSAAFSRDCCVAAGVSFCAALQVCLEEEEVGLFIAQGMFRWSGVVEFNDIVCKIPFGGDICSEIRSFSSLSRLCLIRGILTAVSRGVLVSSVDCDHKTILYDGILPELCDLCENPVDSHLNFHALTVMQICLQQIKMSTLNDLAEDYDPMPESKVSRVLKIIWNNLEDPLSQTVKQVHLMFDLLLDIQTTVHQTYDKVEVRESLLKIVNYLLRLGSRCKGRYIPLASLTRRLGAKTLLDMSPNLLFEMANAYVDDDVCCAVTSFIKCFLEMLRDECWGSEGVERGYAVYRQRCLPPFLYGLASGMSKLRSNLNTYAVQVLLELDVDCIFPLLGLISIGPSEEETKLVYTELSSISMELTVEQKVAVLVSLLKVCRTLAFLEGDIEQKGSADAFAFVKIKGIELKVPVEWLKMALTHVDESVRVDAAETLFLNPKTASLPSPFELYLIKEAVPLNMRSSSTGFQMKWTSLFRKFFSRVRTSLEKQYKLGSWQPRLANGNNEKCLKGDEDAVLRAESLFKFMRWLSSFLSLSCYPSAPYRRKIMATELIQIMIEVWPIVPSKDPTSHLYPYCDIVTSHDSTLLLVGSIVDSWDRLRENAFRILLHFPTPFTGVSSEDMVQTIIPWAKHLVCSPRVRESDAGSLTLRLIFRKYVLDLGWIVKVSSDVVCCQREREGTNGFHQNSKPKYPVIEYIKSLIHWLDTCVKEGERDLSEACRNSFVHGVLLALRYTFEELDWNSNAVLSSVSEMREELEKLLKLVTRITTLALWVVSADALCLDEDMDDIVDDDSFLSDVQDDAATTVLSKEQKDTHPKPVQETIQSEQVVMVGCWLAMKEVSLLLGTIIRKIPLPTSSLAPLENGDLASAVPDDSVVGNSESLLDLKQLEKIGDHFLEVLLKMKHNGAIDKTRAGFSALCHRLLCSNDPRLCRLTESWMEQLMERTMAKGQTVDDLLRRSAGIPAAFIALFLSEPEGSPKKLLPQALRWLIGLAEKPLMDPAEEKGSKHMDEEVNPSNMHPSEKLSKVRDEGVVPTVHAFNVLKAAFNDTNLGTDTSGFSAEAMTVAIRSFSSPYWEVRNSATLAYTALLRRMVGFLNVQKRGSARRGLTGLEFFHRYPLLHPFIYGELKAATDMLDTSGQSDSNLANHVHPSLWPILILLSRLKPSPIASESGDDLDPFVFMPFIMKCSTQSNLRVRVLASRALVGLVSNEKLQSVLLSVASTLPSNEVQGGPFNYLHGVLLQLGNLLDTNCRDLIDNSKKDQIIEQLVNVLAKCTWMASPLLCPCPIISTSFLRVLDHMRAIGWKNLRDVYKLHLDLSTSCLDADASYGFSYYDPTVAELREQAAVSYFGCVFQPSDEAAEVFQITQRPNLLLQKVPEALDFPDLKGRLLRCLSDQSYEVRLATLKWFLQFLKAEDSSFSETSSIWHWASNGLQVMLLDLLEKEKNHRCENYILRILCQWNLLMFKKESNEGIYVGSLSYDSVIHLWGRLTSLYERTRHVKTRGTLMSCLAICVKHLTSLFFDKKEEEPRWSCVIDCVSYFVNLIKEKSSSSEQVNVRQASAEAIIASGILEQAKLIGPLVSNHQTPSLSKFQNACDVYAYQILEMWFTCIKLLEDEDDIIRSKLATDVQKCFFSTAMEVPTQVEKVLELSFDHLSSVFGHWNEYFLYLSRWVFSTADYTAPLKGGIDLVRRVFDKEIDNHHEEKLLILQFCCHHLQKLANSDLPHGQLLEWRSKFHNKLLCFAKDHVGKQRESWVGGVGNHKDVFLPLYGNLLGLYVFSNCILRFSTDGNDKKAMFADMVELGEALKPFLRNPLVSNMFRVVVGLHEKSVDDSLVDMSSVLVGEVWEGFDPYFLLR >A03p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7240338:7241477:1 gene:A03p017780.1_BraROA transcript:A03p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F10 [Source:Projected from Arabidopsis thaliana (AT2G30870) UniProtKB/Swiss-Prot;Acc:P42761] MVLTIYAPLFASSKRAVVTLVEKGVEFETVNVDLMKGEQRQPEYMAIQPFGKIPVLVDGDYKIFESRAIMRYIAEKYRSQGPDLLGKTIEERGQVEQWLDVEATSYHPPLLALTLNIVFAPLMGFPADEKVIKESEEKLGEVLDVYEAQLSKNEYLAGDFVSLADLAHLPFTEYLVGAIGKAYMIKDRKHVSAWWDKISNRAAWKEVSEKYALPV >A05p025740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14032675:14033905:1 gene:A05p025740.1_BraROA transcript:A05p025740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAHIVYAVLYTDFSSILLSLLANFDSETEMQDTEASHHKLSDGSSSINLNITSSPIEGDNCSGRGLSKEVKAVEDLVFFFSLRAPRPFFSIKDPLSCDVRGSPFSHKKIGQYFYLVTTGCGFALSILSNLMGYYLMVSLCSSNTLRSKGGELSNHNLLAMPVILEQKDNVDALVQKNRSELRKTRTGSTDLHRQGIISAITGGLSGVV >A08g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8440735:8443404:-1 gene:A08g505110.1_BraROA transcript:A08g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELTRLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMHQTIQNQQQAAQEQPAENAAREERGALIGERNLPRNFATNRSPINPPPCTRQDYEIKPALIGLKESEQLPAEQADERNSEPAVETGSPRSEQPAEAVRPIPEAVPPREYIPKVPYPVPAKILTEDPLELALVRAEAEHSVVNIDADGYAKMLDSARSMGRMVA >A02p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8694474:8695156:1 gene:A02p018780.1_BraROA transcript:A02p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVSASKPYFVFSVFNLKKTFKITYSLLVLHMWIVLRRLKEEGKEGVDLGQSVYEIYNHNVKVKVSKAGVNLLLLTWMKELERIFYGNVYDGALLPDAKPNDLQIKLWRQWKDMYVEKSVTPLENKASLT >A01p046280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:26063252:26064220:-1 gene:A01p046280.1_BraROA transcript:A01p046280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNSTLSTAAAADKLFFCYQCNRTVTISISSADDPFCPRCSGGFLEEYEEPNPNPSPNLNPLGFLPMADPFSTILPLLFGSSSAPPSSNPSLFGPRSTENRGGAFDPVSFLQNHLQHLQSSGTHVQFVIEDHPSDPFSRIPGNMGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAIDALPTVKVTLDMLNSEMNQCAVCMDEFEDGGDVKQMPCKHVFHQDCLMPWLELHNSCPVCRYELPTDDPDYESRGQRGGQMMSGGGQGSVEGQQTARRFSIQLPLRFRSQDGSGSGRGSGSGSGAGGGGGSNLETRQEDLD >A07p029920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16741554:16743707:1 gene:A07p029920.1_BraROA transcript:A07p029920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSMSSPSSAVFSPEHLSPSEHLCYVQCNFCETILAVSVPYTSLFKTVTVRCGCCTNLLSVNMRSLVLPASNQLQLQLGPQSYFTPQNILEELREAPSNMNMMMINQHPNMNDIPSLMDLHQKHEIPKAPPTNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDNQPVKKTNMPQQVNPNLF >A08p042740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23920879:23933379:-1 gene:A08p042740.1_BraROA transcript:A08p042740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDELSQLSDSMKQAASLLADEDPDETSSSRRPATFLNVVALGNVGAGKSAVLNSLIGHAILPTGENGATRAPIIVDLSRESSLSSKAIILQIDSKSQQVSATALRHNLQDRLGKAAPGKNRDEIYLKLRTSTAPPLKLVDLPGLDQRIVDDSMIAEYAQHNDAILLVIIPASQASEISSSRALKIAKEHDPESTRTVGIIGKIDQAEGNPKALAAVQALLSNQGPPKTTDIPWVALIGQSVSIASAAQSGNGENSLETAWRAESESLNKTLTGAPQSKLGRNALVETLASQIRSRMKLRLPNILSGLQGKSQMVQNELARLGEQLVDSAEGTRAIALELCREFEEKFLLHLAGGEGSGWKVVASFEGNFPNRIKQLPLDRHFDLNNVKRVVLEADGYQPYLISPEKGLRSLIKIVLEMAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKGRSSKKGQDAEQSLLSRASSPQPDGPIAGGSMKSMKDKPSPQDKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTITLEECTIEEIPEEEVEKSKSSKDKKANGPDSKGPGLVFKITCKVPYKTVLKAHNALVLKADSVVDKSEWINKLQKVIQARGGQVGNVSMRQSFSDGSLDKMVRKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRRRERYQKQSSLLSTLTRQLSIHDNRAAAASSWSDSGATESSPRTSGGSSGDDWMNAFNSAANGASDSLSRYGSGGHSRRYSDPAQNGDASSSSSGSNRRSTPNRLPPAPPQSGSSYRFKKRFISFLSDPVAKSSFRQGNMAEAVVSFGVEKLWDLLSRESERLTGTDEQVAGLKRQLGRLQSLVKDAYAKKHESERVRNFLENVKDIVYDAEDIIESFLLKEFGGKEKAIKKRVKRLACFLLDRRKFALDIECITKRISEEIEGMQSFGLQQIINGGPSLPLQDREREIRQTFSKSSESDLVGVEKSRILQDLRPHDEDIMKMDEHTLQGEVFGLLETGRYLVVLDDVWKEEDWDRIKPVFPQKRGWRMLLTSRNEGIGLHADPTSFAFKPRTLTPEESWELCERILFPWRAQNGLRLDEEKEVMGKEMITFCGGLPLAIKVLGGLLAKKHTVAEWKRVHDNIGAQIVGKSGLNYNNPSSVFRVLSLSYEDLPMKLKHCFLYLAHFPEDYKIEVKTLFNYWAAEGIITSLYDGSSTIQDSGEGYLEELARRNMVIVEESYLSSRMERCQMHDMMREVCLSKAKEESFLQLVKVPTSTFTINAESPCRSRRLVVHSGDALDMLGHKNNLKARSVLVFGAEDNCWKLPCFGNLPLLRVLDLSYVQFKGGKLPPSIGELVHLRFLSLYEANVTHLPSSLKNLKLLLCLNLSVADLLHLVHVPNVLKETQELRYLLLPRSMHDKTKLELGGLVNLESLTNFSTKHSSVTDLLHMTKLRALSVRFTGGCTFQTLSSSLHELRNLETLSVHDRQKIRVNDHGGGDIVLDFIHLKDLTLSMHMPRFLDQYRFPPHLAHIWLIGCRMEEDPTPILEKLLHLKSVYFSSGAFVGKRMVCSRGGFPQLRSLKMSYQKEMEEWSVEEGSMPCLGTLSIDNCKKLKELPDGLVYITSLKELKIERMKREWWERLVPSGEDYCKADTYNQTGCYNLRCGSFVQTSKKILVGGAITQTSISGGTQVELTIRIWKDQKLGSWWLGIIMGHGSLEPIGYWPALLFTLQTDFAEKVEWGGEIVNGHSLGRNTSTQMGSGCLSCRIGKAAYMSNLQIALSEKNVEPVQDLALAATSPDYRAKKLNNTFLYYGGPEQIESGGANLMLNHIFMYLGFLLFLLV >A03p049640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21260429:21261216:-1 gene:A03p049640.1_BraROA transcript:A03p049640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G23140) UniProtKB/Swiss-Prot;Acc:Q9FN42] MMMMMMMRGLLSTPTTTLGGGRRSYSLIPMVIEHSSRGERAYDIFSRLLKERIICINGPINDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYIRSPISTICLGQAASMASLLLAAGAKGQRRSLPNATVMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNGLYSKHTGQPIDVVAENMDRDNFMTPQEAKAFGIIDEVIDERPLELVKDAVVGGESKDKKSSS >A03g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27273820:27276487:1 gene:A03g507730.1_BraROA transcript:A03g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYTNLFVPSFETDQDEEVERKTEMQMLNGGGGGVWRFKGNKAAKEAASVSMKGILSRLFENCSRDGKKTILPLGHCDPIVYPCFKTSAEAEEAVAESLRSGAANSYAPGVGILPARRAVANYLNSDLPHKLKSDDIFMTIGCCQGIETMIQVLSSPKSNILLSSLVYPLYHSYAIHDLVEIRKYELLPDQDWEIDLQSVEAIADHNTVAMVICNPHNPCGNVYTYHHLKKVAEMARKLGIMVISDEVFKETIYGRIQLSLWGYLHRLFLWLHSVPFPRGGLSLAGE >A09p065390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52293353:52318756:1 gene:A09p065390.1_BraROA transcript:A09p065390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEMFTLKSSDGFLFVVDEAVVHQSVTLSPMVQDCAGREYPINNVTGKILNLVVEYCKNHVVVDGGDSSSSSSSGDALKKWDDKFITQMDLSTVYDLIMAANYLIIKGLFDLACQRVADVIAACKDHEEIRATLGIVSDYTAEEEAEEETGSVCMESNKLDMGSRDLISCLPQEVLGDILSLLPTKLAASTSLLSKTWRYLFALVHSLDFDDTVLLQPPPEEGRDKLKESFRNFVDRTLALQCGCGSRIKKFSLTYLVTNNSDVVDERRWITSVVERGVLEVDVTLRPRWLGPVHTDEVHGDCFLPYHLFRSKTLVKLCLGTDTIVGKLSPDVSLPALKSLFLYTIMFDDQDLSDVLLPGCPMLEELTVVHKTDFYPNYRISSQSIKKLTVFYCYDFGIDDGSRISFDAPSVVSLKYTDYALSEYQLVNLGSLGKAKLDISYSKKSIKRPDISGLLVGISNVETLHLSPDSTDLISRCVKHGLVLPVFNNLVTLSFGSKNKRGWKLLPYLLKQSPKLGTLIIQGLDSYTGDVTIGLFQVKELHVVGYKGTAKELQHLKSLLAGTECIPKMQVEFPEDVVVDDAKMIQTRRDLFILVGVVSTKEEIGSVSMVSNKLDTGSRDAISWLPDEVLGNILSLLPNKLAASTSLLAKKWRHVFRSPSDLSETLVKLSLGTDLDLGVPPDVYLPALKSLFIDSIVFDYKDLCDVLLPGCPMLEELTVRHEYEFYPYSLSSQSIKKLTVYYDCEAGIDSISDMSFDAPSLVSLDYTDYALSEYPLVNLESLVRAKLNLCYSRKIERPDLTGLVTGISNVEILYLSPASADVIARCVKDGLVLPVFKNLISLTFGSYNERGWKLLLDLLKQSPKLETLIVQGLDGYVGDVRIRPFQVKVEELNPSIIIIICLTSSASSYSIQNEKVEALNPLLVCFFFHGVTSMFTLFSHFTSCFRQKRLGSVCMEANSGLRDAISWLPDEVLGKILSLVPTKEAASTSVLAKRWRHVFRLVDTLDFDDTVFLQPEEGKEECPVIRESFRNFVDRTLALQCGSPIKKFSLKFHIHEMKEMAHAFPWICNAVERGKIDCKVCVVLMKVHDKTLVKLTLGTQIYLGEFPPNVSLPALKSLFIDSIVFGRDDLCGVLLRGCPLLEELYVRHRKCEGAPFYIYNPTIKKLSVYFEFQFARDGMSFDTPSLVSLDYSDFALEEYTGVNLASLVEARLDIRYSKIIKDPDLSGLIIGISYVETLHLSPASADVIARCVENGLVLPVFKNLLNLSFGSYNERGWNLLPYLLQQSPKVETLIIEVIARCVEYGLVLPVFKNLLNLSFGSCNEIGWKLLPYLIKQTPKLEILIIQVSKNTLNEYLYRATDLVIDLIHMHLS >A03p044640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18637529:18639949:-1 gene:A03p044640.1_BraROA transcript:A03p044640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHDFINSGSWWKVSSSSSTSSSMRASSIVSGGSDVFDEKLHSSLATDHHLQMIGLGLSSQSPVDQWNQPLLRGDSKAETSFGVMLQENLNLDATSNANAIQESDSSNHQALWRDTQINNSDFKPQLNMTSSNRGFFLEHQFSPHGSSSTDSSTVTCQGFAVDNSLYGTATTPSSSSAMFHQGAGFNLPGSSDQQPLRNHQQPNLGYGNYDQLASTWFSRSSPPKPNSPLRFSNNATFWNPAATAGNVGPTHHDASSNFFPALQPPQIHAPSFDKQKSITKVPDSRSNEVKKGGADQPAAKRAKSEAPSPSPASKVRKEKMGDRIAALQQLVSPFGKTDAASVLSEAIEYIKFLHQQVSALSNPYMKSGASLQHQQMSHHHKELEVSEEPDLRSRGLCLVPVSSIFPVTQDTTVDFWTPTFGGTFR >A10p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20370311:20377565:1 gene:A10p035540.1_BraROA transcript:A10p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HUA2-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT5G08230) UniProtKB/Swiss-Prot;Acc:Q9LEY4] MAPSRRKTGSKGIAKGELRLGDLVLAKVKGFPAWPAKIDRPEDWDHVPDPKKYFVYFFGTQEIAFVAPPDIQAFTSEAKNKLLKRCQGKTVKHFAQAVEEISAAFEKSQKRKSDNLGSEALLNAVEPRLTKAKALDETDHRDTGDDENSDKFDSRADPGFHKAVENNGAETKPCIGEEDSSPGGDGQEPGSDKRSKDEVLRAKRLPDSRAATDNNPIGPNQKLKGSKKESFDKKVVSDLNIAGCEGSKKLLKEKPSAGVSSDKHEHAVGSKNGSLGKKKRSESEFGKSASGEGESLRAAKRQRPEDAKDQKPSKSKRLRPEGKAEGSDSTGVVSIRKREIVDKEMVGYTRRHKQTVEHTKSSSFSGSRDRKGANHPERKISSSSAECVKVSAAQLPKRRRAVYIYDEDDDEESKTPVHGGDTNVRNDTSASTDGPKSAKASHDTSIKVKRFEGSEKSAETGKVPLCKHNKEASLALPDSVEGLSFSSPMGKSVTELLPENDKPILKSPKKSPKLITFKKQVKGQNKTAKVSGAGMPDSVEGLCNSSSMGNPVINLPTKNVKQVLRSPKKPPQLVSTKDQVAGQHKMGKVSGVGMSKKCQGDSSKDAVAGSDRASSSQSQATNQRRKPALGEKPKNTPKVATRSNDAGVSRDTSANLSAGMIGGNQENGNTPLISSGLPDSSSSMKVLIAAAQAKRKQAHTPTSPSVNLDNKFSGIGETHMRNNSPSMVQNVSTSAGDAMVIVTLGHEEDTTPSNHGNQSASSNQAGIEENEERRVTSGHMSVGDAVTEAAISRDAFEGMIETLSRTKESIGRATRQAIQCAKHGIASEVVELLIRKLESEPLFRRKVDLFFLVDSITQCSHSQKGIAGALYIPTVQAALPRLLGAAAPSGTGARENRHQCRKVLRLWLERKIFPDSLLRRYIGDISTSGGDATAGFTLRRPSRSERSVDDPIREMEGMLVDEYGSYASFQLPGFLSSHTFGEGEEDEDFPTTSREVENTQIEEPAHALGKLKAGDSSGDKTPCVLEAVNGVREMEDASYQPRDEEPSYDSSSDKPHCVLEVGNGVREMEEVSYQPRVEEPSYVCGTGAKEDSPTVTTATEPFPEGSPPLPHETPPSPPPLPPSPPPSSPLPPPSSPPQLPPPPPLSEQRSPPHLAPLPPPQSIATSQSSITQPSKPSYPSLPLQPGFAPPYSVLQHEYQISTQRSGVATNQLLRPSSASFGHGPGTRHLAPAPSSHFSLPSRIVELQPQRSSFPHPCPFPSQSVDAPRHMNEDPWRLPSNARRADTQHGAWIRGKNPLPGSHTVTDGFVQPPQERPPSGSMSYQPAGNNMHAGPTILGHTTSQMLPSRPDFEIDFSPFHGRHFFGGIFTSRGIKTLQAIVRILLYIVNFPDKCYHRIMFFTVYALVSNLVSTTVPITAQNHKTSNCLYLYLRRYLEKCSPISVVSAVCTEKYLSVSSLHNLGCPPWSPTRNMKHASRFTLWNPGWLSRGDESWLDQVFA >A02p046160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28866439:28868716:-1 gene:A02p046160.1_BraROA transcript:A02p046160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTDSTRSRRIVFSLTDHCDGEFLYPPCRFESWTCSSSARMFRNRLTEGSVYSLSGFDVTRRNPNFRLSDAPSPYDMIGELNAIRSTITDRIPGAQCVILTLRLQSGDNVCVSMFDSMALAFHSKLESCGKESKIVLATSHHHGKKGEEHSGTTEKTKRESLSKPKRHKGAEMFPFLLGRDYSLDVFVDKPEYLSCGEGDFVVNDVIALLPQMKNNSLVSESTKNYERRGVWPSLYSTTFWSLFMVFSSKCIREMHAIHCLFWTEVLLTKPIYVLAGGLFLNATYATHLYFDSETAAGKEVFDRQLARSWSRPESILIKVTADSQIIEFLCTARVTGILMTVGVILAAPGLPYLYLKLIFVITERDLAPMPAFEGAKIPELALPEVIALGIDAKVGKTCNDASTAKRAPSSKEQVAEKSRVE >A09p071560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55182668:55183964:1 gene:A09p071560.1_BraROA transcript:A09p071560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRRLCFCLFPETIKETFPADQKKEESHRTLSFSTMESLKNRTLLKVIVLGDSGVGKTSLMNQYVYKKFNRQYKATIGADFVTKELHIEDKSVTLQIWDTAGQERFHSLGAAFYRGADCCVLVYDVNDLKSFETLNTWHSEFLKQANPMEPETFPFVLIGNKTEVDGGNNRVVADKRAIEWCGAKGNIPYYETSAKEDVNVDEAFWGVAQKTLSSELKQNIYIPVISESFADIHEGQSRRCSC >A07p005120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2465575:2466244:-1 gene:A07p005120.1_BraROA transcript:A07p005120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGTEKSSILNQFVYISLPERVCEENLQSYNRSQPNRRYERDEVLVVVHADHPYELFSQPISQAQTISLRNALLGVEETKRRPTMATVERKSVGWKKTGSGDCKKQVAPTEEMGITVLICIRVYRFVRNNDYLFDMLVPDAAMH >A05p013810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6002599:6003510:1 gene:A05p013810.1_BraROA transcript:A05p013810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMKGLLKGLRYITQIFDEEKEEEMQIGFPTDVKHVAHIGNDGPATNAPSWMNDFKPQEHEQGQVVSRGNSNKYNPQEMNQSGAGLKELLPPNTNEKQKQKTRRKPGSAASPNHNGGSASSDGSVKQSRHNRSKHGSMDSSLSDQEPSVRSRRRGGGGSSKDTEGSSNLIIPDGSAPPRKATSRPRKLKGSIGGEGSVKKSSKGTVDDTCNDII >A04g500180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:887209:887430:1 gene:A04g500180.1_BraROA transcript:A04g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYYKEMEPSAATTSGNLPAADQTNDYQKKVKKSKSIGNVDGGASRCWSFTIRNRGGREESLAIRFTQLNRR >A02p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26022837:26023681:1 gene:A02p041230.1_BraROA transcript:A02p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWEREDEGQRWSEERHWNMQLQGFHLRGSFPWARLSSGPLHPGGFYLGWGQGPTPSTAFGDRMGSSPPPGVEPMSMTIGPQSPYQVSYLIPSIL >A03g501170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3512356:3512703:1 gene:A03g501170.1_BraROA transcript:A03g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A07p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19301448:19304591:1 gene:A07p035790.1_BraROA transcript:A07p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAAASSCYASPLCTFFVAACMSLSHGGGGGDTRQAFGRSRRRRQQLGKCSGSGSVQEALVSSCLEFKPCSHYNKNNKGNAFTPLLFGSNSLSLNRKQRKLNRATTSSSGGAMAVAMGMEKEATVDKKPPMEQRRVVVTGMGVETSLGHDPNTFYENLLQGNSGISQIENFDCSEFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTDEVMAEFNKAKCGVLIGSAMGGMKVFNDAIEALKISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNSANHIIRGEADVMLCGGSDAVIIPIGLGGFVACRALSQRNNDPTKASRPWDSNRDGFVMGEGAGVLLLEELEHAKKRGATIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIERALADAGISKEQINYINAHATSTPAGDLKEYQALAHCFGQNPELKVNSTKSMIGHLLGAAGAVEAIATVQAIRTGWVHPNINLENPDNGVDTSLLVGPKKERLSIKAALSNSFGFGGHNSSIIFAPYK >A07p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18235606:18237112:1 gene:A07p033340.1_BraROA transcript:A07p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSSSSFVDTSLDLTIGVTRMRVKEDSTTSALVDELNRVSAENKKLSEMLTLMCENYNVLRKQLMEYVNKSNNMTERDQTSPPKKRKSPARDDAVSSAVIGGVSESSSTDQDGQYLCKKKQREETVVKENVSRVYYKTEASDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFKCACAPSCSVKKKVQRSVEDQSVLVATYEGEHNHPMPSKIDSNNGLNRYISLGGHTAPAKGSSSLAEPVTESKKVTSPSRMDIPEVQKLLVEQMASSLTKDPNFTAALAAAVTGRLYQQNQTEK >A08p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12854835:12857626:-1 gene:A08p018690.1_BraROA transcript:A08p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALICLCLILLPVISVVGEKAPPGRWKRIRNLDRDYFVNIAKFAVDEHNRRSKNKLVFIRILEGREQMDTAQRDYFKIGVRNSEDWSEIYEASVFDKEHKNAPILEFFRKISGYVEAEAYGSAEARFKKKLGSGEYFRSVLEARFRKLPQGSDSNSGSEAGSVRPMKLPCNSLVCLSLILLPLIAVVEGNLGGWKKIDNLSDPNVVSLAKYAVDEHNKQSKANLVFVKIVEGKEQVINGKKYDLKIAAKDGGGVTKNYEAVVVERVWAHYRSLESFQAV >A03p061710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26798689:26800099:-1 gene:A03p061710.1_BraROA transcript:A03p061710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQKAAAARDQYRLLGENVAKLRTDMMKEQLATFKSQLEEFARKHKNDIRKNPAFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIIEVCMQTRSLNGGLISLQELCSHLRQRRKKDREAVTEDDCLRAISKLKVLGSGFEVITIGKKKLVRSVPTELNKDHNQILELAQGQGFVTVEEVQRRLSWTSGRVIDALETLLEEGLAMIDNGSKDGKCQYWFPCVSSVYSFVGSDT >A09p032760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19850464:19854403:1 gene:A09p032760.1_BraROA transcript:A09p032760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAKPENALKRADGKFTNHMPIDLVLGLYEIDIKRRVFAELINVGQKQDALQALHDLITSKRYRAWQKPLEKIMFKYLDLCVDLKRGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFLHLSTEKAEQARSQADALDEALDVDDLEADRKPEDLQLSIVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYQDTRFDQLKVATELGLWQEAFRSVEDIYGLMCMVKKTPKSSLLMVYYSKLTEIFWISSSQLYHAYAWFKLFSLQKNFNKNLSQKDLQLIASSVVLAALSVPPFDRAQSASHMELENEKERNLRMANLIGFNLEPKFEGRDMLSRSALLSELVSRGVLSCASQEVKDLFHVLEHEFHPLDLGSKIQPLLEKISKSGGKLSSAPSLPEVQLSQYVPSLEKLATLRLLQQVSKIYQTIRIESLSQLVPFFEFSVVEKISVDAVKNNFVAMKVDHMKGVVIFGNLGIESDGLKDHLAVFAESLNKVRAMLYPVPSKASKLGGIVPNLADTVEKEHKRLLARKSIIEKRKEDQERQQLEMEREEEQKRLKLQKLTEEAEQKRLAAELQERRKQRILREIEQKELEEAQALLEDTEKRMKKGKKKTLLDGEKVTKQNVMQMALTEQVKERQEMEKKLQKLAKTMDYLERAKREEAAPVIEAAYQRRLVEEREFYEREQQREVELSRERHESDLKEKSRLSRMLDFKEKFQEEVISRRQAEFDRIKTEREERISQIIRARKQERDIKRKQMYYLTCEEERIRKLQEEEEARKREEAEKRKKEQAERKAKLDEIAEKQRQRELELEEKEKRRREEVLKGTDAPPARPAEPTVAPAAAPSAVAPSSGSGKYVPKWKRQTAEASGPAAPTPTRPAAESDSWTSRGPPQRSDKWTSGSSSDRPSGGDAWRSGEERRSPFGSSRPRPAQR >A07p043600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23833413:23835456:1 gene:A07p043600.1_BraROA transcript:A07p043600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMEVAIASGEDKIMKANKEMQVSVSFGKFENDSLSWEKFSSFSPNKYLEEVEKCATPGSVAQKKAYFESHYKKIAERNAEIILEQEKKQLERNQSFRQSLENSGNRNSVMIESSACYGSDGESTSEKDRIVNSIAAEENDTCNHEPLEETIKVEVVEDLSTLKMEKLEEIVCVEEMEDKEKPEEVVFIEEEVKEDISSKDTPLKEAKKEIDQHLIKKTDKNVRTNHTRTCPKPNQLTKKPVASGKTQPSKEKSMIKAAASPVSKASKFSTPRVSKPASAISSMSTSVKKQIVSTLPRKKHTVPKTLHTSLNLNQTSSDPTALATTRKSLIMERMGDKEIVRRAFKTFQKSFDQVKPSQDTAPKQVPAKATSVSKLATTGLKDNGRLAKSDGTEKKCSNSHCSSSFVPKSIRTAEKQELSKPGARGVERIRLPAKPKAEVTNAKTRRQSLDPKAKSMRGPLPKGSSDKVL >A05p054560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31245602:31246429:1 gene:A05p054560.1_BraROA transcript:A05p054560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAQNKIHKDKGVAPTDFEQEVAQAFFDLENTNQELKSDLKDLYINQAVQMDCSGNRKAIVIYVPFRLRKAFRKIHPRLVRELEKKFSGKDVIFVATRRIMRPPKKGSAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRVDGTKIMKVFLEPKEKNNTEYKLETMVGVYRKLTGRDVVFEYPVQEL >A03p001920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:948426:949719:-1 gene:A03p001920.1_BraROA transcript:A03p001920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDNPSPGETGAAVTDPARECEGKGACEFKQTRFADTIMPHILNLYGACAKANDFDMYAPNASFEDPLTHAQGVKQIKSAFYSLAKVFGESKIVEYQVQESDIAPGKKEILIDNKQHYKIMGKDIDMISLIKLSVENGKIVRHEDWWDKKPLRNRDTVSFPLVGRFMEMGRRGMMLATHAMMGFGKDPDSH >A07g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13196833:13197290:1 gene:A07g505780.1_BraROA transcript:A07g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQARKKKRTGSIKFCENMEDAIAAASATVEATAAKDRSSGDCGGYGGGETRGYNGGGCGYSKGGVGGVTVEVDVDTGVEVVVVMDTKVVVDTEVDTFGNFVDETSFTNLIHTISFMELC >A08p029190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18086875:18097103:1 gene:A08p029190.1_BraROA transcript:A08p029190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDSYFSLANLVAAAICFLALLGTIIIYSKSRPLQENKTMASSLTLSAPPSPLPHNWKHHVFPSFHGADVRRNFLSHIVKEFKSKGINLFIDNDIERSKSIGPELIEAIVGSRIGIVLLSKNYASSTWCLNELVEIIKCRKAFGQTVMVLFYEVDPTHVKKQTGDFGKVFRKTCRGKEKEEIRRWKHALTEVAQIAGYHSSNWKNEAEMIEDIATDVSNKLNLSAPCSDLEGLVGMQSHMLDSEEVRKIGILGQPGIGKTTIARYIFNTYSREFQLSVFMDNIKRKYATTACSDDYSVKLDLQKHFMSQLTNVTDINNFSHLGIAKHRLKDKKVLVVLDDVDRLVQVEAFAKETNWFGPGTRIIITTQDQRVLKASGINHIHKVNFPSDDEALQMFCMYAFDQKYPKDGFKELACEVVSLVDRLPLGLKVMGSYFRGSFEQEWTEALPKLRTHLDRDGEIASILKFSYDSLCDEDKRLFLHIACFFNCEKVGIVEDCLAKCFSDVRHGRGVLAEKSLISINMDWGTIEMTKLLVQLGRKIVREQSVNEPGKRQFLNDAIEICEVLSDDRADSSSVIGINVETYEDVECTSERAFERLYNLQFLRILGKGVNPQSINHISQKLKVLIWLNSEMTCFPSNFNPKFLVKLEMMNSKLKKLWEETKPLNNLKWMNLSRSRRLEELPDLSTATNLYDLDLSYCSSLVKLPSSIGNAINLQSLHLSFCSNLVEIPPSIGNAVNIKKISLIHCSSLVEIPTSITTITSLTSLDISGCSSLMKLPDNIETFTEPNHINLSGCSSLVEIPSSIVNAKNLQKLDLSNCLSLVELPSSIGNAINLQKLNLSHCSSLVELPFSIGNATNLQELNLSHCSSLVELPSSIGNATNLEELNLSHCSSVVELPFSIGSAINLQKLDLSRCSSLVKLPSSIGNAINFHKLNLSDDWSSMVELPFFMRNLDRLLKCSKSEVLPADNNFQSINLSDESSFKICPDQSSTIIRELDPWIWRISHLRTLVLNGMKKLVSLPPLPDSLLFLDAEDCESLERLDCSFDNPDICLNFVNCFKLNQEARDLISLTPTNGYAVFPGTEVPQCFTYRSSGSSLTVKLIQKSLGISTKFKACILSADLEGNNFPHWSQASLDLFISTIMSRGNALTACYRSIGRVIPGHLYTFEVEVETDELTSTEFVFEFEIQFDYSLVERPEEWEVKECGMLQLLEALKSGTTLRQKHLENNSEKFPELVREDDVFSCAINREGAAVGVANGRHICPSQLSLYRK >A02g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10771624:10778466:-1 gene:A02g503260.1_BraROA transcript:A02g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKLKTTFYGLNNTSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05p046110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:208748:209671:-1 gene:A05p046110.1_BraROA transcript:A05p046110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLRATYQGRSRSRATRWSDTPKSLATSRPETPKIGSGATFRSDTCKSLRSNHNASSELATQLLILRHFSPERLKVDSLIDHLPSLVRYLITQGLIPMPMSSLFP >A04g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19890809:19891396:-1 gene:A04g507820.1_BraROA transcript:A04g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTLVFVHTYQKYGTKRFIKLFGWLTALVQSLLIIASRKHYTVDVTRNCQDRTKEENHKLLNGNGADPADWTKGSQVNGKIDSNGVHTDNSMSGA >SC143g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000028.1:125128:126881:-1 gene:SC143g500020.1_BraROA transcript:SC143g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGLRPRVLMKSSSFQRWSSCLTRCILPRTRHGGLPPKEKPFPVLVHRGVEEGDASRSTDEFLAIMRSFYHIPDAVEFRVPGRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPFAIQHLFGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLAPRKFMSVVKKFISNFNSWKKFFFFVRVDAASVEESCIPLFWRNGSLFWTSFTPKKVWKALRFVQPGPALAADTGSDSEPDDQNPVEAPTAMPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSCSSEAPIPDFDDFFAGLPLGFDAPPPTKESARPRVVAEGSRIINGIRPELAGLGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHAREIRKAEKKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVRSIWRRRADDYVFEEEMSLMKSGMNERAHAEALIPPIDERIQGFWDSILVSPDTEEVPTGFPDGGEEVDRPADAFGVSLSGDFDFGL >A09p059330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49658905:49659728:-1 gene:A09p059330.1_BraROA transcript:A09p059330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPQVKTGLFVGLNKGHVVTRRELAPRPRARKGQTSKRTLFIRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGGATEKKK >A09g518010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:54421056:54422252:-1 gene:A09g518010.1_BraROA transcript:A09g518010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTLVSVYLSSFGVFVLSFPCSAPPSFEMTRMAFSGGLDTTGIVCFSGGSRSTSRPSVSSPRCSLYLGSSLVSCRSASPVSINVTQRLSTEDFRRISSSLPPQVLLPPLVSSDRTSAVCGAPRLTRRSDLEAFWLIWTSSPRFTTTTSIPTRSRFERLYYGYGEVRRADDSSAPSSIDGVVSLVDSGENNLPSSDTPCFITGDCPFNSGKNPKLSSLPIKQAFSSKPRMLIFWAWSCKICEFVIHLASPPNLKLVFDDLLSVAKMQRISGGFTGAFILNVMPYFSFTKNSLPVGSPGWSLSSPYLLSMKGEEFPNSLLSSDFSFLVYESWSSTSLYVTISMPSDFVVKATPTHSSFVSNPLSSSFEELSCLVYIVVVYVFNQRGWLILSNRCNQAV >A01p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1776150:1787872:-1 gene:A01p004120.1_BraROA transcript:A01p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTLLRYPDDIYPLLKMKRAIERAEKQIPPEPHWGFCYSMLHKVSRSFSLVIQQLRPELRNAVCVFYLVLRALDTVEDDTSIPTDEKLPILIAFHRGTKEYKVLMDQFHHVSAAFLELDKGYQEAIEEITKRMGAGMAKFICQEVETVDDYDEYCHYVAGLVGLGLSKLFLASGSEVLTPDWDHISNSMGLFLQKTNIIRDYLEDINEVPKSRMFWPRKIWGKYADKLEDLKYEENSTKAVNCLNEMVTDALTHIEDCLKYMAALRDPSIFRFCAIPQSVLEDNIDLLQIMAIGTLALCYNNVQLFRGVVKLRRGLTAKVIDRTKTMADVYGAFYDFSCMLKTKVDKNDPNASNTLNRLEAVEKVCKDTGVLQKRKSYVNDEGQSNVYFVKMGSLSTILRQPDEIYPLLKLKLAIMKAQNQIPLDDPHLAICYSLLQKVSRSFSLVIQQLGTELRDAVCVFYLILRALDTVEDDTSIPMEIKVPILLAFHRHIYDRGWHFTCGTEEYKVLMDQFPHVSAAFLELEEGYQEAIEDITKRMGAGMAKFISKEVETVDDYDEYCHYAAGLVGLGLSKLLLTSGLETLTPDWQQISNSTGLFLQKTNIIKDYLEDINEIPKPRMFWPREIWGKYVDKLEDLKNEEKSTKAVQCLNEMVTNALIHVEDCLKSMAGLRDPAIFKSCAIPQIVAMGTLALCYNNVQVFRGAVKLRRGLIAKVIDRTKTMGDVYGAFYDFSCMLKTKVDKNDPNAMKTLQRLETIEKVCRENGDLHKRKSYVNDETQSKALLGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDSYTLRLFCIGFTKRRANQVKRTCYAQSSQIRQIRRKMSEIMVKEASSCDLKELVAKFIPESIGRDIEKAVQSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVKVDRPADEATAEEPTEIIGA >A10p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15809465:15810793:1 gene:A10p024390.1_BraROA transcript:A10p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQISALLGWPYYSHGKSTEELRHSLLCTTLELEQTKMFAHEEIRKRDEQLINLKDILTKTIKERDEALEKCQRLMFDNLSRQQQKHMTPPLSGASSIDDETLQPQKLASNKSFSSSDCEESFMSPTDHVINPQPSQPEEVSEAEIMDPLLPDKPLPEKGKLLEAVLKAGPLLQTLLLAGPLPQWRYPPPPLKTFEIPPVTVQCPNVNNGCGKFNRKRVFSDGSHSEAKYQKVLLH >A08p035940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21182146:21183606:1 gene:A08p035940.1_BraROA transcript:A08p035940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARILIFTLACVALHSVGKALPHEPQLGSARVVFQTSYGDIEFGFYPTVAPVTVEHIFKLVRLGGYNTNHFFRVDKGFVAQVADVPNGRSAPMNEEQKKVAYKTIPGEFSDVKHVRGILSMGRHDDPNSGGSSFSMLLGDAPHLDGKYAVFGKVTRGDETLRKLEEVPTRREGIFVMPTERITILSTYYYDTKMERCEEERTVLKRRLEASFLEVERQRMKCFP >A08p026690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16946478:16949216:1 gene:A08p026690.1_BraROA transcript:A08p026690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKNNMFYENDNLIKSLHRHQKKKAPANRHLETSRMSHNDTIPLYQSSQSDIDEIENMMNDGFQSGPGTVLPARPPSPIRPSIPVSSSPFVQSNLPPLPPSSSSAAQKVTPVPAPPSLPPAVNFGSSEGSKTTGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPNREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAVICMLKDNVILKMIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >A07g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11540040:11541614:1 gene:A07g505280.1_BraROA transcript:A07g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPQTDQQEAETVSPSLHRPSSSDFRWLFTPKSSDDEDAKSSTDGDAALKGTILAGVLLIGSVGGFAGVGYVYRDQINTFLSQFSTLLEGYGPAGYALFIAVYAGLEILAIPALPLTMSAGLLFGSLVGTIIVSISGTMAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVFGSWLGMLPGSWAYVSAGAFGRAIIQEESNVGLPGGNGQLLTLGLGLLVTALAATYVTRLAKDAIKDIDDE >A03p060170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26079114:26079892:1 gene:A03p060170.1_BraROA transcript:A03p060170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSTSSLSFKAHSIQSTRTSQVLRKPLSFQPISFGRFQSSKNLRLQISCAAKPETVQKVSDIVREQLALSADTALTAESKFSALGADSLDTVEIVMALEEKFNISVEEADAQNITTIQEAADLIEDLAQKKPAA >A07p033560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18355476:18358294:-1 gene:A07p033560.1_BraROA transcript:A07p033560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLYNQLKDAEPFFLLAGPNVIESEEHILRMAKHIKHIATKVGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDLPIVTDVHEAYQCEQVGKVADIIQIPAFLYLLVAAAQTGKIINIKKGQFCAPSVMENSAEKIRLAGNPNVMVCERGTMFGYSKFSSPVQILNVDDLIVDPRNFEWMREANCPVVADITHALQQPAGKKLEGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELIAIAGHKRKAAVPNRSHTLPRLGSKVSSSDIWVHGKSWRPHCGCNLSVKDICHLLEQRVFICF >SC194g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000058.1:2633:9922:1 gene:SC194g500010.1_BraROA transcript:SC194g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQDALGVLSSDFGQPRHYFRTNTISGLAKAGCLVAFSLKLFGPGFGDIRKLCRKKSARISCTEAGRCLQEARSARRSSVKLSLARSNSSQLDGLFVFWADGPGPGQWRAMRFGHVVHESLSYCRQAVGLVTRSWLGLDVRSGPLIVIDGQHLSQKDASKGCDSSHMTFADRLDQKGTSRQRLRVAKCHELPKVVRYQRMQVTKRYEIPMVASIKGYEDQRVPMTVHRDPRLRVAKDHVVIQETHIMAMEGRLYQYMLSGRWLIKSSGRIMFHDDGVGPNLINECIGWYEQIIYVVWVKSQGRSGQMKTHQFQDLMSFVSPEDGLGTIAYKAKGFRIVHEPRKAICKPLSFQRLLKGYLCLWGWLLSSKKNLSQWRTDELISSIDVAKLDYYLTQLRQLGVSSSQLDGLFEFWADGPGPGQWRAMRSGHVVHEWLGSSGQAVGLGTRSWLGLDVRSGPLIVIDGQDLSQKDASKGCDSSHMTFADRLDQKGTSRQRLRVAKGHELPRL >A08p038700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22364408:22368277:-1 gene:A08p038700.1_BraROA transcript:A08p038700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFSSSYSLQVVLTIISHYKIKCFIPLLNAPFHNLLGNETFEKLGIVGSSSNLVIYLTTVFNMKSITAATVVNIYGGTSNFGTIVAAFLCDSYFGRYKTLSFAMLACFLVTTYFIITRGSVAMDLTAVINQLHPDKCAKEIGSMCKGPSIGQIMFLAGAMVLLVIGAGGIRPCNLPFGADQFDPKTKEGKRGIESFFNWYFFTFTFAQMVSLTLIVYVQSNVSWSIGLAIPAILMLLGCIIFFAGSKLYVKVKASGSPIHSITRVIVVAIKKRRLKLVGSSSDGLYNYIAKDFKNSKLSHTKQFRCLDKAAIQTPEDKLNIDGSPANPWNLCSVQQVEEVKCVIRVLPVWLSAALFYLAYIQQTTYTIFQSLQSDRRLGSGSFQIPAATYTVFLMLGMTIFIPIYDRVLVPFLRKYTGRDGGITQLQRVGAGLFLCIASMMVSAAVEQHRRNVALTRPPLGFAPRKGAISSMSGMWLIPQLVLMGIADALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGLASYLSSFLLSAVHDVTEGSSGGNWLPEDLNKGKLEYFYYFVAGMMTLNFAYFLLVSHWYRYKDVVVKDKDMDKSCDESDKVSV >A05g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15487137:15500324:1 gene:A05g505570.1_BraROA transcript:A05g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRHFTRADHVEVDERKHNRSIEMPRQMKINIDRCTQIIYCLNVLTAERAFCYNFTLREKESFREKITCDWNSLSRVQIELCRRSSHNQPSTDTRPSSSIEHNRSTTIDNTPRTSIDTVSSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDNSSHRSTSSAKSNECNAVRILTHEEFAAKHPHPPSPFYDKIDRSAEPTIDRQSEFNVDRHNTPPIDRQAPLIYRVRLPSIDNDYINALKPPPKPLEPVQEDQETEGRRLRKRKEKIPKKLKREANEKEMDGFTKRILRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMRQWITLTKKRHFTRADHVEVDKRKNNRSMRISAIDRHLEMPRQMKINIDRCTQVPSIDVETLDTRHFGSSGLKTQGQEKLRKCPDDFTLREREREFGEKITCDWNSLKELGFIGACHCGAEYESEYKIENSESIDTPTFPSIDSNESTVTDDRNNTSLDVDQPVDHSALPNHCYPHLAFQPPSKRGRDDYSIGSWADSDFHESFTVDTVITSPNEEHTEEYDDDYWKERAIEMSLHDERFETDKFTNTFPTSLDDVHFTSVDTHPRPAKQPLTSIDTHTETSIDIRAAAKIKEQENILSPTRDPDGNACAMDGRILQVSKEDIADILQVANGPANLFSQQCGTPDVIQTDPNRHVGVAATEINPYLSRQPKGHASIDRTTQTSIDRVTPTSIDKDDPTSIDRRYEFGHRALDMYGARKFIWERRDEYGVYRYEFGHARGDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKNEINEIVTGICGAQEKLGVELKSLVEDTHQPLDRGYNELFRSMVEMRTKIESLRQQLEKEATTSASIDAPHAPSIDVSLPTAHIPAEPQSSAEHKDEWEVSYINTRINDVYYPLNNNVDWLNTKIKLLQQDLDTIRKKDQQPATSIDANSFSIDRLRGPWIDGMNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDMYFPFDNRSKEVKAIQRQLAAQHQISASIDKKGLTELSAYAYNNIGWYQVSIDNVQDRLQHISNVLEKMDDKLTRNDEATRSFIASWSRMCRDDVDACFPTSSYTTIDAKPDQPINYTLALNRSTRGRTIDRCTSSGVDRHQEMPRQMKINIDRCTQVPSIDVETLDMRHFGSSGLEALVQAKLRKYPDEESFLLQFYFERERESLERRSLLKGHFTRAGHVEVDERKNNRSMRISAVDRYQEMPRKRKINIDRCTQVPSIDVETSDTRHFGSSRLKTQGQAKLPKCPDEETFFVTSFILREREESFGEKITCDWNSLFSFLFIYTMSFYFFIVMNCFAMSE >A10g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6420843:6421286:1 gene:A10g502360.1_BraROA transcript:A10g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACHDAPGVLSSDFGQPRAHCFRTNTVSGLAKEGCLVAFSLTLFVLEFGDIRKLCVRSNQN >A07p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3532265:3533805:-1 gene:A07p002880.1_BraROA transcript:A07p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNVNDNNLLLISRMYPNVYASSVPQQAVDSKPVRPRRRKKSKNVEMAQEGGDGSNGWFRKRKLSDEQVRMLEMSFELEHKLESERKNRLASELGLDSRQVAVWFQNRRARWKNKRLEDEYTKLKNEHENVVVQKCHLDSEVLHLKEQLYDAEIEIQRLAQRVEGALSNSPISTSISVEANLTTPFFGDYQIGDDGEGYENLFYSPEYIDGLEWMSQLM >A04p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19150587:19153882:-1 gene:A04p032800.1_BraROA transcript:A04p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQQRGLVLLCCILSLLVIKTTAYRERQLLQPHETTTDTTNTAVAVRDRGLKTRRPEHKNAYATMMYMGTPRDYEFYVATRVLIRSLRDLNVEADLVVIASLDVPLRWVETLEEEDGAKVVRVENVDNPYRRQTNFNSRFKLTLNKLYAWALSDYDRVVMLDADNLFLKKTDELFQCGRFCAVFINPCIFHTGLFVLQPSLEVFKDMLHELQVGRENRDGADQGFLVSYFSDLLDQPLFRPPSNGSVLGGHLRLPLGYQMDASYFYLKLRWNIPCGPNSVITFPGAVWLKPWYWWSWPVLPLGFSWHEQRRTTIGYSAEMPLVIIQTMFYLGIIVVTRLARPNITKLCYRRSDRNLTTIQAGFKFIALLSVVAAYVFPFFTIPHTIHPLIGWSLYLMASFALSSIPINTLLLPTLPVLTPWIGILGTLLVMAFPWYPDGVVRALSVFGYAFCCAPFVWVAFLKITSHLQVLIEKEVLFPRLGDSGITSGFSKLY >A02g501150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3665638:3665901:1 gene:A02g501150.1_BraROA transcript:A02g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTRTALLEWSDIKDTTCRTTLRLLVIQTTIYKIWFERNARLHSSPTSTPQGCFKIIDRLVRQAIIARKNHKKVRRLLGHWLKHST >A01p053870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29806137:29812608:1 gene:A01p053870.1_BraROA transcript:A01p053870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFERNPNFSNRFGDFSCNGEEMVKTKFTRNGREVMIFGAMRNFDYGSDEAVQESKKGGERDASVSLSSPERSRIPKSVEGISMLASTEVSKASKTRRGTSYGSPASSPEKTTRRGTSYGSPSPVKATRRGSTLSPRVSKKQKVNVAPSGDDREEWPETEMLASTVAKKTRRGTSYGGSPVSPRQSKKQKVNSERSLGDDGDDREEFLQIEEFGDIGDDGREDENGIAGIEEGLHFGESQAQSSEAQTSQNQSSQAQASAWEVPQSSEGQSSQAQSSRWEVPQSSQAEASQTAAWGRCFFRCLCVVYDGLCLNAFVKRYPKGQTTTKRRTMTIDPQQKTSKFRWGEMDEDDDLDFLLPPKQVIGPDESGLKTVIDYKFNDEGSKVKITTKSRVRKLASALLNKRAIERRSWPKFGDAANEDAGGRLTMVSTEEILMERPRAPGTKADESKAAGDSLSQLSKGGAVLMVCRTCHKKGDHWTSKCPYKDLAAPADVFTDKPPTGETSTVSDAPGTGKAAYVPPSMRAGADRSAGGSDMRRRNDENSVRVTNLSEDTREPDLMELFHPFGAVTRVYVAIDQKTGVSRGFGFVNFVSREDAQRAINKLNDYGYDNLILRVEWATPRPT >A08p022160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14728669:14730128:-1 gene:A08p022160.1_BraROA transcript:A08p022160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAVEEWYKQMPIITRSYLTAAVVTTVGCSLEIISPYNLYLNPTLVVKQYQLWRLVTNFLYFRNMDLDFLFHMFFLARYCKLLEENSFRGKTADFLYMLLFGATVLTGIVLIGGTIPYLSVSLSQIIFLSNSLTFMMVYVWSKQNPYVHMSFLGLFTFTAAYLPWVLLGFSVLVGASPWGDLLGMIAGHAYYFLAFVYPRMTDRRPLKTPSFLKALFADEPVVIARPEDVRFAPAPFDEIHQD >A02p049650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30756819:30757653:1 gene:A02p049650.1_BraROA transcript:A02p049650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRNRRLLLSKKRGASFSPPKVPGALLLQNLDLTDTRSPVNLPSKSWLQRFCMQMSDVSKVSHEAICFRVGWSMFCFIDVKYLILIYVRECRIMEDSLLGLHRVKSQSVSEESLKDAPVPAIVYENSIHCDLFIVEVE >A07p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4084813:4087079:1 gene:A07p001980.1_BraROA transcript:A07p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICASSKLLLLGSDHFMWVSSSILKSSGFARTKAFRYITFLFHPPLADYNNPRLLHSGSDNTTHFASRHYASNTGVRSSKPFGEDFDTTLKHIEVSDSSVETIRNVLIKHSWIHRFDSEFSIELDEYKVIRILDDLFAETSDASIALYFFKWSELWIGAEHSSRSICRMVHVLVSGNMNFRAVDMLLHLVKKRSDGEERSLCLVMNDLFETRGDREVLETVFSMLVDCCVKERKVDMAMKLAYKMDQFGIFPSRGVCISLLKEILRINNCLELAREFVEHMISRGRHLNAAVLTLFISKHCSDGSFDKAWELLMEMKQQYGIKPDIVAFTVFIHRLSKAGFLKEATSVLFNLKLFGISQDSVSVSCVIDGFCKAGKPEEAVKLIHYFRLRPNIFVYSSFLSKICTEGDMLKASTVFQEIFELGLLPDCVCYTTMIGGYCKLGKIDEAFQYFGAMLKKGNSPSLTTYTLLIGACSKIGNVGDAEALFRNMKVEGLVPDVVTYNNLMHGYGKTHQLNKVFELMDGMRSAGVSPDVATYNILIHSMVVRGYVDEANGIVNELTRRGFVPSVLAFTDVIGGFSKKGDFQEAFLLWHYMADLRVQPDVVTCSALLHGYCRAQRMGDAMVLFNKLLDAGLKPDVVLYNTLIHGYCSVGETEKACELIGMMVQRGMVPNESTYVALVVGLEGKSGVNSEKHASMLLEEIFVANSAK >A09p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12874302:12876517:1 gene:A09p023530.1_BraROA transcript:A09p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGINNTNRENSSESHEEGQNPTPAANQLGATQLQPSQPQPNYSRRFLDERLSRFPSGADLQTLESSFGSLSFADSSVPPFLENRWTNGSSSSSSLQYQEINGAPGYNAYAGRWDSIYRNPNTNRGVFRNGYSQDVPSLSRGRQWGSNNGLGYVRNDSSWRSNQGFLNNHDQSLYFGNPRTRSIASLAKDQSSSAELQRKISEGSKETIDVVFEGVIFHVCDLMVDPYGHHVLRKLMERCTSEQISQIVDVITQQQFLFVKICTDPVGALSVKALLRCLQNEEQILHIVRVVSWGALVLTRSSNALVILQCFKQFPPSHTRELLAVIAQNCLQIATDEYGCRMLQQCLQTGCNVVKQRLIQEIIANALRLCANSYGNYVVQYLLELGDTNVTVLLLKQLLGNYAFLARNKFASHVVQKFLKIEYIDPSFIVYDLLKDIDTLLLDPFGNYVIQTAWFVCKEELRMLLMMHINRNKRLMRCNMYGNKILESLNL >A02p032230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16895829:16898107:1 gene:A02p032230.1_BraROA transcript:A02p032230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVVEKLGRCLKTVFFMVAMLVSLLVSSLPVLVAIGDVLVPTFLLSSFTCLTCYSFNDHLSRYSFKTSLTDIPLVSLLRSFLLICVYSLSDGPALSHGPYLGTVSLCSVVSIVLLSVKACIFTANSQLNAEASISPSRQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRAVDPEAVLSCKSVFSSYQKVPRSPIPLVRKVSKTDGEVRRKLPSSTSNDGELPVRVLADLDSLFVTVTGLSVHYKICTPGSPRQSSVSSTLSPEANSMLNVPEAMAGRLKLDRKLLSMVTRNKLNHHHHKSCSSLFNNSSSSLHDPLLEGSPTSPLLFKDTQEEEDVMNASSCGGVTEQQDPSDGSFGVVLVHGFGGGVFSWRNVMGSLAHQLGCVVTAFDRPGWGLTARPHVKDLEERDLPNPYTLENQVDMLVAFCHEMGFASVVLVGHDDGGLIALKAAQRLVASNDDATIKVKGVVLLNVSLTREVVPAFARILLHTSLGKKHLVRPLLRTEIAQVVNRRAWYDPAKMTSDVLKLYKAPLHVEGWDEALHEIGRLSSEMVLSTQNAQSLLKAVESLPVLVVAGAEDALVPLKSSQVMASKLFNSRLVAISGCGHLPHEECPKALLAAMTPFISRLVLSD >A05p030570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15845566:15845894:1 gene:A05p030570.1_BraROA transcript:A05p030570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIENKEFQSQVIFSPKLPFSLKVKLLKTFKSAGSKMSHVQCLNIDRWYLCTSIDINLHLSRHLMESIDSTGCASIDCSSSRRPLHGQL >A01p050330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28362192:28362961:1 gene:A01p050330.1_BraROA transcript:A01p050330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQQSYKAGETRGKTQEKTRQAMGAMRDKAEEGKNKTSQTAQTAQQKAHETTQAAKDKTSQAAQTTQQKAHETAQAAKDKTSQAAQTTQQKAHETTQSAKEKTSQTAQTAQEKARETKDKTGSYLSETGEAVKQKAQDAAQYTKETAQNAAQYTKETAEAGRDKTGGFLSQTGEHVKQMAMGAADAVKHTFGMATEEEDREHYPGTTTGTTRSTDQTRHTYERK >A02g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8216481:8217547:-1 gene:A02g502560.1_BraROA transcript:A02g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSSREALCGIRGGGKDNGIETQWTSGVNSGGISRFLESVSLSLSLSETIKHAIRSQNRVIVEGNNLTNARFKICSSFSMFLELEAGLLFTATAVAYWNYFIRLEMKLMVANSKRDCLVDLAFLLSLQNELWSENHLVVQLILLFWYPASVEEEDYFAVWHCWKYRELQAARCGSIQGQCAYEQRGLKGWFLCTCSSFTHLL >A07p030600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17030280:17032767:1 gene:A07p030600.1_BraROA transcript:A07p030600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT3G59030) UniProtKB/TrEMBL;Acc:A0A178VKA2] MSSTETYEPLLRRLHSDSQITERSSPEIEEFLGRGRSTVTPRWWLRLFVWESKLLWKLSGASIVVSVLNYMLSFVTVMFTGHLGSLELAGASIATVGIQGLAYGIMLGMASAVQTVCGQAYGARQYSSMGIICQRAMVLHLAAAVLLTFLYWYSGPILKAMGQTVAIAHEGQVFARGMIPQIYAFALACPMQRFLQAQNIVNPLAYMSLGVFLLHTLLTWLVTNVLDFGLLGAALILSFSWWLLAAVNGLYIVMSPNCRETWTGFSARALTGIWPYLKLTVASAVMLCLEIWYNQGLVIISGLLTNPTISLDAISICMYYLNWDMQFMLGLSAAISVRVSNELGAGNPRVAKLSVVVVNITTVLISLLLCIVVLVFRVGLSKAFTSDKEVIVAVSDLFPLLAVSIFLNGIQPILSGVAIGSGWQAVVAYVNLVTYYVIGLPIGCVLGFKTSLGVAGIWWGMIAGVILQTITLIVLTLRTNWTSEVENAAHRLKASANESQEMATEGV >A05p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9571143:9571865:-1 gene:A05p020290.1_BraROA transcript:A05p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSVPPPPQPPKESFARRYKYVWPLLLTVNLAAGGYLFLRPKKKDIDSLSEEIAAKSDSAAAASVTMAKPAPSAVVAEPVVIKAREPIPEKQQRELFKWILEEKRKVKPRNAQEKKRIDQEKAILKQFIGSKTIPTL >A09p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:520534:522230:1 gene:A09p000830.1_BraROA transcript:A09p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan O-acetyltransferase 4 [Source:Projected from Arabidopsis thaliana (AT4G01080) UniProtKB/TrEMBL;Acc:A0A346P851] MEQQLTLVLLATPKGANFDEQTLDYASSSSSSSAPSSTSSFTRTFLKFSVYFSLVALAYYFIISSFTVPPPIFIALDSPPVSPSGNVSSPKCDLFSGDWIPDPAGPLYTNHSCPHIQDFQNCMLNGRPDVNYLFWRWKPRDCDLPRFSSSQFLDRVRNKWWAFIGDSIARNHVQSLICILSQVEEVVEIYHDKEFRSKIWRFPSHNFTLSVIWSPFLVKSETLGTSSDIHLYLDQLDHKWTHQYSNFDYVVISGGKWFLKATIFHESNTVTGSHYCHGRYNLTELGYDYSYRKTLKLVRNFVRDSTHKPLVLFRTTTPDHFETAEWNAGGYCNRTMPFKEAEAKLKTVDAVMRDVELDVFQNFGEGSTNFRLLDTTGMSFLRPDGHPGPYRHPHPFAGGKVKSSVQNDCLHWCLPGPIDSWNDVMVETILNR >A09p003860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2221933:2222427:-1 gene:A09p003860.1_BraROA transcript:A09p003860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAGTDTSAGTLEWAMSNLLNHPEVLKKAKTEIDEKIGLDRLIEEQDIVKLPYLQNIMSETLRLYPVAPMLLPHLASEDCMVAGYDVPRGAILLVNVWAIHRDPDMWEEPEKFKPERFEKEGEDKKLMSFGIGRRACPGSGLAQRLVTLALGSLVQCFEWERV >A04p036270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20570917:20572873:-1 gene:A04p036270.1_BraROA transcript:A04p036270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHHKPILLIFFTLLLQLPNPINASPSPPITALYAFGDSTVDSGNNNYIPTLFQSNHPPYGRSFPAKLSTGRFSDGKLATDFIASSLGLKPTLPAYLNPSVKPVDLLTGVSFASAGGGLDDRTAMMSLTLTMDKQWSYFEEAVRKMKSVVGDLEANRVIKNALFVISAGTNDMIYNVYDHVLGRFISVSDYQDYLLSKVEAFIQRLHDAGARRITVAGLPPIGCLPVQVTLGTITIPRIFHHRICTENQNADSQLYNQKLQKLNFRLSQRLPGSKVLYLDIYTPLIDMIKHPHRYGLEETLVGCCGTGLLEAGPLCKPLSRTCEDVSKYMFFDSVHPSQKAYSVIATYAFKKLFPLL >A10g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9204320:9205238:-1 gene:A10g503540.1_BraROA transcript:A10g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCENAPATVICCADEAALCPKCDVEIHAANKLASKHQRLHLNSLSTKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANSRSANHQRFLATGIKVALSSSSCSKETDKNHQSEPSNNQQKAKEIPSQQQPSSASPLPWAVDDFFHFSDPEFTDKQKGQLDLGELEWFSDMGFFSDQINQETLPAAQVPELSVAHLGHVHSYRPMKSNASYKKPRLEIRVDDEDDEEEHFIVPDLG >A02p022960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11007656:11013096:-1 gene:A02p022960.1_BraROA transcript:A02p022960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSPRSRRTRQPDPKPDIYSTFVVHSDSDSDQDSKRKPKAEDDEDDENVDLYATTVYKGDTDGGGDEDDDDDSFLPPLLKRLPKDFGGGASLDYDDEDDGDFGTMIVKKDRDSHSSSKPRVAASPPRRIADEESSEEEEFGTFVVKPSSKKGKEKEKEMDLSTMGRAVASMQESSFGGKKNRKSRPSSPSSHRRMQQQNSKMSTTSLPDSITREDPTTKYEFLNELGKGSYGSVYKARDLKTSEIVAVKVISLTEGEEGYEEIRGEIEMLQQCNHPNVVRYLGSYQGEDYLWIVMEYCGGGSVVDVMNVTEEALEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQENRYDGKVDVWALGVSAIEMAEGLPPRSAVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRLRPTADEMLKHKFIQRCKTGASAMSAKIEKSRQIRASMALQAQKVAASSEDTSTLGLKSSEEMKITVPYTEEPPACSLNPQHISSNTALTGEGNDYGSRNCSTNLSMLCGDFGTMIFHGEDEADEIHSGSQLAKEKESSSSKVDRASVGFSGEEVTRIHERNNLPTTDVAVETSTSRGTLSTIEHKTRLSNISGTQTEGGSDASGSTLKNETVGKKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSENMIGGMQQDGNGSVAVEALQELFTSDPQSKKGRRGQNEMPLPPSVYQRLTSSPPLMNLAQVLAYHRAYVLRGDAAAGNASNAGAANHSESVRYSSHYSSPLILFLFIF >A10p005000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9125340:9126617:1 gene:A10p005000.1_BraROA transcript:A10p005000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactolipase DONGLE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05800) UniProtKB/Swiss-Prot;Acc:Q9MA46] MAPPIPSSSQLSPPSSSSMVAHLPRAPPTSSLPLSRVWREIQGSNNWENLIDPLSPILQQEITRYGNLLSASYKGFDLNPNSKRYLNCKYGKKSLLKESGIHDPDGYEVTKYIYATPDININPIQNEPNRARWIGYVAVSSDDSVKRLGRRDIVVTFRGTVTNPEWMANLMSSLTPARLDPHNPRPDVKVESGFLSLYTSSESESKFGLESCREQLLSEISRLVNKHKGEDMSITLAGHSMGSSLAHLLAYDIAELGLNKRRGEKDVPVTVFSFAGPRVGNLGFKLRCEELGVKVLRITNVNDPITKLPGFLFNENFRALGGVYELPWSCSCYTHVGVELTLDFFDVQNISCVHDLDTYISLVNRPRSSKSTVAEDNFGSSFFNKTSEMMFPKGQRRALRLRNAATKAAYLIGSVSNHMMYCNIF >A02p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19206235:19207947:1 gene:A02p035150.1_BraROA transcript:A02p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISDLLADNTATLTPYAILILTAIFTVLWFLFKRSPQPPLPPGPRGLPIVGSLPFLDPDLHTYFTNLSHEHGPIFKLNLGSKLTVVVNSPSLAREIFKDQDINFSNHDVPLTARIVTYGGLDLVWLPYGAEWRMLRKVCVLKLLSRKTLDSFYELRRKEIRERTRFLYEKSQQGSAVNVGDQLFLTMMNLTMNMLWGGSVRAEDMESVGKEFKGVISEITRLLGEPNVSDFFPWLARFDLQGLVKKMRVSAHELDAIFDRAIEQMHKLRSSDDGECKDFLQHLMKLKDQEGDSEVPITVNHVKAVLADMVVGGTETSTNTIEFAMAELISNPKLMKRAQQELDEVVGKEHIVEESHITRLPYILAIMKETLRLHPTIPLLVPHRPTETAVVGGYTVPKDTKVFINVWSIQRDPNVWENPTEFCPERFLDNKSCDFSGTDYSFLPFGSGRRICAGVALAERMVLYTLATLLHSFDWKIPEGQVLGLEEKFGIVLKLKTALVALPVPRLSGSNLYQ >A03p016840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6703206:6704752:1 gene:A03p016840.1_BraROA transcript:A03p016840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGAARNLTDEERRGFKEIKWDDREVCAFYMVRFCPHDLFVNTKSDLGACSRIHDPKLKESFENSPRHDSYVPKFEAELVQFCEKLVNDLDRKVRRGQERLAQELEPPPPPSLSGEKAEQLSVLEEKIKNLLEQVEALGEEGKVDEAEALMRKVEGLNTEKAMLIQRPNDKVLAMVQEKKMALCDVCGSFLVSNDAVERTQSHVTGKQHVGYGMVRDFLAEQKAAKDKGREEERLVRGKEADDKRKPRERESESRRSGSRERERHRDHRDRDRDVDRHRDRGRDHRKPYDRRSRSGRDGRDRSRSRSPRGRSGRRRVSRSPVRQN >A01p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8673583:8678922:1 gene:A01p017780.1_BraROA transcript:A01p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 4 [Source:Projected from Arabidopsis thaliana (AT4G25250) UniProtKB/Swiss-Prot;Acc:Q9SB38] MLRFIILSLTLIALINSSNIQRTTATPPAKYQNYKTYVKTACNSTTYPAMCYNSLSSYSSTIKSDPIKLCITSLNINLKSAKKASSVVSSLLKKAKSTSSPEVPILKDCLEEMKDTVDELKQATAEMKNLNGGGISKEEHLRNVKTWVSAALTDETTCTDELEEGEANADTKKKVKKAVSELSRTTSNTLALMTNYIACNSTTYPAMCYNSLSSYSSTIKSDPIKLCITSLNINLKSAKKASSVVSSLLKKAKSTSSPEVPILKDCLEEMKDTVDELKQATAEMKNLNGGGISKEEHLRNVKTWVSSALTDESTCTDELEEGEANADTKKKVKKAISELSWTTSNTLALMTNYLALIALINSSNIPITTATPPGINQNDKKFVQTACNSTPYPTMCYYSLSSAYSSTIKSDPIKLCLTSLNVNLKSAKSASSIVSSLLKKVAFSPEVPNILKGCLKEMKDTADELKQVFAEIIKGLDSRPEESQRGRLKGSWKRGRARRR >A09p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1676722:1678951:-1 gene:A09p002450.1_BraROA transcript:A09p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENREKQNEEESVKVFVGQIPRHMSESQLLALFQEFAVVDEVNIIKDKITRASRGCCFVQCPSREEADKLVNSCHNKKTLPGAASLLQVKYADGELERLEHKLFVGMLPKNVSEAELLSLFSKYGAVKDLQILRGAQQTSKGCAFLKYETKEQAVSAMEAINGKHKMEGSTVPLVVKWADTERERHTRRLQKAQSDMARLSNADPTNPSLFGALPMSYTPPYNGYGYHQAPGTYGYMLPPIQNQGNHNALQRASPDSIPPRLARRNFPYMSSGYPPVRGLPYPLAYPRGIMSPRLLSNSPGSISPGIAHSSGSATPLSSIVQTEGPEGANLFIYNIPREFGDQELSAAFQPFGIVLSAKVFVDKATGASKCFGFVSYDSQAAAQKAINMMNGCHLGGKKLKVQLKRDNNNNNNGQHNS >A09p068230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53557323:53558986:1 gene:A09p068230.1_BraROA transcript:A09p068230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMTQCSPILTEERRPLEGGAPTHSHRRRWSTPADPGGESLRECFTTDDEYKEQPSHVSEVSFDDFVSCSSSSGNGYSFYLGGEMSPWGSPLLTESRPIAPPRMVMETRGEGRRGRSVRRKKDLPPFLTTLDCNGRPRFYHRRVRSEGRLEIARVAVNLPEIVSVRGVEGLRIGTVRVSQQHDEEGEGNDGIPFNTGRAIASVRWSQRSALICIRKESEIANQSRAMAEEENGNVAKPRAKKDIAPGRLIDTYAAQCENCHQWRVIDSQEEYEDIRSRMIDDPFTCDKKQISCEDPADLDYDSSRTWVIDKPGLPKTPKGFKRSLVLRKDYSKMDTYYFTPTGKKLRSRNEVASYVEANPEFKGAPLEDFSFTVPKVMEDTAPPDPKVVASPVAKVASPVAAAAAPSDDDVSDKSTKSKEFKGKFKLEEETLSGSSPHVSPAP >A08p045800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25047952:25055290:-1 gene:A08p045800.1_BraROA transcript:A08p045800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVESGSNNGGDAEKSLKEKGNDNRAAAFLSLVKLSKALADAETTIKLNPQWEKGYFRKGSVLEAMEKYDDALAAFEMALQYNPQSAEVSRKIKRLGQLQKEKQRAQELESLRSNVNMAKHLDSFKSELSANYGAEEGWKEMFSFLVETMETAVKAWHETSKVDTRVYFLLDKEKTQTDKFAPAVNIDKAFESPHTHSNCFTYLRQYAEESFSKAACLVTSKSSISYPQVWKGQGSRKWKLGQNDGIFVQFESPSIRNVWFIPSSKEKGQTLCRDPQALDIGAHEILPRIFKEVSKSSVRDRLLTRRDMGGAASTPRSTGGDDVSVEEYLIATFVGEKSFPLASDVWNKLLELPLRSRWPRDRVHQACQLFDQDIQNFVMRSLLSFIGSTEVSPNSYVLHQELLNFMVVAMSTQLLSGPSPGPKDANPFLDAAMAQEKSIVCMAVRRLLLNYISRNHTPNAKTYLYSDGDSPGILERVGSAAATFVLLPLNYLVNNTGDGSKYPLAESSLHVLLILIHYQKSILSDESMTDKSDDSATSESVSKVHVFSSGNTFTKALANARDVEFDRSDLEGNAYSGPHVRIPFASLFDTLCISLLMGNNAIWQYRCLSDEGAVLLLYSLLQGNSYFKEYVLVRTDMDTMLMPILETLYNASRRTSSNQIYMMLIVLLILSQDSSFNSSIHKMILPSVPWYKEHLLHQTSLGSLMVIILIRTVQHNLSKLRDVYLQTTCLATLANMAPHAHHLSAYASQRLVSLFYMLSRKYNKLSDLTGDKLQSIKISLSGEDDSVSEDLAAELQIFTDFLRLVLDILNAILTYALPRNPEIVYAIMHRQEVFQPFKNHPRFHELVENIYTVLDFFNSRMDTQRSDREWSVQKVLQFIIDNCRSWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLALSRCGFSFNPDAINLFPVPHQVEEQIEDGKGDEVEEGEEKKVKELIEQRIVFDP >A09g511950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35256103:35256532:1 gene:A09g511950.1_BraROA transcript:A09g511950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKGISSGVITTLVNGQSLNGRSILDDVEIATTCRFLVHLQSKGGPVKIYLWHQTAKDFYK >A03p024650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:10390045:10390827:-1 gene:A03p024650.1_BraROA transcript:A03p024650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTALTPTSVGSKSLLPLRNHEAAERVNNNNNNNLKALPKAVQPVSSIEGGMVKRPRGRPVGSKNKPKPPIIVTHDSPNSLRAHAVEISSGNDICEALSDYARRKQRGLCILSANGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSILPPPAPLGITGLTIYLAGHQGQVVGGGVVGGLIASGPVVIMAASFMNAVFDRLPLDDDESASMQNQQHYQNGRSRPLDDIHGLPQNLLTNGNSGSDGYSWGAAQRAMSKP >A03p043240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18084527:18086920:1 gene:A03p043240.1_BraROA transcript:A03p043240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHTSEHVDAVDITSQLHNSYFTSDTYANEYSARAARFAAGLCADLAKEIFSGRVKNGFALVRPPGHHAGIKDAMGFCLHNNAAVAALVAQAAGARKVLIVDWDVHHGNGTQEIFEKTNLHEGGKFYPGTGAANEVGTNGAEGYCVNVPWSCSGVGDRDYIYAFQHVTPAGYSRMTQMLGDLCGGKMLVILEGGYNLRSISSSATAVIKVLLGENAENDLPIATTPPSRACLRTVLEVMKIQMKFWPSLATSYSKLLSEWEASRPEKRAEPRREAVVTQGDVDAEAVVVDEEAPSEFAFNFSYSGDGSLLDDKEACGELFRLFRPEIFPLLMRPICTMSMLSVLLGYSIASVNTMVAEYENALRTARADLEEQKKELEEMAALRRELGEERAKVGAMRLEKKRMKKEAKVLKSEVKRLRKSRTDYVAREKMYNEVVVKSASRFQKMRSRLY >A08g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3320432:3320811:1 gene:A08g501290.1_BraROA transcript:A08g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFSPQSRTHTTKTTTVSKQSDSLQQGLSNHGNKVHKLQLEYASEGSLIAFMDRYVDRKLQEPLIKHLMHMILEGLVSIHDHGYVHCNIKPDNLLVFPCSSSRQDS >A09g517800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53561339:53563376:-1 gene:A09g517800.1_BraROA transcript:A09g517800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWSGFKCFIWAYLLYLLSRRTGGGDVEFFDSVTKVDHLKGLAELLEEGREVSPLIVAVTGSVASATPFKCQRSEMLAVILEETEEIQFLKRNWKFSWVQDTASISLPITKQVPWFLEDGTGRVNVSGAETALGFAFTVGSEVFEKPEPSSLLPGALAYLQGLKMLGVRRFEYVLPIGTWLTVVGEAVKDGSGNVRIQKPDQGPFYISPKPLDQLIPTLGTWSRIFKYASMGASFCFTVRGVIITSKPLIIYILHVIEDILFMFGYILWRMRQGLPILKKHVTLLKRGLGAVSKYTLVRSRDFLERRRHRLLRNRVFCAAANRTRQATEEGLCESFFPEYACEFALIISLAPDVPDLCVICLDRKCDAVFLECGHMCCCLTCSLELQEKRCPLCRKPVVVLKIYRI >A09p057650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48517810:48520787:-1 gene:A09p057650.1_BraROA transcript:A09p057650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEX4 [Source:Projected from Arabidopsis thaliana (AT3G52180) UniProtKB/TrEMBL;Acc:A0A178VES0] MNCLQNLPRSSVSPLLAFGGNQRDLSSSSLKMLLPIRANDPKLRLVLQAVSDSKSSTDMSGGVSKEEEEKSDEYSQDMTQKMGAVLTYRHELGMNYNFLRPDLICGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDIRSIQAYAKTFTDIEHIRCEIRDFDAFDLRMRLPAVVSTLYKAVKRNGGVTYVHCTAGMGRAPAVALTYMFWVQGYKLMEAHKILMSKRTCFPKLDAIRNATIDILTGLKKKTVTLTLRDKGFSTVEISGLDIGWGQRIPLTLDKGTGFWSLKRELPEGQFEYKYIIDGEWTHNEQEPFTGPNKDGHTNNYAKVVYDPTSVDGATRERLTKEDPELLEDERLKLVQFLETCSEAEV >A06g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23930102:23930554:1 gene:A06g508490.1_BraROA transcript:A06g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYTTAHTNSEILNQTNTGTGEDLSTIETKRWRVNNHEPEVRNRGVATERDVERRRGNSLNERHVSQETFVFVLN >A02p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7475529:7477094:1 gene:A02p016650.1_BraROA transcript:A02p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMFKTVQEVIAAGEGVSERYLHTTNGNDKGQPLDILVPEMDITAVDLNLLLSSSDDGREELSKLYSVLSKWGVVQVINHGIAEDFLDEIFELTKQFFALPAKEKQKYAREINTLQGYGSDMILSDNQVLDWIDRLYLTTYPEDQRKLKLWPETPLGFRETLHEFTMKQQLVIKNFFKAMARSLELEDNCFIEMCGEKSVTETRFNVYPPCPRPDKVIGSKPHSDGSAFTLLLPDKNVEGLQFLKDGKWYKAPIVPYAFLINIGDMMEIMSNGIYKSPVHRVVTNREKARISVATFYAPHEDKEIQPVDGIVSETRPRMYKTIKKYVDFYFDYYHQGRRPIEAAYI >A09p019070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10085211:10087303:1 gene:A09p019070.1_BraROA transcript:A09p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQATAAICGSGGGAWWNSPRSVMSPSDHFLSPCFGQREADSDSGGSTVSIDSTLQMMGLGFSSNSSSDWNQTVLQEDLNSSFISSQDHYHGQGFLSTTSSPYLLNPACSSSSSPSPPSSSLLQTFYDQEPSQYRFLSTTSCSINDPQLSWASSKTSPHHQAAYGIINNFSNSTNSRPFWSSSSTTNLNNTPHNSFVTYSQIIPTRLEDKTKNQKTKGQSESLKRAKDNDSASKKQRISTPSPLPTFKVRKENLRDQITSLQQLVSPFGKTDTASVLQESIEYIKFLHDQVTVLSTPYMKQGASVQQQHQKSGKSQDGNENQELRAHGLCLVPISSTFPVANETTADFWTPTFGGNSFR >A06g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28129358:28130238:-1 gene:A06g509690.1_BraROA transcript:A06g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLIHDFLHLFQPRFPPPHRHRLCSLKSIARRRRGSPIGEKEHTDHFSIHIGALSQIYCNTVSSRVAPL >A04p023120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14021662:14028414:-1 gene:A04p023120.1_BraROA transcript:A04p023120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLRIGAEAGQDPYLFSTNNYLGRQIWEFDADAGSAEELAEVEEARRNFAANRSHYKASADLLWRMQFLREKKFEQNIPRVVIDDAEKIAYEDAEKALRRGILYMAALQADDGHWPAENSGCMFFIAPFVICLYITGHLDKIFSQEHRKEMLRYMYNHQNEDGGWGIHVESHSFMFSTVLNYICLRIFGEEADHDGINSACAMARKWILDHGGATYTPLLGKAWLSVLGVYDWSGCKPIPPEFWLLPYYSPINGGTVWIYLRDVFMGLSYLYGKKFVATPTPLILQVREEIYDQPYKEICWSQARNLCAKEDLYFPQSFAQDWFWNIVHMFSENIFNLWPFNKLIRHKALQKTMEIIHYHDEATQYITGGAIPKPFHMLACWVEDPKSDYFKKHLARVPGFLWIGEDGLKIQTFGSQIWDTAFLLQVMLAADVNDEIRSTLIKGYSYLRKSQITENPPGDFIKMFRDISKGGWSFSDKDQGWPVSDCISESLKCCLIFESMPSEFIGEKMDVERLYDAINILLYLQGNNGGIAIWEPAPGKTWLEWLNPVEFVEESTVEHESLECTGSAIVALLQFMKQFPDHRREEIEGFVTKGVKYIEDLQMPNGSWYGNWGVCFIYGTFFAVRGLVAAGKTYHNCETIRRAVRFLIETQNIEGGWGESYLSCPRKKYTPLDGNKTNVVNTGQAMMALIMGGQMERDPLPVHRAAKVLINSQLDNGDFPQQEMRGAYKMNLLLHYPTYRNMFTLWALTYYTKALRRT >A04p012640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4732904:4736642:-1 gene:A04p012640.1_BraROA transcript:A04p012640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPSDAARDGSICDEAIVLSVTCALAKDALSYFQSGKFHECIDILIQLNHKKRNDPKVLHNMAIAEYFKNACSNSKKLLEDLNTVKKQSEELAKEPLEAVNPGSNVSVSKDLFDSTVTTLNIAVTWFHLHQYAKCLSILDPLFLNIEPLDETIALQICFLMLDSALACHDAVKFLEVFGHLDKSFGVGFGTHEEIGSTMQLSSNQVSKTSSLLSSSAASDALKSDLAAAESGLCEEGLEYDNVLAEIEAEKRMKPVGHIPANNLLKTIGERSILTVDVKLELQLYKVRFLLLTRNVKLAKREVKHAMNIAQKRDSSMALLLKSQLEYAHGNHRKAIKLLLVSGIQKELRTSGIFNNNLGCIYYQLGKYQAASVLFSNALRNCSSLRKDNPVKLFSLSQDKSLLITYNCGVLYLACGKPLLAAQCFQKASLVFCRQPLLWLRIAECCILALQKGLLEGGNTSSDRSEIRVHVIGKGKWRQLMMEENGFVELGGSAQWPKLSLPLARVCLSNSMYLLNVSLMNDSKSDLESPLSVMINETKEASWSDHGDANTNSELKEAKGGMNQDIIQNSLSAYKDIRRRENHLLKQALLANMAYVELELENPIKALSAANSLLQLPDSSKIYVFLGHIYAAEALCLLNRPGEAGAHLSAYLLGQEDFKLPFAQEDFDPWRMNMSSDCEETSDCSTGNARDSLKPEEARGALFANLAALFATQGHYDQAKPFIQHALNVLPNNVQATITAVYIDLMVGRSQDAVARLKQCTRVSFVPGRLEVRAS >A01p042100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22689017:22690635:-1 gene:A01p042100.1_BraROA transcript:A01p042100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFALFIFTLVALSSSCSYAIGPQNNKTLLSLASRIGINYGRLGNNLPSPYQSINLVKSIKAGHVKLYDADQETLMLLSQTNLYVTIMVPNNQIISIGADQAAADNWVNTNVLPHYPQTRIRFVLVGNEILSYNSDQDKQIWANLVPAMRKMVNSLRARGIHNIKVGTPLAMDVLRSSFPPSNGTFREEVAAPVMLPLLKFLNGTNSFFFLDVYPYFPWSTDPVNNHLDFALFESNSTYTDPQTGLVYTNLLDQMLDSVIFAMTKLGYPNVRLAISETGWPNSGDIDETGANIFNAATYNRNLIKKMTANPPLGTPARRGSPIPTFLFSLFNENRKPGSGTERHWGILNPDGTQIYDIDLSGTRPVSSLGSLPKPNNNVPFKGNVWCVAVEGANETELGQALDFACGRSNATCAALAPGRECYAPVSVTWHASYAFSSYWAQFRNQSSQCYFNGLARETTTNPGNEQCKFPSVTL >A09p060880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50347606:50348764:-1 gene:A09p060880.1_BraROA transcript:A09p060880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQIMFSSGIQTPLPSVTNLQVDSVNFPQSVISPASSNRLFLAGAGVQGLDIQGEFVISTVIGVYLDPNALEGLPFCGTTEELAESVPFFRQIVTGPFEKFIKVTMKLPLTGQQYSEKVTENCEAIWEPLGINAYSDSHICEDCDPPPSAKLRFNKITKTFGPYRHYSRGHAVVRFLEIFKHKKFPPGASILFAISPKGSLTVLDAFITFYNVDLRYVFML >A09p065220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52240094:52241515:-1 gene:A09p065220.1_BraROA transcript:A09p065220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLVYPLPPTSRWWSRETTAIVTGANKGIGFEVVKKLLELGLTVVLTARNAKNGSLAADSLRRAGFQNVQFYCLDVSEPSSIAAFVSWFRHNFGVLDILVNNAAVSFNVVGDNSIREPETIIKTNFYGAKLLTEALLPLFRRSVSASRILNISSRLGSLNKLRNPSVRQTLESEELTNEQIDATVIQFLEDVSNGTWEKQGWPENWSDYAVSKMALNAYSRVLARRYNGKKLSVNCFCPGFTRTSMTGGQGTHTAEDVAATIATLVLLPPEKLTSGKFFMFLEQKKIISRL >A04p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5390258:5391902:-1 gene:A04p014220.1_BraROA transcript:A04p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKEGDKQGTRRRPCSPENDYKLGLKVNLNGAVLLFSCFFCAVFATIPLNPLATTQSTNAVPCNTHGSRVAKAYGVDANLSAEQRFMRDRPPSSYCVRIEGCSELITKSPNVQKYETRPFSVGGFNWTFIIEPFGSTTNLGTWISAYVAIDPKGLVGENREVYADLRFLAYSKTFDQYWTSMDTDIRRFHQSRTTWGNPNFIRHVNFIAKDREYIFDNDQCVFGVDISVFPFFNKWEVLSIDKTVYGPNSWKLLKFSTLTRDFYVSDEFSIGGKTWAFKVYPNGYGTGEGNSLSLYLTLTENQTLKPYEKVYVRAKLRVLDQKQSRHLEKPILSWFNATGESSGLERFVSLTDLQNPAKGFIVNDSLSVQVQFEAVSSTNYYSSNAAQISSF >A09g518780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57243867:57245690:1 gene:A09g518780.1_BraROA transcript:A09g518780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTQRRSRLTSLRLVRLRSTETGTLRNAAFFQSPYDFFFCVQGFSSDRKMSWYRERLSSGIVGIKKDDAVVLFQRMIESRPRPTVIDFNRLARTKQYDLVLSLCNQMELQGIPHNIYTLSIVINCFCRSRKLGFAFSTLINGLCLVGRVSQAVELVDRMVEMKVIPNLITLVNGLCLQDRVSEAVALIDRMVKNGCQPDAFTYGPILNRMCKSGRWKIERKSHRCALIDSLVKEGKFSEAKELYNEMITRGIDPDTITYNSLIYGLCMENRLDEANQMMNLMVSKGCDPSIVTYNTLINGYCKAKMVDDATRLFRKMSPRGVVADSVTYNTLIQGFCQSGQLNVAKELFQEMVSEGVHPNIMTYGILLDALCDNGELEEALGILEKMHKTNMELDIGVYNIIIHGMCNAHKVDDAWDLFCSLSLKGVKRDIRSYDIMLSGLCKRSSLSEADALFRKMKEDGYEPDSCTYNTLIRAHLRGSDITTSVELIEEMKSCGFSADASTIKMVMDMLVDGRLDKSFLDMLSGPSRDKSASLD >A07p039470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20984614:20987325:-1 gene:A07p039470.1_BraROA transcript:A07p039470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast sensor kinase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67840) UniProtKB/Swiss-Prot;Acc:F4HVG8] MLLSAIASQTLLSSNPNLLFPNPIPSPRPSTPSLKLPNASSSSSSSSSSIFTLPTRGLRYVNQTVSDGASESGGCVGGETTVTSASAIASAIRGASTTPVEFTQMIEKDKIILPSPDFQRLCLEQLDLFRRIVDPNAVLSVYVRPAGSYVMDRLELRRVTCYPSVNGGDVVILVGNFGIPAGLRAAEASLSSQQVEVVSKHRAAVFPMVKHPFVVGFLVAELPVEAEEVLEEEDEEEEEGGFKHFPSPEEAYALPGSATNAKPPKVKLPSVKVFTAEQRSYAINISRTLAMAYVMDQKTMLLQQSSWQTNVRMSKLVEQIRGPLSTIRTLSKMLSTHTQRSQISHDIVEDLIVQGEQIRDTLQELQDAVHLTKANIVRHNEEALKKINKTNNETMRSNYSGDYEHKDPIDGSQLSSTRLSLGSGSDDSEMPMPPLALAPLQTHNIRPCDISSVLLDMVDTVRPLALTQQRVVELGENSASHQVAVEEPALRQALSNLIEGALLRTHVGGKVEILSTRAPAGGSLVVIDDDGPDMRYMTQMHSLTPFGAELLSENMVEDNMTWNFVAGLTVAREILESYGCVIRVISPRIPDAAIGGGGTRVEIWIPTFTAAVPEANEA >A07p043550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23816610:23820810:-1 gene:A07p043550.1_BraROA transcript:A07p043550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MNTLPVVSLTPSSSFKFFHFPSPLSHSPTFSFRKSIILTPTPRSRLLSFKSLNSLSPSQSQLSEEYDEDEDEDEEEEDEDDDEAADEYDDITDETRNTDDDEPEFPVDSPPESSRQRVEFRWQRVEKLRSLVRDFGVEMIDIDELVSIYDFRIDKFQRLAIEAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFRNYFNTDFCVQMFSVGMASSGTGLFHVDAIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGEIHGKTELVTSTRRPVPLTWYFSTKHSLLPLLDEKGIHVNRKLSLNYLQMSASEARYRDDDDGRRGRGSRKRGGDTSYNSLVNISDYPLTKNEINKIRRSQVPQISDTLWHLQGKDMLPAIWFIFNRRGCDAAVQYVENFQLLDDCEKGEVELALRKFRVLYPDAVRESAEKGLLRGIAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLTKKAGNERIQLGPNELFQMAGRAGRRGIDDKGYTVLVQTAFEGAEECCKLVFAGVKPLVSQFTASYGMVLNLVAGSKVTRKSNGSEDGKVLQAGRSLEEAKKLVEKSFGNYVSSNVMVAAKEELAEIDKKIEILTSEISDEAIDKKSRKLLSANQYKEITALQGELREEKRKRTEFRKRMELERFSALKPLLKGMEDGNLPFICLEFKDSEGMQQSVPAVYLGHIDSFNGSKLQKMMSLDESYALNVIEDEPAADEPIVEPSYYVALGSDNSWYLFTEKWIRTVYRTGFPNTALAIGDALPREIMKALLDKADMQWDKLSESELGSMWRMEGSLETWSWSLNVPVLSSLSEEDEVLHMSQEYDNAAEQYKEQRSKVSRLKKRISRSAGFREYKKILENAKLTVEKMKRLKARSRRLINRLEQIEPSGWKDFMRISNVIHESRALDINTHLIFPLGETAAAIRGENELWLAMVLRNKVLVDLKPPQLAGVCASLVSEGIKVRPWRDNNYIYEPSDTVVDVVNFLEEQRSSLIKLQEKHEVEISCCLDVQFSGMVEAWASGLSWKEMMMECAMDEGDLARLLRRTIDLLAQIPKLPDIDPTLQRSAAAAADIMDRPPISELAG >SC323g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000168.1:13968:14892:-1 gene:SC323g500010.1_BraROA transcript:SC323g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLGQKHPDLDSPVHQTSSLCPDQYTDQSTGRASMLICVLTCIRISPRISPRTVHGKGQHADMCGQHDDMSSVHGSVHGQSTGRASMLICEYTDQSRDQYRTATDVGQHVICFGQHADYEFITRISPRNQSTDQIHGSLHGTVPRDGQLLICVSTGKGQHADLRVTGLTACCYAVQTGSPLSPPLPVPRTVWVVLTGTGTDVLRVADRRPACVT >A07g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12260411:12260904:1 gene:A07g505480.1_BraROA transcript:A07g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLGMKPVTDVSRVTIKRSKNVLFVISKPDVFKSPNSETYVIFGEAKIDDMSSQLQAQAAQRFKMPDVASMIPPNAEAAAVAQEEDQDDDDDVDETGVEAKDVELVMTQAGVSKAKATKALKANDGDIVSAIMELTT >A06g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12365915:12367795:-1 gene:A06g504020.1_BraROA transcript:A06g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLILHYNLRACLQEAADDNSVYRNEVHHSPHQGRALHQVSTQRSCLLPGPITIADQMAEEAMKRGGSLSASTGRNISYPLSPMNGGYMRYETNGWEGDLQVYYTLTMELVGKTGVRGDRIMKETKKEENTWEPFWDEEFECQLTVPELGLLRVEVHNYNMLAKDNFSGRHVCLWRA >A10p002070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1094610:1095659:1 gene:A10p002070.1_BraROA transcript:A10p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGSSPKSQDKSQKMKKSMKLNEDSDKETVEHKQVPEDENPKTDAKEEKGSQGASDKKDKKEKVPENENPKTEAKEDKGSQEASDKKDKKEKGVGCCKKCKAKAKMTKKKLKEEASDEEDEKQKQKTEKGSQKTDTNEEKGTKGTSDVKDKKETSMITWRHKSTKKDKSHGKNLCSVNPPYQTGRSMYHGRPNFSNPWNMYAPPRVLYPAFAKGPMYGQCGGGGGGPLQPYQSMNPAAAMYRGAIMSPYPPMAAAMYPPYWQSRPFTDANPITRYTTYRDNYTTHRNNYSYFFI >A09p025680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14334696:14337969:1 gene:A09p025680.1_BraROA transcript:A09p025680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEPRKLRGHKATATCCIASRDRPGLVVTSGEDGCVCWFDLRCKDVQFTIDVGTEPVSSLCFKTGNEDILYASHGNEIKSFDVHMLSAGSWKPLESYSYNKDEVNQVVCNGRSSFLASADDSGDVKIIDVGQKCLYKTLRAGHTSICSSVQFIPWRPWEVITGGLDAKLVLWDFSKGRSQKIIDFSSDNSGQCLNPAFVHSIAVPEMDMVDKLDKICAVARGDGIVDLINIESELSRKGASKGSSRNNVAKRVCLDYSVGGHKAAVSCVAFSQFQEKGRFLISGGNDKSVKIWDCVRCLDSDNNDNRDLLHLNIDLSKKVNWLCTNQSDSENLVVCDTTRVVKALWAYEWLLDPQVSYLLLLKTGEIFTLLCLLYISMSQDLFKCTNLSLTMKPPQSQPLFHLFLLLLLLLLIATVSSSSPLDPKQLKALKILTTTKDPCSNHSSTTITCDDASPFRRVTSLSFANCSSSLSLPSKTLKPLSTSLLSLSFLNCPSLSPPKHLPISLRSFSAVSSFHRHHGLSGVYLARLVNLTRLSIFSVPVSASGLYVVLGNMRNITSLTISSANLPGKIPKAFHSNLTYMDLSNNILTGPLRPSITLLTGIKSLNLSRNSLSGEIPNKIGDLTLLKNLSLASNRFSGPVPSSVPSLSQLTHLDLSGNRLNGVVPSFFSGMKSLRHLNLADNSFHGVLPFNETFIKNLEFFQVRGNSGLCYNNTVLSWKLNLGIAPCDKYGLPLSSPPQKQKQKEEEDDYDDNDGDGDGDKKEEKHGSTNKVVLGVAIALSSLVFFIVFLILLAKWSLLSK >A02p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20522263:20524234:-1 gene:A02p035970.1_BraROA transcript:A02p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTSSLVVAIIYLMMFSLVEENMGCEVDYGSCKDIGNCEVMCMVKFGSAATGYCVGGNETGRWACEGSLACSTCHVILYYGCFTFLVSVSVNSCSSTCSLKFLLLFRIDISGFQIKEHALLFQLIHAFHHQHILRALELRLVLQIEHCFAWK >A10p015680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3502971:3505163:-1 gene:A10p015680.1_BraROA transcript:A10p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGDEKQCCEMKNKMMLLALLIRRIFDEEKSNLLQRLEDANREITELKKLRNQDAKANEKVVSIIASQKQNWLKEKYRQRLQVEALMRELRNMEKRKRTSLSELQERLKEKDGLVQSKDKEIEEEKLKCQELEEKLVKSEKEVQELREMKERDVKEHSSELWRQKKTFLELASSQRQLEAELSRANKQIDAKRHEVEDLSLEMVKMRKDLEAKDRILAVLLKKSKLDIAEMHTLLMEAKMKQDEDEAKSWRSNSKSRRSLRSMFAFETTSKPTKTTNSVGSITHIDEHVEWNKDPNVVPEIMGSYSNDDFSELGNGVVHFEGANGVARKRENMSFGEEDFCIRVIGKKQEIEIGVLPEHEKIEALCLHLMNSELESNRLRSCIEGQSQEMSQSRHNNTKVEESVAMRKQHFKTQLKSFMSHKNNTSYRRKNTKAEELQVQERVFGSKESSKEHATEKGRESYSPDELRHLTLKAAQSDAEEESEKDIILPENGKADGKEESESQPSSTNNPPWRMDLHALGVSFKIKRLRQQLMMLERYIGKQESQEIDKISSSDTGKRALLLLITLLNKQVTRYQSLQEKIDGICKKMHVNDTGKTSLEHFLDETFQLQRYIVATGQKLMEIQSKITSGFVEVLVGFITTESSSTSSSFDPERFAESIRSLFQEVQRGLEVRISRIIGDLGGTLAREGMIHLKRREDGVQRL >A10p022850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:15038354:15040147:1 gene:A10p022850.1_BraROA transcript:A10p022850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 11 [Source:Projected from Arabidopsis thaliana (AT5G59450) UniProtKB/Swiss-Prot;Acc:Q9LTI5] MDALLQVSVDGFRFDGSGSGSCCKPRNNLETQNQSSPPSICQDNAPVLKYINDMLMDEEDFVEDNLALEAAERSFHELLHQPPSSESSDQNSTSSGDHDCSFPSTTTTTTDSAGSLVSNGESQRKYRHRDNEEDDLENGRRNKQPAIFVSEMEELAAKFEHVLLVCKSNQEEDSSSTTTTTKKQNPPPPPNKATGRAKGSKAIKANAVDLRSLLTQCAQAVASFDHRRATEKLKEIRSNSSPSGDGIQRLAFYFAEALEARITGIISPPLCTAFPSSTTSMVDILKAYKLFVHVCPIYVADYFAANKSIYELALSSTKLHIIDFGVLYGFQWPCLLLALSKRPGGPPKLRVTGIELPQSGFRPSDRVEETGRRLKRFCDMFNVPFEFNFIAKKWESITLDEITITPGETTVVNCIHRLQYTPDETVSLDSPRDTVLKLFRDINPDLFVFAEINGTYNSPFFMTRFKEALFHFSSLFDMFDTTIQAEDEYKNRALLERELLVRDAMSVISSEGAERFARPETYKQWRVRILRAGFRPAMISKEILKGAKEIVRKRYHKDFLIDGDGNWMLQGWKGRVIYAFSCWKPAEKLTRDDHIII >A08p011490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:119786:131209:1 gene:A08p011490.1_BraROA transcript:A08p011490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGQAGSDNVGGSSRSEEQTETTTDEVEIQEAAPLDPEGGNQGEPPVSEEVHDQEEHHDQEEEVDDQNQNLEALPKGPMTRSRSRKLTQVIGGLVKRSWKQEECTNGERIRRRSRASKEE >A03g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14659966:14660842:-1 gene:A03g504090.1_BraROA transcript:A03g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHYSLQQNAFESRGFVVPVSSDPISLVCPKPRRVILSNNVIHPFRLLHSSQSRAADVSDCKAGADLLDIIIRRKEETLSGVASSPPFFLGSPPSRVSNPLAQDARFGDNKLNPISPFLPSPSRVKGGSCGRVKFGIKPASVRVEGFDCLNRDCQNSSIPAMA >A09p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1646596:1649992:-1 gene:A09p002370.1_BraROA transcript:A09p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGPSDSDTDEMGYAMSRLEIESDLCDAGKGYYGVGSSSGSSHRSSERLGDLDNEISQVTKLKSCPHERFSRQVPGRHQLPVSTVRMLAGRESNFSGRGGRFSSADRCHILSRYLPVKGPWLVDQMDSRAYVSQFSTDGSLFIAGFQGSHIRIYNVEKGWKVQKDILAKSLRWTVTDTSLSPDQRNMVYASMSPIVHIVDVGSGTTESHANVTEIHDGLDFSSEEDGGYSFGIFSVKFSTDGRELVAGSSDDSIYVYDLEANRVSLRTVAHTSDVNTVCFADESGHLILSGGDDNLCKVWDRRCFIGRDKPAGVLVGHLEGVTFIDSRGDGRYFISNGKDQTIKLWDIRKMSSTVPARNEVHRNYEWDYRWMDYPSEARDLKHPYDQSVSTYKGHSVLRTLIRCYFSPAHSTGQKYIYTGSNDSSVYIYDLESGDKAAVLKHHSSPVRDCNWHPHYPTLISSSWDGDLVKWEFPGSGEPPIMSKKRVRRRHFYY >A02p018280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8406208:8406626:-1 gene:A02p018280.1_BraROA transcript:A02p018280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEVVVTAARVYRDLLKAVVKHVGKEDHKSHFTDFVKTEFRKKKAHSLEKINLARNYTYLLNSIHSHKDLLFSYNIAVDRTEEMKRVLGKSAASVGLRLPEVYPP >A04p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10862480:10863482:-1 gene:A04p018000.1_BraROA transcript:A04p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFKSMTDDDPMKFGFGSPAIKDKKKKKKAFNVHHMLLRSCSISFSPSLCYPSFLTISNQFFMMPSLYMCGYGVAGTGDDKLSVLEVGGLLLRCQNCVRCDHEEANSVDRSSEVKRKPI >A08p044040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24412025:24414033:-1 gene:A08p044040.1_BraROA transcript:A08p044040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPSGEPGSSMHGVTGREQSFAFSVASPIVPTDTTAKFDLPVDTEHKAKVFKLFSFANPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVADAGGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPVVYEIIRRCGATAFTAWRLAFFVPGWLHIIMGILVLTLGQDLPDGNRSALEKKGEVAKDKFGKIMWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPAGGYASDIAATYFGMRGRLWALWIIQTAGGLFCVWLGRANTLVTAIVAMILFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSQFTTEQGLTWMGVMIVACTLPVTLIHFPQWGSMFLPPSKDPVKGTEEHYYASEWNEQEKQKNMHQGSLRFAENSKSEGGRRVRSAATPPENTPNNV >A02p031280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16193370:16195882:1 gene:A02p031280.1_BraROA transcript:A02p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLYNQLKDAEPFFLLAGPNVIESEEHILRMAKHIKHIATKVGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDLPIVTDVHESIQCEEVGKVADIIQIPAFLYLLVAAAQTGKIINIKKGQFCAPSVMNNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNFEWMREANCPVVADITHALQQPAGKKLEGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLSAPVDGPTQWPLRHLEELLEELIAIARVTKGKQQFQIDLTPFRD >A07p003520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3255884:3256652:-1 gene:A07p003520.1_BraROA transcript:A07p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVLCEILLSGLTVKSALCRRTHLVQSFSVVFLYCTYRSSSSSDGGNPSDSAVTADERKRKRMLSNRESARRSRMRKQKHVDDLTAQINQLSSDNRQILTSLTVTSELYMKIQAENSVLTAQMAELSTRLESLNEIVDLVTTTNGGGDQIDGCGFDDRTAGINCDGYYDDMMMSGVNHWGGSVYTNQPIMANDINMY >A10p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22399156:22401709:-1 gene:A10p040730.1_BraROA transcript:A10p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter AVT1D [Source:Projected from Arabidopsis thaliana (AT5G02180) UniProtKB/Swiss-Prot;Acc:Q8GYS4] MKLDEEFLHDRDHSFLTDDEENQADLACSDDEHDGDGRRCGANSDTSSPLSRNRSDNNLTDVPNPPWPQSYRRSMDLMTGMTPPSVSFMPRSLSRRSGSSFHKKQQSSFFDSFSSSASKPLLSQPDPDKEDTILPSHFPSQLKLSVTDLPLPQSNLCSVSQSILNGTNVLCGLGLITMPYAIKESGWLGLLILSFFGVITCYTGILLKRCLESSPGLQTYPDIGQAAFGITGRCIISILLYIELYAACVEYIIMMSDNLSGLFPNVSLGITPGLSLDSAQTFAVLTTILVLPTVWLKDLSLLSYLSVGGVLASVLLGLCLFWVGAVDGIGFHATGKLMDFGNLPVAVGIFGFGYSGHSVFPNIYSSMKDPSKFPLVLVICFGFCTVLYIAIAVCGYTMFGEALQSQFTLNMPKHFLPSKIAVWTAVVTPMTKYALTITPIVLSLEELIPMAKMRSHGVSILFRTILVISTLVVALSVPFFAIVAALIGSFLAMLVALIFPCLCYLSILKGKLTNTQIGLCMFIIIFGLVSGCCGTYSAISRLAGQMT >A07p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10445829:10448478:1 gene:A07p017210.1_BraROA transcript:A07p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLRPTNLILSSTFSTGRVLHFRRSPFIHRPPSSSSSRRRTLVAQFGLGPGSFPDPGFSLDLIKDHAESLLYTIADAAVSSSETFESVSGTSTKQNSDWFSGIANYMETILKVLKDGLSTVHVPYSYGFAIILLTVLVKAATFPLTKKQVESAMAMKSLQPQIKAIQERYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQSGSGISWLFPFIEGHPPLGWSDTLAYLVLPLLLIFSQYLSIQIMQSSQPQSNDPAMKSSQAVTKFLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKYGGAKNPMEKLTNLVMKEDKTQKVEKSISEPLVKKSVSELKIPKEKEGGEKVTSEGPKPGERFRLLKEQEAKRRREKEEARQKAEAALSNQNIGSVQEQEEKSDVADVAVRNNGKNELSAVEETADGSVTVNGKPSIHGVGHDTEQHHPHET >A03p050900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20753799:20754846:-1 gene:A03p050900.1_BraROA transcript:A03p050900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKSGGDSSSSEDEDPKWKAAINSIVTTTAYAASASKLAATAQQHEDGDFRVKHKKLTHAQIKVKKLLNEMVENTLDFVKDPVTAVPQDEEPENDCGVRLFKRCSTGIVFDHVDELQGPKKKPNLHPSRGIEGSSKEVVVKHDCSFKKRIKSIAVDGSDVLSAAVEAAKKASARLEAKEAAAKAKAKKEEERVAELKKVRGEKWLPSVARALQLNKNSTARSAKS >A09g515720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46394254:46397991:1 gene:A09g515720.1_BraROA transcript:A09g515720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASQTGNALNAAEGISREAERRGCPASIVSNDEFDSGKETLQILLRNFGGFFFKETWETLVCNEFALQWSVLSGGGSVPSPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNMNGATIFLHNVGLGVPRQSNHIVSLKVLFKTQLQQSQVEHQLRREVEIQSHLRKPNILRLYGYFYDQKRVYLILEYAARGKLYKELQKCKYFSERRAATVSAFLPSAGELKIADFGWSVHTFNRRRTMCGTRDYLPPEIGMKQLLIRGCCLCGRGEAYHPARLLNLNLFPETQIRCLSLLLWNQTSEHCVGKERPNTTHRIAIKEGSKIKWSAASYDSLKNAEVMRPLITVSSLSRAALWNGALRSKNAHFVEHPTPTQVFFVCTTLIFKLKWRSTKTQQCKKA >A01g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20904466:20906039:-1 gene:A01g507150.1_BraROA transcript:A01g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSETHLNLLNILQTTSKKVLENVEEKKEKLPKHLKRGVIEKEMDSFIKRVLRIPLDKPFEEAYFIHRLWMFFRETKKTEQDIHRIFNQIREKMTQRITLKKKSDSGKFAVPCLWKGIEFSCALCDTCSSVNILPKTIADHMGLKIEPSEDSFTFVDYSTTNSGGIIRNLEVQIGNALVPVNFHVLENKQNRSPFVLLRRAFVPIVGAVCNMHTNQLCLTLINHDVYYDPVIIIKPKTSNTGFIAACHYDYEDEYETKYSGSIDSGTPLSIDISIHPPSTTCPENRSTSVMRVRLSLCQYTAIQALNWAENSLHESFAVDTELPEMKSDEYDNVYHKEKDIEYFGLAMDDRGLLHTLFAFATSTSIDSNIKPSIDDDPTPNIEVQDKDNTYYDYITPDEFDIFRDPEGQARVMDGRILHISNGDIVEIIAMNGCSNFYIPKNRSEDLPSIDNAAAPSIDGHFESKQSTLHPNRKRKPRWENT >A10g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14741946:14742329:-1 gene:A10g505750.1_BraROA transcript:A10g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRIRPGRESDSDPEDLEHAEKLRHIEEVP >A07p035390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25750465:25751861:-1 gene:A07p035390.1_BraROA transcript:A07p035390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFYLGGRDNNSKQDHHQVDKDHHHHQDKSNYLYLYKDEIYNTNKGFEIWPPQYFQQQQEQQQHVTPPSNFYSFGMVPSGSSSGNNNNNRSRGLYFNVVSDHEPGGFTVTRQGGMNCQDCGNQAKKDCPHMRCRTCCKSRGFHCQTHVKSTWVPAAKRRERLAQLASLQHHSASSRETPNANAKRLREVDGGDNDDDKDHNGGGGSSLATRVVNTNSNSGLEAIQKLPPEVSSPAVFRCVRVSSIEEDEDDQEYAYQTAVNIGGHVFKGILYDQGPDQDHHHQFNLLASTATTTNAEEIAAKTAVTIAGNNNSGLILDPSSLYPTQLNSFIAGTPFFTPPRD >A06p015800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7060685:7064182:-1 gene:A06p015800.1_BraROA transcript:A06p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRVLKSIQALAAHSLLFSFTLFLVLKLDHTLSSSWWMVFFPLWAFHAVVARGRFSLPAPVAPRNRHWAPCHAVVATPLLVAFELLLCIYLESSYDYVGGHYKTSWPPAVSLKIASLPLLAFEVTILIDNLSFIFRMCRALMPGDNDSINDEAIWEALPHFWVAISMVFTLAATFFALLKLTGDVAALSWWDLFINVGIAECFAFLVCTKWSNPVIHRSSRPRETGSSSTPIRYLDWNSGLVVTPEQDNHQDRYCGLQDIGGHLLKIPVIVFQVVLCMHLEGTPEIAKDISIPVMFSPMFLLQGLGVLFATSKLVEKIVVLLRGEAGTGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSMEEQARLYIDGESGYNTFSGHPPEIVKKMPKEDLAEEVWRLQAALGEQTEITKFSQQEYERLQNDKVLCRVCFEKEISLVLLPCRHRVLCRICSDKCTKCPICRVAIEERLPVYDV >A08p027910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17567729:17568922:-1 gene:A08p027910.1_BraROA transcript:A08p027910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSNDRDKSTHDKFHRTDSPISFLVSTSFYRPKWEKAKLFLSISVEIAKIHYLSPLGEDLISKKFVGASGAAFMFSQAMNVVVGPKIGRKLLTGSYVVEDVMCSKCGEKLGWKYVETFNLKQRHVVCLRKRTRMCVSMFDCWLKSHSLAAIPWLTYKSSSSVSALIYVQ >A01g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11599211:11603300:-1 gene:A01g503850.1_BraROA transcript:A01g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQNSGKHGLSLLRSSGDSIRRFDENAKTGVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVATERRSLRSDRAGRSLGRYRPNGTNARSLRSDRAWLSSVASDRAGRSLGRYVATELWPELGCYVVTERDDRLKCKDVEQEKDCEKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFSFVRLDAASVEESCIPLFRRLPNNRPFINRLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGQALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPTKESARPRVVAEGSRIIKGGLSLLGSAIEAGQREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRTERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A04p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21375021:21381065:-1 gene:A04p037470.1_BraROA transcript:A04p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP93A [Source:Projected from Arabidopsis thaliana (AT2G41620) UniProtKB/Swiss-Prot;Acc:O22224] MANDQEMSGWTDLLHSSSKLLEQAAPSSQFPPLQRNLDQLEALSKKLKAKTLRNEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNVRSFNDYMMKVLEEDWRKEKRDFLQSLSRISMLPKTNMIDASREANAGQLVPVASSTRGVSSTLGKELVALANIPIHEKKTYVYGEAVKKLNMSRERGLPFKPAMSFKEAYESLGAEGTHGKSVNIQKIWQLVQAITGEDSAVRQGVSKRMSLAIGARRHLERGHEKHIMDTIQTHPTQAALGGSVGNLQRIRAFLRIRLREYGVLDFDSPDARRQPPVDTTWQQIYFCLRTGYYEEAKEIARSTRSSQQFAPLLTEWITKDGMVAAETAAIASEECEKMLRMGDRLGRTAYDKKKLLLYTIISGSRRQIERILRDLSTLFNTIEDFLWFKLACIKDVSGASSSSVVLNDGLAPYSLDDLQTYLNKFEPSYYTKNGKDPLVYPYVLLLSVQLLPAIMHLSKEAGEGGYNIDAVHIAISLVDHSVLSEGSGTGHKLSVMDANAEASSMVRQYGSMFLHHGDLQMTVEYYAQAAVTVGGGQLAWSGRSNTDQQRQRNLMLKQLLTEILLREGGIYFLLGGRGSGEEGQLGRFFPDSRLRQQFLIEAAHQCQEAGLYDKSIEIQKRVGAFASALETINKCLSEAICSLARGRLDGESRTSGLILAGNDILQTYKYYPEVSLQEGERVMEQETILRELEAILSIHKLARQGNHMDALREIAKLPFLNLDPRVPDATADVFQSASPYFQTCVPDLLKVALTCLDNVPDPDGSIRAMRSKIAGFLASNTHRNWPRDLYEKGVETGKLGGFKLDWDSPGNRDVVLRRRFDSGEEVVVSALLQQEPIEDADDIAFPRGAVAKVCISKPNPRLQDALKQYLTSKGISEGLTNYILCHLNKKEQEQYVNWLHKLESTVSHSLKP >A10p036360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20715002:20717215:1 gene:A10p036360.1_BraROA transcript:A10p036360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKGESSSTFKMDNSAQERSLPYVPDCYAVPPSYEPRDALDSNSEIVPTIDISRLKSSDDERRGVIQELSSACQRFGFFQIVNHGINQNILDDALEVAHGFFELPAKEKKKFMSNDVYAPVRYTTSIKDGLDKIQFWRIFLKHYAHPLHRWIHLWPQNPPEYREKMGKFCEEVRSLSLEIMGAITESLGLGRDNLSSRMDKNGMQVMAVNCYPPCPEPTAALGLPPHSDYSCITILLQNLTGLEIFHPSAHGGSGRWVLVPEVKGVLKVHIGDHVEVLSNGLYKSVIHKVTLNEEKTRISLASLHSLGMDDKMSVPYQLVNNENPARYRESSFNDFLDFLVKNDISQGEGSLTLLESRTDRVKKKGLIE >A01p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8839512:8847426:1 gene:A01p018160.1_BraROA transcript:A01p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSQIFLILMIMSSSSSFSFGGSRKELRDKDESYTESSYVVGSKFVDPRRVLQLSWQPRSGTFSWIYIFIDQRKKTSEIKSGDADGKTQLVSSEQALDVADPIVAGIEEKISAWTFLPRENSSPIKLRSYTSEKTGKKLDYFGEDSSSVWHESLLATIILYVTNTTQGGELLFPNSEVKTKNSWSDCSESGNILRPVKGNAVLFFTRHLNASLDPRSTHFRCPVLKGELLAATTLIYAKKQARNDENSGECSDEDENCGQWAELGECKKNPRPITFSDFLCFRSVLAFESIPPGSLFILFSSSHSLFRRASDLGFCLQLKSMELVKQEGNDSLDMLIRRAVGKDPFLTFHRPENSPVQLFQLLNTLERPGWPLLAPLKIQLHKCDKCTREFCSPVNFRRHKRMHRPSRKPEMYSGKERDALGAFWDELSVFDAKEILSLKNMMLENVRGESVESGLISLIENPGYTALPQYYLRAGSDILDIIQGRPLRFPIYSNQLFSILDDASEKTFMTNEAASIQKYIFDGENGKNVLEAKNVVACASFLLEQQLIKAWLADKDAEALRCQNLLVEEEEAAQRRQAELLERKKRKKLRQKEQRVKDQTKDAKEDESTTSEEQQQSPAESSRPLSVASDTEAQRSDSIPTEDSSSLEEPQVLEIDNERNGDTQAAIVDGDGFGNGQNMERRSGRREMERSQYGMPNGFHGNHAPKLGGMRKNGTNRDARANTTKVWSRKADNPKSISPDAVVAEPEQTKNSEVLIGSVSVTIGNSCLSGEHNQAKCSSEEEGRTKAVEAKPTSEQSTAEVSRPVSSQGRKVSTSNGNTDKKDEHSSSTQPEVKTANHISLQFNNHEAKAFLAKRWKEAISAEHVTLVLSQETNMPGNNTHESSNGVITAARPKHRMKPEKGANVKYVPKQKIP >A01g511520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33472015:33474270:-1 gene:A01g511520.1_BraROA transcript:A01g511520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQRRWNPGIGREIQIDTISAHYNQDGKFGNQGRNRERRSVSDLRGFAGESFQFGFRSAISIFTKTNPSQTEGIISVIIKTESFRIVSLRVSFISRLKIVGFWKARILILWDNVIRVIRRILDCGFVCRFSIDNVDYGRCGINGIDLSQGKKYLGDYYIMGFGSHHFNTTFESFSTYVSFSLICCLVSWLVVMDDAEYGLNDRARSYKGVVINGNGGQQDRGREKREYQGKGKGKMFEETDSKWVRAADRESKASNHKNHRNGHRGDEGNSRPRNSRREHTRTHHQDDRSRNSAGTRGERVSRTEFQPEGLEEGEIKEKEMERSTQMEEKVPERTQPSQAFLDALMETQGELSKVLSNPSSGEQELGVENMDLGIVDGNNVETDGSMGLAGNYIEDASFGNHEGFGEDEVQMLAEEEMEEEVKAQVVMPEALEEKKKLEDMDGKDGVAGEVEKRQGIRKKVVKPSVGAAASNKLKMAQLVSAKRVVAKPGIRHGDHSKQGEDKGTSGPKHDSAKQVKDP >A01p051110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28682270:28683591:1 gene:A01p051110.1_BraROA transcript:A01p051110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALKAIILLLMDHQLPRQVAMICSSSNIHQKDKAMNEYIDPKQSRLDYDDEDAATYPCLPKKKRIWAIDPDLLSGNLFSPFDLSAEYKPSVLEKDRTLMVETSLEAEEDDDGDRENIDPLDEEEEDGIMCDICQSTDGDPSNPIVFCDGCDLMVIEEERERRCSLAACVQPKVGAMNPTKDGRWAHITCSLFVPEVYFEDPEGREGVCCSEIQSKRWEDVCVIECSEMKCELGFHVTCGLKEDLCVEYREGKKSGGIVVGFCDEHTKLWERESGTYKIVARN >A05p008810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3634132:3639284:-1 gene:A05p008810.1_BraROA transcript:A05p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAENSDSIPIDLILEIFSRLPSKSVGRFHCVSKLWRSMLSCPYFKELYLIRSSTRPRLLFVVHSVGEEEEDKLHFYSSSQPPIPYDKSSLVVAADYHTTFPSERCSYASGLICFRGKGCSGEEAMTLICNPITGQYAELPKVIMYIPSTAFLGFDPIDKQFKILVEHFRYSCATHRHIILTLGVPKLGWRSDICCPKYYLYLSDGICISGVIYYLVRARYSKRVHEIVCFDVRYEEFKVIEAQCFYNHHQTLRLINYKGKLGGITGNLNDSGAIELRMWVLHDVGKQEWSEYVYTLPENDDIKLHDITVAGMITRGEFVLSMIDTFKPFYVFYFNPEKNALRSVEIQGFGEDVSSVEVFVDHVDDFNFIRRDYGEPCLVRSPYYKELYLTRSSARPRLLFAVDKVGEELHFYSSPQPQIPYDKSSLVEAADYHTKFPSRRCNYASGLICFSGKCHSRKDALTLICNPITGKYAELPKVIMYNTSKAFLGFDPVDRQFKILVEHLPYPSARDRRHITLTLGVPKLGWRSNIGCPKYYSYYWSEGICISGDIYYVALEKNILVIVCFDVRYEEFKVIEAECFNRKTPTLINYKGKLGGINWEYGDSGAIELRMWVLHDVDKKEWSKYVYPFPENDVIKPQELPLLECLLEVNLFCSCSIHLNGFMFYISMPRRTLSGVLKSKVLVRMLVVLKSLWTMWRISTF >A10g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13133118:13135352:1 gene:A10g505240.1_BraROA transcript:A10g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRFTFISQNGFHFYEFPSYISHQQWPKLRSPLGGFAVRESSSLLCRFDIVPVDSPFKLQLRSYLLILQSPLMASSLLPQDLIEKPDNGLMFTILHRSSSTGSLQVPASSICFDGFVATAVKSPSDQNSGQNPAVKGFVGSEPPKIDSSVPQVENYKDAGGEDVNEDSTATVAPVDSVIVQNLVTVEVIPDGTSISASATVANIDSATILTVSTPVVAVASVTRTPAVAIPSDTENIPTFMAPSPTVNIGSIHAPPTSVSTTVIQAMEALSISSVATLNSTSVSDGQVLASTPSSFVEILPTTTESEPSTPATIFKATVTDTPSSNSALSENAPLNTNHAATLGDFPVTESVLLTPPPKVSNDIPPSTSGGLSFTPFT >A03p059990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25955102:25956529:-1 gene:A03p059990.1_BraROA transcript:A03p059990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVTSSFLRPLAMSESSSSTIFSHPSFSTISSSRTRCSLLTKRTNLPLSFSLSLKTKTHLKKSRFVTSVAETSDWSQQDGEEADGSSSSVAVEESEPPEATFSEGEGDVSEGGDFPEPPEEAKLFVGNLAYDVDSQALAMLFEQAGTVEIAEVIYNRETDQSRGFGFVTMSTVEEAETAVEKFNRYDLNGRLLTVNKAAPRGSRPERQPRVYEPAFRVYVGNLPWDVDNGRLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSNETELNDAIAALDGQNMEGRAIRVNVAEERPRRGF >A09p061950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50892105:50893563:-1 gene:A09p061950.1_BraROA transcript:A09p061950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSASAFSLVNIHGGVNKDTSPLSSSSSLSLPVSSGKSRNLSFSVSASTQTLSGVVFEPFEEVKKELDLVPSNPQLSLARHLYSPECEAAVNEQINVEYNVSYVYHALYAYFDRDNVALKGLAKFFKDSSVEERDHAEMLMEYQNKRGGRVKLQPMVMPQSEFDHAEKGDALYAMELALSLEKLVNEKLLNVHSVASKNDDVQLADFIESEFLNEQVEAIKKISEYVAQLRRLGKGHGTWHFDQGLLEAAAA >A05p017060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7630914:7631222:1 gene:A05p017060.1_BraROA transcript:A05p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVRMSSDKGVVIFSKSSCCMSYAVQVLFQDLGVHPTVHEIDKDPDCREIEKALMRLGCSTPVPAIFVDGKLVGSTNEVMSMHLSGSLVPLVKPFQANLC >A02g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15532804:15538441:1 gene:A02g504860.1_BraROA transcript:A02g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVVRVFTSGDTQLALGATSCSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVLFLLELVISQGHFATRRRIIFVLRKTTKNLWKGISLNELISLLLRILCSYLFPVFLYMINLKSNMGLRGIMEINAKIANRLVSNDCFHIIQPKTFDKSYSNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQLKRGEKVTIGRTEHGSDLPERHHEVAVFHFSERPS >A03g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3317008:3319490:1 gene:A03g501070.1_BraROA transcript:A03g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVMMMVLVLVTVECDLKASNIPFSPSSASLLEDEVILPQEVLNLFERKKVLSEMTQKICMVMMMVMVLVTMECATINQATEGYGSCITECVEKCGTDEHCRYHCRWICPKPHLPQVILKEETILPQQGRNAICYRNCLIKCGNNEACMHACLEKCLH >A04g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1844408:1844668:1 gene:A04g500630.1_BraROA transcript:A04g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTIPMPVLFLLLLLFWDETPAPPSKMSNNVIHNNTSLTTATIFHTNDHEIKNTISARKDQKLFRDLMHLWIR >A07p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9756357:9757013:-1 gene:A07p015760.1_BraROA transcript:A07p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVEMDVPMDCPGCERKVRKALESIKGVRDVQIDMNQQKVTVTGSAEQKKVLKVARSITGREVCLWSCPYHPESNGFNDKYYKKKFRKRIIMSKNGEKVSSYNYYMHGYHGHDHGYHQERPYSGLIDENATSMFSEENPHFCNIM >A07p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13189798:13191653:-1 gene:A07p022620.1_BraROA transcript:A07p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSVIRKGSFLFPNFLFLFFLERYSLLHGFSRETKMASSSSPCAACKFLRRKCTQECVFAPYFPPDNPQKFSYVHKVFGASNVAKLLNEIAANQREDAVNSLFYEAEARLRDPVYGCVGLISILQHRHKQLQQDLENAKKELAAYVGPQAMLPILQTQHHPQPHFMSLPPQPQRPSSSSSSASVLTQQQQLHHNLFPMMAIPTGQLYHQQQQNIFEAQQLAAVNAVAREQQQNELFRAFGAGGGSNTSPHHHHQNQPQVEVLRFDNGFDFVPTGSVTVTGFNQLSSSGTTETQTQSNSESEEGRRSIIDSSR >A10g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19253306:19254028:1 gene:A10g506610.1_BraROA transcript:A10g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNIPFSDSIIIRQRRTLPAQKGGTPHKRIYNMTPILQISASEYRQPKVSGLERSLLVFAEQQKVLRLQIPVNNPHGMTSMHNLNNGSQQGSSSSLRVMPFSNNPIEQLSTSAKLHDQMHRVFILISSFKLHNVRLTSKVMHYLHFPSYILNVFFVHKLPFGNGLASELLTCRLLRAEVRDAELSSP >A04g504570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9474311:9474922:1 gene:A04g504570.1_BraROA transcript:A04g504570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSALWFPSPCGETARPKVRRWRRESAFRTEDPTGSGFLFFSSPVNLHGISAWFRILYPGSRGQHAPSFTMTELSPGVVGLVGDAWRSSAFEHRQMGRDSLRWSTSAFLQAAIWYTGRVSCCQGPSFSPVLVTGFLAVNPTAGGPKLSLICALVWILLVTG >A06p007570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2622629:2623414:1 gene:A06p007570.1_BraROA transcript:A06p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSSWSIYSTKDGESDGPWRSSTSMNAISFGFVATAILISMFLLMAIFEHLFRPENSTFESPHRIRQRQNQSRDGSTHFQKLANQASMVQVNIAMDVSVVMPGEKLPSHIALPAPLPCGREGIRWPLHL >A07p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18278074:18279176:-1 gene:A07p033430.1_BraROA transcript:A07p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHFDVLTKSGEKTGVSKPRHVSEIHRDGDYHRAVHVWIFVESTQELLLQLRSDDKDSWPGQWDISSAGHISAGDPSLISAQRELEEELGVKLPKDAFEKIFVFLQECVTNNGKFINNEFNDVYLVTILHPIPLEAFTLQKEEVSAVKYIPYEEYRSLLAKEDPAYVPYDVNGDYGKLFEIIRQRYIFSHWFFLSYFLFFVWHIHCYAKGCI >A04p036640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21025957:21030340:1 gene:A04p036640.1_BraROA transcript:A04p036640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVYTQDGTVDLQGRPVLASRTGRWKACSFLLGYEAFERMAFYGIASNLVNYLTTRLHEDTISSVRNVNNWSGSVWITPIAGAYIADSYIGRFWTFTASSLIYVLGMILLTMAVTVKSLRPTCTNGVCNKAYSLQIAFFYTSLYTIAIGAGGTKPNISTFGADQFDNYSIGEKKQKVSFFNWWMFSSFLGALFATLGLVYIQENLGWGLGYGIPTVGLLVSLVVFYIGTPFYRHKVIKSDNIAKDLVRVPIVAFKNRKLQCPSDILELHELDSHYYKSTGKRQVHHTSTFRFLDKAAIKSCSRESPCTVTKVEVAKRVLGLTLIWLVTLIPSTLWAQVNTLFVKQGTTLDRKLGSDFQIPAASLGSFVTLSMLVSVPMYDQYFVPFMRKKTGDPRGITILQRLGIGFVIQIVAVAVASAVEVKRMRVIKEFNITSPKQVVPMSIFWLLPQYSLLGIGDVFNAIGLLEFFYDQSPEEMQSLGTTFFTSGIGLGNFLNSFLVTMIDKITSKGGGKSWIGDNLNDSRLDYYYGFLVVISVVNMGLFLLAACKYVYKSDDTKEFGGGCVQMEAKALDTSPLTL >A06p051140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26884472:26886626:-1 gene:A06p051140.1_BraROA transcript:A06p051140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLSNMKNYNEKREKCCEYIEALEEERRKINVFQRELPLCLELVTQAIEAYKKEISGTTTDTLYGQPECSEQTTGECGPVLDLFLPIKQSSASTDEEEEEEEVDDVEHESHDTGIDFVDKNMKSEWLKSERSQQETQTLVEPIKENDNGAGSHQPPCYESNEKNDYIISLATTSSGSGRQKTKAERGGSSGSGGSSSRRGQRKQRRCWSHELHRRFLNALKQLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSQTIPNNRDSQTQHFVVVGGIWVPQASHSKANAVASRETTTGIYGPMASPLPSEWPSHSNFGGEISEEISICSDKGIVRCSSPAMSSSTRTKTKDAKMS >A01p016850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8335811:8339127:1 gene:A01p016850.1_BraROA transcript:A01p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VC2 [Source:Projected from Arabidopsis thaliana (AT4G24510) UniProtKB/TrEMBL;Acc:A0A178V1H6] MKASPVTDVKISSVVPASMTGENKPRHLTAMDLAVKLHYVRAVYFFKGTCDFTIVELKNITFPLLDLQCYDHVTGRIRILNNNDPSAQAIPYIRCNDSGMRIVEAKVEGFTVQEWLDLDDRSIDHRFLVYDHVLGPDLFYSPLVYLQITQFKCGGLSLGLSWAHVLGDVVSASTFMKTMGQLMSTRGPSKPVYPKKPELNPHANGHVDDDGEAISIKKVESVGKYWLLSNKCKMGRFNFKFSLEQIDRLMAKYQSASEVDILYALIWSSILNIRGEKDTNVITICDRKTSSTCWNDDLVISVVERKDEMTEVSELAGLIANERREETGVIKGMIDKDRGSSDFITYGANLTFVNLDMCDELEIKGEKPDFVNFTIHGVGDKGVVLVFPKGEFARVVSVVMPEEELAKLKIEVNNMIM >A09p076700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57457067:57458723:-1 gene:A09p076700.1_BraROA transcript:A09p076700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEPYVLFFDSLNLVTFETFAWFSLFIATVAFFLSPGGLAWACTKSRVSIPGPSGSLAVFSRSNPHRVLAALAQRFKASHLMAFSVGFSRFVISSEPDTAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRIASTHLFSPRRIAGFEGVRVGIGMKMVKKIKSVAMSSAGGEVEVKKVIHFGSLNNVMTTVFGESYDFDEVNGDGCFLERLVSEGYELLGIFNWSDHFGVLRWFDFQGVRKRCRALVSEVNTFVGSIIEKHKMKARNNLNGEENDFVDVLLGLQKDEKLSDSDMIAVLWEMIFRGTDTVAILVEWVLARMVLHQDIQAKLFKEIASVTSNNTRSLSDSDISKLPYLQAIVKETLRLHPPGPLLSWARLAIHDVNVGPNLIPAGTIAMVNMWSITHDAKIWTDPEEFKPERFTEGEDVSIMGSDLRLAPFGAGRRVCPGKAMGLATVHLWVAQMIQNFEWVKGSCDVDLTEVLKLSMEMKKPLKCKAVPRNVCFG >A03p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10898503:10900620:-1 gene:A03p025870.1_BraROA transcript:A03p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSMSSPSSAVFSPEPLSPSDHLCYVQCNFCETILAVSVPYTSMFKTVTVRCGCCTNLLSVNMRSAALPASNQLQLGPHSYFNTQNILEELRDAPSNMNMMMMNQHPNMNDIPSFMNIHQHEITKAPPVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLAPDNQPVKKTNMPQQDGENNMGMKEGLYAPAAHVGVAPY >A10p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19170017:19172583:1 gene:A10p032390.1_BraROA transcript:A10p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDPTTLLLTKIRILEPDYAPKIIGYLLLQDFSEKDLMRLALGPETVLQSIILKVKGQLGLFTSPSTPTSPSPFNPISRPPINGRGGGGGGSPLSNGFMDFRRNSPSSSPSPTSPWSVNSVGLANGSILKPLSSHQSNGDAASAESDLLEDQQLNDYLSFLDDSKTEEAVDLTDYSVDNGETHLLHRRSFSADASFGSGEDGFGAGFKPCVYFSRGLCKNGESCKFVHGGFPDNVDGNGIVADSSRKVESFGRQHEEMMRLKMAYQQQRLASQLLGRVPQMSYEKRMEFLLHQHAHRDGALPFGDERYWSSSPGRLERMELMAMQFGDLSNSAARQIYLTFPAESTFKDEDVATYFSLFGTVQDVRIPYQQKRMFGFVSFAQPETVKVVLARGNPHFICDSRVLVKPYKEKGKAFDNDSRKHQYLLQQQMERGNYSPCSSPSGIDPDPQLGSKMFYERREMMRRKMEEAELHRAIELERRRVINLQLPEFKNSGGVSNHHRSFSVGSPGYFPSAINQSPDIQSELTSADAFKVVDDTNSKSINGNNYSNGAKEGTNESETDTGSPIELVLPSNLFPSATATDDSAETNANAGVSVSSTNGDEPPATTSLFLLMDKMRLQEVYVIKTVKKAYTRK >A09g512250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35816644:35818273:1 gene:A09g512250.1_BraROA transcript:A09g512250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDLPDVVGEVKGIRTIFNKETQSIQRITVTIMIENYVRMKQSSASVLDGLAIAKLLDELLIASGVEPKVLLSTNVNPKTNRSANDPIEPPVSAGYNGEEDLTVEEGFDTKLDNSDINGLPQSLDLSLLQLLSLLFELVGAYDVGKLNLELEVRMISVCIQLGFKDQPDTDTLLCKVFYQDIHRIGMTKEDKESQ >A03p040430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16838421:16841421:-1 gene:A03p040430.1_BraROA transcript:A03p040430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLEPNGFEMISLNVYDILGASGSMKRTEKDKYHDIDKRYRQRYVDMIANPEVADVFRQREKNAKDRVLLALQDVLEPKDKSGVFEVVVEPKLVQPTFVLDYPIEISPLAKPHRGNANLTERFELFICGREMANAFSELTDPVDQRMRLEEQVRQHNAKRAAAEQTTKKEDDDDDEDSYEVTLDEDVLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIPQLAEMESRPPQVASSATTVVDDNDDDHYVDAHVEEGVDHVNGLLNEVSEADCVIEEKEEDCTLEEKQEEEEGHSDGEILRSSTKKEVLDSADGGIMEKKKKVQWVDLMGKELAEVREFESSEEDDIRYDGDKSCVCVIL >A05p027650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000136.1:9936:17318:-1 gene:A05p027650.1_BraROA transcript:A05p027650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALHELQSCSLTSGRSGGVLHVSWTCSQPCGARGAAVHASGAMRSDTRAATNLKLIGWCLLYKDHDPFSFHSSIPFKSKLKKWVRERERSRKRNFSTDFKSAPREGSGQLKINQLKINSDGKQVNVASSVQSAILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDTLPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVKGSLGFWPSPLRSTSCFSPRTLFPLLEARSWQEAKSNLVTLSSEDRYSTEKASSVQSAILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDTPPKCPKNCPAAKEDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSRRPLGFGQVLSDQPAASRLEHCELVPVIFKDSFSAGGWTIWVTVLILSHKSGLGTGLGLVFPLLEARLWQEAKSNLVTVALGKDDRIAWCWRSWSNVCDSDRIVPSPSRSASGPWCWVGRSVMFLFDCWLAGWPFISNPWCGSSVGH >A04p004510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2264270:2264680:1 gene:A04p004510.1_BraROA transcript:A04p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRSKSETAKRVAPILKKETANTSEMEWLRYTETIHKITILITGFELSNEQAEELKKNMSRETYKQLSRDSVCQLKALNVRTDESCTVTLIEKSVNKLILKWTLEVGKTIDFDFTNSFWKDDDHSGCDKIFYATR >A10g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17598654:17600110:1 gene:A10g506270.1_BraROA transcript:A10g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLARSGVKTESRKRADNRKLSRFSPYDRGYDEPHNNKRHDHQPRERHNHSDPRDFQEISRENRREGNYHSRHYSSSGDREGNRSNRPASDRLPPVRENSYVSSRPQGMRTVNPASKGYWRPVSGDGVRGQSNSVQSQVSHTPSPRTQREPMILDRNPVASPYTPAASNNSGERRYALERLQGAPDRVPLL >A09p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1922554:1923042:-1 gene:A09p003200.1_BraROA transcript:A09p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVSRPETNEGGDYKLITRRGSIGKDSEISESITLDQELSTHSKRSKTGGEKACKEEEDVRENAQEEKGDEHKLSPSPSFRIYCVFPRDPNDDNVVDDLPRNKNTSEVNKVESAKEKAHTKFACLKGCVK >A02p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18876289:18877093:-1 gene:A02p034730.1_BraROA transcript:A02p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEAVNPKAYPLADSQLAITILDLVQQATNYKQLKKGANEATKTLNRGISEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASQLKSQIQQLKDAIEKLLI >A07p020280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12000661:12002091:1 gene:A07p020280.1_BraROA transcript:A07p020280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYKDDSSPYCYFHPKEKYVGVCPFCLNERLLVLASKQRSSRTKHLSSSPIIGSLPKIFALSSLLSRLDLRLHRKFHPSSDLDVSTSQEDSFISIKFGNDGNASWEKKTVSKVCVDNNTNSTCKTQQSPITSIVEYNSAKSSLKWRKRIGHLFHVIKLRSGSSTSSCHVASSSSKVEGTKVRKQGWMVRTLTRRKSKKMIDASGQEACSGPYFFLGMWSESDKAIKRCGEGSR >A05p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29795317:29798235:-1 gene:A05p051100.1_BraROA transcript:A05p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 50 [Source:Projected from Arabidopsis thaliana (AT3G06980) UniProtKB/Swiss-Prot;Acc:Q8GUG7] MLARAPPPSITFPARSKVCNRREIVRLFRNGGGTRAGFTRSCSNEVVSDSTDDGFIVIKAEHHEKELYPPPPSDLASSIPSESSRRDGSRSRGVTASFGRLKAQKVKALVGKVTQKKQQVSRNEEEEDEDDDVFEDEEFGGSSILDLMRKKLAMKAIPSSGKSSEVKRFSRVQESRELRDRDRSQTNERDSNGYAAANSRGRGDRLSLAKDLDTFKGRDRGVGEVSKFRDNERAGSRSSYSKDSAANSRGREDRRFVNKESDTYQRRDRATTDEFSDSRYFNDNERAGSYSSYSRGASGNSRGWGDRRSVVYARDMEDWRERGNRTKSTRETGFFSRKSFAEVGCSEGMMKALKENNFDRPAHIQALAFTPVVDGKSCIIADQSGSGKTLAYLVPVIQRLREEELQGLSKSSSGCPRVIVLVPTAELASQVLANCRLISKSGVPFRSMVVTGGFKQRTQLENLEQGVDVLIATPGRFTYLMNEGILGLSNLRCAILDEVDILFGDEEFESALQNLISSSPVTAQYLFVTATLPLEIYNKLVEVFPDCEVVMGPRVHRVSNALEEVLVDCSGDDNAEKTPETAFQNKKAALLQIIEENPVAKTIIFCNKIETCRKVENIFKRLDRNERQLHVLPFHAALAQGARLANMEEFTSSHPEDHSLFLVCTDRASRGIDFSGVDHVVLFDFPRDPSEYVRRVGRTARGARGEGKAFVFVVGKQVTLARRIIERNQKGHPVHDVPNAYEFTT >A07p002770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3624337:3624731:-1 gene:A07p002770.1_BraROA transcript:A07p002770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEATRQGSPSSTPQLQWQVYWERDEKAGGEGGTSMSELRCGKDNMTWICLRSSPLWRKIIGLWIQ >A02g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22790926:22791801:-1 gene:A02g508400.1_BraROA transcript:A02g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMAQTPVVYGEWVVKGFLWDFVVNNRKGGRMFLVPDGCTHGELHEMALKDYGMDKKIEKVALTYSLPDVILQQMTPDTPPMRVTNDKQVRNLIELDKTHFLRLYVSSQSQDSDEDANETLYDGYKAQFSCGERRSLSLKDNIYVGQSFASKAELVSKLKSVAVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLKASVKHGPKTFWVTKYLKRMKNRNG >A09p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1212299:1214295:-1 gene:A09p001640.1_BraROA transcript:A09p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKNQINGREEEIKNKMKKKRSRGMHVIGVVLYMLRRRRRTKPFKNGFWRRVVESFQQLKNDKITMQSPSNITIMPPASPVKEKPPASNDDRLSEMVEGLDDVANPKTPADEIVPDIRPPGLEKEPLKPPKNPRTTSHKIESTPVGLPADPSLQQKRK >SC361g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000203.1:4615:5687:1 gene:SC361g500020.1_BraROA transcript:SC361g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLWKLLLSLQSLHTRSRLDRVAFIFSQPRVLLRLHTSGASPLLSQLSIAPHNGQSLPLSTRMDGEPRTPLVNLKHHSNSSKDKTQVHWIQYKLLRQFLKLLYSAFRHESNASINHTLSSGFSINTHHNNQAQSHHKLNIKKVLKNRLHKEPGWFLKPPKAIWP >A09p056200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47876701:47877633:-1 gene:A09p056200.1_BraROA transcript:A09p056200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSSIIAPSWVFLSLGSMTVLVKRVASNVLSELWNELVSRGRIEAIFNRLSKWVMSALFASIIILLRHDGTALWAIIRSVSNSALSVFLKRLLNQARPATTSRLIPGCHLLMPSPCPSYLCLRFCLVKANLNRDIHCFHYQRYGMAWNQRSLSVPKHMIILALGSYLIRLRVSQKLHTSNQVVVGGLVGSVFCIFRYKTWNLLLLEAYKSSLLLQLYVFLVASAYTLVVLNWFRHDR >A05g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7175688:7177866:1 gene:A05g502260.1_BraROA transcript:A05g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGGRDGSKGFMKRVTSTFSTKKNKNTTNDPKPLLPRSRSTGSNYESMRLLHGQGKRALPDVNTKRTKSAGVSPQPRRQKIDGSGKQFTKLRCFDDSDSVCLSSDCASSSSLLGQRRVSVSFHFSLDERVVSWLSNAAKNQDDTISTEESHQKSSKKAKYSSESNNNKTCPSEESYASPELTLQEDKKVSFSLESEMSLEKAAETGDSKSKTVAEPLFWPYEQKFDWTPDDILKHFSISPRRKKSTGNKSNGTSPRSMRAQLQTRKLVLKEGCKRKLMFNGPGTSPKQARTIGNKKTKMSKNQQQQPIMKSLKRNNSLPASLKRNSREEVHVQAAEERVEMCKKTPKKLIMTRRSRTFIEDDFALMNDFSIENAVGLCEFRGREGIDSDFNTDELHSFDSKTKEKKALYLVVEIE >A01p014690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7094235:7098829:-1 gene:A01p014690.1_BraROA transcript:A01p014690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHEFSRHETSQSSNLIPVDVLIDILTRVPAKSIARFRCVSKFWASSILRRRDFTDLFLTMSLTRPRLFFPIRSDGKLFLYSTHQPHNPDDDDDDDSSLVATPYHTSFPEYFPCDIYSPVCGLVLLQGCERKVRVVCNPATGEFLTLPKVLLKEKTKLITAQKKKEKIARMYLGYDPMSKQFKVLCMTTNFSPFDVRDNTHQVLTLESGKKPALGLSSMIVCFDIRSEKFSFINTKKDVEQGHYTYSFTLFNYKGRLGIYHEEDSCYQIGRIVFWVLEDAENHKWSKHIYKLCPLEWNLIRYSMFVGMAGTGEFVWSSSYKDSPNSFYLSFYSLESETFTKVNIQGFDELKQQHRFISTYLDYVENVKWLIFIYTNEDHEDSYFTPGNLTLFNYKGKLALQEDSSQDGLMFWVLEDAETHEWSKHIYELSALEKDMIIYTMFVGMTGTGTEMEEELRDMKAHKAYISMVDFVAEAQQGIPKLCPCGSITKETVDEEDTYDYLPGKRYFICKDFENDGLHFRQPWVTGVTEEVERLKLRVHEHEKLLRECEALKAQVAMLVKRVTELELLH >A06p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4849537:4853587:1 gene:A06p003110.1_BraROA transcript:A06p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLAFPLSPTHSSLPPHIHSSQTSHFNLGLVNDNMDNPFQNQEWNMINPHGGGGEGGGVPKVADFLGVGKSEIHQADHNLVSYNDIHQTNDSDYYFQTNSLLPTVVTCASNTPNNYELQASAHNLQSLTLSMGSTGATAAAAATLKASPDDISTDNSSSTTNTGGGAIVEATPKRTLETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVTNYEKEVEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALSSNLHQYGAPSSSRLQLQPYPLSIQQPFEHLHHHQPLLTLQNNNDISQYDSYSYIQTQLHLHQQQTNDYLQSSSHSSQLYNAYLQSNPGMLQGFVSDDNNNNTSGFLGNNGIGIGSTSTVGSSAGDEFSTVKVDYDMPPSGGATGYGGWTTGESVQGSNPGGVFTMWNE >A09p070970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54918692:54919794:1 gene:A09p070970.1_BraROA transcript:A09p070970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIC1 [Source:Projected from Arabidopsis thaliana (AT2G22570) UniProtKB/TrEMBL;Acc:A0A178VQ19] MATDETIFDHLKKEIPVDEESLLLKPDSSVGLVIVDVVNGFCTVGSGNMAPTKPNEQISKMVEESARLAREFCDRNWPVFAFLDSHLPDVPEIPYPPHCIIGTQEAELVPALQWLEKESCATLMRKDCINGFVGSMEKDGSNKFVDWVKEKQIEVIVVVGICTDICVFDFVATVLSARNHSVIPPLEDVIVYSRGCATYDLPLHVAKDIKGAQAHPQEMMHHVGLYMAKGRGAKVVSQISFDP >A08p045710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25010913:25012038:1 gene:A08p045710.1_BraROA transcript:A08p045710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSFLGRVLFASLFILSAWQMFNDFGSDGGPAAKELAPKLHLAKAHLSSRLGVALPDIEVKHVVWAIVGLKGLGGLLFVVGNIFGAYLLAVYLVVVSPILYDFYNYGPQDREFSLLFTEFLQSVALLGALLFFIGMKNSTTTSSSSSSAKRNLKKRTPKPKAA >A02p024020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11992306:11996217:-1 gene:A02p024020.1_BraROA transcript:A02p024020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFGKCFTFCCAKTSPEGDEMATESYEAAIKGLNDLLSKKTDLGNVAAEKIKVLTQELKELDSSNNDAVERIKSGFTHFKTEIYSKNDALFSDLAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDQKRHSGVGAAVEYAVVHLKVENIVVIGHSCCGGIKGLMSIEDDAAPTQSDFIENWVKIGAPARNKIKEENQDLSYDDQCNKCEKEAVNVSLGNLLSYPFVRAAVVKNTLAIRGAHYNFVKGTFDLWELDFKTTPAYAFS >A09p032590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19750994:19752172:-1 gene:A09p032590.1_BraROA transcript:A09p032590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSPKSVHDFTVKDAKGNEVDLSIYKGKVLLIVNVASQCGLTNSNYTELAQLYQKYKDHGFEILAFPCNQFGNQEPGSNEEIVQFACTRFKAEYPIFDKVDVNGDKAAPIYKFLKSSKGGLFGSGIKWNFSKFLVDKDGNVVDRYAPTTSPLSIEKDLKKLLGVTA >A10g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7601831:7602488:-1 gene:A10g502630.1_BraROA transcript:A10g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMFCVLMFKEVRESHLIGRKRMCKFSLYTETKILIRLNIFDPEDFWKTYAGCVGRLHFKFSI >A02p054580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33124947:33127922:-1 gene:A02p054580.1_BraROA transcript:A02p054580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLIFGALLCLCLVIQATSHVENPLINHYADYEAVHPSEYYSSDFELKDGTNVIFEAINELKGIKKPKAGFQCSIGRKHWTRSLPGDVFFAKFFFYCVNPNAKVVNRCHFRSSLGYVNLDIEITPALGSRCPGYRCVLAIRREGLLMKDTNELFPWTPWPHRHTQPKLPKMINKQRTRDKLSQEGGKMTFNSDGFIKTLAWFLVVHFTAFSYAGRSHFVTTELNIRNEMQGLKRPEIVYFCQALNSGLEYGWRRAKKPPLGHTLHVLLEGGEKLEEEIHRCHFRSVRGTADVDIRMTAIDAEMCNDKKACAVHEVTPEGIIFDGKEWDFEERYPRLIPRRYLEAKWKPWPRRSDRVAQGSQRRRGGSH >A06g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14959055:14962016:-1 gene:A06g505060.1_BraROA transcript:A06g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDPSATTLGLADSFDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPGCNFRKNNLYEVIFTKKYSLGFKPNDRPARSLRSDRARAKARSLATEYRPDVASDRPARSLRSDRARAKARSLRIVATERSSPLGSVYVATELVPLGRYVATELSQSSVATDRARAKARSLRSDRAIVPLGRYVATELKPKLGRYVATEHSARSLHSDRARAKKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVTTAWFTLGPRGRINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEDDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYHIRVLWETRVFLVSLFKRKSTVRISVPTRLTTFEIQSGFKTELLKRVYHHLNSIKLHIHGDHPS >A02g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23973535:23978600:1 gene:A02g508910.1_BraROA transcript:A02g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCCLFFCGWVYLRLSDGNMDMKHESRVVKIQEENKWVWPRWVKKALGSCEIWGNQEGAKRKKGRLRRRSKAQIRRGRCWKRCILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKYQDVDIKETINKDVKTEERWAKWSWIVTGPNGWEDFESLTRPVTCTLNSSPLPLGDSKHSSCLLLFYISHS >A02p060340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36022419:36028389:1 gene:A02p060340.1_BraROA transcript:A02p060340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSASFPEKKRDEPFSNTSFEASQRTKLDMGRSNESSRGAKHNHYHSNTETSLKHEVDQLETRLEDQFKQATDLIKEIALLEMEVVHLEHYLLSLYRKAFDQQITPNSESKKPKSPSVLTTPTRRLDFCEEPCTTDPLLDDGRDRVDPVFTVATPSVQHLRRHQTSCLKEWSSVNHRLSSSPNSSLSSSAFSPSDQYDTSSPGLGNSSSLDNSFHVEGEKDFSGQCSNIVEVLCIHRDSKKANELGRRSSALL >A03p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8341624:8343347:1 gene:A03p020360.1_BraROA transcript:A03p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSPARLMIVSDLDHTMVDHHDPENLSLLRFNSLWEHAYRHDSLLVFSTGRSPTLYKELRKEKPLLTPDITIMSVGTEITYGNSMVPDNGWVDTLNNKWDLGVVKEETGNFSELTLQAETEQRPHKVSFYVDKSKAHEVTKELSQRLEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKLKSEGKLPVNTLACGDSGNDAELFSVPDVYGVMVSNAQEELLKWHAENAKDNPKVIHAKERCAGGIIQAVGEFKLGPSLSPRDVSDFLECKADENVNPGHEVVKFFLFYERWRRGEVENSEAYIASLKASCHPGGVFVHPSGAEKSLVDTIDELRKYHGDKQGKKFRVWVDQVLATESAHGTWIVKLDKWEQSGDERRGCTTTVKFTAKEGEGLVWERVEQTWSEESKLKKDDSSWII >A10g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7934274:7934927:-1 gene:A10g502960.1_BraROA transcript:A10g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVSPGMRSGGSFLLAGNFYIYPATLVHYHSFRHTKDTPKCGLERERERERERERERERERERERERERERERERERSEFRKTVQKQKKVLSEFWSVQNSPFKTLRWVFRLTPQYQDEDLEGIKRVWSTSGIKKSSHIVPDIGDGFRARIAGPV >A07p033280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18214903:18217318:1 gene:A07p033280.1_BraROA transcript:A07p033280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Factor of DNA methylation 5 [Source:Projected from Arabidopsis thaliana (AT1G80790) UniProtKB/Swiss-Prot;Acc:Q9SAI1] MDNSSESESEVSESEIADYSEKPYKQLRDGELKVKVKVDTFKCPFCSGKKKQHYKYKELLAHATGVAKGSAARNCKQKANHLALSKYLKNELAGDAEPPRLQLTVYSASQSQAVVNDMYVWPWMGIVISPLRGNDDKTLLLDSAYWLKKLARFNPLEVKTIWVEEDSAVAVVPRFSGGMDGFTSVTELEKEYEVKRCGKKDWSYKTGDWRFKTYGWCARGDDYNCQGSIAEYLSTVGKLRSFSDISKEEMQKSSIVVDDLADKIAKKNEDYNQVQYKYNEQIISLQRVLRQKEELDQTYKEETKRMQEISQRNVYRILQEKEMLSKKLEYRMKDLDAWSKELDKKQALTELERQKLEEEKKKNDAVNSSLQLASLEQKRTDDRVLTLVEEHKRKKDEALNKIRQLEEELNNKQKLQMEIQELKGKLKVMKHREDEDDEDVKKKMKKMNEELEEKCSELQDLEDTNSALMIKERESNDEIQEARQELIGGLSGLLSDRTNIRIKRLGELDEKPFLKACKKKFKGEEAEVQYAILCSKWQETLKDSGWYPFKRVGTEDKMKEVVDEEDEQLKSLRDEWGEEVLEAVKTALEELNEHNPSGRYSVPALWNFKEKRKATLKEVIEYMTLQIKNLKNLKRKRKG >A01g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:653114:654003:-1 gene:A01g500200.1_BraROA transcript:A01g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGHMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKANIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A06p057060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29670748:29673267:1 gene:A06p057060.1_BraROA transcript:A06p057060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g42970 [Source:Projected from Arabidopsis thaliana (AT5G42970) UniProtKB/TrEMBL;Acc:Q1H5B6] MEETLRSASAIADQRQKIEQYKLILSSVLSSNDLLQAKHFIDHILSDDVPLVVSRQLLQSFAQELGRLEAETQKEIALFTLTQIQPRVVSFEEQALVIREKLAALYESEQEWSRAAQMLSGIDLDSGMRAVDENFKLSKCIQIARLYLEDDDAVNAETYINKASFLVNSSQNEVLNLQYKVCHARILDMKRKFLDAALRYYSISQIEKRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKATLPDKSTVLDRAMIEHNLLSASKLYTNIRFDELGTLLGIDPTKAEKIASNMIGQDRMRGSIDQEEAVIHFEDDIEELQQWDHQISGLCQALNDILDGMAKKGLPVPV >A07g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11645656:11646195:-1 gene:A07g505300.1_BraROA transcript:A07g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGTRKSPTFSTKTTTSKDHSTTISKEVTKPTSLLRLKEALLKLKLQIQAKNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASHLKALESQVASMPSSSKQPMGSLPGKPEKNPKESCNVVFSTTSPEIELSDHEKEEDEIERLLKHKL >A05g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11162604:11163985:-1 gene:A05g503980.1_BraROA transcript:A05g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRLAVTQLGSLSRGYLARIALSSWIALSHYSAQIVLSKSLGSISYGRSTRSLAVTRHRSLSRGYSSRIALSQLFGADRFLAVARIDLSRSLDSLSCGYSAHIALSQLLGSDRSLAVTRIAHKSRACRDTAKTHPPVAISFAQPSQSKKSDRNQKYVDDSSPETILYCMIPTNITSTNTVFGSNSYHNQQRPKSAMKAVFVDGRGGTIVLLPGSHGTAVGSGKKLD >A10p032430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19193425:19194360:1 gene:A10p032430.1_BraROA transcript:A10p032430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATIGKVFDSVSTFFSGVASGSSDEFPLCDTDIISGCEKELAEAQKGQDEGFKKESIMRLSWALVHSKMPADIQRGIAMLEGSVVSDTSPMTLREKLYLLAIGYYRSSDFSRSRESIERCLEVEPEWRQAQTLKTAIEDRIVKDGVIGVGIAVTAVGIVAGIAAALVRRG >A01p001050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:405218:406095:1 gene:A01p001050.1_BraROA transcript:A01p001050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGYGGASGKVDYVFKVVLIGDSAVGKSQLLARFARDEFSLDSKATIGVEFQTRTLTIEEKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRETFDHIPRWLEELRAHADKNIVIILIGNKSDLEDQRAIPTEDAKEFAEKEGLFFLETSALNATNVENSFNTLMTEIFNTVNKKSLSSVGESNNPGSLAGKKIVIPGPGQEVPAKTSTCCSSA >A01p009240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4444551:4445000:1 gene:A01p009240.1_BraROA transcript:A01p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYNGRNLKFWFDIRHPLGRLIDLTSEVGIQNLGIPKDAAICDVLRENKWYFRSCRDPSIRLIVSQIKAFPIVMSNDPIIVWFPQNVPRFAFITWLAIKDRLATSHRMQRWGQRHDFFVSSVESQMKQEITSSPVHTPIHFGLKSWGP >A02p041820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26227610:26228015:-1 gene:A02p041820.1_BraROA transcript:A02p041820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFRESEEHVLMNQSGHQKMENKYYGTKVTINMWEPKIQQQYEFTFSQIWLFSDLFRKYLNSIEAGWQISRIYMVTN >A03g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16387881:16388495:-1 gene:A03g504590.1_BraROA transcript:A03g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRWTVMTQDVELWWLVMRSLESFRIRKMAAREAYMREVNDKTNKRVAQLSFMSLGLSITVSLFQVWHLKRFVLKKKLI >A04p008860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7986011:7987274:1 gene:A04p008860.1_BraROA transcript:A04p008860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGKKVADVAFKASRTIDWEGMAKVLVTDEARREFSNLRRAFDEVNTQLQTKFSQEPEPIDWDFYRKGIGAGIVDMYKEAYDSVEIPKYVDNVTPEYKPKFDALLVELKEAEQKSLKVSERLEKEIIDVQEISKKLSTMTADEYFEKHPELKKKFDDEIRNDYWGY >A09p081310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59216553:59221787:1 gene:A09p081310.1_BraROA transcript:A09p081310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRRVLPACGNLCFFCPSLRARSRHPVKRYKKMLAEIFPRNQEAEANDRKIGKLCEYASRNPLRIPKITEYLEQKCYKELRNGNIGSVKVVLCIYKKLLSSCKEQMPLFSCSLLSVVRTLLEQTRDEEVQILGCNTLVDFISLQTENSHMFNLEGLIPKLCQLAQEMGDDERSLRLRSAGMQALAFMVSFIGEHAQLSIDLDMIISVILENYMDLEKSQEDTNEAGKMVSFKHNPVTDFNLENMDISKSPSYWSMVCLCNIAKLAKETTTVRRVLEPLLNAFDSRDYWSPEKGVASSVLLFLQSRLEESGENCHVLVSSLIKHLDHKNVTKQQGVQVNMVNVATCLALHAKQQASGAMTAVIADLIKHLRKCLQNAAESDLPADVAKQNSDLQLALDKCIAELSNKVGDARPILDMLAVVLEMISTNVLIARTTASAILRAAHIISVVPNVSYHKKVFPDALFHQLLLAMSHTDYETRVEAHNVFSVLLLRTLLLPWSDQHKEEEVEESLKSDLRKDVNHTSHTSLSCESLDSLNDGGIKSLCSLRLSSHQVNMLLTSLWIQATSTENTPANFEAMASTFNTTILFSLAKKSNHMALVRCFQLAFSLRNLSLNQDGDWQLSRRRSIFTFASYLLIFSAKISNILELIPIVKESLTGQMVDPYLVLEGDIRLRAGCSGFPQEDGSDKDDSAALSSPEIVANDSRLKEIIITHLTSRFQTLSEEEQSSLRKEIQSDFSRDDAHPLGAPMFMDTPGPSSPLNQMELPAFEEAELSEIAAFEEISPGASGSHRTSLSTNTNPVDVLSINELLESVSETARQVASLPVSSLPVPYDQMMNQCEALVTGKQQKMSVLLSFKPQATKAITFSEEDEKEELFLLKETEEADEDDQKALTVTHVQPQGQYASCSLEVEQNSFRLPPSSPYDKFLKAAGC >A01p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5460678:5463489:1 gene:A01p011210.1_BraROA transcript:A01p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKVRVTMGFHKSPSSKPKAIPPPPPPPLKPPSGSAGKPSNPGSNQKPGFTRYFPRASAQVHNASSRSDQNAVVSELRRQVEELREREALLKTEVLELKLLRESVSVIPLLESQIGEKNGELEDSRKETARLAEENERLRREVVKSEELRGESERREKEMESELRKLVSSEDHALSVSQRFQGLMDASAKSSLIRSLKRVGSMKNVPDPIPNQESNKRDEIDSHSMSNSEEPLSAVRSRVPRVPKPPPKRSFSSNGSGDSIADHPPLQRTNPPPPPPPPPPPLLQRLPPPSVSKAPPPPPPPPPPKSLKIASAKVRRVPEVVEFYHSLMRRDSTNSRRDSTGGGNAAAEAVLASSNARDMIGEIENRSVYLLAIKTDVETQGDFIRFLIKEVENAAFSDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFCYFDLKKLISEASRFREDPRQPSGSALKKMQALFEKLEHGVYSLSRMKESAATKFKTFQIPVDWMLETGITSQIKLASVKLAMKYMKRVSAELEAIGGGGPEEEELIVQGVRFAFRVHQFAGGFDAETMRAFQELRDKARSCHIQCQSQTHQHKLVYRSTPC >A09p011100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5602172:5602564:-1 gene:A09p011100.1_BraROA transcript:A09p011100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVERGSGKGLKQMLKRCSSLGKKSNVHVNSNGVPKGHFVVYVGLSRSRHVIPISFLTHPIFQMLLRQSEEEFGFYQDNGLTIPCDEDFFLSLISSINPP >A07p044800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24379928:24385868:1 gene:A07p044800.1_BraROA transcript:A07p044800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVHREPPPLISITSSPSSSRLQISFPGICSSKRNRDRSCTTKVIDVASWPRINLSCCGAETVPTSPSVKAKRSTKTEAFSMEICQGIAAVFVCDQPAGEAILVDQMVADRSKPGGYGQGTYRTTFLPEGLKVYPNPHIRLFSESSGVVILEPEKSIRCFSGETDEYMIFGWIGATGLYFGFLFGLRVSGVMYMGYYAMRYCWWHAVLQIGGDGIITIYFSFLIHSTLASPRLHFCRHDQRDALLEFMHEFPVDKSFPSSWNKSSDCCFWEGVECHNKSGQVISLVLSGKYLNGSMKPNSSLFKLQYLRDLILIDCNLQGEIPSSLGNLSRLTHVDLYGNQLVGEIPISIGNLNQLRYLTFGYNGLTGEIPSSLGNLSRLLNLELRYNHLEGEIPTSIGNLKELRWFRLEYNKLCGNIPISVTNLTNLSSFIIHSNNFTSTLPSNMSGFHNLETFDIGENSFHGPFPNSLFSIPSLQCVDLGKNHFTGSIQFVKNTSSLSSELRSLDLSHNTFSGLIPESISKFLDLDDLRLSHNNFSGAIPCSISKLINLSSLGLSNNNLEGKLPSCLWRLRWLEFMDLSSNYFRGSFPHWICKLKGLRNLDLSNNLFNGSIPPFSVELLIIDVGHNHLEGNLPKSLIHCEGLQVVNVEYNRIKDKFPSWLGSLQSLNVLSLRSNKFYGPLYQRNVSIGFQSICFIDLSHNDLSGTLPPSYFSNWLGITKIYDFRKKTTRYYYELNVLTIQAQMDIVNKGVEMRYEKIREDFGAINLAGNRLYGKIPEYIGLVKELRLLNLSGNAFTSDIPQSLANLTNLETLDLSNNKLSGQIPQDLGKLSFLSYMNFSHNLLSGPVPRGTQFQSQNCSSFSDNPGLYGLEEICPQAHVPYPLEESPEAEEHMFNWVAAAIAYGPGVLCGLVIGHIFASHNQEWFTERFGRRQLRTTSAR >A01g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24539823:24540423:-1 gene:A01g509100.1_BraROA transcript:A01g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTLLANLRASRCSNTAEVRLLRFWEARNINKGGELMSIEMLLIDEALSTNCAWREVKRSMRFVYVILKPEYTAILKNNKPPLTCHKNDKDNICPSCFHYKMMRRFVGFVRQNI >A04p041130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:23173461:23173697:1 gene:A04p041130.1_BraROA transcript:A04p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVNPKDTAFESAALTARASAIRAGPTWLRFENMDHVDPVIGSLKIQPKHLLFVAEIQAASTKLCVCLSKGVGMDNL >A09p071330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55083822:55085526:-1 gene:A09p071330.1_BraROA transcript:A09p071330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRLPPSATFHESDDDKPDDPPPTWHRPTSSLSALPSQDPPSHHWRNHSLNLSPILDTPSRFLPPQDSIPELETYVVQVPRDQIYWTPPPEHAKYVEKLRNNPDRNKKNGCSKRLMWFFIILVAFGFILGAITLILHFIFNPALPIFAVERLNVKPSSFEVTLRAENPTSNMGVSYVMKPNGVVSLTYKNKRLGRGKFPKMFQAASGSDKVNVKLDGSTKNAVMPPRGSKQAVSLVLKMELKADYEAGPVKKNKEVVVTCDVKVKGLLDAKKVEIVSENCEILLNLCILPPTTFEPLLLPPATFGWRDPLSLGVFKVDYIFLLHRSSLTGEIHLWVKKQQWSMMMAAVIPEAIVSW >A09p045340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39848801:39852222:-1 gene:A09p045340.1_BraROA transcript:A09p045340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGYRGGRADGRGRGGRGRGDGDGGGRSYGRGGGDRGRGFGVGGADRGRGYGGRGSERGGGRGGDQQDFRSQSQWGPPPGQVGLGTQLQQQPRPHVVQQPPQAQVSQSVAGGGVGRGAWGRKLQVSPDTAAVPPSASSTVAVSETAHGSEITNPRPSQVASSSSSDKKVQVVSSSSARKEPMKRPDKGGVVAVRRVNLLVNHFQVNINPQTVIRHYDVEIKGENPTKKISRFELSMVRDKLFTDNPHEFPFAMTAYDGQKNIFSAAELPTGSYKVDFPKTDETRARSYTFTINRVNELKLRDLKEYMSGGSSFNPRDVLQGMDVVMKEHPSKCMITVGKSFFTRETERDEELGYGIAAAKGYRHTLKPTQQGLSLCLDYSVLAFRKSMSVIEYLKLYFDWPDLRQFRNARRNVEKELTGLKVTVTHRKNKQKLTIVGLSREDTKDIKFDIIDPEGNEPPRRTSIVEYFRIKYGRDIVHKDIPCLDLGKNGRQNLVPMEFCVLVEGQIYPKDDLDKNSALWLKKLSLVNPRQRKDNILKMIKSKEGPSGGEITGNFGMKVDTNMTRVEGRVLKAPALKLAERGRAVREEPNPRQNNQWNLMRKGVTRGSVIKHWAMLDFTASERFNKMPNDFVNNLINRCWTLGMQLEPPIVYKSSRMDTLSNANALEELLRSVIEEAHRNHGGARPTLILCAMSGRVDGYKTLKWIAETKLGLVTQCFLTGSATKGGDQYRANLALKINAKVGGSNVELMDTFSFFRKDDQVMFIGADVNHPASRDKMSPSIVAVVGTLNWPEANRYAARVIAQPHRKEEIQGFGETCLELVKAHVQSTGKRPNKIVIFRDGVSDGQFDMVLNVELLDVKLTFEKNGYNPKITVIVAQKRHQTRFFPATDNDGSDKGNVPSGTVVDTKVIHPFEYDFYLCSHHGGIGTSKPTHYYTLWDELGFTSDQVQKLIFEMCFTFTRCTKPVSLVPPVYYADMVAYRGRMYHEASSREKNIKQQPRGASSSSLASSFSSLTVDDNAIFKLHKELENVMFFV >A01p055570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31439286:31440640:1 gene:A01p055570.1_BraROA transcript:A01p055570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPTKKYQKRGTLLKPSLFHDAESEFQSPYQSDSVPLVLVRINESPRYSDLLKYSENNCVYEDDDEKRLMPLRSLNSGWWIVLQILWRFLFSFGVALLVFYIATQPPRPDISIRIGKFNQFLLEEGVDSHGVSTVFLTCNCSTKVIVDNKSYVFRLHIHPPSIKLFFGPLNFAKAQLYELIHMISSTGELNYMHQAMISLTTFRLKIGTKNQAMYGAGRQMEDMLQSRAGLPLILRMNLISDFRVVWSIINPMYHHNVECLLFLSYNKKHKQATVVKEKCRLVS >A04p018500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11107089:11111998:-1 gene:A04p018500.1_BraROA transcript:A04p018500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAKSGEDANGECHDSKSRVTIVGSGSWGSVAAKLVASNALKLPSFHDEVRMWVFEEVLPNGEKLTDVINKTNENANYLPGIKLGRNVVADPDLENAVKEANMLVFVTPHQFMDGICKKLKGKIKGEVEAISLVKGMEVKKEGPCMISSLITKELGINCCVLMGANIANEIAVEKFSEATVGYRESREIADTWVQLFSTPYFMVTPVHDVEGVELCGTLKNVVAIAAGFVDGLEMGNNTKASAAIMRIGLREMKALSKLLFPSVKGSTFFESCGVADVITTCFGGRNRRVAEAFAQSGGKRSFDELEAEMLEGQKLQGVSTAREVYETLNHSGKLEMFPLFSTVHQICIGPMTKRAAEEAPPSTDSSTTGPNFLGCYRDQVAELLSQEERLPHHEDGDTKKPSTEIIGAGISSLKREKLKALLRQCVTDLIPGVDEMQSRARSMLLMSQLSNKKPSISVTNEEVEDDIQLLMKSDPRLFKEIVKKHTDDVLTSLNNMQQQAEKLLDNVATSCRPMSRDEKRDLQRSIKELPGGNLKRIAEIIKDHHAASGKAFSDEVTVNLEEEDNVMLWRLHFYVAAVKSARKLAS >A05p054570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31246703:31248744:-1 gene:A05p054570.1_BraROA transcript:A05p054570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVVKANGGCEADRRRRLRRLRCSVKDYAWGKIGSDSLVYRVYAANSDQPIDSTRPYAELWMGTHESGPSYLEDDADGGVTLRSWIAENPEALGDRVLEKWGCDLPFLFKVLSVGRALSIQSHPDKVLAKKLHKAHPNLYKDDNHKPEMALAYTQFEALCGFIPLQELKSVIRAIPEIEELVGSEEANQVFCISEHDEEKVKSAVRTIFTLLMSAGPDTTKQIVSKLKHRLHMESQERHLTDKERLVLKLEKQYPDDIGVISAFFFNYVKLNPGEALYLGANEPHAYLFGECIEVMATSDNVVRAGLTSKPLDIQTLCSMLSYKLGFPEILKGSRIRPYITRYLPPFEEFEVDLCDLPCGASTVFPSVPGPSLLLVLQGEGRMSTDASADEISMGDVLFVPADTEIHLKSSSDLKLYRAGINSRFLDSP >A08g507720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13595845:13596102:1 gene:A08g507720.1_BraROA transcript:A08g507720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQLWSENGNIKNLLSNSFFQLQANRAITDIQNQVKPLKEVREVMVKAYQKVSS >A06p044730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24000386:24000984:1 gene:A06p044730.1_BraROA transcript:A06p044730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFEEIPKKYQSLFRWFNSIFLDYAEPLNKVATYTTIVKECSSGKPVVVAAAAPPRSKDQERALKPVRKFAPEPSGYLYMGHAEAEVLNKYFVGALNKYFAEKNRRAYEEQGKLWRQETT >A09g517260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50733216:50735688:-1 gene:A09g517260.1_BraROA transcript:A09g517260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1953499:1954363:1 gene:A01p004570.1_BraROA transcript:A01p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSTTTSAAESPEIQYHPPNPPNQIFILSGQSNMAGRGGVVKDHHHNRWVWDKIVPSECSPDSSILRLRADLRWEEAREPLHADIDTGKVCGVGPGMAFANAVRKRSDSDSDVIGLVPCAVGGTAIKEWERGSRLYETMVKRAEESRRYGGEIKAMLWYQGESDVSDIHDAESYGRNMTRLVKNLRHDLNLPSLPIIQVAITSGGGYIDKVREAQLGLKLSNVVCVDAKGLQLKPDNLHLTTEAQVQLGLSLAQAYLSNFC >A08p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23352825:23354880:1 gene:A08p041370.1_BraROA transcript:A08p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRFRLKSSILHGIEQKLLTDWNRKTLLAQPLSNSSIWIMKRFFQTRLLLQTGTLFLSERASSTLSKGKKVSYKERLRSGVVDIKKDDAVELFQSMIVSRPLPTIIHFSRLFSSLAKTRQYELVLSLSKQMELHGVAHNNYTLNIMINCFSRRRKLGFAFSAMAKMLKLGYEPDTVTFSTLVNGLCLEGREGKHVEAKELYYEMIARGIDPDTVTYNSLIYGLCMEKRLDEANQMLDVMVSKGCSPDSVTYNIIINGYCKAKRVEDGLKLFRKMSLREVVSYNTLVQGFCLSGKIEVAQELFREMVSRGVDPDTVTYNILVDGLCENGKLEKALEIFEDLQKSEMALDIGIFNIIIHGMCNGSMIDKAWELFSSLAHKGVKADVKTYTIMIGGLCKKGSLSEADMLFRKMEEDGIAPNECTYNTLIRAHLGGSGVATSVELIEEMKRCGFSADASTMKMVIDMLSDGRLNKNLLGMLS >A02p036350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20781677:20782757:1 gene:A02p036350.1_BraROA transcript:A02p036350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTVGWCLTAVLSIFSREVGSLEGGRLGASQVGSACCIWTYLPSGSLIGNGGRYFSTFICEGLVFDVGGVQRFLLLLVLGILHRREVELWFKEVLSSMAASHSSLRLLSRWSSASRINPSSSGCLFALRLVLLQVLKLGFLMLSPQSPSFCSEGVVTNRCIVTLVGRLTRMTVQSPRLGEYRQCG >A06g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23504050:23505549:1 gene:A06g508390.1_BraROA transcript:A06g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLWRLRGCLPPLPRSQAAAAKLANLTSISSEGHHSFQPETSGYSVHVAMFTRSCLAEDDTLITQLFVKTSGRPINGHPFDAEMFYLRHVCTKHIWKSAQEDFTADMIVEKLLFQSYFKGVGIDWCFIAALKDLRQCSLVSVMVEVDRILRPQETFIVNDGMEKIGEIEKMMESLK >A09g501980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7103021:7103209:-1 gene:A09g501980.1_BraROA transcript:A09g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCSHLSLQPESSPSKVKVSKLHKRKHQITELFMDMKHKETELAERRSKGLLTKADTHAKY >A01g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19091363:19091990:1 gene:A01g506610.1_BraROA transcript:A01g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHVMLKKWLNLKNENLRLQHDLVQSREQYDDLAEELAAVHEKNESLEKEVSKLREVATGEQQRARMLEHHLAENRKQIRMLNSGSKDLDKILLMGQPAKVNWGLGYRGAKSTKELQQKRLSHFMHGAHQKVEPKKLVRKQEVLKHGCAAGARKETDRCISNNVRPSKKQHQM >A02p009120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3789233:3791180:-1 gene:A02p009120.1_BraROA transcript:A02p009120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQRVPLSLCSIEAVEFSFNCAQKANSSCYERFVSQTSIFPKLIRAMSSTTETDAESVLRLVTPSLDLKRHKGQAGKIAVIGGCREYTGAPYFATISALKIGADLSHVFCTKDAAPVIKSYSPQLIVHPVLEESYTISEEEKRQVQEKVVGEVDKWMERFDCLVIGPGLGRDPFLLECVSKIMLLAKRFNVPFVVDGDGLFLVTNSIDLVNSYPLAVLTPNVNEYKRLVQKVMNCEVDEENTGDQLRSLAKQIGGVTILRKGKSDLISNGEIVKSVSLYGSPRRCGGQGDILSGSVAVFLSWSQQLISGHESLSENPAILGCIAASGLLRKAASLAFTKHKRSTLTSDIIECLGESLEDICPAS >A09p074380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56432988:56433570:1 gene:A09p074380.1_BraROA transcript:A09p074380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDEDAVVGPKFIFVGDKSYLYCEDVAEAFEVMLHKGEKERRVNDVAKDICKLFDKDPEVNIKFVENRHFNDQRYFLDDVKLKILGWSERTTWEELLRRRWNCTRRTWKGGRTLEPFLNLSGNSSQSQMVMRTSGST >A01g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4098453:4101943:1 gene:A01g501050.1_BraROA transcript:A01g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSVTKGRIEKETDKACVSVEKAESTLLSSLVKKGKQTSNKRKYTKRKSEEEISSKSNKRKYSRCNEEEEEEKGKKTRKRKRKRQQKGNKVEEEEVDEALRLQRRTRYLLIKMKMQQNLIDAYAAEGWKGQSREKIRPDKELERARKHIFDCKLGLRDAIHQLDLLSSVGRMEEKVMAPDGSIHHDHVSKSLFYFTINMFKLLTMVPTNLQIFCAECNSREAFPDNDIILCDGTCNRAFHQKCLDPPLETESIPPGDQGWFCKFCDCKIEIIDAMNAQIGTQFSVDSNWQDIFNEEASLPVGCEATLNKEADWPSDDSEDGDYDPETKDKSSSKSDSGGGGGDNDGESVSSSSVSLASDGVALSTGSWEGGNMVDSEETSNEETVCGPRQRKTVDYTKLYHEMFGKDAVLQEQGSEDEDWGPSDRRKRKKESDGASTLVAVCENSKKDQDVKETPEQTQHSERDSVSVEGKVGKRPMFRIPRAAVEKLRQVFVENELPSKAVRDSLSKELSLDPEKVSKWFKNARYMALRNRKTESMKQPEGSKAGSGDSGPEAVLVEKNTERNETRCIVDESVMEENTETNETQGSVDETTKDKNTGANENHETLDQTVTEENTVTNEVQDTLDEPVMEENSGTNEFQDTLDGTVMEENTEAPKIQDTLVETFMEKNSETNEIQDPTDETVMEYTETKEVQDTVDVENNSETNEMQGTMEINEIHDDTMDETVPLRYDDFTDQTTVSACNDKQEETEDANDSFPTPPEDESQQYLEQKDSSFALVPHEELTSEMSVETPIEDKEAESQLKEEEELEALMEVLCRAENKLMDVTHRLERFRTPKGRKKLSKSSSCLSEEDYVVYVPTAEIKVKR >A08p009770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10849792:10850123:1 gene:A08p009770.1_BraROA transcript:A08p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASNPNMAAERNEGRSFHFVRRRLDAVDLKSGKCSLSSPSLEISLTMEVSAKSPTKSASVTDNGGDETDLPITTTEIIVSRC >SC295g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000141.1:11001:11446:1 gene:SC295g500020.1_BraROA transcript:SC295g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDASDVLSLDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVRSNQN >A02p016340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7324558:7326351:-1 gene:A02p016340.1_BraROA transcript:A02p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFLATEMDTAVRVVHLASSLCVKVQDKLRLPSSTNGHVKSKDDDSPVTVADWGVQAIVSWVLAQVFGGQNLSIVAEEDTESLSKAESLGLLGAVSSAVNEALSEASTYGLPKPDKPLGTTEILEAIGRCSSVGGPKGRHWVLDPVDGTLGFVRGDQYAVALALIENGKVLLGVLGCPNYPVKKECLSNGCNSQSGAAGSVTKGCVMYAKRGSGQAWMQPLIPGGYPESATLLKVSSVDDPVLATVCEPVERANSNHLFTAGLTNSMGVRKQPMRVYSMVKYAAIACGDAEVFMKFAQSSYKEKIWDHAAGVVIVEEAGGVVTDAGGRNLDFSKGVYLEGLDRGIIACSGQVLHEKIIGAVYASWESSSL >A09p009220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4769704:4771516:1 gene:A09p009220.1_BraROA transcript:A09p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRYCRTNGLFICKRLFFRYSNLMHLSSSSSLFLSWFFLNKFLMDPFNFETDLQSLRRLYGLLQSNTNNESIPQAFLLDENTQFLLKRLLDSATNELLTRQTKILAQVQLGLPTPSRNSVSRGVIKLPSKVGLTEEVVDSIERIETQLSAFRFCSSRGDRTKTCKSRNMQEHSSSVIPFQRLSEKALMARRQSYQASVSGLRSTRTSNLAPRLRSVNNNGDDRTLNLESQGSSSLDDHVVLVRSRPPLPHRPKPRESNRMKPTLLDQETETWDEESGNTRSGTSLQVSTTDQESEEESRETGSTTGSSWQTHGESVTESEDSSYKSSGSDDNSQESDSPPHKRRDSSKETLLPHKKSKGRLKRFKEKLGKVFHHHHYHHHHHESKEQGHKPSAWKHLVKKHLQKNKEKLVERRVKSESKGLVTKHKNKGGQFHALVEGLMRHRRRHSKMKKQSHGRKKMKWWQTRRRQGGVKFPKGKRLKLGKTNYLCNKDQEHDEN >A01p011690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5656265:5656615:1 gene:A01p011690.1_BraROA transcript:A01p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtS40-3 [Source:Projected from Arabidopsis thaliana (AT4G18980) UniProtKB/TrEMBL;Acc:A0A178UY13] MSEEFQESEITFSDEYFMSNNVKSSNKENTKRQPMATEKKSSPVRIPSRTILPCTVEDEDEDEDEEEEKESITPPHVIIGKRRTEAQMAFSFCTLKGRDLSRHRNSVLRMTGFLEV >A08p031760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19365885:19368718:-1 gene:A08p031760.1_BraROA transcript:A08p031760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFDDESAAPAARAGAKFKPRGRPQPKKKQAQTTLSTDVAKEKLLTQSEHPVSLDASSAYPNVSASETTVPDSGTISQSTMGTISKESVSGGASVLRACTNLNSEGKRCVDDTETAPAYPDDPRRQDSAAFGDFVTSETDEVIDDQTQRMQTGEEEEECDWNMETLDIVQEECVTSAYEQHTGKFQPKPRLLDTVIEEEPESHYSVDDDTTGANPSEFMVNEESRNDEFNINTTFHGDHQEDEHNIPEQAPNVLEQEHHVVSPSNNDTVMGEGETQANEAETGADKKGKKKRGRKKKTTTSEEEPNKPPPETKKKFKHSSRRQKNTLDKELLETPDDEIKNLPIRDMLRLVAYRESLEKKEAKGAPVVPPTQESNTHASEDNHYYSQGFDAEDEFGMEEGENHEAPVVKPDSPVNYQTYMNKTPRTRWSKQDTQLFYEGIQEFGSNLSMVQQLFPERTRQQIKLKYKLEERKNPLKLNDALSTRSKSERSSLSISLTQFSFLVEPWKNLAELTHYHNVIKKLQEEAAAAKEGEEEEEEGEEAGEEAETTTDVPENEEPTKTEEETERAGDGVAGVKESDGGDVENGVRSDGGDEIDDNEGDDDDFWNSYKSEM >A02p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27428580:27432807:1 gene:A02p043460.1_BraROA transcript:A02p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRNWVFDVFPSFRGLDVRKTFLSHFLKELDLRLIIAFKDKGHHSRNWDNEAKMIEAIVADILVKLNLTPSRDFDEFIGINDHIAKMSVLLNVESEEVRMVGIWGPSGIGKTTIARALFDRLSHQFQGRIFIDSAFISKNLEDYRRANSDDYNMKLSLQGKFLSEILGYVHIKIDHLGAARDRLMHRKVLIVIDDLDDQVVVEALAGGDDWFGNGSRIIVVTKDKHLLEAHGIGNIYTVGFPSEKQALEIFCQSAFVQNYPPDGFVDLASKITMCAGGLPLALQILGKAVKRRNKEYWTDMLSRLGKGPNRDIVKGLRVSYDALDSEEDKAIFRHMACFFNGMEINRIKLMFADSGLNVNIGLTNLVDKSLISVKPSWNNTNIVEMHSLVQEIGKEVVRTQSNKPGKREFLMDSKDVCNVLGSSKGSEMVICISMNLDEISMVRIHENAFDEMTNLRFLKFYKKSLERKKEVRWLLPERFDNFPDKLKLLSWPGCPMVYMPSSFCPEYLVELIMPNANLVKLWEGVEPLTCLKDMDLSKSENLKEIPDLSTATNLETLNLHGCSSLVELPSSIRNLNKLTELNMQECVNLDTFPTGIDLQSLSSLDLSGCSRLQSFPLISSNISKLNLSQTAIAKYPFKLPLESLVELHMEQIKSERFWEGVQPLTSLKKMVFSRCENLKEIPDLSMMTKLEKLDLNGCSSLVEITLSSIQNLNKLTTLEMIGCSSLETLPTGINLKSLYRLNLNGCSQLRSFPDISSNISTLFLNQTAIEEVPPCIGNFSSLESMEMWECKQLQSISPKVFKLSNLEVYFSDCEKLTEVRWPEEEKDTNDAGTTLSLVIFTNCFNLNQEAFIQQSASEYLILPGVEVPPYFTHRSTASSLTIPLHRSTLSQQSFLDFKACVVVSEETVSHLLFFIDIQVHCRFRDQHGNYFEPDNPRHFSLHQKYNHLIIFDCHFPLNQDCNQVEIEFRLSSIRLKLKGCGIRLSDDNTPSLASPIEVDEEDMADDGCHETEECGYSDIETKRSRKRIRGSIQK >A04g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20349262:20350634:1 gene:A04g507930.1_BraROA transcript:A04g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDEALMQIKLMDKINKASTDTVALTEQINSLQHQLDSLQIIDVKKALVEQEAAYNTLGEKHKQINQLLKERDEELNKLTEDHKEAQSLLEETSNEVSSRDSAIAGHEEKMESLRNELETKGEEIETLMEKISNIKRKRKAFRREEAKHLEEQALLEKSLTMTHETHRGMMKEIAEKVNTTLDVFQSMSEILTEKQGKYEKTVMEASKLLWAAMYWVIESNHEKEKMKKEMERKEEEIKKLGEKVREDEKEKERKEEELKKLGEKVRENEKEKERKEEEIKKLGEKLIEDEKEKERMKETLVGLGEEKREAIRQTVAASLRASAIIGDSMEEAGLPENSWLREYFWSTTFVSVMLV >A09g512470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36568239:36571769:1 gene:A09g512470.1_BraROA transcript:A09g512470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGTDGVCTDGTASVRPGMTVQIRERKGEGGWKAKPPSDAEGLERIRPFMDKVGARLIYPALGQASLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEVSEQQDGAEASQLGEEEAVEVSTSVPQESGEESQ >A02p046030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28828285:28838643:-1 gene:A02p046030.1_BraROA transcript:A02p046030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFNPVADLKPFKSMWKIRVKIIRLWKQFTAAGGLTIEMVLIDANISFLAITRVKICEDLPRAINGFQPVNYREILDGTLNSDHLVDVIGQVVEVSHVDVLSVNGKDTQKITLELRDLEDVRLPLVLWGNFASDVSNAMQTRRDEALICVLRFGKIKVWKDDRSISNAYNVSDVSLNPYMTEVEEFKSKLPKDELCLAIVESKPVGQVVGVSDKDDFFVHTPRKTIAELSESRQVEKCIVMCTIAAIDADMGWYYLSCKVCSKKVVQVPNDTLDDGEDENELMFNVIPLELQNLVGKTYLFKIQIERENYVYKHETYKVLKIVTNLEMISEFNLPASPKVPRLCLGSSTSALSEAPEGSLMLSAGSSEEVNPSELTPAKRTVATIVNLEEDFDRNSVTKTACTVRVKKEKSEKKMNRNKHKAGKENVTDNRPPKRRKLDTRSSTNLVDMVQPEEKRAMLGEITNQASNELRDARTKRFNILRQKRKFSETNPTPTKPKQLNIEPSFQLSAASESSENHSIIETHIATASIGHPPKKRIQRHQEHIHEGFKFTAKDTTQPAASFFKNNSRGTSTVSHCTVATTQPTLTGPTRQFPCKFSSQRTAPQPSSQNRWSGKSIISSVDSDSSDCSEGNWENTSDKDHNDDILSDTDTDDEQIDIVQRRAVTNQVFERFARAFSDSLTKAKPRSTTSIVSAKKEEGSVDTARVLSGVNADGQTVGRNTDGEAVEPTVDEIKKYFDARSIMLTYACLTALVPGKKQPAIRVKIVRTWMSPFGSIRPNTCMVFGDEKVSTLFTN >A03p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6163912:6167274:1 gene:A03p015660.1_BraROA transcript:A03p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSRKRDSSFLEQLILYVAGAALASLSLYFCARHSDPNRDASDKALERKREIAKRLGRPLIQTNQYEDVINSRKIDVEFDSIGGLESKKQSLYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVAAVFSLAEKLQPAIVFIDEVDSFLGQRRSTGNEAMAILKTEFMALWDGVATDKNARVMVLGATNRPSELDEAILRRFAQAFEIGMPDCKERAEIFRVVLKGERVEEGIDYDLVARLCEDYTGSDIFELCKKAAYLPIREILEEERKGRPVPVPRALTQMDLEKVLATSKKAQVAASEYSGSRLQDSSWRRPKDSDKVQAVINGISRLLVSGMINQQ >A05g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13369438:13380608:1 gene:A05g504810.1_BraROA transcript:A05g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVAVHQYTYQHVGPWTQHADPSRGLFGTHRTSVAVHQYTYQHVGPWTQHAGPSTQHAGPSCGLTSVAVCGCPSAHIGRPRLSVCVRVCPSAHTGRPWVSVSTHRTFVCVWQHTQDVCGCPCVSVITHRTSVAVHQYIYQHVDPWSQHASPSRGLFRTSVAVRQYTQDVRGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPWTQHAGPSRGLRGTLTLPVDCSGDFGPRGLSFQYTQDIHRCPSAHTGRPCLSVSTHRMSVCVRQHTQDVRVCPSAHTGRPCVSVSTYRTSVAVHQYTYQHAGPWTQHAGPSHGLSGTLALPVDCLGDFGPRGLSVQYTQDVRRCPPAHTGRPWLFVAVRQNTQDVRGCPSAHTGRSCVSGSTHRTSVAVRVCPSEHTGHPWLSISTYISTLILGLSTLALPVDCFGDFGTHGLSVQYTQDVRGCQPAHTGHPWLSVSTHRTSVAVRVCPSAHTGRLWLSISTHISMLVLGLSTLALPVDCAGDFGTRGLSFQYTQDVSRCLSAHTGRPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSRGLFGTPALPVDCSGYFGPHGLSFQYTQDVRRCPSTHTGRPCVSVSTHRTSVCVRQHTQDVRGCPSVHIPAIHTGRPWVSVSTYRTSVCVRQHTQDVHVCPFVSVSTHMTSVAVHQYTYQHVGPWTQHADPSRGLFGTHRTSVAVHQYTYQHVGPWTQHAGPSTQHAGPSCGLTSVAVCGCPSAHIGRPRLSVTHRTSVAVRVCPSEHTGRPWLSISTYISTLILGLSMLALPVDCFGDFGIRGLSVQYTQDVSGCQPAHTGHPWLSVSTHRTSVAVRVCPSAHTGRLWLSISTHISMLVLGLSTLVLGLSTLALPVDCAGDFGTCGLSLQYAQDVRRCLSAHTGRPCVSVSTHRTTLALPVKCSGDFGPRGLTVKYTQDVRGCPLAHTGHSCVSVSTHRTSVAVRVCPFVSVSTHRTSVAVDQYTYQHYTQDVRRCPPAHTGRSWLSLAVHQHTQDVCGCPWVSVSTHKTFVCVWQHTQNVCGCLCVSVRTHMTSVAVHQYIFSTLILGLSTLALPVDCFGDFGKRGLSVQYTQDVRGCQPAHTGHPWLSVCTHRTSVAVRVCPSAHTGRPWLSISTHISMLVLGLSTLALLMDCAGDFGPRGLSFQYTQDVRGCPSVHISARWSLDSARWPFSWTVRVILAHVGCLFSTQRTSVAVHQYTYQHVGPWTQHADPSPHTGRPWLSISAHISTLVLGLSTLALHVDCLGDFGTRGLSVQYTQDVRGCQPAHTVHPWVSVSTHRTFVCVRQHTQDIRGCPTLTLPMDCLGDFGPRGLSVQYTQDIRRCPPAHTGRPWLSVAVRQHTQDVCGCPWVSVSTHRTFVCVWQHTQNVCGCLCVSVRTHMMSVAVHQYIFSTLILGLSTLALPVDCFGDFGTRGLSVQYTQDVRGCQPAHTGHPWLSVCTHRTSVAVCVCPSAHTGLPWLSISTHISMLVLGLSTLALLVDCASDFGPRGLSFQYTQDVRGCPSVHISARWTSVGVRQHTHDVCGYPCLSVCVCLCPSAHTGRPWLSISAHISTLVLGLSTLALHVDCLGDFGTHGLSVQYTQDIRGCQPAHTGRSWLSVNTHRTSVAVRVCPSAHTGRPWLSISTHISMLVLGLSTLALPADCSGDFGPRGLSFQYTQDVRRCPSAHTGRPCVSVSTHRTSVCVRQHTQDVRVCPSAHTGRPWLSMSTHISTLVLELSTLTLPVDCSGDFGPRGQSFQYTHDVRRCPSAHTGRPCVSVSTHRTTLALPVDCSGDFSPRGLSFQYTQDVRRCPSPHTGRLWLSISTHTSTFVLELSTLTLPVDCSGDFGPRGLTVQHTGCPWVSVSTHRTSVCVRQHTQNVRGCPTLTLPVDCSGDFGPRGLSVQYTQDVVGCPPHTGTSVAVCTCVSVCVRQHTTGHPWLSISTHISTTHRTSVGVRQHTQDVCGCPCVSVCVRQHTQAVRGCPSAHTRRSCVSGSTHRTSVAVRVCPSEHTGRPWLSISTYISTLILGLSTLALPVDCFGDFGTRGLSIQYTRDVQGCQPAHTGHPWLSVSTHRTFVAVRVCPSAHTGLPWLSISTHISMLVLGLSTLALPMDCAVDFSPRGLFFQYTEDVRRCLSAHTGCPCVSVSTHRTFVCVRQHTQDVRGCPSVHISARWTSVAVRGCPSAQGTSVAVRVCPSVSLSTHTTSVGFRQHTQDVRGCPSAHPGRLWLALCICVCTSAHTGRPWLSISTQISTLVLGLSTLALGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHMTFVAVRFCLSAHTGHPWLALALPVDCSGDFSPRGLSVQYTQDVRQQHITSVGVCQHTQDVRGCPTHTLPVDCLADFGPHGLSVQYTHEIHGCPSAHTGRPCVSVSRHRTSLAVPVCPSAHTGRPSAHTGRLWQSISRHISTLVLGLNTLALPVDCSGDFSPRGLSVQYTQDVRQHTHDVCGCPSANTGRPWLSVCVRVCPSAHIGRLWLSISTHISTLVLGISTLPVDCSTDFGPRGLSVQYTYDIRGCPSAHTRRLWLSISTQISTLLLGLSTLALPVDCLGDFGPCGLSVQYTQDVRGFPTSVAVRVDRVCPSAHTGRPWLSISTQISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHRTFVAVRGCPSAHTGRPWLSVCVRQHTHDVCGCPSVHISAPCTHWTSVGVCQHTQDVRGCPSAHTRCPWVSVCVHLCLSAHTGHPWLSISTQISTLLLGLSTLALPVDCSSDFGPRGLSIQYTHDVCGCPSAHTGRPCVFVAVRVCPSAHTERMWLSINTHISTLVLGLSTLTLPVDCSGDFGSRGLSVQYTQDVRGCPPAHTGRLCLSVCVRVCPSVSVSTHRTSVAVHQYTYQYVGPWTQH >A08p027020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17152412:17153870:1 gene:A08p027020.1_BraROA transcript:A08p027020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVLLIAVVALALLASSALLPVGAKKPLSSAPRKEDVPYIKCQVCEKLASRLHQLVKEKQVGISPKKISEYEIIEIAENVCNLKKEEADWMLKIDIVEKGDKLQLVEEEEEGMCNSECKTIEAACQKVIGYSDTDVAEYIYKSKPDLASLVNHLCKDLTDACTKNPPPVPKDRVPGEPFVAKPSKDAEMDKIMRSMQGIPGAPGMKVYSREDLEKYKANPEKFGTEDEDGDDDDEDEEEDDKFPKNLGKVLKETKKEEWKKTVTKLLKKKGEALRGHAQKVSNRVRRWWKGVSSKKSKSGKSEL >A04g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12127146:12131929:1 gene:A04g505840.1_BraROA transcript:A04g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYSYSQPSQSEEYGGDTADSSYSETEDLIRRDQAELNNNCGAPGQYPPQPEVEFGFPQVCYCGSQPVLATSSTTNNPGRRYYTCVNADDGECHVWKWWDVAVMEEMRARDIHTLQLAEKVDSLTLMSDYETDQKLVRLEKMVCEFGEKKPRFTNAFEYFVGVIVMARRRRRRFVRRFLAANEILSVSSQDDTGLLSPRSTSFDSPPFSNQKMANPHEPHFFKPLVPGFHSGITIPLGFFSQHIEEKTNQKTWKLRSDASDQTWEVIQEGRRLTGGWKDFTTAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDVADDGAVDADDNENHHKISGSGAMSSSSFDYCFMAEVTASNLKTDKLSLPVAATTCTALNKQCQETILVNKEGNSWTVSLRFSESGGMYYITRGWRKLCLDNRCEIGDIFVFNVVGDGKTTLLMCVCPERKKCSELLSKHLSRKSGRRL >A06g503020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9751325:9756320:-1 gene:A06g503020.1_BraROA transcript:A06g503020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAWPTKKDKCQVSADKYGSFENNCEDRENGISPFLCYDGLRAEDCDSIRFSRLRVARTRNLADSSRAQAYTLFVNFGSHSLALEGGDLRINLTRKSHTQSDMSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSKRRQISKGRITMRSVRIKAGPRATHGLAIKGMTKTSSASSTNPEDTPRPIAKSWEQDWPRSYSLERFRNQKRPGRRQVKSSINANASDVEARHKSEAHATTQPEHPENSTTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTAIKVPGQRSTKRIRGTIHFLATIGKTGRNLLGIRGNRDGIPEPLNPLVNRRDKRLSVGTVTHPTLHQAHFLFKHIVISSRPPKTSDRTTALAKVTHRGKGILEVPVLNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASKIPLHFLRLEAVDHGFSMARLNGRAQQAQALQNRLACYKYIHRTITKKFSKKN >A10p000120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:62797:63096:-1 gene:A10p000120.1_BraROA transcript:A10p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPASLQEVAGLCRQFQRSQTPCTVPVLKLLNQIIVYNLWRERNARIFRGEASNQEAFYRVVDRRMRDRLLSLSLLSNTAQSPSLLKLYFCFISPYS >A03p051680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20421480:20424213:1 gene:A03p051680.1_BraROA transcript:A03p051680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTMAVARHVFVFLSVLVVVSWGLERCEATGKFSFEVHHMFSDAVKQTLGLDNLVPEKGSMEYFKVLAHRDQLIRGRGLASNNEKPSVTFMRGNLTIGVDVLGSLHYANVSVGTPATWFFVALDTGSDLFWLPCNCGTTCIRDLKDIGLPQSRPLNIYSPNASSTSSSIRCSDKRCFGSRGCSSPASICPYQIQYLSNNTFTRGNLVEDVLHLVTEDDGLEPVKANVTLGCGQNQTGLFGEGIALNGLLGLGLEDYSVPSVLAKANITANSFSMCIGNVIDVIGRISFGDKGYTDQQETPLVPVGPSPTYAVDVTEVSVGGEALGIKLLALVDTGTSFTHLLEAEYDLVTKTFDDQVKDKRRPIDPKIPFEFCYDLSPNSTTIYFPKIIMTFGGGSQMILRNPLFSVFNEDGTAMYCLGILKSVNFKLNIFGQNFLSGYRIVFDRERMVLGWKRSNCYEDESLEATPPPPEIEAPSPRLSAPLPSPPPPPLVSIATPPPFDPRSSTGNGSGGAASLSPLSSQLLLLLSLLAFLSFT >A07p046850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25234623:25235257:-1 gene:A07p046850.1_BraROA transcript:A07p046850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFTGGGGGLRKLCRASATVIENEMSCNSLLVRYMSRERAVNVRKINPKVSIQEAHVISSSLYEVFKKHGPLSVPNTWLRAQEAGVSGLNSKTHMKLMLKWMRGRKMLKLICNQVGSSKKFFHTVLSDDDPQQETPPVAAAAAATTEENKKAFSKRRSK >A02p002230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:919580:921536:-1 gene:A02p002230.1_BraROA transcript:A02p002230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 9 [Source:Projected from Arabidopsis thaliana (AT5G06230) UniProtKB/Swiss-Prot;Acc:Q9FFZ4] MDHQQQQLFSLCSVPYVFNIKKHFFVSLFSLSLLIFSTLVIFNLVGSSSSLEPLLRFGLLSPSSQNSTKDCDYSKGRWVRRTSSSSSLIYGEECRFLDSGFRCRKNGRKDSDYLNWRWQPHGCDLPRFNASDFLERSRNGRIVFVGDSIGRNQWESLMCMLSQAVPNKSEIYEVYGSPITKHKGFLSMRFPQQNLTVEYHRTPFLVVIGRPPEHSPQEIKTTVRVDEFNWQSKRWVDSDVLVFNSGHWWNEEKTVLAGTYFEEGRKVNKTMGAMEAFGKSLRTWKSWVLQRLDPDKSYLFFRSYSPVHYRNGTWNLGGLCDAETGPETDKRRMEPDAAHNRYIYEAIEEMKYQHSKVKFLNITYLTEFRKDGHPSRYREGGTPVDAPQDCSHWCLPGVPDTWNEILYAQLLSMNYRTKFNLQDQEMDQKQVQREGITKHRLV >A09p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3931042:3932534:1 gene:A09p007520.1_BraROA transcript:A09p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGSSKSNAAKQRKRVEAETKDESTAKNNSNTLLRAKDGSAFARCEGCNKNVAVALISMHSCSLDAKIRVNLEAQVVEMQAEAKKKPVERKKFTSDEPKAKRVRKASGEKNKKRSSTTSNKPKRPLTAFFIFMNDFRKTFKEENPSSNVKDVAKQGGEKWKSLTEEEKKVYLDKAAELKAEYNKSLESNDADEEEEDEEKQSDDDAEEKQADDADEKQAEENEVDKEPEDKEEAEDEILDDY >A06g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18314254:18316044:1 gene:A06g506560.1_BraROA transcript:A06g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCRMSAYFNKVERKAFIAGLHKQKTELFMVLIKKKLLPLRPGVAKLVDQALTNGVKIAVCSTSNEKAVSAIVSCLLGPERAEKIKIFAGDVVPKKKPDPAIYNLAAETLGVDPSKCVVVEDSAIGLAAAKAAGMTCIVTKNGYTTDEDFVNADAVFDCIGDPPEESVFCNEEREWPFRPLEVVIGESTQGRVGLTWQVIAISLRSESSG >A03p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14250057:14251612:-1 gene:A03p033640.1_BraROA transcript:A03p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-D-xylose:L-fucose alpha-1,3-D-xylosyltransferase MGP4 [Source:Projected from Arabidopsis thaliana (AT4G01220) UniProtKB/Swiss-Prot;Acc:Q9M146] MAQQKYLHQRPIQNPFTNPFSSSPLSNSSLSNRPISLLSRNGLLLLLALFVILGVFLPWAGSPLFPFPNTLSPSQSKWRDYSLAQAVEFAAKNGTVIVCAVSYAYLPFLNNWLISVSRQKHQDKVLVIAEDYATLYKVNERWPGHAVLIPPALDSQTAHKFGSQGFFNFTSRRPQHLLQIVELGYSVMYNDVDMVWLQDPFKYLEGRHDAYFMDDMTAIKPLDHSHDLPPPGKKGRPYICSCMIFLRPTSGAKLLMRKWIEELRDQPWSKAKKANDQPGFNWALMKTAHQVDLYLLPQAAFPTGGLYFKNKTWVKETKGKHVIIHNNYIVGFEKKTKRFRDYGLWLVDDHALESPLGKLE >A02p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1560941:1563224:-1 gene:A02p003910.1_BraROA transcript:A02p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETLTLVLVYFAGIMERADESLLPGVYKEVGEALHVDPTALGTLTLFRSIVQTCCYPLAAYLSSRHNRAHVIALGAFLWATATFLVAISTTFFQVAVSRGLNGIGLAIVTPAIQSLVADSTEDSNRGMAFGWLGVTSNIGSILGNLCAILFASKSFNGLAGWRVAFLLVGFVSVIVGVLVRLFANDPHYSNKTITKHHKDKPFWSDVKDLLQEAKMVVKIPSFQIFVAQGVSGSFPWSALAFAPLWLELIGFSHKTTAVLVTLFTVSCSVGHLFGGYMGDTLAKKFPNAGRIILSQISSGSAIPLAAILLLGLPDDPSTAFSHGVALVTMGLFISWNGSATNGPIFAEIVPERARTSIYALDRSFESILASFAPPIVGMLAQNVYGYKPIPEGSSNVMKIETDRENAASLAKALYSAIGIPMVICCTIYSFLYCTYPRDRDRAKMQALIESEMLQLNEEEEDDKFGIEVKYFGDEEHDETYLLKQEQSESVR >A06p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2084399:2089907:1 gene:A06p006220.1_BraROA transcript:A06p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRDGSWPLRATANGGSGGYHPQPTRMYSNFNIQQPIRYNLQTQQNLNFAHPPIPSFRPQIHGSSGNVEAVRIDNAVKETRRSLVAAGENVSSIRVSQSVLAQLQQQPDSQRSLGMQMQDVPSLRQLMTLEGKIYAFIHCFVGARGIVTLHDLEVAICRNEFVDCFDDLKLGPLLRHPLVLLYFPSISGCSGPVQITSEEIISFLDSYLSTYGMDDVKLDDFLDYVAEKKSVTGKEKLGVRIQSLRMYVSFIQDAKRQEGETLETLLTGLHQKHHIVSSKKQLRDKSPTVSEDSDVAALHRKDYCGKHTRYDSSSSDDDDSGDYEVKYVNSSDHVSSCPYPSVAEEVKKLGRSKKKRKAETKKRKAETRSHEKSDLSKQLRRSPSKLRRGHVKQEIPEPADDSDTKQVFSFNEADFTLSEGALRLFISTWKDACKELSMSMFVEEILSFYNLRGSEAQLKTKSKRAKAMSSFPFVGLLHVARELVTEALEEQKPMEITNRNLVAGYDNSAGTSSRATKPPIPLHNMMSSSTSGNLAHEWNNSISTDLSTRDQFHTGTDRATLLQYTGKKGEEIAFRYYAAKYGKDAVVSWINEQSETGLPYDLVIKNRGGKKEYIEVKATVSAAKDSFNLTVKEWQFANEKGESYVIAHVLLGNSNAILTQHRNLVKLCQEGHLRFKANYLLFCDYKCLMGDSCENSNRVKLRDGRFLAYRESGVPKEEAKYKIILVHGFGSSKDMNFSASKELIQELGVHLLFYSRSGYGDSDANTKRSLKSEVDDIAELADHLEIGPKFYLIGISMGSYPTWVVNYRWPSLPKKLIKKDYRRGIIRWCLRISRFAPGLLHWWVVQKVIPSNSSVLESNPVYFNSHDVEVLKRTTGFPMLTKDKLRERNVFDTLRDDFMACFGQWDFEPADLNITQESSVHIWHGKEDKVVPFQLQRCILQKQPLINYHEIPQGGHLIVHYDGTCDAILRSLLLGEEHKMYKPVLQLNV >A08p030690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18782924:18785241:1 gene:A08p030690.1_BraROA transcript:A08p030690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAGLLRRRFGASIPVQLNYSREPSRFLLNPSQGRTRLLSGEAESNRAEFPVENAYDILSVSESSSIAEIKASFRRLAKETHPDLIGAKKDSSTSLRFVQILAAYEILSDSEKRAHYDRYLLSRRMVVTKKSRQGYMIYRYKAGLTLSEEMEVVEWLKWYREAIHDIVMEKRVANGTGYLDELEEDFYSAIRAAYFGPDVDSVELLPDCFEAEERSVYDTREILHLVSGRDLFGMVCLVDSFLELSSACSKKLALSWSFMDSGNHDMISHIQSSRKQNDVSDAYKDIQLHVSGRVVATAIRVPPKQNEGDHDQIHVFLNSEEGSSHGNESSPGNESGGGKLLVGTISGLGTSPDEGSCYVYDGNGVKTHVIMKHRTFLVRHLHWYKIGEKVSICECRCSRAKLPPSKFWLFEPRCGLHDVGGWYVETYGKDKKGRTVLAQRFWDGLEVGTTLDGRLHPGIYLLTLAYRTLDLEDERRRKRSIVEIIGARLSKTLDWCKKMVMRRSE >A03p024610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10382763:10386804:1 gene:A03p024610.1_BraROA transcript:A03p024610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIAVGDAKKMELESKESLSADMPSFLRRSSEAFNGGSEYRSATDLSMFSSSLPTLFHEKLNMTDSDSWLSLDDNSPNLTKLVMGNSEKDSLEDAEPHSLEILLPEDESELLPGLIDELNFSGLPDELEDLDVFCTGGGMELDGESQRDAASSFVTRKRPTASGRVSVEHPNGEHPSRTLFVRNINSSIDDSELTALFEPFGEIRSLYTACKSRGFVMISYYDIRAAHAAMRALQNTLLRKRTLDIHFSIPKENPSEKDMNQGTLVIFNVDSTVSNDELLKLFGAYGEIREIRETPNRRFHRFIEYYDVRDAEAALKALNRSEIGGKCIKLELSRPGGARRVSVPSASQDMDRHEVTSFLASQVANSPPGNWPIGSPLKGSPTHAFPRPHGLGIIRPFNRDNMPGLASVLPGQTSSFHGFSPVSNDQGLLIHPNQTSLSKGLMHSYPYGQPHSLPEHIGGGISNSMSFIAPHSPGFGTSSDNRYRWGSPPQHLNYPGASSSSSSPTERHFTVRHGFPFAERQVSLLGKYQHHVGSAPSSTHFNSYTGSPETSSLIPLGFGDMGINKSYINPHGKANLGVSLQGNHNKQDFSGFGMSSLPFGGSRGHEPFAEQGRIQHIDGGQYHIDLDRIATGDDIRTTLIIKNIPNKYTYKMLVAEIDEKHKGDYDFLCLPIDFKNKCNMGYAFVNMVSPLHIVPFQQTFNGKIWEKFNSGKVASLAYAEIQGKSALASYMQNPSTMEDEKQLFPEVSHHNDEGQDSKDQDRLFSSIWNTTAPDSDWSYRMDVNENPRKNIAEESS >A01p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3580695:3582024:-1 gene:A01p007180.1_BraROA transcript:A01p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWVFGYGSLVWNPGFHYDEKVLGFIKGYKRVFDLACIDHRGTPEHPARTCTLEKDEEAICWGAAFCVRGGPEEERLAMEYLERRECEYDLKTCVDFYKEDDPLKPSVTGVIVFTSTPDKVSNKYYLGPAPLEDMARQIATANGPCGNNRDYLFLLEKAMHDIGHEEDYVIELANEVRKVLAEYSTKKVTPVKEPRASRVANKSKSNVPTAHQILPHQPEAVATTI >A08p031430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19174542:19176575:1 gene:A08p031430.1_BraROA transcript:A08p031430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFPILDNRPIDKWKVTELKEELKRRRLTTQGLKVDLVRRLDDALRAEQQESQSLNTAATLAANQQAEITNATGGNVTPDRMQTTPSAAETTPEPTVVKTTTEASAAIEITPPPVVSKTEVNAGGLDDVREVAGLDSSVVDDAKLQEPEVADVKDGVGSGVTATDAIVADEAFNKAQPTDSELEKAATDNQVSVTGYEVKSDCISTDSVPTNEKMDNEIAADDVKLEQNVSKSQEPSTVIGESHPMDVEKVSVGGGDVSDAANAADMTKGNNNNIDAGDSEKLNLDRSSGDESMEDEPESKQTESVTSHQVVDKSEKNDIVDAGKGEALENKSHALVTSDKRKLPGNDQEAVGNNEPVKRQRRWNSGSVKVPEAQATNSVAPPTTTPKSTGLKRDFSRSDSSVSEDGPKERVVPPSSKEPTDSLRIDRFLRPFTLKAVQELLGKTGNVTSFWMDSIKTHCYVSYSSVEEAAATREAVYNLQWPTNGGRLLTAEFVGSEEVKAKLESPPQAKPPQPQAQAPSRPPATTLPPPPPLAKAPPVIERLPPPPPLVAEEQEAPIVTLDDLFKKTKAIPRIYYLPLSEDQVAAKLAANNK >A04p021020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12825974:12828440:-1 gene:A04p021020.1_BraROA transcript:A04p021020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCQPNKNNGSASSDPLNWNAAAEALKGSHVEDVKKMVEDYRKGTVRLGGETLTIGQVATVASKGTTVELLEEARAGVKASSEWVMESINRGTDTYGITTGFGSSSRRRTNQGAALQKELIRYLNAGIFATGDEDDDLSNILPRPATRAAMLIRVNTLLQGYSGIRFEILEAITKFLNHKITPRLPLRGTITASGDLVPLSYIAGLLTGRPNSRSVGPSGEILTALEAFKLAGISSPFELRPKEGLALVNGTAVGSAMASIVLYEANVLAVFSEVASAMFAEVMHGKPEFTDHLVHKLKHHPGQIEAAAIREHILDGSSFVKEAIRLHEIDPLQKPKQDRCALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVAMDNARLAIGSIGKLMFAQFTELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEVPMAAYCSELQFLANPVTNHVQSTEQHNQDVNSLGLISSHKTAEAVNILKLMSATYLVALCQAYDLRHLEDNLKETIKAVVNQTAERHTFTLSKPFIEQNILGVIDREYVFSYVYDLSSLTNPLMQKLRSVLFDHALAEPEHETDSGFRKIGTFETELKSLLHNEVERVWTEYEKGNFVVANRIKECRSYPLYRFVREELETRLLTGGSVRTPGEDFDEVFKAISKGKLIDPLFECLKEWNGAPIPIS >A05p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2682412:2683992:-1 gene:A05p006680.1_BraROA transcript:A05p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGTTMAWNVFKFCTALRGLGSIMILLVLGIVGVTYYAVVWTSYGPALSVGGLESLAALVVILLFHFLLGMLLWSYFSVVFTDPGVVPPNWRPASDEEEQEGERDPLNGLEFGGLQPEASNQRTRFCRKCNQHKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLLLMPHFIAFFSEEEIPGTPSTLATTFLAFVLNLAFALSVMGFLIMHISLVAANTTTIEAYEKKKSPKWPYDLGRKKNFEQVFGMDKKYWLIPAYSEEDLRRMPELQGLEYPSKPDFVSQDF >A03g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10977820:10978976:1 gene:A03g503350.1_BraROA transcript:A03g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VERTIERYHRCYYRSLSNSRPEESTQACSYMVQNWCQEVTKLKSHYESLVRTNRHLLGEDLGKMSLKELLGLERQLEAALTTTRKRKERQLGDINKQLKIKFDQAEGLAFKSFQYLWPNTAASVAGDPSNSEFPVQSSSVDCNTEPFLQIGFQQHYYVQGEGSSVSKSNIACKTNFVQDWVL >A08p034250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20407832:20414597:1 gene:A08p034250.1_BraROA transcript:A08p034250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g27960 [Source:Projected from Arabidopsis thaliana (AT1G27960) UniProtKB/TrEMBL;Acc:Q500V8] IQAPKHSQTRIVKNSTTLLLLYRFSLTLSRQSFHLRFCLAIGCFRTVGLSMAASNRSTNSSPSTGSAKGMLERLSTDKSVLQHQMLQDTNSFRPFCGSGYPFSPSSCGINTDSFHVGGYEHAVDTPSSYRSSTDTLSFEEVYHGMRYDDMFYHGNGVPSLPQHYGDLHADDANYFAPFRSSNQQYPVPDPFHASPEFHMSQTNSTHPYRHANEINSFETDYMMHSTRNFGFRNNMFGKPKGLNLNPFTGRRTFLPMASTTRSMKHPVSAELSANDFDMGSQHRTLQDDFGSSASLSYREQAYNQCRRASFSASASSSSSPSSTWELDYIFPPLDESRSGSCSDFYHRPAMSDLLTERNRGLRASRPNAKSKMITYDQQDLLSQFRDAKFFVIKSYSEDNVYKSMKYCVWASTKNGNKKLDAAYREAKTKEVACPVFLLFSVNASAQFCGVAEMVGPVDFETSVEYWQQDRWSGHFPVKWVIVKDVPNSLFRHIIIEDNDNKPVTNSRDTQEVGVEQGIEMINIFNSCEMKSSILDDFSFYEERQRIQDRKARQRALLENLRASSLTSVPTHLASSLHEDYVREMSKSFADALVLQHKLN >A07p028930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16290964:16294503:-1 gene:A07p028930.1_BraROA transcript:A07p028930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSILRPPRNTICGSCYEGARTTIALLKKLDGSKEDNGKLTDKSTFNNGSALSSPLFSLIFKKILDSDEYKTATEYAITLQELKSEELQALLGGVSIHRHIASDKLEKHTLKEAALGFVVRNMDVLVFKDIFSRILKKTKAMADQQRNMDLFHDGLAKIFKEQWHPDVLCLAGEDDHEDPIPANKVILGARSDELRELFDGDGKEIETVILYGMNHEALEVFIEFMYVGNSIQYSEKLKKHARSLYFAANLYSIPLLRDLCRCQLMSSLNIGNALDILEISKDDPREKTLHDEARCYVIRHMKEIAFTREFNLFVKRNTSLTVELIRINETLTLFILVFVDCLSAKTKDMTTQTNLEVFAHGLVKVFKEQWGVDVWLKPGHNNDGDELIAAHKIILAARSKVFATMFESDKIKNWTVHETITLSDLKREELEVFVEFCYAGECMPSEKLKKHAMSLYRAAEKYEIPYLRDLCRNHIMSSINASNAVEILELSRLPFDKTLCEFANNYIDFHSSMIFPLGRFRT >A08p036510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21406645:21407696:1 gene:A08p036510.1_BraROA transcript:A08p036510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMYGFHPTCDYSDKPVMMMSQDNLMFPSDYQTLLCSSAGDNRVSDVFGSNELLSVAASAMSSEAASMGPEIRTNNGNVSLGVVKAKIACHPSYPRLLQAYMDCQKVGAPPEIAYLLEEIQRESHVYKQGVAPSSSCFGADPELDEFMETYCEILVKYKSDLARPFDEATTFLNKIEMQLRNLCTGVESARGLSGKSFLLIHLWFSG >A04g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8577428:8580222:-1 gene:A04g504100.1_BraROA transcript:A04g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRHDTKEKEDGSSSVVGDEMAMGSFSGDEANPRIIDKSVAPGTNQSPRYANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDEGEKEKEGGDEGEKEKEVGDEIEPRRNDEEADERVIPSRQHETESHADSVSQIEGPTNPIGGPSNNAQSGQAHADSVEATGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEKVKSLRLSGPGSDNPAVQDDGDGSDNSESEEEDGDMGGDKESEEEDGGDNNEPDEEDGSDNDVEDAIVDISKDVHREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESVNTKKKRSRKDDGKEAVPVKKVKVDRGDNVRSPIQLRSKAAEKSTRGEKKQKAPAGKKAAAAAKKKAAAEKEAAAEKEAAAEKEAAAEKEAAAEKEAAKKKAAAKKKAAAKKKAAAKKKPKTRKVGKKTE >A09g515130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45176530:45186841:1 gene:A09g515130.1_BraROA transcript:A09g515130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQHIHDIRGCPCVSVSTQRMSVAVHQYTYQHVGPWTQHAGPSHGLCWVILAHVGCLFSTHRTSVAVRVCPCVSVSTHMTSVAVHQYTYHHYTQDIRVCPSAHTGRLWLSLCVRVCPSAHTERLWLSISALVSTLVLGLSTLALPVVCLGDFGTWAVCSVHTGRLWMSASTHRTSVAVCGCPSAHKRRSWLSVCVRLCPSAHTGRPWLSISTHISTLALPVDYSAHTGHPWLSECFRVCQSAHTGRPWLSISTHISTLVLGLNTLTIPVDCSGDFCPRRLSVQYTHRTSMGVRQRTQDVRVCPSEHTGRPWLSVCVRVFPSAHIGCPWQTISTHISTLVLGLSTLTLPVYCSGDFGPRGLSVQYTQDVHGCSPEHTGCPWLSVCVRLCPSAQTGRQWLSISTHISTLVLGLNMLALPVDCLGDFGPRVLSVYYTHDVRGCPPPHTRCPWLSVAFRQHTPDVRGGPCVSMCFCVCPCVSVCVRVCRSAHTRRPWVSVSTHRTSVCVRQHTHDVCGCPCVSVFVRVSLSANTGRPWLSISTYISTLVLGLSTLALPMDCLGDFGPRRLSVQYTQDVCGCPCVSVCVRQHTQNVRGCPCVSVCVRQHTQNVRGCQSVHISARWPFPWTVWVILANVGCLFSIHRTSVGVGQHTHDVCGCLWLSFSTHKTFMAVRVGPCVSVCVRQHTQDVRGRPSVHISARWSLDSAHWPFLWTVRVILAHVGCLFSTHRTSVGVRQHRLGVHGCLSAHTGHPWLSVCVRVCPSAHKGRPWLSISTHISTLVLGLSTLTFPVDCSGDFGPRGLSVQYTQDIRGCPCVSVCVRQHTQDVRGCPCVSVCIRQHTQNVRGCPSVHISARWPFPWTLWVILANVGCLFSTHRTSLGVGQHTHDVCGCLWLSVSTHKTFVAVRVCLSAHTRRPWLSISTHISTLVLGLSMLALPMDCLGDFGPCGLSVQYTQDVRGCPTLALPMDCLGDFGPPGLSIQYTQDIRVCPSAHTGRLWLSLCVRVCPSTHTERLWLSISALISTLVLGLSTLALPVVCLGDFGPRGLSVQYTQDVCGCRPAHTGRPWLSVAVRQHTQDVRGCPCVSVCVRQHTQDGRGCPSVHISARWPFQWTIRDVCGCPSAHTGRPWLSECFRVCQSAHTGRPWLSISTHISTLVLGLNTLTIPVDCSDDFCPRRLSVQYTHRTSVGVRQRTQDVRVCPSEHTGRPWLSVCVHVFPSAHIGCPWQTISTHISTLVLGLSTLTLPVYCSGDFGPRGLSVQYTQDVHGCPPEHTGCPWLSVCVRLCPSAHTGRPWLSISTHISTLVLGLNMLALPVDCLDDFGPRVLSVFYTHDVRGCPPPHTRRPWLSVAFRQQTPDVRGCPCVSMCVCVCPCVSVCVGQHTHDVRGCPSAHTGPHTGRPWLSISTDISTLVLGLSTLALPMDSLGDFGPCGLSVQYTQDVCGCPCVYVCVRQHTQNVRGCPSVHISSRWPFPWTVWVILANVGCLFSTHRTSVGVGQHTHDVCGCLWLSVSTHKTFVAVRVCLCVSVCVRQHTQEVRGCPSIHISARWSLDSARWSLDSARWPFPWTVRVILAHVGCLFSTHRTSVGVCQHRLGVHGCPSAHTGHPWLSVCVRVCPSAHKGRPWLSISTHISTLVLGLSTLTFPVDCSGDFGPRGLSVQYTQTSVAVLVCPCVSVSTHRTSVAVLVCPCVSVSTHRTFVAVHQCTYQHAGPSRGLFGTHKTFVAVRVCLSAHTRRPWLSISTHISTLVLGLSMLALPMDCLGDFGPCGLSVQYTQDVRGCPTLALPMDCLGDFGPPGLSIQYTQDIRVCPSAHTGRLWLSLCVRVCPSTHTERLWLSISALISTLVLGLSTLALPVVCLGDFGPRGLSVQYTQDVCGCRPAHTGRPWLSVAVRQHTQDVRGCPCVSVCVRQHTQDGRGCPSVHISARWPFQWTIRDVCGCPSAHTGRPWLSQCFRVCQSAHTGRPWLSISTHISTLVLGLNTLTIPVDCSGDFCPRRMSVQYTQRTSVGVRQRTQDVRVCPSEHTGRPWLSVCVRVFPSAHIGCPWQTISTHISTLVLGLSMVTLPVYCSGDFGPRGLSVQYTQDVHGCPPEHTGCPWLSVCVRLCPSAHTGRPWLSISTHISTLVLGLNMLALPVDCLDDFGPRVLSVFYTHDVRGCPPPHTRRPWLSVAFRQQTPDVRGCPCVSMCVCVCPCVSVCVGQHTHDVRGCPSAHTGPHTGRPWLSISTDISTLVLGLSTLALPMDSLGDFGPCGLSVQYTQDVCGCPCVYVCVRQHTQNVRGCPSVHISSRWPFPWTVWVILANVGCLFSTHRTSVGVGQHTHDVCGCLWLTHRRSVAVHQYTYQHAGPWTQHAGPWTQHAGPSRGLFGTDWASMGVSANTGHPCGCPCVSVCVRSAHKGNGPWLSISTISARWSLDLSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPCVSVCVRQHTQDVRGCPCVSVCVRQHTQNVRGCPSVHISGRWPFPWTVWVILANVGCLFSTHRTSLGVGQHTHDVCGCLCWTAVAVHSVHMQQPGRFGTQHTGTWSPHTGRPWVSVSTYRTSVAVRVCPLAHKGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDIPHTGRMWLSISTHISTLVLGVSTLTLPVDCSGDFGPRGLSIQYTQDVSHTGRPWLSISTHISTLALPVDCLGDFGPRGLSVLYTQDDHLDGHGLRKRTSQSKDIKTSVPGPEKKVKDVRKLRLNLIQVESQLGRSAPLAKLLAHSAEAAGSQLISVGRTVRILVRWSGSGPVAGHGGPAMRPWTVGAWDKAVG >A02p046660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16710909:16711214:-1 gene:A02p046660.1_BraROA transcript:A02p046660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALTPLRKLKHDKGGRYSRLDHRRSIGAATKMTGEVAGCHGEESRSPRRISFILNDKSW >A04p016190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9956862:9957835:1 gene:A04p016190.1_BraROA transcript:A04p016190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRYAVFSSREIIAEQVIDLNAEYTWGELELIKKRQLEKIVTGLVGSMLEVIREFYAGLPSELTNTSNDKVKIIRSTFGAAQGVPNAAGKFHLIQLGTAGAP >A03p029890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12563519:12565867:1 gene:A03p029890.1_BraROA transcript:A03p029890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-5 [Source:Projected from Arabidopsis thaliana (AT4G09160) UniProtKB/Swiss-Prot;Acc:Q9M0R2] MPQDSATTTTTSPPPPSTAMNSMPNGSPTNPSSSLTQPDFKDEEEKPNQLTLEPEPIQPPPATPEPNPQPSFDQDLSLPFVPELEETNNAAEVTEQSHQVRPETATLEQEGPKHAAENSKPPVITEPNPQRGSEQDSSLLLVPEPEETDHAAEDSKQPHQVTPETVTLKQEGPNHAAQDSEPLPDGLKNAAEDAELPREVTPETEPSVLAMSEPEEPNHTVESDSEKPHQVTPETEPTQKLMLEQRKKYTEVADWTEPEPPDAAVLEAAASAPEPKQPEPHNPEVAAFSLKTRSLAEMMNREEAEEKPKIQIPHSLGSFKEETNRISDLSDHELNALHELRHLLQESTTIDSSKTFIWGVPLLKDDRSDVVLLKFLRARDFKPQEAYSMLTKTLQWRMEFNIEELLDENLGDHLDKVVFMQGQDRENHPVCYNVYGEFQDKDLYQKTFSDEEKRDRFLRWRIQFLEKSIRKLDFVAGGVSTICQVNDLKNSPGPGKTELRVATKQALNLLQDNYPEFISKQIFINVPWWYLAFYRIISPFMTQRSKSKLVFSGPSRSAETLFKYISPEHVPVQYGGLSVDNCDCNSDFTHEDTATEITVKPTTKQTVEIIVYERCTIVWEIRVVGWEVMYGAEFVPENKEGYTVIIQKPRKVASGDEPVVSQSFKVGEVGKILLTVDNPTSNKKILIYRFKVKPLPCE >A10p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16165580:16176447:-1 gene:A10p025160.1_BraROA transcript:A10p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPEMIRLAQDQMSRMTPADFARIQQQMMSNPDLMKMATESMQNMRPEDLKQAAEQLKHTRPEDMAQIGEKMANASPEEIAAMRAQADAHFTYQINAAQMLKKQGNELHSRGNFSGAAEKYLRAKNNLKDIPSSKGGALLLACSLNLMSCYLRTNQHQECIKEGSEVLAYDATNVKALYRRGQAYRDLGLFQDAVSDLSKAHEVSPEDETIADVLRDVKEKLAVEGPGKASARGVVIEEITEDNTVTSGESNKPINETQRESNGGGLKTDLDGLQALKDDPEAIRTFQNFISKTDPDTLAALSGGKAGDMSPDMFKTASSMIGKMSPEEIQKMVQAASSSFKGDSPGENGFAPTPDMLKMASDMMSKMSTEERERMFNVASSLKANAPASTSYSDGEGSEPPRVSSVVSESSSFVAPRSMPSAPPADLQEQMRNQMKDPAMRQMFTSMIKNMNPEMMASMSEQFGMKLSQEDAAKAQEAMASLSPEALEKMMRWADRAQTGIEKAKKAKKWLLGKGGLIFAICMLLPAGVDNAELEERIIQHLAAAAAAMGRARHGTRREGHRSSRSSTQGGHPQFMVFSPPPNAPPSPPPMLSSPSQRDETDLHNATIGEGSLQSNMQPPPASSHPHQGSPSASDSNTRSPNQSSPSDQDRAGPSELQSFSESLKSRLTSVSTRYKESITKNTRNWKDRFFSRNTSMAELGSEVKREVSAGIATVSRMMERLETRENSSRPDTASVPSSSGTENHTPAESNNERNRSEAGDEHSSNERGVKETCAAGSSSS >A06p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21622677:21624034:1 gene:A06p040040.1_BraROA transcript:A06p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIENNGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYVTIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLNKQRKEDQEARMKQDMVMIKRQEQGQGQSNASRDLYSNNMFGSSPWPLLPQLPSPHHQVPLVMMEPTSCNYYQMTPSCKFEQKPLITLKNMVKTEEEPERTNPDHHHPEYSITNPFDFSFSQLLLDPNYYLEPVGGEGELAITSSSTNSPLPNTSADHHQQQQEILQWFGSSNFQTEAVNDMFLSNNDIANLETNENTKFYGNLPVAGAAAALAGGTTSTSADQSTISWEDITSLVNSDDASYFNGANHL >A05g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17287738:17288363:-1 gene:A05g506030.1_BraROA transcript:A05g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSYLLQLLVAFFMGRKSSAACAAWCAEACVHLSSLSFALCGSKPSSEVTPYALKHLLLSSGRHVTARKTREKFREKEKKKERKISEDLVSKSLESPKFFSLGFYRLKFISTKFILKLEEIGKP >A05p049450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28791340:28793447:-1 gene:A05p049450.1_BraROA transcript:A05p049450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFFFSGGDKKEEHKTPNVSQTSNFSDRDINRSGSEFNSRDVSGTSTESSMGRRNSYPPTMSTRASNLREFSITDLKAATKNFSRSVMIGEGGFGCVYRGTVRSLEDPSIKIEVAVKQLGKRGLQASLGHKEWVTEVNFLGVVEHTNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVEFHLSPRSLTILTWDLRLRIAQDAARGLTYLHEQMEFQIIFRDFKSSNILLDENWKAKLSDFGLARLGTMGYAAPEYIQTGRLTSKSDVWGYGVFIYELITGRKPVDRNKPKGEQKLLEWVRPYLSDTRKFKLILDPRLEGKYPLKSVQKLAVVANRCLVRNPKARPKMSEVLEMVNKIVEAPSCSGTSPQLVPLQSLETSRDAGGGKKKRGLENGGGEGGWFGKLWNPKTIRAC >A10p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14193041:14194182:1 gene:A10p021070.1_BraROA transcript:A10p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLENIKSISGGWGAAARSCDACQSVSAAVFCRVDSAFLCLTCDTRIHSYTRHERVFLCEVCEQAPAAVTCKADAASLCVTCDSDIHSANPLASRHERVPVESFFDSAETAVAKISASTFGVLGSTPTVDLTAVPVMGNSDELGLCPWLLPNDFSEPAKTEMKSSEVMFSDFDRLIDFEYPNSFNHHPPHNSAGADSLVPVQTKTEPLPLSKNDHCFDIDFCRSKLSAFTYPTQSISHSVISSPPLNLLKVSTSSLEYGVVPDGNTSSEISIPFNRSTTTITASTATTGDQASSMNREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTETENDDVFFSHVYASAAQYGVVPTF >A10p030920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18615431:18619024:-1 gene:A10p030920.1_BraROA transcript:A10p030920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYYSSKKTDDICDDVCGQDGSRAGKAISRVRCILRGLDFKTYIFFFTIIPLFVFGVYLHGQKLTYFLRPLWESPPKPFQTLPHYYHPNASMETLCSLHGWKHRESPRRVFDAVLFSNEVDMLTIRWKELYPYITQFVILESNSTFTGLPKPLVFAANRGEFEFVEPRLAYGNIGGRFKRGENPFVEEAYQRIALDQLIRLAGIEEDDLLIMSDVDEIPSAHTIDLLRWCDGYPPVLHLQLKNYLYSFEYFIDSKSWRASVHRYKPGKTRYAHFRQGDTLLADSGWHCSFCFRRISEFVFKMKAYSHTDRVRFSHYLNPERIQDVICKGTDLFDMFPEEYTFREIIAKLGPIPRSYSAVHLPVEGVLKTEFALEKLSVPIFEAAPQPFLLDAGRAPGRRRRVLCSACFSSPLSALSIVISNFNSCVFVVSYAMVSTNRFTVAVSHPPLVTAHPLVISHPLKANRSTGSRTTRSAHFLGTVKSPLGVQPEPPLLHHVSSPSQPFEPLVIYSLRQLHPNRSLGVSFQSFVMGLRFSSGLDESYGFQYGNIGVHFLSLISVRILSWNIVKSIAPPPPSRLVTPFPSEICCYSTASFTHLSHLNADTAYGLSDICFWLGLAHLLVCEGLLLKSTHSWPTKNFPTSDVIKLRHRSSSEASCRSTVCRLVSYRVHLAPSCDVVQGSPSPSFMSIRFKSRQRRPFSMAFSYVSGVTHLFLPPISPYLRQSSIENSGRAQPPLFQDNYFLVEAKESVSSPVFVSANRFKTLSIGPLIVGFISRLQYVLGTSVSGSQVKHLYGYLHPFNTAITRIVVVVFVYRLVVEFTSGCSCLILLDI >A01p053530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30003175:30004780:-1 gene:A01p053530.1_BraROA transcript:A01p053530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDLIQCCDCGCHHSSPRSNNNQFSNNPKVHIENECELLRQTVTSQQQSIQDLYDELEKERNAASSAADESMNVMQRLQHDKAELQMELRQYKVYAGEKMEHDLQEIVALEEVVSQREQTILALECEAQGYKHRMMSYGITEGEANGDMSLVDAYDYDYEYEYPSLKCNINENQDSLGADVYVADDENYPPADSPRGRVHLRSLDQRISQIETNPSLDGGFRVASEKDDVFVESENYKHVSYTENDDNAKGGGESLDIGDEMSDRVYTIDSGHHGGVTEQKVEVGNVKQVEHGDPDITKLYMRLQALEADRESMKEALLSMRTEKAQMVLLKELAQHLSKEVVPQRRLPLRKASADGTLTFTPVFKVVLELSCFLDHIFCFLEKKGSSKQVHVWDVNKQHGSANGSRKGPSITELAMSQEHTSVKHI >A03p019550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7982860:7985509:-1 gene:A03p019550.1_BraROA transcript:A03p019550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSSKRTPIHPHCPSTLLLFLISLALIISGVSSRVLSPVPLNNSILISDGIHGASEYEFLTLDPPKNVSKAACLHVYGFLPCADNIGGYAFQVFSFGCLLVIGDYFLSEGRSKLFVIFEVGFYGGIVFPLLTMFPRIVLMLSPGLSATHDGALAIVGSNVGVTVGHTVFALTMQWGACVVFGLTSPSSGQSTPRGSIKRTTSDTKNPRRGFYRTKILKNIVEASVDADPKNKKAAGIMLLTLAPFLIVTLPDLLDAQSWSDITILITLIISCSSTFIYFVYSYFDTADQKKSLDNAKFELMSEVHKHLQSFSPRSLIRDGQLTKESLKSLFDKIDRNKDGKIQISELKDLTVEFGVYGRMKCDINEFANTLLADFDKDKDGELDENEFEEGVMKLLNQYKFDNPDTPRQGNTCIYRTASDSVHVKNLSQGEEAGVLKLEMPKQTLVAKLLSLRTLKAVLKVMGGMLMVLFLAKPFMININLLSVTAGVPSFYAIYRDVTMNNLMGMSIVLAIVYTKGLKWDYSTEALVAVVVGLAIGLPAYVRSTYPFWICVLAFAMYISSLVLIYLHFHFRGQN >A04p025300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15321490:15324192:1 gene:A04p025300.1_BraROA transcript:A04p025300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKFDGKSGPLNVNRYRIFCSEMADKKDDFSVKKISPKLGGERGIRNPKGPTSSHDLVEQMEFLYVENQVFAFDKTKGDVLSVTLKDGRRENGTVIGKQNFKVAADIPFRVPPDARIAPQWYSMGNMELMMLVWFGTQADEVYPRAWFADASDVSASCVRNTRPKLYLAPRLCYVRVTIVSGHDLICNDAERTPSVYVRATLGDVELYTEVSLGSNPSWNQDLIFVASEPLEETVYITLFDKVNGQPHDDECIGVLNKKLSEMNAVKVPGSAPALFYDIEPPVKLEPASDSRRFASRMKMKLATDQAYHVFDECIQYSSDYRAFAKGLWPDLLGKLEIGILGATGLQPMKEWRDGRRSTDAYVVAKYGNKWSRTRTVVGSFSPKWNEQYSWDVYDKCTTVTFGIFDNNQLAVVSSNTNLDGLIGKVRIPLTSLEWDRVYTCSCPILVLREDGLKKTGELQLVVRCLCVANAYVRATSPFRWMLPKAHYKSPLSMVQTEDLRWQAIRLNCLNLARAEPPLRNEVVLNMLRPTNKSFSMRITNANLERLRKVGRMFNWCLWMKETIRSTTDFQPKIIACVASLVVVFGWWYWILCLAVWPVIPVYLAVISLREIFRLGRLECNRLVLGVETHRPPPLVPVDLKLWGLDSPDLDELAEEFDTFPSSVVDVNVLRMRYDRLRREMGENVMLLLGDVASQCERFCALVSLLDSPLAWICFSLVCYVIVVFVYMFWDHRGLLIK >A07p040240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21374958:21378373:-1 gene:A07p040240.1_BraROA transcript:A07p040240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFCSWPVVLLLVLLCMVARESNGASNNVKVGNISKVEDADNFHIYYGQTFKVIKNAIDGKSYLLIQNTSRMAVRTKYCTSRIKSYVIPLLNYSVDTQSSQGGIPLLGLLGSLKGITSDAVASPCVLKLLEAGEVVKFEKGAEEVSQFAAHFISDTDQLQTCNFANFFPLSEGTPLQRAEWIKFLGAFANLETKANQVYDAVKASYTCLSQMAAKRTTSFKPIVAWMEYDKNGGVWCFTKEPHKLKVCSSAFGTFNSSSENLETPIKLNIAQLNQFVEDAGGENIDKSINKITYNVSDPDDLEALHAILCTVDAVIDETLSSEPQNYTKKTFLDNINLEDNSCFAFNQSIWRYDKRVRKGTTLDWHDGAISQPNLVLADMIEALFPTGNYTTSYFRNIAKGEGVIDISSDMCDRDASLPLVPIIPACG >A05g503470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10150911:10151105:-1 gene:A05g503470.1_BraROA transcript:A05g503470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEVISSRNRGGDRSEDGDGRDGELATVRDWASLSRGREEMRYREEDERLALKTETKETRERL >A01p050210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28270902:28272494:-1 gene:A01p050210.1_BraROA transcript:A01p050210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTIVPLRRTSNSLNEYHTNAVAFDGIVGSASTSQMEEIVTQTDDCYANPNGDGGRSKASFMTWRMCNPVHVVRVHWIPCLLAVGVLFFTCVEEYMLQMIPASSEPFDIGFVATRSLYRLLASSPDLNTVLAALNTVFVGMQTTYILWTWLVEGRPRATISACFMFTCRGILGYSTQLPLPQDFLGSGVDFPVGNVSFFLFYSGQVAGSTIASLDMRRMKRLRLALLFDILNVLQSIRLLGTRGQYTIDLAVGVGAGVLFDSLAGRYEEIMSKRHNVGNGFSLISSR >A09p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39930818:39931552:1 gene:A09p045470.1_BraROA transcript:A09p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKSSHYKADSKMELDTNRKAWIFQPSDLNFVWGGDSRYWIVPKEPRVPAELKMVSWLEVTGSFEETEPGKAYQIGFKISFKPDATGWDGAPLVMSAKIGKKGKTVWKKIKSVNQNKGGSEPVNIPDESDGQFEISVSPTADNQDTKLQFGLYEVWTGRWKTGLLIHEAFVSTSVSSLLETQILL >A02p052390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32062691:32063907:1 gene:A02p052390.1_BraROA transcript:A02p052390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGNISKSLKELRILLCQSSPASASARTFVEKNYRDLKTLNPKFPFLIRECSGIQPQMWARYDMGVERCVNLDGMSESQILKSLEDLVKAGGATKA >A02p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14327916:14329008:1 gene:A02p028310.1_BraROA transcript:A02p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPVPPKQKRLSRKRWTPSLDKVLADLVVKQIQLGNRQNNVFDRKTWLNIRTEFNHQTGLNYNNNQLRKHLNVLRQRYDSVKSSQLHNQLVLEDTGCILGLDLWEDFDVQPRSEPVKVKDCPIYEQLCTIYGDVSSEGMYAQSSHFEGLEESMPNHTSESLPPTPNVERKRKREADTKTSHVSPSQIDPAVMETMAGTLSDMMTSLRSRMSGLETEEEDDRFSIANCINALDEIENVDEGVYFAALDLFESPGLRETFMSLKANKLRLTWLQVKCRNKLTPSVAQLG >A08g505560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9294948:9295244:-1 gene:A08g505560.1_BraROA transcript:A08g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALGRKGITINVGRGGLIDEMEMVKCLVDSVIGGAGLDVFEKEPGVPEELFGLDNVVLSLHAAMVMPGSLDNIVHLYLANVKAFFSDQPLISPVRLD >A02p009770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4109717:4110652:-1 gene:A02p009770.1_BraROA transcript:A02p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRKQHYATSSLVIGYALCSSLLAVNYPALLTALQYLTSSLSVFLLGKLRLLRHDPFSLPTATRFLPAAAVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAAADTLLRSQPPPSGLTFLSLLVILAGAVGYVANDSAFTLTAYSWALGYLVTITTEMVYIKHMVSSLDLNTWGFVLYNNLLSLMIAPVFWFLTGEHTEVLTAVNANGGNVFDPVAFFAVALSCVFGFLISFFGFAARQAISATAFTVTGVVNKFLTVVINVVIWDKHATPVGLVCLLVTICGGVGYQQSVTVVKKPTSGPGKGDTDA >A09p069560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54184830:54193094:-1 gene:A09p069560.1_BraROA transcript:A09p069560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKRDNTILGAAWYLLSIERQATCWKAECHNELGPIRCVTDFFDCGTVNREDRNNWQNVTVVFSNCDPSNKIRFTFGIFADALTKNVVSSPFLEKYLYCLCSYGQNLDTSTSVLETMFAILVAIFGLVLFALLIGNMQTYLQSITVRLEEWRLKRRDTEEWMGHRQLPQNLRERVRRFVQYKWLATRGVDEETILHSLPADLRRDIQRHLCLDLVRRVPLFAQMDDQLLDAICERLVSSLSTQGNYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLKPGEFCGEELLAWALLPKSKVNLPSSTRTVRALEEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRYYSHQWRTWAACFVQVAWRRYKRRMLAKSLSLAESYSSYEEEEALAAAAAEEIMSQQEERQSSTPSRHHTSIGKPHFAATVLASRFAKNTRRASRKMKDVDVPMLPKPEEPDFSVDAD >A05g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29302488:29303207:-1 gene:A05g509780.1_BraROA transcript:A05g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCVVMVACSVGEQQFLKGGIAQELRTDGRKRLTYRHTYVETGVIPQANGSARVRIGGTDVIACVKAEIGRPSSLQPDKGKVAVFIDCSPTAEPTFGVSFYLALLLVLGGEVRNCLLILLWLFKDVF >A04p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14049521:14050891:1 gene:A04p023160.1_BraROA transcript:A04p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTNNDVQIIEPHPDCRVVLERTALLVSKTGLEMERRIRNSNFRNAKFNFLNNSDPCHAFYQQRLTEYREASPNQEPYAPVAVTTDHPECKGPPSSFTFELEPPHWITLKEHATLKLTAQSVARYGMSFLEALINKYSTNPHLGLIKFNDPRCYYFIQLVYAYSRVLRLSKTDGASTETFVKGFLEQLQILLEKDEEEGLEMAMSDLDAVVGCSDHMEDQENFTSLRQFSSGVFVWIWALTIAAVVREVNPGLIYSCFLGSRMLGSTVFPWLMSGQSLLRLEDCLVYIYATLGIVFSVK >A03p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:318625:319958:1 gene:A03p000480.1_BraROA transcript:A03p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial succinate-fumarate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G01340) UniProtKB/Swiss-Prot;Acc:Q9M038] MSAAAKTDSKSQASIPPYMKAISGSLGGVVEACCLQPIDVIKTRLQLDRSGAYKGIAHCGSTVVRTEGVRALWKGLTPFATHLTLKYTLRMGSNAMFQTVFKDSETGKVSNHGRLLSGFGAGVLEALAIVTPFEVVKIRLQQQKGLSPELFKYKGPIHCARTIVREESILGLWSGAAPTVMRNGTNQAVMFTAKNSFDVLLWNKHEGDGQALQPWQSMISGFLAGTAGPFCTGPFDVVKTRLMAQTRDGEGGLKYKGMVHAIRTIYAEEGLVALWRGLLPRLMRIPPGQAIMWAVADQVTGLYEKRYLLNAPL >A08p032740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19808465:19810194:-1 gene:A08p032740.1_BraROA transcript:A08p032740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPNLNSDSGLKKLDEHLLTRSYITGYQASKDDITVFTALAKPPSSQYVNASRWYNHIDALLRISGVTAEGSGVVVEGSAPVAEEAVATPPAADSKDAADEEDDDDVDLFGEETEEEKKAAEERAASVKASTKKKESGKSSVLIDIKPWDDETDMKKLEEAVRSIQMEGLFWGASKLVPVGYGIKKLQIMCTIVDDLVSVDTMIEEQLTVEPINEFVQSCDIVAFNKISIRQEPRKKLDHSQAHVREDNHDHQVEIPSMDPVSNG >A01p056760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32257307:32259316:-1 gene:A01p056760.1_BraROA transcript:A01p056760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTESTSHTETLNGTHEFKISGYSLIKGMGVGKYVASETFTLGGYSWAIYFYPDGKSPEDNSVYVSLFIALASEGADVRALFELTLVDQSGNERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRSLLESSDYLKDNCLLVRCCVGLVKSRTEGPRSYNIPVPVSEFGRQFGKVLESGRGADVTFRVDGETFPAHKLVLAARSPVFRAQIFGPLKSRDTECIDIEDMVAPIFKVLLLTLCWFRGFSVYDPGQDRPVDFRGSVMETDGFDYLKESCPCLLSELLEYVARLSEHSLASSGHGKELYVEGCDVNGRRVKQRLH >A06g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17902001:17902757:1 gene:A06g506320.1_BraROA transcript:A06g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLSLCTDRLITAEKLESEKDSDSSGETCRPQGTDLASSSEEYYAVEEEEPLLQSVECRICQEEDTIQNLEAPCACNGSLKYAHRKCVQRWCNEKGDITCEICHQAYQPGYTAPPPPPPDETIIHIGIVIRVGIG >A07p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:425285:426756:1 gene:A07p000910.1_BraROA transcript:A07p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSRRVLRTFGSFTALGRSNATNAFGNGLSSLPSDLKCGMGLLSNRKLSTSILTPDDSFPRDLLSQKTVITPDRTIGQYQDLVIPVTNFQNEDKGFMVLAGDVFDVPVRKDIIHHVVRWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARHGTLRGPQFRGGCVMHGPRPRSHAIKMNKQVRRLGLKIALTARAAEGKLLVFDDLALPTHKTKNIVNYYNQMENTKKVLVVEGGPIDEKLKLATQNLHYVNILPSIGLNVYSILLHDTLVMSRDAVNKIVERMHTPINR >A02p048770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30312184:30313121:1 gene:A02p048770.1_BraROA transcript:A02p048770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRFLLPSETAERMRWPSLFEGVDPTLEEWIINQCDVGRGFSKPFSSQVTETAFGILDFSLQWK >A05p015530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6926425:6926697:-1 gene:A05p015530.1_BraROA transcript:A05p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKVVLFALVQGLVYLILTKSSRVFSKSKSLKRAYSFRPARSVSIRRILAALQDMPAGGEMSPSSNGASSSLASPSLQDDVVPTTSASS >A07p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21036323:21040523:-1 gene:A07p039590.1_BraROA transcript:A07p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDDEGNDKKTQGSNRKKLKLVTFTGAAGLLGLAVSFAIFAFNSHKQKSKKKCLPGCDSVCINLSAKEILDLADEIISKSTRVHDAVALVPLNKLSYENVVLPLAEIEARLLPLIQCCVVPKMISPLDNVRKASAEAELRIDAHLLSCRKREDVYRVIRIYAAKGESIAPDAKCYLQCLVRDFEDNGLNLTTTKREEVGRLKDEIDELSLRYVQNLNEDSSCLFFTEAELAGLPVEFLQSLDKTQSKEFKLTLESSHVAAILELCKIAKTRKTVAMAYGKRCGDANIPVLQKLVQSRHRLARLLGYAHFADYALDHRMSKTSTTVCERSFTCFVIILHILDCTEALLVSSLTDLAIREFSILKDLKRKEEGELPFGVEDLLYYIKRVEELQFDLDFGDIRQYFPVSLVLSGIFKICQDLFGIKIEEVTETDVWYYDVQAFAVFDSGSGKLLGYFYLDMFTREGKFSHSCVVSLQNNALFSNGACQIPVALLIAQFSKDGSGEALPLGFSEVVNLFHEFGHLVQHICNRASFARFSGLRVDPDFREIPSQLLENWQDITKPLVDEVCKTLKRWRYSFSALKSLQEILYCLFDQRIYSDDDVDFGQLIRSLHPKVMVGLPVVEGTNPASCFPRAVIGSEATCYSRLWSEVFAADIFASQFGDGHPNLYMGLQFRDKVLAQGGGKEAMELLTSFLGREPSTQAYIESRTKYGL >A10p000080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:23736:27351:-1 gene:A10p000080.1_BraROA transcript:A10p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSCIDFVMFSSRGQHDEGNMSRPSWKRERSNNLINLSPNEDEELANCLVLLSNSGDHYNSGGHHNKHGHGKGKSIKKQKTSQAFQCKACKKVFTSHQALGGHRASHKKVKGCFATQNKEEEEDEDEYKEEDEEEEEEEEEDKATAADYNNIITRKRSNAHECTICHRVFSSGQALGGHKRCHWLTPSSYFHMTPLHDSSSVARSQMLEQPSLDLNLTCQEYSVDPTVMSVWRDDGGNNHNATSPDSWLKLASVTSLVGSHLLHSPSLCLVPQTSLYVLCCQHPPAHNVPSEIGVKPILLLTGVDESSSLMSISFQERSHFAPFAITSHIQQVLNMLYPLLSCSHLCNYAVDLKKEMPSSETYGLGIVGLSFIINAVDGVFTSISSEFRHQRGSPTCPNSHVRFILDLAQSHPLLEDCSPIALQQKANQTSSCRGHERTFAPECKNCLMLPYSVASSKGIFPKSPLKRQSSRGAQLKSLGDFDRSWKDFKMFINQPATTKPGICSHFHTMTEYSEELLESGFINTLWYRYGNIGIKSLLLAICHAFSPSLVKLFCCFTGVMMARAEKDRQGRERSPGPREIAIHFLLSGENLFTTVPLFKSFAPNCENFGKSKRHDLCSQLWDKLPQLRSPL >A06p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1062759:1063545:-1 gene:A06p004210.1_BraROA transcript:A06p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor family protein [Source:Projected from Arabidopsis thaliana (AT1G49620) TAIR;Acc:AT1G49620] MRLDDGDDSAEETTVSSSSSSSLSYSAALDSEDEDHRSSSARDTSLPFLDLEGEQQISETEVSSLITISFRAQGSPSSEMDSATTTKTERQEKKAKAPPTQAELDDFFSAAERGQQKRFSDKYNYDIVNDTPLEGRYEWVSLKP >A02p043620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27634783:27635562:-1 gene:A02p043620.1_BraROA transcript:A02p043620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHILNVSRIGQVSHSENFKSFTWKNILNCSGRLLVCLRGLWVLLLDSNIVRYFQKPINGNGEMLKRYLILLAYEFCLRDAWISCDEKTSLKVEYLKRRRDLEGEVAEEGMEEEENDNK >A02p031310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16203567:16206354:-1 gene:A02p031310.1_BraROA transcript:A02p031310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAAASVATPRADLFSYDHSKVSASHGFSRSFTSSRFSGAAGSPSLSLQKCNAILQPIKATATQVPPAVQRSSSTGKTKVGINGFGRIGRLVLRIATSRDDIDVVAVNDPFIDAKYMAYMLKYDSTHGNFKGTINVIDESTLEINGKKVNVVSKRDPAEIPWADLGADYVVESSGVFTTLSKAASHLKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKGASYEDVKAAIKFASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDANAGIGLSKSFVKLVSWYDNEWGYSNRVLDLIEHMAMVAASR >A05p042750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25823886:25825947:1 gene:A05p042750.1_BraROA transcript:A05p042750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPTTKLDYHVDMFKLQSQATFLSLFKAEDGRIALILDSTVFHPQGGGQPSDTGLIEFAGSDFKFSVQDVRSKDGIVLHYGVFQGSAPGDIEKGEEVHLLVDESRRKLNSRQGKLNRSCSLVLHSAGHLLDLCMQKVGLGHLEPAKGYHFPDGPFVEYKGVVPQAELLVKQKELEAEANELISKGGKVYADILPYEEASLLCGGTLPDYIPKGSTPRVLRLGENPGCPCGGTHVSDISDIISMKITQMRTKKGMTKVLWFKKET >A07p037840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20211783:20215460:1 gene:A07p037840.1_BraROA transcript:A07p037840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSLVLLIVLLSSPAIYAGHDYRDALRKSIMFFEGQRSGKLPPDQRLRWRRDSALRDGSSAGVDLSGGYYDAGDNVKFGFPMAFTTTMLSWSIIDFGRTMGPELKNAVKAVKWGTDYLLKATAIPGVVFVQVGDAYSDHNCWERPEDMDTLRTVYKIDRAHPGSDVAGETAAALAAASIVFRRRDPAYSRRLLDRATRVFAFANRYRGAYSNSLYHAVCPFYCDFNGYQDELLWGAAWLHKASRKRAYREFIVKNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKAEYFESFKQNADGFICSILPGVSHPQVQYSRGGLLVKTGGSNMQHVTSLSFLLLAYSNYLSHAKKVVPCGELTASPSLLRQVAKRQVDYILGDNPLGMSYMVGYGRRFPRRIHHRGSSVPSVSAHPARIGCKEGSRYFLSPNPNPNLLVGAVVGGPNVTDAFPDSRPYFVQSEPTTYINAPLVGLLGYFSTHSSWR >A07p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3792778:3793878:-1 gene:A07p002500.1_BraROA transcript:A07p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLNFLDSKTPFNDMINAIREIEQRNISREYLWEECWLYGDDDEDEDQGEGDESQVIKPHRLCSTIENLMASWSRLWTAVAAGLESSRSILKVDDVHADTLSAPKALEEEKEHRKMIQKKIYKNLSEVRDNGGNVSALEIYELMEKLKMPLSPSQHGVRIDRIAQTKGLSAAETYFNRLDHRFKTQSTYRKLLKWYCREGKQEKAKALFKKMDGLKYLNRTAPFQDMMVLYMSLKKLEKVAEVINEMNKRNIRLTCEVYAIWWKSCHPQDRFEFAIDDFIEGSKGVDEGEEAFEQSWVISKGRYGMNHPGVMESMERWNSGGNL >A07p004970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2524614:2525726:1 gene:A07p004970.1_BraROA transcript:A07p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCFHSPSKPISYFHPKSKPSPPLFSKVSVFRCKASVQTLVSVEPEPVFTSVKTFAPATVANLGPGFDFLGCAVDGLGDHVTLRVDPSVRAGEVLISEITGTTAKLSTNPLRNCAGIAAIATMKMLGIRSVGLSLDLHKGLPLGSGLGSSAASAAAAAVAVNEIFGRKLVREELVLAGLESEAKVSGYHADNIAPAIMGGFVLIRNYEPLDLKPLRFPEDKELFFVLVSPEFEAPTKKMRAALPTEIPMVHHVWNSSQAAALVAAVLEGDAVMLGKALSSDKVVEPTRAPLIPGMEAVKKAALEAGAFGCTISGAGPTAVAVIDAAEKGEEIGEKMVEAFMRVGNLKSVACVKKLDKVGARLVSSVSK >A05g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6568399:6572964:-1 gene:A05g501960.1_BraROA transcript:A05g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWFAAPSLTATESVIDVDSYSGDTVGRQRFHRLAFFKIGRNADSPSALLPVSRLFLFFSKHFFTSPPLSISTLSHHSYTFSLSILFLYSLKIHGFTLSLLLNMTHPYEEMKEMKRLKKHYDMLGFVADAQYRIPTRCPCGGEIMTNVSPTPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRGEVKELAEEIAKLKRLITSTSRP >A06p044760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24019734:24022079:1 gene:A06p044760.1_BraROA transcript:A06p044760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFLLGVVVVTGLVLGTEANEYLEFNVTELDRIEELEYGFSKSSSNFNPLMVGLTLIRGAGAKGAVCLDGTLPGYHLHRGHGSGANSWLIQLEGGGWCDNIRNCVYRKKSRRGSSNYMEKQIQFTGILSDKAQQNPDFFNWNRVKLRYCDGGSFSGDSQNKAARLQFRGERIWRAAMDDLKAKGMRYAKQALLSGCSAGGLAVILRCDEFRNLFPGSTRIKCLSDAGLFLDTPDVSGGHTIRKLYNGVVQLQGVRNNLPHMCTNHLNPTSCFFPQNLISQMKTPLFIVNAAYDIWQIQSSIAPTSADPHGYWHECRLNHGRCNAAQLRFLQGFRNQMLRAVTGFSKSRKNGLFINSCFAHCQTERQDTWFADDSPVIHKKAVAIAVGDWYFDRAEVKLIDCPYPCDRSCHNLVFR >A07p027940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15872882:15874142:-1 gene:A07p027940.1_BraROA transcript:A07p027940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRKIGIAMDFSESSKNALKWAIENLADKGDTLYIIHTLPTSEAESRNALWLESGSPLIPLAEFREPKIMENYGVKIDIACLDMLDTGSRKKEVHVVTKLYWGDAREKLVDAVKELKLDSIVMGSRGLSALQRIIMGSVSSFVIDHAPCPVTIVKDN >A05g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9001794:9003084:-1 gene:A05g502980.1_BraROA transcript:A05g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVLMGKGLSGLSLCVRVWEPGGVFVKLAKWNRLETCHVYGWLMRVIGVGDVKFVKNQNASLLSVVGVMNLAGHEVLEMQECFYKTWKDKYKKIEHKECSKSWSFGTLSIRRAWFSLEILSKRRAIAVLLVRDGEAGLGSSFLFRLCGRQIANVMPMQGCDIIRESLVRAI >A08g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5368348:5372680:-1 gene:A08g502460.1_BraROA transcript:A08g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANTATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAICPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTMGNTWTRNQGYDENTFCELHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A02p011050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4796501:4797375:1 gene:A02p011050.1_BraROA transcript:A02p011050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQAAVAAFFLFVLGVFSNFELSASTLVLGKVSCLDCHNDFDFSGVKVLLKCEGEKKTITTMATSDGSFRSVLLTADKKRSMNCLAKLLGGPEQLYAHKHNMVSELVKSKHDTKVLTTSNPLSFSLSCPKPTGDNVGNMIGDSKTVNFPGTGGFGFPPASFFPFLPIIGIP >A03p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14439393:14442654:-1 gene:A03p034180.1_BraROA transcript:A03p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRFQLNPKPFTDPPADHLNRVSLIPRSAEQKLAADNLGDRRPLAPRTHEDKPFGEHSDALQHHNVAPPPHNKVMDHDPEKKTGSIVPKTPHHPHPSEDLHDANSRHSLVPRSLGHNSLGGRFGPGKNQAFRRNGRPMFSLSDDRVMADRVLKTHSPDMVFFDVKSLLSVADDIFKSYVPSIDSSSSASKPSVVFKDYADHTSFETFAELIDQITCEIECKCLHGGESHGMMTSGIHLDSRNTTTFSVLSLVSKYRWDAKLVLVLAALAVKYGVFLLLAETYATNQLTKSLALIKQLPSIFSRQNALHQRLDKTRVLMQDMVDLTTTIIRIYELPPHHITAAFTDHIPTAVYWIVRSVLICVSHISGASGFKQDQVMSFMEVSEIHENSERLRKINAYLLEQLNKSHLTIDLLIFFTMALVGINVLTQKHVLLLVSDLENIEKELYILESLYTEAWQQSFEILWVPVQDIWTDAHDAKFESLHSNMRWYVLGEPRKLRRAAVRFVREWWGFKNRPILVALDPKGQVMSTNAFPMVWIWQTFAYPFTTAREHDLWSEQEWNLEFLIDGTDPHSLNQLVDGKYICVYGGEDMQWIRNFTSLWRSVAKAANIQIEMVYVGKRNPKNGIQPIINTIRDENLSHTLPDLFQIWFFWARVESMWESKQRMLKAQRTKGGRQGFKEEEEKDLVLQEIVALLGFGGEGDGWGLVSKTADLMVRAKGNLFSQGLAEFNEWEVNIPAHGFLKALNDHLMMRLPPHHCTRFMLPETSGIIPDEVECTECRRTMEKYYLYQCCLE >A09p043780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35643027:35644411:1 gene:A09p043780.1_BraROA transcript:A09p043780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGCLECLLKLLNFILAVAGLGIIGYGVYLFVEFKRATDHSVSFIPTNVNDQSYVSFGRPMLMAVALSSNVFDNLPKAWFIYLFIGMGVVLFVTSCCGCVGTCSRSICCLSCYSLLLILLILAELGAAAFIFFDNSWRDQIPSDKTGNFDTIYHFLKENWNIVRWVALGAVVFEALLFLLALMVRAANTPDEYDSDDEFIAPPRQIRQPFINRQPAPVTGVPVAPTLDQRPSRSDPWSARMREKYGLDTSEFTYNPSESHRFQQMPTQPNEEKGRCTIM >A01g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13422297:13424538:-1 gene:A01g504370.1_BraROA transcript:A01g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPVILLALLVFSHCGYSQPSPSPGYYPSSRVPTSPYDRDFRTQWGFQHQRREHDITTLWLDKASGSGFKSIRPYISGYFGASIKLQSGYTAGFLGTTPGKPYSLQTNVFVRGSGDRNVIGREMKFNLWFDPTQDFHHYAILWNPNQVVFYVDDVPIRTYDRKNEAIFPTRPMWVYGSIWDASDWATENGRIKADYRYQPFVA >A04p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20796301:20797534:1 gene:A04p035800.1_BraROA transcript:A04p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLAVLFTTFVLFTLTDAKIPGVYSGGAWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGMSCGACFELKCANDPQWCHSGSPSILITATNFCPPNFAQASDNGGWCNPPRAHFDLAMPVFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGHRYFNLVLITNVAGAGDIVRTSVKGSRTGWMSLSRNWGQNWQSNAVLVGQSLSFRVTGSDRRTSTSWNIVPSNWQFGQTFVGKNFRV >A03p071970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31764637:31765682:1 gene:A03p071970.1_BraROA transcript:A03p071970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDSCNTGLVLGLGLSITPNIYNPAIKKTSATVDHHLDPSLTLSLSGESYMTKTVDMTVAGAGSQVCRQTSSHSGISSFSSGRVKRERDICGEEEAGETTERVVCSRVSDDHEDEEGVSARKKLRLTKQQSALLEDSFKLHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQDLKALKMSQPFYMHMPAATLTMCPSCERLGGGGGGAAGGGGGTAEVNGGTAKGAFSVVTKPRFFNPLTSPSTAC >A06p046980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25015487:25017800:1 gene:A06p046980.1_BraROA transcript:A06p046980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate--beta-alanine ligase [Source:Projected from Arabidopsis thaliana (AT5G48840) UniProtKB/Swiss-Prot;Acc:Q9FKB3] MDPNFKFLDTCDLDTQRLIAALGAADDSRETEMGCSDGDKQQGKRVIETAGCGGPTRKEPPKRETAAGRATAGRVVPIAIPNHQQTIQTMETREPEVIRDKEAMRRWSRSMRSQGKTIGLVPTMGFLHDGHLSLVRQSLTLSDVTVVSIYVNPGQFSPTEDLSTYPSDFSGDLAKLTAVSSSGSVIVVFNPKNLYDYDGVRNEKTNSGGGGGGGKVVSCVEEGGLGHETWVRVERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAMFGKKDYQQWRLIKRMVRDLDFGIEIVGSDIAREKDGLAMSSRNVHLSAEDRQRALSISRSLAMAKAYAEQGKIGCKELKDMIISEIVGAAGRIDYVEIVDQETLEGVEEIKSGVVICVAAWFGTVRLIDNIEINVTV >A07p031920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17583253:17584026:-1 gene:A07p031920.1_BraROA transcript:A07p031920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTIAAGDGDVSGGAAEFIQIRLNHRHDPKENQCSSVLVKHIKAPVHLVWSLVRRFDQPQIYKPFVSRCVMKGDVGIGCVREVNVRSGLPATTSTERLEFFDDNEHILGIKILGGDHRLKNYSSVVTVHPEIIDEKAGTMVIESFVVDVPEGNTKDETCYFVEALIRCNLKSLSSVCERMAAQNMT >A05p031890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18155857:18156736:-1 gene:A05p031890.1_BraROA transcript:A05p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAEGGGFFSSSASGYSNGLALLLLGHKDEPKPVIVSPWRHYHLVVEETDTKFQLDSSKKWLSRACTSLTCFGRKSDRPDNPSQPHDFPSDKRDGAQQSVDYECCSNRFALKSSLKKRSFSDALLADDDVSRDNGVLNHADRRKVQWPDTCGIEIAEVREFEPSEVDGLDDELHHGNRKSCMCTIM >A08p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15336242:15336781:1 gene:A08p023290.1_BraROA transcript:A08p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHVSEYFDCSSHGSSKRHKSLQTVDVRVLIDCEGCERKVRRALEGMKGVRDVTIEANAQKVTVVGYVEPNRVVARIIHRTGKRAELYPFVPYDVVAHPYASGVYDNRAPVGYVRNTEYDPHVSRLARASSTEVRYTTAFSDENASGCVIM >A09g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10651029:10653191:-1 gene:A09g503350.1_BraROA transcript:A09g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRMTHRHATEDELQQLRDNGFAVWLRSYDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A10p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9444718:9449116:1 gene:A10p005770.1_BraROA transcript:A10p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYVGSLSRDVDSHEQEHAAQILLEKFKCVPTFLPPDLRSKYYDRFCKRQLWSLFHYMLPFSPSNGGIFDRSMWEAYVAANKLFFQKVIKVINPDDDFVWIHDYHLMVLPTFLRRRFNRIRMGFFLHSPFPSSEIYRSLPIREEILKVLLNSDLIGFHTFDYARHFLTCCSRILGLEYQSKRGYIGLEYYGRTVKIMPVGIDMGRIQSVMIDSEEEGKVMELRRRYEGKTVLLGIDDMDIFRGINLKLLAMEQMLKQHSTWRGRAVLVQIVNLARDKGIDIEKTRGEIEETCRKINEEFGYHQPIVYIDTPISITEINAYYHIAECVVITAVRDGMNLTPYEYIVCRQGAKKSVLVASEFIGCSPSLSRAIRVNPWNVEATGEALNEALSMSDGEKQLRHKKHYRYSLSSLPSPFRVITGLELHWMGDEPAGTKEAENSAIWCMTSRHTRRNAQGEFVTFTNQELARLERTNRQQPRQTETTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALARQEQQAQTVPEQPSRTLFVTLVLV >A01p021640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10554700:10554990:1 gene:A01p021640.1_BraROA transcript:A01p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYLKVFVYSFASKAELVSKLKSVAMEYKFTFSAYKTTKTLYVAKCRVQRCGWKLRASVKHGPKTFWVTKYSKRMKNRKG >A07g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22995532:22996270:-1 gene:A07g508400.1_BraROA transcript:A07g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLFQPVDDPVNGDNRYHEEATSYHKIFRCLLFCSDQISVSNSELFVEFFKTSNARKPKQIIIFRDGVSESQFNQVLNIVVDQIIKAYQRLGETDVPKFTVIVAQKRHHTKLFQAKGHENVPAGTVVDTKIVHPTN >A03p030330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12730107:12731548:-1 gene:A03p030330.1_BraROA transcript:A03p030330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLIVSFGEMLIDFVPDTSGVSLAESTGFLKAPGGAPANVACAISKLGGKSAFIGKFGDDEFGHMLVNILKKNGVNSEGVCFDTHARTALAFVTLKKNGEREFMFYRNPSADMLLKESELNKDLIKKAKIFHYGSISLISEPCRAAHMAAMKTAKDAGVLLSYDPNVRLPLWPSTEAAIEGIKSIWNEADIIKVSDDEVTFLTRGDAEKDDVVLSLMHDKLKLLIVTDGEKGCRYYTKKFKGRVPGYSVKTVDTTGAGDSFVGALLVSLGKDGSILDDEGKLKEALAFANACGAVCTTQKGAIPALPTPSDAQQLIKSKSK >A05p014520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6354048:6357522:-1 gene:A05p014520.1_BraROA transcript:A05p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIRKDPLVPAVKPDPRASSIGEGPNHRHENDEGGSGGLEIGAPDLDKDLLCPICMQVIKDAFLTACGHSFCYMCIITHLRNKSDCPCCSQHLTNNQLYPNFLLDKLLKKTSARHVSKTASPLDQFRDALQRGCDVSIKEVDNLLTLLAEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELNEVQTDLQYIKEDINAVERHRIDLYRARDRYSVKLRMLGDDPSTRNAWPLEKSHTGFNSNSLSIRGGNPSGNFQNKKVVEGKAQGSSHGISKKDAQSGSDSQSLNQSSVSMARKKRIHAQFNDLQECYLQKRRQLVDQPHTNQESDNSVVRREGYSHGLADFQSVLTTFTRYSRLRVIAEIRHGDIFHSANIVSSIEFDRDDELFATAGVSRCIKVFDFSSVVNEPADIQCPIVEMSTRSKLSCLSWNKHEKNHIASSDYEGIVTVWDVTTRQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTRQEASVLNIDMKANICCVKYNPGSSNFIAVGSADHHIHYYDLRNISQPLHVFSGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNLPVRTFRGHTNEKNFVGLTVNSEYLACGSETNEVYVYHKEITKPVTSHRFGSLDMEEAEEEAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAA >A03p001070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:569816:570016:1 gene:A03p001070.1_BraROA transcript:A03p001070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLSRIHSGYSFFFFFHWNMFIQIVSSLIATLNVESTVTSWDLHVHWHLISLYPLFSLQHVEQSS >SC160g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:151598:166204:-1 gene:SC160g500100.1_BraROA transcript:SC160g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQENAVTLEIYHSNFIVTMVTEVEDLGSYLASIWRVKHARRSLDEQGGTDLVQPWSLKKRGMAIGQDEQRELWKAVGYGVVM >A04g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15062773:15064246:-1 gene:A04g506690.1_BraROA transcript:A04g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMSLSASTVPQTGVSGVYHSIFESLRLGSSSQNIISGLPRFWNSLNFKKNREFMGITVLFLDEKVNTVIYGFIPARRANHYMSSLKACSIVKVDRFEVTKCSSMYNITDHPFLIRIISPTIIDEVITSAPQIISNTVGQVCFVQCSDLTKKTTRVVIWLLIDPETNTATPGDTRDNPDRHKGGNNISTCSLLWSYENSLSSLNRPMLLFSYEKYIYSFKTHYNPNTQNCHSFYNYFYKSSCICFKGRIKATS >A02p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:806454:808203:1 gene:A02p001930.1_BraROA transcript:A02p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGVRQMRTGWADGPEFVTQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPAPGSSFPFPKPDRQTALVLGEWWNANPVNVINQATRTGAAPNVSDAYTINGQPGDLYNCSTKGTVVVPVNSGETSLLRVINAALNQPLFFTVANHKLTVVGADASYLKPFTTKVLMLGPGQTTDVLLTANQPPKRYYIAARAYQSAQNAPFDNTTTTAVLQYSKTTKTSTPIMPPLPAFNHTKTVTSFSRKFKSLRNVVVPKTIDENLFFTIGLGLDKCPKNFPKSRCQGLNGTRFTASMNNVSFVLPSNFSLLQAHSNGIPGVFTTDFPAKPPVKFDYTGNNISRGLFQPVKGTKLYKLKYGTRVQIVLQDTNIVTSENHPIHLHGYDFYIVGEGFGNFNPKKDASKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHIKWGLGMAFLVENGVGELETLEAAPHDLPVC >A04p024560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14914507:14915312:-1 gene:A04p024560.1_BraROA transcript:A04p024560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKMFFISEQTLKDFSEDSRKTSQNTLGKSYSAYYARRLPTKSSESLPKSSVQGDFSDLSQTLENFSVDSWKTLIRHLEKSSKAFYAIRLPTKSSESLLP >A07p013670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7358717:7359040:-1 gene:A07p013670.1_BraROA transcript:A07p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMKTPVCSSGSGNQRQPSRLQKRPPALKIVPAPANNWKTAIPLLSPLALSPDSPVYQPSAENPTKAAAVAPAVEKTPVFKTWQHPAAPFCYETSSTFVPPFVPV >A08g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3813583:3816632:1 gene:A08g501470.1_BraROA transcript:A08g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFERNPNFSNRFGDFSCNGEEMVKTKFTRNGREVMIFGAMRNFDYGSDEAVQESKKGGERDASVSLSSPERSRIRKSVEGISMLASTEVSKASKTRRGTSYGSPASSPEKTTRRGTSYGSPSPVKATRRGSTLSPRVSKKQKVNVVPSGDDREEWPDTEMLASTVAKKTRRGTSYGGSPVSPRQSKKQKVNSERSLGDDGDDREEFLQIEEFGDIGDDGREDENGIAGIEEGLHFGESQAQSSEAQTSQNQSSQAQASAWEVPQSSEGQSSQAQSSRWEVPQSSQAEASQTAAWGRWFF >A07p033350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18238014:18238856:-1 gene:A07p033350.1_BraROA transcript:A07p033350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQPRVDSADRELRSVLCPAGNKLQQKQPVKKPLSDNAKGLTFTAKKTPLSPTILRRNGVSMNASYSSEASSSCESSPLSIASSSSGKRALRRNGSNSSSTLRRNLMEERDDCFSDGRRRCAWITPKSEYERIFSIALRSDRYFILNNEHRERKCRDEFICHTNVTLLSTMKSGEFLSMMTSTCSSLSLSLFCFIA >A03p007810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3181556:3185030:-1 gene:A03p007810.1_BraROA transcript:A03p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRVLNPNAEVLNKTAALHMTINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLDNFKTPVVMGDEPDKEILKMVARTTLRTKLYEGLADQLTDIVVNSVLCIRKPEEGIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERKSVDERVQKIIDLKNKVCAGNDNNFVIINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDELTPESLGWAGLVYEHVLGEEKYTFVEQVKNPNSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTIEDECVVLGAGAFEVAARQHLLNEVKKTVQGRAQLGVEAFANALLVVPKTLAENAGLDTQDVIISLTSEHDKGNVVGLNIEDGEPIDPQLAGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPT >A03p065490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28926592:28928391:-1 gene:A03p065490.1_BraROA transcript:A03p065490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g31070, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G31070) UniProtKB/Swiss-Prot;Acc:O65543] MARSFPSRLNLDLGNRLKRLVSEQLHDEALRLYKQKIHPLGTDGFTAILPSVIKACSFQQEPFLINEQLHCLCLKSGAGLDTVVSNSLVSMYVNFSNTFSARKLFDEMLERDTVSYCSIVNCYIQDGLLSEAVKLLKEMYFDGFVPKSELVASLLSLCTRVGRSSKVARMLHALSLVDERMQGSVLLSTALVDMYLKFDDPARAFHVFERMEVKNEVSWTAMISGCVANGNYKMGVGLFLAMQRENLRPNRVMVMSILPACVELGSGLRLGKEIHGFSLRNGFDSDDRLAAALVTMYCRCGDVRLARLLFEGSKVRDVVMWSSMIGAYAEGGDCSGAMDLLSQMREEGVEPNSVTLLAVVSACKHSASLSFASRIHSYILKCGFMSSILLGNALIDMYAKCGSLLAAREVFYQLSEKDLVSWSSMINAYGLHGHGSEALEIFQEMIKSGEKPDAMAFLAVLSACNHAGLVEEAQTIFREAEKINRMTLTLEHYACYINLLGRSGKIDDAVQAVKNMPMNQSARIWSSLLSACEIHDRRDVSHEIIASELMKSEPDNPANYVLLSKIHAESGNCYGAEEVRRVMQRRGLKKCYGFSKVES >A02p043230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27079925:27083108:1 gene:A02p043230.1_BraROA transcript:A02p043230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLIRVTASPPLCVRSKLVCFSLVYLLTTLSLFLYVSLSRNQCIFRYSPFDPIQTKMFSFPSSYGEHKYALPTHRSSCSSPVFFSDYWTVLNEIHSICRESSSSETLRYIHGKSKTFGGNFSTQKRFSYFNHSNNDVEVPCGFFRDFPISNSDRAEMDKCELVVASAIFNDHDKIRQPVGLGVKTLETVCFYMFIDNKTLNSLFHHNVISKNNPKDYRIGAWRIIKISESDNLYPNPAMNGVIPKYLIHRLFPNSKFSIWIDAKIQLMIDPLLIIHSMLVIPEVDIAISKHPFFVNTIEEAMATARWKKWGDVDGLRMQMETYCEHGLKPWSSHKLPYPTDVPDSAMILRKHGRRSNLFSCFMFNELEAFNPRDQLAFAFVRDHINPMVKMNMFEVEVFEQVVVEYRHNLKKIETSSYEDKEEEQKQESMRTIRNRRRWLDHESWSLNSSSCESYLMEM >A05p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10490023:10491517:1 gene:A05p021960.1_BraROA transcript:A05p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYCCFCCGKGSNGRKKVKTEPSWRIFTLKELHAATNSFNYDNKLGEGRFGSVYWGQLSSGSQIAVKRLKAWSSGEETDFAVEVEILARIRHKNLLSLRGYCAEGQERLFVYEYMPNLSLVSHLHGLHSSESLLDWTRRMKIAVSCAQAIAYLHHHATPRIVHGDVRASNVLLDSEFEARVTDFGYGKLMPDEDGATKTTKGGNNIGYLSPECIESGRGTYMGDVYSFGVVLLELVTGKRPIERLNQTRGITEWVLPLVYEKKYGDIVDPRLNGKYVEEELKKVVLVALMCAQSEPEKRPTMSEVVEMLMNESKEKMTYLEGTPLFNRNNGGEAIDEISEEKEHQKQEQE >A05p043670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26319042:26324135:1 gene:A05p043670.1_BraROA transcript:A05p043670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYEGMGIDGLDNPDVVIDLTHKVWPQGNNSFSNTVHSFAEKLIELNVNVRTMVLESFGLEKYVEKHLNSAKNRFQLFKYKGLDDNTDEYIGIDSHIDRHFLTILCQNDVVDGLEIKTKDGEDWIKAKPSQDSSFLVLVGASLHVLLNGMVHPPLHHVVITGEKDRYVAGLFLRPIEGLIINAPEEIVDDEHPRLYKPFNFEDYFTFAYVDTTKRDLSALKAYCTL >A01g510560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29029352:29034845:-1 gene:A01g510560.1_BraROA transcript:A01g510560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNVPKNSMPLSKIEIDPTIDAERPRHVAPTSRSGLRERLQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A02p007870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3301099:3301650:1 gene:A02p007870.1_BraROA transcript:A02p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYASSALSKTSSSSSAARVILPDGEVRHIHEPTKAAELMMEIPSFFLVDAKSLKIGRKLNPLAADDDLELKGCHVYVTFPMTRATSAANASDMTRLFLAAKKQQRRRVGNKSSRDGTAVKHCHNGRVSPDGEVGDVGVITAGSKLSLEDIEEFSAAEFMHRISISKSKKPKLETIDEESLC >A07p039700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21088670:21093958:-1 gene:A07p039700.1_BraROA transcript:A07p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWRRLSSGDATGFKFLFSVAFLYASMAAIAYFVLHMKHISPLPFDAPLDQFSEARAVEHIRVLSEENSGRQEGRPGLREAAAYIKTQLEMVKERAGPNLRVEVEEAQVDGSFSMMFLGHSISLGYRNHTNILMRISSMNSHDKDASVLMNAHYDSPINSPGAGDCGSCVASLLEIARLVVDSGWAPPQPIIFLFNGAEELFMLGSHGFMTQHKLKDTVGAFINLEASGTGGIDLVCQSGPGSWPSNVYSQAAVYPMAQSSAQDIFDVFPGDTDYRMFAEDYGDIPGLDIIFLLGGYYYHTSFDTIDRIIPGSMQARGENLISVLKAFTSSSKLKVASERKSLDVDDDSDMAERAVLFDYLTRFMVYYPKRVAMVLHNIPSALFLFAPFFLYMRDSRTHPFLSVFWAFLKGVIHHTAGILLGVIFPVLFSVIRLFFAYPMSWFAHSYLAFLMFIPCSFFGLLLPRTISDRVSHCQSFSSKKIMKVETSDEARFWGAFGLYAFVTSAYFFAGLGGGFLTFVICVSMLLGWIAFCLSVKSFGYDSIKSPMFYVIALAPCLLYSVYFGGFLALFLIEKTGMIGVPPPFGFYLADVAVAAVIGLVTGLCIGPIIPICDRWLAKASILKFLLHFTVVMFAVSSQFFPYSKDAPKRIVLQHTVFSSGGNEITDSTYDLAVVDSNSMEFVFKHAPEVAEKLHAGPSFSLSNAEVSPQEAWLALFPVSCVVTKNGRFPAKVNKILERYSHFPHLKTHKPPTRLEDGTRRVHLELSLGSLEEIWVTVLNITGPLSGWSCADGKLPAPELPEGGPPSYLLRLSGNSSEKWIFWLEANSEEEVRVDVAVLDQRLDEETRHLKSLFPGWSDVIAYTSFLSTYFF >A08p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1158185:1160897:-1 gene:A08p002010.1_BraROA transcript:A08p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVKKVSSFKLASLLRRENDPSAAIKLFRNPDPESTNPQKPFRYSLLCYDLIITKLGRSKMFDELDQILLQLKNDTRIVPTEILFCNVIKYFARGRLPTRALHVFDEMPQYRCQRTMKSANSLLNALLKCGAFDETKEVLTRVGEFGKPDACTYNILINGYTQSGRFDEALKLFDEMVKKKVKPTGVTFGTIIRVLCNDLKVKEALKMKHDMLKVYGVFPTVHIYASLIKALCQVGELGLAFKLKDEVKADSAIYSTLISSLIKAGRSDEVSGILEEMREKGCEPDTVTYNVLINGVCLENDFESAYKVLDEMVEKGLKPDVISYNVILGALFRIQNWKEGAYLFEDMPRRGCVPDVLSYRIVFDGLCEGLQFEEAAVILDEMVFKGYKPRRDRLERKSVLKGDGRLDFPAKQARGMNLGVKMVQKKVAVLYHYPCHDGVFAALAAHLYFAANSIPSLFFPNTVYSPITISQLPLQDISHLYLLDFTGPLGFVQQVSPKVDSVVILDHHKTAIDTLGDVSTTCKNVTKVLDITRSGATIAFDYFTQKLKEETRGNCREMSDFKRMRRVFEYIEDADIWKWKLPESKAFNSGIIDLGIEYNFNQNSSLFQQLLSLDHDSVINRGKESLCRKHKLIHEALEHSYEIVLGGAEEFGRCLAVNGDEIAELRSELGNQLAEKSKNLKLRGVGAVVYRVPELGDETKLKISLRSVAEEDTTVVSQRFGGGGHKNASSFLLSSTEFEQWKVKRISCYNTIN >A06p012230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5593391:5595971:-1 gene:A06p012230.1_BraROA transcript:A06p012230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 3 [Source:Projected from Arabidopsis thaliana (AT1G14740) UniProtKB/Swiss-Prot;Acc:Q94B71] MNGEKDLAGDGECSRTKTSKPRFAHLNTDNQDDRTNQFQKGARSSNNVDAFSSRSSPRSGNELTLSYLCENRDLSERIAESQKGKEVVTFSENPTHHHDNEEDEKWIERDFFNLREPNPNPSKRKAHDEVKQEADEEEEKNKNKIETLNLSLALPDVSLSLTASNAVKRPRVNSERTTTSFSNDFTATTAPSMSYSYSHPFSHNLSCSADFDCSVEKDDRIWCAGEGTNGSVHSRFRPVGDGGVALARTPISAAKPSSSSDYSFFPSELPARPGMEVTLSGDSRKKLEESDDVRSERVLYDIVSKSISSAALIIQGMADETLESAKEYLRNLIDSPEKKERLTSLQSQIDKRSDLCKETLSKCVKDQLDILVAVRTGLKYFLSGKIRIPMNELVEIFMFLRCRNVNCKSLLPVDDCECKICSNNKGFCSSCMCPVCLKFDSASNTCSWVGCDVCSHWCHAACGIQKNLIKPGLSLKSPRGNKTEMLFHCIGCAHKSEMFGFVKDVFVCCAKNWGPETLVKELDCVGKVFRGSDDAKGKTLHLKANEMVKKLESKQISPADASNFIIQFFNYAESVSEFPEPKEQTVVTETSYRKDEASVTPSTSKDQIKKSFALTDAMMNSFDSLESMVRIKEAETRMFQKKADEARIEAESFRRMIEMKTEKMEEEYTEKLARLCLQETEERRRNKLEELKKLENSHCDYRNMKLRMEAEIAGLLKRMESTRQQLV >A02p000250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:158427:160075:1 gene:A02p000250.1_BraROA transcript:A02p000250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trihelix transcription factor GT-3a [Source:Projected from Arabidopsis thaliana (AT5G01380) UniProtKB/Swiss-Prot;Acc:Q9SDW0] MDRRNPFHHHDHQLYQLIQQQQLPPPPQSTTVAMDLGGGGERIPQWSIDETKELLGIREELDQTFMETKRNKLLWEVVVAKMADKGFARSAEQCKSKWKNLVSRYKVCETTEPEPIRQQFPFYNEIQSIFTARMQRMLWSEPRTSSKRKHNQFSSDEEVEEVAELNQDINKELLSFVETQKKDTEVITTSTFTNPKKRAKKGKGTSKAETAGSTLKEMLEDFMRQTVKMEKEWRDTWEMKAREKEKREKEWQRRMAELEEERAAAERRWMEREDERRLREEARAQKRDTLIDVLLNQLNRDQDDDQHQGF >A05p050700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29475759:29477512:-1 gene:A05p050700.1_BraROA transcript:A05p050700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDAFDSDPTAIAKAKELKREMKKLLMNMEEEDGLSVQTIDHLQEALSAFKQATMRKMARSSSLEMLETVSCPEDFRCPLSKELMRDPVVLASGQTYDKLFIQKWLSSGNRTCPKTQQVLPHTALTPNLLIRDMISKWCKTVGLETTTYQSSLVDEEKAVTRSDREIFNSLLCKLSSSNLQDQKSAAKELRRLTKKGTEFRALFGESSDNITRLVNPLLLHGSTLNQDEQLQEDVITTLLNVSIHDDSNKKLVCENANVIPLLIDALRRGTVATRSNAAATVFTLSALDSNKALIGKSGILKPLIDLLEEGNPSAIKDAAAAIFTLCIAHENRSRAVKDGAVRVLGKKISDGLYVDELLAILAMLVTHWKAVEELGELGGVTWLLRITRESECKRNKENAIVILHTICFSDRTKWKEIKEEESSHGTITKLAREGTSRAQRKANGILDRLRKAMNLTHTA >A02g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:929399:934287:-1 gene:A02g500260.1_BraROA transcript:A02g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKETRDAANLIPLSLCLLRRRLVALPRRFRVQRHNGCESFFLFVGSNRFSKCSSINFASQSHNSSQKDDIYPYISWTGNYDVVKAQAFRRDDDVEDDRIKVLMEMIKKGHDFSEHVWETEENEVISLSLDDESAVNDEASVNVEAAESDDDFQTPKGSKNVGSRSKRGKKRLPDRGMEKRKHKVLASGAKQAPFNEDMKAFMTQLFEHNFSGMEQRVQKQMAETFEQMRTELKQSRKEASVEVELGEPSPTKPSTSQAPLRRSTRGDGSETTFDVNYSEADDLGRGIGTQGVEGLSQTSYVPGFDPSQDKKEEDWWTPMTSVRGSVDNPVKKEKTEMNTAPPPSQWKKWCKRKGHGLQLSDSPLPEDASPQASLYYISEESWKGFTEWALKPIPLTIGPTCFNLSVATRVVSAGKWLGNEEMDAVMFIWRVNTTLNRWAPRRVAFMSAMFCLQVDAAYKKFLPNKKAYQLPDFLLGYGRGELPSHGRTDLVWGVDVDRLYFPLFVNVRAGEAMMTRHESRIQEMEDAMCHCEEKTSECIRELRGIKALFVCCLVMVFLYHIYA >SC179g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:374914:378057:1 gene:SC179g500170.1_BraROA transcript:SC179g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPA >A06p034970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18934787:18938571:-1 gene:A06p034970.1_BraROA transcript:A06p034970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-8B [Source:Projected from Arabidopsis thaliana (AT3G49650) UniProtKB/Swiss-Prot;Acc:Q9SCJ4] MPSIRAPVAKKTTTLTVAVKCRPLMEKERGRDIVRVNNSKEVIVLDPDLSKDYLDRIQNRTKEKKYCFDHAFGPESTNKNVYRSISSVISGVVHGLNATVFAYGSTGSGKTYTMVGTRSDPGLMVLSLNTVFDMIKCDKSSDDFEVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIVVAGLRSIKVYSADRILELLNLGNSRRKTESTEMNSTSSRSHAVLEIAVKRRQNNQNQVVRGKLALVDLAGSERAAETNNGGQKLRDGANINRSLLALANCINALGKQHKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATVSPADTQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHMSDYQRMIDNLQSEVSQLKKQLAEKESQLSIIPFERGVERELSWLDGLSHQISENVQERINLQKALFELEETNLRNRTELQHLDDAIAKQVAFKASLNPEATEKDIVEALSSRRQVILDNIRDNDEAGVNYQREIEENEKHRCKLQDMLNEAINNNGNKTYLHILNQYKLLGMGNTELQFEMAMRDQIIYNQREAQRNLWNLLMGLGVEEKQVFDLAAKQGITIEDWSMASYPGLPYRKQAPSFIPANIPYMGHSYSQSSCTFQSYNQDAASKGQQWAPTPTLCREEHHSSYYFMGHEPPAFASLRKSHGGGGRPAPWIDTGGANHRRVSYPQTVNNSSHMGPSFYQTPQREMLVNTPSTYGSPRADRATTPAGQPFYGSPRGIAAVRNGSCNSPRVATAVSASNGARNQQRVYGTSPLSGTKSVKNASYGQNSHTKLYRGGGGSKVHNKGNKTQRQHH >A07p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19675098:19676794:1 gene:A07p036710.1_BraROA transcript:A07p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEIKKMGTRVESESERISAAVRSPSQRFRSNEGSDFISSLPDAILHHILSNIPTEHAIRTSVLSKRWKHVWRETPCLSFHCRTSDHPDSISKTLATFTAPKITSFHADVTFNNSSLTPSHVHSWIELAASRNAEIMSIIIIIVSDDNVLPDFFFTNSSVKQLRLALGRRGNYNYCNVIPKCTVLWTSLRSLSLECCGLPDESLANILSGCPMLESLVLIFCQHIHHIDLSKSMKLTRLEITGHYYGPAKIVAPHIRFLKLIGSLDPCVLVDVSSLTDARFSLSYVRRTTTGEDDFHQDKLLKMLEQLQHVENLTIGRIVLQALSVAELRGLPFPQLQAKSLTVVMMVVRSFIPALARLLQNSPGLQKITVTTDQELDRHLRTQGLNPDRCWRLKYGDFPTTEQTYSSSSSGVVSKLAKSKDVVSFIKLVLQNSKAVETMVLRLDGYLDATEYQKLLRMVPTFTRNKNVRTRGQAKRLLNVLKIIP >A03p062170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27009286:27009988:-1 gene:A03p062170.1_BraROA transcript:A03p062170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEIAPDLWEAMKTKRRKKLSNEEIAEVEEEEEGTPLRGMFCLKTRQEDMKPFEEKEDCFILDFDPSDSFDSSFSDNPEGGDDDDVAIIHEKGQVACRDFPHPRHLCLKYPFGSTQHSLHCNQCYCYVCDLAAPCPHWTLSNEPHCEALENSRWRSLRELHRGRRGH >A06p004540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1197593:1199308:1 gene:A06p004540.1_BraROA transcript:A06p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQTAKSETPYTDKSETPRRRRYRTQDRSACVVFDQDKCRREIVKMITRHGYPLHMVEHPGFVSFVQTLQPQFFDALSFNSVQGDCVATYLAEKQNVIKCLEEIPGRFCLTLDFWTSKLTLGYVFITCHFIDSDWKIQKKLLNVLMEPYPESEQALSLAVANCVSEWGLEGKFFSVTFNHPASKPQAENIRPLLCIKNTGILNGQMVIGNCVARTFSGLAKDVLDKGKDVIKKIRDCVKHVKTSESHEERFVALMEQLQLPGEKVLSLDDQTQWDTTYKMLVAASELKEVFSCLDTVDPDFKQPPPSTEDWSHVDTLCTLLKPLFEAASTLQTTGSPSAVTFFHEVWKTQSDLSRSIAGEDPYVAGIAKTMKEKADKYWRESSLVLAMAVVMDPRFKMKLVEFSFSKIFGEDAGKNINTVDDGIHELFSEYVALSALVKPASEDELSEFDKFIIKERAGKNLKSELDQYLEETLLPRVQEFDVLEWWKQNKLKFPTLSKMARDILAIPVSAAAFDYVFDVEPREMDEYKTSLRPETVEALICAKEWLRESDASSGADVQMSSAIVKAET >A03p006140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2591453:2598962:1 gene:A03p006140.1_BraROA transcript:A03p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAESSTTLLLSCGFSSSFAFMRLLQRAHHFSAVSEDDDNEDIRLGSDHQVKDKHHQVCRRDCSFMADQEEPRSTTSRLNSKEDDDNNKNNTINNEAEDHEMRQEGWLRLSSGHEDDVKLNIDHVQQHQTDPADRRDSFLELNLFSGGSNREEGVGLTSMSSLLHHQHQPRGMMINPLMFPTRPDQEMIGSWAAAFRTPFVPQNLVQPSSSAASLMPLLRPYFGRSNFQQQLIGNNNNNPDVLAGPSSSFRVIDPPRRPHSGVWFLLQASQNQTREPFLPQIPKSYLRIKDGNMTVRLLKKYLVNKLRLEHESQVEIRCRGQELEQVLTLQHVRDAMWRGSRESSSTISQNLTLLPNSSTSDHLMVLHYGRSTLS >A01p058590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33372622:33375158:1 gene:A01p058590.1_BraROA transcript:A01p058590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFLLFTFVSPFSFLLSFPSLYIASLSKPSTITKHFHSLIFLSSLYSLRSFLKLIQDSMAPVSLPPGFRFHPTDEELITYYLKRKINGLEIELEVIAEVDLYKCEPWDLPGKALLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSWRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDETQCEPSAYGMQDAYALCRVFKKIVIEAKPRDQHRSYVHAMSNVSGNSSFDACSDLEISSTTHQVQNAFQPRFGNGRFNSNAMISNEDWSQYYGSSYAPFPTPYKVNNEIECSMLQHNMSLPPLRVENSAVSDCDFFTSMTQNNNHGVLEDFTFATSGSNHYNSVGDQVIHIGNYDEPPLMMSNHHMNQGYTEEQKIISSLDDTEQDLGYHGDNTNDNINIDDFFSFDIYNEENINQVEGNEEEVNTNETLDSSHFEVVEEETRFNNQMLISTYQTTKILYHQVVPSHTLKVHINPINHNVEERTLFIEEEDNDSWLQRAEKITKKKLTLVGVMAQQCYKYLAIFF >A05p012480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5424649:5426277:-1 gene:A05p012480.1_BraROA transcript:A05p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSGPTFISILCLIYLITIPRVSSSSPSLTVPHHFLQCLDTQPSDHGSPNSATAVIPTNSSFSSNLMSAVRNLRFASASNRKPEAIVAALTETHIRATISCCKLLNLELRVRSGGHDYEGFSYTSPVPFVILDMYNFHKIDINMADETVWVQAGASLGELYYNIASKSKVHAFPAGVCPKVGAGGHFSGGGFGNLMRKYGLSIDHIIDAQIMDANGKVYRDRRSMGEDVFWALRGGGGGSYGVILAWKIKLVRVPEKVTVFKLERTVREGAVDLVHKWQQVAPVIDRDLFIRLEIKPINRKISKGKTIKVSFIGMFLGTPERLLNITKKKFPELHLTTSDCLVKKWIDSVVFWANYPEKAPIEILLKRISANEYYWKRTSDFVQTPISKEGLAKIFQTMIDHSPLPRRVWMQWNPWGGRMGEIPSDATAFVHRGGNLFMIENFMNWYRPGDELEEKFLAIARSFKEAMAPYVSKNPREAFFNYRDVDIGITTPGYNATYEGAKVYGEKYFKGNYLRLVKTKARFDRTNFFRSQQGIPVLA >A03p059110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25678496:25679770:1 gene:A03p059110.1_BraROA transcript:A03p059110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGTPKKLNILPDHFTVPNQTTEASQYDSPSSPSSSHPKDDDNISSRSHSQSQSSTRRKLKRAAHMLNLFSLRRLPWVSDGQDKVELSAAELESLRSELSELEERESYLKAQLEHVDEVLRSARLSGYLFIRSRWEALPGEPPPLDDAEVDDWLPRFVVLQGPCLFFYLLSTDLSPQDSTLLADIVEVGSLPSYTREFDETHHCFYILTRQGLRFECSSTSKTQVDSWLSVLRHDCRFVPEERLPDGSSETAGVD >A09p063240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51416916:51418284:1 gene:A09p063240.1_BraROA transcript:A09p063240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKISQLACFSSTNRQFNFQNRSFPNLRLRPELCAFFNALSVLISLAWWQSFVVRCVDGNSSETPASLSYAAEVSKPFVEKTSTVDETTTGKEEHVGSTQPKRAAKIHDFCFGIPYGGLVMSGGLLGFAFSRNHTSLSTGVLYGGGLLALSTLSMKIWRQGKSSFPYILGQAVLSAVVFWKNFTAYSMTKKLFPAGLFAVVSAAMLCFYSYVVLSGGNPPPKKLKPSATTSPSY >A08p005100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2967860:2968876:-1 gene:A08p005100.1_BraROA transcript:A08p005100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKIRERLESFRVRNIIFLLKPFERNKENTSHRRLLEDFDLGGKLNFFYNLGGNLKFY >A07g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14276720:14277270:-1 gene:A07g506060.1_BraROA transcript:A07g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNIDTINNKYWHKFRFAAEVWISSPADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDCLLSYPASSSFSSSLPLFIFLWTKKAP >A08p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13730570:13731549:1 gene:A08p020290.1_BraROA transcript:A08p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAIFFFVSALCLSSLAGKAMADADDFDSFKIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTVALTKEAVTDKSGSYTMEVTGDHEEEVCELVLLQSPDSACSDVSQDAYLRNAAKVSLTANDGIVSHETRIVNPLGFMVKTPSAECPAAFKELGIVPDVTF >A08p021350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14312305:14315966:-1 gene:A08p021350.1_BraROA transcript:A08p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKMDSVSNVLLNMETISLLGPKHGSQFFLLSPNNLFPVRKLSCFPPKSLPTKPSEYVRLKAMTSPTCDEQTSNRKYMKLLPSPWTDRFHSVSDDVSEMDALTKEMDVLSPKVKNKLMSFQGSDSTKKRILMIYVLVSLGLAHHFKDEINETLQEGFEKIEEMMDGEDDLYTVSIIFWVFRRYGHNISSDIFRRFKMNNGCFKYSLTGDAKGMLSLYEATHLRTRKDDILDEALIFTSSHLNSIAACGTCPSLLSVRIQSALILSQHWNMEILVPLEYIPFYEQEKDHDEMLLKFAKLNFKFLQLQYLQELKIITKWYNELGFASNLPPYFRDRIVENYFFVLPIFIEPQLLRSRMLLTQFFTSLEILDDTFDRYASLPEAESLFNSLKRWAPDDAMDKQPDYLKFVLNSIFKIFEDLERVLKTEGRLYSLDATKDEFKIGAKANFDLAKWGLVAHVPSFKEYMEVGEEEIVACLTLAGIFMSMGKTATKEAYEWLKSRPKLVKALCIKGRLRNDITGYEDDMSRGYVTNAINCYMKQYGVPLEEAIRELNKIVADADKTLNEEFLTTVAVGRGVLKAAMDFGRMIATTYNVDEGSKEKSRSI >A09g516330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48176854:48180781:1 gene:A09g516330.1_BraROA transcript:A09g516330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETASGAEERAEQSASSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPEKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGLELHWMGDGPAGTKEAANSAIWWFSRRTVWMTVPDSGAIRVIVPNKEPDLRVGDLRFCYFVKGEGHLSRFREEPPEPYYFISVIFHACIKVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSALFYLSDPSSRLALN >A02p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9956759:9962762:-1 gene:A02p021050.1_BraROA transcript:A02p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVRISCVGTRHLSPPSSASAHSDVSASSAGLTNADAEDASCGGTGTPSLRIKKRLTCVCFYRKRAYERICSNLTPLQEERLKRLTKRMNNCFDASRPDHQDALRALWSATYPEKKLEALISDQWKDMGWQGKDPSTDFRGGGFISLENLLFFAKTFPTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLEASKPRTFVGSVFLQMLSENEWAFDLLYCVAFAVMDKQWLDRNATYMEFNDVLRCTRAQLERELMMDDVFRIEDMPSFSLLS >A06p017250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7721460:7722482:1 gene:A06p017250.1_BraROA transcript:A06p017250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENDEATKGHDNTFSLHSILFIVQVSAGLAFAGYCGYPAIVNVLEGFILWFDWFRLVLRNLFFIFIILNALIASIYFTFLKATEKKKPSLRHEYITAVPSDVRSSPEQSFPPMEVGHYGGGGGGGHYNNSCYPESVQQFQAVNTVEESSCCERVVMETNSKSYKRMMSSEKKMKKTRSSTTTVEYHQRMESERVMKTASCRSSRDMMDELSNEEFRMTIETFLMEKKMIYHWQNGVVDHRQNGAPQLQYGDADQCHNGVPQLQNGVPYHWKYGFPQFQNGAVHQWQNGFPQLQNGGVHQWQNGYPQLQGQPDYDGTGRRLVGGSGSTSSHGPHLAILD >A02g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12869401:12869931:-1 gene:A02g503870.1_BraROA transcript:A02g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKISIDASMTYHVPDLDPFYSDHRKVTLKLSDGRFLLTKSLRNFNKDCNTTL >A02p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16097993:16099767:1 gene:A02p031160.1_BraROA transcript:A02p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase-5 [Source:Projected from Arabidopsis thaliana (AT1G79330) UniProtKB/Swiss-Prot;Acc:O64518] MAKKAVLIGINYPGTKAELRGCVNDVKRMHKCLVDRFGFSERNITELIDTDNSSTKPTGKNIRRALLNLVESARSGDVLVVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDEFRELVEKVPKDAQITIISDSCHSGGLIDEAKEQIGESTKKDKKDKNDKKDKKDKKDKKKSKKDSGTSSRFGIKDLVIEAVEEAFESKGIHIPHHKDEKQEAKVKEVELDNDEKVHVVNKSLPLQTLIDILKHDTGNNDIEVGKIRPTLFNVFGEDASPKVKKFMKVLLTKLQEGKSEGGILGMVGKLAQEFLEHKLNNDEEYAKPAMKTHVERKQEVYAGASNGSLADNGILISGCQTDQTSADASPVGKPELAYGAFSNAVQIILGETKGEITYKELVMKSRKLLKKQGYAQRPGLYCSDKYVNAPFIC >A02p032650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17145067:17147048:-1 gene:A02p032650.1_BraROA transcript:A02p032650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTISPSDQAADLLHNLSLDAETMVKVPDTGNKDVYGGTKTGKTNLLPNNVRAVTGLNQKKLAFNQKGSYGTYPTGYYTPPYQYPRYGYDMNYASGKTNISLQYPHLSTYQGRSGSYGQSFGYNMDSMYSMYGPYMSGYGYDSYGYGAYKQTPSWYKTMAYGFSGYGKENFAGLDELNRGPRAKCFKIGQESSQPETLKEQEIEKKTSEDVSLPDSKDYNKEDFSETYSNAKLFVIKSYSEDDIHKSIKYNVWSSTPNGNKKLDAAYNEAKEKSNGSCPVFLMFSVNTSGQFVGLAEMVGPVDFNQTVEYWQQDKWIGCFPVKWHIVKDIPNSSLRHITLENNENKPVTNSRDTQEVTLEQCMKVIKIFKEHASKTCILDDFVFYESREKIIKEGKKKQQQYKKKQALAAIDKKATPKDETKETSTAPQVASEISQNGVAEVASAC >A01g511050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30841143:30844774:1 gene:A01g511050.1_BraROA transcript:A01g511050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWSVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGVFKHTVNSKLQSESVNEAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHQMDAFINVLRQRYQNHPEHFRSDRMCFLDHVFSRQRRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRSGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A01g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18246041:18246532:-1 gene:A01g506230.1_BraROA transcript:A01g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNRGRQLPKFGEWDASSPGAAQGFTVIFNNARDDKKTKKTAVAGPESLVTPPINNESHQKNHNHHNHQNKNHHNKRNSHIPREKKKWLCFR >A01p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1796406:1796963:-1 gene:A01p004180.1_BraROA transcript:A01p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPLMKKLGFIFLLVSAFALSSAGRPSILIYSQDDNNQELVERIHEHERILRMNSRDYGHFSPTPRLVSPPFKLIPN >A04p005940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3093921:3099819:-1 gene:A04p005940.1_BraROA transcript:A04p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRLHHLKRNNSSPPVPKTNKWVANPWFLGNSNSVLSPLLRTADDNPPDPFPPDPDPDNPLSLSRFPPLNSPASRSPKTSRSLLQTPPRSSVSKVSSSTQTAAASAKANPNSSAVPSFSRSTGTVQFDSQNFKILPLKSPIQTNRASKLSPFAPPLNPLPKFPIPPSNQNPNCSSSTAKTPILNPDPNLCSSSATHHPPPPLNVQPPPPYPKTHNSTSTPPVDPPLVERIRKSQDKSLSRQAPPSFSDSGRPRVLIPDSVFQKGAELHRDFIICYFNGRPPPFNHIQSVLNHLWGKGLCKVSWPSTSHEVPVSLAPPAASLSPQGSSAPSPLSQPPPVPIPVTQTTLPTLNLKTSNQLVPYNHSPPSSSPDSYTKPPLKRPRPGHSSQGCLYWTWTERNARLHRNTSRPFDVITRLLDRQIKDRILSFRTFNPSVSSIMMQQWLS >A09p047450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41252788:41255443:1 gene:A09p047450.1_BraROA transcript:A09p047450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSLPYSVKDVNYDNAKFRHRSPLKVLSQSMLTLSTKRNYASCSTGKFLILILIFGIGCLLLISKGPNDSAPNEKGKVTFVGGLRLGKLLRKPPRLPPRLSPDEGQLRANGSNSDPKWAVRQQSVKDAFDFAWSGYRKYAMGYDELMPISQRGVDGLGGLGATVVDALDTAMIMGHDHIVSEAGAWVEAHLLERISQKDQVNLFETTIRVLGGLLSAYHLSGGDQGTVNMTHNGPKPDVYLNVAKDLADRLLSAFSSSPTPVPYSDVILRDSTAHPAPGGMSSTAEVASVQLEFNYLSAVSGDPNYSTEAMKVLAHIKTLPKTEGLVPIYISPQSGEFVGENIRLGSRGDSYYEYLIKVWLQQGGKLNSDFTYLHDMYTEAMKGVRHLLVRKSIPKGLVFVGELPYGSKGEFSPKMDHLVCFLPGTLALGATKGLTKEKALVENLLSFEDLENLKLAEELAKACFEMYEVTATGLAPEIAYFHTEAYSEDGLEGGNKSSMYAKDIIIHQADRHNLLRPETVESLFVLYRITKDTKYRDQGWQIFEAFEKYTKVKTGGYTSLDDVTEVPPRRRDKMETFFLGETLKYLYLLFGDDSVIPLDKFVFNTEAHPLPIKHT >A06p042420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22782083:22784553:-1 gene:A06p042420.1_BraROA transcript:A06p042420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKITSKTHVKPNKPILGKQQFQLTTFDLPYLAFYYNQKFLLYKFQNPLDLEEPTFQNNVVEKLKDGLSSVLEDFYQLAGKLAKDDEGVFRVEYDSDDEEINGVEFSVAEAPDVSIGDLTVEDGTAKLEELVPYSRISNLEGLSRPLLVIQVTKLKDGLAMGLAFNHAVLDGTATWHFMSSWAEICRGAKTISTQPFLDRAKARDTRVKLDLTAPKDPSAGDGAAEPPQLVEKVFRFSDSAIHTIKSRANSVIPSDGSKPFSTFQSLTSHIWRHATLARGLKPEDITVFTVFADCRRRVDPPMPEEYFGNMIQAIFTGTAAGLLAAHGPEFGASVVQKAIVAHDARVVDARNEEWEKSPKIFQFKDAGVNCVAVGSSPRFKVYEVDFGWGKPGTVRSGSNNRFNGMMYLYQGKAGGISIDVEISLEAHVMEKLEKSKEFLLIEEEDGKSLSNGNGNANGNGLV >A03p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12387640:12388468:-1 gene:A03p029430.1_BraROA transcript:A03p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVEGGVVKAKRSIWRLRTIKDFFLSIINLIQVFFITMFSMEKSDSYRKGSGANKKWDGGRPGGGGGGGGGGGGGGRPGPPRGGLDNVRGLNDIRGADHNSLPACGSCCG >A09g502400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8129223:8130218:-1 gene:A09g502400.1_BraROA transcript:A09g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPDLFFVKLLGQGSFGSVSLYQGVRYDGAMISVAVKTSDSQHAESLFREVQILSEFKGCPRIVQCYETRVEASLNRFNGSVEYKIPLEYAPGGSLMSFIKKIKDNKLPDPMIRDFTRMLLQGLATIHAHGYVHCDLKPENILVFPSYVNKNGAWSSSFELKISDFGLSRREGDSSWWEPNHPFAGTSIYMSPDSVSYGETGKDLDLWSLGCCVLEMYTGEGPWWHKHYEVDDLMNGQEPLIPSELPFEAKLFIMTCFAPRTKDAIRLLKHIFVRGDEGKMITQPSPVSDNIKAESALHLANFVRRNVSTTKTIRVLAAAQVMPNKTIMA >A08p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1958800:1959415:-1 gene:A08p003490.1_BraROA transcript:A08p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYSQPSSSDEYDIGLTCLLQAKADMYADEAESSYNIQVPVQYLPQPEADDGIPKTCYCGGEPVVATSYTRKDPGKRYFTCENVADGDCHVWKWWDVAVMEEMSDFQTQLRKLKDQGNESEQKLVKLENTVCLVVMFLPGGASKT >A07p013000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000063.1:62202:65450:-1 gene:A07p013000.1_BraROA transcript:A07p013000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYSLFCSAPRTPYILASRSVYALTLLPLRRHSIKWRFSIFPVLHNYLQNFSIYPRKLDIYPSSWAKRKPCCGPFGPSSDSTRLLRVFRVCYGLREIAFEGFDENAWTGIVSMFGKVQSLHSDRTLARARSLRSDRAGRSLGRYVATERDERSRPSGTSTRSLRNDRAWLSSVAMSDQTGRTLGRYVATVLWLELGRYVATCFELFVGHLDSFFRRAFSVLLSFIFFTPSLLHPFKNLSSFITFLSTCLPPKVVRKIPTSRWVRPTSPAPIPTSPAPIPTSPAEAPACVIGHLSFQEKLVRRQAEKELVQTGSELPSSSAQVVAPCHGIVVAAPLPQVLPVGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETLQRGGLSLASGLRGKFISLIDGMISECGSETSRLSGELAELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLVKTASSLLKEKKARKAKSSEVRRLQHQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSENPPTLEAEEARLSVCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGAGEEGDELSSHILLQLRNVLFETSFESSSARCEICGGAEGIERKHRGVVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLMRIEQISGDRKC >A01p035030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23351303:23351681:1 gene:A01p035030.1_BraROA transcript:A01p035030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRTRKNQARSLCNYRTCTLFGRYVATELEPKPGRYVPLGHYVATELESKFGHYIATERSSRSRPSVRPPRSLCSNRARSQARSLRNDRASVPLGRYVATEHPFRSIGT >A10p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15583581:15585739:1 gene:A10p024010.1_BraROA transcript:A10p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDNFLFARTMGCFGCFGTSSTRTRQSPEPYDDDAYSYSCDSDVTSIVRGEDEEDDEEVEQKSRSQRSEEILKYRLDNGLICRQVPVKETNELIRGEDEDGNKMINEYVRVCKIGAGSYGKVVLYRSTVDGKDYAIKAFHKSHLIRLRVAPSETAMSDVLREVMIMKILEHPNIVNLIEVIDDPETDHFYMVLEYIDGKWVYDGSGPPGALGEKTARKYLRDIVAGLMYLHAHNVIHGDIKPDNLLVTSSGTVKIGDFSVSQVFKDDDDQLRRSPGTPVFTAPECCLVSGVTYSGRAADTWAVGVTLYHMILGQYPFLADTLQDTYDKIVNNPLNIPDGLNPLLRDLIEGLLCKNPSERMTLKNVLEHPWVMEEEGHVPEYICCCKRRNSALKIEQEEEEEANGISNISDAS >A06p052120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27555212:27563388:-1 gene:A06p052120.1_BraROA transcript:A06p052120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIIPFLSVTVAAMCIGLGEALPLLPLVCHNQKLEIQNKLGPGQILKYRWGSPMVESGELKFNAKHNYDTGGGNPKTLIFSIYKGSSPLDRYSILAITSFSNLPCKKGLLSFVAKNDGLYIEENGNTANCGPPILNYFATSHTVRSKPLTALFPSPSISSSQNGASSLRSSHWKMANLQLSGIIEKMTGKDKDYRYMATSDLLNELNKDSFKLDSDLEMRLSSIILQQLDDVSGLAVKCLAPLVKKVGEERVVEMTNNLCDKLLHGKDQHRDTASIALRTVVAQVAPSLAPSILVTLTPLMIGGISGQEMSQGIKCECLEIMCDVVQKYGSLMADDHEKLLNTLLLQLDCNQATVRKKTVTCIASLASSLSDDLLAKATVKVVKNLSNKNAKSEITRTNIQMIGAISRAVGYRFGTHLGNTVPVLIDYCTSASENDEELREYSLQALESFLLRCPRDISPYCDEILNLTLEYICYDPNFTDNMDEDTDDETPEDEDDDESANEYTDDEDASWKVRRAAAKCLAGLIVSRSEMISKVYQEACPKLIDRFKEREENVKMDVFNTFIDLLRQTGNVTKGQTDTDESRQIFCTLSLHHSPKWLLKQEVSKIVKSINRQLREKSVKTKVGAFSVLRELVVVLPDCLADHIGSLVPGIERALNDKSSTSNLKIEALVFTKLVLASHAPPVFHPYIKALSSPVLAAVGERYYKVTAEALRVCGELVRVVRPSTQSMGFDFKPFVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDELRKELPSCLPVLVDRMGNEITRLTAVKAFAVIATSPLHIDLSCVLVNLIAELTGFLRKANRVLRQATLITMNTLVTAYGDKIVSDAYEVIVVELSSLISVSDLHMTALALELCCTLMTGKSCSENISLAVRNKVLPQAITLVKSPLLQGQALLALQGFFEALVYHANTSFYTLLDSLLSCAKPSPQSGGVPKQALYSIAQCVAVLCLAAGDKNCSSTVKMLMEILKDDSGTNTAKQHLALLSLGEIGRRKDLSAHAGIETIVIESFQSPFEEIKSAASYALGNIAVGNLPNYLPFILNQIDNQQKKQYILLHSLKEVIVRQSVDKADFQNCSVEKILALLFNHCESEEEGVRNVVAECLGKMALIEPEKLVPALKVRTTSQAAFTRATVVTAVKYSVVERPEKLDEIIFPEISSFLMLIKDGDRHVRRAAVSALSTFAHYKPNLIKGLLPELLPLLYDQTVIKKELIRTVDLGPFKHVVDDGLELRKAAFECVFTLLDSCLDQLNPSSFIIPFLKSGLEDHYDLKMLCHLILSLLADKCPSAVLAVLDSLVEPLQKTINFKPKQDAVKQEHDRNEDMIRSALRAISSLDRISGVDYSHKFKSLMADMKRSERLWGKYQTIRNE >A02p012970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5677362:5679434:1 gene:A02p012970.1_BraROA transcript:A02p012970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNPILTGYAVAALSFYALWFYFLSRRLTGPKVLPIVGSLPFLIANRNRVHDWIADNLRATGGTYQTSTMVIPFVAKTQGFYTVTCHPKNVEHILKTRFDNYPKGPMWRAAFHDLLGQGIFNSDGDTWLMQRKTAALEFTTRTLRQAMARWVNGTIKNRLWFILDRAVKESKPVDLQDLFLRLTFDNICGLTFGKDPETLSPDLPENPFSVAFDTATEATLKRLLYTGFLWRIQKAMGIGSEDKLKKSLEIVETYMNDAIDARKNSPSDDLLSRFLKKRDVNGNVLPTDVLQRIALNFVLAGRDTSSVALSWFFWLVMNNPEVEKKIIVELSTVLKETRGNDQGKWTEEPLEFDEADRLVYLKAALAETLRLYPSVPQDFKYVIDDDVLPDGTFVPRGSTVTYSIYSIGRMKTIWGQDCLEFRPERWLTAEGDRFETPKDSFKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSPVPGHRVEQKMSLTLFMKNGLHVYLQPRGEVVA >A05p003260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1178647:1180943:-1 gene:A05p003260.1_BraROA transcript:A05p003260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein 18 [Source:Projected from Arabidopsis thaliana (AT2G41160) UniProtKB/Swiss-Prot;Acc:Q8RXQ2] MNGGPSGFNNAPVTRAFVITSVLFTVFFGIRGGGGSSSKLALSYQDVFEKFRIWKLIKSSFAFSSTPELLFGVYLLYYFRVFERQIGSNKHSVFILFSGFVSLILQTIVLSLFRDTSANLLTSGPYALIFASFVPFYLDIPVSKRFNVFGLHFSDKSFIYLAGVQLLLSSWKRSMLPGLCGIIAGSLYRLNIFGIRKAKLPWFIASFFSRMSLPSSSSHSQAPRRTSPSLGRQAVRSFQAPIPSSIEPSEEAIATLVSMGFDQNAARQALVHARNDVNAATNILLEAHSH >A04g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4569202:4571941:-1 gene:A04g501910.1_BraROA transcript:A04g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLPSSFGVITGMELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVIVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVLFRIDLSKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLVAAMALLQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPANGNSQPDELKGLGMMMQQLLQGQHVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETASGAEERAEQSASSGVTAPSKPAETPPS >A08g503420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6254454:6255443:1 gene:A08g503420.1_BraROA transcript:A08g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKELPSILRDDKISVETNKLISSLLSRTDSQGQKLCKYQGCWYYYNTLQGVLNFQSGFQPQDTDIILASYPKSGTTWLKALTVALLERSKNHSYSSDDEHPLLYDNPHGIVPCLEMDVYHESSSPNLAKFSAPRRKFSTHMPLHAMEETLKHSPCKIVYVCRNVKDTLVSWWFFLCAVLKIEQSRGILESLFESFCNGTIYYGPFWEHLLSYWRASLEEPKHVLFMRYEELKAEPRHQIKRLAEFFGCPFTKQEEESGVVDKILDLCSLRNLSSLEVNKTGSRNDVDHKDYFRKGEVGDSKNYLTPEMEYKIDMIIQEKLQGSGLKF >A09p016430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8626888:8633179:-1 gene:A09p016430.1_BraROA transcript:A09p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NLP6 [Source:Projected from Arabidopsis thaliana (AT1G64530) UniProtKB/Swiss-Prot;Acc:Q8RWY4] MDPQLTEVSQQLERFKAAFVRKDYNTCSDLLSRLKVLLTKFTSLPPLFENTPNAAQELAIARDIYEHAVVLSVKTEDQDAFERDFFQLKPYYVDARNRLPPSPQENLILGLNLLRLLVQNRIAEFHTELELLSSATLENPCIKHAVELEQSFMEGAYNRVLSARQTAPDATYVYFMDLLAKTIRKRGPYCFVLPSETTRKKHGETFINTSLRRDLFLSQSRLTVAKLLFMELDDLDLGSSWPLDQISFASSSFRFPSSDQPFSPLWSFSSADGGAELCSAPTLLTDYSLLLASSESETATKDNHLLPSPSWGVQMPLENPDTYCAIKAKMTQALRFFKESTGQQHLLAQVWAPVKNRNGRYLLTTSGQPFFLGPNSNGLNQYRMVSLTYMFSLDSERDGELGLPGRVFRKKLPEWTPNVQYYSSKEFSRLGHALNYNVQGTLALPVFEPSRQLCVGVVELIMTSPKINYAPEVEKVCKALEAVNLKTSEILNPESTQICNEGRQNALAEILEILTVVCETYKLPLAQTWVPCRHRSVLAFGGGFKKSCSSFDGSCMGKVCMSTSDLAVYVVDAHVWGFRDACAEHHLQKGQGVAGRAFLSGNLCFSRDVTRFCKTDYPLVHYARMFKLTSCFAVCLKSTYTGDDEYVLEFFLPPGVTDEGEQDSLLGSLFQTMKQHYSSLKVVSGTELFENEMSIEVVEASEDGMVYSKREPIQISISKDYLELNAPEEKLSLNPDHVGNNEVANGFERFQTANPSPEEAKTEKKTERKRGKTEKTISLEVLQQYFAVCPTTMKRICRQHGISRWPSRKINKVNRSLTRLKHVIDSVQGADGSINLTSLSPRPWQVSQPPPPPTTGSPTNYIKLENRDAEDSAGSSTSRASCKVSPISETRFRLLTQNQEAFKQTAFDESDSTSKNIANFWTSQDTTTLFHNNKLVSIKATYREDIIRFKISPESISIAELKEQVAKRLKLETGAFELKYLDDDKEWVSVSCDADLSECLDTSSVVTAAKANTLRLSVHDVTPNFGSSCESSEETMMCL >A07p045130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24512560:24514334:1 gene:A07p045130.1_BraROA transcript:A07p045130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSAKNNNPTVEEEEEEDEGDVFLGESDVIHEFDVDAEDLPEADDDDDNEEEEFDENDDSVHTFTGHKGELYALACSPTDPTLVATGGGDDKGFLFKIGNGDWAAELPGHKDSVSSLAFSYDGHLLASGGLDGVVQIFDASSGTLKCVLDGPGGGIEWVKWHPRGHIVLAGSEDCSLWMWNADKEAYLNMFAGHNQSVTCGDFTPDGHLFHTEGLICLDINSSSSLVISGSKDGSVHIVNIVTGKVVSSLTSHTESVECVKFSPSSATIPMAATGGMDKKLIIWDLQHSTPRFICDHAEGVTCVTWVGTSKYLATGCADGTVSVWDSLLGNCVHTFHGHQDAVQAISVSANTEFIVSVSVDNTARVYETSEFLNKTA >A06p049340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26077900:26082510:-1 gene:A06p049340.1_BraROA transcript:A06p049340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable phospholipid-transporting ATPase 8 [Source:Projected from Arabidopsis thaliana (AT3G27870) UniProtKB/Swiss-Prot;Acc:Q9LK90] MAGERRHKGMRLSKLYSFKCLKPFSKEDHSQIGSRGYSRVVFCNDPDNPEALQLNYRGNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVAFVSFSPLAPYTAPSVLAPLLFVIGATMVKEGVEDLRRRRQDIEANNRRVLVLSKNGEFGETKWKNLRVGDLVKVHKDEYFPADLLLLSSSYEDGVCYVETMNLDGETNLKLKHALEITSVEESSIKNFRGGVIKCEDPNEHLYSFVGTLHYQGQQYPLSPQQMLLRDSKLRNTDLIVGVVVFTGHDTKVMQNATDPPSKRSKIERKMDKIIYILFSILIVIAFTGSVFFGIITRRDITDDGKKMRRWYLRPDKTTVFYEPRRAALASFFHFLTALMLYGYLIPISLYVSIEVVKVLQSIFINQDQEMYHEETDRPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSISGTAYGRGMTEVELALRKQKGMSMRPQDDEIKANPTKSVKGFNFWDERIVDGQWINQPNAELIQKFFRVLAICHTAIPDVDGESGEISYEAESPDEAAFVIASRELGFEFFARSQTHISLHEIDHVSGEKVDRVYELLHVLEFSSSRKRMSVIVRNPENRLLLLSKGADSVMFERLAKHGRQFERETKEHIKRYAEAGLRTLVITYREVDEEEYRIWEEEFLNAKTLVTEDRDALIDAAADKIEKDLILLGSTAVEDKLQKGVPDCIEKLSQAGVKIWVLTGDKTETAINIGYACSLLREGMEKILITLDSPDIETLEKQGDKDAVAKASFQSIKKQLREGMSQTAAAATTDDSANENPEMFGLVIDGKSLTFALDKKLEKEFLELASRCGSVICCRSSPKQKALVTRLVKTGTGRTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAMMICYFFYKNLTFGFTLFWYEAYASFSGKPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYQEGVQNILFSWERILGWMLNGIISSMIIFFLTINTLASQAFREDGQVVDYSVLGVTMYSCVVWTVNCQMAISINYFTWIQHCFIWGSIGVWYLFLVIYGSLPPTFSTTAYQVFVETSAPSPICWLTLVLVTFSALLPYFTYRAFQIKFRPMYHDIIVEQRRTERFESGTRTASAVSGELPVQVEFTLHHLKANLSRRDSWN >A05p012530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5460035:5464630:1 gene:A05p012530.1_BraROA transcript:A05p012530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICNEHGIDPSGKYDGDSDLQLERINVYYNEASGGRNLKIFTVKPLCRLCLNVSRSIVDVGNNIGQVAAQRMGAAETLTDPSSLCESNYMGNGDLSDTDMVITVRNTLASVQNGECYRYDELVKTMQATKNSDLEAQLVVVFLFLSTRTALKALSSSVAYLDIIHHEKLLSLVFRMSLWDLKPHVMDALVGLLISLAVTTGKYLDPCLNMLVRHFLPPDWVIRRLSQHRVVKQKMEVLSHVHEALLKICILFPRAPSRILDMLPKINKKGQVVEIFVENLLKLENSLMGQDDGSKIFMMVMERLRDMDLEIDWDGIPQDDSSRGLFDMELEDAVEDNTMKEGDEVGSVFVLTIKLASESDIISIAVSSGISNIGWK >A08p028600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17832473:17833816:-1 gene:A08p028600.1_BraROA transcript:A08p028600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLLEKIDEHIKPRRQELYKLNQEHYITHTQQASLVLNMENDIRGSSSISRNSSIGYYYHRRSSEGVPFKWEMQPGTPISSQPGEIIPPISPPPMMLSLGFPKPSISVEEPKQSVFPAKLKLRSWKHIRCKRYISRLANKMVFSLVCLYPEKR >A07p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13367503:13370740:1 gene:A07p022970.1_BraROA transcript:A07p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVGGGSRMLDLRMVQSHKRSKSASFPEKKRAEGDQPSSNTSFEASQRIKLDMGRSNESAKDKPNQYHSITETSLKQEIDQLETRLQDQFKVRCAFEKALGYPTASSYMLSESNDIPMPKQAIDLIKDIAVLEMEVIHLEQYLLSLYRKAFDQQISSASPNSEKEKPKPPPVTTPRRRLGFSEEHDPLLDDDDQNRPKETEMESSFHRSHSQRSSFESRKASLEDSWSKATTRSCHSQPLYAQKGENLISLAEHLGTRISDHVPETPNKLSEGMVKCMSEIYCKLAEPAPSVLLHEGLSSPNSSLSSRSAFSPSDQYDTSSPGLGNNSSSFDVRLDNSFHAQGEKEDFSGPYSNMVEVLCIYRDAKKANEVEDLLQNFKSLISRLEEVDPSKLKHEEKLAFWINVHNALVMHAYLAYGIPQNNVKRVLLLLKAAYNVGGHTVSAEAIQSSILGCKMSHPGQWIRLLFASKKFKAGDERLAFAIDHPEPLLHFALASGSHSDPPVRVYTPKKIQQELETSKEDYIRMNLSLRNQKVQLPKLVETFAKESGLCSAGLREMVNRSIPESSRKCFKRCQYGSGKSRKAIDWIPHSFTFRYLIFREAAK >A09p067590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53274423:53275663:1 gene:A09p067590.1_BraROA transcript:A09p067590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPIRLLNFVSEEQLEESKKERGERVEDGTFQRDRALYEILKENKDKKDAEFNERFKHRPPKALDEDETEFLDKLEMSRKQYERKLADEEEEQLRSFQAAVAARSAIPQEPQEAAPPPPPPTSKEQKTTGKRNASTRPFNTIIKVKSQPKKVKAAEDEKKDISGNGKPETSLDSAKASVNPVALQKGLALVSYSDESEDDD >A04p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3134715:3135950:1 gene:A04p006030.1_BraROA transcript:A04p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTFRRIVTPCWRPFGVGEGSVPDDDGNGRLDGLLWYKDSGNHLTGEFSMAVVQANALLEDHSQLESGPVSFDESGPEATFVGVYDGHGGPEAARFVNERLFYNMRRCASEQRGVSPDVITRAFVATEEEFLGLVQEQWRTRPQIASVGACCLVGVVCNGLLYVANAGDSRVVLGRLQSPFKEMKAVQLSSEHNASVESVRDELRLLHPNDPNIVVLKHKVWRVKGIIQVSRSIGDAYLKRAEFNQEPLLPKFRVAERFEKPIMRAEPTITVHKIHPEDQFLIFASDGLWEHLSNQEAVDIVNSCPRNGVARRLVKAALQVAAKKREMRYSDLEKIEPGIRRHFHDDITVIVVFLHSANLGIRTPVSVRGGGELSGNAIF >A03p027570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11543694:11544082:-1 gene:A03p027570.1_BraROA transcript:A03p027570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSEMLIEDNDDYDGDDLLFPWIKCMKLFSMTRMLGTVSYIGVNRSQTLAFGTLQGE >A06p055010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28801200:28803033:-1 gene:A06p055010.1_BraROA transcript:A06p055010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP7 [Source:Projected from Arabidopsis thaliana (AT5G45970) UniProtKB/TrEMBL;Acc:A0A178UDV3] MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWLPELKHYAPTIPIVLVGTKLDLRDDKQFLKDHPGAASITTAQGEELRKMIGAVRYLECSSKTQQNVKSVFDTAIRVALRPPKAKKKIKPLKTKRSRTCFFL >A06g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15809975:15811427:1 gene:A06g505380.1_BraROA transcript:A06g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWFCGDTLCPWWRFIGLFWYLSMKLEARAASRLSVVVLCYRKPGLQIFNGSEDCELNLINKSQANHMRSFGQGQQLWQVECLDCKLLKECCSSRCEIEVFRHLELCRKHVAHDLSVTFKGNYFIWCSAHEYVEPNVRSDNDNSGAVSVAAKVKAGGSSQDEGASDKVKKARKAPLSILLKLFSRKPELDVLACLTLNCRPL >A02p000860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:430159:431125:-1 gene:A02p000860.1_BraROA transcript:A02p000860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFCCCCRIRDGGKKHKVPATTRTPYIEYQKPATIRNEVNLRKETLRLRPDPENPGQLLVSFSFDATVPASLLFVVLLVGRNRITLVFFAEEDSEFNLTATKGDTLPLITFDFEKEGLGHKYVQPSGTGVDLSLFEDSELFKEEVGTCIYPLAVKMEAAAPEEGKSTNAQITQVTFVKEKGEVKIRVVKQLLWVNGARYELQDIYGTDQGKECVVCLSELRDTIVLPCRHMCMCSGCAKALRFQKNECPVCRQPAERLMELEGNGYCDGDKHI >A05p000920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:212967:213164:1 gene:A05p000920.1_BraROA transcript:A05p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKVITVPISLKGGGNYLLWSRLVKTAIGRLGLWGHITDEAPAPVASEEEGGRELAVADGKKC >A04g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2914358:2916842:1 gene:A04g501080.1_BraROA transcript:A04g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKNNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A04g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4222961:4223417:1 gene:A04g501740.1_BraROA transcript:A04g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESGASSGTSGVRRRGTVVGVPKRCWCGEIVVARMSKSEANPYRRYYRCAYAVERKVSLSNDNHSYKWVDEALADEIEILGMRTERLEQQNPIANLCLTEGSSTNSPTSFSVLKSLRSRRFSPSES >A06p052150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27570443:27574644:-1 gene:A06p052150.1_BraROA transcript:A06p052150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRVSDLHLKRELTQIRKAGRVLRDPGTTSSWRSPLNSSRSLAAAVVDPPPSRISSQSGKLPIRGESSSNRGKEKKVFLYNWKTQRSSSDKSGLAKNSKEDGEGDEEEEEESSWTEASVNDDDDVSDARKDGDSYGIQSASLISRCRDTSQGVSKMRKKKSKKQNSSRLDFLSKYQPRNGGSALALRRGDTAELSDDTEELSNAEDLRKVTGNGGASPLLLKLKHKNWSRSSSNKLLRAARKEDSSCTYNSTPALSTSSYNMYAVRNPSNVGSWDGTTTSVNDGDDELDDDHLDLPGRQGCGIPCYWTKKAMKHRGGCRSCCSPSFSDTLRRTGSSILCGSQSVYRRHSRHSSGGFSKQKIASRSAQGVLPLLTYGGDGRGGSSIGTGLSDDELSTNFGEIDLEAQSRLDGRRWSTSYRSQDGLEAAVLDGEGEEGSTPENIRSFSQKYIPMFFDELIGQSIVVQSLMNAVKRSRIAPVYLFQGPRGTGKTSTARIFSAALNCVATEEMKPCGYCKECNDFMSGKSRDFWELDGANKKGADKVRYLLKNLPTMLPRSSSTYKVFVIDECHLLPSKTWLSFLKFLEKPLQKVVFIFITTDLDNVPRTIQSRCQKFLFDKLKDADIVVRLKKIASDENLDVESHALDLIAMNADGSLRDAETMLEQLSLLGKRITTALVNELVGVVSDEKLLELLELALSSDTAETVKRARELLDLGADPIVLMSQLASLIMDIIAVTEADMEGLKHALKLLSEAEKQLRVSNDRSTWFTATLLQLGSMPSPGTTHTGSSRRQSSRATDDDPSSLSREVMAYKQRVGGLHFSKSASPASIRKRSGNHTHEAKSFSRVIDNTCYKSSSSSQVPESEASISSHDNSTASTMMLTQRSSEKLNDIWRKCIERCHSKTLRQLLYTHGKLISISEVEGILVAYLAFGETDIKSRAERFLSSITNSMEMVLRRSVEVRIILLPETELLVVPRKPEMTNRGGDLNAITGFNAASDVGVGSSEESRSKIPMQRIESIIREQRLETAWLQTADKDTPGSIIGIKPERNQILPQEDACRQPNVGSPAISSSGLTSHHWVDELNNEVKLLKIGENGELQENLTGKRGEHCPLSPSLLHDSSFGHKKDNLGGYESGPGTRRVGCNILFCWNTHKTQRSNKKQGKGTPVRPRRIRKRGFSLFNGCAKPRKAEGNFRR >A07g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1441616:1443240:1 gene:A07g500760.1_BraROA transcript:A07g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPLHDSHWRALCLEPDKNKQCNLAICLMRMGRIQEAKSLIDAVRDSSAEIEFGDEPFTKSYDRAVEMLAEVESKDPEDGLSDKFYAGCSFANGTMKENKAPRNANRNHSHVPPSPASVRQTEMRQQRFVGILSLLYIVAFLAKNKSECLQEEWGVLEKSPEAALDVDQKIFVTVLW >A09p007980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4142800:4143099:-1 gene:A09p007980.1_BraROA transcript:A09p007980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPASLPDVVAQCQQLQGPHVPHAVAVLKLIYQAIIYSLWRERNARIFQGVSLTQEAFFCVVDRRLRDRLLSMSLPSATTPSPSLFELYFWFLSPYN >A02p010020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4312107:4313138:1 gene:A02p010020.1_BraROA transcript:A02p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSPKTVFLFFLSCIVLIFNYGLVTTAASNVGLNYGLLGDNLPPPSNVINLYKSIGITKVRIFDPNTEVLNALRGHRDIKVTVGVRDQDLAPLAASEDAVKAWFATNIEPYLADVNIAFITVGNEVIPGPIGPHVLPVMTSLTNLVKSRNLPISISTVVSMANLGQSYPPSAGMFTSQAREQLTPVLKFLSQTSTPILVNIYPYFPYATDPVNIHLDYAISKSKAVVVQDGPLGYSNMFDAMFDAFLWAMEKEGVKGLPMVVSETGWPSAGNGDMTTPLIAATYNGNFVKHVDSGKGTPKRPNSRVDGFLFATFNENQKPPGTEQNFGLYNPVDMKPIYKLF >A03p048840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20874093:20876561:-1 gene:A03p048840.1_BraROA transcript:A03p048840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPPFSLTAFGCVLFFLSSSVVLALTDAEASFIAQRQLLTLPENGDLPDDIEYEVDLKETFANNRLKRAYIALQAWKKAVYSDPFNTTGNWHGPHVCGYTGVFCAPALDDPSVAVVAGVDLNGADIAGHLPVELGLMTDVAMFHLNSNRFCGIIPKSLDRLKLMYEFDASNNRFVGPFPSVVLSWPAVKFIDLRFNDFEGQVPPQLFKKDLDAIFLNNNRFTSTIPESLGESSASVVTFAHNKFNGCVPKSIGNMKNLNEIIFKDNRLGGCFPSEIGKLANVNVFDASMNLFTGVLPQSFVGLTGVEEIDISGNKLTGFVPENICKLPKLVNLTYAYNYFNGQGDSCVPGSQKEIALDDTRNCLPDRPKQRSAKECAVVISRPVDCSKDKCAGGGYSPSTPSKSKQPSPVPSQPVHNPQPPKESPKPNDPSDQSPVKFRRNPPPPQPHHPVVSPPSHIQSPPPPVYSPPPPPIHSPPPPVHSPPPPVHSPPPPPPVHSPPPPVHSPPPPVYSPPPPVHSPPPPTPVYSPPSPVQKPQAPKESPQPNDPYDQSPVKFRRSPPPPHQSHPPTVSPPLSVHSPPAPVHSPPTVYSSPQPPKESPQPNDPYDQSPVKFRRSPPPPHQSHPPTVSPPVPVHSPPPPVHSPPPPIHSPPPPVHSPPPPVHSPPPPVHSPPPPPVYSPPPPPVHSPPPPVHSPPPPVYSPPPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPPVHSPPPPVHSPPPPVHSPPPPVPSPQPQSPTPIQSPPQTPVNSPPPGTPTQTTEAPPPSDEFIIPPFVGHQYASPPPPMFPGY >A09p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5825840:5836090:1 gene:A09p011540.1_BraROA transcript:A09p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSTSHDSGPQGLMRRPSRSAATTMSIEVFDHEVVPASLGTIAPILRVAAEIEHERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTLLFQRLERDNASSLASRVKKTDGREVESYYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLMAVNKSEKVEAVAPEIIAAARDVQEKNEIYAPYNILPLDSAGASQSVMQLEEVKAAVAALGNTRGLNWPSGFEQHKKKSGNLDLLDWNQREHLVCLLADNHIRLTPRPEPLNKLDDRAVDAVKTKLFKNYKNWCKFLGRKHSLRLPQGAEDIQQRKILYMGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYRVVEKEASKSANGKAAHSDWSNYDDLNEYFWSPDCFSLGWPMRDDGDFFKSTRDMAQGKKGSLRKAGNTGKSNFTETRTFWHIYHSFDRLWTFYLLALQAMIILAFKRVELREILNKDVLYSLSSIFITAAFLRLLQSLLDVILNFPGFHRWKFTEILRNILKIVVSLAWCVVLPLCYAQSVSFAPGMLKQWLSFLPRVKGVPPLYILAVALYLLPNVLAAIMFSFPMLRRWIENSDWHIIRLLLWWSQPRIYVGRGMHETEHNYGAVVSLWLPVILVYFMDTQIWYAIFSTICGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKTRRRGFSLSKRFAEVTAARRTEAAKFSQLWNEIISSFREEDLISDREMDLLLVPYTSDPSLKLIQWPPFLLASKIPIALDMAAQFRTKDSDLWKRICADEYMKCAVIECYESFKHVLHTLVIGENEKRIIGIIIKEVESNISKNSFLSNFRMAPLPALCSKFVELVGILKDADPSKRDTVVLLLQDMLEVTTRDMMQNENRLIRRLHLLLTVKESAMDVPINLEARRRIAFFSNSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYSKNDLEMENEDGISVVYYLQKIFPDEWTNFLERLGCKDETAVLESDENILQLRHWVSLRGQTLFRTVRGMMYYRRALKLQAFLDMATEKEILEGYKAISEPTEEDKKSQRSLYAQLEAVADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKVHKVFYSVLIKAVENLDQEIYRVKLPGPAKIGEGKPENQNHALIFTRGEALQAIDMNQDHYLEEALKMRNLLEEFNEDHGVRAPTILGFREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDLYRLGHRFDFFRMMSCYFTTVGFYISSMIVVLTVYAFLYGRLYLSLSGVEEAIVKYAAAKGDSSLKAAMASQSVVQLGMLMTLPMIMEIGLERGFRTALCDLIIMQLQLAPVFFTFSLGTKVHYYGRTILHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFVKGMELMVLLICYRLYGKATEDSVAYMLVLGSTWFLVASWLFSPFLFNPSGFEWQKIVDDWDDWNKWISSRGGIGVPAVKSWESWWEEEQEHLLHSGFFGKFWEIFLSLRYFIYQYGIVYHLNLTKESRLGKQQSLIVYGLSWLVIVAVMIVLKIVSMGRKKFSADFQLMFRLLKLFLFIGSVVIVGMLFHFLKLTVGDILQSFLAFLPTGWALLQISQVGRTLMKAVGMWGSVKALARGYEYIMGVVIFMPVTILAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQK >A09g517060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50161845:50165661:1 gene:A09g517060.1_BraROA transcript:A09g517060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYISFIVLLYIFLLSFSPQTTFVNASRPLWVSNKSGHTSLNTFYTSGSEQGKLHDGAMNDCLPKGFRFNSAPSRYINSHALDRNSGALNGLTSSENAAPADAKNLRVKLVLLGDSGVGKSCIVLQFVRGQFDATSKVTVGASFLSQTITLQDSTTVKFEIWDTAGQERYSALAPLYYRGAGVAVIVYDITSPESFKKAQYWVKELQKHGSPDIVMALVGNKADLHEKREVPSEDGMELAEKNGMFFIETSAKTADNINELFQEIGKRLPRPSQPSS >A08g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15322600:15330061:-1 gene:A08g508370.1_BraROA transcript:A08g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLFLHCISLYQVLEFPLEILEASKAPERGTGATCDTRSRRIEGWRDVLHRAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTHQGNYQPRQNTPPDFNNTNNQSTQAQGSSSQAPTSDTSDWVWTTADSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNRKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSIPQPQPYDPVTNPSLDLGPLLTARQLLRLARNPQAHKSNSENKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALSFI >A01p037740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17699524:17701019:-1 gene:A01p037740.1_BraROA transcript:A01p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGARRQRGERGWSNLDYVTTMQREHNWQLPATPFKIELQAHIEPLQSQVTELGKITPLQNEPLQSQVIVLHRSRENNTSTGNPRLLLEIEAIKLVLREDNSALHTTSNKRGWFRIRVRLMQPLETPTEGGIATLRALPPNGEPTTGTDTTRGTQENHSDNVSDS >A09p038630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:186151:186777:1 gene:A09p038630.1_BraROA transcript:A09p038630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVVESVLYNRSIGVFCLARIRCNGEWLDQPDMSVLLYTHSPESSRITVNCSCDTEQDHEDTMMGSHPGDRVTAYSVRCSILEYLMEMMVIFISLLGSVSLGSFP >A08p002050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1180551:1184761:1 gene:A08p002050.1_BraROA transcript:A08p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 1 [Source:Projected from Arabidopsis thaliana (AT1G53310) UniProtKB/Swiss-Prot;Acc:Q9MAH0] MPHGKLEKMASMDVHLRQLVPGKVSEDDKLVEYDALLLDRFLDILQELHGEDLRETVQELYEHSAEYEGKHEPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKKLKKGDFVDESSATTESDLEETFKKLVGDLNKSPEEIFDALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIKRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIEERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAATMYFNQIEDLMFELSMWRCNDELRVRADELHVNRRKDAAKHYIEFWKSIPPTEPYRVVLGDVRDKLYHTRERARQLLSNGTSDVPEEATFNNLEEFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTHLEIGSYREWSEERRQEWLLSELSGKRPLFGSDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAPSDVLAVELLQRECHVKRPLRVVPLFEKLADLEAAPAAVARLFSVDWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKEYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPVSPKPEWRELLDEMAVVATEEYRSVVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAIRHVVEKDVKNLHMLQDMYQHWPFFRVTIDLIEMVFAKGDPGIAALYDKLLVSEELWPFGDKLRANFEETKKLVLQTAGHKDLLEGDPYLKQRLRLRNSYITTLNVCQAYTLKRIRDPSYNVTLRPHISKEIAESSKELIELNPTSEYAPGLEDTLILTMKGVAAGLQNTG >A01p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19478253:19480955:-1 gene:A01p026550.1_BraROA transcript:A01p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNVGSSSSGSKGIAAVVGVGPKLGRSVARKFAHEGYTVAILARDLGRLSRVAEEIAREEKAQVFAIRIDCADPRSVREAFEGVLSLGFVEVLVYNAYHSSSSYTSHHPTSFTHIPFQSFHTSLSVSVFAAFLCAQQVIPGMMEKGKGTILFTGCSASLNGVAGFSELCCGKFALRALSQCLAREYQAFGIHVAHVIIDGVVGPPRETNIPPRGVVAEQSYNCRSEDGEGEGESSGVMGMDPDVLAQTYWSLHVQDRRAWTQELDIRPSNTRF >A05g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17383891:17385920:1 gene:A05g506080.1_BraROA transcript:A05g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYDKLSEVTYNPAVRAWRFRVKLHRIYPFYSCVTNSGPYYNYILADEDGYKMEMNTYGNYKNFRGLEKEEGRWVEIFVVDVERAYPCFKTTRSPFRLIASRLTQVRIIEPLNNRLFFDFKSIHAIPRMHWRDLKYPIDRMGVVFNTEAHLDAPSGPRMEFYIRDNIDHQIRCVVTGTQAVAFRDGLDDMSGGGRRQVIVVLKMWRVCESTNYFGPDDIWLQTEGGFADFRFNPRLPEVEEFRQSVLNSDPYVQKYGVEGLVRKETPIIPAIWVWIASGDDLGDAHPAPTPTRYPRSSYPSPTTGRGR >A10p031920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18982065:18983621:-1 gene:A10p031920.1_BraROA transcript:A10p031920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVTTELDFFGLEKKQTNNGPKPKFKKLLDRGRSFREIQGAISKMDPEIIKSLLATGANPSDTSTRSLSVPSTPKEDHQRILISPVHAPLTRPSTELVSGTVPMTIFYNGTVSVFQVSPNKAEDIMKVAMETSPKKDKSMEKDLSVIPPTTLRTKLFGQNIDGDLPIARRKSLQRFLEKRKERVVSTSPYFPTSA >A02p041970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26335047:26336911:-1 gene:A02p041970.1_BraROA transcript:A02p041970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGMFLTFFAAALFLCVLRFIVSAVGFRRSSSSKLPLPPGTMGYPYVGETFQLYSQDPNVFFASKQRRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPDSVRNMVPNIESIAQESLSAWDGTQLNTYQEMKTYTFNVALLSILGKDEIFYREDLKRCYYILEKGYNSMPINLPGTLFHKAMKARKELAHILAKILTKRRQNPSTHKDLLGSFMEDKEGLSDEQIADNIIGVIFAARDTTASVMTWILKYLADNPTVLEAVTEEQMAIRKGKKEGESLTWEDTKKMTLTSRVVQETMRVATILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHNADFFSDPGKFDPSRFEVAPKPNTFMPFGSGIHSCPGNELAKLEISILIHHLTTKYRWSIVGPSDGIQYGPFALPQNGLPIALERKPEADV >A05p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:377667:380560:-1 gene:A05p001670.1_BraROA transcript:A05p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDAKDILGLPKTPLSLTQEKKSRPQKESHRKPDGISREVYALTGGVAPLMPSIDVTQLKRPPPPDEKVAWQWLPVKSSARKDDLQLYHWVRVVNDVPPTGDYSFAKYNKSVDVLKYTDDEYENHLTDPVWTKEETDQLFELCERFDLRFTVIADRFPLSRTLEELKDRYYSVTRALLRARAQSPSELANHPLIKQPILVFFWRKHLSKYYFSISGHKQEPYDMTRDRERKRALSMVLSQSRHQEKKDAEILAEAKRITEMRLAARRAAEPDVPANENIGSVSPSSNSQLPATAVAPSTLTMADYASTLASLRMLHVYLRTYGLEQMVQAASSAVGLRTIKRVEQTLQDLGVNLKPKVPTKTVCDEHLELRKEILTLLNLQKQLQYKESEGSSHREGTYGAMPDTPKDRVFASEPFGFGGTFLLSPSFQNFMYYFTISNLQGVCLSVFTAERPIKKEPKRKGPGRQSDTPSPAHKRPRKLKASDL >A10p024880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16058084:16060723:1 gene:A10p024880.1_BraROA transcript:A10p024880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILLPDPPTLSTGVPEIFEQGGSQNVVRRAVVIGNGFPGSENQCIGLARALGLAQNHLLYRVTRPKGGINEWLHWLPVGFHKKLDFILRHLYLYSRLMLGSKQSKYIASENGGSVGLASILEADVKSIVSMARDTYEKDGPLVVIACGRDTVSIASSIRRLASENVFVVQIQHPRSHLNRFDMVITPRHDYYPLTLEAQEQVPRFMRGWITPREPPQDHVVLTTGALHGIDYATLRKSASAWHDEFASLPKPLVVVNIGWPRRNCRYGADLAKQLTDALLSVLGSCGSVRIALSYRTPEKVSRVIFKELGDNPKVFIWNGQEPNPYMGHLAWGDAFVVTADSVSLISEACSTGKPVYVIGADHCKWKIADFHKSLRERGVVRPFTGFEDMSESWSYPPLNDTAEAAMRVRSALAARGWSLRS >A09g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25872044:25878972:-1 gene:A09g509220.1_BraROA transcript:A09g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTRGRTIDRCTSSAVDRHHEMRRQMKINIDRCTQVPSIDVKKLNMRHFGSSGLEAQVQALTKRFFCYSFTLRERERESFGENAISYSRIGLARTRRSMSSTDYRSTTIDRHSFVVNILTVETRDLQIGIYKYYNLQHLNSGPASNIISNQLLVDSIPKCMPSGTRSNKEKDLLFSDDPTHLKRTIRRGQRSTSLDATTLLSIDTHNQQSTDTRPSSSMSLGGSQWCRPMSMNSHQSTDHDENRWMDYSSYRSTSSAKSTECNAVRILTHEEFAAIHPHPPSPFYDKIDRSVDPTIDRQSEFDVDRHNTPSIDRHAPLTYRVRLPSFTKTKYESEYETEYSESIDTHTFPSIDSNESTVTDDRNKTLLDVDQPVDHFTLPNHCYPHFAFQPPSKRARDDYSIGSCEESGFHECFAVDTVITSPNEEHTEEYDDDYWKQRAIEMSLHDERFETHKFTNTFSTSFDEVHSTSVMVYAWPTRKDKCQVSADKYGSFEDNYEEREKWKISILCYDGVRAEEQLSVFVISSCDSIRFNPKQPLTSIDTHIGTSIDICAGVKIQEQENIPSPTRFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSISTGKSMKSNHLKNTISAEIILPSIDAFVSTSINTTLKPNLSISKLNDNANIDYSFLTPDAFGIFRDPDGNARAIDGKILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPNKHVGVPATEINPYPSCQPKGQASIDGTTQTSIDRITPTSTDKNDQTSIDRRYEFGYRAFDMYRARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLVEDTHQPLDRGDNELFRSMVEMRTEIESLRQQLEKEARTSASIDAPHHRSTSVFRQPRSLQNLNVQQNTRLNGKSHTSTRG >A07p010700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6715698:6717487:-1 gene:A07p010700.1_BraROA transcript:A07p010700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHHRLLRGGGSPAISVSGIRFRLTALAATIALSFFTLSFLFTSESNHSPDLHLPVSSQSLNLIRYSNGVGSIRRSMLDIKSDPLKPRLLQIRKQADDHRSLALAYASYARKLKLENSKLVRIFADLSRNYTDLISKPTYRALYDSDGSSIEESTLRQFEKEVKERIKMTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTSAKKQGAFSSLIAAKSIPKGLHCLAMRLMEERIAHPEKYTDEGKARAAELEDPSLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKEYKGAHVEVKAVEDYTFLNSSYVPVLKQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKTWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIAMNQFRPLWTKHVDHDLEFVQACNFGL >A02p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31771319:31772049:-1 gene:A02p052030.1_BraROA transcript:A02p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 19 [Source:Projected from Arabidopsis thaliana (AT5G67080) UniProtKB/TrEMBL;Acc:Q9FHA5] MYNLFLEYASRGSLGSNLKKLNGEGLPEATVRRYTGSVLRGLRHIHANGFAHCDLKLRNILLCCDGAVKIADFGLAKRTEEVTASSGVQIRGMPLYMAPESVNDNEYRSEADVWALGCAVELPRIPENLSGQGRDFLSKCFVKDPKKRWTAEMLLNHPFIAVDLDPDDLEETDIHLKTEDVSTSPRCPFDSPIGFLLPPIQKRINAVSTFRFAG >A06p037380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20225805:20226672:1 gene:A06p037380.1_BraROA transcript:A06p037380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQELAMEGEKHLEETIEAAFQIISAMNDELCNPSLWSTSATASSTTGSNGSALVSADAAGIDGAPNHSESGGGGGGGGSGNSALDEASLRYKNSVTSLRAVIAAIPNSQKAKVSETENGLGTPEEEDEIEKLEEQSLSLRKEIAEKNVHVKELIDKFRQLIADISTWQSPCSV >A03p038710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16142035:16143956:1 gene:A03p038710.1_BraROA transcript:A03p038710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGIWGEVERSESYLVCSMYEEAESLSSSILKRVFGNIDVLSEEALGDHQLFHDMLESAGMVLVQSLHGLARTGEIVTELRQVFGEVAAIPLQVLLTGLRCLKGGYLVLSEIICLQISNGSYSGIREILEEFFSIWVYKDDHYILDDAKLNVKGLHGKISLGIDEFMEAVELYTFGVLGKDANDVDLAISWLEKAALPEETRQGLLRRLHSLLSLKSANVPVAASFEETSSYDVLNNNKSFANDEVDYVLKLSKKHEPWSSRPLSLRLGNTRFSMSRGRVALGLVGLIICYALKRKRAALVRIIRRQMESTKKAIVDFWKLAFSYQVNPLAAIQSIPSTTT >A05p041180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25040109:25042291:1 gene:A05p041180.1_BraROA transcript:A05p041180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENPNFNGNPKTREISPDRPKAKNKGQIKSDSLLDSETGEVVSRRLMVDGNGTSGGNSHQIVSAAARATVSCRKLRINPNNEVRPDNYEDLQLDFPNPVYKNLEKYLPPDMLVSNREERVRFMTDIMLSHLPSGERSKAQKHSDYRSKIVSNYQPLHRELYNLVPRLCFVPSFLKAINENTEDSFRSIISEASPGVFVFDMLLPSFCEMMLAEIDNFEKWVAETKFKIMRPNTMNKYGAVLDDFGLDTMLDKLMECFIRPISKVFFNDVGGASLDSHHGFVVEYGKDRDVDLGFHVDDSEVTLNVCLGNQFVGGELFFRGTRCEKHVNTATKSDEIFDYCHVPGQAVLHRGRHRHGARATTSGHRVNMLLWCRSSSFRELKRLQKDFSSWCGECSCEKREEKARTFDAIRKKYVKAVRAPQA >A04p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15293060:15293656:-1 gene:A04p025240.1_BraROA transcript:A04p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVPRDVKVHIDVSVSLSTRSYLNTACINLFHKLQRHVVDESDGTINCIGLYPAPPLPVPHICLNLYKDKTSSQDLLSRLDSHLHNPYLSRQIVEKMAEQAARNNGCISKWLQSRNSCPLCREIVFVKSDFE >A02p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18699625:18701926:-1 gene:A02p034460.1_BraROA transcript:A02p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACSSSIDNNTGLSLDFVQPPSTQTLVPSIDTRSPPSTEDTHLPSTDIVHLTSIDFPSQTSIDTEPRDMVPTLISVRDDNSDLRDHDGHLRNAAANPPKTTITDSDDAAEPMEVDKAPMGRTLRKRKGKVAKHLKRAANEKEMENFQKRVFRIPVEKPLAYFTHILWMFFKETRDKMKNMITLKKKSDPGKFAIPFPVDFHILDIKLNWNSSILLGRAILSTLETVCNMQSNQLCLTFIDPHTQYSHIPFMKPQTSSRRIDDLELIAACHCGVKYETEYSASIETYTATLIDTANKKSIDIHKEKSIDSSPDDWENDYYNHTMAMHTTTLRQRSMMKIIRRNQL >A01p056460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32052927:32054239:1 gene:A01p056460.1_BraROA transcript:A01p056460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKNTEVLIVLAHFDWSVYYPKRLVESTLCLCLLRLSLIDALTSAVYSSDHHNPEVIWAQRSDKVYLTVALPDAKDISVKCEPQGLFTFSALGAQGKLFEFSLELYGKVVPEERYKLEPYIKVDWNKWCDEDEEVNSETASDDESAFVDEDCESSDDDGLLKFQQP >A09p010250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5213013:5214528:-1 gene:A09p010250.1_BraROA transcript:A09p010250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 2 [Source:Projected from Arabidopsis thaliana (AT5G67500) TAIR;Acc:AT5G67500] MSKGPGLFADIGKKAKDLLTRDYNTDQKFSISTYSSSGVALTSTALKKGGVHAADVTSQYKYKNAVFDVKIDTDSTILTTVTFTEILPSTKAIASFKVPDNSSGKLEVQYFHDHATVTAAAALKQNPLIDITATLGTPVISFGAEAGYDTSSKTFTKYNAGISVTKPDACASIILGDKGDSIKASYLHHLDESKRSAAVGEVYRKFSTNENTITVGGLYAIDHTTTVKAKLNNNGKLGALLQHEVVPKSLVTVSSEIDTKALDKHPRFGLSLVLKP >A08p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22952709:22953661:-1 gene:A08p040180.1_BraROA transcript:A08p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENAGNPLHLTSLNHVSLLCRSIEESMNFYQKVLGFFPIRRPESLNFEGAWLFGHGIGIHLLRALEPEKLPKKNEINPKDNHISFQCESMGAVEKKLEEMEIDYVRSKVEEGGIQVDQLFFHDPDGFMIEICNCDSLPIVPLVGGMVRSCSRVKLHQMVQPQPQTQINQVVHP >A03p036920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15470985:15475434:-1 gene:A03p036920.1_BraROA transcript:A03p036920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLGGSDQIFSHGEQEEDGEEKLCRICRSAEEPGNALMHPCSCRGSIKYVHHDCLLIWLNRRGYKQCEVCGRSYSYVPVYSENAPERLPCKEFLIEVLLRAVKLIVAWLAVMLLNTYFVSFHPWAQQLAAAHSRNDSWMSRRLAYWHPGLLYSILVVSFMTMMTTIMVTEVGDVDVRRFGRVVEVLWKHMTILCVWYHRKLGRVFGQPHRPIVLPQNAEVHEFGVIRELLFFLDDDAFALLAISVYVSTLFVLLPVWIGWAVLATVGGSFLFGNSPVTLGYVTLLSTCFAYFTLPLIPFPAIVRWVSLGVHFTALKLPCLLWAFSVKSCKALQSQTSFPAIVKWFSLGFHYIAVILPPLLWIFIKEASVLCFKIGVVPWMIGYWLEICTSPLFGTSFFLRFETLSDFPGMTTLRWVAGTLYLFVAESFMKRIQEIVHKRAFWYLVDVTDPDYDITKMNFAYTLFALASHCVSLVIMFHLPIRAITLISPSFFPLVLWVMDEKVSLGARFVYFRLLTSSPKWLIGLTKPAVELLVQKWVITVSSWLELSDFLLVAPRGEDLDQNVRPVMQPRSFLLFCSLAEGSMVTLHGSQNDEDDVKDQRDNRFLLRIVLMLMLAALSLFIVSTAFMALPILLGRVFLEFISSLMLRYFGLKRDDLCAFWIGYSTLEEIYTFTCFAYDQIHKGRIDVLLRDIRNGLLFFIWITVVPGLLGLLIDLMIIIPTRVPLDESPVYFLFQDWLIGVVVLHIWALLTMLTPINWFATKALRRKFERIRTVGIDRLPSMWLLREVIGSIAITLLITLSFPYLLVKYLIPLLGYQESVNSAVERFIWPALFALVAVWFMVKLTREVVIYVHQLVFNERYMVGERVGNLAEEDIELLLAHGKDKEENSFINVKERVTLSRKARAQSSFKVRRQFLRILLKYIVEHQELICE >A02p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5143612:5153613:-1 gene:A02p011770.1_BraROA transcript:A02p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTKGRLKTKMVKIEKEKNLQVTFCKRKNGLFKKANELCTLCNARVAIILFSPSGKIFSFGHTKVETIIDRFKKTDHPDSNTQSNMQLGEIHQNSTIRGLNNRLTEVMKNVESEQKTNEELKKLRKKSKLPEIWLKESIGGLDLGQAKEFKGKLENLKKQVIYEAFKSFQATPFPQPGFYGGSSSNAPFGVDGNVNVFDNHNMVLSNHPSPFVPGFNHNMALPRELTTLMLSTTITWFSPIILYQLETIVVLRNLSLDSTITWFSPVIIIRMNLEMNILMMAILNPLKESQAKEFKGKFENLKKQVIYEAFKNFLATPFPHPGFYGGSSSNTPFGVDGGINIDPNLNPFEQRRMVNVNAFGNHNMVLPDHPSSFGNYSRIEGFVMKNLESEQKTSEELKKLRKKSKLPEIWLKESIGGLDLGQAKEFKGKLENLKKQVIYEAFKIFLATPFPHPGYYGGSSSNAPFGVDCNVNAFDNHNMVLPNHPSPFVPGFNRNMALSSHPNPNESGNEHPHDGHPPQPRSD >A02g503720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12401654:12402028:-1 gene:A02g503720.1_BraROA transcript:A02g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIQRIQVLVVIIISLLIQIALLQAETIASYVHPNISTLKSIVWITNRLGDGSILNLHCKSLDDNLGLKIIARNKSWSFTFRLNIWGTTVLYCHFPWPPGHSTDFYIYDDIRDGVHGGIPCIY >A03p047960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22143255:22144524:1 gene:A03p047960.1_BraROA transcript:A03p047960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTDLDPTISYEYGLIFAQKKLKSEDIALFNHNFLKRHGINVAEHRQEILKLSKRETETVSYYHRRPASAKLISVLIKATKSIGNRFNKWLFLVGTAVVEPAKEKQSPEPASRGEAFVSGNNKANNEKAEFQVERLPVIRKKRIAKSGPLDLKHRMQEKTTFPANRSMNLSGPLDRSVQERLVLAYRSPVVSGQLDGNLNERLKLSGPLKGRPPSPSVYVEYSKRDDDTRWAALFHNLKPT >A06g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10113468:10115906:1 gene:A06g503230.1_BraROA transcript:A06g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNPVKTSGKSPVHPERTLNQQKFEMQFWSSDQTLNQARKLRIGHIKHILPQFECAPLLTISHASAGVKPPTQHDISKGVPARTVGCGFQSGETLDTKKRTIHVSDATAITHEGIKDINGKLQHERRYSERSSGSISFKKSNQTATLEAINMMRLMDKDCENFGIHEDEYHSIVSRPSRSRFHPPCFTFFAPSVIARIGKRLDRLKKGNAKDSQSKVKTGGSRKICSRKNPGGPSYGKPAESVALSDLEKEAVKHLCLRTMKRRRQRKCLGNLIRAMYSLLGFQAYFTSGEKETRAWTVHVFEFPISLHSMGFLGVMRLHMKIYSLLALFLQQKRRLCEFLP >SC250g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000100.1:42021:44104:1 gene:SC250g500010.1_BraROA transcript:SC250g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSTTAGATVKGRASTSITWAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVYQLQTDDDDSTVSTNLSRVRINEIVESSVPKKKGRLVRLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPNAFPSENPKHMNFREDISEDFFRRYVLGIALFRRHTDDFFPQYADVFL >A03g506330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22654129:22654992:1 gene:A03g506330.1_BraROA transcript:A03g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVSISTSDTIYQRFEEGKIYYHFRYFNLLLNNQQYMLTVQPYIININETTITTLIQENIPPIPSYIFRPQHYHQLISLANATNFLPNVVGRICLIQGSDLYNHYTDSKIIIGLRLDRLKLVHLTLWDKEASNFRELNHIYTRKNQIDNYHSQPHMDRASTLTTILVSYNASKRGINCYPKPHSKRHQPTFKKFTLPLSTSIK >A01g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5310289:5312867:-1 gene:A01g501400.1_BraROA transcript:A01g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLKVVWSCLLKWNPSLFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIISFYDSMNHKKFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILRALRASNWLFMVVVILMTMAIL >A06p007780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2686475:2689452:1 gene:A06p007780.1_BraROA transcript:A06p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNFGFLSLLVFSLFSFVSSSDLQVLLNIKSSLLNSNPGVLASWKLNSVSAPCSFTGVTCDATGSVKEIDLSRQALSGKFPFASLCDLKSLQKLSLGFNSLSGTIPSDINNCTNLTYLDLGNNLFSGTFPEFSSLSHLQYLYLNNSAFSNVFPWKSLRNAKRLVVLSLGDNPFDTTPFPEEVVSLKSLTWLYLSNCSIAGKIPPAIGDLTELRNLEISDSDLTGEIPPEIVKLTKLRQLELYNNSLTGKLPRGFGSLTNLTRVDASMNYLEGDLSELRSLTNLVSLQLFENKLSGEIPPEFGEFEDLVNLSLYTNNLTGPLPQKLGSVSDFDFIDASENRLTGPIPPDMCKRGKMKDLLLLQNNLTGSIPESYASCLTLERFRVSDNSLNGTVPAGLWGLPKVEIIDLAVNNFEGPITADVKNAKMLGTLYLAFNKFSDELPEEIGEAEALTKVELNDNRFSGKIPSSIGKLKGLSSLKMQSNGFSGNIPDSIGSCSMLSDLNMARNSLSREILHTLGSLPTLNALNLSDNKLSGRIPESLSSLRLSLLDLSKNMLSGRVPLSLSSYKGSFDGNPGLCSMTIKSFNRCINSSGSHRDTRVFVLCLVFGLLILLASLVFYLYLKKSEKKEKRTLRRESWSIKSFRRMSFTEDDIIDSIKEENLIGRGGCGDVYRVLLGDGKELAVKHLRRSSTDSFSSAMPILNEKEGRSKEFETEYGYASKVNEKCDVYSFGVVLMELVTGKKPIEAEFGESKDIVNWVSNNLKSKESVMEIVDKKIGEMYREDAIKMLRVAILCTARQPGVRPTMRSVVQMIEDAEPCRLMGIVISKESDVKSK >A05p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26518893:26521142:-1 gene:A05p044080.1_BraROA transcript:A05p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSEPHHHHHQQHHQNHQQQQQQQRSKEALGMVALHEALRTVCLNTDWTYSVFWSIRPRPRVRGGGNGCKVGDDNGSLMLMWEDGYCRGRGGSGDCYGEMEGEDPVRKSFSKMSIQLYNYGEGWVFGRLMGKVASDKCHKWVFKEQTESESNASSYWQSSFDAIPSEWNDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSNRSPSSSNTSLMGSNHPILPPQTQQLQPSQLPLYNWSSGSSQRPMMAQSSLPTYQPHMPFPVMPHANKEPDSDVKWPTGLSFFNALTNNVNAKLLFDSEGLGDKPEHQSHQDQSQEQSNAESHANPSEFLSLDSHHRNMSYLE >A03p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5766650:5768668:1 gene:A03p014500.1_BraROA transcript:A03p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTSSVSLYSHFLIWVFSINDFKNIQFHHLIRTRTKYNKIRFRLRFSDNKISSETTLAIEVVVWIVYRQEEQREMESDLGKLFIGGISWDTDEERLREYFTNYGDVVEAVIMRDRATGRARGFGFIVFADPNVAERVILEKHIIDGRTVEAKKAVPRDDHQQVMKRHTSPIHLMSPLHGGGNRTKKIFVGGLPSSITETEFKNYFDQFGTIADVVVMYDHNTQRPRGFGFITFDSDDAVDRVLHKTFHELNGKLVEVKRAVPKEVSPVSNIRSPLHGAGYGGSYGGGSNRMSVNNSYFNNFAPASGYYNNLGGSVGGRFSPVIGSGRLNHELNLNLNPSYDGITFNRFPNNPYFSNASPNRYTSPIGQNRTESHYSSSNIDLWGNRTDNAGPGWNLNVSSGNNRGNWGLPSSAVGNDNNGYGRNFETGSGLSASPFEGSIGNLYRGGSVYSDSMWRQQQQQQLPPQSSQEIDAFSYGYDIDNVGSDPSANDSEGYNVGNRQTNRGIEA >A09g510450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31734718:31735644:1 gene:A09g510450.1_BraROA transcript:A09g510450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMLHQLSKISTQTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRYTDQYTDQYRTVHGKGQHADMCGQHADMSSVHGSYTDQSTRTVHGKGQHADMCGQHADMSSVHGSVRISTRTVHGKGQHADMCGQHADMSSVHGSVHRSVHGQSTGRASMLICVVSMLI >A02g511260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29881879:29884135:1 gene:A02g511260.1_BraROA transcript:A02g511260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p076640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57480642:57500198:1 gene:A09p076640.1_BraROA transcript:A09p076640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILHSLKSTPFVCFFVSAACNLGHLQAPLLQYPRPVSQPMPEHSGTQDQCHIALDDSQPLHLYPQLITPAGDANPIDGEFFRNFRSLSVPGLDLNCSLIRYDADEVFLTFIDKLLEFSPESSLFTVKVKCRDTMIDGFKDRIGTLINRGTHHLDVESSIYYFEDDNSLYPIVDMMPMNLLTSKTLVYLKLASSGLMDPGFVSMPCLKFMHLEEVKWRVHLEKLLSGCPVLEELTLSRDMDDDYAIGNEEFTVMRVRSQSLKRFSVLPLRQARDYHSRVECTLEIDAPELEHMSLGEDQFDRIVVKKLPSLLVVELDIKFCVKVGVLFNTWNVSKSNEIREFLNGISSVRDMIISGMTVHAFEHYSKAGIIPKFNNLSRLEAVFHGKLLQFLPAFLECCPNLKHLILKVLHSEEMEEGEGLELTDVPRCVSSSLECVEIQEQLELEEGKMKATSYFLANSAVLKKLILSPTAYDPRNVAESEIWEKVNKLTKRSTRCEVIIRAIETAFTFETLSWNRNNQFRINSEQSVRQFRLQTKNFSLLLSITPADTIRRPLKPNPRETLQRLGFDQDLFFHMLFFDALKVMSGIDRISELPESLLTQILSFLPTKQSVQTSVLSKRWKNLYLNVPGLDLNLSLIPYDADELLLSFLTFIDKLLEFSPEPVLFKVKVKCRVTMIDGFRDRIGTMINRGTQHLDVESSTYDIEDDSFHHPCVDSMPMNLYTSKTLVYLKLTSSGLRDPGVVFMPCLKFMHLEQVKWRVHLETLLSGCPVLEELTLLRDLDDDYAVAYDEFTVMRVRSQSLKRFRVLPLRQVRDCRSRVNCTLEIDVPGLKIMSLGEDQFDSIVVKNLTSLLVVELDIKFFVKFGVIFNPWNLAKSNEIRDFLNGISSARHMIISAKTVKALEYYSQAEMIPKFNNLSRLEAVFHSNLLQFLPAFLECFPNLKHLVLKVVHSEEMEEGLELTDVPRCVSSTLECVEIQEKLQWEEGKMKATSYFLGNSAVLKKLILSPTAYDPRDVLESEIWEMVNKLTKRSAGCEIIIRAMEEEDTYTFRLSDRNILDLSDNYAWCNTQGLSHIALDDSRPPHLYPQLAQTSGLSKRWENVWLSVPCLDFDLHSSVVPYHDNQMLFTFLDKLLNFSPELSLLKVKVKCRDMMIDGFMELLDGESSTDYIDDYTNSVTLPCVEFMPMNIYTSKTLVCLKLTSSGLRDPGVVFMPCLKFMHLEQVKWRVHLAKLISGCPVLEELTLLRALLVRTRSLKRFRVLPLRNGYVFERLEHLSLGDDQICSIVVKNLTCLLVVKLDVKFRVLVNTWNVSKINVIRDFLNGISCARHMIVSAKTVQALARYSQLGMIPKFNNLSRLQAVFRSKLLQVLPAFLECCPNLKHLILKVVHSEEMEEGLELTDVPQCVSSTLECVEIKDKFEWEEGKMKATSYFLENSAVLKKLILSPTAYDPRYVVESEIYDKVNKLTKRSTRCEVIIRAHGGGVDKGDKEKTIWKPKGTSTSETCSVSETEKKNEIMDLRDKTPRAETSFTKHGMGLQEEELKPIQNQKTATATTSYERQNREKDKSCGPGKYELEEPIVLKNRFQPLESNEEIELPDSLLTQILSYLPTGYSVQTGILSKRWKNLWLSVPALDLNCFLIAYEDDEEVLFTFVERFLEFSPESSLLKVKVRCRPLMIDGFMDRVGTMISRGTQHLDVVSRNCYFEERGMYYACVEFMPMNLYTSKTLVYLKLSSSGLMDPGCPVLEELTLARDPDDDYALRNDEFGVMRVRSQSLKRFSVLPLREVRDYHSIVKCTLEIDAPGLEYMSLGEDQFDSIVVKNLTSLIMVNLDIKFVINLNGFFDPWDASKRNEIRGFLNGISSALDLYSKGGMIPKFNNLSRLEAVFPSALLQFLPAFLECCPNLKHLVLKVVHSEEMNEGLELTDVPRCVSTTLECVEIKDKFEWEEEKMKVASYFLENSAVLKKFILSPTAYNQNIYEKVNKLAKRSTVEMYSRRELDDLEYRYYCELKDGTRKVKISDSLFRCPFCYLDRKRDYNFDDLLRHAYNISGSSRTKDILRGVILWLLVMMV >A10p034290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19930466:19932176:1 gene:A10p034290.1_BraROA transcript:A10p034290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin D6 [Source:Projected from Arabidopsis thaliana (AT5G10220) UniProtKB/Swiss-Prot;Acc:Q9LX08] MASLKIPANVPLPEEDSEQLHKAFKGWGTNEGMIISILAHRNAAQRSLIRAVYAANYNKNLLNELDKELSGDFERAVMLWTLEPVERDAYLAKESTKMFTKNNWVLVEIACTRPALEFFKAKQAYQAHYKTSLEEDVAYHTSGDIRKLLVPLVSTFRYDGNADEVNMKLASSEAKTLHKKITEKAYTDEDLIRILTTRSKAQIMATLNHFKDKFGSSINKYLKEDSEDEYVQLLKTAIKCLTYPEKYYEKVLRRAINKVGTDEWALTRVVTTRAEVDMERIKEEYLRRNSVPLDRAIANDTSGDYKDMLLALLGHDHS >A02p015000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6661821:6662210:1 gene:A02p015000.1_BraROA transcript:A02p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVECRDKPFDPNNQLNILITLKESDTGSSVTITMPKELVEANLFPWWSKDRCAALSRHNAVEFVDLFDYDSKITTTHTLRRERDGNFKFCGWGSILAKRSFKTGDIIGFWWDKYHDRLNFELLMVA >A08p028070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17653406:17654213:-1 gene:A08p028070.1_BraROA transcript:A08p028070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKCDLCDGVARMYCESDQANLCWNCDGKVHGANFLVAKHTRCLLCTSCQSLTPWKATGLRLGPTFSVCDSCVALKSAAAGGGGVRIVSDDKSQEINGSEHEVDSAESYDDDEDEDEDEEYSSEDEDVEEEEAENQVVPWSAEAAAQLPPMMSSSSSSDGGDLVGKRGRDCSDDEIGSSSSAQELNYSPPLKRPSREGPASKSTAAINSLTRLEGEGTLNGAVDSSLVAISRTRRDLSR >A02g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15074375:15074690:-1 gene:A02g504680.1_BraROA transcript:A02g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTVGLHEVTKFGIIMEKLIMNMVVLANLSQRLD >A08p005480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3149771:3152107:-1 gene:A08p005480.1_BraROA transcript:A08p005480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELSILSLPEEIQVLVVELVAANSFADLYRLRTTCKSMKALTELPTVYASFDVFNFPWYVHMPHLLLRNFYAAGNPSALYIKGVQFFFTFGLQEEGLALMKRAADAGFERALYTYAMTCKIFWDDEEYFSRLSRKNVDMIGRVVRSLNLGRGMSHNIAFFTKRDEFISSDIPLFYSCECTPCLHSDWYLWDIERTKAPPPELSLSPSCGTVPAEETCKLISFSLLLLFGLSSVLGFDQSEAVYQRLRALSLDQKKNGYVRVLPYSEKKGNAKVQFSSPETGDGYVKKRTETPGEQQNFLRENLLYYKTL >A01p036500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16390061:16390934:-1 gene:A01p036500.1_BraROA transcript:A01p036500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCRGYHPMFRVSDFVFKEDLRCHKRQLDLVERERRLLKRATKSRKSPFTVVVIPSIKSLVCGSKFVVEGGCSWKIQQNKKYEVMVHESQTYASCGSNVRVPGVDEGFWRYRDASGRCEGRWICGFFMGIRLEIPDLRLLVTIGNDVVARYLFKRRDNYTIIGSSTGAVAPKCYSFRGSNAANDPAFGYGLIYMAGYLMERLIGRACLLKAGC >A07p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21434270:21437176:1 gene:A07p040380.1_BraROA transcript:A07p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor protein kinase TMK1 [Source:Projected from Arabidopsis thaliana (AT1G66150) UniProtKB/Swiss-Prot;Acc:P43298] MKKHRAFLKFPFLLLLLCLLEFSKADSDGDASAMLSLKKSLNPPSSFGWSDPDPCKWTHVVCTGTKRVTRIQIGHSGLQGTLSPDIRSLTELERLELQWNNISGPIPTLSGLSSLQVLMLSNNHFDSIPSDIFQGLTSLQSVDVDNNPFASWEIPESLRNASALQNFSANSANVSGTLPGFLGPDEFPGLSILHLAFNKLEGELPLGLSGSQIQSLWLNGQKLTGSIDVIRNMTGLREVWLHSNAFSGPLPDFSGLQELESLSLRDNSFTGPVHASLMSLDSLKAVNLTNNHLQGPVPEFKTSVSVDLEKDTNSFCLPTPGECDPRVKSLLTIASSVEYPLRLAESWIGNDPCTNWIGITCSNGNVTVINLEKMGLTGTISPEFGSIKSLQRIILGINNLTGTIPHELTTLPNLKTLDVSSNHLFGKVPVFKSNVVVNTNGNPDIGKDKSSLSPPGSSSPASGGGSGSGLSDGRVKTSSGFIGIVIVSVLGGLLMIFLIGLLVFCLYKKRQKRFTRGESSNAVVVHPRHSGSDNENVKITVAGSSVSVGGISDTYTLPGTSEVGDNIQMVEAGNMLISIQVLRSVTNNFSQDNILGQGGFGVVYKGELHDGTKIAVKRMENGVIAGKGFAEFKSEIAVLTKVRHRHLVTLLGYCLDGNEKLLVYEYMPQGTLSRHLFEWSEEGLKPLLWKQRLTLALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVYSFGVILMELITGRKSLDESQPEESIHLVSWFKRMFINKESSFKKAIDPTIDLDEETLASVHTVAELAGHCCAREPYQRPDMGHAVNILSSLVELWKPADQNPEDIYGIDLDMSLPQALKKWQAYEGRSDLESSTSSLLPSLGNTQMSIPSRPYGFAESFTSVDGR >A07p041140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22222742:22226974:-1 gene:A07p041140.1_BraROA transcript:A07p041140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLKVWQRWSTPTKATNPDSNGKVSGMVSEIQYEDDPRRLPDRVSELEKELFEYQHNMGLLLLEKKEWSSKFEELQDEFDEANQCLKRERNAHMVAMADVEKREEGLRKALGIEKQCAADLEKAMRELRSENAEIKFTADSKLMEANALVRSVEEKSLEVEAKLRAVDARLAEVSRKSSEVERRSKDVEARESSLQRERFSHITEREAEEASLTKQREDLREWERKLQEGEERVAKSQMMVKQREDRANESDKIIKQKGKELEEAQKKIDAANFALKKKEDDISSRIKALALREQETDLLKKSIETKERELLALQEKLDAREKVAVQQLIDEHQAKLEAAQREFEMEMEQKRKSVDDSLGSKVAEVEKREAEWKHMEEKVAKREQALDKKLEKHKEKEKDFEERLKGVTGREKALKSEEKALETEKRKLAEDKENILSLIAEVEKIKAENEVHLSEIRKEKEELKVTEEERSEYLRLQTELKEQIEKCRSQQELLSKEVEDLKAQRECFEKEWEELDEKKAEIETELKNLADQKDKLERNTHLEEERLRKEKQEAIDNMKREVETLEVAKAAFADTMEHERSVISKKAESERSQLLHDVEMLKRKLESDMQSKLEERERELQAKEKLFEEEREKELSNINYLRDVARREMTEVQSDRQRIQKEKVEIDASKKILEEQQTEIRKDVDDLVALTKKLKEQREQFISERNRFLSSMESNRNCSNPCGELLAALPEIDNLELPNLSKLENILQDEAPRQELKDISPTATDLGLPVQGGTVSWLRKCTSKILKLSPIKMADTSAFADQEPQSTEQANVNSGPSAMRPSQSENDTRDVEVSDGDQSNIDSKAQEVAADSLSNLNADGQSRLRGKARIRRTRSVKAVVEDAKAIYGKSIEFNEADDGSMGEPGRSDKGGVSKNGRKRGRVGSLRTCTSEQDGNESDGKSDSVTGGEHQRGKRRQKVASEEQEVVGQRYNLRRSRRVAGKTAIGKKNEEADGVQQQEEGVHCAQTTATASVGVAVSDNGVTANVVEIEGMADSEETDAGSPKRTGESGAASEDDAVNRTPEREYDGEEEDESDTEHPGNVSIGKKLWTFLTT >A02g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:110819:114707:1 gene:A02g500040.1_BraROA transcript:A02g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKLKTTFYGLNNTYITFIKCHNQIMMFGTMNFGVLSNNKHFLRLHERKPHNIRETLIHFNKR >A10p027720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17391734:17393243:1 gene:A10p027720.1_BraROA transcript:A10p027720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVVEEGMVLNHGGEELVDMPPGFRFHPTDEELITCYLKKKVLDSRFTAVAMGEADLNKCEPWDLQKRAKMGEKELYFFCQRDRKYPTGLRTNRATESGYWKATGKDKAIFKGKGCLVGMKKTLVFYRGRAPRGEKTNWVMHEYRLEGKYSYHNLPKSARDEWVVCRVFHKNNPATTTQQMTRIPCEDLTRMNSLDNIDHLLDFSSLPPLMDPSFTGQPEQHDFKPINPPTYDISSPIQPHHFNSSYQSIFDHQGFGSASGSGSSYNNNKEMIKMEHSLVSVSQETCLSSDVNAATTTEVSSVPAMKQEMSMMGVMNGSKSYDDLCDLRGILWDY >A07g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7609142:7610251:1 gene:A07g503860.1_BraROA transcript:A07g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLAISSTHRRDSYCWNFTRNGQYTVKSGYWVAQNLLNKIEQREVLDPSITKLQAFAWKLKAPTKICHLIWQLLTDEEAQAISLGNICLLDGSWTSSANFSGCGWAWMDGSGNVQLMGTRNFTRRESALHSEVEALRWAMENMLQHSNCQSFGTDCKELIAMVKEPQAWPSFATELERIETLQICFPDFKIAHVPRARNQTADFLAKTARSFHRELCFIGCSIPVWLPRPPQV >A08g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7059273:7063576:-1 gene:A08g504350.1_BraROA transcript:A08g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVSSQSEFPLRFYDENKWDSSRLPSTRYSNRDDILTRYSDLKPHLKLLGLFLLTLPPSLRSLSNLDRNVSCFASIEVTIETLRYKKKTAKTYFLAWIQINRIKRQWPLARTSRCNLMVLTSWGANCWGQNRSRRNQCLKVRKSQHNRFYENLAVKYRPNLNRTTKYRLSEGNGHLSKSAADKLEYGNQTADKPSSIDTRRPSMHTARSLRSDQARAKLGRYERPSVRLARSLRSDRARAKAHRSLRSDRARAKARSLHSDRGLVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLISADKYGSFEDNCEDRENGISPFLCYDGLRAEDANFGSHTGCHKSSSPHPLEDMGRKLISRVLPHAVGQNPS >A01p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19723889:19725716:-1 gene:A01p026180.1_BraROA transcript:A01p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQFLFASPSSSLQGEQSILLTSHQELSHRRSSSPSQNPSDSPRREEKSYQCGGSLARRRAYCAPPFCTGQVGSGVSPVCVCSGEGFRVVAVTAVLFVCGFWFCSQGGPSMTLPWVLPVIAPASSLSVDAAHVVVCVVALCYDVLRFSELGRGGLLGSPLGHWSEDSPREARVRKIDSKMWLSPLPLSAGGLWTEALGARVLSSGGCSRLGLQRLGLQSCAGLESIIWSSAAGKPISTKAWSHVLSKTSKSCGNASRSSFCSSLTRKPFV >A03p029990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12584644:12587602:-1 gene:A03p029990.1_BraROA transcript:A03p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline/neutral invertase CINV2 [Source:Projected from Arabidopsis thaliana (AT4G09510) UniProtKB/Swiss-Prot;Acc:Q67XD9] MECPKEPLESQCSLSEMDDFDLTRALDKPRLKIERKRSFDERSMSELSTGYSRHDLDMAHSPGSRSFVDTPLSYVRNSFEPHPMVAEAWEALRRSMVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRVDRFKLGEGVMPASFKVLHDPVRKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLSETPECQRGIRLILSLCLSEGFDTFPTLLCADGCSMVDRRMGVYGYPIEIQALFFMALRSALSMLKHDEEGREFIEKIVKRLHALSFHMRNYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVMPDSIPDWVFDFMPLRGGYFVGNVSPARMDFRWFSLGNCVAILSSLATPDQSMAIMDLLEHRWEELVGEMPLKICYPCIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWMLTAACIKTGRPQIARRAIDLIESRLHRDCWPEYYDGKLGRGIWSKEGSKKGLALWNGALFIVERGIILL >A05p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:888694:890640:-1 gene:A05p002600.1_BraROA transcript:A05p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEEAKFDSKSKPMVANENLRFGERALSAGGAAFISAVIVNPLDVVKTRLQAQAAGVPYQGSCRLGCFEPNSTVAHDLRPSSSPGVCRITGSATVCSDHQYKGTLDVFIKIIRQEGFSRLWRGTNASLALAVPTVGIYMPFYDYFRNVMEDFTAEKSPSLTPYVPLVAGTIARSLACISIYPVELARTRMQAFKGTQRGVKLPGVWKTLVDAVNPVKGSSSNGQNYRMLWTGLGAQLARDVPFSAICWSILEPTRRSILSFVGEEPRAGSIIGANFTAGFVAGAVAAAATCPLDVAKTRRQIEKDRDRAMKMTTRQTLAEIWRDGGMRGMFSGAGARVGRAGPSVAIVVSFYEVVKYGLHHFHQQ >A04p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1994495:1995564:1 gene:A04p004010.1_BraROA transcript:A04p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHRSSCLASIPLLLLILSFLLASFFDTAAGQIGVCYGRIGNNLPHPADVVAFYGQRNIRHMRLYDPDQEVLTALRGSNFELLLDVPNSDLQRIATDYQEADTWVRNNVRKYTKGVRFRYISVGNEVQPSDPNAMFLLQAMQNIEDAVSDLGIKVSTAIDTRSLRGVPPSIGSFTENFQIFIAPVIDFLVSKKSPLLVNIDTYFIYANNMRDINLEYALLTSYKNVVTDGSNIYRNLFVALLDTIYAALEELSGEAVKIVVSESGWPTAGGTAASVYNARTYVNNLMQIVKTGSPKRPERATETYLLLDFDIKLVNNCSVISGSRL >A01p011120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5405986:5413196:1 gene:A01p011120.1_BraROA transcript:A01p011120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAKKSSTEAKENDLMFDKDMEKDTWDFKSMTDDDPMDFGYGSPANKDKKKNAFNMDMSFDLGGDFGSSFKMDMPDFDFSSPAKKTTKTKQKSDDNGDLKQKKNPFHFSCDFDALGDLDLDSSSLKKGNETTTKSMDFEEFAGSKFFDKSDSLDFGPDLPTTKQSVSRANTDVKANASAEKENQNSKGADSMSSTHSKQADSNSSTHSKQATLESKENFEEVDSPQRLRMITSRFHTVRGRPQPANISPLRTSYSKVEENNKPCLSNEKAEPSPLHSSETAHTAASRETSPGSHEICRSGTKEDSPRDTEENANNKMISATKSSYEKTEPNISSLSCLNKIKHQQEEMDIDTQAEIQDHTRRTLCVPDAGHSQTTLPGKVPSGSQLGQTAQVQDSSSKLPQDPSDSVPRLSDLKAMQNSDSGQIRSMFFKKIEKPQSHVLESPTQTEIRPVTRERIGSNVNPTIDKRQDTEDALPGSKTRTAPTELSKTDSETANVNTNSSHEKIIQKDHSGTRTVENVAGLMDGLQLLAKNTTREKSTIQGNISSSNPDASSLTEKLNKHLSSGGESLQKSKMVSLERPKLGNIMSDMRAATQRAIGVNKDQPNSAVQPQVNPSTRNERNTEAPIRKSSEIHRLAPRDRTQALQYRNVGVKKDQTSSAVQPEVSSSARNEKNTEALVRKSSEIHHLAPRDKTQVLQYRTIGGKKDQHSSALQPEARSSISKDRNTEAPVKKISEIHHLAPREKTQILHCPPSLKRKALDEDADRSLMPQLKRFSMSPRENRNVKELTHTVGQVKVSSQASRLDNNTTKQLVKESPRAKSQPQCMNMANLEIPITEYDENIEKAESYTKELDNICNILKKKHEEAKELLVRAVFTHFTPSVPSSYTQLHLLTPSLFSFFFLSPSSFTSMTALNLIPGNDNEENISPSEMATVSVKRPQDKDKTKIRLRRKRYTRQPLQDITNLFVSSSPLPSSFLNLHMPSSPSLSVVPKCMKRKADVALKAATSSTYSYYCTSLDKDITQIKMRRKRSRRQPLKDITNLFVSSPPLSSSFMVRHLANSIS >A07p023330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13504297:13505929:-1 gene:A07p023330.1_BraROA transcript:A07p023330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT5G66160) UniProtKB/Swiss-Prot;Acc:Q9M622] MRDVIVCLLAAPFLFQLSTATVVLNSISASFPHLPAKFDGSVPRNGICGALFVANPLDGCSPLLPPSNSSQQRTTKFALIIRGGCSFEDKLLHAQTSGLFQAVIVYDNLDNEDLLIMKVNTKEITISAVFVSNVAGEILSKYARGREGECCIYPPAKGTAWTVLAISFFSLLLIITFLLLAFFAPRHWTQWRGRHSNRTVSLDPKLVRTLPTSTFSDSFRGGDTCAICLEDYRFGDSLRLLPCQHAFHLSCIDSWLTKWGTSCPVCKHDIRTQTMSSEAHKRDSPRTGPCLHRSGVPGDIEWGIMLG >A03p013090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5141772:5143624:1 gene:A03p013090.1_BraROA transcript:A03p013090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain amino acid aminotransferase like protein [Source:Projected from Arabidopsis thaliana (AT5G57850) UniProtKB/TrEMBL;Acc:Q0WW83] MLFFESDSSSLFQMTSRFVSFPEPIVGFLPELSSFNTWNLHFVSRAPCSSGRHGFRLPRTRGRTLMCSGSSSHSWNVPVLSSNEVVERLKLVGEGKQFLAMYSSVVGGITTDPAAMVLPLDDHMVHRGHGVFDTAMIVNGHLYELDQHLDRIIRSASMAKIPLPFDRETIRRILIQTVSVSGCRYGSLRYWLSAGPGDFSLSPSQCPKPSLYATVYQKDFTVDRRGVRVVTSSIPIKPPEFATVKSVNYLPNALSQMEAEAKGAYAGIWVDNDGFIAEGPNMNVAFVVNGGKELVMPRFDNVLSGCTAKRTITLAEQLVSKGMLTSVRVVDITVEDGKKSDEMMLLGSGVLVKPVIQWDDEVIADGREGPIAKALLDLLLDDMISGPPSVRVLVPY >A09p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7292445:7297440:1 gene:A09p014200.1_BraROA transcript:A09p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTSLSKSEEKETLKPRNVYHIGGLQVRFPYQPYGTQLAFMSRVISTLDRAQRDGRCHALLESPTGTGKTLSLLCSVLAWQQSYASRFPKGNSAHKRSHFTPDVTRPPSTEPSDVVEVEKPPRVPTIFYASRTHAQITQVIREYRKTAYRVPMAVLGARKRSCTNRRVQGKPNLDEICRCLIKDRNKPKCPEFRGKDDIVAHPSLQQNEVHDIEDLVKIGYAVRGCPYFAAWDMYERAQIVFCPYSYIVDQLIRQEKLEDKLNGAIIIFDEAHNMEDIAREAGSIDLGEETLFKLQSELQLLSLAIPMIYQPVCDAIDGLISWIGSRKDSLAKRDPQHYFSRQVGWTGDKALRELEESNITRKGFRNLSNCFIEAIERSMKEIPPHLSGIFVSTLQELLVTLGYFFSRDGSHSHTLDYELGLQRFIKRGDSSGKWTHTLSLWCMNPSVVFKAIVDLSSSIILTSGTLSPMDSFSSELGMQFGACLEAPHVVDANQQVWAAGIFSGPNNQPLNASYKTNDEHPFQDALGKSLEEICSIVPGGSLVFFPSYKLMGKLCTRWRETGQWSRLCLEKDIFIEPRGGATGEFENVLKGYYDCIGGKKRFIGRNRRAGTRVDSKKGGAFLAVCRGKVSEGLDFSDDNARAVIIVGIPFPNLHDVLVGLKRSYNDTYKSSRNLLGGDERYRQQRNRVSISKWLRQSIKLYDNFEESMQDLKSFFPRAKEHVDSKMLSYKEVIDLDCVVQTEPETSFLINSGSSASSPFSCSSGLTLERGGPPSVSSHALKRRKFISSAAVIDLEKENNHDMITRRIEFGSDSSTETRARVQISCLLCRSPLGHPDNNGSSYLNCLVTWSSKKYVLTLLKETSRSEMPTSVSVIVTDCSSVDQRLCTKDEGIWCEEDGCVFNTIFCPFCSVPNTTCLGVQIVATDSSNVQFLSKILFFADHLNVMGDAAIKETLLEHQGTC >A09p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24400033:24401279:-1 gene:A09p036470.1_BraROA transcript:A09p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 24 [Source:Projected from Arabidopsis thaliana (AT4G08570) UniProtKB/Swiss-Prot;Acc:O81464] MGVERTMEYISEFLKMRRKKKKIPMQTVALRVARIDCEGCERKIKQILSGVKGVKSVVVDAKQQKVTVMGYIEPKKVLEAAKSTRKKVELWPYVPYTMVANPYISQAYDKKAPPNMVRKVPDTASVNETTVDDSYTIMFSDENPNSCSIM >A09g518160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55450985:55451659:1 gene:A09g518160.1_BraROA transcript:A09g518160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein 23 [Source:Projected from Arabidopsis thaliana (AT2G21100) UniProtKB/Swiss-Prot;Acc:Q84TH6] MKMAKAEVSRLLFLLVTIIPLAAQGSRLYSWANQLEESGKDKVTNLQFYFHDTLSGKNPTAVKVAQAADSDKSPTLFGSVFMVDDALTETADPKSKLVGRAQGLYGSSCKEELGLLMAMSFCFEDGPYKDSTISMIGKNSAMNPVREMPIVGGTGMFRMARGYAIAQTHWFDPKTGDAIVGYNVTIVH >A10p033030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19369562:19370950:-1 gene:A10p033030.1_BraROA transcript:A10p033030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRVIQMEEGKDNGILIRSVKSKPFPAKLLLILGLFLAFALTVFVISVSTIKYTGLQNVVTTVTSGFVTCREDEPNGLSKWIQPPAVLMHNMTDEELLWRASFWPRRKEYPFQRVPKIAFMFLTKGPLPLALLWERFLKGHTGLYSVYVHPHPSFTAKFPAGSVFYQRQIPSQVAEWGRMTMCDAEKRLLANALLDISNEWFVLVSESCIPLFNFTTIYSYLSRSKHSFMGAFDDPGPFGRGRYNDNMEPEVPITKWRKGSQWFEINRELAATIVKDTLYYPKFKEFCRPACYVDEHYFPTMLTIEKPMALANRSLTWVDWSRGGPHPATFGRSDITEKFFEKILDGKNCVYNGRNTSMCYLFARKFAPSALESLLHIAPKILGY >A03p017380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7013460:7021995:1 gene:A03p017380.1_BraROA transcript:A03p017380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSITNTSSSSWIRGSCIGRGCFGTVTKAVSKIDGGVFAVKSVDLATCLPSQSESLENEITILRSLKSHPHIVRFLGDDVSKEGTTSFRNLHLEYLPEGDVSNGGTVVDETLLRRYVWCLVSALGHVHSNEIVHCDVKSKNVLVVNGGSSVKLADFGSAMEFKKQTAGIAPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMLTGKPAWEDNGFDSLSRIGYSNELPFIPAGVSELGRDFLEKCLRRDRSKRWSCGQLLEHPFLCQDHHSFVTESSPRCVLDWVNSDFEEDEESDVARVESTVSAMARMSKLATTGGVKWESNGWIEVRANAFEESGAQGEYLVSTRVESELNTSVRPPGNEESTSAMTCELLLLLVVENIQIYVKFYSIIIRVIYFCYHHEYNNKKKLREKISFILSLNFLFGNACDSDRSIYFLKALLRGVMSVLTLNCCLFLLITLVYTNPILVVREELRPCKSRKSHSGNMPGQKIETGHEDMVHDVQMDYYGKRVATASSDCTIKITGVSNNGGSQHLATLTGHRGPVWQVAWAHPKFGSFLASCSYDGQVILWKESSQNQWTQAHVFTDHKSSVNSIAWAPHDLGLSLACGSSDGNISVFTGRADGGWDTTKIDQAHPVGVTSVSWAPATSPGALVSSGLLDPVYKLASGGCDNTVKVWKLSNGSWKMDCFPALQKHTDWVRDVAWAPNLGLPKSTIASGSQDGKVIIWTVGKEGEQWEGKVLKDFMTPVWRVSWSLTGNMLAVSDGNNNVTVWKEAVDGEWEQVTVLE >A05p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2414975:2418567:1 gene:A05p006120.1_BraROA transcript:A05p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVVKRGGGAAARRGGRVTRSAVKAQNPPIESADDGETSVSDAVDAKEETPEEEVDKSIEEENQLDESKQLDDLEAAANPNDVVPPQKETVDDLGKDERLDLDDNEPEYDADEYGGEEEFEEREEEYHELVNQEEDEFEAEVEGEEIGDLQESEGDAEEEAKLGEAGHVGEEEEYDDVLNDRRKSKEFEIFVGSLDKGATEEDLKKVFGHVGEVTEVRIVKNPQTNKSKGYAFLRFATVEQAKRAVKELKSPMINGKKCGVTASQDNDTLLIANICKTWTSEALREKLKHYGVESMDEIALVEDSNNANMNRGYAFLDFASRSDAVDAHKRLIRKEVVFGLEKPAKVSFADSFLDPEDEMMAQVKTIFIDGLSTSWSEEHVRDLLKRYGKLEKIELARNMPSARRKDFGFVTFDTHEAAVTCAKAINNSELGEGEDKAKVRARLSRPLHGAGKGRQPSRSDHRSSRHGSRRSGRSSLARLPPRSSRGVGPRAPPPSSAKRVSGSRGRRPRPPLPPPARARPSRPLPPPARSRPLPPSARSRPLPPPARSYDRRPPVPPYPKASLKRDYGRREDLLPPRSRPAVSYSSSRLSPERHLSYRDDYAPRGSGYSDIPRDSSRLEMRRPYGEDLYSPRFERPPPSYSEGRSRAYDEPLPGSKRPYSALDDIPPRYADVDARHSRARLDYDLGPSQYGESYGDRIPRSSLGYGSSRNAMTSHDSRGPYSSSRQGMDYGGGSYSSSDVGGIYSSSYGSEPPRRDVSSSYGSDIPPRRDGGSSYSSVYSSRGLGGSSYSGGGGGPGSYY >A07p025170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14538491:14542851:-1 gene:A07p025170.1_BraROA transcript:A07p025170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STTLFFCFSSSFCAPFIQSHSSPSSERANEQASSIIILIYSIRVASRISLISRVRFQTSYLNMNFSLSSTSSELACPSDCVTRSPVANRFLSREPVRFDETWKKKQHFKIPRCGTKKVFVEDSLSIAKKSVPRREPVERLGFVRTLLIDNYDSYTFNIYQALSTINGVPPVVIRNDEWTWEEAYRYLYEDAAFDNIVISPGPGSPMCPADIGICLRLLLECRDIPILGVCLGHQALGYVHGAHVVHAPEPVHGRLSGIEHDGNILFSDIPSGRNSDFKADKESLPKELIPIAWTVYDDTGSFSEKKFSTPVNTSLSPLGNGSVNSALETLEEQSYWPSPHVDGKQDRHILMGIMHSTFPHYGLQFHPESIATTYGSQIFKNFKNVTVDYWSRYKSPSLRRRMINETANMQVPSACQLLKELSRTRNTGNGSSYNSNSLSTAKKNGVDVFNLVDLAYAKPHSQLLRLKWKKLERLVHKVGGARNIFMELYGKNRGNDAFWLDTSSSDKARGRFSFMGGKGGSLWKQLTFSLSDQSEITSKHAGHLLIEDAHGSTEKRFLEEGFLDFLRKELSSISYDEKDFKGLPFDFCGGYVGCIGYDIKVECGMPINRHKSNAPDACFFFADNVVAIDHQLDDVYVLSLHEEDTAETSFMNDTEEKLITMSVSSTRKWKDQSLPAIDSSQRKASFVPDKSREQYINDVERCMQYIKDGESYELCLTTQNRWRIGNTDPLGLYLHLREKNPAPYAAFLNFSNSNLSLCCSSPERFLRLDRNGMLEAKPIKGTIARGSTPEEDELLKFELKLSEKNQAENLMIVDLLRNDLGRVCEPGSVHVPNLMDVETYTTVHTMVSTVRGLKKTDISPVECVRAAFPGGSMTGAPKLRSVEILDSLENCSRGLYSGSIGYFSYNGTFDLNIVIRTVVLHEDEATIGAGGAIVALSNPEDEFEEMILKTRAPANAVIEFCSGSSERH >A10p018540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12964048:12965420:-1 gene:A10p018540.1_BraROA transcript:A10p018540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKKKVGHNLFRLLHLLQNAFSTPYILRSSATFPSFLTKRKRKATSDFDRTFSPIHSVTMMSFEPLDNDDSEPFVESDPTGRYGRYDELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRCFSDDTAMLERLYSEVRLLKSLENSNIIALYKVWRDEGSNTLNFITEICTSGNLREYRKKHRHVSMRALKKWSRQILKGLDYLHTRKPCIIHRDLNCSNVFVNGNIGQVKIGDLGLAATVGENHVAHSILGTPEFMAPEVYEERYTEIVDIYSYGMCVLELVSLEIPYSECDNVAKIYRRVSSGVRPEALNKVKDVEAKAFIEKCLGKPKARPSAAELLRDPFFDGIVDDDDDDEQVENNENCGTGRIVS >A01p008960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4304963:4306847:1 gene:A01p008960.1_BraROA transcript:A01p008960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPSELVVKILNRLNDSADLARSRVASKRFNSLWREVQTVNLLCTLSRYSKSRSTSVTPFKTVFRNLIEDSRNVRVVSLGVDKALSGMSFDDLIEDDSDELYLTDIEFVRVWLPRVRDELEMLSISDFWIQSCWRRSDVLALVSSNCSKLVKLEVKNAWLSVVGLTQMPNLRHLTLEFIRLDDENLEKVNDCFPFLQVLNLIGVGGLKEPKIHFLHLKSCHWTVSNAPLSLAIVAPNLLELRLKCNKPKSLVLETPKLVKFYLSVENAEGVSFSELGELDTLEVISPDLYRLITNTRFGNKIRKLAVDSVKSIEDSDRLKFGLGTLLEAFPGIASLTLSHVTWSNIKTHFQSEGLVHMKGTDTALKQITARVQTSDYTDVSFIRSILNNCRGLTDMRLMIHQDTETRVRDLLISACTMSNPRVRWSWGTWAEGGEDIWVSNGT >A07p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12620994:12623672:1 gene:A07p021550.1_BraROA transcript:A07p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 2 [Source:Projected from Arabidopsis thaliana (AT1G19940) UniProtKB/Swiss-Prot;Acc:Q9FXI9] MHVHRHWDRSKGGEKMVAKSGSRCCCCCWFLGIIVVIAVVLAVVFTIRHRANHSDDVAPGSTDKKYADALKIAMQFFDIQKSGKLENNKIPWRGDSGLKDGSEASLDLSKGLYDAGDHMKFGFPMAFTATLLSWSILEYGDHMDSLDQLDPAKDSLKWTTDFLINAHPSPNVLYIQVGDPETDHKCWDRPETMSRKRTLTKIDADTPGTEVAAETAAAMAAASLVFKESDTKYSGTLLKHAKQLFDFADNNRASYSVNIPEVQSYYNSTGYGDELLWAASWLYHATEDKTYLDFVSKNGDEFGNFGSPTWFSWDNKLPGTHVLLSRLTFFKKGLSGSKGLEGYKETAEAVMCGLIPDSPTATSSRTDGGLIWVAEWNALQHPVSSAFLATLYSDYMLTSGVDKLSCGDTSFKPSDLRKFARSQADYMLGKNPETMSYLVGYGDKYPEFVHHRGASIPGDANTGCKDGFKWLNSEEPNPNVAYGALVGGPFLNETFIDARNNSMQNEPSTYNSALVVGLLSSLVTRSSSVESFK >A02p034570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18769116:18783468:-1 gene:A02p034570.1_BraROA transcript:A02p034570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCFASMIFLASFLLLVLLNLELVHAVGCAGSLFNSNSTYAQNRNNLFSTLASKVVANGGLYNDSLGQNPNRVQALVFCARGVEKACISCVQKVIQDIQKECPYHMDSFQWDKDDVDDRVSCLVRSSNQAAFKKLELRPADIHPNPNSIEPSKNMTLFTKQWEATVNRTIKVATESNTSSLLQYFGAVKAEFTEFPNVYMLMQCMPDITSRECMTCLEKCVAYFKVMYWGSRGGEVSRPSCVFRWDLYSFRSAFDNLTIFHAPPRVKSQAQPPTNDKKGRSIRYGGIITIVVPCFINLLVFIGLIKVYGPRRKSKNGINVGSAEYSDADGQFMLRFDLGIIITATSDFSSENKLGQGGFGTVHKGILLNGREIAVKRLIRGLEGGMEFKNEVSLLTRLQHKNLVKLLGFCNERDEEILVYEFVPNSSLDHFIFDEEKRKLLTWEMRFQIIEGVARGLVYLHEDSQLKIIHRDLKASNILLDAEMNPKVADFGTARLFDTDETRAETKRIAGTRGYMAPEYMNCGEISAKSDVYSFGVVLLEIISGKRNNSFEGEGIASFRWAEGRPEIIIDPFLVENSSNEIVKLIQIGLLCVQENATKRPTMSSVIVWLGSENITIALPRAPAFTMIKSPSEDCTMSMSNVFTELSSQREALDYYGSPSDRIKRINLELVHAVGCAGSLFNVNSTYAQNRHNLFSTLASKVVANGRLYNDSLGQNPNRVHALVFCTRGDEQACISCVQKVTQNIQTSCPNRMDSFQWNNDDVDDQVSCLVRSSNHTTFQNLELRPAVIHPSPDSIEPSKNTTLFSKQWEATVNRTIQVATEANTSSLLQYIGAVKAEFTEFPNVYMLMQCTPDITSRECMICLENCVAYFKTQFWGRQGGSVSRPSCLFRWDLYRFHGAFDNVTIFHAPPRVQPPENDKKGKGIRVGGIIAIIVPAFITLLVFIGLIKFYVRRRKFNKGIYGKVGRAEYSDSDCQYMLRFDLGMILMATDEFSSENKLGQGGFGTVYKGILLNGREIAVKRLTRGSEEGCMEFKNEVSLLTRLQHKNLVKLLGFCHERDEEILVYEFVPNSSLDHFIFEKPSNEIIKLIQIGLLCVHENATKRPTMRSLIIWLSRETISIPLPKDLAFTRNESQSEDGSMSMSSVFTKLSSR >A02g513040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35800327:35800832:1 gene:A02g513040.1_BraROA transcript:A02g513040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMTKEVANGVLLSGVIVAGRNVWSKDIRGDKRRASSMRVCPWNGLNSFQDQTI >A09p074840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56618327:56627928:-1 gene:A09p074840.1_BraROA transcript:A09p074840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wall-associated receptor kinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G16130) UniProtKB/Swiss-Prot;Acc:Q7X8C5] MHRSRNPPTTTASFESTAVKRTSMKTETQNLLCLILVTSLFINGASSSRKPPDPCNRVCGGLSIPFPFGVGKDCYLNPWYEVVCNSNSVPFLSRINRELVNIYLPDDHEYYSYGVVHIKGPATSSGCSTRTSQPLTPPPLNVAGQGSPYFFTDKNQLVAVGCNAKAVMTDIKSQIIGCKSTCNERNSSQEVRNKICSGDKCCQTRIPEGKPQVLGVNLEITQGNNNNTTEGGCKVAFLTSEKYSSVNVTEPEQFHGDGYAVVELGWYFDTSDSRLPNPVGCKNVSDTTQDGGYSSETSCICSFGYFTGFSFRSCYCNSMGYTGNPFLPGGCVDIDECKLEEGRKKCKDQSCVNRPGWFECEPKKPEQLKPVIQGVLIGSALLLFAIGVFGLYKYVKKRRRINRMRKFFRRNGGMLLKQQLARREGNVEMSRIFSSNELEKATDNFNKNRILGQGGQGTVYKGMLVDGTIVAVKRSKAMDEDKVEEFINEVVVLAQINHRNIVKLLGCCLETEVPVLVYEFLPNGDLCKRLRDESDDFKMTWEVRLDMAVDIAGALSYLHSAASFPIYHRDIKTTNILLDEKYRVKVSDFGTSRSVTIDQTHLTTQVAGTFGYVDPEYFQSSKFTEKSDVYSFGIVLVELLTGEKPSTRVWSDDNRGFAAHFVQAVKDNTFLNMVDVRIKDESNLDQVMAVAKLARRCLKRKGKKRPNMKEAWIELERIRSATHDSEVHIEEDDDEEDETMQLNVEEAWDFEVTAPASMFSSASPTSDAEPLIDQIKKQKKKEGIMKTKTYDFICIVASVLSFLINGSSAAATSQNGNSSTSCNKTCGGVSIPFPFGIGGKDCYLNNWYEVVCNATTSGTTVPFLSRINTEVVNISLPDGDKPYGVLLIKGPVTSLDCYNTSQGLKKSRPDLNVTGKSSRYFITDNNRFVAVGCGTKALMTDIESEILGCESSCIESKSGQQVTDSICDGYKCCQTRIPSDRPQVIGVDIDATGGEGCRVAFLTDKRYSPSNYSKATSLYKQTPIYNRRMTQLSNVCNRL >A07p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20148845:20151614:-1 gene:A07p037720.1_BraROA transcript:A07p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKILMLALLVLWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYAGSLMVQVVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNQSDFYSMMGFPGGRLSNFGPADMYSMQSSRGPTPRPSNFEENCAMASSPKFGYHPGGGGGSYPAPNPEFSSTSTANKVVNKTPKDTNTNQQTNLHTDGKSNNHDASSKELHMFVWSSNGSPVSDRAGLNAPDSGSNNEQGGRSDQGAKEIRMLVPDQSQNSENKALARPASGDFGGEPVSITRREEGERAKDAENGLNKPIPNALLQSKTGLGAVPGGEASQGNHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWHVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAVASIAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >A06p055180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28873357:28874231:-1 gene:A06p055180.1_BraROA transcript:A06p055180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKADDEYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLNVDDKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVETWLKELRNHTDPNIVVMLVGNKSDLRHLVAVQTEDAKSFAEKESLCFMETSALESTNVEDAFAQVLTQIHSIVSKKAMEAASESANAPSRGDKIEIGKDVSAVKKAGCCSN >A01p024660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:12297732:12298739:1 gene:A01p024660.1_BraROA transcript:A01p024660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASQELHLPNYMKDDNTSQETNNLISSLPADKDFMGYSLYNYKGCWYYPNTLQAVLDVQDNFRPQKNDIILASLPKGGTTWLKSLVFALVHREKYRENPQIIHPLLSQNPHDLVPFLEIELYASSHTPDLAKFPSPMIFSTHMHLNALHEATTKSSSSPCKIVYVCRGLKDTFVSGWHYKNMLHRTKMDQASFELMFDGYCRGVTLYGPYWEHMLSYWKGSLEDRENVLFMRYEEIIEEPLIQVKRLAEFLNCPFTEEEEESGSAEEILNLCSLRNLSNLEINKKGTTRIGIDSNVFFRKGEVGDWKNHLTPQMAKRIDEVVEVKLRGSGLIFQ >A01p019750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9679903:9682288:-1 gene:A01p019750.1_BraROA transcript:A01p019750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSSPAIIFAVTVLMLLTVSSVKLPFHPRDLLPLLPRQLSWPLLNSLNSAVDLLPTYIGAASIKNDAVEWKGACFYENKAWLELNNKSGSEFGGGTLHIKVDKAHSWTCMDIYVFVTPYRVTWDWYFISREHTMEFSEWEGKAEYEYVKQKGVSIFLMEAGMVGTLRALWDVFPLFTNTGWGENSNIAFLEKHMGANFYARPQPWVTNITTDEIHSGDMLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLRDSDGKLWVGESGNENEKGEDVIAVLPWEEWWEFEQTKDDANPHIALLPLHPDYRARFNVTAAWEYARSMDGKPYGYHNLIFSWIDTISGNYPPPLDAHLVASVMTVWSKIQPDYAANMWNEALNKRLGTEGLDLPDVLVEVEKRGSSFDELLAVPEQDEWIYSDGKSTSCIAFILEMYKEGGLFDPVSSSIQVTEFTIKDAYMLKFFENNASRLPKWCNDNDDVKLPYCQILGKYRMELPDYNTMEPYPHMNEHCPSLPPKYHRPKNC >A09p042020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23756026:23758776:-1 gene:A09p042020.1_BraROA transcript:A09p042020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRGAPDPAEPLHPSRCPCKSRTRFLKSLFNQSTADKVTTSRPSLPLTVSEALASRNRRFVTKEAAFLAFPIQVMAEEDTIAFPVQPSDHKRKLENIESEILEQHTGSIDCSVDDAKEASDYSQAKRHKLDGKADDVLGIGGTVEKKQENGEEEGTGNSLIEKVQEPNQAEDNQPASIEATTSQEVVSVEESKEVNTSKEANDALVQKEVENESKVVDDDESQKANAGGCQEQTGEESKEVNGSGSHEEVGDASKEVSGGTSHKEVDDTQSTTRKIEVPSSKVGTLIGKGGEMVRNLQLSSGAKIQIRRDSEADPNAALRPVEIIGSLASIEKAEKLINEVIAQSEGGGVPALFVRAAPEQIEIKVPNDKVGVIIGRGGETIKNMQTKSRARIQLIPLEEGDGSKERTVRISGDKRQIDIATALIKDVMYQVMR >A10p021500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14430625:14435574:1 gene:A10p021500.1_BraROA transcript:A10p021500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRAPPSIFTELEPLSERKSLEVFNPSSGKEVNGSASASSKPHDENGKGSSSKWMEFQDTAKLAERTAEWGLSAVKPDSGEDGISFKVSSEVDRSKTSRRSSEESSSSESGAFPRVSQELKTALSTLQQTFVVSDATQPHCPIVYASSGFFTMTGYSSKEIVGRNCRFLQGPDTDQKEVAKIRDAVKNGKSYCGRLLNYKKDGTPFWNLLTITPIKDDQGNTIKFIGMQVEVSKYTEGVNDKALRPNGLSKSLIRYDARQKEKALDSITEVVQTVRHRKSQVRDSVSNDVMVTPGSTTTTTPVRQAIQPDEASKSARTPGRVSTPAKSKSKSLDVPSVEPEELMLSTEVIEPRDSWDRLERERDIRQGIDLATTLERIEKNFVISDPRLPDTPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVQKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERTEMQSSKLVKATATNVDEAVRELPDANMRPEDLWAAHSKPVYPLPHKKESTSWKAIQKACIEPQYCFYFIQASGETVGLHHFKPIKPLGSGDTGSVHLVELKGTGELYAMKAMEKTMMLNRNKVHRACIEREIISLLDHPFLPTLYASFQTSTHVCLITDFCPGGELFALLDRQPMKFLSEDSARFYAAEVVIGLEYLHCLGIVYRDLKPENILLMKDGHIVLADFDLSFMTACTPQLIIPPAPSKRRRSKSQPLPTFVAEPNAQSNSFVGTEEYIAPEIITGSGHTSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLVGRQLINMLLNRDPSSRLGSKGGANEIKQHAFFRGINWPLIRGMSPPPLEAPLRIIEKDPKAKDINWEDDGVLVNSIDIDLF >A02p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13997259:13997694:1 gene:A02p026710.1_BraROA transcript:A02p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGRWSNKGKGKANESNVLCYCGLVAKISQAWTDKNPGRRFYGCEKFKSGGECGFFQWFDEGEAFGWQKKALIEARNEIRQKDKTIMGLKKTISMLQSELAKNAEVEEDIINAFLKL >A08g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1598466:1600930:-1 gene:A08g500590.1_BraROA transcript:A08g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A01p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:120715:123655:1 gene:A01p000280.1_BraROA transcript:A01p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION 47, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39030) UniProtKB/Swiss-Prot;Acc:Q945F0] MLMKTQRLTISPFLPTKSHQPLIRCLGGGSVLTRRKLGGITTAAAPIFQGKPVVTRREIKTGRITRNCVENDGGTEEEEEEKKSRGLVKESVWEQMKEIVKFTGPAMGMWVCGPLMSLIDTVVIGQGSSVELAALGPGTVLCDHMSYVFMFLSVATSNMVATSLAKQDKKEAQHQISVLLFIGLVCGLMMLLLTRLFGPWAVTAFTRGKNIEIVPAANTYVQIRGLAWPFILVGLVAQSASLGMKNSWGPLKALAAATVINGLGDTILCLFLGQGIAGAAWATTISQVVSAYMMMDSLNKEGYNAYSFAVPTPQELWKISALAAPVFISIFSKIAFYSFIIYCATSMGTHVLAAHQVMAQTYRMCNVWGEPLSQTAQSFMPEMLYGANRNLPKARTLLKSLMIIGATLGLVLGIIGTSVPGLFPGVYTHDKVIITEMHRLLIPFFMALSALPMTVSLEGTLLAGRDLKFVSSVMSSSFVLGCLTLMFVTRSGYGLVGCWMVLVGFQWGRFGLYLRRLLSPGGILNTDVLTTKKIKSV >A02g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8165601:8166581:1 gene:A02g502500.1_BraROA transcript:A02g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVPSDKSADSYSVSLLLSPVVSVWDCIVRKMRYTYVPEWTNREVMDNSSLMRKQDQPIVGNGKKKKKKQGKDEADRIKQAEKKKRRLEKNLAASLAIRAELEKKKQRIKEGHQEGDEESLAKKKKQDKDELERVKRAEKKKIRLEKSLANSAAIRAELEKKKLKKLEEQRRLDEEGAAIAEAAALHVLLGEDSDDSCRTMLNQETGFKPWDCTAKLNLSAGGRNGFFPHLAVHRSRVRDCNWSVSYESFARGCDSNNMGISADLISAQAVSSLQISENASVDAVVFNGMFRR >A09p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4829382:4830080:-1 gene:A09p009340.1_BraROA transcript:A09p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLTCSYAISGAGSAELNQKVGLVNSSVGFGQKKQTLPVIKAAQRVGGDDVNGRRSAMVFLAATLFSSAAVSASANASVFDEYLEKSKANKELNDKKRLATSGANFARAFTVQFGSCKFPENFTGCQDLAKQKKVPFISEDLALECEGKDKFKCGSNVFWKW >A03g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:397415:399973:1 gene:A03g500150.1_BraROA transcript:A03g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRVQINGRLPLIKTSVIEYPNGDEVTAYFVYEKLERHCSKCFRLDHDINDCLVAKHEERARKAEEVSNQQTRLRQEDRKKIPLDSESFRLSATNSRAAAHYKSQRHRSNDLRFDARETLEAQRRARSLHEAHRSRYSRDYAREYSKDWQKSHQGEATYNADASYNRREARSRSPSRRYENREHPNSPPGSRGRGRERRADSKTSESHRSSSVRGNPLRGEQRQQPTDPQVIFNEALEEVRDVMVQYTQCADPTESAARRERFRKAKEEGQLEEAAARMVQAAVGEATENIQEEEVAPSAERIPVNLRLGPMAPPTAPPKIPIEQTTMEASAKRKPGRPPGKRKKMTY >A06p054940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28771790:28772980:1 gene:A06p054940.1_BraROA transcript:A06p054940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVENCVVSEDTLLSICRGYGRVHRPFDSLRVFHKMKDFDCDPSHKGYVTLLAILVEENQLKLAFKFYKNMREIGLPPTVASLNVLIKALCRNEKTEQKIQEAVELLDRMNLQGLKPDAGLYGKVISGFCNVSKFREAANFLDEMILGGITPNRLTWNIHVKTSNYVVRGLCASYPTRAFTLYLSMRSRGISVEVETLDSLVKCLCKKGEFQKAVQLVNEIVADGCIPNKGTWKVLIGHTLDKTIVGEASESLLRDLEI >A09p068070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53497851:53502959:-1 gene:A09p068070.1_BraROA transcript:A09p068070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLGFWLVWKRDMEESELEEGEACSYNTLNDFGGTIDPDNDLSYIDDKLQNILGHFKKDFEGDFSAENLGAKFGGYGSFLPTYQRSPLWSHPKTPAKPQSSAGTRSPNILLGESQSGNAASSTVPKKAKPGLASSRIPKKSMKSKKPNSSSRQESETNKPGVFSKQNSLKLRIKMGSDNISTDNNAAAIKSGLGLDLSPSLSSDNNNNNSLSGSEGMDGEPQGCSPLESPTCILNVMTSIPVDHHLLLSPLSDDLIRFIESSSTMAKGVETRKPWEKQSVEKKRKMVERNTFSAETNVRSKKDVLDGTDATVKEPTETNTSYPENNETASSKLFDTSKGEMVGDVDRRMWDLTRHKDPKTSSPGSVRKDKKTNDDASGHSRKVGIHKGSKASDLVKKETSAAKVKSGHKKRSDHIEQELRSSSKFKEHRSSKMNGQAEKKEVVAMKPKNDGKKTEDTYKDFFGDMEDSAEEEEEQNCSMSEKGLPALEDMPEKSSFTRAESQNVGPGRVASKLGSDPSLPKANPVVIQDNWVACDQCGKWRLLPYGVLPKDLPKKWMCTMLNWLPDANYCHVPEDETTKALYALYQIPAPDSQASMQSGLKPQGDDNTKKKKKGLRKIDNGTDREVSRNAETSKKTVLTSARNGNVHNSHGGSDLVDEERRKHKQKVKGNLSDESRSLKVNNKRKADQESSMLAKKMKIESFLFPDESEHCNVRPTSSSGVPAASAERNSQPRVTTSKVPKEEGGGASDTGNSNSTGGSKKRKLKESHGSRLYAGEGNHERKKARVLKEDKEPSFSHGSVKSEKKNTSHSRREHGHVAATSSSSKISDSHKPRNSSHEAKCSPVESVTSSPMRISNLGKSASARKKKVDSSYGEGEDDGGSDRSQTRTKDKHGSNESSVLDVWDNKGSLKAKERAEPSLDANFENGGEGKQPSDHQRHSKDSLAKKSGKGLSSRCKDKSINMSSESRDGPRQIDKKIHHDSPDGRVDTVARPNIPRPHDVERISERSNKADLASPSRPPSSRGVQGDPSIKATTQIRRRNEPSPSPLRKEVTSVQAGHNILKEAKDLKHTADRLKDSLSNLEFIELYFQACIKFLHGAFVLEMSSNENARESPSSSASDVDNVNHPAGGADKVGNSRGISSPLVAGNHAISAQNRFNILRLLQFAQNVNLGMDASRKSRVALAASVENLEEAQQQGEGILSIKSALDYNFQDLEGLLRLVKLAMKANNR >A10p026120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16650053:16653199:-1 gene:A10p026120.1_BraROA transcript:A10p026120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGCFNGINSIKRVHPLFHSLTYRFSSSSSSYLQLKSKPQARILSSQLRLSSGIVLLHLLDDSIPRSEAASPLKRSYREKEEEMTIKPAVGISDGNLIIKNRTVLTGLPDNVITTSASEAGPVEGVFLGAVFDTEQSNHIVPIGKLHDSRFMSCFRFKLWWMAQRMGQLGRDIPLETQFLLTESNSGSHLEPDGVDGDETNQKLYTVFLPLIEGSFRSCLQGNVNDEVELCLESGDSDTKTSSFTHSLYIHAGTDPFQTITDAIHAVKLHLKSFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEEGLKSLTAGDTPPKFVIIDDGWQSVKNDDDNPVENPVYRLTGIEENSKFKNKDDPKQGIKNIVDIAKNKHGLKYVYVWHAITGYWGGVRPGEEYGSSMKYPMVSKGVVENEPTWETDVMAVQGLGLVNPKNVYRFYNELHSYLAAAGVDGVKVDVQCILETLGGGLGGRVELTRQYHQALDSSVAKNFPDNGCIACMSHNTDALYCSKQAAVIRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSVHPAAEYHASARAISGGPIYVSDAPGKHNFDLLRKLVLPDGSILRARLPGRPTRDCLFTDPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSSTERKNIFHQTKTDCITGSIRGRDVHLISEASTDPATWNGDCAVYSQSRGELSVMPYNASLPISLKIREHEIFTVSPINHLATTDGVSFAPLGLVNMYNSGGAVEGLRYDGEKMKVVMEVKGCGKFGAYSSVKPSRCVVESSEIAFEYDVSSGLVTFELDKMPSETKRLHVVEVQL >A08p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:523764:526143:-1 gene:A08p000850.1_BraROA transcript:A08p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELCSFPDFRGIMEDINRKEKLFLNYVPVYIVLPLFGIVAKDNKHAELETTERNLKRLKKEACIDGVMVDVWWGIVESKAPKVYNWNGYKELFKMVKRLELKIHAIMSFHKSSQNRKTTSLPSWVVQVGKENPDIYYTDRKGFRNDECLSLGVDNEPLFDDVNGTKRTAIQIYSDYMSSFKENMAEFLEDGVIGAIEVGLGPNGELCYPSFPSDQRWTFPGIGEFQCYDKYLKKDFENAAKKAGHSMLDLSKEDFGDYNSKPDETTFFKENGTYTTEKGEFFLEWYSNKLIFHGDQILREANKIFTGLKIDLVAKVSGVHWLYYHPSHGAELTAGYYNLYDRDGYRPIARMLYKRNCFLNFSCLEMKYYNYSEEALSAPEELVKAVLSKAWKEGIEVIGANTSEIKNAEGYNQVLLNARPNGSNPKGKPKLKVHSFMYLRLSETIFSRNYDMFKKFVRNMHADQDYCGDAEKYAHKVESNSAITIEEILAATKSSGSFKWDEETEAKVDG >A02p042240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26498138:26502627:1 gene:A02p042240.1_BraROA transcript:A02p042240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRWLELQTKTLELDDSNPLLQDPGRQATVYSAFGTQAPGSVSEQRLLLPVSNFLTARISLLAVSCSLWFPYCLFRELHLAANFEVTADVCKLSFLCIVLESYLNLDLYSLKILQRIFEFAGIRTTGRLKLQISFHKFFTNKTKEDTVFNQEPWRGRYNFVKEVGDGTFGNVWRAVNKQTGEFVAIKKMKKKYYSWEECVNLREVKSLSRMNHPNIVKLKEVIRENDNMYFVFEYMECNLYQLMKDRPKLFAESDIRNWCFQVFQGLFYMHEHGYFHRDLKPENLLVSKDVINKIADLGLAREIDSIRWYRAPEVLLQSYVYTSKVDMWAMGAILAELLSLCPLFTGASEADEIYKICSVIGSLTEETWLEGLNLASVINYPNSLSLMPYASLEAVNLVERLCSWDPNNRPTAAQALQHPFFQSCYYVPPSLRAKSSVGQRGSLEHQQQSFKRLPATLTNTANYKPFNSYANAKANGPPFGACQTQRNLETAWNNKAMGSYHVRDAKYIPPPGRKSPCKWSQSQRQQQPVMKAGWVGESGDMFLRPTQPPNPYSRRIAG >A02p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6949167:6950938:1 gene:A02p015720.1_BraROA transcript:A02p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQIVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAVIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVQIDMAAQQQHEAELAAAASQPLPDDDDDTFE >A01p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4967577:4968219:-1 gene:A01p010190.1_BraROA transcript:A01p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKPYFIEEAEMDAVVSTPCYKNMNQYHPQNYYNYHQNSPRSAVVPGKFHYYRADNSYFGQQSLPHFLDSCSLCKKRLGNNRDIFMYRGDTPFCSEECREEQINKDEVKEKKRNLSSSVKAMRRNEKRSSSSSPTRSRDYALHTGTVVAA >A09p063580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51581683:51589106:1 gene:A09p063580.1_BraROA transcript:A09p063580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTTEGASQVVESVRFSFMTEEDVRKHSVLRVTKPILLDNVGRPVPGGLYDPLMGPMEDDRSKCKTCDQRNLDCPGHCGRIELVRPIYHPLLFNLLFIFLQRTCFFCHHFMVKKEVVARCVSQLKLIMKGDVIAAKQLSLKPTDSSPEECEDSDMGKQRWTSLQFAEATDVINQFLRLKSRKCESCKAKPPKLEKPIFGWVRLDGMSAIDIGANVLRGVKAKKSANNGEDSDDGDESGISGLHEVEDGAKNKKGKSSKAAKDYGDLKKASKRDLLPIEVKEILEGLWDNEYEFCSFIGDLWQSGSEKRDYSMFFLKSVLVPPTKFRAPTKGGDSVTEHAHTAGLNKVLEANIALGNACTNKLGLSKIVSIWMNLQETVNVLFDSKTATVKSQREGTGICQLLEKKEGLFRQKMMGKRVNHACRSVISPDPFIAVNDIGIPPCFALKLSYPERVTPWNVEKLRQAIINGPDVHPGATHYSDKVSTMKLPPTKKARIAIARKLLSSRGVNTELGKTCDVNFECKTVYRHMQDGDVVLVNRQPTLHKPSIMAHIVRVLKGEKTLRLHYANCSTYNADFDGDEMNVHFPQDEISRSEAYNIVNANNQYARPSNGDPLRALIQDHIVSSVLLTKGDTFLDKEEFNQLLFSSGVTDMVLSSFSGRSGKKVTQSASNAMLLTVTPAILKPVPLWTGKQVITAVLNEITKGHPPFSVEKSTKLPVDFFKCRTREAKSKSGESSKKSKKNDFNEDKLLIRKNEFVRGVIDKAQFADYGLVHTVHELYGPNAAGNLLSVFSRLFTVFLQTQGFTCGVDDLIILKDVDEKRTEQLRECEGVGEKVLRKTFGVDANAQIDPQDMKSRIERVLYEDGELALASLDRSVVSELNQCSGKGVMNDLLSDGLLKTPGTNCISLMTISGAKGSKVNFQQISSHLGQQDLEGKRVPRMVSGKTLPCFHPWDWSPRAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLMKNLESLKVNYDCTVRDADGSIIQFQYGEDGVDVHRSSFIEKFQEMTLNQDMFLERCTEDMLSGSSSYITDLPITLKKGAEKFVEAMPMKERIASKMVRQEDLLKLVKSKFFASLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTAAADIKTPIMTCPLLKGKTNDDASQITDKLRKITVADIIKSMEVSVIPFAVHGGEVCSIHKLKINLYKPEHYPKHTDITEEDWEETMTVTFLRKLEDAIETHMKMLLRIRGIKIEKDNGPKSGNETDNDDSDSGKKTGGDDDDDDDEGEDTEADDLGADEQKRKKQATDEQDYEESDDDEKNEPSSVSGVVDPEMDDDEDEDGEVSKEETPEEDKEDTLEAKKEVKSVQQESKKKKRQRFVSGEKDRHIFAEGKGKTFEVHFKFHKNEPHILLAQVAQKTAQKVYVQNLGKIERCTVANCGDPQVIYYGDNPKERAEISNEEKKASPALHASGVDFAGLWEFQDKLDVRYLYSNSIHDMLNTFGVEAARETIIREINHVFKSYGISVSIRHLNLIADYMTFSGGYRPMSRMGGIAESTSPFCRMTFETATKFIVQAATYGEVDRLETPSARICLGLPALTGTGCFDLLQRMDL >A04p014760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5678227:5679028:-1 gene:A04p014760.1_BraROA transcript:A04p014760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSSFQNYCSWIPDLLPSFAKAVALSGTVTQPWCLVIANRFGVYGSCGVPGWRFLAFGLFGSPMTPPLYRWWLLLLGSRQWRVVRRVGVCVVYEPLAPFCDSMRSFGILVPSAVCFSRGILANRSLRLVFFSEPVRSPSWVHYLLRYLAFEKSVYSRSKIAT >A03p005040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2102626:2103279:1 gene:A03p005040.1_BraROA transcript:A03p005040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSSRTKSNGPVLRSQSPSGRFCGAYSNPIPSSSSSSAFASSTSSSFSSPSSAFFSNRHDNHHHSHHRSASPTRVNLYNKPQPIAFSLDTRSISPTTTNRSISVTKKPQPSKISSPRRCMCSPTTHPGSFRCSLHKNVANPHGQGTASYPTNSLNMRRSAMTNSLVRIGGVEGEWVRRALTTLIRPSSHHLRRRAAYQPRPSRLSIMSKAATECN >A09p006780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3558607:3560135:1 gene:A09p006780.1_BraROA transcript:A09p006780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL13 [Source:Projected from Arabidopsis thaliana (AT5G25090) UniProtKB/TrEMBL;Acc:A0A178U9R8] MAQRTLTCCLLSFILLLLAHLACCKEILLRGKHTAWKIPSSPSDSLSTWRAITLVDTSCLLSFFLLLLADLYCCKEILVGGKHTAWKIPSSPSDSLNKWAEIWKYDGEKDSVLQVTKDAYINCNTTNPAASYSNGDTKVKLERSGPFFFISGSKSNCVQGEKLHIVVMSSRGGFFTGGSAPSPAPSPALLEAQAVPPATGSASSLTSRVGVLGFV >A03p023720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10018737:10021163:1 gene:A03p023720.1_BraROA transcript:A03p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RENLQRKREDDEESYREQRLDEDEEEEDCDSHSSSMEAKSSPDLESIGSGTTTKRSSGTGSRSRLRRDFLTRFTDSERFTENLQDWFGLVSENHDNKPVFEPPFELVELQKFDYALEGVSFQQLTRMPNAVYASTSTSVEANAYLAVEDFLHAAVKSLWEAFWSQEEEEAVPFSVGCLFSENLKFYQAEKALALGRLDGLSATGVLLKNPRHPHGKWDHVLELALLRPEVGSRQPSLPVLGEALFYALRVLISRSVSRLDFSQSANCVFVLLVDLQYGGVVKVEGDVSKLDYDVNNVYDCAAEWVKNHSKISVSPIDRIWNKLGNANWRDIGALQVVFATYHSIVQYFGPPRHSIEDLAADHSSRVHSRRQERQLGDSGLNENGMFRLQQRTMSPEIVEVHEEEESSKVEPEPLMKLEVGSVLWLEDSNYQKGYQINQVLTNGTLPYHIASPVDEAGKSVFLYVGSPPSQLEPALEDMNLWYQVQRQTKMLSIMRQRGLSSKYLPQLHGSGRIIHPGQCQKPSSGGRCDHPWCGTPILVTTPVGETVSDMVNEGRFGQEEAIRCCHDCLSALSSSSSAGIRHGDIRPENVVYVTSGVRQPYFVLIGWGHAVLEDRDRPAMNLHFSSTYALQEGKLCAASDAESLIYMLYFCFGDLPELDSVEGALQWRETSWSKRLIQQKLGDVSTILKAFSDYVDSLCGTPYPLDYGIWLRRLKRNLSEDHGKEIETSG >A10p014140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4340336:4340587:-1 gene:A10p014140.1_BraROA transcript:A10p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAVQKDQKIRSGDVSDATTEVMREETPLPSDSRATCGRVNAISPKEASANKAVGKKHASPLSFDERNRLPKKARTEGSSQR >A09p045730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40086828:40090147:-1 gene:A09p045730.1_BraROA transcript:A09p045730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINKGATLALFLALTMVVNGVFGRFIVEKSSVTILSPLAMRSKHDAAIANFGVPNYGGYMIGSVVYAGQGAFGCDSFDKTFKPKFPRPTILIIDRGECYFALKVWNGQKSGAAAVLIADNVDESLITMDSPEESKEADDFIEKLTIPSALIDLSFANTLKQALKKGEEVVLKIDWSESLPHPDERVEYELWTNTNDECGARCDEQMNFVKNFKGHAQILEKGGYSLFTPHYITWFCPKDYVSSNQCKSQCINQGRYCAPDPEQDFGDGYDGKDIVFENLRQLCVHRVGKEINKSWVWWDYVTDFHIRCSMKEKKYTKECAESVVESLGLPLDKIKKCMGDPAADVENEVLKGEQALQVGQGDRGDVTILPTLIINNAQYRGKLESSSVLKAICSGFKERTEPGICLSGDIETNECLEANGGCWQDKKSNVTACKDTFRGRVCECPVVNGVQYKGDGYTSCEPYGPARCSLNQGGCWSETKKGLTFSACSNTETSGCRCPSGFKGDGLKCEDIDECKEKSACQCDGCKCNNKWGSFECKCSGNRLYMKEQDTCIEKSGSGIGWFFTFVILAAVGGICVGGYVFYKYRLRSYMDSEIMAIMSQYMPLESQNTTDPMTGESQQLRLTSAA >A04p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2571672:2573894:1 gene:A04p004970.1_BraROA transcript:A04p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNWKPARLRLQMRTLMMFLVILSFDTLETECLAVEKDLHADRDEVDLGAVSFHRKLLGRFRNPYTHLNSYKDQRPVASATPPSSSVVPSHRSKTSRSSALHPPRKSPPALHVSSAPPPSVAFPNIHTLRRSSSNSIAPIVVGCTGGVLLLLLATGVFFFKSKAGKSVNPWRTGLSGQLQKVFITGVPKLKRSEIEAACEEFSNVIGSCPIGTLFKGTLSSGVEIAVASVATASAKEWTNNIEMQFRKKIEMLSKINHKNFVNLLGYCEEDEPFTRILVFEYASNGSVFEHLHYKESEHLDWLMRIRIAMGVAYCLDHMHGLNPPVVHSNLLSSALHLTEDYAVKIADFNFGYLKGPSERETSTNALIDTRMISVTTKEDNVYSFGLLLFELITGKLPESVKKGDSVDTSFDDFLRGKTLKEMVDPALECFDEKVDNIGEVIRNCVREDSKERPTMMEVTGRLREITGLSPDDAIPKLSPLWWAELEVLSTT >A06p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1641432:1643420:-1 gene:A06p005450.1_BraROA transcript:A06p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMMINKTLLLTYSYLLIYILLSSGVILYNKVVSPVKMTFEIYVTCVVPISAFFASSLWLVFSSIDAIACHTCSLNCLPFSQVWQYCVFAHFGCLHSDAQSTKYVKLKIYISITMLQSFLKRACFMTVPVATFIMAVVCGTDKARCDVFMNMLLVSVGVVVSSYGEINFNVIGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPVTSLYYIAPCSFVFLSLPWYVLEKPNIEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKIKDVKATQPITDSLPDRITKDWKAKSSSDGESPRGIELIDEEAPLITSRLSHIGRTQLGTHTAV >A02p022780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10902138:10904169:1 gene:A02p022780.1_BraROA transcript:A02p022780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSMSFSQDMDDEYEKLIRRMNPPRVVIDNDSCKNATVIRVDSANEYGILLEVVQILTDLNLTITKAYISSDGGWFMDVFNVTDQDGNKVTDEVVLDYIQKSLGPEACFSTPMRTIGVTPSTDSTVIELTGCDRPGLLSELTAVLTHLRCSVLNAEVWTHNTRAAAVMQVTDDSTGCAISDPERLSRIKNLLRNVLKGSNTPREAKTVLSHGEVHTDRRLHQMMFEDRDYEHRAVVDDDSSSIQDERQRPDVCVDNWLDKDYSVVTVRCKDRPKLLFDTVCTLTDMQYVVFHGSVDTEGTEAYQEYYVRHIDGSPVKSEAEKQRVIQCLEAAINRRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVKTKGGKALNTFYVSDASGYSIDAKTIDAIRQTIGQTILKVKNNPEEQQQRQKPPSQESPTRFLFGGLFKSKSFVNFGLVRSYS >A02p054080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32910602:32918513:1 gene:A02p054080.1_BraROA transcript:A02p054080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSRTSKSVYKRSNKEASPEKELRNSSKTKPRKKRLTDKLGPQWTKVELERFYDAYRKHGRDWKKVAAAVRNNRSVDMVEALFNMNREESESEEEEEEEEGHGASVKYQKRKLAQLSSSDFRQEVIPPHSVASEGGCLPFLKLTQAYGIERRAAGKRTPRFPVPSEYEREDREASMPPSKRAKKQLDADDDDTLALAMANAARRGEGSQYRRAEVNGSTSNGKVSQAKEAQSKHGASSMVRNVVRIRRDRRHIKAAPGGALLVNTEGVGTVEVPQKGKNVRREEAEGAASNDSGEAGSANEGFKSGDEFEALQALAALSGLLSPDGLTESESNPQLKEDAIASNVDEKPNTPETFVRSYQRRKSKQAAPEDSLILPVSSADANAASIGELGTSKRKRKTLHDKESAEDDDLKTMFEARRADQSPLKKLRTAKTAEESSSPSDKKITGPDAVVSATQVSGPGPASLPQRPPNRRKMSLKKSLRERAKISETTHEKPHSCKKELLKEQVSTCLSCPLVRRRCIYEWFYSAIDYPWFAKMEFIDFLNHLGIGTPRLTRLEWSVIKSSLGRTRRFSEKFIQEERDKLKQYRESARKHYTELRAGAREELPRDFAQPLSVGNRVIAIHPKTREVRDGKILTVDHNKCNVLFDDLGADMVMDIDIMPLNPLEYMPDGLMRQIESKEAELNRQPSSDKSALFPPPVLENIDFSMVPPKKQAASLVKDDKDKRNVVLQALDSIVSGLKHQGQTNGSFDHHHQSWSPSNTEGLMTSELIASCLSTWLMIQRCTEKQYPPADIAQVMEIAVRSLEPRIVVSSSTACVNLIGVNCYKPRLVMADFQTSTQRAKWIFTPQKLAERYKAANQKAVHLLEKCGTTQVEVDASGSLTYPTEKVDAGGDQSDKKLKPLSVDEELFMRAFYEAKVQEVCSAFEFPHKIQATALQYFKRFYLQWSVMQHHPKEIMLTCVYAACKIEENHVSAEEIGKGIKQDHHVILKYEMASLEFDLIVYAPYRAIEGFVSNMEEFLQARDDEIQKLESLLKAATAEADKFMLTDAPLLFPPGQLALAALRIANGVLGVVDFDRYLENIVSQPNSEHTTSELLKLLDEIEYLVKNYKYPSEKDMKHINRKLKSCLGHSSSHDESKKREKRSKHKSHRNSSDTPKGAPIG >A03p002820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1242208:1245208:-1 gene:A03p002820.1_BraROA transcript:A03p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGEQPQVAEDCMGLLQLLSDGTVVRSKRIDLITQQIPLANHKSNVLFKDSIYHKPNNLHLRLYKPASASNRSATALLPVVVFFHGGGFCFGSRTWPHFHNFCLTLASSLHALVVSPDYRLAPEHRLPAAFEDAEAALTWLRDQAVSGEGDHWFEGGPGVDFDRVYVLGDSSGGNIAHHLAFRFGSGSTELSPVRVRGYVLLGPFFGGVERTKSEDGPSEALLSLDLLDKFWRLSLPEGATRDHPMANPFGPTSPALESASIEPMLVIAGGSELLRDRAKEYAYKLKKMEGKKVDYIEFENEEHGFFSSNPSSDAAKQLLRIIGNFTDNLNF >A06p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5832963:5834114:1 gene:A06p012800.1_BraROA transcript:A06p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase regulatory subunit gamma-like PV42a [Source:Projected from Arabidopsis thaliana (AT1G15330) UniProtKB/Swiss-Prot;Acc:Q9XI37] MQQEKSKEDHSRLINITAKDLTAGNRRLVEVPYTATLSHAMNTLVANSISSLPVAAPPGHWIGAGGSMIMESDKQTGAVRKHYIGILTMLDILAHIAGGEHKLSDPTDLDRKMGSQVSSIIGHCLEGLSLWTLNPSTTLLECMEVFSKGIHRALVPVESSIESSNTISGVELVESSSAYRMLTQMDLLRFLRDHHFDDLKDVLSRSISDLRAVNESVYAVTASTSVSNAIKSMKAALLNAVPIVHAPDVAEEDHLQLINGRHRKVIGTFSATDIKACRLPELQAWLPLSALEFTEKVTGNERETVSCTEEATMEEAVEKVVTRGVHRVWVVDQQGLLKGVVSLTDIIRSIRAALL >A05p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6894030:6900298:1 gene:A05p015480.1_BraROA transcript:A05p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNNSIEDQPAGKKKPTTLLLIPCLLITTSMLLLYLSQNKIILISSTTTTISDSDHSRLRYDPCVGRYIYIHNLPSRFNKDILQDCESISRPKDKTSMCRYIENSGFGPQMGDGDSTDSKYSRSWYATNQFMLEVIFHEKMKTYECLTSNSSLASAIYVPYYAGLDLRRYMQWSCNVSERDAAGKEIFKWLKKQPQWKGSRRIYEWSMVIQEVVNGYHGRIRTFLLRYCFQTVAYAIWRERNVRRVGDSSQSAACLIARLDKTIRNRITSLRRKKGGKYEKAMEVWFGRSLSGKDHFLVTGRISKDFRRYSEDNSSWGTNLMLLPESQNLSFLTIERSPKRQNEFAIPYPTYFHPTSAVEIRQWQDKMKLTNRTVLFSFAGAQRQSKNYKGLVRTQVIEQCNSSSKACRFLDCDVKANICDNPISLMKFFESSVFCLQPPGDSLTRRSVFDSILAGCIPVFFSQGSAYKQYTWHIPNNISEYSVYISYKELRNGGKNKIEEILRGIPNEKVVGMRENVIRLVPKIVYTKPNRHKPDGGILEDAFDIAVKGVVKRIEETRREIQD >A02p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:310779:311257:-1 gene:A02p000520.1_BraROA transcript:A02p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSQYLSQSQINILDFFFSFLEKMSCCGGNCSCGSDCKCDGCKGCKMYPDLGFSGETTTTQTLVLGVAPSMNSQYEASGETFFAENDGCKCGSDCKCDNPCTCK >A02p052970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32289996:32290710:-1 gene:A02p052970.1_BraROA transcript:A02p052970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQELRATRGIRLRKTLIDLNVAPRDQEGTFAPGRNLQARLLPSSQPVPEMIDVDAIEDDVVESSASDFAEAISKLTGSRRRRLTVGVESGGTTNKRRRVPPNQPVIDCENVPDTSKAPPPPPEEPKFSCPICMCPFTEEMSTKCGHIFCKGCIKMAISKQGKCPTCRKKVTARELIRVFLPTTR >A03p035950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15062658:15066533:-1 gene:A03p035950.1_BraROA transcript:A03p035950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVASSGSNGGSVRNRKEKKLTYVLNDANDSKHCAGVNCLDVLKSSVSSDRSYLFTGSRDGTLKRWAFDEDASFCSATFESHVDWVNDAALAGESTLVSCSSDTTVKTWDSLSDGACTRTFRQHTDYVTCLAVAAKNSNVVASGGLGGEVFIWDIEAALSPVTKPNDAMEESSTNGLGNSQPVTSLRNVGSSNNISVQSSPSHGYAPTIAKGHKESVYALAMNDAGTMLVSGGTEKVLRVWDPRTGSKTMKLRGHTDNVRVLLLDSTGRFCLSGSSDSMIRLWDLGQQRCLHTYAVHTDSVWALACTPSFTHVYSGGRDQSLYLTDLATRESVLMCTKEHPIQQLALQDDSIWVATIDSSVERWPAEVQSPEKVFQRGGSFLAGNLSFNRARVSLEGLNPPPAYKVPLMTIPGSHPIVQHEILNNKRQILTKDAGDSVKLWDITKGVVGEDFGKISFEEKKEELFEMVSIPSWFTVDTRLGCLSLHLETPQCFSAEMYSADLKVSGRPEDDKINLGRETLKGLLGHWMAKKKHKPKPQALTSGDILSVKDTKKNLIASKSEDSSAGNDPVYPPFEFPSVSPPSIITEGSQGGPWRKKITEFTGTEDEKDFPLWCLDAVLNNRLPPRENTKLSFFLHPCEGSNVQVVTLGKLSAPRILRVHKVTNYVVEKMVLDSPLDSLAIDGASVSGGPQQLFAGNGLLTPGSKPWQKLRPSIEILCNNQVLSPEWSLATVRTFVWKKPEDLILNYRVAIAK >A09p077380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57537759:57540829:-1 gene:A09p077380.1_BraROA transcript:A09p077380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNSFMDPFLTSTEELDQATQKALMNYLGVGSRASSLVSFSSTAVDIPPISNVKDFAREFRIESKKLWKLAGPAIFTSMAQFSLGAITQVFAGHISTIALAAVSIENSVIAGFSFGIMLGMGSALETLCGQAFGAGKVSLLGVYLQRSWVILTVTALILSLLYIFAAPILTFIGQTAAISAMAGVFSIYMIPQIFAYAINFPSAKFLQSQSKIMVMAAISGAALVIHTLLTWLVMSKFHWGLPGLAFVLNTSWWFIVVAQVVYIFSGTCGEAWSGFTWEAFHNLWGFIKLSLASAVMLCLEIWYFMALVLFAGYLKNAEVSVAALSICMNILGWAAMVSVGINAAVSVRVSNELGASHPRAAKFSLVVAVILSSVMGMAIAAVLLIFRDEYPVLFVEDEVVRNVVRELTPMLAFCIVINNVQPVLSGVAVGAGWQAVVAYVNIACYYLFGVPFGLLLGFKLEYGVMGIWWGMMTGTFIQSIVLTWMICKTNWDKEAAMAEERIREWGGSEEKENLLN >A03p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10971748:10972667:-1 gene:A03p026050.1_BraROA transcript:A03p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYAHERQLVLCIVSSLLVQSGGHHHTKEDYTVRGKEPKDEVQIYTWKDANLRELTDLVKEVSVAARRRDAILSFAFVYPDKNGRFILRQVKVGQTMSYPNRKQPDDSKTLADLHFEIGDYLDVAIY >A09g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8046210:8047851:1 gene:A09g502350.1_BraROA transcript:A09g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYLAFGLILGALFLQPSFLVRVEDQLGSRQKAISFFERDNSSNYLIPACIEIPTDGTDEWEIDVKQFQIEKKVASWFIWGDLHRGTYCSLEIVKVADFGVVRVQIESGVMTAETGTHRWMAPEIPYAYLTPLQAAVGVVQKGLRPKIPKKTHPKVKGLLQRCWN >A09g517910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53904448:53904948:-1 gene:A09g517910.1_BraROA transcript:A09g517910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIEPLVGMIGCYMLILVESFSRWFSSTAPELSSTVRELSSTATELSSMAIKLYVGGDGALSHSDLLFECVGFSNTLLIKLVVSDFRFLCLYVFNLNDPRCKRKEPQSRRVQSRAIQ >A08g503720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6444630:6448341:-1 gene:A08g503720.1_BraROA transcript:A08g503720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIIAYASFSLNGREMTGMPLKGGIKKNEKENSNLGGGGDFGLGFGLGLVWVSVESTVWLLFYSSHPRRSSLLSQVRSSLTATASVIDVDSYSGDAVEPQRFHRLAFFRIGRNAALPEDLSDQSIIPSALLSVSRFFLSFPRHFFTSPPLSIPTLSHYSYTLSLSILFLYSLKIHGFTLSLLLNMTHPYEEMKEMKRLKKHYDMLGFVADAQYGIPTRCPCGGEIMTNVSPTPKYKSDFDTLPGSRYFTCKNYEDDGLHFRQPWAFGVQQEVERLRGEVKELAEEIAKLKRLITSTSHP >A03p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5702714:5710145:-1 gene:A03p014340.1_BraROA transcript:A03p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRENPTANLVNRQNLFVQIFERKRRIVEKVKQQVDLFDDHLASKCLLAGVSPPSWLWPPSIPSETSGNTSFLLNFSPAMSISLFSSTELNKEEIISGLLFPQSRPSFICPSGRLFPYQPPVSFLADSVGRQDLTSVVNNTLEEQLFEEEQQHHHLSHNFVRRVSDHSHEQGDGTAFPRDVHEEEPLPEGVSIGCRENESCPSRGHSQNQRVVNSLGATSPGRSQGKMVPESVSTTGCERKLSTLGYCQHETEPDTCFDPGLPVPLEKIQRSKSRQKDFERRSSAKASRSRSNYRNELKPSPGGNIGPEIASLRSDSVRETNLFEHDENDEGCPDEVEDRNSQGKGGDQCIKFSLSTESSTPHQKVVPLQRATSGDASASIVPKSLSEAGHVNEMDVLQTIETIAEVSVKVDEQLDDPHSRSCNETAYLDGGTRSKSSSHDRSKREHQKSSNSVSGSISSRNSDPSHRADDGVELPQVIPLTNEDSRLTDAGARLFQSEIKTRSSSNARRNKSKTGHSGSVKSSSVDLEPIHSISSLQGGDAKESLIPSTIDVEGLVVVNITSDDQAKEKGEGVKESLVPSIVDVEGGNSGGIRSKTEHSGSLESSSVDVEPRHSGSLLQGREVKESPIPSSIDVERLVNEDMTSNDQSKEKGECVKESMIPSTIDIEGLAVEDITRGNQLKGKGKCVDTNICSSAGRISQTGVSTDETTLASAIENSIPKTELLGFVDSSSAELQWRHSVMQSDDESVLLKPVTDTGEALLMEEDKAGESTEVSGISKSRSLSQTDITVVEQVVVESILQESGTPEDMTDHSKKWDTGCVSKEEQSQGSLTKAGSNQCHGIVSRPRSLATEEKSANEYNDLSIDSDRKSTEEQLKVRAGNASVRTHDLPDFMKPESHCFDDTGERSFDDIPMNSREKTTMEKVLTPASAARMSDVPSLTDSEVNLSADNEMHDTEDHNGLEKETESPTSHCGVRVGENEPPESNTSAGQIDALKKRPQLGISSDQGVSQFNRNIACTETCESHGLEGSIQNLFCSSSLMEGSQLQNKRRRTLYKVTSREPSSNPVGDILDSDSVRETVHHSEEAASHNLNQYDVELQKIIGSASSDHYEVELQKMIGSASSAELRFGEDYLFKEAGLMSPASLSFRPEQSVDRSLTAPNQGLITENMDFLPFAGETSHPFASGVVRSSDCSPCLSPLGLTGADDGSPPVLEGFIVQTDDESQSGSKNQIKHDSFEFPKTTAESATIIEQIRKSACRITPSLNPAKIFKFNEKLDLDQSVSTELFDGMFFSQNLEGSSVFDNLGVNNDYTGNLYTSCLPFSGAGSSADARNLLTSPNGKLWYRSLQKSASSEKQGIQTPDLPCISEENENVDEEPENLCANTPKSMRSANRRSSIPDLPCIAEENEKEDEILEAVSQVSDGSKSGNASAEKKPLADVDEEDPMKFLPSVSNVKIPVDRQSLDSVNTAFSFSATCNNSVKSKPERKMGGSRRFTGKGKENQGGAGAGRNVRPPSSRFSKTKLSCNSSLTSVGPRLPDKEPRHNNIVSNITSFVPLVQQQKAAAAIITGKRDVKVKALEAAEASKRMAEQKENERKMKKEAMKLERARLEQENLRKQEIERKKKEEERKKKEAEIAWKQEMEKKKKEEERKRKEFEMADKKRQREEDKKLKEAKRQRVAEMQRQQKEADEKLQAEKELKRKAMDAKIKALKELKEEQGNARIREARPKSNSSDDTNASRSSREDDLKVISNIGKMSEESYDISPYKCSDDEDEEEDDDDDMSNHKFVPSWASKSNVLLGVISQENRDPDVAFPAKRFRSITKVGAVAVHHREELDGSQLKRLLEICLIHILDASANQFHKLKHLNLCCYAEKDK >A08g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7892068:7893959:-1 gene:A08g504710.1_BraROA transcript:A08g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLCKDARITSAGLYRYATQAPDQFNKHKTMLVKKRRRIVGLCNQKSNWKTDETRPRPCKRENLNLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSGGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRFTAISTHINTSGGRLSGDLATWLSVQSNTRTSVRHTQDVRGCPCVSDYVGHTHRNVRAAQQDSVSTARTLWLSVCVRGPSSTTQGLPSVPQDVRQHQGRPWPSVVHRGLRDRPSSHTEDSVQYTEYVRGRFRQHTTGRPSSINKDVCGRSAAPQEVRCLHKGRSVSTQQDVLSTAQDVRGRPSVHIISRPWPFSVDLFDDFGPRGLSVQKTTQTGKSVQHTHMLTTHISMACRLTVDCPLLI >A09p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16950404:16951023:-1 gene:A09p028150.1_BraROA transcript:A09p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEMTRIAFQEPSKNGTPLMLITPMQSPMSYAPSSPGTQASELSKKELQMKTRREIKTKAFLQTCKTVSEARASLREKVEKANHMARSRFRWENNQKVEVEKVKGRLHDRLMKRLSAVECKAEEK >A05g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7940539:7947275:-1 gene:A05g502540.1_BraROA transcript:A05g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSTSSSSSSSSWIRGSCIGRGCFGTVSKAVSKIDGGVFAVKSVDLATCLPSQSESLENEIAILGSLKTHPHIVRFLGDDVSNEGTTSFRNLHLEYLPEGDVANGGKTVNEETLLRRYAWCLVSALGHVHANRIVHCDVKSKNVLVANGGSSVKLADFGSAMELEKPAAEIAPRGSPLWMAPEVVRREYQGPESDVWSLGCTVIEMLTGKPAWEDHGFDSLSRIGFSNELPFIPAGVSELCRDFLDKCLKRDRSQRWSCDQLLEHPFLCQDHHHSLFTTESSPRCVLDWVNSEFEEEEEIDELRVESMVSAMARISKLATIGGEAIWESNGWTEVRGNASEESGAQWEYLSSLRVESELNMSPESTESGTRTVNEDSELTSVITCEILLLMVLLVVENIQIYATFYTDVFIRTLYSCYHHQNNNKTKLRKNLSFVLSLNFLFEEAMAGQKIETGHEDTVHDVQMDYYGKRVATASSDCTIKITGVSNNGASHHLATLTGHRGPVWEVAWAHPKFGSMLASCSYDGQVILWKEGSQNQWTQAHVFTDHKTSVNSIAWAPYELGLSLACGSSDGNISVFTGRGDGGWDTTKIDQAHPVGVTSVSWAPSTAPGALVSSGLLDPVYKLASGGCDNTVKVWKLSNGSWKMDCFPALQKHSDWVRDVAWAPNLGLPKSTIASGSQDGKVVIWTVGKEGEQWEGKVLNDFKAPVWRVSWSLTGNLLAVSDGNNNVTVWKEAVDGEWQQTAKHLWKKFKEVAKFEEEKEESKDASQAAGLLEKSTVEEKEEKPVEKVASAEAEKAVEEKKTKESVPYA >A08p017650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12251072:12253518:-1 gene:A08p017650.1_BraROA transcript:A08p017650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 5 [Source:Projected from Arabidopsis thaliana (AT4G15210) UniProtKB/Swiss-Prot;Acc:P25853] MAANYNEKLLLNYVPVYVMLPLGVVNVENVFADPETLETHLKRLKEEAGIDGVMVDVWWGIIESKGPKQYDWTAYKTLFQLIARLGLKIQAIMSFHQCGGNVGDVVTIPIPKWVREVGESDPDIYYTNRRGTRDIEYLSLGVDNLPLFAGRAPVQMYSDYMSSFKENMLELLEAGAIVDIEVGLGPAGELRYPAYPQSQGWVFPGIGEFQCYDKYLKSEFKEAAAKAGHPEWDLPDNAGEYNDKAEETGFFKTNGTYVSEEGKFFLTWYSNKLIFHGDQIIGEANKIFAGLKVNLAAKVSGIHWLYNHHSHAAELTAGYYNLYERDGYRPIARMLSKHYGTLNFTCLEMKDTDNTAEALSAPQELVQMVLSKAWKEGIEVAGENALETYGTKGYNQILLNARPNGVNHNGKPKLRMYGFTYLRLSDTVFQENNFELFKKFVRKMHADQDYCGDAEKYGHEIVPLKTPNSHLTVEDIADAAQPSGAFKWDTETDMKVDG >A02g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25219851:25220095:1 gene:A02g509480.1_BraROA transcript:A02g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGCPIFCNEIVVYRATVHLHLQRQKNPWRFKHVTTALQQNCCGGAAFCLCFEILF >A09p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21158570:21161369:-1 gene:A09p035210.1_BraROA transcript:A09p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGIFGLIPSSSPDELRKILQTLSTKWGDVIEDLESLEVKPMKGAMTNEVFMVSWPRKETNLRCRKLLVRVYGEGVELFFNRDDEIRTFEFVARHGHGPRLLGRFAGGRVEEFIHARTLSASDLRDPNISALVATKLRKFHSINIPGDQTVHIWDRMRTWVGQAKNLCSAEHSEEFGLDNIEDEINLLEQEVNNEYHQQEIGFSHNDLQYGNIMIDEDTNAITIIDYEYASYNPVAYDIANHFCEMVANYHSDTPHILDYTLYPGEEERRRFICNYLASSGEEPREEDIEQLLDDVEKYTLASHLFWGLWGIISGYVNKIDFNYLEYSKQRFKQYWLRKHQLLPFYSSH >A05p041530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25225101:25226803:1 gene:A05p041530.1_BraROA transcript:A05p041530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTKNINSPGIFELNNGSLQVKISNFGATITSLSVPDKNGKLADVVLGFDSVDPYVNGLAPYFGCIVGRVANRIKEGKFSLNGADYTLPINNGPNSLHGGNNGFDKKTWEVAGHKKDGDKPFITFKYHSADGEEGYPGAVTVTATYTLTSATTMRLDMEAVPENKDTPISLAQHTYWNLSGHDSGNILDHRIQIWGSHITPVDQHSVPTGELLPVKGTPFDFTEEKRIGERIGEVGIGYDHNYVLDCADQEKDGLKHAAKLRDGESSRVMDLWTDAPGVQFYTGNYVDGVVGKGNAIYGKHAGVCLETQGFPNAINQKSFPCVVVKAGEKYKHTMLFEFSA >A07p030780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17111812:17113814:-1 gene:A07p030780.1_BraROA transcript:A07p030780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFSFNEIKKKKETLIGLVLGQILSLLSTSIGFTTSELVRKGINAPTSQSFLGYVLLAIVYGSIMLYRRSVIKAKWYYYFLLALVDVEGNFLVVKAFQNTSMTSVMLLDCWAIPCVLLLTWVFLKTRYSLMKISGVVVCILGVVMVVFSDVHAGDRSGGRNPVKGDFLVIAAATLYSVSNTSEEFFVKNADRVELMSFVGLFGAIIGAIQISIFERDALIAIHWSTEAVLPYIGIAIGVFLFYTILTVLLKTNGTAMFTLSLLTSDMWAVLIRIFAYHEKADWLYYLAFATTAIGLIIYSMKDNDQERQRGGEVVDEQRKLFDEEDGDSLRARLIGAST >A09p010920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5530021:5530572:-1 gene:A09p010920.1_BraROA transcript:A09p010920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHYTILVALLVISANAVSPPEKLKPKQTVPASPPPPTISATAKAFTDAHNKARAMVGVPPLVWSQTLEAAANRLARYQRNQKKCEFASLNPGKYGANQLWAKGLAVTPTLAVETWVKEKPFYDYKSDKCAPNHTCGVYKQVVWRDSKELGCAQAMCTKESTVLTICFYNPPGNIIGQKPY >A05p026210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:13809166:13809633:1 gene:A05p026210.1_BraROA transcript:A05p026210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLTKHDELRLAQGEASMEFRIRNQNRLLQMPNRTITDFDYSVKRLWLLPDAQLLRDLPAIPRRPPGLRRARATQGPTKAPLPDFPNIPYIPMRDQGDFQRVVVDALRAIWSRVSCTSRRTIRAYSLPAAGRSRQRIDPSSESDDDATSEDTD >A09p067800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53327649:53328487:1 gene:A09p067800.1_BraROA transcript:A09p067800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKERKIVVAVDESEESMEALSWSLDNLFPYGSNNTLILLYVKPPLPVYSSIDAAGFIVTGDPVAALKKYEHELVESVMARSRTVYQDFESDINIERRVGRGDAKEVICNAVQKLKADMLVIGTHDYGFFKRTLLGSVSEYCAKRVKCPVIIVKKKNPQNN >SC124g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:138006:139757:1 gene:SC124g500080.1_BraROA transcript:SC124g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVE >A01g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20484457:20485725:-1 gene:A01g507010.1_BraROA transcript:A01g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLDPYFMDIEVDVVHDSPVHGDHPAAPASPAANFPPAPAAPIPAAQPGPAPTDPAIIALLELMAEMVNLQHQALNAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVQSQPQLHSPMPRGRGGRAFRGGRSGGPRPRTPTCFTCGQLGHVRRDCPTVGQFQPAVPSHITCFTCGERGHYATSCPHTHLAQPVVTSAQPVVPVNPPLPLPPAKRQATAGRAYALQLPGPSGPLQGPISGLFS >A05p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5929090:5930350:1 gene:A05p013630.1_BraROA transcript:A05p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKRPLSPESMAGKREEKEELGSCSTLSESDVSAFVSELTDQPTPPSVNQPSSLTLQEQSNTRQRNYRGVRQRPWGKWAAEIRDPNKAARVWLGTFDTAEEAALAYDKAAFEFRGQKAKLNFPEHILTNSSGPYGPYPSTATSHDRITVLPPPAIAPDILLDQYGQFHSANSDSVANFSLTMPSSSSSLNQQEHIPKLEDGKNVKNININKRRK >A05g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5727821:5729072:-1 gene:A05g501630.1_BraROA transcript:A05g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQLGHVLKDYSENDIMPHSVWDWLMVYTEENVKLPQVPGDYLEKCKFFKSPQCLCFLEEKNIEYLLEYVRELSTDLRAGLVSKVVDGLWVKSLVKERIDIQRVSFNLLLDERLLFEGEHRDYDDVGTVKTFKSSGIYNHVIPKGDEIVSWLLDCPPIDAEFVSQVAEGTQNLEIWLAALRIVRSTARKEESYYTKRDKLLTYDKMLGEAEALCDKEDKWRNAYQRSRYALVLRRKCEKRCFVSVVKDILEGAATPRFGELDDKEFTDGTSELFTTVQNDAVRRNLWKLRNSLNKKVISIDAKILLNEWTYKKLHEFARLSVIENRLVVIPFVKLYLQDKLKRLLKTHKRKRIRENA >A04p011620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6632616:6633407:-1 gene:A04p011620.1_BraROA transcript:A04p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNAKSSSSFPLAGRVAIVTGATRGIGRAIAIHLHSLGARVTLNYVSSSSEAELLASELNASSQQNSAIAVRADVSDPDQINNLFDQTEQEFGSKVHILINCAGVLDPKYPSLSETTLEDFEKTFRTNTRGAFVCCKEAANRVVRGGGGRIIMMSTSQVGALSPGYGVYTASKAAVETMVRVLAKELKESQITANCVAPGPVATEMFYAGKSDETVKMLASACPMGRIGEPKDVAEIVGFLAGDGGEWINGQVIRTNGGFVV >A09p059350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49667476:49669085:-1 gene:A09p059350.1_BraROA transcript:A09p059350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDSETAPIWGKPQQRESSNIHPVNIESSTPSGQHRSLNRSRGGSYAERGRGVKEFRSWFPWLIPCFVIANVVVFVITMYVNNCPKKSGDCFAGFLGRFSFQSTRENPLLGPSSLTLRTMGGLEVKKVVKGDEGWRLLSCNWLHGGVVHLLVNMLTLLFIGMRMEREFGFIRIGLLYLISGFGGSILSALFLRSNISVGASGAVFGLLGGMLSEIFINWTIYSNKVVTIITLVLIVAVNLGLGVLPGVDNFAHIGGFATGFLLGFVLLIRPHYGWINQRNAPLGKPHKYKMYQVILWTVSLLLLLGWFITGLISLFNNVDGNKHCSWCHYLSCVPTSRWSCNREPASCTTTQLGNQLSMTCLRNGKSGSYILANPSDSRINSLCVQLCR >A02p002140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:883519:893491:-1 gene:A02p002140.1_BraROA transcript:A02p002140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGDSYEEALAALSSLITKRSRADKSNKGDRFELVFDYLKLLDLEEDMLKMKVIHVAGTKGKGSTCAFTESILRSYGFRTGLFTSPHLIDVRERFRLDGKDISEEKFLVYFWWCYNRLKERTNEEIPMPTYFRFLALLAFKIFAAEEVDAAILEVGLGGKFDATNAVQKPVVCGISSLGYDHMEILGDTLGKIAGEKAGIFKLGVPAFTVPQPDEAMRVLEEKASKLDVNLEVVQPLTARQLSGQKLGIDGEHQYLNAHLAVSLASTWLQQIGKLEVPSLTQMSILPEKFIKGLATTSLQGRAQVVPDQFIETRTSGDVVFYLDGAHSPESMEVCAKWFSLAVKGDNKSERSEHLVNGSSHGKWSGEENCQQILLFNCMSVRDPNLLLPHLRNTSANYGVHFNKALFVPNMSVYHKVGTSADLPENDPHVDLSWQLTLQEVWERLVHNEREGEESESIKSEVFTSLPMAIKWLRDSVHESSSATRFQVLVTGSLHLVGDKTKAPSSSSSPSSFDHLFGPRGSAASASSASSCSTILDSIFPPPVAGKKGNHTSIISQTTDERSSHERREASYFSSSIYYGGQQHYSPPRAHDASSTSPSHHHPKETDDRTDTTASASRGNWWKDSDRTNHFSAKLLVLEPWNSFTVPVRSSTNMEEDRVFSTVHSTVFKESEPLEGKCDKIEGYDFNQGVNYPKLLRSMLTTGFQASNLGEAIDIVNKMLDWRLADEDTVAEEEKESVRCKIFLGFTSNLVSSGVRETIRYLVQHHMVDVIVTTTGGVEEDLIKCLAPTYKGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDEMLKEQKQENVLWTPSKLLARLGKEINNESSYLYWAYKMNIPVFCPGLTDGSLGDMLYFHSFRTSGLVIDVVQDIRSMNGEAVHATPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTGQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKREQTCEPKT >A08g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12784631:12785896:1 gene:A08g507310.1_BraROA transcript:A08g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTTLTNQISPDPHVSFFIVQASSSHPPLAFFEAQKIGNSEATEAGDEDGTENDETDVYIGLSDREAIEAGDED >A07p037180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19891205:19894763:-1 gene:A07p037180.1_BraROA transcript:A07p037180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEAQKTADVVVAAAEKPMTDKEVTVPPPVAEKDVTPTVASGDKAESEKEEEETVVAEKVIVPSPEELEKKALAEFKELVREALNKREFTSPVPVKEEKPEEKKPAEETKGEDKTEEKKEEEKPEAIKLEEKTDAVAPAETKSEDKEAVSATEKDSSGEEDGTKTLEAIEESIVSVTPPENTVVSEVEPMEPEEVSIYGVPLLQDERSDVILLKFLRARDFKVKDALTMLKNTVQWRKENKIDELVEEASEFEKMVFAHGVDKEGHVVIYSSYGEFQNKELFSDKEKLNRFLNWRIQLQEKCVRALDFSSPDAKSSFVFVSDFRNAPGLGKRALWQFIRRAVKQFEDNYPEFASRELFINVPWWYIPYYKTFGSIITSPRTRSKMVLVGPSKSADTIFKYISPEQVPVKYGGLSKDIPIATEDTVTEAIVKPAAKYTIDLPASDACMLSWELRVLGADVSYGAQFEPTTEGNYAVIVSKNRRIESTDEPVITESFKVGEPGKIVITIDNQTSKKKKVLYRFKTLPISDL >A01g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9938945:9940071:1 gene:A01g503100.1_BraROA transcript:A01g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLIKCNLFIVHDPLQVRLRAKVILHLSIVTDVPREKVYHLKPRLLGKIAVVKCRYEVISTKIKKSLLKAEIITWTSLEHGKQPAVLSDPNFLNRGFNETGLSFFQESKGI >A09p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13368306:13369730:1 gene:A09p024150.1_BraROA transcript:A09p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLVLSLTLAFLFIGMVSARDWNILNQFKGLKPTTTTSQNGVASLKGPNLNGYCESWRVNVELHNIRDFTVVPQECVWFVQQYMTSSQYDDDVERAVDSAILYLGKTCCEKKKCDGMDAWIFDIDDTLLSTIPYHKSNGCFGGEQLNTTKFEEWQSWGKAPAVPNMVKLFHEIRERGFKIFLVSSRKEYLRSATVENLIEAGYHSWSNLLLRGEEEEKKSVTQYKADVRTWLTSLGYRVWGVMGAQWNSFAGCPVPKRTFKLPNSIYYIA >A06p053390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28151617:28154389:-1 gene:A06p053390.1_BraROA transcript:A06p053390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFRNLVLFLLLLTVAAPILLYTDPSASFTTPSSSKRDFLDEVTALSFNSDGNHLNLLPRESPAVVRRDVVGVVYSTQDSDSSRRQETRGDQFSARVLSATDDETQSQTVNPIQQVTDAASKVVDKPSDMHVSADTSQAREKMKVQLTEKATEKVDEQEPKDSGAEKERGNVVMPDAQVRHLKDQLIRAKVYLSLPAAKANPHFVRELRLRVKEVQRVVLDASKDSDLPKNAVEKLKAMEQTLAKGKQIQDDCSTVVKKLRAMLHSAEEQLRVHKKQTMFLTQLTAKTIPKGLHCLPLRLTTDYYALNSSEQQFPNQEKLEDNQLYHYALFSDNVLAASVVVNSTVTSAKHPSKHVFHIVTDRLNYAAMRMWFLNNPPGKATIQVQNVEEFTWLNSSYSPVLKQLSSRSMIDYYFRAHHTNSDANLKFRNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQKDLSGLWSVDLKGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDPRACGWAYGMNVFDLDEWKRQNITEVYHRWQNLNQDRELWKLGTLPPGLITFWKRTYPLERRWHVLGLGYNPSVNQRDIERAAVVHYNGNLKPWLEIGLPKYRGIWSKHVDYQHVYLRECNINP >A09p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9524951:9525287:-1 gene:A09p018240.1_BraROA transcript:A09p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMDSSLATVENNGLKVWNGRMKLQKPASYLLAKRFDYKLTKVKWAGFSGSKFQVDDMSSLCVFLLLKSLFLSLA >A09g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23867303:23869081:-1 gene:A09g508500.1_BraROA transcript:A09g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILARLARQDEVQKTTNDQLAALVAALMAPDGQTSRPHQIRRRLLNTNPTATGGDHISNDSEPNKTLLADAPPVGSDLATIGEIAELKLSFQQMSEKIHQATSAAPQIESVLAATSRTPFTSALTKPRQHAPSDKNGRKDGYMYVVNENNVPVSTLVVRREGWNKWEEAPKGNGEEDSSADEEKPAYRMRIEVILSQQTFSSDDENDDPPVLEDLRDVLKRKLESEDRSSSKRSDLRTTLDARKFRRISTDDPDPNERLNGDLRDKLNAGICDLRIRLNHSKSTDL >A07g509010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26351097:26352626:1 gene:A07g509010.1_BraROA transcript:A07g509010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSGIYFPVGMADNMKKTNEILNQEIIKLRTQVDSLTQKCELQEVELKNSVKKTQEALALAEEESAKSRAAKEAIKSLIAQLKEVAEKLPPGESIKLACLQNGFNFPEENGFLHSRSESMTSSVSSVAPSDFAFANASSRSSLQSPNHTPRAFERNNGYPADPRLSSSGSVISERHEPFQFQNDNGSSHTGAVDSTNDVEAEWIEQYEPGVYITLVALHDGTRELRRVRFSRRRFGEHQAETWWSENREKVYEKYNVRVSEKPTASPTHRDRDHEEEDVAQ >A09g512590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37337047:37337567:1 gene:A09g512590.1_BraROA transcript:A09g512590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDKKWALGLLIFCLGFVSAQSGDRDKAKKIREAAIFTISFVACDSSSGNQLLWSIFKALRTFCAYLTLSFSSNAFRALIYIESLELLQPLQPPFPTPPSTLSALEGPLRPLSFGSFDSRIPGTLTRMESLWASPFSSLMNW >A05p044370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26641583:26645676:1 gene:A05p044370.1_BraROA transcript:A05p044370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Increased DNA methylation 1 [Source:Projected from Arabidopsis thaliana (AT3G14980) UniProtKB/Swiss-Prot;Acc:F4IXE7] MLPEAEYEMLEDDSFEGSYEEHQIFREVFFASDAGNTTTNKRCLVTGAINFECDDSSKNVNSSLSSNNDNNSVVTSGLEGSEPSSASKDGSEVNTKAKRAKLSANKNVDEKGSPFTGFQNADFARDMIPLHLVESSNKGVSTSSYLLKQSIEKGKEVYLSGIVSQNVKECNGKELKAIESPVSQESFATRVFSAGASTPLQLKERTKVSPNELSISKTCLKIDPKEDPRPLLYKYVCKLLASSRWKIEKRQRSNSKYSETIYVSSQGRKFREFGSAWRSLGEILLADHKLMDTGTKNWTGINDFWSDLSLTLLDIEENMKLLNLENTRALWWSTLEPFVTAVFVDKKVGSLRKGNKVEVAKNSIVDKFNREDATCLKLISGCPESVLTVSESSLLVYDDENANQEIRSDLERKNASSRQEKQKNSVSKVVEASKLIAEGIHESLLRKKSHGRSKKAPLDPTSLECQDKDMGYIHVISEENGDKRLRNDKMKSSKKGRRKNCNQDDVVFKTKGKDACGIRSSQKKKKAQKSKARTKKKNNRGGCRLLPRSTSNGEKQFGQGNWCASGPRTVLSWLIASKVISKDEVIQLRDPDDDDTVVKTGIVTKEGVVCTCCNRTISLSEFKKHAGFDQGCPCLNLFMGSGKPFASCQLEAWYAEYKARRNGSRSEEDYDGDDPNDDSCGICGDGGELICCDNCPSTFHQACLAMKVLPEGSWYCSSCTCWICREFVSDNAPDDRSQDFKCTQCAHKYHGVCLQERSKRREPFPETYFCGKSCEKVYTGLTSRVGVISSPNADGLSWTVLKCFQEDGKAHSARRLALKAECNSKLAVALSVMEECFLSMVDARTGIDMIPHVLYNWGSKFARLDFDGFHTVVVEKNDVMISVASIRVHGVSVAEMPLVATCSKYRRQGMCRILVTAIEEMLMSLKVENLVVAALPSLVETWTEGFGFKTMDDEEREALKRLNLMVFPGTVLLKKTLHQCANPNTVKGTASKEADLDNAGFAVTTCNQMVPEGSDDGPPPGLPVPLGTNQTEPVAEAEKPAQERNNTKEDCLEKELSKFSSQGEEEVKIRTSSSCEVVEEERQVSSVAVVNNVSDEMLLCVDEQLDFDSSEDSD >A10g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13991956:13992742:1 gene:A10g505520.1_BraROA transcript:A10g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSRNPLGGWKHPASDHQIGLHDTNLTSNRQPLTSSGINMRGKSRTSTKLLIKVSAMGIVENSFY >A06p016630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7445756:7446730:1 gene:A06p016630.1_BraROA transcript:A06p016630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSEIAYDYPRYRVYKNGRIERLVTDTFVPPSLNPQNGVVSKDAVFSPEKNLSLRIYLPHNKAGEDKNKKKLPLLVYFHGGGFIMETAFSPIYHTFLTSAVSAAECIAVSVDYRRAPEHPIPIPYEDSWDAFKWVFTHVSGSGPEHWVNDHADFSRVFLAGDSAGANIAHHMAMRAGKEKKGSFEISGMALFHPFFFSKAPVEEEHEAAAAMRYMQGMWEIASPNSEMGVEEDPWVNVVGSDMSGLGCGRVLVMVAGNDVLAREGWGYAAKLEKSSGWEGRVGVIETEDEDHIFHIKNPDSVNTRLLVQSFAEFLKEGTSCV >A07p048030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25679464:25679967:1 gene:A07p048030.1_BraROA transcript:A07p048030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G75350) UniProtKB/Swiss-Prot;Acc:Q9FWS4] MAVSLPNSFLQINPCAPSLAIKKPITAAAIGARGGGKKPSVVQITCRKKDLHPEFHEDAKVYCNGELVMTTGGTKKEYVVDVWSGNHPFYLGNRSALMVDADQVEKFRKRFAGLSEIMEIPVLKGEIVLPTKKNKGAGKGKKK >A08g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13137413:13138138:1 gene:A08g507430.1_BraROA transcript:A08g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSGSEVVIVPSPKPRDATMIKTVKSTSKAHSTRCFKCHRIGHYANKCRKQRPLVTLENENVETEPEKEDPLQIFDDFTYEPMEGLNEQICGHQANQEESSSIEKTDRTQSEHCVDYGSFAYNPFPFNVSDLRINLFEKEGNDVPRFVDQSIGANQHRDKDVLNNLTDCKNLISMN >A08p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9672214:9679060:-1 gene:A08p015100.1_BraROA transcript:A08p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSASDREAIPKKISREGKSRTRYEESEVDEPASTDQEEAASTEQDEAASTEPEFIVTRPTFPERLFARNCYPAKPRLNIYSKASIIGSLVKLLRGSPEMNCLLGNEDVTVPDVLRMLEQPSLPEWKRLPLALIALVDELLVCGHKLLRVTPAYVEMLEDTGSFLQYPWGREAFVSTLSRLTPPQPSDPSKMDKSLSVMRLRLKQQSTACYGFPLALQLFAFKAIPSLLEKIPEPNKTTSFLQEPEGCDSTNALLNFEDILLVETQREVIVTYSIPDEGGDPKWKKEIIDPRIDNLVRRMREGHKFKATDFRGGDSSLPPLKAAERAEGVGVKKKCQKPFRRFGKACDEPGSSTQAPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSSTVPQNTAAGKTNRDNSHADPTGMEVPKKRRPFSGDGNDEAEIFGSDSKKHKKNNGDGLSDEETMRMHDNHCDGRTPNARFWKKVDSMAGEGPSFSKSAKIPEADVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFVLACEVYRNTDLFGQGEGGDGSPISGLNLLAEQVEKGTRSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSLKKAKLGGKCILISSTKKDDIPTKRIPRRSTKIGGVYILNRRLKKLFQSYRKPKYTPLADLEIAQFQEFQSILRENPAQRHGRNYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYSFTGKTRRLNDKKGLLVDVDKVYAPMMWGKDHWVGLVINLTCRQVEILDCNIPHNESDNEVNKHMAYLLRALPHVLAAFSPPSDSSHPEEDQAFSWVRPDNIYFNERSGDCGPCAVKFLEMHAAGYSYEDMGQIDDKMVDIFHQKYAMDTYEEFIGNAKVQNDEVNSMASLNIPNLPEEILCKIIEMVGADSFYYLGGILRAGKRGYALVHEPSVLRKCNVQPMVTFATCQICTGGQFQEFFIKCVTAGNTNAIYYEGLYAALMVGPEKCIRILQPNVPNHDLSTLAVGIFNVCIGNDKEASKLFQQFAANHYDLRSDAIVGLGADLEWRLISFGATYMNRYGASFKFPDDEVIKSPSCLYGHDYTVDFEVFVYHQYSNTVSIRIPSFFEYRKFLYTESYVFMITETINCWISEGRSRKVISVIIARLCFQRCANDVQNSVIIASERLSIYDGHARISVSFFIDPLKHDLTVLGFKCRWDNKFSY >A08p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2468683:2470290:-1 gene:A08p004270.1_BraROA transcript:A08p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKQGFFSALRDEVIRSFTPSRSRPRSRSASPSRSNSHMKALLWGRKKLLASSGCSRTAAGGYHLASQPEPLIGRSESLRPVIEGPDPDNDETQTDSKRIGSGLGHWVKGQMSRAPSVASTRSDLRLLLGVLGAPLAPIKVSSSVRDSHIVKSLNITFRFVPETSTAQYILQQYTAASGGQKLQSSVKNAYANGKVKMITSELETPTRTVRNRNPTKPETGGFVLWQMNPDMWYVELSVGSSKVRAGCNGKLVWRHTPWLGSHTANGPVRPLRRALQGLDPRTTAAIFAESKCVGEKKVNGEDCFILKLCTDPGTLKARSEGPAEIVRHILFGYFSQRTGLLVQFEDSQLTRIQSNSGDAVYWETTINSFLEDYRQVEGIMIAYSGRSVVTLFRFGEVAMSHTRTKMEERWTIEEVAFNVPGLSQDCFIPPADLRSSSLLTEACEYSDREEKGKSSIMLAAHRAKVAALEKGNLGNDHPVWQIDV >A01p052820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29601250:29601754:-1 gene:A01p052820.1_BraROA transcript:A01p052820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQLATGDRMRAWGLHQECVLCGEKDEKRDHLFFGCPYSYTLWDKLASRLIGPGINPDWNDNLMMLQTRRFTTVDKVLVCLLFQATIYHIGRERNARRHHKGPQTVEQVIKLTDKAIRNRISSLKYAGDHKLGGLMSRWFEVNGI >A09g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8945965:8948997:-1 gene:A09g502660.1_BraROA transcript:A09g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSSSRRRTWRYRVFTSFHGPDVRKTFLSHLRKQFNNNGISMDKESEMIEKIARDVSNKLNTTISNDFEDMVGIEGHLEKMQSLLHLDDEDGVMLVGICGPVGIGKTTIARALHSRLSSTFQHSCFMENLRGSCNNGLDVWAPYGFENLAERATELCSNLPLGLCIIGSNLRGKKEEDWESILHRLENSSIPKIDAVLRVGFDSLHENDQTLFLLIAFFFNYKDDGYVKTMLADSGLDVRLGLKTLVYKSLIHKSSEGTIAMHKLLQQVCRQAIQRQEPWKRQILVDTDDILHVLKKDYGNRSLMGISFDISTIKDSMDISPRTLKRKRNLQFLRIYNTRRDTNVRVHVPENIDFPPRLKLLHWEEYPGKCLPHTLRPEHIVELNLENSKLRHLWDGTQ >A08p035700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21053338:21054189:1 gene:A08p035700.1_BraROA transcript:A08p035700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAKAGGKGKGKQAGGSDEASSKGKGKAGKAADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLSNGDKVPPAEFAKIAAEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFNTPVGVTSAPFKSTHGYHIILSEGRKN >A03p029250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12294341:12295647:1 gene:A03p029250.1_BraROA transcript:A03p029250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable UDP-arabinopyranose mutase 4 [Source:Projected from Arabidopsis thaliana (AT5G50750) UniProtKB/Swiss-Prot;Acc:Q9LUE6] MAGYNLEAIEAAPLKEDVDIVIPTIRSLDFLEQWRPFLKHYHLIIVQDGDPSINIRVPEGYDYELYNRNDINRILGPRANCISYKDGGCRCFGFMVSKKKYIYTIDDDCFVAKDPSGKEINVIAQHIKNLETPSTPHYFNTLYDPFRDGTDFVRGYPFSLREGVTTAISHGLWLNIPDYDAPTQLVKPRERNIRYVDAVMTIPKGVLYPMCGMNLAFNRELIGPAMYFGLMGEGQPISRYDDMWAGWAAKVVCDHLGFGVKTGLPYVWHSKASNPFVNLKKEHKGLHWQEDMVPFFQNLRLSKESDTAAKCYMELSNMTKEKLTEVDPYFEKLADAMVAWIEAWEELNPPVDGKDVKAK >A07p046050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24918149:24920138:-1 gene:A07p046050.1_BraROA transcript:A07p046050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKNNGLSAALVSNLQDVLSKRKGGSDASPSEEAPSTSVAAAKEEIDEDSRPIVLVTNGDGIDSPGLVSLVEALVSEGLYNVHVCAPQTDKSASSHSMTLGETIAASSVIIKGATAFEVSGTPVDCISLGLSGALFAWSKPILVISGINQGSSCGNQMFYSGAVAGAREALVSGVPSLSISLHWKRGESKESDFKDAVGVCLPLINATIRDIEKGVFPKDCSLNIEIPTSPSSNKGFKVTKQSMWRQSHSWQAVSANRHPGAGNFMSNQQSLGAQLAQLGRDASAAGAARRFTTQKKSIVEIESVGVAPKTESRVKKYFRLELVAKNEEHTDEDLDIKALEDGFVSVTPLSLRPKMDSETQAAVSEWISKALNADQ >A07p027850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15840052:15840877:-1 gene:A07p027850.1_BraROA transcript:A07p027850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQVKTGLFVGLNKGHVVTRRELAPRPRARKGKTSKRTLFIRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGGVTEKKK >A02p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15778658:15780927:-1 gene:A02p030980.1_BraROA transcript:A02p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFIVFLFAITICFESSEAFCAKSNVEIRNELGKGIKLEIECRTVEPTKNLGRVSIPFNDRMAYGFVAVYERRHRTIHTCNIWYASPKNPRNPRGGMHIVNKLETFAAGSNRCGQYREWVARPDGIYFRRNALEKSQVRAEIKRATTSACRSDKSLQASGATSLCRCERSLRARSRVSEEIRATWWCRSGLVAPMPCSPNDHSKHSFLSSKCLQVSPRTPCGTPIPDKDSCMQNAT >A06g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14378435:14378922:-1 gene:A06g504740.1_BraROA transcript:A06g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPRRYSYGVPSRCWCGKGVVIFYSRTDENPYRRFYRCEIGSQRKKETHLFKWVDEALLDEIQKLEAEQGRITEAIEDLKTSMKETVEEEVRKQKNSLELGCLGSILLCFGKVKNE >A09p027980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16879019:16879391:1 gene:A09p027980.1_BraROA transcript:A09p027980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYPSTQSSKFVELLHRQQSISFGNYENSVSLSSSQPLFQRTLGTGDGLCERVNHWEHP >A03p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14748482:14750597:-1 gene:A03p035130.1_BraROA transcript:A03p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 89A9 [Source:Projected from Arabidopsis thaliana (AT3G03470) UniProtKB/Swiss-Prot;Acc:Q9SRQ1] MEIITIIFLTIISLIFIKLIFFSPPHKLPPGPPRFPVIGNIIWLKKNSFSDFQGVLRDLASRHGPIITLHVGSKPSIWVTDRSLAHEALVQNGAVFSDRPLALPTTRVITSNQHDIHSSVYGSLWRTLRRNLTAEILQPARVKAHGPSRKWALEILVDLFETEQREKGFVSEALDHLRHAMFCLLALMCFGEKLRREEIKEIEEAQYQMLISYTKFSVLNIFPTVTKFLLRRKWKEYLELRKSQESVLLKFVNARGRETSGDVLCYVDTLLSLEIPTEEDEGKKRKLSDSEIVSLCSEFLNAATDPTATAMQWILAIMVKYPEIQRKVYEEMKSVLEEGEEIREEDLGKLSYLKAVILESLRRHPPGHYLSYHKVTNDTVLGGFLVPRQGTINFMVGEMGRDPKIWEDPLTFKPERFLENGEAHGFDMTGTREIKMMPFGAGRRMCPGYGLSLLHLEYYVANLVWKFEWKCVEGEEVDLSEKQQFITMVMKTPFKANMYPRRK >A08p030970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18955336:18956366:-1 gene:A08p030970.1_BraROA transcript:A08p030970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit M, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37925) UniProtKB/Swiss-Prot;Acc:Q2V2S7] MAAAFSYTACPKFSLLQPPMAAQIRPIRTSQKAFVVTNPEQDNTLQEQGIDTIKEEQPAEQQMKKQPTPLRPVEKQTNVKSTGLPREFGGEWLSSVTRHVRIYAAYIDPETCEFDQTQMDKLTLILDPTEEFVWEDESCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDVEHYIRKMLFDGEIQYNMDARVLNFSMGKPRVQFNTSNIEGGGDGQIQEDA >A06p048150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25558437:25559580:1 gene:A06p048150.1_BraROA transcript:A06p048150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCLECKKPTETVIDKCTGDTICTECSLVISHHYIDDSQEWRTFANDDNSDRDPNRVGGPTNTLLKSGGLGTIIDKGRENTSSVSKTNLYELCRAQNLVRNTEDELIEKACREIKRISEGLGLITGVKFRACEIVSKFDGDNSKRLRREKHLNALCAAAVSTACRELKLSRTLKEISLVAGGVDLKDINKASRLIRRLVGSDQDELAAASAAPQVIINTGELVSRFCSKLDISVRETNAIREAVEKAENFDIRRNPKSVLAAVIFMICQLSQTRKRPIREIAVAAEVAESTIKNAANDMYPYASKIIPSWYASEEDINKRLGGTIGSWDAAKLTA >A10g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2287172:2292042:-1 gene:A10g500770.1_BraROA transcript:A10g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTHEKAQCPLLKKGSHLARMPPTPQDLEGTIKEKVPAEISPTLWLLEAPPGFPPLFPELSKEDRCSALMYVSHSDATERMARIARVNHHIEDTRRKKDEDLPLFSTDLFKEKGMVFKYDVTGDKLKSISTRCAAPSRSAPTLPNFQGIALEESDQSSSSHLVTEASTGFHMGSSSKTLASGFLSGQKKQRNRPPAWKRRLHRWNRNLVLQTFTDEDAARILCLKPKIAQEDTYRWGFTEHGGYSAQSAQSGVLESEEVRATSKWCKASQGSIKCNVGSSWNKSGHPSGAAWILRDHNGSTIMHSRRAYFALRSKEEADLYSLLWAVESMRDLRKHHVSFESSSTEMRDILLNPQNFHHFHHLVSAITYNLQAIEGWSVHHANLECNSVAGAIATSVTTGRRYQSYVASNGPAWLHSLLSAEAIS >A01g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16509046:16511047:1 gene:A01g505560.1_BraROA transcript:A01g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAGSLRSITGMCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRAAGPKPDGEQKSPKRSPAENSRRLEALAVDSLSLSPRAASLLLLSLRRVSSLSLSSPRLLLSSLSRPRLSLLAVSSREWWWWPRGVIDLRSRFLLPPILRSRSRSRLRKSLKNKEKEMIRKRKEND >A06p055790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29092122:29094937:1 gene:A06p055790.1_BraROA transcript:A06p055790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organellar single-stranded DNA binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G44785) UniProtKB/TrEMBL;Acc:F4KBP3] MYLVSRTLTRALCSSLHHSRAAKLPTRKWAISHQIRLYTAIRAAESSEDVTAEKELARPTVIEYKPEIAKQVNLTGFVDQPVQFKCYSDGKSWAGTVISQRSGLKSSDFWIPIIFEGELAKIAAHHVKKDDRIHISGKLFIDSPPATVTYPQSNVQIMVQNLNFVEGAIPPQIEEMSFPPSDIEELSTKKQTSRTMKVQVMVEESSDDLKHLLQNPKELLDLVNAPEAEEISIPLPELEELSTKKQPARSKKVIVLDEGTSNPWNHLLENPKEWLDYRGSKASGLVKPKHPDFKGKVGGGLSLWLNDAPDWVLQKLNELEFDVFLPKGKLKQLKGEEFWKDLVQNPDKWYDNRSRKTNMKAPDFKHKESGEALWMTDSPTWVLSKLPPLKKNQEQPLMPNTISTPTSKQVKREESWKDLVQNPDKWYDNRSRKTNAKAPDFKHKESGEALWMTDSPTWVLSKLPPLKTNQEQPLMANTVSQPTLKKQKREELWKDLVQNPDKWWDNRLKRTNAKAPDFKHKENGEALWLDNSPTWVLSKLPPLNEEIPDRT >A10p002940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1528813:1532180:-1 gene:A10p002940.1_BraROA transcript:A10p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPSEGTRDVSSGGCKSLSLNHLLIASLGGLAAAAAAFAGESFLRRRRTHQGVCMGNKDQKIAPLIGRKDSSRRSNLERFSYYVARQLGIEDPDECPQLCKLANAYLMKTKGYNENVYEYLVNEADTDSLYIHLLEEFERCILTYFSFNWTQSSNLISQILSDESDQKVPKLKDFVMAATRKQRFERVTKELKVKRVFSTLVEEMKAINISSSGGSGEPHCTEVMSPVAHNKRSPVLLLMGGGMGAGKSTVLKDILQEPFWSEAGGDAVVIEADAFKETDVIYRALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLAWAPFLEQTITMARNVHKHRYRMGVGYKVSEDGTTTEEYWRQETEQNGKQQNLKPYRIELVGVICDAYLAVVRGIRRALMVKRAVRVRSQLQSHKNFANAFPKYCKLVDDARLYCTNAVAGPPQLIAWKSGNSNLLVDPEEIECLKRVSNLNPDAESINELYTDPNVLSKPGSVWNDIVLAPSRPKLQKQLTDAIRKIEKAQATK >A02p001990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:823654:835521:1 gene:A02p001990.1_BraROA transcript:A02p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVRTLTVLGKFKPFGLIAEATDSNPNVADSYQYFLFDPDLTGQRDDDDGNEANFSGQREHELFIRDNCIIWTSGSRVLKRFTLSSPIIKACWSHLGRGAEALLCVLQIGCLTIYNTSDPLEEPEPIYLEERGKLNIMRDFDERTIWSSDRFPLMTSYNKGKMQHSVWAVECVVPDTVFPKRVSFRRIWQAKGAKKAASKVFLATDDAVPVICFLILEQKKLLSVGLQTVEINNEILFDVKPDISWSISAIAAAPVVVTRSQVKIGLLPHLDIIVLSPENDLFLYSGNQCLCRYVLPSWLGESLVSGESAKIDPGSRDLKITGLSDAVLGCINLSVNHSQIFRCALTSNPSSSLANDCIAAIAEGLRSDLYKLFLSLLWGDGYSDQKGSSIHFEWEALCNIFLGICQKPTGVHLKQLKTSSESSWEFLLSSKFHKTYSRFHSRITSINPSDLEETTPFCTKTGSGERPDNSFELMVQSLDCLHAVYESLKMDNLRKQWLENCLRRGCLSTNLDDLPDLIRKDGCSIVSWARKIVSFYSVLFGDKPVGKKLSSGVPCNIAPGSYSSNEELTILAMAGEKFGLHQLDLLPSGVSLPLRHALDSCRESPPADWPAIAYVLLGREDMAQSVFRNLTSSKEFEMQSNTSLISMSIPYMLHLHPVIVPSSLSESIGLENAKIEDTNSVDGSVIDGMEHIFNSYTQLRYGRDLRLNEVRRLLCSARPVVIQTSANPTISDQEQQQDQLWRIAQRTAVLPLGRGAFTLSTIHTLLTEANFYLTKAIPAFTVPKLVLAGRLPAQQNAVVNLDPNIRNIQELKTWPEFHNAVAAGLRLAPLQGKVSRTWIKYNKPGEPNAVHAGLLFGLGLQGYLHVLNLSDIYQYFTQDHESTTVGLMLGLAASYRRTMQPEIAKALFFHVPARYQASYAEFEIPTLLQSAALVSVGILFEGSAHLQTMQLLLGEIGRRSAGDNVLEREGYAVSAGFSLGLVALGRGDDALGSLDSFVNRLLQYMGAKEERSLLAPSNEDLRSATQVTDGSTANVNITAPGAIIALALMYLKTDSEVIFSKLSIPQTHYELECVRPDFIMLRVIARNLIMWSRIRPTSDWIQSQVPEFVKNGVSRLQDDMDDMYEVDVEALVQAYVNIVAGACISLGLRFAGTRDGNARDLLNNYALYLLNEIKPVSAPPANGFPKGIAKHVDRGTLEMCLYLIVLSLSVVMAGSGDLPIFRLLRFLRSRNSADGHANYGTQMAVSLATGFLFLGGGMRTFSTSNGSLAMLLITLYPRLPSGPNDNRCHLQAKARWLQTIDVDSGLPVYAPVEVTVKETELYSETRYCEVTPCILPERAILKRICVCGPRYWPQQVELVPEEKHWWSFGDKSDPFNSGVIYVKRKVGACSYVDDPVGCQSLLSRAMHKVFGLRTLDESNMLANSHRELDSDSVDHLVSTFSSDPSLIAFAQLCCDKSWNDRSDSDFKEFCLQVLFDCISKDRPALLQVYLSLYTTIGSMADLLVKSHSNVCDSLSISSLKVALAYNEAVSSGRLASSSGGFVQSIFLASLGKRCEEILNSSTELKTNLRDYLTSEAWPDDQKDLILLSWYLKWFSVPSPSIIKAAVEKIKSKFKISASAIPLLRLLLPSTHISAIREIDRVFFSID >A07g501100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2251428:2251783:1 gene:A07g501100.1_BraROA transcript:A07g501100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPQKRAIDRVDNGERGVVASQNLRKGEKLLFVPLSHVISADCRQQSLPTVKKRPKFSEQLTVQNQKRLASDQQSPQLECYI >A07p038900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20688142:20691025:1 gene:A07p038900.1_BraROA transcript:A07p038900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFSMAGNETKRPRRRRRRRRIHLSKLYTLTCTQSCFKQDHSQIGGPGYSRVVFCNEPDSPDADSSNYSDNYVRTTKYTLTTFLPKSLFEQFRRVANFYFLVTGILSFTPLAPYTASSAIVPLLFVIGATMDIEVNNRRVKVHKGDGNFDSKEWKTLSVGDIVKVEKNEFFPADLVLLSSSYEDAICYVETMNLDGETNLKVKQGLEVTSSLREDFNFKGFEAFVKCEDPNANLYSFVGTMELKGAKYPLSPQQLLLRDSKLRNTDFIFGAVIFTGHDTKVIQNSTDPPSKRSMIEKKMDKIIYLMFFMVVVMSFIGSVIFGVTTRDDLMRRWYLRPDSSSIFFDPKRAHVAAIYHLLTAAMLYSYFIPISLYVSIEIVKVLQSIFINQDIHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGRGVTEVEMAMGRRKGSPLVFENNEDDVEYSTEPFVEKSTVRGFNFRDERIMNGNWVTEPHADVIQKFFRLLAVCHTVIPEVDEETEKISYEAESPDEAAFVIAARELGFEFYNRTQTTISVRELDLVSGKRVERLYKVLNVLEFNSTRKRMSVIVEDEDGKLLLLCKGADNVMFERLSKNGREFEEETRDHVNEYADAGLRTLILAYRELDEKEYKVFSERISEAKSSVSADRESLIEQVTGKVEKDLILLGATAVEDKLQNGICV >A08p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13635592:13636679:-1 gene:A08p020030.1_BraROA transcript:A08p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNELFTDEDSSLIRYMMPAIINIHKALFQLGLDRYIQVSSPSSLAVLAESYPPSAGSFKPEVTSVMQQFLRFLEARRSPFWINAYPYFAYKDNPNTIPIDYVLFNRNIGMTDPNTGLHYDNMMYAQVDAVAFAAAKLGYRNIEVRVAETGWPSKGDVGEIGASPLNAATYIRNLMMRQFAGEGTPARRSSRLEVYIFALFNEDMKPGPISEKNYGIFQPDGSLAYDLGFSTTSTSTTTATSKSVTYSSSATKAKSTLKYWKILILTMIGVRLF >A07p004520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2815712:2817595:1 gene:A07p004520.1_BraROA transcript:A07p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLYSCKECGSDLNLNPNDLFPPDFYFEAGNKATISFAAVDADKFRFEKEDKIMPFFETLNYWGIQRKRTKIKCHSCGHLVGYIYDDGPPLTGGIGQYGFGPSQVVPRAPRYRFKTKTLLISTEQEPIVSLMEERHVESGLSVIVIAMAIYDSTDVIERCTISFQIVLACEGEVLGMDMKKQIMDESENLCFKKSLTPPPPPPPPLPPSPGYGSRKIEGDSITNKQIKKFWRQKQIIEEEHLFAAIKAAARVRARNLSDEDYKRFEESLDMEDPETKVHEGIKDWSLWMKSKYAYLNQPALGSADSLKRKRFSSYVPNYFSFKPCIPLYATSLNVF >A09g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21178029:21178693:-1 gene:A09g507180.1_BraROA transcript:A09g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRCVATGICRLWPLLSSFVESPSLLSIPLPGFVASAPFEAYRFVSAEVALFSHRFVQFGSVFHRFRRLTIIGYLSSVLEGGIGWSGWSIHVVRGFDRLFSGSLWSCELCGSRRCGCLEPGFLLVCFHCYYRIRASSPKNGLSADGFGGDLRDSSGLSLGERDRSVGDGGSPQRCGPSQSLGSRSRLKKEVSRKP >A04p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14399931:14403212:-1 gene:A04p023710.1_BraROA transcript:A04p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFKAIIQNDIPAFLGLVEEKESWLEERNVDQDNSTVLHMAAKHGHGELVSKIIELKPSLIFSRNAHGNTPLHLAALLGEVNTVRTLLEFGSETCSVRNNSCQTPLHLVCRSISTESARLFAEKTHSVSLDELKFSISSGSTLLERFPYLARELAWVVEDSLTTLLHHACDRGNLELISILLGLDQGLEKAQNTNGLSPLHLAVLRGPVVVLEEFLEKAPLSFSSLTRSKETVFHLAARNKNVDGFIFMAERLSINNQKLMRQVDVNGNTVLHIAVSMSCGAPLLRYIVGKKIIDINCKNNMGFTAFDLLPQEAEDFELLSTLSTSRLGTMLDSDQSIEEQGEHSNPYNKGSQEGVKSLDIPESLKENEVIRLLRLIETNTSKIAHIKRNKKGAVERDRRSLEREMHIEALQNARNTIAIVAVLIASVSYAGGINPPGGVYDDGPWRGKSIVGNTTPFKVFAICNNIALFTSLCIVILLVSIIPYKRKPLKRLLVITHRMMWVSVGFMATAYVAASWVTIPLLRGTRWLFPAIVSIAGGSLMVLFSYLGVETIGHWFKKMNRVGDIPVYFMQKWRVRDIPPISRRTRVTSPDHSKASSFARTNSDLAASENSGYFTY >A01p008180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3944559:3947574:1 gene:A01p008180.1_BraROA transcript:A01p008180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLDGAAGDSSKCSQMSVDEKRQLVYDLSKQSHLATEVLQAWSRQEILQILCAEMGKERKYTGLTKVKIIEALLKLVSEKNSGDYDENNKKNKKKRDSECLPVQRHTKRQRKVDEPTRYVAPTSNNNSSGSCNNAVYCNNLACRAILREGDSFCRRCSCCICRKYDDNKDPSLWLTCSSDPPFEGDSCGFSCHLECAFESEKSGLKESEGGCCFYCVSCGKPNSLLECWKKQLTIAREARRVDVLCYRLLLVQKLTKGSSKYRNLCEAVDEAVKSLEADVGPLTGLPLKMGRGIVNRLQSGPDVQKLCSSALESLETPPEVAALPSPSSSKMQHDCYHGLNNEVSADTATTVSTKIRFEDVNATSLTVILASNEVTSPGNIVHYSIWHRKATEKEYQEKSTCTLFTPNARFVVSGLAPASEYCFKVVSYSGTRELGVDEINVLTRNEEERSESPLTNCSTLSSNPSSVEAESNNGYIVPQNEIKDSPTDENAVKRTTEIVQTEKNIEEEAVPDKNRETLNPVTTTNLLPITPFRSDKTKNRQARKGKSVKENGDHHSANGGSESGLEHCVKIIRQLECSGHIEKEFRQKFLTWYGLRATPQEIRVVKIFIDTFADDPVALAEQLVHSFSDLVSSKRSAIGGGGASAVVQSGFCMKLWH >A09g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12270220:12271131:-1 gene:A09g503920.1_BraROA transcript:A09g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERSPVGCGVATAFLWLWRAASSFGTGNPYGVVGVRLLFVGAKVSSGGGFTSEVRRGLTPVVILRVTVTASSVFEGGKRRPDFRHGRGGVPLVFSSHPSTGEDHLILHRFGGGSSELLLGLGDGFKRCVTLRTSSALVACKARVVLRFIVSNGYKLGGVHRKLATPETTREVPASEATRRTSPSLDSRRW >A03g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11835424:11836722:1 gene:A03g503540.1_BraROA transcript:A03g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRLAEKKNNEDVLEKKKNESIAKKKKAAAEKKKAAREKKKMDSVRKKKETSVKRRIEAVKKKRNAAKKKTETETAEKKRKRNSGVDGGSLSNPTKRARNTASPPEQEHQGDNSPAPSAELPSQADVESTRAPSEAKNPLQPPVTSLSISESATNCPSHRLDNHDEEIVSNNRDSHTPEAAIDHTAQRTEAEDIAVNPLRPNEFFFKPKDYRKSCKLQSRCHQYKFMTILGGLDESEKRWFLEHPQFKHLFHMVCTSTRKMMGLWMLLLRTIVTHKS >A05p005290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2062089:2064344:-1 gene:A05p005290.1_BraROA transcript:A05p005290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSAFLLNPLTSRHRPFKYSPELSSLTLSSRKAATFDVLPTPLSLKRQSQRCSSGVVCKAVSVKPEAGVEGLNIAENAAQLIGKTPMVYLNNIVKGCVASVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVESTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLRAFGAELVLTEPAKGMTGAIQKAEEILKNTPDSYMLQQFDNPANPKNMQIHYETTGPEIWEDTRGKVDILVAGIGTGGTITGVGRYIKERKPELKVIGVEPTESAILSGGKPGPHKIQGIGAGFIPKNLDQTVVDEYIAISSEEAIEFAKQLALQEGLLVGISSGAAAAAAIQVAKRPENAGKLIAVVFPSFGERYLSTLLFQSIRDECENMQPEL >A08p024610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15993384:15994513:1 gene:A08p024610.1_BraROA transcript:A08p024610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSSSYVLNCLARVPTLACVSLSSLPEDLVLNCLARVPTSYDQTLACFCKNFQSLVLSGELAQMRSLLAAIKDYPLLCVFYTEFPRPGWTKLHWVTFNLKEKKTSPWKSVDISTTQNMDCPTVPLVLRSISLVDRIAMQSGAGVAVVDGKIYVMGGCQFKFNEDEDEINQVEVFDPNTQTWEVGPLGPHGEITYGKGYKWNQFREAVALDGMVYGMSFLAGYHTIYDTKDGTCENLEISHEYTMKISKACVVNSLIYVFYHEFGLMWYDSKEKIWKRVKGLRCDVGRHHVVECNGKLALLWEDSEEKIWCAMIAMDKVGVEIHGRVEWSEFVGYAHRYSYWSCLGLSL >A09g516720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49222491:49223567:1 gene:A09g516720.1_BraROA transcript:A09g516720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLLDSLNKHEEHILSKAQTSWPPLTRCDMVANWVEQEAQVAGCHSTSSADTYLGRKRKRENEGKWGLDLLSKKLQGMGMIWT >A10p037170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21021413:21023178:-1 gene:A10p037170.1_BraROA transcript:A10p037170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-Cys peroxiredoxin BAS1-like, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06290) UniProtKB/Swiss-Prot;Acc:Q9C5R8] MGLDQWALKIEMILTQSTHRYNTVSFPALSLSASSLSHRTINITAGGERDSRVLQSMASLASSTALIASSTVLLPSKPSPFSPAASFLRTLPSTSVSSSSSLRSGFSSISPLTCIRSSSRRSFAVKAQADDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSVDSVFSHLAWVQTERKSGGLGDLNYPLVSDITKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >A09g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26684107:26685925:1 gene:A09g509290.1_BraROA transcript:A09g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHKQTQFHYDNKLFIPTFPPHTGRPWLSISIHISTLVLGLSTLTLPVAYSDDFAPCRLSVQYTQDICGCPPAHTGRPWLSVCVRLCPSAHTGRLGLSISKHISTLFLGLSTLAFPWTVWDVRGRPWVSANTHRKSVAVISTHISPLVHGLNMLALPMDCLGDLARVGCLFSTHRTSVGVRQHTKDVCGCPWLSVSTHRTSVAVRVCPSAHTGRLWLSISKHISTLVLGPSTLAFSWTVWDVRGCPPAHTGRPWLSVAVRQHTQDVRGCPSKHTGRPWLSISTHISTLVLRLSTLTLPVDYSDDFAPRGLSVQYIQDVRGCPPAHTGRLWLSVCVRLCPSAHTGRLGLSISKHISTLVLGLSTLAFPWTVWVILAHVGCLFSTHRTSVGVHQYTYQHVGPWTQHADPSRGLFGTHGTSVGVSQHTHDIRGCPCVSVCVRQHTQDVCGCPSVHKSACWSLDSVRWPFPWTVWVNLAHVGCLFSTHRTAVGVCQHTHDVCGCLWLSVAVYGYLSAHTGRLWLSMANTTNQRLKLYKVVDRMVTQEAAERLPDTPK >A07g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8676690:8677043:-1 gene:A07g504330.1_BraROA transcript:A07g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIQGHILEVTVVGFQKLKDTKWLSRQDLYVFLEYNETLTSYRRNSSSWWSSCSESAVAAGQSLRRRFSCREKPREATMVNSLYFTYLVL >A03p021260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8773031:8775050:-1 gene:A03p021260.1_BraROA transcript:A03p021260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor RS2Z33 [Source:Projected from Arabidopsis thaliana (AT2G37340) UniProtKB/Swiss-Prot;Acc:Q8VYA5] MPRYDDRYGNTRLYVGHLSSRTRTRDLERLFNRYGRVRDVDMKRDYAFVEFSDPRDADDARHYLDGRDFDGSRITVEFSRGAPRGSRDYDSRGPPPGSGRCFNCGLDGHWARDCTAGDWKNKCYRCGERGHIERNCKNSPKKLRRSGSYSRSPVRSRSPRRRRSPSRSRSLSRSRSYSRSRSPVKRRERSAEERSRSPKRMEDSLSPRGRDRSPIMDDEGSPRIIDGTPPPSPKLEKPAGSDHDGGSSPQDNGNGRNTVASPAVGRSADSPREDRSPVDDDYEANRASPRGSESP >A02p017400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7872884:7873688:-1 gene:A02p017400.1_BraROA transcript:A02p017400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNGMEMNNLEREYIRRQHHKHELVENQCSSTVVKHIQAPVHIVWSLVRRFDQPQKYKPFISRCVVKGDMEIGTVREIDVKSGLPATRSTERLELLDDNEHILSIRIVGGDHRLKNYSSIISLHPERIEGGRMGTLVIESFAVDVPEGNTKDETCYFVEALIKCNLKSLADISQRLAVQDTTGGSP >A06p040640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21983688:21984599:-1 gene:A06p040640.1_BraROA transcript:A06p040640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFKVKISRILSFKSCRSKDSSDLPFNPVPSLPRRPPPSADPSTTVTTVPHRRRSSFRQHVLTTFGCGSSRRRSSTPLDISRRNSTSVSPPQTPTFQWESEGKWHVIVQEDEGEPRPKIYDGDDRRRRSVKKERHARRRGSTSSADEETERESLLPSSTNLSPESSSSGLPRVTRLRRNPPTRKSESSSSPPLSPARLSSFVQRLIPCTAASAVAMEGVAVVKRSEDPYEDFKGSMMEMIVEKNMSEMAELEQLLSCFLTLNAKRHHRAIVRAFSEVWVALFSGGNDGSRRSSVQLSDYDEC >A07p022650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13200171:13203233:1 gene:A07p022650.1_BraROA transcript:A07p022650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLPQISFSSPSLKPVASYSESPDPDRNLDRDRFHRRLFRFNRGRLTRQRKLRHLTDDDVLVQRPASTSSSSSPTVDVWLNRSPSAYTPGPRSPSAVPLPLPLPLPEGDSRNRNAANGRVIADRTSSGGPPLSSVAHDSRRASENSSCNNSPNTRNGYWVNIPTMSAPTSPYMSPVPSPQRKSTGHDLPFFNLPPKSNQAWSAPDMPFDTSGLPPPAFYDFTAFSTDNSPINSPRPRSPRRQIRSPQPSRPTSPLHSILSPEHLAGARDSVSSPLHPRMSTDVASGRSSNVHPLPLPPGAACPSSSAAASPVSYPQGPLKQDLFPMNSQWKKGKLIGRGTFGSVYVASNSETGALCAMKEVELFPDDPKSAECIKQLEQEIKLLSNLQHPNIVQYFGSEIVEDRFFIYLEYVHPGSINKYIRDHGGGTMTESVVRNFTRHILSGLAYLHSKKTVHRDIKGANLLVDASGVVKLADFGMAKHLTGQRADLSLKGSPYWMAPELMQAVMQKDSNPDLAFAVDIWSLGCTIIEMFTGKPPWSEFEGAAAMFKVMRDSPPVPESMSPEGKEFLRLCFKRNPAERPTASMLLEHRFLKNSVQSTSPRNSDVSNCSHLINGMNIKEPNTRREKPNFKLDQVPRARNVTSSESESWQQQQYRSPDLTGTVPRLSPRSTLEAIPSPSPSQRPKPSTERRRISIPSDQV >A01p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7306117:7307033:-1 gene:A01p015030.1_BraROA transcript:A01p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASTSTLIFSCAKPDLRPIPSHFRSSSPPLTSQFRIPSLTHDPLSLSGLIGTGLVAATFMAGGPDSTALAAVDSLQLSEPANALSLPTWAVHVSSVVEWITAMALVWKYGERKGYESWKGLSWGMVPLLGGALCACTWHFFYNAESLEVLVALQGALTVIGNITLCIAAFRINKSASKMETSEEP >A02p036200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20713402:20714552:-1 gene:A02p036200.1_BraROA transcript:A02p036200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit E1 [Source:Projected from Arabidopsis thaliana (AT4G11150) UniProtKB/Swiss-Prot;Acc:Q39258] MNDGEVSRQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQDYEKKEKQADVRKKIDYSMQLNASRIKVLQAQDDIVNAMKDQAAKDLLNVSGDEYAYKQLLKDLIVQCLLRLKEPSVLLRCREEDLGLVESILDDAKEEYAGKANVHAPEVAVDTTIFLPPPPTSSDPHGLHCSGGVVLASRDGKIVCENTLDARLDVAYRMKLPVIRRSLFGQVAA >A06p056180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29275881:29278593:1 gene:A06p056180.1_BraROA transcript:A06p056180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVRAIWREEEKREEGGSHEPPPTMPVKKQGDVTVFLLLQTISAPVAEQRKAPSLVYIAFNLEADSSSSLFVSILKCRFMEIDLGEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNNECPACRKHCASRRSLRDDPKFDALIAALFTNIDSYEEEEFAFHEDDKARNKQIQASIAEISQRQSEALVKRKSFGKEAAVLMRSQRSGSGSRRRRNSRNTEQNADEAHEDYDNNEDHXXXXXXXXXGGRDSSSDERGPEVRVRKRRKRSANNNNGNCGDKDTEVYRDSSKGISPGLVWNPEMLAWGRSATRSNPRHENNTTGGSSSKSVRNARVNKLVEYLKRSSVDGKSVEVDIDVKLVSLDTKCVPDLPQPYLCCRPTFLVKQLREFVALKMHLKTEDVELLVKRGLGGEDKAIETLPASAAVSKDEMQSLEDNETLSKLKTDFNSSQEQHLTIAYRQKQTE >A02p018700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8641121:8643044:1 gene:A02p018700.1_BraROA transcript:A02p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAPSRYVKLTKEQAPVDEVNPGELNQPIQVPQLAVYKCNECGQTLPENFEAPSDEPWTTGIFGCTEDMDSFWQGFFCPSVLFGRVYETLSEEETSWKRACVCHSIVVEGGLTAASLLLCIPGIDPHTTFLFWEGLFFVWWMCGIYTGDVRQTLQRKYHLQNSPCDPCMVHCFLHFCAVCQAHREMKNRLSDNFVMPMTVVNPPPVQEMSSSNDGHHHDSVPVSHHSSDLVMRPLYERIKNIAISKKMIGRDAASIPNVDLPPTTAGSRVSASLPYRRKGLVNARQGDVFYGEDDVADLMFREDEVEQDSVMRAYRSDEAMRSIQDTRRRERRRSFFCFECFDFLILVFSRNRN >A04g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4653533:4653876:1 gene:A04g501950.1_BraROA transcript:A04g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVVVAAGIVFGGVVAATAGEQLQRIREIVSDQLRRRGHHLRLIRSGGSCVSWSGEVWLSEKWLGDTSVGETWLGDKWLLVQDSSFPESDVCEVKQINVC >A01p052680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29540094:29541341:-1 gene:A01p052680.1_BraROA transcript:A01p052680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKKLAMLVILALLNLLYMKAPTSSTLSDDNKPPFKQNLPLPRIKPPFKQNVPLPRTRSATSRSIVSVVVSDQLEKEESDPLVPPPKATKSERISWFRRKLPELEILKSTTKSKRFHGRVLELYNKNCSAQFFMVWLSPAKSFGPREMLAVDTLFTTNPGACLVILSNSLDSPRGSTILKPLLDQGFNLVAVTLDIPFLVKNTPAEAWFKKLKSGKMDPGSIPLFMNLSDLTRLAVLYKYGGIYLDTDIIFLNSMTGLRNAIGAQSLHPRTKRWTRLNNAVMVFDLHHPLMREFLQEYSTTFDGNRWGYNSPYLVSRVINRLGGQKPEYNLTIFPPDAFYPVNWLKIPSLFKKPVTTREAKWVEKTVQEMKEGSYMIHLWNKVTRKMKIEEGSVMHKLISTHCTVCGNITESHT >A05g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11702518:11708452:-1 gene:A05g504220.1_BraROA transcript:A05g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAKPFVQSLKSMPADYRFLGSSPLEDSISSGSSVSVSIPRNGHLKNGAVGGVDSGNEDSPYGLQSISNGDESSLVDEDPIVPLPESNDRRWSDTSVYARKKALQFWVQLPNGNWELGKVLSTSGDESVIKLSEGNVLKVISETLVPANPDILDGVDDLMQLSYLNEPSVLYNLEYRYNQDMIYTKAGPVLVAVNPFKEVPLYGDRNIEAYRKRSNQSPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIENEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETRKISGAKIQTLVQCAEGERSYHIFYQLCAGASPALREKLNLTSAKEYNYLRQSNCYSIKGVDDAERFQAVQEALDIVHVSKDDQESVFAMLAAVLWLGNVSFSIIDNENHAEPESDESLSTVARLIGCNINDLKLALSKRNIKVRNETFVQKLTLSQAIDARDALAKSIYASLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFNKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIKDGIDWTRVDFEDNQTCLRLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKEHLSDNSCFRGDRGKTFTVAHYAGEVTYETTGFLEKNRDLLHSDSIKLLSSCSRHLPQAFASSMLVHSEKPVVGSLHKAGGADSQRLSVATKFKGQLFELMQRLGKTTPHFIRCIKPNSVQSPGLYEQELVLQQLRCCGVLEVVRISRSGFPTRMSHQKFALRYGFLLLESIAAKDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRLQSCFRGHQARSRLREYKRGITYLQSFVRGEKIRKEYTELLRRHRACSAIQSHVKRSIAKRQYKAKVDASLVIQSAIRGQLVRRCGGDIKYGGTKRNESGEVLVQASVLSELQRRVLRTEAALREKEEENDILRQRLQQYDNRTPGRSGSVGLSVISRLAEEFGQRAQVFGDDTKFLMEVKSGKVEANLSPERELRRLKQMFETWKKDYGGRLRETKTILSRLGNEETGGSPLVERVKTKWWGRLRST >A03p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11988196:11989312:1 gene:A03p028520.1_BraROA transcript:A03p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNNLVGILNFIVFLLSIPILAGGIWLSQNGSTECEGFLDKPLIALGVFLMVVAIVGLIGSCCRVTWLLWTYLFVMFLLILLVFSITVFAFVVTNKGAGEKVSGRGYKEYRLGDYSNWLQKRVNSDKNWNKIRSCLADSKVCSKLEDKLVGVPVNNFYNEHLTALQSGCCKPSEQCQFTYVSATNWTKTAGTQPNPDCQSWDNAPNKLCFDCQSCKAGLLDNVKSAWKKVAVVNIIFLVFLIIVYSVGCCALRNNKRDGSYGYGYKP >A09g512060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35343152:35344724:-1 gene:A09g512060.1_BraROA transcript:A09g512060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLGRLTSDVQYSTDDLLVSRPNRTEPLILQCTFKLNWIIYRPYIRFFLFTFSRNSENLNAVSLKGDFEGDFRRFSLINRSHRQLSLRRYHRRSHHRKKMDIPELPRRIHTVGEEPSAGHSISYHTCWALHTALKKALHDDEYEVLKESKLGVFIKFQELGFEWASRLVHYMLEFKNLTDLNCKYIEDLERHQCVVTKELTSFWGMLGVHVEAGPSTEEIIATLGRCEGWSQDDRKRLAYLAIFTGYIEGRKYSTPTQVSLARLVMELEWFENYPWERVVFKVLMDSVKGKDISGCYTVNGFAQALQVWVYTALPELGATYGKPLPNNPSQPVLAYKGDKEAILDQSSDKSSNWKT >A09g517270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:50735693:50735881:1 gene:A09g517270.1_BraROA transcript:A09g517270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIAREKREIAGNRKGEERSRRKSQGRESEAERNGEEDRVRPNKMTRPTETIRRNFVGII >A04g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8975142:8977004:1 gene:A04g504370.1_BraROA transcript:A04g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALSLSMSCPQSWIGLSGRPWLEGNIPASLRTLRQVFHGQDRSLASTKSVPLAGLLAHSAEAAGSQLISARRTVRVSGRWSGSGLGGRPCGLGTDGSLGKSIGYVQKAWVSLWAQDRPKKGQLWALGCKWPRMQPFGQERGGRVVMGTQGIEKGMEKDSNPVVQSDRVSGITNDSSAKTIGQSEPAGHTHGPDSPYGRLGQTVGTSEWVRIAKGHELPRGTCVQRVLVSKGWSDRLHGNPVWAWALLGGIDPGSGLDQAPYALCMVWTHMMAMEGRLWQYLLSRRWLIKSSDRIMFHDDGSIAEH >A08p043210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24110891:24114512:1 gene:A08p043210.1_BraROA transcript:A08p043210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 1 [Source:Projected from Arabidopsis thaliana (AT1G09550) UniProtKB/Swiss-Prot;Acc:F4I107] MYALLWCWSFTGLFLLSILANGVMGYDEMDLFNGTYVFHKQDDDDGDVLVVGLTLVQAATAEGAVCLDGSMPGYHLYRGYGSGANNWIIQLQGGAWCDSIEDCQNRKRSSYGSSTLMEKQLNFTGLLSNKAAENPDFYNWNKVKVRYCDGASFSGDSENKTAQLQFRGKRIFLAVMEDLMAKGMCHAKQALLNGCSSGGLSAILRCDDFSSLFPSTTKVKCMSDAGFFLDAVDISGAHSIRRMYSGVVNTQGLQNTLPRTCTSHLDPTSCLFPQNIINQVKTPLFILNSAFDSWQIENSIAPPSADPSSSWHNCSSSFKCNASQMQFLEGFKMSMLDALKTFSMSSKNGMYISSRWAHCLAERKDTWSPGNSQPGEDTGMAVAVGDWYFERAKK >A10p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21774556:21776224:1 gene:A10p039270.1_BraROA transcript:A10p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-URONIC ACID TRANSPORTER 1 [Source:Projected from Arabidopsis thaliana (AT5G04160) UniProtKB/Swiss-Prot;Acc:Q9FYE5] MSSSSSSKKQTLFISTLIISWYSSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAFLSYISIVFLKLVPLQHLKSRSQFLKVATLSVVFCASVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTLKREAWVTYGALVPVVAGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLMLYMSPIAVVALLPVTLVMEPDVISLTLTLAKQHQYMWILLLVNSVMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILIFQNPVTVMGIGGYSITVLGVVAYGETKRRFR >A04p009360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7789608:7792550:1 gene:A04p009360.1_BraROA transcript:A04p009360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSNYSGRDHHRKDASGGKKPAPIRVLSEVPKENIEERYLLDRELGRGEFGVTYLCIERSTRDLLACKSISKRKLRTAVDIEDVKREVAIMKHLPKSSSIVTLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRSYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKREPWPNISETAKNLVRQMLEPDPKRRLTAKQVLEHPWIQNAKKAPNVPLGDVVKSRLKQFSVMNRFKRKALRVIAEFLSSQEVEDIKEMFNKMDTDKDGIVTIEELKAGLRDFGTQLAESEVQMLIEAVDTKGKGTLDYGEFVAVSLHLQKVANDEHLRKAFSYFDKDGNGYILPQELCEALKEDGGDDCVDVANDIFQEVDTDKDGRISYEEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNLGNE >A03g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14684674:14686922:-1 gene:A03g504110.1_BraROA transcript:A03g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRTFKPETFPVCHSRNRGKEKQSSTSFSTEERSGHIVNLMAYATGVTLASRTIFPFCSRTFLPPLRVTSILESSSSSTFFRSVQAPQLFSTPRAPLFSSVKCSTSSLETAASRPNVVDILEERGLLESITSENLRSACSDPNVAPLKVYCGFDPTAESLHLGNLLGIIVLSWFQRCGHQAVGLIGGATGRVGDPSGKSLERPELDALTLEKNITGIKNILVKILGGNASSYVIFNNYDWWKDMTMLDFLKNVGRFARVGPMMAKESVKKRLESEQGVNVQIGGSDQWGNITAGTDLIRKILQTEEAAYGLTFPLLLKNDGTKFGKSEDGAVWLSPSMLSPYKFYQYFFSVPDVDVIRFLKTLTFLSLDEIKTLEDEMRKPGYVPNTVQMKLAEEVTRFVHGEEGLKEAMKATEALRPGAEMKLDWSLIERIAEDIPTCSLPVDRVAGVSIVDVSVSAGLFESKSAARRMLKQGGVYMNNERVDDENKRVEEGDIVEGKGLVLSSGKKNKVVIRIS >A03p010720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4297308:4302862:-1 gene:A03p010720.1_BraROA transcript:A03p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-methyltetrahydropteroyltriglutamate--homocysteine methyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20980) UniProtKB/Swiss-Prot;Acc:Q0WNZ5] MGQLALQRVSPLASLPRRLPSLPPPSSYSPSLLFATASRRPRRHGLYLVRAMSSHIVGYPRIGPKRELKFALESFWDGKTAANDLQTVAANLRNSIWKHMADAGIKYIPSNTFSYYDQMLDTTAMLGAVPSRYGWKNGEIGFDVYFSMARGNDSVPAMEMTKWFDTNYHYIVPELGPDVEFSYASHKAVDEFKEAKALGIDTVPVLIGPMTYLLLSKPAKGVDKSFCLLSLIDKILPIYKEVFDDLKSAGARWIQFDEPILVMDLDTNQLQAFSDAYSHMESSLAGLNVLIATYFADVPAEAYKTLTSLKCVTGFGFDFVRGLETLDLIKGGFPRGKLLFAGVVDGRNIWANDLSASLKTLQSLEEIVGKDKVVVSTSCSLLHTAVDLVNEVKLDKELKSWLAFAAQKVVEVNALAKSFSGVKDEALFSSNSMGQASRRSSPRVTNAAVQQDVAAVKKSDHRRSTEVSARLQSQQKKLNLPALPTTTIGSFPQTTDLRRIRREFKAKKISDIDYVQAIKVEFEKVIKLQEELGIDVLVHGEAERNDMVEFFGEQLSGFAFTSNGWVQSYGSRCVKPPIIYGDVTRPKAMTVFWSSMAQKMTQHPMKGMLTGPVTILNWSFVRNDQPRHETCFQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRRSEQEFYLDWAVHAFRITNCDVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFHEGVKYGAGIGPGVYDIHSPRIPSAEEIAERINKMLAVLDSKVLWVNPDCGLKTRKYSEVKSALSNMVAAAKLVRSELIKEVNKRGRRKRTWKISDKYLGVWFRGPSSCRLKFLVNSFRSKVSHSLFTL >A04p029900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17822977:17830779:1 gene:A04p029900.1_BraROA transcript:A04p029900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDFQTFFTDLRYKLLISSTPGSSVKETGVTDIEQVSTVLSISQVEAIILLLHYQWSPSKIEDEWFTNEEKVRESAGLLKEPVVDLNDKVNIECGICFDSFLQKDSATVSCGHPYCKTCWTGYVTSKINDGLGCLTVQCPEPSCSAVVGQDMINSVITKEEDKEKYYKYFLKSYIESSQKKIKWCPSPGCEYAVDFGGESENYDVSCLCSYEFCWKCCEDAHRPVDCHTVAKWIFKNNDESENTTWILANTKPCPSCKRQIEKNQGCNHMRCSICKHSFCWACLDPLNNHKSCHNFRGETEVKREMAKKAIDRYMHYYERWVGNQSSRVMAMADLKKLQSVQLVKLSVKHGIRETQLQFTVEAWLQIIECRRVLKWTYAYGYYLPEQESTKKRFFEYLQGEAEVGLERLHHCAELEFKELVNETEYFSKKFEDFRRKLIGLTKVTKTYFENLVKALENGLADVEPNETKSATDSNKRQKLRTVNLVFLLYLTVLIVSLGSLFGYWSLMKALFQSLRYLFQASSLLLSLRRHLEASQVLLLRTRKPPPKEKSETLIITRQKNRVWVLSASYKSQNRLGAIVSPSIMDYSDDDVMLDIESGEDHLYSDDVDPGFAEEDNDNDSASQLSYVILKEEDIHEHQRTDIEQVSTLLSISQAEAIVLLLHYQWNASKVEDEWFTDEERVRKTVGIVKEPVVDLNQMNIICGICFESYFQKDIATVSCGHPYCMTCWNGYITAKITGGPGCLMVTCPEPSCSAVVGQDMIDKLISNEEFKEKYYRYFFRSYVESSGKKIKWCPSPGCEYAVDFGKGSENYDVSCLCSNDFCWNCSEDAHRPVDCDTVAKWIFKNNDESENTTWILANTKLCPRCKRHIEKNQGCNHMTCSAPCRFQFCWICLRAYPCPEDCNKFKGDDEAENKREMAKTALHRYMHYYERWVSNQSSRLTAKRDLEKLQSVQLKQLSDKHSTPETQLQFIVDAWLQIIECRRVLKWTFAYGYYLPQHEHAKKQFFEYLQAEAQVGLERLHDCAEVEFKQFVSETEEPSKDFSDFRRKLTGLTIVTKTYFENLVKALENGLADVESTMRAK >SC186g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:46797:48141:-1 gene:SC186g500040.1_BraROA transcript:SC186g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKTLFFASNGFSYTYTARALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEAFLVHHPSELKEEDFAHCVEQWRVEREVVMRHWCEVSLKLTCKLGPILNPSLRRGV >A10p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2652909:2653531:1 gene:A10p017070.1_BraROA transcript:A10p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKKEEVTPLKGILCLKSRQDMKRIEETEDCFILDFNPFDSFDVKNLSFAGDHEGDKDLAIIHESGQVACRDFPHPRHLCLNFPFESTPNATHCHLCYCCICDKPAPCAQWMSSHCSASADSMEWRTMD >A10g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9507111:9514045:-1 gene:A10g503740.1_BraROA transcript:A10g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTRTAGAFAVTPHKISVCILLQLYAPSAQMSLPFPFSSISQHNRLGFYLLSLTKSCDDIFEPKLEDLINQLREVGEDMDAWLTDHLTNRFSSLTSPDDLLNFFNDMRGILGSLDSGAAQDDQIILDPNSNLGMFVRRCILAFNLLSFEGVCHLFTSIEVYCREAHSSSAQYDESNDNLESLIQHDQMDMEKYIMDKATEEIELQKNASGRVPFHLHTPEALFKVTEGLLVTRKEKSRTNTKKAEATQLACASSSTVDDTLVDESLFLRTNYQIQGFLMEQADAIETHGSSLSSSSIESFLQKLQNLAPELHRVHFLRYLNKLHSDDYFAALENLLRYFDYSAGTEGFDLVLPSTGCSMYGRYEIALLCLGMMHFRFGHPNLALEVLTEAVRVSQQHSNDTCLAYTLAAMSNLLSEMGIASTTSVLGSSYSPVTSTASLLSVQQRVYILLKESLRRADTLKLRRLVASNHLAMAKFELMHVQRPLLSFGPKASVRHKTCPVSVCKEIRLGAHLLSDFSAESSTMTIDGSLSSVWLKDLQKPWGQPVFSQESGSRKSSTFFQFCDHLVSIPGSVSQIIGASYLLRATSWELYGSAPMARMNTLVYAALFGDSSSSSDAELAYLKLIQHLALYKGYKDAFAALKIAEEKFLTVSKSKILLLKLQLLHEHALHRKPAKLIHLPALCDHLVYCLKFIHSNFVKSISGNLKLAQRMCNELGGLASTTMGVDMELKVEASLREARTLLAAKQYSQAANVAHSLFCTCHKFNLQIEKASVLLLLAEIHKKSGNAVLGLPYALASISFCQSFNLDLLKASATLTLAELWLGLGSNHAKRALDLLHGAFPMILGHGGLELRARAYIFEANCYLSDPSFSVSTDSDTVLDSLRQASEELQALEYHELAAEAFYLMAMVYDKVGQLEEREEAATLFKMHITALENPQDEEPNMA >A07p002390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3860410:3862745:1 gene:A07p002390.1_BraROA transcript:A07p002390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate phytyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G18950) UniProtKB/Swiss-Prot;Acc:Q8VWJ1] MESLLSSSSLLSAAGGGLCLRKQNLKLLSLSGNRVLRCNVVAKPKSSNNLVTRLDGQESSSLFLLCSRHKSRFQANATTGQPEAFDPKSKPNSFRDSLDAFYRFSRPHTVIGTVLSILSVSFLAVEKVSDISPLLFTGILEAVVAALMMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSVKTGIAIVASFSIMSFWLGWIVGSWPLFWALFVSFILGTAYSINLPLLRWKRFALVAAMCILAVRAIIVQIAFYLHIQTHVFGRPVMFTRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFSVTLGQERVFWTCVSLLQMAYAVAILVGATSPFIWSKVISVVGHVILATTLWTRAKSVDLSSKTEITSCYMFIWKLFYAEYLLLPFLK >A03p011010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4412615:4413472:-1 gene:A03p011010.1_BraROA transcript:A03p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMLNPDVDLIDRGGSVVVREVWAYNLVSEFHLISAVVEDFPYISMDTEFPGVIFKAEPAILRRGNPGYLYKLLKSNVDVLSLIQVGITLSDADGNLPDLGGGVDGSRFIWEFNFRDFDVDRDAHAEDSIELLRRHGIDFERNRSEGVESERFAELMMSSGLICNESVSWVTFHSAYDFGYLVKILTRRELPSSLRDFLRLLRAFFGERVYDVKHMMKFCERRLYGGLDRLARSLEVNRKVGKCHQAGSDSLLTWHAFQRMRDLYFVKEGPEKLAGVLYGLEVF >A02g501440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4308021:4308635:-1 gene:A02g501440.1_BraROA transcript:A02g501440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTSKTRVEWDDHATTEQSPTFATSANNTVVKSLTFVNMYNLPNNGKAKKNITQAAAAMIEGDKCAFYSVGFAGVQDTLWDKNGRHFFHRCTIQGAVDFIFGSGQSIYKNCDIQMLGETIKRKHAGYITAQSRALPDDADGFVFIDSLVHGTGKAYLGRPWGNYSRVIFCNTNLTNVVVPMELSGPRITDDVCRSWMLWKWI >A07p050460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26903883:26906415:-1 gene:A07p050460.1_BraROA transcript:A07p050460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKKISTDQRLGCESLLGCIFQSWSPRRRKPSLPEKDHKAKDYLPPKSTTITNPKIIPRKSTDSLAQTKRPDPLKTKPDESNARKSSDSARKSSDSARKSLSSASPRIESKRFSPNGVMGNIIVKPQPAAKSPDVSQTRSRWEGKTVNYRHDPETLKRMGNEEYCRGRFGEALVFYERAILADPKTPTYWSNKSAALISLGRLLEASDACEEALRLNPSYERAHQRLASLQLRLGEVEKALSHYNQAGKYTETKHIEQVEDVIKCLKRCEEARRSKEWNVVLKETCFVISYGADSSPRVYALQTEALLHLQRQEEANDVYQKATKRLDIDCFIKIFGLSITSYILMVGAQVYIAAGRFEDAVTASRQAARLDPSNVEVNAVARKARAVAAARLTGNLLFNASKFEAACVVYTEGLEKEPCNALLLCNRAASRFKLGLFEKAIEDSTLALNLQPSYRKARRRRADSYAKLEKWQHAIQDYELLMMETPEDEETRRVLADANVRFRKHIGGDVRFKEIGSDLVVLN >A07p027860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15842127:15842742:1 gene:A07p027860.1_BraROA transcript:A07p027860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIWHHTFYNELSVAPEEHPIRLTEAPLNPKANREKMTQIMFETFNALAMYVAIQAVLSLYASGRTTGIVLDSGDGRDLTDALMKILTERGFSFTTTAEREIVRDIKEKLCYIALDYEQELETSKTSSSVEKNYELPDGQVITIGSELVFCS >A08g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16788767:16789094:-1 gene:A08g508910.1_BraROA transcript:A08g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRVSLSPSNLSATLICDVLVNASVICSPNLSSKISSPRRLTSMKKDFASVSEIQKERWSEEAMNVRQIRS >A10p020050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13623359:13625795:1 gene:A10p020050.1_BraROA transcript:A10p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNDSVSVDLGTIYLGGKEHRVKTACGVVSVIVYGDREKPALITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPIYPTDSVPSADDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAMKHRERVLGLILISPLCKAPSWSEWFYNRVVSNLLYFYGMCGVVKEFLLQRYFSKEVRGNIEIPESDIAQACRRLLDERKSVNIMRFLDAIDRRPDISSGLKKLKCRTLIFIGDQSPFYAEAVHMAANLDRGYCALVEVQACGSMVTEEQPHAMLVPMEYFLMGYGLYRPSYFTESPRSPLSPCCISPELLSPESMGLKLKPIKTRVSTC >A01g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16056884:16058661:1 gene:A01g505350.1_BraROA transcript:A01g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGDNYAATLLVNLLTYLAENGVKESDVISILNIMTTVVSKFPTASNHLSMNGIGNAIHRLVCSFTNSSMGTSFPTLLVLIFNILASVQPGVLKNDESWNAVFIKNHEGMMVIGILCLVLYHSSHGALLDSSRIIMVNSYLVSAINNVVDVACSRGPALTQSQDETEIWEALAFTLPLCFFSLRSLQIVLAGAVDWQTFCGPSSNLETLPVVCIHCHNLCRLMHFGTPQIKLMASYCLLELFTGLSQQIDIRKEQLRCSSSYLKAMKAVLCGLVFYDDIRVATNSALCLSMIIGWKDMEGRTEMLKTCSWYRFITEEMSVSLAMPCSASNTFVNHHKPAVYVTVAMLRLKNKPVWLRSVFDESCISSMIQNLNGTNISSEIVILFRELMQAQLLNSDQVTKLNLVFLASRKQMQRNGTLDETVEEQMQRTVSSIHDHGEVCSYLVDMMLSSSFGHTSGSEATCTQKKKQVLAGMEQFFELLSTRRDYVTDSRPCKVYTRQRKRIHAK >A09p047400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41166233:41167533:1 gene:A09p047400.1_BraROA transcript:A09p047400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVVLDLEELRQLQNIAKRPRVLHLISSEICNLEKLRESASVSSAKPEVEPAVPVPVSSSVKPVSPSVNYVTLGTFSWDQDSEKVKVYISLEGVDEDKVEAEFKPMSLDVKIHGVQGKNYRCAIPKLHKEIVPEKCKVLVKPKRIVVTMFKSSRGNWMDIHYKEDKIKPSLEKEKDPMAGIMDMMKNMYEGGNEEMKTTIAKAWTDARSGKAGDSLKGL >A01p052100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29168390:29170839:1 gene:A01p052100.1_BraROA transcript:A01p052100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLTRIAIVSEDRCKPKKCRQECKKSCPVVKTGRLCIEVTPTSKTAFLSEELCIGCGICVKKCPFEAIQIINLPKDLEKDTTHRYRSNAFKLHRLPVPRPGQVLGLVGTNGIGKSTALKILAGKLKPNLGRFDNPPDWQEILAHFRGSELQSYFTRVVEENLKTAIKPQHVDQIKKIVQGDLGKMLEKLDERGMMEQIVADLELNPVLDRRAKDVSGGELQRFAIAAVFIKKAEIYMFDEPSSFLDVRQRLKAAQVIRSLLRPDSYVIVVEHDLSVLDYLSDFICCLYGKPTAYGVVTLPFSVREGINVFLAGFIPTENLRFRDESLTFKVSETPQESDGEVKSYARYKYPNMSKTLGSFKLEVMEGDFTDSQIVVMLGENGTGKTTFIRMLAGALKPDEGVEEDMPVFNVSYKPQTYDAQRECSVRQLLHERIRDAYMHPQFVSDVMKPLQIEQLLDQAICTLSGGESQRVGITLCLGKPADIYLIDEPSAYLDSEQRITASKVIKRFILHAKKTAFIVEHDFIMATYLADRVIVYEGQPSIKCMAHSPQSLLSGMNLFLSHLNITFRRDPTNFRPRINKLESTKDREQKSAGSYYYLDD >A09g511020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33522335:33531355:-1 gene:A09g511020.1_BraROA transcript:A09g511020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCTVDRCRDTRRDILDSADLETKAWLEPIDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTGETQDLDENGNLYDQAGHLRNATVESSMSLGGSQWCRPMSMNSHRSTYHDEDRWTDYSRHRSTSSADSTECNAVRILTHEEFASKHPHPPSPFYEKIDGSVNSTIDRQSESDVDCHNTPPIDRQASLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHRFWMFFRETKVTEEDIRRMFHQVRGKMKHRITLMKKSDPGKFAIPCIVKGVEFPHSMCDTEASRKVINYVDYGKELDFIGACHCGAEYETEYSESIDTTTSPSIDFNVSMVTDDHNNTRGHDDYSTGSWADSGFHESFAVDTEITSPHEEHTEEYDEEYWKERAIEMSLQDERLETHNFTNTFPTLFDAVQSTSVDPHPRPAKQPLTSIDTSKGTSIDIRAAAKTQEQENIPSLTRFTDTYINRLAPPKPPTHIRVNTQANKMNTLPSTSTEKSMKSNHLKNTNSAEITMPSIDVTASTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPYGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQLGTPDVILTDPNNHAGVTTTETNPDLSRQPKGQASIDGIMETSIDRVTPTSIDMDNPTSIDRRYECGSRAFDMYGARKFTWEQRDEYEVYRDECGHARSAAGEMIPVTKDKIRKILERASLFEESHICLPEHATSFILTRLAPELYTKEEIDEMVFGICEAQEKLGEELKTLVDETHQPLDRGNELFRCMAEMRTEIDSLRQQFEKEATASASIDAPCAKSIDVKPRCSTQHRDEWKVSYIDTRINDIYCPLNNNVDWLSTKIELLQQDLDTICKKDQHPATSIDMCTFTSLNAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLHEKSTSIDRLRGPWIDGRKPVELLPYTTAEVDKITSKIYTTLDNMEERLDKRCDDIYFPFDNKISGHHAEWLQKEVKAIQRQLAAQHQLSASIDRTKAKSIDDNSLRSTNEHIIASIDAESTTIGEQLIHKTMESMQKELTDLSAYAYDNIGWHQVSIDNIQERIQNISNVLGKMDDKRTRNDEATRNLGDPSRKTFISTDDETSTSFDIGNHTTIDVTLTHRSISNTIADSTKDAKADQPINYTLALNQFETRLGDDNLQGSLSQRTLGYRSKRIEQNLKPVQFWSLILQWKQTLTQERNLEREKLGTNFYLQIQRPRDCLYVLLEDKQKGHFTRADHLEVDERKNNRSIRISADDRYQEMPRQMKINIDRCTHVPSIDAWLEPIDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTGETQDLVC >A09g517690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53144274:53145104:1 gene:A09g517690.1_BraROA transcript:A09g517690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERAMYSLKQAVTEDPEDAVRWHQIPGANGDQEHGKPRGIGVLWLLAVETEYPSLLEAYGFNEI >A01p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7456556:7457574:1 gene:A01p015330.1_BraROA transcript:A01p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHKTLPIVFFFAFITLYLAILADAATFTVRNSCPYVVWAATSAPGKPGGGKRLNQGETWIITGDPGTTQARIWGRTNCNFDASGRGGCQTGDCNGVLQCTSYGRAPNTLAEYALKQYADQDFIDISVIDGFNIPMEFSSASGQCTRKIRCTGDIIAQCPAQLRMDGACNGPCPVLKTEEHCCNSGNCGPTPLSMFFKQRCPDAYSYPKDDPTSLFTCPSGTNYNVIFCP >A06p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3803768:3811684:-1 gene:A06p010520.1_BraROA transcript:A06p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSSPSKSPVPSLHPSLHFTPIPECEEDDFHEDRYKNRATPSSDGGSSATPSRHRHHRRSNEENNYQHTLTPLHHNGNRKRHDSSDSDDHGGSVSCNKCRPHHSHRDKFSVVPLESHHHNNNNNASFISSPNLIIKSIFQSLTRRSPKPSSAAPLPPRSSSSSASAASAADASREEQWRLAVAELSHKLIQATKKKEDAVVEASRLKTSMTELEKKLNKLEIYCHNLKSGLDECSNNNKKKQNVPVRKDTFNDRIIQQFLVSVSESRSSIRALSRALASQLRTVGGKVYERLSLLLQPFDVKINSFAKNPKSLIFYLEAILSRAFFEDFEASGFQKNGSTRILNPIDRCESNYASFNVLMELTWDEVLSRGTKHFSEEFSRFCDRKMSDVVSMLCWNRAWPEPLLQAFFGASKSVWLVHLLANSVNPGLQIFRVEGGDRFDPIYMEETGGDRYKSVVRAMVQPGFYVYGSVVKCKVVCKHSGGDEEEVVEDRVIRRSEIRISEKLVGIEGMSYSDSDSSSSQAGEYKNFRQLTRERLLYEMLRSTKTGSSKSTWKVLIMDKLTVKIMSYACKMADITDEGVSLVEDIFRRRQPLPSLDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPVSKELVGHIKKDSSVLPRIGALREMNLEFFAIDSQGFITDHERALEDLFGDEETSRKGDACLNVMASRIATVFASLREFPTVRYRAAKSLDASTMTTLRDLIPTKLAAGIWNCLAKHKQSIENFPQTETCELLILDRSVDQIAPIIHEWTYDAMCHDLLNMEGNKYVHVIPSNSGGEPETKDVLLEEHDPIWLELRHAHIADASERLHDKMTNFLSKNKAAQLQHGKRDGAELSTRDLQKMVQALPQYSEQIDKLSLHVEIARKINDLIREQGLRELGQLEQDLVFGDAGMKDVIKYLSTQELAKLSSDDMSAVNNMRLLGSAVDAKKNTPGAFTLKFDLHKKKRAVRKEREEEAAWQLSRFYPMIEELIVKLSKGELPKEDYPCMNDPSPSFHGSTSHSSSAASSSQGQAAQSMRSRRTPTWAKPRGSDDGYSSDSVLRHSSSDFKKMGQRIFVFIVGGATRSELKVCHKLTTKLKREVILGSTSLDDPPQFITKLKLLTANELSIDDLQI >A06p012990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5899981:5901916:1 gene:A06p012990.1_BraROA transcript:A06p012990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFALSKVVRRSQSLRLGACNAVYYSKLEVPLRERNGSVESNALIHDKHEAFSSSYELPWSYSTGRRSLSSDAGARSSGEEDGLQSEEELSCDEADIDGAELELLSEAGKSPGSKRSSELFKAIVSVSGLSVASALDKWVEEGKEINRTEIANAMLQLRRRRMYGRALQLAEWLEEHKQYELEERDYASRLDLIAKVRGLYKGELYVERIPESFRGELVYRTLLANYASTSNVRKAEAVFNKMKDLGFPRTSYACDQMLMLYKRVDKKKIADVLSMMEKENLKPTLYTYKILIDAKGATNDISGMEEILETMKSEGVEVDLRAKSIVARHYASAGLKEKAEKVLKEMEGESLVANRYVWKDLLSIYGFLQRADEVSRIWKICEANNPFYKESLAAILAFGKINKVKEAEAVFKKSVKMGHRVSSGMYSVLLRIYVDHKMVSEGKDLVKRMVDSGCNIGALTWDALIRLYVEAGEVEKADASLSKATQLKQIKPLMSSFMYVMDEYARKGDVHNTEKIFQWMRQSGYHSRFRQFQSLIQAYVNAKAPAYGMKDRMKADNVFPNRGLAILLANADPFKKTPLSDLLD >A06p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1440612:1441203:-1 gene:A06p004990.1_BraROA transcript:A06p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPERSKRLHNFTLPYLRWGQQRFLRCVNLPSHHLPSSSSSPSPDHAANRSVVAAARPWNLRTRRAACSEPGDESPAKIEIGVKRGLDVEEMEKDEKLKFSVSLLKKEIEEDFSNMIGKRLPRRPKKRPRTVQKKLNTIFPGLWLSEEVTIDSYDVPEALET >A05p049560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28842252:28844582:-1 gene:A05p049560.1_BraROA transcript:A05p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCF152 [Source:Projected from Arabidopsis thaliana (AT3G09650) UniProtKB/TrEMBL;Acc:A0A178V9F2] MNILRPPPTSSSSFPPCPNSNTLTAAASFTPTHNPISLCSINPPFTAAGHPTRRHFFARSASGTANSSAGDLSSFLGSSPEAYSTHNDQELLSLLRNRRTDEAWAKYVQSTHLPGPTCLSRLVSQLSYQSKPESLTRAQSILTRLRNERQLHRLDANCLGLLAMAAAKSGQTLYAVSVIKSMLRSGYLPHVKAWTAAVASLSAAGDDGPEESIKLFTAITRRVKRFGDQSLVAQSRPDTAAFNAVLNACANIGDTEKYSKLFGEMSEWDCEPDVLTYNVMIKLCARVGRKELIVFVVERIIEKGVKVCMTTMHSLVAAYVGFGDLRTAERIVQAMRERRRDLCKVLRECNGEDLKEKEEEEEAEAEDEDAFEDDEESVYTPRDEVIEEGSEDVFKKLLPNSVNPSDEPPLLPKAFAPDSRIYTTLMKGYMKNGRVADTARMLEAMRRQDDKNSHPDEVTYTTVVSAFVKAGLMDRARQVLAEMARMGVPANRITYNVLLKGYCKQLQIDKAEDLLREMAEDAGIEPDVVSYNIIIDGCILIDDSAGALSFFNEMRTRGIAPTKISYTTLMKAFAMSGQPKLANRVFDEMMRDPRVKVDLIAWNMLVEGYCRLGLIEDAKRVVSRMKENGFHPNVATYGSLANGVSLARKPGEALLLWKEIKERCVVEKREESSPPMLKPDEGLLDMLADICVRAAFFKKALEIIACMEENGIPPNKTKFKKIYVEMHSRMFTSKHASQARVERRVERKRAAEAFKFWLGLPNSYYGSEWKLGPRED >A03g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25148980:25150629:1 gene:A03g507170.1_BraROA transcript:A03g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASMKNTDDQKQLGSEKSQGAEEMEANMKNTDAQLQLGSERAQGDFEELEKMVKHLEEETKNLREQLTQRKQVMVVLMSDHEVKLSDQKKFMELKQESWKMERNNFVKQLSDLKKSMELKEESWMMERRDLKEQFEEQLHGHKMSMELKEESWKRELKHLEEELEKQHNEQNQEESWKKEESKLHKQYEKLLQENSSNMEKISAYQQLYSKDNLITGSPLERFQGLLPLWMTNAVAPKGYISGDELGVLTANLISITALIMFPLGFMTLCGTVVGSMFNNIVTKISMDPDAKVLPTLKKSFSQVPWVLAGAMVPLFVGGFIKDWGTRCAVTSVATLAVQVTSVGGWKASYGIKLGTAASMVGLGVIGIFLAAGLPQVIEMIGKK >A10g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10964751:10966400:-1 gene:A10g504460.1_BraROA transcript:A10g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENTRMTRAAVKRKASTAFATADEITVSKKRVVLGELTNVVVPNQEREIHKPKSTLIPAKKQTKNAPIPPPPPPPALDFESGSVDPQMCGPFVADICAYLREMEGKLKQRPLHDYIEKVQNDITPSMRGVLVDWLVEVSEEYKLVSDTLYLTVSYVDRFLSAKPIHRQRLQLVGVSAMLIASRKYEEISPPKVEDFVYITDNTFTRQDVVSMEADILLALQFELGCPTIKTFLRRFTRVAQEDFNESLLQIEFLCCYLSELSLLDYTCVKFLPSLLASSAVFLARFIIRPKQHPWNQMLEEYTKYKASDLQQCVGIIHDLYLSRRGNVLEAVRNKYKQHKFKCVATMPVSPELPLAFFEDVTIRDLKPCVGSC >A02p028020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14154892:14159340:1 gene:A02p028020.1_BraROA transcript:A02p028020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEEISSRLEAIITYTCVFNTRNSLQEETRTVKENLEALKIRLEETEDGLNYFTTTHAELVGEVVQPLGKERFLVKVNEGVRLIVNCSSLVDQSKLVSGTRVTLERRTFTIMRILPPKVNPLVQKMVYQHDGGDVSYSDLGGLTHQLRDVRDWIERPLIDPDIFERVGVKPPKGVLLYGPPGTGKTLLAKAIASNINSTFLMVVSSSLVSKYVGESPLMVREMFKYAREHQPCIIFLDEIDAIGRRRSSYGEGETRKCDRVLIELLSQLDGFNELDKVKVIMATNRPDVLDPALLRPGRIDKKIEFSLPNEDSRMRILKIHASGITKQGAIDYEKVVKLSEGFNGADMRNICTEAGMLALRAGHDYVVPSDFIKAVTKLGEAKKLESIRWGRPLLYFSFAWMESPKSKLFSASMEDVDEEIERRINDEKVKRGIAIMRCKSKLLIRNINQERTKTVKENLEALKIRLEETEDELKYFTTTHAELVGEVVQPLGKERFLVKVNEGVRYIVNRSSLVAQSKLVSGTRVSLQRRTFTIMRILPPEVNPLVQKMVYQHDGGDVSYSDLGGLTHQLKDVRDWIERPLIDPDIFERVGVKPPKGVLLYGPPGTGKTLLAKAIASYINSTFLMVVSSSLVSKYVGESSLLVREMFKYAREHQVKVIMATNRPDVLDPALLRPGRIDKKIEFSLPNEDSRMRILKIHASGITKQGDIDYEKVVKLSEGFSGADVCNICTEAGMLALRAGHDYVVPNDFIKAVTKLGEAKKLESSAHYSAGF >A03p038360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16012553:16014026:-1 gene:A03p038360.1_BraROA transcript:A03p038360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALCRTASRLRSVHRLRASSDLQSPPCISDALRHGDSSLPLSVRQLFSLSCGIERLKMNQRCLLSTSASDTTSKLPKSNDPESKSGGSDQKNERASGKDVRGAPVSWMSFFLLFATGAGLVYYYDREKKRHIQDINKNSIAVKEGPSAGKAAIGGPFSLVRDDGKRITEKDLMGQWTVLYFGFTHCPDICPDELIKLSASIDKIKEKSGVDVVPVFISVDPERDTVEQVHEYVKEFHPKLVGLTGSPEEIKSVARSYRVYYMKTEEEDSDYLVDHSIVMYLMSPEMSFVKFYGKNHDVDSLTDGVVKEIRQYRK >A08p014140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9458918:9461280:1 gene:A08p014140.1_BraROA transcript:A08p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSTTTPTNLKIHHYLICLRSFCLRIFDVDFLEHVSYMALVGSFSFNSFLSGVLSCTGTAFLASRFNVSLQLTVTCTLGECIETNSLLYVLLIVCLWIQVNKENKKFKDLAPKRAFADFVLSNLVFHLVIMNFFDRCPSPILSDVFMSCKNVI >A09p072440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55540411:55541567:1 gene:A09p072440.1_BraROA transcript:A09p072440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 20 [Source:Projected from Arabidopsis thaliana (AT2G20630) UniProtKB/Swiss-Prot;Acc:Q9SIU8] MTGREILHKMKLKAGFCGSETGRGKSRTWKNISHGFHFVKGKSNHPMEDYVVSEFKKVDNHDLGLFAIFDGHLGHDVAKYLQTNLFDNILKEKDFWTDMDKAIRNAYISTDAFILEQSLKLGKGGSTAVTGILIDGQKLVVANVGDSRAVMSKNGVASQLSVDHEPSKEQKEIESRGGFVSNIPGDVPRVDGQLAVARAFGDKSLKIHLRNQT >A10p000810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:400979:403206:-1 gene:A10p000810.1_BraROA transcript:A10p000810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 18 [Source:Projected from Arabidopsis thaliana (AT1G01110) UniProtKB/TrEMBL;Acc:Q9MAM4] MGKKNGSSWLSAVKRAFRSPSKKERNDPHGKEIEEDEEKKREKRRWLFKKPATQDSPVKPSGVSPPPPPQDSDNANSKTLPETAPTTPPNAGKSPSAVVSVATSASPVAVPNVTRRIYYARENYAAVVIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQSRVLDQRKRLSHDGSRKSAFSDSTHCGFESRYLQDISDRQSMSREGSSVPEDWDDRPHTIDEVKAMLQRRRDTALRHEKTNLSQAFSQQTWRTTGSQSAGRDHRAELEEERPKWLDRWMATRPWDKPPISRASVDQRVSVKTVEIDTSQPYSRERTGSPSRSQRPSSPSRSSHHYQPRSNFSATPSPAKSRPINIRSASPRYQKDPRDDYDRTAYSYTSNTPSLRSNYSFTARSGCSVSTTMVNNAAMLPNYMANTESAKARIRSQSAPRQRPSTPERDRVGLVKKRLSFPVPPQPEYDDINSLRSPSFKSVAGSHFGALLEQQSNYSSCCTESNGVEVSPASTSDYRNWLR >A09p056280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47919777:47921410:1 gene:A09p056280.1_BraROA transcript:A09p056280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 36 [Source:Projected from Arabidopsis thaliana (AT3G50990) UniProtKB/Swiss-Prot;Acc:Q9SD46] MAKPVMCIVLTQIVLVALFHLCMSSQTKECTSTSSLSPQFYDNSCPKAQAIVQSFVAKAHSNDPRMAASLLRLHFHDCFVNGCDGSLLLDNSGTIESEKRAVTNVDSARGFEVIDDIKSALEDECPQTVSCADILALVARDTTVITGGPSWEVYLGRRDAREASLIASNNNIPVVSSTFETIVTKFNDQGLGLIDLVALLGGHTIGNSRCKSFRKRLYNHSGNSDRDQTLNQNYASMLQQGCPISGDDQNLFALDYMTPNKFDNYYFKNLMTFKGLLSSDEILYTKSRDSMELVKLYGANEELFFEQYAKSMVKMGNISSLTGRNGEIRRICRRVNH >A08g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16303298:16304109:-1 gene:A08g508740.1_BraROA transcript:A08g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLSPIFWFTEINKNQKKYKFRNAQSSFHIYITRNPNPQFLFQYFNHNHLRKIKENFRKFPAIISSSKHSRRY >A04p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10734578:10744347:-1 gene:A04p017600.1_BraROA transcript:A04p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLSTSSFYIQLYQIIDDRSSDQIISWNKSNNNSFIVWDLKKLRSNILPKSSSVLGKNMTEFIAKLRSHGFKTVVKGPGELEFSHDDFERGPLMKKMMVKALSERIERFDAQIKSMKCRLKAKEASLKKSHTMRSPRPSSCSKCSSSPSSTHSLAATSLKSRLLTIFKKAQELTTLCDIEACVIHYGPDGELKTWPEDRDKVKDLALRYIQLDEAKRRKKSVNLYEFLNKNKDKKTMINKKAKRNVEELKYPISDHYSPHQINQLIHSLELSYSTLQERRRFLAAKANLEDRQHSLNPSHFTQESVLKNQELCVNDKNSNNFQHLCVSDYSAVQESALRYHSMLYDQNMMCMGNINNVQHPWLSNAHPTELQEPNQLMQRELNYGFDQNMCMSDTTNSFSVVDPCLPNMLPDDFCFDFQDPYGGNMVGNPSFSQDFFPDMSSSYVYGSRLLQESTLPSLSSSNITNENSEIPSNLPDDHRKMISKLSTSSFYIQLYQIIEDRSSDQIISWNKSNNNSFIVWDLKKLRSDILSKYSSVLGRNLTEFIAKLRSHGFRSVVKGPGELEFSHDDFARSPLMKKMMVKALSERIEKFDAQIKAMNLTVASGCSDRGGARSGHIDGGSGAAVSREKKRWSLVGSAFRVLLSSFSSSRFTLVSSAPSEEAVACDCLLDTLAADLEAGLTLPAIVSDLQRRGGCGDDRSYLGVNQQEKLTMRSPRPSSCSKCSSASSSSSSYSRAATSLNSRLLTVFKKAQELTTLCDIEACVIHYGPDGELKTWPEDRDKVKDLALRYIQFDKAKRRKKSVNLHEFLNKMEDKKTMLHKFKKRAKKNVEELKYPISVHYSPDQINQLIQSLELSYSKLQERHRFLAAKKQNESLNPNQFKQLPQESVLKNHEVCVNDQNNNFNLGGQIIS >A03p021730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9001946:9005524:-1 gene:A03p021730.1_BraROA transcript:A03p021730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGMRHGEEAIVPSGNDNEGVQVNGNNTGKIDEHDGSDGSKLSSFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKNFKNHVIQWFEVLDGLLGTYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQTEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSASAVYWAFGDELLDHSNAFSLLPKNGWRDTAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSACARQNAAEKPPFFLPSWAAMYVLNAFVVIWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPPVPAAAAAHAPVSALHHRL >A01p016500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8173907:8178348:1 gene:A01p016500.1_BraROA transcript:A01p016500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7I [Source:Projected from Arabidopsis thaliana (AT4G24170) UniProtKB/Swiss-Prot;Acc:F4JQ51] MSAGGGEEKILVSVRVRPLNEKEKTRNDRCDWECINNTTIICNAHNLSDKPSFTFDKVFGFECPTKQVYDDGAREHKQERKFTLKFSAMEIYNEAVRDLLCEDNNNPLRLLDDPERGTVVEKLKEETITDRNHLEELISICETQRKIGETSLNETSSRSHQILRLTIESSNREVSPESSAILAASVCFVDLAGSERASQTLSAGSRLKEGCHINRSLLTLGTVIRKLSKGKHGHIPYRDSKLTRILQNSLGGNARTAIICTMSPARSHLEQSRNTLLFATCAKEVTTNAQVNMVVSEKALVKQLQRELMRMENELKNLGLGSSSSSTSDEFHSLLKQKEEVIEKMEEQIQELKWQRDVAQSRVENLLKSAAEYQSSSSSVDYSRRKSYDSTDFDEPSLLNNMVKSNLYSPDEDGFLLDDTTPRIPENGVSNKWEEMGQRTIQEQEDACKEVRCIEENSERVIIQDTVDNIVEKKVESLSDENEAVESKKEDGDSFLEEIDTEKSLYAEHEAQDELTITKLAEELQETEQSTKKEDIGQNMSKDQPCVVEYKQNYKSSMADEDEAKEDADSSLNVKLEAQDELTIDKLVEEVQETEKSVEKQRQSSSKTEDMEQNLSKDQSCLENKQHYKSIIANDDEAIKSEKEDAGSSLSAKLEAKDELTIHKFAQEVQETEQRQSSKKEDLEQNLSKDQSCLEDKQHYEPLMANDNETIEFEKEDEAKDELSIKRLADMGQNLWPMANENEAMKSVKEDSDSSLKTIDTKMSLSAKHEAEVEQPTNKLEEANETNQYVEKEETNPSLSPKKEDTQQHVQVHGGSDDDETTYEALKNKVKEMQKTIEYFMSMHSAEENQSPSFNTISVNTSPGDSLKMMRRSRSCRENLLFTKAVAAAASGRFTFNTSNNASFDLDNTLSTDAQSTKDTDTETSGGSFHEFMAGLKQMAMQHHSRHESDTEAEKTKPERDTKAEFERQQSQIIELWGVCNVPLVHRTYFFLLFKGDPSDFVYMEVELRRLSFLKDSPEIVRKQSAKTLGREREWLAKQIPKKFGRKDREEVYKKWGVELSSKQRSMQVTHKAWTKAKDVEHCKESASLVATLVGFDESNMTPKEMFGLSFSPTTTLNVKSSGWSFSNSFSRISLTGGL >A03p056860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24667127:24668358:1 gene:A03p056860.1_BraROA transcript:A03p056860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFACLKFPESDRMAMAKSSFKLSHPLEARMGEATRIREKYPDRVPVIVEKAGQSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGSLIAH >A02g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13089972:13090769:-1 gene:A02g503980.1_BraROA transcript:A02g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMIKMRTQPEVRTGNAIKSSRQQRDQVLRGLYIGIDTHHIATTSKTVEEHTVMQNAAGSPIRVLQERTHFLMKVYFMRSVDAFS >A02p008850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3649717:3655616:-1 gene:A02p008850.1_BraROA transcript:A02p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASKSEVSSDEAYSSEEEAQVNDQVNVEEDDDEELHAVAHSADSDEEAPASDDEVVPVEDVDDEDEEDNEKAEMSKREKARLREMQKLKKKKIQDILDAQNASIDADMNNKGKGGLKYLLQQTELFAHFAKSEPSRSQKKGKGRGRHASKLTEEEEDEEYLKEEEGTIPGSGGTRLLTQPACIQGEMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGINGPHMIVTPKSTIGNWMNEIRRFCPVLRAVKFLGDPEERRYIREELLVAGKFDVCVTSFEMAIKEKTTLRRFSWRYIIIDEAHRIKNENSLLSKTMRIFNTNYRLLITGTPLQNNLHELWALLNFLLPEVFSSAETFDDWFQISGEIDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNGGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLNILEDYLMYRGYQYCRIDGNTGGDERDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTENAIEAKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDNAELYDFDDDNKDENKFDFKKIVSENWNDPPKRERKRNYSEAEYFKQTLRPGAPAKPKDPKIPRMPQLHDFQFFNLERLTELYEKEVRHLMQIHQKTQVKDTVEVDEPEAEGQSWFLSPCPLFLHYSTEPGFSTWSKRDFNTFIRSCEKYGRNDVKSIASEMEGKTEEEVERYAQVFKERYKELSDYDRIIKNIEKGEGRISRKDEIMKVIGKKLDRYRNPWLELKIQYGQNKGKLYNEECDRFMVCMVHKVRYGNWEELKTAFKTSPLFSFDWYVKSRSGQELARRCETLIRLIEKENQEYDERERRARKEKKLATNGTPSKRALGREAIESPTFLKKRKQMSMDDFVTSGKRRK >A05p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18024329:18024621:1 gene:A05p032110.1_BraROA transcript:A05p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLKISPHNYVKSKCHVSYMNNLKHGREFIVSDLRMCINALPGHFTKKKMMKAYLKHGNGEAHYIEGV >A09g513530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41204146:41204632:1 gene:A09g513530.1_BraROA transcript:A09g513530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLAVAVVVSPSSRRCFWPVPLLYMSLGFNGCTRSRVGELEAAIFSTLLRTTASSVVRFSSCCRLSISRKLQEPCVSRFEGAFLSGSSWRLVALSVVDSLSGPSR >A01g511330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32086591:32088981:1 gene:A01g511330.1_BraROA transcript:A01g511330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab escort protein 1 [Source:Projected from Arabidopsis thaliana (AT3G06540) UniProtKB/Swiss-Prot;Acc:Q8LLD4] MSDLPPYPPLDPTTYDLIIVGTGVPSSILAAAASSSGSSSVLHLDPNPFYGSHFASLSLPDLTSFLNSNSLPPPPSNTHDFLSVDLVNRSLYSSVEISTFEPETLEQHSRTFNIDLCGPRAVFCADESINLMLKSGSNNYVEFKSVDASFVGDSSGDLRNVPDSRGAIFKDKGLTLLEKNQLMKFFKLVQTHLASSSTEHDQTAVKVMSEEDMESPFVEFLSKMRLPQKIKSIILYGIAMLDHDQDNIEETCEHVLKTKEGIDRLALYITSISRFSNAHGALMYPMYGQGELPQAFCRRAAVKGCIYVLRMPVTSLLLDKETGGYKGVRLASGQEIFSQKLVLDPSITVGVESLPSLTDQQKETLRVLVPKAVSSKEKIARGICIIRGSVKADISNALVVYPPKSLFPEQLTAVRVLQLGSGLAVCPPDIHALYLSTVCGDDNQGKTAVLSAISTLIRPQVPEDLQTDSIAENDTAVTKPVVIWRALYVQELVKGEFGGEISSTSSPDGNLNYNEIVESAMKLYEQLMGNKELFKEETENTAEEDDGDGVEIED >SC178g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:115363:116285:-1 gene:SC178g500050.1_BraROA transcript:SC178g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDGGHELKVKEVGDNPHSQVQQSMAGFMKDDKMSCVLCTRSVLSSSPIQEKEEGIAHCHEQWRAVTEVVMSHWLVKTHGCSLPSLDPHSIQA >A05g506190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17669691:17671394:-1 gene:A05g506190.1_BraROA transcript:A05g506190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSLAVDPITDMDKTSLTSENGRWSGMTDSWWWWRRVDGITDQAGPPRARPPNETDLLGKRSVEANLCTVAN >A01p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1691727:1698073:1 gene:A01p003880.1_BraROA transcript:A01p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNDGELTEAILYVNGVRRVLPDGLAHMTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSNYDIKSKTCVHYAVNACLAPLYSVEGMHVISIEGIGHRKLGLHPLQESLASAHGSQCGFCTPGFIMSMYALLRSSKNSPCEEEIEECLAGNLCRCTGYRPIVDAFRVFAKSDDALYSGVSSLRLEDGSTICPSTGKPCSCGSKATNGEGNCNEDRIQSISYSDIDGAKYTEKELIFPPELLLRKLSPLKLRGNGGLTWFRPVSLQNLLELKANYPDAKLLVGNTEVGIEMRLKRLQYQVLISVAQVPELNALNVDDNGVELGSALRLSELLRLFRRVVKERPEHETSACKAFIEQLKWFAGTQIRNVACIGGNICTASPISDLNPLWMASRAEFRIVNCSGEVRSIPAKDFFLGYRKVDMGSNEILLSVFLPWTRPLEYVKEFKQAHRRDDDIAIVNGGMRVFLEEKGQELFVSDASIAYGGVAPLSLRARKTEEFLIGKKWNKGLLEDALEVIQSDVLIKDDAPGGMVEFRKSLTLSFFFKFFLWVSHDVHSVYPTVETFPPSHVSALQPVPRLSRSGKQDYETVKQGTSVGSPEVHLSARMQVTGEAEYTDDTPVPPNTLHAALVLSKMPHARILSIDDSAAKSSLGFVGLFLAKDIPGDNMIGPIVADEELFATDVVTCVGQVIGVVVADTHENAKTAAGKVEVMYEELPAILSIKEAINAKSFHPNTEKRLRKGDVELCFQSGECDRIIEGEVQIGGQEHFYLEPHGSLVWTLDGGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAAAVPSYLLNRPVKLILDRDVDMMITGHRHSFLGKYKVGFTNEGKILALDLEIYNNGGNSLDLSLAILERAMFHSDNVYEIPHVRIIGNVCFTNFPSNTAFRGFGGPQGMLITENWIQRIAAELDKTPEEIKEMNFQEEGSITHYSQSLQHCTLQQLWKELKESSNFLKARREADEFNSQNRWKKRGVAIVPTKFGISFTTKFMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFVSETSTDKVPNASPTAASASSDMYGAAVLDACEQIIARIEPVASKHNFNTFAELVSACYFQRIDLSAHGFHIVPEIGFDWISGKGNAFRYYTYGAAFAEVEIDTLTGDFHTRAADIMLDLGYSLNPAIDVGQIEGAFVQGLGWVALEELKWGDAAHKWIRPGTLLTCGPGNYKIPSINDVPFNLNVSLLKGNPNLKAIHSSKAVGEPPFFLASSVFFAIKEAIKAARTEVGLTKWFPLETPATPERIRMACFDEFSAPFVSSDFSPKLSV >A01p038140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14453075:14453815:-1 gene:A01p038140.1_BraROA transcript:A01p038140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTQLPNKSMIGLNQDHQNPTGIKVTFHKLWQLVGKVEAHVNDDGCVQFYFDTEHHLLLVQEKQPCTYHCWIVALDRWRNRGYPTFLKHIPFCIRIYNLPNPYHCHGIVRSIGSKLGQVDEISIIEPTTTKEAEVWLQQRKYELMDIGTNPYMSVQERNVAIEEYITIREAGESLGIAGLMHNHQGN >A03g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10843775:10844246:1 gene:A03g503310.1_BraROA transcript:A03g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYGLDDLLDFSNEDIFSASSSTSTVATSSSSSFPPSHHDHLPSSADHSFLYDICVPSDNAANLEWLSPFVDDSFADFLANPLGGTLTSVKTEPSFHGNQEANVRELQLPSPENGHRCRNTTSLR >A02p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4845218:4847398:-1 gene:A02p011170.1_BraROA transcript:A02p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPHITAISPNRSLLHSFNRPVYTGRHDQRKERTTMSSSSTSPTTAKRVVLVRHGQSTWNEEGRIQGSSDFSVLTTKGESQADISRQMLVEDSFDVCFTSPLKRSKKTAEIIWGSREAEMIFDYDLREIDLYSFQGLLKKEGKEKFGEAFGQWQEDPANFVIDGHYPVRELWSRAGSCWNGVLAHESNSVLVVAHNAVNQALVSTAIGLGTEYFRRLLQSNCGVSVLDFIPRADGGSPHTPSSPLAGGSSGGRKASKQIILVCHGQSDNEASTNDQPMNMLGLIQSQKTAELLLDLRVTSIVCSSSTASIETAKVISQVQEAAVSLGVDSVPRYVNTKQMNELDAEDIQPGWLSQLDEETVSALWNRSEKAWESLLEDLSNTGDAMVVVDSPMAHISLIAQCLNLDKKCLGLFHLDAGSISVIDFPDGPSEKGVIRCTNYTAHLGRWSVPITRPV >A02p015170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6771944:6774893:-1 gene:A02p015170.1_BraROA transcript:A02p015170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKENVKRGQWTPEEDNKLASYIAQHGTRNWRLIPKNAVLSQLYMYFMFPYCISPVEAFVSSLDGSCRILWSRGRFEELVCTAYFDRRPPPVVPRSRCQPPPLKPLGATFRCGKSCRLRWTNYLRPDLKHGQFSDAEEHIIVKFHSVLGNRWSLIAAQLPGRTDNDVKNYWNTKLKKKLSGMGIDPVTHKPFSHLMAEITTTLNPPQVSHLAEAALGCFKDEMLHLLTKKRVDLNQINFSSPNPNHNPNNFNQTVDNEAGKMKLDNGNGIMKLWDMGNGFSYGSSSSSFGNDERNEGSASPAVAAWRGHGGIRTSVAETAAAGEEERRKLKGEVMEQEEIGSEGGRGDGMMMMMRRQHDQHQQHAFNVDNDLWDLQADDLINHMV >A09p043890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35695912:35696867:1 gene:A09p043890.1_BraROA transcript:A09p043890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLRRTYRLALKIQRRIVSPNHPKIRQFLHRRTRKIFDVAVSVHKNIQHRDIEVGRNLGNWILRWLDRMKPAAQIRTRPEPNSNVDKAKRLSESNTTQSPPKRESDRHLFSSLNHFQHRPFPTVSTMIQPPSRPNGITTQYRHYSASAAASLIPPSYVRGSGFDGVLRKDILQWMLQR >A02p006570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2771581:2773493:-1 gene:A02p006570.1_BraROA transcript:A02p006570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMYEEERNNIVNNQEELRLEMAFPQHGFMFQQLHEENAHHLPSPTSLPSCPPHLFYGGGGDYMMNRSMSFTGVSDHHHLTQKSPTTTNMNDHDQLGEEDNLSDDGSHMMLGEKKKRLNLEQVRALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLERDYDSLKKQFDVLKSDNDSLLAHNKKLHAELVALKKQDRKEPSKIKRELAEASWSNNEGTDNNNNNNSDINHVNMIKDLFPSSVRSATTTTTSSHIDHQMVQDQGFCNMFNGIDETTSAGYWAWSDQQQQHQSHHQFN >A06p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6092955:6096535:1 gene:A06p013430.1_BraROA transcript:A06p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPPEEPSSPVPNASSMTPKPRMMIQRCENGCKMRKLNDDVEEDNDSSKMESNITLVEAENKLPVVSEPEAAAALVPHATKPETTEEEAQKVCAREQLYKLCGVRHWKAPLYTFFNQDGPDNTKLFKVEVSVEIKEASGITVLECFGDPHNKKKIAAEQAAEVALWFLKNHLYETDVNERAFEMFKAQRSNKVMRRSAAASSKKKSDSITSSTTDLFRSASSKATTKEMDRIDHLFNQYANTSSNLIDPEGIEELCSNLEVPHTDIRILMLAWKMKSEKQGYFTQEEWRRGLKALRADTLNKLKKALPELEKEVRRQSNFADFYAYAFRYCLTEEKQKSIDIETICQLLEIVMGSTFRPQVDYFVEYLKIQDDYKVINMDQWMGFYRFCNEISFPEMTEYNPELAWPLVLDNFVEWIREKQA >A03g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23035510:23042829:-1 gene:A03g506450.1_BraROA transcript:A03g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIVEDTEDKQILWKQHSKLKHPLFLSWRYDVFPSFSGEDVRKSFLSHLLKELHRKSINTFIDHGIERSRPIGPELLSAIRESRISIVVFSKNYASSSWCLNELVEIYKSFKELNQMVIPVFYGLDPSHVRKQTGEFGEAFMVSCQGKTDDEKQWWIQALAEVANMAGEDSRNWSDESNMIERIANDVSNKLLITPSNDFGDFVGIEAHLEAMNSVLRLDSEDVRMVGIVGPSGIGKSIIARALFSHLSSQFHYKAFGTENVLGMYFNTSELEEALFVNEESFKGMRNLTFLKVYKEWSRESGEGRLCLPRGYVYLPRKLRLLYWDEYPLTFMHFNFRAEILVKLTMENSKLEKLWDGVQPLRSLKKIRLDGSTKLKEIPDLSNAINLEKLNLWGCTSLMTLPSSIKNLNKLRKVSMEGCTKIEALPTNINLGCLDYLNLGGCSRLRRFPQISQNISGLILDGTSIDDEESSYLENIYGLTKLDWNGCSMRSMPLDFRSENLVYLTMRGSTLVKLWDGVQSLGNLVRLDLSGCENLNFFPDLSEATTLDHLELNDCKSLVVLPSSIQNLKKLTRLEMQGCTKLKVLPTDVNLESLKYLDLIGCSNLKSFPRISRNVSELYLNGTAIEEDKDCFFIGNMHGLTELVWSYCSMKYLPSSFCAESLVKFSVPGSKLEKLWEGIQMEGCTGLEVLPNDVNLVSLNQYFNLSGCSRLRSFPQISTSIVYLHLDYTAIEEVPSWIENISGLSTLTMRGCKKLKKVASNSFKLKSLLDIDFSSCEGVRTFSDDASVVTSNNEAHQPVTEEATFHLGHSTISAKNRASLRSVSPSFFNPMSCLKFQNCFNLDQDARKLILQSGFKHAVLPGKEVHPYFRDQACGTSLTISLHESSLSLQFLQFKACILLEPPTGYPSYRYACIGVWWYFRGERNIHNVCIDVDLCNVAHLVVFHFEVCLPKEVNCHPSELDYNDMVFEFESKSEHRIKGCGVRLINVSPSEDGSCTSSETQYKQQCGESDMENGRSKKRLGMALTSEKSSKLLRGSDTLIVIMSRRFHSFFYTNNFPHAVEGELFKNEKPYTTPSPEPEDVYKFFFCRRLSALFKDQTQILCKSSGNSKATRKVNCVRTIHQKDQSKQNTLPDFDSYTIRTKAQVHILVLRNTMLIMKSECWSVSEYENAVSRTDIPNALSLSMTSMIF >A09p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4818492:4820067:1 gene:A09p009330.1_BraROA transcript:A09p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKELDAAKKAASLAARLCQKVQKALLQSDVQSKSDKSPVTVADYGSQAVVSLLLQRELSSEPFSLVAEEDSADLRKEGSQDIIERITKLVNDTLATEDPLKPIDSTLSTDDILRAIDCGTSEGGPNGRHWVLDPIDGTKGFLRGDQYAVALGLLEEGKVVLGVLACPNLPLASIAGNDNKNKNSSSSEEKGCLFYATIGSGTYMQPLDSNSDPVKVHVSSVENPEDASFFESFEGAHSLHDLSSSIANKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYCEKIWDHVAGAIVVTGNN >A05p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3984858:3988115:-1 gene:A05p009490.1_BraROA transcript:A05p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSHSSIALGGASASASDYLRSSANGVTGVSLRALGRRTMVTATTRSSNNLYVTSRLKKGKKFDHPWPANPDPNVKGGVLSYLSEFKPLGNAQKPVTLDFERPLVELEKKIVDVRKMAEETGLDFTEQIITLETKYRQALKDLYTHLTPIQRVNIARHPNRPTFLDHIHNITDKFMELHGDRAGYDDPAIVTGIGTIDGKRYMFIGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEEKGQGEAIANNLRTMFGLKVPILSIVIGEGGSGGALAIGCANKMLMLENAVFYVASPEACAAILWQTSKAAPEAAEKLRITSKELVNLNVADGIIPEPLGGAHADPSWTSQQIKIAINENMNEFGKMSGEELLKHRMAKYRKIGVFIEGEPVEPEKKINMKRRDAVASTSRNLEGEVEKLREQILKAKEASPESEVELSSEVLNEMIEKLKSDIDEEYTKAAKAMGLEERLAATREEFSKANAEEHLMHPVLIERIEKLKEEFNARLSEAPNYESVKAKLDMLKDFSRAKAASEAASVKKEINKRFQEAVERPEVREKVEAVKAEVASSGASSFEELSDELKEKVLKTKREVEAEMAVVLKSMGLELEAVKPNLKEVVAESPNENIQEKLEKLNREINEKIEEVVRTPEIKSMVELLKVETAKASRMPDQGSQKIEALEMQIKQKIADALSMSGLQEKQEELEKELAAARELAGEESDESVKDDDDEDGSGSGRSEIINPSFA >A04p033270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19389101:19389752:-1 gene:A04p033270.1_BraROA transcript:A04p033270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSIIKNNFYSRFVVKSKLRTLINTNKNDFCLHITHHIKEKQTHPHHEIQETILTYTKAATTFPPAHSSYFMKIAYMLNVNMSMILSSYEKYINSFETN >A07p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18266907:18269285:-1 gene:A07p033410.1_BraROA transcript:A07p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELKDMNPNPPSSSKEDLPLLKSESDVSAAIEELDKKFAPFARTDSYGTMGMGPFPTAEKVKLAVAMVTLVPVRFVLAMSILLLYYLVCRVFTLFSSPYRGGEEEEEEEEGGGVVQEDYAHMGGWRRVVIVRCGRFLSRVLLFVFGFYWIPESCPDRDSAADSNPKTSSSEVAFKVIAQNGETDKEEPERPGVIVSNHVSYLDILYHMSASFPSFVAKRSVGKLPLVGLISKCLGCVYVQREAKSPDFKGVSGTVNERVREAHRNKSAPTIMLFPEGTTTNGDYLLQFKTGAFLAGTPVLPVILKYPYERFSVAWDTISGARHIIFLLCQFVNHLEVIRLPVYYPSQEEKDNPKLYASNVRRLMATEGNLILSDLGLGDKRIYHATLNGLFCKS >A03p024480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10342912:10344173:-1 gene:A03p024480.1_BraROA transcript:A03p024480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHEVLVSSLVRRSFPLLSIHRFGSPFFSRKGDIISGGPISDEAPQKVRNVLGIIPLMLESNRKLNWDGPDRNFLYAPPSSMIPLHNHPGMTVLSKLIYARPAKLVKDTEMTVPSPATTLYPRSGGNVHCFKAVTHCAIIDILSPPYSSDRDRHCTYFRKSRREDLPGEVEVDGEVVTDVTWLEEFQPPDDFVIRRVLYRGPVIRT >A07g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9857062:9862836:1 gene:A07g504740.1_BraROA transcript:A07g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHWMGDGLAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPTKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIAELTAKVDQLLKNNQGHVFSMEQATAGHFQNQNKRQPQSNQQAVPANENSQSDELQGLGMMMQQLLQGQQIQTKVLNHVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRKQETLPGRTDKNPRTEHCNAVEQLFAETILVAEENTEQSASSGVIVPSEPAETPPSRVYVSKVPYPIPPRHLMDPISEEQLIGFNEMVRRLPKELAFEDALQIRPLLKFFKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDF >A04g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18696766:18697655:-1 gene:A04g507490.1_BraROA transcript:A04g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDLETRNFSLCLLELNFTVNTLCHGFISFELLPLRTSFVLSKEFSYNNGGAAKNWPEENGSSCIKLLTGLCELICLSCRWGMKQMVRSCSYRIYMCISSSAFI >A02p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18525147:18526370:1 gene:A02p034160.1_BraROA transcript:A02p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDFVSLLNLPLGFRFKSSRVIHLPSVRKQHNAGYKHKANVRIYYQQFEEQQTQSLIDQRIKEHLGQVGGVFNQQHMLAGPRLPMMPPPGTMPMGMRPPLLPRPMMPAQDDGTAWCSSTSTERYAEATRNAPIPGQGGGPPPNYNGLPPPPPPPSYPTNPAAAPPSGGGGFNNPNPGAESPESHE >A07p038920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20693974:20696510:-1 gene:A07p038920.1_BraROA transcript:A07p038920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPDQPPVSTSPPPPPVVSPPLGSSLPSNNATSPPPSQSPPPATPPPVTSPPPPNTAPPPVTTSPPPPPLPKPPETSPPPPQPVIPSPPPSTSPPPPSVQPPQASPPPPLPSSPPPPSSVPPPRPSPSPPISQRSPPPSSGRPSQSPPPSPPPERPIQSPPPPPPSPSGRPTQSPPPPPEDTTPPPSDTLPPPTFSPPPPVQDSPSEILVPPGSNNTPQNNLPPSAPDTSNSTHSSSIGTGPIVGITVAVALIVFSLIGLIVWCVRRREKRLSAVSGGYVTPSPMSSSSSRPDAAFFRTQSSAPIVSGKRSGSYFSQSQSGGLGNSRPLFSYEELVKATNGFSQENLLGEGGFGCVYKGVLPDGRVVAVKQLKIGGGQGDREFKAEVETLSRIHHRHLVSIVGHCISDDRRLLIYDYVSNNDLYFHLHASKEVLDWATRVRIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLEDNFDARVSDFGLARLALDCNTHITTRVMGTFGYLAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDTSQPLGEESLVEWARPLISHAVETEEFDSLEDPKLGGNYVGYEMFRMIEAAGACVRHSAAKRPRMGQVVRALESLSAEDLTNGMRLGESEVFDSAQQSAEIRLFRRMAFGSQNYSTDFFTHSSHNSRDQNV >A08p018850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12928137:12929158:-1 gene:A08p018850.1_BraROA transcript:A08p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHNNHKNRPSRRATWKKNNSLSLRRYR >A06p050930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26757665:26758452:1 gene:A06p050930.1_BraROA transcript:A06p050930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVMVVIDESNSSYDVLVWVLQNLKDISDSNKLLIFAKQPQSSVTPISLSSSVAFAQLFYPFSPSGELIRLAQQKNMKIALGILEKAKKICGNHGVGLITINQQIKADTFTDVGDPNEPIHKIIQERKVNLLVTSDQQNQSLKKCLHNTDCSLLVVEKGIRIN >A01p003770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1637264:1637962:-1 gene:A01p003770.1_BraROA transcript:A01p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 19 [Source:Projected from Arabidopsis thaliana (AT4G35040) UniProtKB/Swiss-Prot;Acc:Q8VY76] MEDGELEVFSSNGSIDSFFDGLLMDSHHQHQQGACTHTHTCNNSTGTEPHAHTCFHVHTKILPEDSDEKVSTDDTAESCGKNGEKRPLGNREAVRKYREKKKAKAASLEDECSRLRGLNQQLVKRLQSQGALESEVSRLKCLLVDLRGRIDGEIGSFPYQKPNIPSFSHLVNPCNVQCEDEVYCLGDGFGVGNNRQEGGGDFDQLQCMTDQNLNGSFNSANVSASNKRKGGV >A04p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1378246:1379645:-1 gene:A04p002880.1_BraROA transcript:A04p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSEDNIVTRQVIKSFFVKLQEEGEGSLVRNAITEMDQKLLDPFVLLVEFSFSLSVGYPDHPHRGFESLTYMLKGGIIHKDLKGQKSIIKAGDVQWMTAGRGIIHSEYPEQEVNNGLQLWINLPSIHRMMEPKNLELSGSEIQRAEEDGVEVKVIAGDSMGIKSPSHTTTPMMYLDFTLKPGSQTHQTVPYSWTAFAYILEGDEGVFSSMNSSAISAHHVVVFGPGELVSVWNKSSSRSLRFLLIAGEPIGEPMVQSGPFVMSSQAEIDMAFEDYQNAKNGFEMAKSS >A06g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17983703:17986342:1 gene:A06g506410.1_BraROA transcript:A06g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVVYLEDLKQLEDPKNNVVDVAKATNQSAKMTNDNNTPIDTTNVTQTPLNAASTDTT >A01p005940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3069826:3073044:-1 gene:A01p005940.1_BraROA transcript:A01p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMKEDERTEKAIRSLLKLPENRRCINCNSLGPQYVCSTFWTFVCINCSGIHREFTHRVKSISMAKFTAEEVSALRAGGNERARQIYFKEWDTQRDAYPDASNIFKLRDFIRSVYVDKRYSTESNDKISQQKPAVTEDYKESKKASANFLGSRSFHSVDKPEIKSGNRSLKYYFEDKEPKQQQQQLVTHNPKSRALPKSPIRFEIVDDRYRDDGSVKRYDARRETRGSSKSLDLSNNKETSGLPIVRHASELIGENHPRLRVEKIVKVEKKKDPVNNQVAASSNKMVSPGSLIDDVPVSEPSQKAIEGPAPNSLEALLFGYSDPSEDNHPSANLETQEVPRTQDTVTSVVTAPTISHAGSLELALLPDAGDNLSTKDMATTSAAENNQVESSTPPKEPSDTSMEQSTLAITNYAYGDHQEETKSSVRSALPEDLFSGGFSFASPQVHAQHHGMGYGMQYYQYPMATGAFPYTAKPSSNPFDVSYDDTCPNQTPQYPSMEYTQGGGLPLVSIPRGASDSSSMAADSFGLMMASQSPFYAPALSPTSPSLASNLLPGAYAGQQPHVNMPPSFRRQEMNSMGNAEATYNGAHSYHQANFNGYPSVNPNAYVSRGNPFD >A09p023040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12685940:12688188:-1 gene:A09p023040.1_BraROA transcript:A09p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKVQSPETTAVEDNNHQRITSSSPTPPPPPSHWMGMRYPSPMMMPHHMMYPPPPYSPYHHHNHMYQHHHHQSRGNKHQNASNAENKTIWIGDLLHWMDENYLNSCFAPAGEMASVKVIRNKHTGLSEGYGFVEFDSHDIAEKVLQDFNGVTMLQSDQPYRLNWASFSTGDKRLENGPDHSIFVGDLAPEVTDELLHKLFFDKYPSVKTAKVVIDGTTGRSKGYGFVRFGDDGERSKALVEMNGVKCCSRAMRVGAATPRKPNGYQHQGGGAAPRDGDSLNTTVILENAEEALEKLNGSVIGKQTVRLSWGRNQGNKQPRGGYGDQWVEPFYRGQYYNGYGYMPLPPPIDPRMYGAAPYGGYPMYGGHQQQQQQVS >A03p034310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14494683:14497168:1 gene:A03p034310.1_BraROA transcript:A03p034310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLLLRSTCPRLSKRHKRRNRSFPSHHGSIHHTLLTELKQYRHSNLLLSLRPHLHYLHQHRNPSFLHERRLRLRHRHKQAHHKRRLVHHVGSEVSHQFHQRLHDSRLHGSEFVLLSRAERVESRRRVLLGPESSRFKKAHEERNRSRSPDRDLPEQSAGGVLLGGRSSHLQGSDERLDGAGGSDEVLVLVAEGEVEDGGDTVLLELRIGGGDQGDERLDGSGVGDFDAVLGFVLGEEPDLHGGLLLLVDGGGGGVEVSDEVTDEVVGVIGGAGEEEAAALGGGGGELAGSVAVAVAEGGGVVVDTQIAEIATQVGETGAVIRLHGCREGERERERERERERERERDRICDSQAKEKREMESSRGGGQGGIQQLLAAEQEAQHIVNAARTAKMARLKQAKEEAEKEIAEYKAKTEQDFQRKLEETSGDSGANVKRLEQETDAKIEQLKNEASRISNDVVEMLLKHVTTVKN >A09p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5401239:5411732:1 gene:A09p010610.1_BraROA transcript:A09p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDWVDLGLGSIGGALVSEALKLVIEEAKKYKSFKPLSKDLVSTMERLLPLTKKIDSMQNELDLGSGELKQLRETIEKARVLVLKFPSVRFYEKSNYTRKIVEINEDLAKFCDIDLQLLQYRNQLTLLGVAGNLVDKVDGLSKRMDGLMSVPVPVFRDLCSVPKLDKVVVGLDWPLMELKKRLLDDAVVSLVVSAPPGCGKTTLANQLCHDADVIGHFKHIFFNVVSSTPNFRVIVQNLLQHSGYAPHTFENDSQATVGLRKLLEELREDGPILLVLDDVWRGAESLLQKFRINLPDYKILVTSRFDFPSFGYNYRLKPLENEDAKALLIQWASRPHNTSQAEYEDLLQKILKRCNGFPIVIEVVGVSLKGRSLNTWKGQVESWSQGETILDSPSQPTVLECLQPSFNALEPNLKECFLDMGSFLEDQKIRASVIIDIWMELYGKNSSILCMKYLEDLASQNLLKLVPLGNETEDGFYNEFLVTQHDILRELAIRQSELEAILERKRLNLEIKEDTFPDWCLNAPRNTVVNASLLSISTDDLFSSNWVETDCPNVEALVLNLSSSDYALPSFIAGMRKLKVLTITNHGFYPARLRNFSCLSLLPNLKRIRLEKVSVTLLDIPRLQLASLKKLSLVMCSFGEVFYDSEEIDVSKALPSLQEIDIDYCYDLYELPYWVSEVVSLKTLSITNCNKLTVLPEAIGNLSKLEVLRVSSCINLSELPETTDRLSNLRFLDISHCLGLRKLPLEIGKLEKLKKISMRKCWRCELPDSVRDLEDLEVKCEEETRLVLWERLMPKMRNLRVHEEETEHNLNLLQMFFRSGRLLDDLPVSRLEVSLEVVWTSWKSSDKVVWTSRKSSGQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSRLEAVWKSSGSRLDFLKVIWTSYKVVWKSSELPKSLLAKSSELPGSRLDFLEVISKSIAKITSVLTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFLSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAILIQIKKLSKEKISLSSKFNIKFRKILSVHRNRTMMDSIQSFDALPHNLRECFLDMGSFLKDQKIIASTIIDLWSELHDKENIIYMNYLQELASHNLLKLLPLGKNKYEDGFFNEFLVKQDNILREFAIHQWEKESLSILERKRLNLDIQDNKFPNWCLNLEHPVTLNVSLLSISTDDSFTSCWVEMHCPNAEVLVLNLSSSTYALPNFIATMKKLKVVMIINHGFELTKLTNLSCLSLLPNLRRIRFEKVSITLHDIPKLQLKCLEKLSLWLCHFNDAPNELEDLEVDVTETLQSLQEIEIDYCYNLVELPHWVSQVVSLKKLSITNCNKLCRLLEGIGNLRNLEMLRVISCSNLFELPKTSERLSNLRLLDVSGCFQLKTLPLEIGKLQKLKKVSMRDCYRCELPDSVKNLEDLEVRCDEGTVFLWERFKQKMKNLIIIEEETEHNLNLLQLF >A03p015250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6048254:6048642:-1 gene:A03p015250.1_BraROA transcript:A03p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKLLLTFALVVIMSISYDLFSGIGINARIVPPTCYEGCNATFHNPECNKFCIGLLYKDGSCFDPEGPSKRPYYRCCCDPIILPPPSL >A01p015040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7308140:7314082:1 gene:A01p015040.1_BraROA transcript:A01p015040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCPEDEKAVLLLPTRLQGDDVEKGAYVKLSEGHESSSSSSSGQEEKEEEEVKEAATSPFWFWVKLALLFSFLASLAFVAYRWLGPLIMDKELIPIIKWEIRTFTHPVCGLLVFASVAVFPTILLPSTPSMWIAGMTFGYGYGFLLIISAASVGVSLPYFIGHLFRHQIQGWLERYPDQAVVLRAAGEGNWFHQFRAVTLIRISPFPYILYNYCSVATRVKYCPYITGSLLGMVPEIFVAIYTGNLVKTLAEASSADKHGLSVTQIILNILGFLGTVATTVLITKYAKRQLETMKKEEEALSAPRCERALVILSPWFFISLINTAFKKKKKLTPFLILRCNESELSLYKDMEMEPMVNEVKEIDMEYEFDAARWYDFARMELRAESEAAELWFHSAPSYAPSPFVTTLLLREEVSYDKTEKDEEVTADVCDMDIQIYHQHPHLNKNGKMRFGSHLKKVPNQPICKGPPVSNHNHSDNKPKFRAKSSVRPNPRVSTLMRPTASQLAKQNHASKFVDNIHEKGLCGTEVQAAKRQKLDGGLLRKVAGTKQEMSFVHKIPKKDTTLDKNSQHAKTKITIPHGADFVTSQRALRIRQKNDAKLEQDSTAVYKFKARPLNRKIFDAPSLPVRKKSTPKLTEFQEFHLKTSERAMQHSSAVTKMSNEWIHAYKGPDKSNITDVFDGVSMESRRPSGLDISKHDVSEGKHVFKARPLSKKFPDKDETDSEMATQEFNFQPEKRVQQDLPTDLFSKLSIKSELKQNNGSRLRFTQAKGFKENRVNSFQAGNEVTPVEKIVSSAGQHILSGHIRIIPETNQRWTASRSFAIR >A05p012860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5617764:5618553:1 gene:A05p012860.1_BraROA transcript:A05p012860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFRFHQYQVVGRALPTDKDVQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPAKLCKRESTKQFHNSKIKFPLVFRKVRPPTRKLKTTYKASKPNLFM >A01p044970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24032113:24034386:-1 gene:A01p044970.1_BraROA transcript:A01p044970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFARAPTVVLWDTFDCPIIPDDDFTEVFLITKSALEEKGIITGVYGSVEFRAFVGDMNECPGFPVFWIDKAKGKDDRLWSIITFLLAQANYYSSSTTFFNLLLVVGDISEHEEFQRTIRLLNSRRKFNVLLAQPPPQNASSSSGEEELFDKDWLCSRLAAGEQLINKLGIGKTFEPPLKHIPSHQVALTSHQVALPSHQVATKVPFAKALCLSKHMAERARTCVFWDTVAYPLPAGLHSDDVLQNIEDALSERGYSGRVSITAFLDDHPSPPGFTGCYVFYLNDGERDARHWQIALHLLAAARRTRIEPLNHMLLMGDISGHIELLRTIYLLNLRFSYNVILTQPPPENASSGEPLGKDWLCSSLSAGDKLLSQIEQNLIMSTVVQPLTPWQERYKAATTVVFWDLVDCPVPVGRTAAVASQIIRSAFEKMSYRGTVTIHAFGEVSNLDPLVSELRDVECHNENTPTPSGVFLEDMNPSGIVFHHTPTAHKDARREMMRDELRDWALGNDAPTNVMAILRDTSEDKIFTGYLDVLRSINYNVVIAQPQNVLGQLFDLEWLCSRLGDRFLPRTRNPASYDKSRFR >A10p030220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18305609:18307051:1 gene:A10p030220.1_BraROA transcript:A10p030220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSVEVAVVDISVPRITAWNSDQLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGFAAVKALKDVYSQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSEVSYAVGKDSRIGPKFLNSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKTRFVNRIVSSMFNTVSNKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARISIYDPQVTEEQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVSVAWDAYAATKDAHGICILTEWDEFKKLDYEKIFENMQKPAFVFDGRNVVDAEKLRKIGFIVYSIGKPLDQWLKDMPALA >A05p003980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1546693:1547184:-1 gene:A05p003980.1_BraROA transcript:A05p003980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKRSSSSSTPISVKLKTMFLNLITHSRYSLLRSLSRAKSILIEISKHNKKRLFMMMFYLKTSSIHQRKVFFGSSSSHVVHVTTSFSISLHADEDEDGHESEYFEWREEEVDESNNINDDHSVGDVDIDRLADMFIASCHEKFLLEKVESYRRYQDMLERSL >A04p028640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17194373:17195093:1 gene:A04p028640.1_BraROA transcript:A04p028640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFERRPPLLLSGDVSPWLGPLSGIGGSLSTAWPVFGSGVPSFSLVGHRLSASSSSHFSPAKTVAFSPDLCGLSSSLLWLAYVSAELRRIFMALMEGSSFDFSRFDVLRILVLHRFGFDILLVVLLKSFNGLFFFDDLFPLCPVDRREVVPVTIFSVKTVTDLNTRVPPLLSVFTRVPHTPIG >A04p036430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20955727:20957481:1 gene:A04p036430.1_BraROA transcript:A04p036430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIASAFKALCLSFLFVSVACRSTNGSKTFTSIWKSACARSGSSKIYVPNGTFYLGGAVVFEGPCINQIEFIIDGTLLAPSNPGDIKNKTWINFRYINNLLISGAGTLDGQGKESWKLNDCSKNPSCPILAMVSFLNHFIHSYNLVYKTFDYSNMGFAFVNNSRINGITSLNSKMGHFDFFSVHQFNITGVTIKAPGDSPNTDGLKFGFCSNIHISDTHIGTGDDCIAILSGVTDMDISNVKCGPGHGISVGSLGKGKDDKDVNGLTVRDTVFNGTSDGIRIKTWESSISQIVVSHLVYENIQMINVGNPINIDQKYCPYPPCKKKGESHVQIQDLKLTNIYGTSKNKVAVKLKCSKSFPCKNVELVDINLENKGSKGPSTAVCENVHGSAHGKMVPEHCLNGPW >A02p054610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33141262:33142235:-1 gene:A02p054610.1_BraROA transcript:A02p054610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEETNLATSFSDDTHSGFGLPDLYLSDEWMDDDLVSAVSGMNHSYGYQTNVDAVFFSGSSSSFIQPTSPSTKASVAPASAETTTNVQLMPVQSRKGLKEIKMIQALL >A01p009890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4797275:4800369:-1 gene:A01p009890.1_BraROA transcript:A01p009890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase 5 [Source:Projected from Arabidopsis thaliana (AT4G28490) UniProtKB/Swiss-Prot;Acc:P47735] MLYYLILLLLLCLSSTYLCLSPNHDATILRQAKLGLSDPAQSLSSWSENDVTPCHWRGITCDATSAVFSVNLSSFMLLGPFPSVLCRLPSLSFLSLYNNSINGSLSGDEFTSCRNLSQLDLSENLLVGSIPESLPFTLPNLRHFEVSGNNLSDTIPASFGEFRKLEKLNLAGNLLSGTIPASLGNVSTLRELKLAYNLFSPGRIPSQLGNLTELRVLWLAGCNLAGPVPQALSRLTHLVSLDLTMNQLTGSVPSWITELKSIEQIEIFNNSFSGVLPEAMGNMTMLKSFDASTNKLTGKIPDGLAQLNLESLNLFENMLEGPLPESITRSKTLTELKLFNNKLTGEIPSQLGASSPLQFVDFSYNQFSGEIPANICGGGKLEFLMLIGNSFSGEIPINLGKCRSLTRVRLNNNKLSGHVPEEFWGLPRMSLLELSENSFTGRISESIAGAKNLSNLRISKNQFSGSIPGEIGSLNGLIEIIGDENSFSGDVPSTLVKLKQLSRLDLSSNQLSGEIPRGIRGWKNLNELNLANNHLSGEVPRELGDLPVLNYLDLSNNQFSGEIPPELQNLKLNVINLSYNHLSGRVPPLYANKIYDSSFVGNHDLCVDDHDSLCRKKSTRSQNIGYVWILLSIFILACMVFVVGVVMFIANCKKMRASKSARFSASKWRSFHKLHFSEHEIVDCLDERNVIGSGSSGKVYRVELSGGEVVVAVKKLNKTAKGGEDDSLNRDVFAAEVETLGTIRHKSIVRLWCCCSSGDCKLLVYEYMPNGSLADVLNSHCKGGVLLGWPERLRIALDAAEGLSYLHHDCVPPIVHRDVKSSNILLDADYGAKVADFGIATIGQMSGTKSPEAMSGIAGSCGYIAPEYVYTLRVNEKSDIYSFGIVLLELVTGKQPTDLELGDKDMVKWVCTTLDQSGLESVIDPKLDLFKEEISKLIHIGLLCTSPLPLNRPSMRKVVIMLQEVSGAVSSSGPNASKRSKSSGKLSPYYVEDVNSV >A06p055550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29009275:29010299:1 gene:A06p055550.1_BraROA transcript:A06p055550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSCPILGPRCDFCDCAGRACQSHFPGMKVEWPELKGVSGIEAKKKIESDNPHVTAFIYPQDVYLPSINCCNRVVLYVPSDNCPNGPVTNIPIIG >A09p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1943514:1944094:1 gene:A09p003270.1_BraROA transcript:A09p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDLVELKFRLYDGSDVGPFHYSPTATVSMLKERILSEWPKDKKIVPRTANDIKLINAGKILENGKTVAQCKAPFDDLPKSVITMHVVVQPSPTKARSGLVLLRRKLKRKKPHREAFAHVP >A06p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:204021:205330:1 gene:A06p000640.1_BraROA transcript:A06p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSTRSLIITIVITSMLVGFGSSDLDQDREECTNQLVVLSPCLTYVGGNAKAPTKDCCGGFGQVITQSQKCVCILVKDKDDPNLGLKFNASLAAHLPTACHITAPNITKCISLLHLSPNSTLAREFESLGRLEASANSAPPLQNVKDGAGGGKAESVKSDGGKKKKSWLAVELLIFALFSHLLLVISSFTSSSFI >A04p014000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5255385:5256287:-1 gene:A04p014000.1_BraROA transcript:A04p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLKHPFEDPPSSSAGEEEIETEEEDQQHLHTIFSSEEDEPEDPPPRSVSKKRLSTNVSNSTNVAKKIYRQGGKMGTTNNNTPSFTRVFSEKDEIVLLQGVIDSQVENPLKYKRSFYQSMKGSFSFDVTLVQFKDKIRNLKRKYKAKEKSGEQASASASNPHQQKCFQLSKAIWGADGIAFESKESERGLTKKRDLVTPGMGNNNAQEDMEKAPLVEKESTDWDDESSFFLGMDFLKEKWTKLPAETKKGTQEKMKKLHANELECQKYEEMLKVMKDKCARDKVELLNEVTSLIMAAD >SC263g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000112.1:17436:21928:-1 gene:SC263g500010.1_BraROA transcript:SC263g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWCGHVKGKPLVEMATEEGQTRNLKSEDEADQETTLESGIEEAYEERSKLVKVSGDKRVICGLRQGKDELYQLVGRLREGWMELDVLRPSTADPRVIKKRRKQDVFFSFLVEEIWVLTHQACAVWEENKRSNQWKGGTSCKKGRLRKLFRVWLKIGKAWKKNRESGYLTDKMSLKMIKEVAQQMVRGECSYSAYMSNSVEDSMVMKEQEIKRADDPITKKEWDGFVKYSESGVQEQHQGDSGHHDQEVTEEVENVPQVRVDEQGEVHDPEEVTETETELKLGKLPWLTLESKPRPRAVWIREEQSG >A05p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5913149:5914441:-1 gene:A05p013550.1_BraROA transcript:A05p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CutA, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G33740) UniProtKB/Swiss-Prot;Acc:P93009] MALSLGSRIGSSVLIRRRIPFVGAFCVLSLGLSSLSSSFSSSSYKPGCAQSLSFAPLLRSRFSSKASSSSIKMEEGSKTVPSIVVYVTVPNREAGKKLANSIVQEKLAACVNIVPGVESVYEWEGKVQSDSEELLIIKTRQSLLESLTEHVNANHEYDVPEVIALPITGGSDKYLEWLKNSTRN >A03g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7712791:7718591:-1 gene:A03g502400.1_BraROA transcript:A03g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFKNMHIYIKSYRSSPVHLSFHQPFQNTNTMSLSRLHSCFVSIFLLFASHVLMMNALVCRPDQIQALMEFKNEFESNGCNRSEYLNGVRCDNATGAVTKLQLPSGCFTGILKPNSSLFGFNHLRYLNLSHNNFTSSSLPSEFSNLNKLEVLSLSFNGFIGQVPSSISNLIHLTHLNISHNEFTGSFTLVRNLTKLSFLDLSFNKFSGAIPSDILFTMPFLTHLDLKKNSFTGTIKVPNSPSSSRLVFLSLGQNQFKGQILKPISKLINLNHLDVSSVNTTYPIDLNIFSPLKSLLVLYVSRNSLLPSSLNSSDISLHLESLVMRGCGITEFPTIIKTLQNLQYIDLSSNKIKGKVPEWLWKLPRLFQVNLVNNFFTDLEGSSELPRSLRNCSFLRFLSVDNNKIEDTFPFWLKALPNLQVFTLRSNRFFGQLSPPDQAPLAFPELRILELSDNRFTGNLSPSYFVNWKSSLFKTDEDGRMYMGDYKHAYFGYEDTMDLQYKGLFMEQGKVLTSYSTIDFSGNKLEGQIPESIGLLKALIALNLSNNAFTGHIPLSLANVTELESLDLSRNQLSGTIPRELGRLSFLSYVSVAHNQLKGEIPQGPQFSGQAESSFEGNAGLCGLPLPKSCFAPPTEQPKEEDEEEEEGVLNWKAVVIGYGPGLLFGLVMSHVIATYKLKSLLHVFFGLIISSKTLSITNTMPRSRLCIRFISLLLFTSSFLMIHALTCRPDQIQALMKFKNEFDSPGCNRSDYLNGVQCDNATGLVTKLQLPSGRFTGILKPNSSLFELRHLRYLNLSHSNFTSSSLPSEFSNLNRLEVLSLASSSFTGQVPSSFSKLISLTHLNLSHNELTGSFPLVRTLTNLSVLDLSDNQFSGAIPSDLLLTLPFLSHLSLRKNHLNGYIEVPNSSFSSRLVYLSLGENQFEGQILEPISNLINLEYLELSSLNISYPIDLSIFSSLKALLILVISKNRLLPASLSSGSDIPVSLESLVLSGCDILEFPRMLKTLENLHHIDISNNRIKGKVPEWLWKFPRLRVVSLVNNSFTGLEGSSEVLLNSSVQLLDIAYNSITGDFPTPPLNIIYLSAWNNSFTGNIPLQVCERSSLRVLDLSYNNFTGPVPQCLSNLKIVNLRKNSLEGSIPDEFYNGALTKTLDVGFNRLTGKLPRSLLNCSFLKFLSVDNNKIEDTFPFWLKALPNLKVFTLRSNRFFGQLSPPDQGPLAFPELRILEISDNSFTGSLPSSEIPQGPQFSGQAESSFEGNAGLCGLPLQGSCFAPPIQLPKEDEEEEEGVLNWKAVVIGYGTGLLFGLLIAHVIASYKPKWYVKIVCPDKQKEVDSVRLCTSLDSRCDSFNNRNSVE >A05p025060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12240540:12243246:-1 gene:A05p025060.1_BraROA transcript:A05p025060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTPRDVLEGLVKDTSLKWLLGKQTSLDDEIEEIENSPSAGSNWIPELSPVANVVIRRCSNDGRRHLCFVARILGVSVNELQDSFKEEASGSVKQPSMFPRNFLEYCCFRALALSVGVTGHLSDKTFRRLTFDMMVAWEVPSAASQSLLSVDGDPTVGLEAFSRIAPAVPIIADVIICENLFRVLASSSNGLRLHFSVYDKYLYGLERAIKKMKSQSESSLLSYVRSKGEKILEIDGTVTTQPVLEHIGMSTWPGRLILTDHALYFEAIKVVSFDAPKRYSLSEDLKQVIKPELTGPWGTRLFDKAVSYKSISLPEPVVMEFPELKGHTRRDYWLAIIREVLYVHRYMNKFKIVTGVAKDEAISKAVLGILRVQAIQELGLTNPVRYENLLPFNLCDQLPGGDHILQTLAEMSSSRVLDRTNKAKEGTLYPISASDMVSQLGLVFGTSPRSSSLVVGEVVVGDVNPLEKAVKQSRKNYEKVVLAQETVNGVKVDGIDTNLAVMKELLLPVMEMGNWLLSLAYWDDPLKSFVFCLFSTFIIYRGWVGYVFAFASLFIAGFILLTRCFSKREKVMIELKVMAPAPMNTMEQLLAVQNAISQLEQLVQDANIVLLKLRALLLSLFPQASEKFAVAIVAATTMMVLLSWNSLIMVVFLELFTRYSPPRRASTERLMRRLREWWFSIPAAPVILEQQNKDDNKKTK >A03p066090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29230893:29234189:1 gene:A03p066090.1_BraROA transcript:A03p066090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLCSTSLCGDVTVSDAFLVSSNIKGRLKFQPLNVGSVFHRKKHWRKTMRSCSKSSDFSVPVTNNKTLISSGEVTKVLTSFPDTDSAFSYFKSVAENWSLVHTTETCNHMLEALRVDGRIEDMAYVFDLMQKRIIKRDSTTFLTIFKCLSLKGGLRQAPYALEKMRESGFVLNAYSYNGLVHLLLKSRFCTEAMEVYRRMIFDGLRPSLKTYSSLMVGLGKRKDTEGVMCLLKEMETLGLKPNVYTFTICIRVLGRAGKINEAYGILKRMDEEGCGPDVVTYTVLIDALCTAGKLDCAKEVFEKMKTGRHKPDRVTYITLLDRFSDNRDLDSVRRFWSEMEKDGHVPDVVTFTILVDALCKAGSFGEAFDTLDVMRERGVSPNLHTYNTLICGLLRVHRLDDALELFGKMESLGVKPTAYTYIVFIDYYGKSGDSVSALETFEEMKNKGIAPNIVACNASLYSLAKSGRVQEAKQIFYGLKNIGLAPDSVTYNMMMRCYSKVGEVDEALKLLSEIVESNCEPDVIVVNSLINALFKADRVDEAWEMFMRMKEMKLKPTVVTYNTLLSGLGKNGKIQEAIELFEGMGAKGCPPNTVTFNTLFDCLCKNDEVMLALKMFFEMSCVPDVFTYNTIIYGLMKNGQVKEAMCFFHQMKKLVYPDFVTLCTLLPGVVKAGLIEDAYKLTANFLHSCGEQPAVLFWEDLMGSVLAEAGIDSAVSFSERLVVNGICQDGESILVPMIRYSFKHGDPSGAKTLFEKFTKELGVQPKLPAYNLLIGGLLEADMIETAHEFFLEMKSTGCIPDAATYNFLLDAYGKSGKIDELFELYKEMSSHECVPNTITHNIVISGLVKSGNVDEALDLYYDLISDGDFSPTACTYGPLIDGLSKSGRLYEAKQLFEGMLDYGCRPNCAIYNILINGFGKAGEADAACKLFKRMVKEGVRPDLKTYSVLVDCLCMVGRVDEGLHYFRELKESGLDPDVVCYNLIINGLGKSQRLEEALELYNEMKNSRGILTPDLYTYNSLILNFGMAGMVEEAGKIYNEIQRVGLEPNVFTFNAMIRGYSLSGKHEHAYAVYQTMVTGGFSPNTGTYEQLPNRA >A06p003960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4613483:4616023:1 gene:A06p003960.1_BraROA transcript:A06p003960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGVSLDRTCDQALDQTGSCLFGDRNYIHMMKANLDALETAMQELREKRDDIARKVSIEEDKGLEQLAQVKGWRSRVDIIDSQVSVLLKSRETETKRLCLFRYFSKNCISSCKYGKDVSKKLIEVKELLSKGVFEELAGKKLAAKVEKKRIQTTVGLDSMVGKAWDSIMKPERRTLGIYGMGGVGKTTLLTHINNKLEKEVNGFDVVIWVVVSQDLQYKGIQDQILRRLRVDKEWENQTKEEKASSIENILERKKFVLLLDDLWSEVDLNKIGVPHPTQENGSKIVFTTRSKKVCSDMEADDKLQIDCLPANEAWELFRSIVGEDPLKKHPDIPALAKKICEKCYGLPLALNVIGKAMKYKEDVHQWRHAIEVLSTSSHKFPGMEEKILSILKFSYDGLKEENVKSCFLYCSLFPEDYEITKEELIEYWISEGFIDGKRDEDGSNNQGHDIIGSLVRAHLLMEFEKEFTPAVKMHDVLREMALWIGKEEEKQCVKTGVKLSSIPNDDINWSDLRRISLMRNQIEEISCCPKCRTLSTLFLGDNKLKHISGELFQFMPALVVLDLSGNLLLRELPEEICRLTSLQYLNLSNTEISSLSVDLKGLRKLISLDLEWTSLTSIDGIGTSLPNLQVIQRVERLASCVQRLLISGVSAEVITLNTAALGGLRQLTIWYSQISEIKIDWKSKEKEDLPSPCFKHLSSIDILGLEGPKELSWLLFAPNLKKLQVRNSGSIEEIINKEKGMSISNVHPDMTVPFAKLQSLTLWNLKKLKRICSNSQALPSLKFYVEDCRKLPKAVIREFQRHEQE >A01p025800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19926281:19927126:-1 gene:A01p025800.1_BraROA transcript:A01p025800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSRRVAGSQAIFPTNNSDSLRRTEDSSFSQRKNNNAQEDRSALTDITNDSPIVGLTMQTPPSGFVCKKKNSRIIKSTPGSGEALLRGQVKTLLDRVEEGTELITHSIKSLPFIHLVTSPMRLLAPTPANTPQFPNFSDDKVQIKIASPVVAGHLRTSQVSYVNGTLKGSEIFEEKEGMSMSISRSLLFDFTDKPAALWDEEEEEVEKTQAEFAGKHSRFVYNSEDDEIVEAKKVCY >A07g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8246616:8249305:1 gene:A07g504230.1_BraROA transcript:A07g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESWARYHRPFRLKEMNKWVSTREPGLPSEPGFSVSEAISCRSPEPEIFSINSSSESLVNALRVGNREGGFDFLVKIDIQFPFRMDPEAEIRDTKRRKEHIDMLSYVCDSEHGIPTRCPCGGSIIHEVHGKEEYDTLPGKRFFTCINNEADGFHYRQPWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEVKALTVEVDRLTGKVYNLTVQVTGSRRRMRGWLQVSVSLCIKSSHGW >A06p055600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29025637:29030392:-1 gene:A06p055600.1_BraROA transcript:A06p055600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREEEDCFPTQLIDGKGEFNVEGLDTFVNKTKLSDSGLSYAVVAIMGPQSSGKSTLLNHLFKTSFREMDAFAGRSQTTKGIWMAKCVGIEPFTLVMDLEGTDGRERGEDDTTFEKQSALFALAVADIVLINMWCHDIGREQAANKPLLKTVFQVMLRLFSPRKTTLLFVIRDKTKTPMELLEPVLREDIQKIWDLVRKPEAHKNTPLSEFFNVAELRQKFFHSISPGGLAGDRRGVVPASGFSFSSQEIWKVIKENRDLDLPAHKVMVATVRCEEIANEKLHHLATDETWLELQKAAEDGLVPGFGRKLTSILEKYLSEYDAEAIYFDEGVRKEKRLQLKSKALDFVYSAYATMLGHLRSNALESFKIRLEQSLNQGKGFANAVRDSQQSCLLVFDKGCEDAAVTQATWNASKVREKLCRDIDSHASSAQTARLSELTANYEKRLTQALSEPVESLFEAGGKETWPSIRTLLKRETEAAVTDFLDVVTGFELDHATIDDMVQNLKDYSRSLVEKKAREEAAKILIRMKDRFSTVFSHDKDSMPRVWTGKEDIRAITKDARAEALSLLSVMAAIRLDERPDKIESTLFSSLMDGTVSDSSSHNRSLGASTDPLASSSWEKVPPKDVLLSPVQCKSLWRQFKSETEYTVTQAISAQEAHKRNNNWLPPAWAIVLMFVLGFNELMMLLKNPLYLLGPFVAFLLCKALWVQLDVPREFQHGALAGVLSITSKFLPTVMNLLRKLAEEAQGKTTQGVPEYSASQSYRHQSPSHSISESVSSNISSLADDDAEYSSPALAQRRNTSNVRETEISEM >A06p033060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17734694:17735143:1 gene:A06p033060.1_BraROA transcript:A06p033060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRSLSYNGLDEIDTGLQGPRSTPIQSQNRCTGRPGEPRTRIQTPSHPTSKNLTPSATGTFHQTGFDDPIGQARGYDLCRPIDVDLQREDPAIQNYIERNDAELKRIHAIVHMATSYAPGIEFHQEFNCSRGYSCYFIAIMCPLRLPR >A09g511490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34532541:34534319:1 gene:A09g511490.1_BraROA transcript:A09g511490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKRSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLAMMRSFYHIPDAVEFRVPRRGECASSPPEGYFTCYEALVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVEHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDTASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPKRVRRALRFVQPGLASPANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMWSEKRNLLVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMNKRAHAEALIPPIDERIQGFMDSIPVPLIPRRFQPGFPMVARKWIVRGCVRCFVVRGL >A06g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15067039:15068076:1 gene:A06g505140.1_BraROA transcript:A06g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAVGRNGILNMAGRTPRLAPHTTPATTLARPDLIIIRPGRFAVNRERERWRRRKSREGGTVRRGEKERNGEEDRARPNIMRRPTETIRRNFLGNILYFRRNFLGIL >A09p052180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45781604:45782224:-1 gene:A09p052180.1_BraROA transcript:A09p052180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISSYVVVSFRKAIATTSVFSLGPNGIDFVFSLLLRQNTGRNHNGDRLSRSSSRRRLRLLSSSPSEDRSIQLREHFTRVRQREKAI >A10p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21828029:21830467:1 gene:A10p039410.1_BraROA transcript:A10p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable F-box protein At5g04010 [Source:Projected from Arabidopsis thaliana (AT5G04010) UniProtKB/Swiss-Prot;Acc:Q5EAF6] MSLSTSVVVALARVLSSSTAVKRKREDEIPRDKPMPMAMHKENDEQPPPPSWEVLNAISYHMDPETLAVASCVSTTWLKCFSSENLWKSIMTARSSQRSCPYEIALEHTEGGIVSYKRLVSAVERDAKRRRKGQLEEAVKISLSDLSFIIHVSTKTKKASVYKKGKDLVFDPNDKFQIEVDVSKAGITAGKDEVRVTWQIMYKEKFFTVADTVRSLDTKYGWFVDKLEYKDNRKLVGDVKTSFKEDVLEKIGFAIVDSDGWGSLLVDGFLRYLQRFQIFRQSNHMNQIDSDVSNGAGKGKEPRIISPGGSKTEEKQSQSVTNQTDMGQEGREKPHGEVNMEASISAEDVIRAGGFGAKDDIGSFLPVASDSTDFEESLRSARDYEEAQPEVQRPGLGWPKE >A06g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18386532:18388189:-1 gene:A06g506600.1_BraROA transcript:A06g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRVASRDGRFTGAQETGFWDTRRTFTFLFYLSTDALLSFSLDSLTKPTVSGHLSSNLIFRRQNLLSTELAVVSLGEIVVSIGLLDEEDAEERDYKNSEDDDENNGISGPPEFLNNPIEADEEATARDAEHAKRLTRKKKKAKPKDVVVEAKPQLVGIHERVRNDIDAPPPSSENGEKQISTATNPNAEEAAYLLRMCLCGMPKTYTSTRGMVCPVCGDRPLPDSDAKKRGSAIKDKEKSKRMRGQSSHASWKSETEMKLRQHFD >A02g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1344876:1349532:-1 gene:A02g500420.1_BraROA transcript:A02g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAYAMDLSPPTFFSSLTPSSSYPLRRLSSLPISTFHRHSNRKLHILCQATAGTQPQSQSSNLSDANSKLAARSGQDRLLKVPISKVRNFSIIAHIDHGKSTLADKLLQVTGTVQNRDMKEQFLDNMDLERERGITIKLQAARMRYVYEDTPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVVNKIDLPGAEPEQVLREIEEVIGLDCSKAILCSAKEGIGITEILNAIVERIPPPPETADKPFRALIFDSYYDPYRGVIVYFRVIDGKVKKGDRILFMASGKDYFADEIGVLSPNQIQVDELYAGEVGYISASIRSVADARVGDTITNFSRKAESSLPGYEEATPMVFCGLFPVDADQFPDLRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLITTAPSVVYKVHTVNGDTTMCSNPSLLPQPGLRKSVEEPYVKIELLTPKDYIGALMELAQDRRGEFKEMKYIAENRASLLYELPLAEMVGDFFDQLKSRTKGYASMEYSVIGYRESDLIKLDILINAELVEPLSTIVHRDKAYSVGRALTQKLKELIPRQMFKVPIQACIGSKVIASEALSAIRKDVLAKCYGGDISRKKKLLKKQAAGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >A08p045700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25007264:25008810:-1 gene:A08p045700.1_BraROA transcript:A08p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKDFDTYSERKAFDETKEGVKGLVDAHITEIPRIFRVPEGTLSDKKPSVSASDPTIPIIDFADVHVSREHIVEKIKDAAGNWGFFQVINHGVPLTVLEEIQEGVRRFFEQDLEVKKSYFTRDAAKRFVYNSNFDLDAMIEHTKHMTSLGVLLFELLSEALGLSSDTLKSMDCMKGFLMICHYYPPCPQPDLTIGTNNHSDNSFLTILLQDQVGGLQIHHQDHWVDVTPIPGALVINIGDFLQLITNDNFISAEHRVLSNRNETRISVASFFSTSMLPNATVYGPIKELLSKENPPKYREFTLEEYTKGYFKKGLDGTSYLSNFKL >A08g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5044884:5047910:-1 gene:A08g502250.1_BraROA transcript:A08g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAQKACLVSADLEPKSSPIYKITPDEYMPNNTRINKKNQLLFSSDPASLERSIRKGIRSSSINNNTSSLLDFRQPPSTQTLVSSTDTRSPPSTKDTLPSTDIVHPTSIDTEPQDMVATLILIRDEKGDLHDQEGHLRNAVGSLEHRSMTPTGSTASCNVVRIMTHEEFTARHPHPSIPIYVYIDRHTGPAINRQRMTAIDRQPPAPID >A05g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13943557:13945566:1 gene:A05g505030.1_BraROA transcript:A05g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEIGVLGRSSCPKIRKKYEMSSKKRTSKKGSSSANVHEELLVPKIECVSHSVDPAENDPWWVACYGLITPPKEKPFPVMTYRSVEEGSPSRSTSEFLGIMRLFYQIPDTVEFRVPRQGERASSPPEGYFTCYKAFVVCCRLWFPIPKINVRVLDRFEVAISQLNPLSIQHLVGVLILGYEHGLSLAVDHFEALFRLQIVKDTDKYRLVPRNFMSVVKGFISNFNSWKKFFFFVRIDAASNEQNCIPSFRRLPNDRPFINPLAPFPEDIIAVRDLLRNGLFFWTSFTPKRVRKALRFVHPGPASGVETGSDSEPDDQGPNAAPTVATGLNSSKGKDIDLGDIEFSTDDSMLPGWDPDLAYGDGSSTSEIPIPEFNDIFAGLPSGFDAPPPTNKLGKPKVVTEGSRIINGGLNLLGSALEASHREAMVYRFKVAKAERDLASMQGEMLERDAQLARDHARAIEYGNLKDAFTLVGDYRECRGSVGSLWKTQADNYIFEKEMELMKGGMKDHAHAEALIPPIDGRIQGLWDPIPVSPDTVETMTEIPGDCEEVERPADAFGASLSGKFYFEP >A10g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21532975:21535936:1 gene:A10g507060.1_BraROA transcript:A10g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSQSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKIFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p018150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8281699:8285795:-1 gene:A05p018150.1_BraROA transcript:A05p018150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKYTVRILTLNRPNQMNALSWNMITRLLQLFLAYKDDPRVKLVILKGQGKAFCAGGDVRPVICDIVQGLGKWRLGADFLSDQYTLNYVMATYSKIQISIMNGIVMGGGAGVSIHGRFRIATEKTVFAMPETELGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGAEMLACGLATHFVPSTRLTALEADLCRVVSNDPDTFSSKILNAYTQHPHLKQHSAYHRHVLDVIDKCFSRRTVEEIISALEREAIQEPDEWISATLRALKKASPASLKISLRSIREGRFQEVGQCLIREYRMVCHVLKGELSKDLVEWEPQRLEQVTDNMVDQYFKRMDEEEGWEDLKLPPRINLSSSIIAKL >A08p041560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23430838:23432777:1 gene:A08p041560.1_BraROA transcript:A08p041560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVREMALWIASDYGKDKERCIVKAGVGLREAPKVENWRSGTGLESVSGISNLSSLRTLRLQYNKIYSNVIEELKLLEHLEVVTIEIRSMLVAYQLINAYREANVIQEISIRYLEQGSILTLPDMAVLRMLEIYKCVFAEIKVKRGTSSWNKSPTNPSFPNLSKVSLFACLGLKDLTWLLLAPNLKTLYVDCSTQVEDIISKEKAANILTEAEAYTIIPFRKLEDFRVYIMPELKSIYWSPLPFPRLRIFRIDNCPNLRKLPLDSKSGSSIAGEELFIHSEERDWIDKVEWEDEATEERFLRSILPNL >A05g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4790781:4796079:1 gene:A05g501260.1_BraROA transcript:A05g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIPSPARRAFEIFPESVASDFKPASLDSPSLRRLKMSGRHDKEKGVNVQVLLRCRPFSDDELRNNAPQVLTCNDLQREVAVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRSKGGPSGGLPAEAGVIPRAVKQIFDTLEGQQAEYSVKVTFLELYNEEITDLLAPEDISRVVSEDKQKKPLPLMEDGKGGVLVRGLEEEIVTSANEIFTLLERGSSKRRTAETFLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVISALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKHIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYASREKNGVYMPKERYYQEESERKAMAEQIEQMSGQIENYQKKVEELQDKYTGQVRECSDLTSRLDSTEKNLSQTSKMLASTNEELKKSQYAMKEKDFIISEQKQSENVLVQQACTLQSNLEKATKENASLHQKIGREDKLSADNRKVVDNYQAELSEQISNLFNMVASCLSQQNAHLQGVNKLSQSRLEAHNKFLASGSETTSSLFDELQNALASHQGEMALFARELRQKFHTTMEQTQEMSEYTSTFFQKLMLESKNAENRAAEANDSQINSIIDFQKTYEAQSKSDTEKLIADLTNLVSSHVRRQHELVDSRLHNFKDAVSSNKTFLDEHVSAVNNLAKDAKRKWETFSMQAENDAKEGADFSAAKHCRMELLLQQSVGHAESAFKHCKTTHESLKEMNSKQVADVSSLVKSACDNNEEHDAEVDSARTAAEKDVAKNSDEIIKQIDRMSEDEKVSVSQILENVKIHEKSLESFQQDHCCQARCIEDKAQETFQQRYMEYEPTGTTPSKSEPEVPTKATIESLRAKPVESLVEAFRENNSYESFAAKESKPQQLTRSPLSQVN >A08p032450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19632473:19635481:-1 gene:A08p032450.1_BraROA transcript:A08p032450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSTRRRLKDMNTGNGENQSSGKKPLRSVTPLPISTTLQKSSSSKENPKPSHRPSFGSTQKPLLRQVPRIDKSAAKGVGDGEGRVTRSKSSGIRGRSSSPSDLIRVFSDLRKRNESRVQSDQDKSCDRVCEETGEESKSKTNVSSSKLEGLVPKADALLGFGEKSDCKAEKIVKGSGGALRRKSIDNVGKAMEGSSNVATTKYQSKLHEKLAFLEGKVKKIASDIKKTKDMLDLNNQDSSQGMLSDLHQKITGIEKSMIHVVKGSEEGKTKAAKGKASVKGLNKEELEDRLFPHQRLLRSRTQSKTVLQVSKGQGFGESSSKAVNVEVKPSGLVEENPIALEFLASLDKEKVALESDQNVVENLEVQEMDTEEASKENNPSKDVSLTSNLAEILRADEDLEEIDEEEKGDEMELEEIENECMYQLNDIGSKTSTGGWFVSEGEAVILAHDDGSCSYYDVANSEVKSVYSPPDDISPNTWRDCWVVRAPGADGCSGRYVVAASAGNTMESGFCSWDFYTKDIKALHIEDGSSRVPRTALAPLSNNTSHGRNTLACSLLPEAQQWWYRPCGPLIASTASFQSVVKVFDIRDGEQIMRWEVQNCVSGLDHSSPLQWRNRGKLVIAETETISVWDVNSLHPESLLTISSPGRKISAFHVNNTDAEVGGGVRQRASSMDAEGNDGVFCTTDSINIMDFRNPSGIGAKIPKLGVNAQCISSRGDSVFVGTNPKSSSAKKSVGYSSQVLQFSLRKQRLVSTYNLPDSNTHSHHSAITQVWGNSNFVMATSGMGLFVFDTSKEETSIGSDSGTVKEVIGPNDMYCPSFDYASSRVLLISRDRPALWRHIL >A02p023070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11050467:11052700:-1 gene:A02p023070.1_BraROA transcript:A02p023070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRQSKESVNANPTPKIGVDLGGKTPNSHFFHRDTSDIFSDFVRNLHASSQSDHHHHPHSLRFGTGLTPSSGVPSSVTTTTLPSTPSSSSSPAAALSVAVTEVSTSNDLPATSSSTEDPTENSTASAAKALETPKKEKKKAQKRIRQPRFAFMTKSDVDNLEDGYRWRKYGQKAVKNSPFPRDNTSSLRLPQSTTEGPAAVSFINPPEEGLLGDI >A02p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13756243:13758106:-1 gene:A02p026270.1_BraROA transcript:A02p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL31 [Source:Projected from Arabidopsis thaliana (AT1G73140) UniProtKB/TrEMBL;Acc:A0A178WIY7] MSKQTSADSRMIQSIFQLVLVSLLVIGSARWILDELKSNKSRIFQLYGFRQKQAVFVTKEDQIDDSCNVFEGKWVWDNVSYPLYTEKSCPYLVKQTTCQRNGRPDSSYQNWRWQPSSCDLPRFDALKLLDVLRDKRLMFIGDSVQRSTFESMICMVQSVIPDNKKSFHRIPPMKIFKAEEYNVSIEYYWAPFIVESISDHATNHTVHKRLVNLDAIEKHSKSWEGVDVLVFESYVWWMHQPKINATCGDTSKVQEYNVTTAYKIALETWAKWLETKINPVKQRVFFTSMSPTHLWSWEWNPGSDGTCYNELYPIDKPSYWGTGSNQDIMKIVGDVLSRVGDKVTLLNITQLSEYRKDGHTTVYGERRGKLLTKEQRADPKNYGDCIHWCLPGVPDTWNEILYAYLLRSHRNNF >A09p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14863240:14867012:1 gene:A09p026100.1_BraROA transcript:A09p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETKDLNNYHYTSSYNHYNNINSQNMSLPYVYGPCTYNANMISSQIGSDLHSSSQGAFGFCFEPSPSSSEFFNPSIDQENSFYNAYNYNTSLKSHEVVGGGGAIAENETRVSASPSSGEADHHHGENSGKSLLKREADDGGDKQRSLKVVKTKKNQEKKIREPRVSFMTKTEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCNVKKRVERSYQDPTVVITTYESQHDHPIPTTRRTAMFSGPAASDYKSSSLSPGSNFINTPRSFSHDDLFRVPYSSMNVNPNYQQQQNHEFQHGSDYELLKEIFPSVFFKQEP >A02g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17341180:17342446:-1 gene:A02g506010.1_BraROA transcript:A02g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTNTEEQSLATSVVLTTLTATIFRRASRKPQQQQPFLHLKPQPHNQIHLPIGEAPNPLPPLPFRRGLPPPPPPSQTLPEKSSGAREEAQQARDLLPQPQIGSRRVQQQQQETECPCSVQRWDHPAIPRLGVRISLFDQSPEQSFRVADSQLRTVGGKVYERLSLLPQPFDVKINSFAKNPKILIFYLQAILSRAFFENFELRSFRVSENWSTRILNPSDRCESNYASFNVLVELTWDEVLSRGTKHFSEEFSRFCDRKNECHAFFGASKSVWLDHFLANSLNPGLQIFRVERDDRFDPVYKEETGGDQYKSVVRAMVQPGFYVYGSVVKCKVVFKHCGSD >A01p040600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21668836:21671789:-1 gene:A01p040600.1_BraROA transcript:A01p040600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLFTSLLLFTMFSGISFAGITTESPLSIGQTLSSSNEIYELGFFSPPDNSQNQYVGIWFKGIIPRVVVWVANREKPVTDSTAHLAININGSLLLLDGKHGVVWSTRESSASNRSRAELSDEGNLIVTDNVSGRRLWESFENLGDTLLPFSPLTYNLATGEKRVLTSWKSYTDPSLGDVVAHITPQVPSQLFTMRGSTPYYRTGPWAKTRFSGIPLMDETLASPFSFQQDANGSGSFSYVDRSSKLSRLLITSEGTLMRFRHIGTEWEVSYQAPANPCDVYGVCGPFGLCIMSDSPKCKCFKGFVPKFPEEWNRGNWTNGCVRRTELDCQGNSTGEDVNVFHPVANIKPPDFYTFVSSVDAKDCYQSCLHNCSCLAFAHIRGIGCLIWNQELIDVMQFYAGGELLSIRLARSELGGNKHKKTILAIAVSISLFVILGSAAYGFYRYKVKHNAIITTDASEDSWRKGLKPQDVPGLNFFEMNTIETATNNFSLSNKLGQGGFGSVYKGKLQDGKEIAVKRLSSSSGQGKEEFMNEIVLISKLQHKNLVRILGCCIEGEERLLIYEFMLNKSLDTFLFDSRKRLEIDWPKRFSIIQGIARGLLYLHRDSRLKVIHRDLKVSNILLDEKMNPKISDFGLARMYQGTEYQDNTRRVVGTLGYMAPEYAWTGTFSEKSDIYSFGVLLLEIISGEKISRFSYGEEGKPLLAYAWESWYENGGIDLLDKDVANTCQPLEVKRCVQIGLLCVQHQPADRPNTLELLSLLTTTSDLQSPEQPTFSLHKRDDRYLCKGLSTVNEITQSAILGR >A01g502310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8036243:8036546:-1 gene:A01g502310.1_BraROA transcript:A01g502310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTETGFTQVRHARKKNDQQRQTGGAMRNKDGRGMGGNKMKEVGNGGVVENISVSNRFGNLTDSGETEELRDEYWGR >A09g514050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42399942:42404680:1 gene:A09g514050.1_BraROA transcript:A09g514050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACEQSQPWD >A06p055520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28995313:28997215:1 gene:A06p055520.1_BraROA transcript:A06p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSMFLTLFVAALFLCLLRLIASVAGSRRSSSSQLPLPPGTMGYPYVGETFQLYSQDPNVFFASKQRRYGSVFKTHVLGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKHAIFFHEGDYHAQLRKLVLRAFMPDSVRNMVANIESIARESLSSWDGTQLNTYQEMKTYTFNVALISILGEDAVLYREDLKRCYYILEKGYNSMPINLPVTLFHKAMKARKELAQILANILSQRRQNQSTHKDLLGSFMEDKEGLTDEQISDNIIGVIFAARDTTASVLTWILKYLADNPTVLEAVTEEQMSIRKEKKEGEGLTWEDTRKMTLTSRVVQETMRVATILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHNADFFSDPGKFDPSRFEVAPKPNTFIPFGNGIHSCPGNELAKLEIFILIHHLTTKYKWSIVGPSDEIQYGPFALPQNGLPIALERKPEVDM >A01g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24495976:24500811:-1 gene:A01g509060.1_BraROA transcript:A01g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVGFAEDVEIILERLPEKRQSMMFSATMPSWIRSLIKKYLNDPLTIDMVGDSDQKMELQRILSWLILMVELQSSEHAKEEKCTVFTWKKRDADRLSYGLARTFKCKTLHGDISQSQRERTLAGFRDKHFNIPVATDVATRGLGVPNVDLVIHYELPKNTETFVHRTGRAGCAGKKGSAVLIYSQDQSRAIKIIEGEVGSRFTELPSIAVERGGSMFEGIGGRSDSSFGSCSGGGYGCTSGRPGNHYSSGGSDRSSQSSGKNSFGGGFGSNDESNILVTQGGYSVSNNLVDLLNKLWCCIETIVVFESRRKLFVTFAQRSSFSSTSGYYRRLPGDSSGIFRTSVLRRFGTDRLGLLKTTPSSPSRLPTRNITKRTFKYVLPRFSNCSEDSEGSVLIAGNSVHGSKSNLKTTAKREGSHIESLEAAIYRGTVNEHRHSDSIKKVRYTGKIEPSSTHNTVTFLYEDDSKKIANSSKTKVAEQCEYNSDIYSIYSH >A03p039450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16411023:16413193:-1 gene:A03p039450.1_BraROA transcript:A03p039450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEDEEIEIPNYFICPISLDIMKDPVIAVSGITYDRESIDKWLEKVSSCPVTKQPLPSDSDHTPNHTLRRLIQHWCVENATLGVVRIPTPRVPPGKPNVVEEIKNLKKFGDEALGREDTLKKLEVLAIDGERNRRLMHEGGVHRSLILFIVKCTREEEEEGQPRIKEQLDESLRLLHLIGVPLNDARTILMENDRILDSLSLVLNQQNFLNKAYTIVLLRNLTENTSSHIVERLNPEILKGIIGFLKEVASSFNRTSPNVSDTAQSSNPRMKNKVHSKLDYSLVIKQAVTAALMILLETSSWSRNKHILIELGVVSQLIELEISSTGEKRATELVLGVLSRLCCCTNGRAEILAHGGGLAIVTKRLLGVSIAADDRALSILSTVSKLSPEKAVVEEMVCVGTVEKLCTVLRVDCGLSLKEKAKEILSDHFDELKKFPCIDVRLLTKFLSSSHEDLLAEFYSKLNV >A09g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8942819:8944215:1 gene:A09g502650.1_BraROA transcript:A09g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRDCIKEMVKFTLTHRSGFDLELTGDFCSGLLSGDSLLHAETVEAFAGVPEYPLYKRLALSLLKSIASGCFCGGFEKVSLGKEVTWLKEKEEEWSNMITQKGSELVNALKYIACELQVQEPLFSLERVQQRGSLVMINKCLLFEVMEMHKYSSFYELLKAESPEKVFPGTNTLEEGMQMFKKLCDVVDQEKKNNGVVAIHLSKSVSQPCVALSHILSVRSSFFHVSTSVVHMIHSLMLLPKTTGVRKARKLTFTDIECELLHVIIVSHYTVFAALFV >A04p028830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17277643:17278532:1 gene:A04p028830.1_BraROA transcript:A04p028830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEETVPTNVEETVTAPASEKKPAAKGGKAKKTKEVKSAAPKKKPAARKRASSSHPTYEEMIKDAITTLKERTGSSQYAIQKFIEEKQKSLPPTFRKLLLVNLKRLVASGKLVKVKGSFKLPSAKSSAVSAAPKPAPVKKKATVSAKVAKPAAKGTKKAKAVTAVKPKAKVAAKAKPKTKTKTVAAVSKTKAVAAKPKAKERPAKAARTSSRTSPGKKAAAPAKKAAAAVTKKAKATAKAKAPAAKSVKAKSPAKRASTRKVKK >A09p068190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53545336:53546298:1 gene:A09p068190.1_BraROA transcript:A09p068190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKNNVLVDVSPFLLFEASADSETREEGGHVGYDDKDHGNDAESTSQVTGGSADFDSVEMEEEEEEKEEELVTGEKEEEHEEEEVNSHVRWPERRENESGSVDSSSTRNDERLMRRKIEKDRMFWEACLASYRGSAAVKLEINEDGVADVHFEAF >SC303g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000148.1:18982:19284:-1 gene:SC303g500010.1_BraROA transcript:SC303g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRASIRTTWTIRTDLAVQSPSKRAMVSDLVHSVITDSPGSAQHDDIVRQHADLSSVHGSVSTDQYTGQSHGTVPAC >A02g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24000133:24000657:-1 gene:A02g508940.1_BraROA transcript:A02g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A03p061530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26734097:26735841:1 gene:A03p061530.1_BraROA transcript:A03p061530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:Projected from Arabidopsis thaliana (AT4G26720) UniProtKB/TrEMBL;Acc:A0A178V0Q8] MSDLDRQIEQLKRCEPLSESEVKSLCLKAMEILVEESNVQRVDAPVTLCGDIHGQFYDMMELFKVGGDCPKTNYLFLGDFVDRGYYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECVRKYGSVNVWRYCTDIFDYMSLSAVVENKIFCVHGGLSPTINTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVEGWGLSPRGAGFLFGGSVVTTFNHTNNIDYIGRAHQLVMEGYKWMFDSQIVTVWSAPNYCYRCGNIASILELDENLNKEFRVFQAAQQDSRGPPAKKPAPDYFL >A03p069670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30614662:30617379:-1 gene:A03p069670.1_BraROA transcript:A03p069670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTSFFGCFVPKSGSRISSDDGSTSNSKVMSLEKPKRKADDTWRAPIIVTYFPVGSNLSRLSGEMKGGEAKAQAKSTDERLKTRGKKLGKKVKDPNKPKRPPSAFFVFLEGFRKEFNLANPDNKSVGAVGKAAGAKWKSMTVEDKAPYVAKAETKKTEYAKTMQKYNMKLANGTSTAGDDDSDKSKSEVNDEEDAASDEEEDDD >A03p019440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7927931:7928833:1 gene:A03p019440.1_BraROA transcript:A03p019440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDQQKLTQDLLSLIPNVVREMPPISASETDCLVSTTLCLYDPTWPKSSDDTKLSCGPRGNTGTEEKENSLMKLKLLSNEGRQEEEQYGVSTELTLFTDPWTIKKKLTISDRSRQNCLLLNPRTVNSHIVRYLPEVNQKMVQEGSGLTVDVYDHNTHTTHQMLLTKLTRRGSYVLSGGWLMDFVIRRALKAKDEIGMYWDLSDSKLHFCVLSRAPTRASSGSVLAVPSQAPIEVSSGSVLAGPSQAPIEISSGSVLASPSQAPIEVSSGSVLAGPSQAPIGVSSGSVTIASYSPLLADP >A03p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8422944:8425930:1 gene:A03p020500.1_BraROA transcript:A03p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVRPSPGHSQPPPSSSNPVVPPIRRHLAFASTKPPFHPSDYRRFTPSYDSSSLCGIVDREEDAVVLRSPSRKRKSMMDVVTTNSNGFTSTGSTSVHNSPCHTPVSSKGGRVNTKSRAKGTQSLPQTPISNAVVGSPATLTPSGSCRYDSSLGLLTKKFVNLIKQAEDGMLDLNKAAEVLEVQKRRIYDITNVLEGIDLIEKPFKNRILWKGVDASRPGDVDADVSVLHAEIENLNLEEQALDSQIRETEERLRDLSENEKNQKWLFVTEEDIKSLPGFQNQTLIAVKAPHGTTLEVPDPDEAGDLPQRRYRIILRSTMGPIDVYLVSEFEDTNGSVAPPACLPPIASCSGSTENHDIEALTLDNTETTIEHQMSQDHEHAQPGDTSDLNYLQEQVGGMLKITPSDIENDDTDYWLLSNAEISMTDIWNTDSGIDWDYGIADVSTPPPVMGEEIAPSAIDSKPR >A02p060490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36068042:36068944:-1 gene:A02p060490.1_BraROA transcript:A02p060490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHSLAAVSVIDTKGTAHTWSCSSTLRPFSSLRFKPSELSIFPNHRKGKGGAIKAQSKEASLPVGEGDALTSIKHLLLPVIDRNPYLSEGTRQAAATTTSLANKYGADITVVVIDEEKRESSSEHETQVSNIRWHLAQGGFEEFKLLERLGEGKKATAVIGEVADELGTELVVLSMEAIHSKFIDANLLAEFIPCPVLLLPL >A10p029010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17860443:17868272:-1 gene:A10p029010.1_BraROA transcript:A10p029010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSNFLQFPPAQQPRLATIPTASPFMLRRSSYGFGVFQRKRARFKRQSRSLVLVNSSFLPPPFDGSVPLDSLAPSLAGFASGLAVSLSSRLFGRSVGISDRRRSVEEVVGEWILFASPTPFNRFVLLRCSLLSFEGELVESLSDRLVKEERHFVTLDRGKIVTAERAAEEDKNLEYQRICITTEDGGVVSLDFPADLDLREERGMDTTVILIPGTPEGSMDQSIRSFVSEALRRGVFPVVMNPRGCAGSPLTTPRLFTAADSDDISTAIRFLTKTRPWTTLMGVGRGYGANMLTKYLAEAGERTPLTAAVCIDNPFDLQEITRTSPYCSSLDQQLTGGLVEILLANKELFQGRAKAFDVGKALSSKSVREFDKALSMVANGFESLEDFYASCATRDVIGEVKIPVLFIQNDDVAPFYSTPRSSIAENPFTSLLLCSSSPNLIDGCTVAASWCQDLAIEAIFLPFSLRCTQSCMPASISLYLKNDLLAFVIIIYYILWLTAVELGLLKGRHPLLKDVDVTVNPSKGLVVSEAKTPEKGITAQKLAQVARGKTLNGYHVDPSRKTLEDSYITPKSILPFGTELEKNVKIDTGSDEPVNGGVSTSGPVDVELVDDNKSDEEESERGDMLQTAEVVVNMLDLTMPGTLKAEEKKKVMDAVGRGETVVKALQDAVPEDVRGKLTTAVTEIMQSGGSKLKFEKLNLPSLSPGIGKAEEAKKEPLSTTGQKDSHSDPIKKSDGLVSGSDNSAGGIEPEHSSSKASQKDDNGKSQLVNSDQDDSSVLTKKGNDEPGSLGNNESSANEKNSAADVSEKASEAKVDTNKGQQIGTDNITSDDDKVDQGSVITKPQRREETNKNDEKGAPVANENSSASDSLQKASDAKVDGSNQGQPISADNVISGEDKADQGDVLAQQQRKDETTKNDDDAKQSATDQDKVAFTGNEGDGGESSASQSVEKEEIDDQNKETKIMEPVSDQSMPAIQEPNQAKFNVSQAFEALTGMDDSTQVAVNSVFGVLENMITQLDEEKKEGNEENDEKNLKDEKITEDKNVTDVKNVVDEKTVTEENIRSPSEDQTPYNEEVECQMSSEESHDHETGKGSDNDNTTWAMSKKHLGGDESVIGQHLPKTFPAKNTDSLENSSYDGYLGEELSEEQIAKQLDLDTTTALMLDYYPEEGKWKLLDQQPEYLSNLADNAAVSRDTQRNVEVHSTSVSNEQNIIEPSYVILDHEQGVELSEMHDAVDDRNDGLHKSKEGCDELEHLIKVIVSDSLNVEVQRRMNSAGMRQFESQLSRDIKRVANAISFAVVYGEPTWTFKTNSKNSNIPAGKVGKLRGNAIIRAISSAVQEAHFLRQVLPVGVVVGSVLAALRKCFDVSTTTDNAERDIVMGRAQKHGNNGATKSVVATQTSRKSKQRTSSIGEMVESGLQNISNEPVMVGAVTAALGASAMLVQHEDTQSGGIMSKPSEKESKQKDQSGMVASFAEKAMSIAGPAVPTKESGEVDQERIVTMLADLGQRGGILRLVGKLALLWGGLRGAMSLTDKLIQFLHVDEWPLLKRVVGFVGMVFVLWSPVVIPLLPTLVQSWSTSTPSRVAELASVVGLYIAVFMLVMLWGKRVRKYENPFRQYGLDFKAPAKKQMFSLSSLPVDTCHKNSRNNPAVNSLQIQEFLKALAGGVTVVLLIQSINTILGAAILSRPPYLPHPFDAMKWLKGCAQLLLVVVKGLTAATFVVFVEEVLFRSWMPNEIAIDTGYHQSIIITGLVFALFQRSLRSVPGFWLLSLGLAGARERSQGSLIVPIGLRTGIIATSFVLHTGGFVTYNPSSPAWIAGTRPLQPFSGVVGFVVSAALALILYPRPPPETKMQKYNLEKEAKE >A08p002680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1485228:1489922:1 gene:A08p002680.1_BraROA transcript:A08p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLYISHSDLTERLARIERVKQGIEENRVASSVHMTRITKELDKGKGHVFSYTELLEAQHCGTSAQLGCVPVGNRVDKSDDETESSSTKFPSQSAPLISVSSGFQLGPSSEGRVLGNVGASRSQRRRPSSWKRRNSGKGLTTGVSQPEPKSADQSLHPKRKARMMSREGFEDMVRLSWEGGSGDNSCTMEHIRRCRRSMMNAVVDLTLSVNDLIDRHTSSWNADLVRQLIAEVDVDLVLNTKIVQSRDDSLIWGLSKNGRYDSRSASLLAMEWASAAVVDLKLKNVVFEFSSLEAAGVFNNPLEFPALYGLCYEVFKNVYSLAKSGILLVPDTCNQAASAIANSVTRDQRLQSYIATGGPQWLSDLLSKEASLA >A10p029620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18091176:18096345:1 gene:A10p029620.1_BraROA transcript:A10p029620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGDRFEIEVNLEDSVVDVKKNIETVMGVTAEEQMLIHKGKVLKDETTLEASNVSEKSIIGVIKRKAASTATSTSTETPTEGASAGASYVASERVSESSIQKILGMVGEGWDRYMVTSALCLADDDVNKALEYLYFELPRQIEDHYIAEGAQDQTQEYENPTEEDELRFFDYLNGSPEQEYLRALARSDPGIVKDFVEMLEEQDPPIFVLIQDNKALFLRSLLEVQGGACGNEMEQPHEHQAVQTSEPNNGEDGDNQVGGESEETKVEELQADQTNKPNNGVDGGNQVVGESEETKVEVANPEDNELIEQLEALGFERGDAEVAYFACNKNVQEAANNLLARKFVTDGDSSNQSLVGFLELESMKIFVKTLKGDRFEIQVNLEDSVADVKKNIETVMRVTAAEQMLIHKGKVLKDETTMEANEVSEKSIIAVMKRKHASTVTSTSSASLKPQAHAAPPHAAASNVNYKSISESDIQQILETVSGTWSREAVAYALYFASNDLDKAVEYLYFGLPEQSEDPYKTEGTQEHTQEPEAPQDAVQEWSLDILRNTPEFEYVRPLVQSDPSLLQEILEVIEEHNPQLVQFILDNKADFTRLVLEQPQEHQDNDVLHFQSNEPNNGGESGNQVGKSEETEVEQPQADQTNKPNNGDGDNQVGGESEETEVETTKDAEAKTRVEAEIECLEKQFSEI >A03p005430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2266152:2267717:1 gene:A03p005430.1_BraROA transcript:A03p005430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVFYGDGLARFVDSSHTHIHSLASVASCGFLSLPHAPPETEKERIVREFSHLLDASEAYSIASGLKAKGSEHEISSLAQRFMGLKAALVTDSSTLTSFGKLIGLDVLQLSETYQKSDSSPSDAAASEFLRLLGFEGGKCLDVSLYDSVFVHIDVDNVGMIDSLIGSIMKMAQPGSEIAPRLHLSLVLSYGSVTDEDASVFPVKTPHEGVNSAFAGLVPRQSYTMRGEKTRDDVRDYCPMLVAQWQEGVTRRDLVDTLSFQALKKLSGNLVIPADRFIHEVAFKLWKAPKYGA >A02p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4152119:4153136:-1 gene:A02p009850.1_BraROA transcript:A02p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMATEEKPKKKKSLMSFYKFSTTTSKQLLINPKFKPNKDSIPPSSLSQEDASKPIVPRPNKMQNHLVRDIFELETSSKERKKGGDGGGAAEEGRKSVSHVERDTTARIAAAAEMLTVRILAADMPGFMQAHAFRCARTTLDSLEKFSSKHMAFNLKKSTFIRTTSQKTHFQHQTSK >SC149g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:12192:13745:1 gene:SC149g500020.1_BraROA transcript:SC149g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRALADNYRICEILRRFNDASRRDLMNTDEFPTRSDDADELYKLKRTRRSLSQHLRNTNKGNYKGILKIDEVGELFEEARGTLNKNVSSSTWCSPIRHGSMMMIPSTPLAWRFDSGEFTLKEDYNDFFLN >A03p036170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15138302:15140556:1 gene:A03p036170.1_BraROA transcript:A03p036170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVEDTSSFEEDQLASMSTEDIIRATRLLDNEIRILKEDAQRTNLECDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDSLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFEKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >A01p055610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31862688:31866172:-1 gene:A01p055610.1_BraROA transcript:A01p055610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVACPITWYVISLTLSLSLSLSPLLSIDINLVLHRSRRICSCSLGFPRDLRGADSKEDFLRGVRRVEDSLIDPWGSSKVVTVQVRAPKVRKDSIGDGAVDEAAAAEEEASAQKKRVSLQRQAAVTVEAAEDYARRFESGLNELSSNDEELAQCGVNVMCRMCFLGENHGSERARRMLSCKTCGKKYHKNCVKSWAQHRGALLLSYFLWLDRSLIAVSLQICFIGVHGVVPRAGFVRSVCRRTGDPNKFVFCKRCDAAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCDSTVPGNGLSVRWFLSYTCCDACGRLFVKGNYCPLCLKVYRDSESTPMVCCDLCQRWVHCHCDGISDEKYLQFQADGNLQYKCATCRGEGYQVKDLQDAVQELWKKKDMVDAELVASLRAAAGLPTDEEIFSISPFSDDEENGPVSGRSVKLSIKGLVDKSPKKSKEYRKHVSKKGHHTKSELQQDSDVHHGMESQRSRLSGAKTDSFGIQMNERSDVRSSVAGICSTHEPRIVKHKRVDDVMVTDEEKPSRIVRIKCSNKPQDSDSEETSLKAKKLVINLGARKINVSDSSKSNVVSHSRDRDQSSGEVRTLKISGRFGKTKSEGSKGTFGSITQFPASTSAGSHVDDKTSISPSLQKEARPLLKFKLRKPDSGDQTSLATTQSEDEKLSSGKGQRSKRKRPSSLVDKASLEEDGDSRQDSPRSDEMMDANWILKKLGKDSIGKRVEVQGSQNSWNKGTVTDVIRDTSTLSVSLDDGSMKTFELGKHNVPLHTAEAKEVEELIRHQAAF >A10p040280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22225305:22226772:-1 gene:A10p040280.1_BraROA transcript:A10p040280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIRNAIRSSFSLRTSDPVISRNSLPFLQESRKCLSTVAEQPPSSPSPPPPGSSPVDGVKKPASEGRLYGKFSGFSKHTLRSDVMNILEGCNVTSDDLKFSYMRGGNLNPAGVYVQFPSRSSYDSAMRAIAKKGRLYRLERATQSQWDPIVPYEGKVIALHGLPPNAIIEDIDRFLSGCLFHPGSIQFLTIQGLGSPKRVALVRFTSQTQAMNAYIAKNRNFLLNQRITLQVLQ >A02p023560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11757427:11758059:-1 gene:A02p023560.1_BraROA transcript:A02p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSPVELEICVGPGSESLVEMSVSVVQISDCGMCFFSHHQHLDPPQVYTSVASLYPCAFSSRAVMLTVKVDRVFGLRLLGLCALVASSVLSRIGLVQV >A04p007550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8798558:8799376:-1 gene:A04p007550.1_BraROA transcript:A04p007550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMIISGRKTEKPVRRTHYMVQLRNAGEYFLADVRGCLYAYPGEVTEKEYRSICKHAHLRELSTNLLCTLHSYKGIKHFFALHNPRKGLRPLKIYAINHYEKGDHDERIKLPNLQEKGIEASSLGGLEASSLHNGHLQAILELRTGKSPSQPCNYGECFCTFLMSSRLDEHQDTYGRQLTMS >A10p005510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9375238:9376354:1 gene:A10p005510.1_BraROA transcript:A10p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNELRNSNLTLVVLICFVLIASRLRSVSSSVYNPHNTLKKQFGKWLQYHGKSYGGKDEWMFRFGIFQSNLQFIDYINSLHLPFKLAENRFADMTNSEFKAHFLGLNTSSSRLHSNHSCDPSASGNVPDAVDWRKEGAVTPIRDQGRCGGCWAFATVAAIEGITKIKTGNLIPLSEQQLIDCDTGSYNKGCSGGLMETAYEYLIANGGLVALDDYPYTATDGTGCDQEKSQNKIVTITGYEKVAPNEASLEVAAAQQPVSVGIDADGFIFQFYSSGVFTGYCGSSLNHAVTVVGYGEEAGEKYWIVKNTWGTGWGEEGYIRMERGYRKETGKCGITMLASYPLQ >A06p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1020008:1028385:-1 gene:A06p004060.1_BraROA transcript:A06p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase kappa [Source:Projected from Arabidopsis thaliana (AT1G49980) UniProtKB/Swiss-Prot;Acc:Q6JDV7] MVAVGKGGDRHGLCRLCYPLGMNPSDVDLVYLWSRQHSCVVSANLRTLEFTLPDGEGCWRINTSYSNKYMEETYEHDTDSVITLSQFLLPQWMDPVTRPPRLTCSQSFEETKRERTNERVRDTMDEGESSSTNSPSRPWESYNTVFTNAKAGMEGVDKEKVQRIVYEMSKGSKYFQNEERKEALMKQKIEHMRDRCAKLSSSDLSNYQKVVDRRILELEATRDLSRVWLHVDMDAFYAAVETLSNPSLKGKPMAVGGLSMISTANYEARKFGVRAAMPGFIARKLCPDLIFVPVDFPKYTHYSDLTRKVFRNYDPNFIAGSLDEAYLDITEVCRERGLSGGEIAEELRSSVHSETGGLTCSAGVAANRLLAKVCSDINKPNGQFVLQNDRSTVMTFISSLPIRKIGGIGKVTEHILKDALEIKTCGDMVLKGSLLYALFTQSSADFFLSVGLGLGKTDTPEVRSRKSISSERTFAATGDERLLYSKLAEIAEMLSDDMKKEGLMARTLTLKLKTATFEVDFQMHSSFSSLETHICAESVTICNHQIRSRAVSLQRYTCSSEDILKHATKLLKAELPVSIRLIGLRMSQFNEEARHSDPSQGTITKFIVQKGSSRQNLDDNDSFDLDASKNCLGNDEMLSLSYASHETSYDQLKDVVEYEEQSVTPNQECKKKEDRTQVLEGDALLRKHKDCKADSSAEKTEAVSVLPQMEPLVWVDGYRCNLCGIELPPSFVEERQEHSDFHLAQRLQNEESGPSSSTTPTSKRRILGKEKANSKPKKQKPNQKDDSKHIPIYTFFTKSNQNSQCRGVQTFVKLIPLEMFDSLGGCHLSSERRRSPDEMKMSLPPPRLNLSPSSSLGEPRSLPSMSDILTSSKARNLDLKIQTLGPFFRVTGKNADTGSEVGRAEGVVRPWFGRGLVLHLDTIRLTKETMVMDKSLLGVGLYVGAVAIRHGYDCGCRTAQLLAIYDSDLYHSKLVRFYRRIGFEEVKEVSGSSIGDMADMLVWGGVGTRMDANIHHLLVKWSKVFLKSIGGRTVIEVILVEIIARLPLRSIARLKLVCKQWKSLIESPYLRRVCVSLHKNSSSSWSLMFGAEYPHPEAIGFHGCQTWDLQKPLGSYIMSSQRYLNLPTSSNYFYVASSNGLVWINVFFTRTDNMAYSYKSFVGNPVLEQWVQIPPPPDQCIPTGLVTRVENGIVSGFKVVRTSRTERGGMGVHRWRVYVYSSETGLWTSKRLHSFHPVNYNGSYPPLNLNGMLYLRERGMDATEPGVLVGYDFYGPEDDDQCLVIPLPLLSSKNVRRCLTTSGEDAIYIEILYPRLKVWKLDNNYSKRGEWWQLSREEVDIASLGLDAHCFPLAMNPFDTSIVYLWSQHHGSLVTCDLRSQEFIVHQESESWRDSEGCYRINTSGTKGYVEGNGNATTVIMLSPFVLQRWMDSVPRPPN >A06p019540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9577459:9577671:-1 gene:A06p019540.1_BraROA transcript:A06p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGEGSSSAVDRKVKKETASVIPVERKLVKTMVVKTIISAFTPSGSGSSRSSEPTGNGNGGRVHPTGQ >A03g500590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1720433:1720900:1 gene:A03g500590.1_BraROA transcript:A03g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTNCKVVLTPIMFLLILLTKASFGLSALVNETVMPKDVLTSKQVVIINKLGIRQTLDLHCKNGEKDLGPVSLVPGDRFAFKFLSSTLLPTTYTCSFQWPDAGKELLFDIFTTSRDASVCDLCIWYVLDSLICRVRPDKEEPTFCHYWNLSRS >A06p034170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18460512:18461883:-1 gene:A06p034170.1_BraROA transcript:A06p034170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 12 [Source:Projected from Arabidopsis thaliana (AT3G48690) UniProtKB/Swiss-Prot;Acc:Q9SMN0] MDSEIAFDYSPLLKIYKSGRIERLMGETAVPPSLTPENGVISKDVVYSPDDNLSVRVYLPEKAADTDEKLPLLVYFHGGGFIIETAFSPTYHNFLTAAVSASDCIAVSVDYRRAPEHPLPISFDDSWTSLKWVFTHFAGSGPESWLNKHADFGKVFLAGDSAGANIAHHMAMRAAKEKLSPELSDSGIFGIILVHPYFWSKAPVDDKETTDAAVRSKIEAIWMMASPNNKDGVDDPWLNVVQSDSVDISGLGCGKVLVLVAEKDALVRQGWGYKAKLEKNGWKGKVELVESEGEDHVFHLTRPDCENALEAMKKFAGFIKGEILQLCVADQDLELSQFFFEKKRESGVQ >A02p049240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30557064:30558793:1 gene:A02p049240.1_BraROA transcript:A02p049240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLYFILLLPLLLIFLKQLSPSKGKLPPGPIGLPLIGNLHQLGKSLHRSFHKLSQNYGPVMFLRFGVVPVVVFSTREAAEEVLKTHDLETCTRPKLSATKLFSYNYKDIGFAQYGDDWREMRKLAMLELFSSKKLKAFRYIREEESELLVKRISESAETQTLVDLRKALFSLTASIICRLAFGQNFHECDFVDMDKVEELVLESETNLGSFAFTDFFPAGLGWVIDRISGQHSELHKAFARLSNFFQHVIDDHLKPGQPEDHSDIISVMLDMINKESKLGSFKVTYDHLKGVMSDVFLAGVNAGAITMIWAMTELTRHPKVMKKLQQEIRVTLGDNKEKITEQDLEKVHYLKLVIQETFRLHPPAPLLLPRETMSDIKIQGYNIPKNTMIEINTYAIGRDPNCWENPNDFVPERFIDSPVEYKGQHYELLPFGAGRRICPGMATGITIVELGLLNVLYFFDWSLPDGMTIEDIDMEEAGAFVIAKKVPLELIPTPHKW >A04p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20628163:20628757:-1 gene:A04p036110.1_BraROA transcript:A04p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFILLCFFLFLFFNGEFTAASKFWSRLEMAEMNGYEEHKLSSVVITGSLLCNTPVSGATVAIKCHTGFKKRSNWIKAVTNDFGEFVIHLPSHLHAIPHLEKACFVKPIHVPKHYHRYYKALSKSNLHKGIKLVSSKDGFRVYTSGTIRLHGYSSRSSQARKADM >A10p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22435699:22437231:-1 gene:A10p040810.1_BraROA transcript:A10p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMGEDEEVSSFFESSPPLKKMEDILQKLNGFIEHNSSGGRRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTENFVKAGYAVVFLYRSGTCQPYCRSLPDDPFLECFQFPDNSNIQVHSSHVEAVKMAVMDQQAAVAEARLLKLPFTTIYEYLQMLRLIATALKDVGPCSMFYLAAAVSDFYVPWNSMTEHKIESGSGPLDIRLAQVPKMLSILRTTWAPKAFCISFKLETDAKILIDKATKALGKYKVHAVVANELSTRKEEVVVVSSSGNVVVRRDSDKPESIVEDNLIRLIVDRHSTYIKESHS >A07p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2708485:2712958:1 gene:A07p004700.1_BraROA transcript:A07p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISNLSTSSRYVAVTTRIRLQLRCLCSPSPPPPSSSSSSVPSDDHIFRLILDQKSPSGALQTFQWASTFPGFTHSPSTYRALFHKLCSFRRFQTVYQLLDEMPNSIGSLPDDAIFITIVRGLGRAKLTKRVINVVDLVSRFGIKPSVKVFNSILDVLVREDIDIAREFFRRKMMASGIQGDEYTYGILMKGLCLTNRIGDGFKLLQIMKTRGGVAPNAVIYNTLLHALCRNGKVGRARSLMSEMKEPNDVTFNVLISAYCNEQKLVQSMVLLEKCFGLGFVPDVVTVTKVMGVLCSEGRVAEALEVLERVEGKGCKVDVVACNTLVEGYCAVGKVRVAQRFFEEMERKGYLPNVETYNLLINGFCEAGMLDSALDVFNDMKTDAVRRNFATFNTLVKGLSVGGRVNDGLKILELMEESENVSGARVDPYNSVIYGFYKENRWEEALEFLLRMENLFPRAVERSFKMISVCEKGSVEDVKTAYDQMIGEGGVPNVVVSHCLVHRFSQEGDMEETLELINDMVTRGYLPQSSTFNAVILGFCKQDRVMNGMKFLEDMAERGCVPDGESYNPLLGELCVKGDFQKAWLTFSRMVEKSIVPDSSMWRSLMYCLSQETAFNIDIDTLLEEIIKTAREDMSCSSSSGSEEDDEGFDSYRKGGYHAVRIGDQFSGGRYIAQRKLGWGQFSTVWLAYDTHTSSYVALKIQKSAQHFSQAALHEIELLQAAADGDTENTKCVVRLIDDFKHAGPNGQHLCMVLEFLGDSLLRLIKYNRYKAMELNKVREICKCILTGLDYLHRELGMIHSDLKPENILLCSTIDAAKDPIRSGLTPILEKPEGNQNGAATMNLIEKKLKRRARRAVAKISGRRVSIVGLSETPTKTVRSLDGIDVKCKVVDFGNACWADNKFAEEIQTRQYRAPEVILQSGYSFSVDMWSFACTAFELATGDMLFAPKDGNGYGEDEDHLALMMELLGKIPRKIAIGGARSKDYFDRHGDLKRIRRLKYWPLDRLLTDKYKLPEAEAREFAEFLCPILAFEPEKRPTAQQCLQHPWLNLRAQNNEDHVEGQMSNLQIKG >A09p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39956997:39957310:-1 gene:A09p045540.1_BraROA transcript:A09p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRIKYLNKSSKSLTLMERGVKHARVHRAVDKLRLFLKNHKFNLFIGIIFSDDEQVNTKSRASTVLAQQLAFKSDNK >A09g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16811634:16817480:-1 gene:A09g505340.1_BraROA transcript:A09g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITFLELHWMGDGSSGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPILGCFVNRLDLILMCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDIAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQPETLPGRTDKNPRTEHCNAIEQPFAETVLVAEESTEQCASSEVIAPSEPAETPPVRIYVPKIPYPIPPRHLMDPTSDEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTRALSTPALMVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLISKAILKVVPQKEHGDKGVENQNGREIRTTSGTQNDHVLPPSSSHHNISLQKKKNEINVMEKGKKEKKHGATGKVEQEVGTNGATRVTVPSECSSGRDFLGNYNHYRICPNYPYFLSQPPVALIYHIF >A06p032040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17057893:17058819:1 gene:A06p032040.1_BraROA transcript:A06p032040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G43520) UniProtKB/Swiss-Prot;Acc:Q94A32] MAELLLSSSAQSSLRPRGILSFNTPSASPIRSLAFTSSKGFHPLAFKSAHQRLITSITVNCVDSGAKAVEVEPVIAGGGGGGIGGDKFGGGGGGGGDDNDEGEGGGEEESGGKTPLPLSMSQKLTLGYAFLVGVGGLMGYLKSGSQKSLLAGGLSAAVLLFVFRDLPTKPVLASTIGVVMAGALTWVMGTRYMGSKKIFPAGVVSFMSFIMTGGYIHGIMRSLH >A09p082980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59882045:59885562:1 gene:A09p082980.1_BraROA transcript:A09p082980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLCLNTSLHGVIPAIKAVGSGVSGCGGGVVEVRATAAAPSRKRGSFGFSFKLPLTPFWSRGGGIASRRRSSGLALDDAVLVDSGDSRTPIAEETPAVEMETGRRNGSWVLKILDVQSLWRDGEVQEEEDDDGDDDGEEEEEEEGEQNDAVSPEEDGGCDVCYEENKFKLDRDSFSKLLKRVSLPESKLYAQMSYLGNLAYSISKIKPANLSKYYGLKFVTSSAEKTELALKAEVSDETKPKVDKEEEEVESNKGRKISASAAYEMVASAASYLHSRTNNILPFTSSSKTENSSSEVVPSCLTDSVTSVVAAEENVKQAVADDLKSTISSPCDWFICDDDQTQTRFVVIQGSESLASWQANLLFEPIEFEELGVIVHRGIYEAAKGMYEQMLPEVKAHLKAHNNRANFRFTGHSLGGSLSLLLNLMLLVRGEVPASSLLPVITFGAPFVLCGGDNLLKKLGLPKSHVQAVTMHRDIVPRAFSCNYPYHVAELLKAVNGNFRSHPCLNKQSVLYSPMGELLILQPDESFSPGHELLPPGNGLYLLEDAEEEERLRAAQTVFLNTPHPLDILGDRAAYGSSGTIQRDHDMNSYLKAVRSVIRKEVSQIRREKREHRRSLWWPILVGARESSGSSGRQINGQDFSGMMKTGRKSLPRFSRLVASQHMPLIVVLLVPVKLMFLGAFNVLSKAIVTAKEWITAQASTEKPTQLCTQATYHLTNPLDLHQTIICNTDAAWKSDLKKAGLGWTFTDQNLEESGRGAQIKHHVPSALLVEGLAVKAALMHAISFGIIHIWLRSDSQVLVKTINENRRSTELYGTLSDIASLAFSSFTSCRFSFIPRSQNGLADSIAKTCLCNSVPGP >A10p024780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16016632:16017768:1 gene:A10p024780.1_BraROA transcript:A10p024780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSEAVKEAISTIITHCKETKPRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLESMDVEALKKLNKNKKLVKKLAKKFHAFLASESVIKQIPRLLGPGKFPTLVSHQESLEAKVNETKATVKFQLKKVLCMGVAVGNLGMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMSPPTRVF >A10p032420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19182671:19189966:-1 gene:A10p032420.1_BraROA transcript:A10p032420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVVRKEIDGVGFCFGTVQSYDPSGFYVIVYENGVTETSSLTEFAALVVGEDQFEEAQTPVQVNLPKKIRKRPPDNINRYNDMLPNEVNVMRDVDLNEEIPEEDAGVGDGGLRGNLDLNRAPVEALDLDLNTAVPEADEGLGYEENPSNKRRRLIDLNVDASCDLEDAEVRDLNADEREGWFDLNMENSDGDVLVQMNGDGEVQETNVQVENGVQDNLETGGFEEVHVAEVSSGQILEEIREENIVLVQDLNAPDANGAEGDHDLPEHNSASLLDLNASDANEAEGDYDLPEPNSVSLQDLNAPYANGLEGNHDLPERNNVSPQDLNAPDANGAEVLPEHNSASLPDLNALDANGVEGDHDLLERNSNSVSPQDLNVHDANGVEGDHVLPEHNSALLPDLNASDANGAEGDHHLPERNNVSLPDLNAPDANGAEGDHHLPERNSVSPQDLNAPDANGAEGDHHLPERNIVSLQDLNASDAYGAEGDHHLPERSIASLPDLNAHDANGAEGDHHLPKHNNASLPDLNVYDANGAEGDHHLPEHNSASLPDLNAHDANGGHHLPERNIVSLQDLNAPDANGAEGDHHLPEPNSASLPDLNAPDANGAEGNHVLPEHNSGSLQDLNVPNANGAEGDHVLPDHNSVSPQDFNAPDANGAEGEHHLPGYVANSVDKSLSDKDHDLPVSSTVTACLADEVSPALSVNCVTAEKNWIVERISLLPPKPQLPPSSPNLNLDGLPIPYVFATYTFLRSFSTVLFLSPFELKDFVEALRCTSPSLLFDSIHVSLLQILRKDLEKLAGEDDQSATLCLRSLDWDMLDVVNYPLYVVEYLLFSGSKHGHGVDLARFNFLRNEYFRLPMTLKTEILTCLCGDMMDAEVVISELNKRSADGSSDRNGGEDCFICKMDGKLVCCDGCPAAYHSKCIGVALDLLPEGVWYCPECSFDRRVPGLKPAKQIRGSQLIEIDPHGRKYYSSCGYLLVIDSDGSGSANYYHANDVMLVLEQLKSCGIFYVDVTTAIKKHWNIPVTEPDILNMSSEGSAETANNGLDVMEETNMMNTREEVRPNAQSESGYRNQYLFAQLTTAISEEMARNSPDRANDMRSDEEIASTQLKTVLMKANKFQWRSIQGLYLDAWKEKCGWCHSCKFGDARREKICFFNMSLGALRGPSESEIANSQPTDNKSHLMAIISQLLSMESRLQGLLVGPWLNPQHSSIWRENILKASSIYSLRHSLVEFEANLHHLVLSPQWLNHVDSAVEMGSSKHVIIASTRSSSNTTIGKRRGTSLESGVNPTTAKNNGGSPMCWWRGGRLSRQLFNWKVLPRALVSNAAKQGGSVNIPGIMYPTENSEPAKRSRRVAWEAAVESSTTSEQLGVQVRTLHSYIKWDDVENSHLLLASDKESKKSARLFKKVIVRGKCIEEETVEYLLDFGQKRSIYIPDVVLKNGRMIEESSGERREYWLNESYVPLHLLKGFEEREAVRKTSNPGGSFRHPVIEQVRKRSSERKGLSYLFERAERLESSLCEQCKEHVPLSDAACCHICKKSFHKEHIRRADEEGMYICLPCKRAELAEEQPIVQRRSRPAGSQRNKTGARTQKRKTVIPARNSLRLKAGRISFRGKKHKKVAASKPLRRSGRQTKQVIRLQDESQVPGGSEKRKLETVGDRGSAKKARQERCSTYWLNGLRLSREPGDERINKFSRDGFSKPLKNSGAVQVRGECRLCGSMDSESGSTLIACENCEKWYHGDACGINETNSSMVIEFRCHICRQTPSPSCPPM >A08p018460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12723359:12723853:-1 gene:A08p018460.1_BraROA transcript:A08p018460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKRYPSLFILIVFIATDLSHADTRNNIPVANGPSLLSTNDVFNPFGKITVEIINDIGGTVSLPFHCKSKNDDFGDRSLQPGGSWSFSFKRQFFGRTLFFCSFAFPNGIYYFDIFRDHRDTAGDDWCQNCVWKIRPTGPCRFNGGTKQFDICFPWNKNKSLY >A05g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14624189:14625539:1 gene:A05g505280.1_BraROA transcript:A05g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDPRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGHITTGEAPKLITRGGDQEEVSNEAASLVAYTGASSSSSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARN >A08p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19557667:19558481:-1 gene:A08p032270.1_BraROA transcript:A08p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSLTNASGLIRLAHPSHRRIQKFGPSSSKFTLDSSLNGRVSYKPIVGGYPTVSVCFARQSTNLHDEPEPPLWLSLVRDIVGSTGSLFSFMAEQPSQLKFIEWPSFTTTLKTATLSLFLVAVFIVALSSVDSALCYVLALILRKSL >A03p061180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26556924:26558404:1 gene:A03p061180.1_BraROA transcript:A03p061180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFRYTIDLNEDHQNHQPFFSSFGSSIHQNHDHQQNVYHHQALSNPTFSSSSLASPSLSYLPFLINSHQDQVHVGYNNHTFHGFLDPHISQPLETKKFVYDGGSSSSDQMTPEKETRLKLTIRKNDNHHDQTDLPQYPTKGETESNSLKWMSSKVRFMKRKTMITPTDNNKQYVKNDQSLNVSNLEEDHLNKISKNHYNMIANENGYNGSNNCVTRICSDCNTTKTPLWRSGPRGPKSLCNVCGIRQRKARRAAMAASGATTTSDVSPPLLKKKIQNKNKRSNKVGSLSSPLASKVHKYKSMTTSVAEAVPMMEGAGGAGAITGALETQGKSTMSSSSTSSSSNKCYFDELAIILSKSSAYQQVFPQDEKEAAILLMALSYGMVHG >A06g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14539131:14542823:1 gene:A06g504860.1_BraROA transcript:A06g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGFEVQMTSMKSRRLRGSLPDDFQEVVWTSRKSSELPGSRLDFLEVVWTFWKSSGLHGSLLTKSPFHNRSERFGFSDLEDLWDDLPVSRLKYNALDDFQESSGLPESLLTKSSSISSGVQACLCREMIYNSFTTYNSVVRQTTYLRLNFQSSQKTDFKVNCKNNLFAQCGEKVRDMLCLVHKNGKRRRVTRFWEH >A03p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:424161:424981:1 gene:A03p000690.1_BraROA transcript:A03p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDATLFLVFLTISAFLSSFIEGAQLILVNNCEESIWPGILGGGGQVTPKNGGFHMGSGEETIIDVPDKWSGRIWGRQGCTFDQNGKGSCQTGDCNAGSLTCQGTGGVPPATVVEMTLGSSSSPLHFYDVSLVDGFNLPVSMKPIGGGVGCGVAACEVDLNVCCPSALEVKRDGRVVGCKSACLAMQSAKYCCTGEYANPKACKPTLFANLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >A04p024690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14991078:14992316:-1 gene:A04p024690.1_BraROA transcript:A04p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDNVVDICWSPTDSILSLFVPEQGGGNQPAKVALVQIPSKVELRQKNLFSVSDCKMYWQSSGEYLAVKVDRYTKTKKSTYSGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDQPRPDVSFYTMKTAQHGGRVTKLGTLKAKQANALFWSPSGKYIILAGLKNFNGQLEFFNVDEMETMATTEHFMATDIEWDPTGRYVATAVTSVHEMENGFTVWSFNGKLLYRVLKDHFFQLAWRPRPASFLSPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRKALKEEWEKWVMQWKSLHEEEKLERQNLRDGEISDEEEDDEEAKEVKEVEFEDVIDVTEEIVQE >A03p013190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5166923:5167212:1 gene:A03p013190.1_BraROA transcript:A03p013190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDQKQNNQQTCDANFTANVRVRKRMELLKRLVPGSELIDNNDYLIKETLDYIYCLSPSAS >A05p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4815059:4816043:-1 gene:A05p011330.1_BraROA transcript:A05p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >A07p011480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8668333:8669366:1 gene:A07p011480.1_BraROA transcript:A07p011480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor C-1 [Source:Projected from Arabidopsis thaliana (AT3G24520) UniProtKB/Swiss-Prot;Acc:Q9LV52] MEDDNNNNTNTNVIAPFVVKTYQMVNDPSTDWLITWGPAHNSFIVVDPLDFSQRILPAYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEHFLRGQKHLLKNIARRKHARGMIYGQEMEDGEIVREIERLKDEQRDLELEIQRMNQRIEATEKRPEQMMAFLYKVVEDPDLLPRMMLEKERTKLVSDKKKRRVTVKSEDEEENGRVFGIISPSPSPPENLYRTQSPENSMGWVVPMQKPGNFGSYNETGLISTSSTSSSLTSTLSLPESVNGGGGGCGSIQGETRYKEAATFGGVVESNPQTPPYPFSLFRGGF >A08p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7629335:7629900:-1 gene:A08p009740.1_BraROA transcript:A08p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFSNKYLDLQIGQVSMNQVLMVVATKSCSFFFLPISKNSYESSLDDCRHEVAFEFFFCWNPYEASLNEREIVINLSTQGFAFQTYLKNLIPCIPSPKTSSCVKFSVGGQLWFLQKISVSVNS >A06p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24756662:24757160:1 gene:A06p046250.1_BraROA transcript:A06p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGFGELRRELPVAPLFRQTKEEPFYSLSMADAQGDDLAESLQSLFTNISSMVKSELQGTNNQMDLLEKMNVRVAAEYDDLGDVAAGLRVFAEQMKLKSGGFDEFVGQMDAIEKQVSEFEAVVSVLDRYVSVLESNIRA >SC309g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000154.1:20224:20613:1 gene:SC309g500020.1_BraROA transcript:SC309g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRSGCEEMSWGRGVFIGDTSQSASGWWQPVCRSAWLRTHARRHLVLHMAGCMFRTHGGRHHSSQMSGCMTGAHARRHTSSYMSISMLRLHASRHLVLGRSTSCSTWLAACPGHMQDATTPPRCQAA >A01g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18583760:18585311:-1 gene:A01g506390.1_BraROA transcript:A01g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRMLKSLNMQITYSQYGLLAQYYSQISPLILLRYYDDATCVLRKMYLDAKTPHLSSTLPPTLPWNGDQTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDAARMLVPRIRPGRESDSDPEDLEHAEKLRQGRNFSGIYRKVQLKPLKWDGEGEEERPVEALMILKYGGVLTHTGKKHV >A02g512590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34361960:34363513:1 gene:A02g512590.1_BraROA transcript:A02g512590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVFMILEEMEISHLGHRTDLHNNKLTGPIPSQTKRLKRLKVTVCSLCSYSDIVYFSFNSFKGETPTELAALPLASVPVLQQVHWKHSFCAIAHIPKLTFLLLGESQMHSASILSSKKCTFEVNMFKQGVVTHKVLEVSDADFAV >A04p001930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:934241:938096:-1 gene:A04p001930.1_BraROA transcript:A04p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSLSLDRRTGLSLSLSLSVNRSREESPAILIGMSNSELLSVEPLDLQFPFELRKQISCSLYLTNKTDNHVAFKVKTTNPKKYCVRPNTGVVLPRSTCEVLVTMQAQKEAPSDMQCKDKFLLQGVTASPGVTAKDVTPEMFSKEAGYLVEETKLRVTYVAPPQPPSPVHEGSEEGSSPRASVSDNGGQASEFSFQRSSADKVEPQENTPEARALITKLTEEKQSAIQLNNKLQRELDQLRRDSKRSQSGIPLMYVLLVGLIGLILGYIMKRT >A02g512890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35326870:35328483:-1 gene:A02g512890.1_BraROA transcript:A02g512890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGAYKTFSLRRRRRFHRKANLNRFPKIEHCLIQSEPLLATVTKGISGNFTWILSSSRVINEKDPEPSTLILRRGDSQFPTFPFFLFAFRISLSCLSDPTEKFLLFFLILEDGQGRHMGVCQWWEMFIHQVAFMFIVSQAVLKYSCF >A06g505430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:15940284:15940886:-1 gene:A06g505430.1_BraROA transcript:A06g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFKIGYMTLASPRSMFFSRAIGTAMGCVISPCIFWMFYKAFPHFGQTGTAYPAPYAFSLPKHVNTRGRRVLCFAQTLPHALLHILCSSSVFERFKRSIGAKLGSVYPLRMAMAISFHIGGYFTIYMCVGSLILFIWRKLNGLKADAYSSAVASGLICGEGIWTLPSSVVALAGVKPPICMKFLSGGTNVKVDSFSTPS >A01g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9431810:9433849:1 gene:A01g502840.1_BraROA transcript:A01g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRISTSVHLRLCFRFSTLATYVELDRASANPTVSKPLVSRNKNTIPIPHRSNPEPKGQDLDFVNVAHSHLIQSDWDKLEKLSNHLDSFRVKNVLLKIQKDYVLSLEFFTWVKHQSPGSHSLETNAIVLHTLTKNRKFKSAESILREILSTGGIDLPAKLFDALLYSYRECDSTPRVFDSLFKAFAHLNKFRNATDAFVKMKEYGFFPNVESCNAYMSSLLGQGRVDIALRFYREMRRCKISPNTYTLNMVISCYCRSGKLDKGVELLQDMERLGFKANNVSYNTLIAGHCEKGLLSSAWKLKNVMGKSGLQPDVVTFNTLIHGFCRDGKLKEASKVFGEMKAVNVAPNTVTYNTLINGYGQQGDHEMAYRFYEDMVFKGIKRDILTYNGLILGLCKQAKTKKAAHFVKELDKESLVPNSSTFSALIMGQCVRRNADRGFELYRSMIRSGCHPNEQTFDVLMSAFCKNEDYDGAAQVLREMVRRSVPLDSRTVHEVCNGLEHQGKDQLAQELVKELEAKKSLQEPLGVEVGAFSVTGGSGGSVTSSASVNTGFGAGGLGS >A04g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10437307:10438155:-1 gene:A04g504880.1_BraROA transcript:A04g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNIAPSGQLTTNTDAENLPGFPKGILGIDIVDKFHKQSERFGTEIFTERSRRSISPRGHSSSSPIRELCSPTHRCRHHLHRSCCETAELCRIWAYAVCDGATPIFTNKIVLMTVPDSGAIRVTVPDSGATRVTVLDRAEQPECMFQRQRFLRKLQPISGFALISLFSLPAACGFDISSFYVSPDYFALFLEKKPDLRVGDLRFCYFVKGEGHLSRLREEPP >A07p000140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:855791:857328:-1 gene:A07p000140.1_BraROA transcript:A07p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLLRPQFGSFRSSLPFRCSFLRFPKTVPSPDPVHDSLKRRIERAPDSMPSLTHLLHEWRQLGNKPGLSELRSIISSLHKFDRFSHALQVSEWMSDQQVYNLSTVDFEIRLLLIAKLDGLEEASKFLDTIPLKKRDFYVHNALLNSCKTHGSLSIAETTFQKMIDLGLASNNPKPYNTMLSLYHSAGDHDMVVKLLLEMDDQRLEPQGVPFGKLFSCFALASCVKDFVGMEKFLNKWRDRMEPWATCFFPACLYMELGSLDKSLSLFRKTEELLDDDCRKNMYGALMRVYCHKGEREDVYRLSNLAKLHGISFDATVTSEMIKFFALKHDFDGAHEIMEEWDTGAGDLGLSDFGHRKRCMKEEADKAINMLGKKWESKWESLTDMLQQNLAEGEDEDGERERRKRVTEALEGRLHERWNPKTTMTLSAYACVQYVEGRRDMESAAEILKLLNKQELVSRAMDKDRLCLKMVEAMRGGGYVGGQD >A08p030840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18856015:18858411:1 gene:A08p030840.1_BraROA transcript:A08p030840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCDNDDNDHSNTTNLLGFSLSSNMLKIGGSEGEALYSSSSSSVATSSVPPQLVVGDNSSSYGVCYGSNSAAGDIYSQMSVMPLRSDGSFCLMEVLNRSSYSNHHHHTQVSSPKIEDFFGTHHSNINHKETMDLSLDSLFYNTTHEPDNNTNFQEFFSFPQTRNHHEEESRNYETVPGLTHGRGPFNVGVYGEFQQSLSLSMSPGSQSSCITGPHHHQNQNHQGHNQTQNHHQISEALVETNVGFETTTRAAARKKKRGQEEVVVVGQKQQTVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQGGYDMEEKAARAYDLAALKYWGPSTHTNFSVEIYQKEIEDMKNMTRQEHVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAGEAYDVAAIKFRGTNAVTNFDITRYDVDRIMSSNTLLSGELARRNSNSIVVRNNNDEETALNAVVDGGSNKKVSGPERVLGFPGIFSLPQDGPKMFGANVVGNMSSWTTNPNAELKAVSLTLPQMPVFAAWADS >A08p040370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23019473:23022900:1 gene:A08p040370.1_BraROA transcript:A08p040370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKSLIGLINKIQRACTVLGDHGGEGMSLWEALPTVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDEGTTEYAEFLHAPRKKFTDFGRLIESFMELTAETYEIQKKARLGLINIILFPAAVRKEIEDETDRITGKSKQISNKPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPTGERTFGVATKLDIMDKGTDCLDVLEGRSYRLQHPWVGIVNRSQADINKRVDMIAARRKEREYFETSPEYGHLASRMGSEYLAKLLSQHLETVIRQKIPSIVALINKSIDEVNAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSTRNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFRGPAEATVDAVHFVLKELVRKSISETEELKRFPTLASDIAAAANEALERFRDESRKTVLRLVDMESSYLTVEFFRKLHLEPEKEKPNQRNAPPPNADIHSDNHFRKIGSNVSAYINMVCDTLRISLPKAVVYCQVREAKRSLLNFFYAQVGRKEKEKLGGMLDEDPQLMERRGTLAKRLELYKQARDDIDAVAWK >A09g510310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30725291:30727031:-1 gene:A09g510310.1_BraROA transcript:A09g510310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRPDDRIIQTTGILPRPICHSRANSQARNHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDFGRAISSSVHGSSTINHANIHTSLGEVISKRTTSLCFTGASHPATFESLCHPSIHQFSILSDLSSYQPYRKSDPYFGSITTRAKITRTVHRKGQRAESKDQRADMCTYGQPRTSYVLCVLTDTHGRPVCTEQTAHVGLNHPNSPREGHGHPVCADGHTRTHKDSHGCPVCAGGHPRTSFHGKGQRAESKDQRADMCTDGQHERPVCADGHTRTATDVLCMLTDTHGGAVCADGHPRTSCPQTATDVLCVLADTHGVLCVLNRQPTWDKITQTVHGKGQRAESKDQRSDMCTEGQPLTSRVC >A01p033330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:335:4106:-1 gene:A01p033330.1_BraROA transcript:A01p033330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETSRFYSETSGFETSRLRDFETLRLRHITSVFIGSPTCKRLFATDRFPVRRLNIYSKPDLLAFVHDVLRGTSEFQTIRDSCFGKLFDLPARQCPVSCKLIHSLLSRQLLVDDPHTQWTAFSGQPLRFGLQEFGTITGLPCGAFPVGHLPPKNKRNQASKDKIWKKLIGKHELTTCADIRHMLETETNMDEWRKLVALRAIPKLLKIIPAPRSSFTIMELEEDHLPDHPSININDVLTIEAEEYLSVTPIIPIERQADPGWGVWPDIVNDERLAYMEELIADKRPFKKWMWPGGDTSLPLIPPPTVEEKPVHKKALKPKHTGKNKASTAKPQYQKNINSPEAETHQQLLSVQNEQKRLLEMIEKLKQRPHTKRSREASLLPRMKKFKKRRRQKSQTHSTLQPTDSPRNNTMSSPLHHKDDTSCQSPILSQYAVQHHHSNLDNIQDSEPLTQKSPDHCSPIQKSPDHISPIHKSPDPSSPVKNSPSPERKYPIHISPFTLPSLLHVSPVHTSPVHTTPELPVATPTTSLARRGVIYDASDHPNTPPFHHLLYQGLENFEPIYDLTPADDGPRLSFTPQPPLSPITRPHLTPNPSPTKSTDTGSGFAQHATSVNAFTATASSSRTPHHSSSVENHQGGQNESEVMELSDSSPAREALAHTPSDAELHLANELLCCPMVPSQRLISPLPLQIWELFYDTFSSAKNHIEILMYMLAERHAQLFQGENLLFSTLHLTSIIQQKWRQFKAARIKDTFHWDKRISDFITTPGKKWMEDVTTVYTPMIWKDRHWVGLAINLDVGLVEILDPNPTLYSDSKVAEFMEPVTTSLPYLIKRFADPQLTHVKFLEMHAHGDPEPKMSSLTDENVDDLRKQYTLDVYKSIVLPAYHPPLN >A02p040610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:25673863:25674138:-1 gene:A02p040610.1_BraROA transcript:A02p040610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQGFKRLKFRRWGKRIGSSTTASATLRNSEESVAFAFSCCGKPPRVLLKQFVWRLKSRLRWSRKSGSNNIQCSYDLRNYHLNFDDGWSF >A07p031020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17198517:17203398:1 gene:A07p031020.1_BraROA transcript:A07p031020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIPNDAKYVQYNISGNIFEVPAKYKPPIMTLGSGGYGLVCSAVNSESNERVAIKKIMHACENPIQAKRTLREIKLLRHLEHENIVGIKDIIVPPQREFFEDVYIAFEMMDSDLYKVITSYEKLTKDHYQYFLYQILRGLKYIHSANVLHRDLKPSNLLVSVKCELKICDFGLARAASETHAMTEYVTTRWYRAPELLLNSSTYTKAIDMWSVGCIFLELMTGRPLFPGRDHVHQFCLILELIGSPTEYDIGSLNDSAKQYLRQLPWFARQSFYLKFPNVPYSAIDLVEKMLKFDPRQRISVEDALAHPFLETLHDITDEPVCTKPFDVDLEEHPLEVEQIKELIYQEALAFNAAQQQTFSWTAEKLESTGLPTSPSSKDVRSRVSKHESQLPDETLEGLPNASSSSLEDPDLRRRIRKKERKMSGSGDKVVGTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTVKGPLADGA >A02p046330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28931794:28933328:-1 gene:A02p046330.1_BraROA transcript:A02p046330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTQVVTLLKSQNINRVRLYDADRSMLLAFAHTGIQLIISVPNDQLLGISQSNATAANWVTRNVAAYYPATNITAIAVGSEVLTSLPNAAPVLVSALKYIQAALVTANLDRQIKVSTPHASTIILDSFPPSQAFFNKTWDPVIVPLLEFLQSTGSPLMLNVYPYFDYVQSNGVIPLDYALFQPLQANKEAVDANTLLHYTNVFDAIVDAAYFAMSYLNFTNIPIVVTESGWPSKGDGPEHDATVENANTYNSNLIQHVINKTGTPKHPGTAVATYIYELYNEDTRPGPLSEKNWGLFHTNGTPVYTLRLAGAGAILANDTTNQTFCVAKEKVDKKMLQAALDWACGPGKVDCSELMQGEACYEPDDVAAHSSYAFNAYYQKMGKASGSCDFKGVATVTSTDPSRGTCVFPGSAKSNQTLGHNTTALAPSANSTTSGCFPHPQASFVNLTFLSLLLLIALVFL >A09p006250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3306799:3310149:1 gene:A09p006250.1_BraROA transcript:A09p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STP13 [Source:Projected from Arabidopsis thaliana (AT5G26340) UniProtKB/TrEMBL;Acc:A0A178UHA7] MAGGGFATAPANGVEFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMPDFLKKFFPVVHRKVEAGADKNSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRTLGRRPTMLIAGVFFIIGVVLNAAAQDLAMLIAGRILLGCGVGFANQAVPLFLSEIAPTKIRGGLNILFQLNVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGVPALLLTVGALLVTETPNSLVERGRLDEGKAVLRKIRGTDNVEPEFADLLEASRLAKEVKHPFRNLLQRRNRPQLVIAVALQIFQQCTGINAIMFYAPVLFSTLGFGNDAALYSAVVTGAVNVLSTLVSIYSVDKVGRRVLLLEAGVQMFFSQVVIAIILGIKVTDHSQNLSKGFAILVVVMICTYVAAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFIIAQAFLSMLCHFKFGIFIFFSSWVLVMSFFVMFLLPETKNIPIEEMTERVWKKHWFWARFMDDHHDDQVFASGHVNGKKSNGKSNGFDPSTRL >A09p051440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45384656:45385365:1 gene:A09p051440.1_BraROA transcript:A09p051440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CB5-A [Source:Projected from Arabidopsis thaliana (AT1G26340) UniProtKB/TrEMBL;Acc:A0A178WMK3] MPTLTKIYSMEEVAAHNKQDDCWIVIDGKVYDVTPYMDEHPGGDDVLLAVTGKDATDEFEDAGHSKTARELMEEYFIGELDEASLPEIPELKIYKKEEPKDSVQKLVDLTKQYWLVPVSVITISVAVSVLFSRNK >A03p071940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31750272:31753070:1 gene:A03p071940.1_BraROA transcript:A03p071940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSPCKALPSSASSLSKEQSHVELELTLLVVLEICPPRDVDLQNRKKEVRANLVALKSQYDSSNYLQATVFLIMNNAAQGILSSLFFKYADTSLKKYSSTVATTFTGIASAALFGHVTTMNFLLGISIEFIYLRIYVSFFSPLAKVKDEQQNGSLELSNSKDTQRLLTAVRQTTRSRFCPDEKGF >A07g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14859066:14859649:1 gene:A07g506240.1_BraROA transcript:A07g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQQLHFFELRAGRSRNVKKGGDLMGMDLVLLDGKLARMGKGIGTARVSEIKTEKQNEGETS >A03g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:139338:145020:1 gene:A03g500050.1_BraROA transcript:A03g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTKDREKSRLADGICCRTYVSLNKLPGSVGKIDMDPALAGRMSLSRFGQGMEWIDGRHKEQWISSLICHTAALIGFAQLKDLGHGSEGRTDGRMAVPRFSLASDGWYWPVRLLLVIEPETDMSFQLVFNRNKNRNKI >A09g511280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33848468:33855904:-1 gene:A09g511280.1_BraROA transcript:A09g511280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDGQPDVLCVLTDGHGRPVCADGHTRTSVCTEQTAHVGQNHQRTAKITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPFRPRNSDLCSMQKTWLEAKESYENLPENSFNHPYEACKKSDSNSKYFFFYIKNTPRNTTNVYCLLPPSTRTVRVRSVGLFGPTLSRAGLRSMAGLSPVNVPGTFPANFPVDRFAPNFKFSRLHGLGLVSSVFQLADEMQPACAQVSAKSILTWTVQSIKTRVYVQISTRISPREGPAC >A05p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25046057:25046699:1 gene:A05p041200.1_BraROA transcript:A05p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDGYQPIPETVKPLEMNIRLLVIASHYPLPENILDQTKSVQLKSMQQNWLAGWYLSFLFFLIFHYILMFSLLRKKRSFFFVGTTVNTGDVVSVSGKGRLKIGEINETKKGKYAVEIESIAPILLSFKKKCQILLIYNSFHFHLFLIRNLIKNLIYPI >A03p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12659654:12660691:1 gene:A03p030180.1_BraROA transcript:A03p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPKQYKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >A08p007150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4134033:4139436:-1 gene:A08p007150.1_BraROA transcript:A08p007150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKEICSRMTRAAVKRKSTATEDERVTKKRVVLGELPNLSNISVLSNFNQIPKPAKSLGALLRKTAPVASAAVEFGSDIDARSDDPKMCGPYVSDIYEYLRQMEVKPKQRPLPDYMEKVQKDVTPTMRGVLVDWLVEVADEYKLLSETLHLTVSYIDRFLSLKTVNKKRLQLLGVSAMLIASKYEEISPPKVEDFCYITDNTFSKQDVVKMEADILLTLQFELGRPTINSFIRRFTRVAQEDFNVPHLQLEPLSCYLSELSILDYKAVKFLPSMLAASAVFLARFIIRPKQHPWNQMLEEYTKYKAADLQECVGIIHDLYLRRRGGALQAVRDKYKHHKFQCVATMPVSPVLPVTFWEDNWKRKDVAHCSRHDPGKNPMAENQNSTRMTRAAAKRKASVTDENPGSKKRVVLGELPNNSNVAAPLIPLQERETQKPKSTLFAAKKQTKNPPLPQTVDFESGSSDPQMCGPFVADICAYLREMEGKLKQRPLHDYIEKVQSDLTPSMRGVLMDWLVEVAEEYKLVSDTLYLTVSYVDRFLSAKPINRQRLQLVGVSAMLIASKYEEISPPKVEDFVYITDNTFTRQDVVSMEADILLALRFELGCPTIKTFLRRFTRVAQEDFNESLLQIECLCCYLSEMSLLDYSCVKFLPSMLAASAVFLARFIIRPKQRPWNQMLEEYTKYKASDLQQPVGIIHDLYLSRRGNSLEAVRNKYKQHKFKCVATMPVSPELPQAFFEDVTIR >A10p029290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17990333:17990723:1 gene:A10p029290.1_BraROA transcript:A10p029290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFKFLAVSKPEISTGINRLFKSFKTISQLFAYKEEEESEEGETSGLMEIGAPTNVKHVSHIGWESSREWKDLIPPELLAAAAAKEDATAGVAHLQPTL >A09g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23192001:23194366:1 gene:A09g508160.1_BraROA transcript:A09g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRFAGKTNKEGAPEKKKKNESAAEKKKAAVEKKKAAAVKRRREAKRRGSKTRLRRSLHPIQRSGVGLHLHQNNIPIPIIPRHQQRNCFLRMIERPQKAPTQTPSEAENPLQAPITSNNREDPNRESHSPEAAINNEAQRTIGSNNSDSNPPEAAIGSATIDNDAPRTVISSTLKPQGDELDLLYEIMDEGSVEDVELQDDSYKADIAVDG >A07g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:131584:131851:1 gene:A07g500060.1_BraROA transcript:A07g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISYSVVSCDMVANWVEQEAQVAGCHSTSSADTYLGRKRKRENEGKWGLDLLSKKLQGMGMIWT >A02p026340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13842587:13845523:-1 gene:A02p026340.1_BraROA transcript:A02p026340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFAGFAFITGFLLGILTIVAAEVAAFLYLLKRLNRKRNLHESNKTSSDPPNSIDFSLNKQGVIWVLESDESLKDWMKEKLPKEEQKKKKKKDILEVHPVRKSAHIKDHKLIFSNGDGTQKIVSLKGCSVEAVSGSELPTRKWAKRYPIKVESKTSDLNKGSRVFYIFLETSWEKESWCKALRLAACNDQERATWPTQLKHDFQNYIASLNVAYPSFMKQPSSGFDVESLDNKGVKIDAPSSKVRLFWKKFSRKKVPNREDKKTSSTRHYQDSSGSSGRSTPARKVRDNNIPEETEVQAFSRSWSHVSHVSDADSEDKFYTDEGTLAWNLLISRLFFDVKLNTGIKNIVHERIQRVLSNMRLPSYIGDLICCDVKIGNLPPYIHGARVLPVEMNGVWAFELDIEYTGGAGLVVETRVDARAEDLQKRIAEGKLQPSPSGDVPIDLLEGLEEFEKQLSVPGGTVEVKTGLTDKPDESKGSKGTNNGSKWKSILKNIAEQVSQVPINLSIGVSSLRGTLRVHMKPPPSDQLWLSFTTMPDIHFDLVSSVGDHKITNSHVATFLINKFKNGIREVMVLPNCENVTIPWMMAEKDDWVQRSVAPFMWLNQDSDSDHDSFEAADVKPKADKPASPKKVANVHQKPRTDEEPVASSPSAANSAAPVVECDSSSEDTIARGGNDEETTPASVQSSSRSIFSSEEDDLKGKKIGTKERMFDFRKKFGEKFEEKKRHVEERSKQIVEKMRGP >A09g511660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34766707:34771566:1 gene:A09g511660.1_BraROA transcript:A09g511660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIEPPSSSQLQPLPTQPSSQHLSVPPGFAPLFPELPIEERNAALLYISHSDTTERQARILRVQQSLAPGFVEPTVVKPIISHDLNKGKGHVFVFQENDKPLKRSSTVTDVPGNYERSPLQAHSNSNYDSSDHEASSASFPPGPTGFLMGSSAGNPPPGTRSEGRKNRRRPQRWKRINKPHLLAASVPDKDGSDTGLCGSDHVSALIDRRYGTWNVQRVRHLFVEEDANYILGLKIDMNRADTVVWGLERNGMYSTKSGTKQSIPQHLRLLFPWVLWHIWKGRNELIFANTRLGAATVMDKAQNDYNAWAEVNILNSSQESDDIAAGTAIVKWEKPSQSFVKCNIDTSWVSETENTGASWLLHCLSDLRFGQVVFESSSYLAGEAILRPEMFLGFQDLLAHIRDKLSGFRLWNISYAQLQGNRCAYEIALSVTRDQRYQSYIARGGSFWLRSLIEEDAKDDT >A02p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14214317:14215371:-1 gene:A02p028100.1_BraROA transcript:A02p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRSNIHELDLEKSDRPVWLMKSPVVVAKAWGKQAPSSSSSSSSGFNSLAKVVESVDPLQAETEFFMEMVGAEYGNMPKSYALNMFKDFVPMGIFSEANLGDPASEGKVEHKFDMKPHGEDIEEYAKLCRERTSKSMVKSRQIQVIDNDRGVHMRPMPGMLGLVSSNSKEKKKPPPVKQTEVKRTRRDRGELEAIMFKLFEGQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGSNQGTYELKPEYKKAGEDDTGGE >A01p054290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30518785:30521215:1 gene:A01p054290.1_BraROA transcript:A01p054290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGKVDEREHVTLEARRNTRKRIAIIVVSLLVLVGIVIGAVFGTMANKKSTTVETSDNGDSISVSVKAVCDVTLHKDKCFETVGSAPNASSLNPEQLFIYAVKITIAEATKALNAFSSSGDNTTMHACVELLDLTIDNLENTLTSSQNGDVTLPELVSDLRTWLSSAETYQDTCIETLAESNHPDSKTFGESQLKNATELTSNALAIITWLGKIADSFKLRRRLLSADVAVNFNVGRRLLQSTDLRRVANIVVAKDGSGKYKTISRALKDVPEKSDKRTVIYVKKGVYFENVKVEKTMWNVVVVGDGGDKSIVSGKLNVIDGTPTFQSATFAVFGKGFMARDMGFINTAGPSKHQAVALMVSADLAAFYRCTMNAYQDTLYVHAQRQFYRECTIIGTVDFIFGNAASVLQNCKILPRRPMKGQQNTITAQGRKDPNQNTGISIHRCNISPLGNLTGVQTFLGRPWKDFSTTVIMESSLNGFIDPKGWLPWVGDSAPDTIFYGEYKNTGAGASTKNRVKWKGLRFLSTKEANRFTVKPFIDGERWLPTTKVPFRSGL >A03g502650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8262393:8262662:1 gene:A03g502650.1_BraROA transcript:A03g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWGSSGGWVLVRFSMRWDSLSKACSLRQWRLENLSDSRGFESGSMVVRLVGWLIFSLAPHVLVSGCFDVGVRFGNRFFPLFVLWDKL >A02g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3294270:3297191:1 gene:A02g501060.1_BraROA transcript:A02g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHAASDTDVSHSTFASPYVRTSLPRFKMPGNSIPNEAAYQIMHDELMLDGNSRLNLASFLTTWMEPECDKLIMASINKNYVEKHAYPITTELQNRCVNMIANLFNAPLGETETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPFNKPNIVTGANVHEVCWEKFARYFEVELKKVKLSEGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLIVYTLIKTTNLWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYEGIGWVVWRTKEDLPEELIFHVNYLGVDQPTFTLNFSKVFHQSLFIDIHLSINVGSSQVIAQYYQLIRLGHEGYRNVMENCRENMIVLREGLKKTEKFNIISKDEGVPLVAFSLKDSSSHTEFEISHMLRRYGWIVPAYTMPPNAQHITVLRVVVREDFSRTLAERLVIDIEKVMRELDELPSRVVHKISLGEEKSEANGDKLRVTVKKSDMEMPREIINDWKKLVPDRKKTNGMC >A07p051660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27393205:27396527:1 gene:A07p051660.1_BraROA transcript:A07p051660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMASSDAWMREYNEALKLSEDINGMMSERNSSGVTGPDAQRRASAIRRKITILGTRLDSLQSLLVKVPGKQHVSEKEMNRRKDMVGSLRSKANKVASALNMSNFANRDSLLGPETKPDDAMNRVSGMDNQGIVGFQRQVMREQDEGLEKLEETVMSTKHIALAVNEELTLQTRLIEDLDYHVDVTDSRLRRVQKSLAVMNKNMKGGCSCMSMLLSVLGIVGLALVIWLLFGVEPLERPRRSYQRRDLLNRVYASDDARVYAIERAEALQSSLEPEFPSFIKPMLQSHVTGGFWLGLPRQFCHTHLPKYDEMITLVDENKDESVTKYLADKNGLSGGWRGFAIDHQLVDGDAVVFHLINPTTFKVYIIRVNDESNNGSGGSNEKELAIKPNKNQEEKNVSEVPPLSNSGKRKRRGRK >A10g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:507398:507682:-1 gene:A10g500160.1_BraROA transcript:A10g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVITLIIIRGLQSSSQLKRAQERECIIPKRIVTGWFLNNYATRNLKFEMYKIETVTMR >A01p008880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4288098:4288736:1 gene:A01p008880.1_BraROA transcript:A01p008880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVQVQSKACEASKFVAKHGTSYYRQLLEKNKHYIQEPATVEKCQELSKQLLYTRLASIPKRYETFWKEVDYAKNLWKNRSDLKVEDAGIAALFGLECFAWYCAGEIAGRGFTFTGYYP >A02g510950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29076180:29077196:1 gene:A02g510950.1_BraROA transcript:A02g510950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLLQGGVTECIKSCCGYGRRETSGAIVMGVDMLSLMPRILLKKKNLIHAQRSGYSVLIFKPKYTLFLYHLETPLRRRGIEFFWFDGKLGQKSEEKVLKDSMKPSQNSSASVSETWSRTESNRIFTRLLNGTISLQPYVKILLDYGEMMAALFFEMLMIHQRKSNRSYENKIIIGSDIV >A07p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18031735:18033840:-1 gene:A07p032970.1_BraROA transcript:A07p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLCSIVVTRLLLLVVFSLSLTASNANSFESLLQLPRRPPRSTRTRPRSERLIHVGHFGAKGNGFTDDTKAFEDAWKTACSSKGKTRVLVPENYTSLVRPIDLSGPCKARLTLQISGTIIAPDDPDAWEGLNPRKWLYFHSVSRFTVEGGGTVNGMGQEWWRRSCKHNHSNPCRGAPTALTFHKCKNMRVENLSVIDSQQMHIAFTSCRRVTVSALKVIAPGTSPNTDGIHISASRSIVVDNSTVSTGDDCVSIVKNSSQISISNIICGPGHGISIGSIGKSKSWEEVKDVTVDTAFISDTANGVRIKTWQGGSGLVSKIIFRNINMNNVSNPIIIDQYYCDSKKPCANQTSAVSIEKISFVNVHGTSATKQAIKISCSDASPCRNIVLQDIDLEPSKGDGYTESFCWEAYGSSSGQVYPPSCLSGDESFLEQSVRSGIITSVSYI >A09p070800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54830453:54832047:-1 gene:A09p070800.1_BraROA transcript:A09p070800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSFTDLMLDTDEYLIDDWESDFPVVPVETTDHQGSGSESGFMLIPERPTKQLKVNSTSSSPSSSSSSGSLTTPQVISFGAPDPTMNLVETSFNFSNQANMNQNAGSKRKECGNNGGKREPHLLKEHVLAERKRRQKLNERLIALSALLPGLKKADKASVLEDAIKHLKQLQERVKKLEEERVGTKKMDQSVILVKRSQVYVEDDSSSYSSTCSAASPPSSSLDEVSILKQTMPMIEARVSDRDLLIRIHCEKNKGCLVKILSSLEKFRLEVVNSFTLPFGSKTLVITILSKMDNKFSRPIEDVVKNIRLALAE >A08g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4455669:4460848:1 gene:A08g501840.1_BraROA transcript:A08g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENRKDRGLSSEVSIPSGLNRIKTRLAPKPDESALTVPKPPPPFNNRKPKSIAPRREHGKTTSKQERNKGKKLSRWLASYKPKYSVNLHKGYGCSTSEDAKSKVNNSRKDEETMVKLSETNLSSCKVPSIGIKSFSHELGPRGGVQTSHPRPHSYNDLKELLGSLHSRFDVAKEIVDKKLDDFVIDVEENMEKMDPSCPEDRETAEELLKLAQTCIEMTSAQLRATCESIVQDLTKKMKQCQAGLVKWFVSQLLFILTHCTRVVMFQKETEPIDENSFRKFKECLENIPALETNWVSTSRVDDAGKKFKKQDKESLESEATLGFGMPDDQSNNAAREGYGASKQGSRFNSKVVEQRSYLSNEYQDKMPNDPSGKELGGWDSVICRICEEEVTLSHLEPHSYICAYADKCEINCLDVDERLLKLEEILEQIIDSRSSNSFHPQAGGLENSVLQKSGVASEGCSPKVNEWRNKGVEGMFEDLHEMDTAFIDESNTFPINLKSHVGAKFCHHGTSSSTGSITSVSSTNTPRTSHFDSYWLERHSPEQEDLQLSSTGNVKESESVSEHASQGSAMTTPHFAQKERTSIDDFEIIKPISRGAFGKVFLARKRTTGDFFAIKVLKKLDMIRKNDFERILEERNILITVRYPFVVRFFYSFTCSDNLYLVMEYLNGGDLYSLLQKVGCLDDDIARIYIAELLTDFGLSKIGLINNTIDLSGPESEASPRKSSRHFQKSKEEERIRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFELITGIPPFTAARPEIIFDNILNGKMPWPDVPGQMSYEAQDLINRFLVHEPEKRLGANGAAEVKSHPFFRGVDWENLAMQKAAFVPQPESIHDTSYFVSRFGEKSFSDSGTDNDNESYPNSGDELDECTNLADFDSPPYYLSFINFSFKNLSQLASINHDVLLQKDPAKGGGASPFNSHGT >A04g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3370029:3371074:1 gene:A04g501310.1_BraROA transcript:A04g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNVVKGIRLMERNQNKAEASSKELDKGAGSWTRSGNKPRDLEGLKKIEEASWTQCITAERCGLGPVGQVWAVTGPVGWPRMAMSRWALWIEPGAWVIRGGFSVTCLRVDKKVQDVWTLEHTQIVMEEVGWLDSTSYGQDSLKGIGAWEGSFMGVGNNPVMVFDHG >A01p048360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27247418:27250841:-1 gene:A01p048360.1_BraROA transcript:A01p048360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 19 [Source:Projected from Arabidopsis thaliana (AT3G17690) UniProtKB/Swiss-Prot;Acc:Q9LDR2] MTSPNENDQVSIPEATSRAHTRAFNFKNRSVSLSNSTYYIDGCDNSKVALGYTVPIRTQRRPPGPLYSTPRPESHFPPSIEPPDSSSTVDVRSEDESVLENANILKSGQLGMCNEPYCTTCPSYYSHQSANFHTSKVSDSRFHTVLYDDARGWAKRFASSVRRCVPGIMNPHSKFVQVWTRFLAFSCLMAIFIDPTFVFLLLIRQDNKCIEIDWPKTTVLVSRRSMFDLIFFINILLQFRMAYVAPESRIVGAGQLVDHPRKIARNYLRGKFFLDLFIVLPIPQIMTLSILPAHLGTSTAGFERNIIRSIFIVQYIPKLYRLLPLLAGQTPTGFIFESAWASFVINLLTFMLAGHAVGSCWYFSGIERVKKCLLYAAWNNSVDERRNLIDCARGNIYASASQRALWRDSDSVNACFQESGFSYGIYAKAVNLTSHSSIFTRFSYSLFWGFQQISTLAGNLSPSYSVGEVFFTMGITGLGLLLFARLIGNMHNFLQALDRRRMEMMLRRRDVEQWMSHRLLPEDIRKRVREAERFNWAATRGVNEEFLFENMPEDLLRDIKHSIRERLKQRTYISSSTVLHRRGLVEKMVFIVRGEMESIGQDGSVLLLSEGDVCGEELLTWCLERSSVNPDGRSIKLPLKGLVSNRSVRCVTNVEAFSLSVADLEDVTSLFSRFLRSHRVLGAIRYESPYWRLRAATQIQVAWRYRRRRLHRLYTAQSTSRR >A07p048010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25669365:25674486:1 gene:A07p048010.1_BraROA transcript:A07p048010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-like 1 protein [Source:Projected from Arabidopsis thaliana (AT1G75310) TAIR;Acc:AT1G75310] MEYEKPPSATTFSRKMTSRSHSHSLSFSANAVYDGVFSSPANTKSPLVDYGEIFRGSGPSPSSIPFLDVPELNVGKVKVDVRSSKLDYGSVFGGFGGCGDFAVTPNEVIVRPEKKERRSRRKGGSSSDEVKNLTSSPEMVRMKHSDILLHQTKENGTAHLTQVQAVSGPSPTQVVGEVSPLQKIVQGSENGTTRSAQVPFVAAPTQVVDNISPLQKIGQDEKGTTRSAQVPVESPPTQVVDNTSLLQKVESKTPIPSVEEVQASRKRRSKTEVDYEKIFVRDESTTRGDSTCKTDSIAERRDVKPPSSLLQRTLNGASERFMGFSSGLPERYHQAEDDDDSPSSPPFFDAETDIDSSAAESSAALKKALEEAQVRMNIAKQMMDRKKSGFRSSAKLKTCDESLKVEVKTEAVVSSQVEDKRDEKVEEKTEESSSQVLGEAVNSSEQSFSNEGDQHAKRARKQWDVPEGLLNSTSDHKQQEVEEVKLEEEQARRARKHWDLPGGIFKSVMKSKQQEPEGLAPAKSETDTKQEAQPLTENPFYTFGQLGSKIKGVVEAFTGSKVSQKNETHPTEEEKSILPQMVHCEEGGGDSQEMLAAIPVTEAEEIQQQTESKGETKQEEKSESKMSTFAEECSEEMEKETGWQVKTSCESEDGPECGVIVFQETSDPTYSFLDQEGEKEIVSRPQEILVSPDDTKPDVREVEEIPTPNESLSTTQLDESVGTMVSFARESTPEPGNIHEETEHKAPRRRRVWKTSEDVYNMIRAPKGNKRHWQLPSVETETTQRSFQMEGVRIHDSSEEIESTSEQASDSGLQENWTVLKQMFRQMFQTTDTTKGEDETYCLVESEKEHIDIHQEAGDENGKETSYCQITGTDRYEENELETEDKAYAHTVEDEELESEQETNCREEEGNVEVHGKTSLVRELIGEEEPDATGMASDQDDEYVPEVFEEAGWAQGLSELDEIREHPDSHAEMLEYDRSETDSNKSCEKFDQTRELAEETKIDCSVDTDTSRSSFETRQGDSHTEEVGFEQDLRDQFHEKNSAASSTEEHVEEIDTDSIQSGWSVDDKIKSYVEESLAAHMQDGGASKVEEIEEPKEESDDMETSLSEENNEDKTEQEHRFECQKEEVDRNNVESAESSCCLPKGDEEIGAATDINMKENEGEESCRSSTSDASQKEADRVEKHLKKKDEAKEKERAKEKERSMVERAIREARERAFADAKERAGKAAMEKAKARVPRRVASDGPRRSEKASVEVNDKLSSAEKASMQAKLRAERAAVERALSEARERALEKALSGKFDASQTRSYGGSKSFGSSGDRRGSSSSGTENKSSGPSSSSNQTAKAEPIQRCKARSERHQRTSERAAEALAEKKLRDLKVQKEEAERNRLSEALDADVKRWSNGKENNLRALLSTLQYILGAESGWKPIPLTDLVSSASVRKAYRKATLYVHPDKLQQRGASTQQKYICEKVFDLLKEAWNKFGADER >A01p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20654529:20658250:1 gene:A01p035460.1_BraROA transcript:A01p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGIYRCMSSGTRSNKEKDLLFSDDLAHLERTIRSGQRSKSLDATTSSLIDTHNQPSTDTRPSSSIDPNRSTTIDTTLCTSIDTVSSKMDENGNLYDQDGHMRNATGQKLDAQGTVIPDANATGAAQPLKEGDFEIESSMSLGGSQWCRPMSMNSHRSTDHNEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDIDRHNPPSIDRQAPLTYRVWLSSIDNDYINALRPPPKPLASPPEPKLNPLNSLPEPVQEEQETEARRLRKRKEKIPKNLKSEANEKEMDGFTKRILRIPIEKAFDEAYFTHRLRMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDIGASGKVINSVGYGKELGFIGACHCGAEYESEYKTEYLESIDTPTFPSIDSNESTVTDDRNNTSLDVKQPVDHFTPPNHCYHHFAFQPPSKRGRDDHSIGSWADSSFHESFAVDTVITSSNEEHTEKYDEDYWKERAIKMSLQDERFETHKFTNTFPSIAEVHSTSVDTHHLPAKKPLRSIRHSYRNIDRYSRRSENSGAGEYSLSN >A07g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12198177:12199479:1 gene:A07g505470.1_BraROA transcript:A07g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGSEHFEEYIGQLDVVSMASLDIFSERDQIDTKMIIEKKRIAFGFRLLRRKEREEKLAHILKDRLNVQPICHEQRQFISNVKAEVARLSNADSPPYVSILQQHTVWKPVKDSLGRKIYTSMYPFVAEWFWTKGHLIKSQVTAATGSSSSHCQERYSCKGQGVKKFGEDLQKSKKIASEGDPLVRAELHKIYGNCQDRDAST >A08p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22008298:22011605:1 gene:A08p037820.1_BraROA transcript:A08p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAETMTKKKKKGRPSLLDLQKRAIKQQQQHHRNNHDDHRSGSKNPNSPNSGTRSKRRNPNPNGVSSSDSPLSEEDDDERREKKHKLLYGLNSNSNRHSPNPQSRGSDLNLDETPVNRRKIGGGGGGGSGFTGEKASKATDILQGSPVESGGPTTPLPDKQLLVFILDRLQKKDTYGVYSDPVDPEEQLPDYHEIITNPMDFSTVRKKLDSGAYATLEQFEGDVFLICSNAMEYNSSDTVYYRQARAIQELAKKDFENLRRDSDDEEPQSQQEQQQQPKVARRGRPPKKQPEPSSIDRTASEISADALIPGGDGSNRFSGAYNLRKTPPSHKFRQAETSVRINHNSETQSGWSVDWENEFPPSVVKAVNKYGMKHFNVDENKRDTYNHLPASTQEPSVLTTLEDELKQLIPVGLTTEYGYARSLARYAANLGPVAWKIASKRIETVLPPGIKYGPGWVEENPAGTEEDNDPQKKKCSNDLASDNHSNRILSPTASVSSAFIGNRHSSSSQGIEETAAPSRAFPPASSSRQAGPLIKPESSINGLTRGFSGFGHSPSPMIGATRQKQPNLANETMPGPQQQGMLFPYNKQEFDRFPPDLNARLVSPNSPGANQQTGSSSSQHPDLALQL >A07p045450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24661813:24663389:1 gene:A07p045450.1_BraROA transcript:A07p045450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPPENDLCSICHSHFTAPCQANCSHWFCGNCIMLVWRHGSTLQPCRCPLCRRPISLLVPTEDTIRNRSDSAVSEVLASLETYNRYFGGRSTSLFQRMQDLPFLLRRLLREMMDPQRTLPLVIRARMILSAIYIVSPIDIIPEGVLGVVGLLDDLLIALICFLHVAALYRSVLYFRHAGS >A10p028490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17678546:17679624:1 gene:A10p028490.1_BraROA transcript:A10p028490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGKPEKFSTDFMMGGAAAIVAKSAAAPIERVKLLLQNQGEMIKTGHLTRPYTGLGNCFLRIFREEGVLSFWRGNQANVIRYFPTQASNFAFKGYFKTRLGCSKEKDGYLKWFAGNVASGSAAGATTSLFLYHLDYARTRLGTDAKECSVTGKRQFKGMVDVYRKTLSSDGVKGLYRGFGVSILGITLYRGMYFGMYDTFKPIVLVGSLEGNFLASFLLGWSITTSAGVIAYPFDTVRRRMMLTSGQPVKYRNAVHALREILKYEGFFALYRGVTANMLLGVAGAGVLAGYDQLHRIAYKHLVQ >A05p031750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18216081:18218596:-1 gene:A05p031750.1_BraROA transcript:A05p031750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRDVSPGPNETQLRFGLIHFWEAWNPLKKTLIGLEMLLIDEQGTVIQGFISPGRTERYLPKMKPGSVYKLNNFYGSSNKSVYRVSDHAVTVSFSWNSELSVLEDSPTPFDEDRFRFHSIEDFQANCDRKGDLYGIDVVGHMKLVNGQSLIGTPVLDEVGIERARHLLVHVQSHEYWTCDEALPLGPGSRDFCKKFKSYENTPTVLLVTTFNTKSLGGTLALTSMSSSRVLWTRCPTYDRLLRLSADRVNAEVLTKRETLTIGEIFSYIKQGSTKEAFFECTATIDDVVHRSTWYYISCSGCHTKARKGPTSLMCSKCGKVNISGVTQYRAQISVYNNSEQAVFVLFFMTGTVHRYMSMTTVSNQFDALNAHQIDLHFLFLNSYELKTTSLSISLHIHPKKTQDFDSKFFMVYRAIEAYDSWWYFFRYVRPGDFRRQLTWKSSIFVR >A09p006770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3553514:3558053:-1 gene:A09p006770.1_BraROA transcript:A09p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSMQESFSNRQSLCHQRRRYTSLSKRSSGDFCHQEGSKMIMWYIIVAVVILASLLVARNMRKTKKNLPPGPPRLPIIGNLHQLGSKPQRSMLELSEKYGPLMSLKFGNVPTVVASSPETVKDVLKTFDADCCSRPYLTYAARISYNLNDLAFSPYSKYWREVRKMTVLELYTAKRVQSFRHVREEEVASLVEFVKESASLGNAVNLSNTLMKLSGSVICRVGFGINLKGSKLEDTYEEVIRETMEVLGSFAAADYLPIIGRIIDRVTGLHSKCEKVYKAMDAFFEHSIKQHMEDESLKDDIIALLLKMERGEAGLGEYQLTRNHTKGILLNVLIAGIDTSGHTITWAMTHMIKNPRILKKAQAEVREVIKNKDTITEEDIEQLEYLKMVMKETVRINPLVPLLIPREASKDIKIAGYDVPKKTWIHVNIWGVHRNPNVWNDPEAFIPERFMDNEIDYKGLNFELLPFGSGRRMCPGMGMGMALAHLTLINLLYRFDWKLPEGMEIEDVDLEESYGLVSPKKVPLQLVPIDYKGLNFELLPFGSVRMMCPGMALAHLTLINLLYRFDWKLPEGMEIEDVDLEESYGLVSPKKVPLQLVPVLTQWS >A08p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3522298:3525352:-1 gene:A08p006190.1_BraROA transcript:A08p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific adenosine deaminase TAD2 [Source:Projected from Arabidopsis thaliana (AT1G48175) UniProtKB/Swiss-Prot;Acc:Q6IDB6] MLRFLVRLKGPGLTFISRQSPLNQTLVSCLRQKGEGFKEPEQKVVIADESHFLKNAQAKMTAASLPGLKAGCNFLVFAHHHSMLEALHEFLKVRHITLSKAFLKSPSVSHTSYKKSCRKRKWSVSGLMEAPRDVNMVLHLSSTTSCDLISSLVLDCLIVIRRHDFVSSSPVIALLSRDPLCCVRRAISSSPYIAKFKVMALVKEDRCEESRKYMGFALHQAKLALEALEVPVGCVILEEGNIIASGRNRTNETRNATRHAEMEAIDELVGQWRKDRLSPSQVAEKFSKCVLYVTCEPCIMCASALSFLGMIPYAELDHFFIPDMIFSLMEGIKEVYYGCGNDKFGGCGSILPLHLASSQTEQGQGGKGYKCSGGIMAEEAVSLFKCFYEQGNPNAPKPHRPVVQREMA >A05p024770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12089113:12090729:-1 gene:A05p024770.1_BraROA transcript:A05p024770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPISPSFLLSPYSLYKIARFTKKKILSLEPTELFITSGENELLPTYTVFWKNNYSLIFEQAVERIEGDGGVRAPSLVELTIEDSEFTVVGRVKGRDEALQDKIHHLRKNDVLAIPASSANDAFDGSMTELKKKKKSVESSSLNEESVTDAEDLDEHANEEEEVE >SC304g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000149.1:19266:20051:1 gene:SC304g500030.1_BraROA transcript:SC304g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSNVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPKMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGNQ >A08g507990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14100877:14103364:1 gene:A08g507990.1_BraROA transcript:A08g507990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSAYSSDLKSVLEISQVQLNYLAVASDLGKVFGWSSGLALMYFPLWTVLFTAAFMGFVGYGVQWLVITNFVSLPYIMRPSARPARSLRSDRAIVPLGRYVATELEPKLGRYVATGLEPKFGRCVATELFRTSTDINPCYLLKTVASSVHVNRRYEFRFPQFGARRMGTYGSI >A03g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24201240:24203188:-1 gene:A03g506790.1_BraROA transcript:A03g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSSSSFTYDGIPLLRRVSFRRHQIYRLQVSTSVVIRFVVAVIRFVVVVPELWMLINKRGESVENKIKKLDVELCKYREQIQKTRSGLVQQALKARAMRLLKQKKMYEGQRDMLYNQTFNLDQLLSTPVDMARSYMRARLPWRSLSVNNSEFWSPSSAGTQLLKEGTPFSYNAGNLSSSKFSNRKFAYQHVFLMALSELWLSQILACVFIRCCIWPSRTLSRNKLNGTIPVSLTGLPNLINLLFDSNNLNGQIPQTLFQIPKYNFTGNNLNCGVGQPHPCVSEVARSGIDIKDTNVTCSWMLQWCCSEHQHQNHNEFKKFYKVLIYICCQDLLTDHHHSEREKGSTTVFKQ >A07p026850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15340211:15341270:1 gene:A07p026850.1_BraROA transcript:A07p026850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAWCLRKKRYVKAKLTWKSKKKDLSPSGNWQPEDDSDEGKIVFFGGSSYTFDLDDLLAASAEILGRGAFGSTYKVAVDDDTATVVVKRLERVVVGRREFEQTMEIVGSIKHENVAELKAYYYSKNDKLAVYSYYSKGNLFEVLHGEKGVSLDWESRLRIAIGAARGMAIIHGADERKLVHGNIKSSTIFIDSQGNGCICDLGLTAITRSLPQTSVCSSGYRAPEITERRKCTEFSDVYSFGVVLLELLTGKCPSPRSGDLENMDLASWIRSVVSKEWTGEVFDIELMKQIGIEEEMVEMLQIGLACVALNPQDRPRAAEVVKMLQDIHSNT >A10g501590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4413869:4414051:-1 gene:A10g501590.1_BraROA transcript:A10g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRKQSIVYAVRDYPPLKESSVACSATTTDGARSLTMLWLVLRIPVHDLLFHVSYETQ >A07p050330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26828059:26831065:1 gene:A07p050330.1_BraROA transcript:A07p050330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAHPVDGDVSPATDGGDLPIKSSPHRHKVGVPPKQNMFHDFMYTFKETFFHDDPLRDFKDQPKSKQFMLGLQSVFPVFDWGRSYNLKKFRGDLIAGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLVYACMGSSRDIAIGPVAVVSLLLGTLLQAEVDPVTNPDEYLRLAFTATFFAGVTEAALGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVAVLDSVFSAAHHGWNWQTILIGASFLTFLLTSKLIGKKNKKLFWVPAVAPLISVIISTFFVYITRADKQGVQIVKHLDKGINPSSFDQIYFSGDNLAKGIRIGVVAGMVALTEAVAIGRTFAAMKDYQIDGNKEMVALGVMNVVGSMSSCYVATGSFSRSAVNFMAGCQTAVSNIIMSIVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLIDIQAAILIFKVDKLDFIACMGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAVLGNIPRTSVYRNIQQYPEATMVPGVLTIRVDSAIYFSNSNYVRERIQRWLLEEEEKVKAASLPRIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLVLANPGPLVIGKLHLSHFADMLGHDHIFLTVADAVEACCPKLSDEV >A05g500350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1364362:1364694:-1 gene:A05g500350.1_BraROA transcript:A05g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRNINHLGSALLIHHTAQYVKNVFSTNMNFDLQITVTNEQQPIRNCKTRCRLIGLQLWKKKVDVRFGNYNYGNNICYLPCGHNFHFPCIEYWLRRNRSCSICKESNL >A02p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17490077:17492010:1 gene:A02p033120.1_BraROA transcript:A02p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVEAGKLEWRISVDNGTTERLVPTYGPSKRIFLWLKGFVMKVILERIAKSMRNIWRIGADDPAKVVHCLKVGLALSLVSIFYYMRPLYDGVGGNAMWAIMTVVVVFESTVGATFSKCVNRVVATILAGTLGIAVHWVATRSGKAEIFVTACSVFLFAFTATYSRFVPSFKSRFDYGAIIFILTFSLVSVGGYRVDKLFDMAQQRASTIAIGTSICIVITVFLCPIWAGSQLNRLVQCNFVKLADSLDGCVAEYFKKDVSTNENEDEETNKKLQGFKCVLNSKGTEEAMANLARWEPAHGSFNFRHPWQQYVKIGAAMRRCAYCLENLSICTNYETEAPDQVKNHFGEACMSLSSASSKILRELADMIKNTRKSSKMDYLVFDMNSAVQELQETLKTVPIETNRPEEDVKSEKDEEGDRTMAMSLHEILPVATLVSLLIENAARIQTTVEAVDELANLADFKQESKKKTGGNNAKQTPLSS >A04p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18569970:18572447:-1 gene:A04p031560.1_BraROA transcript:A04p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGVGLPTHTATTTRTKPSKLYKEKEDVVRWIFKFVGRRKKILFIITTLVFIRVLYVWHGEDGQKVEAPHSETTYKEPLSNIHNNLSHVVKAAKVYRPRPPPYFRGYTLPPDHPCNRFTLPPPPADKKRTGPRRKISLPLDSLSCPVCYLPLEEAIALMPSSPSFSPILKNLTYIHKKPLNRKTEFGGSDFGGYPTLKQRRRSFNIKGSMSVHCGFVKGRQPGRGTGFDINEKDLLEMKRCRGIVVASTVFDAFDDVKSPSNISKYSEQTVCFFMFIDEKTESSLKRDKKFNRTTKKVGLWRVVVVHNLPYTDGRRNGKVPKLLVHRLFPNSRYSLWMDGKLDLVVDPHQILERFLWRENVTFAISRHYKRFDVFVEAEANKAAGKYANASIDFQVDFYKKEGLTPYSTAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAARTKWNVSMFLDCQRRNFVVQLSVSSKSLKIGKDPMRHEYNAT >A10g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12608955:12611966:1 gene:A10g505080.1_BraROA transcript:A10g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFVSCFSDLEDFWDDLPVSRLVVVWKSSGLLGSLLTKSYGLPGSRLDFQEVVWTSKKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVSKTSGTTYLLVVWKSSGSLVWKSSEFPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLELSIDDLTFSRLRLQISKSIAKITSALTRRLPGMSSTARRLKVKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHENFRIKILSFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A01g511120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31159551:31160717:-1 gene:A01g511120.1_BraROA transcript:A01g511120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKVETPKVKVDMEAKLQAWLESKGKTKSSHRMMAIRSPLIGKNQSWVKKLGVYRSAVAEKAKLTSKGQRNAFVSARNLFGGETPDASHLWGIGEKMAEYMIELRETSPVKSETVIQGFVPPGRIKKFMPHMRQGGLYTLTNFYGFRNKEVFRVAAHSVTISFSHTSELAPLENSPVDFEEDRFRFHSYEDFEAGCDMKGDLYDVIGHLKLVNG >A09p062070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50933896:50936075:1 gene:A09p062070.1_BraROA transcript:A09p062070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQIETPLLPKQEPSSENHESTSGAGIMSMPAAFKVLGVIPALLIITVVAWLSHSLCRVPYEVNYRRRVNNIRRSYERVVRETRVRRCTGDVLCGSDNGGSVHLGVLQEWFGSHWWNTRIFALLFIYGFVLLPLVLCRRVERLAFSSAISFILAVFFVVISSMLAISALMKGQTKSPRLFPDLTNGGSFWNLFTASPVIVTAFTFHFNGKNPSNTPNVQEIAVHPIGFELKDPLHMIPATKISVILCAAIYFATGLFGYLLFGDATMSDILVNFDESSGSSIGSLLNDIVRLSYALHLMLVFPLMNFSLRANLDELMFPARKPLAEDTNRFIGLTLALLICCFLSAIAVPNIWYFFQFMGSTTTVSIAFIFPAAIVLRNVHGVSTSSEKMVAAIMLVLAVATSIIAISTNLYSLTSN >A09g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8254464:8255135:-1 gene:A09g502450.1_BraROA transcript:A09g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSLEVPFVKVVRCPSCLNGIAVPQPQNGVQVQTPSDTAETHQFGAETAELPVQEEERVTIVFAVP >A03g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29558310:29560633:-1 gene:A03g508870.1_BraROA transcript:A03g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEMQQITARTIEKVVVHPLVLRNIVDDYNRVAKESGKRVVGVLLGGSSNGIVDVINSYRVPFKEGDKDPCGGFFYHKHHLSMLQRFHGINDMVGWYSTGPELGDNDLYVHAQFCHYAPNPVLVVINVVLGIPTNAYYTMSSLEKIEKEVFVRASVEIAPHEVKEDSGEEHLLSEFQDTTTEIAPKRMTLGGVSFVDAMESYMEFYKNQVRISVEGYDISLRPVDLDISLVKHFSSCGNVEFVKVPRDPVTNAISGTSTTVVLRGKGASEKALALNGSDVGGWRASVKILPPALSSLRSGLTTREAARQYVAHFKRYMSRGITVKGYDYSLCEADVKRALVNYFSSCGEITDVFVFKRRALVYFFEYEAVESALKVCRPSQRRVTGTCFRARAMPIPKRLIVYGPDSCLATPTY >A07p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18391594:18393637:-1 gene:A07p033640.1_BraROA transcript:A07p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGMGSGKGKSRPSPSDPSILVSDKINAREKYALIPDRFTSLDQVSKALREAGLESSNLILGIDFTKSNEWSGKTSFSGKSLHALGRIQNPYEKAIFVIGQTLAPFDEDNLIPCFGFGDSTTHDEEVFSFHSDNSPCHGFEEVLSCYKRITPNLILSGPTSYGPLIDAAVDIVEENNGQFHVLVIVADGQVTRGFDKAEGELSQQERATIDAIVNASSYALSIVLIGVGDGPWEDMRKFDDKIPKREFDNFQVTSHSQLQFSSISTHPLAFDLDLLSAKFVNFTEIMKRDSSESAKEAAFALAALMEIPFQYQAATELGLLGKTTGLAKKINPRPPPTPYTPTIRTELPSPASEEHTQNCPICLTSRKDVAFGCGHMTCRDCGSRIANCPICRVLITSRLRLYT >A01p026070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19767022:19769071:1 gene:A01p026070.1_BraROA transcript:A01p026070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKKGDEIEVSSHEEVLKGLADDGSTTRKITYRRYIRPVLPENLFTEVDFKEGCVVEASQRGGWWTGVFFKKIDHEKAWVYFNSPPDLFQIKTEQLREHFDRVGRVWDRPRKKRFLYKTLTFSCGTMVEVNDTDDDDEVDVWVPSVIVKVMGDKKSFIVKPFKHISWDDGETPKPNRTVGLSSIRLTPVHVSVERSYGLMESVEVFLEPGWRSGTVTSLLCENRYTVCLNATNESLVFKHDSLRPFRGQNTSEVNAAITPQMSLSSGENGEKELSETATESVSSPAPSPARSAGTEEISVQPVSDQSGLGNHKTQENESSGEVNNNQKRKREHNLNSSTPGVEATTMVLPFAKKSHLWKELETMEVFQRAPPQNPHLSLVVGESEEFREGLAFGMMLTLSRLLENFKNLEPDVSISQLDSLKISFAELEKHGFDVSAPLARINKLVALKDTQLKKMEEQNGFNRKIMALKEDFGEMEHMILELERQQVALKEQRDAVYQNMSDGVICKR >A10p027700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17374672:17380085:1 gene:A10p027700.1_BraROA transcript:A10p027700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVRSALGDMVITFFWVILSATFGLQTAAIISAAGFHGITWAPPLITTVVVFFSISVFTVIGNFLGGASFNPCGNAAFYTAGVSTDSLFSLAIRSPAQALGAAAGAITIMEMIPEKYKTMIGGRPSFRVDAHSGAISEVILSFSVTFLVLLIILRGPRKLLAKTFLLAIATVSVFIAGSTFTRPFMNPAIAFGWAYIHKSHNTWNHFYVYWFSSFTGAILSAILFRSLFPPPLPFGDTSFESPSFDLEPSQDPPQTSGNGKIRYRSPSYTELLESVSTATGSPPTSEYHQGLLSVDGEKMTAKRGIGRHESLADKIQRHRSILLVISVPVVLIALVLLLMPGRSATDAVVEEYTVLNRKGGPNSRPPKNYAVVFDAGSSGSRVHVYCFDKNLDLVPLGNELELFLQLKPGLSAYPTDPRQAANSLVSLLDKAESSVPRELRPKTPVRVGATAGLRTLGHEASENILQAVKELLRDRSMLKTEANAVTVLDGTQEGSYQWVTINYLLRNLGKPYSDTVGVVDLGGGSVQMAYSISEEDAASAPKPLEGEDAYVREMYLKGRKYFLYVHSYLHYGLLAARAEILKVSEDSNNPCIVTGYDGTYKYGGEELKAAALQSGASLDECRRLTINALKVNDTLCTHMKCTFGGVWNGGRGGGQKNMFVASFFFDRAAEAGFVDPKQPVATVRPIDFEKAAKKACSMKMEEGKSKFSRVEEDNLPYLCMDLVYQYTLLVDGFGLKPTQTITLVKKVKYGEQAVEAAWPLGSAIEAVSSP >A03p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19584372:19585469:1 gene:A03p046380.1_BraROA transcript:A03p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G34290) UniProtKB/Swiss-Prot;Acc:Q9XID2] MDDQWRPGSPHNHCPQPCQAHHGNHTAVDGHNEADRLYTRARIDAIGRQLSGVPPLPPSYHHSTRPRGLLMRNLYFYVLCFCTFAVVKAGSSPQNNTDIRLDALLAILGDFGYHPVLAETWKGNSPCDNWFGLICVEGHIKYIFLMSMNLTGSISPRFADLTSVHVIDLSQNLLTGTIPFELTKMKLRFLDLSHNQLHGKVPRFETVVPVTEGNPEIVTAAGIIRVPLGRGDKETAGVGGFYVGIMVLGVVLIGGAVFLFYLAKKLNHPV >A04g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6700237:6703022:1 gene:A04g503030.1_BraROA transcript:A04g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHDVRNPNSRSRTMSFPTSFFFVFLFSFLSSFRASAQSPSLLYFFCPNTTTYSRNSTYYNNLVTLLSFLSSSNASHSTGFQNSTAGQDPARVTGLFLCLGDVSGEVCRNCVTFAVNESLRQCPTQRDVVLYYDNCMLRYSDHNILSNLRTDGGYPLSSVITIPPDQQRSFRDLVLALMKQAATDAATSFKKLGARRTNFTEVQSVYGLVQCTPDLSTQDCSRCLETSINQLHTDKTGSRLLLPSCNSRYELYPFFNESIASTFQAPLSPPVSTPSRPDAGKDERSSVLVVAIVVPTIVIVLLLIAGYCFLAKRQKRTNETEPTFNNADDITTIDSLQLDYRTIQAATNDYSEDNKIGRGGFGEVYKGIFSNGTEVAVKRLSKSSEQGDTEFKNEVVLVAKLQHRNLVRLLGFSLEREERILVYEYVPNKSLNYFLFVVDSSGYMSPEYAMRGQFSMKSDVYSFGVLVLEIISGRKNTSFDETNNSHDLVTYAWRLWNNGTAVDLVDPMIVDNCNKSEVVRCIHIGLLCVQEDPIDRPALSAILVMFTSNTMTLPVPRRPGFFDHTRPKDPLDSDQSTASKSFTVSTDDASITSLYPR >A06p001910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000132.1:6454:6813:-1 gene:A06p001910.1_BraROA transcript:A06p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTHEGKCVGFIVLLLCLTSTLARTLILKEQLPMMKLHQEGMLELEPDHNAKPYVHELDLGHEVIPKIDPVVVKLDNGQNFGSGPVTTNLGGGDYPDDHKSNKGTVNMMRQADASTLP >A03p059620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25887121:25888238:1 gene:A03p059620.1_BraROA transcript:A03p059620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEKRKQMLKAMRMEAAAQNDGSTEPETSVNMGHLSNPLAETSTQQQESCEAPRFDYYTDPMSAYSSFKRNKTSKQQDISSPGYQMSPPVPHFPQSVQGSLGSDYQAHANHGGFQEAHCGGDNLHAEPRGVAPSHRAPPVPWINNYRPPPPPFNHLGPPQWVPRPFPFFQGNPDMGNNRFGGRGGSYNNTAPQFPQYGPRQTSNWAGNTYPSSARGRSRGRNTSFGRGGGRRHMEQGAERFYSNSMAEDPWKYLKPVLWKSCSVTSSSNSTGQAWRPNSIAPKKPVIIEASHKPSNNQQSLAEYLAASLDEATSDDPSN >A09g502640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8934286:8934801:-1 gene:A09g502640.1_BraROA transcript:A09g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCRNLQVVPTLCNLASLQTVSIMGCNQLKKLPPDISETITSLSIVDTMLEEFSESVRPWSRLRTLSISGSVIPYQFLTQPYRVTLMLEKSGADIERIPDCIKDLLHSLEMLFIIGCPKLASLPELPTSLKVLVVWNCESLETLVPFPYDSQIKFYNFTNCFRLGPEARR >A01p031190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13371319:13373788:1 gene:A01p031190.1_BraROA transcript:A01p031190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G44880) UniProtKB/Swiss-Prot;Acc:Q9FYC2] MPSAKICHVLNICSNSLALKDKNTKWKNAKLARNLFFISSLFFSQVSAKIIPTKEAMSVVLVSSASATLTKSKSIKIPFLSPNSSAKFPSRVSIFPQRPKLLDSPLRVAAPPSVPTSDPAEESRIEEEHGGDEEEEGSEFKWRDHWYPVSLVEDLDPNSPTRFQLLGRDLVLWFDRNHQKWAAFDDLCPHRLAPLSEGRLDENGHLQCSYHGWSFAGCGSCTRIPQAATSGPEARAVKSPRACAIKFPTMVSQGLLFVWPDENGWNRANSIQPPRLPDDFDKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRERAKPLPFKVESSGPWGFQGANDDSPKITAKFVAPCYSLNKIEIDAKLPIVGNQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSVPGPAWWQVVPRWYEHWTSNLVYDGDMIVLQGQEKVFLSKSMESPDYDVNKQYTKLTFTPTQADRFVLAFRNWLRRYGKSQPEWFGSTAANQPLPSTVLTKREMLDRFEQHTQVCSSCKGAYNGFQIVKKFLVGTTVFLAATAGVPSDVQIRLVLAGLALISAASAYALHEKEKNFVFRDYVHSEIE >A06p052680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27808116:27811034:1 gene:A06p052680.1_BraROA transcript:A06p052680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSHLFLLLLSFLNFAASQDDATIMQSLKSSLNLTSDVDWSNPNPCKWDTVQCDGSSRVTRIQLKQKGIRGTLPPDLQSLTELVVLEFFSNKISGAIPDLSGLTHLQTLNLHDNLFDSTPKNLFSGMNSLQEVYLDNNPFPSWEIPETVKDATSLKNLSLINCNVTGSIPDFFGSETLPSLASLKLSRNNLHGGLPVSLAGSSLQQLYLNGQKLNGSISVLQNMTSLVEIDLQGNAFSGPVPDLSGLTSLRLFNVRENQLTGVVPPSFTGLKSLTVVNLTNNYFQGASPLFDKSVSVDVVAKTNSFCLDTPGAPCDSRVETLLSIAESFGYPVKLAMSWKGNDPCGWLGITCSGSNVTVVNLGRQELMGSISPSFAKLDSLETINLSNNKLSGSIPKELTTLPKLRMLDVSNNDFYGGVPKFREGVNVVTTGNLNIDKDGPVSPSGGTPGTSGGGKGSGGGSDSGSGNESSKKSSSVKIIVPVVGGVVGALCLVGLGVCLYAKKRMRPAKVQSPNTNMVIHPHHSGDSDAIKLTVAASSLNNGGGGGGTESSYSHSGSANSDIHVVESGNLVISIQVLRSVTNNFSEENILGRGGFGVVYKGELHDGTKIAVKRMESSVVSDKGLAEFKSEITVLTKMRHRHLVALLGYCLDGNERLLVYEYMPQGTLSQHLFHWKEEERKPLDWTRRLAIALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKYSIETRVAGTFGYLAPEYAVTGRVTTKVDIFSLGVILMELITGRKALDETQPEDSVHLVTWFRRVAASKDKDENAFKNAIDPNIKLDEETLASVEKVWELAGHCCAREPYQRPDMSHIVNVLSSLTVQWKPTEVDPDDLYGIDYDLPLPQAVKKWQASEGLSQTGDDSGSSSSVYGSKDNTQTSIPTRPSGFADSFTSVDGR >A08g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6326803:6327468:1 gene:A08g503480.1_BraROA transcript:A08g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVGSNPDVSAIPNALEVTKVATMILTVYEFLAGTIDDVERDSNWYYIACSDCQTKVNRGPTSLICPKCRNVKATGVAKYRTDLSSTTMTIRPVLCYLAMLVLSSREGRRQNKWRLGWVLIMISQLPKVLWLLWAKPTSS >A05p042200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25549242:25551575:1 gene:A05p042200.1_BraROA transcript:A05p042200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G17240) UniProtKB/Swiss-Prot;Acc:Q9M5K2] MAMANLARRKAYLLTRNISTAPLRSSFSLSRGFASSGSDENDVVIIGGGPGGYVAAIKAAQLGLKTTCIEKRGALGGTCLNVGCIPSKALLHSSHMYHEAKHVFANHGVKVSSVEVDLPAMLAQKDTAVKNLTRGIEGLFKKNKVNYVKGYGKFLSPSEVSVETPDGETTVVKGKHIIVATGSDVKSLPGITIDEKKIVSSTGALSLSEIPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAADIVPSMDGEIRKQFQRSLEKQKMKFMLKTKVVGVDSSGDGVKLIVEPAEGGDQTTLEADVVLVSAGRSPFTSGLDLDKIGVETDKVGRILVNERFSTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTYPEVASVGKTEEQLKKDGVSYRVGKFPFMANSRAKAIDTAEGLVKILADKETDKILGVHIMSPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEAIKEAAMATYDKPIHM >A01p009960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4819392:4821607:-1 gene:A01p009960.1_BraROA transcript:A01p009960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B18 [Source:Projected from Arabidopsis thaliana (AT4G28430) UniProtKB/Swiss-Prot;Acc:Q8LDS3] MDLSTPPRSSSRLARRINTTTLLKTNGDSPIPSLDLVLSSPPYPSPASLYSPSSPVTLREILLLSPSPLRKSRTRLANRFEMEAAEAARRCKTKGGQNGLLGSASPRSCRRSRRRSEVVVVEEETKPVVVVLNDEKAAKQRKHKKTARSKKEKQSSLASPCPSSDLSKDVCEGDLERIRENINDLIMWRDVARSTLWFGFGCLCFLSSCFAKGFSFSVFSAVSHVGLLYLGVSFLSHSLRQRLTEETEQREVKVSEEDVLRVARRMLPITNLAISKMTQLFSGEPAMTLKVAPFVLIGAEYGYLITLWRLFALGFFLSFTLPKLYSCYANQINQKVENAQKRIVEAWGICTHKKFVAGSMITAFWNLTSLKIRFFTVFIIVVVIRYKRQNVQLDSEEDHEEKQQEEQTQTEQPEEKSPSPPPSPPQPIEEEQALVVVAAETEAPPPNSVPKKKKIVCSLKNLIIKISNQNLTRVKLTITQKQRIRNSLSSVFSGESEEGSIISSSLSLFKNPRFFTH >A06p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11780997:11782086:1 gene:A06p023290.1_BraROA transcript:A06p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGKVGSSSSSSFTNQLFGPKEPSSSSNFSSIFPPPSKGTTGNMILSSKHGSLGQFKESGTCNLSSSLYYGGQDVYSGSTSNNTYHTVNKAQSGGDNEASGNNSMDASRGNWWKGSLYY >A04p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16810399:16811989:1 gene:A04p027840.1_BraROA transcript:A04p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLEGMTALVTGGASGIGHAIVEELASFGARIHVCDISETLLNQSLSEWEKKGFQVSGSTCDVSSRPEREILMQTISGIFDGKLNILVNNVGVVHTKPTTEYEADDFSFHISTNLESAYHLSQLSHPLLKASGIGSIVMISSVGGVVSMCCGSIYSLAKGALNQLAKNLACEWARDGIRTNSVAPNFVNKTTMAKPFFKDTGYEKSLLNRTPLGRAGEPNEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYQPNA >A05p016970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7587766:7589270:-1 gene:A05p016970.1_BraROA transcript:A05p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEANNLPDSEAVPSSLPEVSPILRVAHKIHPTHPRIAYLCVLAALEESQIVCSLNPPIDAFKFKNSLWYWTKTNDETHGDGKVRPSDARDMQNFYLEYYEKNIQALFKFLEDNRYFEGYDPGPMPLWPTPTDGLQPSRTLSVRADRALLLTNACRAALALCKVVEHLSKDVQLPPQILEAHSEVKRLAQIFRPYNHIPNDPYSDDHPMRRLPAMRAVEAALGVKVYSRDNCVRNTTQPLPTPLEICQPKRRGHNKSEDILIGDKPVSNPGGI >A06p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8014091:8015032:-1 gene:A06p017780.1_BraROA transcript:A06p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAHPYVPKDLKLPGYVPISMSMSSILSVYLASSLFVVSLVGFLFGRKKGKLEKLLMCWWAFTGLAHLVLEGYFVFSPEFFKDNTSSYLAQVWKEYSKGDSRYAGRDSAVVAVEGITAVIEGPASLLAVYAIAKGKSYSYILQLAISLGQLYGCLVYFITAFLEGDNFATRSFYYYSYYIGANGWWVLIPLLISYRCWNKICEAAANGVETKMKKKIR >A09p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3209028:3213252:-1 gene:A09p006010.1_BraROA transcript:A09p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLLVRSISRYASPPSRLASTSSFCTLPTMSTNHPKNESYLSAVIPKRIKLFEQIQSHQLEKLKSLPHDPIKITLPDGTVKEGRKWETTPMDIAGEILKGLANSALISSVNDELWDMSRVLEGDCKLELFKFDSDKGRDTLWHSSAHVLGQALEQEYGCQLCIGPCTTRGEGFYYDAFYGDLGLNDNHFPNIEVGAAKAAKEAQPFERIEVTKDQAIEMFSDNNFKVEIINDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKAFKCLRASSAYWRGSKDRESLQRVYGISYPDQKQLKKYLQFLEEAKKYDHRLLGQKQELFFCHPLSPGSWLFLPLGTRVYNKLMEFIKEQYWKRGYAEVITPNMYNMNLWETSGHVANYKENMFTFDIEKQEFGLEPMNCPGHCLMFQHRVRSYRELPIRLADFGVLHRNEASGALSGLTRVRRFQQDDAHIFCTEDQATDEVKAVLEFIDYAYKIFGFTYELKLSTRPEKYLGDLAAWDKAENDLKIALDAFGKTWVLNEGDGAFYGPKIDITVSDAMNRKFQCATLQLDFQLPDRFKLEYSADDEAKRQRPVMIHRAVLGSVERMFAILLEHYKGKWPFWLSPRQAIVCPISKKSEEYALQVKKQIHEAGYYVDADITDRKIDKKVREAQLAQYNYILVVGETEAATGQVSVRIRDSAAHSVKSIENLLEEFKTKTAEYQ >A06p008760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3063052:3064262:-1 gene:A06p008760.1_BraROA transcript:A06p008760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRFTAFVKELNVRMKKEPITLRYIHILGTVVFFTSISSLVILLALYLNQRLQTSLFLQNDHLSSNPLTSPSLSPPPPPHGSDISDEELMRRAAMAPREAVMNETHPKVAFMFLTRWNLPLSPLWEIFFSGHEDFYSIYVHTSPEFTEEPPSVFYKKRIPSKTVEWGRSSMMDAERRLLSHALLKPSNARFVLLSETCIPLFNFTTVYTYLMGSTRSFLGSFDDPRPMGRGRYNPKMLPHVSLSDWRKGNQWFELSRTVAAEIISDDRYYNVFKDHCRPPCYIDEHYIPTLVNKICPEMNSNRTVTWVDWSRGGSHPARFVRKDIRVGFLDRIRFGSNCSYEGEVTNVCFLFARKFHVSTLEPLVKIAPYLYGI >A07p044210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24157404:24158827:1 gene:A07p044210.1_BraROA transcript:A07p044210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKPLGILRVHVKRGINLAIRDSTSSDPYVVVTLGNQKLKTRVINSNCNPVWNEQLTLSIKDVNDPIRLTVYDKDRFSGDDKMGDGEIDMRPFLEAHQMELDFQKLPNGCAIKRIRPGRTNCLAEESSITWSNGKIKQDMILRLRNVECGELEIMLEWTDGPGCKGLGREGSKKTPWMPTKRLD >A08g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8978126:8981239:-1 gene:A08g505360.1_BraROA transcript:A08g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYHFLPSGFTLGVSITGCKDFRQVSGAAGSVTKIGQASMNQNLMTQYSGPGDLVFLLNIGNILSPYHKGQKKELSTDRGPQSFGSPRDSPQSFGSLPHYTVLVDRREPSKNPMPLSSMDWYGRIRTWTEPPRSSGSSSQTFGRTMTLKNALTSSKQLQILHFLFLLHFLSLAQLGELGLYRYGQPLKWCHSIACVSSRMLPVACAATHGRPNITMHDSSACQKPAPRPDVIQHGWSSCIATHGPLGVKTMPCRALGVMLHVRLHPAETCRATKALRCLDEGQHVLISQIGPPRASRLICAISIFLVNFRPAINPEYFSAPVLIL >A04g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11979873:11981027:1 gene:A04g505720.1_BraROA transcript:A04g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGQCLDIDRWYLCTSIDINLHLSRHLLVTIDSTGCASIDCSSSRRPLRGQLGECFTLGVSIIGCKDFRQVSGAAGSVTKIGQASINQNLMVVATKFCSLLFDIYPRNSLTILGSKFRERKCDKKSTPGVTFQTYLKNPIPCIPSPKTSGYVRFSVGNQLWLFHTVQG >A02p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4521394:4522497:1 gene:A02p010500.1_BraROA transcript:A02p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLVILGGSYVLLFLAGKGGHTHTHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSKPMMVLAIIVLLISTILVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLLFHDHDHHGHEAHQLPRKIITL >A03p027920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:11675154:11675381:-1 gene:A03p027920.1_BraROA transcript:A03p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLETGRHGKLEYQTWSEANIIRMEVHEEQHNIYPSQKICTVDGLWKHNSFLMVVNVFGNDLHGKFNFWELRIK >A03g500450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1373130:1375027:-1 gene:A03g500450.1_BraROA transcript:A03g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSENDEDSHLHASKEEMESLFLRDDNGSHHLSPPPIIVTPADSDPLSLPPNGGTSYIEPPSYADVFFSPFDDSDDSSDTLSRSPSSSSSSDYIKITVSSPQKEQEAASSMLSGGSTYVTYLITTRTNLPEYKGSDFTVRRRFRDVVALADRLAESYKGFCIPPRPDKSVVESQVMQRQEFVEQRRVALEKYLRRLAAHPVIRCSDELKVFLQVEGKLPLTLQMGTGVASRVLDGGVSVVEVAQPARSGRDLFRLFKELRQSVSNDWGGAKPAVVEEDKEFLEKKEKMHDLEKEIINASQQAETLVKAQQDMGETMGELGLAFIKLTKFENEEAVFNSQRARANDMKNLATVAVKASRFYRELNSQTVKHLDTLHDYLGVMMAVQGAFANRSSALLTVQTLLSEVSSLEGRAEKLEAASSKVFGGDKSRIKKIEELKETIKATEDAKNVAIRDYERIKENNRSEVERLDRERRADFLSMMKGFVVNQVGYAEKMANVWAKVAEETSQYNRESS >A09g512320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36068232:36071001:1 gene:A09g512320.1_BraROA transcript:A09g512320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLSSTTSLICTDIKTNRRKKNSTIVLAKGIVLAMSKMKLAFTPVAQLKPDSENEIWKIKVRVVRMWRFQNGVKPGDVGGIDLILLDEKGDRIQACIRGKLISKFEDDLGEGKCCILMNFKLSPNLGNYRGTPHPFKIFFTWSTHVKKNCEEIPNDSLRFNCISFDDLLSQKHDEKVFVDVIGEVVEPCDLKEITVRNAPCKILNLQLKDCGDSIINCVFWEKYAEDIHSYVQSFSGGAIVLLCSLMRINIYNGKFTIQSGKASTKLFINSDITEINEFKEKMSKYVMSTTSCGSLLTLSNCTQVSSDHIPFDNRKTISQLLTSYEETRCCIYATICALKIEAPWWYLGFPGCAKKVNPYLNPETEEIEMDKFSCDGCETIVCSTKTRYQVHCKVLDHTGTTSFVMFDREVIQLIHKSAYELLEQQVQFNCGNEFPRELLGLEGREFVFTVNKPETSKNYTPSTFKVVNMTDDPAKILRFHSDDANETDIPAIGPHFSNSTETTVSLVNDVFEESNNSISASTESTSTPTKKRASTLEIEDCVPQLSSKKLKGQLLAEEDGQLSSTKSKPSSTKQRKAANLIPKKEKN >A05p050370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29318342:29319946:-1 gene:A05p050370.1_BraROA transcript:A05p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPMEGKWVQLKQKGTGPGARSSHAIALVGNKVYAFGGEFQPRVPVDNHLHVFDLNTLTWSIQEASGDAPPPRVGVAMAAVGSIIYFFGGRDENHQELNELYSFNTSTNEWKLLSSGETGPENRSYHSIATDSQNVYVFGGCGVDGRLKDLWAYNVVDGKWIKFPSPGEGCKGRGGPGLEVVEGRIWVVYGFTGDEADDVHCFDIAKGEWREVETKGEKPSARSVFSTAVVGKQIVIFGGEVDPSDLGHMGAGCFTAEAYGLDTETLEWRKWEDGFGSEEHPGPRGWCAFAAGSRDGKEGLLVYGGNSPSNDRLGDIFFFTPESC >A10p015580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3547142:3547480:1 gene:A10p015580.1_BraROA transcript:A10p015580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVTSSFIRNFDMEMRLSSMETCLESITVDIGDGKADISCMKEDMAATRVAINQLFHIILHQQIHVSSAYVPIATNQANVLTIPEQIVKLLTQPTPTTQPQVLPPTQLEKS >A01p017260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8529503:8531652:1 gene:A01p017260.1_BraROA transcript:A01p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme subunit 1A [Source:Projected from Arabidopsis thaliana (AT4G24940) UniProtKB/Swiss-Prot;Acc:Q8VY78] MDGEELTEQETALYDRQIRVWGANAQRRLTKSHILVSGIKGTVAEFCKNIVLAGVGSVTLMDDSLVNEEALNANFLIPPDENAYRGKTVAEICCDSLKDFNPMVLVSVEKGDLSARSTEFFEKFDVVVIGYGSLATKKAVNEKCRKLSKRVAFYTVDCRDSCGEIFVDLQDYKYTKKKLEEKVECELNFPSFQEAVSVPWKPIPRRTAKLYFAMRVIEVFEENEGRKHGECSLLDLPTVLEIKKKLCEANSVSESLVPDSLLERLITGTIEFPPACAIVGGILAQEVIKAVSGKGEPLKNFFYYDAQDGKGVMEDISNSFTS >A08p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11862255:11864382:1 gene:A08p016880.1_BraROA transcript:A08p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETYSYLMQILKKPSFTETFVDILLCAVPIWLAVMIGLLIGWSWRPRWTGLIYLGFRSKLRFLWTAPPGFGARRLWLAFTALSAFSVCRTVWSRRDTSANKSANGSAPTQLAPLEESPQSDETGASDNTTVREEIVTENDLEHLLQLLEVGNATREWQSMMDKTTPNMSYQAWRHEPQTGPVIYRSRSVFEDATPDIVRDFFWDDEFRPKWDFMLAKFRTLEEDTQTGTMIVQWRKKFPFFCSDREYIIGRRIWESGNKYYCVTKGVPYPALPKRDKPRRVELYFSSWVIRAVESRKGDGQPTACEVSLVHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGLRAYQTGRKSDSSLSRIAQMARITTVLDMDSTESSTSDEDRSRAMGYARRQRDNLRVDWKWVVVGGVALACGLHTGVIGKALLAGAGQRLARR >A08p035120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20765953:20767868:1 gene:A08p035120.1_BraROA transcript:A08p035120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSIVQDLRDGLGSLSRRSFDFRIHKGKSQGSCECSSSRDLLSPLIVQTSRWANLPPELLLDVIKRLEESESTWPARKHVVACASVCRSWRAMCQDIVLSPEICGKLTFPISLKQPGPRDPMIQCFIKRDKSKLTFHLFLCLSPALLVENGKFLLSAKRTRRTTRTEYIISMDADNISRSSNSYLGKLRSNFLGTKFLVYDTQPPQNTPSSSSALITDQTSRSRFHSKRVSPKVPSGSYNIAQITYELNVLGTRGPRRMHCTMNSIPTSSLEPGGSVPNQPEKLVPGRLDESFRSNISFSKSSLDYRSVDFSSSRFSEMGVVSCEEDQEETSFRPLVLKNKQPRWHEQLQCWCLNFRGRVTVASVKNFQLVAVRQPQTQGTGGVAPAPGAHGEQDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >A06p017350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7767143:7767868:-1 gene:A06p017350.1_BraROA transcript:A06p017350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H4 [Source:Projected from Arabidopsis thaliana (AT1G19730) UniProtKB/Swiss-Prot;Acc:Q39239] MAAEEGQVIGCHEIDVWAVQLDTAKQSNKLIVIDFTASWCPPCRMIAPVFADLAKKFMSSAIFFKVDVDELQNVAQEFGVEAMPTFVFIKDGNVVDKVVGARKEDLHATIAKHTGVATA >A09p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3197069:3197796:1 gene:A09p005980.1_BraROA transcript:A09p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRVGGEKTNLIAESSFLRCCVCLCVVVVISPTPTLAFLLCNSKYDFDSFTSPLAENAILYHRIYTCPPFQHSLNVEQEEFVPALGCIQSRRTFVRGLSANTDWLSRNLVENTLIYVDIYNPLLEIILNPKKFQVVGLGFCGTGLLKVAVLCNKFTPISYSRSDCVLG >A07p033480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18299345:18306182:1 gene:A07p033480.1_BraROA transcript:A07p033480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELQISPAIHDPQGQEKQQQAAGVGILLQIMMLVLSFVLGHVLRRHKFYYLPEASASLLIGLIVGGLANVSNTETSIRTWFNFHDEFFFLFLLPPIILYPLFEFVLRINSGFSLQPKPFFSNFGAIVTFSVLGTFVASMVTGVLVYLGGVMFLMYKLPFVECLMFGSLISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSLVRSQSAGQNFFMVIVRFLETFVGSMSAVSYEIFLFDFIHKRVVSLNAKHLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSTNSQRFVSSFFHLISSLAETFVFIYMGFDIAMENHSWSHVGFILFSILFIVIARAANVFGCGYLVNLVRPAHRKIPMTHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVVTVLLIGGSTGTMLEALEVVGDGHDATLGDGFEVVNDRYMNRFDDEDSPSGSGFRTKLREFHKSLILESKMTMINKSIRYKPVITQPKSNVQTTLSLTNKLVVKTGLVLVAVGISSNRERHTSRQKCGPGPRSKSYTMSLVASHSPRLTLTGDGVSLRNSRRNGEKSKLFLLNRRRSARAALVQAKPREDGVVASSSPSSKPPVIQYRRADLADDLQAEARALSRAVGASVYSPELIARKHGSQPLKALQRSLEILSALGGFAFKLGIDQRQGKLEQNMKKRAGELRKIFTRLGPTFVKLGQGLSTRPDLCPPDYLEELAELQDALPTFPDAEAFTCIERELDSSLESIFSSVSPEPIAAASLGQVYKAHLRYSGQVVAVKVQRPGIEEAIGLDFYLIRGVGKLINKYADFITTDVLALIDEFACRVYQELNYVQEAQNARRFKKLYADKADVLVPDIFWDYTSRKVLTMEWVEGTKLNEQVAIESQGLKVLDLVNTGIQCSLRQLLEYGFFHADPHPGNLLATPDGKLAFLDFGMMSETPEEARYAIIGHVVHLVNRDYEAMARDYYALKFLSPDVDVTPIVPALRDFFDDALTYTVSELNFKTLVDGLGAVFYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLQQGSKDRDFSAKEALQPVLKLLLDPNGEEVRLLVIKEAVRVSEAIALGTVVDTYNSMPVFLRSLVFNGNGNGPLAMSAAELESTLELRDQVSRIWSLLQSSESFDPAILQPIVQVLQQPEARRLGGRVAGGVGQRLAARFLQQLLQATTPSSSSPIP >A03g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21999500:21999788:1 gene:A03g506180.1_BraROA transcript:A03g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEKWPGHGVDGEKSAVQVYSHVQELVNLLLSIIIGDGEKLKHQHHYKGSRVSRSRSKSSSSLC >A02p026700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13989625:13990428:1 gene:A02p026700.1_BraROA transcript:A02p026700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 3 [Source:Projected from Arabidopsis thaliana (AT1G73580) UniProtKB/Swiss-Prot;Acc:Q9C6B7] MSLMDNLLGILRVRVKRGVNLAVRDVSSSDPYVVLKLGRQKLKTKVVKKNINPQWEEDLTFTVTDPNLPLNLVVYDHDFFSKDDKMGDAEIDLKPYIEALRMELSGLPDGTIISTIHPNRSNCLAEESYIRWSNDRIVQSFCLRLRNVERGEVELELQWIDLPGSKGL >A10g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4826311:4829674:1 gene:A10g501780.1_BraROA transcript:A10g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESVELTYSLQQMAPDLPPIHVTSDSQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEEDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGRGVEHLLAVREENAYTLRVQQIDGWKFFVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKHPQFVQKTFKERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A04g503980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8403752:8404447:1 gene:A04g503980.1_BraROA transcript:A04g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMSSQLLKTCYAENNPSTIYVKGVQFFYSYDEQDYGLSLIKRAADAGYERAVYTHAMTQAIFYGDAQYFRRIPRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYLCQCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFYRDFEPISLFKDTSKW >A03p016680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6650768:6659751:-1 gene:A03p016680.1_BraROA transcript:A03p016680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSTVEINVQYSSDAKKGINKACSKIVAGRGNWSGSTVRTSHDLSPSPVTDPSLAMAESSGKTLMDLISADPTPVPAQSSSSSSSSSTPSPAAASAASSMHQPMSTKTTLGEKKSKRATLMQIQSDTISVAKAALNPVKANIMPQRQRQRKKPVSYTQLARSIHELAATLDQKSSQKQLVNHVFPKLAVYNSVDPSLAPSLLMLNQQCEDRNVLRYVYYYLARILSDTGLSPGGGIPTPNWDALADIDAGGGVTRADVVPRIVNQLTSEASNSEVEFHARRLQALKALTYSPSENSELLSKLYEIVFGLLDKVADVPHKRKKGVFGTKGGDKESIIRSNLQYAAMSALRRLPLDPGNPVFLHRAAQGVSFADPVAVRHSLEILAELAARDPYTVAMTLEKLESPAGALQDILHLHDVLARVALARLCHAISRARALDERPDIRAQFNSILYQLLLDPSERVCYEAILCILGKYDNTERHGMDERASGWYRLTREILKLPEATSKDKSNKAKRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAFAMGLEDIDESVHVNAFSDALDDAETNDSSHPEGIRRTSSISAGPGRNETIASLLASLMEVVRTTVACECVYVRGMVVKALIWMQSPHESLDELKSIIASELSDPAWPASLVNDVLLTLHARFKATPDMAVILLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSIDRVSASDPKSALALQKLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAIQALTTIAIRSGEPFRLQIYEFLNTLAEGGVQSQLSEMHLSNGEDQGVSGTGLGVLITPMLKVLDEMYQGQDELIREIRNHDNANKEWKDEELKKLYETHERLLDFVSLFCYIPRAKYLPLGPISAKLIDTYRTKHNITASSGVTDPTVVATGISDLIYESTQPAPAASNSSGLDDDLVNAWAANLGDDGLLGNNAPAMSRVNDFIAGGGTDAPDVDEENVFSRPSVGYDDMWAKTLLETNDQEEDDVRSGSSSPDSTGSVESSISSHFGGMNYPSLFSSKPSSQTTGKSGGSKYQSTYEGYGSPIREEPPPPYSYSEPQSRESFENPMAAGSGSRSYESDDEEPRKSTGTRFGTALYDFTAGGDDELNLTAEEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >A09g508150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23169179:23169496:1 gene:A09g508150.1_BraROA transcript:A09g508150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSCGRRVLHRTLSGDSAKRDTEVRVSGTDVLSISGDLRPRDYPVVAKSLRILLTELGWSWTPRVTGGGERRRWRMGEMVDLTPWMNQCAERKER >A09p061070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50439172:50442214:-1 gene:A09p061070.1_BraROA transcript:A09p061070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLNTSLQNTLSLLNPFNTLLTKKPFSSRNSFRFSKKLPSSPRRALYCTSSLESHDNAETFVLTTPLYYVNAPPHMGSAYTTIAADSIARFQRLLGKKVIFITGTDEHGEKIATSAAANGRNPSEHCDLISQSYRTLWKELDIAYDKFVRTTDPKHEAIVKEFYDRVFANGDIYRADYEGLYCVNCEEYKDEKELLENNCCPVHQMPCVARKEDNYFFALSKYQKPLEEVLSQNPRFVQPAYRLNEVQTWIKSGLRDFSISRALVDWGIPVPNDDKQTIYVWFDALLGYISALTEDNEQQNLDTAVSLGWPASLHLIGKDILRFHAVYWPAMLMSARLNLPKMVFGHGFLTKDGMKMGKSLGNTLEPFELVQKFGPDAVRYFFIREVEFGNDGDYSEDRFIKIVNAHLANTIGNLLNRTLGLLKKNCESTLVVDSTVAAEGVPLKDTVEKLVEKARTNYESLSLSTACEAVLEIGNAGNAYMDQRAPWMLFKQGGVSTEAAAKDLVIILEVMRIIAVALSPIAPCLSLKIYSQLGFSNDQFNSITWDDTKWGELKEGQVMAQASPVFVRIELEAAEKDEEEKKKPKDSKKKGKAKVKVEQQPQTVTEA >A05p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9097783:9100535:-1 gene:A05p019350.1_BraROA transcript:A05p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFLLFSCSAIMLLEAYRFTDETDMKALLDVKSQVFENKQVALSSWNNSIPLCKWKGVTCGLKHKRVTRLDLAGFQLGGMISPSIGNLSFLILLDLSNNSFAGTIPSEVGNLFRLHYLDVGLNVLGGRSPISLVNCSRLLNLYLYSNNLEGSVPSELGSLTKLVKLNLAINNLKGKLPASLGNLTSLIRLSLRSNRIEGEIPGEIARLNQMILLNLEMNKFSAGFPLAIYNLSSLKFLYLNYNHFSGCLRSDFGKLLPNLQNLNMDGNYFRGVIPATLANISNLQKFAITNNNLTGSIPSGLGKLRNLRFLSLVNNFLGGHSFGDLEFLGALTNCTQLQVLSVIGNRLGGQLPASITNLSINLLVLGLENNFIAGSIPRDIGNLVSLQTLLLKENQLTGLLPASIGKLLQLEDCDLGSNSISGEIPFSIGNLTRLDLLSLLNNSFEGTIPQSLGNCSSLRYLWVGPNKLFGTIPQEIMQIKSLIYLDMSDNSLTGSLPKDVGRLENLVDLWIGNNKLSGQLPHSLGSCLSMETLLLHGNYFYGAIPDIRGLKGLKNVDLSNNNLSGSIPGYLANFSSLEYLNLSINNFEGSVPTEGKFQSANIVSVFGNKNLCGGIKELNLKPCFTEAPSMVSKRSLFVKKVVIGVSVGVSFLLLLVVASVSL >A10p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19059876:19068376:1 gene:A10p032130.1_BraROA transcript:A10p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGARVFCITTSIFFFPRILTRPVSRLQPATTRSVVAMSTTTSKSQEELDSIFKQKKIVRSTVRKSLKGMDPSLRIQQDDAIQNTVLEAPWFKSCRGLCAYISCKSLNEVDTSKILSKILQQPDPNTMAEKKLYVPWVEDKNSNMRMLHISHMDDLIANSMNILEPAPVDAQGNEREDVLQAEEPVDLFILPGLAFDRCGRRLGRGGGYYDTFLKRYQDRAKEKGWSNPLKVALSYSPQILEDGSIPVTPNDVLIDALVTPSGVVPISPRAIERSLCLETKLRSLCLLALEGTDDDLPPSHQSRYQRSGRPAGNGRPSVLNSAPLSRMHNDMETQIHLIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRVNADEMIRRIREWRKGNSLQPGGPQMVHDSAPSPAVSGSRKKQKTSQPFASLAPALHPSMQPSSSALRRGGPPPGPKTKKPKTSMQFPATSIAGRPQSGALGHEPGETGSLDPLIGKKVWTKWPEDNNFYEAVITDYNAVEGRHALVYDMNTGNETWEWVNLKEISPGDIRWEGEDAGVSRKGGHPGQGRGSAKAMPRGGPTGNTVGRGRGSMKTQQHKAQNGIGNKALGDIELLHTDTLIKEVEKVFGSVNPNPAEVEKAKRVLRDHEQALVDAIARLEEMSDGESDVGVRTLDLLKGVDGDGNVNRLRGI >A08g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4020284:4022248:-1 gene:A08g501570.1_BraROA transcript:A08g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETSVKNYNSDDTSDASNFGENSDAGHASYFRTINLRYFVAKPLEMLNEHIKFKELCLENGNPEAHYIEGLLQYFIHKERSTGLYHLRQSAIAKNSNGMYLYGLLMLAKGHYITGKRYLDKLQWNENLSLSDHCWKGIKNSLSAVPVRMRRQHYINMVNLEPRIDCHPDTMTEVCNNCYYYKRLNQFYRICTNSGRCTIIPSTTKITTRPPTMTHPPVPPSSPESPNTGESYDLSYLLDDPLDAVENYPELMEMCLRVNNPHANYIKGVHEYFGRNNVAQGLDHLKRSADGKCDVATYLYGLLMLSRGNMHEGRRYLSTLGWNTNMKRAEQCWTNVKKSLKRFHITMEDWYVENMFLLKPARRCHVNSFAKRCTRCFLYKQVMQFVDYI >A09p078640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58104489:58106034:1 gene:A09p078640.1_BraROA transcript:A09p078640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10970) UniProtKB/Swiss-Prot;Acc:O04089] MIIVDILWKLFPQYLFGGGSGTDSLSESILQMIPSAMASPKILCDAGESDLCRDDSAAFVLKFVAIASIFLSGAAGVAIPLVGKNRRFLQTEGNLFVSAKAFAAGVILATGFVHMLAGGTEALTNACLPEFPWSKFPFPGFFAMEGGGMHIVGIRAHAAHHRHSHSNGLGTCDGHGHGHADGHQDVGNGARHVVVSQILELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKNKSATVMACFFALTTPVGIGIGTAVASSFNSHSPGALITEGILDSLSAGILVYMALVDLIAADFLSKRMSCNMRLQVVSYVMLFLGAGLMSALAIWA >A08p011290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000044.1:142469:142645:-1 gene:A08p011290.1_BraROA transcript:A08p011290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYLALAFQLVPACLKLSSLDQTLSKLLLAHPDSSWRVSLSKWMQGNFPSLLPQLPQ >A07p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20818532:20819367:-1 gene:A07p039160.1_BraROA transcript:A07p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRLSNEKPIVYETKEHHVLLLDFQLHHIRNIKDPERPSSLEHLKVLTKDSVEVDDDKSYVRVTFTPTMKLCGITTLIGLCVRVKLMRNLPGRYKVDIRVAPGTHATEAA >A09p080350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58869211:58871336:1 gene:A09p080350.1_BraROA transcript:A09p080350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSAQVKAESPVASPKDTKSIGSKGSSVSVRPSPRTEGEILQSPNLKSFSFSELKSATRNFRPDSVLGEGGFGCVFKGWIDEKSLTATRPGTGLVIAVKKLNQDGWQGHQEWLAEVNYLGQFSHGHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGLYFQPLSWKLRLKVALGAARGLAFLHSSETRVIYRDFKTSNILLDLDYNAKLSDFGLAKDGPVGDKSHVSTRVIGTHGYAAPEYMATGHLTTKSDVYSFGVVLLELLSGRRAVDKNRPSGERNLVEWAKPYLANKRKIFRVIDTRLQDQYSMEEACKVAILSLRCLTTETKLRPNMSEVVSHLEHIQSLNSARGVIMDRTERRMRRRSDSVVSKKPNAGFARQTAVGRTLVAYPRPSASPLYV >A04g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12081961:12082406:1 gene:A04g505820.1_BraROA transcript:A04g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISRVSSFLEKFHNTEIRVFAQHWVFPSCFDPVVLASHFPRKLGDEETSVFKNVELLKRYASKNVMLPKMLCFQNVRLINLRHLITMVEQLIRLIVPSRSILRPRHSSNFADRSLPSAVRPPS >A06p025210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14911997:14915559:-1 gene:A06p025210.1_BraROA transcript:A06p025210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWVDDLADDLQSVSFTSAGTTMNRSTSSGSRSSSSAAALTPATSFSSAKLPPSLRSSLSLSDLRFRLRLGAGDIGSVFLAEFKSATAVELPLLAAKVMDKKELASRSKEGRAKTEREILETLDHPFLPTLYAAIDSSKWLCLLTEFCPGGDLHVLRQKQPYKRFHESAVRFYVSEVIVAMEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLRCDESTSTPQIVLNRNALPNGSSDQNENQTMDHRQTTSSSCMITNCIVPAVSCFHPKIRRRKKKADHRNNGPELVAEPLDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFMFELFYGTTPFKGIDHELTLANIVARALEFPKEPTIPSAAKDLISQLLAKDPIRRLGSSLGASAVKRHPFFQGVNWALLMCTRPPFLPPPFRKEHLSDDICPDTHVDYY >A06p003510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4655113:4655724:-1 gene:A06p003510.1_BraROA transcript:A06p003510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At1g50640 (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G50640) UniProtKB/TrEMBL;Acc:C0SV01] MRRGRVSTPVTANHNPSIKETRFRGVRKRPWGRYAAEIRDPFKKSRVWLGTFDSAVDAARAYDAAARNLRGPKAKTNFPIESPPSPPQIDPFMDHRLYAGTSSRPTSSSMSSTVESSSGQRSSAAKPLSTTAKRYPRTPPVVPEDCHSDCGSSSSVIDDGDDDIASSSRRREPPPFKFDLNFPPLDDVGLINGVDGICTDLRL >A07p004890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2552761:2553386:1 gene:A07p004890.1_BraROA transcript:A07p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENQQSSLLDLLQKFMGSSQRGIQSLESRVSGLEMALDELSCDLAVSNGRVPKNSSCGGESCSKLRGTEFLSPKFWRKTEERPMQTRTRNTSSEMAAQENSFDQGKRDMNNFGQRGSGSVYQKRSARNQFQDSMHTLILKEEGDVRIEAQ >A06p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3310361:3313685:1 gene:A06p009420.1_BraROA transcript:A06p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNENCVIAVAKQRGIDVLLNDESNRENPGMVSFGEKQRFMGAAAAASATMHPKSTISQLKRLIGRKFKEPDVQKDLKLFPFETSEDSADGGIQIQLRYMGEVQSFSPVQILGMLLSHLKQVAEKSLKTPVSDCVIGIPSYFTNSQRLAYLDAAAIAGLRPLRLMHDCTATALGYGIYKTDLAANSSPTCIVFVDIGHCDTQVCVASFGSGSMRLLSHGSDRNLGGRDFDEVLFNYFAVEFKEKYSIDVYTNTKACVRLRASCEKVKKVLSANAEAPLNIECLMEEKDVKSFIKREEFEKLSSGLLERLIVPCQKALADSGLSLDQIHSVELVGSGSRIPAISKMLSSLFKRELGRTVNASECVARGCALQCAMLSPIFRVRDYEVQDSFPFSIGFSSDKGPINTPSNEMLFPKGQVFPSVKVLTLRRENTFHLKAFYADHNEISPDSPSQIGTFTIGPFQSSHGEAARVKVRVQLNLHGIVTIDSASLIEDPKENTTSEETVSENNHQSPATKDGTSDPSSGSTGNDHKAIKRMKISVVENVSGALTKDELLEAKQREYSLVQQDLKMESTKDKKNALESFVYEMRDKMLNTYRSTATESERECIARNLQETEEWLYEDGDDESENAYIEKLNDIKKLIDPIENRFKDGEERVQASKYLAKTIADNRMAAESLPPPRKNAVLDECKKVERWLHERTTEQESHNHPELQSGEIRRKADALNATCKYIGKSNSPPNKSEHNGSHGRRNSDDMELD >A10p033470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19586563:19587776:1 gene:A10p033470.1_BraROA transcript:A10p033470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPVLPAPVTASGKPIAPGFRFHPTDEELITYYLKRKVEGKPMRFDVIREVNIYKHEPSDLAELSRLKTKDQEWYFFCPLEKRQNCSTVINRATKEGYWKKTGDDKKIKREGDDELIGVVKTLVYHRGRSPKGNRTNWVLYEYRLVINKLEIDSYVVCRVIRKEHFGPSTECIYAPFSEQDWDDGINEKIQQRQLDSFGSYNNSSQTAQDAVSSVAATLEENEVATMVPTSSSTELIDHLETEKQEMAVARETYNLDLMSAEVMVSILQGQVDALRAENEELKKTNSNKG >A09p022830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12565706:12571505:-1 gene:A09p022830.1_BraROA transcript:A09p022830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 25 [Source:Projected from Arabidopsis thaliana (AT5G42400) TAIR;Acc:AT5G42400] MVALDSYFPRKRLSAFEPNFSGSTCIGVYSSDDSIAPQDYSCDDSCDDLATVSSARCDFDELCGMDSALEMSCRSNGEGREVHDAGGGGGGGTDKSEVPGYNTMYASGWMYVNQQGEMSGAYTQQQLFDGLSTGFLPEDLLVYPNINGYMHNSVPLKYFKQFPEHVATGFAYLHNGMINVHQHETQAEHTASSAAHLVSHPPQPSSNGSLLDQRMLNQEEVNLLASFISLGSEHACWFLVDAEGRNHGPYSLLELWNWQQHGHVSDAALIRDVENKLRPITLASLIGVWRDNCGSENCDESVSGASFISEVSEELSSQLQSGIIKIARRALLDEIISSTISDFLNAKKRDEHLKSVPASSAANVVKCISSQVINPEKTAVSTTEATGCENIKNEEDPSRIVSESLKYTKCVGSAENFQTSCSAVCGILHNSCMQIMWNAVFYDTVATYTSSWRKNKLWFRSPDTPTVSSYCKGSHTNHSEKPEAAESFTCRVDSSSCKTANSNAYDLATKAASFHEPLSRRVTLPVIDGTESVVASISEYVQSELFSSLETHLTDYIGILIEDGANIAVSTVQDGKMHEENSSCLEKSGEKGESSQQITSEDIVANIFITTLQTSSDSPVSDEVDTLDIHEPPPPGCESGITRPSLRCNFRPVRSKESVPEIEEYVAAALCRQKLHNVVMKDWKSLFMKCSLKEFLASQKGSHQVSRKETIAPRKLKANTQIKKPVKSSISSHTAEKPKKQCVRSSEKILVKRSKKPSKDTPSKDLSLSKPSQPKIRNAVQQDQIIIKNVTKVRKEKLGKDAHLKVISEKNQDAGMADEFDDELLITRLRRISKSKTKEIREGTDAAKSCEEISLSAEESVETVGFRDHEENLSNKSSQKVQKANVSKLKRKNTSEVEGAQSCSGANGGYTEISGKDADTESLGFETRDKVSPERLSKRRKKDAAKGKNIVEKSACSVSQKSLKPSESSTLKRKHSLDENIPKDSESAVGNEGKLPGNTSNKMQKGNYFLRSFHFLVGSKKLKLKRKLLPKHTTELSPIEDLAVDNDSRPTSIALKPLVKLGTKASNKKVLVPVPKSDGCARTSINGWHWRAWSLKASPKERASVRGSSCVHTQHFGSKISSSQNVLSARTNRAKMRNLLAAADGADLLKVSQLKARKKRLRFQQSKIHDWGLVALEPIDAEDFVIEYVGELIRSSISEIREHQYEKMGIGSSYLFRLDDGYVIDATKRGGIARFINHSCEPNCYTKIISVDGKKKIFIYAKRHIDAGEEISYNYKFPLEDNKIPCNCKAQKCRGSLN >A04g503400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7430678:7430854:-1 gene:A04g503400.1_BraROA transcript:A04g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLRCKEFFLNFTIQHIPRAQNTLADKLARGARTSPSAMVYVDSVPPKWLSDQESS >A02g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21525626:21532084:1 gene:A02g507780.1_BraROA transcript:A02g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDKQGGVWISNFIVTLVTELKDLGSYLASIWRVKHARRSLDKQGGVWIRSVFLSVLGVLNFWVSLSWWRERFW >A09p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:163723:167723:1 gene:A09p039480.1_BraROA transcript:A09p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISRSFGFIEDLHNTEIRSIDQQSNKNMIGQPMIPHSFNIHHPSQAIPQTHTGQRQAYTNKIHTKPNPVPKPDLDLMSEPASKSINHKHNHNNKTMRFHNPLRYTTHKHSNLGPGDFIVQPPAEKLSLKITGTCTQQYQHAIIENMMHPSPSPSQRDHSNLYADSIYPKFQMEFKQTNSQQNQLTVGLGQNPRDALNGLDWTDLDLNMSRVDRLTWAELPHNNCRNSLIGQNFASWCAGWFEMSWGRGVYIGCSNQSETSRVAARVSLRMAPDACIAAPRAPHV >SC253g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000103.1:16991:19297:-1 gene:SC253g500010.1_BraROA transcript:SC253g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGFILPFYCNDKEELQDQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVHDHLLVSPAQRLLLSPSLLGAITLTAFMMNRVKKALGGGALDEVRESSPNTFASNESLHQIGFELRVELVLCGSYSSACSLSIQDAQHIPSLHKPSTVLLIQTCRAHTLHNLIKTDPSDGWTGWDVRTLYGLEVRRTMAVPNALSLHHTSIFSLTPPKPPHDQSKSFLDLTSQDNSFRTLLKLD >A10p024310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15768802:15770336:-1 gene:A10p024310.1_BraROA transcript:A10p024310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTKVKRGPWSPEEDAKLRDYIEKYGNGGNWISLPLKAGLRRCGKSCRLRWLNYLRPNIKHGDFSEEEDRIIFSLFAAIGSRWSIIAAHLPGRTDNDIKNYWNTKLRKKIMSSSSSSSSHSSAAMATPFLNPNSHDVKRPITPSATIAPFSYNPYVENSTTSLISNINGFEADDQQIFPFFNPNYPHDFSLEDMSSNNNNNISGTSGFLLNHSMCDHYSNHTNFSSDVNGKRSEIMMKQEEMMMIDHHIDQMTKGYNGDFTQGYYNNDINGHGDLKQMISGTGTNSNINMGGSGSGSASSSSFISNLAENKTSSSLLKHKCLPYFYS >A01g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25278478:25279095:-1 gene:A01g509340.1_BraROA transcript:A01g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRESDSDPGDLEHAEKLRQVKAVLKEGGNFSGIYRKVQLKPLKWNGEGEEERPVEALMILKYGGVLTHAGRKQAEELGRYNFL >A05p021310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10127925:10130800:1 gene:A05p021310.1_BraROA transcript:A05p021310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSEVKTEKLDDDITIKDNKHRRRVVSSAEALDRKFKRTVLCVAKPSYLLSLLERSSTRCNYLKRLPKILSELLRQRKWREASGVLSVLMQGTMRDGSPSMNRLKYEAQIQIVSHLQPDKNNVEEIERIYDTWIGKIGKQHKEERLLVWFEQICHLLEHGMEKEAEYAAIGMMRSRDLGNLPRTNLYIGITCYRLWCRKYSEELEPKDADCSDSISNMSQSGSGVMAECSPRNESVYSVESSGSVRNVSEASVGNCEVNSDASTRDSGSVVEVKVKLENVKVEESCQHFTEPPRIYASSEENEEPSRDGVSFDPALVQILGEMDPWLLPLKPPEDPDCHGKIVSDSFYKDAVSYLRLTMQSPRYVSLAALHPLVQLLLIGGRVDEAMKLVEEMCNKVHDIKPFRIRAAMKEKFHNNSDELAKCYEDVLKIDPSCVTTLKKLIEMSKEDGYSRESLIEMIALHVEASFPEPQIWKEFAEMLILFFENVDEDRMSVCLNRDGEEGCQQTYSVRYNRTPRMFTGTSWTLRAKWWLNRHFSPEILETEMKKLEAEQINGDLEMLRLMSFKAACASRLYGPEFGYVTTVYGLLESCRNNIQNMCMLENDSNNIGMLENGRNSLELFNFVRRHRQNWNRIYNLE >A07p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:755101:755535:-1 gene:A07p000310.1_BraROA transcript:A07p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRPLGFLLGLPFALVALLLSLVGAVIWIIGTILSCLCPCCFCFAALANFAVDLIKLPVKVLRWFTHSIPC >A04p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19547998:19548992:1 gene:A04p033650.1_BraROA transcript:A04p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLRRKPDNRSPDATCRPNLVYKRPFAGEFMKFCLERFEVGIWSSANESNVDIILNIVLEDLKNKLLFVWDQKQSTNIGLKTLENSDKPMFFKDLSKVFQKFKEFSASNTFLIDNEPYKALINPDNTGVFPLPYDPTDKNDDFLDPEGEFCSYLDDLANASDVQAYIKENSFGQPKIDSSHPDWSFYCKVSKIVSFLA >A08g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21422193:21423512:1 gene:A08g509950.1_BraROA transcript:A08g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKFQQFSFCRNFKKKQQQMYIIIQSIYHRFNVSDLWFPNSRVWNAQKLFDTFTEEDALQILKIKPLQNGHDLDVWGFTKTGSYTTQTGFSQSSVFLNLHYMVAGYKQQRSDRDNLKAFPWILWNLWKGRNALVFENIRVTPNSTVVKALEEAEIWYQAQQPDQNTSMEKKSTNASLGIWEKPPSDMVKCNVGMAWVDTGTMSGASWIARDYQGQPLHHSRQALIGSSTKRESDLRSLLWAVQAMGDLRHKNILFEASSVETRQALLNPTSFPDLSPLILKILELLNGFEKWTISHVSRHINRAAATIAESVTFGPYLQSYVASGGPRWLHKML >A03p056210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24305722:24306982:1 gene:A03p056210.1_BraROA transcript:A03p056210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRD, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G20960) UniProtKB/Swiss-Prot;Acc:Q8GWP5] MRKCVELAQRAMGCTSPNPMVGCVIVKDGKIVGQGFHPKAGQPHAEVFALRDAGELAENATAYVNLEPCNHYGRTPSCTEALINAKEKRVVVGMVDPNPIVSSSGISHLKDAGIDVTVEYDAIILSSSLADELSSISWQEESDVLIQPIQIVVASNAQHSPPILASSNTGTKDVLFTKNELVADSGTSYGGVEFVVLEDINLDSILVTVMAVDYSVLLDLRGNIKDLEVLFRDGFEQRLLQKIVVEVLPEWCARDER >A01p005100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2184100:2188208:1 gene:A01p005100.1_BraROA transcript:A01p005100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:Projected from Arabidopsis thaliana (AT4G33470) UniProtKB/TrEMBL;Acc:A0A178V1U6] MTLTVRPLSVPRSGEVSGIRGFNLRNGLSLWRKHLVKHNKGAYICCSFSTEKDPLLPSVQQLTDARVIYSVSAALGHNKDSHPECSARVPAIVTALEKNELTRKFRGSEILELANFKNATIEDIANVHEKAYVLGLEKAMGEASDSGLIFIEGSGPTYATSTTFQDSLIAAGAGMALVDSVVTASRNSFDPPTGFALIRPPGHHAVPKGPMGFCVFGNVAIAARHAQRAHGLTRVFIIDFDVHHGNGTNDAFSEDPDIFFLSTHQDGSYPGTGKMSDIGKGKGEGTTLNLPLPGGSGDIAMRTAFEEIIVPCVQRFKPDLILVSAGYDAHVLDPLANLQFTTGTYYSLAKDIKQLAKEVCGGRCVFFLEGGYNLESLSASVADSFRALLGEESLASEFDNPAYLYDEPMRKVRDAIERAKSIHLGKRMEMLERKSSIETEPMTLHLDQIEHAREEALFVMKTKTFQEAMDIFTKESQEGSRADEEKRGRCLDLKDYEYDEDERLIFLDPIGWDIVSAPF >A06p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18825273:18826701:-1 gene:A06p034750.1_BraROA transcript:A06p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITILILAIILSSRTSGATSRGGLFEASTATDKHEQWMSRFHRVYSDESEKTNRFEIFKKNVEFVKNFNMNTNITFKLDVNQFSDLTDEEFRARYMGLVVPEGMTSINSEKTLSYRYEDVSETGESMDWREEGAVTSIKYQGQCGSCWAFSAVAAVEGITKIAKGELISLSEQQLVDCSRDYNKGCGGGIMSKAFEYIINNQGITTEDNYPYQGSQQTCGSNTQSSDYLAATISGYETIPSNDEEALLKAVSQQPVSTVIDGSGDAFKYYSGGVFDGECGTEMSHAVAIVGYGISEEGTKYWLVKNSWGESWGENGYMRIKRDVDAPEGMCGLARLAFYPLA >A07p022670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13204543:13206675:-1 gene:A07p022670.1_BraROA transcript:A07p022670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSPLILPVYWSSASLRLRWRRKKTEKAPKFQTTSLSVDDSMLLADMDRKALAITPPLLLLSSFFFHLRGAAFGSSKAFHCLLLLSCLLLSSVNTLHNLADYDSLGSNFAERFQRFNDGSVGVQNVCPSSNLLFSLLPALGSEYHQFDPVVTFFSPGKSSSSSSSALASSNNLSGVVWLSLKPVHIIEFQPFTGFSRIGGGTKPLSKELLGKENTREWSISVSGNGLMEQKRCEGLSLEPGEDSIKFLFFYWTELSCASGVAVFAVPMKSTAPVLMLSLYKKPVSWWLRAKKLLIALLIAIALLILIFCFNDHFVEERNNIAVSKAEKPSTITISLEMDTLLRSISKETLQGSNEVVSENSVKPVASVSSCQVEETSELTVKTAKDKKRRRNKKKKKKGAVSELTTDVSSSQSGNSTPRSPLSPDPPGVVVTQAATKPATPKPALSHSATFPVSGTKSMVIIQGSSLAPNARAPGAKSTSEVKEEEEEYRYYDIWGDHLTGLHLMDRFKEVREGRSSSCFGEEDNEFVSLFVKGPHHNLLPGCSLAKPMALIKK >A10p038670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21563215:21566385:-1 gene:A10p038670.1_BraROA transcript:A10p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELIGGEVVTELVKQLFAVSKKALRCRGVAENLANMIAGVQPTIKEILYSGVEVSAHRQVQLRMFSETLDKCKKLTDKVLKCNRWNMVRQLYHAKKMEDLEKKISRFIQGLPLHVLCDLHHLRADSEVRFDRIDRGFDSLSEKMGSMKIRGGGLVQEEMKAGEAAMTDGDLGNLGVGLELGKRKVKEMMFGLKDEGGLVGISGMSGSGKTTLAKEVARDEDVLGHFGRRVLFLTVSQSPNIEELKACIWGFLTGNEDGFGATLPEPVGQTRRLVILDDVWTREALDKLMFKIPGTTTLVVSRSKLADPRTTYEVELLNENEATSLFCLSAFNEKSVPFGFSKVLVKQVVAECKGLPLSLKVVGASLKSRPEKYWEGVVNRLSRGEPADETHESRVFTQIEATLETLDPKTRECFMDMGAFPEDKKIPLDVIINMWVEMYDLEDATAFAVLVDLSNRNLLTLVKDPRFGAMYTSYYDIFVTQHDVLRDLALHLSNRGRVNKRERLLMPRRESELPKEWERSNDEPYSAQEMTEMEWFDMELPKAEVLIINFSAESYVLPPFIAKMGRLRALVIINNGMSPARLHDFTTFTNLAKLKSLWLERVHVPELSTSTVPLKSLRKMSLILCKINNSFVQTSVDMSQIFPNLSDLTIDHCDDLVELPTTVCGITSLNSISITNCPRISELPRNLSKLKALQLLRLYACLELKALPVEICELPRLKYLDISQCVNLSFLPEEIGKVRTLEKIDMRECSLTSIPSSAASLTSLRHVICDVETLWMWQHVEKVVPGLRVEGAEKCFSLDWLNE >A05p054320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32134130:32139087:-1 gene:A05p054320.1_BraROA transcript:A05p054320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVLVVGLICYHVYKSIKPPPPIPLPENVSEICPRVKLNDGRYLAYRELGFPKDKAKNKIIILHGFGSSKLVDLKITQEMIDEFEIYFLFFDRAGYGESDAHPSRTVKTDTYDIEELAEKLQIGPKFHVLGMSLGAYPVYGCLKYIPHRLSGATLVVPLLNFWWSSMPQSLLSAALKKLPIQNQWTFRVAHYLPWLLYWWLTQKWFSPFSPNPRETMTERDIELADKHTKHSYIKESALRQGEYVSTHRDIIASFENWEFDPTELLNPFSDGNEGSVHMWCALEDKQILREALLYICDKLPWIKLRQVPETGHLIIHEKQHFEDIIKTACYHVYKSIKPPPPIPLPENVSEICSRIKLNDGRYLAYRELGFPKDKAKNKIIIIHGYGSSKLVDLKITQEMIDEFEIYFLLFDRAGYGESDAHPSRTIKTDTYDIEELADKLQIGPKFHVLGMSLGAYPVYGCLKYIPHRLSGATFVAPLLNFWWSRMPQNLLSAALKKLPIENQWTFRVAHYLPWLLYWWLTQKWFSPFNLNPLTTMTERDIELADKHTKHSYIKESALRQGEYVSMQRDIIASFENWEFDPTELLNPFSDGNEGSVHIWCALEDKQILREALLYICDKLPWIKLHQVPEAGHLIIHEKQHFEDIIKTACS >A02p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1249250:1251597:1 gene:A02p002960.1_BraROA transcript:A02p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLKGSFELPRGESSRQSDVELGEQGGDQGLDEFFKKVQDIDKQYEKLNKLLKKLQAAHEESKAVTKAPAMKAIKKKMEKDVDEVGSIARFIKGKLEELDKENLANRQKPGCGKGSGVDRSRTSTTLSLKKKFKDKMAEFQVLRENIQQEYREVVDRRIFTVTGQRADEDTIDELIETGNSEQIFQKAIQEQGRGQVMDTLAEIQERHDAVRDLEKKLLDLQQIFMDMAVLVDAQGEMLDNIESQVSNAVDHVQSGNTALVRAKSLQKSSRKWMCIAIIILLIVVAVIVVGVLKPWQNKNA >A07p002670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3675433:3676659:-1 gene:A07p002670.1_BraROA transcript:A07p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLITPSSPPPLIPLKPYTSFDITSKVSPDVLLVIIILSIIFFISGLIHILVKFLLTPSLQSRDDYFDNNNLTAFQGQLQQLFNLHDSGVDQSLIDKLPVFHYKSIIGLKIASFDCPVCLCEFEADDKLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRSNLLPSDFSSHHSLSSSYLLVLESGTDRSSRDMVPVPEANDYGSTRFGSGRKPCDPDGDLVVPLEVKLGKFRNVDHVGDGSDNNISGNSNVDVRRCFSMGSYEYIVDQEATLKVHVPNKKQSDKDGRRFPGQRAVMSEYGFDPTVKGIGKGVVERESFSLSKIWLRGKKEKQKGTSVRDHACSSLSSSSIRIPNQMNPAKTKNGIGMDEENQKSENSESLETKTPSFARRTMLWLAGRQTKAVHPSTSNV >A04p019240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11524926:11527933:-1 gene:A04p019240.1_BraROA transcript:A04p019240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRFFFILVLHLSSKYDSKIVSTEPFKISTRRNILSPEKVFVFGFFKPSTTSGVADRWYLGIWHHRFPQEVVWVANRDNPMSKPVGTLKILDTNLVLLDQHGTRVWWTNRTSTNLMKSLVTGELLDSGNFVLRYFNNDSSTGCLWQSFDFPTDALVSNMKLGFDAKSNINRVLESWRSSDDPSSGEFTYGVERHELVQSVTRKKGLPTFRSEPWKIKNESGNFTYVTYNITVTREEATYFFTVTNKTFFSILRLSYNGVLKRSTWVPKPQQLWKRVDRILPHDACGLYNKCGPNGICDTSRSRICGCIHGFKPRDQEAWGFQDWTGGCMRKTKLNCSGDGFEKLRKMKLPDITKSIVDMTIGLKECKEKCNRNCNCTAFANPDMQNSCVIWVGEILDLRKSMIAGQDLFVRLAATDISVTNSNKNTRKMSAKSIMLIIGICIVFLLGVIFILFCFWKRKKRIPTRTVAPPIGNLQCVPMELVKVVKATENFSDCNKIGKGGYGIVYKGILPDGQAIAAKRLLVRSAHGIEGFTNEVNLIASVQHVNLVQLLGYCFEGEEMILLFELMENSSLDTYIFDKTKSYKIDWEKRWDITNGIARGLLYLHQDSRYRILHRDLKPSNILLDKDMVPKISDFGMAKLFARDETEATSTTNMVGTFGYMPPEYAIDRICSVKSDVFSFGVLLLEIIAGKRNNEFLYYNEESLLFYIWKLWLEGKGLDIVDPVIVDSSSTFRPSEVLRCIHIGLLCVQESKDNRPLMSSVMLMLTSDKTEMNQPERPGSFVIRNRFVIGSSSSNLPNKETCTPFDIADWTPVSAG >A10p004420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2150727:2151822:1 gene:A10p004420.1_BraROA transcript:A10p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEADDLKIAVKSTKKLCIAVKKADAIFVGQYIFTGTETTSVLLEIMIRDGDEAAAQEDEMVRNEPEVEKKMELEPEFEKQKEKKQLQGERDTETARGERLKSEAAEA >A02g510670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28384729:28385085:-1 gene:A02g510670.1_BraROA transcript:A02g510670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETGTLEYMAPFFMIKPYNRRCNVYSFGICCGRFIVVLLHPDLAFADVISAFVQG >A08p003850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2190859:2193401:-1 gene:A08p003850.1_BraROA transcript:A08p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome-associated serine/threonine-protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G50370) UniProtKB/Swiss-Prot;Acc:Q9SX52] MDLDQWISKVKDGQHLSEDELHLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKSRYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRLIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINNLELVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASMLSFNDNMASHLFPLFLLMYHYLVFHVLTPISKQEGSQFTRNPFVSIKLLLLERDVKFFTETEENNQMRGPRTGVPYFL >SC166g500080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:160905:161366:-1 gene:SC166g500080.1_BraROA transcript:SC166g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVIALIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSFVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A07p041360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22362618:22363880:-1 gene:A07p041360.1_BraROA transcript:A07p041360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAGSYERFIWGFKLKPTKHDADTQTLTLSPLFSYPSHISSITTVACSGPAAASGGSDDTIHLYDLPSASSLGSLLDHNHTASITALSFYTPSSLSFPRNLISAAADGSVAIFDTDPFVLLKSFRPHKKAVNDLSIHPSGKLALAVYRDECFAMLNLVRGKRSFCCRLGHEASLVKFDPSGERFFMVVNSKVGVHQSEDAKLLLELDNGSHKRILCASPGDSGTLFTAGEDRAITAWDTNSGKLAYSIQDAHPARIKGVVTLTRNENDGASEDPYLVASASSDGIIRVWDMRMAAKENAKPLAETNTKSRLTCLAGSALKSMRRPQIGNQNDQKLEEGLNTE >A01g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11532031:11537039:1 gene:A01g503770.1_BraROA transcript:A01g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STDPLRIDRSIPCPGKNPKSIFLVLGRKSNSHPKFINPNSLNSLIWTIIWPNSYDFVSFLRIYHSLVCSAKGILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATDSEFEESGEEKGDDQRADTERGENSHVAGNVDGTADVSRRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEDLVQASFTTFGEKFCQQFSDRLGKIETEVTELRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELVGKSDQASGPSLTKINSGPSTSKKGTAPSKKKAVKNQELKTADSCVNLPRAKVTQSSASDLSMDDRDIELDGENFPDRCLVFVHPTDFKKMQDWQDTRTAIQIGPSMLDGDLAGRIMSASSWLKNYVSIRLEIDAIMYVFRERTTLKRWNVDRVAFMTCVFSDLIAKDYQNFCKGIKKYTMDPLLLQYGKGELPSHGKTQMLWNVDVDRMYVPVWVNCNHWIALCISFVTRNIQVFDCGGKKKIKEVEAFVQLIPRIVKAVQSLTIQKHFHITPYNVSYVPMSGLNRLQCHCGVYTIKHIECHVLGLDISMVNDENIWGARIKIMWDLWEAANDLELIERMSKYEPIKCSKPADFTFENSFVKVCVQKMVKKGIPKKTKETAASTSLQPESDEPREAAPWPRDPLTPFSKLPTIHNRQISSKKELRELASYAHRDYYAGWSDYHCILYNGLQRMRFKPTKFICDYTTKELGIVR >A06p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17079631:17083997:-1 gene:A06p032060.1_BraROA transcript:A06p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKVEEPYLPPRNWESLHSQSRRFPLPTRASPPSSSSVSVSESSLVRLVLNALQGVESSLISIEKLSSLLCSEPADRTTHHIPSLWHRLASTDALGQILRDIGFFGSLVFLLHSFVGHFMSLKLEVEGQGSCYTLVNQAFVIALRKVLEGYISGLDTLCASVQLRRSSNIVDGSDRGPSSLGCLSNVVHPNITLLEVFLHTRELRTQIEALANICDLYDVSLSYCGSPWECLVAEATTRLHGFYKGSDLLTYLYSQLKVADPAHSAMLKFLFLKTCEPYCEFIRSWICKAELNDPHKEFIVECVTESTSFSWNTAGISPLKRAREQEGGLVPCFLDGFLEPILRAGQQLQVITKLLELCDLSASGLRNYADLLPCWTHYSSSSLVYPSPITFSKLHIEVMIQKIDDYYRRMQEKLCDLSRKFELFLGQVPRATSLPISFGDGERLEKSSGNYTLDESLLVPSTVAMDLARDQSGSESDDQKTEDRWFSEIDTSCSSECSSTRDFSESSDVGMLDFQSTLAGPLPNYLSALRFSVASAGKCDENLVQHGESGYLDNNFVTKDEKADTHRQWMDIEPEESTEACEVDKFRGLLSIKSWPLGGLPRNPFSVDKHAEDDKEYPPTDSGDKMEQRGSMITDESTLLLNNIPAGISCLKQEIKHDLLENCSSSKLYLLKETKVNYPYEVLSINPMLRCDFLRKNGNINRRDQAKLLPWFDFSAVDNPSKTCLARIPVGFPVDDSLEYHSSQTDRKSHFHANQECGIDSPDVEDPKSYKGRAEENKPNAPGGSRWEGMLLRSNNPETSALSDCRQSSSVRLEKLHCCFFHRQLSLAALSLIDSSQ >A03p001440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:710432:710626:1 gene:A03p001440.1_BraROA transcript:A03p001440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMQHGNPLSITHVSTKLELKEAINLLLSNPEILEANQRASKEAYESLASCIVSSIWNLLSC >A05p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27480331:27482224:1 gene:A05p046170.1_BraROA transcript:A05p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 10 [Source:Projected from Arabidopsis thaliana (AT3G13350) UniProtKB/Swiss-Prot;Acc:Q9LTT3] MSTVSPSSQLVQVVPDNHTNTGDSSARVKYEDLVRNSDIFLEHLRALLGFLGNELKVPTVGGSTLDLHRLFVEVTSRGGIAKVIKDKRWREVIGAFKFPNTITSASFVLRRYYFKFLFQMEQVYYLEQSASSMKSAEEVMESLSPNLEEGTDEPQIGALVDGVLDGKFESGYLVTMKFGSKVLKGVLYHHVQSPQQAMGTPPSGMPPASQRRAKKKARLTTVVDSQKPKCHRSGYNFFFAEQYARLKPEYHGKERIITKMIGRMWGDLSESEKQVYQDKGVKDVERYRTEMLEYKSAHESGASASAAATMAQ >A06p057430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29868591:29869904:-1 gene:A06p057430.1_BraROA transcript:A06p057430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A10 [Source:Projected from Arabidopsis thaliana (AT4G39490) UniProtKB/TrEMBL;Acc:A0A178UWN2] MLVTVDPSNIHHIMSSNFSNYPKGPEFKKLFDVLGDGIFNADSELWKDLRKSAQSMMMHPEFQKFSLATSMSKLEKGLVPLLDHVANEKLVVNLEDVFQRFTFDTTCVLATGYDPGCLSVEMPEIEFARALDDAEEAIFFRHVKPEILWKMESFIGLGDEKKMTKARATFDRVCSKYIASKRDEVITNIDSPPASQDLLTSYMNLETTKYKLLDPSDDRFLRDTILTFMLAGRDTTGSGLTWLFWLLLKFPEAMDKIRQEIKTVLSPKTKTDDDDDDSDSFNPQELKKLVYLHGAICEALRLYPPVPFQHKSPTKTDVLPSGHKVEANSKILFCLYSLGRMKTVWGEDALEFKPERWVSESGKSVHEPSYKFLSFNAGPRTCLGKEVALTQMKSVAVKIIQNYEIKMVEGQKIEPAPSVILHTKHGLKVTVTKTCLV >A03p027230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11359053:11360720:1 gene:A03p027230.1_BraROA transcript:A03p027230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPAQEQKPAMEPAVLDDIIRRLVEFRNTRPGSGKQVHLSEGEIRQLCAVSKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDDRILCMHGGISPELTSLDQIRNISRPMDIPESGLVCDLLWSDPSGDVKGWGVNDRGVSYTFGADTVAEFLQKNDMDLICRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAMMSIDESLMCSFQILKPSDKRSSPFL >A03p054490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23395794:23403684:-1 gene:A03p054490.1_BraROA transcript:A03p054490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKDADHVITSPDHVITSPDHPSVQHQLESVTEDQVEQESQVPCFKRFALRELFDATNGFSGKCIVPGGEMQDLNLVYGGMIEGTGLVAIKRLSKLTWPDAQQFVDQATAVGNLRSKRLVNLLGYCVEGGERLLVAEYMPYGNRQEIPWEMRVRVAYYIAQALDYCNIENQKIYHDLSASRILFDEDGDPRLSTFGLIKNSRYGTRYSTNLTYTPPEFSETGIIIPESVIYHYGNVLVELVSGKHIPPNHAFDIIMEKNAMLLMDSSLEGRFESEDATKLLNLASKCLQKNPEDRPDTESLISAAAPLQKLEEISSHFLMVTEEQVDHEDQVDHENQVEQENQIPCFKKFTLSELFYIINEFSPSCIVSEGGVKDFNEVYIGKLEGNVLVAVKRFSKLTWPDAQHFVDQATAVGKLRSKRLVNLLGYCVERGERLLVAEYMPYGNRQEIPWEMRVRVAYYIAQALDYCNVKNQKIYHDLSASRILFDEEGDPRLSTFGLIKNRRDGINYSTNLTYAPPEFSETGTIIPESVIYSYGNVLIELVSGKRIPPNHAFDIIMEKHAMLLMDSSLEGRFENEDATKLVNLASKCLLNNPEDRPDTKSLVSAAAPLQKQEEISSYFLMLLPKSTVMQPTMLSPLRKASSRKDHSAVYEILLKTGYSDEGAESDHLVTLENQELVQTKNLGDNAFRDQDFINAIKYYSKLVRMMSSSPYATVFARRSFSYLVTGEHDPALGDAMKAQCCIPGWPTAFYLQALALWELGMESDARDMINEGAALEARRLQTTER >A05p028000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000142.1:19886:22734:-1 gene:A05p028000.1_BraROA transcript:A05p028000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCGLEIEAVKGSTSHSTQDNVLKLREFIIQHGEWDEHEHEGSCVFQGNQLLGVVSDGENHGGKQRTSNLEHEGEMKQELQTVKRQVEKRVLALMIKLWNQMINKKKLKIVS >A06p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11886375:11887882:-1 gene:A06p023000.1_BraROA transcript:A06p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVATTTSFHWSGRHAAIPSLSQTLTSSKRRRSRGRGGGSLSSCQTRLKASTFLGTQSGKLHRSKSCELLEFSTKSKLYPLRRVCSASSGFFSDEEFSAKMQELAIQFKIAGEEEDKVVVVVVGNDRRNHRFGNAKLLLHESVPGLASLEAPWMEMVSHSSIERKANRVDLPLSLRMIKRKLQEEAALREARESTYCSIHKAFSSLVFMIEEMHSFALQTREGVVVQQVKKDMHASLLWMFQRVFSQTPTLMVYVMILLANFTVHSVASNLAIAESPPGSAGTKEDSVLGQGIREEELSLWNSMVEEADQMQGSPVDRDMRLRLVSPIIARVALDDNANYTRTELLYKIGLAQEPNNPLLLANYAQFLYLVSQDYDRAEKCFKKAIESGEADAEAYSKYAIFQWKVRNDLWAAEENFLEAISADPTNSFYAASYANFLWQTGGEETCFPLESSDSPQEIA >A05p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24911720:24912512:1 gene:A05p040780.1_BraROA transcript:A05p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 5 [Source:Projected from Arabidopsis thaliana (AT3G18450) UniProtKB/Swiss-Prot;Acc:Q9LS45] MENQWTSGLCSCMEDGKTVAFGRIANIADEGNHGCGECGIFYGLICCVVGLPCLFSCTYRTKIRRKFGLPESPASDCITHCFCESCALCQEYRELKNRGLDPSIGWNEHMQRATSPPMGQYMK >A04g500560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1777436:1777888:1 gene:A04g500560.1_BraROA transcript:A04g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICLLDRSCTSSVQFNGCGWVWMDSGGNIQLVGTQNFSRRESALHSEVEALRRAIENILQHSSCQSFRTNCKELIAKIKEPHTWPSFATELERIEMLHICFSDFNISYVPRAHNQISDILAKTTRSFHRKLHFIGCSVPVWLSRPLQV >A03p009450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3785690:3798859:1 gene:A03p009450.1_BraROA transcript:A03p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITIMNEASETSTTAQSSDRSMVWTICPFCSVRYKSYISLLNKPTRCHSCYLKFFASESVFKGTPTKDKATQTSLSTTEKSVSSQNHITRSSLVAPTPKSQAPSPKSHAPTPKSHQVQPKTAPSSLSPRFCAMCPFCKFKYRFPIKWFVCKCKKKFKTVEVSSSSLQPKKTATSKNQGTHFSGKASSPGLSCAVKVGEKRQRNECGESYNAGNRSVLHKNKRVTTDSGGAREDSESGKQVHVVDLSTTEDLMSNAINLNQKMDRNQDAQVGTVVENSEDVVGNNRRGFNDNGDAGRQEESGWGKLLHQVNCSEVALLDIIGNSGNLEVDKHSEDVLDKAVDNNRRGFNDDGDAAGRLEASEWGKQLCEADRPEVTTLPNVISNNQKLNEKNKTPGLCDSGSGDAVPVQPKMSECAGLKFNDFNKRREDAKFSECQAWALYDKADGMPRHYALIRKVSSPSFGLRITYLEPDPVDEKEIQWFEEDLPVSVGNFRFGKNKNTKDRSLFSHPIRCQGSIMTGNFTVSPRKGETWAIFKNWDIINWSSEPDSHRKYEYDIVEILSNTIDEGVSVAILHKAKGFASVFFRMGEADVIQIPSQSLYRLSHNILSFKMKRVDIKGVPKDAYELDQAALPKAIEESVVPSHLYAKPKPEALCFPNKGKVFQTGQIWSFYSGGSSGTAAYYFASDENVNVHSLRLSCVGGKRKRNELGGSNDDSAGLKFNDFEKLRKEVNFSVGETWAMYDEVDGMPRLYARIRKVSATYFGLRITHLEPDPDDEREVLWFEQDLPVSTGQFRLGKNENTKDCSLFSHVIHCKEGSNTGHLIVSPRKGETWALFKNWDIIKWSSEPDSHGSYKYDIVEILSDTTDEAAGVSVAFLHKAKGFASVFFRMGTGDDSDMIQVPSHSLYRFSHMIPSFKLTGTEAKDLPKDAYELDQAALPATTVQEKPVPSHIIPVPKPEALCLPSSEGKVIKTGQFWAFGGDYDDTPRYYGRIQKITVTQTFEQTAETKVHVCRLKATSFPQNVIKWKDKSMPVGCGTFSMLKNCSTLYPQHLTHQIFPQTSMDGNEFTILPKVGQLWVIYRLWAPHFGVGALDEHCLDFDMVQVLDDAFNYKVLALEQVLVTSEEKNKFFRAAKSRPSYCYDEDGPGVIFTIPQSKMLRFSHPIPASRVTKEVDGEMIVLFEVDKKALPYGKAVAETLMKGTGTAAFYSASDEAVHSPRVSCAVSVGDKRKRNELGGSNDDGAGLKFNDFEKLRKEVNFLAAQTWAMYDNVDGMPRLYARIRKVAAPLFGLRITHLEADPDDEREILWFEQDLPVSAGQFRLGKNEDMKDISMFSHVIHCKEGGNTGHLTVSPRKGETWALFKNWDINWSSEPDSHRRYEYDIVEILSDHADGTGVSVAFLHKAKGFASVFFRMGTGVADMFRMGTGGDSDTSQILPQDIYQFSHMIPSFKLTGFEAKGLPKDAYELDQAALPKTIEEKDVPSHLIPRPKPEALCFPSKHTGKVFQTGQFWAFGGDYDFTPRFYGRIQKITLTQAFDEAAELKIHVSRLKATSFPENVIKWKDKRMPVGCGTFSVPKSCSIFYPQHLTHQIFPETSIDGNECTILPKIGQVWMIYRDWVPHYDLVALEEHDLDFEVVEVLDDALNYKVLALERALVTSEEKNHFFRAAKSRPSYCHEEDGTGVIFTIPQSKMLRFSHPLPVSRVTKEVDGEMEVLFEVDKKALPLGP >A03p063200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27445048:27446343:1 gene:A03p063200.1_BraROA transcript:A03p063200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVSSQAYIYHCHLIKRALIQTKDPYSPRRLSRHNHIETHVLSPRTCTLTISRKHQPLSLNTVCFAADEPSPSPSSSSEISADARIRSEVLSPFRSVRMFFYLAFIASASLGGLIATTRLIGALANPSRSGEVLEIVKGLGIDVGAASLFAFLYFRENKTKNAQMARLSREENLAKLKMRVEENNKVISVGDLRGIARLVICAGPGGYIEEAFKRSKEFTQGLVERGVVVVAYATDGNTPVLEFDEVDGEDEEVSQSRRRLWRVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGIWTGLLDGMDGRV >A07p018110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10899442:10900792:-1 gene:A07p018110.1_BraROA transcript:A07p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSDKERETFVYTAKLSEQAERYDEMVETMKKVAKVDSELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNETNVKHIKGYRQKVEDELADICKDILSIIDQHLIPHATSGEATVFYYKMKGDYYRYLAEFKTEQERKEASEQSLKGYEAATQAASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDNVKSDEPNQEHCKIIEATDVINLINISLSCAKLMWFAFLFLCFRNDQRLWKLNEFRLNSTSWFHQGDETNEFKFYIVLFS >A10p036500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20766775:20767793:1 gene:A10p036500.1_BraROA transcript:A10p036500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIGGFHKEDDEQMDLPPGFRFHPTDEELITHYLHKKVLNIDFSAKAISEVDLNKAEPWELPYKAKMGEKEWYFFCVRDRKYPTGLRTNRATQAGYWKATGKDKEIFRGKSLVGMKKTLVFYKGRAPKGHKTNWVMHEFRLDGKLSAYNLPKTAKNEWVISRVFHKTEGGKKIPLSALIQIGSYGGSNLPPITDSSPYNDITKTEPVYVPCFSNQTETRGTLLSYFSNSALCSIPLYQPQSLQVFENLQSTHQILTQEHSVSHQEVPSSSAGPIDLESFLSY >A04g500900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2467814:2468487:1 gene:A04g500900.1_BraROA transcript:A04g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNGSGYVEAEAYGSAEARFFKKLGSGYVLETEYFKSVLEARFRKLPQGSDSDSGSEAGSGRPMKLPCAT >A05g507400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20925933:20928057:1 gene:A05g507400.1_BraROA transcript:A05g507400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRQPWNRGVMLTDWISTYPVGHSTDDFSNCFYLPVKEIHERYTFWLNSSVAINALINDGTPLFTRFVIRHLPLLILCDLRRAYGYRYAPPLCEGDSFKPPSIPPVDSFLSSCNLSILIYDELEKVRGATVPLENDSWCKWWLQTILMQPIFVALDGSITKLINVRASEVSLIQLTYFDPGSSVTTPRSHGPTKDAVG >A06p038120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20582245:20583506:1 gene:A06p038120.1_BraROA transcript:A06p038120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTLLQGYSSAEEEDAEEKDYENSDEEDENHGVERYGSSSSVFDFSASNSAKDSGLPSANDVFSQISGPPEFLNNRTEADEEATARDAEHAKRITRKKKKAKPKGVVMEAKPQLVGIHERVRNDIDAPPSSENGEKRISTATNPNAEEAADLLRMCLQCGVPKTYTSARGMVCPLCGDRPLPDVDAKKKGSTIKDKEKSKRMRGQSSHASWKSETEMQLRQTFD >A04p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:807313:808486:1 gene:A04p001670.1_BraROA transcript:A04p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHIAIPKNLSQKKKRSQRKKCEADIKTLIRFLLVGLIRYVYCGKCYGNGHEEPYKNPPIKHPLHPKHDLIFVGCDNDILSISDGMIRLRHFSHEHHHLKLSEETDDRAYDEYKTCKACVMPIYYGNFYSCLGCDFILHETCANLSRRVYHAIHPHPITLEIESPYLSSCSTCSKVCSGFYYECSQDVISCCTHVHPLFLTSEPDEYRSCSSCHDSGIGIALPQKVRYKHDEHILTLFYGDQEASAANHNWCEICERKIKTGQRFYTCDECCVTLHIECLLGKDMHSSFGSYLSGPGKIDILPNNRMTRPICSTCRQRCQQKMVFQRFGSKQCSFTCMRRYRPS >A08g510480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23906435:23910789:1 gene:A08g510480.1_BraROA transcript:A08g510480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIFHAIVQNELPAFLSLVRERDSWLEERNDEQHSNTVLHMAVKHGGGEFVSKIIELRPSLICSRNAYGNTPLHLAALLGDVNIVRTMLEFGPEACSARNNNNQTPLHLACRSISVESATLFAEKIQSVGLHELNFAILSGMAGIAGTILERFPDLAREEAWPVQGGSLSSLLHHACDRGDLELTRILLGLHERLDEALDTNGLSPLHLAVLRGSVVILEEFLNKAPLSFYSLTPSKESVFHLAARNNNMDAFVFMAESVGIDSQILLQQTDEDGNTVLHVAASVACGAPFVHYIRKRTDKRCKNNFGFAAYQLIPQEAVNFELLSRWLRFDTETPEELNSEEYDGHSRPIQQQSLGRVKSLGIRGRSDEDQVIRMLTLIEINTSEIAERKRRSKEEKVKRGHEGLEYKMHIETLQNARNTIAIVAVLIASVAYAGGINPPGGVYQDGPWRGKSIVGKTTAFKVFAICNNIALFTSLCIVILLVSIIPFKRKPLKRLLVVTHKMMWVSVGFMATAYVAASWVTIPHYHGTRWLFPAIIAIGGGALVVLFSYLVVETIGHWFRKLNRVGDIPSFASTCSDLAVSGPIFHAILQNDLPTFLSLVEERNSSLEERTDKEHLNDTVLHMAAKLGHGELVSMIIDRRPSLVCSLNAEGNTPLHLAALLGDVDMVMQMLETGLEACTARNNNNDTPLHLACRSISMEAAKLVAEKTNSVDLGELNFAISSGSTGVAKIILERFPDLAREEAWPVEGGSLSTLLHHACDRGDLELARILFGLDQRLEEALNTNGLSPLHLAVLRGSVVILEEFVNKAPLSFLSHTPSKETVFHLAARNKNMDAFVFMAERLGSNSQFLLQKTDENANTVLHTAASVACGAPVSSFLCPYPLNRPKALLG >A09p043930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35736426:35740215:1 gene:A09p043930.1_BraROA transcript:A09p043930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNSQPSSTWQRRRPTTDQEDHEELDLGFWRRALDSRTSSLVSNSSSKTTNNHHHPLEDLSLSNNSHRHHQQIFTSFQFPTQQQQHLQGFLAHDLNTHLRPIRGIPLYQNPPPHHQHRPPPCFPFDPSSLIPSSSPTPTGINNNSFGTSRVNPNHHNHHTLNRARFMPRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKAAASSGQSDVYENGSSGDNNSDDWMFDMNRKSRDTDELTNPLEKSNGRWTNSSGEARLHGKLIDNVAEIILPSENEIDGKSSSYERMSSEDMSSSNISGTSPFKPNLEFTLG >A03p017460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7054737:7055732:1 gene:A03p017460.1_BraROA transcript:A03p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCEHQPSSFSTKPSKTYYKYTPLKLSPFNSKLCFLPSIFSNFQKTSNFETYGEIVIKVDMGGPGSSPCASCKLLRRRCAKECIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVNSLVFEANARVRDPVYGCVGAISYLQNQVTQLQMQLAVAQTEILCIQMQHEPTLQSHHEVFELDQDDKAHLLHSNNNSINNCNNNYNLAYAMSSGQLNSNFASPSSIIQMQMQDPLKQESLWT >A05p055110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:32533442:32533819:-1 gene:A05p055110.1_BraROA transcript:A05p055110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISFVILVVALIVFLRVSEAQSSKDRDEKKIENDLHEAKDLIEEDLKEKEKNIKSLEDEVNMLTKSEKMLNDIGEAHKKGESLEPYGKKLKKFNRKVKQAPKSKRGSVVQNILKDLGLNGGRN >A04p019830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12137485:12139105:1 gene:A04p019830.1_BraROA transcript:A04p019830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTIILDFQKCFIFIFLCFFSLLCYTIFFRKPKDFPLPPSPPSLPIIGHLHILLSIQIHRSLQKLSSKYGSILYLRIFSCPIVLVSSASAAYEIFRAHDVNISSRGFPPTEDSLFAGSFSFISAPNGDYWKFMRKVLVTNLLGPQALKRSQRIRADELDRFYNNLFEKAVNKETVEIFEEAFTLTNNSICKLIMGRCCPEEEGVMESVKGLATELDDLSKTIMLANLLPPWLQKLVLSLFKKELKVILNSFNELLEKILVEHEEKQGEHLHDKDLMDVLLEAYGDENASYKITRNHIKSFCVDLLFAGTTTPAIPIPWILAEIIKSPKTLERLREELDSVVGTTRLIQETDLPNLPYLEAVVKEGLRLHPPEPIFERFSQEGCMVGGFYVPEKTTLMVNAYALMRDPNYWENPDEFQPERFLDTWKDESKEQALKYIPFGSGRRGCPGENVSHIFMGTAIGVMVQGFEWRFKEEEVNMEEAIVGLSLTMAHPLKLTPIARNPNSLILNLKSRG >A04p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10830120:10831401:1 gene:A04p017930.1_BraROA transcript:A04p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET8 [Source:Projected from Arabidopsis thaliana (AT5G40260) UniProtKB/Swiss-Prot;Acc:Q8LFH5] MADAKQVRFIVGVIGNVISFFLFFSPMPTFWRIFKNKSVEEFQPWPYVATMMNCMLWVFYGLPVVHKDSILVTTINAVGMGFEAFYISVFLIYCGGKKNFRRNIGLYLGAEVIAVAGIVLITLFAIQNEFAKQTFVGVICDVFNIAMYGAPSLVIKKVIRTRSVEYMPFLLSFVSFVNAGIWTAYSLIYKLDLYVLISNGLGTALCASQLIVYGLYRNATPRDEDKTKPSEIEIPATA >A05p055200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32615072:32617205:-1 gene:A05p055200.1_BraROA transcript:A05p055200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-like E2FF [Source:Projected from Arabidopsis thaliana (AT3G01330) UniProtKB/Swiss-Prot;Acc:Q8RWL0] MEKMSWIMSSQDVDALALQSYSRKEKSLGVLVSNFVRIYNRDDVDLFGIDEAAAKLGVERRRIYDVVNILESIEVVSRKGKNLYSWNGFLHIPRSLHHLKEEGLREGFGTSSICSNSDKVSHDDESEESLCLTPDDQDNSSLSKLDHKKESSLWLLSQNFVKMFLCSHDDLITLDSAAKALLSDSQDPMHMRTKVRRLYDIANVLSSMELIEKTHIPGTRKPAYKWLGSKSLTEKGSTLFNSTNESKKRVFGTEITNFSTKRNKTDCSSNYVQNGYNKHDEEEKKPAAKSYVFGPFSPTGASKKNNNNNAGTNNSGRLQDLEALSSTYKPQYCNQDVTGLLGHYTEAWNKWFAELDRK >A01g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9290359:9291485:-1 gene:A01g502780.1_BraROA transcript:A01g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRTFESKMRCLIHRDVGKNQLYIFVMRKTIGCKGNHLRQRFMVSFQTSAFWLLFVVLAFLVGKQLKVAQESLQKLKEFDIRMNHISIAAAVIYITTQLSDEKKPLRDLDLHLCRIKPVDGKTVTKTMSL >A02g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24692141:24695468:-1 gene:A02g509220.1_BraROA transcript:A02g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFQDPSRKEPAGLCTIRRPKRDVSIDTLQAASIDSVSQASNDTIHHVSENTIHRGTIHPGTVHHVTVHPGTVHRNTIHRDTIHRGTIHRGTVHHNTIHPSIVHRDTIHRNTIYLPSIDTVHPVSVDTIHIPSIDTVHLVSVATVHLPSINTVHIPSLDTVHTNTVHPNTVHRDNVHPNTVHPNIVHRDTVHPNTFHRNTVHRNTIHQNTIHRGIVPPMTNTSYGETEKVEALILKIYKKGIWRDDEGRPCSLTGQLINAEGIMISMSQILDFIYSEENGDIGTPTTHVKQPYIQVHHADESKQKDELNREKLVNHDTVEDDEYHVSGEQSKVEEADIKDPTSASIDSKMIDTDFCHRSIPLEIPERSSCPQGIANSTQESIDESSCDLTSDVDKVTLKDFLELEEWLRQKLDDQPASGKGLENSLKADDIDRHQPDEIDQYTPCIIDPHPTYAIDRQSPHIVNLHTPDCIARYPPDCIDRHPCLDELSGYPIEPGPIEEIMHMSTTSHIDVSEHLRPPICAEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKDEVDNCPGEVPLSDISKSKLIDTNTSSSINTDQIPSIDTRRESEQNEYELCGNIFYGDTTTHSDKSGGKKWRNWKKRERINGGSQLSLILHFSDDARKSRVRLHKSVGKNGRNWKKRKRTKRGSQLPLTSYFSDNIRKPRVQSRCFSQPFAKLKALLIAEMIDKGEWYMEEAFTQE >A03g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2532815:2534938:1 gene:A03g500850.1_BraROA transcript:A03g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFNSSEDEKLNPVEESSKPQKQSQTIVSNNFSTLPSGGEKLSSKSNVRSKRELLLPRDGFEQIAAHTFAFHELVAATMDFHPDTFLGEGGFGCVYKGRLETTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEALDWNMRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPTGDKSHVSTRARPMFNDRRRFIKLADPKLKGRFPTRALYQALSVASMCIQEEAATRPPIADVVTAISYLANQAYDPNKNDRGGRNDEGGGKFDLEGSEKEDSPRETARILNRDTDRKRAVAEAKMWGESSREKRRQSEKRTSESNSTTG >A09p062290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51031415:51032137:-1 gene:A09p062290.1_BraROA transcript:A09p062290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCARTPSCRKLWSRICCCCSNINNTNGQARSKHGSKGMVYPVTRIEIWEEKVTEAKNNGKILVVNFNASWCVPCKKLEPIFRELASRYPSMIFVTIDVEELAEFSNEWNVEATPTVVFLKDGRQMDKLVGAETSELQKKTAAAADL >A02g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16273190:16276772:-1 gene:A02g505330.1_BraROA transcript:A02g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVSSDLEKIRSSIEGLEICGSQRLRRLEDGLLQRSGHPDSDGVKPEIFLCALLDASSVEARAASISSSSVMFVLQRCLGVSFSACRVGGPGSPVTVNLGFSLVCLLCRLCSPCWQRVEVSGGGGSVRPAGSSWQRVGELSLVRFGYVLSPRSSSPFKLEWYRRLWPAPPLALLK >A09p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20916861:20919285:1 gene:A09p034530.1_BraROA transcript:A09p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIFSKRNTEEEEEEEVNVEHEKPQETSSVQLIAPTTSQKDDFSHKSVDGSSSHKASGRGSGLIVPIDDSDGKTVIVERPTRSHRRCSTADVGTGGGFQLFQQPPSNVISSSPESELTAAGWPSWLTSVAGEAIKGWVPRPAESFEKLDKIGQGTYSTVYRARDLETGKMVAMKKVRFVNMDPESVRFMAREINILRKLDHPNVMKLDCLVTSKLSGSLYLVFEYMEHDLSGLTLRPGVKFTEPQIKCYMKQLLSGLEHCHSRGILHRDIKGSNLLVNNDGVLKIGDFGLASFYHPDQDEPLTSRVVTLWYRAPELLLGATDYGAGIDLWSVGCILTELFLGKPIMPGRTEVEQMHKIFKLCGSPSDDYWRKTKLPLATSFKPQQPYKRALLETFKSLPSSALALVDKLLSLEPEKRGSTSSTLNSKFFTTEPLPCDVSSLPKYPPSKELDAKVRDEEARRKRAETVKGREAESVRRASRDFNPEEASRTGSRGETGRGDRDKGFSHTNSMIHPSITATWSKNESSRHNVVELKATRSSNVPVTARYLSPSYKDDAAIEPTTTYRRKKNRMHCSGPLMPPGGNIEDILKEHERQIQEAVRKSRLEKSATKKNHKTGS >A02g502980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9950629:9951416:-1 gene:A02g502980.1_BraROA transcript:A02g502980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKNSKELGLNHLGFVRVAAIQVLVSLSNLYDYAKQNSGPLKPAVGKVEGSVTTVVTPVFNKFKDVPDTLLLFLDHKVGEVSNKFDKHAPPLAKQVVSQAHVLIQMTTEKAQSFVKEARTGGPKAAFNYAATEYKYFVVTNSVKVWAKLNRYKPIHAVGNKALPLAANLSGKYNGLVTDMTKMGYPVVGYLPLVPVDDIVKAYEKEQDAAQKKGDTTTTTPTDGNKSSSDSDTD >A05p010560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4467516:4468744:1 gene:A05p010560.1_BraROA transcript:A05p010560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVGGGGSLSEAYSTAKKILLRARDGIERLERFESASLVDSSDLTSSVKRDITEVQSLCASMDGLWRSISVKSQRDLWRRKTEQVGEEAEYLNKSLEKYMWRNQRKMNEAKERADLLNRGSGEGAHILQIFDDEAQAMNSVKNSKRLLEDSFSSGVAILAKYAEQRDRLKRAQRKALDVLNTVGLSNSVLRLIERRNRVDTWIKYAGMIATIVILYLFIKWTR >A08p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10682691:10684846:1 gene:A08p016440.1_BraROA transcript:A08p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGHEEEDMMMMLPRKPAWLEGLMAETFFSSCGIHESRRKSEKNVFCLLCCLSVCPHCLTSHRSHPLLQVRRYVYHDVVRLSDLEKLIDCSYIQPYTINGAKVIFINQRPQSRAKVSSNVCFTCDRILQEPFHFCSLSCKVDYLQYQGDDLSSILYRIDESDFTFSSLRMDGHDQLGEISTMEEDTDDIMVMSDQWEQGNNSNKKEKRKTKKESNYSPGMVLSLGSRRKGAPHRAPFS >A03p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11924857:11926998:1 gene:A03p028400.1_BraROA transcript:A03p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRVPSYHIDDTPAPATVRSTKAADIPMLDYEVAELTWEHGQLGLHGLCPPRVPAPSKYSTGAGGTLESIVDQATRFPNPKPADELVPSFHHRYSRVGMDALVPEQQSQPATGVGSCSDGHPMDGGKRARVAPEWSASGSQRLTIDTYGFTSTSLDDNSSSGGKPFTKTTNIDDHDSVCHSRLQVEEEEEEKHTGGKSSASTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVCMMSRMNMPSMMLPMSMQQQQQQLQLNLMSSSMGLGLRMGMPGLGLVDLSSMNRAATATAPNIHANMMQNPFVPMTSTSWDASSSTDPRFQSPLIPDPMSAFLACSSQPTTMEAYSRMAALYQQMQQQLPPSNPK >A06p026030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14361913:14362883:1 gene:A06p026030.1_BraROA transcript:A06p026030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRHVQRVIHGTWNLHQNGEWSFERKTNDLGFPAIVRTLPEWMLIPDGNKTPPITILETPDIQVMMAVRAWFADLTLCVTIGAEGVARYQFFCRADFNIGSSSYKFRNGNEDLSFEGFLGEAVVASQAVLEEYFNDQEMMVNHRVHLEMEKAKLDLENQRCYELVHGNEIIVIDDTDSDSDGTEPLGSNKLSITVFNTGKMNTFLYVTNNNSNYQIVDIGASSNQESPFPTNGLIISDERNC >A06g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14289251:14289972:-1 gene:A06g504660.1_BraROA transcript:A06g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEMSDSIDVCFTVFHSGNFELESTVTSYKGGEVLQLVCSPQMLFANMSDALEKSLFGQRVWYKLPSENFGELKMMCNGDVIFGNADSGTGDRYNGDAEMLDEDARVEKNLEGFVDEEENYNDE >A08p043360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24166289:24167758:1 gene:A08p043360.1_BraROA transcript:A08p043360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein-like protein ECHIDNA [Source:Projected from Arabidopsis thaliana (AT1G09330) UniProtKB/Swiss-Prot;Acc:Q8LEK2] MDPNQAPVENYANPKTCLFHVLFKGAALAFYILSALFFNSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLARMNKKDSWLFWWTLYLAAAAWLVLGIFSLIRFQADYLLVVGVCLSLNVANIIGFTKCKKDAKKQFQQFASQTIASRFQSTVQSAFTLV >A03p021780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8969718:8972301:1 gene:A03p021780.1_BraROA transcript:A03p021780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASWPEPIVSVQALSQAGVSTVPNRYVKPTHQRPVYKSDQSGLDMEIPVLDMSDMWRNPEGLKRVRKACEEWGFFQVVNHGVDHGLMERVRGAWREFFDLPVEMKRKYANSPDTYEGYGSRIGVVKDAKLDWSDYFFLNYLPSSIRNLSMWPSQPPKIRELIEEYGEEVKKLCERLVETLSESLGLEPNHLMKAFGGEDKVGASLRANFYPKCPQPHLTLGLSSHSDPGGITIVLSDENVAGLQVRRGDCWVTVKSVPNALIVNIGDQVQILSNGIYKSVEHQVIVNSGMDRVSLALFYNPRSDIPIGPVEELLTDNRPALYKPIRFDEYRLMIRQKGPSGKNQVDSLLAST >A05g503650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10539150:10539395:-1 gene:A05g503650.1_BraROA transcript:A05g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLNPLSVISQEQRDGAVRRALSAISNCGSALIDLYRNLCQIQVVSSSPWSPWSGTELWLLKSSSFWSQMKEKVSQEQQW >A03p066640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29439797:29440864:1 gene:A03p066640.1_BraROA transcript:A03p066640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLLTTSTSTCLTGAVLMSWPLRWDTLFISGMLPLAPLLSLSLLMRRRDQSRVSTGRLMVVMLLLDSTTLKSSCGILDPTVKTLKGCHQSRVGSLAWNNHILTTGGMDGQIVNNDVRIRSHIVETYRGHTQEVCGLKWSGSGQQLASGGNDNVVHIWDRSVASSNSTTQWLHRLEEHTSAVKALAWCPFQANLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCSLLWSKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVASAAGDETLRFWNVFGVPETAKKAAPKATHEPFSHVNHIC >A03p007010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2923326:2925399:1 gene:A03p007010.1_BraROA transcript:A03p007010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFLTCQRATPSLSSDSPVSSSSATTVSSPPPTKAKSQTFPSPSSPPHKTTPSSQTSSPTSSSTKIISLPFPDNISGIPPGVETTDKLPSMSLFVPFTRATKSLQPLFEETLKNLPHVSFIVADGFLWWTLDSAAKLGIPSLAFYGMNNYASALFSSVYVHQLFTKPEIIKSETEPVTVPDFPWISVKKCEFSPIFTHPDRSGPEFELFIDQIVSTGKSRGVIVNSVYELESAFVDFRLCDSDGPKPWCIGPLCLVNPDKPESDKPSWIHWLDRKQEEKCPVLYLAFGTQTEISKEQLKEIALGLEDSKVNFLWVTRVDVEELTGELGFEKRVKDHGVIVRDWVDQWEILSHESVKGFLSHCGWNSVQESICTGVPLLAWPMMAEQPLNAKLVVEELKVGVRIETVDGSVEGLVTREELSRKVKELMDGDTGKTVKEYAEMAKEALAQGTGSSWKNLNSLLEELCVSRETNDVNKLSSDN >A03p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20460452:20466073:1 gene:A03p051530.1_BraROA transcript:A03p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLQRGASSRGEESSVRGIDLMRVDQCEEIDALSVPPPTVGGTAYHPSCINRDEAFFRTTAKWNCGWHICSKCQKSSSYLCYTCTFSVCKRCVADADYVFVRGNMGLCGTCIKPIMLIENIGQGDVQAVKVDFDDKLSWEYLFKVYWLCLKEELSLTVDELMRAYNPWKEVPYTVPKVELRNDHTRNNVYSSGSSALDAAENGIKRRKTSDSPTLPSKLDAKNPSNTPKKRPGDTNWATKELLEFLSFMRNGDTSVISQFDVQGLLLDYINKKNLRDPHQESQVICDLMLVKLFGKQRVGHIEMLKLLESHFLIQEKPKDEKTTNGETTHAVPSQVVEDIGHDPPVRDRRRKMHRKTVGRVQNESLDAYAAIDVHNINLIYLRRKFLETLLDDINKVHEKVVGTILRIKVSGSDQKLDIHRLVQVVGTSKAAATYQLGAKTTDVMLEILNLEKREVISIDQLSDQNVTEDECKRLRQSIKCGLNKRLTVGDILKTATTLQAMRINEALEAEILKVKHLRDRAKKLGILESPEHRQRLLQEVPEVHTDPSMDPSHPSAEDSVLGTRKQDNHIKAPSKGPKKKGNTLNNLGNNAQTKYDAPVLRSRKAVNVAKKDDCSKVHNNSSDIQESGKDDEESEIWHYRDPTGKTQGPFSMGQLRRWKSSGHFPPYLRIWKAHENQDESVLLTEALAGRFDKATTSPSSSLLPQELKLSQHDSGRTGVDGDCLQKNLTPVSNSAASSSSSTVSALPNDPKEKQVATLLPCSGKVKDGNSIRSQPQVSCSASMSVVPGHVVSPDAGATSGADGKTVEEGTNGGSVHAPNLNQEIHVPDIPSPTPKSSSEDLEAQAAETIQSLSSCVLVKGTSGVTWSTTTTTTTDAVTTTSSVMVTGGQLPQVTHQNAVVSAAPSVKPIDLAADHATATQTSDNTQVAHSSGWPAIVADPDECDESVSDLLAEVEAMEQNGLPSSPTSTFHYDDDDLTKGPEKDFFNPVARMSLTPETCRMNTSQPNILDNVSMGKSSMGTESKDNTLFNHCRTSGPELLLFAPPVPASISQDLTLTTTALRLGSDTAVEAGSVQRLPKSVSGVSLEPSPWSTSLHDSPRGNTERSPRGNTERSPRGNTERSPRGNGSHQRRSGGHSRDRQWWNNGHNTSVNNSHNNRQWPNSTRHGYDHGSGSYTAHPPKGLKICKFYESGNCKKGASCSFWHP >A04p008940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7952077:7952361:-1 gene:A04p008940.1_BraROA transcript:A04p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPKSDAPLITLNDLAKADGFVFCFPTRFGMMAAQFKVFLDKIGGLWRILQLAGKPAGIFYSTGAQRAGQEPHQHLLRFLRFGVFTCVVIDF >A09p054370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46875809:46878290:-1 gene:A09p054370.1_BraROA transcript:A09p054370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMNGSLNLPSIYTHRQSTFSSAAPRTTSLRISAVQTDPKPPSSSVTTPATATKTVGVNVGKSKWSPESWKTKTALQQPKYPDLAELEAVLETIEAFPPIVFAGEARLLEERLGQAAMGEAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSDSFEERNGVKLPSYRGDNINGDAFDSKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEKSEQGDRQLDGAHVEFLRGVANPLGIKVSDKMDPKELAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELSFIIAERLRKRRIKSQQAFSI >A07p012300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8232163:8233920:-1 gene:A07p012300.1_BraROA transcript:A07p012300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G24220) UniProtKB/Swiss-Prot;Acc:Q9LRM7] MQHSLRSNLLPPETSSRFHLRPQIKHANITKSQLLINNPFKKTTKPPDFPPLLTSPATSPPSPVKLKPTHHHLNPLQKLAASMLDKIESSLVVPMEQNHPLPKPTDPSIQLSGNFAPVHECPVQNGLEVIGNIPPCLRGVYIRNGANPMFPPLGGHHLFDGDGMIHAVSIGSDNRVSYSCRYTKTNRLVQEAELGRSVFPKPIGELHGHSGLARLALFAARAEIGLVDATRGMGVANAGVVYFNGRLLAMSEDDLPYHVKINGHGDLETIGRFQFDEQIDCPVIAHPKVDPTTGDLHTLSYNVLKKPHLKYLKFDTCGKKTRDLDITLEQPTMIHDFAITENFVVIPDQQMVFKLSEMIRGGSPVIYDKEKMSRFGVLSKQDPTGSSVNWVDVPDCFCFHLWNAWEEIAEDGDPVIVVIGSCMNPPDTIFSESGEPTRIELTEIRLNLRTKESNRKVIVTGMNLEAGQVNRNFLGRKTRFVYLAVADPWPRCSGIAKVDLENGTVIQFKYGPGRFGGEPYFVPEGEGEDEGYVMGFVRDEERDESEFVVVDASEMKQVAAVRLPERVPYGFHGTFVSENQLKEQV >A02p051090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31443686:31446492:1 gene:A02p051090.1_BraROA transcript:A02p051090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFEALTFNIHGGYLEAIVRGHRAGLLTTADYNNLCQCENLDDIKMHLSATKYGPYLQNEPSPLHTTTIVEKCTLKLVDDYKHMLCQATEPMSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELIEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECLTSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATSEIMSDLLAFEADRRAVNITINSIGTELTREDRKKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQAIFSKMSYGESQMLDKAFYEEEVRRLCLAFEQQFHYGVFFAYMRLREQEIRNLMWISECVAQNQKSRIHDSVVYMF >A05p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7271461:7276909:-1 gene:A05p016350.1_BraROA transcript:A05p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) UniProtKB/TrEMBL;Acc:F4IQS9] MVNKPWKIIPRPLLETVLNNHVQRHRVPQPLILHGPRGVGKTTLILNRLLGDWNKGPHIAGYVDFAQSITEHHPDHQQSYPWTSWTSVDPPLLSNCKTHLENCLESMTHKAIKLGTLSSQQIFTTMNKWHGLNTALRRVLQGCKVPVPEKASVSFLWERAVCALSVRRNADEIDLLVGLDEEGGGGLSVEEASYYRETAFALRLAKEVIKMQQGWRGNAIAHMNRTNGFSKTLANSCTDWPLLMIELLSQAAEIGFFQPKLVLNNIEILKSAIQTDDSTVSASMYHDNLIWRIIALGANERCLPVLFVTSDSYYSYQAFVDFGFPDIFISRETFGWTPQEAKLHVVPDYFSASEWTIIADVLGANSRHLFELYALKQSNHYQSLMGNKAGTFEDIVDAYLAYLQVVVVNPAMEKALVRLQRYAADVRRGSIPDEKLRFGAAWRHPPRSEDPTLCSEWAKIQLMDFVQALVNTELAVNYLGDYSLEIFEDPSAMALVEVGILYTQRDPSFFRPISQGIKRCLAHPRANEDELLELNQILVAENYPGSLLQAFDAWLQNIGSFTQSSQGLTFSNIVIKKRCRREKPPATNGIDLLVGLDEKGGGSLSVEEASYCREMTFALRLAKEVIKMQQSWRGNAIAHMNRTSGFSKTLANSCTDWPLLMTELLSQAAEIGFFQPKLVLINIEILKSVRCDEDDESRD >A04p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22996031:22998252:1 gene:A04p040720.1_BraROA transcript:A04p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator-like APRR9 [Source:Projected from Arabidopsis thaliana (AT2G46790) UniProtKB/Swiss-Prot;Acc:Q8L500] MGEVVVLSSDEGTMETMMSRGKSSEVVRWEKYLPTTVLRVLLVESDDSTRQIITALLQKCSYKVVAVSDGLAAWEILKEKTHNIDLILTELDLPAISGFALLALVMEHEACKQIPVIMMSSQDSMTMVLKCMLRGAADYLIKPMRKNELKNLWQHVWRRLTLRGDLTANGPSLPASQQNVEENDETCADSRYHSDHGSGSQAVSDNGEDKLMADVKPVVETFDVTMDLIGGIDKGSECYYGDNAREQHVGPELGLSLKRSCSERSLEKNQDESKHQKISLSDASAFSRYENGKAGGEKAVVVAVEASSSAEPKTPSESHEKLLRCDHGSATTSSNHENIGSSSVSGQNQFLQSGTTKQKQESLFPVESNRLKASKEVEVGCQSTNEGTVTAGGQSRSSSTREKAKEEEEEGEGGVTAQQRKSEREAALMKFRMKKKDRCFGKKVRYESRKKLAEQRPRVKGQFVRAVNSDASTTK >A01p023290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11491574:11494146:-1 gene:A01p023290.1_BraROA transcript:A01p023290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPGQGRGPDPGVAGGSSNSDPFPAGLRVLVVDDDPTCLMILERMLRTCLYRVTKCNRAEIALSLLRKNKNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKAVVLKGVTHGAVDYLIKPVRIEALKNIWQHVVRKKRNEWNVSEHSGSVEETGQREDGDNNSSSANNEGSWRGSRKRKEEEVDEQGGDDKEDTSSLKKPRVVWSVELHQQFVAAVNQLGVDKAVPKKILEMMNVPGLTRENVASHLQKYRIYLRRLGGVSQHQGNMNHSFMTGQDPSFGPLSTLNGFDLQALAAAGQLPAQSLAHLQAAGLARPPSLSKPGMSVDQRSIFSFENPKIRHGQMMNSGGGGNKQMNLLHGVPMGMEPRQFTGGGQMRVQQQQQQLSGGRAVGQNVQSSGMMMPVGGGPSMLQQQQQVMLSSSVPRRSETSSSSRVLPAAATTQSVVFNNFSSELPRNSFPLASAPGISVSYQEEVNSSDAKGGAGFGNPSYDIFNDYPQQHNNNNDWDLQNIGMVFNSHQDTTTASAAFSSSSSTQRQRAEHVQNHHQQQQLPSQSLNHMNGGGSVRVKSERVAETVTCPPATTLFQEQYNQEDLMSALLKQEGLPLVDNEFDFDGYSFDNIPV >A07p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6328745:6330373:1 gene:A07p010140.1_BraROA transcript:A07p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEDRIGGDESDLESLTSASVRDAHRFRAQYSGMVRQRAYIFDGDGKYYNKEWDLKEGTGKEFCWYHVELPKGNQKLSHSAQHLIDALCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPASSSFTFKIAARITENSVITVSLGRVPRLGFSPMGQSLLSEVPSVDSPSYYRGEHKERSGIVIEEHVLEFLLTMNHSEEADNPVPTSVSNLVVHIIDTHVDQLQDVVTKLEIELDAVELEMDRGGFAMKKQMLDDRRFPKLHLNLQRLLQVIAHGEQVFPRVKEKCSTKHWFLAEDINSLEELIGRLRRLKENVGFIANRVNAIQAGLDSWQAEQINRKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTGQSNPELADGFRNVMYICLIMLVVVLSCFGFPALYSRIASWWRMRAMNRSWSLNRRSFQKRPNIVQERRGYLRL >A06p047430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25192986:25200959:1 gene:A06p047430.1_BraROA transcript:A06p047430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPTRLLQLHEKERSKLAVGIISLIETAAPSLILFIFPLSPLSLTSNILLMATCIRKPSPNPEPPQLQTPRARSPLSENLSPSTTTFPRSPLSFISPHTLSPLKLSSPKLVTPTSLRTDDDDEDEDMSISSGSDALGGVNELLSDYDLDDDEVVRRYYDEEEVFGPTKPTSKLNRGVLKDMNLRIEVPFANRRVTDGESRLRRFAMANSTPGSYLRDERPRTLSSKGSVYWDSNEDIGTPSAPPIMDIGEDDNIVELEKEIEQIEDEICREAGVESHHQQVNIGGLAGDTVSHLYPECSESVRETQSEEAAQIEDISSDELNCHSISGQYAWQSLLAYDACVRLCLYAWSRGSSEAPEFLRDECRLLRGAFGLHKFLLQPRGVRSTEESKNVKVEQKTPLKSKNVVRKLRVEVRRLRLIPQRKLRGIDSLRSLMSTPMGAEYCRQVSSLVKTGMSSIKTATLSAVSEEQFSCYLQMKSTAEGDQVEQGSSVCLQSGTGSYHVFFPEPEGDALLIEVHDKKKSVQGKVSIPMASLTDNPNENVRWWPIYHGEQDCVGKIQLFLGSTTSSDEDCHIKSAPVVETLAYDLLLEAATRAQRFHAQNLRLNGSWKWLLSEFAEYYGVSDSYTKLRYLSHVMNVATPTKTCLQLVHELLVPILSARSDKSLTRQEKSILMDCEIEMEKLLANVFENYKSLDESCPSGLAHISRPVQESASTALAPAVQIFCLLHDILSPEGQEILKNYLKTAAKKRCRKHMAETDEYVSSNSEGFLLDSVTISTAYHKMKNLCLNISNEIEADIKITNEHVLPSSIDLSNIAAAVYSTLLCNRIRAFLSAVPPSCPQPHVNELLIAVSDFERSLDSWGISPVQGGIDSRGLFHNYIMVWIHDMELRLLDRCKAEKVPWSGVITNHSTSPFAEDMYERIKDSLMEYEVVISRWPQYTLILENTASIVERAIVKSLEKQYSDTLTPLKDSIPKMLNMHVQKLTRRQSSALYSVPTQLGTFVNTIKRILDVLHQRVEDILRQWASCLPVVEDKKSLFGEQMNVITVLLRTKYRNYMQAAVDKLVSNTQSNKTTRLKRILEEIRENEREVEVRERMRMLCSQITDSISNMHDVFTSQIFVASCRLFWDRMAQVVLKFLEGRKENEVGYKGSYYALGIVEDTFASEMQRLQGNSLQEKDMEAPRSVIEARSILSRDTTNHSSYFYVYQSRLSLRNSARFAVSDRGALVLGKLDMRSKSFAVRSRPHRAPHNSLDPYPSPAPIPVTLILPSFRIAVRGYNQKRGSFGEANQRFASGEGPTHCVSGGAERVPDTFDERDVPSKKRQCPGTSETTRGGGGESYLEACIVCDISDEGVSRCPGAGCILWFHGECLNPNVGSGEDLAKTYCPYCWFRVLMMKDKSVREKCVVAEKEVSKCLSKDHSVDGTDVVRDQELGGEKDVCSSEKEQLQVEKDSDRSREEEMPLTEETGYQHQEDTEKFQDAEEDKDDEETTKDQTTGGAGGKGYVSGEEQDQSQQNEKPRRRRRQLILTCSEISSDESTNERHGEGVTEQITGEMKNQQRKHSATTQVAKSKTVRDMSSLKTDQRKRLFWTPEEEEMLKVGVEKFSAEAKKNMPWRKILEMGAEVFHETRTPADLKDKWRNRMGVRSAKKAN >A06p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6768715:6769536:-1 gene:A06p015230.1_BraROA transcript:A06p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLEPEIVTAALEKPEGDEEAPVDVRDLKMDEKRRLSEELQDLPYDKLETVVQIVKKSNPELSQQDDEIELDIDSLDIQTLWELYSFVTGYKENLSNKKEEDQGFGSERDAESAHNIIQEPATGTERSRVTESGKAIRMSSSPVRQENKAGGSSSSNSSSSDSGSSSSDSDSDSSSGRGSDTGN >A07p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16409511:16421486:1 gene:A07p029230.1_BraROA transcript:A07p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNETKLKRLYQVWRGSNKFLCGGRLIFGPDASSLYLSTILILGPSVMFFVKMYLKMADPLTKNRNLCVPVLSVAWILTLLDIFFLFMTSGRDPGIVPRSLRPPESDDVPDSTTPSMEWVSGRTPNIRLPRVKDVTVNGHTVKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGVRNYRFFFMFISTSTTLCIYVFVFSWLNLFQRHMDEKISIWKAISKDVLSDILIVYCFITVWFVGGLTIFHSYLICTNQTTYENFRYRYDKKENPYNKGVLGNIREIFLSKITPSMNKFRSFVKEEDYMMVETPTSNLGESLVSSKEKIDIEMGGGGRIVDEGGKTYSLPEILRNLNYEDLDDDCEEDDLKTKDHHHHLHHHHQNEEIIPPFDPFFTNDNGVNKDEKNGQESRGSSSDNGDSGKHVGVSTDDEEKAEGYEQKWSMDSVMNINAGSEDGASSPQSTSPMLRLEAREVENPHLHPLAPCSLSTASATLSMAAEMALVKPISKFASPRLSNPSKYLSGQRFSTVIRMSATSSPPPPATATAKSKKGTKKEIQESLLTPRFYTTDFEEMEQLFNTEINKNLNEEEFIALLQEFKTDYNQTHFVRNKEFKEAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKQNPEFQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWQAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVIYEKLMAVGKTDDPSFVKNLKKVPLIAGLVSEILAAYLMPPVESGSVDFAEFEPNLVY >A02p060230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35957833:35958378:-1 gene:A02p060230.1_BraROA transcript:A02p060230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVFKTIRPLSSTTVSFLSPRCRTGNNVTGLSFATASDQQKMDKKPENPNEKTGDVIMSHSFGEGYATRSDEEGFGGTYGGNQTFQKDNNDKVHENPPDYDTTQGSEAKEEEKVRNQT >A02g512720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34796725:34798545:1 gene:A02g512720.1_BraROA transcript:A02g512720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFAPPLRFLKDYTLPSITAHYVDFLRSSRKSESRPLLKHLRVESYYRRGNQLLLEGSKRDSEDDSDDDDDDRFNAFPQSPGLGICNCFSVLLFERKAAANEELNAKEEELPKRNPLLDNTPTVFSVKRRLASFEEVLEIQGKRGKTVIEMLSQFIHMHLVSLIGHCSENNKRTIVHDLDHPRLSWRQKLEICLGAARGLHYIHTYSTRGIIHRDMKYDNILFDENFMDKVSEFGLFKTSRS >A10p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1588983:1592490:-1 gene:A10p003100.1_BraROA transcript:A10p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGGNTTAHMNTLHQRLYHALNLGFRRVSDEKEKKWECTDIEIQRHVVRSISAFLDCFSRATANHRLIKDSISDIARALVFILGYRNRAVVGLAANVLIKLLRIVPPSLLQSYSLDLVESLSSSVQQMEASLPCAVALNAVLVNVRETKEKEVWKVLEEGKAVVSVVANLQSFSEGNVSVEWFQEMASLLSSVMLKWPQSRFSVWNDPDLMGLLESVSQKPDMDLRFAALKLYSSLALCGHGANELLDNGKAMLDMMISCMDKSSPPNARIEGFKLAQRLATGNRECLKMINICSEPLVKVIVWTIRSGKLENDRIEACKLALITRWEGKHHIYFWKYRISEALLSLVVENFCSESSLDGYASLEEEISVAEKILGTNFLPSLRSYVWDIIGFLAAHCEEESDSTSRGDELCLNFLVTCACLTFSRSVHKGYQICQTDTISASQSESASRAVLMMIYSPSKYISSRARDTLSFIIGEDGEQNLYSLVNFLSYIPSSGGYVLPNILQTTVCLVGLACYSSIPQYASFTLRNQGLEILLSFCSWYQRNRENIGPSSFAPYPQSSAEKRICCWECTEDWDNKDAILLYALLALAELVNHSFSEQNHAEEVLNKRESVKDRLCTTLQEIRDGTYGSGPRWYAAYILSYLGYYGFQDKLGKRLMGAYEDEECSDMRLLFANGNSASVNKVVLAVRCPTLLPPKEGVPTEKSQRTVQEIRMSANVDTLALVKLLEYAYSGYVEVESTTLKKLKTLARHCKTKILLQMLCRGRPKWGSSIPRIDLPLALTPKLIHFSDVILLPKETNMTSFNCRLCSSTSPHAHSHRVILSSGCEYLRALFRSGMQESHLDRLNVPVSWLGLTKLVSWFYCDELLKPPSGCRWSNMDTDTKLQELQAYVEIYSLTEWWIMEDLQNDCAKVILSCLESARELSIKTIELAASFSMWKLVEAAAEHAAPIYHQLRDSGELDELDDELVNLIRTAAVQFSQQGG >A08p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22424764:22425635:-1 gene:A08p038830.1_BraROA transcript:A08p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSPLEAEACSLLMAVQEVWKLRYKYVAFMTDCKQLRDELHQQMAEQTIFNVPNTEASSMIRVIVAMAMKFSFTFHCVPRTLTRNVDVMAKEARQGQKTYASRSPHCRPTCTSYKILFIFCFATFNITTIWLRSDCKGLIQAITTNHRSVELFGVLADIESIISTAFLSFHASFLPRSQNGPADSFAKTSVLGLGPH >A02p007320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3077453:3078337:-1 gene:A02p007320.1_BraROA transcript:A02p007320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRMERLVVLPFSVGCISDSSVAVLSPLSKPHHHHHSPQGIRDQEEEENMKNVFKFLAVSKPDISTGINRLFKSFKTISQHFAYKEEEEESEDGGMEIGVPTNVKHVSHIGWESGLTAVAGPGKGWEDLIPPELLAAAAAATKQDVVNPLLHHTL >A02p051580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31611911:31615367:-1 gene:A02p051580.1_BraROA transcript:A02p051580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGLWFHLISLFFFTGSCFHLILKKLTFSRGTEKLHAKVGRYKSPDEHPFFPDDLPEPMLPPLQYPKVLHCAADSININNKIWNMYFRDLVPRLVKKGDDGNYGSTAVCDAICLQSLSKRIHYGKFVAEAKFQASPEAYDLPSKHKYCPLLKDALMDMLTFLTVEEAIKKRVEIKTRAYGQEVKVGMEEKEEEESQVYKISPVLVGHFYNVQKISFTEIRPEKHGKTSWPELMGTKGDYAASVIERENPEVTAAVIVVQTPVPFEYNISHQVQPGLGLG >A01p046650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26259390:26261793:-1 gene:A01p046650.1_BraROA transcript:A01p046650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB3.1 [Source:Projected from Arabidopsis thaliana (AT3G19590) UniProtKB/TrEMBL;Acc:A0A178VA46] MSQPPPSAGRELANPPSDGISNLRFSNTSDHLLVSSWDKRVRLYDVSTNSLKGEFLHGGAVLDCCFHDDSSGFSVGSDNKVRRIVFNVGKEDVLGMHEKPVRCVEYSYAAGQVITGSWDKTVKCWDPRGASGPERTQVGTYLQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQRRESSLKYQTRCVRSYPNGTGYALSSVEGRVAMEFFDLSEAAQAKNYGTFATGGCDGFVNIWDGNNKKRLYQYSKYPSSIAALSFSRDGQLLAVASSYTFEEGEKSHEPEAIFVRNVNEIEVKPKPKAYPNPAA >A03g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13310432:13313110:-1 gene:A03g503800.1_BraROA transcript:A03g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSQAPISGDVTTTKPNGKDVVSSAEPVKRVGQTGVFLARSASGVSKSKKPVGRAVISSTDTANRAGHPGDPLATAISGDSKSKKSSGKAVASSAEPVKGTRQHGVSPAIAVSGDPKSKILNGRSVVSSSAEVLFFRDVKYGPQEGELRFRLIHFWEARNALTKVLFGLEMILIDGQGTVIQGFIPPNRIETYLPHMFAGSVYRLNNFYGSKSKTVYRVAEPLVTIAFSWNSVLSPLENSPVPFPEDRFRFYGYEEFEAACDLKGDLYDYVGHMKLVNEQTLSDSLVLDEVEIASSRRILVHVQTHDGPVMKLYIWDKVAVDFCEKFKALGKPPTVILVTTVNPKRFGGALTLSSLSSSRVFFDMDVQATREYLAWFESNTEVANRVYAEIVTKAETATIGELLSYMMQEEAKVTWFECTATIDDVVRDSAWYYIACGGCKTKATKGPTTLMCKKCEKTEIVGTPEYLTKISVYDNNDHASFVLLGDAGRELTGMKASDLVESYFEANENVGDDHVVPVPQSLTDSIGQSRTFIIKVSKHNLDGKTQTLTVTKVLPLEVPALEGDIDEGVEEEPVDEKDGAADGNVKRISDGIESGETKRAKCD >A02g509670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25807905:25810807:-1 gene:A02g509670.1_BraROA transcript:A02g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGNRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWREIHKNGKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A08p031550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19234862:19249872:1 gene:A08p031550.1_BraROA transcript:A08p031550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGQEKKRIEAGATFDRICAKYIFAKREEIRSLGIHHDHDHSDGECEDFLTYFMKLDTSKYEHLKTSDDKFLRDSIVSLIVAMRDTTSAALTWFFALLLENPNVETKIRHEINTNLPKTATSQERPWSAIDHKEFLNTLVYLHAALYEAMRLYPPIPLERKTSVNSDVLPSGHKIDANSTIIYPIYALGRMRSVWGEDALEFKPERWISETGGLRHEPSSKFLVFNSGPRSCSGKHLAMTAMKIIVVEILQNFDIKLVKGHTIEPKPPSISLFCFLVFYYFLINKHYGNLVIKKYLQSCPWNWPVLGMLPAVLMRFNRIDDAIYIIEKTNLTFLFKGPWFSRMDALITVDPANIHHILTSNFSNYIKGPEFKEIFDVILHQQGFQNLSLSVTTSKLKDVLLPLFSHYSEEGTVVDLQDVFRRFMFDISLISITGSDPQSLSIEMPEVEFAKAFDNAGEAILFRHVIPRFLWKFQRWMGLGQEKMLLEAGATFDRICAKYIDAKREEIRSQGIDHDHDHSNGESEDVLTSYIKLDTSKYELLDPSNDKFIGETLLSFIFAGRDTTATAITWFFGLLTKNPNVEAKIRQEIITNLPETSQERSWSASDHKEYLNKLVYLHASLYEAMRLYPPIPFERKSPIKSDVLPSGHKIDANSNIIIPIYALGRMRSVWGEDAWEFKPERWISETGGLRHEPSSKFLAFNSGPRTCPGKHLAMVTMKTVVVEILQNYDINLVKGYKIEPKPRLALQMNHGLRLPLEVLADSWMFPGLLVRIHRINDTVEVLENFNKTFPLVHGLLERMYYSQSIRLTRWVSDTGELRLEPSHKFFSFDAGPRNCLGKHTAMIHLKIIVVEILQYYDIEKTFGYLHIKKTLQSYPWNWPFYGMTPGLLVRIHRINDSVEVLENSNMTFPFKGPWFAGKDVLITVNPANIQHIVSSNFSNYIKGAEFQEIFEVYGDGIINSDAERASKLKKCYQALLHHQGFQKDSMSVTTRKLKDVLLPLFNHFSEAGTVVDLQDVFRRFTFDTTLVTITGSDPRSLSIDMHENEFVKALDDVAEAIVYRHFTLRFMRNLQKWIGFGPEKKMVEADAIIDRVCAKYISAKRDEIQQGTSSHHEDVLTFFIKLDTTKYELLNPIDDKFLRDVFVGFIVAGRDTMASALTWFFWLLSENPQVTTIIREEINKNLPRTGCDQENLDKLVYLHATLYETMRLYPPAPFQRKTSIKPDMLPSGHKVDANSTVIFFLYAMGRMRAIWGEDAWEFKPERWVSETGVKDNKEYLQYILLFGGLRHEPSYKFFSFNTGPRTCLGNGKHTAMIHLKIIVVEILRNYDIEVVKGHKIEPLPGFILHMKHGLKVTFKKRCST >A08p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22947776:22949222:1 gene:A08p040150.1_BraROA transcript:A08p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKLKPLLTSDGGEIAETPPREKKHKKKNKKRAEPDPDLPSTRDYGVDEDRDGVLVDDAHNEPTMGDKFKSLNLLGGEKVITDKPPTAASVNVLLRQALHADDRSLLLDCLYNRDEQVIANSVAKLNSAEVLKLLNSLLPILQSRGAVLACAIPWIKSLLLTHSSVIMSQESSLLALNSMYQLIESRITTLHTAVQVSSELDLIVDDLDEEEEEDEGPVIYEDKDSDEEEGGAGAEEAMETDEEGDESEDEAADGVNSFEDFDDMSD >A09p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18714441:18722411:1 gene:A09p030680.1_BraROA transcript:A09p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSKSLPASDLVTEREPAGTILGKPLVEFKKLYKLREELGKGGFATTYMCQEISTGRSFACKSIPKRNLTSQEAVKTEIEIMENLSGVSNIVQFHASYEDKNFVHIVMELCRGGELFDRIDALVKSHRYYTEKDAAVIFKSIVNAVQICHSMNVLHRDVKPENFLFSSDDEESSKLKAIDFGCSVYIKEGVELKEKVGSLYYIAPEVLREESYGKEIDIWSAGVILYILLSGSPPFGNDDEIKKGIIDFDSQPWPCISVGAKDLIKRMLNKNQKERISAENVLEHPWILSEAPDKPIDGVVLSRLKQFRAMNKLKKLALKVIAEGLSEEEIRSLKTMFESMDTDESGSITYEELKTGLNRLGSKLPEAEVKQLMEAADVDGNGTIDYTEFITATMHRHRLERDEHLHKAFLHFDKDNSGYITKDELEIAMKEHGMGDEACAKEIISEVDKDNDERINYEEFCAMMRSAVLSNRRKRVKPGKPRLITRDELEIAMKDCGVGDKDSIKQMTSEVDANNIS >A05p053710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31058866:31060548:1 gene:A05p053710.1_BraROA transcript:A05p053710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLGSAFRQELANLDKDPDTNKTAMSNLRTIVKDLNPKVIHVFLAQVSETKEIGSESGGYTVSLFEDLARSHGVKLAPHVDTIMPVIIRTLSSCEGSLGVQQACSKAVAAMARYGVDPATPEDKKRNVIHSLCKPLSESLLDTQHQQHLALGSALCLKSLVDCDSWRFASSEVVNSVCQSLAVALEATSSEAKAHMALVIALAKHNPFIVEAYARLLVKSGLRILELGVVEGDSQKRLLAVQMLNFLMKYLNPKSICSELELIYKEMEKHLKDEMYFVKVAAYETMSTAERLIGESAEPKFNAESIKSTPRLRAHSGSYVNEQEEEDVLFNGVASGRTVVSGSPLVYYADYNRETGSVVQSPRDGSQHGFAQDPFTELVENRQQMQRYSESYSSSSIYDTSGTTTGTPTEDICEKPKSDLDSEAKVMTGETETVSRKARSKCVLRWGLSFFSVAVAGFAMWVHLNDDMMMPPHLVPT >A09p003700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2151172:2152146:1 gene:A09p003700.1_BraROA transcript:A09p003700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQSPLKPLSSLFLLLLTLLTTTVESRLTTDFYSKSCPRFLDIVRDTISDKQITHPTTAAAVIRLFFHDCFPNGCDASILVSSTAFNVAERDSSINLSLPGDGFDVIVRAKTALELACPQTVSCSDIISVATRDLLVTVGGPYYPVHLGRRDSRTSKASLLADLLPLPSSPMAKTIRQFESRGFTVQEMVALSGAHSIGFSHCKEFVGLVGRNSTGYNPRFAQALKKACSSYPKDPTISVFNDIMTPNKFDNMYFQNIPKGLGLLESDHGLYSDPRTRPFVDLYARDQDRFFKDFAKAMQKLSLYGVKTGRRGEIRRRCDAIN >SC268g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000116.1:2854:4043:-1 gene:SC268g500010.1_BraROA transcript:SC268g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHGSVHGQSVCANGQARTSCVQPTWAKITRTVHRKGQHADMCTDGRSRTSCVVLTGLLFVLGRPVCTDEPTDHTRSSQRSGPCVCLTDVLCVLTDVLCVLTDVLRVLTDTRTHTDSHRRPACADGRPACADGRPVCADGRPVCTDVHTDTHGQPLTSCVPREPKSPEQSRSVLICVTEGQPEDAVCADGTATVPSYRATWAKIHPTDAKITREAKNAQNYSFEESLDSDKKQSKICHKEFRMSSGDQKLGVDIRLDHETPTFVACKRHENSLNHPYEACKKSDSNSKGRHSLEPPTPQYPNGS >A02p048460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30146026:30146476:1 gene:A02p048460.1_BraROA transcript:A02p048460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDSQAIFHLLHHNGQLLLHCSSPVVPSLESQECPLWCEIMGVDTLLLDSQVSSLTLPIVYKQIYFTGLML >A08p045350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24886014:24887593:-1 gene:A08p045350.1_BraROA transcript:A08p045350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIESEQKSMDDDDKLCGECKLNPWKYKCPGCSIRSCALPCVKAHKKRTGCTGKRKLTDFVPLSKFDDNLLLSDYNLLEETKRVAESALRRRHQLCKNPHFRLRLPSYLRGLQVAAGSRGTKLWFLPGGMLKRDKNQSRYDNRRKCIHWTVEWRFHSTDVVLVDHGVGEDTSLCSVIENHLKPGPWIHKLKPFCDVDLDSLKLFIRTYPKGAKVPFKELDIKASLRQQLARVTILEYPVIHVYLPSHSYDFEVIRDFDCEKTTPEPKYYSQAEGAITREEEIEEEEGNIDSFEPEVLDLMKQINSDPRQQVSEVSKAEGGDAKNAHPDDNMELEFEQGLIDTYADLFPELNPGDYFNFECEFAKGFDSDDDCNLQSLAATDLDIGGLEEGEIVE >A10g501540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4351608:4352297:-1 gene:A10g501540.1_BraROA transcript:A10g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATKNIRDFGSARIAFPGFNEVGREDHFYRSINLIYLNDWVDEVSAVRTFRLKCYRSGNPEAIYLRGMYEFFILHLVDEGREKIHLAGERGCELAQFVDGILNLAFSVDHRGIVHNYPAFTRQHVYKMFQIICSWQLSGHWDYDKPDMFLSVAERIDPNVPCDCWCSHIDPPEFEVSLDGSRSLWKCDRCFWNCAAWDFCYQIHLTARTWPIED >A09p075330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56793517:56794370:-1 gene:A09p075330.1_BraROA transcript:A09p075330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSVGNVLIERFNGVPAEERLTWRSFLVKLGAENLKGVKNEELLVACHKSVYIVYTMLGDVSIFLVGKDEYDELALAEAIFIITGAVKDICGKPPTERVFLDKYGRICLCLDEIVWNGLLENTDKDRIKRLIRLKPPSEF >A09g515100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45086681:45088061:1 gene:A09g515100.1_BraROA transcript:A09g515100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINENKEFQSQVIFSPKLSLSQSKTVKNSSGHFRNLAWTWASSLLDPKCRMSNVSTSIDSISWCRSTALDAHRSIVLPLVDLYMVSSDEMSFKLQNAPNECFTLGLSIIGWKDFRQVSGTAGPVTKIGQASMNQNLMRALKIAASKSRFELFYWSLYESNLNGFSHQVLFRLILSVTFQTCLKNPIPCIPRPKTSGYVRFSVGNQLWLLHTVKASV >A10g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5551788:5553043:1 gene:A10g502100.1_BraROA transcript:A10g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A06p044630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23967805:23971006:-1 gene:A06p044630.1_BraROA transcript:A06p044630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFSTASAKGVDFEAKITPIVIISSIMAATGGLMFGYEVGVSGGVTAMPEFLKKFFPVVYGRVEVGADKNNYYCKYDNQGLQIFTSSLYLAGLTATFFASYTTRTLGRRPTMLIAGVFYIIGVVLNAAAQDLAMLITGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIKGEWGWRLSLGLAGIPALLLTVGALLVTETPNSLVERGRLNEGKAVLRQIRGTVNVEPEFADLLKASRLAKEVKHPFRNLLQRRNRPHLLIAIALQIFQQCTGINAIMFYAPVLFSTLGFGSDASLYSAVLTGAVNVPSTLVSIYFVDKVGRRVLLLEAGVQMFFSQLVIAIVLGIKVADHSNNLSKGFAVLVVVMICTYVAAFAWSWGPLGWLIPSEIFPLETRSAGQSVTVCVNLLFTFIIAQAFVLMLCHFKFGIFIFFCSWVLVMTLFVMFLLPETKNVPIEEMRESVWKKHWFWARFMVIKM >A08g502970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5827187:5827981:-1 gene:A08g502970.1_BraROA transcript:A08g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTVHFPVDSTPPRNLTDNFTVIMQMISDMNMEESRRNAIPWLLWIIWKNHYSILYAEIQTSVETLRSQALEEAKLWFSVNKRAPSAEPVTSTLRTSNSWQPPNFNLIKCTVNARWRNATAMIGAAWILRDHQGNVLFHSRDAFTPSGNRLSAELKCISWALHSIHDMGFRDVVLGIDSHDAHKSISNAITWPRYRHLLDKIKDVRSVFESVTFEQESTFSNSIARDIARSVTQDGRFHSYLALGGPAWLHNRIQEEAISNIC >A08p045520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24945463:24949473:1 gene:A08p045520.1_BraROA transcript:A08p045520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNAMKILEEIKSSDLISRSNELSLSSMYVQQQQLIENRVQLLTQLAQLDTQENSDVASFLQSLTTLWEDTTCLDVSQCLLNKAILHVASKYLALDLSDCSQYFLAFGIKVSQWCGKHLYMSVLSMEESQEEEHSSIFFQLLLDYLRFSASSFTAIGKICFVSDEASAVTVHKFVSEQLNLTKEVILNAKKVESFSLEIFKAVQGVIDSIVRLCKEFSPTVNQCVNEMKTNGNVGISTMEEGNDVRNLVSIITMGVKSMSELGMLAARDGGNLVTILNTSWKGVITLLQIDKQTLASKVDVGEIILKLISLIKESLRFSAVAWSCSVKENISATEARRVFLPVKFYLINAVKVAALFPNQVSMVFKEISLCILMISAFKVSLSQQTHGKYASEVMTDLLEKTTVDLLNALLNAGEITQELRLSLLDSLFIDEQCFPTQVCNKQGHGSQTEPSLVDILSLSVESAASARGLLLARVVLFQAVMRYSSELEEDAKLAITRKLQWLLDVLTDEKVYTSVLSSQLPMADGSGKTIVWESMFSALLLSLKTLMITLSSSPAWEELETLLLKNLLHPHFLCWQIVMELWCFWARHATDVTVANVIDKLCIFMLSMSTSEAPLCPDSVLRRTAKSICFLLTHSPKSLTAQVYKNISTESRSESAPDAYLALLLEGFPLNFLPDRMISDAKKQIVAEFFHFIENFTEKTSNSSRYFVQGGPVVALSACLGILKMSIPEIDSRTLKFGVALIQKLRKSKDEMTRDRYTEILSETLSIISRSEQLYTCQEMDNVITELQRLFITETDNSQHHLHKLKPSLALFLSGLSNYEMSETETCPKSRAVWELYHLLLRKRHWALVHHAVTAFGYFCARTGCAQLWRFVPEDAALAFDIASGKEAKTERFMSELKMFLEKEQALLSTTASQEELEMLSKEGTQVKATVQKLLEGRKQQRSMEVEKQSNKRRKLPEGICRGVELLQKGMKRINEGLSEMSSDESQDFQKSLLNQFSCLEDLVSHLVSLAASE >A05p052880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30504819:30506469:-1 gene:A05p052880.1_BraROA transcript:A05p052880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSSSPTMQKGIFVSVPVLVLSVSFAAAVIFLLTSSLSSCSCPSSVSSPNDVVGSVSDVRLEERISTTREDIEWVRDLIRSNGLHMQKNELRKGINPRTRDQQLADLIQYKGISHYEGEEEANNHTALPCPGELLVEQHHSNYGEPWAGGRDVFEFLAQSSNLKPNSRVLEIGCGTLRVGLHFIRYLNPTHFHCLEKDELSLMAALRYELPSQGLLHKRPLILRGDDMEFSKFGLDTSYDLIYASAVFLHMPDKLVWAGLERLVEKLKPYDGRIFVSHNVKFCSRLGGDECAKKLASLGLEYLGKQTHDSLLFNHYEIWFGFRRVKQ >A02p002550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1069655:1071647:1 gene:A02p002550.1_BraROA transcript:A02p002550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWDLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNKLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSIRDEEAKFKLCKVRTIQFGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKIVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLSAQQAA >A06p005730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1851533:1851832:-1 gene:A06p005730.1_BraROA transcript:A06p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTGAICISFVIALVSSLAWTDATVEEGIKVACVPAELKPCTPAGLTGSNPSTECCGKLKEQESCLCSYMKNPAFGHCFKTPNAHKVIAACSVAYPAC >A10p018830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13052588:13056864:1 gene:A10p018830.1_BraROA transcript:A10p018830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSGFASVLVFVLIRTKVESLETGEAPLWIASLFVKFCIGDLLNTEITMGEEDTKVIVEPTANGTSSLPKPSDEITGKQAEENATVKETQENKKGEDNGSEKMEIDDGIKQDEEKEVEVSDKETKAETEKDVNGDKEPAETAETNEDKGQPEADQMDEDTDGKKLEADDGVSGGATAEDTVMKETVESDDKRETDTKGAENQVVNKIDTTEGSQDKTEKESEEEKLVGGDEGDSVDEVEKRDTSNKEEAPKERNEGEMAEDGKEEKPNKEEEVKEANKEEEDTYVNEPKEDDEKIEAKGEDGNDIDDSKDEIEDKEEETKDEKEESNDEKEDEKEKNNDDKEDENEDSKKSSKRGKGKNEKARGKTKSEEEKKDAEPETPYSDRPVRERKSVERLVAVIDKDSSKEFQVEKGKGTPLKDIPNVAYKIARKKSEEVFKLLHTILFGGRRGRAAQVKANILRFSGYKWQGDEEKAKDKIKEKLDKCNKEKLLEFCDLFDISVAKATTKKEDIVAKLFKFLEKPHATTDVPVYEKEKGAKRKRTPTKSSHAAGSSSSKRSAKSQKKTDKKSLPHSDDESEEEKEEEEEERAHETEEEDEKIDEEENENGIPDQSDDEAPQPSESEEKVESEEDSESEEETKKKKRGSRTVSGKKESTAGKSRSKKAAVSTKSSPPPKKVTQKRSAGKRKKSDDDDSDTSPKASSSKRKKTEKPAKEQSSVPSKSASKEKPGKRGGKGKDKTKEPSDEELKNAIVDILKEVDFNTATFTDILNRLAGMFKLDLTSRKSSIKLMIQDELTKLADEAENEVGEEDAEKEKAGGEEVKA >A07p029140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16371392:16373761:-1 gene:A07p029140.1_BraROA transcript:A07p029140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLIIIFFLVSLPIFIFFIFPRQPSSHIGFKSYPIVGSLPGLVKNRHRFLDWTVEILSECPTQTAVFRRPGNRQFNKKKKFALGPLAVLSRPWTANPANVEFMLKTKFDSFPKGERFISLLEDLLGRGIFNSDGEMWWKQRKTASYEFSTRSLRDFVMTNVTVEINTRLIPVLSAAATAGKSIDLQDILERFAFDNICKLAFNVDAGCLGDGGADGVEFMRAFDTAATIISERFQSVTPYSWKIKKKLDIGSEKLLRESIVTVHKFADDIVRHTIDQARSSNNTNEDLLSRFINIEEMNSPELLRDIFISFILAGRDTTSSALSWFFWLLSKHPEVENKIMQELNSIRKRTGKRIGEVYGYEELKLMNYLHAAITESLRLYPPVPVEIMSCVEDNVLPDGTFVGKAWGISYNAYAMGRMESIWGKGCDRFDPGRWIDETNGGFRGENPYKFPVFHAGPRMCLGKEMAYTQMKSIVAAVLDRFVVEVPGKKERPEIRLSMTLRIKGGLFVRIHERT >A02p047660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29731846:29734498:-1 gene:A02p047660.1_BraROA transcript:A02p047660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMLPERRSTVASSSSYQNPSDLPCEVPLAESVNHILEPREYLDSKPFSLGFVETETCDKPRFGGHQTLKERERSYSAINQTIHCGFVKGTNGLHQRAGFDLSEKDRAYMKNCVVSVSSCIFGSSDFLRRPATKKISEFSKQNVCFVMFLDEQTLSKLASEGHVPDKQGFVGLWKTVVVSNLPYTDMRKTGKVPKFLSHRLFPSSRYSIWIDSKMRLTTDPLLIIDFFLWRTKSEFAISNHYDRHCVWDEVVQNKRLNKYNHTAIDEQFMFYRSDGLKKFDPSDPNSPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLQRLNPSRPLRLNMFKDCERRALTKLFHHRVDSSPHSPPA >A03g505110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18080689:18082470:1 gene:A03g505110.1_BraROA transcript:A03g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRSFSTTTTISEDYMLFPYNDHYSSQPLLPFNPCSSINDILIHSNSNISSNPLDHHYQFLQAPSSFSQFEFVPDFALVASFLPQNNGHNDNQTITTNDHNNNHHHHHPSLLPLNNPIGESLVEPLETIATHIEDSQRISTSQDPKMNKVKKPSRTDRHSKIKTAKGTRDRRMRLSLDVAKELFGLQDMLGFDKASKTVEWLLTQAKPEIIKITSSLSNPLNLGGFSSCEESQTRPALGSMHTSSDLFKLSSMGTVEDRGSNTNSTETRGNKVDGRSMRGKRKMLQARTPILKKLSKDERAKARERAKDRTKEKLMKRRSQVTVLDAEAHNQHDEIVKNNKSHVNCKSFEATPCQEEIEELLCKNDGFAVCNEFVVNKFNSSFPMPNHHRSQGTVSSIEQQRQFMDLHHFLERPRDLMYNYHNM >A07p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8512316:8513282:-1 gene:A07p011770.1_BraROA transcript:A07p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWGVMGAGWGMVEEGWRKGPWTAEEDRLLIDYVRLHGEGRWNSVAKLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEETIILELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPVNNVEKTKNRILKRQQFQQLRQMEMQQEQQLLQFNQIDMKKIMSLLDDDENNNSGDNNFSSSSSGSSGEGGAFYVPHEITHSTTGSGYDPNGNGVFPVPIPEANVNEDFTVWDGLWNLDLEGQESFGGGACFPRKHCFQNVFIPFC >A09p009680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4981376:4986119:1 gene:A09p009680.1_BraROA transcript:A09p009680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSWRVTSVRSLFADLATSTKEEKAIKLVLSAKPVTKGSKVSEGMSVSRRHSGFPQSDLDSAPPGSQIPLLTYGDEDIEISSDRHALIVPPSLSGHSHRGHPASLSDPTIAAHPRPMVPQKDLAVYGYGSVAWKDRMEEWKRKQNEKLQVVKHEGDPDFEDGDDIPMMDEGRQPLSRKIPIKSSKINPYRMLIVLRLVILGLFFHYRILHPVKDAYALWLISVICEIWFAVSWVLDQFPKWYPIERETYLDRLSLRYEKEGKPSELSAVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDRVACYVSDDGAAMLTFEALSETAEFARKWVPFCKKYCIEPRAPEWYFCHKMDYLKNKVHPAFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNSTRDHPGMIQVFLGSDGVRDVENNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKAPRKTCNCWPKWCFLCCGSRKNRKAKTAAADKKKNREASKQIHALENIEEGRVTTKGSNVELSTEAMQLKLEKKFGQSPVFVASARMENGGMARNASPACLLKEAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASILFMALFSSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPTTLLIINVIGIVVGISDAISNGYDSWGPLFGRLFFALWVVIHLYPFLKGLLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPILEICGLDCL >A10p036230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20675289:20676947:1 gene:A10p036230.1_BraROA transcript:A10p036230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCDANRDGRVDYHEFRRYIDAKELELYRIFQAIDVAHHGCIFPEELWEALVKAGIEIDDEELARFVEHVDKDNNGTITFEEWRDFLLLYPHEATIENIYHHWERVCLIDIGEVAVIPDGIIQHVKRSRLLLAGGLAGAVSRTATAPLDRLKVVLQVQRGHAGVLSTVRKIWREDKLTGFFRGNGLNVMKVAPESAIKFCAFEMLKPLIGGEGGGDIGTSGRLLAGGMAGAVAQTAIYPMDLVKTRLQTCGKAPELWKLTKDIWVREGPRAFYKGLFPSLLGIVPYAGIDLAAYETLKDLSRTYILHDTEPGPLIQLSCGMTSGALGASCVYPLQVVRTRMQADSSETTMKQEFMNTMRGEGVRGFYRGLLPNLLKVVPAASITYIVYEAMKKNMALD >A10g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11769243:11779287:1 gene:A10g504800.1_BraROA transcript:A10g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNHELSAKVDQLIKSNQNHVFIMEESPQDKGTIDATSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNTENAQGNQKESEQPPVTAPADEEEAELPVKHTPTTTEQPTVVVRPVAEPVPTRDYVPKVPYPVPAKATRKDKEEMKCRKMLEDLTVRLPLMSAIQMMPSMKQNVQNIDADGYAKMLDSARTMERLMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESAARYNRLLAAEILPTRFTHAETLAALGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQLLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVTNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFHLITMLSERKDSMVRTEDKKDRCGSVLTPLFKRFNIDLDSYTVVPELEYIDTAYLITCHILRDESTYKFTDKDGITLYCKLPLPGLTDFTTLDNIVFLPNAEHFCVDPRAPIPDENAARDDVEDITPPADGAYDLEDLTDVTDDHAYRRWMRACLKRSCPFGAFCGEHSRRTVPRLFSSRTSRRSDPELDDGIDQQRDHHHDSGVFQLSDPSSRKHCTALE >A03p056640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24530747:24531874:1 gene:A03p056640.1_BraROA transcript:A03p056640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKGIYKSFRSISHIFVEKERDLEIGYPTEVKHVAHVGWEGSSGSAPAWMSEFKAAVEPLSPRASSFSHATHSNSFLVTSSSTDFEESSSQPTISDRLRDVPPIPVGLSKVHTKSKNRRKKPSSSSPRSKLQSPKSSRSTGLSKYSFKSMTTRLNSNA >A02p000950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:475653:476468:-1 gene:A02p000950.1_BraROA transcript:A02p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin-like protein [Source:Projected from Arabidopsis thaliana (AT5G03350) UniProtKB/Swiss-Prot;Acc:Q9LZF5] MQVQKLCFLALFLAHTTLAVNLSLETSNLAFLGDAELGPASDGVSRSGALSMTRDENPLSHGQGLWATPVPFKPSSNTSSSLPYPFETSFVFSITPRTEPAPGHGLAFIVVPALDNSGAGPAGYLGILNKTNNGDPENHVFAVEFDVYKDKQLGDMNDNHVGVDVNSLTSVVAERAGYWVQIVIGRTKMWRFKEVELSNGERYKAWIEYRDSNVSVTLAPEAVTKPKKPLIVAQLDLSEVFLENMYAGFAGAMGREAERHDIWSWNFQNSA >A08p024430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15904814:15908164:-1 gene:A08p024430.1_BraROA transcript:A08p024430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSTPPSQTLAFSPAVAASATSSRLAPTKRPFYPHRLSDPAALCRCSSSSGGNSSSASSSSDDENPPRWDAAIQDVLKSAIKRFDSVLSWYSTTGQDKDAGDDDDDVVLGEQGEESDWDWERWKKHFELIDDQDRLISVLKSQLNGAVKREEYEDAARLKVAIAAAATNDAVGRVMSSFNRAVLEERYKDAVYLRDKAGAGLVGWWSGISEDVKDPFGLIVQITAEHGRYVARSYNPRQLSTSAAGAPLFEIFLTLDGKGNYKKQAVYLKWKEIFPDVPTMPSRTLNSPRFLTPPPGRKEDAGNLAVESSEDEESDNSDDDSDLLEESSGFQTFLRDMIPGVKVKVMKVTAPGKVDKDFISKVIEQIADDEEDEEDEEKDFDIEEIEVEEGTKAESEDKNADIELESVTDEIIDNNGGREIAVKFVIGDIVDRLSGNQPLKESLRSPANLESVEKSSFYLRLEKDLNVQQESKGVESTLLDGKGSRQSRRRIDNIMVDLAKSIEKDKKISLKMLKDVGELLSLTLSQAQNRQQLSGLTKFRRIDVTPSPDPLDGLYIGAHGLYTSEVIHLKRKFGQWKGGKESKKPTDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYELPHRGLIPEEFGVIARYKGQGRLADPGFRKPRWVDGELVILDGKYVKGGPVVGFVYWAPEYHFVMFFNRLRLQS >A09p042680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34862923:34864710:1 gene:A09p042680.1_BraROA transcript:A09p042680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILTVMLSFIPYLYSSPHRPCSSSPVARPRLRRCRLSRFATALVATTALLLASVAWLSLVFSPATSRCWHLLRDWEDAHIWNRRYEREGEISPPALPIFDHDQEIAQPRNRSLLGLDLSLNHLMFGIAGSSQLWERRKELVRLWWKPSQMRGHVWLEEQVSPQEGDDSLPPIILSEDSSRFRYTNPTGHPSGLRISRIAMESFRLSLPGVRWFILGDDDTIFNVNNLLAVLSKYDPSEMVYVGNPSESHSANSYFSHNMAFGGGGIAISFPLAEALSRIHDDCIDRYPKLYGSDDRLHACITELGVPLSKEPGFHQWDIKGDAHGILSSHPIAPFVSIHHVEAVNPLYPGLSTLDSLKLFTEAMDLSPRSVLQRSICYDHTHKLTFSISLGYVVQVFPNLLLPRDLERADLTFSAWNGIRHPSEFDLDIKLPVSSLCKKPILFFLNEVGREGNATLGTYSRSLVKDDLKRKLLCFPSSPPLPNVEKIQVLGLPLSKNWHLAPRRLCCRATPTTNEPFRLTVGQCGKIILGSTISSQ >A06p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10445728:10447666:-1 gene:A06p021140.1_BraROA transcript:A06p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELQPSDRRPGAPSSSGSGGGDEMIRTYKGWKGNNVFFFGGRLVFGPDARSILITIFLITAPVIIFCVFVGRKFVDDFPHHRGVSVLAVAIGLNLLDLIFLLVTSGRDPGIIPRNLYPPEPEGIEIGGEPRLAHTPTQSRLPRTKDMIVNGITVKIKYCDTCMLYRPPRASHCSICNNCVEKFDHHCPWLGQCIGLRNYRFYFMFILCSALLCVYVHVFCWIYVKRIMDGEKISIWKSLIKTPASIALIIYTFICVWFVGGLTGFHLYLMSTNQSTYENFRYRYDRHENPFNKGILGNFMEVFCTSVASSKNSFRAKVSKEPVIPPRIVNGAMSSPSLQKVSQDIEMGRKPVWHETVDEELGDMDKDMETSVTSRDLSRMLPPEETEGRGIMHSRESSRGRRGGSWELSGRVNEDLRTRDVESSGIDASRDLLSDAATGRSRTGTGIGRL >A01p026490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19509532:19510599:-1 gene:A01p026490.1_BraROA transcript:A01p026490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIVLVAAAILCIVAFPTATVGKNLRFGLKPTQGWPHPSEASTNQMFMSTSQKFNYGDSKVWRCTYSNGSAPAISISISPPTPTMPSPSTPTTPSPSPPTPKTSPPPPTPSPPPPTSKKAPSPSPPPPPPTPSLPPPTPTKVPSPPPPTPSPPPPTPTKAPSPPPPTPSLPPPTPTKVPSPPPPTPSLPPPTPTKVPSPPPPTLSPPPPTPTKASSPPLPKPSLPPPTPKKTPSPPPPTPSLPPPTPKKSPSPSPPSDDESSSPSQPSNPPQEHHHHHEFPLEHIGRCYRNMGQVGFCRGQMAISFYTRLFKVSKYCCNLIVNMKNECDDVIWGYFYDPHFVPLVRCTCHVSF >A10g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4392883:4395667:-1 gene:A10g501570.1_BraROA transcript:A10g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDDTKAKEDGSSSVVGDEMALGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEESSKKNEGEESREVDEGEKEKEGGDEGEKEKEVGEEGEKEKEVGEEGEKEKEVGDEIEPRRNDEEAAIIPSRQHEIESHADSIEGPTNPIGGPSNNAQSGQAHADSVEATGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSFSTQLGLLEEEVKSLRLSVPGSDNPAVQDDGDGSDNSESEEEDGDVGRDKESEEEDGGDNNEPDEEDGGDNNEPDEEDGSDNDVEDAILDISKDVQREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESVDRGDTVRSPIQLKSRAAEKSTKGEKKQKAAAEKKAAAAAKKNTAAEKEAAAEKEAAAEKEAAAEKEAAKKKAAAKKKAAAKKTE >A01p038590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14695400:14696045:1 gene:A01p038590.1_BraROA transcript:A01p038590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTIKLDHQPNLVLSCNKLSNHTLLRSHLSYLQKRPSSSNWKRRMIQLLILILLRPFTLSSTLGTCLTSYNATLAQIIQDFANPESLSRFLTTETYDKVEYLGRTLKVNYADKPKPDKEPPYPETEYKLFVRGQPLPSHKVMRL >A08g501980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4605626:4605925:1 gene:A08g501980.1_BraROA transcript:A08g501980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPPPSDKRNTKPSAGVKAQAVRGSLSTTHATSVIKITITGTPADISSYERKLDHDLLFVCFKIDNAGVHARQPWLNEIYLRTTRASTWSQHHNCT >A09p005810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3142040:3144859:-1 gene:A09p005810.1_BraROA transcript:A09p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSILSVLSEDLLVRVYGFLDPPCRKKWRLVSKEFHRVDSLSRTSIRILRVEFLPALLSNYPHLSSLDLSVCPKLDDDAVLRLASYGAVSIKSLNLSRATALRARGLETLARLCRGLERVDVSHCWGFGDREAAALSVAAGLREVRMDKCLSLSDVGLARIVLGCSNLSKISLKWCMEISDLGIDLLCKKCKDLKSLDVSYLKITNESIRSIALLPKLEVLEMVNCPLVDDDGLQYLENGCPSLQEVDVTRCERVSLSGVVSIVRGHPDLQHLKASHCVSEVSLSFLHNIKALKHLKTLWIDGARVSDSSLLTLSSSCRSLTDIGVSKCVGVTDIGVVGLARNCINLKTLNLACCGFVTDAAISAVAQSCRNLETLKLESCHMITEKGLQSIGCHSKHLQELDLTDCYGVNDRGLEYISKCSNLLRLKLGLCTNISDKGMFHIGSKCSKLLELDLYRCGGFGDDGLAAISRGCKSLNRLIISYCGELTDAGVEQIRQLEHLSHLELRGLKNITGAGLAAVACGCKKLDYLDLKKCENIDDSGFWALAYFARNLRQINLCYCSVSDTALCMLMSNLSRVQDVDLVNLNRVTVEGSEFALRACCNRLKKLKLFAPLRFLLSSELLEMLHARGCRIRWD >A02p031510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16287742:16288362:1 gene:A02p031510.1_BraROA transcript:A02p031510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALTIGGNVFSGLSGSKSSRRKNAKMLNRTRVVCSSSSVMDPYKTLKIRPDSSEYEVKQAFRQLAKKYHPDVCRGNNCGVQFQTINEAYNIVLKQIKNQMEGTEEFQPFDVYDEGFNGMNDPDCDTWEEWMGWEGAGTRDYSSHVNPYA >A06g505400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15888729:15889961:1 gene:A06g505400.1_BraROA transcript:A06g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTKKTKSRRGKDAAGATGTMGQDGAVQTAVLPAGIIPTTVLPTQEGLGNNETGLPVDPILPTETRVDASDGQQEQVREDDAESSNAENEDNLGIGANNVRVDGVGEVAEPSMRDILEAMKLMGAQLVTLTQAFTPLVNPPVGQVTPPVRAAAQVAGSTNKKRKRDSTEEGKTSSGRSECPKCGRYPGGESRKAMGA >A03g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3145184:3145730:1 gene:A03g500990.1_BraROA transcript:A03g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQYSRYRSKDDLSAYFARPNHIRVVEETMQIWEDIMAVETGSPIKRLWKWFKKKYLAPVIDEIRVGENFSPVRAKGFSIASVAYFKDLGNVEQTFHKARCKNIGVGRKKACGFESIRDH >A02p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:747710:749913:-1 gene:A02p001750.1_BraROA transcript:A02p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLYIFNKKSQSEIVVESLLSSSNLRSSMPTQQLQSLRVFVATWNVGGKSPHSGLDLDDLLHVHSEFDIYVLGFQEIVPLNAGNVLVLGDNEPAAKWLAMINQSLNKSSASSSASGGRLGPKTPSFGAGSMFFAKPSLKKISESFRTDCRRKLKICNCSSFSEEIVKKYGRESCFRCPESLVNQTDLLCDDDEEEDDDEDDEDDDEDDEGGGKVASIVSNQMMMKYGLVASKQMVGIFLTVWMRKELIQHGCIAVSLQLYKTSFCFICSHLASGEREGDERRRNSDVIEILKNTTFPRICRTSFTRVPNRITKHDRVIWLGDLNYRIALSYTETKTLLDKNAWDTLLNKDQLKIERDAGRVFKGWHEGKIFFAPTYKYSYNSDAYAGDTTKEKKNKRRTPAWCDRILWHGDGIRQLSYVRGESRFSDHRPVCSVFVVNVEVCEGRTGARRQ >A02g500600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1757883:1758665:1 gene:A02g500600.1_BraROA transcript:A02g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGFSTNSVFLNFHHLLETCKRSRLEERTRLVFPWILWNIWKSRNKFVFQQVNVGAEEIWRKSQLEFEAWNAANVGDKEDIDENVGESALACWRKPCPSFIKCNVGSSWIDANQNCGVAWLTRNHLGVSLIHSRRSYSMVASQLEAELLSFLWAAESLSTLRHKNVVFESSSYLAGEAILNPDNFPSFRGLIDEIREKLSRLQLWSIAYVHSGANQCAEAIARSVTRDQRYASYVGKDGPSWLLPMIHADAVRADNGY >A05p007930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3233463:3234101:1 gene:A05p007930.1_BraROA transcript:A05p007930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEEDVADAYKAIELELTLAIAYFSNGKRLSSYMTRKGGWIAQGFFNE >A10p032040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19041457:19042681:-1 gene:A10p032040.1_BraROA transcript:A10p032040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSTAMIQSPSPTVPWREPSTAAAAIDDVDLVNQSSAAAAFEAAEKTMAAATAWDDVSGLEELQRRHLRRLHAKGVLWKHPGKEESSSPPVVFRLSHGGEVSSDGNCLFTASQKAMEARGVDARDLRRRAVRRFLEDFGSAGEEEKEVVREAIRHMYSPDLRAGWGIHIVQEEKLLAKKEERESLDAAIDELVLIGMQRETAAESIYRERCVPVNDGPSWAKYMSVSGSAEDEHDIISLQYTEDGLLSVDENRQGHAAAFGDDIAIECLATEFKREIYVVQAHGSDGMVEEENCVFFLPHKPRSEVIESPVFLFMKGTGWCGGGADHYEPLIASPSQIISQEKVAFVL >A08p014000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9376999:9377290:-1 gene:A08p014000.1_BraROA transcript:A08p014000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPWYVGAGIEEHTRMHRFGLYPLIDVRDSSRPNERLGRSLRSDRPSGLVGRYVAADSFAGRSLCDDLVRILFRCSMNVFLGYE >A09p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1680393:1682307:-1 gene:A09p002460.1_BraROA transcript:A09p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDISPPVASIPVVEGNAQAMNSKTLLPPLSTVASHFGTSGLSVALATGVTHPLDVLKVRLQMQHVGQRGPLIGMTGIFLQLMKQEGPRSLYLGLTPALTRSVLYGGLRLGLYEPTKVCFDWAFESTNVLVKIASGAFAGAVATALTNPVEVVKVRLQMNPNAVPIAEVRELVSKEGIGALWKGVGPAMARAAALTASQLATYDETKRILVKRTSLEEGFHLHLCSSVVAGVASTLITAPIDMIKTRLMLQQDSGSVRIYRNGFHCGYKVIRKEGPLALYKGGFAIFARLGPQTMITFILCEKLRSLAGLHKM >A09g513450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40939649:40941897:-1 gene:A09g513450.1_BraROA transcript:A09g513450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVPISTSDKMYQRFEEGKIYQIRHFNLLPNNHDVPKGRIRVLLNGLLPLETRLEISLPSGESKEVELEYEGLEKHYFLCTSLCHDKDDCPTSLNSSHSSIPVGINQARTLDRLAERRRADPRRDRTERLYHPSRIGALHQVPAQQQRYRHSQPTLERGNRNERRNEDRYDRRRPQHSSQSFRSESPRIKQSSHTTSQHIPLSQVSHTPSPRPLREPMRSQSAAGSGDIIASSERRPALERLAPATTQGSPKDRPSALERLSPAGSFNGSHDRRSALARLSLPSDRDPLPLYEDGGTNSGLPTCNETRYLNEPLLDVPFSKDTEVLDPLTSPIRSLSEDRRHVSLRLGPAPVKRSRRIAAAKISGKRKASSQPIPKVTIKISPPQGAPAKRRRVTK >A03p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15067866:15069218:1 gene:A03p035960.1_BraROA transcript:A03p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSSSSPTMQKGIFVSVPVLVLSVSFAAAVFFLLSSSLSSSSCSCPSSISSPNGGSVSDVRLEERISTTREDIEWVRDLIRSNGLHMQKNELRKGINPRTRDQQLADLKQYKGISHYEGEEANNHTALPCPGELLVEQHHSNYGEPWAGGRDVFEFLAESSNLKPNSRVLEIGCGTLRVGLHFIRYLTPSHFHCLEKDELSLMAALRYELPSQGLLHKRPLILRGDDMEFSKFGSGVSYDLIYASAVFLHMPDKLVWAGLERLVGKLKPYEGRIFVSHNVKFCSRLGGDECAKRLASLGLGYLGKQTHDSLLFNHYEIWFGFRRLKP >A04p040900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23062952:23064074:-1 gene:A04p040900.1_BraROA transcript:A04p040900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVKPILISAVDLAVQVIQASNEARLFKKEWDVVKIKLQSMRVSDPPRHLNRRSCASKVSRCVRQVHPELMAILYTGTLDDDACEAARSLRSMASDNPRCAEIMIRNGLCSVFVKILKQGSMRVQAEVACATSVLVSSFSESQDLFAQHDVIQLLLSLLTSKLEEDSWHMKAMAAKALRELAKGNSSISKSITDSKRFLRFADLLEIQDREVRLISLMVLIEITSVAEMDSSLRRHSAFKCKSPVFKAIVNQFHKLIEENGDMVLLIPYITLIGNLARSFRASDTSMIEQLVKLLGLRDREVLREAIVALTKFAIPCNYLHIDHSRAIVEAGAAKRLIELSSLGCEIRIPVLELLKF >A04g507420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18161354:18162430:1 gene:A04g507420.1_BraROA transcript:A04g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVTGVSSPEPPDPPEPPDLTSDLTSAFTTPSTFVCVPVLTLTISDLDLKIQTFREIDLSSQIFKETFLLKDVGLVILIVVFIRPLTAVDRSVSITSYHEAHPSLVFTMSPTTGSRSPPSLEPLILLLDTSLQVIDLKLSVSILLASMMSFECSLVPCYGTLASVRFSAVCSLVSGFTPSNDSLKLLPHRLWQIRKETLVVSIFSVFLDCLSFVKYDCLPYVPFGLSGSVTGSSVPKIMYASMFVLFKGSSIWCFVASACDVELLIVKAASVAVSISGVRPVLVLSNSQSFISLLSTIGVEFRGLLSDIMCCLCVMFAPILWCYISLFCFAMVAAYVLAGLALLFLDVNNFSSYGD >A06g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17999621:18000976:1 gene:A06g506420.1_BraROA transcript:A06g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLREDCVAELNNYIDPLYRSGFVGSHVFKQENLDRKLLTCILEVDAGPRVHTALCFRGKATSGSNQPALPLVITNPECVDFGLIRGLRPKSHFGMIFFHLEAFMFKFGTMHAGTVTYNITQYSGVRKLETVTVTEVNAYVLNSPLRRFTDSKGSAGQETQQERPEELSFRHGALS >A02p051400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31554675:31558292:-1 gene:A02p051400.1_BraROA transcript:A02p051400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVIVSLLLHVLAYSICVQGFYRRGGHHPGGHGGRWINAHATFYGGGDASGTMGGACGYGNLYGQGYGIETAALSTALFDNGLSCGACFELKCVNDPKWCIQGRSIVVTATNFCPPGGACDPPNHHFDLSQPIYEHIALYKSGIIPVMYRRVRCRRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVLMRGSRSRWQLMSRNWGQNWQSNSYLNGQSLSFVVTTSDRRSVVSYNVAPPKYPFDLHCGGAFNGFGENNAVELSNKRSMLKESDEFKKKKKKQRGSSRFCSRGHWRISEDSQLMELVALYGPQNWNHIAEKMQGRRTGKSCRLRWFNQLDPRINKREFSVEEEERLLAAHRAFGNKWAMIAKLFNGRTDNALKNHWHVLMARKLRQQSTSYLRRFNESSYNPTSDHKTFTLPPDDGEDMNLVKKSWKTLKEEYTTNLKAQYLQEEYCSSRMPMQGPHHHCSTFPADSLALTLHVSIQEPSLSSSSSAEHTVVARYFETTKPPAFIDFLGVGHHQSPKI >A05p053550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30902650:30904140:-1 gene:A05p053550.1_BraROA transcript:A05p053550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIQNRIGNTLLRLKPQPSILIKNLSTSPEPSLDDESSQSSHIWNVIIARAGGDSEDEVFHRLSNDPLCNRVTLSDTLLNKLLHRFRDDWRSALGVLKWAESRKAHKNHSPEAYDTAVDILGKAKKWDRMKDFVEKMKVDNTVTLNTVAKIMRRFAGAGEWEEAVNVFDRLNEFGLEKNTESMNLLLDTLCKEKRVERARSVLLELKAHIKPDAHTFNIFINGWCKTNRVEEALWTVQEMRGYGFRPCVISYTTIIKCYCLQCDFIKVYEMLSEMEANDSPPNSVTYTTIMSCLNSQKEFEESLRVAARMKRSGIEGDTLFNNCLIHTLARAGRVEEAERVFRDEMPSVNTSTYNSMIAMYCHHDEEEKAVELLREMESLKVCEPDVHTFHPLLRSCFKRGDVVEVGRLLKEMVTKHHLSLDESTYTFLIQRFCRADMCEWAYCLFEEMISQDIAPRHRTCLLLLDEVKKKSMHEAVERIEHVMRTVKLTAPVK >A07p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15177125:15180201:-1 gene:A07p026550.1_BraROA transcript:A07p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGNLQISNSNYNGEEEADPENNTMNQPLLKRHRTLSSTPLALVGTKVSHIESLDYEINENDLFKHDWRSRSKAQVLQYIFAKWTLAFLVGLLTGLIATLINLAVENIAGYKLLAVGHYISQDRYVTGLLVFTGANLGLTLVATVLVVVFAPTAAGPGIPEIKAYLNGIDTPNMFGATTMIVKIVGSIGAVAAGLDLGKEGPLVHIGSCIASLLGQGGPDNHRIKWRWLRYFNNDRDRRDLITCGSASGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVVLRAFIEICNSGKCGLFGKGGLIMFDVSHVEVRYHAVDIIPVTLIGVIGGILGSLYNHLLHKVLRLYNLINQKGKIHKVLLSLSVSLFTSLCLYGLPFLAECKPCNPSIDEACPTNGRSGNFKQFNCPNGYYNDLATLFLTTNDDAVRNVFSSNTPNEFGMVSLWIYFGLYCILGLITFGIATPSGLFLPIILMGSAYGRMLGTVMGPYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNLSIYEIILHLKGLPFLEANPEPWMRNLTVGELGDAKPPVVTLRGVEKVANIVDALRNTTHNAFPVLDGDATELHGLILRAHLVKVLKKRWFLNEKRRTEDWEVREKFTPVELAEREDNFDDVGITSSEMQMYVDLHPLTNTTPYTVVQSMSVAKALVLFRSVGLRHLLIVPKIQASGMSPVIGILTRQDLRAYNILQAFPHLDKHKSGKLR >A08g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:366538:369627:-1 gene:A08g500210.1_BraROA transcript:A08g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGESDDQLTYDTNYTPPATLDFETQQLIARLGAAAEIGSQPCDEEVIAREKQSSKRKLISLVDAEEDSDVEITPTSQTTKPRRPTTFGTASQKPMVQSTLEIGSGSSKQACSQKKYVPVKSVIRGGRRTKGVSKGSGSQSQKKKKKKMEEEIPELEDELDEEGLDELELGEEEREERQRSDVWKDFTVVQKPNGKQKAACNHCKREYAWQSYSHGTSGLRRHRMRCKIFNTYMKRPRLLEQHAASIRVMEQHTALRSRLVHLKVRSPRDDLKRLVHFGGAGLGRPFGDRIPRGPDPP >A08g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2112616:2113093:1 gene:A08g500820.1_BraROA transcript:A08g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSYFFVFYGTRSLGGTLLKTDWRLTLFLTFDVVACLWTNILVTREMRKQNFIDGRLNAENNILIDVIIMNISILRIIITQLKPSAELRPFLVLHHSFTH >A05p011760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5148149:5150641:1 gene:A05p011760.1_BraROA transcript:A05p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEMEKSSKEKEPTTPPPPPPSSQEPSSAVSAGMATPDWSGFQAYSPMPPHGFVASSPQPHPYMWGVQHMNMMPPYGTPPHPYVAMYPPGGMYGHPSMPPGSYPYSPYAMPSPNGMTEASGNTTGGEGGDAKQSEVKEKLPIKRSRGSLGSLNMITGKNNEPGKNSGASANGAYSKSGESASDGSSEGSDANSQNGSGSGQERKDENGDSANAPRNGTPVSQTVPIMPMPAAGPPTNLNIGMEYWGAPTSVAIPGMHGKASAPVPGVAAPVSRDGGHSQPWLQDDREIKRQKRKQSNRESARRSRLRKQAECDELAQRAELLNEENATLRAEINKLKSQCDELTSENTSLKDQLLSFPSLEGINMDKDDQEPEAYQPGVTERKVDDTYKNST >A03p000040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:181764:184334:-1 gene:A03p000040.1_BraROA transcript:A03p000040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGENEVVLMNEAMDGDESFIEIKINQPATGMSISSSSSSSFSPSPSNEMQTTAIFSSASSCFSAYSSVTTKLMMKLGCLSVVGVIREKVNVGEKWVLKVIHGRRSKSSLHYYCEGSTNYSEETLTDDSLTAAIAYCNASSLSRQNSLPIQIPTDTYLNLNYGWRIERRRHGIGKFATLKDDTDRLPPSRKASLPAALAFPDFDHPRSKVARATAAKAKLLLHELKNVKADLAFVKERRAQLEEDNIHLRENHHGKGSNPAIRLQLESLLAEKARLAHESSVYQRENRIIKYHQLTMQDEGSDEVTHKSTLLYPL >A05g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21665896:21666132:1 gene:A05g507610.1_BraROA transcript:A05g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAVGLYLSGTSDHRQRFLSNRRTTLVPYRCPRNSKWWVNNAITTNPTKPNFVVNAM >A07g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10215282:10218213:-1 gene:A07g504840.1_BraROA transcript:A07g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFLIKWYSSSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLTKSSELKSRLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8351654:8352670:1 gene:A09g502510.1_BraROA transcript:A09g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRLCEMYLPNESLDRASFAPVVLDSSPPKRYGFESRTVALSVQKIHQDPNFPYLSTHPIASHKSFGEVLQVVIVRDRATGCDHGFGFFFFAAPSVPVRAILLYVKLTERVIVRIGVERLDLIISIDEDSQSGS >A05g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11078343:11079461:-1 gene:A05g503940.1_BraROA transcript:A05g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLVVLVDPAVYGGGWCHDEVGLTGFGEKSESLPLRRWGRVAERGSVFSSDLVVWRQAPVRDRRGESFRVTAVAAACFACNNRLSSCVVAQGGLFGSPLGHWSEVFPWETCVRIGLPSTSVIRLDHFGGLIFGLLCRKDERHQDVALTTPVFLLSRSSGFRLPVVVRDSWLQRLGLAKLRVFEVNHLEQRCGQADFYQGVVSCYIKGFFSCGNASCA >A02g511000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29177175:29177488:-1 gene:A02g511000.1_BraROA transcript:A02g511000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSDVTMVPAGESSSRVAPSSSSTKKAKRFEVKKWSAVALWAWDIVVDNCAICRNHIMDLCKSLSIRNDDC >A04p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7310931:7318830:1 gene:A04p010170.1_BraROA transcript:A04p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVRIVQGIWEKTLAEEWRFDENPAAEKDTLLINPHDSFEGLVEMIRIRLDLGVLTPVALTYQLPEWMLLPEGTTTPPVTLSTDRDVEVMLTVREYMTEPVMYVTSGPELVAKYQFLCRSPFKIGDRSFLGEGITEEQHHEAIKELVGGHPIVCSQSMLELLFNEPQLLIVYRVALEIDMVYAPTPEERAALPRLTVDDMIAIQDGDTIYIDEGRNHAPTEEVLHGEPIDVDNLHRAFPNLTPRNVQRHGIPLEVEPLNQIPSLPPIEEEVTEEHFDANGISETQPNYEVNVTAAPPPTNGALGLPIGPNLRVNAPTTPTTVLVVHDDEASYTGSSYGLNNVDNNRGVAPPIPIGETVINISQALEQGEDAAPIQNLSSAPLTQQGDGGTRTTTRNHGDPCLDRTLGLGMNNTSASKAIIEIEDSDSEADGDSGNQTIALDDLYEGIVFKNKEHFKQHMVVYALRKKFRFRNTRSSPEGMVMECISGTCQWRVYATKMKTLEKFEVRKAQLQHTCSVDDRVGYERQATHGVIGELMKSRYVGIGGAPRPKEIMQVMLGDHDVNISYWKAWQSREVALDYAKGSSCASYKIRNVTNNGSGSFTPRVLEIIAGNFEQSGGMLASKINNFKYEVRTKEGESFHVYLSVKSCSCNVFQTLMISCSHAISAVIKSKTRVETLVSGVYSLECLATAYKDEIFPISNNMTGEHRNIGAVDMEVLPPATKRPPGRPRKSRILSTAK >A01p057150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31920315:31922305:1 gene:A01p057150.1_BraROA transcript:A01p057150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFNSLARSFSNKKDNNNGKSNAKEAADEMAREAKKKAMILKSSGCVNADGSNNLASVFSRRGEKGVNQDCAIVWEGFGCQEEMIFCGIFDGHGPWGHFVSKQVRNSMPISLLCNWQETLSQTTLVEPETDQRFAIWKHLYLKTCADVDQELQHHRKIDTFNSGTTALTVVRQGEVIYVANVGDSRAVLATVSEEGSLTAVQLTVDFKPNIPQEEERIIGCNGRVFCLEDEPGVHRVWQPEEESPGLAMSRAFGDYCIKEYGLVSVPEVTQRHISTRDQFVILATDGVWDVISNEEAIDIVSSTAERPKAAKRLVEQAVKAWNKKRRGIAMDDISAVCLFFHS >A07p043220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23589841:23595724:1 gene:A07p043220.1_BraROA transcript:A07p043220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G69740) UniProtKB/Swiss-Prot;Acc:Q9SFH9] MYSQTKRVNVKSLSISFVNIVNKDDHPNLSFSFASSSPHQLLRIQADWFLNLCCRFIMASTLFNASCSFPSIKVIDCKSHVGLRSNVSQVRVASLPIATSQRRSLVVRASNGHAKKLGRSDAECEAAVAAGDVPEAPPVPPKPAAPAGTPVIQPLNLNRRPRRNRASPTVRAAFQETDISPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLLQEVAKARAVGVNSIVLFPKVPEALKNPTGDEAYNDNGLVPRTIRLLKDKYPDLIIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFQNVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAREDEAEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEEKVMMESLMCLRRAGADIILTYFALQAATYLCNQKRQNVNGPVNPFSLLFVFFVSLFPRSERRLAFSFLNQKRKPVPRLHGRLLQHDALRPLQRAPAGVLGDELVVGVVSNDEIIANKGPPVTPLHERMIMVKAVKWVDEVIPDAPYAITEEFMKRYKKIKRIEGVSSTDIVGRMLLCVRERSNSQNHSSLQRQFSHGHNSPRFEDGASSAGGTRVSHFLPAGSFSFPMARRLRHILFLALMSIYGSGPGARIIYIDGAFDLFHAGHVEILRRARELGDIIKRALICYFFYKNITFGLTLFYFEAFTGFSGQAIYNDSDLLLFNVVLTSLPVISLGVFEQDVFSEFCLQFPALYQQGPKNLFFDWYRILGWMGSNGVYASIVIFALNLGIFHVQSLCSNGQTADMNAMGTAIFTCIVWAVNAQIALTMSHFTWIQHALIWESIFTWYIFLALFGMLPPKISGNILHMLLEALAPAPIFWLTTLLVIATTTLPYLAHISFQRSLNPLDHHIIQEIKHFKIDVQDERMWTRERSKARQKTKIGFIACVDAKIRQLRGRLQKKHLILSVVRGMSGMSASASSETTTTTTHHS >A04p031500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18547414:18548923:-1 gene:A04p031500.1_BraROA transcript:A04p031500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGKIPLSPESMARKREEKEDLYSCTTLSESDVSAFVSELTDQPTPPSMDSSSSSLTPREQRKSRQRNYRGVRQRPWGKYAAEIRDPNKAAQATRPSLTSRSTFLSTHLLIRYPSTATSHDPIIVTPVPPVAPDILLDQYGKYLSENSYSSTANLSMTISASSSSLSQQENIPKLEDSESVKNMSIHKRRK >A09p078950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58233842:58234670:1 gene:A09p078950.1_BraROA transcript:A09p078950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQTINAAALVLQHPGGKIDRYYSSISVSEIMSMYPGHYVCLIIPLTEEEEKNFPATVKRDEKKHKKGVRFTRVQLLRPTESLVLGHAYRLITSQEVMKVLREKKCAKTKKHHADAMTTVKKLLEKNVPEKKQGKQHRVLTSSASFLKSKAWKPSLQSISEATS >A04p001240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:619658:621669:-1 gene:A04p001240.1_BraROA transcript:A04p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAVSRLALRMEAETSWTTYPYNYMTTYIPEAESYSEQSDDDEETRLQTFAMDSLLPNDLLERILSFLPIASIFRAGTVCKRWNEIVSSQRFLWNFSNNSNSVSQGPWYFMFTTTDDPSGYAYDPVIKKWYSFDLPCIETSNWFVASSCGLVCFMDNDCRNKIYVSNPITKQWRKLVEPPGHKATDYTAFSTSMNRAKQSYSVSIVKSKQVPGDFFQWDLSIHLYSSETMTWTTSVTDVLTGWRGGDESVICNNVLYFLIYSTGGNYDHRHGLIASNLSLSTSSLMRSFIPMPCALTCGRLMNLKERLVVVGGIGKHDRPEIIKGIGIWCLKGGREWQEMARMPQRFFQGFGELDDVFASSGSDDLVYIQSYGSPALLTFDMKLKCWKWSQKCPVSKKFPLQLFTGFCFEPRLEITP >A03p054780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23597466:23598353:-1 gene:A03p054780.1_BraROA transcript:A03p054780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKPTTPTPEPTLPSPPESPSNPDDPPPETPTPPAKFDPSRMIGIIKRKALIKDLAAAYHSECIAYCRELLELQKRKNEPFLYTKAPEDLRKETLRSSSKRAKKKR >A07p028960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16299456:16301191:1 gene:A07p028960.1_BraROA transcript:A07p028960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYINPLTIESSSSASLLQNSSPFLFPPSTFPPIQVSPQVNPKSPTRRRNMEPHRPLAGRPQRKPLGDCTNTISRTPQLPSSPSSVKFANPSLSSSLKRLVDQTSLKEKAQVVNISSKAVPGTGNAPKSVRPVTRRTSADLGSPAATTSSPPSKPDDVGKPDAGAASRLRPVTRRMSADLGFPASAPPRPRSGIERRNLLIRVCLVTFLGVGDKDFTEPYSVYTVRRKASGRKRSKDVSSSSAMPRLRLDLLSSPGKRKLPEDENKTKPSKVAPKKRQRTVKKHKEDNVDQEYIEQQKAYFAEVDAFELQEEEVSSSDLD >A09g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23790241:23791554:-1 gene:A09g508460.1_BraROA transcript:A09g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQIDFADSQNLKNNYPSFTQNYDATVGSRQGRAKSRLNQAFTGNRKLATDLNGKIDLIFSELTRKFDALSEHIKRPDCRVAENATAIKKETRRLPGRTDANPKRQVNAVLLRSGKHLISRAIEINNTEKHDVVEETGESRSRPIILDDPNTESEIPRGRERPNIEGEAINLEEEEGEIEEDAEIEIEIDRQERTNVDRQTTVNINRHSGNDVDRLPIPAGQ >A05p021770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10350706:10350951:-1 gene:A05p021770.1_BraROA transcript:A05p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLETTLALHLPVIDFASPNLKPRTIEWDSVRGDVRRALEEYGCFEASFYNFPVKHRKDVFKEVFQLLLETKQRIVSKRK >A08p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18834979:18835565:1 gene:A08p030790.1_BraROA transcript:A08p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSQAFMSQSYLNSQETATQATKDYLASLHSTRKQPSKPLKRPISSPLNPMHPHVYRVEPVNFKELVQRLTGAPEHEPVANIKNILLIANPFKSLDDAAKDSSTSFAFDLSSSSWGDLSLRNPANISRW >A09g512190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35759682:35760508:1 gene:A09g512190.1_BraROA transcript:A09g512190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIFLECETERDEAGMEENEIDAERYEYERDTERDRSPCYEEARVERNVRDFVDEDVDLFATPCRNAKTRALEMIKEENDQQFSRLRDYRVELL >A06p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12846918:12850882:1 gene:A06p027140.1_BraROA transcript:A06p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPVSIELGLVRGLISILELVRGRFGYMSVAFGQSVFSGSIEIWTSFYCKALRKDIFTKITFRKNVYADFYGLSDIDSVVTDFDPNNQSGDEAILSNQMVSHRKSSGVALLEPSRSIRRFLRFLHKPWQRGSVTSGLAMLLVRACGTETFVPWMLQIHTTSCLFMLELNFHSGSSIYSSELAECWIAGRFGVLGESYFILDAVLERGGDGCYSHGDQNEREDHEADQEYSWKEEADIEISLEEEREQEEDFSETGEVYGDVDGGEVCSQSGEAENKEKSYAEERPWCDYSDLEDEEQDETESQISLGHIETSFEDEAENRHGFAKEEQSLSEAGEDEDEYEADHQPHYICFSGHEQGPEAYLRWEQDMEDRFQHHNIQEEEKPIIEDTLTKNAFWHWDHEANYLLDDHPSEASWVEMKEILREEYVVDGEINGKDYFKSTTHPDPRRLRLATRKVKLKKAHDLEPNQESKFIIKGKTEPTSPERVRGVQVDPILQVQAQEQSTKPSHKVHEKKKPNKSSKSSKPVEFIFYRCHEKGHFAVTCPTRLADDYAGLNKNQEDVGSRLKQEEIIPEPGPQGGLKPATSNPLKLVDVSVQAHEEATMICLSSPKRYDTGTSFSKETMKQKEVVLKKDDKAPPKEPPLLKHLSGEDGTTTSSILLQEETPDQSPNRQAVPLDAPIKLPNQKKQENFPSTEIGIHQTDLYVDYSMTAITHLYFAKDVEFMTGTKAEYFRGNLSKTKIKPDRTRRVIISHLFKEEPPDAPCITKPKSYQGKTLDSQKRMKAELLYLRAGHTVSRLKPFQGGGNLAGTETVPEPKLSQISNNCRTTSLELPATKVHSKNLELQEKLHGGRSYEFYKLDVSQPICLRVSALRRENQPNQEAARAKINYGSQGEILWIQQAKNQKKMPSEVEDITIFPNPVIRSRLWKDCISWSNHFQIEIRQP >A03p032370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13684585:13685735:-1 gene:A03p032370.1_BraROA transcript:A03p032370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIIGHSDREIRLPSHVLQDPLFDHSNKHQLHNSFINHNHHGSEGFRRPGAPPSNSFRETFPWQNNWQMSKREDQMQAFFLVPLARKASGTGVFLPASACHLPTKKTACSTVLLPVRVVQALNLNVHNKRIHVSPRSENNSKKKSEMVETPANIEVETLIDSPENLLPEEWIY >A01p014580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7070121:7071231:-1 gene:A01p014580.1_BraROA transcript:A01p014580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRSSTRYGHGGPATVFTGPVRKWKKKWVHVSPSSKKHSSSSSVASDGSHLLFFKWAPLSQGGSGNEDGKSESNSPSEEPVTETAAQEEPPRRRFKYVPIAVLEEQKKEATEIDEEDKVEVEEDEASAVEPREKKIEEKPDMNDVPMEDNQEEEKIVRQDLNESTTDSGLNLNANDADVDADSENNPKGGEPVEES >A04p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6666396:6667904:-1 gene:A04p011540.1_BraROA transcript:A04p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTITKLQVYPRCLEHRLGFMDHQRVGSRLNCRYNRVHVHRCEGDSGEKKVERRRKREKLKGNGLWSSLKSGVLGVSKLGFLSKDEYNQKIEKLEMVFSSIAVQIARYIVTMTSTGAILLIGFQLSGGDSSMNSLVWYSWLGGVIIGTMTGANMVLEDHYRAGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSSESVDMTVKELEQNLKEIMSNASESARKKLADAKVAGIACDVCKPEDVEKLSNFAVKELGSINIWINNAGTNKGFRPLLDFTEEDIRQARILHGPCNCFHKFDWIDSMHTRGDGGDE >A10p004990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9111880:9115270:1 gene:A10p004990.1_BraROA transcript:A10p004990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITRLKRVRRTILVLAIANLAVIVSGCVLTLVSNSNCDSPAQLFPLYAVCLAACVKLASMVKVATTQELMAITIMDSPTQISLDRKMKYKTWLWWTRFAMVITLLQFLGATYLMFRVSTFVSPDGMPRHCVLGLSPETRGWKQRLQASFLITVCVVALAQCFTGSDILQWRSFYATQDDAWKAHYQEVFDHGIREVLCCLGRREYMGVIEEDEVCSVARLLGDLVSYRASGTGHLEFLAGLALLQNNSQFPETYDEDCMEAPAFHLQEAATFHKFAEAAYTGPLLDVGRNPALFLCTWVCRQGILTPWSRKWRPKLDGDNWWRGHAAAFLKFIDFPAHVLRRGRICSEKCKATYFVVVLHYLRCVVIAVRGTETAEDLITDGLGRACSLTPEDLDGLANRIRVMDSSRTHYGHSGIVEAARDLFTQIEGDPGESGSSGFLSSLIGDGGECAGYSIRIVGHSLGGAIASLLGIRLRCRFPDLYVYAYGPLPCVDQDVAEACSEFVTSIVLDNEFSSRLSYGSIRRLQVAAIKVLSQDPKADTALIFRLARRFLSASKRHRQNDVQEQTTGEAIPSIVVVDDSQEEAVAEMRQHDEEFINPFHETAVSTDSPVSQFIGTVQTRVDDEAPEMFLPGLVIHI >A03p005100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2126396:2130417:-1 gene:A03p005100.1_BraROA transcript:A03p005100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFLLTTLAAAIGLLTNSPIVRDNEADMIKKIATDTSNMLNNFTPSNDFDGLVGMGAHWENLKSILCLGSDEVRMIGIWGPPGIGKTTIARVAFNQLSNSFQLSVFMDDLKANSSRLCSDDYSFPKYGFEELAREVTRLSGELPLGLRVMGSYLRGMSKEDWTNSLPRLRDSLDTDIQSILKFSYDALDDEDKDLFLHIACFFSSEQIHKMEEHLAKRFLYVRQRLKVLAEKSLISIDSGRIRMHSLLEKLGREIVCKQSIHEPGQRQFLYDKRDICEVLTGGATGSKSVIGIKFEYYRIREEIDISEKAFEGMSNLQFLKVCGFTDALQITGGLNYLSHKLRLLEWRHFPMTCLPCTVNLEFLVELVMPYSKLEKLWEGCKPLRCLKWMDLGYSVNLKELPDLSTATNLEKLYLYDCSSLVKLPSMSGNSLEKLNIGGCSSLVEFPSFIGNAVNLQELDLSSFPNLLELPSYVGNATNLEYLDLRNCLNMVELPLSLGNLKKLKRLRLKGCSKLEVLPTNINLEYLNELDIAGCSSLDLGDFSTIGNAVNLRELNISSLPQLLEVPSFIGNATNLENLVLSSCSKLVELPLFIGNLQKLRWLRLEGCIRLEVLPTNINLESLLELNLSDCSMLKSFPQISTNLEKLNLRGTAIEQVPPSIRSWPHLKELHMSYFENLKEFPHALERITSLSLTDTEIQEVPPLVKQISRLNRFFLSGCRKLVRLPPISESTHSIYANDCDSLEILECSFSNQIRRLNFANCFKLNQEARDLIIQASSEHAVLPGGKVPPYFTHRATGGGPLTITLNENPLPESMTFKACILLLNKGDHEACSKEKSRGVDVVNNNIIFGLYPALAEHLYTFRINLEVTSRKLLFEFKLMSDDDDWKIGECGIVQQ >A10p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9474324:9484796:1 gene:A10p005870.1_BraROA transcript:A10p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGGRGEDGRPPQMQPVRSLSRRMTRAGTMMIDHPNEDENVIDSELVPSSLASIAPVLRVANEIEDQNPRVAYLCRFHAFEKAHRMDPTSSGRGVRQFKTYLLHKLEKEEPTSDPKEIQNYYQRFYEENIQKGEGRKTPEEMAKLYQMASVLYDVLKTVVPPARIDEKTHRYAKEVERKKDHYEHYNILPLDAGGAKTAIMELPEIKVAVRAVSNVENLPRPKLRSSSTNPDQVEKEETRSFNDILEWLALVFGFQRGNVANQREHLILLLANVDVRKRDLENYDELKPSTVRKLMDKYFKNYRSWCKYLRCESYLRFPPGCNEQQLSLVYIGLYLLIWGEASNIRFMPECLCYIFHKMADEVHGILFSNVYPVTGDTYEAGAPDDEAFLRNVITPIYQVLRKEVRRNKMGKASHSKWRNYDDLNEYFWDKRCFRLGWPMKPEADFFIHSDEIAQHPNERRDQVPHGKRKPKTNFVEARTFWNLYRSFDRMWMFLVLSLQTMMIVAWSPSGSILAIFEEDVFFNVLTIFITSAFLNLLQATLDVILSFGAWKSLKFSQILRFITKFLMAAMWAIILPIAYSKSVQNPTGLIKFFSSWVQSWPHQTLYNYAIALYVSPNILAAVFFLLPPLRRIMERSNMRIVTLIMWWAQPKLYVGRGMHEEMFALFKYTFFWVMLLLSKLAFSFYVEILPLVKPTKLIWDMSGVNYQWHEFFPNATHNIGVIISIWGPIVLVYFMDTQIWYAIFSTIFGGIYGAFSHLGEIRTLGMLRSRFRFVPSAFCSKLTPSPPGRAKRKHLDEQVDENDIARFSQMWNKFIYTMRDEDLISDRERDLLLVPSSSGDVTVVQWPPFLLASKIPIALDMAKDFKGKEDAELFKKIKSEYYMYYAVVEAYESMRDVIYGLLEDESDKRIVREICFEIDDSIQQHRFLSAFRMTGMPLLSDKLEKFLKILLSDYGEDETYKSQIINVLQDIIEIITQDVMVKGHEILERAHYQSGDIENEKKEQRFEKINLGGQNDSWREKVVRLLLLVTVKESAINIPQSLEARRRMTFFANSLFMNMPDAPRVRDMLSFSVLTPYYKEDVLYSEEELNKENEDGISILFYLQRIYPEEWSNFSERVNDPKRIFSEKDKTDQLREWVSYRGQTLSRTVRGMMYYRMALELQCFQEYTEYAAHSGYLPSASYDEFMNRARALADLKFTYVVSCQVYGNQKKSSDGRDRSCYNNILQLMLKYPSLRVAYIDEREETINKKSQKVFYSVLLKGCNKLDEEIYRIKLPGNPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEETFKMRNVLQEFDEGRRGKRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNSTLRGGYITHHEYIQAGKGRDVGMNQVSVFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYVFLYGRLYLVLSGLEKEILQSAIVHQSKALEEALAAQSVFQLGFLMVLPMVMEIGLEKGFRKALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVFHAKFADNYRLYSRSHFVKGLELVMLLIVYQVYGNSYRSSSLYIYITFSMWFLVTSWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGNRGGIGIVVEKSWESWWESEQEHLKHTNLRGRVLEILLALRFLLYQYGIVYHLNVAHRDTTILVYGLSWGVLLAVLLVLKMVSMGRRKFGTDFQVMFRILKALLFLGFLSVMTVLFVVCGLTIADVCASFLAFLPTGWAILLIGQALRGVLKGLGFWDSIKELGRAYEYIMGLSIFTPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDKETPAPSK >A09g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23142091:23143679:1 gene:A09g508140.1_BraROA transcript:A09g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFVCYDYTDDKSNFAKELYGEGLQLSKPEQAGINLMSVRLGNDDHNLEGLDGSFFGSSNDEPSEAYSMNKEAEKIREKFHTVGYRDGISAGQEASAQEGYNVGYRESVLAGYKFGIVRGVSSAIAFLPDELREKLIDEQENKDRFRKLHDSVHALSTEAAMKVFYGTLTTKQVDDKSGEEGSDSSLASVTSTTDLASYVSELSSLLGKSPMIEVRLDT >A09g518820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57444449:57445626:1 gene:A09g518820.1_BraROA transcript:A09g518820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGKIMAGNKAKKNQNFSQILEKIEAEGHFFQWQQKFISGMYYSMYKHVEKLAQEIRKGAAPGDGVNAKLWQVPETLQEDVLSKMSSPLKSDAHLITPNDLAEADEYFASQKARIFYSTESQGGGQETMV >A08g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2615724:2619775:-1 gene:A08g501060.1_BraROA transcript:A08g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQFPKRILQEGAETQMDKINNTCRRTLLKAVKVALKDENWKGSGKVSYQYITSLESHFDKGELFTFISSTGDFDVIADTEFLREDEKKDERVGRIVELINAKQDWTHFDWEVESLPAHMDLSDSEQDEPADVAEEPSEQEEATVVAGEPAVTAKRGKRKLIDPGAESRKKQLLCQRAAEHNSGVSSEMKTFIEGLFTASFNSFKEVVQKDIHERFDNLANEVSQLKEQVSQLKGLSETVGKGNTSEILSPSATIGKDQGPSSHSTGPPAGNGKGKASANVVPPPVRRSPRPVREPADWRPPTLKDVDSLEDRIHDPDYSLVFVPEASWGKLVDWTKTFKELKIGPSMLTNELVTRVVGPSEWLLNKRKKENHLFKWVDEALLDEIERMSEHQARVAEEIEDLRISMKKTVQEEVMNHKHSLDVGCVGTLFSLLCLWSKCD >A03p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9176041:9180380:-1 gene:A03p021550.1_BraROA transcript:A03p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRPEQHTLHHFIHIHPLTKVDGYGEFTCGGCKTFGFGKTYRCSWCDYNLHEHCATCSPTLFSFIHPQHELKLVFRGPEQTHHEKRMCNICDEPAEGLFYQCHPCGFDVHPLCTQLPQRVRHVPHSAHPLELSHWGASSTCKVCSGAIRSWRYKCSPCGLDVHMECVNTSAASVATIQQRCYGPQPHYHPSQYYHPYYNHGYTNHQGQVQESTPSIGRRMFVILMALTVGVICNIVAAPMSSLKLEQEVLQHFTHVHPLTKVYDFGGFICNGCNTYGSGKTYRCASCNYDLHDCCATCPRTLHCFVHPQHELTLVFKEPAKMDHDRRGCNICHELAEGLYYQCEACGFDMHPLCSQLPQQVSHMSHPAHYLELSDHGASNMCMECHGVIRSWRYKCGPCRLDVHMECVNSSTSATKGTQQRSLEPQPYFQPSQYHQPQSQPQVHLSQYQQMQPQTYFHPPQYRQPQTYFHPPQYQQPQPYFHPNQQPQPYFQPSQYQLPCHNHGCTNQGQPQGQQSGRSAGKKMFGIFMALTIGVASDVIAETAHDAFFG >A10p001450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:749027:760565:-1 gene:A10p001450.1_BraROA transcript:A10p001450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVVIFIEDTDMNSSFSRCRICHEEEEAESYFEAPCSCSGTVKFAHRDCIQRWCDEKGNTICEICLQDYKPGYTTTSRPSRLVEATATTRTRRQYGGRRNRRLVDRTESEFPECNSEANRGDSCCRYLALILSVVLLIKNAFDVVYGTDEYPFTIFTLLTLKAIGILLPMLVIIQTIAAVQSSLRRQFIESEEDTLSSEDDDGLEEEEQQQHLA >A06p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16014854:16016850:1 gene:A06p027580.1_BraROA transcript:A06p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMNSIHWTYQSCNYVSYRMNRCVNMIARLFNAPLGDTETAMGVGTVGSSEAIMLAGLAFKRNWQNKRKAEGKTYDKPNIVTGANVQVCWEKFARYFEVELKEIKLSEGYYVMDPEKAVDMVDENTICVAAILGSTLTGEFEDVKRLNDLLVKKNDATGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRTQHDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNVMENCRENMLVLKEGIEKTERFNIVSKDVGVPLVAFSLKGQSFHSEFEISEMLRRFGWIVPAYTMPADAEHITVLRVVIREDFSRTLAERLVADSLKVLHELDTLPSKISRKMGAEDFGNVKGKKVKREKLMEVIVGWRKFVKDRKKMNGVC >A01p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9956647:9959733:1 gene:A01p020270.1_BraROA transcript:A01p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBSX5 [Source:Projected from Arabidopsis thaliana (AT4G27460) UniProtKB/TrEMBL;Acc:A0A178V3C0] MALSLLSYDVTDLCLGKPPLRCLSASSSSVSDAIAALKSSDDPFLSVWNCNHDHDDVAECECLGKISMADVICHLSKDEVHTLSALNSPVSVLLPPTRSLVLHVQPSCSLVEAIDLIIQGAHNLIVPIQTKTATKKRQQNDNVSHTTTTTHSNGQRFCWITQEDIVRFLLGCIAAFSPLPSMSISDLGIINSSHAILAVDYNSSASAVVSAISRALADQTSVAVVDNEGDDSLMYLIGEISPMTLTCCDETAAAAVATLSAGYLMEYLDGVNPPESLVQEVRERLESKGQIGLLSLLDTLSLSSSPTSGYSSDEESPARSLGRSMSISTRMARKAEAVVCNPKSSLMAVMIQAITHRTNYTWVIDKDGCFVGMDLTIRNTSQFSILPPMELEGNFFMSDAQQAHDDSFLAKPKPNLVTGPIDGHNANESGCFDCNICLDTAHDPVVTLCGHLFCWPCIYKWLHVQLTSVSIDQHHSNCPVCKSNIAVTSLVPLYGRGMSSDFGSKKQDAVIPRRPAPPITSGSSLNPRLQHHRTMSPTFHSHRNQYSPRGFTTTESTDLANAVMMSFLYPVIGMFGDLVYTRIFGTFTNAIAQPYQSQRMMQREKSLNRVSIFFFFCIFLCLLLF >A03p011990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4791410:4791892:-1 gene:A03p011990.1_BraROA transcript:A03p011990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPSLFGGRRSNVFDPFSLDAWDPFEGFFTPSALANASGSTARDIAAFTNARVDWKETPEAHVFKADLPGLKKEEVKVEVEDKNILQISGERSKENEEKNDKWHRVERASGKFMRRFKLPENAKMEEVKATMENGVLTVMVPKAPEKKPEVKSIDISG >A02g510430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27565132:27565884:1 gene:A02g510430.1_BraROA transcript:A02g510430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERSCLSQGVGGPEEEENQWPPWLKPLLKEEHFFVQCDSHGDSPKNKCNMYCLDCTNGSFCPLCLEHHKDHRTIQIRRLSYHNVIRVNEIQMHLDILSVQTYVIDGAKVVFLNERPQLERVRGVRVTNACDVCSRGLADDCFCFCSLGCKVAGTSRSFEKGGEHTDIQ >A06p018490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8968866:8969275:1 gene:A06p018490.1_BraROA transcript:A06p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIGRSKGCAQVRIQEVGFSIHILSMEGLDSNDPKYELLMANINASFAVNVDKDLKEITNSAERIMTERIRASATLIHFLLQTSFWSKIYFNLTPFSTPKLGND >A08p001240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:749436:750184:1 gene:A08p001240.1_BraROA transcript:A08p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEVQVPTAFDPFADANAEDAGAGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGF >A09p019430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10286351:10289112:1 gene:A09p019430.1_BraROA transcript:A09p019430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNFFSFENDIFTLSLSSSSSNYKIVIVINTLTTMNNQFETLNAPKIDLPFFFFHSYELNTTYLSLSLHIQLKKPKILILHFLWFIVIEANDSGYEIDFFPDLFVQTISLGSRLAVDDLPGSRLVNAEVSFAIDFEICFLRRLKVKSSLTFLDDLHFSRLNKKLPNEEKLDIKTYQNAQIYYERETSSEDFQEV >A03p034930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:19052:21109:1 gene:A03p034930.1_BraROA transcript:A03p034930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSLFNFPLIRLRSLSHLPLSSLRSVSVPISQRKLPTFRAFSGTAMTTDAKDAGMDAVQRRLMFEDECILVDETDRVVGHDSKYNCHLMENIEAKNLLHRAFSVFLFNSNYELLLQQRSKAKVTFPLVWTNTCCSHPLYRESELIEENTLGVRNAAQRKLLDELGIVAEDVPVDEFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVQPNPDEVADVKYVSREELKELVKKADAGEEGLKLSPWFRLVVDNFLMKWWDHVEKGTLSEAVDMKTIHKL >A04p023330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14145215:14148119:1 gene:A04p023330.1_BraROA transcript:A04p023330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQAQAPSSSSPPPPGDATVASDGVNLGAMCSLYVGDLDFNVTDSQLYDYFTEVCQVVSVRVCRDTATNTSLGYGYVNYSNIDDAEKALKKLNYTSLNGKMIRVTYSSRDSAARRSGIGNLFIKNLDKSVDNKTLHETFSPCGSIVSCKVATDHMGQSKGYGFVQFESEDSAKSAIEKLNGKILNDKQIFVGPFLKKEERESAADKMKFTNVYVKNLSETTTDDELKSTFGQYGGISSAVVMRDGDGRSRCFGFVNFENAEDAARAVEGLNGKKFDDKEWYVGKAQKKSERELELSRRYEQGARETGNSFDGLNLYVKNLDDTVTDEKLRELFAEFGTITSCKVMRDPSGASKGSGFVAFSAASEASRVLNEMNGKMVSGKPLYVALAQRKEERRAKLQAQFSQMRPAFIPGMGPRMPMFPGGAPGQQFFYGQGPPQMIPHQAGFGYQPQMVPGMRPGYFGPMMQPGQQGPRPGGRRSGDGPMRHQPQQPMPFMQPQMMAGGGRGYRYPRGRNMPDGPMPGGMVPFPYDINGMPLGQPMPAGALASSLAQASPAQQRTILGETLYPLVNKIEHENAAKVTGMLLEMDQTEVLHLIESPEALNAKVSEALDVLRNVNQPTQGSEGKSGSPSDMLASLSINDHL >A08p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15717749:15719314:-1 gene:A08p024050.1_BraROA transcript:A08p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSISNSMPITRLPLLTSLSQCLLPTSSSFSPLPPLSNRRRSNVSQRITASAVFSAPAGVNDSLPARNEGYTVGDFMTGRQHLHVVKPSTSVDDALELLVEKKVTGLPVIDDDWKLVGVVSDYDLLALDSISGRSQNETNLFPNVDSTWKTFNELQKLISKTHGQVVGDLMTPSPLVVRGSTNLEDAARLLLETKFRRLPVVDSDGKLIGILTRGNVVRAALQIKRETEKSA >A09g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17478794:17480165:1 gene:A09g505500.1_BraROA transcript:A09g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPFMDLLQLAGWFFLNATFAAFCSFGNGELASSTSSIKYGGVNKIETVTVSELNTYVVNSKPQEAGELMNYAADGNISLFPGVDLKLRFNSYRVFLWVKSMLFIMRFEAFDDVCDILCLNIDGVKEDTEWMSKYQNIKGCWCQSVFIHFIVMLCYCFAQVSLKRGRDMAELVPKYGLPSLAQRHG >A05p012150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5267363:5267691:1 gene:A05p012150.1_BraROA transcript:A05p012150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGEVDEFNPFGGFQLRRDWNGKRFSTTLRFESRLHRRSSSPGILGDWQCKERLLASRSHNSHEEAKSR >A02p041180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25984695:25986348:1 gene:A02p041180.1_BraROA transcript:A02p041180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAATQIIQLPLQYCGSSGQRSYYCAVFVLDVVEVEEWEVLELLRRNRDMMMMFNEVDEGKIPKDSLTLQMLYEEMIRWPNLEVEVSKKQPRDSNVEWDSAAAIEEADVDDDDTGVASKAMGYGALYLVSSFPVIIGISVVLILFYNSLQ >A09p074990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56670113:56671037:1 gene:A09p074990.1_BraROA transcript:A09p074990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAVTGAVLSGLGSSFLSGGKRSITALGSGVGTGAARVGRKTLIVAAAAAQPKKSWIPAVKGGGNFLDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGADPRAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANYTGDQGYPGGRFFDPLGLAGKTRDGVYEPDREKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKSPLGALGL >A05p051580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31470720:31473680:1 gene:A05p051580.1_BraROA transcript:A05p051580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHX4 [Source:Projected from Arabidopsis thaliana (AT3G06370) UniProtKB/TrEMBL;Acc:A0A384KPV5] MAFGLLNTVLEKSETLLGSDHASVVSMNLFVALLCACIVLGHLLEETRWMNESITALIIGSCTGIVILLISGGKSSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISFAIISLGAMHFFEKMNIGDLTISDYLAIGAIFSATDSVCTLQVLNKDETPLLYSLVFGEGVVNDATSVVLFNAIQRFDLTHINSTIVLEFAGNFFSLFILSTALGVAAGLLSAFTIKKLYFGKIKHSTDREVALMMLIAYLSYMLAELFHLSSILTVFFCGITMSHYTWHNVTDKSKVTTKHTFAALSFLAEIFIFLYVGMDALDIEKWDVVRNSPGQSIGVSAILLGLILLGRAAFVFPLSFLSNLTKSSPDEKIDWKKQVTIWWAGLMRGAVSMALAYNQFTTSGHTKLLGNAIMITSTITVVLFSTVVFGLLTKPLVKHLQPPSKKPSTPTSSFHEPLLNGDGSYIENHEPLHRTQGQSDYILEQITLRLFLSTPSRAIHHYWRSFDNAVMRRIFGGRGVSEVVPGSPIDTSVRQLWTEDVENKEQYAEP >A03g507980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28104031:28105915:-1 gene:A03g507980.1_BraROA transcript:A03g507980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSCWRDSFVLILMSIIGSKTQRNLTSFLMLLTGNPLFPGKNIVHQLDMMNDLLGTPFVKLLEGSVRNEKAGRYLRSMRLKKSIRFSHKLPHDDPLDLRILQKLLSFELNERPTFEEGLVLTLVEYFKGVAKKETIYELSKRSLCSTCHQAGIRV >A07p006710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1537654:1537848:1 gene:A07p006710.1_BraROA transcript:A07p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRIELCIEIVKRTMDCVVAVAEAARDFFSQAPQAPPALLRHGPYYHSISFQPSAYMIGYLP >A02p024130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12945008:12950259:-1 gene:A02p024130.1_BraROA transcript:A02p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like from Arabidopsis thaliana 2 [Source:Projected from Arabidopsis thaliana (AT1G70510) TAIR;Acc:AT1G70510] MDRMCGFRSAGDYSEKAELMMSSSESLMSLSDYHNLICSSAGENHVFGSNELFSAAASALSSEVSIAPPARRADQDNPSLGLIKSKIASHPLYPRLLQTYIDCQKVGAPLEIACVLEEIQRENDVYKRDVAPLSCFGADPELDEFMETYCDILVKYKSDLARPFDEATTFLNKIETQLQDLCTGPASARGLSVSDDGAVSSDEELRESDDVATQDSQQRSNDRELKDQLLRKFGSHISSLKLEFSKKKKKGKLPREARQALLDWWNVHYKWPYPTEADKIALAEETGLDQKQINNWFINQRKRHWKPSENMPFAMMDDSSETFFTEE >A05p032600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17832260:17834898:-1 gene:A05p032600.1_BraROA transcript:A05p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLVRFPFACVVFDLGLHRLTLAGIFWPPSSILTDSRRFSPSSSESNDTSKAAKARKNNTQGLKSIDEIKTIMELKKEDLMRKEKLSKLAILDTLLAKPGTLSEAEEVVYLKMGRYSYSQPSCSSEYGGEYSSNNTSEYSETEHLIRLDQEELSLKYGDTAPYPQQYPPQPEVEFGFPQVCYCGRAPKIATSYTRLDPGRRYYTCEHVDDGECHVHKWWDVAVMEEMRARDKHVLQLEEKVDCLNLMSDYDSDEKVLRLEQLVCDLAKKKSSFINGFEVFIGVMLVVLVLLGVVI >A02p048570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30235233:30237097:1 gene:A02p048570.1_BraROA transcript:A02p048570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRRREVKKSYALFSSTFFCIFFLFFPPAELSDGVKYEGNIPWQKNFEVLALIEIKSSLIDPRGVLVNWDNAAVDPCSWDLITCSPDGFVLSIGASDQSLSGTLASSIGNLTYLQTVLLQNNNIRGNIPPEIGRLMKLKTLDLSNNNFTGQIPPTLSHSTSFQYLRLNNNSLTGTVPTSVANMAQLILLDLSYNNLSGPVPRLHAIAYNVIGNPQICSTGTEEDCNGTHHKPTSLTFTSSESSDGGTNNQKFAGTCGRLLYGWVIRRWMMCGQDIRGRVNVWMSGDVWTCDVWRGNVGPLPSISYTVTV >A09p055350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47389979:47394914:-1 gene:A09p055350.1_BraROA transcript:A09p055350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYQSNFTNKRSRGFRVRSLSRFAERRVQSQKLPNHEDTYPEPGKIKVSEEKPRETQEEWVISIKDKVDKACGDVPASSWDKLCIYRVPHYLQEDDKKSYFPQTVSLGPYHHGKEHLLPMECHKLRAVHMVLEYTKKDIETYIDAMKNLEETARACYQGPICMSSNEFTEMLFLDGCFVLELFRGTKEGFTEIGYAPTDPVFAMGRLMISIQRDMKSLIELQPDTVYQPGLVAQLAVNFFAQLMPTGEELTKRDKVESVDSVSDNGELHCLDVFHRSLFKSTWTPDKKSLKKSISSRKQQLIHCVTELKEAGVKFRRKKTDKLWDIEFRNGYLKIPKLLIHDSTKSLFSNLIAFEQCHANSSNDITSYIIFMDNLINSAEDVSYLHHCGIIEHWLGSDFEVADLFNRLCKEVVFDPRDSYLSKLSGEVNRYYCRKWNSLKATLRHKYFNNPWAYFSFFAALVLLILTLLQSFFALLLIFNNDNFSLPLSHSSEKMVAVFYKDMLSWYLLTLKIREKLEAENQGQGSEPINPDQNLPSLPEVTRSDQDQEESNHNHDQTLSEASKIEVTKGSPKEPRDDWVISITDKLEQAHRDDDTTIWGKLCIYRVPYYLQENDNKSYFPQTVSLGPYHHGKKRLRPMDRHKWRAVNKILKRTNQNIKMYIDAMRELEEKARACYEGPFGLSSNEFIEMLVLDGCFVLELFRGAVEGFTELGYARNDPVFAMRGSMHSIQRDMIMLENQLPLFVLNRLLELQLGTRNQTGLVAQLAVRFFDPLMPTDEPMTKTDQSKLENYLARDKAFDPFADMGELHCLDVFRRSLLRSSPKPEPRLSRKRWSSRNTRVADKRRQQLIHCVTELREAGIKFRRRKTDRFWDIQFNNGYLEIPRLLIHDGTKSLFLNLIAFEQCHIDSRNDITSYIIFMDNLIDSHEDVSYLHYCGIIEHWLGSDSEVANLFNRLCEEVVFDTEDSYLSRLSVEVNRYYNQKWNAWRATLRHKYFNNPWAIVSFCAAVILLVLTLSQSFYAAYAYYKPPS >A02p049030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30466805:30469567:1 gene:A02p049030.1_BraROA transcript:A02p049030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFAIWQGMEYGMNEDSLREAFRKYDDVVENEFKASSKLETITFSEMKHEEIEALVEFMYCVDGSISLESLKKHVCILRAMPKERKHRSVSHERFKGSSLYCESSRALKPSEKQVKEWEEARCPVCMEHPHNGILLVCSSYDNGCRPYMCDTSHRHSNCFDQYRKASKQTPTETEGVVAEVASEVTVVNPREGEAEAEQENGKPKLTCPLCRGNIKEWVVDEPARCFMNAKRRSCSSETCEFSGTYSDLRKHARLLHPGVRPSEADPERQRSWRRLERQRDLGDLLSTLQSSFAGGEGRSNNDDEIMSFDDGGWLTVFFLIRVFRPESSGGARSGSSSSWSGTSRARSQVGVRRRSSSRLWGESYEGETGTSRRDGESNNNQSSDEHESGTQRRRVRRRFYIDDDDDDDDDDEEA >A02p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2197271:2199989:-1 gene:A02p005080.1_BraROA transcript:A02p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRISKEEEVVEEQPLSPMARVFQSPEVDYCAVTIVGFKTKFCPDVLLDALKHNVSKHPRFSTKLDGAKWIETQVNVEDHVIVPNIDPEEIGEDGQSFVDDYISRLTTIPLDRSRPLWDMHILNVKTSDAEAVGVIRSHHSLGDGMSLISLMLACTHKTSDPDNTAIPSLKRRQTVPHGLIKQSWFLRFIFTVGSTTRLIWNTVVDMLLLLATILFLVDTKTPLKGGADVGRNPTRFYRRTISLDDIKLIKNSMNMTINDVLLGVTQAALSRYLNRLYGKKNEEEGVLTSNQNHLPSKLRFRAGCTVNLRSDIGFKPLADMMTKDSKCRWGNYFSFIILPFSIGLQTDPLVYLKISKSTMARKKHSYHAALVYFIIKIILKVFGAKAAAAIFNRPVVNLTTCVSNVIGPMEEISFRGHPIAYIAPSNYGQSQALLVHYMSYAGKMIISMAVDPTVIPDPHKICDDMEESLKDMKAALSERGLL >A01p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21730035:21731032:1 gene:A01p040670.1_BraROA transcript:A01p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALVSSSLTSSVQTARQIFGAKPAVSVSQRKVSLVVKAASTPPAKQGANRQLWFASSQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEILGKAGLIPADTALPWFQTGVIPPAGTYSYWADPYTLFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGFAGSGDPAYPGGPFFNPLGFGKDEKSMKELKLKEVKNGRLAMLAILGYFVQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >A06p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2894721:2895790:-1 gene:A06p008350.1_BraROA transcript:A06p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYDTVNFDKDILVQFLCHVISLQGRDDFRITLLQVLECITEDAPLVTQNAEITIREILPSLATIYNENNKDGDDRFLCLKIWFDTVTIFLTECTKIEQQTSDDLKSISNSHFLPLYPALIQYQLYAQKLLVMLLRLALASALEMETKDMEDFLEPPLSLCRAFLPTVTWEQERCGVRRKSTELQLLVSNRILHCLGYACKQYLSPAMILSISGHDVYKINAIVSEMKNSDVAGLNSVASLVAVELQRLPR >A01p028170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18514081:18514708:1 gene:A01p028170.1_BraROA transcript:A01p028170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFTRHHLRCDNIYPRCGTRDESVTHTIFKCPLSFFSSVGFINHTVSSKHFSMILGPLNQSSVDMDGCGKIAMEGHNLWEYEIEKEEYSHCMIVSDMLGNGKHVASFDMSALHDEL >A10p012490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12306487:12307650:-1 gene:A10p012490.1_BraROA transcript:A10p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NifU-like protein 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49940) UniProtKB/Swiss-Prot;Acc:Q93W20] MQSFALNPAAMSVRQTLGLEPSSSSSASRLLSSAQILSSHRAFGLLVRPRYGFRGLSRSQVVKAVATPDPLLEVPLTEENVESVLDEIRPYLMSDGGNVALHEIDGNIVRVKLQGACGSCPSSVMTMKMGIERRLMEKIPEIVAVESVPDEETGLELTEENIEKVLEEIRPYLIGTADGSLELVEIEEPIVKIRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLI >A04p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14745777:14748351:-1 gene:A04p024360.1_BraROA transcript:A04p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSLKVEISAQVTSQGLSLGLLNSVLISQCREIEEFLVDESDDGNITSLGSSPDSSFRAQLSLLRFEDFEPTTVHPQIKTRFNDPVLSRLITDQIVLESQRKDLPQGPLLITIFLKFTKKEYIVAPFSSAPWTTAKVEGSCAICLEEMSEEESEQVLCQPPECLQMFHEDCLTNWLDRHDSCPLCRQSPNPLTNKPSEALEKLGEGFEKKKMETKSLKVEISVHATPDQGHLSLGVLNSVLISQSWVTEEFFVDESDDGNITSLGSYPDSFSFPDMPSLLRFQDFTPTNVHQQIKSHFNDHVLSRLITDHIVVESRRRDLPQGPLLITVFLRFTKKEYIVLPCNSAPQTTKVEGTCAALCQPPGCAPLFHEVCLIKWLDRHDSCPLCRQSTNSLTNNPSKALEELGEA >A08g508440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15459537:15460115:1 gene:A08g508440.1_BraROA transcript:A08g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTSRNLLIFEQKTLTSQETAIKAINAQKEWKRAQTLRPIATPTPPVRAQLPFMNDSTIFCNTDAVWRSDQRAAGLAWIFSDREAHELHRGSTAQAHVSSPRMAEALAIREALIQASILNFTNICLCTDSQELARAISSRRRSTDLFGILSDIDSLAFSASSPFSTISFVYISRSCNGPADQHAKSSLSSF >A03p051100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20670717:20671479:-1 gene:A03p051100.1_BraROA transcript:A03p051100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRANSPPNGIGFKPSRGNPTGRFTNGRTIADIVGEKLGQPNYAVPYLAPNASGDVLLNGVNCALCSGGIHSAAGSVFGPNGNRGIGNISKTEFKCGTVQVWFVRFVGLVRI >A03p042740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17854691:17855566:1 gene:A03p042740.1_BraROA transcript:A03p042740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDWFLRLFMVPKKRKKKAKVFGSIFSITSYSLYSGLHQISKDNTILMSLQRPNGNSSSSSSPKKRTTEESDEELLMVPDMEAAGSTGVLSSSADDGVNNPEIEQTQNATSTAKRRRGRNPEDKEYRSLKRLLRNRVSAQQARERKKVYVSDLESRANELQNNNEQLEEKISTLMNENTMLRKMLINTRPKADDN >A02p047740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29755794:29756905:-1 gene:A02p047740.1_BraROA transcript:A02p047740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMRIMGLLGVFLLGFAAMASSVHGYDAGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIRCQSDGAWCLPGAIVVTATNFCPPNNALPNTAGGWCNPPLHHFDLSQPIFQRIAQYKAGVVPVSYRRVPCKRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAVKGSRTRWQQMSRNWGQNWQSNNLLNGQALSFKVTASDGRIVVSNNVAPASWSFGQTFTGRQFR >A08g505020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8225594:8226298:-1 gene:A08g505020.1_BraROA transcript:A08g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDRNIPTTLARSIPWVLWLIWKNRNSILYAETQVSQEKTFRDIREEMEQWFLLNAVSPRDNMERPCLQNNDRWLPPEEGVIKCNIHANWRSSTLHSGIAWIARDQDGNVSYHARDAITHAPDRMVAEIRCVIWALTSLNDIGVTKVIIASDYNEVMEAIKAPLQWPRHIGLLQQVIKLTEKFEMVAFEGEKTTANGIARDIARSVLRDGRFQSYLAMGGPSWLHDRIAREKI >A10g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2557386:2558492:-1 gene:A10g500870.1_BraROA transcript:A10g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFFSFFSSLTSSLCLLLFSVGREMAKPIETTTAPPHRSPPIDSTPIKTTTDPPNRHRSSTDPSSMTISRGGTLPLCFDRICLLWFRRNVVSRVAELKQVKGCLVSQEQFFVNKSLSRKSGELGALGVMITEHLCVVLSCAVFLW >A03g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30211475:30212662:-1 gene:A03g509220.1_BraROA transcript:A03g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVWSDEPDDPQLVRLVKDIHAGRYVKGFWEVQRDEQGKGNEKKKKKKTKGVSSEAEPSTKKQKKEAAETRKGSSEEEAVLDKATLTNLVSALQNISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSIKSPALAETPAKKNAELEKDTVVRRILGDDFNETDFISVSPAKITKDVKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKHKRKAAELQKKQEAGLQKKKMEDEAELQKKRRKKRLSYRRRRKKKRNVL >A09p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15579379:15579865:1 gene:A09p027610.1_BraROA transcript:A09p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNKQKKAHKSKINKFLVSISFIGSAGPIRFVVKEDETVSHVIEYALKCYAREGRLPLLGSDSSYFLLYCPYCAAEAFNPCGKIGSIGSRNFVLSKKSDAQSLGDSVGTTTRKISGRWKAWLNKSLGLMDPSH >A10p032920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19342208:19344185:1 gene:A10p032920.1_BraROA transcript:A10p032920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATQLLSQPPSLRGNLNRYQSSLPRISVLSLKSTSKPLNRLSVKAAAAASQNSVITPTKDAAFEHCFKKSSDGFLYCEGTKVEEIMESVERRPFYLYSKPQITRNVEAYKEALEGVSSVIGYAIKANNNLKILEHLRSKGCGAVLVSGNELRLALIAGFDPTKCIFNGNGKLLEDLVLAAQEGVFVNVDSEFDLENIVEASRISGKQVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDEVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVEYIDEIRRQGFEVSYLNIGGGLGIDYYHAGAVLPTPMDLINTVRELVLSRDLNLIIEPGRSLIANTCCFVNHVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPAPEAEVSKFDVVGPVCESADFLGKDRELPTPPKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSITKIRHAETFEDHLRFFNGL >A02g511530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30686467:30686921:-1 gene:A02g511530.1_BraROA transcript:A02g511530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNQAQKKRTGSIKFCENMEDAIAAASATVEATAASGFGGVTVEVDVDTGMEVVVVMDTKVVVDTEVDTFGNFVDETSFTNLIHTISFMELC >A03p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9603178:9605994:-1 gene:A03p022770.1_BraROA transcript:A03p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 5 [Source:Projected from Arabidopsis thaliana (AT4G38180) UniProtKB/Swiss-Prot;Acc:Q9SZL8] MDNEEVLDFDVDDDGIDIEPNDAIDMLDDTTNSSDGDLEPYEGLEFESEESAKAFYNSYARRIGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNMNEKRTKDREIKRPRTITRVGCKASLSVKMHDSSGKWAVSGFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISRVGFTEVDCRNYMRNNRQKSIQGEIQLLLDYLRQMNADNPSFFYSVEDDHHHHESVGNVFWADPKAITDFTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPILFGCAFIVNENEASFVWLFKTWLAAMSGRSPVSITTDHDAVIRAAVMQVFPEARHRFCKWHIFKKCQEKLSHVFLRHPSFESDLHKSVNLTDSVEDFESCWFSLLDKYELRDHDWLQALYSDRRQWVPVYLRDTFFAEMSSTQRSDSINSYFDGYINASTNLSQFFKLYEKALESRLEKEVKADYDTMNSPPVLKTPSPMEKQASELYTRKLFTRFQEELVGTLTFMASKADDDGDLGTYQVAKYGETHKAHCVKFNILEMRANCSCQMFEFSGIVCRHILAVFRVTNLLTLPPYYILKRWTRNAKSSVIFDDYGLHAYDNYLESHTVRYNTLRHKAFGFVQEAGKSLYTCDVALVALQEAAKTVSLEMKNEVRRTMANGHVKGNSASGGEQILRNEDSQQDEPEDEMDKKIIELRNELELANRRCEAYRTNLLSVLKEMEDQKLQVSIKVQNIKISLKDSLPLIETREMFVSMKVHEVYVYLPIKQNCRSTRSSLIPNALNA >A01g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16613335:16621894:-1 gene:A01g505590.1_BraROA transcript:A01g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQSTMSAETARDQQTRDGTSADANVEKPSGDASAVTADTNTAMLEHMKELFASVQKRSDEQEKLMSSLAKQVKTLTAMSKPPRGATRVRRGRRLDFGSPGNQDKDAPRESTEQNPDETAPAGQRTTSKNLPPPAGGSEGDDIERINLDISDRSDHSDDNADIHPRRTRSRSTRRTVTFEKPMTEEEENLYWGKTSGNTWTRNQYKDNSYCEFHQVRGHSTTNCKVLGARLAAKLLAGELEKVTSIKDLVLDSDRPPKTDKAAPENSAPENQSLPRSSPRTPYILAPRSAYAFTLLPLSRHSIKWRYSIFPDLRNYL >A08p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9856796:9857538:-1 gene:A08p014630.1_BraROA transcript:A08p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGASQISDLAVKTDEKIDRAYPRDFMKVGRVVVHLKREDETLLNPAITSRNCINRDQPFKDHGIGEILQSRCVAVTETGGDITK >A01p007470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3697136:3699229:1 gene:A01p007470.1_BraROA transcript:A01p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIADSLFAFTGPQHCLPRAPKLASARLSHGVYAVRPIGLLLKGTRRTFLVPAKKRIGCIKAVSVPVAPPSADSAEHREQLADSYGFKQIGQDLPDNVTLKDIMDTLPKEVFEIDDVKAWKSVLISVTSYALGLFMIAKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHDTAWQPVPPEEFDSSPVLRKAIIFGYGPIRPWLSIAHWVNWHFNLRKFRPSEVNRVKISLACVFAFMAVGWPLIIYKVGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPADEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHQSIQENWGKYTNLATWNWRLMKTIMTVCHVYDKEENYIPFDRLAPEESQPITFLKKAMPNYAA >A02p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28176747:28177628:1 gene:A02p044680.1_BraROA transcript:A02p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATTITHSIIFIPHQIGRTLPIKKDIWHNTLEDLYKTELIDTRSYEATGILCLRVKTKQEMVQRYSYLFLIKNRAYFTKTEYHPIINLRNETDEHQEQHSQPRNTISNPIGWLMF >A03p050390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21662364:21663412:1 gene:A03p050390.1_BraROA transcript:A03p050390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 1-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G61440) UniProtKB/Swiss-Prot;Acc:Q9XFI1] MDAISPLRAVCGSGGAQYSRTRIDHGLSGFCSFSQENKSKLKLSPVMSLDLKEHPMASNQTLTALSSSYVVPTKTSSIGMSRGMRWWEKSTKVNMLEIHSANHLVDSLLNAGDRLVVLDFYSPGCGGCKSLHPKICQLAESNPNVMFLKVNQEELRTMCHGLNVHVLPFFKFYRGAEGKLCSFSCTIATINKFKKALDKHGSERCSLGPAKGLDSKELMALASVGELKMDLDSLTVHQDVLSSSKASNFFYKPEEQHQKMVV >A02p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6714846:6717972:1 gene:A02p015090.1_BraROA transcript:A02p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSTDEGSPNNPSSSSSFLHLTNSSHALGQSHLSSFSIRDYAFSYRTKNIQKSWPFSSTSLQLCLKHGLTDPLPPFQPLGSEAKLKVIHVEARMLEKLGSNHALAETEQGFLASGSKSKVQVAIVNKNPRKKCGLVVKHGACVNSVSKQAQGSLFSTSESMGFRACPICKTFSSASNTTLNAHMDQCLSVDSDQQPMSKHRNKPRLKVKTLVDIYATAKRSTLEELDKRNGTKWSVVLSYSNQVVSDNKPEVSNKVKKRSVLRVGNDEDDGIGPVYIDAEGQKLRILSDSPRKHVEDVSEKKSSNKGQGKRLRFKKHYKHCKVVPQSRKLTVREGNASEIPEYQRGYSEEYRAMERSETPGPSQRRILNQRMVTRSCLSRNENKKGRSISDSLSADPLVLRGPRHASVDLSETVSTCFRSQNSWRSCGESQVSRKSTKSPLRCSMPVDKVFASAPKGFLKLKKARLDFSENEDEDSGRWESEMTQERELTDYDDNEETDKVFLSSDPSVGSGEENDYEGWEVTGDNKVDDDDDMLYQTNDAEFESSFMEVDLIPIPGPPGSFLPSPWDMETDATENHVNSSVTTSQFQSSHDQLDLTDRKSSESPETQTFRDNDQSCCCQRKENVFEDTTFGKPAPHMNQQDLDLSSKSVSKTPSVSNPVLRLMGKDLMVINQIEDTSHGDSSLIPTSQFHDLSKTKQVFPPVHLLHGPYGADSSYLDSTTSFYNIP >A03p013180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5164542:5164763:1 gene:A03p013180.1_BraROA transcript:A03p013180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGLQVRRRKRVMVNVNSRKLMTRLRQMVAPETIYSGEVDDNTLYRLTADHILLLQARVQLLRRISSLCGL >A07p018780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11243252:11248782:-1 gene:A07p018780.1_BraROA transcript:A07p018780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSSTRLRDMIRSIRACKTAAEERGVVRKECADIRASINENDPHDRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHTNQYVVGLALCALGNICSAEMARDLAPEVERLIQFRDPNIRKKAALCSTRIVRKVPDLAENFINAASSLLKEKHHGVLITGVQLCYELCTINDEALEFFRKKCTEGLIKTLRDITNSAYQPEYDVAGITDPFLHIRLLKLLRVLGHGDADASDLMTDILAQVATKTESNKNAGNAVLYECVETIMAIEDTSSLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAFDDQAVQRHRVTILECVKDPDASIRKRALELVSLLVNENNVKQLTKELIDYLEISDEDFKEDLSAKICSIVEKFSPEKIWYIDQMLKVLSEAGKFVKDDVWHALIVVITNASELHGYTVRALYKAFLTYSEQETLVRVAIWCIGEYGDLLVNNVGMLGIEDPITVTECDAVDVIVDAITRHNSDMTTKAMALVALLKLSSRFPSISERIKDVILKQKGSLLLEMQQRAIEFNSIVERHKNIRSSLVERMPVLDEATFNVRRAGSLPASVSTMAKPSVSIPNGVSAAPLVDLLDLGSDDILAAPSSSSGADFLQDLLGVDLGSSSAQSGATPAPKASTDLLLDILSIGTPSPAQNSTSAIDLLSTADMNNNSSTALDTLSSSAQPHIATTASAGGMFDLLDGLSPSPSKEATNGPAYPPIVAYESSSLKIEFTFSKPSGNPQTTNVEATFINISPNTFTDFVFQAAVPKFLQLHLDPASSNTLPANGGKVTQNLRVTNSQHGKKSLVMRMRIGYKMNGKDVLEEGQINNFPRGL >A10p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20186496:20188299:1 gene:A10p034870.1_BraROA transcript:A10p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPPVRKMKFAPKAPPKRVPKPEVKPEVVEDDNNSAQAAELLRRVTERSLRRPKGEKKVAASQVAWMGGVVNSARSNRFSNGYAGAHGSNAPQEISYQEPWDYYSYYPITLPLRRPNAGDPEVLDVDEFIKDVGNHEEALNTAADLALTEDSEEPKMLFMRLPAVPLANQSAATETRGSKPNIRGGAEKACDLKAASANGFMGKLLVYKSGAVKMKLGEVLYDVSPGLKSEFAQDVMAVNTDEKNCCLVGDVYKHAVLTPDIDSILKDIDNM >A03p068780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30121022:30121677:-1 gene:A03p068780.1_BraROA transcript:A03p068780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGNLLVLLQKGPPGAPPPPPAPSASLFSSKSSKPSSPSNQKQGMSAVFQQLSTGSVTSGLTNVTDEWNWNNTGSAPTVSANNTTGCQLYLSKDSLETAITTVKSSEINVIVPGASPNGDW >A06p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19169648:19171336:1 gene:A06p035450.1_BraROA transcript:A06p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFRNSNFGSTRFKLTGWFNKNITLLKQKPCRDSSVPVSCASFSSVSPLDSLMELSDPTNAKTNKAKHPPKRFIKNQIPESILNDASLNAAISLLPSIYQFEVHKCVWRINSTNAKRIAIQLPEGLLMYALTLSDIFTSFAGASHCFVLGDVTYGACCVDDFSASALGADLLIHYGHSCLVPIDSTKIPCLYVFVEIQIDVKCLLNTIHLNLASHVKNIILAGTIQFTSAIRAVKPDLEKQGFSVLIPQSKPLSAGEVLGCTAPKVSRFDDHKDAVLVFVADGRFHLEAFMIANPKIKAFRYDPYLGKLFLEEYDHKGMRETRRRAITRAKDAKTWGIVLGTLGRQGNPKILERLEKKMEEKGIDSTVVLMSELSPTRVALFEDSVDAWVQIACPRLSIDWGEAFLKPLLTTFEAEIALGFIPGWWEKGSLSRVGSSSSACCKEDKETSCACRDDKKDDDGMLDGDYPMDYYAQEGGEWNSSYLKKSSRPIRRNPLPSSSLV >A09p003550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2039552:2042390:1 gene:A09p003550.1_BraROA transcript:A09p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRSRSVFVDIGLRELNGFRVRKRPFFFADSELVCREIAGVAVEHDGDRTPPLAVSFCKGSRKSQLFAVSDEDGHVSLFNSNHKFDSSATHQENTYNARLSDWVAHYNAVFDISWIKGDSCLLTASGDQTIKVWDVEENKCTGVLIGHTGTVKSMCSHPTRSDLLVSGSRDGCFALWDLRCKSSSHKEEFCINYTGMVKGAHLSPLSKRIRRSKAASSSITSVLYLKDEITIATAGAPDSALKFWDTRKLKVPFAQASPQPDSTNTKEKRAHGIVLLSQDSSGTLLTASCKDNRIYLYNILQLDKGPVQSFSGCRIDSFFVRTMISPDGEYILSGSSDGNAYIWQVNKPQVDPTILKGHDSEVTAVDWSSSEIGKVATASDDFTVRLWNIENNLCTAASASRVKRRVTALSNTEAAKERLEINREPESPQKHSSDDGDDNNDNQSMPIIRTPETQRKKTSLSSSLSLSASEEDKTCERTPETAVDSPLSVLHPPSSVKRRTIRDYFLVTP >A09p060170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49988863:49990219:-1 gene:A09p060170.1_BraROA transcript:A09p060170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELELARQKLGLVSLGESSTYRLYSKGLVSEEFIKDDTKLVGGSGLSLCDSNDNSKKLETNKALRNHRVLAAHPEATELAAIIQGMSWALKLGVKSIQFFCDDDSIILDYVTGKAAPPNESIVVAKLLKQVAPLQARFTSCQALPLRTDINSSLIKLARDAIASQTTWREGDNEYETCPACYAHVSPRHKLEVRSGCFHRICFTCIRDCVSSQLARGDTLLCPYPGCEKELVLEDCRGIVEDDALNLIIHRKKEKAIPVLDRVYCPKPCCNFLMSDRDLPLGFSIDPRQKSVARTCVECGLCFCKKCHVPWHYKKTCDEFKKSQSYLTSDAALFESLVKTEGWMKCPQCATVVQKNGGCQRISCRHCNHKFCYACGAACTRKKMSCNCSPQD >A07p039450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20965510:20966894:1 gene:A07p039450.1_BraROA transcript:A07p039450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTLIGGHGHGFGHKDHISNGYGFEGHNEFVSHEDSERSHFDRQSRYDHQMRLPANHGRPPMARMPPCDEEESDDDEFIKRSRSHHTTVLPHHQQKPHMNFMPPPPLSQPHHNGKMGNGWQGRHEDGYHGGHGMQQHGGHGMQQHGGHGMPQHGGHGMQQHGGHGMQHHDGHGMQHHDGHGGHGMQQHGAHGMQHHDVHGMQQHGAHGMKHQDRLMGPQIPPHHVYMNPNHGSGSGRTVMVKASENWRMSKSTGGHHKVGWGSKGL >A06p017550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7871899:7872354:-1 gene:A06p017550.1_BraROA transcript:A06p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVGKCSKIRHIVRLRQMLRRWRNKARLSSVSRCVPSDVPAGHVAVCVGSECRRFVVRASYLNHPILSNLLVQAEEEYGFVNQGPLVIPCEESVFEEAIRFISRSDSSRSSRFTCPEDIQKCHGGIKSKLDLLIESRPLLHGVVEKAVW >A03p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3586551:3587841:-1 gene:A03p008970.1_BraROA transcript:A03p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAERRDEEMRESGNMENIKSDSFSDERYSRDLKAGLHPLRYKFAIWYTRRTPGVRSQTSYEDNIKKIVEGFWACYCHLARSSLLPSPTDLHFFKDGIRPLWEDSANCNGGKWIIRFSKVVSARFWEDLLLALVGDQLDDADNICGAVLSVRFNEDIISVWNRNASDHQAVMGLRDSIKRHLKLPHAYVMEYKPHDASLRDNSSYRNTWMRG >A10p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17360669:17362908:1 gene:A10p027620.1_BraROA transcript:A10p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMGTQTTVLAVTDDVVLPVSSVLTVMKVVGKEGVERCDPMIITQASTISQVPLDSSSVDTVLAISTTSEFPSDKIYGEFSRILKPGGSVFVCTNSEGENVELQQTLQRRVTLAGFMEPQSLDLTSIKLPNFSLSGGIKAKKPSWKIGSSFALKKPAKTLPKVNLDDDLDLIDEDSLLTEEDLKKPQLPAVSGCETTKKACKNCVCGRAEIEEKAVKLGLTEDQIENPQSSCGSQNPPLTMATKPAAESVQCFGRKKTAVAVTHCKRGCGQIKLNGCPIELFNPEILRFKIFEPVLLLGKHRFAGVDMRIRVNGGGNTSQVYAIRQSIAKALVAFYQKYVDEQSKKEVKDILIRYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >A09g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20132902:20133235:1 gene:A09g506830.1_BraROA transcript:A09g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPKYYKSTSYLREYMPSSTRSNKETQQLFSPDPASLERSIRKEAHSSSIDNNTYSSLDFVQPPSI >A02p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1544023:1544465:1 gene:A02p003940.1_BraROA transcript:A02p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTIYNTTLLLILLVFISPQVSSSLQPVQLPSSSQVALVEVKARLGSRPPSCHNKCNSCHPCMPTQAPTLPGRSRVDPFAGGFVRPPSSLTTVLGQYSNYKPMDWKCHCNGHFYNP >SC178g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:285903:288329:1 gene:SC178g500160.1_BraROA transcript:SC178g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHRSGRSEKLEEENEWVVSRVVKTALKSCGIWSNHIKVEPLKVRAAEESQTASLEKIHVKVEPLKEVAAEKGQTARLKVHEAKGVILEWKHGNGELYQLVGRLKCLWSELDGLRPSTSDPKVIQDRQEQGVVFNLLVDGICKLVQHMCEKNKRSTQWKGGTSCKKRRLRKLSKVWFMMRRPWREESESDDLRHMMGLKGIKDVVHQMVRGECSYSAYMGETVENRGVLTEQEKGDGADDHITRKEWRVGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEEQVRPVSSEEEQVEPAS >A07g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3008806:3009233:-1 gene:A07g501460.1_BraROA transcript:A07g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKILSKVAITNIRDFGCAKVSFPGLKCYQLGNPEAIYLQGERGCLLAKYVDDMMNLAFSVDHRGLVHNYHDFTREYVDRMYHMITS >A03p031800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13449539:13450238:1 gene:A03p031800.1_BraROA transcript:A03p031800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNGEFEISFSHGKLHGSDEEVVRLGFVRFTRGLRSKRILFSKSNHETVSNSNLLDSPPVKMPLKRSKTEPNSSVLESLHQDILIRVLCHVDHDDLERLKRVSKTIRNAVLEAKKSHFDYSTPRKTLPFRDPTSILEQDSSLSDQDDAMDPPNAPVRRRKINREADLSKISMVLFK >A02p041100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25931975:25935124:-1 gene:A02p041100.1_BraROA transcript:A02p041100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKLVLAATSTKSCKVLLGLRVLAFSATLSAAIVMGLNKETKTFVVGNVGNTPVKATFTAKFQHTPAFVFFVVANAMVSFHNMLMIAVHLFGGEMEFTSFRLLSVAILDMLNVTLISAAANAAAFISEVGKNGNKHARWDKICDRFATYCDHGAGALIAAFAGVVLMLIISAISISRLAQPNKCSSSTAATPSVLP >A05p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21542434:21544165:1 gene:A05p037870.1_BraROA transcript:A05p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKAGQYYKDLDFSQVMNCQKKPAISDLPPERKEDNATGKLPYCCKNGTLLPPSMDPWKARSMFQLQLRPQDVAVSFSAYYNNSAVPCNTCACGCNDIDTDTCNADCNPLLLPPDALLVPFKNRTLKAKAWAKQNHMPIPKKLPCPDNCGVSINWHVNTDYRDGWTARLTVFNWRELAFEDWFVAVDMGKAGPGYENVYSFNGTRVPPNNRTVMFQGLPGLNYLVGQVNGTNPLRDPAVPGKQQSVISFTKKNIHGLNIPGGDGFPTKLFFNGEECALPKHFPKKSSGHRGGMMSLVFAMAVAFALMMG >A02p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15042029:15044548:-1 gene:A02p029430.1_BraROA transcript:A02p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRWSLSSLDVLCRRQVYNPPASKTIVPVDETTIPKSFAAVFWLLLNMSIRVLNNQSRFVSAKLYSTSGQVIDVAEVTKKISKVMMSSPQQVLESALDQTGLRVSPEVAEDILNRFRNAGLLAYRFFQWSEKQRHYEHSVRAYHMMIESTAKIKQYKLMWDLINSMRKKKMLNVETFCIVMRKYARAQKVDEAVYAFNVMEKYDLEQNLAAFNGLLSALCKSKNVRKAQEIFETMRERFVPDSKTYSILLGGWGKEPNLPKAREVFREMIDAGCEPDVVTYSTMVDILCKAGRVDEALGVVRSMDSRICKPTSFIYSVLVHTYGTENRLEEAVETFLEMERSGVEADVAVFNSLIGAFCKANKIKNVYRVLREMKSKGVTPNSKSCNIILRHLIECGEKDEAFDVFRKMIRVCEPDADTYTMMIKMFCEKKEMETANKVWRRMRKKGVFPSMHTFAVLINGLCEEGGTQRACVLLEEMIEMGIRPSGVTFGRLRQLLLKEGRDDVVKFLNEKMNLLVNEPLCD >SC176g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:30973:32025:-1 gene:SC176g500030.1_BraROA transcript:SC176g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSIHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASLLICVYTDQSTDQYTDSHGKGQHADMCGQHLRYEFLHGSVTDPTRISPRISTRTATDVGQPADMCGQHADMSSVYGSVHGSVHGHTRIRPRISTRTATDVGQHADMCGQHADMSSVHGSVHGSVNGSVHGSVHGQSTGRASMLICVVSMLI >A03p015680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6173475:6176834:-1 gene:A03p015680.1_BraROA transcript:A03p015680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVKGSTVKPSTAAMEIEEGVDELDRCAVEEVELTVPKTDDPTLPVLTFRMWVLGLGACIILSFINQFFWYRRMPLSITGISAQIAVVPLGHLMARVLPNKKYLEGSRWEFNMNPGPFNVKEHVMITIFANSGAGTVYATHILSAIKLYYKRSLPFLPAFLIMITTQFLGFGWAGLFRKHLVEPGEMWWPSNLVQVSLFSALHEKEKKKKGGMTRIQFFLIVLVTSFAYYILPGYLFTMITSISWVCWLSPKSVLVNQLGSGEQGLGIGAVGIDWATISSYLGSPLASPIFATINVTVGFVVVVYVVTPICYWLNLYNAKTYPIFSSGLFMGNGSSYDVLSIIDNKFHLDRDIYAKTGPIQMSTFFAVTYGLGFATLSATMVHVLLFHGIDLWKQTRGAFKRNKKMDIHTRIMKKNYKEVPMWWFLVILVINIAVIVFISVYYNATVQLPWWGVLLACAIAVVFTPLIGVIDATTNQAPGLNVITEYVIGYIYPERPVANMCFKVYGYISMTQALTFIQDFKLGLYMKIPPRSMYMAQVLGTLVAVIVYTGTAWWLMAEIPHLCDKSLLPPDSEWTCPMDRVFFDASVIWGLVGPRRMFGDLGEYSNINWFFLLGAIAPFLVWLATKAFPAQKWISNIHFPVILGATAMMPPAMVVNFTSWCIVAFIFGHFVFKYKREWWKKYNYVLSGGLDAGTAFMTILVFLALGRKGIGLVWWGNADDSTNCSLASCPTAKGVIMNGCPVY >A07p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1109554:1111255:-1 gene:A07p007260.1_BraROA transcript:A07p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKFQISGKVFMAFGLKMKILITVLFSCVLIWSTMLLSSSNNNFKDHFLDDTTSDSKETPRDTLLGGLLTADFDEGSCLSRYHKSFLYRQPSPYKPSEYLVSKLRSYERLHKRCGPDTNAYKKATENLVRDENYASKSVGECRYIAWVAGYGLGNRMLTLASVFLYALLTERIILVDNRKDVNDILCEPFPGTSWLLPLDFPLMNYTYAYSYNKEYPRCYGTMVKNNTINSTSIPHHLYLHNLHDSRDEDKMFFCEKDQSLINKVPWLIIQANVYFVPSLWFNPTFQPELMKLFPQKDTVFHHLARYLFHPTNQVWGMITRHYHAHLARADETLGIQIRVFRKDAGYFQHVMDQIVSCTQREKLLPELAAQEETQANMTYTPKVKAVLVTSLYPEYSDNLKNIFWERPSSTGEIIEVSQPSGERVQQTDKKLHDQKALAEMYLLSLTDNIVTSAWSTFGYVSYSIGGLKPWLLHTPRGNKTPDPPCVRSTSMEPCYLTPPSHGCDADWGKNSGKIFPFVKSCEDVVYSGLKLHDEL >A08p034230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20398945:20402698:-1 gene:A08p034230.1_BraROA transcript:A08p034230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sphingosine-1-phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) UniProtKB/Swiss-Prot;Acc:Q9C509] MDSLSYSSVKSMLIQARVSLNSRLSEFEPLALLVTPLVTLFLAQVIGSVLGVVHEKGLKACLLGFIMGFLKMIPGVQSYIDAEKQKVVDQLQSGGSSKKNNWAKELPVKGLGVQVLEKMETEKRNDPNWQGKCSGTVYIGGNESEGHFTLINQACSMFAHTNPLHIDVFQSVVRFESEVVAMTAALLGSKETVSGEQICGNMTSGGTESIVLAVKSSRDYMKYKKGIKRPEMIIPESGHSAYDKAAQYFNIKLWRVPVGKDFRADVKAMRMHINRNTIMIVGSAPGFPHGIIDPIEELGQLALSYGICFHVDLCLGGFVLPFARKLGYQIPAFDFSVQGVTSISIDVHKYGLAPKGTSTVLYRNHEIRKHQFVAVTEWSGGLYVSPTIAGSRPGSLVAGAWAAMMSLGEEGYLENTRKIMEASKRLEEGVRGITELFMVGKPDMTIVAFGSKALDIFEVNDIMSSKGWHLNALQRPNSIHICVTLQHVPVVDDFLQDLREAVETVKANPGPITGGLAPIYGAAGKMPDRGMVNELLVSFMDSQY >A03p008750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3508118:3509107:-1 gene:A03p008750.1_BraROA transcript:A03p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL52 [Source:Projected from Arabidopsis thaliana (AT5G17600) UniProtKB/Swiss-Prot;Acc:Q9LF64] MGSMSNPNPWSPYDSYNDCSQGVCNIYCPQWCYLIFPPPPPSFLNDEDSSSPSSFSPLLIALIVTLVTAFILVSYYTLVSKYCRRPSRETSSDGRNITESNSNAVAGDGLNDALIKSITVYKYKKGDGHVDGSDCSVCLSEFEEDESLRLLPKCNHAFHLPCIDTWLRSHSNCPLCRAFVTGINNPITQSNAVHPIATESVNRGGGGAAEVNLTAAGYDHRTGDSVVVVEDLEIGLRSQPSEEQRDATKDEEDPPPRIRRSVSLIADVLQEIDDEVSAGVGTSQQRQREEDGDGEGKTPKSSMGMKRSVSTGRFIFSRHDRARNYSLPN >A02p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11770322:11772440:-1 gene:A02p023580.1_BraROA transcript:A02p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEEIDSCVHPLDGGMAESDKEVELDATKAKVDKVREENEKLKLLLSTVRTEYNSLQMHVSNVIRQQHEASMKLDDNGHEDLDVDISLTLGRSEQKISKKEEQVKKIIAENNSIKNKEGSEKKRWAHGLAFRIQSFEDPDTNQTMRLDNLSKEVKNKSVENKYLSSRKDVKTARNEDQHELLEGHEQPGLKKTRVCVKAPCEDPSINDGCQWRKYGQKTAKTNPLPRAYYRCSMSSNCPVRKQVQRCGEDDTSAYMTTYEGNHDHPLPMEATHMAAGTSAAASLLQSGASSSASLSHFFPFHHFSVSTTNSHPTVTLDLTQPNYDPNQLPNYPLSSSSLSFSSSGRPSPSKSHTLSFSGLRSQAPMNTYSHLSHHTRLFGRQ >A05p020960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9904288:9907948:-1 gene:A05p020960.1_BraROA transcript:A05p020960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein At1g53590 [Source:Projected from Arabidopsis thaliana (AT1G53590) UniProtKB/Swiss-Prot;Acc:Q93XX4] MESFLVHHIVIVLLFLWFLSWLNRSHGFFYFISLIYLYLVHERYVMRLKRQLQFEERKQANQRRVLTDSESVRWLNHAVEKIWPICMEQIASEKILGPIIPWFLDKYRPWTAKKAVIQHLYMGKKPPLLTDIRVLRQSKGDDHLVLELGMNFLAADDMSAILAVKLRKRLGFGMWTKLHLTGMQVEGKVLVGVKFLRRWPFLGRLRVCFAEPPYFQMTVKPIFTHGLDVAVLPGIAGWLDKLLSIAFEQTLVQPNMLVVDMEKFVSPKPENWFFVDEKEPVAHVLVEVVEASDVKPSDLNGLADPYVRGKLGAYRFKTDIKRKTLSPTWQEEFKIPIFTWDSPSILNVEVKDKDRFVDDNLGACSVNIGEFRGGQRNDMWLPLQNIKMGRLHLAITVIEDSEKWSEDPFKGVKLSKEDIQTSFASDTTNKGSFTSVSSEKASSVADNVEPINIKGQEDTGIWVQRPGPEVSQIWEPRKGKSRRVDNQVQRVSNNGSPNNETSSSTDENQEGSRNPMKSVGRGLRKIGSVFNRNNNKKDDLSIGSIEEESHCQSPRINVKAMNQKDVGVKYIVDDNLSGPLTGKSVESESMNGEENHSKGHMKDVAKSFLKQAEKSAKQFKNVFSLKGIKKARDGQQEIIPESDSVTDSDSSSDDDDDEYTCVQKVGTVEGTPRGLTREGNIVRTGDEDHLDTNKTLAEEAKEDPSADIADSSRDAEAKEEKVEEADSETTGVDVAMNAKTEDEQVVTAKNIEGEEKEVSEVKL >A02p011470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4966517:4973412:-1 gene:A02p011470.1_BraROA transcript:A02p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEDHMNQGDHIMNNGEGSMPCFSETTITSSNHLYPMTAATKSSEEDHSISLSLLLNLSTIQDKVHHIQSLSTSSLAVANIESLVQEIVTAATSMMLTCQQRHIPSNNNSNFNNEQTVDAIVMKYSTQETEPDHDFTPESSTNFLGVQERGNISFIDQNLDWHGTQSINPKKDQHRFKTRPENYEILELGADDLLAKFTHYCQICGKGFKRDANLRMHMRAHGDEYKTREALISPTSHGKKVEEHSLMRHYYSCPQHGCRWNQRHEKFQPLKSVICAKNHYKRSHCPKMYMCRRCNVKHFSVLSDLRTHEKHCGDIKWVCSCGTTFSRKDKLMSHVSLFVGHSPVHGPPPQQRDHIINNGEGSVCCFSETTTTSSNPVYNNPMTAATKSSEDEDDISLSLLLNLSTMQNKVHQIKSLVSFFMISTNNNNQSTESTSYLAVANIESLVHDIMTAANSMMFTCQQLHISSSNNSNFDNDKIVTDFSPRVIDRHNDFTRESSANFLGVQERGRSSFLDQTTTQNLDWYGTQTINPKKEDHRYKSRSGDYELVELGVADLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKTREALISPTSHDKEVECSSTRHYYSCPQQGCRWNQRHEKFQPLKSVICAKNHYKRSHCPKMYMCRKCNVKHFSVLSDLRTHEKHCGDVKWICSCGTTFSRKDKLMSHVSLFLGHSPVHCQPPQHPIIHYT >A06p008080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2803008:2805133:-1 gene:A06p008080.1_BraROA transcript:A06p008080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSNQLLRVHTSTRRLSQSEGMGNKVTVSLGSAIEDIHEDPVTGIRTLIQTSNQQLDSSSLVKFSIHSTCHSHIAYLLFSRFIHILSSGGQVSATRVSTVTSLCDDLASRIASAASNLGFGTNGFEINLFLIFATERVSGFESIQGEEKRSPAENPRRSEALAVDSFSLPRAVSLLLLSLRRVSPLSLSLSSPRLLLSLSAASLSPRREQPRVVVVAAWCQRLQIPFLLLSILRSRSRSRLSKKESKGLRKKEMIRRGTGGEYGKRGGRSVQKRQVRIEAPVRLSHAESWRKGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQERGGLVRLSCVGPRELGVVTSRFSFRIERTISGNVDGKKGNAPKTHGTRNGTHGDVGKSRHVCPKPRALEPRLEMGRNGCFTTNSCI >A08p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10743599:10743920:1 gene:A08p016530.1_BraROA transcript:A08p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EWLKYVYILGEKSPFGPSNNPIVGMTATGLDSYNGVEVFVDLVGDLDINDSKQFKSASNLNIIKNRPKPLKT >A07g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7725589:7726501:1 gene:A07g503940.1_BraROA transcript:A07g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAEVMVMVAETASLAEVENEETEIMVMVVAVTDFVYGAVQALHRFKNGARRSTNSVIISTGAVAKRLSFAGSGEGADGFWNGGSRHVLYATAPLRSLGTSLWWLSAAETR >A01p023480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11614759:11615419:-1 gene:A01p023480.1_BraROA transcript:A01p023480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DI21 [Source:Projected from Arabidopsis thaliana (AT4G15910) UniProtKB/TrEMBL;Acc:A0A178UX68] MAAARSLSGAVKSLCSAASHNISGSIVLRRSYVATVPGFGKGGSTRVTVGKMEQRANQEAESAWAPDPVTGYYRPSNRADEIDPAELREMLLKNKAKPF >A09g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2653443:2654873:-1 gene:A09g500660.1_BraROA transcript:A09g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVKIILSGAGAVSLCDLICSMIPHKTKRGAAALARMKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELETKRKERSQVVYERKKQLIKLRTKAEKVAEEKLGAQLEILAPVKY >A02p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13934598:13935549:1 gene:A02p026540.1_BraROA transcript:A02p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTALEEAKIRLHVTEAEASWWKVTAYIVSKHIGHWRNKECDLMSWRESNTRTRSNIKAVCEIERTRSNIKAAEMRLVTAMKMKEAARAAEAVAIAEIKDVTRRRRTRKMLQEEIPEKIEETAQEIKSNRRTIEEGLETVNSAKMKAGEEETQWQWSERRRRSSSYKGKYKNRRETVLMDENGLDMMMNGDGTASSVTVLKPATSIGQILSRKVLLADESAMMMNGRVSLERNGEGKEKYKRVNGKRKKFGLAKLSVMLNKECKKNKKKKVALNLN >A06p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8008253:8009610:1 gene:A06p017760.1_BraROA transcript:A06p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDDAKAVKKEEDEDDNKSLGSLVRKKPSNANNAGSSASRKLKKDDDDDDDNKPIKSSLSGSRPKPVKKKEIDQDDDDDEKPLSKRSSSLVGVSKEKELKKKKMEKEKEEEEAKKKKKTVKEEGEVKKKKEKKVYDLPGQKRDQPDERDPLRIFYESLHKQVPTSEMAKIWLMESGLLPAAEAKKVLEKKLQKTGKFSSPTKSAASTPRSVSKSAVTEKKEVKKPASEALSGKKKGNDSKKRKKDSDSDDDESDDDDFVASRVSNKKARAK >A08p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5225420:5226768:1 gene:A08p007900.1_BraROA transcript:A08p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGQEKENSKVVIGKDSTTSIVEKEVETSVESSVEAVDTVVDKNSASVEANENETDALGNIAEMGVEEPSLAKDGLVRLDKNVLVELTEGTDLASSVTETTTDLPAPVTEELSWLNVSPSKRGWSPVKPVENNVISPSHFAVLNEESEDKEEDINYSQEKGETPGKAEAETGTISQKDDGESEEGEIIEN >A09g513760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41832465:41834111:-1 gene:A09g513760.1_BraROA transcript:A09g513760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGLCNQKSNWKTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGGRRLKRVPTPYIYKTLFFSLSPVDIVHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGPRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTSVSTRRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVSVCPSAHAGRPSVHTGCPSAHKGRPWPSVSTQRTSVAVCQHRQDVHQYTEDVPGRPSAHTGRPSAHAGRPCLSVSTHRLIRVLIRILNSYQHADHTYQHAGPSRGLSVY >A07p018090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10897160:10897457:1 gene:A07p018090.1_BraROA transcript:A07p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYSLLVDAHLINRDPRSAMAVSDDMINAGFEPSKETLKNLRRRCLRELDYKKDAQVESLAKNFQIRMGS >A06p020720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10202594:10204237:-1 gene:A06p020720.1_BraROA transcript:A06p020720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITVVSDLKPFKTMWKIRVKIIHLWKQYSVAGGLTIEMVLIDSSGVTIEGSLNYVLSLPREIELKEDDWVEILNFDIRYVFELHRTTKHKYTIKFNESSLFRKIQPVNDFCGALVHVGDLIATKLAQPANIYISIQYLLEFSLINLGTMGVEVILTDNFPDIIRYTHIQCVAFWSSSPSLCFKNIFGLCTYCRYYFIGGFNYVINMESGSDIWFDSNIPEIQFFKSQIPRIDL >A07p024340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14010545:14012075:-1 gene:A07p024340.1_BraROA transcript:A07p024340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 1 [Source:Projected from Arabidopsis thaliana (AT2G28090) UniProtKB/Swiss-Prot;Acc:Q9ZUV1] MDKPMCECDVEKKKKEAVHVVLQVDLHCDGCISRIVRLAGCLEGVEIVRADPVSNKLTLIGFMDPVKTAEKLQKKTKKKIQLLSPKPKNVTKVNNDSKAHVKTTMIAVSTVSLKLNCACDGCVKRIHKTISKTKGVYQVKIDREKEVVSVTGTMEFKTVTENLKRKLKKTVQVVPEKLEKKKENAAGISKSGSPGLPCYGFNYGIGPYGFMGGPITELFSEEDPNSCCVM >A03p025620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10786071:10787652:-1 gene:A03p025620.1_BraROA transcript:A03p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTTKWIDEVRTERGSDVIVVLVGNKTDLVDKRQVSIEEAEAKARELNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSTKQEDMVDVNLKSSNANASLAQQQSGGCSC >A02p025510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12216747:12218180:1 gene:A02p025510.1_BraROA transcript:A02p025510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL11 [Source:Projected from Arabidopsis thaliana (AT1G72200) UniProtKB/Swiss-Prot;Acc:Q84W40] MDWRLKPAKAWSMDPRGLTRSITGHHGSILQLHLCLLLFSGHTSAQATDGDSDMYGESLLDPNMVILMIVLVSVFFTLGFFSICIRKWLERVTGMNNANSVGAGGNRFSLSRPQARGIDASVIETFPTFRYSTVKMLTISKEALECPICLNEFEDEETLRLIPKCCHVFHPDCVDAWFQSHATCPLCRANLVPVLGESVVSIQIPGLADGAPRSELTGDRTTVLGSPDARLIDSVALTCNQSMPRRSMSTGWNLAGIFTNSGWTGQHEENLDRFTLRLPRDIHNKLVDPSFSKCHVTFPQMMSSARGYRTGNLETDANYFYYERFDQDDRFDQDDRFDQDGRLDRRPFSITPPQRRTHIPLNFLTHIKKHSGSATAPPYRTCSIKSPFDWLCLEKNNIGERSSDHLRSGHDSPSVDQVV >A07p011860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8425458:8426209:-1 gene:A07p011860.1_BraROA transcript:A07p011860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKQERPSMRSVKKESSTEKRRGRRHMRREVDEREKVMFDKLREAEREWGKERKKLREQVKRLRKKVEEREEAKTTTTEEREYWKWVVEEMCVERAVRDEAVEKWKQLYLAIKNELDHLIIHTTSSSSGEATMQRQLEGKGEEEAVKTVEELRNEVRVKEDTIETLKEKMALMDREKYEKEREIDILRQSLRILGSKKNKKKGASFASTNLMILKTKCVECT >A08p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22462309:22463894:1 gene:A08p038880.1_BraROA transcript:A08p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLTSRVVPVQVPCRKLSSLSADLSCLELRRYPVRRGSVSIMSYSRLVRPVTASVQPQRVSALGNEGNTVPSKEILDLWRGVEAVCFDVDSTVCVDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLSLFKPSLSKVDEYLQKTPPRLSPGIEELVKKLRANKIDVYLISGGFRQMINPVASVLGIPRENIFANNLLFGNSGEFVGFDEKEPTSRSGGKAKAVQHIRKGRSYKTMAMIGDGATDLEARKPGGADLFICYAGVQLREAVAAQADWLIFNFEPLINSLD >A02p003150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1302440:1306559:1 gene:A02p003150.1_BraROA transcript:A02p003150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMTWVEEGTSHLTSSRKRKARAKRFEFIGWGSRQLIEFLHSLGKDTTTKISRFEVSEAISRYIAENGLIDSSNKKKVACDHRLMSLFGAKTILRIKVYDLLEKHYEENQDDADFDYLYEDEAQIVSRSEKVVKIRKVVEKKPRGIFAKMVSENVKMVYLRKSLVQELVEKSQEAFEGKVLGSFVRIRSDPNDYLQKNPYQLVQVTGVKKEPGTDGFLLQVTNCVKDVSISMLSDDDFSKEECEDLHQRIKNGSLKQPTIVEMEEKARSLHEDQTKHVCMLTSSPASFLYRLFTSALEHDFSDDIDQALTWLGRELASLQKRIAQATEKGWRREYPFLRINIIRIYLEKRELLQTPEEQSRLLRELPEVIGEELVPNPEASPEAHKSCDNEQRLSESPISSIQETPEVRNLFGGDDQQCNNGFLMSNTITTPGITSHAVDLPTWTASAGDESLHRDVEQTANGITGEEETPTKEPDVSQLQSSTPVLNHNNGSQAQLNPSEIIELSDGDEDENCGDGETLDPTVEHVQVLSSPPPSACLSEKKRKRDRRTPYDREKASWLYKDPQGDIQGPFSLTELKAWNDAEYFYKGFKVWMTGQSVSSAVLLTDVLRGVHEQVLSCEPVTIRLTTAVYIPSLSSLSISFVLFFCFKFLHLGSETCICLHLLPQLVLQRNRAALNKSFREQQCCCSTRSNSDKPGTQKKKPTSKLHERRQCMRPKLEIVKPPLSFKPIGTTPSSKSGITNLLTSPVGSTPSSLFSDLSLIEGDKADIGQEEKAIKERRFYLHPSPRSKPGYTEPELLTLFPLTSPNSSGGP >A07p012090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8344746:8345847:-1 gene:A07p012090.1_BraROA transcript:A07p012090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSSTRGLCYPCGYTFYKKLGHKLSSSSRYFLLELHKKWSVHHGSWTSSSQFSGCGWLRLRGLSIEIYVLLVVLFRSGYPDHLKLE >A08p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21092683:21096288:1 gene:A08p035790.1_BraROA transcript:A08p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGEEFDGQMVPEWQQAYMNYPCLKSILQEIQNSRERSRTAGALRPKKSVHRNFSGLTKRYSRAASYLDLENQDIMVSTRIGEDGFERYETSIMRVAEAGRESELVFFKTLDLEFDKVNHFYRSKVDEMVQEAVALNKQMDALFAFRIKVDRPTSPWTCSETVAVDVNALDSKEQRRKSLADETGIEIKESSGGDSIKESTPEALSVLDRIKLNKTQETPLSTIRNILKLSHQEELKFTRENLKKIEERLKKAFIEFYRKLRHLKNYSFLNTLAISKIMKKYDKINKLMVRVESIFVEHLASSNRSKGMNLLRPIVKKERHRITFSTGFFVGCSVSLVIALVLFIHARNIMGTAGQKLYMETMFPLYSLFGFIVLHMIMYASNIYFWKKYRVNYAFIFGFKEGTELGYRPVLLLSFGLGTLALAAVLINLDMEMDPNTNDYKTITELLPLFVVAIVMAISVCPFNIFYRSSRFFFLAVIFRCIAAPLYKVSLPDFFLADQLTSQVQALRSLQFYVCYYGWGDFRLRRNTCRSSDVYNTFNFIVAVIPYWSRFLQCVRRLIEEKDISQGFNALKYLLTIVAVCLRTAYSLNRGNNWRLAAWVFSALATFYGTYWDIVHDWGFLHNPSKTWLREKLLVPNKAVYYVAMVVNVVLRLAWLQTVLDFNSSFLHRETMIALLAFLEIIRRGIWNFFRLENEHLNNVGKFRAFTSVPLPFNYGEEEESR >A01g509700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26486796:26487274:-1 gene:A01g509700.1_BraROA transcript:A01g509700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTPLSGSLSISTNKGDLLGSLGLCLQPPRLSLTPTLLAHK >A04p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:154104:155239:-1 gene:A04p000270.1_BraROA transcript:A04p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFRFPFSFSQPSLPKPPRATSSSSRFSVSAVAVTLTVGAAAAGAAVAASRNPSHSIVDWVFSSHRSSLLPWGSMTLADSSSESVAEPKTGFSFPATIGESRRLLGVGLRKKSVLGLKNIDVYAFGIYADCDDVKKLVGEKYASLAASELRGNKAFIDQLMEADIKMTIRLQIVYGKLNIRSVRNAFQESVGNRLKKFGGVDNDELLESFTSLFKDEYKIPRSSIIDLTQEPGHVLSVAIEGNHVGSVKSKLLCRSILDLYIGEEPFDKNAREDFLDNVASLAAHK >A07g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14124570:14132601:1 gene:A07g506020.1_BraROA transcript:A07g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQRTFTPTHNEKEILLSVFKKQNCVLEKKDKEMEVEPKFKRICVFCGSSAGNKTSYRDAAIELGAELVSKNIDLVYGGGSIGLMGLISQAVYNGGRHVIGVIPKTLMPKEITGETVGEVKAVADMHERKAEMAKHSDAFIALPGWFLHFSYPHF >A07p039810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21160327:21164257:-1 gene:A07p039810.1_BraROA transcript:A07p039810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQLFIVQSMPEFNKGVMRPNLNAVPNQQLSIQPDANIDKECYRSKRSNYHLGVPDDDTDEDVVFLGEILPSESGHHCPSKRQRVEAHPPPQDDETLRSGVEVVEPMESDEQTKREGEANTADTAVPLEKRKKRDVSLVERFTEEEIEMHLKSLHEGRVELKNIETCQLCGESELLFPAPPLYCSLCNYRIQDESLYYIPEETISGAQHQICSPCYDHCRRQKFTLSGVDIHQICGLYNPEKDTDKTSDYICPYCLLEERRSNDSVGVHDNTDLEAKDLPETILSHFIERRLTKRLKEERRQTAEATGKSLDDVSLPDDLTLRVVFSADKSTHINKTFADFLHDEHYPSEFPYRSKVILLFQKIEGVDVCIFALLVQEFGSECSQPNKRSVYISYLDSVKYFRPERVTFSGEALRTFVYHEILIGYLEYCKFRGFTTGYIWSCPPQKGEDFVMYSHPKTQQTPSTKKLREWYVSMLDKAKEQSVVTNVTNLYDRFFVPTCNITAARLPYFEGSFWSSCAELLMSQETESEVENVKSLSRRALKGNKIKDSNDAKNILLMRKLGIRISNQKEDFIVVDLHYTCTRCSETILSGLRWFCKKCKDLQLCQRCHELEEELLGEHTHTMNGEEHSLSQAIMNIQSTTEDNDVILGNNTFESRQMFLSFSQKHNYRFDTLRRAKNSSMMILHHLHTSNKLHQSQISSGALLQVVTCTSCQKDVSTTIYYTSLCCPSYRACTSCYSNTKYLRLRHLFPVVPCIHGIPPRTVVAIEILEALSHAHACRPMAAGPCSHPKCSVAKILFNHTEVCEKQRACRTCVHFAMVIRIHAYHCQDPNCSIPRCSCAKEQFAMRGL >A02p048940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30419798:30420383:-1 gene:A02p048940.1_BraROA transcript:A02p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKRLCCAVMRINLDCNACCRKVRRHLINMKEVETHVIEKKERKIIVCGQFRPSDIAVKLQKKMKRRVEILEIEHLSGDHGGGEEEHYHEPPYEPQYEYPVQPDQVSTPLLC >A04p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1462127:1465158:-1 gene:A04p003010.1_BraROA transcript:A04p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIKPKSPARFKLGRQSSLAPDSRTPTDTLTEDEDEDFAAAAAAGIVDPTIRLMYLANEGDIEGISKMLDSGTNVDYRDIDGRTALHVAACQGRTDVVELLLSRGAKVNSMDRWGSTPLADAVYYKNHDVIQLLEKHGAKPTIPPMHVLTDREVPEYEIHPSELDFSNSVKISKGTFHKASWRGIDVAVKTFGEEMFSDEDKVNAFRDELALLQKIRHPNVVQFLGAVTQSNPMMIVTEYLPKGDLRQYLDRKGSLMPAQAVKFALEIARGMNYLHEHKPEAIIHCDLEPPNILRDDSGHLKVADFGISKLLVVKKTDKKDRPITSLDSSWRYMAPEVYRNEEYDTKVDVFSFGLILQEMIEGFVPFHMKEETEVPKAYIEDERPPFNAPAKSYPFGLRELIQECWDSEASKRPTFREIISTLELISDRIARKMSWKVRLGKCLPRIRIFTKRDYVNPSSSRSSITR >A02p046170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28871353:28872845:-1 gene:A02p046170.1_BraROA transcript:A02p046170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSTYGAIRRDPRLVRYYPYTTGSSSAVKEEMKKEVIQLGVKLSVSVVESMFLLCDDIRTMLFFCYRLWRGYNPRPYPVLERLLRVMHCVYLRDIKPKKRVFKNYGQSVQWRLISTTWEDFDNGVMVMHRLVSRILRRKGRSYDDRLLFSAIEKYKQVLKRLDDKLRSKKNVLEKNGFMRETIEPNIYDLWKSIFDEEAKETTYTLKEIRNSIISGIFDPISGKPIHRKIRALPSHTPYILGTLWFYLKLWIDATKDRDQDSPVAERVLYVNYDIKPKDKEFENDDVRWVQSKVIRTTRKDFAAGIRDLDRLVTILRGEGSYSDGREITSRIEEALKRIDDKLRCTKKNSEENGFAREVMESNILEVWRSLFDKNAKEAWKPRVMRRIVSLTDICKPLLEKTL >A09p065300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52268161:52274820:-1 gene:A09p065300.1_BraROA transcript:A09p065300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAEQLKRGISRQSSTGSVRRGTLSRQFTRQSSLDPRRNNMRFSFGRQSSLDPIRRSPESSSSCQPQMMSVPENLDSTMQLLFMASKGDVDGVEELLDEGVDVNSIDLDGRTALHIASCEGHYDVVRVLLSRRANIDARDRWGSTAAVDAKYYGNVEVFNLLKTRGAKPPKTRKTPMTVGNPKEVPEYELNPLELQVRKADGISKGTYQVAKWNGTRVSVKISDKDSYSDPERVNAFNHELTVLAKARHPNIVQFVGAVTQNLPMMIVVEHNPKGDLSEYLQKKGRLSPSKALRFALDIARGMNYLHECKPDPVIHCDLRPKNILLDRGGQLKISGFGLIKLSKVSEDNVKVVNHEAHIDKSNCYIAPELYKNIIFDKSVDVHSFGVILYEITEGVSIFHPKSPEEVAESICMEGRRPTIKTKSKGYPPELKELIEECWHPDTSVRPIFSDIIIRLDNIVANCSKQGWWKDTFKFPWRCTPDSSVDDKASAQSFQSQTVNSHPYHSLTNHPPVEANRVTKDKSFTGGYGLLLRSRFHGSSHLSSFGFGSLETVPSLGMRYMSVSIRNAATTAAAKKPEEEDKKDGGVAMNRKEASPEECDQAVESLSSVKAKAKAKRLQESKKVARSIVQRTWAFVLAIGPALRAVASMSRADWAKKLTHWKHEFVSTLKHYWLGTKLLWADTRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFILVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLLARIEYAKFLQETAKEMAKEVKHSRTGEAKKTAEDLVRKGRLVQNDEILGFAKLFNDELTLDNISRPRLVSMCRIMGISPYGTDSYLRYMLRKRLRSIKEDDKLIRAEGVDSLSEAELREDCRERGMLGTLTVEEMRQQLRDWMDLSLNHSVPSSLLILSRAFTVAGRVEADAVRATLSSLPDEVVDTVGVTSLPSEDPVSERLRKLEYLEMQDELIKKEEEKEEDELTRIKDVKGGEEDKALQEMTIPTAREAQEQARARVLEQQDDLCKLSRALGILASASSVCREREEFLRLVKKEVEFYNTMVEREDVDGEKAAMKAYKAAREDSDQGDEVAESDEVSSALMEKVDGLIQNLEKEIDDVDIKIGKGWQLLDRDRDGKVTPDEVAAAAMYLKDTLANEGLQQLISSLSKDKEGRIMVEDIVRLGRLGSKPEENATEEESN >A04p029250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17496706:17502988:-1 gene:A04p029250.1_BraROA transcript:A04p029250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSNKDIFVRGFTKALEEKWQVDVQLKARDSDEGVSIFAHKLVLASRSEVLKKILELDEFKAPSQPVEAARPSLQKGRRGMGVGPILNFKKKMITGRPKKQIRKNPETFCCRGHYVMLKRSCETVTFSELKHEELEAFVKFIYSDGSGLSAKEKEHVRSLYVTADKYEIPHLRDLCRNELVKSLNLSNALEVLELSLIPLDNALHDAAFCYIINNLKTIANSLEFKLFATRNTDLTKLSSYMNLVIYQSIKNTYRIFTVIHISSDHFALDPKSHDHNDHIHITVVHTNHTHTSFIHITRARCSVVLSTPKLGPAHHRCPYLSSSFFLPLPKHINLVNN >A10p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4879503:4881515:-1 gene:A10p009490.1_BraROA transcript:A10p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVCSAESVVDGSLDVWSCKNSAADHLVVMVHGILGSTDDWKFGAEQFVKRLPHKLFVHCSEKNASALTLDGVDVMGERLASEVLEIVETRPNIRKISFVAHSLGGLAARYAIGKLYKPPNLQGPSQATICGLEAVNFITVATPHLGSMGNRQVPFLFGVSSFEKVAGLVIHWIFKRTGRHLFLKDEEEGKPPLLRRMVEDTGDCHFMSALRSFKRRVVYSNVGHDHIVGWRTASIRRDSELPKWEDSLDEKYPHIVYEELCKSCDGEDVPEGENHSNDIEEEMIKGLSTVSWEKVDVSFHSSRQRFAAHSVIQVKSETMHIEGADVIEHIIDHFHP >A02p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4063830:4069493:-1 gene:A02p009650.1_BraROA transcript:A02p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGAGNQDNEHYTSVNAMSKEKRICHRHSPQQIQMLEAYFKECPHPNESQRQKFCNELNLGIDQVKFWFQNKRTQSKAQDERTSNILLRAENEKLQCENAAMLEALKNVTCPPCGGPPFGGEEREPNLHKLRLEGDSLVAAKNKYRQTMLDSLTSVQRQQTFEALTSYGTNPYNPFEQPSSSESQTIQPQLLSQMDIPQLSETAAIAVEELKQLFLTDEALWVMSSIEGTYVIDQESYEKFSHSIKHFRNLSARVESSKDVTVVPIEATSLIDMFLDLEKWKMLFPTIVNKAEMIHTLGSELPIKENCNVLQMIWEQLHILSPLVPPREFMIVRCCQQIGEGLWIIADVSQHIVNSDQVSPSCYKRPSGCLIRSLPNAHTEVSWIEHVEVDHTADTHRMYRELVSGGSGYGARRWIVTLERMCERMALSTILIMPATDWSETIPTMEGRKSVMKLGERMVKIFNEMLIMSGKIEFPQQSKGGVRILIRMNMKPGQIPGLVASAASCLSIPLTPLQVFNSLRSNDTRHQWDVLCHGNAITETARIFTGSSGTNYINLLQPTPPWDIGQNMVQEPHKTMMVLQECYMDALGGMIVYSPLDMATMSIAASGEVDPLNIPILPSGFTISSDNNRGTVLMLAFQILISDENSKTRNVSENTADKVSRLISQTVQSIKVMLNCPPE >A02p053690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32631978:32635260:-1 gene:A02p053690.1_BraROA transcript:A02p053690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQDLYPSQEDLVYEEEILRNPFSLKLWWRYLISKSDSPFKKRFVIYERALKALPGSYKLWHAYLRERLEIVRNLPVTHPLYDSLNNTFERGLVTMHKMPRIWVMYLQTLTAQRLVTRTRRTFDRALCALPVTQHERIWEPYLVFVGQEGVPIETSLRVYRRYLMYDPSHIEEFIEFLLKSARWQEAAERLAFVLNDDQFYSIKGKTKHKLWMELCELLVRHANEISGLNVDAIIRGGIRKFTDEVGMLWTSLADYYIRKNLLEKARDVYEEGMMKVVTVRDFSVIFDVYSRFEESTVAKKMEMMSSSDEEEDEAEEEEEEDVRLNFSLSVKELQRKILNGFWLNDDNDVDLRLARLEELMNRRPALANSVLLRQNPHNVEQWHRRVKIFEGDAAKQILTYTEAVRTVDPMKALGKPHTLWVAFAKLYEDHKDLVNTRVILDKAVQVNYKTVDHLASVWCEWAEMELRHKNFKGALELMRRATAVPTVEVKRRVAADGNEPVQMKLHRSLRLWSFYVDLEESLGTLESTRAVYEKILDLRIATPQIILNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVKRYGKAKLERARELFEHAVSMAPSDSVRTLYLQYAKLEEDYGLAKRAMKVYEEATKKVPEGQRLEMYEIYISRAAEIFGVPRTREIYEQAIESGLPHKDVKIMCIKFAELERSLGEIDRSRAVYKYASQYADPRSDPEFWNKWHEFEVLHGNEDTYREMLRIKRSVSASYSQTHFILPENMMQKDKIDVEEAKDELKKAGLPDDEMAALERQLMAAPMSTTVTSKDGGRRVGFVSAGVISQSGENAGKPVTGNGEDIELPDEDESDGEDNVEIAQKEVPAAVFGGLARKREEDGKEAEEDGAGKTLGALERIKRQKLAQ >A05g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7527164:7528065:1 gene:A05g502370.1_BraROA transcript:A05g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLYAIVCAHYADDMDPLTSSIEYHYKLVDEGRTFDAVLALELPKGCTHQWSSFLTPEELTMILQRTSLDVKEMAGFVYNPITGRRLLSDDIGVNFIAYGTKKE >A07g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14303663:14308917:1 gene:A07g506080.1_BraROA transcript:A07g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLDKLPQMDLMRSEKMTLVQLIIPVESAHRCVTYLGELGLLQFRDLNADKSPFQRTFANQVKRCGEMSRKLRFFKDQIDKAGLRCSPRHELEPDIELGDLERQLAEHENEVLEMNSNSEKLRQTYNELLEFKIVLQKANGFLVSSNAHATGDETELHEGTYSNNGFIETSSLLEQEMRPEPLNQSGLRFISGIINKDKLLRFERMLFRATRGNMLFNQTPSDEEIMDPSTSEMVEKIVFVVFFSGEQARTKILKICEAFGANCYPVPEDTTKQRQLTREVLSRLSDLEATLDAGTRHRNDALNAVGYSLTKWITTVRREKAVYDTLNMLNFDVTKKCLVGEGWCPTFAKTQIHEVLQRATFDSNSQVGVIFHVMQAVESPPTYFRTNKLTNAFQEIIDAYGVARYQEANPAVYSVVTYPFLFAVMFGDWGHGLCLLLGALYLLARERKLSSQKLGSFMEMLFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGGSAYKCRDTTCSDAYTVGLVKYRDPYPFGVDPSWRGSRSELPYLNSLKMKMSILLGIAQMNLGLILSFFNARFFGSSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHILLLLMAFIAVPWMLFPKPFALRKIHMERFQGRTYGVLGTSEVDLDVEPGSARGHQEEEFNFSEIFVHQLIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENILIRLIGLVVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFFHGDGYKFKPFSFALISNDDE >A07g507810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:21739496:21740311:1 gene:A07g507810.1_BraROA transcript:A07g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFEVSREWYDWVGQDSFQGLPHQNLRNHIIELEDLVSRSEQNEVSEYHMLCKILPYSISGDTFSWFSQLQPGSLTSWEDIERAFLYKFLDEAEATREKEKNDKWDKLVESWQIKREDQIPRELVHYIMAEGNKQHGSGELNRVEEADISDTASASIIITTSSSINGTTSMLTNSTTSMSIDGKTSTSTSGTTSTSIDGTTSTSTNGTTSTSIDGTTLGMIDNTISASINKNTCCRLTLLKSLKVRVVLRTLQTRHWRAPMNQAIIFPQI >A07p031440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17392771:17394520:1 gene:A07p031440.1_BraROA transcript:A07p031440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVDAGSKLLKAGAAIPDQSPAMIIPSQMKRMVDDAPENPTTVFEDVTLDPIERGFIRDWDAMEDLLRYVVYTGLGWEEGNEGNILFTDPLCTPKAIREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASKRFELGGTDLTKLFAQELGKSNPSVNLSMSDVETLKEQYANCAEDETAYEKTQNCEIEQHTLPDGQVINIGRERYSVGEALFQPSILGLEEHGIVEQLVRIISTVSSENHRQLLENTVLCGGTTSMTGFEGRFQKEASLCSSAIRPTLVKPPEYMSENLGLYSAWIGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >A05p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1741111:1742533:1 gene:A05p004440.1_BraROA transcript:A05p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMKSLTIWAIFAAVLSQQLFASVASIKFEDEKTYYSPPDPNAGSPPSGTPPSHGGYTPTPSTPSHTPPSNCGSPPYDPSPPSHTPTPSTPSHTPSTPSHTPSTPSHTPTPSTPSHTPTPSHTSPPCHCGTPPSHPSTPSRPSRPSHPSRPSRPSTPSNPPSGGYYSSPPPSTPVVVTPPTPIVDPGTPSTGGTPPSSGGYYSSPPPSTPVVETPPTPIVDPGTPIVGETPPTPSSGGYYSSPPPSTPVIETPPTPIVDPGTPIIGGTPPTPFIDPGTPGTPFLPAPFPPITGTCDYWRNHPTLIWGLLGWWGTVGGAFGAVSIPSSIPGFDPHMNLLQALSNTRTDAIGSLYREGTASWLNSMVNNQFPFTTSQVRDQFLAGLSSTKAATKQAQTFKLANEGRLKPRI >A09p081740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59407169:59410371:1 gene:A09p081740.1_BraROA transcript:A09p081740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSVTCLILSLVLLNLSVECVLGDGSVVGPVRFRDDDCRWGRRCGGRGRFGRGGGGGFGGGGGRGGGAGHGGGFGAGGGIGGGAGGGVGGGGGAGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGIGGGGGAGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGLGGGGGAGGGGGGGVGGGSGHGGGFGAGGGIGGGAGGGIGGGGGAGGGGGGGGGIGGGSGHGGGFGAGGGVGGGELAGVEAVVLGEDPAMVVDLEREEESVVVEELVVEVCGGGGGGGGGAGGGGFGAGGGVGGGAGGGVGGGGGLGGGGGAGGGHGIGHGGGGGFGIGIGIGVGVGGGSGQGFGSGSGSAGGGHLKKRSLGRTQVKTPPPLASLDAGEAFCRRRRVLCSVSHSLLISVSYIVVFSPCVSILVVSTIAISPDLLIATSFHVTLLVATASPSRLLITAQPLKANRSTRSTHVVCGLVGSTHMLVLTESPLDTRPKPPLFGPFPSPVIVKVTRQVPSPPKRLVSPPTPNLEPLDENLHRGFKSERSLDRNIHIVVVGVLSLGFVESHEFRYGNSGTQFLSLFPALVPSWNSVKSIYSPPPRHLSPPTTHLCFAFPFNYRSSSTVRFTPSLRSSSPYQLLVPNPHKSLDMSFQSVAMELRFSSGLDESHGSRYGNIGVHLLIWISVRSPSCLIVKSIASHRPRPLVTPIPSASRWYSTDTCFGLNQNYLRSLNVLIIIYLSHQSSSEASCLSMVRRASVQRVHLAQSRDVELKLPLFVHSSQVSRVSFSSEFVTGAFRVQDPAYLFVSSKSRTLLLSVSDEIHVVSSGNIEVGVRAVHARSTSFQTWQFGLINVACDYFMLVVVAYSGTHPLLPTVLHLSSKSLLLALLSLGLVFVFYDVLQTVEDPSGYYLVTVEQSSGCNRLNSF >A01p037220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17202997:17203961:-1 gene:A01p037220.1_BraROA transcript:A01p037220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNDGIKARRENPKLDKNPKFGIMQFFKEAGDSGNNFHQAHQNGSEKIFGIDCGTKICWKGQKSRKPTKKLEVPLCIWPGRVVDVHGCCTCSLTSHSSRCRDTCDLRMRTDMQRPVCRDACTPAMLRDIWMWKHASGHVDARVSQRMRPEACGTTHSRLCVTILLAGDFYLNPSPPAHFHSSITHKKTLKDVASERKRKKNKPRYHREEKFSPIEEFLQSLSGSSVQTILSKPFFSFGDQVEVLLILVQISQSFEADTLPGSPDIVHNQGELLSEF >A09g518040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54626140:54626667:1 gene:A09g518040.1_BraROA transcript:A09g518040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCFHLKENSRSFTVTNNNKPIFCWDCEIPCPTLSYLISTILSTLGLRQARMDLLLSWTSIYILDTPCLIIQCGTLIDISHSPPQAKDHTPCALFPLANHLGALSHLRHSTPLVARVPFLLEGYFGLLADLLSTWL >A08p027120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17189007:17190445:1 gene:A08p027120.1_BraROA transcript:A08p027120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPRALSVSFFVLPLLALLINPATSIRTSIIKLPRSDGSRSAAADTYCESWRLAVETNNAGTWDVLPSSCVDSVARYLNGDQYGSDYDVIVDYALAFAKTVKISGDGKDVWIFDIDETLLTNIGYYKNHGYGVSRSEPFDSKSFNEIGHGIPQSQQRMYNALKKLGFTIVLLTGRDEDQRNVTETNLRDVGYSGWERLILRGPDDQGKSATNYKSEQRSKLIDQGFKIHGNTGDQWSDLLGFAVADRSFKVPNPMYYIP >A05p024030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11644002:11645023:-1 gene:A05p024030.1_BraROA transcript:A05p024030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILVLRSDLQTGRSSSTVKVRLLRFWETRNVRRGGELMGVHILLLDSQSTMMPATVSVNSLATHQPNLEAGSVYSLTGFDVTRCNQNYRLSDSPLLVRFSDSTSFKKHRDPPQDENHVMATIKMENDMSVTMSLFDAQAVKIHNQLEKMGVDPRVVVATSVNPKMVGGKQPV >A02g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26534189:26539766:1 gene:A02g509920.1_BraROA transcript:A02g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPEIHAVFCPTLNCSSPRYYFTDRRIGTRKAFYSSFSGKNASGTPDLYLILFDHTISLLFPELLYRIFVLQVYVWSLISTDEEGDDLFRGLGDKEDTSVDTMVALIDDDYPFEHKTWSGGVKADDVKLKKGHAQTSESSDENVSNPVEKDNAHHGGVESGGYPGDPRGQSSANPSGVPHGGESFHFDVQTLLKRAADAYEEKVIAMFEGYILSLKGYFNSEVGGLRTDLQAATSAITHLESKVTGEFDKINELRKSGLRGADMGATYGFSPARHSSPFPGQNDDFNNSEVNPDRPTTHTGAPEINLNTMNLSFYSMSFHNLTRLFHILFLVCIMLQSTPRDGEDVAATRTASVGLGQNLDEGERGGGLSPGKQTDSTDGAEFRAETGGEHSGDAHTGHDPINVESPSPSVNVASPDNSDAANPPSRVDVDQVNVSSECRVDDPLSGVVNKILSEAGVDKNPLRPSTGAGTDLPQTSADVISEKVGLDGVHDDRGEAAVGKKAGRVNTDAAGGQADGGRRFSRRTHTSTKRYTPPAPTVRKKDGNKKVARQMNGNAPPPKWVKKVSAEPSNPKPHPQEKPTFIGGFSPFTPPTPAAREAFLKTMLEAKSNAPSLGSVISIASLDDVFNCTGVCSYEAVDRVVGWIRKRRDRNSSSKFDFIPPTFFVDLIRSYPAFEAMQDKSAFTFPMSLCSQFMHRPQWFTQVDFLYTLILVKDRHWIGMIVDLPMWAIYVVDANQTCHPITVVKDVVNPISIMMPHMISRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALILLEIATVGKPLIDLALTEEEVRVAAENYAISTLGNHVTRSNGTVYRIKGWGRVWV >A01p011260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5469257:5476939:-1 gene:A01p011260.1_BraROA transcript:A01p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scar-like domain-containing protein WAVE 5 [Source:Projected from Arabidopsis thaliana (AT4G18600) UniProtKB/Swiss-Prot;Acc:Q5XPK0] MPLVRFKIRNELSLGGPELNRSPAVEYEEPKAILGAVEVAGLVGILRQLGDLAEFSAEVFNGIQEEVTVTASRCQKLTSRVKRIESALSPLEKAVLSQTSHIHFAYTAGCEWHPRIRNGQRHFVQSDLPLCVMETYEQCRDPPPLHLLDRFDAGGPGSCLRKYSDPTFFRKELGNHSKVDDVKAQKDQAHRKRKKKRLPQRNICRSRAVSTSDDTNGARLSSLTDDIPTTSQSTSTVDMPRSSNMQDLSGSIDQSHLQEQSNSQEQSEAQVQSDLQESSRPRDSITGSGYIEYVINHSPVNKPDVKLLEGSLPSSLQPADIIGSTVPQGRVEVVDDNIRYSPSSKEIPVPYASVVCDDEKKEALESRAEKSNKDEEASEIHEPKIGPGTPDRVKQNQRDFDRTYDFFDEVCIVGEKQSKSQANSIDEKPRIESEEENTSEADEFVDARNTIESESESEFDGIPKPKLEHYFGDISTYCSEDASSDNNGGSEDIPYEEMVEDLRHENSLDESCSVSYLSDDASVSCCQSDPVCGKVLSHDKTFQNPRDFSAMRPSLLAEAAFQNETILREPVAAHPLLAGDCANEKISSEERISSGMSLKDAIPAEKILPEEHLANYPSLAEAVPHEKSLPESSLSKIRSLAEAVPDNMAPAEEPGAAHPSFPKAVQENKISPEVLDSTNLSDTVPEAVSEEQISVEGFVGVSPCLAEVVPDERFLTEEPEKAATACMSLSKVMSTEKSFPEKTLEAPQDLAELPEENILREKYVDYTHPSCAKPVSPKENLSPQVLDSANLSVAEAVSHEQIRLEESGGKNPCLAEAVPDENFLTGEREEAATTCMSSSKVMPVEKLLPEKPLEAHHYLPELPQEKILPEKSVGSAPPDENLSPEVLDSTNVSVAEAVPQEQIGLGEFLGIDACLAKAVPDEWGLPEEPVTTCVSLTKAGANEKTLPEEPLETYPLAELPEEKISHEETDDATHPFESVIDEESSPEVSCLSLEEALPQEQISLEEFVSIDPCLAEAVPDEWLSPEEAVTTCLSLKKAATIEEVLPEKSLERYSFFAELPEEKIVHEEADDATHPSVSKSVSDEKILPEILESTNFPVATVLPQEQTLLEEFVGNPYPTEAVVDKRVLPEEPVTTCLSLTKAATVEGVLPEKPSGTYPSFGEFPDEKIVLQEADDATHLSVSEAVGDEKISPEVPDSTNFPAAAALPQEQIVEEFVGNPCMTEAVPDKRILPKEPVTTCLSLTRAATIEGALPEKPLETYPPFAELPKEEIVFKEADDATHPSVSEAISDEQISPVVLHSEKFPAAEAPLQEKIVLEEFAANLCLTEAVLDKRVLPEEPVTTCLSLTKDATIEEVLPEKPLETYSSIAELPEETVVQDEADYTTHPSVSGAGIDEKFSPEVLDSTIFPVTAALQQEQILLEEFVGNPYLAEAVPDSKVLPEEPVTTGMSLTKPEPIQELLPEESLEAYASLEKSSKGKISLEKSDDEIHPSCAEAESDKNVVPDVLDSENLSVSEAITQEQIEIEEFVGVDPCFAEAVPDERVLPEEAVSDEMILPEEAVTTSLSLTKVAPIEKILPAGILDMYPSLEELPEERIPQEEEHDDDTHPCFSEAVGDEKISLLEHPCSTYPSLEESVPHEKNSDEEIVGTNPFLELAVPNVSSFPDTHGITYQTSAEAVSKEKKLPEESLLTYPSLADDLFDEKASGSEAPGYTTEAGLRNTEEPVASDLSLTESILDEEIPGLEAPASTTETGPHNKSFPEETVATYLSLAEAVPEEKIYLEETDTTCPPSAEAVFDEEISGSEARGDTTEAGPHNNDEPLTTDLSLTESSFDEKIPGLEAPASTTETDPHGKTFSEEPVATYLSLQEPVRDDKVLPKEPAAAFLDLSEGIPDQQVFLDDAAFLSFAEAIFDQKFSPEVPDSMDLPAKNTLEKEVETSDGPIVEPVNIWSNGGLLGLAPSKPPVFAEPKSVSEHIQNEFNEASVIATKKQGSSSRSVEDTEKSSLPLVVSDLTSQQQSNMLSHSNGSLSPLQSTATSFKVFGLSHRLLMAGFRGNTSSTYKFESIPTTSYDTKAAAIEDKTQQTPPRGPSFEEQLAYESSLFGSPTSSPPVEHMKISFSPIDPSPVSKLKLRIPCQPQYNGENVDTLPSFQLVPEASNSDNEDDNSDIFCQSSPGVSDNCLSDSELWESDESPRESASSLKDGGERSTHDDMGSFSSLFLDLPCYDSVNHHSTSPRLEQEQEREQVPEYKPSVSEIIRDWPPNQPNANASPKKTQT >A10p000460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:252283:253335:-1 gene:A10p000460.1_BraROA transcript:A10p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BPS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G01550) UniProtKB/Swiss-Prot;Acc:Q9LMM6] MARPQDPPRGFFPFGNPFKNLSSKNPVLSSNLLSLLNTFETNLASSITKLVPKEKSQILTLSWMSQAMASLCQTHNAIKTLITDLELPVSDWEDKWVDIYLDISVKLLDLCNAFSSELSRLNQGHLFLQFALHNLGTNAPQNLPKAQSSLDAWKQHIVSKNPRLESCHAILTSLVQTLNLPKVKNSAKGKVLMRALYGVKVKTLYISGVFAAAFSGSSQNLLYVTVSNELPWAPSFMDMQNTMNSEMKSIFLSDGLTVMKELEAVDSGVKNLAPAIQQGSIDSISLQPLKDSVTELTSGIDLVSKEVDCFFKILLSGRDTLLENLRSMGAPTTVLTTSPKKAAGKKQRRF >A08p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3407177:3408118:1 gene:A08p005930.1_BraROA transcript:A08p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDFSIVSIPEDLASVIDYWKYNIVNTPLSSHLRWSNRGYPDFLRHIPFKVRIYKLMNGCRRLGIFRSIESKLGQVDETSIIEPTINKEAEDIPSVELEFRYTKLQNICITLHVNAAIQIIKKQGESSGTTEGMQIDSTSQSGTKRKAPDDTEEDTTCDPKRIELVEPQLDGDQGLVVLLKPPKEP >A06p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2142596:2145306:-1 gene:A06p006420.1_BraROA transcript:A06p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVAMKLPSFKSLAPTAISVASTFSIRRSFSFARRSSSLSAATITADSEPVSLGHRARKDFRILHQEVNGSKLVYLDSAATSQKPAAVLEALQTYYEFYNSNVHRGIHYLSAKATDEFELARKKVARFINASDSREIVFTRNATEAINLVAYSWGLSNLKPGDEVILTVAEHHSCIVPWQIVSQKTGAVLKFVTLNEDETPDIDMLREMISEKTKLVAVHHVSNVLASSLPIEEIVVWAHEVGAKVLVDACQSVPHMVVDVQKLNADFLVASSHKMCGPTGIGFLYGKSDLLLAMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIALGAACDYLSDIGMPKIHEYEVELAKYLYETLASVPDVRIYGPRPSESVQRAALCSFNVEGLHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGVNASARASLYFYNTKEDVDSFIVALADTVSFFNSFK >A02g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25024896:25027059:1 gene:A02g509380.1_BraROA transcript:A02g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEVCGPKEEGGLGVRSLNEMKNVSLLKLFRQVVSPSNNSSGYYSTHMERMWFCGEEESPEMGRNTWCWFMNNNIRHIKTLTRYEVKEEEAALIFPKDPPWFKAWMVPVIMVFVFFIVAIVGICRRCRNCRRGENSPSIHPISQTT >A07p046800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25213878:25217447:1 gene:A07p046800.1_BraROA transcript:A07p046800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYTNEEALASLFINVSTSSFLLLLLLYSAVLLLLKFFHFIGGYPLLQRSEDEYDSAAWLSDEEEEEEEEEGEEFKMSCNTSYHVVTRDQKQNHLIADIEDDGESLVFYNNNYKSVTNNRHTEEYMNVHQTHEQEDEDDQDSNVSSADEHFSSANVSPYRSETSVEEEDNGVEDLHDVRYDHDDDEEEIEGVSQYDVVEDLVRKRPDRSIRGPSPLQSGLVFNDKSYNGGYVSNGGIKNDVVEIHPSMGFATREIKTEEVLEEEEEEERGKIFGESCTNGSTSKSSSEWRNSLKTDDPFSSSSRRSCPKWESYTVFQKYDEEMTFLNRISAQKLQESETLKSIMVEPRSISERIVHKLSSNVHKRKQQNTSSNAPRPNPYVELESAYVAQICITWEALSWNYKSFERKRSSTQRISFNDIGCPAAIADQFRTFHILLQRYVENEPYENGRRPEIYARMRTLAPKLLLVPEYHADKEKPCQKIIKAFFGRTKRGSVDPTLVHLMKKVNTKKKTKLKEIRKGGKYMKKKKMSIEEEMEILMGLIDLKVVSRVLRMNEMNEEKLHWCEEKMSKVKIIQDGKAFQRDSTPLFFPPH >A06p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14153289:14165252:-1 gene:A06p026190.1_BraROA transcript:A06p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFAINGQRFELALSSVDPSTTLLEFLRYQSPFKSVKLSCGEGGCGACVVLLSRYDPVLQNVEDFTVSSCLTLLCSVNHCSITTSEGLGNSRDGFHTIHNRFSGFHASQCGFCTPGMSVSLFSALLDSKSSEFTVLEAEKAVSGNLCRCTGYRPIVDACKSFAADVDIEDLGFNSFCKNSLPPFDSEKRVCSFPEFLKDEMKSIDSGMHRWCSPGSVEELQRLLQAARKANSDGLTVKLVAGNTSTGYYKDERDRHYDKYVDITRIREMKEIRENQNGVEIGAVVTISKVIAALKEIRVEKMFGKLAAHMEKIAARFIRNFGSIGGNLVMAQRKQFPSDMATILLAAGASVNIMSLSRGLEKVTLEQFLQGPPLEDYYDLVLTIEIPFWHHQSSELLFETYRAAPRPNGSALAYLNAAFLAQVKDRMVINCRLAFGAYGTKHAIRCKEVEEFLSGKLITDNVLSEAITLLGKSVVPQEGTSNLAYRSSLAPGFLFNFFHSLIITEKPSSNGYYHLDQPKPLPMLSSSQHVPINDEYFPVGEPVTKSGASLQASGEAVYVDDIPAPANCLYGAFIYSTKPYAKVKGISFKENSVVPDGVLAVISYKDVPKGGQNIGVKFSFGTEPLFAEDFTLHVGQCIALVVADTQRHADTAANLALVEYETEDLEPPVLSVEDAVKKSSLFEIYPFLYPQQVGDTSKGMSEADHRIISSEIRLGSQYFFYMETQTALAVPDEDSSIVVYSSCQTPQYVHSSVAACLGIPENKVRIITRRVGGGFGGKAVKAMPVATACAVAANKLQRPVRTYVNRKTDMIMTGGRHPMKITYSVGFKSTGKITALELEILIDAGATLGLSMLIPSNIIGALKKYNWGALSFDFKLCKTNLLSKAIMRAPGDVQGTYIAEAIIENVASSLSLEADTIRKINLHTYDSLALFYKDGAGEPHEYTLSSMWDKLGVSSNFEERVSIVREFNESNIWRKRGISRVPIIYPVSMFATPGRVSVLSDGTVVVEVGGIELGQGLWTKVKQMAGYALGLLQCDGTEELVDKIRVVQSDTLSMVQGNFTGGSTTSEGSCAAVRLCCETLVKRLKPLIEKSGGPISWNNLISQAYAQSVNLSASDLYTPEETPTQYLNYGVAVSEVEVDLVTGQTTVLQTDILYDSGKSLNPAVDLGQIEGAFVQGLGFFMLEEYITDSEGLLLTDSTWTYKIPTVDTIPRQFNVEILNSGRHEKRVLSSKASGEPPLLLAASVHCATRETVKEARKQLRIWKGVNDSELMFQLPVPATMPVVKELCGLDIVESYLEWKSSIEGAFVQGLGFFMLEEYITDSEGLLLTDSTWTYKIPTEDTIPRQFNVEILNSGRHEKRVISSKASVHCATREAVKESRKQLRMWKGVNDSELMFQLPVPATMPIVKELCGLDIVESYLEWKSSVN >A04p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16070756:16071235:-1 gene:A04p026710.1_BraROA transcript:A04p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinases regulatory subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G27970) UniProtKB/Swiss-Prot;Acc:Q9SJJ5] MARIEYSDKYFDDTYEYRHVVLPPEVAKLLPKNRILAESEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRTLNYQAPNPIPK >A04g501420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3568906:3569103:1 gene:A04g501420.1_BraROA transcript:A04g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSFRVQPAGRLVRDCAIRRYETGPAFSRPQPARDGTAWTREMLSPRRGRTGRDGPTCLTFLIP >A08p046010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25131214:25132552:-1 gene:A08p046010.1_BraROA transcript:A08p046010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGKGSMKKSNLDRFLHCTTPLVPPQSLPKGEIRSLNGLWHPWEKEKVEFFRLSDLWDCYDEWSAYGASVPIHLSNGESLVQYYVPYLSAIQIFTSHSSLIRLREESEDGESDGSDSSSDESVSVEGLENNLNDRLGYLYLQYFELSSPYTRVPLMDKINELAQRYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDPEENGGDKERMRKEGEDITLSPFGMATHKMQGNVWLSHDQDDQERLVSLYSVADSWLKQLRVQHHDFNYFCTMSMTYRA >A01g511530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33617237:33618024:1 gene:A01g511530.1_BraROA transcript:A01g511530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPDFSNGSEDPEGSTVLTGSSSVDSKSNVKTPAKCEGSPIESLEAAFYKSTANKHGPSDSIKKSNLLQQGATIEATFHWYVNLLFGINLEEGAWFENNDFKLMHAFALIRPTKNKYHISITRNHFSQRFSLSQTVTFFAVRVTWIFSVVYLILSFVLV >A02p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:286843:288234:1 gene:A02p000480.1_BraROA transcript:A02p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFENRYGLSATQKYDCLLFDLDDTLYPLSTGLARECGKNIKDYMVEKLGIPKDKIVELSNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYDNIKPDPVLRSILLSLPLRKVIFTNADRVHAAKALKKLGLEDCFEGIICFETLNPTHTNAASENSEIFDIVGHFDLSEPVGSLPKTPIVCKPSESAIEKALEIANIDPNRTLFFEDSVRNVQAGKRVGLHTVLVGSPNKVKGADYALENIHNMKEALPELWESDRKSSDVGYSGKVAVETSVRA >A09p003590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2069164:2069472:-1 gene:A09p003590.1_BraROA transcript:A09p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVWVTRQSNNRSNIPSVSHDHLSGKDKCTASVSNDLKPRDQVKASSSEEPENTNVTGDDNTLEEQETKESDHGNDKGNNESIEGASEAVAVSEKPMKNR >A02g511050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29240215:29240685:-1 gene:A02g511050.1_BraROA transcript:A02g511050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADMMLLDEKFLMYNYVGLNSPTAIRVLKAALNDQHVRIQDAVNERHSYSMEPKKLLKKESLTA >A02p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1053686:1055391:1 gene:A02p002500.1_BraROA transcript:A02p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TGA5 [Source:Projected from Arabidopsis thaliana (AT5G06960) UniProtKB/TrEMBL;Acc:A0A178UIF0] MGDTSPRTSGSTDGDMDQNNLMYDGGHVGESSDRSKEKMDQKTVRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTTGNGAMAFDAEYRRWQEDKNRKMKELSSALDSHASEPELRTIVEAVLAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSDLLKLIASQVEPLTEQQSQDLNNLQESSQQAEDALSQGMDSLQQSLSETLSSGTLGSSSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRLQTYQQMLRKLTTRQSARALLAIHEYSLRLRALSSLWLARPRE >A07p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21145021:21149791:1 gene:A07p039780.1_BraROA transcript:A07p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEYEIRTLNQEAQTRWLKPPEVYFILKNHERYELTHKAPHKPTSGSLCLFNKRVLKFFRKDGHHWRKKRDGRAIAEAHERLKVGNVEALNCYYAHGEHDPSFQRRIFWMLDLEYDHIVLVHYRDVSDAKEGKQSSGTVLQFSLKPSTLFSSPSYSHHIGDSSTDIQQQHSEANSGVVFSSNEGEEGSGSSYEFENREAIKRLEEQLSLGDDNNLVPNESLDGLQFLDFSTDLDHLVPPPATIHQRPESSSKLGRCYGGYVGPQCNVGPPLYSQNQSLDSLLSLEYIKDMNQLAPPEAGQQRLEINRLERSYGGYIGTEYHPNNLMLVNNGSGGSGGSGESWKDVLEACGASIALNSQGSTPSSAKVLLSGMQEDSNWTYINQADQATLLSPQELDSSLQLPPPCYSELRAPENNGYYNTMLDDEGQTGPPLEQVMNPTVAYNQKCTIQDISPEWGYANETTKVIIIGSFLCDPTESTWSCMFGNAQVPFEIIKEGVIRCQAPPCGPGKVNLCITSGDGLSCSQIVEFEYRDKPDDRSSPRSSPDVLLLLVKFVQTLLLDRKGNLEPNTDDDEWRRIIDKIRDGTATSSSTVDWLLQELLKDKLATWLSSRSQDKDQTSCSLSKQEQGIIHMVAGLGFDWALSPILGHGVSVDFRDGNGWSALHWAAQLGSEKMVAALIASGASAGAVTDPSTQDPVGKTPASIAASNGHKGLAGYLSEVALTNHLSSLTLEETEDSIESARAEAEIAVKSIAGKSHPVNDDPQSLAALKNAAEAAARIQAAFRAHSFRKRQQREADMYACLKEYGIYADMSKQNVRNYHSAALSIQKKYRGYKGRKEFLTKRQKAIMIQAHVRGYQTRKQYKVICWAVGMLDKVILRWRRKGVGLKGFRQDVESGEDSEDEDILRVFRKQKVEGAVNEAFSRVMSMTRTPEARQQYHRVLTTYCKKKAELGKTETLGIGGSGGGFEDDDALFDIADMEDDHLFSLP >A10p035130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20254153:20257209:1 gene:A10p035130.1_BraROA transcript:A10p035130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLKKKPHKLLEPPKNLQPQELVYQVRLTKEIFRDYQMYLKRINLYRQRVWTCKSTGKTSLTYEEAVDSEKLASKKVQTLPRELVAPALRIIQFSTLSLKDLADTIANELQNCFFAGAELYGNRDGESHPCRILNILTDGDSEPQYEVGFLDKDKEINEKAVLSGEDLSWKKKFPFSRNLLKSFIRDSTCHSIPWVVNEYLAKAHGITRNIPKELKDKYVFQNGELVQQRKQEDKTGKEKGKRKRAEDGSHVAEETDKEANGTEEEPPISYPIEDSLLPLEPDDANITQRPSPSRDFSVPMDCVGDLLMVWDFCSSFGRQLHLWRFSLEDLENAVCHKESNLVLIMEVHACLFRFLINEGDDTFKALKRRSRKSKITMITWTEFLCDFLDSVDIPDLCCDIGTIKRGHYGLLDPNVKLGILRELVNQIAETMLFKGEVDELLEQRHALGAARREEALAEAKQKRKEKERSKTGEEARKKNSPQVIESSEDSKMKESTEGETKMENGSVSSGKPEKSEKRLMGNVYLRKHKKQMTDTKSTSKEKVEKEEEEAESEEGEEEEEEKGKSSSEDEKGTLEMRGPEQRRQYYEREMEKIVIRTNTLGKDRNYNRYWWFRSNGRIFVEDSDCKEWGYYTSKEELDALMGSLNRKGERELSLHTQLEKFYDRICSTLQKRAKDIAHNIEMEEAVVRRSSRVKAPLHENPASAFQRYVNKWKED >A01p026890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:19266479:19268014:1 gene:A01p026890.1_BraROA transcript:A01p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VFB2 [Source:Projected from Arabidopsis thaliana (AT3G50080) UniProtKB/TrEMBL;Acc:A0A384L3J3] MGQAPSSPADSTTRDSALWLWPPEFVDCDFTADLPDDCLAHIFQFLTAGDRKRCSLVSKRWLLVDGQNRHRLSLAAKAEILPFLPSIFSRFDSVTKLALRCDRNSFSLSDEAFAMVSVRCSNLTRVKLRGCREITDLGMESFARNCKSLKKLSCGSCDFGARGLNAVLEHCKVLEELSVKRIRGIHETAEPVKLHSSSSLRSICLKELVNGQVFESLVASTTLKKLRIIRCLGNWDKVLQVNNGDGNTSLTEIHLERLQVSDFGLSAISKCRKLETLHIVKTPECSNSGLVCVVERCRLLRKLHIDGWRTKRIGDEGLMALAKHCLLLQELVLIGVDATHASLSAIASNCKKLERLALCGSGAIGDVEMACIAEKCVALRKFCIKGCPITDVGIKALALGCPNLVKLKVKKCIVVTGDVRDWLRERRRTLVVSMDGDETKGTVMVSEDGGRGLETVVEEVLTPVADGGGDAEVEGGGGRLAMLKTKLLASKNFVAFTLRRWLQSEATSSST >A07p000360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:742560:743077:1 gene:A07p000360.1_BraROA transcript:A07p000360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSLLSLGGRLKDAHITLLINAGLLTRQLIDPDMYWFAIPSIGKLWKALLQGRKELLSLLKRKRHKEMFLAELEKKRLRYSPLDMRFHIRDLIGSGHLKTFLTTSGLVVRVSTD >A08g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1703596:1704717:-1 gene:A08g500650.1_BraROA transcript:A08g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFDVSDSNSKPISRPGFEILSLLSGKCYQKFAVKTILQVLKISEDNYYSLLRMYRWCGDHLFEAWFEGILVSSPYLPLPRSTEDNDDDEVYLTLGLIFVAVRGGPSILEKKCPALDCQHNLGLSLIESLASREIATFWRFAALSLVDDNCKIK >A04p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3727697:3730605:-1 gene:A04p006990.1_BraROA transcript:A04p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSK6 [Source:Projected from Arabidopsis thaliana (AT3G54030) UniProtKB/TrEMBL;Acc:A0A178VAE8] MGAHCSKFSSCLFHSNFKSSSVLESPDLENGGKLWPSFKEFRLEQLKSATGGFSSDNIVSEHGEKAPNIVYRGRLDDGRLIAVKRFNRLAWADHRQFLDEAKAVGSLRSDRLANLIGCCYEGEERLLVAEFMPHETLAKHLFHWENHPMKWAMRLRVALCLAQALEYCCDKGRALYHDLNAYRVLFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVFSFGTVLLDLMSGKHIPPSHALDLIKGKNCAMLMDSALEGHFSNEDGTELVRLATRCLQYEARERPNVKSLLTSLTPLQKETDVPSYDLMGIPHETKDEEEQQLSLTPFGDACLRVDLTAIHEIISKMGYKDDEGIANELSFQMWTNQMQESLNSKKQGDVAFRSKDYTTAVHCYTQFIDGGTMVSPTVHARRCLSYLMNENPQVALTDALQAQVVSPEWPAALYLQAACLFKLGMEADAQQALKDGTTLESKKTNRR >A09g500450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1971183:1971626:-1 gene:A09g500450.1_BraROA transcript:A09g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVRSEIFHMVELPSRIRHDVLISYEGKLACIDGKNGTRLWILEDADKHKWSFQDFLLPLSEWNLNVSRKEHYWYEDYRCNCFKLKGCNHAGEFIYVTSMFHKSSYIVFYNPVTNSCRRLKFNGIVDGLLNMHAFPNHIESFMSL >A07p027240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15574904:15578776:1 gene:A07p027240.1_BraROA transcript:A07p027240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKLGFFTLSFLLISSPALCRFVVEKNNLKVTSPDSIKGIYECAIGNFGVPQYGGTLVGTVAYPKSNQKACKSYNDFDISFKSKPGGLPTFVLIDRGECFFTLKAWIAQQAGAAAILVADNKAEPLITMDTPEEDKSDADYLQNITIPSALISKSLGDSIKSALSGGDMVNMKLDWTESVPHPDERVEYELWTNSNDQCGKKCDTQIEFLKNFKGAAQILEKGGHTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFTKGYDGKDVVVQNLRQACVYRVMNETGKPWVWWDYVTDFAIRCPTKDKKYTKECADEIIKSLDIDLKKVDKCIGDPDADVENPVLKAEQESQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAMCSGFEESTEPAICLTEDLNTNECLENNGGCWQDKAANITACRDTFRGRLCECPTVQGVKFSGDGYTHCKASGALHCGINNGGCWRETRGSYTYSACVDDHSNGCKCPLGFKGDGVKSCEDVDECKEKKVCQCPECKCKNTWGSYECSCKNGLLYMREHDTCIGSNKVGTTKLSWSFLWFLIIAVGVAGLSGYAAYKYRIRRYMDAEIRGIMAQYMPLESQPNPRGPHMDI >A03p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1918200:1921145:-1 gene:A03p004570.1_BraROA transcript:A03p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLEIKRIENKSSRQVTFSKRRSGLIEKARQLSVLCDASVALLVVSSSGKLYSFSAGDNLVRILDRYGKQHADDLKALNLQSKALSYGSHNELLELVDSKLVESNVGGVSVDTLVQLEGVLENALSLTRARKTELMLKLVDSLKEKEKLLKEENQALAGQKEKKNLAGAEADNMEMSPGQISDINLPVTLPLLN >A05p010670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4530019:4531509:-1 gene:A05p010670.1_BraROA transcript:A05p010670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKPQQAHPPLHFVLFPFMAQGHMIPMVDIARLLAQRGVTITIVTTPDNAERFKNVLNRAMESGLPINVVHVKLPYQEAGLPEGKENMDSLDSKDLIVPFFKMVNMLEEPVMKLLEEMKPRPSCLICDLCLPYTSKIAKAFNIPKIVFHGFSCFCLLCMHVLRQNLEILQNLKSEKEYFFVPNFPDKVEFTKPQVPVRINATGDWKEFLDAMAEAENTSYGVIINTFQELEDAYVKDYKEARDGKVWSIGPVSLCNKKGADKAERGNKAVIDQEECFEWLDSKEEGSVLYVCLGSICNLPLSQLKELGLGLEKSQRPFIWVIRGWEKYNELAEWFLESGFEERVKERGLLIKGWAPQVLILSHPSVGGFLTHCGWNSTLEGITSGVPLLTWPLFGDQFCNQKLVVQVLKVGVGAGIEETMKWGEEDKIGVLVDNEGVKKAVDELMGDGDDAKERRRVVKELGKVAHRAVDEGGSSHSNITFLLQDISQLTQSKN >A08p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1127573:1129886:1 gene:A08p001870.1_BraROA transcript:A08p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFNENPTTIFWSTEYVATRWYRAPELNGSFFTKTHVDNSFGNSFEFTKNKYFTVLITVEVYGETDEDAAVGNHEASQLLPINPYSATKAGVEMLMMPYGISYGLPVITTRGNYVYGPNLFPEKMIPKFMLLAMSGKPLPIHGDGSNVRSYFYYEDVAEAFEVVLHKGEVGHIYNIGTKREREE >A07p035040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:667764:669305:-1 gene:A07p035040.1_BraROA transcript:A07p035040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFSKEIRSPCSSFTSSRLHRNLDDSVTSRSRVVCQEVLKMIFISSNIELQIYGLKLVSLRTYKSTGSTQSVGELTGSVRLSPVATIPRLTVATAPPLTVDAELTRSGRLFSTASPSPPTETTSPPWVYRLPYLERVTISRVLVPPPHCVFASPNRKEAPRIHLARELDCPDGIKPPPPDAQRPSPNADSRSIKFFKFVDSSALSSSSIIFRVTVKVKAIPVSDLSTGLRFSLGFRESYGYRYGNIGVLPLSLTSAPIPPLSISFNYLNRSLFLLWNEDVVLSLMLFLPQFEDVAGSVGFFMKLYLPQYEDITLWCTSFLPKYEVIWTFAFVVLVSIISGLLSWQWWSSSQLSDFIKHGFVVFVFVAVRSPAVHVKILSTDLVNGMWFKAFKFGGFGWSIYGKGEARDSQGSSISLSAGSSLANEAGKMIKALQSAKTCRLSSLQLILDSIVLSSAMRSWLDMIKITGLLFRNLVTLFTPLSCTFNQCAATCFAVTFTMSVVSKLCSLITQF >A10p031490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18799853:18811300:-1 gene:A10p031490.1_BraROA transcript:A10p031490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYAECLLENKFHENCPGCKVDQMKRLRRGFPFSELLTVWLIVLCTALPISSLFPFLYFMIGDFNIAKKEEDIGFYAGFVGCSFMLGRTLTSVICGIVADRYGRKPVILIGTASVVIFNTLFGLSVNFWMAIITRFCLGSFNGLLGPIKAYAMETFRDEYQGLALSAVSTAWGIGLIIGPAMGGFLAQETLHNHKIDDDASSPDESCDASKLLSHDPESHKAKERNERTSLLKNWPLISSIIVYCIFSLHDMAYTEIFSLWANSPRKYGGLGYSSADVGSVLAISGFGLLIFQLSLYSYAERLLGPTVVTRISGSLALVLLSTYPLIAKLSGFALTLALNCASVAKNVLATSAITGLFILQNRAVRQDQRGAANGIAMTAMSLFKAIGPAAAGIIYSWSEKRQDAAFLPGTQMVFFILGTVLALGVETLHNHKIDDDASSPDESCDASKLLSHDPESHKAKERNERTSLLKNWPLISSIIVYCIFSLHDMAYTEIFSLWANSPRKYGGLGYSSADVGSVLAISGFGLLIFQLSLYSYAERLLGPTMVTRISGSLALVLLSTYPLIAKLSGLALTLALNCASVAKNVLATSAITGLFILQNRAVRQDQRGAANGIAMTAMSLFKAIGPAAAGIIYSWSEKRLDAAFLPGTQMVFFILNVVLALGVKRDDRRRREVTSPQNPPNGCHRPRKERENRGEEETEKKKQKKKQRGVKANDEEGGDKDELNHHRFLANLNRLNPTNPLRIIVNNGGGGRFTTPPPPNPAQPLRSSSRAPPPIQTPPVRAPPPEEAQPPPSPSPPPLQHQSRSLFIQTPQETLASLNSSKYTNKFFLLLFILHKIAAIGFVCFLVFRGVQGLIGSNGSVKRKEQRILRFLLPQVEAASLLSIVLAFSWQMAIRLWPEFMIHFILWSTFLMSLSSGILLLCFQMPATDAVGVSLIAFSIGNGLYACWVTRRIKFCTKILVKSLEPVSKFTDLNLPTYYMLAAGFFWMSLWIFGVIGALNFYFPPVVIIGLVLSLAWTTEVMRNVVNLTVSRVIALFYLRGMQSSTRFSFQRALSRNLGSACLGSLFVPTIEALRIVARGLNLLKGEDEFMFCCANCCLKLMTFIFEHGNGWAFVQIAAYGKGFVRASQDTWKLFEDVDMVEIVDADITSSICFLTGICSGCVCVIVAAAWTHTVYKPFTATISLLAFFIGYLMTRISMALPHACVSCYYACYAENPESRFFDKTIKERQALIKNGRVVVHTPRVRRALA >A08p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8594085:8597274:-1 gene:A08p010470.1_BraROA transcript:A08p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 3.3 [Source:Projected from Arabidopsis thaliana (AT1G42540) UniProtKB/Swiss-Prot;Acc:Q9C8E7] MKLLWSFLFLSCLCLGFLGHGHSEKPEVVKIGSIFSFNSVIGKVAKIAIEEAVKDVNSNPDILVGTRLQVSMQNSNCSGFMGMVEALRFMEKDIVGIIGPQCSVVAHMISHMANELRVPLLSFAVTDPVMSPLQFPYFIRTSQSDLYQMEAIASIVDLYGWKEVIAVFVDDDYGRNGVAALNDKLASRRLRITYKAGLHPDNAVNKNEIMNMLIKIMLLQPRIIVIHVYSELGFAVFKEAKYLGMMGNGYVWIATDWLSTTLDSSSPLPSERLESIQGALVLRPHTPDSKLKREFFARWRKNPDAPLALHTYGLYAYDSVMLLARALDKYFKHGGKVSFSNDSMLDALGKSGSLNLEAMTVFDGGEALLKDILGTHMVGLTGQLQFTTDRSRIRPAYDIINVAGTGVRQIGYWSNHSGLSVLPPESLTKPNMSASQKLRHVIWPGEAFQKPRGWAFSNNGKELKIGVPRRVSYKEFVSQIRGTENMFKGFCIDVFTAAVNLLPYAVPVKFIPYGNGKENPSYTHMVEMITSGNFDGVVGDIAIVTNRTKIVDFTQPYAASGLVVVAPFKKLNSGAWAFLRPFNRLMWAVTACCFLFVGIVVWILEHRINDEFRGPPKRQCVTILWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIDSLREMDDRIGYQVGSFAERYLRDELNISESRLVPLGSPEAYAKALKDGPRKGGVAAIVDERPYVELFLSSNCAYRIVGQEFTKSGWGFAFPRDSPLAIDLSTAILELAENGDLQRIHDKWLMKNACTLENAELESDRLHLKSFWGLFLICGVACVLALFLYFVQIIRQLYNGKPSEEEEDAIGRENHDSSSLRSTRLQRFLSLMDEKEDVSKAGSKKRKIDGSVNDNSVSRHSRRLDSFNSVNPLD >A02p033950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18375229:18376838:1 gene:A02p033950.1_BraROA transcript:A02p033950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIFLRRTRATVASLCRVFSAATVETTESGALVGGGRDTLGGRLLRLTYTKRSAVVSIRKWKEEGHTVGKYELNRIVRELRKIKRYKHALEICEWMVVQEDIKLQPGDYAVHLDLISKIRGLNSAEKFFQDMPDKMRDHAACTSLLHSYVKNKLSDKAEALFERMAECGFLKSSCLPYNHMLSMYISKGQFEKVPEIIKELKSMTSPDIVTYNLWMTAFASGNDVEAAEKVYLKVKKEANLSPDWVTYSVLTNLYAKTGNLDKAKLALKEMEKLVSKRHRVAYASLISLHGNLGDKDGLDSTWKMIKSSFNKMNDAEYLSMISSLLKLGDFEQAKGLYDEWESVSGTRDARIPNLILAEYMNREEETHLGDKFYERMVEKGINPSYSTFEILTWGYLKRKDMDKVLDCFGKAIDAVKKWTVNVRLLKAVCKELEEQGHVKGAEKLMTILQKVGHVNTQLYNSLLRTYAKAGEMALIVEERMAKDNVEMDEETKELIRLTSLMRVTEISTTIS >A07p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12005416:12007867:-1 gene:A07p020320.1_BraROA transcript:A07p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRRGYALFSILALSLLASSVRSEETETKEFVLTLDHSNFTDTINKHDFIVVEFYAPWCGHCKQLAPEYEKAASELSSNVPPVVLAKIDASSRFRVSLLSRSSETEKQSGPASFEIKSGEDASEVVGDKKVVVVGVFPKLAGSEFDSFLATAEKLRSDYDFAHTSDAKLLPRGESVTGPVVRLFKPFDELFVDSKDFDGEALEKFVKESSIPLITVFDKDPNNHPYVIKFFDSPNTKAMFFINFTGESAETLKSKYREVATSNKGQGLSFLLGDAENSQGAFQYFGLEESQVPLIIIQTADDKKYLKTNVEVDQIGSWIKDFKDGKVSPHKKSQPVPTENNEPVKVVVGESLDDMVFNSGKNVLLEFYAPWCGHCQKLVPILDEVAVSYQSDPSVVIAKLDATANDFPRDTFDVKGFPTIYFRSASGNVVLYEGDRTKEDFISFIDKNKDTAGEPKTEDKTAEATKDEL >A05p017640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8064012:8067454:-1 gene:A05p017640.1_BraROA transcript:A05p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SMAX1-LIKE 7 [Source:Projected from Arabidopsis thaliana (AT2G29970) UniProtKB/Swiss-Prot;Acc:O80875] MPTPVTTARQCLTEETARALDDAVAVARRRSHAQTTSLHAISGLLTMPSSILREVCISRAAHSTPYSSRLQFRALELCVGVSLDRLPSSKPPPTTTTENEAEEEPPVSNSLMAAIKRSQATQRRHPETYHLHQLHGGVTQTTSVLKVELKYFILSILDDPIVSRVFGEAGFRSTDIKLDVLHPPVTRFPRSRCPPLFLCNVTESGSGRSRFGYSGDFDENCRRIGEVLGRKDKKNPLLVGTWGGKALKTFSDSINRGKVGFLPLEISGLSVVSVEREIGEMKLDELGRIVEQSCSKSKTGTVLNLGELKVLTSDALVSRLSELLKLHCEKLWFVGSVSSNEMYLKLIERFPTIDKDWNLHLLPITSSNQGVYPKSSLMGSFVPFGGFFSSTSDFRVPFSNSMNQSRLPRCHLCNEKCEQEVTALGKSGEQCSEKLPCWLRNVESEQDKGILRQAKDVPTTLASVQKKWDDICQRIHQTPAFPKLSFQPVRPQFPLQLVSTLQAENPPHQPGLSVKISKPKHIEDHTTRTTTNSPLSCVTTDLGLGTIYQESSTPVSLNRRGFELSKEKPLSRYCKDFKSLRELLSRKVGFQNEAVNVISEIICGYRDDRSHLASATSNVWLALLGPDQVGKKKVATTLAEAFFGGRENCVCVDFKAQDRLDDRFRGKTVVDYIAGEVSKRAESVVFIENVDKAEFPDQVRLSDAVRTGKLRDSHGREIGMKNVIVLATTSNILDERVEYSEGRVLTPKNCKLQIKLADNANKNGLNKRRQELGTEGTELRAVKSQRSFLDLNLPVDETEANADEEAHAMSENSKSWLEDFFEQVDGKVTFKTIDFDGLAKNIQRSILSHFRRSFGHETRLEIESDAILQILAALRWSPDEEKTVDQWMQTVLSSSFAEARQKYNSNFSFAVKLVASQDSTAEEETAGIQLPARVEVI >A10p030030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18228342:18229888:-1 gene:A10p030030.1_BraROA transcript:A10p030030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/calmodulin-regulated receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G15730) UniProtKB/Swiss-Prot;Acc:Q9LFV3] MVNRSDLVVIGISVGLALGLLLALLLFFVIKWYNGRSHLRRCANEQNIPTLPVHKAKRAVVLTPDDSSNTASSQPPENAASPTQHQPWWNNNHTKDLTVSASGIPKYHYKDIQKATQNFTTILGQGSFGPVYKAVMPNGGLAAAKVHASNSSQGDREFQTEVSLLGRLHHRNLVNLVGYCVDKSHRMLIYEFMSNGSLENLLYGSGGEETTQVLRWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEMVFDRMNSGLKGTHGYMDPTYISTNKYTLKSDIYSFGVIILELITAIHPQQNLMEYINLASMSPDGIDEIVDQKLEGNANIEEVRLLAKIANRCVHKTPRKRPSIGEVTQFILKIKQGRSRGGRRQDTMSSSFGGVMDGEDMSRVISRIKDQHVELGLLAGVKEEDHQERNGTTTTL >A01g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18642718:18644931:-1 gene:A01g506420.1_BraROA transcript:A01g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRRWDPGIISGDWIGVENQDEWKRGFHSIYLRISLQIILEFDLGIFKESLGRLRKFKIGFQWRSNPIIIKTETWLQEGGLQDSNFGKVADVPDNKWVKVADKSHRRPSNYHGSYRGESEGSRSKAGRREDGRNGNQEGGSGAQEGLTRVESGRPSVYQAPSVTHMDVREEGEVQTTGGDEMLPSVEFQRELAKTQEDRTDLQLGPLDKDKEVLVTSGVNEEQDGLIDDIDLELETINATLMETGVDMEAEDEFQTLSEEEAEQVFRAQEERGSMQEEETVVTDGADNDRGTGAGEVAMRQGSRKRLFKPSINTAGSTKMRIANALLSPRKKGVAKVGPRHGEGSKPMESKGPSNPKPTNLKF >A02p018100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8260260:8263437:-1 gene:A02p018100.1_BraROA transcript:A02p018100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMATWTPSSLQLRIALNCRSFKAAPARAKLTKLSPRLRTSCAAHNAESGRGSDRFRGWADSGDDEMSPDSGGGDWYKGTLVSGVAAGMVLFVGLALGARSFKRHVLTRPKVEVMVSESSSDQVGEASHERGNLMDQDEKESYKDSPLLESKSASTSKENHEANKSSESRADRVDTDASQIPDEEKKHRCYTGIPAPSTVPQVNPLSPIFPTVVDPIQSQMFAALQALKVIESDARPYDLCTRREFARWLVSASNVLSRNSASKVYPAMYIENVTELAFDDIAPEDPDFPFIQGLAEAGIISSKLSNHNMPSAESGHLKFSPESPLSRQDLLSWKMALEFRQLPEADSKKLYQLSGFLDIDKINPEAWPALIADLSAGENGITSVAFGRTRLFQPSKAVTKAQTAASLATGEACEAVSEELARIQAEAMAENVVSAHNALVAQVEKEINATFEKELLRETETVDAVVKLAEEAKRELTRLRVEKEEETLALEKERTSIETEMESLARLRNELEEQLQGLVSNKAEMSFEKERFGRLQKQVEKENEEILRLQSELEVERNALSIARDWAEDEARRATEQAKVLEEARGRWEKYGLKVIVDRDLHEQTTTESTWLNAGKQYSVEGTMNRGENVVAKLKKMAQDVRERSRDVIYSIVEKIRLLISALEKQVRGVSNKAKQVEMKTKSRAEELRKQASLKICEIRDVSMMRTKEVVEEFKDRVWKLKSK >A06p044240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23797781:23799025:-1 gene:A06p044240.1_BraROA transcript:A06p044240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAHEFLHTADHFAVDDLLVDFSNDDDEENDVIVDSDGANTALAVTDSSNSSSLSPVGLTSFQGDVQDGTSFSGDLCVPSDELAELEWLSNFVEDSFSTDDVQKLQLISGYKARPDPKPEPENPNSSSPIFTTDISVPAKARSKRSRAAACNWASRGLLMEAVYDNPFTGETILSRHHLSPPTSPASMTQPVKKQALDGFRRKKDSLLDSGAEERRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLAKHSNSHRKVMELRRQKEMTKAHHEFIHNHHGTETAMIFDVSSDGDDYLIHHNVGPDFGQLI >A09g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13185115:13189211:-1 gene:A09g504260.1_BraROA transcript:A09g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPPEKTNMELSSSSSMPGSSSSLHHSYSRKQKSLGLLCTNFLALYNRHGIETIGLDDASSKLGVERRRIYDIVNVLESVGVLTRRAKNQYTWKGFAAIPAALKELQEEGAKDTFHRFYVNENVKGSDDEDDEEESSSQPLSTSQTDTSKPSLPDSSKIDNRREKSLGLLTQNFIKLFICSQATIISLDEAAKLLLGDAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTLDSRKPAFKWLGYNGEPTFTLSSDLMMQAESKKRVFGTDLSNVSVKRSKTHDSATERSLKMKHHAIAESSYNRIFDAHESRHGSRGYEFGPFAPATGTYPTAPLEDSSKRAFDVENLVSDYRPSYQNQVLKDLFGHYMDAWKSWYSENVDTAELTPEERNLLSIAYKNLIAGRRAPLKRTRSIEKKETKKGNTNNVSIIKDHRVKIESEITKICDQVFNLIDSHLLPSASTADSKVFYLKMTGDYHRYLSDSKTGAEKIKAVKRTLEVYKSAQAIALNDLPSTNAFEAAITEMHGVREESYEETALITNLILDRITLWTDELKWS >A02p043790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27598667:27601406:-1 gene:A02p043790.1_BraROA transcript:A02p043790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPPPSPKIENPVKTLDDESSPTAPIRVLVTHSLALSSPIRQIQNPVESSSSPSNNECRDSQITPAGSYMPSFEYLSDDDDHKVEPAEPFSWSYLKNEPTGVGAGLYNSGNTCFIASVLQCFTHTVPLLDALRSYKYQDPCNCGNESFCVLKSLRDHIELALRSSGYDLQIHRFRDNLNYFSSDFQINNQEDAHEFLQSFLDKLERCSLDLTSRNTPGTVVSSQGVNIVDNVFGGRLVSKLHCCNCNSISEIFEPSVGWSLEIDDVEDLSSALESFTCVEKLEDQLTCDECKEKVSKEKQLKFDKLPLVATFHLKRFKNDGVYMEKIFKDVRFPLELDMLPYMSSNENPEVSTKYYLYAMVEHQGSGVHFGHYSSYVRSAPGTWHHFDDAKVRRISEECVLSKNAYMLFYAREGTSWFSSAFEELKTLYEATPISFSPTSVLETTCREECNANKACNGSVGVSVPGGDYRCDEPQDEVFYSAEPISYDVSFAFDSPPKADESGKPFAETFHQKEATMYPACNRATTVDASVPEIKIQEKDPSPKRKAAERATIGEDAYLPKPKIQKPNSFAKRQGPFQIQREHLQNKKEETHETKPIRSTVAAASVADLKEKEHAIQYLRNMPSSRSRMLAAAIGVEVKKKNISNIRRSNLHRNLSGRPSN >A03p055100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23735062:23738450:-1 gene:A03p055100.1_BraROA transcript:A03p055100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal transporter Nramp5 [Source:Projected from Arabidopsis thaliana (AT4G18790) UniProtKB/Swiss-Prot;Acc:Q9SN36] MTDPTVSRQVNSPLKRNESNGEFKRLLLPETSQSDDPNESPAEPPENQVLTVEEEEGETTLESVPPFSWAKLWKFTGPGFLMSIAFLDPGNIEGDLQAGAVAGYSLLWLLLWATLMGLLIQLLSARIGVATGRHLAEICRNEYPSWARILLWFMAEVALIGADIQEVIGSAIALQILTRGFLPIWAGVIITSLDCFLISYLEKCGMRKLEGLFAVLIATMALSFAWMFNETKPSGEELIIGILIPKLGSKTIRQAVGVVGCVITPHNVFLHSALVQSRKTNPKDIHRVQEALNYYNIESTAALFVSFMINLFVTAVFAKGFYGTKQADSIGLVNAGHYLQEKYGGGVFPILYIWGIGLLAAGQSSTITGTYAGQFIMEGFLDLQMEQWLSAFITRSFAIVPTMVVALMFNTSEGSLDVLNEWLNILQSMQIPFAVIPLLTMVSNEHIMGVFKIGPSLEKLAWTVAVFVMMINGYLLLDFFMAAANGFFVGLLVFAGVVAYAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A10p002680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1388064:1388870:1 gene:A10p002680.1_BraROA transcript:A10p002680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g03970 [Source:Projected from Arabidopsis thaliana (AT1G03970) UniProtKB/TrEMBL;Acc:Q2HIT6] MASFKMMSSSTSRNSDLSRRNSSSASSSPTVRSNHLRRDPHADHSRISFGYGGGNDATLHDYNFASDSLDVDRSNGDRNSVNGGGRKSVDDVWKEIVSGEKKTVMKEEAQDEYMMTLEDFLAQAAEMDGNDDEIDVKIPMSLSGTFDYPMMPQQNNQVEMVEGSTKRKRGRVMVEAMDKAAAQRQKRMIKNRESAARSRERKQAYQVELETLAAKLERENEQLLKEIEEKTRERYKNLMEQLIPVDDEKRKPSSSSSRSLSRSHSLEW >A10p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16620298:16621760:-1 gene:A10p026060.1_BraROA transcript:A10p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNELREGPIAIAVDKDKTSCQALKWAVEQYIPRDRTIKLVHVVQRSTTNPNGHSTDDELSGKQQNDKGSRQFLPMRCLCMRRNIQSEVVMLEDQDVAKALIEYINQNFISTFLLGASLKKSITRLFKVDDIPSNVMRWAPEFCTVLVISKGRLSSVRPATRHLPQGLPSPSSGTAPLSPLSNTDEAPSEMSLSREDDVFFEEFSSLGTDSSTVNISDRISTDSSVLSFYDKLGTPNMLEIPRFTGLEDDKSNLSIYLNSPDIKLMDEAEAEKRRLKKELKETMNMYHAACREALMAKEKVAELEIWKKKAGKRLQMAEETAKMAIMKMEKRELEVKPRVEAEMKVRGSNDRKVVLDSPGESHMVTILFLVIGVGRSVTMSSASGQ >A06p016400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7335484:7337653:1 gene:A06p016400.1_BraROA transcript:A06p016400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKTEKKITGEDDDESKIIYRGWKVMPFIIGNETFEKLGIVGSSSNLVIYLTTVFNMKSITAATVVNIYSGTSNFGTIVAAFLCDSYFGRYKTLSVAMIACFLGSVAMDLTAVINQLHPAKCAKEIGSVCKGPSIVQIMFLAGAMVLLVIGAGGIRPCNLPFGADQFDPKTKEGKRGIESFFNWYFFTFTFAQMVSLTVIVYVQSNVSWSIGLAIPAILMFLGCIIFFSGSKLYVKVKPSGSPIHSITRVIVVAIKKRKLNLVGSMYNHTAKDFRNSKLSHTEQFRFLDKAAIQTPDDKLNIDGSPADPWKLCSMQQVEEVKCVIRVLPVWLSAALFYVAYIQQTTYTIFQSLQSDRHLGSKSFQIPPATYTVFLMLGMTIFIPIYDRVLVPFLRKYTGRDSGITQLQRVGAGMFLCITSMMVSATVEQRRRTVALTRPPLGFALRKGAISSMSGMWLIPQLVLMGVGDALAGVGQMEFYYKQFPENMRSFAGSLYYCGIGLASYLSSFLLSAVHNITEGSLGGNWLPEDLNKGRLEYFYYFVAGMMTINFVYFLLVSHWYRYKDVVAKDNDIDKVSV >A09p060800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50303008:50305366:1 gene:A09p060800.1_BraROA transcript:A09p060800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDPVTNGNTDAVMTESAPPFTPSPPVPASRSSQLTESLKLEHQLLRVPFEHYKKTIRANHRCLEKEVASVVSSVGDLADSNWSKDVTVSRLTSLVSRLQGLKRKLEEGSNVENLQAQRCRARIDHLDSADAENITEWNNTKLKRILVDYMLRMSYFETASKLSESCNILDLVDIDIFREAKKVIDALKRREVASALAWCADNKTRLKKSKSKFEFQLRLQEFIELIRADSYKQAIQYARKHLTPWGATHMNELQRVLATLAFKSTTECTKYKVLFELGQWDLLVDQFKQEFCKLYGMTMEPLLNIYLQAGLSALKTPYGFEEGCTKEDPLSQESFRKLALPLPYSKQEHSKLVCYISKELMDTENPPLVFPNGYVYSTKALKEMADKNKGEVKCPRTGLVCNYTDLVKAYIS >A06p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21779572:21780020:1 gene:A06p040250.1_BraROA transcript:A06p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQEHPVGAPPPQGYPPKDGYPPAGYPPAGYPPPGYAQGYPAQGYPPPQYSQAPQQKQQAGMLEGCLAALCCCCLLDACF >A05p002370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:799950:801801:1 gene:A05p002370.1_BraROA transcript:A05p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGEMMAAGEARAVWQRTVNRYFVQEDAKRAPKLTTSSCQSSSSSSTVSSKQVEDSGSSRPVVDPHNQSSSCPSFMPRHPNPNFPHLSPNNTSLWGHHHHHHHIQQDHKEPVKTPLEAGVDISEKKPELGAKSFKSESFQEFIELMETRESYVSFGKDESSSENKLLNELPFDPTSPWNPLSSEKAAPWWRTTDKDELASLVAQRSLDFVENCDLPTPQKMNRSYYGSPRSFDSDHSFSNRTIHERVTNRGNTFKNRTEEASSESGLSKSELLEALRRSQTRAREAENMAKEACAEKEHLVKLLLKQASELFGYKQLLQLLQLESLYHQIKSKKIEDSKEPPPVSIPWSNTKGRKPGRKRRSKRSKPNGFVGLALGMSLVGAGLLLGWTVGWMQMFSF >A01p016800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8300616:8307316:1 gene:A01p016800.1_BraROA transcript:A01p016800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKSHSFQLIEGMELQITVTGLPNGSSVRTEFHLKNCNRTWILHWGCIYQGNNNWFVPSEHSTKQGALQTPFVKSGNDYVVILELRDPKVRAVEFVLKDGNRNRWLKQHTGNFRVEIPWNELHAHHRIPKNLIERRAYTIWDRKGRPQNTAREQQMDYENAIRELQADLARGISIDDLQANSSIPVEKAVISEPKQTMNLHQPSHRRKHDVQKWLQKYAEPITKNASVNSSALAELLKKSVGQENVVSQKSFHIRNYEIAVLQKNVNGDCRLYVATNMAGPTVLHWGVAKSSAGEWLTPPPDVLPEKSKIVHGACQTYFTDMSSREHSYQLIVINFKRSGFVGIQFVIWSGSHWVNNNGANFAVNLISLNSTGGKVSLVLGCSLSKYFNFKHVYPPQLGVDGKYILKWLLDEIAEREKEAERSLMHRFNIATELTERCKDEGEGGCIGIMVWMKFMATRHLTWNKNYNVKPREISEALERFTNLMEKIYLQQPYKREIVRLTMALVGRGGQGDVGQRIRDEILVIQRNNHCKSGMMEEWHQKLHNNSSADDVIICEALLNYVRSDFKIDAYWKTLKANGLTKERLASYDRPILSEPRFKSDAKEGLIRDLTMYLKTLKAVHSGADLESAIDTFLSPAKDLLSSVKRLVREENTAPLIEKLVDARIELHPALRAPRARAKDLLFLDIALESCFKTTIEKRLISLNFNSPPEVVFVICVVLENLCLSTFNNEEDCYRVSETYKAHDVEWALQTKAVLDRLQLVLADRCQHYLRLIQPSANYLGQMLRVDKHGIDVFTEEVVRAGPGAVLSTLVNRFDPCLRKIANLGCWQVISSADAYGFLVCVNELITVQSKVYSKPTVIIASKVTGEEEIPDGVVAVLTPSLIDVLSHVSIRARNSKACTIICFATCFDQNVLKNLKSKEGREISIHINSTGLVISDGNNSDGHVRHIYISSVSHGVVSKRKKFCSNYVISSKEFTSEMVGSKSCNIKFLRERVPSWIKIPTSVALPFGTFECALSDDSNKDVAHKISALKVSLNRGDMTKLKAIQEAVLQMNAPIALKNELIHKLRSERMSYHGDESSWNRSWMAIKKVWASKWNERAYVSCKKTRVDHDAVCMAVLVQEVICGDYAFVIHTNNPVTGDPSGIYTEIVKGLGETLVGGYPGRAMSFITKKTNLKSPTVISYPSKRIGLYSKPSVIFRSDSNNEDLEGYAGAGLYDSVIMDEAEEVVVDYSREQLIVDKAFQVRLFSAIAEAGNVIETLYGCPQDIEGVVKGGIIYVVQARPQL >A08p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000140.1:1454:4771:1 gene:A08p011170.1_BraROA transcript:A08p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKNKPACSLICILLKEKETMKRKLSAKQQGNCKEKKKQIQIPPFYGDYDPDTYLEWEKNMDLIIKCQGYTAEKQAQLASTGLCGYALDWWYQTANTRRQYGEQQISSWYEMKAVMKKRFVAKRYGQTDLERKHSQSGSAKGHIRTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSVSIRSNNNLVQKTVSYKLDLQGFFTPEKQDLRSNLFEGREDGVILSICSKNRGETGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A08p003120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1766422:1769605:-1 gene:A08p003120.1_BraROA transcript:A08p003120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGLGMNPSMDDINLIQQAQRHQLVVTNLGEEIDLEIGTAEDEAAFATNSLIGGTPREPSTGEHDETKHMVLVSDLPGEDQDMSKGQPSAKRKKKVVKRWREEWADTYKWAYVDMKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQLSALEEHNNSLLHKEALRLQTASKDKIVVEKPLYVKTLMSKSAGSIVEGALKRDPNEVEFIQSVQESVHALERVIAKNSHYVNIMERLLEPERMIVFRVPWIDDRGETHVNRGFRVQFNQALGPCRGGIRFHPSMNLSIAKFLGFQQTLKNALSPYKLGGASGGSDFDPKGRSDNEIMRFCQSFMNEMYRYMGPDKDLPSEEVGVGTREMGYLFGQYRRLAGQFQGSFTGPRIYWAASSLRTEASGYGVVYFARLMLADMNKEIKGLRCVVSGCGKIAMHVVEKLIACGAHPVTVSDSKGYLVDDDGFDYMKLAFLREIKSQQRSLRDYSKTYARAKYFDEVKPWNERCDVAFPCASQNEVDQADAINLVNAGCRLLVEGSNMPCTAEAVDVFRKANVLIAPAIAAGAGGVAAGEIEVLRESNSMQWSAEDFESRLQEALKQTYEKALKAANDFGYQKESPEALLHGATIAAFLNIAQAMTDQGCV >A06p017690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7960013:7961662:-1 gene:A06p017690.1_BraROA transcript:A06p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARATTFYALLLITSLQLLLTCHVSFAAGGRWKLLLPNVGISAMHMQLLRNDRVVMFDRTNFGPSNISLPNGNCRNNPQDPVSKIDCTAHSIEYDVASNTIRPLTVQSNTWCSSGSVRPDGVLVQTGGDRDGELKARIFTPCNNKKCDWIEINNGLTKRRWYSSNHILPDGKQIVIGGQGQFNYEFFPKTTSPNVIALPFLAETNDRGEENNLYPYVFLNNDGNLFIFANNRAILLDYIKNTVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLEAVKIDAEVLVCGGAPKGSYLLAFRRKTFVKALDTCARIKINDENPQWTVEKMPRARVMGDMTLLPNGDVLIINGGASGSAAWELGREPVLVPDVYHPENPVNSRFESLNPTTIPRMYHSTAVLLRDGRVLVGGSNPHAFYNFTNVLFPTELSLEAFSPAYLEPEFAKFRPKIQSPKSQVMITYRMDLKLKFKVAGEVKGPVKVTMVFPSFTTHSFSMNQRLLVLDNVTFKRSGKSTNYEVQVKTPRSVNIAPPGYYMMFVVNQNIPSEGIWVRLQ >A03p037120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15547715:15549479:1 gene:A03p037120.1_BraROA transcript:A03p037120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATPALPTSLFTNGSLSSPQNISKTDLNSCVKVSDPGGFKWRLVIAYDGTKFAGWQYQESPPTVQSMLEKALTQITKLQRKELHLVGAGRTDAGVHAWGQVAHFVTPFNYTSLDSIHAALNGLLPQEIRVREIGAAVPEFHARFSCRSKGSLLQLEVEGSGFMYRQVRNMVALLVQVGKEALDSDIVPMILETKDRRELAKYTLPAPPHGLCLVSVKYKEDHLQLPPDSPLTSFGRHHTITKCKLPFY >A09g513200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40144339:40144935:-1 gene:A09g513200.1_BraROA transcript:A09g513200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHLFPLQIGVICGVDHEEPRKPFLEVLRYLQNCNTILRNDGFTSSPYAFAEPCFINMPCNYAGKIIGSEPTSCLS >A06p037740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20433218:20435557:1 gene:A06p037740.1_BraROA transcript:A06p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPRPAFKCFDDDGRPKRSGTVWTASAHILTAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSFVTYYSTTLLSDCYRTGDPVSGKRNYTYMDAVQSILGGFRFKICGLMQFLNLFGTTIGYTIASSISMMAIKRSNCFHESGGKNPCHMSSNPYMIMFGVTEILLSTIKDFHQIWWLSTVAAIMSFTYSSIGLALANGVFKGSLTGISIGAVTQTQKIWRTFQALGDIAFAYSYSVVLIEIQDTVRSPPAESKTMKNATRISIAVTTTFYMLCGCMGYAAFGDAAPGNLLTGFGFYNPFWLLDVANAAIVVHLVGAYQVFAQPIFAFVEKQAAARFPDSDLVSKELEIRFPGVRSPYKVNVFRTVFRSCFVVLTTVISMLMPFFNDVVGILGALAFWPLTVYFPVEMYIKQRKVERWSMKWVCLQMLSCGCLVVTVVAGVGSVVGVMLDLKVYKPFKTTY >A07p033080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18086919:18092676:-1 gene:A07p033080.1_BraROA transcript:A07p033080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSYETKQYKKGLKAADAILKKFPSHGETLSMKGLTLNCMDRKTEAYELVRLGVKNDIKSHVCWHVFGLLYRSDREYREAIKCYRNALRIDPENLEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSQHLNSNASKAVEILEAFEGTLEDDYPPENELCEHTEMILYKVSLLEEIGAFDKALEELHKKEPKIVDKLSYKELEVSLLSKLGRPAEADKLYRVLLSMNPDNYRYYEGLQKCFGLYSESGQYSFDKIEKLNALYQSLSEQYTRSSAVKRIPLDFLQDESFKEAVAKYIKPLLTKGVPSLFSDLSSLYDHPRKPDILEQVVVEIEHSIRTTGSYPGSDVKEPPSTLLWTLFFLAQHYDKRGQYDLALGKIDEAIAHTPTVIDLYSVKSRIMKHAGDLTAAAALADEARCMDLADRYINSECVKRMLQADQVTLAEKTAVLFTKEGDQINNLHDMQCMWYDLASGDSYFRQGDLGRALKRFLAVEKHYTDISEDQFDFHPYCLRKMTLRSYVDMLKFQDRLHSFPYFHKAAIRAIRCYLKLHDSPKSTAEEDGMSKMAPAQKKKMKKQKKAEERAKKEAESKSEESTASGVSKSGKKNVKPVDPDPHGQKLIQVEEPMAEASKYLRLLQKHSPNALETHLVSFEVNMRKQKFLLAFQAVKQMLKLDAENSDSHRSLIKFFLKTESTSAPTTEAEKLRWSVLEAERPSISQLQNKSLVEVNEDFLGRHKDSLVHRAAYAEMLYLLDPSKKTEAIKTIEDSTDKVVQTNGAQREWKLKDCIAVHKLLDTVLLDSEAASRWKSRCAEYFPCSTYFEGKRSSVMPDSVYNSSRKSNDNGDAPNHPMGQTEMSDGQVEAFKSLSVST >A02p035690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20419527:20441945:1 gene:A02p035690.1_BraROA transcript:A02p035690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQSSHASWKSETEMQLRQHFNYHPPLPPPPFWPWRHRLHLQPPSPVKGSSFSSSSTAYNIQTKSKSEEEAINTSILNLPSILLEVIMSRLVLKDNICASAVCNLFELRDPVRSKLYTLHLPELAESAVCYIKDGWLLMYTSSSKDMFFFNPFSRELAVAFSSPPTSDNCVLVALDFVTSVQERRIVISTCHPGATTEAFPTVLPRRLLVFLSPIFPDMVSSSRCICVSSTTSKEKPLVFKLVSLQWEEVTSTELDGFTTFFSSYNSEYNPPNASLNWLELIPCHKSLWIVPPTLVVPNNHLIAQVTYQTSSLAPGDLGTITSIPTRILSISGESDSDSRFFKSKILKVNNLKHVGLYLLPIATDEPISIELSSTIAATSVLAMASPSPSSTTVAKEKHPWLLCFDNRCSLFELRDPVRSKLYTLHLQELAELAVCYIKDGWLLMYTSSSKDMFFFNPFSRELVSLPKFSLPFQAVAFSSPPTSDNCVLVALDFVTSVQERRIVISTCHLGATEWTTEAFPTVLPFYRHSKIVYLNEQFYCFTRGGGYLYSFHPSSRTWREKESSFSCLHAVIKEKPLVFKLGSLQWEEVTSNELDGFTTFFSSYNSEFNLPNASLNWLELIPRHKSLWIVPPTLVVPNNHLIAQVTYQTSSLAPGNLGTITSIPTRILSISGESDSDSRFFKSKILKVNNLKHVGLYLLPIATDEPISIELTTYVHQLFASHGVKLHFLLEEKHPWLLCFDNRCSLFELRDPVRSKLYTLHLQELAELAVCYIKDGWLLMYTSSSKDMFFFNPFSRELVSLPKFSLPFQAVAFSSPPTSDNCVLVALDFVTSVQERRIVISTCHLGATEWTTEAFPTVLPFYRHSKIVYLNEQFYCFTRGGGYLYSFHPSSRTWREKESSFSCLHAVIKEKPLVFKLGSLQWEEVTSNELDGFTTFFSSYNSELRSNIPLMRNNLCFPWFGDKRKRCVSYFFDKSRFNLPNASLNWLELIPRHKSLWIVPPTLVVPNNHLIAQVTYQTSSLAPGDLGTITSIPTRILSISGESDSDSRFFKSKILKVNNLKHVGLYLLPIATDEPISIELTTYVHQLFPSHGVKLHFLLEEKHPWLMCFDNRCSLFELRDPVRSKLYTLHLPELAESAVCYIKDGWLLMYTSSSKDMFFFNPFSQELVSLPKFSLPFQAVAFSSPPTSDNCVLVALDFVTSVQERRIVISTCHPGATEWITEAFPTEEATCIPFTHLPGHGFVIKMHMCIINYEVNGTREKFSWQREKEKPLVFKLGSLQWEEVTSNELDGFTTFFSSYNSEYNPPNASRNWLELIPRHKSLWIVPPSNVFDYL >A05p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20716241:20717653:1 gene:A05p036540.1_BraROA transcript:A05p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVMTVADAGGSRALNGSPSSQNLLPHNLPLLSAFLAFALAQFLKVFTNWSAHPPRFLRSAKFGFCFTTIQDVNFCTVRYKEKRWDSKKMISSGGMPSSHSATVTALALAIALAEGAGSPAFAIALVLACVVMYDASGVRLHAGRQAELLNQIVCEFPSEHPLSTVKPLRELLGHTPIQVAAGAVLGCVVAYLTRSTS >A07p029470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16511386:16512114:-1 gene:A07p029470.1_BraROA transcript:A07p029470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPPIAVPPPQGYPPAPGYPQQGYPPPQGYPQQGYPPPQQQQGKSGPGMIEGCLAAMCCCCVLEACF >A09p052630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46009201:46009664:1 gene:A09p052630.1_BraROA transcript:A09p052630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHGDSSGEFDTKSPADKLFTSFTDDINSTFDIISNEKITEYVGWEKRTVTLSMSGNLVSDSYKKFKATITVTPKAYEADGSRVLWTVEFEKIRHDVEDPVWIIDSLINYLKEIDGVLIYSLF >A01p049890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28012617:28014191:1 gene:A01p049890.1_BraROA transcript:A01p049890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tesmin/TSO1-like CXC 8 [Source:Projected from Arabidopsis thaliana (AT3G16160) UniProtKB/Swiss-Prot;Acc:Q700D0] MTSRGEREGNNNTDHQDGVTGRKQKRCRCRQSKCLKLYCDCFASGVLCNDCDCADCHNNTDNSYLREAAVLNLLDRNPNAFNGKPPSFLINKQAAADTKLGLLSRGCKCKRTKCLKKYCECFQANALCSDNCKCINCENVSALGATNNTPDSLICAPQSSLQWNSCPAPLSSMPDNYVLDSLESPMYSSTKLPYKKKRSRLGCTTPKLVPDLGDLRSLLLAASESATANAGLQDKNRICIKPDDKELWNESESGIVEEEEDIQSCGRLIQLIDAQYNDEVDPQTKTSFPERDAYMEQERAVLETFRDCLHKYMKKIGFMKGTNYYHF >A09p015340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7978594:7983797:1 gene:A09p015340.1_BraROA transcript:A09p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRTGRWRNEKNRIKVVFRLKFHATQASELNTEGLILSLVPGDVGKPTARSEKAVVRDGQCRWEIPVYETVKFLKDAKTGKVNQRIYHLIVSTTGSTRGGLVGETSIDFADYADAIKTCNVSIQRQLEFDDPQREEDECENLEKMSHGQDLKSHLSLGDADEPRASGSHEEGPFGKAARFAELRRRASTESDSTMSSSGSVIEPTTPEEVAKSLRHPPKQLHSSKALFEEPRVSESEWSGSSDHGITTDDSTNDKMSKNSSEDGEEIDKLKNEVACLTRQADLSDLELQSLRKQVVKETKRSQDLLKEVNSLKQERDSLKEDCERHKVSDKTKTRNRMQFEGRDPWVLLEETREELDYEKDRNFNLRLQLQKTQESNSELILAVQDLEAILEERSKEAPRTIDTDEDEDQKALEELVKGHMDGNNTHVLEQKITELYNEIEVYKRDKEELEIQMEQLALDYEILKQENHDVSYKLEQSQLQDQLKMQYECSSELENQVETLEAELKKRSEESLSRIKELETQMEILEEEMERQAEVFEEDIDAVTRGKVEQEQRAIQAEEDLRKTRRRNASVAEKLQEEFKRLSEQMDSMFASSEKMAMKAMAEANELRMQKREVEEMLKKANDEYEAKLKELSEKLSQMERHEEDVTSNLNQEIKFLKDEIENLQKDKHSLMLQEESLRGELEETERNKNELESRIESMREESESLAEELQAVKRIKDEKEAAITHLQTELETVRAKFDDLNHLLSENDSEMEKHKKQVTQVKGEVKKKEEAIANLEKKLKESRIAFNNLTKTAQRNNNNKGSPLGAKEVAVMKDKIKLLEGQIKLKETALEASSNKFIEKEKNLKNRIEELETSLDQNSLEVINKDNHEKEEVRVLEAEMASLRECNETMEMELKEMQERYSELSLRFAEVEGERQQLVMTFSSRFDYLYDTSVVDQYPNAQKCSQFWIGILGPVPVFHNKVSEAMALVDRMVAKGCQPDLFTYGAVVNELCKRGAIDLALDLLKKMDIEANVVIYSTIIDGLCKYKHVDDALDMLNEMENKGLRGNVVTYNSLISCLCCYGRWSDASRLLKEMIETRINPTRVTFNALIDALAKEEKLLEAEELYKELIRKSIGPDVFKYNSLINGFCMHNRIDEAKEMFDLMISKDCYPDMLQRGLVGDTITYNTLIQGFFQSGDCENAQEIFKRMVFCGVPPSIWTYNILLDGFCDNGKLEKALVIFKDMQNSEMELGTITYTIVIEGMCRDGKVKDAWELFCSLDLKGVKPDVKTYTIMISGFCVKRLKQKAVTLFRKMKEDGPLPNDCTYNALIRAHLRDGDKAASAELIKEMRSLGFSAEASTFSLVTNMLHDGRLDKSFLDMPS >A10p039400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21822464:21827074:1 gene:A10p039400.1_BraROA transcript:A10p039400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin binding [Source:Projected from Arabidopsis thaliana (AT5G04020) TAIR;Acc:AT5G04020] MSNPMLSEKWESSSKTTRRELKRRERKTWKKPIRISKLPSFTSDQVPVIFSGYTAESEDSSSSDMSDDSITCSTKSSDVDQASQTPVEESSKSVRRMKSVKVLRRQSTRKGGGLMKMRSMKRVTSHSRHTLMKKKNLDLISREDLGGLLEPHYLRPTSSSASKNVQKNLQAARLKRMTSLRYKALLKPTCSSAMKGSPSSKKSDDVCNYRYCSLHGRPHSHGGDDESGVVHVPSLKRFVSMRRKFVKRQKSVNRRLVLLKRSLSRKRGSADQELEQVDGETNQEIVEEEVSSWENCGSDSELNGRSNETVMVDVDDSVDTVASGGRECVVQETKPEIMDDSVSRIDKDMEETVTGLDLDDVKVKSEETVGDNEEVYSKESKPEIMDYSDGRSDKDSKESNMTGLDYYNGEIEGTKGEEIVEDDDEKNTEDVWNDTVTLVKQAFDEILAEITDDDDSSDDALEGELANEYDDVADDSSAIEGRDTHLTVIISTSHMEEGSDHNKRGAKKWSFLKRVILLKRFLKSLDRKERRKRTDAEENETIMRLRRELVGERKNAEEWMLDHALRQVIKTLAPPQRRKVKHLVKAFESLIPMNGSSRGHGGLGSSGREEKETVNSHTILRDIGDTTNLPEVLSGKDLEETNLTREVKDLEETKLTREVSSSLSLGMKSDEDVETIEDSSSSHQPAVEEVLDELASGSSIEEKEEKTGDSTIEEKEEKTGDSEKKNLSTWRNLIQKHMVSGDNEERKLDEAEKEDYRWSYGTNQMTGTEDHGDGDAAAATIKSFQQAFEMILSEIPDDEEIVSESSNSLKEEKEEEHGETKRRSWNSLRKVILLKRFVKSLEKVHVFNPKKLRSLPVVDSKLEEAENVLLRRHRSTMEEGIRTDGEEWMLDYAMRQALSRLAPVERKKVELLVQAFDTVLDGHETLKQTKSTNNDATIKEGTQTVEDEQRIKNVFSRFQIHQKDLKQEEEVDTPKNNVEADTEGTLRAEKDEQKIANVFSKFQVHQKDLKGGEEVDSTPRRSSSLLPPIGNVKQRIVVEKEKDSRMWKLIYKHMVTEKEEETSSTNGESVASVEDECDDARRSGTVTLVREALEKILSEIPDNSSDEQSIDSATDQELMERNSQVSEESSTNSKPKKGWNNVKKVILLKRFVSDLGRLSPKTPRFLPWEPDPETEKIRLRHQEVGGKRNSEEWMLDYALRQAISTLPPSQRRKVSLLAQAFDTISFSSTPGSAATSRNISRQSSLSSMTVHNENEGNAEILRGKLRKLQEDNLKETAKVDGDLEEKQECSSLWRLLCKQMEDNERNQTLPKEEQESEEDTSVDGEKMEVYKTEAVELLGEVIDGISLEESQKSDTLKVSQVKINRWSSVKKAMQLRKFVKALENVRKFNPREPRFLPLDPGVEAEKVNLRHQETRNKRNGDEWMVDNSLQEVVSKLTPARRDKVKLLETEANDITCCTATDHEEQDGQD >A07p039250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20855842:20857686:1 gene:A07p039250.1_BraROA transcript:A07p039250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSSSGFGHEGSSLSSINFQTNTSSSEMGPYFGRSGGLLGMDMMSNNANSGLVQNGYSSNSSLDSVSGLKVDASLASEWSTEEQLRLEVGLEKYKDKPSIMKYIKIAATLPDKTVRDVALRCRWMTRKRRKAEEQNCGKRFSYSKDKQVELTSSIPSVSPASMASYPFLMPSTTSSDLSGNAFSLLDQNVRAFSQIRANLSSYKAHDNIDIFYQARNNLIRIQNDMNNMPGLMSQMPPLSVAIDDDLSAILFSNSTSAVPFNTMQNGGFHMKQEPFG >A04p028670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17213238:17214812:-1 gene:A04p028670.1_BraROA transcript:A04p028670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 4B [Source:Projected from Arabidopsis thaliana (AT2G30500) UniProtKB/Swiss-Prot;Acc:Q84VY2] MNQSILLYHLLNIFVGVSEFLCTIKVMASSAALSKKQFKRSMTKKSHSWWWDSHNCPKNSKWLAENLEKMDDRVNHMLKLIEEDADSFAKKAQMYYQKRPELIHLVEEFYRMYRALAERYDQASGELQKNVSSGIQSQGALLEQSSPSSQEKSKEEEDSSSLTDDSGSDSDSKSVPDDEDGDEALIRRMADLELELQETKQKLLLQQENVNNNADFLHKITVYEGELREANEKICMHEEEISNLKIELQSCMSSGTEDASAATKVQDLEEELSMAKEKLKHFEKEISCLRSELEISKAAEEKLQSLQHELKLTQNDADAEKKEVLRLQERMIMVESSLQHKDKEIRELKAAVSDAEEKVITEKEHMKGEMSKLLEERSQLEEQLRLITEEKAEMEERLRGESEKISVMRDESNVLREDIGKRGEKIKEMEKHMKELHMEHVRLRKRSSELSEEVERTRVAASE >A08g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5993291:5994039:-1 gene:A08g503180.1_BraROA transcript:A08g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FAITSGLTQPQPSKTLCTTQRTLSKWTKIGSKANGIEDIKRPGATTSRPLPKERTCLRRLRRRSIWRSCRERDTERKAQQPRKPAPANSKSSYDQNKFCKYHDMRGHDTKECRHLYEAWLASTSDGRTEVEPPKPKTTKNSKSWSKSKDKKKKSNEKKEEDSPPTDDGDQSHHDEESTSDEEKPKARRKIFTIRARHLQRQCRRTIYVTHSTKNPERRSKAPLSLTPRREQ >A08p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16171811:16173688:1 gene:A08p024940.1_BraROA transcript:A08p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKQEYESLREEDRAWIKLQRPVLTSIVAFLCFVIFTCTVVSLRIVFPSNVLRRPFCSDVKVQPLPTYGKARDSDLFPGAFYLTDQETVDFYWMVVFVPSTMVFLVSSVYLVAGIFVAYSVPHRHWFLKVVENNYCASRRGGVRCLSILNVVFAIIYGLLAIFLGSTLLTLGSSCSMPLFWCYEISSWGLVILYAGTAFCLRRRAALTIDEGEFGNRNHQGLEMLEANPLVFTPEVERRVNEGFKAWMGPSLLSSDEEEEDESEFYNEVPNVTARTLSSRQRS >A09p048220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43062802:43066090:-1 gene:A09p048220.1_BraROA transcript:A09p048220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVDRKVVVNIVSAKTVDQLGQAYVRSLISTDEVGEDLYQSGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAADVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATSAIAHLETKVTGEVDKINQLLKSRLRGADMGATYGFSLGRHSSPFPGQNDDFNYTEVNPDRPTTHTGAPEVNLNTMKLFFYRTASVGLGHNLDEGERGGGLSPGKQTDSTDGAEFRAETGGEHGGDADIGHDPINVENLSPSVNVASPDNSDAVNPPSRVDVDQVNVSSERRVDDPVSGVVNKILSEAGIDKNPVRPSTGSGTDVPQTSSDVNPEKVGLDGVHDDRGEAAVGNKGEDVDEDDVTITKVQAGRVNTDAAGGQADGGRRFSRHTHTSTKHYTPPLPAVRKKEGNKKVASQTDDNPPPPKRVKKVAAEPSNPKPRPQEKTHIYRWLLPIYSANPSCKRGLPEDNGGGKAVDRVIGWIRKRSDSNPSSKFDFIPPTFFNDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHWPQWFTQVDFLYTLILVKDRHWIGMIVDLPMWAIYLVDANQTCPPISVVKDVVNPIPIMMPHMISRFCLTSRPRECNYLPFPISRLDIPVLLEHPGYAAVVALILLEIAAVGKPLIDLALTEEEVRVVAENYAISTLGMFKVVPHNPAV >A01p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10306106:10306981:-1 gene:A01p021100.1_BraROA transcript:A01p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit [Source:Projected from Arabidopsis thaliana (AT4G28060) UniProtKB/TrEMBL;Acc:A0A178V4L0] MEDEIELKTAPADFRFPTTNQTRHCFTRYIEFHRCTTAKGEDSNDCERFAKYYRALCPGEWVDKWNEQRETGTFPGPL >A08g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11030806:11035330:-1 gene:A08g506480.1_BraROA transcript:A08g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWCSHVKGKPLVEMATEEGQTRNLKSEDEADQETTLESGIEEAYEERSKLVMVSGDKRVIRGLRQGKDELYQLVGRLREGWMELDVLRPSTADPRVIKKRRKQDVFFSFLLKEIWVLTLQACDMWEENKRSNQWEGGTSCKKGRLRKLSRVWLKMGKAWKKNRESGYLTDKMSLKMIKEVAQQVVRGECSYSAYMSNSVEDSMVMKEQEIKGADDPITKKEWDGFVKYGGNESESGVQEQHQGDSGHHDQEVTEEVENAPQVRVDEQGEVHDPEEVSETETEIYHSNVIVTVVTELKDLGSYLASIWRVKHARRSLDKQGGVWIRSGQG >A07g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15525200:15526978:-1 gene:A07g506480.1_BraROA transcript:A07g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQISFSLVCLALLLFSFPLTVTSIGINYGQVANNLPPPKNVIPLLKSVGATKVKLYDADPQALRAFSGSGFDLTVSLGNEYLAQMKDSDKARDWVKQNVQAYLPGTKIVAIVVGNEVLTSNQSDLSAALFPAMQSIHGALVDCGLNKQIFVTTAHSLAILDVSYPPSATSFRHDLLGTLTPILDFHVKTGSPILINAYPFFAYEGNPKHISLDFVLFQPNQGFTDPGSNFHYDNMLFAQVDAVYHALDAVGISYKKVPIVVSETGWPSNGDPQEVGANCDNARKYNGNLIKMMMSKKMRTPIRPDCDLTIFGKGRFVECVFFFLLLCIIKLRL >A02g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9158227:9159130:1 gene:A02g502780.1_BraROA transcript:A02g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLVCDSELFSPDLPVSASATGSSSDVHGGSEFLSPPDPPDPPDPPDLDFDGDSITAVCSLIYWSSPTPSKAIRLYFGPSSFSPQVSQICGSLVAGFVIRTDILLGWYYEALVTAFDLLYVLVNVQSFFHLSCCLRSSQSFRMFAALFLCGLGSFVTTLKVSNGNSQALEQPLTVVYSFSLVCAVTVDALLLFSPHSWQLGKKCDSSCFLTLNRSSLGCDSLVFSVMDPTYLQNLSLGLGDSFAGSIVSSMEVFRFISSCTNSYSDTQDSPL >A07g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23089881:23092642:-1 gene:A07g508420.1_BraROA transcript:A07g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILERYERYSYAERQLIAPEHYLGEDLQAMSPKELQNLEQQLDTALKHIRSRKNQLMYDSVNELQRKEKAIQEQNSMLSKQIKEREKVLRAQQEQWDQQNHGQNMPPPPPPQEHQIQHPYMLSHQPSPFLNMGGLYEEEDPMAMRRNDLDLSLEPVYNCNLGCFAS >A07g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12550077:12551035:-1 gene:A07g505570.1_BraROA transcript:A07g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRESGFLFCNLCGTMLMLKSNKYAECPLCKTTQNAKEIVDKQIAYTVSDEDIRRELGISLFGEKTQEDTELPKIKKACEKCQHPELVYTTRQTRSADEGQTTYYTCPNCGHRFTEG >A08g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16348945:16349868:1 gene:A08g508760.1_BraROA transcript:A08g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAMNRSTTVKSRRSICSRTMMLNCLPLRWQWLLDWLKQTNICDEFSGKGCVFTYAAVGSYEKVGYSAQGSGSTLIMRSLTISSRVPARFCFLPVDLVNTVFASATERDIYTGDKLEIMILKADDIRTEVMELRKD >A05p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20270623:20273703:1 gene:A05p035830.1_BraROA transcript:A05p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLHSGDLCKEGDDEEHRGLDNEDIDISKIEDDVTVEPHTDNNGITLPEHNTQQQQQQGVNLEPLNGMEFNSHGEAYTFYQEYSRTIGFNTAIQNSRRSKTTREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDHPENNMSGRRTCAKTDCKASMHVKRKPDGKWVIHSFVRDHNHELLPAQAVSEQTRKIYAAMAKQFAEFEEEAKADSETWNKPPTMKSPSPFEKSVSDVYTPAVFKKFQIEVLGAIACSPREENRDATCSTFRVQDFENNQDFVVTWSQAKAEVSCMCRLFEYKGYLCRHTLNVLQCCHLSSIPSQYILKRWTKDARSQHFPGEPQQLQTRLQRYNDLCQRALKLSEEASISQESYNIAFLAIEEALGNCAGVNTSGRSLPDVVASPTQGLISVEEDNQSRSAVKTTSKKKNPTKKRKVNSEQEVIPVAAPESLQQMDKLSPRTVGLESYYGTQQSVQNLVQLNLMAPNRDNFYGNQQTIQGLRQLNSIAPSYDSYYTAQQGIHGQGVDFFRPPNFTYDIRDDPNVRTTQLHEDASRHT >A03p068340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29931026:29932873:-1 gene:A03p068340.1_BraROA transcript:A03p068340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFTPFSALPLRHTRATVSCCSSVSEFICNISPNITLGWGLTSRVVVAGHVSFIKEVAVTEPPTHLQNLLKVLQTRGETIISPGAKQGLIPLAIPLSKDSSGSVTALLRWPTAPPGLDMPVVEVWRSGVRLIARNVDEYIHRILVEEDAQEMSELYIASAEAGEKLYKKGAFAESQIDNLDVYVLKKASVGLFPDVLEKKVLRHFDEGDHVSAMVTGEFYTRKDLFPGFGRPFVYYANILQKVGRDSEAKEAAREVASIAQWEDEQIEFIREKVSDEGRFEDLKKGKDPIQVALDVAAFLLDLASIEGTWSESLHHIAKCYEEAGLKDMSNFILYTDDK >A01p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1493247:1499826:-1 gene:A01p003360.1_BraROA transcript:A01p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brefeldin A-inhibited guanine nucleotide-exchange protein 4 [Source:Projected from Arabidopsis thaliana (AT4G35380) UniProtKB/Swiss-Prot;Acc:F4JN05] MSTSQTLGGATRCGRVIGPSLDKIIKNAAWRKHTYLVSSCKSVLDKLESLPDDFHDPSSVVAGLSSPDADAVLQPFLFSLDTAYSKVVEPALDCAFKLFSLSVIRGEIQSSKQDSVLFKLVNAVSKVGAMAEEPIQLAVLRVLLAAVRSPCVLIRGDCLLHVVKTCYNIYLGGLSGTTQICAKSVLAQMMLVIFTRSEEDSLADVAVKTVYVNELLAFTDKSVNEGSSVYFCQGFVNEVMAAGQGSPPPPPDVIQILLQNPETETVMTPDSPSFRGYEKNGEADSLTGDMSKMRQDAFLLFKNLCKLSMRFSSQEKNDDQIMVRGKTLSLELLKVIIDNGGPVWRSNESFISAVKQYLCLSLLKNSAVSIMSIFQLQCAIFMSLLSKLRSVLKAEIGIFFPMIVLRVLENVLQPSFLQKMTVLNLLEKMSQDPQLIVDIFVNYDCDVDSSNILERIVNGLLKTALGPPTGSSTTLSPAQDSTFRNESVKILVNVVKAMGSWMDQQLKMDETVWPKGSQIYASMDSNASQIGEEDCDTQPDTNPEAYDASMLEQRRAYKIELQKGISLFNRKPSKGIEFLISSKKIGNSPEEVASFLMKTAGLNGTVIGDYLGEREELPLKVMHAYVDSFNFQGKDFVEAIRFFLRGFRLPGEAQKIDRIMEKFAEHYWKCNPGSFTSADTAYVLAYSVIMLNTDAHNNMVKDKMSKADFVRNNRGIDDGKDLPEEYLGSLYDRVVKDEIKMNSDTLAPQSKQVNGLNKLLGLDSILNLVSWMQPDEKAHGANRVLIRDIQEQFQAKSEKSESAYHSVTDVSILRFILEVSWGPMLAAFSVTLDQSDDRLATSLCLQGFRYAVHVTAVMGMQTQRDAFVTSMAKFTNLHCAADMKQKNIDAVKAIITIAIEDGNHLQGSWEHILTCLSRIEHLQLLGEGSSPADTRYIPTTKAEVDEKKALGFPHLKKRGALQNPSVMAVVRGGSYDSTSLVKTVPKLVKPEQIKNFIANLNLLDQIGNFELNHVYANSQRLNSEAIVAFVKALCKVSMSELQSPADPRVFSLTKLVETAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLSMKFLERKELANYHFQHEFLRPFVVVMQNSSSAEIRELIVRCVSQMVLSRVSNVQSGWKSVFTVFTTAAIDERRNIVLLAFETIEKIVRDHFHCITETEISVYADCIICLITFTNSKFEGDIGFNTIEFLRFCAVKLAEGGLFLNEKLKNDNISALKEDSSDGQSVTELDEQVSYWVPLLSGLSKQASDRRPAIRKRSIEVLFNILMDHGHLFTRPFWAAIFSSIILPVFNNMRSKTDMLFEESSSGDSPSSASLHTEETTWDAETSTLALQLLVDLLANFFSSVRSQLSSVVSIILAFIKSPVQGSTGSGISVLLRLADGLARSASEDEWTEVFLALKEAASLTFAGFMKVLRTMDDIEDVETSSGQSVDKDDLDDDNLHIMSYVVSRTKKHIDVLSQIVEVVSELYRRNQYSLPASHVDILADIFSCIASHAQQLNTDTVLRRKFKRACSVQNLTQPQLLNFENEANKSYMTFLQDMVTCNPDVSKELELESRLVTECSKVVKIYLNCTGQHQQQTKPIHWILPMGFDRTEEAKARTSLLVSSLEALCSLEAESLKRHVASVFPLLVDLVKTEHCSPQVPYALSNVLKSCIGPILG >A03p000900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:515201:516136:1 gene:A03p000900.1_BraROA transcript:A03p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAKFHYSDQPFHLKLNNGQRSFQPKPTSYRILQKPPPPRAIRASPKPSFLKSTCVTLTTAAALFSASLHLSAKPAAATPISSPPPPSSTESIETDQEAAIEKHLATNPNDSEALQSLMKIKLQSKNLDQALEILNRLISLDPEEQEWRILKAQVQTYGGDFDSATKGFEEILAKDPLRVEAYHGLVMAYSDSESKLSELEGRIGEAIERCKREDKKKDFRDFMLLIAQIRVMEGNPSEALRVYEELVKDEPRDFRPYLCQGLIYTLLKKKDEAEKQFEQFRRLVPDNHPYKEYFDSNMLNTNKLFAKS >A01p059170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33900042:33903008:-1 gene:A01p059170.1_BraROA transcript:A01p059170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSIRIQGDNLKSLLLIIRERAMESDLNDYTVIKEGEAEILLHKKNQVFFNKAQVNNRDMSIAVLREFISKRKQEHEAKLSKRNRSASNVVDKDSSQASKEGTPIENGEHQVPSEDPPISASKKPEGVALRGLQPPKVLEALSASGLRALRYARKIEGIGQVVALDNDIASVEACQRNIKFNGSLAISKVESHHTDARVHMLTHPNEFDVVDLDPYGSPSIFLDSAIQSVSDGGLLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRVYTSASAMKSTPLKLSYVYQCIGCDSFHLQPVGRSLPKNNSVRYLPAIGPVVAQDCYHCGKKYNMGGPIWSAPIHDQEWVTSILNSVKSMNDRYPAYDRIYAELVDVPLFLSLHNLCATLKCISPSAAMFRSAVINANYRISGTHVNPLGMKTDAPMEVIWDIMRCWVKNHPIKAQAPELPGSVILSKEPSHQVDFSRHAGSLSKAQAKKVARFLPNPEKHWGPKLRAGRQITSKHVSLIGHEAVNGHLTQHHEELKEEEEEAVQEDNIQEELDAKRLKTTEDIASTS >A01g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7624342:7627855:1 gene:A01g502160.1_BraROA transcript:A01g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYIKNNFFLVFMIVLLLVVSSYARLSMIVTKGEIESICNKKDVDSTLCFEVLKPNPTIAKLDFTGLANFLINYTSRNISDVLKEIKLYESNTTDLQTIKLCEELYDLSLFSDDHALIALAAKNYDSVNFKVGGTLENIVTCNEELSTMKPVPQSLIAKNNVIKNLSGIVLTILECFIRKALPIRCPSAMTRFATDKKLCASEIYGVADNVNGTAARFVNSSFNHLNLFGLRGGYMYYSHGCCQLHISFSLFSMMVTEGEIESICNIKDVDSTLCFEVLKPNPKLDFTGLANFLTNYTFRIR >A02p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1915867:1919494:1 gene:A02p004410.1_BraROA transcript:A02p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVEVEISSSIRVLESDEYNKLNPNSELVSTTLYTVQNLGTLIRSPDLINSAQFLSMEDPRDNEAYEEELLDYEEEDEKAPDSANKVNGDAVKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGNLAFRKATNYKDMEVIFYLQTVPSAALLFGYPSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTPGQVSALILCHTRELAYQICNEFVRFSTYLPDTKVSVFYGGVNNKIHKDLLKNECPHIVVGTPGRVLGLARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDVMSYCHYYLGVQVMYYCIIFSARWEVIVMSLRRDAYKSCSLDILIPEHSVSIDKALGRVLGFGKLGDSEDHWVGFESRCSEVSMFVMLSFTDLNGCKHGGRGSQFEGPSFPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAGELNKLLIECNFPSICIHSGMSQEERLTHYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQDRFEVDIKELPEQIDTSTYSKCLTCRLKAASSLSKKLSRLQLSSSSPLYFSLFLCGQVSV >A08p036570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21428384:21430808:1 gene:A08p036570.1_BraROA transcript:A08p036570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEYDLLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGMLFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCVIRGEGDGILVPVPQYPLYSATISLLGGSLVPYYLDESENWGLDVNNLRQSVAQARSQGISVRAMVIINPGNPTGQCLSEANLKEILKFCYNEKLVLLGDEVYQQNIYQDERPFISSKKVLMDMGSPFSKGVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPRVVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISYDQFARESKGILESLRRRAKIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPGALQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMDSFKKFNDEFMAQYDNGFGYSRM >A02p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20767194:20767790:1 gene:A02p036280.1_BraROA transcript:A02p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIMTFICPRWSYTSNLSEYEDESIILYLNDASNSSEERNDIWNNLADACHSLSLQINEHWLQDFFLSLVNFLAPLISDHPDTCEPTMPFTYCGNKSI >A06p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7568170:7569272:1 gene:A06p016870.1_BraROA transcript:A06p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTSAAAAAALATRRKPSWRERENNRRRERRRRAVAAKIYNGLRAQGNYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGHKPLPGDMAGSSSRATPYSSSYNQSPFESPILSYQVSPSSSSFPSPSRGDNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPTSKHPKPLPTWESFTKQSMAIAAKQSMSSFNYPFYAVSAPASPTHHRQFNAPATIPECDESDASTVDSGHWISFQKFSQQQQPFHGVSSAVPASPTFNLVKPPVPQRLSPNTAGIQEIGQSSEFKFENRQVTPWEGERIHDVAMEDLELTLGNTKGR >A03p046410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19588043:19591256:-1 gene:A03p046410.1_BraROA transcript:A03p046410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin CPN60, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G23990) UniProtKB/Swiss-Prot;Acc:P29197] MFRFVSSLASKARIASNTRQVSSRMSWSRNYAAKEIKFGVEARALMLRGVEELADAVRVTMGPKGRTVVIEQSWGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLINELEVVEGMKLDRGYTSPYFITNQKTQKCELEEPLILIHEKKISSINSIVKVLELAMKKQRPLLIVSEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLAALTGGEVITDELGMNLEKVDLGMLGTCKRVTVSKDDTVILDGAGDKTAIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYAARELEKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVIVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLLTTTEAVVVDLPKDESESAGAGGMGGMGGMDY >A04p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7282959:7283440:1 gene:A04p010240.1_BraROA transcript:A04p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIATPTQVLFCIHESLLGIEVPHGVLGDIWSSKECMRATLPERRHEVVVPYLSERPYRSDATKSLALLSSGDTKNGPGATCQSDHPRSLPNPRATCWSDHPKSLRVVYLVELMIN >A10p025760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16481224:16483608:-1 gene:A10p025760.1_BraROA transcript:A10p025760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQTMASESRTSWVLPYKTKNLKDDYVLDRVLGQGQFGTTFLCTHSETGQKLACKSIPKRKLLCQEDCDDVLREIQIMHHLSEYPNVVRIQSTYEDANDVHLVMELCEGGELFDRIEKKGHYSEREAAKLIKTIVAVVEACHSLGVMHRDLKPENFLFSSDDEDASLKSTDFGLSVFCKPGATFTQLVGSAYYVAPEVLHRHYGRECDVWSAGVILYIMLCGFAPFDAGTQYGIFRKILQGKLDFETSPRPSISESAKDLIKKMLESNPKKRLTAHQVLCHPWIVDDTVAPDKPLDFAVVSRLKRFSAMNKLKKMALRIVAERLSEEEIGGLKELFKMIDTDNSGTITFEELKDSIRRVGSELVESEIQELLQAADVDESGTIDYGEFLAATIHLNKLEREENLVAAFSFFDKDASGCITIDELQQAWNQFGIKDPHLDEMINDIDQDNDGQIDYGEFVAMMRKGNGNVGISRRTMRNTLSFENPPPQESNE >A08p039220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22599293:22600806:1 gene:A08p039220.1_BraROA transcript:A08p039220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMMRPMAVSARVAPAVNPVARRVVAIVAGTRIGLNMMKSGADTTNATAETAIVKPAKAKKTTEATEAIVTLLASHNGMRKENISRKIKLLYSNSTEVASPLLPEDLHTEEREAVVEVVMCMSNALRVRYWYEAPTTNKTQTERGTTGVEGMKTDRFRHSLGEREEMVLLHYTFQITLHSIWRERNDRRHGETPMVVGSFAKMIDRGIRYWCLMVSATDHRLYCNAG >A06g509520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27437487:27438635:1 gene:A06g509520.1_BraROA transcript:A06g509520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSTFFFTPIKATTSLSFTSHSIFPLSKKLCTFSNRMFMGKMSLLGIFLLGFAAMASSVHGYDAGWVNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFQIRCQSDGAWCLPGAIVVTATNFCPPNNALPNTAGGIRFTINGHSYFNLVLVTNVGGAGDVRSVAVKGSRTKWQPMSRNWGQNWQSNNLLNGQALSFKVTASDGQTVVSNNVAPASWSFGQTFTGRQFR >A06g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6976906:6977225:-1 gene:A06g501960.1_BraROA transcript:A06g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFFHLLILSVLLSGAATLTVIDVLVMTVVHAFVKCDLFPNDVAIAIRAEKPTQKKCSNSSRFWTKKIIK >A08p028990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18001324:18007017:-1 gene:A08p028990.1_BraROA transcript:A08p028990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGVIEVEKTKKIFGFNEKGNVWLYTPSQRIWKTGNSDTNELRKGWHVIDNVIYSCVAGGWILWCEASELEESAGGEMNWRQVMGLEDLRVALCASRVVNYTRGFTPSEYLDDMLPGHKLSNSGPNMLLFWDCLADWKWEIRCAEISLQRRKGTGEIWGTVEWSEAITRIDLYGKAKQSTMKRNCRKKQKNYCPQQASTLSVLPDDIVMSCLAFVSRLDHGSLYLVSKLHRSLMLSPELYQARSLMGRTEHCIYLCLRNASDPFARWFAFYPKAAVNHPSRLVPIRPHLYQPPEASSVVAHGWGIYVIGGMIGRKRSSRVFFLDCRSHTWTNLPSMEFARASAAAGVEEKTKKIFGLNEKGDGLVYIPSQGIWKTGNSDTNELRKGWHVVDNVIYSCVTGGWILWCEASDLESAGGMKWRQVMGLEDLRSTLCASKVVSYGWSLPSLDLDGIFPGHKLSNSGPNMLLFWDCPANKKWEIWCAEISLQRRKETGEIWGTVEWSEAVTTIDYPLHRPRSYISTLETASSENTKALLRYERVIEVFEESECSLLDLATVLEIKKKLCEANVSASFYSLLERLISCKIKFPPACAIVGGILAQEVIKAVSGKGDPVKNFFYYDAQDGKGVMGDISNSFFYLLTIEF >A05g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2365449:2365939:1 gene:A05g500590.1_BraROA transcript:A05g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPLPSGRISVPHAVAWAVIAGASGGLTSSWFCLESTLLTMGIAATAFSFYRHRTMEKARKMFHASLLFLPVFMSGLLIHRVYDNNQQPVLEVAGLSNSASGEVIKTQRRKKRAAQAPVAYASAAPFPFLPAPSFYSP >A04p027630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16632809:16635447:-1 gene:A04p027630.1_BraROA transcript:A04p027630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKSSSKYRTYFWYVAMVSFLLWLVLLYLFNSSVKTVHNHERLFRQENVIDLPVHVSQQNHESDQAVVSNVDNITVPVTGNGSSQEVQISEDAKVVSDLVEELEKEDVGNVKKRGDTAWSRRSTRSRGRHRESRRTRSSDKRMVRPNNDDENNVDNSDENHQSLDKEPNFFEPRNDVTSKKERVDDNNVVESKQKTSNNNTSKVNSRISAKRNRPKVVVRPRVTRRNDPCRGKYVYMHDVPSLFNEELLKNCWTLSRWTDMCELTSNFGLGPPLPNMEGVSGWFATNQFTLEVIFHNRMKQYKCLTKDSSLASAVYVPYYPGLDLMRFLWGPFPSMRDAAALDLMKWLRERQEWKVMEGRDHFMVAGRTTWDFMRTPGNESDWGNRLMILPEIRNMTMLLIESSPWNYHGFAVPYPTYFHPSTNAEILQWQNRMRRIKRRYLFSFVGAPRPNLGDSIRSEIMDQCKASRRKCKLLECVSGSQKCYKPDQIMKFFLSSTFCLQPPGDSYTRRSTFDSILAGCIPVFFHPGSAYAQYIWHLPKDIGKYSVFIPGKNVKEGKASIERVLSRIPKAKVVAMREEVIKLIPRLMYFNPSGKRGDAGSFEDAFDVAVEGVLGRVEGLRKRIEEGNEEVFDFPERFSWKYNVFGNVEKHEWDSYFDRR >A05p017750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8098639:8104829:-1 gene:A05p017750.1_BraROA transcript:A05p017750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) UniProtKB/TrEMBL;Acc:F4ILN8] MFRLSKDIDSAFQGVGTKGGLEVWCIHNNQLISIPKSSFGRFHSGNAYLLLSTALRKIGSPQYDIHYWLGHDATEVDSVLASDKALELDAALGCCTVQYREVQGQETEKFLSYFKPCIIPLEGKYSPQNGLAEETYPVTLLMCKGDHAVRVKEVPFLRSSLNHDDVFVLDTASKVFLFAGCNSSTQEKAKALEVVEYIKDNKHAGRCQVATIEDGKFLGDADAGEFWTFFGGYAPIPKCSSSTNQEQTPTTCAKLLWIDTRGNLHPTETSSLNKDMLEKDKCYMLDCDSEVFVWMGRNTSLTERKTSISSSEEFLRKEGRSTSTSLVLLTEGLENARFRSFFDEWPQTAESSLYNEGREKVAAMFKRKGYDVEEFSDEEDEPLYTNCRDALKVWRVDGGDVSLLSIHDQAKLFSGDCYIVQYKYTYNERNEYLLYVWIGCESMEEDRADAISNASAIVSSTKGESVMCHIHQGNEPARFYSMFQSLVVFKGGLSKRYKTTFLVENANECESKASLFRVQGTSSRSMQAIQVDLAATSLNSSYCYILQNEASVFTWIGKLTSESDHDVLDRMLYFLDPSRQPMSTREGSEPDTFWDLLGGKTEYPKEKETRKQVEEPRLFTCSCNSDVLKAKEVYNFVQDDLTTEDVLLLDCQTEVYVWIGLNSNIKSKQQALTLGLKFLEMDILEEGLLTVRSPVYVVTEGHEPPFFTRFFDWVPEKANMHGNSFERKLASLKGKDPVTKRSSGSPWRSDSKENASRGSRSRSNGSERGVSSCSSERFPSYSQGRESNSDSTPLVKKLFSESLSVDTNNGSTSSNSDISKENSLGGIKVDLSLESLAYSYEQLRVDSPKPVTDIDATRREAYLTEKEFEERFEMTKPKFYALPKWKQNNLKIDLPDIKLMLVSYKTNTTAQPPMKLITPLPTLPPAKAPIKIPTLPPAIATIKPPVLPPVSPPKFNRTLVAMRGVVFCKACKYAGVNNLEGAKPVKGIYRAVLTIIQKRHEPQM >A07p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19311751:19312920:1 gene:A07p035800.1_BraROA transcript:A07p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAECWEFSGPKLPEKPSFSQTCSRLSRYLKEKGSCGDLSFSMTSKPDVNASGINSKAAQDVKLQNDMFPCQSSFSSSFGVKEEVVKITETKPVKPESQSAPLTLFYSGQVMLFDDFPAEKAKQVIDLANKGSANGFTAELNNNQSAYTKNIAKNQKEIASIPRPVPSPAKKPAQEPIQTNTSSLASELPIARRASLHRFLEKRKDRITSKGPYQKEGSTEA >A10p001040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:542392:542881:-1 gene:A10p001040.1_BraROA transcript:A10p001040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYVESIACFNQFNVMKRRVFHLGMRDIILASPKLMGTSVEQPIFVSFFAMRWSSTIKRYDFLSSETSSHSWCIYILPRRLTYSQSPLPKPLPPPLLLPSNLNHPSQSLNSRLHQPSLRNCHVRIFVLFLTGV >A08g508400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15379065:15385158:-1 gene:A08g508400.1_BraROA transcript:A08g508400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDGRSEVLRILPIALDQQSKNTNAPIGYHSRLFNPRKQYLIVSSSTQGLISYGTHTMALQGFTHAMVLVTVTACPSIIIKTGGADPLADSNLSSEEIIRRVVDRKVVVNIVSAKSVDQLGQSGGYPGDPRGQSSANPSGAPHGGESFPVDFETLLRRAAEVYEDKVIAMFEGYILSLKRHFNSEVGGLRTDLEAATTAIGHLETTVTGEFDKINQLLKSGLRGADMGATYGFSPGRHSSPFPGQNDDFNYTEVDPDRHTTHSGVPQSTPRDGEDVAATETASVGLGQNLDEGEMGEGLSPGKQTESTHGAEFRAETGIQHVGDADIGHDPINVEVGEQGGDAEMGHDPINVENPSHSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKILSEAGIDKNPVRASAGSGTDVPQTSSDVNPEKVGLDAVHDDRGEAAVGNKGDDVDEDDVTITKVQAGHKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPTVRKKDGNKKVARQTDDNPAPPKRVKKVAAEPSNPKPRPQEKHTFIGGFSPFTPPTPAAREAFLKTMAEAKSNVPSLGSISSIASLDDLFHCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFTDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYTLIKDRHWIGIIVDLPMWAIYVVDANQTCPPISVVKDVVNPISIMMPHMVSRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTL >A10p006140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9580375:9586041:-1 gene:A10p006140.1_BraROA transcript:A10p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWSHVSRLLPLFLLCFWSSVFAQDGITNPVEVRALRAIKESLNDPVQRLRNWGRGDPCASNWTGVLCWNSTLDDGYLHVKELQLLSMNLSGNLSPDLGRLTRLTILDFMWNKITGSIPKEIGNIKSLELLLLNGNLLTGNLPEELGYLPNLDRIQIDENRISGSLPKSFANLNKTKHFHMNNNSISGQIPPELGSLPSIVHILLDNNNLTGYLPPELANMPNLLILQLDNNHFDGTTIPPSYGNMTKLLKMSLRNCSLQGPMPDLSSIPKLGYLDLSRNQLNGSIPTGKLSDNITTIDLSNNSFTGTIPTNFSDLPRLQKLSVANNALSGSIPSSIYQDRVLNSTETLTVDLRNNRFSNITGRSDPRPNVTGNPLCSNGNFLQLCGSLTEQDNNQGPASPNTTCSVCPPPYELSPEPLRECFCAAPLLVGYRLKSPGFSDFVPYVSEFQQYITSGLNLDLYQLRIDSFRWQKGPRLRMYLKFFPVYGSNPNNSFIFNRSEVRRIRGMFTGWNIPDGDLFGPYELMNFTLLEVYRDGSPSGVSKGAIAGIVLGSVAAAVTITAIIALIIMRKRMKGYAAVSRRKRSSKASLKIEGVKSFTYAELALATDNFSSSTQIGQGGYGKVYKGILVDGTAVAIKRAQEGSLQGEKEFLTEIELLSRLHHRNLVALVGFCDEEGEQMLVYEYMEHGTLRDNISVKLEKPLDFAMRMRIAIGSAKGILYLHTEANPPIFHRDIKASNILLDSRFIAKVADFGLSRLAPVPDMEGISPHHVSTVVKGTPGYLDPEYFLTHQLTDKSDVYSLGVVFLELLTGMQPITHGKNIVRETNIAYQSGSISSVVDKRMSSVPAECIEKFATLALRCCREETDARPSMAEVVRELEIIWELMPESKTAKREDMSETTSQPSSSSNSSYLKNPHPYTSMDVSGSDLVSGVVPSVAPR >A09p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1277492:1279520:-1 gene:A09p001690.1_BraROA transcript:A09p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin-42 [Source:Projected from Arabidopsis thaliana (AT4G02195) UniProtKB/Swiss-Prot;Acc:Q9SWH4] MATRNRTTVYRKHRDACKSARAPLSDSFGGPVIEMVSGSFARSNHSSYAPLSTNDPGPSSSNDAFTIGLPPAWVDDSEEITFNIQKVREKMGELAKAHSKALMPTFGDNKGDQRAVEMITHEITDLLRKSEKRLHNLSTRGGGPSEESNLRKNVQRSLATDLQSLSMELRRKQSTYLKRLQQQKEGQDGVDLEFNMNGKMSRMDEDEELGGMGFDEHQTVTLKEGQHVSAEREREIQQVLGSVNDLAQIMKDLSALVIDQGTIVDRIDYNIQNVSTSVGEGYKQLQKAERTQREGAMVKCAMILLILCFIMIVLLILKNVLF >A08p002460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1399210:1400241:-1 gene:A08p002460.1_BraROA transcript:A08p002460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLETTPALQLPVIDFTSPNLKPGTVEWDSVRGDVRRALEEYGCFEALYDKVPVQLREAVFNVSEEAFQLPLETKQRVVSKRKYRGYVGQIPTLPLFEVMGVDFAENEDKVNEFTHKLWPQGNASFSEAVMSFTEKVSKLDLMTRRMIMESFGISENYIDKHLKSTKCLMRMMKYQGVEEETEEELGMEVHTDRNMLTILCQNDVKDGLEVRARDNKRWIKANPSQDSSFIVLGGATLHVLLNGRVFTGVHRVMRTGTKTRFSAGLFSVPKKDHLIYAPDEIVDVEHPRLF >A03p052260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20159012:20163255:-1 gene:A03p052260.1_BraROA transcript:A03p052260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECQFETSELQASLMMSTPLWSDSWSLCNVADSSKSIQIQRIAGTMYVALPEVEMNQPGNLVDLKVAGNELFSAFSTSSTSDEPPPMVNGAILELFVSSALFIESQITRGLEKEDREQVVITGYSTGGTVAALTALWLLSVPLSPSYPLLCITFGSPLLGNQSLSSSISRSHVAQNFCHVVSIHDLVPRRNDEQLWPFGTYLFCSDNGGLCLDNAASVRRMFHILNSTGTPNIEERQRYEHYVSTLSRQFLISRSSLSENISDNSYDAGVALAVESLGFSDDHPSGVSAKECIETATRISRAPIERASELAIELGDVLPSRLEIQWYKDSCEASPKKLGYYDNFKLYSNPRELKVNMSRAKLAKFWDRVYDMVETNELPPDFDFELKWLFASQFYQLLAEPLDIAYFYKYKYSRTGTGHYMENGNRPKRYLLFDKWWKERGECHRVKTARTRYASTTQDTCFWAKVEEAKEWLDDVRSEGTNEQSRALLWEKIFGFEIYANTLVKMKDVSLDVLAENSSYRVWEKKLTEFKKDNGVGMVADKSDAMET >A03p049930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21441784:21443284:-1 gene:A03p049930.1_BraROA transcript:A03p049930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCYIVPAQEDSIPILAKETKTPNSETLATVNPAVPSIQDQTLQKMEKKAMGTKTVEPLPTIASKGKDTGSKELAAITCSSAPAIADYPASEQSDHTVSVNHNLSKGSLYVDLSTDRDLPEQQSSTASSSDTSTSADDGDPDDDSDGFIEYFSKRHQK >A07p038770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20616553:20620031:1 gene:A07p038770.1_BraROA transcript:A07p038770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRGLVLIVFLLAVVSCKANGEITCEENEPFTCNNTARLNSKGFPKDFIFGVASSAYQACNKSSYMIEGGRGRGLNVWDGFTHRYPEKGGPDLGNGDTTCESYTKWQKDIDILDEMNATGYRFSFAWSRIIPEGKVSRGVNKGGLKYYHKLIDGLIAKNITPFVTLYHWDIPQTLQDEYQGFLNRQVIDDFRDFADLCFKEFGGKVKNWLTLNQLYTVPTRGYSTGADAPGRCSPKVDERCYGGNSSTEPYIVAHNQLLAHAAVFQRGIIGPVMITRWFLPFNETDRASIDATERMKEFFFGWYMEPLTRGRYPDIMRRMVGSRLPNFTEAEARLVAGSYDFLGLNYYVGQYVQPAPNPLPVTSERYTAMMDPGTTLTSVNARGEKIGPLFEDFQGSRIYYYPKGIYYVMDHFRTRYRNPLIYVTENGFTTPASENRPEAVADSKRIDYLCSHLCFLRKVIREKRVNIKGYFAWSLGDNYEFAKGFAVRFGLTYVNWTDVSDRNLKDSGRWYQRFINVTSKNTANEDFLRSSLSFKNKMKTLADA >A09p020300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10749532:10750242:1 gene:A09p020300.1_BraROA transcript:A09p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMVKGGVWKNTEDEVLKAAMMKYGKNQWGRISSLSVRKSAKQCKARWNEWLDPSIKKTEWTREEDEKLLHLSKILPTQWRTIAPAVGRTPSQCLERYEKLLDASSCSKGYEAGGDPRKLRPGEIDPNPESKPARPDQVDMEDDEMEMLSEARARLANTRGKKAKRRAREKQIQEARSLASLQKRRELIAAGIDDGKRRNRKGKGIDYSAEIAFEKRAPAGFYDTADEDRHADNH >A01p026160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19728810:19729456:-1 gene:A01p026160.1_BraROA transcript:A01p026160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKIVRYAESECQAWYNAKDTVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKIRNRKERANSPFCSSPRTPYILAPRSVYAFTLLPLSRHSIKWIFSIFPVLHNYLQNFRIYPRKLDIYPSSWAKRKPCCGLRAFG >A01p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23256491:23257071:1 gene:A01p035010.1_BraROA transcript:A01p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLGSIDHRMLGYSDKYLKLQSLSNFSLESKFASIARSLTKIGQASMNQDLMVVATKSCSLLFDIYPRILCEASLEDCCLQVSFEVFYWNLYEASLNGVTFQTCLKNPIPCIPSPKTSDYVRFSVGGQLWFLQTIKASVYS >A08p009640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7560375:7562659:-1 gene:A08p009640.1_BraROA transcript:A08p009640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTLKDEELASSLYPDFSRSSSCCSSDDLGVSEENLAAYLRKREKTYQEILQSHDLLRERLGKNNRKLKLARRQILSYTPGSFADVNLSDYHIPKTTSILIVGPKGAGKSSLVNRITRVIQDEEFAPARAQESYGMPSNGGTFFLQEYMIPRGGSASFCLCDTRGLSQISSSDNTTMIEQWIKKGVHHGEPVIWTSDDSDLKDRLIRDGCTGCEIRKVNSIIFVVNAVEMMESESSYAHMVSTAFNSPLLSFKDDKPAVVITHGDILSREERARVQVLVGELLGIPPDKQIFDIPDSRDAATALTVCNLLRHCLEHADKNLRFCPKRNFTISKVEGGDKNRTRMTMFSVALTLFLALAIIWFIHEHGGQNVAHEARHELHVFQSPRLYNLTHEVLPKLSISVQSSESETEDVPNGEPSIDWRTARRLWFDEGKVAKAEGEPSFDWRTTRRLWYVE >A02g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20123388:20124710:1 gene:A02g507050.1_BraROA transcript:A02g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRAKSERKRKLAASQKSPFQGNSTAKQIVPTKKIGHGYEPFAPVDKKKAKALMEFLKKDPDHRLTYERKPLGSRSLWFTMLRTPQKWLLSSVSLLNSTPRPEVFPKSSWSLHVVLLKSFRTLPGVFPKSSMSLYEDLMEYFVETLGRLSGDFMKTPGGLCGVFLESSWSLLTCYMWINKYGDFKISEPDMNGLGRRLPPGAFDHCAGLVPEFHKSNLRWGKDVDDIYAPVNYINEHWIAIWVSIPKKHITIWDSIPTHIKDGPLAELMEPFTTMIPYMLPFTYERVTAGVPVAISGECGVYTLKYIECHALGMTSFPFSEKDVRTIRAKMATKMFEHNLCYHNDGDDEDYTNLDMYVGQ >A09p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40396304:40408219:-1 gene:A09p046230.1_BraROA transcript:A09p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRTTLLALILFIVTIWESNSSLANSETFTQCLTSNSDPKHPISSAVIFSGNSSYSSVLEAYIRNLRFNTSTTPKPLLIIAATHESHVQAAITCGRRHNLQMKIRSGGHDYDGLSYVTYSGKPFFVLDMFNLRSVDVDLASKTAWVQSGAILGEVYYYIWEKSKTLAYPAGICPTVGVGGHISGGGYGNIMRKYGLTVDNTIDARMVDVNGNILDRKMMGEDLYWAINGGGGGSFGVVLAYKINLVEVPENVTVFRVSRTLEQNATEIVHQWQQVASVLPDELFIRVVIDVVNGTVSSKKTVRASFVGMFLGDASTLLSILNRRLPELGLVRSDCTETSWIQSVLFWTNIRVGTPETVLLQRNQTVNYLKRKSDYVREPISRTGLESIWKKMIELEIPTMAFNPYGGMMGRVPSTATPFPYRAGNLWKIQYGANWREDRLTNRYMELTRELYRFMTPFVSKNPRQSYFNYRDVDLGINSHDGVVRSYVEGERYGKKYFNGNFERLVKIKTRVDSGNFFRNEQSIPVIARNTSNEISNNPNPNHLCFLFTIRTSLASPPTLGNVFIQCIIGFKPSNPCSPIQNFTYTPQNPKFLIILNNYVRNLRYFNNTTRKPIAIVAAADVTHIQATITCAKKLSLQLRIRSGGHDYDGLSYLSTVDFVVLDMFNLRSIEFGRKLDTAWVQSGATLGEIYYGVANKSNDLRGFPAGICPGLGAGGHFSGGGYGNMMRRYGLSIDNIIDAKIVDAKGRVLDRTSMGEDLFWALRGGGAASFCVVLAWKIKLVPVPEKVTVFNVEAVGKRGGVNTKELVVKWQEIADKIDNSLFIRLTLSTSNKTVKASFMGMFLGDSSRLLEIMTKEFPELGLNKTECIEMKWIESVLFWLSIPPGTAPTSVMLNRIPQKQIYLKRKSDYVQKPISRTGLDAIFKVLLENENVTMAWNPYGARMSEIPSTETAFPHRAGNKFKIQYAANWFVPGEEVAKECMSQTERVFEAMTPYVSKNPREAFLNYET >A06p047550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25245392:25250636:1 gene:A06p047550.1_BraROA transcript:A06p047550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHPRQETEAYTLPPFEANSVGKLRYIPAHNSLKRYCMLEPSSSSSLVSPAYAVPSNATVNSSAHEDTSGSCVTDDFNDKIKELETVMMGPDSLVFDYNDSFDSTSCQETNSWRSTLEAISRRDLRADLVSCAQALSENDLMMAHSMMEKLRQMVSVSGEPIQRLGAYLLEGLVAKLASSGSSIYKSLYRFSEPASTELLSYMHILYEVCPYFKFGYMSANGAIAEAMKEDNRVHIIDFQISQGSQWVTLIQAFAARPGGPPRIRITGIDDTTSAYARGGGLSIVGNRLAKLAKQFNVPFEFNSVSVSASEVKLKDLGVRPGEALAVNFAFVLHHMPDESVSTENHRDRLLRMVKSLSPKVVTLVEQESNTNTAAFFPRFKETMDYYDAMFESIDVTLPRNHKQRINVEQHCLARDVVNIIACEGADRVERHELLGKWRSRFEMAGFTSYPLSPLVNSTIKSLLRNYSDKYRLEERDGALYLGWMQRDLLLQQSPATSATNFDQKPSSTSTHQEPETPKRSSPTSNSEDPTDGLSSNLHEVHSIPRSKSDTTLPSLAVTVAAPSALHIMEENPSPVHIREASHTYETEQHFGESFKQDSPHSQNRNEEGMLLVGETSGYNTTRGGRPIKPTQKIQGMEWKTVRGRGLSANAKDFTITAPPGSDITSLLLKTFNEACQFPTRSSVLIPKGEYKLRQIEMMGPCKAPIRITLQGTVKADGNVNGNDYWVAFRRINGFKLNGGGIFDGEGNAAWRANNCHKMALTQCKKLPISIRFDFVTDAKIRGITSLDSKNFHINVLGARNMTLEEITIIAPEESPNTDGIHVGRSVGVQIINSNIKTGDDCISIGDGTRDLLVERVTCGPGHGISIGSLGLYVKEEDVTGIRVVNSTLINTDNGVRIKTWPSAACSTTASGIHFENIILKNVTNPILIDQEYCPWNRCNKNKPSTIKLVDISFKHIRGTSGNKDAVKLLCSKGFPCKNVQIGDIDIKYTGADGPATFQCSNVSPTLMGTQVPKACSSPVTKLPGQ >SC267g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000115.1:67388:69249:1 gene:SC267g500020.1_BraROA transcript:SC267g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSEVDGLGGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELGGEEHEEPMQEANHDEGGVENEGEESIGSDGHGHDHGVTQTPSQDE >A10p035190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20276382:20278795:1 gene:A10p035190.1_BraROA transcript:A10p035190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEDTEPPLKRAKRDEETNGFSGSNSSVRVSSSVNNSLGDLMARPLTSSQGDDETIGSKGVIKKSEFVRIITRTLYSLGYGKAGAMLEEESGIPLHHSFVETFMEQVRDGKWDESVVTLHRIGLLDEKDVKAASFLLLEQKFLELLKFDKIGEALGTLRNEIEPLRINTKRVHELASSLISSGPGKENVSSRSKVLEELQSLLPASVIIPEKRLESLVENSLHIQRDACVFHNTLDSDLSLYSDHQCGKHQIPSQTVQILESHTDEVWFLQYSHNGKYLASSSKDQTAIIWEVNANGHMSLKHKLVGHQKPVTAVLWSPDDSQVLTCGAEEVIRRWDVDSGDSLHTYEKSGVGPISCGWYADGKGIIAGMTDRSICMWDLDGREMECWKGQRTQKVSDIAMTDDGKWLVSVCKDSVISLFDREATVERLIEEEDTITSFSLSNDNRYVLVNLLNQEIRLWSIEGEPKIVTRYKGHKRSRFLIRSCFGGYEQGFIASGSEDSQVYIWHRSTGKLIIELPGHAGAVNCVSWSPTNLHMMASASDDGTIRIWGLNRINPHKQEKQVQGSSSNGVLHQCNGN >A03g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19890040:19897001:-1 gene:A03g505620.1_BraROA transcript:A03g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGLLKELHVLNFSSNAFSGHIPSSLADLTALESLDVSQNKLSGGIPQELGSLSYLSRMNFSHNHLAGLVPGGTQFRTQDCSSFENNSGLFGPSLDEACRVTNMSTPQRHETLEPEEDHEEVLSWVAAAVGFVPGIFFGFTVGCILVSYKPEWFINIFGPSKRRRTSTRTDMMILLSHCYCVSGFFVIYVSLLLHPLASPTLHFCRHDQRDALLDFIDEFPFDESTTNTWVTSWNKSSDCCHWKGITCDGNYGQVISLELNETFLNSSLKSSSSLFRLQYLRRLNLSSCNLQGEISSSLGNLSRLTSVDLSYNKLVGAIPVSLAKLTKLSYLSLNSNNFTSTLPSDMSGFHNLEHFDVSKNSFVGSFPRSLFSIPSLQYVSLGDNQFTGPVEFVNTFSSSSKLHFLGLSRNRFDGPIPESISKFLNLRQLYMSGNNITGSIPKSISKLVNLTDLHLSNNKLLGQVPSFLWRMATMTLSHNHFSSFENSSQETQIQILDLSYNSFQGPFPHWICKVKGLRYLDLSNNLFNGSVPPCLSNSIVSLMEMNLRNNSFGGDLPDIFVDATKLRSLDVSHNQLEGKFPNSLINCKALQLLNVESNRIKDEFPYWLGSLPSLNVLILRSNEFYGPLYHRHVSNGFQSLRVMDVSHNDFTGTLPPHYFASWHVMTILTEGNDYMVNFIKYYSSYLLIYRSMEMVNKGVETRFERIQKDFRAIDFSGNKIRGKIPESLCFLKGLRLLNLSGNAFTSDIPRSLANLTNLEALDLSRNKLSGQIPQDFGKLSFLSYMNFSHNLLHGPVPRGTQIQRQECSSFLDNPGLYGLEEICQHTYTLNPTIQQVEEHSETEEQMFSWLAAGIAYGPGVLCGLVIGHIFHSHNHAWFTKIFGRRKLKSLYKGSLSLPNNISKVFSCVFPKL >A10g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11149283:11150106:-1 gene:A10g504550.1_BraROA transcript:A10g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCWVDNLIEVSSQDPRRVMERYVIFLFQQGIPENLSLLDCVVSVDSSHYKSWNPRIGNTARLSCFVHHCYSCRRSPKDDYAAILILRVVRNKRPPRTKGSHYPRGGEPLAGVEDSWEFAKLSESGIEIPMSPSGSLLLKEKVEALWRPRFRVSSIAKGLTKDSSVNKLGIESPPIGSGDDLLDKWDPLRFLEALLMKGFPSEGPTPDAYGMIAAWES >A03p061330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26659091:26660043:-1 gene:A03p061330.1_BraROA transcript:A03p061330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLSFFFLKKHFLASRMRGRGPRSGFASSCGGDGSTSTLNQHQSIDVRPSVTPDNTPFGGGSPRTLEDMILQLEVEEDLVRRARLRESYYGSFDNCDDDDNLYQPVRMSCVNSSDIMRSARNALNQYPRFSLDGKDAMYRSSFQRQLGPGADVVRHGGRRSSCGDERRSSQTKRLPRTVAGESVVWCETGVVAKLMGLEMVPVPVKGKRGKDKLGTLLKRERLWRRDQTLDINGLNGQATEASCSSGGFKAMRPLRTVGSPGRVGGWPTLRFP >A09g517410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51643471:51644144:1 gene:A09g517410.1_BraROA transcript:A09g517410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLHKSYLLAFYTADEGQAQISALHLIEAREVKLYLKSCKENSSRKNPRDLQAAKFFQVMVDPAAVFVCFLQASSEECVCKLALA >A03g501420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4194489:4195279:1 gene:A03g501420.1_BraROA transcript:A03g501420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKIVISRDMFNKLQAQRWWADNYDKVKELYNVQKLSRNAFPLPTPPRSEDEKGKVEYHPEDTPATPPLNKERLPRTVHRPVGLAAYSSSDSLDHSSAQSQQFHDSGLQNSTPKLSSISGAKTETSSMDSSSRDADRSEEMSVSNASDVDPNEWVEQDEPGVYITIKVLPNGKRELRRVRFSREIFGEMQARVWWEENRARIHEHYL >A06p020930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10327589:10329662:1 gene:A06p020930.1_BraROA transcript:A06p020930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxalate--CoA ligase [Source:Projected from Arabidopsis thaliana (AT3G48990) UniProtKB/Swiss-Prot;Acc:Q9SMT7] MDSDTLTGLLKNVAEKFPDRRALSVSGKFDLTHARLNVLIERAASRLVSSAGINPGDVVALTFPNTVEFVIMFLAVLRARATAAPLNAAYTAEEFEFYLSDSDSKLLLTSKEGNAPAQEAASKLNISHVTATLLDAGSDLALSVADSGSVSDSASELVNSPDDPALFLHTSGTTSRPKGVPLTQLNLVSSVKNIKSVYKLSESDSTVIVLPLFHVHGLLAGLLSSLGAGAAVTLPAAGRFSATTFWADMKKYEATWYTAVPTIHQIILDRHASQPEPEYPKLRFIRSCSASLAPVILSRLEKAFGAPVLEAYAMTEATHLMSSNPLPEEGPHKPGSVGKPVGQEMAILNEKGEIQEPNSKGEVCIRGPNVTKGYKNNPEANKAGFEFGWFHTGDIGYFDSDGYLHLVGRIKELINRGGEKISPIEVDSVLLTHPDVSQGVAFGVPDEKYGEEINCAVIPREGTTVTEEDIKTFCKKNLAAFKVPKRVFITDNLPKTASGKIQRRIVAQHFLEKP >A04p036790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21103563:21105561:1 gene:A04p036790.1_BraROA transcript:A04p036790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40690) UniProtKB/Swiss-Prot;Acc:Q949Q0] MAASLQPACLDLHFAGKHPPPLKHTNATFLRCVSSPNFPEPDSPDANLTKDQRKVVRIAWEKIVRWSRSLRAKAKTDVLERTRKVVVLGGGSFGTAMAAHVAGRKEGLEVNMLVRDSFVCESINKNHRNWYFTTKYFPEHKLPENVIATTDAKAALLDADYCLHAVPVQFSSLFLEGISYYVDPGLPFISLSKGLELNTLRMMSQIIPTALKNPRQPFVALSGPSFALELMNNLPTAMVVASKDKKLANAVQQLLASSYLRINTSSDVTGVEIAGALKNVLAIAAGIVDGMQLGNNSMAALVSQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGETLDEILSSMNQVAEGVATAGAVIALAQKYNVKLPVLTAVAKIIDNELTPTKAVLELMSLPQVEEV >A06p019350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9490410:9491171:1 gene:A06p019350.1_BraROA transcript:A06p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPYNAHNSYQHQFPSPELEIPEKWKVPYGHDETAPPCPRCASSNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNIPVGGGCRKRSRSRNNSHKRFGRNQNLQPDGLINQDEGSQSSHAGSDIDLAAVFAQYVTNGSPSSTDNTTGSDQDSPLTTTTNHALDSLTWDICQETDVNLGFYGTEKIQDGFGQFLQEDEEEMFEFQGLLDDKEIQEILDCAFSEEPDQLISQGSFMINGDHWSSTDLATFGI >A05p002000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:561321:562037:1 gene:A05p002000.1_BraROA transcript:A05p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDESMVINSSSVLDEEYDDTDDGFHYQTRQSSLSRLSICTSSFHDDDDDNFTTQPSELGTFMSEQSLESFDDVGAEADGEISDGEDSDSDKESSGFYSLPTIMSRRRRKVNEMTNVDGSTVVKQRSYGHGFNGVERDGDGKRYGGELTVLTNVKGGKKSMKMGFEEVKACRDLGFDVEVPGRVSVSIGPNRETQTSSGSNSPIANWRISSPGDDPKEVKARLKMWAQAVALASASR >A02p011370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4912219:4916186:-1 gene:A02p011370.1_BraROA transcript:A02p011370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MSFSKASLFDFPLPKPLVLSHPSSVFASKRFVTRPILSLSPTVLLPTRPTQIIAKSSSVTVQHVSEDASEEDHQSKDVSGDSIRRRFLDFYASRGHKILPSASLVPEDPTVLLTIAGMLQFKPVFLGKVPRQVPCATTAQRCIRTNDLENVGRTARHHTFFEMLGNFSFGDYFKKEAIKWAWELSTVEFSLPADRVWVSIYEDDDEAFEIWKNEVGVPVERIKRMGEADNFWTSGPTGPCGPCSELYYDFHPERGCNEDVDLGDDSRFIEFYNLVFMQYNKTEDGLLEPLKQKNIDTGLGLERIAQILQKVPNNYETDLIYPIIGKVLELANISYDSASDKVKTSLKVIADHMRAVVYLISDGVVPSNIGRGYVVRRLIRRAVRKGKSLGINGDGRGAFLPMVAEKVIEMSTYIDPDVKLQAPRILEEIRQEEVHFNKTLERGEKLLEQKLGDALSAGDKKPCLSGKDAFVLYDTYGFPVEITAEVAEERGVSIDMDGFEAEMENQRRQSQAAHNVVKLTVEDGDDIMKNIDDTEFLGYDSLSARAVVKSLLVGGKPVVRVTEGSEVEILLDRTPFYAESGGQIADHGFMYVNGSQDDDAVVEVSDVQKSLKIFVHKGIVKSGALEVGKEVEAAVDADLRQRAKVHHTATHLLQSALKKVVGQETSQAGSLVAFDRLRFDFNFNRPLHDVEVPGVSMELCGGTHVGNTAEIRAVKIISEQGIASGIRRIEAVAGEAFIEYINSRDSQMKRLCSTLKVKAEDVTNRVDNLLEELRTARKEASDLRSKAAVYRASVISNKAFTVGTSQTVRVLVESMDDTDADSLKSAAEHLVSTLEEPVAVVLGSCPDKDKVSLVAAFSPGVVSVGVQAGKFIGSIAKLCGGGGGGKPNFAQAGGRKPENLSSALEKAREELVATLSEKLV >A09p018020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9395149:9395937:1 gene:A09p018020.1_BraROA transcript:A09p018020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 9 [Source:Projected from Arabidopsis thaliana (AT1G62770) UniProtKB/Swiss-Prot;Acc:Q9SI72] MEPKNTIFLVLLLSTILQSSSATPNRSDLDRFIVTSCQTTRYPLLCVHTLSAYATMIRHKNDQDLAQTALTISLSRARSVAIFVAKLTKETSSFKRREYLAIKDCIEVLGNSVDRLGQSVKELGRAGHAVAGEDFMWKMSNVQTWVSAALTDETTCLDGFSGRAMEGKVKRLIRLKVVHVAQVTSNALALVNHFAEKRSAKIP >A05p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19932260:19933984:1 gene:A05p035150.1_BraROA transcript:A05p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGLVGKGFAIVAADTSAVHSILLHKNNEDKIMLLDSHKLVAASGEPGDRVQFTEYVQKNVSLYKFRNGIPLTTAAAANFTRGELATALRKNPYSVNILMAGYDEESGASLYYIDYIATLHRVDKGAFGYGSYFSLSTMDRHYREDMSVEEAIELVDKCILEIRSRLVVAPPNFVIKIVDKDGARDYAWRQSVQDVTTAAV >A03p065950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29186261:29187265:1 gene:A03p065950.1_BraROA transcript:A03p065950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRADLIRGLETSLLTSFDREHFFALLVSGDVDGAEEYLSDFTKQDSNYYSNLMFYFVKRQRFFKFLVEGDNIKAGFLLMISSRPYGMMDMPIPETHDEMFTMMNQLIPMNPDLHKKEEGEDISSQMHKLHLQDNKTTMLGVAGPAAVAFNGGEINKD >A07p011900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8411687:8412985:1 gene:A07p011900.1_BraROA transcript:A07p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEIALVNVLSRFPLKSIARFRTVSKEWRSLIDSDFFRDQYISFNSSSSLSWSIIQTNPHKLSLEIIGHHGCNTWGLDRSPASFLRFFAKTTIRKLLVLSCTDGLVSICAEASDGSPLYYIGNPLMEDWFHLPLPPFLSSPDLDSLRKNKRFSDTGFVTKMRSGVVVSYKVVWMLTHGRLSDKLDFMIYSSETGTWRKRHVSCPHTTDWERQDKSIALNGVLHWLSEDTPSFDASSFVAYDFYGGGDGDECRIIHFPGSQIDEVTGDNLSQRVYRRSFTTSEGSIVYFNEFHENETHTLRVWRLVKYEDCPEAWQLSWDLKLTSLTESGICYFPMVMHPLNSDIIYLWNWTAKGLVLFNLRTRVFSVRKEAEEDGKCMDGCSLSFNWCSEYMDSIRKYNLPGYQRGPNSLFFSQYVLPRWLHRLPRPLST >A10p015720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3491524:3492439:1 gene:A10p015720.1_BraROA transcript:A10p015720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD4 [Source:Projected from Arabidopsis thaliana (AT5G53040) UniProtKB/Swiss-Prot;Acc:Q9LVU8] MDPNSLQPLDSMKIENQYEPDSLFDMLEKLPPLESLLDTEYLRPDPGLHFEYQYNSFEDFFENIEVNNTISSDILMLTQEPYFSSDSSSPLVVQNNHCPSTNERREKKAPKKRNTRAKRQDKLELSEIRKYFDRPIMKAAKELNVGLTVLKKRCRELEIYRWPHRKLKSLNSLISNLKGVGMDEEVKNLEEHRVLIEQEPDAELTDGTKKLRQACFKAKYKRKKSLANDGYY >A06p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6945588:6947407:-1 gene:A06p015480.1_BraROA transcript:A06p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVDPLVVGRVIGDVLDMYIPTANMSVYVGPKHITNGCEIKPSAAVKPPKVNISGNPDELYTLVMTDPDAPSPSEPNMREWVHWIVVDIPGGTNPSKGKEILPYMEPKPPVGIHRYIFVLFRQISPVGLMVQQPPSRANFSTRMFAGHLDLGLPVATVYFNAQKEPASRRR >A08p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21312783:21314856:-1 gene:A08p036280.1_BraROA transcript:A08p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 9 [Source:Projected from Arabidopsis thaliana (AT1G23870) UniProtKB/Swiss-Prot;Acc:Q9LRA7] MVSRSCANFLDLSSWDLLDFPQTQTQRSLPRVMTVPGIVSELEGDGSSDVNSSTGSHERKIIVANMLPLQAKKDAETGQWCFTWDEDSLLIQLRDGFPSDTEFVYIGSLNADIAINEQEAVSQKLLSDFNCVPAFLPKEIQEKYYRGFCKHHLWPLFHYMLPMFPDHGDRFDRRLWQAYVSANKIFSDRVMEVINPEDDYVWIQDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDDILRGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVFIKILPIGIHIGRLESVLNLPSTAAKMKEIQEQFKGKKLILGIDDMDIFKGISLKLIAMEHLFETYWHMRGKCVLIQIVNPARASGKDVEEAKREIYVTAKRINERYGSPGYEPVILIDRLVPRYEKTAYYAMADCCLVNAVRDGMNLVPYKYIICRQGTPGIDKAMGTSRDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDVDAVAEAVNLALKMSEAEKRLRHEKHYHYVSTHDVGYWAKSFLQDLERASQDHYNKRCWGIGFGLSFRVLSLSPSFRKLSIDHIVSTYRKTERRAIFLDYDGTLVPESSLVKTPTAEVLSVLKSLCEDPKNTVFIVSGRGWESLSEWLSPCENLGIAAEHGYFIRG >A02g502380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7734977:7735192:-1 gene:A02g502380.1_BraROA transcript:A02g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDFAFYQQKIPGYYLGIGIRNEQVGSVHSVHSPYFFLDENVLPIGSAVFAALAEMYIQDHQNQTKSGQ >A02g510140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27184506:27184743:-1 gene:A02g510140.1_BraROA transcript:A02g510140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLSSQLEQKYEIVKKLQELKHICRMTGDGCSCFEESQYWYHCCCCYRCCMRR >A06p033460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17971367:17972149:-1 gene:A06p033460.1_BraROA transcript:A06p033460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLALGDLHVPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEIHDYLKTICPDLHIVRGEFDEDARYPDTKTLTIGQFKLGLCHGHQVIPWGDLDSLAMLQRQLDVDIFVTGHTHQFTAYKHEGGVVINPGSATGAYSSINHDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKASTSTSAP >A09g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21733454:21735331:-1 gene:A09g507490.1_BraROA transcript:A09g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSKRRDVIFVKINYTRLFLRRSILWDSNQTIVPLGQLRSNQARAKARSLRSDRAIVPLGRYVATELSQARSLRSDRAIVPLGRYVATKLKPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAFVPLGRYVATKLSQARSLRSDRAIVPLGRYVATELEPKLGRYIATERSSSSRPSDRPARSLHSDRARAKARSLRSDRAIVPLGRYVAIVPLGRYVATEVKPKLGRYVATERSSRSRPSDRPARSLRSDRARAIIPLNRYVATELKPKLGRYVATGLEPKFGRCKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNWQKSKSSDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKTYFALFSISYFYRCHSRFPYLNGNRQCKFRFPQFGARRRGTYGSI >A04p021990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13256751:13258696:1 gene:A04p021990.1_BraROA transcript:A04p021990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELELSPLVPPSPMVDPSEIDLEAGHGEQTQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAIKEGFAFAHCTTCKAPYYIRVHGAGDRKWRTLKFRFFVTRDILSIFLAVQLVIAALAYIVYFIDSYQQSWLRHIWGFDSEVTFYYMCGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGICADCHLPGTICMWADCTACTEGCASAVSECGGCLGGAGEAGLPLIFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPVLPSEHVQQLKTLGLL >A03g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28821150:28822177:1 gene:A03g508430.1_BraROA transcript:A03g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESSSNPETIDLGRVSQLGHDFPREEKSMKFRPRFSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALQSQLSKAEIRDSSMPHISDIPDTPTSKIQINTLANDSDISE >A04p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7139130:7139670:-1 gene:A04p010550.1_BraROA transcript:A04p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIQFVTRYRMEMSIADNADEGLFVAFDGEMKKLHNVRAYEAGHLMVRSTNQFHILSHIFATKTFNSYAGEGVNLEKTQPPPFFADIVGKTYSFQVRVDMNNFTANHQTFTISRMNKRDGDDDDGDDLPGNPSALPNVWHRR >A05g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21702873:21704369:-1 gene:A05g507620.1_BraROA transcript:A05g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRKLLCHGTIYHIWRHRNNVLHNSVHLSPQKVFKLIDRDIKNAITARQQKRNFLGLMKGDRDIKNAISRDVEGHHTARVSWETVTKEKSQGGLGVKDLYTWNRACTLKLIWLLFFQSGSVWVAWFKTEILSGNLSNFWTLKPNRKYSWLTNKLIKMRDVMFTWIKLKIESGRDCRFWTDNWYPEGKICELMTGGRRTRLGIRQDATIASLYDNGHWLLPPARSENQVSILAFLSGLTISTADDFYVWEIDGIPGKRSSTGFGLKEILDFINTLSDLLTCCSVLLIVKLKTASTVSGKSTQQLVHSSSNYGFLLVILPSSPLDCSILRLLISHQTFPNPFWAKLQWVS >A02p017540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7924167:7929667:1 gene:A02p017540.1_BraROA transcript:A02p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSMALVVDAYAGAGETYDEEGPERDHHEIEELIEEFMDKPSFRHDQIYESDDEEEKASRTFLMSSLFTDLLRDPIVALGPFQQISTVNLSFSSEPTQPSSFLTDCFTSYFLVTSSGHSTKMNFPDINMEGDSNRGRQISKDDTLIREEENIPMRSFEEIILRGRIDVKRVVPSRPGPDRCGFFLLRVKAGQARAGCGLQKVGPSPPRRNAQAFSDPKPNAGFNSQFDPTSFEEFSENIEGNNTISSDTMLTEELFFSSYSSSHVAARNNDSVSSNERCQKRTLKKSNTVTKDKLEMAEIKKFFDRPIKNAAKELNVGLTVLKKRCRELGIHRWPHRKLKSLNSLIGNLKGVGMEEEVKNLEEHRILIKQEPDAELTDGTKKLRQA >A02p038710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21094231:21094809:-1 gene:A02p038710.1_BraROA transcript:A02p038710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTLMDYFPILELPEEIQVLVVERVAGNSFTNLYGLRASCKTMKALAERSRVNHFYDVLSIPMRLNIPPGLFKTCYAERNPSTLYMKGVQFFFTFNLQEEGLPFMKLAADEGYERAVYTYAMTRKIYWDDEEYFARFTRESVDRISILVRSLKWAWGLSHNDEFMAKRDEFISTVVPLFYSCQCVPVMERD >A03g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7936912:7939669:-1 gene:A03g502490.1_BraROA transcript:A03g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYGLTIASKGQENVLHGLDHVLRDYCCFVGQRMSEKAKGEEGEEVLGNSMAAATFPEELKGERKDIVEGQCAAYWTTLAPNIEDYSSKTAKMIASWSGQLIRGILWCGDVTVERLKRGNEVMKNRLSRAEKEKDVSPETLRRIKRVKRVTQMTEKVATGVLSGVVKVSGLITSSVANSKAGKKFFGLLPGEIILASLDGFRNRSQAWNANQHVSICSSSWYCQGLQHCIHSWELIEKDDGFARVFPGYNLLLKPHHMFIRKGFVILIQASYLLIPEHKYEIVNKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTAPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGGYQAVMSVVFFWSIHKTDLFSVSFISSFFSDKFGVRYIRDNNDELIGAVYLQVSIISQAPSLSRGQRAGRCDCTLQCTLTGRLLRYRLGMGWCDLGLQHCYILPTRPFEVCHSLHLEWKGLDQLLRQQVAKLKGLDIDTAGHHYTV >A03p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3177442:3179650:1 gene:A03p007800.1_BraROA transcript:A03p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGDEPEFMNLREWDRRARLIRDKQTSRRFSASYVGSFREDHHHKSSSTRTNFNNISSTASSPGYTLKEEIDPSTYSFTNALKALQAKAMFNNREWLTQEGFALNSKWNEAEKYICNPLSGEVPMECLSSRTLSSRSFRNLATTMSAPLHYPNPNPLTNIGQNKPNNDANVRVIHEDLYVPDPVLVRAEKKVKGLKRDVGIQSTSVELSSGSPSPAKTPPIMERSLKRHVEANDSPVDFNLKLEGQQEDVKLEEKEEEKQGKSKEEGEEEKQEMSKEVEEEYQEMSEDGEMKKNKKRGSGCFSWVRSRQRQARKSKYIFPICVPHLVKGC >A07p026450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15111497:15111953:-1 gene:A07p026450.1_BraROA transcript:A07p026450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNQQRQQQQDRPLPKFGEWDVNDPASAEGFTVIFAKARDDKKTNASGRAPSQRRDNNKGQDEPTKKRFCCF >A07p017790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10762948:10767861:1 gene:A07p017790.1_BraROA transcript:A07p017790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERKRRRRKLQLSKLFTLSSAKACFKPAHSQIGRTGFSRVVYCNQPESADYCDNYVRTTKYTLASFLPKSLFEQFRRVANFYFLVVGILSFTPLAPYTAVSAIVPLTFVILATMVKEGVEDWRRKQQDIEVNGRNVGVHQGNGHFDLKEWKTLRVGDILKVEKNDFFPADLVLLSSSYEDAVCYVETMNLDGETNLKLKQGLEVTMSLREEVDFRDFDAFIKCEDPNANLYSFVGTMELKGEKHPLSPQQLLLRGSKLRNTDYIYGAVIFTGPDTKVVQNSTEPPSKRSMIERKMDKIIYLMFLMVVSLAFLGAILFGITTREDYQNGVMTRWYLKPDDSTVFFDPQRAPLAAVCHFLSALMLNSYFIPISLYVSIEIVKVLQSIFINKDIHMYYEEADKPALARTSNLNEELGQVGTVLSDKTGTLTCNSMEFVKCSIAGRAYGRGVTEVEMAVGNGIEYAVAAEPVVKGFNFRDERIMNGNWVKERDADVIQKFLRLLAVCHTVIPEVNEDTGKISYEAESPDEAAFVIAARELGFEFFIRTQTTVSVRELDVATGGRVERMYKVLNVLEFSSARKRMSVIVRDEDGKLLLLCKGADSVMFERLSGSGRDYEEETRDHVNEYADAGLRTLILAYRELDENEYEVFTERISEAKSSVSADREALIDEVTEKIEKDLVLLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRRDMKQIIINLETPEIQQLEKSGEKDVIAEALKENVLRQITNGKVTRLVKTGSGQTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYRRISKMICYFFYKNITFGFTLFLYEAYTSFSTTPAYNDWYLSLYSVLFSSLPVICLGIFDQDVSARFCLKFPVLYQEGVQNLLFSWRRILSWMFHGFCSAIIIFFLCKTTLESQAFNHQGKPAGRDIFGGTMYTCVVWVVSLQMVLTISYFTVIQHLVVWGSVVVWYLFLTVYGSMPPRVSTDAYMVFLEALAPSPAYWITTLFVVMATMMPYFIFSAVQMQFFPMSHGTIKLLKYEDQCNDPGELELVRQASVRPALVGFTARIEAIKRSVMRSRQTGP >A06p012210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5581445:5583894:-1 gene:A06p012210.1_BraROA transcript:A06p012210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPGNVAPPSDKLQFTPPIAVAGSWIPDERDVYISWMRGEFAAANAIIDTLCQHLQAIGDQSQYDAVISAIQHRQSSWSQAIYMQPYYTIADIYKALQHAAWRWKLKTPQQQQQQPQRHYNAADQNLKFGGKRSGYGFNKHHHGGGGGYRGAESLARNGHHLNSDSHNVEAKLASDVKGLSIAEEKRDGSEKPKSDRKDLEESESAGGETQAEIVNHDSKDNNLSSEEKQDEKDKECTASMAKTFVVEEMYEAKVVNVVEGLRLYENMVDAKEVSQLVSLVNNLRTAGRRGQLQTEAYVGYKRPNRGHGREMIQLGLPIADTMPDDESRRIEPIPSFLSDIIERLVTKQIIPVKPDACIIDFFNEGDHSQPHMLAPWFGRPVGILSLSECDFTFGRVIVSDHPGDYKGSLKLSLTPGSVLLVEGKSADLAKFAIHSIPKQRILITFTKSQPRNSITGSNWAPPPSRSPPNQHHNRHPTAPPKHYPVIPTTGVFPPNGAVQPIFIAPSPPLPPQMPFPGGVLPGATVWPHPRHQPPPQPRLPVPGTGVFLPPGSAQEQVLKGSTEKSNGSNAAEGKLEMKTKEEEADNSGSGDGKQSN >A02g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:669262:670471:1 gene:A02g500190.1_BraROA transcript:A02g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDAENEGVACFSSFPLLFQKLGFSRREAICAATTIERSFFHQIDEEEVEISVWMDPSEERKHSKQQKEYCDMLGFVEDSQYGIPIRCACGGRIIDEVRGKEDYDSHPGKRFFTCINYEDNGLHYSHPWVVGVQEEMERLRKRLEEAEEVIKGVPSLNYQIESLEEQVRSLTVQVGTLEKLCFD >A06p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3820626:3824302:1 gene:A06p010550.1_BraROA transcript:A06p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFSVSMSCDQMVNQFSKWLCLKGSYIHNLAENLVSLEKAMGVLKAKRDDVQGRVHREEFTGHRQRRAQVQVWLTRILDIENQYNDLLSTSNLELERLCMCGFCSKNLKLSYRYGSRFDDIPSYRYGKRVILVLKEVENLISQGEFDVVTEATPRSEVEELPTHPTIVGQEATLERVWNRLKKDKVGVVGLHGMGGVGKTTLLTQINNKFSKTGEGFDIVIWVEVSRNASVRKIQESIAKKLGLVGKEWDEKNDKERALDIHDVLWGKNFVLLLDDIWEKVNLYAVGVPYPSTENGCKVVFTTRSHDVCGRMGVNDPIEVTCLDPDKAWELFKKKVGENTLESHTDIPKLARKVADRCCGLPLALNVIGETMACKSTVQEWRLALGDLNSSAQEFSGMEDEILPILKLIEHWICEGFIDEKEGRERALNLGYAILGTLVRASLLEEAGNSSYLKIHDVVRDMGQWIAFDLGKHKERCIVQTDIGLCEMRKVKKWKDVRKMSLMGTSIEKISESSPPPDCPELTTLLLGCNDRLTTISGDFFRSMPRLLVLDLSFCRYLNGLPEQISRLSSLRCLDLAQTQIDRLPVGFQELKMLIHLNLEETKVVSCDGISNLARLRTLKLAESQVWLDMSLMRELQLLKHLEFVSINIFSSLVGKLLLYDPRVGRCIQHINTTDPPEEESEQVFVLPAMDTLRRIDIWSCGGREIEVVEKTSLNKSPTILQCFSNLVEVRIGTCDGLKDLTWLLLAPNLTSLCVLQSKQLEEIISKDKAASILEETRNDTVDLTWWLSVPNLTHLELECLEKVQEEIISEEKKVSSSVTSPLLFPCLKKIEVEKCPKLRKLPLSFKSCVGGEELVINYRDDKWFKRVRWEDKATKDRFLPCCEKLLTSYTEGKQLVKQASFLQN >A03p064310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27957656:27964588:1 gene:A03p064310.1_BraROA transcript:A03p064310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLEDEYKNYWETTMFFQNQELEFDSWPLEEAFSGSGDSSSPDGAATSPASSKNVVSERNRRQKLNQRLFALRSVVPNITKLDKASIVKDSIDYMQKLIDQERRLEAEIRELESRSVLLENPIKDYDCINNFLENQQQDLLDNNVTRSKKSRQMDYNTSGSSVAGLHNQSLIEVLEMKVTWMGERTVVVCITCSKRRETMLQLCKVLESLNLNIVTTNFSSFSSRLSTTLFLQADEEERRTLETKIQTAIAAHNDPSCFINL >A04g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21718573:21727122:-1 gene:A04g508300.1_BraROA transcript:A04g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPNLRCLVAKAYRVRQVRAFCSSFSSSAPNPIGDKGGNCIVRYLINNDAWETLAMQQELLYLDMYES >A07p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22606757:22608363:1 gene:A07p041940.1_BraROA transcript:A07p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENETAPFSYSGTSAVGIVNSHGEPSQLYHSSRSVMQQRQDMVNREALCYTRLHEASLEAEVLRLENTELRSMNLHLKKELDQLIRSSIQNRFGYDRVPFRMLSNLSIGGGNNRGAEDAENQNRAVNRDDVSDESPTSVIASEDLNRSSLPKSISVRSSGYSKSSQGGGGGGGGAAAAAQCGKSRGAVAKPGACGQQLSTTQRVYVRGGKKEEEEEIEVEVYNQGMTKTELCNKWQETGTCPYGDHCQFAHGIKELRPVIRHPRYKTEVCRMVLAGDICPYGHRCHFRHSLSEQEKLMAAGCKPNNKSSFKLVK >A03p006780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2835155:2836235:1 gene:A03p006780.1_BraROA transcript:A03p006780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPCCEKIGLKRGPWTIEEDHRLMNFILNNGIHCWRIVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTDAEEERIMELHSQLGNRWSKIASHFPGRTDNEIKNHWNTKIKKKMKHLGLDPTTHEPMNNITDQPESNKITKPNMCSTIKEGEETKEQTLKDEVDIGSLFEVQGNEISISSSSLYSNISRSESSSNFAEDSISLEQWELTYMTDPLVPWDFFTNLDDNIFL >A09g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21369342:21370713:1 gene:A09g507290.1_BraROA transcript:A09g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKPQDKQLSQVRLEVSVGSFKHSQQSSSVVSSMVSSESSLHWRDGPAADGELGRTLILLEQRHLDTLPQMAVRASTTMCWKSPSGRVDMSGIGGNGGSASIYRFSDDLEECGDFGVFWNLWSAELHRPVRCLAMDGDFPTSFDLGFKFHRFEVNQHPIEEVMPVSLTSGQSASREKAVEEMKDRRSMKQP >A01p006270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3210586:3211802:-1 gene:A01p006270.1_BraROA transcript:A01p006270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLIQEIFRIVCEIHSQSTKKNTEWQEKLPVVVLRAEEIMYSKANSEAEYMDMNTLLDRTNDAINTIIRLDETTETGEFLQPCIEAALHLGCTPRRASRSQRNINPRCYLSQDSTKLDTILYPQYQAFMKQNNFCPKNLQVMSFHSDVQEKRVERCSVSKYSSYPLCYSFRVPSLPRSSNVTDSYKSNKSSKPVIAADATKGTTTFDGCDLSLRLGPLGDIRTPSQKRSKISSCNNSNKS >A03p059360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25810596:25815709:-1 gene:A03p059360.1_BraROA transcript:A03p059360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIVPTKLQIHQRLRRNSAVTAVYPLIQMLFIESESISKKSLRSNTEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKAKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSTYLVADYIEADGKFAVSEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKRYSEFINFPIHLWASKEVETEVPVEEDESTEEETETPSTEEEKEEDAEDEDSEKKQKTKKVKETVYEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLSKDFSEEKPMAWSHFNAEGDVEFKAVLYVPPKAPHDLYESYYNSNKANLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEVHDDDKKDVEKSGENDEKKGQYSKFWNEFGKSIKLGIIEDASNRNRLAKLLRFETYNKVRWKTDFPGSDESVKETAQLMYQTALIESGFVLNDPKDFAGRIYNSVKSSLKISPDAVAEEEVEAEETETSEEAAEAKSDDLAGGLNIEAEQVEEETPTKDELRKNKIKVMIITVLHSLVSFYFIIIPTLIFFHGSNGATITIVNRCSFTVWPGILPNSGSGDIGTTGFELASGGARSFQAPASWSGRFWARTGCNFDSQTGQGTCLTGDCGSNQVECNGSGAKPPATLAEFTIGSGPEDPTRKQDFYDVSLVDGYNVPMVVEASGGSEGNCLTTGCVTDLNQRCPAELRFGSGSACKSACEAFGSPEYCCSGAYASPTECKPSMYSEIFKTACPRSYSYAFDDATSTFTCTSADYTITLCPYLPSQKSAANGWREGSGSAGSSPSPLSTWLSDVFSSGSSYIHKSSKYLPIFCCIFLLLWWF >A02p014740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6543145:6555560:-1 gene:A02p014740.1_BraROA transcript:A02p014740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIKWEVLKVCVIAKVEEQEVWGVLRMKHKGCKERSVVVGKKIMVAVRASKEISKAALLWTLTHVVQPGDRIRLLVVPSNYTSKNIWGFSRLTTNCALGHGRFLAGTNSDQKDDIHESVYQMMFQLQNVYDADKINVRIKIVFASPDGVIATEAKKSKSNWVVLDRGLKYEKKCCIDQLECNLVVIKKSQPKVIRLNLVKNADTEHLEAISRLTTKSILSRRSSRPGRKLREPFVTPASNPDQEGSDMGTSSISSSDAGASPFLASQVFEGLNPWVSDGNKSFFESDTDSNGENWSPISMASSSSSHPVKTSNILSPSDDLAKPHAETPRKSRFASVLRLGSSKKEPDVRKPDTCLNKSVREVVSLSRKPAPGPPPLCSICQHKAPKFGNPLRWFTYGELETATKGFSKGSFLAEGGFGSVHRGTLPDGQIIAVKQYKIASTQGDKEFCSEVEVLSCAQHRNVVMLIGLCVEDGKRLLVYEYICYGSLHSHLYGLGKEPLGWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPDGDKGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDIKRPKGQQCLTEWARPLLQKQAMRELLDPRLMNCYSEQEVYCMALCAYLCIRRDPNSRPRMSQHWQVKVKKKKSKALKVVMDRLTQLPDDLLIKILSFVPTKGVVATSILSKRWLPLWTLVPSLVFEDFSEEEDDEINEIHVRSLSQFVSGTLLLHKATVLERFHLYSASECSAWEIGLWVRIAVDRFVRDLKISFCYDHFLVNLPSRLFRCETLETLQLRRVILSEVPCRLSFPSLTKLRLLSVKYSDDESFSRLVSNCPILEDLVVETCHGDNVATFTVNLPSLQSLSVRNTVRESPPDDHLFVIHSQSLKQLNIVDYFGELELIGNLPKLVEANLQSMSFHTNVLESFTFVRRLYVCLDGEAQYPCGTVLFQLVRLELCSCIDSWTDMLVSLLQHSPKLQALKLVLKHWILVDRKVRWMQPRRVPECLLLHLKTFEWSDYEGTKVEKEVAIYILKNAKLLVSATIYPFSVSMVRKHQMFKELEIATRMNTSILSKRWKSLWRMMQVLEYDANSRPKISSCTFENFFRRSLKLHEAPVLQTLTLKLREQHSSSLKFPSSFPNTVFRKLVVLKLHTIQCHGFTDESPVCFRSMKSLHLTKVRFLDHEAFCTLMSSCPVLNDLFLDSVTTDPRCGFQFYHPCSSLFTISVPSLERLEIKDYTSVRTYPSNKSRFKINAPSLKYLEVYINGSNFEFYKDLHNLVEASLLVDDSQTDKLLRFLTSVEFLSIHLYPTKVLLLADTISQRLRHLKLSTYGKNSRNLLLYLLKHCPKLQVLKLQEIHWTTKWPGSPHTRCKDEEFKDPPPLFCKPSSVPECLSFNLKTFGWKCYKGKEEEKEIVLYILQNAPCLKTTKISVYSPGHRFREKELLRIKELESVPKASTSCQLQKKFVKSKRRIC >A04p035360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20392020:20392271:-1 gene:A04p035360.1_BraROA transcript:A04p035360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEVILAILLPPVGVFLRYGCGVEFWICLLLTVLGYIPGIIYAIYVLVV >A03p018880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7698171:7698816:-1 gene:A03p018880.1_BraROA transcript:A03p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKTCSFRRSASPAAAYNQPKSKKSSASRCGEKRLLKESKMKDQILMKLVNSRLASPENVFRWEANIIGPANCPFENGDFAVSIHIPTSYPFKPPKVTFITKIFHPNVNKKGEISIDILGSQWSPSLRIDLVLLSICSVLSNPVEPFVPGNPAVMLYQQDRNAYEKIARMWTLEFANA >A02g512740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34942011:34946146:1 gene:A02g512740.1_BraROA transcript:A02g512740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVHREPPPLISITSSPSSSRLQISFPGICSSKRNRDRSCTTKVIDVASWPRINLSCCGAETVPTSPSVKAKRSTKTEAFSMEICQGIAAVFVCDQPAGEAILVDQMVADRSKPGGYGQGTYRTTFLPEGLKVYPNPHIRLFSESSGVVILEPEKSIRCFSGETDEYMIFGWIGATGLYFGFLFGLRSGVPSRPRPGMAIRGFGFLRFLFKGSTQMFSSRCVMSQFVSCLRSVISSFGHDSEIIQEWICDVSASTLFQAIVPRVFVTGDYVFVNSWGSLLDWECGNLCCIDIGVTLGFVEMMTMFVKVCYERKKQDLGGDPGD >A09p022740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12516994:12519191:1 gene:A09p022740.1_BraROA transcript:A09p022740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQPPLLIICYAILSFLQPFLLLVLAQTNPSVTPINWDLYHSSDDLVEQIHSLVHRHPDKLSIETFKSGNKGYNAEVNVVTYCRGGRQSDDRSNFRILLTFGQHGRELITSELAFRILSILSEEQFLPNINGGATLNNTLLDKLVIKLVPIENFNGRKRVEAGDLCERRNGRGVDLNRNWGVDWGKKEKDYDPYEENPGTAPFSEPETQIMRKLALSFDPHIWINVHSGMEALFMPYDHKNTTPEGLPSQKMRSLLEKVDKLHCQNRCMIGSGGGSVGYLAHGTATDYIYDVVRTPMAFTFEIYGDNQTSSRDCFKMFNPVDLPTFKTLLNDWSAAFFTIFKLGPFHLDQNSTKAAEKWVSIDEYLDGYLVERKNRYGKNMEVIDVGMQEIKTYFRLFLLSSVLLMFMFCSRIAKSKYGRNSIPVVMQ >A06p049820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26269240:26270643:-1 gene:A06p049820.1_BraROA transcript:A06p049820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLAHSYSFAAPITRSDSHEDNTIHALSQSISFGKFMSENLEWGKWSTFSHKKYVEEAEKYSRPGSVAQKKAFFEAHYKRIAEAKKAATEEQPTVTPAEVLLHALETQPPLSLLPEEETLERNKEEVLVVVDDSLEEKKKKKQDEEEDCSVGEKERVKTKNKPVFRLSLEKTIPPAKPIEIASSDKTSERPIMIQSSGVQRQKFSFLNCFIGNAKTRDQNQNMRKTEKKNQKKKQFMCLCLKPKNVRES >A09p069430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54109030:54109843:1 gene:A09p069430.1_BraROA transcript:A09p069430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPHHHHQAVDNLINVFARASRDLDAVHFKLDKEFQQIYPDNANPMKLIQRIKKLQEDVTFLKDQCLELLSAKQDLIDKAQTTLVGNYNLIQKINASLGESANGDADDDALADFNQIIEEWTMQVRSRTVGETEEPDKEDINKLLFSAIVHNN >A09p051450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45386249:45389342:-1 gene:A09p051450.1_BraROA transcript:A09p051450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAQGELKSSVLPNPKPPIASRFSMRQKIAEHRKSLPIASVEKRLIEEVQKNDILIIVGETGSGKTTQLPQFLFSAGFCREGKMVGITQPRRIAAVTIAKRVAEESDVELGQRVGYSIRFDDCTSSSTRLKYMTDGFLLREALLDPLLSRYSVIVVDEAHERTVHTDVLLALLKEVQRARSKHEGVQTKAGDEIGVKRNGGVLRGCQGRKVSPLKLIIMSASLDARVFSEYFGGAKAVHVEGRQFPVDIFYTVHPETDYVDAALVTIFQIHVEEKPGDILVFLTGQDEIESVERLVKERLEHLPEDKRKLLPLAIFSALPSEQQMQVFAPAPTGFRKVILATNIAETSITIPGIRYVIDPGFVKARTYDPSKGMETLDVVPASKAQSLQRSGRAGREGPGKCFRLYPEREFEKLDDSTKPEIKRCNLSNVILQLKALGIDDIVGFDFIDKPSRGAIVKALTELRLLGALTDDCQLEKPAGEQMSRLPLDPVYSRALILANKQFNCLEEMLITVAMLSVESIFYDPREKREEARTSRNHFASMEGDHLTYLSVYRESNEFLEKRKAESSEAKIDKIMKKWCKDNFVNNRSLKHARDTYRQIRGHVEQMGFNVSSCGNDMLEYRRCLAASFFLKAAQRQMDGTYRALESGEIVHIHPTSVLFRSKPECVIFNELMQTSKKYIKNLTRIDHLWLAEFAPHHYKTDDEMNDFNTRDGKLQTEAF >A04g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1119440:1120155:1 gene:A04g500280.1_BraROA transcript:A04g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVKKEPFSFRKVQKSDRENIDRDSSQPTVFELGTSVDPNRPKSDKGSILIDTIQTLKNLVVQVNRLKAEYVTHSQESRECSSSSTLVQSLLCLFHFIELEILHLYISYALIGGQNAEGKPVETEGMNVNRTRISQVEIEEHVIGGGEEREGLDAE >A03g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29599875:29603014:1 gene:A03g508900.1_BraROA transcript:A03g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSLPSVQPLCNLKLQQTLCIPPFTIKASCGGDLIKWDKARIGFYVGIDISEGSFITQRALPLLLLLLKPSATQLLRLSDMHGLLNSLLLASSASGSLLKSRKTQLLHGAKRRSRITGMSVDIQSLRSLDRFFVFSGEQIQILACLSESKEDAEIITPFKVVEVMDKTVQRKLSDNGTSTPSGDGELSPDGQFAMMAKSGEPLWSKKTALVGDTKLDEKRKSGKKRPCVSLQVYIVDCPKEPTIWNLLKWLIPWDNTIYQQPRSLPPPIRSTPSISSSSHKPLLSFGSGSQLFSFRHFRSYSMSALPVPNTTPVTGPVKTQSSKPSFDLKTGPVTQAYAFLVEDGGGNLKSFNLLISIRLERTNSHEVVHEYMRKTEFVELMRRLGALGDGNQDQSTLSDDEWDAAYLYLSFVLRKRGGESDGGRRKNGKMNLSKDDVLYIATKV >A09p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14176812:14179066:1 gene:A09p025390.1_BraROA transcript:A09p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMILNDATRRDARRAHAAMTLCQLIYGAYHVSAKVALNVGISQLVFCVFRDLIALSILAPLAFFRESKSRNLNNSSIFLTQGIRPPMSRSVLFSLFFLGLTGIFGTQLLFLIGLSYTNPTYAAAIQPSIPVFTFLLAVMMGTEKVNLFTIEGLTKVGGTLVCVSGGLVMVLFRGPALFGDQEADFTVNRLITDRSKPELHGWLVSSFLGLGLDLWHIGVICLIGNCMCMAAFIALQIPVLKKYPAYLSVTAYSYFFGASIMITTAFVFVREPKEWHLTQSEILAVIFAGVFASALNYGLLTWSNKILGAALVSLYNPLQPAASAFLSTIFLGSPIYLGSVVGGVLIISGLYMVTWASYREQHTTGSGNVITYSSDVRIYEPLIHREETGGNKIG >A08g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10255371:10256950:1 gene:A08g505970.1_BraROA transcript:A08g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRNQNGARRGRKSNNEARTTIDGETTREGQYLWKDKNCQVMLELVIAELRAGDYRSRMPDAAAKKRIESKYFELVGEKICWDPEITNKIGYLRKLWSINGQLMKRTGVAVDQSTRQIDMMQTWWADRIAENLLACCKKKPLPFKDLLDQIFGEHDVEQDERYSPHMLGQHIQQIQPSLPSNDDTVFDQMQEDESVEQIADATNDQGSQPPTRRTSARRPPRRRSSFETQVESGFQRVIDTRQDILEELRSRKVQKLSYGDATAVLEKLPIEQLGVFWWAANKLLKNEVDVREAFIKMESQDIKIRYLESLVGIDRYGNPCTHVDLLMTSQNLFQNVGMTGTSSMGTETVRTDFMGLLGMHSSELEESTKNAARVVHIHDDGSDAEKLDLRIIYLLSRGTENLEEDELVELMLLEEEEFMQ >A01g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:611364:612393:-1 gene:A01g500170.1_BraROA transcript:A01g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKGSLNKVFKDLILTCVATADRRGVRASSSIASSSFRGQESAPPPPASSSTAFPVSLSSSLRQSDSSSRAPAPGGSRVRIGDQGHLVAQGGGSFFNYLPQDEAVAADSFGSCELRGLYVGCGRSSRRDPGARAADSLSQKDHEGGLLLLFFYANMLFISFFLKKEHRINDCPHGLFTFHFPLRFWISIQILCVEVFVYIVVGVCAKHTYFVVC >A10p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21802978:21807537:-1 gene:A10p039350.1_BraROA transcript:A10p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYVFGSARSGQTAMVALVLVVGSFFAGYIFGNNAPIYIPQPSSNSSSSSSPSPSGPSDFANRIEVTYRKTPLVIPERGVNVCPLEFNEYIPCHNVTYVQQLLPSLNLSRREELERHCPPLQQRLFCLVPPPKDYEVPIRWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEQEELWWFPGGGTHFKHGAPEYIQRLGNMTTNETGDLRSAGVDQVLDVGCGVASFAAYLLPLGIKTMSFAPKDGHENQIQFALERGIGAMISAIATKQMPYPSSSFDMVHCSRCRVDWHENDGVLIKEVNRLLRPNGYFVYSAPPAYRKDKDFPLIWAKLVNLTTAMCWKLISRKVQTAIWVKQDDQACLMKNAELELITICDSKDASKPSWKVPLRDCVDISENIPKASYPTSLRKIGISEDEFTLDTNFWREQVNRYWELMKVNRTEVRNVMDTNAYVGGFAAAMNSYPVWVMNVVPATTNDTLSGIYQRGLTGVYHDWCEPFSTYPRTYDLLHADRLLSHYRNHGEGCLLEDIMLEMDRIIRPQGFIIIRDEESIISKVQDLAPKFLWEVETHELQDKYKKTETVLFCRKKFWAIFVMEMEKLKEALRFICSSDFLRMALFWNFALLCSYFQLLKGRIFGSKSTSFPSSSSMNTSSSHKPVCVITGATSGLGKATAFALSRKGFYVVLVGRSSQLLSKTLAEIKNQNEDAQLKAFEVDISSFQSVSKFRNSLEQWLSESELHSSIQLLVNNAGILATSSRPTVEGFDRMMATNYIGAFSLTKLLLPLLRNSPVPSRVVNVTSFTHRSVFSARFDKDSVTGVYSSESKQYPCASIYQYSKLCVLLFSYELHRQLRLTDDSHHVSVAAVDPGAVKTNIMHELPSYIQFLAFCSLKVFRLMQSSEEAAESVIDAALAPPEVSGKYFFGGNGRTIESSAVSRDPKLAKELWDTSCLIFDELQQTYT >A07p001880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4114348:4115677:1 gene:A07p001880.1_BraROA transcript:A07p001880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGASLKKPKLPTHFRTCRASRLSCIDCGETFGQDSVQGHNQCITEAEKYGPKGLSKGTPAKPKDGSKQQPDFDIKVGLSNRYPWFCSLCNTSATSEQTLLSHADGKKHRGKAKSFHAKQQGSDNPLVVDATENAFNGVTEEKKEDSHVEKKRKLETLGENNGEVVQTESEVKKAKKQQDHEKKINWKKLITSALKSKEDKTLKMKKLKKLVLESLVDSGITRDKSDVSEEIELKVNSSSRFTVDGKYVKLVAKD >A04p008440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8205271:8205867:-1 gene:A04p008440.1_BraROA transcript:A04p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIICKMISIIVVLSGQVPYYISFLMLNLQTAILNELLQWLVVCFTYFVVWLTSLVYCVSRQRSNCGFGSVADNLVFHLELRSSTVARNAKIYLTRYLKTKAILMELTLEQHSLICYL >A05p047800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28081759:28083603:-1 gene:A05p047800.1_BraROA transcript:A05p047800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCIYGCSAVTFFFLLVTASSLEPGHHNKTGSGQINSNSVLVALLDSRYTELAELVEKALLLQKLEDAVGRHNITIFAPRNEALERDLDPDFKRFLLQPGNLKSLQTLLLSHIVPTRVGSNQWPEENSGRVKHLTLGSDQVLHLSKTKGNGKRLVNSAVITRPDDLTRPDGLIHGIERLLIPRSVQEDFNRRRNLRSISAVLPEGAPEVDPRTNRLKKSAAAAAVPAGSPPVLPIQSAIAPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIVYYHIIPEYQTEESMYNSVRRFGKVNYETLRFAHKVAAKEADGSVKFGSGDRSAYLFDPDIYTDGRISVQGIDGVLFPEEEGTVKKQTSPVKKVVQPRRGKLLEVACRMLGAIGKDSYLSTC >A05p048170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28239672:28246386:-1 gene:A05p048170.1_BraROA transcript:A05p048170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 76B1 [Source:Projected from Arabidopsis thaliana (AT3G11340) UniProtKB/Swiss-Prot;Acc:Q9C768] MVMRETKPVILLFTFPLQGHLNPNFQLANILFKRGFSITVIHTEFNAPNASNFPHFTFVSIPDGLSESEASNPDVIELLHDLNSKCVAPFGDCLKKLLSQEPTAACVIVDALWYFTDGLTEKFGIPRMVLRTVNLSAFVAFSKFHVLREKGYLSLQESQADFPVPELPHLRMKDLPWFQTDDPKSGDKLKKGVLKSLKSSSGIIFNAIEDLEAEQLKQSLKEFPVPHFCIGPFHKHVSLSSSSLLTQDMTCLAWLDKQEPNSVIYVSLGSIASIDESEFLEIAWGLRNSNQPFLWVVRPGLIRGTEWIENLPKGFIESLEDKGKIVKWAPQIEVLAHRATGGFLTHCGWNSTIESICEGVPLICKPSFGDQRVNARYISDVWRIGLHLENKIERVEIERAVRTLMKSSEREEIRKRIMPMKETAEKCLEPGGSSFRNLKKLIAHIFYCLGKTYQQLESARVCTQDLKTEHYSSKSHSDSERSLNREVKTKSIMTTRNLVEPSWRLLAASGGDTVKLFDVSAGAESCDDPCILSYTPTPRSVVNSVKWNHTNMVVASVGEDKKISLWQKNGKTLGTVPKNSNDINEECLSAISFSNKGSRYMCSGGTGQTVRIWDLQRKLCIKNLKGHTSTITGVMYNCKDEHVASISVGGDLIVHNLASGARASELKDPNGQILKVLDYSRFSRHLLLTAGDDGTVHLWDTTGRNPKMTWLKQHSAPTAGVCFSPSNDKIIASVGLDKKLYTYDSGTRKPSSCISYESPFSSLAFGDNGHILAAGTGNGRIVFYDVRGKPQPVTVLHAYSSSEAVTSLSWQTSKPVIVNERNCTPEMALFGGTVEDSVVIPDPSPATTSSLASHSTVLPGSHGAASSTLNPSSAEETPKRNHLWPGGPLIRLQAHRASDSSKDDMDIFSPVVGVQSVEKWSDTEGLKRDHLVLDKRTSSLTFPSSSKGGFPFGDDGNKDWKLSSTSKQDDTRAAFSPFGSTPTALSKNEFSALTPPEAWGGEKFSHLAANDKFSDKFSHLNPPPRLGISSSSASTSGSMYSGSRDFPLSLGQNQSSLANVSVSSEFPGVRDFNSKFEKSSTLLADNFPSSPLLTKGIAAPGNIDSLRLSPSLTRRFSTYAERIGDGAFLSSGSPKIKKTGAETREEVLNNLLQRPERLAADAAGGLPVMNGGGSLQQSKQPENNFTLQLFQRTLEGTLDNLKNSVHDDMRNLHIEILRQFHMHEMEMSTALNSILENQAELMKEIKMLRKENQQLRQML >A09p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6822753:6824404:1 gene:A09p025320.1_BraROA transcript:A09p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERTSDYACGSGLRFDGDDGFKHDLIEAAKTLTSTVASMDKIVAEKVLTAVDTTIEAKVNARVGQAELVLAKQISTLKEDVAILREQMQAIAPKNDAHFVNQEDEVNSNDPSWMVQDKAPTHLDAAAVQCVVRKKAKNSEVKLTSHVLLATDGEKVVGKNQAKKAAGDLKTVKKEKNVVPQLRDSAETWSNSEDRNKYGKLDATLDQLAASFLDGPLQKRKPQLTKTQVYPYVGNSTVKRIITGVSKAYYDPLAKVDETKFKKLLDYLHNIAGDNDVDTHFYMRLITPRDDWETDESGWLTDSNMASAMLMFHKRHMKDPSPYSSSRIAFLDHWFVKSWVRDYKTYDPKTWKFSDTYKKVFNGNYPTEFSNNRQWLKDVDRLFLCHLINGNHWVALEVDLLKKIIHVYDSIQTVVPSITDLQEECRPFMKMIPLLLNEMVPDR >A03g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14232574:14234592:-1 gene:A03g504010.1_BraROA transcript:A03g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYALIPKLSSDSVAFIYSSSASVSLSLLFFSRPHRPSPIKVPGEVVTDGEKSKAGKKRAKAPWAKPLSQYSQFMRNEFSCLHVRFFYSFLPTKLRNDAQSPKAYTTEDYLKITEEQLKASSPGKSQREDQIQTQELAVQSQPEVKGLRRRTSGVGCLVKLLRRRTSGVLVILIPVHVNLAEKRALEKLMVSCSAAVTHDRRS >A06p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4724020:4726608:1 gene:A06p003370.1_BraROA transcript:A06p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKHVWSPKNFLAPLSYYERNELVYVPERFCKSFYIIYYDLKRNKFHRVEYKGIAEDESRLKNGLVKSRLFNIHIVSNHIEGRLWDLKTLSMTMDRQQETTEQIHKKARTKSQESVVRSSFPLDLISEILSKLPAKSVGRFRCVSKLWSSVTTDPYFLDKFETQSRQKKPSLLVTFKRDDRLFVFSIPQDRQSSNEYHSIASQPVHSYHMTYPKSRRFFPRVSVHGLISCFQEETKPIIWNPTTRKLLTLTKPEKSWDYATFFLGYDPVDAKHKVLCMRFDETMDEYRVFALGSGQESWRRIKTSHKHYVNSYPTRHVCTNGFIYYKAYSDKTERFGFIMSFDVRSEEFHVIKLPCNNSRPGGILASYEGRLACVTHNTAGDGTILWTLMDAEKHEWSRRDFPIPIPFYDRSLETAFNFIGITDAGEFVYVPNRFRKLYYVLYYDPKRNKFHRVGFNGIADDESRLKHGLVNSRLYNIPVVMNHIESLMSF >A07p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24992751:24994805:1 gene:A07p046230.1_BraROA transcript:A07p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEQEAPKLAETLSNISNDSSASEKGEATSQQQSNNGYALTVDEVIEQHIGALGFAQIMHALLVSIAWTFDAQTTLVSIFSDAQPAARLLATGAIVEGSLMCGMSTSEWEWVGGKSDTIVSEWDLICQHKFLVALPSTLFFIGSLFGSGVYGYLADSWFGRKKTLFLSCLLTFVTALAISFAPNIWVYAFLRFANGFFRSGIGSCCIVLATEVVGKKWRGQVGQYGFFFFTLGFLSLPLMGYLERKSWRNLYRIISVLPLGYALFLLPFAYESPRWLLVKGRNKEAMVVLKKLARLNGKQLPAELSLVDPIQGRDDQTSSSENFWKTKWAVKRIVMVMMAGFGSGFVYYGIQLNAENLNFNLYLTVAVNAMMEFPAVFIGSFLLGVMNRRPLFSNSSYLAGISCLLCAILSLQRVTKAMPVAKWLQLAVEAVGFMASSTAYDVLYVYAVELFPTNVRNFAVSLLRQAFMLGASAAPLLVALGRESAMMSFIVFGVASVLSGVVSLWLRETRNAPLYETLRQQEKAEEMENRTEHTLSL >A07p042130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22697245:22706792:1 gene:A07p042130.1_BraROA transcript:A07p042130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHVLSNKSQKLTKISIPKSGGGDKEKRINQMKAFREILRRQRPSSFLGQPLLKKSAYQERRNIANVERKNKTVYPVEFENKRNEGNNIDSNTGIRNNFWTYEHQMKFFSAGANLGEKDSHPKSLLGIMNDRTSKNEYPFTLSNIAKNFFADKNRIKERDTMALKFYQGTKMDLSRTSWFGNIPNSSSMEADRVPAATSNIPPCNISPTDTVSHTNLVSTSLNDNNFLDHSGLPSSVGASNSGEMCATSEDMILSTVQNDVIHCEPSHTSLNSNATPLETNIEDISQFQQDACYDLPIEDLISFDTDVHEMDMQHVLGNNATVPTLPASHRRLIRRRLLSDLSAPSPPKQMKKKKPKKSPAKSSPKSSPAAKSQSPPAKSNSPPAKSSSTVTDPILLASMNGSDAQIGDPAAADAHLSKVSSDPHPVAEDVKTDKTVIVASPTDPSSVCNKEVTEVVFESSSEAPLVPTAVDSVVPPNAIPIMSELSSAAPLDFSKTDSACVKDPTESVFVSKDVSGVAKTQVLDALSDSSGRLPSDTTTSSEAQENAVLQIVAPVSVQTEKPQQDPSKKKTRRGRSKDKQQWRVVDPPAATEKPLPSVEPTTGGQIVIELAHESRLGTDADKVIGESSLSVSHLRPVRPVSGSGTSRSSHSDVQPDSSDVESSDSELEEGELPTRARLAGWGMPVSAACAFCSTSDETRDHLMLTCDYTTQVWKEVFRRCQSSATLLTNWSELLSWIRASPQITKCWKETLTIFHGKGLRLPEHTLREGYGEEERERDLDGLETEREEDLELDRDDDLETEGE >A06p016670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7457234:7464503:-1 gene:A06p016670.1_BraROA transcript:A06p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKETHQQQQQQNRNAAAAPSQAMHNRPSVGALSLRQPQPRQAVMLDGSPYPVVAERRWRQLGIKQRDAPPDVNDGGDSGGGANAGPPAKRKGRPLGSRDKQPRKASGGGGGGGGGPLTAHVINVNAGEDIAIKLVEFMKQEPREVCILSASGVVSIAVVQSDNPLGFVKYEGLYVITDLSGTFWNTESNDGGATVTRTGNLRQQNRNAADALAGPTAPSQAMHNRSSVGALSLLQPQPLQGVMLHGSPYSASIEKRGRGRPRKYAPPDVNVNVNDGGGANAGPPAKRRGRPLGSRTKQPRKASGGGGGPLTAHVINVNAGEDIAMKLVAFVNQEPRDVCILSVSGAVSSAVIQSHNPFGLVKLEGLYVITHMSATFSNTESNGGTVTRTGNLKVSLAGPDFAVVGGFVGGMLVAGSPVQVIVGTFVREGVKLSTDSASAHVLNSDGGGGPGLPQSQGPSESNAKTQQQQNRNAAAALAGHTSTSQAMHNRSSVGALSLRQPQALQGVMPDGSPYSASVATQQPWRQLKRGRGRPRKYAPPDGGDSGGGANAGPPARGRGEEGSFTAHVININAGEDIAAKLLAFVNQKPRHVCVLSALGDVSVAELSNNPLGLGLVKYEGPYVITAMSGVFSSTESNGTVTTTGNLNVSLAGPDFKTVGGRVGGMLVAGSPFQVIVGSFVPEGVKLSAASGPDNVLNSSGGGGPGLPQSQGPSKSSEENASKSPGNNAQ >A05p053140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30379000:30383761:-1 gene:A05p053140.1_BraROA transcript:A05p053140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVRKSRSVNKRFTNEPSPRKDAGSSRKNKQRKTKFSDKLGPQWTKAELERFYDYYRKYGQDWRKVAAAIRNSRSVDMVEALFNMNKAYLSLPEGTASVAGLIAMMTDHYTVMEGSGSEGEGHDVPEAPRKQQKRKRPKPQLSDSREEDDRQHPVASTDGCLKFLKQARANDHVGTHRRATGKRTPRVPVQTSRDDGEGATPRNKRARKQLDANDDVAAHFLGLTLTDASRREGSPQVSESPNKRTEPSDNSPIKSWGKMSRTRKASREKKLESDREDVEGVGEMEAPRKVKRVYKKRVKVEEAECNDSDDNGGACSATEGSRIKSKRRKAGVGASRGTNSPRSPKEKDNKFTSGDEFDALQALADLSASLLPGALMESVCGLAHLILYYITLGPESSAQLKEERIENDMDEKSSSPDDSHGEKADSEPDDSLLHAISAIGNVAYSRKPKSSRQLSTDCNAVPTEKPEPEPTSGSSKRKRKPKKLWDETPHESTRKKSTNKQKELAQEDVNMKSSLRTKRSGQVLPPQSKQLKVAKELEEATTTSDKKRSAMDVVEVATTKEVSDSGPASLPQKPPNRRKMSLKKSLQERDNKSSETIHKASRSSRSLSEHELLLKDKLSTSLSHPLARRRCMFEWFYSAIDHPWFAKMEFVDYLNHVGLGHIPRLTRLEWSVIKSSLGRPRRFSERFLQEEREKLKQYRESVRKHYTELRTGAREGLPTDLARPLAVGNRVIAIHPKTREIHDGKILTVDHSQCNVLFDDVGVELVKDIDCMPLNPLEYMPEGLRRQVDKCLSIKKEAQLSGVSVIFPPCGLENIDFSMNQSLNQGDMIAPILHGKVLTNTSSSPHQTNQSHIINYSKGREAEIQRVLALQHALDEKAASSVKEGEDAIKMIQEALDMVGKHQPLRSSVVKHEEHANGGVEHHQNPSLSSDASKPMANNDFISQDGSSEKNEVQMPAELITSCVASWLMIQMCTERQYPPAEVAQLIDTAVTSLQPRCPQNLPIYREIQMCMGRIKTQILSLVRS >A04p024250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14691809:14692369:1 gene:A04p024250.1_BraROA transcript:A04p024250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWITLHHPPYLRFMPSSPSSPDSYSLRRHHLPLFKPFRCAPLQRQEPQLEQSQGGKEEEDEAAIMCEDCNGKGWLLCDFCKGQKTNVKSENKKIYRRCPTCRAVGFVLCKRCKVFKCVTFPNPEDGDELLF >A05p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8873235:8873704:-1 gene:A05p018950.1_BraROA transcript:A05p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGLRQQFESDGGMGDRRANSSAGDQRANSGNQGDNGETRHVLSAKMSAFLNLTLGHSFHDARNPGFSDCRSYAAREAPRRGLSSRKLYVSWQLTLLSVDTIN >A06p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18643697:18644573:-1 gene:A06p034460.1_BraROA transcript:A06p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRVARQKKAVKIFPRPTAGPLRPVVHGQTLKYNMKVRTGKGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTAEELANATQVQGDYMPIVREKQAMDLVKLTSEMKSVNAYDKIRLERTNKRHAGARAKRAADAEKEEKK >A05g506100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:17434298:17434489:-1 gene:A05g506100.1_BraROA transcript:A05g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEPYGVEYVPITYIPQIDCDKLAETYRHLKTKFDKGKFPLGHKMGTTGAAYQLGRCEEDSS >A09p075240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56773973:56775238:-1 gene:A09p075240.1_BraROA transcript:A09p075240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSCLWIRSENFWESILAFFVSWGEKFHILVGLQSKLICFLLDNLQLNRIECLSVDSVCEMLKERRVLPVSSNRDRVSPYPLRSCRSKKQKEAESSSPLDSESVSEWEDVRCVICMEPPHNAVLLQCSSFSKGCRAYMCDTSARHSNCFKQFRRNKNTSRCSVKTLTCPYCRGDVHGTVKSTSARRFMNARPRCCSMDKCGFSGSYSQLKTHLKAEHPGFTPPKVDPLEKRKWDDLERAEFIEMINARQRWESEQRSLYQLPHHHPLIDLNFDAFMHNLFIGVRGGQASDANTSMPRLEFNGTRWTP >A05p001610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:336149:337690:1 gene:A05p001610.1_BraROA transcript:A05p001610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSFHQSSLQSIWDFGEEERDSLGFMELLGSQHHSLLLETLQPQAQPFEKLSSSDLTILQAPPSNATADKYVTSKVESLCSDINPPATPNSSSISSASSEAVDEDKAKREENEEHEQQKSDTKKQLKPKKNSQKRQREARIAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSFRDPSTVVTTYEGQHTHISPLTSRPISSGGFFFGSSGVASNLGNFGFPMESSTLIYPQFQQLVHYNQQQQQQQQELFPCFGGVGEYVTRHADAYGDDERVKKSRGLGKDNGLLQDVVPSHMLKEE >A09p078590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58084014:58086963:1 gene:A09p078590.1_BraROA transcript:A09p078590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTHKVEPVKGQALLTSSKTKQDVKPSLVSPPSLIMASRSPSLNQKTSRRVASLVEDIENANIETTNISSSSCDTSKWTGNFNHMLELRRKIITFRGIIDLPPLTGYRSITNMVMRTMEDLHKLCPEIVESSCLLDIRHADTDKQQLLDQFYNTLKSIGDSWIDDHEWIAKSKYRNSNSLGKNLSDGLGNHSKKFYATLFFLNNDFSKQEVLQDLIEFLAVGKVLAALDGLITGSNEMLNMTEINIEEKKPKVNTPSQSKTPSKRASLPSESFTKQPITPRTVLHPPSKVRDIKISASNLPRHMRMQALVVLIPINVKKLTIKKRMCQKEAQSNDGDGDNESVKKKQKSETERIEKMEKANEATPEDKSYSKVSEESETVPESLALTPPLPGNAALPSLQPLPMTAERGQAAPPQPPGVATPLPPPPLLKAPGKGSGTPPPPPPRLGAKKATGKLKRSTKLGELYRFLKAKIEGKDPKPRSRGVGGGGSKGVGGKQGMADALAEITKKSPYFQQIEADVKMYMKAINELKAEISSFKSKDMTELQRFHLYIESVLEKLTDERQVLARCEGFPEDKLDAIRMASALHSKLQGMINELKNWKIESPANLLFDKTERYFSKASHRNCYGCFFFHTREIETLDQIKAEDEKTFKRHNIPFDFSILTQIKESMVDISSGCIELALKEKREAKIASHTADSRKAKSSMIKNKTVGFAKTLWKAFHFAYKVYIFAGGHDDRADKLTRELGSEIELVLKNQ >A10g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1416069:1417088:-1 gene:A10g500470.1_BraROA transcript:A10g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRRTPHHTRPEKERGFQRRHATKNFSSAPPKYTEKKRRGQALSAVGLCGGGLFKGLEVHHKKSHDCHIFLRLSLYRDSHDQKYEEGKKLSLIWRAILDEYSELELTHLDKSCFTDSNTEQLYSDFVRRKRIHMNRNSDITLGGRGEKLQRKQSRESPLGGQLILRGKEERLKRAK >A07p034720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18833887:18836536:-1 gene:A07p034720.1_BraROA transcript:A07p034720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g77010, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G77010) UniProtKB/Swiss-Prot;Acc:O49287] MEGDYRRRYVGLLQSCSNRETLWRQTHGFFLKKGFLSSIVIVANHLLQIYTRCGKMNNARNLFDEMPERNIFSFNTMLEGYINSGDKVSSFNLFDTMPERDAYSWSVVVSGFAKAELGLARRLFDAMPEKDVKTLNSLLHGCIQNGYAEESLRLFKEVGLRADVVTLTTVLKACAKLEALECGKEIHARVLISGVECDYVLNSALVNLYAKCGDLRMASGMVDRVGEPDEHSLSALISGYASCGRVDEARTLFDRRRNRCVFLWNSMISGYVANNMETQALLLFKEMRYEAQEDSRTLAAVVNACSGLGIIETGKQFHCHTCKFGLVDDIVVASTLLDMYSTCGSPDEACKLFSEVKSYDTILLNSMIKVYFSCGRVEDAKKVFERIERKSLISWNSMTVGFSQNGCPGDTLEYFRQMHKLDLPTDKFSLSSVISACASVSSLELGEQVFARATIVGLDSDQIVSSSLIDLYCKCGSVEHGRRVFDTTVKSDEVPWNSMISGYATNGYGSEAIELFKQMSVRPTRITFMGVLTACNYCGLVEEGRKLFEAMKLDNGFVPDREHYSCMVDLLARAGYLEEAMDLVEEMPLEADASMWSSVLRGCVANGDKAMGKKVAEKIIELEPENSVAYVQLSAIFATSGDWESSAFVRKLMREKHKQNNKPSSSQMKCFKWIRKNCNKTKLLIDESPGIRTLVEGILI >A08p034280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20422443:20425760:1 gene:A08p034280.1_BraROA transcript:A08p034280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNEVEESFFAPGDAKLHGEMCNALSVIYCKIMSVFPSLEAARPRSKSGIQALCSLHVVLEKVKNILRHCTESSKLYLAITGDSVVLKFEKAKTSLIDSLRRVEDIVQQAALSERRCLKKLIERARMEDDKRKESIVAYLLHLMRKYSKLFRSEIWDDNDSQGSNSLPCSPTIQGSLDDPPGRAFDRQLSKLSSFNFKSCNNNRRSVQMSVPPDELRCPISLQLMYDPVIIASGQTYERLCIEKWFSDGHNTCPKTQQELSHLCLTPNYCVKALISSWCEQNGVQVPDGPPESLDLNYWRLALSVSESGKSVGSCKFKDVKVVPLEESGTIKEESSCELEYQEAEVTLVERCTDLLTTLSGVDTLRKKCRVVEQMRVLLKDDEEARILMGENGCVEALLQFLGAALSEKNDSAQKVGAMALFNLAVDNNRNKELMLVSGIIPLLEEMLCNPHSHGSVTALYLNLSCLEDAKPVIGSSLAVPFMVNLLWTETETQCKVDALHALFHLSTYPPNIPCLLSADIVNALQSLTVSDDQRWTEKSLAVLLNLVLNEAGKEEMVSVPGLVSNLASILDTGEANEQEQAVSLLLILCKYSEMCSQMVLQEGVIPSLVSISVNGTQRGRERAQKLLTLFRELRQRDQTHHITTEQHVEVVCPEEGGFSVAAAAVTESKPQCKSASRKKMGRAFSFLWKSKSFSVYQC >A01p052530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29487660:29489234:-1 gene:A01p052530.1_BraROA transcript:A01p052530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGARIFGASQKYSSRDVAAHTTLKPRREGQHTQEEVEKKNLRDELEERERRHFSSKDKSYSDDRDRRRGNQLLLEGSKRDPEDRIVPRSVDADDSDVDIKSDNDDSDEESDDDEDDTEALMAELDQIKKERVEERLRKEKQQQMEELNAKEEELLKGNPLLNTTPTSFSVKRRWDDDVVFKNQARGEMKAPKRFINDTIRNDFHRKFLHRYMK >A05g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2873658:2873972:-1 gene:A05g500780.1_BraROA transcript:A05g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKVLDLVHDFQCLSKSVTEQIDSHKTWLQELEFKEDMEATLSSNMNTTQLSDNGPGHNFAASVGIVIAIACFYWKIL >A06p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23551067:23552824:1 gene:A06p043760.1_BraROA transcript:A06p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNAT3 [Source:Projected from Arabidopsis thaliana (AT5G25220) UniProtKB/TrEMBL;Acc:A0A178U9D1] MAFHQNHLSQDLSFNHFTDQHQPPPPPPPPQQQQHFQDAAPPNWLNTALLRSDNNFLNLHTTAANATAASSSDSPSSAAAVAANQWLSRSSSFLQRTANNNNNNAAASVVGDVIDDVTGGGAEQMMSGGENKNDGGGGGEGVVSWQNARHKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSTLGGGGQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGMGATMSDDEDEQVESDANMFDGGLDVLGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKNKRKSNAGDNSGRERFT >A06p056640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29487127:29488422:-1 gene:A06p056640.1_BraROA transcript:A06p056640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSYGEILVIIGATAAVVGPKDLPIIARAGGRLFGRAIGYIQMARGHIDGVMKQPQMQQISKEVQDLRAQVDAISHGASFSLFNSNPLTRRVDNQSQEPSNTTTTTGNVTSLSVEERHKVSDHWTKAQEFSGSSSASVNLHAQATAFERLSESVSGKTNTLTSDSPVLPVSAEMAKLLPHRKESAKGSDLMLEAVLEAEVAHKAKHFFAQAEKETPALKGKFVVEDKGES >A06p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9676301:9680020:1 gene:A06p019810.1_BraROA transcript:A06p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFIGLSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYLCQPVIAGLGEDDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVSVNGCLAGALKPRFSIRGESGGGFLSSTDAALASGKRRLNQLLFAGFWSRKVEANKAPCCRCGIPEEEDLRRRLCLFEEEVSGGSRRACLGFPVVHRRKRSRFKRLNSPTGRQGVEEVRWRGGSVGAELRRKRCDGGNRRWLCEDGGSKLRRASRGGQMSQILTRVRLCLLPGHPEQNNLKSEVSGRVMDSFFTGFVHSLGNFFGSPLDFLSGKSCSTLCPSPWDVLCYVENFCVASLAKAALILIVAYLFLFFIYMLYKIGLWHCIIHGFFRFLWALVSCWFYILSNCCTFFCYDLLHTKRRRRRRNRRYSEDGDDYSDEGNDDGGSFRYHRSRREMRKEERLRKSLKPRSHRVRVGVRKDHPSSDLGLNQHVGGGVGSVHGVRVSRESKFARKGSKRRARVWSSQNLK >A02p031070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16045030:16045808:-1 gene:A02p031070.1_BraROA transcript:A02p031070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSFASFFSGLMDGNTGHMNLAFVRIWSEVCPSDCEGTVCHSCTCADFLYTALCFNSVPLDLFLVSSSRRALPTRPEIVALMEKCGVWVYDDMLQDIKTRFLQYAMEREQNKEGCSMDAYHLKSLRLRFCTSFLVELNRNSLERKAARGLGNLRSLNLTSCKHPTQFPDLLKATNLEAVKPSSYFNWEV >A09p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14327729:14328395:-1 gene:A09p025660.1_BraROA transcript:A09p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSREKIKKPKPWAHTEPITRAQLMNMREEFWDTSPHYGGQREIWDALRAAAEAELTLAQTIIDSAGVIVQGRDLTLCWDERGAKYELPRYVLSEPTNLIREQGQ >A05p040760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24891674:24893238:1 gene:A05p040760.1_BraROA transcript:A05p040760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARFLSLLSTVTLSLLLTTTDASSRSLPTSHETTVLDVVSSLQQTQHILSIDPTRSSLTTTTTTPESVPVFLNSSSPLSLELHSRDTLVASQHKDYKSLVLSRLERDSSRVASIIAKVNLAVEGADSETRYNPEELTTPVISGASQGSGEYFSRLGVGTPAKEMYMVLDTGSDINWIQCKPCSSCYQQSDPIFDPSSSSTYKSLTCSAPQCASLRASACRSDKCLYQVRYGDGSFTVGEYATDTVTFGSSGKVDNVALGCGRDNEGLFTGAAGLLGLGGGALSMTSQIKAKSFSYCLVDRDSGKSSSLDFNSVQVGAGDATAPILRNSKIDTFYYVGFTGFSVGGQQVSIPSSAFAVDASGTGGVILDCGTAVTRLQTQAYNSLRDAFVKLTANLKRGTSSISLFDTCYDFSSLSTVKVPTVAFHFTGGKTLNLPAKNYLIPIDDAGTFCFAFAPTSSSLSIIGNVQQQGTRITYDLANHLIGLSANKC >A02p013800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6017943:6019419:1 gene:A02p013800.1_BraROA transcript:A02p013800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTPYPDFLIIFNSKDVSLSQRLVLPSDYRQYYPTPLPQTAVLRKPEGSFWTVKWAISQEEEISFGDGWSKFIAENDPIDGDFLQFSYDGSRSFLVSIFRNGLPVKPTAPVTIQDISDDDDDEDKTAGGDGDEKKEEEDYDQNMIISLSLGSSDEDYDADKTVSEVNKADGTLKRGSSSQRIRAQSIGDPEMYLDDPKNPCFIATSSSCRRMLVIAMQVIKDYDLKFDGTIKFIDGFGELEGKIGNWKDRVVVYSWQEIYNRNHAKPGDVIICEILREGGVVRSIKAHFVKK >A09p080080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58765614:58769489:1 gene:A09p080080.1_BraROA transcript:A09p080080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIRSSNHFRCISSLCTKTRFSSVLVSLNRQVSPFPSSSRLHRAMSSSRPSAFDALMSNARASAKKKTTPQASNSSPSPNKRKISKTQDADSPKAGAFSDEPRSDSPSIAEDLKKGAKTQDADLTKVEAFSDSAKPRSSIAKKARTLSQTDKVDELKSRIVLLKRKPGEFDPERVACWEKGERVPFLFVALAFDLVSAESGRIVITDILCNMLRTVIATTPDDLVAAVYLAANEIAPAHEGVELGIGEGSIIKAISEAFGRTEAQVKKLNTELGDLGLVAKGSRSSQTMMFKPEPLTVVKVFNTFQQIAKESGNNSTEKKKDRMKALLVAATDCEPLYLTRLLQAKLRLGFSNQTVLAALGQAAVYNEDHSKPPQKTKSPLEEAAKIVKQVFTVLPVYDIIVPALLTGGVWNLPKTCNFTLGVPIGPMLAKPTKGVGEILNKFQDTVFTCEYKYDGERAQVHCMEDGTFEIYSRNAERNTGKYPDVALALSRLKKPSVKSFILDCEVVAFDREKKKILPFQILSTRARKNVNVNDIKVGVCIFAFDMLYLNGQQLIQENLDIRREKLYGSFEEDPGYFQFATALTSSDIDEIQKFLDASVDIGCEGLIIKTMNSDATYEPAKRSNNWLKLKKDYMDSIGDSVDLVPIAAFHGRGKRTGVFGAFLLACYDADKEEFQSICKIGTGFSEAVLEERSTSLRSRVIPTPKQYYRVGDSLNPDVWFEPTEVWEVKAADLTISPVHRAANGIVDPDKGISLRFPRLLRVREDKKPEEATSSEQIADMYQAQKHNHPSNEGKGEDD >A09p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40007442:40008945:1 gene:A09p045630.1_BraROA transcript:A09p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPTRSQIRYASCISVVSGEQLEIVTKEFGDKNEIKEVLDGDPHSDTKKLSGWRTRMVVKSEPPVTLKTIMYCLCLRYITISVLKKRK >A06g502650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8759088:8760158:-1 gene:A06g502650.1_BraROA transcript:A06g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVALFFTGALVAGGIYWFLCVLGPAERKGKRALDLSGGSISAEKVQDKYKQYWSFFRRPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIRGKSHRDATRLHEEMAVDLIQVKPGQKILDVGCGVGGPMRAIASHSRANVVGITINEYQVKRARDHNRKAGLDALCEVVCGNFLQMPFDDNTFDGAYSIEATCHAPNLEEVYAEIYRVLKPGSLYVSYEWVTTDKFNAEDEEHVEVIQGIERGDALPGLRAYSDIAQAAKKVGFQVVKEKDLAAPPAEPWWTRLKMGRLAYWRNHVVVQILSAVGVAPKGTVDVHEMLFKTADFLSRGGETEIFSPMHMILCRKPMS >A10g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16518999:16520177:-1 gene:A10g506080.1_BraROA transcript:A10g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETDLLDWSCSDESPARHILIFRGLIPVLYAGSARASHDESAEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTIKWKMMLLAKSSLTIYIYSDPTVAEPCDIELEDKAREVAELKTER >A07p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10050024:10051477:1 gene:A07p016290.1_BraROA transcript:A07p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGKSVLVSLIALWCFTSSAFTEEVNHVTQTPSSAPAPAPYHHGHHHPHPPHPHHPHPHPPAKAPVKPPVSPPAKPPVKPPVYPPAKAPVKPPTKPPVKPPVSPPAKPPVKPPVYPPTKAPVKPPVKPPVKPPVSPPAKPPVKPPVYPPTKAPTKPQPNPLLSPPPTKPPVKPPVSPPAKPPVKPPVYPPKFNRSLVAVQGTVFCKSCKYASYDSLTGAKPVEGAKVRLVCKSKKNIVAETQTDKNGYFLLLAPKTVTNFGFRGCRAYLVKSKDYKCNKVSKLFGGDVGAVLKPVKTPGKSSVVINKLTYGVFNVGPFAFDPVCPK >A07p010890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6821048:6821926:-1 gene:A07p010890.1_BraROA transcript:A07p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPTPSPPPPPPPSDSNPNPPPSSSTAIQSPPSPQTTVVALGASTSAFARKTQPVLWIEDETLLLIDSYKEKWYAVGRGPLKSNQWEEISVSVSARSGVERSATQCRHKMEKLRKRFRAERQSMGPISIWPFYSQMEELDSNPAPISARPLTRLPPPTNHYAEDEDEDDEDDEEDESLNKSRSINHIVRRPGTVNRFAGGLLQWGQKERSKRKRKDEGGGGERRMKGARAVAYEIRAFAEKTMVMEKKKMEFAKETAKLRKDMEMKRLKLIQSSQTQLLQFLNTSFASL >A05p049530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28829790:28830719:1 gene:A05p049530.1_BraROA transcript:A05p049530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFSGKIESKGLNPGLIVLLVIGGLLVTFLVGNFILYTYAQKNLPPRKKKPVSKKKMKKEKLKQGVQVPGE >A08p038150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22118154:22119562:-1 gene:A08p038150.1_BraROA transcript:A08p038150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMVFGYAYPAYECYKAVEKNKPEIQQLRFWCQYWILVAALTVFERVGDTFASWLVVPLYSEAKLAFFIYLWFPKTRGTTYVYDSFFRPYVSKHENEIDRNLVELRTRAGDMAVIYCRKAVCYGQTRVTDILQFVALQSTPKPKPKEKKQTPPEAEEQKQPDLKAASQAGSSPQARPQPKKPQLLTKEPISVKPIIPPRKQLQQQQQQQIETKEAKPSASQTKLTPLPLPSSPSTAPKPNADPAQPSSTTEAEKASETAAALPAIPASAIKRASSSKETIRETIMEETLRVTRGSLRKARSTGTR >A08g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:903910:906779:1 gene:A08g500380.1_BraROA transcript:A08g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNWKEDYIAISLFMISIADASAQQAFVISVINRLKYNIEMLYSSGASKFVVHNVAPLGCLPIVRQEFNTAKQHNARLGPMLNELTKAKPGFQFILFDFTMSFFAGTSLRIYRVALLGRTTRMVAVFLTCTRSYASIKDLIFTSTHVTTQRRHKNHPHLLFGADPNVVQPINIRELITYPVNEDMSEFWKEPVGDILLLDDGIDVKGIPLRIYRVAVLGRTKRMVAVFLTCTRSYASIKDLIFTSTHVTTQRRHKNHPHLLFGADPNVVQPINIRELITYPVNEDMSEFWKEPVGDILLLDDGIDVKARTEHYDCC >A02p038080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23648743:23654378:1 gene:A02p038080.1_BraROA transcript:A02p038080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCTTSYTTPGLAHGTLQTFDISSDMYPILAYSRETSVFYIYYVLKTFTSIFCEGSVFCLALAYVADNVSENQRASAFGILTGIGSCAFVCANCCARFLSTTATFQVATTVAIFSTVYMRIFLPDSIRDNSLVTSIVSTEKLSYVLLEDYPAHRNQISRTVRSVREMASLMRSSVPLFQVAMVSFFSSLSEAGLHASSTYYLKAKFHFNKDQFADLMIIFGIAGSVSQLLFMPILIPALKEEKLLSIGLFFGCAHMFLLGMAWSAWVPYMAAMFSLVSIFPQSCMRSIVSKQVTSYEQGKAQGIISSICSLANVISPLAFSPLTGWFLSERAPFNFPGFSLMCAGFTMVI >A09p073610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56065832:56067321:-1 gene:A09p073610.1_BraROA transcript:A09p073610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILILLLSTPLKMADANAEPAAGSSGSNETASQIEPIRMPTIEEMRAQEVWNNCAVKSVASGVMDYKKFRLIVAHFRHKATDVLLAGGGLGLMMGLFLGALDNPITNDTMTGRQQFVFTAKQMGSRSWSSCKTFAVMGLVFSAAECIIEKARAKHDTTNTAFAGCVTGGSMSARGGPKAACIGCAGFATFSVLIEKFFDRHT >A08g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21502801:21505718:1 gene:A08g509980.1_BraROA transcript:A08g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKETAIRTGESSPPLLFRQVSPGPGDSTLQFRLLHFWDARKNVKGGPGIILGIEMLMIDAEGTLAQGFIGQNRRNQYEKELQRGSIYTLTNYYASNNKVMYHVADQRLVICISHASALSKDEKDIEGILRQRFRVRSFTEFEANCDLRGDLHDVVGHLKLVDGQALHERPVLCTNDDSASRKVMVHLQLKDGPVMNVYLWDEAAESFRLKFDGSAVTPTVLLVTTVNPKRLGGKLCLSSMSSSRVFLDEEVDHTKEYLAWLATNPSITSLVNPVEVVKAETLTIGEIAAFLKHQPAQVAYFDSIATIDDVKLGTEWYYIACKDCQTKLNRGPTTLVCPKCRNEDATAVANYRVELSVYDNDEQCTFIILGDAGKDLTGRKATELIDAYVQENGGVAAELEVPVPQCFIDTIGQTKKFRIKVTDYNFTSTKLSFTATKIVSAAELPPKNPPLQTPPVTEVENTELAESSGGGPSAIEDQKEAKRTKRSG >A06p007490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2593993:2596967:1 gene:A06p007490.1_BraROA transcript:A06p007490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLLPTQWRTIAPIVGRTPSQCLERYEKLLDAACTKDENYEAADDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIGNSHRKRKRKGIDYNAEIPFEKRAPAGFYDTADEDRPADQVKFPTTIEDLEGKRRADVEAQLRKQDVARNKIAERRDAPAAILQANKMNDPEAVRKRSKLMLPPPQISDHELEEIAKMGYASDLLAENEELTEGSAATRALLANYSQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRDSQTPLLGGDNPELHPSDFTGVTPRKKEIQTPNPMLTPSMTPGGASLTPRIGLTPSREGSSFAMTPRGTPFRDELHINEDMDMHENAKLERQRREEARMSLRSGLTGLPQPRNEYQIVAQPPPEESEEPEEKIEEDMSDRIAREKAEEEARQQALLRKRSKVLQRDLPRPPAASLELIRNSLLSANRDKSSVVPPSPVDDADEMVRKELLQLLEHDNAKYPLDEKAEKKKGAKNRANSSGSQVAAIEDFEENELQEADKLIKEEAQFLCAAMGNESKTFDEFVVAHDDCVKDIMYFPTRNAYGPSSVAVKAEKVAALQVEMENARKKMEEDEKKAEHMKAKYKTYTKGHEKRAETVWSQIEASLKQIEIGGTEVECFKALKRQEEMAASFRKKNLEEEVVKQKETERRLQARYGELLSTLEKAEELMVGFRAQALKQTDVVEDSLNQKEAKLATGEEEDVAISMEASA >A05p041380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25143469:25145345:-1 gene:A05p041380.1_BraROA transcript:A05p041380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHAPSFIFLIFFLTILSPVSPNQDLVTVQPFLVKSSPPATIPAFPEQSDFSGCPLDLPEDLFHGIKSACTGKKLHKGKCCPVLGAWLYSAYSTTALSRSIPSSAVRNATTPEEDMPLLPDDSETCVDGLEKSLRRRGIELASPNETCGVVDCYCGIRLHHLSCSEAFSVDGEGRLVGDESVDRLETDCLSVRNNNGDRFSPLSRCNKCLNSLYKLNPKKTSGTRNPSKEDRNRTTKMHNKDCVLMGLTWLLAKNRTAYFPTVTSVLRAVMLNQNGEPRSCALGGDGMPLAVDSSEFSNGSSTLIQYPYHLVHFLLYSVITLVLLGSW >A06p007770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2680393:2683013:1 gene:A06p007770.1_BraROA transcript:A06p007770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDQPRHHRPTRNRPPLPRPPNPSRPVVPRSKVSKRVLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLFVQPLVGHSSDRCKSKYGRRRPFIVAGAVAIAISVLIIGHAADIGWAFGDREGRIKPRAIVAFVLGFWILDVANNMTQGPCRALLADLTENDNRRTRVANGYFSLFMAVGNILGYATGSYNGWYKVFPFTKTVACNVECANLKSAFYIDVVFIAITTVLSISAAHEVPLGALASDAHGQSSGTDEAFLTEILGTFKYFPGSVWIILLVTALTWIGWFPFILFDTDWMGREIYGGEPNQGASYSAGVSMGALGLMLNSVFLGITSVLMEKLCRKWGAGFVWGISNIIMAICFLAMIATSFVAYHIGYIGHEQPPAGIVVAAVLIFTVLGIPLAITYSVPYALISIRIESLGLGQGLSLGVLNLAIVIPQVIVSVGSGPWDQLFGGGNSPALAVGAAAGFIGGIVAILAIPRTRIQKPIPLP >A08p012870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11597012:11597635:-1 gene:A08p012870.1_BraROA transcript:A08p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDELDLTYLLESEAQIYKDEAESSLYIAESFHYTPSPEADDGIPTTCYCGSEPEIATSHTHKDPGRRYYTCPNVDDGECHIWKWWDVAVTEEMTEVKRQMRLLKDQAFQCDQNVVKLQKTVCEVQKTVCEQKKSVWEVKKPYMRIMVSVLTVLLGFAVMYMSGISSKK >A03p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18675709:18676789:1 gene:A03p044680.1_BraROA transcript:A03p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELDLTLRLGLPSPAIETHLSLDTPPTTNQGINVDDGRRDNNAGGENHERHTDVNMRYYNLVFNHFAGIRETLNFTPFPIQPPPYSSPAPTPATPTRSDYVLIDVPARRAVRSALATRNALNANANAKQRRGCGGCCGGRIGWMRKCTNLNCNAIDTPMWRRGPLGPKTLCNACGIKFRKEEERRSKRS >A07p050010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26663892:26666055:1 gene:A07p050010.1_BraROA transcript:A07p050010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase T, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G77490) UniProtKB/Swiss-Prot;Acc:Q42593] MALSLSAASHSLCSSSTTRVSLPPAAVSSSSSSPSSPSLVSFSSSHSLSPLRSLASSSSSLFPHSPSLVQRKHTNRGSSNTVVSPTRAAASDAAQLKSAKEDIKVLLRTKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEPELKHAANAGLVNALKLIEPIKEKYSNISYADLFQLASATAVEEAGGPEIPMKYGRVDVSAPEQCPEEGRLPDAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRSERSGWGKPETKYTKAGPGEPGGQSWTVKWLKFDNSYFKDIKEKRDEDLLVLPTDAALFEDPSFKNYAEKYAEDPAAFFKDYAEAHAKLSNLGAKFDPPEGIIIDNGSVQGEKFVAAKYSTQKKELSDSMKKKIRAEYEAIGGSPDKPLPTNYFLNIIIAISVLVLLFTFLGNNNSSDYSGF >A05g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29594324:29594821:1 gene:A05g509890.1_BraROA transcript:A05g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFTNICVYRPKFIQICKKKSVDEDFQQHRHVVTVMKCSLWVFYGLPLVQKDSILVTTSNGVGLVIEAIYLAVFLFYCDKDLQLERIQATGACLIVEIGVLCLFYGHTLLFLENVSARRKLVGVVCTVYCSEPDW >SC178g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:385089:387125:-1 gene:SC178g500210.1_BraROA transcript:SC178g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELTRFGILASKTKMLFALQPGTARDALVFFPQRTDQDKAVTRLISQGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNLHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSPDTTWCSPGMAVSPWIT >A07g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19945736:19946684:1 gene:A07g507390.1_BraROA transcript:A07g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFDGVENQINGPDITACLPQDPREPRSLLPITLKFVDVCYRVKLHGDSSKIKKLLGLEHKPSDETRTTERTILSGVTGMVSPGEYMAVLGPSGSGKSTLLNAVAGRLHGPGLTGNILANDAKPTKQTLKRTGFVAQDDLLYPHLTVRETLIFVALLRLPRSLTREDKIKAAESVISELGLEKCENTVVGNTFIRGISGGERKRVSIAHEVLINPSLLVLDEPTSGLDATAALRLVQTLAGMAHGKGKTVVTSIHHPSSRAFQMFDTVLLLSEGMSHPGSPQTGMILFSY >A09p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:146849:150054:1 gene:A09p000270.1_BraROA transcript:A09p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT4.14 [Source:Projected from Arabidopsis thaliana (AT4G00230) UniProtKB/Swiss-Prot;Acc:Q9LLL8] MLHMGRSNRSSHLHHLLVPAILLLHVLWISPGYASVEDKHAKDFYIIYLGDQLGNGDEAIKTHVNLLSSLDISLEEAQERMVYSYAKVFNAFAAKLSPHEAKKMMEMKEVLGVFRNRYRQLHTTKSWDFVGLPLTAKRHLKAERDVIIGVLDTGITPDSESFEDHGLGPPPAKWKGSCGPFKNFTGCNNKLIGAKFFKADGNLPNGEVRSPLDIDGHGTHTSSTAAGVLVANASLYGIASGTARGAVPSARVAMYKVCWAKSGCADMDILAGFEAAIHDGVDIISISIGGPIADYSSDSISVGSFHAVRRGILTVASAGNDGPSSGSVTNHEPWILTVAASGIDRTFKSQIDLGNGKSFSGMGISMFKPKAKSYPLVSGVDAAKTKDDQYLARYCFSDYLDRKKVKGNVMVCKMGGAGVESTIKRYGGAGAILVSDQYLDNAQIFMSPATSVNSSIGDVIYRYVNSSRSPSAVIQKTREVTIPAPFVASFSSRGPNPGSIRLLKPDIAAPGIDILAAFTLKRSLTGLDGDTQFSKFTILSGTSMSCPHAAGVAAYVKSFHPDWSPAAIKSAIVTSAKPISRRVNKDAEFAYGGGQVNPRRAASPGLVYDMDDISYVQFLCGEGYNATTLAPLVGSRSINCSSIVPGIGHDSLNYPTIQLTLRSAKASTMAVFRRRVTNVGPPSSVYNATVRAPKGVEITVEPMSLSFTKASQKRSFKVVVKAKQMTPGKIVSGLLVWKSQRHSVRSPIVIYSPSSD >A06p003050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4877648:4877998:1 gene:A06p003050.1_BraROA transcript:A06p003050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKKPIRGTPTRKIKRIKNPSSPCSINSEVTSTSSSTSISSTATSTSPSGCCTPISKKSRIPEMLTCPPAPKKQRVAQNFALRRRQISFFAPPDVELFFVFALGHNHGQENDK >SC127g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:105312:110592:1 gene:SC127g500050.1_BraROA transcript:SC127g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRASISTTWTNQTDLDSPVHQNSSLCPDQYTDQSTGRASMLICVLTCTRIVHGKGQRADMCTGMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRISTRTVHGKGQHADMYGQHADMSSVHGSVHWKGQRADMCTDMVHQLSKISTRTVHGKGQHAEMCGQHADISSVHGSVHGSVHESVHGKGQHADMCGQHADMSPVHGSVHGHHGKGQHADMCGQHADMRSVYGSVHGSVHGHPRISTRTATDVGQHAAMCGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVYGSVHGQHADMCTDIVHQLSKISTRTVHGKGQHADICGQHADKSSVHGSVHGSVHGHTRLSTRTVHGKGQHADMCGQHADMRSVYGSVHGSVHGHTRISTRTVHGKGQHADMCGQHADMNSVTRISPRISTRTATDVGQHADIIRISTRISTRTVHGKGQHADMCGQHADLSSVHGSVHGSVHGQSTGRASMLIRYTDQSTDSLCVLTDRHGRPACADRRPVCTEQTAHFWLDRTHSFRISPNPGTKSVKENATKQPAFANPETVFVQKQCCN >A03p074510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32448468:32452374:1 gene:A03p074510.1_BraROA transcript:A03p074510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNTEHEHPAAESGDIYAESNPTKNMDDDGREKRTGTCLTASAHIITAVIGSGVLSLSWAIAQLGWIAGLLILVIFSFITYLTSTMLADCYRAPDPVTGKRNYTYMDVVRAYLGGRKVQLCGVAQYGNLIGITVGYTITASISLVAIGKANCFHDKGHHADCTLSSYPYMAAFGIIQIILSQIPNFHKLSFLSIMAAVMSFTYATIGIGLAMATVAGGKVRKTNMTGTVVGVDVTATQKIWRSFQAVGDIAFAYAYATVLIEIQDTLKSSPAENKSMKRASLVGVSTTTFFYILCGCFGYAAFGNKAPGDFLTDFGFYEPFWLIDFANACIAFHLIGAYQVFAQPIFQFVEKRCNRNWPDNKFITYEYSVNIHFLGKFNISIFRLVWRTAYVVITTVVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKVKKYSPRWIGLKVMCWVCLIVSLLAAAGSIAGLISSVKTYKPFRTIHE >A09p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11918351:11920445:1 gene:A09p021960.1_BraROA transcript:A09p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding THNINISPSPAPNPAFYDDNNNVFDVTSFGAIGDCRTDDTSAFKMAWDTACMTTGVDSAVLLVPYTFCFLVKPTSFNGPCRTRLTLQIDGIIVSPDGPKSWPWNFKRQWLMFYRVNGLSIQGSGLVNGRGQKWWDLPCKPHKGVNGTTQPGPCDSPVAIRIFQSSDVKIKGLTFKNSAQFHVRFDNCDDVVVDSVTINAPASSPNTDGIHIENTHNVQIRNSVISNGDDCVSIGAGCFNVDIKNITCGPSHGISIGSLGVHNSSAWVSNITVTNSTIWNSDNGVRIKTWQGGSGLVSRIVFRNILMVNVRNPIMIDQYYCQTKNCANQTSAVIISDVLYANIKGSYDLRSPPMHFGCSDSFPCTNLRLEDVDLFPYKGQLFENPFCWNAYGSMQTVTVPPVNCLIEALPGC >A03p060450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26182448:26183284:1 gene:A03p060450.1_BraROA transcript:A03p060450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESGSPTFIIADFSDDDAANENWPEIEFRSPEDEAWYGVDVWELCDSLVVTFNGFSFEYDEVYSPDDFKNPEELKEFEERFRACSVQMQDTECATLAQGTKVCATCPSVAGEVKFYDAIVVNVSREKHGVDEEGNEVCGCDYKLYWNQGPFVNNVTSAKVGDICLVQEDKRMKPKVVTFLKEARRQLLGEAPQGKETEWQKILKKVTSAIRDHNLDPSVPENQG >A08p035230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20822326:20823214:1 gene:A08p035230.1_BraROA transcript:A08p035230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGEMTTTTTTRPEPPKSLHNFTLPKLRWGSQRLLKCMKIDDSTTSGDHRLRRRSSSLPEHRSSNNNNQDRRESAENGGGEEEEEGIEEFRVKIMSDLKTVRDKITQSMYALNEQDGEEVKEVSPAKPWNLRKRRAAACKEPVEERTVNPSPPRGGVVVEEAETTVRPKFSVKLSKKEIEEDFMAAFGRRPPRRPKKRPRTVQKKLDSLHPGLYLAEVTLDAYKNMQR >A03p042440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17767670:17769095:-1 gene:A03p042440.1_BraROA transcript:A03p042440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRAGMILALTLVAMSVWGSDASAVQTTKFGAPLLTEKIATNRSIIVDIEGKGDYTSVQKAIDAVPVGNSNWIIVHVRKGIYKERVHIPENKPFIFMRGNGRGKTVIESSQSAVDNVASATFKVEANHFVAFGISIRNDAPVGLAFTSDNQSVAAFVAADKVAFYHCAFYSLHNTLFDNKGRHYYHECYIQGSIDFIFGRATSIFNNCEIFVISDKRVKPYGSITAHHRESAEEHTGYVFIRGKVYGIDEVYLGRAKGPYSRVIFAKTYLSKTVVPSGWTNWSYDGSTKDIYHAEYKCHGPGAERQGRSDWAKELTKQEVESFLSIDFIDGTSWLPVWLHQNS >A08p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22761834:22765746:1 gene:A08p039620.1_BraROA transcript:A08p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGESSQNVKPKSKRNSVASASASASASATPVNRFRRRAARSPSPPLTAAASSMFNSSVGASSYAVPVNAGSVDWTGHGLGSSGRSCRPWDRGDLLRRLATFKPSNWLGKPKTASSLVCAQKGWVGVDLDKIQCEFCASSLHYSPPQNSLKRPEADSNGEEFSKQLDDAHESSCPWIGNCCPESLVQFPPTPPSALIGGFKDRCDGLLQFYSLPIVSVSAIDQMRASRGLQIDRLLALPQVYANDDPSFRVGNISATETSKEEALSNYARAQKLISLCGWEPRWLPNIQDCEEHSAQSTRNGCPSGTARNQSRLQDPGPSMKQFSASSRKASGNYEVLGPEYKSESRSPLLDCSLCGVTIRIWDFLTTSRPVPLAPINANLPETSKKMGVTRGTSETSGINGWFANGGMAQQQNEEVDEAETSGKRKLVSNTGTSFYQTAAGASSSAQLNMSVTRDNYQFSDRGKEVMRRQPSGSETGDRAASYESRGPSTRKRNLEDGGSTADRPPYLRIQHADSVEGSVVDRDGDEVNDDSAGPSKRTRGSEVQETYLPFYGKDLSVGGPSHSVDAENEREVNRSDPFSEGNEQAMAFPGARDSARASSVIAMDTICHSANDDSMESVENRPGDFDDVNYPSVATAQSPDLNDPSELNLSIQAQQSACFQPAPVRSNAEQGISSINDGDEVLNTDTVTAQGRDGPSIGVSGGSVGIGASHEAEIHGADVSVHRGDSVVGDMEPVAEVIEDLGEFAPDQGVTDDFVPEEMDKEDRLGDSQDRVSQYVERADSGSKIVDSSKAESVESGEKMSNMNVYDSVHPSLSCNAIVCSGFEASKDEVTQTWNESPLNAGFALPGSSYTANGQGPPNGDSNDEIVEFDPIKYHNCYCPWVNENVAAAGCSSNSSSSSSVAEALCGWQLTLDALDSFQSLENAQIQPMESESAASLCKDDHRAPSQKLLKRSFISSHGKK >A09p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14853996:14855370:1 gene:A09p026110.1_BraROA transcript:A09p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KCO2 [Source:Projected from Arabidopsis thaliana (AT5G46370) UniProtKB/TrEMBL;Acc:A0A178UQZ6] MANEIDPLLQYLLSPRTNPPQPPLFPLPENDDVTVPITPMTPTEFKNRLIFGPFPRSQRDSSLLIDAISQNLSPPSSSPDTSTVDILLPPPEHNLPPKKTKPTFHRSKTAPAMVAINDLTHPFDLKTERSDSKSVVRQAVALLVVYLSLGVLIYWLNRDSYSVVHTHPVVDGLYFCIVTMCTIGYGDITPDTVLTKVFSILFVLVGFGFVDILLSAMVSYVLDLQENYMLEAAKNDSFDDERERKRSYIIDVKKGRMRIRLKVGLALGVVMLCLGFGVLIMHFVERIDWLDSFYFSVMSVTTVGYGDRAFKTLPGRLLAAVWLLVSTLAVARAFLYLAEARVDKRNRERAKRVLGENMSISQFFAADIDHNGCVSKAEFVIYKLKKMEKITDKDIDPIGNQFDRLDKTNSGRITLLDLLETSTNELPTATSV >A01p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1145436:1146889:-1 gene:A01p002540.1_BraROA transcript:A01p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRDLEREFHMTGGDGKTSYARNSTFQKKASDQAKHITLETLQELYKETKPKSLGIADLGCSSGPNTLSTIRDIIKAVEFSHRREIPSQPLPEFTIFLNDLPGNDFNSIFKTLPDFHIELKRDAKNGECPSVFISAYPGSFYGRLFPENTIHFIYASYSLHWLSKIPPALYDDQGKSINKGCVNICSSSPEAVSKAYYSQFKEDFSMFLRFRSKEVVASGRMVLIMLGREGPDHVDRGNSFFWELLARSIANLVAQGETEEEKLDSYEMHFYAPSAAEIEDEVKEEGSFELEKLEMLEVDKEKGDEDGVSYGKAAAKTVRAVQESMLALHFGEEILDKLFDIYGRMVDEELAKEDIRPITFVVVLKRKL >A05g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10426186:10427090:-1 gene:A05g503600.1_BraROA transcript:A05g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSSSSGDRRVAALLAPPPVRSVVDSLVLCSALFSFLFDGDMVVEELESLRLRVVCHIYLGGGDPRVVRSCRVIKSGFVGVERSALSLVSSEVEVIVRWAVSDNDKSRSDAPEVVGLMQAWCARFILLRCGFKVPVHGL >A09g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5361907:5364189:1 gene:A09g501490.1_BraROA transcript:A09g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EADNFTDEPPERWRSPGDLPHQRSNRTATREKGTTLTTTDASSSVLMIRYRVGRRDELRRGCQKTHQRLHPRRTRSLKSSYGGVDDDCLEMFMPFISERLSKEVGSDSCTLGRDLRESVLQKIFGFYSCYFFDGIMKMLLVEPYLHLTPLLRWRSPGDLPHQRSNRTATREKGTTLTTTDASSSVLMIRYRVGRRDELRRGCQKTHQRLHPRRTRSLKSSYGGVDDDCLEMFMPFISERLSKEVGSDSCTLGRDLRESVLQKIFGFYSCYFFDGIMKMLLVEPYLHLTPLLGPEDETALTETITEAMTELAERL >A04p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12118144:12119867:1 gene:A04p019730.1_BraROA transcript:A04p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKGLNKYHPPDFDPKKIPRLRNRRTIRKEFDLCFLYVLVATSVDDDEIGGSDMAKEMGLGIGVHADDDHERDESVASRDFGLLQRPITPFLEEVQLGRGGSSL >A09p050010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44077960:44079883:-1 gene:A09p050010.1_BraROA transcript:A09p050010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELAMLKQFIGQLQELLHNGSHPPSSPPSSSSSSSSFIVLHNPHYHNRWCLPFTEENSADDSCDILMAPGKKPGGIFNMLETVKQPVKRSRKDKKNQGKSSTEGDGNMDQEIWQEFPHDLFESVVSRLPIPKFFQFRAVCRKWNALIDSDSFSRCCTDLPQTIPWFYTITHENVNSGQVYDPSSKKWHHPIIPALPKKTIVLPMASAGGLVCFLDIGHRNFYVSNPLTKSFRELPARSFKVWSRVAVGMTLNGNSTSDGYKVLWVGCEGEYEVYDSLSNVWTKRGTIPSNIKLPVLLNFKSQPVAIQSTLYFMLTDPEGILSYDMVSGKWKQYVIPGPPDLSDLTLAECGERLMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMNCLGNKGCLMMLSLRSRQMNRLITYNAVTREWSKVPGCTVPRGRKRLWIACGTAFHPSPTARA >A09p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20498933:20499413:-1 gene:A09p033940.1_BraROA transcript:A09p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLIVIHENPHILESIDAVPFINTPLHVASASGNLPLAMEIMNLKPSFSRKLNTYGLSQFHLAIEEGQTRLVLSLLKVDSNLVRLPGREGTTPFHQVVG >A08g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13398223:13399464:1 gene:A08g507560.1_BraROA transcript:A08g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSEFKDRLIFGPSPRDSSQYFHSLSQKHSPSSSAAAADTFPDSSPLDPLLPPQQHHGHPLHRSKTAPAMAIIDDLHHPMHQQTELEPSSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPAKRRSYIIDVKKGRMRIRLKVALALGVVVSCIALGVGIMHFIEKIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLAVARAFLYLAEARVDKRNRERAKRVLCETMSVSQFFAADIDNNGCVSKEEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLVDLLDSSSGD >A02p002750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1155458:1161796:-1 gene:A02p002750.1_BraROA transcript:A02p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPEHNPPPRGAPPTRSSSSRTGSSSSRSKGKHLVKDILKKIIKSKIKSILKGKNSSSVKEDSSMNRIKSTEKSHGNSSDGQKSPVNKISPNRENSCTCESLPKNESKHAGKNPPMSESSSSAGSSSRGGNSPGEKKTPKNESKHKGKNTKVKSKTKSKLKNKIGSSGGESSPSGEISPGSGNSSSNTSSPKDVSKQNGKKNIIYKVKSKIKSKLNGKNSSSGGGSSQRSESSPSGINTPISGNSSNGASSPKNTGKHKNKSTIVDKLKSKIKSKLKGKSGPPRGGSSPMSRGSPSGGSLPGQENLSSGGSSPKDGRKKSIIDKVKSKIKSKLKGKNSSSGSGSSQMSESSPSGESSHVSGNLSSGASSPKNKNKHKGKSTIVDKLKSKIKSKLKGKNSPSSGGSSSKSGGSPSGSSSPGQENSSSGASSPKDSGKPKSKSTILDKVKSKIKSKLKGKSGSSDGGSSPMSGGSPNSGSSPGHGNSSSGENSPKNGGKIKGKSTILDKVKSKIKSKLKGKSGSSDGGSSPMSESSPSGRSSASSESSPSDGNTPKNESKHKGKNTILDKLKSKIKSKLKDKSGSSGGGSSPSGGNSPGSGNSLSSASSPKDVSKHKGKKNIIDKVKSKIKGKIKGKNSSSGGGSSQMSEGSPNGGNSSSGASSPKNAGKHKDKSTIVDKIKSKIKSKLKGKSGPSSGGSSPMSRGSPSGGSSPGQENSSSVANSPKDGGKPKGKSTILDKVKSKIKSKLKGKSGSSDGGSSPMSGGSPSGGSAPGHGNSSSGESSPKNGGKTKGKSTILDKVKSKIKSKLKGKSSSSDGGGSPMSGGSPSGGSAPGHGNSSSGESSPKNGRKPKGKSTILDKVKSKIKSKLKGKNGSSDGESSPSSESSASSGSSPSGGSTPKNESKHKGKSTILDKVKSKIKSKLKNKSGSSGGGSSPSDENSHGSGNSSRSASSTKDVSKHKGKKSIIDKVKSKIKSKLKGKSNSSGGGSSEISETSPSGGSSPVSRNSSSGASSPKNKGKDKVKSTIVDKIKSNIKSKLKGNSSPSSGGSSSMSGGSPSGGSSPGQENSSSSASSPNDGGKPKSKNTILDKVKSKIKSKLKGKSGSSDGGSSPMSGGSSSSGTSPGHGNSSSGESSPKNGGKTKGKSTILDKVKSKIKSKLKGKSGSSDGGSSAMSESSPSGGSSASSGSSPGGGSIPKNKSKHKGKSTILDKLKSKIKSKLKDKSGSSGGGSSPSSGNSPGSGNSSSNARSPKDASKHKVKKSIIDKVKSKIKGKLKGKNSSSGGGNSQMSEGSPSGGNSPVSGNSSSGASSPKNAGKHKGKSTIVDKLKSKIKSKLKRKSGPSSGGSSPMSGGSPSGGSSPGQENSSSGANSPKDGGKPKGKSTILDKFKSNIKSKLKGKSGSSDGGSSPMSGGSPSGGSAPGHGNSSSGESSPKNGGKTKSKSTILDKVKSKIKSKLKGKSSSSDGRGSPMSGGSPSGGSAPGHGNLSSGESSPKNGGKPKGKSTILDKVKSKIKSKLKGKNGSSDGESSPSGESSASSGSSPSGGSTPKNESKHMGKSTILDKVKSKIKSKLKDKSGSSRGGSSPSDENSPGSGNSSSSASSTKDVSKHKGKKSIIDKVKSKIKSKLKGKNSSSGGGSSQISETSPSGGSSPVSENSSSGASSPKNKGKDKDKSTIVDKIKSKIKSKLKGNSSPSSGGSSPMSGGSPSDGSSPSGGSSPGQENSSSGANSPKDGGKPKSKNTILDKVKSKIKSKLKAKSGSSDGGSSPMSGGSPSGGSSPGHENSSSGENSPKDKVKPKGKSTILNKLKSKIKNKLKDKSGSSYGKSSPSGSSSAGYENSSSGINSPKDGGKHKGKSKILDKSISGSSKNKSSSKNERKSDGKAHDVSSDGEGLPISGGSPKGESSPNSNNVSSSTSSPKDINKNLDKESPKDKSKSKTKSKFSGNSGSSIDGGSSNEDKSSGKAYDDSPNKGNSPMSGGSPDGGNSSVGESSRKDKDKDMGERKFKDKIKSKIKNKFQDKNGSSFDGESSPMSTNSPSRDNSFSGGTSPRSKGKFTVKKKFRHERKSKIEKQFESQNGSSMSRSSSKNESRSYGESSMRSFSD >A03g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25690651:25692905:1 gene:A03g507260.1_BraROA transcript:A03g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILVTGGSGYIGSHTVLQLLNGGYSAVVVDNLDNSSAVSLERVKKLAGQNGDRLSFHQVDLRDRPALEKIFSETKFDAVIHFAGLKAVGESVEKPLLYYNNNIVGTITLLEVMAQYGCKNFDLMISLCYSVFQLVFSSSATVYGWPKEVPCTEESPISATNPYGRTKLFIEEICRDVHRSDPEWKIILLRYFNPVGAHPSGYIGEDPLGIPNNLMPYIQQVAVGRRPHLTVFGTDYKTKDGTGVRDYIHVIDLADGHIAALRKLDDLKISCEIYNLGTGNGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEIVYASTEKAERELNWKAKYGIEEMCRDLWNWASNNPYGYNSSNGSS >A09p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20241484:20243273:-1 gene:A09p033410.1_BraROA transcript:A09p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MAFSRAARRPLGVFVYSASRRFGCGNEYSTTVASKFESLSQYRSSVSAGYANPVRGFGNFMRSFSSEAPAVVDQMSLIKQLRQRTSAPIKDVKASLVECNWDIEAAQKDLRKRGKVLASKKSSRTAAEGMLAVAQNEGKVAVIELNCETDFVARNDIFQYLALAMAKRALLVENSSQQVPGVFPFGPELFEELKLNLDHPKVNGETTVSNAATEVAAIMGENVKFRRGFLMSKSSAGVLSAYLHTSPQPGLGRLAGIVSLEVEGGNTQLEAVQRVGSELAMHVVAAKPLFLSKDLVSSEALANEREILKSQAESTAKSQMAVEKMVEGRLRKYFEDVALMEQKFIVNDSINIKTLVDNLSKEVGSPVKVVDFLRVEVGEGIERLEASDEPVAQTA >A02p009630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4054813:4055163:1 gene:A02p009630.1_BraROA transcript:A02p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRFFFTFFCLFATLIAVAKGNDNNRKLLTSYRPQYSPPLSPVYRYPVAPPTPVYKPPVAPPTPVYKSPVAVPPPSPKPQAAYYYRRSPPPPPSRHGRVYPPPPSKPWWWYLRR >A03p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4334354:4334785:1 gene:A03p010840.1_BraROA transcript:A03p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVDSKEFDKRGVAVMHELLIFTLENRLATTDHLTHFRREFVMPQKLMRGRTRDIPSYCDTLEMDEGELLGSGSGDEGLRVGFEKNDDYMIR >A09p041690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:23591167:23591694:1 gene:A09p041690.1_BraROA transcript:A09p041690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAEGGGFFSSSASGYSNGLALLLLGHKNDQKPVRVSPWSHYHLVAEETDTKFHSDSSKNWLSRRCTSLTCFGRKSDSQPQEFPSSDSEGNKDHAPPPSVDFNCEVSNRFALKSSLKKRSSFSDAFLADGDDVSSRDGVLDHADRRKVQWPDTCGIEIAQVKEFEPRYGCFLL >A03p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12693585:12695797:1 gene:A03p030260.1_BraROA transcript:A03p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) UniProtKB/Swiss-Prot;Acc:Q9T0G0] MVDLLNSVMNLVAPPATMVVMAFSWPLLCFISFTERLYNSYFVTEDMEDKVVVITGASSAIGEQIAYEYAKRGANLVLVARREQRLRVVSNNARQIGANHVIIIAADVVKEDDCRRFITQAVNYYGRVDHLVNSASLGHTFYFDEVSDTTVFPHLLDINFWGNVYPTYVALPHLQKTNGRIVVNASVENWLPLPRMSLYSAAKAALVNFYETLRFELNGDVGITIATHGWIGSEMSRGKFMLEEGAEMQWKEEREVPANGGPLEDFAKMIVAGACRGDAYVKFPNWYDVFLLYRVFTPNVLRWTFKLLLSSEGSRQSSLVGVGQGMPVEESSSQMKLMLEGGSPRVSASPPHYTASPTRVSASPPHYTASPPRYTPSPSPPHHTSSPQRYTPSPSPPHYTSSRHRYTPSPSPPRYTESPPLYTESPPHYTTSPNWYAESPPRYTPSPSPPRFSRFNIQELP >A09p008300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4271681:4273984:1 gene:A09p008300.1_BraROA transcript:A09p008300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLEIIMSKTQIESFSASLLQELEIIWDEVGETKTEREKILNEIEDECRNIYIGKIEKVKEERSRLRQDIVDSEARVIDICSVMEEPSGPGRQQQSDQCGRNLKEELGKILLKLEDMEKRKSERKNQFIQVIEDIKCVRDEINGESDETCSSDFPVDESDLSLRKLEELHRELYTLQEQKRNRMKQIQDHLRTLESLCSVLGLNFRETVTKIHPSLVESEGSRSISNTTLDKLASSVNQWHETKIQRMQELQDLVTTMLGFWNLMDTPAEEQQKFMSISCNIAATASEITKPNSLSTDLLEEVKAEVCRLEELKWSKMKELVLKKRSELEEICKRTHIVLEEQDIAVENVIKAIESGDVNPENILEHIEYRAGKVKEEALSRKEILEKTEKWLNACEEETWLEEYNQDENRYNAGKGSHLILKRAEKARALVNKLPAMAEALVSKITVWESEKEAEFLFDGNRLLSMLEEYTELKEEKEQERRRRRDLKKLQGQVTPEQDKGTPTKHQSAKKSLKVSTNKRFGSSPQTPRSDSPHSAKSFTSQSRHA >A09p067110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53017738:53018351:-1 gene:A09p067110.1_BraROA transcript:A09p067110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEGDQDKARAFPKWVCQKCHHSLTIVGVDSYAAEFLTDSLTPQQCNSLQSTELTVFFANSKGSREQHSTREMLQTPPFKIENDKVDTYSVTQSFNRLFALSCISSWFLVRQARRSWL >A02g500490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1473510:1476252:1 gene:A02g500490.1_BraROA transcript:A02g500490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRISALVTPLLPSSCSVPTRRRYPGRANRYTKRLNLKPLTSRIVLLTRRRQLDQIVEEVEAAKKRYGRLNTIVMNSVLEACVHCGDVDLALRLFDEMAEPGGCGVDSITYATILKGLGKARRIDEAFQMMESIEKGTALGNPKLSSALVYGLLDALINAGDLRRANGLLARYGTLLLEQGGPSVLIYNLLMKGYINSGSPQAAVVLLDEMLRLGLEPDRPTYNTLIHACIKCSDLDAAMKFLKEMKEKAEEYYDDSLRPDVITYTTLVKGFGDAKDLTSLQEVYLEMKLCDSLFIDRTAFTAVVDALLKCGSTSGALCVFGEILKRSGDDVELRPKPHLYMSMMRAFAVQGDYGMVRNLYLRLWPDSSGRISKAVQQEADNLLMEAALNAGQLDEALGILTSIVRRWKAIPWTTSGGMAAVRLEALLGFSKSILRPHILSKVIPGEPIESIMIPFEATRPLLGTLQLKNVVMRFFNEQVVPIVDDWGSCIGLLHREDCNNLDASLVSMMRSPPPCVSTTTSIGRVVDLVLEKKHKMVIVIYCCGNGYSSKAVGAFTRAQLYRLFEPEQKLLWWM >A07p011700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8555521:8557005:1 gene:A07p011700.1_BraROA transcript:A07p011700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQRSRSTKTFFSHQMILCLFLVSQVSIASCSNTSVGVNWGTMASHQLPPENVVKMLKDNGFTKLKLFEGDQNILNALTGSDIEVMIGIPNRLLKEVAQDPALAASWVEENVTAYSYNGGVNIKYIAVGNEPFLQTYNGTYVEFTLPALVNIQKALEEAGLKNVRVTVPFNADIYFSPESNPVPSAGDFRPELRDVTIEIINFLYTHDSPFTVNIYPFLSLYGNAYFPVDFAFFDGSNNSLRDGDLVYNNVFDANLDTLVCAMERYSFLGMKIIVGEVGWPTDGDKNANAKSAKRFNQGMVKHAMSGNGTPARKGVIMDVYLFSLIDEDAKSIAPGTFERHWGIFEFDGRPKYELDLSGKGDDKTLVPVQDVKYLPKTWCVLDTNAFNQDDLADNVDYACSLSDCTALGYGSSCNHLTNVGNASYAFNMYYQMHDQKTWDCDFLGLGLITDEDPSDDLCEFPVMIDTGNSPRLQPRSSRVLAMVIVILVLPLL >A02g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16706381:16709483:-1 gene:A02g505710.1_BraROA transcript:A02g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLTNLKNKFEINCRRPRFNASSSPHRCLSSSSFLRRCRRRLSVVVVVVSPSSSSSSLHRRRRLSVVVFASPLIRRRRLSVYLSSSTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLPYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPALLSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILSTVQSEKQSLLYEGVSTVPLNALPDFCPVHIGHSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGKFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A02p057040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34347357:34350348:1 gene:A02p057040.1_BraROA transcript:A02p057040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDNRAEAEYTRAKTSVWWDIENCAVPKGCDAHGITKKLSAALANMNYCGPLSISSYGNTDLIPKAVQLALSSTGISLNHVPSGRKDASDKKILVDMFLWVVENPPPANIMLISGDIDFSDALHRLRMRRYNILLAHPQNISPSLVASAKTSWLWRSLLLASGSSLTQCGSSGVLDGSEITSEDVSEHVLSTQAMDSGSGSSKAARSKLKGIYVPKAPNELLVKEANRKKLQKKCSETKNVEESVQNNDQESLKCLEKQNKELMETITTSERNVAPLNVDYVYGELSRDFPVPKEVRESFDAIPMKLEPTQNEIVIEELEGMLKQILQIKSGEPETAPAGLSENLKEDMNKKKKKRNKKKSRVIEEDKAEPYVCSICSVICDSPAIFESHLNGRKHAAMVEKHAEALLGDKQIPDDVIQYNGHLIDGEASENIDYFEDMNSGDYEGVTEHPEEFKDKVSKDKAESEAYVCSICSVVCACPTVFESHLMGRRHAAKVKKHAEVLFDDKKVLEESLKEKDHPRDAQESIKNPSVEFQEPKEARERLDSVVKRIELSLEDTSKQAVVEAGSAPERVEDENGTQIRVCDWCNVTCSSQMDFNSHMTGKKHAATVKKREPVVKKHDAVVKKQAGTKFAYVRKNGP >A09g502930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9803754:9803975:-1 gene:A09g502930.1_BraROA transcript:A09g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETPEEGLQGGPATAMETDGVLLEPVSVLVEAAVAVTSGEENESEDKGLESVVEGDKNGDEEEEEDDDEVTI >A09g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25108671:25111276:-1 gene:A09g508950.1_BraROA transcript:A09g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNPRCQCFVMNNSSLGFFFSFGEVRKSLLVSDVLTKSDDSPATFADYERELKNEPVSLVAEEVFPNDSGELRKIAAETVLALNAELNWMCDICYVEVSSVRESRSDDKRFSIFTGTKRLHLRAETREDRATWVEALLAIKDMFPRMSNSELMAPIDNLAMSMEKLRQRLVDEGVSELAIQDCEQIMRSEFSALQSQLVLLKGFISEGTATESDDENERGDAETDEEDHTFFDTRDFLSSSSFKSSSSGFRTSSFSSDDDGFGSEDDIDPSIKSVGFNYPRVKRRKSLPDPVEKEKSVSLWSMIKDNIGNDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAFEWVKRGNTLMRILNVAAFAVSGYASTEGRICKPFNPFLGETYEAYYPDKGLRFFSEKFLVSHHPMVVACHCDGTGWKFWADSNLKSKFWGRSIQLDPVGVLTLQFDDGEILQWSKVTTSIYNLILGKLYCDHYGTMRIEGSAEYSCKLKFKEQSIIDRNPHQVHGIVQDKSGKTMATMFGKWDESMHYVTGDCSGKGKFSEDTSGAQLLWKRANPLETQQSII >A04g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6453597:6454361:-1 gene:A04g502840.1_BraROA transcript:A04g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLRLRCLQIPNQRFQEITFKLELEGVRQATDSHSLAERAIEENISEMMTLLQDLVRGGHRITGKQLCLICAVLAETRRFLLQCFVSICKAVSVCESIDSVIVREERMNGGDEVGASSF >A06p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14381367:14383219:1 gene:A06p026020.1_BraROA transcript:A06p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLIVSEGVLDDTLVIYNAENMPQNSASVDLIPAANNSPTISATVGLIPNPANGDDNLVINLDDSSLEDSMDSGVSAPNGMVLRCFSSNCQWRVYATKLKDSDVYEIRKLDPIHTCFVDDRSGYQSQVTHHVVGEMMKARFNGSSGGPKPGDIRQVMQGDHDVRISYWKAWRSREIALEYAKGNSRGSYNLFLDYLCKLAEANPGTLAEIETEYNEKIGNRFKYMFLAMGASIMGFEYMRKVVVVNGTHLRGKYAGCLLTAYAQDGNYQVFPLAIAIVDGENDNSWEWFFKKLQAFVPNTNNIVFVSYRHASILFGQDYLIGIGFEHWTCFHFPGCRYNIMTSNVVES >A06p022950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11901830:11903409:-1 gene:A06p022950.1_BraROA transcript:A06p022950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSFKDSLKALEADIQHANTVALDYPREKDGARVQMRLSYNPAAQFLLFLVQWTDCHLAGALGLLRVLIYMTYADGKTTMSVYERKTSIKDFYAVIFPSLLQLERGITDIDDRKQKEVCKVRYRNKEDIERVKLSEIDEECGICMEMNSMVVLPNCTHSLCIKCYRDWHGRSESCPFCRDSLKRVNSGDLWMLMERSDTVSLHTVERENKKRLFVYIEKLTLVVPDQVFASSPYDCHVK >A07p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1925747:1927260:-1 gene:A07p005850.1_BraROA transcript:A07p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT5G42180) UniProtKB/TrEMBL;Acc:A0A178UJR4] MNVYFLCLILTVILVISFNTEALSPHYYDHSCPQADQIVTNAVKKAMSNDKTVPAALLRMHFHDCFVRGCDASVLLDSKGKNKAEKDGPPNISLHAFYVIDNAKKALEEQCPGVVSCADIVSLAARDAVALSGGPTWEVPKGRKDGRVSKAIETRQLPAPTFNISQLQQSFGQRGLSMHDLVVLSGGHTLGFAHCSSFQNRINNFSTQKQVDPTLNPSFAASLKGICPAHNKAKNAGATMDASTTSFDNIYYKMLIQGKSLFSSDQALLTTPSTKKLVAKYATSMEEYERAFVKSMIKMSSISGNGKEVRLNCRRVR >A08g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7187094:7187650:1 gene:A08g504390.1_BraROA transcript:A08g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPACAQVRLPISTLAHQYAWPRSYQGKMLTLGWMMECRASISTTWTNQTDLDSPVHQNSSLCPDQYTDQSTGRASMLRCVQTEVRGKGQRADMYGQHADMSSVNGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADLSSVHGSVHGQSVCANGQARTSCVC >A02p032410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16973754:16974013:1 gene:A02p032410.1_BraROA transcript:A02p032410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRRMRSLQRDEALRQGIKSSGETRVSVIRYGGLVRRRRSGRGGAMRGAMTVRISTRVSFQPRRRTRT >A07g502460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5181354:5185585:-1 gene:A07g502460.1_BraROA transcript:A07g502460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSSVTPSRRVTRSQSASDREANPKKIPREGKTACRYAVMSTESEVQEPASTNQEEAASTEQDEAASTEPEFIVTTPTFPERLFARNCYPGKPRPNIYSKASIIGSRMWKELFETEDEDVTVPDVLRMLEQPSLPEWKRLPLALIALVDGLLVCGHKLLRVTPAYIEMLEDTGSFLQYPWGRETFVSTLIRLTPPQPSDPSKMDKSLSVMRLRLKQQSTACYGFPLVLQLFAFKAIPSLLEKIPEPNKTTSFLQEPEECDSTNALLNFEDILLVETQREVIVTYSIPDEGGDPKWKKEVIDPRINNLVRRMREEHEFKATDFRGGNSSLPPLKPAEKAEGVGVKKKCQKPSRRFGKAADEPRSSTQAPQRPIRPRRGICKQAKPGNLSDKEQELKEWIRVELKTQLGKLRNEIFDWLHHDRGGSFTVPQNTAAGKTNRDNSHADPIGMEVPKKRRPFSGDGNDEAEIFGSDSKKHKKNNGDGFSDDETMRMHDNPCDGRTPNARFWEKVDSMAGEGPSFSKSANIPEVDVSTPIGPETVSKPAKPTLPEPLEVFSVDYQLFVLVSEVYMNTDLFGQVFRLIYEVYRNTDLFGLGEGGDESPISGLNLLAEEVEKGTRSDNVYKDPQENTCRMLTVWSHPESYVLPPEEQGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSVHPDKRLKKLFQSCKKPKYTPLADLEKAQFQEFQSILREKPAQKFEIVIGIHVSNILPVVGEANKLGQYRVRRHGRNYLSGRCRFVDNFSIAGIISKFAEFEKASDKLGFNWGGLVSFSFTGKTPRRNDKKVLLVDVDRVYAPMMWRKDHWVNKHMAYLLRALPHVLAAFSPPSDSSHPEEDQAFSWVRPDNIYFNERSGDCGPCAVKFLEMHAARYSYEDMGQIDDKKVDIFRQKYAIDTYEEFIGNAKVQNDG >A09g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25665097:25671191:1 gene:A09g509160.1_BraROA transcript:A09g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYGLRVVAFQSRFGWTVPAGSTLICGCTEKINRREEWRMGSVAGRVSLSTRSKDGLKDRYGSGSCWTYVSFKIGQGMEWIDGLHKEQWISSLICQAAALNSFTQLKDLGSLEPLKVRWCLQALSRPTPGSISRLGWTVTAGSTLICGCTEKINRREEWRMGSVAGRVSLSTRSKDGLKDRYGSGSCSTYVSLKIGQGMEWIDGLHKEHWIGSLICQAAALNSFTQLKDLGFLC >A07g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25855658:25856297:-1 gene:A07g508940.1_BraROA transcript:A07g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLHATSSLRKRKARNTTATVSPRDLTKPPARRMNGSRKQSIVYAVRDYPPLKESSVACSATTTDGARSLTMLWLVLRIPVHDLLFHVSYETQ >A09p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11706267:11708824:1 gene:A09p021650.1_BraROA transcript:A09p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGVRTNDDYIETCKKQSRNHENLDSDKTSVNGRNEATFRLIPNGNKNVLSDDEEEKESFVLKSVSQKEATVKLLDNVGPLQPRMSRIGNGDRTAKVVAGWPSWLVSVAGEALNGWLPLSADSFEKLEMIGQGTYSNVYRARDLQTNQIVALKKVRFANMDPESVRFMAREIIILRRLNHPNVMQLEGIIISKASGSMYLVFEYMDHDLTGLASTPGIKFSQSQIKCYVKQLLLGLEHCHSCGVLHRDIKGSNLLLDRDNNLKIADFGLSTFRQQKQPLTSRVVTLWYRPPELLLGSTDYGVTVDLWSTGCILAELFTGKPLLPGRTEVEQMHKIFKLCGSPSEEYWRRSRLRHAAIFKPQHQYKRCLADTCKDLIPPSALALLEVLLAVEPESRGTTSSALQSEFFTTMPYPSEPSSLPRYNQPRKEFDIKLREEEARRRKGASNKQNEAKRFSRESKAVPAPSANAELLASIQKRLGETNQTSVSEKFNPEGDSGFGFRIEPLEGITTAPNLNGSSQLRTQRSYVQRGGAQLSRFSNSVAPNRDGSQFGSVRDALVSQRCLEDGSGNCNLSQRLLEKHNGLKKEDDSSSSSKESILGYGGGKRERIHYSGPLIPGEGNLDEMLKEHERQILLAVRRAQSDKAKRDDNIQGRRASLMGNG >A09p031200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18899968:18910934:-1 gene:A09p031200.1_BraROA transcript:A09p031200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCPSRSTITYQEDQLCPLPTTIIPGDPGCQLYLGVNRTRAESVIGHLKPGFQNEIQIHLPMNESFTIGTARATSIRTTCNNTGKLKVNYSTSMDYSLGINIQVLTLPKQQSVSVCPSKQQIVILMLIQTLTCEGNLAIKSLAVGFSYGPGDFNLNIMYSGRFLEPLPYLGRGLKKLKLVGLGLIGRIGRDALSVARPAIKISTDFEGVPHVVFVQAEFERFILDSFDPVADGDRYGMKRVESGTNKQFKCLMKRNGARRKRKMLVYWRQERRGTFDQSNSKANEVLLHLKRFSMAPAVLGALFSVGVAFADSDEVADKIIADNVNSTTARFVNTSPIALTSLFYYAVTCTIFTDVIRYTYLLSPFEKFCKGRQIEWQFFTDCFEQLAYGADRSLILRRSHVDARTVEQSNSKASDVLRSCSTLNESPCFSMAPAVFGALFSLGAIGVAYADSDEANYKSSSPIDPPPNHVEDISKKDEVSNKSSVPADPPPNYADIAKKERARIQELIQSKGTQYGSFPRFNVSVRGQKITLKFQVPSTCEVAQLIANIGSQLGVKVSDRTGGSDMILRSWDNPVAWQITLRSVEKKKEAGASEDDSDEDLCILIFGSLLTSDKVEVEFIKKGSLTTEELEAFVSALQVAGTKPGQNKGGDRGSAREASTDKTISQLESMGVRIYGVNKPLGDDDSVHEISWDNIAGYEQQKREIEDTILMALHSPEVYDDIVRGTRSKFESNRPRAVLFEGPPGTGKTSCARVIANQAVSILEPAPGIPLLYVPLEAVMSKYYGESERLLGAVFSQANELSDGAIIFLDEIDAFAISRDSEMHEATRRVLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMIMFGLPDLQTRQEIIAQYAKQLSKPELVQLAHATEAMSGRDIRDVCQGAERTWASKLIRRAKADGVEQQQQQVTLPPIQEYLESAEARRKALRSVAEQREQNLAARSKKPLLDFESNLEQGSEVWSVLQCLSCFDTQQPWMPMMEETILVGDDLMMGPPSPVIPPEIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDELALYRQCAENRDKVLRVRLQESEYKLGSSMPIDLAKERVTQLESEATSLERHLILASGAEGIEGFRQRWSLHGRMTDTKKRLESLKRGMENRKTEGHDQPAKPSTPKRWFLW >A09p032080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19437590:19440452:1 gene:A09p032080.1_BraROA transcript:A09p032080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDMLQTMGKEISLKAETIAIRGSRWLSPHGTQFQSHIRLWDSDYICYLLTKGLGTDMIRGIFLDTSKQGTMRLSAKAFKGMCNLKYLKIYDSRCSRGCEVDCKLLLRKGLDFLSDELTYLHWYGSPLQSLLLNFDPKNLVDLKLPYSELEDIWDEDKDAGMLKWVDLSHSLRLSRCSGLANAQNLERLNLEGCTSLKKLPSSMKCLEKLIYLNLRECTSLKNLPKGLKTQSLETLILSGCSSFRKFPMISENVEVLLLDGTAIKSLPESIESLRKLALLNLKNCKKLKHLSSDLYELKCLQELTLSGCSQLEVFPEIKEAMESLEILLLDDTAITEMPNMMHLRNIKTFSLCGTNSQVSVSILFLPPPLGCSQLTDLYLSRCGLDKLPDDISGLSLLQSLCLSGNNMENLPESFNQLHNLKWFDLKYCKMLKSLPTLPQNLQYLDAHECESLETLANPLTPLTVGERIHSLFIFTNCQKLNQDAQECLVGHARVKSQLMANASVKRYYRGFIPEPLVGICYPANEIPSWFCHQRLGHSLEIPLPPHWCDTNFVGLALSVVVSFKDYEDRAKRFSVKCSGKFDNQDGSFTGFDFTLAGWNEPCGSLSHEPRKLTSDHVFMGYNSCFHLKKLHGESSSCCYTKASFEFYATDDERNKKLETCEVVKCGMSLVYVPDDDTCMLLKKTNLVQLCTNTEPSCSYGLDDVRLKRGRCQVGGGDEEADCKRTKEKILV >A02p022760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10867735:10869286:1 gene:A02p022760.1_BraROA transcript:A02p022760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKGNQEEENYGEEEDLGSKREGSSSASRDAKDNDKASAVRSKHSVTEQRRRSKINERFQVLREVIPNSEQKRDTASFLLEVIGYVQYLQEKVQKYEGSYPGWSQEPTKLTPWRNNHWRVQSLASHPVALNNGSAPVVPFPGKFEEITVASAHATVAELQSPVECDKGRAIASKSIDSQAELDDKGLPSLQPIHPFAHSEQVNNIECPATSDGQGPSDDLVIESGTISISTVYSHELLSSLTQALQKAGIDLSHAKLSVQIDLGKRANPSNKNLLTSDTEGATRSRNLSVEEDSEHSHKRMRTL >A02p028560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14413622:14414324:1 gene:A02p028560.1_BraROA transcript:A02p028560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKIVLKSSDGESFEVDEAVALESQTIAHMVEDDCVDNGIPLPNVTSKILAKVIEYCKKHVDAAASKTEAVDGGASSDDDLKAWDAEFMKIDQATLFELILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRTTFNIKNDFTAEEEEEVRRENQWAFE >A04p026800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16116863:16119119:-1 gene:A04p026800.1_BraROA transcript:A04p026800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G28080) UniProtKB/TrEMBL;Acc:W8Q3F0] MADVKNPNKHHKHHRLHALLIPYPFQGHVNPFVHLAIKLASQGITVTFVNTHYNHHQINSGDIFAGVRSESGLDIRYATVSDGLPLGFDRSLNHDQYQSALLHVFSAHVEELVESLVGEGVNVMIADTFFVWPSVVARKFGLVCVSFWTEAALVFSLYYHMDLLRIHGHFGAQETRRDLIDYIPGVEAINPKDTASYLQETDISSVVHQIIFKAFEDVKKVDFVLCNTIHQFESKTIHALNSKIPFYAIGPIIPFNLKTGSSVTTSLWSESDCTQWLNTKPAGSVLYISFGSYAHVTKKDLVEIAHGISLSKVNFVWVVRPDIVSSDETNPLPAGFESEAGDRGIVIPWCCQMTVLSHPSIGGFLTHCGWNSILESIWCEVPLLCFPLLTDQVTNRKLVVDDWKIGMNLCEDRGFVGRDEVKMSIGRLMRGESREGVRGAIGRVKMSLEGALKCSGSSGENLGLFVDGLVAKVGLSNGKA >A09g512200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35762650:35764319:1 gene:A09g512200.1_BraROA transcript:A09g512200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDQISPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPRPDDQISQTTGVLPRPIRHSRANSQARTHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTTFILLLVRSSPSEPLRCVGPVRHIRQPSNLCGIRATLSVTMDSEEERNRPENSYAGLSNLQMRALNDSMSNLLSTGLEAIHQRLDELQGCPTQSRTRTRRDHPRRNSRSDLEI >A10g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18404145:18405906:-1 gene:A10g506440.1_BraROA transcript:A10g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQMNISCLIFLQVLLLLLSLFAQTNAQGLKVGFYDNTCPKAEGIVKKSVLDAIKKDRTIGAPLLRMFFHDCFVRGCEGSVLLELKNKKDEKNAPPNLSLRGFEIIDNVKEAVEKECPGVVSCSDVLALVARDAVVALNGPSWGVETGRRDGRVTNINEARANLPSPFDNITSLITQFRSKGLDKKDLVVLSGPYSYVARVWVTKLYAAEATCGGNEERGGTEAGLIRTLAGVTVKIDV >A02p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3691459:3694261:-1 gene:A02p008970.1_BraROA transcript:A02p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRDSSETLASTTTSSPSPSDDVNVENRGKQSTPLSTRRSRAGFSDSFSSHDEEQIATFCANKSESDDDLLSNIESETLSSSVLSTSDTSSSEANHHPHHRRHHHSHVGPTNGHWRGFHRLLKKGSSAMPFNTFSHLKGVPKLTRRKSKRIKDNMVPVLPAAALDTDDLFLFKPSWKNYSLQDIQTATNGYSPENLIGEGGYAEVYKGEMADGQIVAVKKLTRGSAEEMTMDYLSELGIIVHVDHPNIAKLIGYCVEGGMHLVLELSPNGSLASLLYEAKDKLSWSIRYKVAMGTAEGLYYLHEGCQRRIIHKDIKASNILLTENFEAQISDFGLAKWLPDQWTHHTVSKVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLELITGKQAIDSSQHSVVMWAKPLIKENKIKELVDPILGDDYDLEELDRLVVEILKGDKCSLDKLKEGENSKLQRTYSEELLDNEEYNSTKYLNDINRHMETVLGTSNDS >A03p001760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:877901:880203:-1 gene:A03p001760.1_BraROA transcript:A03p001760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDSIRFDSYISYAAAMESTESYPPEELTNRSPEPSEDDSAEKPTHIRFLVSNAAAGSVIGKGGSTITDFQAKSGARIQLSRNQEFFPGTTDRIIMISGSIKEVVNGLELILDKLHSELHAEEGSDVEPRRRLRLVVPNSSCGGIIGKGGATIKSFIEESKAGIKISPLDNTFYGLSDRLVTLSGTFEEQMRAVDLILAKLTEDDHYSQNVHSPYSYAGLSYSGFHGHPYAYVLPSVATAGYNSANYAHNGSGGRYQNHQKEEAGSTVTIGVSDEHIGLVLGRGGRNIMEITQMTGARIKISDRGDFMSGTTDRKVTITGSQRAIQQAETMIKQKVDSASERETE >A07p048840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26024623:26026669:1 gene:A07p048840.1_BraROA transcript:A07p048840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLYSKGMRLSELMEKWRKRKKGYFTVYTKEGKRFVLPLDYLNHPILQVLLEMAEDEFGTTIDGPLKIPCDGSLMDHVIMLVRRSMSDNYDDDGEKKSEDCSTSTCKGASISSLIPLFRGQSQIRGLEEMGGGGGITYKGVTVHTPKTWHTVAGKGLCGVMWFWILYRAKQDGPVVMGWRHPWDGHGDHGLIEPLKIMAKKMYVSFLFSFICRNNYNCERKRQLFLFTTL >A02p041750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26150704:26153127:-1 gene:A02p041750.1_BraROA transcript:A02p041750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLAGECSYGESGVSSHSRNSHEKQDELSRWYFGRKEIEENSPSRLDGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFFRQSHAKNDRRTIATVCMFLAGKVEETPRPLNNVISVSYEIINKKDPGAAQKIKQKEVYEQQKELILNGEKIVLSTLGFDLNVLHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFQPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASQGSEVESSVGGGSAHRPGSRNATSTDEHVGSRQASARSSHEPSNSDNHGGSSKGGLDQNNGNGDVEAVNASVDHKEEIKREREESLHHAANSRPLVEGAGKDISERESGELPDDGAVHKSRNVETGVVAPIGQSPKDLKMLRDKVLKAKREKAKKLLGERTMKKDLMDEDDFIERELEDVELAVEDENAKQKNVPKAENSDLMGTEHGAVKNAEEGEMVNDVSQMMHSRKRKMGSPPEKQSEGKRRHSSENGEQGHKTSRGGSSSSSHHGDREHRRHSQERNHS >A07g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5718076:5718808:1 gene:A07g502800.1_BraROA transcript:A07g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRNLRDKLSTLFESYDKKSKTNSPSTEPRETVPQNVCAEGSMGMFENYNDFFAFRKVSGAVSGKKPLEAYLDEPPLDFNSFRSMDILKWWKDNTHRYGDLSAMACDLLSIPITTVASESSFSIGSRVLNKYRSRLLPKNVQALICTRNWLKGYEAYEHDEILDEEENLPSFESIVNGGDEDEEEEV >A06p023730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11540930:11543091:-1 gene:A06p023730.1_BraROA transcript:A06p023730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGAVAQSLIDLVTEIASVSDYRITVKKLCSNLARRLKLLVPMLEEIRESNDPISEETLGTLVSLKEALSSAKDHLKFCSGGSKIYLVMEREQVTSKLQEVSVQLEQSLSKIPYEDLDISDEVKEQVELVLSQFRRAKGRVDASDDELYQDLHSLCIKSSDVDDHQPALQRVANKLQLMEIPDLAQESVALHEMVALSGGENIEEMAMVLKLIKDFAQMDNSDDHKVNGQTSTAASQKIPAVIPDDFRCPISLEMMRDPVIVSTGQTYERTCIDKWIEAGHSTCPKTQQALTSTTLTPNYVLRSLIAQWCEANDIEPPKPPCSFRPSKVSSFSSPAEANKIEDLMWRLAYGNPEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLATPDSRIQEHSVTALLNLSICENNKGAIVSAGAIPGIVQVLKKGSMEARENAAATLFSLSVIDENKVTIGALGAIPPLVVLLNEGTHRGKKDAATALFNLCIYQGNKGKAIRAGVIPTLTRLLTEPGSGMVDEALAILAILASHPEGKSIIGSLDAVPSLVEFIRTGSPRNRENAAAVLVHLSSNDPQHLVEAQKLGLMGPLIDLAGNGTDRGKRKAAQLLERISRLAEQQKETAAHEQTKEEAEPTDSASTTEAADP >A09p081150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59158617:59165253:-1 gene:A09p081150.1_BraROA transcript:A09p081150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKIAEWGEKLKTGGAQMSRMLSDKFKDILQAPTLESKMVDEATLETLEEPNWGMNMRICAQINNHEFNATEIVRAIKRKISGKSPVSQRLSLELLEACAMNCDKIFSEVASERVLDEMVLLVKNGEADSENRSRAFQLVRAWGQSQDLAYLPVFKQTYLSLEGDNGLNSRNEENPMSGQSSLESLMQRPVPVPPPGSYPVPNQEHGHGDDGGGLDYNFGNLSIKDKKEQIEITRNSLELLSSMLNTEGKPNHTEDELTVSLMEKCKQSQPLIQMIIESTTDDETVLFEALHVNDELQRVLAIYEKTDESEKKASMVEQESSGSKDAGPKPTKEEHHVKQKDVDDGKHSESSGYSNKAGKEDKQVKIELGLSSDEDEK >A02p060450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36060627:36062600:1 gene:A02p060450.1_BraROA transcript:A02p060450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLVISLLPLLIKHGCCCCGCYRCCSLISIIKVVVVVVVSPHKNILRWIFLNNKSTREACSYGGRVKAQVTTEVPVKVVKESKKQEEGIVVNKFKPKDPYTGRCLLNTRITGDDAPGETWHIVFTTQGEVPYREGQSIGVIPEGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNESGELVKGVCSNFLCDLKPGDEAKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKNPENFRLDFAVSREQTNDKGEKMYIQTRMAEYAQELWELLKKDNTFVYMCGLKGMEKGIDEIMVSLAAKDGIDWLEYKKQLKRSEQWNVEVY >A03g503410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11244393:11246064:1 gene:A03g503410.1_BraROA transcript:A03g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLNCNGIVIFHYAIETRTTKKAQGFADSQSPLLQSLRRYGLFSFISISSSPVKKKLFFTFHVLCLWIRYSSLTLPSTSSPATIAISLDKSEKLLVRRQRIVGSKRSMGFLVKISDINKLYQPENLLLYLNTMRDYKFGSDKVIIDGLQIFKMEAIVCVEDKQMSEFFFHLMRNQSFQAPKDGKSNYLGLFLAEITCAGFLQTCGQHFLGLQSLTSWDHKEFKVNINGLVETAPVPEEVFLGNKGLNDVENDELPRLVYVLVRREPN >A02p000110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:73414:74205:-1 gene:A02p000110.1_BraROA transcript:A02p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP1 [Source:Projected from Arabidopsis thaliana (AT5G01840) UniProtKB/Swiss-Prot;Acc:Q9LZW2] MGNYRFKLSELVPNAWFYKLRDMGKSRKKQHNSTVSLSPIKKHQPVPTPTPTTARSPRLPLRRSSSYYARPRKSVRNRATTVSSAPPKQVTESFSPPEFRSDQLLIPEESSSHSPCSSCVSSKAATLTPPPELDLHPIITKPTTTVRKTAVSTSPAGVRLRLRSPRISTRKTASSGGARIAGTSATASSRRSRAVVKASVDPRRDFKESMEEMITENKIRESKDLEELLACYLCLNSDEYHHIIINVFKQIWLDLNLSPHLSL >A01g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21443048:21443999:1 gene:A01g507490.1_BraROA transcript:A01g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGRSYQCMLSGRWLIKSSGRIMFHDDGVGPNLINECIGWYEQIISVVWVKSQGRSGQMMTHQFQDLMSFVSPEDGLVTIAYKVKGFRIVHEPRKARCKPLSFQRLLKGYLCLWGWLLLSKSDSSQWRTDELISSVDVAKLSKLAKAKVIRPDKC >A09p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36271194:36273059:1 gene:A09p044750.1_BraROA transcript:A09p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inactive FRIGIDA-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G31814) UniProtKB/Swiss-Prot;Acc:Q9C6S2] FGSRWFKLGDPLWHGSILFGVMWMYLASSVLAFFISLALQLYMVFRSIATCSPFPVRSQIFFGLVGRMFVLARDREIAVHEGNRDYEMAAGGTNQSKSRAPPTMTAPESISLAINQIDEKKQKLKQAFDDLQPHRSLLSPSFNLSWSDIDSRFSSLQSSLSDRFRLLQPDRTEPPPLLWPELRTFCDNMDGKGLGKFMVDNSNKRLSIKPELAQAIRSSSSPAALVLDAIQGSYRCSPPSSARALDARRTFVLLLEALIEIKPSLTNELRERARTLASDWRLNICNKSSEALGFLHLVVVFELGSVFKMEEILDYVFLISKCRQATTLSKKLGLDKTVVAGIVQKLLHTGGLLAAISFIYEFEMTDRFRPVNVLKTSLYNSREAAKRVCAEGGNSLKAQNEATDKELSALRLVIRVIKERNLEIEFFEEDLEESVQELENLRAQRRQAAKPASPAIPPKRPRVATGNNLTTRTHNQQPPLLPDPRHGLQVNPFGFVNSTVPGVNVPYGNQLAPYGSVPAPAIVARPVYYQQQTGYGLRPQYQPPYYPQ >A02p056990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34315388:34328109:1 gene:A02p056990.1_BraROA transcript:A02p056990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH14 [Source:Projected from Arabidopsis thaliana (AT5G61140) UniProtKB/Swiss-Prot;Acc:Q9FNQ1] TSFKRKRSIAFFPGELNQKANKKKKNKKKPKRRRLQRLIMLVQLPRLTSSLRDPFDIDQAYLRRKTILQTLNKPRSSGSRLDESDLARRIVHQWEGASPEVRQAYKQFIGAVVELIDREVQSEEFREVAFTAYRLFGKPVEEEEDSGFSDKSVAEKKLELQNVVGHAVSDASVKNVASFALALYSIQPAHQSEVYADVVDDGAEFGADLVFNLPSRFLVEESLGERGFEDEESNGAHASFSEGWSDVSDMTKNQNAGRFDLSWLRDACGQMVRESNSQLSRDELAMAICRFLDSDKPGEEIAGDLLDLVGDGAFETVQDLIMHRKEIVDAIHHGQMVLKSDKTASNTQTRMPTYGTQVTVQTESAKQIEKLRRKEEKKNKRSAELGLESEISEANFSYLLEASEKNSGFDDLIGSGEANSLAFALPQGTVRKHLKGYEEVFIPPTPTAQLKPGEKLIEIKELDDFAQAAFHGYKTLNRIQSRIFQTVYNTNENILVCAPTGAGKTNIAMISVLHEIKQHFRDGYLHKNEFKIVYVAPMKALAAEVTSAFSRRLGPLNMVVKELTGDMQLTKSELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGAVIEALVARTLRQVESTQTMIRIVGLSATLPSYLQVADSIRQGHQAMIFVHSRKDTSKTAEKLIDLARENETLDLFTNETHPQFHIRKKDVIKSRNKDLVKFFEAGFGIHHAGMLRSDRTLTERLFSDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDAKAGGWKDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFISSLKDNLNAEVVLGTVTNVKEACAWLGYTYLSIRMKLNPLAYGIGWDEIIADPSLTLKQRALVSEAARSLDKAKMMRFDEKSGNFYCTELGRVASHFYIQYSSVETYNEMLKRHMNESEIIDMVAHSSEFENIVVREEEQHELETLARSCCPLEVKGGPSNKHGKISILIQLFISRGSIDAFSLVSDASYISASLARIMRALFETCLRKGWCEMTLFMLEYCKAVDRQLWPHQHPLRQFDRDIPFDTLRKLEERGADLDRLYEMEEKDIGALIRYNPGGRLVKQHLAYFPSIQLEATVSPITRTVLKVDLRITPDFIWKDRFHGAALRWWILIEDTENDYIYHSDLFTLTKRMARGEPQKLSFTVPIFEPHPPQYYVHAISDSWLHAESFYTISFHNLALPEARTSHTELLDLKPLPVTSLGNRLYESLYKFSHFNPIQTQIFHVLYHTDNNVLVGAPTGSGKTISAELAMLRLFSTQPDMKVVYIAPLKAIVRERMKDWKKHLVAPLGKEMVEMTGDYTPDLVALLSADIIISTPEKWDGISRNWHTRSYVKKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERSVRFVGLSTALANAGDLADWLGVGEIGLFNFKPSVRPVPIEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPRQFLSVSEEDLQMVLSQITDQNLRHTLQFGIGLHHAGLNDQDRSVVEELFVNNKIQALSSTYTLSVLTVYIHDFAFTFHASMLMVDSLLPFQVLVSTSTLAWGVNLPAHLGTEYFDGKTKRYVDFPLTEILQMMGRAGRPQFDQHGKAFLYEPFPVESSLKERLHDHFNAEIVSGTIGNKEDAVHYLTWTYLFRRLMANPAYYGLEGTEDESVCSYLSRLVQNTFDDLEDSGCLKVTEDSVEPMMLGTIASQYYLSYMTVSMFGSNIGPDTSLEAFLHILAGASEYDELPVRHNEENYNKTLSEKVRYRVDNNHLDDPHTKANLLFQAHFSQLALPISDYNTDLKSVLDQSIRILQAMIDICANSGWLTSSLTCMRLLQMVMQGMWSDQDSSLWMIPCMNDDLLGSLTARGIHTLHQLLELPRETLKSVTGNFPASKLSQDLQRFPRIQMNVRLQRKDPNGPSTLEIRLEKISKRNTSRALAPRFPKVKDEAWWLVLGDTSTSELFAVKRVSFTGRLITRMELPPNITSLQDTKLILVSDCYLGFEQEHSIEQRPARR >A09p051770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45549056:45556490:1 gene:A09p051770.1_BraROA transcript:A09p051770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQSLHSPTNPHFFQPLLPGFHTYLNIPVAFFSKHVEGSNDHIKTAKLRTDASAKTWLVEMDGLKLTDGWEDFAVAHDLRIGDIIIFRHEGKMVFHVTAFGPSLCEFQYTSASSHNINDVSDDDHTNKTGNSSGEKRKRVKKNPRTKEESSSDHSHFVAHVTPSSLSCDRLYVPKGFAMSNGLDNMSGKEIVLLNEEGKSWNLNITYNESGMHTFVRPGWRRFCAENGISQGHHCTFELVRKSAPPVLRLSRAEHDPKPAPESSLHHSYYVGSASSNSLRIDKLYLQMKFVTANGMKKGFSEIILKNEWGGRWSVRLRQYASSNRTYLGPGWKTFCQVNGIKAGDPFMFKLVETGDKPVLLLCSSNRGETPLECSDDVNSLSSDTSSGEESQESEEESLEDDSRSKDCVEMEKRKSSLRCKCSSSYSKHRFVRLTLTQNALKTSVQHLPLGFTRVNGINRSRKIMLLGKDGVKKWVVDLLKNKSTGAMRIRKGWRELCDAHGVKVGESFLLELIRDEEAIPNIPVAFFSRHVQGNNDHIKTAKLRTDASAKTWLVKINGLKLTDGWEDFAVAHDLRIGDIIIFRYEGEMVFHVTAFGPSCCDIQYTSASSHNINDDSQDQTNNTGNSSGEKRKRVKKTPRTKEESSSDHSHFMAHVSPSSLRFDRLYVPISFARSNGLDNMSGKEIVLLNQEGRSWNLNITYTKASVQTLVGPGWRRFCAENGMNKGHHYTFKLVGKSAPPVIRLSLSEPAPESSLHHSNYVGSVSSNSLRKDKLYIQRKFVNANGLKGLSEIVLKNEWGGSWNLGLRYYESLDHTYLGPGWKTFCQVNRIKTGDSFMFKVVETGDKPVLLLCTTNRGKTPLDCPEDSGDINSLSSDTGSRESQESEEESLEDDDSSSEESFEMVKRENSSRCRASSSYSQDRFVKLTLTPRALKTYKLMLPLDFTRVNGINKPGKITLLDKDGVKKQVVDLLDQNRNIGIMRLGKGWREFCDAHGVKVGQSFLLELIWEDEEAIPVLKFCTKL >A09p016390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8608215:8612901:-1 gene:A09p016390.1_BraROA transcript:A09p016390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64570) UniProtKB/TrEMBL;Acc:F4I6S6] MDRLEKTLISEEEEEEEEDVDFNPLLKETPSREASSSLSSDVETLDAEPVNSIITQSLSNGVREEEAKEEIAVVQAESTTPKVSPPQGSKEEEDDAICKRTRARYSLASFTLDDLEAFLQETDDEDDIPNVDDQEEYRKFLAAVLHSGDAPEVHSVHDDDEDNDLDFEIELEEALETDDDEPIPEKAATDDVTKQRRVTRQKRKQNISRIDDSPEQQAARLLRPILPVVQPVRMLLPAAPESLAVNSKGIGFTQSQIGELHCLIQDHLQLLIQVYSLCALDYSRQQIGAQVQGLISEMIQQHQGYVSRRSHLLVSGSASSVLNTVNLAGRYLTDVSSAVQDYRRCQVESGFDALSQRVPLFPLPLQHISSPPSMSLSHQQQTKKTLAATIVESAKKESVALVHKDIAKLAKTFLPLFKVSLYPHKPPAAAVSNRFLFTDAEDELLALGIMEYNSDWKAIKQRFLPCKGEHQIHIRQKNRRSSKAPDNPIKAVLRMKSSPLTPQEIERIEEGLRYFKFDWISVWKFIVPYRDPSTLPRQWRTALGIQKSYKLDDVKKEKRRLSDSKKRMSREQPASTKEDRHGASKANENHVGHELVENSGDTYLHEGFLADWRPGMPTLFCSTSMHSFGNAKNVPRNQPVQICTGEGSKTAQILTDTRMLAPGTSRAPIIMHSYHRARKLRNRSVVRLAPDLPPLNLPSSVRVISQSAFAKNQSVTSSKICTTKDGMSSDSGKGVLGTEPPCLSADGDSNGPPCEKDLPAESSSGMGETDNDSDLQMHPLLFRTPEHGQISCYPSNRDKGGSSAFSFFSDNRPQLLSLFNSPRQTNHSADQFQKKASSNDHEGALGDSCFHPLLQRTECETSYLTSGRGNLDTNIGKKRKLCQDTSSAVEETCIPGAARNDASLKSVSSNKHAKNVNSDINLSPRSSKVSVSAANISEFPDNSMIQREDGSEMPGSTATSDRCIDEMGDQSNLGIVMEQEELSDSDEETMEEEHVEFECEEMADSEGEEGSECEEIIEMQDKDNRSSAVEVASTDVGSGKELGRDSPSSPWLSLDPSSRPSCSKITQKEDTNKEQRCRIQGRRRCCSVETGSSFALPSVKKPRKCVGEAETSPSVLHVKQEVVGGNTTALQCVLDTDIETAMLLEEETQIPAKQRDRIHNLG >A01p058270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33186664:33188010:1 gene:A01p058270.1_BraROA transcript:A01p058270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQRSGHNTSDSRRKSAAGRRTSQHTTTSSLSSGGGAMAAAATTGTGTVSPCGACKFLRRKCVSGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLHHIPVNRRHDAVVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELSLVQSQLINSRVAMANVMQQQQNHHQQHQQLVVMQQPEYSNNSSASTTLAGAAMNSFTATAEAAAAVSYDVMAPANLEHSLQHVPRHQQGSGNQHEDEEESGTEFSVAVGSTALAAEVIYPAEGFHRI >A09p015800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8209404:8210707:-1 gene:A09p015800.1_BraROA transcript:A09p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNREEMAMATCTQLSSSSSLFHPQITKKPFLLPSPATISVHGFSTLRPKSLSLSHRSSVSASAASSSVDVTEQKKSTRTLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMDEAGYEIGNLDATLILQRPKISPHKETIRSNLSKLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVILLMKK >A06p005430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1633408:1639359:-1 gene:A06p005430.1_BraROA transcript:A06p005430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLIIPCRSVTVASFNLLPLLSSHAPFRSSPLPLRNSPKKRLAPFLTSQVTCLTKNQFPSHSVDRYKQSSRRLFCSVVTESVPDKVEMDAPKEIFLKDYTKPDYYFETVDLSFSLGEEKTIVSSLIKVSPRVKGSSAPLVLNGHDLKLLSVKVDGKLLKEGDYQLDSRHLTLPSLPAKESFVLEIDTEIYPHMNTSLDGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTCRVEADKSLYPVLLSNGNLISQGDIEGGRHFALWEDPFKKPCYLFALVAGQLASRDDTFTTRSGREVSLKIWTPAEDLPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETATDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSKLRIYQFPQDAGPMAHPVRPHSYIKMDNFYTGKFSIYSRSLGFFFVWLLSIVFQSNVYEKGAEVVRMYKTLLGSEGFRKGIDLYFQRHDEQAVTCEDFFAAMRDANNADFANFLQWYSQAGTPVVKVASSYNAEARTFSLKFSQEIPPTPGQPTKEATFIPVVVGLLDSSGKDIALSSVYHDGTRQTISSSSTILRVTKKEEEFVFSDIAERPVPSLFRGFSAPVRVDTDLSDDDLFFLLAHDSDEFNRWEAGQVLARKLMLNLVSDFQQNRPLALNPKFIQGLGSVLSDSSLDKEFIAKAITLPGEGEIMDMMAVADPDAVHAVRKFVRKQLASQLKTELLKIVENNRSTEAYVFDHPNMARRALKNTALAYLASLEDPSYVELALSEYKSATNLTDQFAALAALAQNPGKTRDDVLADFYNKWQGDYLVVNKWFLLQSSSDIPGNVENVKKLLDHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGDIVVQLDKINPQVASRMVSAFSRWKRYDETRQALAKAQLEMIMSANGLSENVFEIASKSLAA >A07g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5438236:5438802:1 gene:A07g502720.1_BraROA transcript:A07g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTERSLGANRDITDDGVDMGVSSSGDQTGIGSRLSRRAQMLIRSSKTTQSPSVDETSGKDCEDRVTNIIYKEIFKDVQTTQVHEDT >A07p012590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8048577:8050571:1 gene:A07p012590.1_BraROA transcript:A07p012590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBP3 [Source:Projected from Arabidopsis thaliana (AT3G23800) UniProtKB/TrEMBL;Acc:A0A178VEQ9] MTMSNHGGDCCKSGPGYATPLLAMSGLRENIIYVAAIYTGTGQDKPDYLATVDVDPSSSTYSSVIHRLSMPFLGDELHHSGWNSCSSCHSDPSCERRYLILPSLLSGRIYVVDTKTNPKEPSLHRVVEPAEVLEKTGLAYPHQPHCLASGDLLVSCLGDKDGNAGGSGFLLLDSEFNVKRRWEKEGSSPLFGYDFWYQPRHETMISTSWGAPAAFTKGFDLKDVSDGLYGKHLHVYSWPEGELKQILDLGDNGLLPLEIRFLHDPTKATGFTGCALSSTIVRFFKNEDETWSHEVAISVKPLKVENWILPEMPGLITDFLISLDDRFLYFSNWLHGDIRQYNIEDPKNPVLTGQLHVGGLVQKGSHVFALGEEDETLQFDVPMIKDQRLRGGPQMFQLSLDGNRLYVTNSLFSVWDKQFYPELVEKGSHMLQIDVDTDKGGLSINPNFFVDFGSEPDGPSLAHEMRYPGGDCTSDIWV >A03g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8138489:8144681:-1 gene:A03g502580.1_BraROA transcript:A03g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CILHTYVSIRYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSFAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEVAPRLLSARFLFYLRAFWSFHYARFTFLKPISILDHPRSNPYAHEFSFPLVKKEDPLAVNEVEGLKGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESHTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAETPEADYLLSFGSIEKYTRTLLR >A10p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:617709:630691:-1 gene:A10p001190.1_BraROA transcript:A10p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Ha. [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MELEWNNDVGSLAVKDQGISERARSDKERLITGLKSSYGYVDHDQTDIQFQTVPEIHKEEENPEKDLTLAVPDEHSETGDHHDHQINDFSDSSDNQYYLRNKHEKPKRCRVEIFSDDESEGFTREVPTVTRKGFKKRRRDDMMSNKMRTLQKLLPNCHKEDTVSVLDKAIEYMKDLQLQLQVMSIMGMNPYFARATLNFGMHNDLMTAIAMAQGIDLVNQTTSSPLIPALNWPLPPFSNLSCPHTSNQSLFPTTALPASSTQCLCSLARTKLNGFPCKEMSNITSSDFFFAGISSPAVINSTMGSAVTGANVEKIPGLNTLSVSLARIDYAPGGLNPPHTHPRATEVVFVLEGELEVGFITTSNKLFSQTIKTGEVFVFPRGLVHFQKNNGKSPASVLCAFNSQLPGTVSVAATLFAADPALPEDVLTKTFQKSQSLDQLSKQSNLKKLILSAMPPKRNFRKRPLEEEEEEDEQSKAASSEEEEKRRLALEEVKFLQKLRERKLGIPALSTAQNSTGKGKTAEKAEAEGEKEELVLQDTFAQETAVLIEDPNMVKYVEQELAKKRGKSLEDAEEVENELKRVEDELYKIPDHLKVKKRSSEESSTQWTTGIAEVQLPIEYKLKNIEETEAAKKLLQEKRLTGRPKSEFNIPSSYSADYFQRGKDYAEKLRREHPELYKDKGPRADGEGPKPSTTNNNATDSGNTRQAATDQIMMERFRKRERNRVMRR >A09g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4500698:4502683:1 gene:A09g501360.1_BraROA transcript:A09g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKSDLRTRTILLLNLWKIVQWVGFKLKRVCGGGTGRVFNHRVRFQSKTKDPPLREASPETDVNPEVDVLATPTVAGIILGSNLEIVERVNVAVVTKAETMTIREIYTYIQQESAKIMLERDTGRSRGFGFIREMEGTLLIGSFQCGAEMWWTAMTDEGEGITSSQLYFT >A05p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5399191:5401827:1 gene:A05p012460.1_BraROA transcript:A05p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVSESLDMDNFQGDLTDVVRGIGSGHVSSSTGPSEGPSPSSLSPPPISDVPSVASCLINPFGDPFVSMTDPLFNLTANKSNNSFEVFPEVSEDDHIKSKCSVFPRIQISQSNIIQDASKCSSPAMAVSSAVAAASPWGMINVVSTNSPRNCSMIDNSNNTSSSSQIQISSSPRNLGIKKRKGQGKKVVCIPAPAAINSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSSISSSSNPSSKSLTSIATATTSSSSRVSQNKDEPNKSHLASSSTPPSPYVAAAVKEEDVEERQNKMEFDNDPDNTYRPDFQHQPEGFFADLDELEEDSLTMLLSQGFSGGGNLENKTTIPDVFSDFFDDDSSRSL >A07p010540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6621513:6632692:1 gene:A07p010540.1_BraROA transcript:A07p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVCTALEEICCEGNTGIPLVSLWSRLSPPPLSPSVKSHVWRNLLSIPQLQFKAKNTVYGSSDPSIQLLEDAHRLDLRIIANEKLRGNFVGLYDAQSNNTTISAVQRRVLERLAVARSNGVAQNLLAKEFNIQGRNFFYIVKQLESRGLIVRQPAIVRTKEVDGEGDSKTTSCVSTNMIYLSSYSKPLGSQQRFEICKEDPTAPGEKSSQSEITKEDTLIKDFLPAMQAICDKLEEANDKVLVVSDVKQDLGYLGSHSRHRAWRSVCRRLTESHIVEEFDAVVNNKVERCLRLLKSFSEKDFTYCGKKQFLKFGRSTQKTEQTLELPIDNQIYDMVDAEGSKGLAVMEVCERLGIDKKKSYSRLHSICSRVGMHLQAESHKKTTVYRVWTSRNAGTNSTDLFPDRAETISRESNIPTNDSSTPHVTGGLAQPFIEHSLAVADADFATPPRLTDSECNSGQVATPGRLTDSERSSGVLHCSPSNATGRNVLACRNLQESFHEIGDKVVDTAMGSADLALSEMNHLVLPKPSKPKMHQQLPITVENARRERRILERLNEEKFVLRAELHKWLVSLEKDRSTKVDRKTIDRLLRRLQQEGLCECMAVSVPNVTNCGRNRSSVVVLHPSVQRLTPEEFGVIHDKIRSFELGLRGQSLSKRKNNEPIPILNDIQRGQSNVDLDARASKSGAMRANGFVLAKMVRVKLLHCFLWDYFSSLPGWDNAFSSSHDHKFENLFALEDAFRAMPLELFLQVVGSTQKADDMMKKCKQGMRLSELPSEEYKLLMDTLATGRLSMLIDILRRLKLIQMVSNRFRHDEIEEKYANLTHAMELMPYIEEPVFVAATPSVMSLDLRPRIRHDFILSNRDAVDEYWLTLEYCYAAADHRAAKQAFPGSVVQEVFRFRSWASDCVMTAEQRAMLLKRIATDEKEKLSFKECEKIAKDLNLSLEQVMHVYHAKRGRRLKSKDKNHAVENSPSPSSGKRKRETPVKTTGKGVRSKIIDEQNVLNSDAIDASNSENIRNTIQEDQTHIRMNQQENEEIRDRTEDEGQCSSLINQYASSKTASTPAQRFSWTDEADRKLLSQYVRHRAALGAKFHGVNWAQVRELPAPPLACKRRTQTLMKNDKFRKAVLRLCNILSERYAKHLETKQKCLPESSSSHVLVRTDSGFVEHGKDIFSDDEKWDDFSENSIRQAFDDILELKKMAKLVAPKRAKPSSREWSNRDIVDEGSETVPPAIRSDDIENPSVDQVKDTSRRSGHYRFHQTINPLDGNDNGNIQVRKSLAVSTAVELLKLVFLSMPTAPGMPNLLEDTLRRYSERDLFTAYSYLRDKKFLVGGSGGQPFVLSQNFLHSISKSPFPVNTGTRAAKFSRWLLENERDLMAGGVALTSDLQCGDVLNFFSLVSSGELSISVSLPEEGVGEPGDRRGLKRRSDEIEESEADSAKKQKLLGEGEINFRKEKGFPGIAVSVRRVTLPTANAIELFKDDDSRTGELHFNRVVANSGCESDHLKELFDSTDATVVPGSLGDSPWQAMASYASVVMAKSAGEQVGVFTPGVFETVSDALQKAGDHGLSIEEVQRLIDIPGEETFDCVVNVLQTFRIALKVNGYDNSRVVHSFYRSKYFLTMEEGKTSDDNLQRPLPVNYLEKAVGEHRSNDVSRIGIASQNEREHVAGNSVHKVTILNLPETAQTSGLHEATMKSPSVTFGMSFGGETKESTCEKSPVPIYPWVNADGSINKVVFDGLVRRVLGTVMQNPGIPEDEIINLMDVLNPQEASGVDETRWVCESEGNDANQVHRSSVSAKQSPQKTGAYQPQTLVCQLQGTLYLMNVASLRSPSSTNKKRNNLDSNSSVPSTCAVIIIDDDDEEEETLESKKRKNLDSNSLIPSSTGGVIIINDDEEDETLEPSKKKPRLGSWWDDVDSFDELSSVFKRLPIMHSSFSSTNLPETETKTKEDKRSLSSSDHENLLGYSDSVTSNNKENQDRLGYGHVSLPSLGGYVKDIVTPVDSSFPSSSTGLLEKRTNTNKDYESQLLSSANHHGDNFIGENEIVGESTDNSSNKGIRHVSLEELGVSVEDLKSMPWEAFDPTWEIRSVTTDPWLGGYVSDMFSAN >A01g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5993214:5995855:-1 gene:A01g501650.1_BraROA transcript:A01g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGSVPALRQLKFRKRLTEGSIYTLSGFDVMRSNPKFRTIPTEQFRFRPYDQLLELANTGKQLPAKPNIHSPATDDIRTGAFLGFNAEVTKLTHVLASESAQIVLLHLPRSLADIAGNTYTFQLKLKDFNITPNHQTFTISRIFHARDLAAILTFAEGGEVNEPALLQNVSPGSEDIAAITSNVAEHSTAADGAIPGREAVAKEQGDLEENATLSSETVDTIQDFTYLSPPSFDSDSPSLISLPSTLQEVMVKTPVAVTGKAPSARSQRRQVVLYYPHHNHHLHQKEAGKRKKYIINASPETNGRLARQQRRSIRARRSCKAPSKTYNTTKTLSFLFKMRTLMLLT >A09g514650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43685280:43686179:1 gene:A09g514650.1_BraROA transcript:A09g514650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDGKFAVPFFFATPPSFSFFLLPLSSILLLSIYTDMLFSSGFSGSPVICNGNDGCPSSPGGEARSGSDLGVYGSSGMESVAALPGAFLTVLQILCRRFPIHWFVWVPLLCLLQVHDVEAIVVVYSVARSVSLHSSAFSAFGSGELLLFADRQGILGCPVVKPPWRLESLTFVVALAFSSSLPTWYVAGFYRFPTACFHTVKVMSLVRLAVVDSPGVGSVVCADAELGHLFRLMRLQPPCPRGTLIIRLL >A01g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15083107:15085013:-1 gene:A01g505100.1_BraROA transcript:A01g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQDAKGNGQYHSWSGPENRLLLRSLVDAINNGFRDASGKFNKLTIETRVLSVLHQQLGSKKTYGYDKNRMKILKTSEKANDISFVHMMNSGEDIIHQQGYENVVFSSSEKSTGEKLPPRKKARTDSYLDKACEEVTEITSQIFGMIQKRWEKEAEEKEADDKANNVWDAINEIPDLNDDLRYEAMTLVHSLGMKSGFVKISVADRRGWIKKNLRKQRYTMNHVVYASEEVQARKKRKKMANFKTDVDIMILVLSIIAKIRYLTSQILQIQHPIRRSTTRLGHEYIQNALVEYPAHFRHLYRMYPDVFMKL >A05p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:766408:769958:1 gene:A05p002300.1_BraROA transcript:A05p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRILWLLGVGIVLFLVRIVLFKTGLIHMVKKWRRTIVDLFHVYQHYKVPEFNEVQENHLYRKVYTYLNSLSSIEDSDFTNLFTGKKSNDIVLRLDRNQVVGDEFLGARVCWTNGEDENGAKSFVLKIRKADKRRILGPYLQHIHTVADELEQRNTELKKLFINVKNKRWRSIPFNHPCTFDNIAMETDLKNKVKSDLESFLKGKQYYNRLGRVWKRSYLLYGPSGTGKSSFVAAMANFLDYDVYDVDLSKVADDSDLKMLLLQTRGKSVIVIEDLDRLAKSTAVSVSGILNFTDSILTSCAADERIMVFTMTGKENIDPTMLRPGRVDVHIHFPLCDFTAFKTLANSYLGVKEHKLFPQVEGIFQNGASLSPAEIGELMIANRSSPTRALKYVINALQRDGNVRRSFLESGSRRSEDASSEMSGPLCGGGGGGGGGSSPGVKEFRKLYGLLRIKSSRKSESDLISSASMRPVFVGNFEYETRQSELERLFSKYGRVERVDMKSGYAFVYFEDERDAEDAIRGIDNIPFGYEKRRLSVEWAKGERGKPHGKAASNQRPTKTLFVINFDPIRTKERDIERHFEPYAKVLNVRIRRNFAFVQFATQEDATKALESTQNSKLMDRVVSIEYALRDDDERDDRYAASPRRRSPSPVYRRRPSPDYGRPRSPEYDRYKGPDAYERRRSPDYGRRSPEYGRARSPGYDRYRSRSPVYRARG >A06p018270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8878384:8884709:-1 gene:A06p018270.1_BraROA transcript:A06p018270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate--CoA ligase-like 4 [Source:Projected from Arabidopsis thaliana (AT1G20500) UniProtKB/Swiss-Prot;Acc:P0C5B6] MGCSPSKLDGLPAVALCRDRCNSLEETLRRSYALADAHSAYLLSLNTVGPALHRFFDQAVESPPDVDSDANESPDTSSPGSSSPTHSVSTSSDSDLPPKFDSDNEEDGDKGKDCHLFRNHEPFHSRNYESGINTPPPPPPPPSTNAWDFINFFESYEFPYNTNLKELKDKETTRCNDEDKPKKKNAPIRKNDEKIRREEDKCVLKILEKKRKLKPEKTRESTDQKVSSDFSEVTKQWQEMFKEASEAGSEVSKMLDTSRFRYYQKTSVYQASSNALYAKKMTPVEDFGSNFTNLSSTLKKLFMWEKKLYQEVKAEEKLRTSHMKRCKELKRLEGKSTTDVNKLESIRSSIQCLSTRITVSIQMIDNICLMINKLRDEELWSQIKDLIHRLSEMWSSMLECHNRQSRVIAEAKKLDKMTFKGNLDISQLELAMELKLELRNWSQSLSNWIDAQDQYVKALNSWLMRCLKQEPQEPAPDLSEEPPLFGAVNSWSQSLGRSDGEKEFTEAVYALLMQISRLVEKRRMELEEQRTVNGGDKDAERKLVMLEKEEQKMQRKMKTVPSVELMGSLNLKANMEEIFKCVEKLSTNLKQTTPETNFSRFVGVHRETKMANVERSSLVDSRSGFCKENSTFYSKRNPISLPASPSLDVTTFISCQTHSGTTAFIDAATGQRLSFSDLWRAVDRVAECLHRDVGLRRGDVILILSPNSFYIPIVCLAVMSLGAVVTTANTLSTVGEISKQIADSNPTLAFTTVQLAHKLPAGISIVLTEEEHVEPSRVVRVVGVLSEMMKKEPSGQRLRDRVNQEDTAVMLYSSGTTGASKGVISSHRNLTSYVAKMVAKESLEDEIFICTIPMFHTFGLLMFAMATVALGSTVVILRRFELSDTLAAVEKHRATALILAPPVLVVMTNERDIIKAKYDLSSLKKVTCGGAPLSKEVTEGFLKKYPTVHVLQAYALTESNGGGAYMDMVDSRRYGTVGTLTPDVEARIVDPITGRLMGTNQTGELWLKGPSISKGYFKNQEATSETFNLEGWLKTGDLCYIDDDGFLYVVDRLKELIKYKGYQVAPAELEALLITHPDILDAAVIPFPDKEAGQYPMAYVTRTLGSNLSEKQVIDFISKQVAPYKKIRKVAFINSIPKTASGKILRKDLIKLPSSKL >A06p001730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:783648:784641:-1 gene:A06p001730.1_BraROA transcript:A06p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G53160) UniProtKB/Swiss-Prot;Acc:Q9S7A9] MDGKKTQGQGYLKKKASVSYLVEEELENDTDGEEEEKRKCVTDRFKGSSGSNDRGSSRLCQVDRCTADLKEAKQYHRRHKVCEVHAKASSVYLTGVKQRFCQQCSRFHELLEFDEAKRSCRKRLAGHNERRRKSSGESIGEGSGGRRGITAQMIQNQERSRVEMTLPMSNTSSFKRPQIR >A08p002750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1519566:1519925:-1 gene:A08p002750.1_BraROA transcript:A08p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVINVERHLMKKLFMITVPTNSIQAAKTHSPDSPVQRLLVLYSHSVWFVRTVQLVLYLSSALAFQTEIILPFSALATGSSIVKKSEGSGFPSKQEVSTETGEENEKVAFSAESFLS >A04p005320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2768062:2768985:1 gene:A04p005320.1_BraROA transcript:A04p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:Projected from Arabidopsis thaliana (AT3G55560) UniProtKB/TrEMBL;Acc:A0A178VH84] MANPWWVGNVAMGGVESPVTSSAPSMHHRSNNPSMPRSDPRLDHDFANNSGSPNTQTQTQNSQEEPNSRDEVLAIEPGSGSGSTGRRPRGRPPGSKNKPKNPVVVSKESPNSLQSHVLEIATGTDVAEALNAFARRRGRGVSVLSGSGLVTNVTLRQPAVSGGVLSLRGQFEILSMCGAFLPTSGSPAAAAGLTVYLAGAQGQVLGGGVAGPLIASGPVIVIAATFSNATYERLPIEDEQQQPQLEEAKKEKEKDDNESGNDGNEGSMQPLPPPMYNMPPGFMPNGQQMAQHDVYWGAPPPRGPPSY >A01g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14376988:14382424:1 gene:A01g504820.1_BraROA transcript:A01g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHILRPAPLHTQNNSLSLQTAKEIDVVIRSSTEPEVNPKPYSTSQGANQDIRALKIPYISNQEGLNYEANFYGFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSLSICEYPTLEGDLSSSKELPEANPVIKFKRILSAFQKDKDQEKWTRKSEDMFNFPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHLNQSGGITEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGKSDDIQTKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKSSRFKQIKFLHLEPKSHKRLQRLVFKEPSIPLLPTSFHRALWRPSSHLHPLIDLDSDTHPARPVPSSFISHPLILVENCILFTYFGSTKTSHIFTCSLRLFSGSYGRRNPETTSQGKPNSFGRFKESKRSDYSVGEKTQVNESSTTCMSHLSLSKGDVTGTKEHEFKGEEPPGATPVMDQKMVQDTMQSMLLKEAKPVNEVSYQGKCLTPPTDTSTDVCVLDVGSKNESYLLTEVPQKEPDHKLSHEPPHKFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSLSICEYPTLEGDLSSSKELPEANPVIKFKRILSAFQKDKDQEKWTRKSEDMFNFPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAIPIQPGEPDDIQTKPRHPGDIIHEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQAFKKVPRKLSYPLKPSRFKQIKFLHLEPKSHKRLQRLVSKEPSIPLLPTSFHRALWRPSSHLHPLIDLESDTHPARPVPSSFISHPLILVESDTHPATKIPSPSIYPFSICCSLDPTSINS >A09g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21380930:21381411:-1 gene:A09g507300.1_BraROA transcript:A09g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAMETVVEEAMDTAMEAVVVMEVMVEGVSVDTMVVVGGGGYSSGGDGGGYSGSGYGGGSDGTAVEAVVVMEAVVGSTRLPSTYLMSTRLPSTHLSSTHLPSMHCFCPQQKSIYLQNHILILYISPNTSQPSALKKQNNQNHENFTP >A09g511650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34752478:34752867:-1 gene:A09g511650.1_BraROA transcript:A09g511650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A03g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24612734:24615575:-1 gene:A03g506980.1_BraROA transcript:A03g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQKHVKVQIITCLVIFTICLCLEFCSRWKHKDLKRRRAFGMIQFIWGFGAYETFEFLRRTSSNVSCTPLGRMTITSTYGIVWSSAVWSLSHMAVTIPYVVFPFKWLQVELQLEVSKRKVKVVHSPQTGLGRIFIAIICWFDIACESSNLWECSNSAFQHVGEGATYFPIFSTLPSSSTKFSRVVWAITRSVGRELICGVMINIFRSTQHHLPKKNARQILFDYVTGCTFHVGWVLIHSVLVSYESLELRGEKNCSCPSERRVPAKGYFWIIAVEDKAFHRGCAIVDGKLQLVQAKKGVWVAYLYGSRTLFVIIEVKIFSFVRPPWSFLALESVYSHSHLLPFISSFHCGLLKRELDVVLLVNLEFVVVNLTASSAHMDVVDWITRAVGNGETTYFWSYNWSPFGKLTAFLKDEPASRTGIPSTSTLTELWEIDHWVLPPARSEKQVRLYSYLLTLTIAEASDSYLWNFAGNRAERTLLLLAWQATIYALWSERNSRLHRHLFRSSHSLTVDIDQTVRRRIASIRHDAPQLSSDMLCTGAKLVRREGVHMHP >A10p035290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20302722:20303286:1 gene:A10p035290.1_BraROA transcript:A10p035290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNSVTWIFLINGCYWDSFRTVFFGLGSLMVRIYAESCCPDEAIDVFREIQARGMRPDTVTVMSGLSDIRLKGTLLDVYAKCGSLKNAYSVFHSDGHRDLVMFTAVVAGCAVHGMGKEALMIYSLMMDLGIKPDHVFITTLLTACCHAGLIQYGLQIFDSIRTVYCMKPTMER >A07g505340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11761035:11763663:1 gene:A07g505340.1_BraROA transcript:A07g505340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G22380) UniProtKB/TrEMBL;Acc:W8PW16] MADSVPSLITILSLALEEIEMGSHVVCNVQKPHVVCIPYPAQGHINPMLKVAKLLHAKGFHVTFVNTIYNHKRLLRSRGPNALDGLPSFQFEAIPDGLPETEVDATQDIPTLCESTMNNCLTPFKELLRQINARKDVPPVSCIVSDGVMSFTLDAAEELGLPEVLFWTPSASGVMAYLHFYLFIEKGLFPFEDESCLTNEHLDTSIDWIPSMNNLKLKDIPTFIRTTNPNDIMVNFLVHETDQSKRASAIILNTFDDLDHDIIQAMQSILPPVYSIGPLHLLVNREIEEGTETERLGSNLWREETECLDWLDTKAQNSVVYVNFGSITVMTAKQLVEFAWGLAASRKEFLWVIRPDLVAGEEAVIPTEFLTETEGRRMLLSWCPQEKVLSHPAVGGFLTHNGWNSTLESISAGVPMVCWPFFAEQQTNAKYCCDEWGVGMEIGEDVKREEVEAVVRELMDGEKGKKLREKEEEWRRLAEKATEHPCGSSVVNFKTVVDKILLGGNQGLSYK >A09p053540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46460502:46464481:-1 gene:A09p053540.1_BraROA transcript:A09p053540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKVSLVSTSPIDGQKPGTSGLRKKVKVFKQPNYLHNFVQSTFNALTPEKVKGATLVVSGDGRYYSNDAVQGSKATGGFILTASHNPGGPTEDFGIKYNMENGGPAPESITDKIYENTKTIKEYPIAEDLPIVDISAIGVSSFEGPEGKFDVEVFDSADDYVKLMKSIFDFESIRKLLSSPKFSFCYDAMHGVAGAYAHRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDTGVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVGAIPYFSTGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAHKNKETLDGDAKLVTVEDIVRKHWATYGRHYYTRYDYENVDAGKAKELMEHLVKLQSSIPEVNKMVKGIRSDVANVSSADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKTGRESHEALSPLVDIALKLSKMEEFTGRSAPTVIT >A07p033900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18503908:18504985:1 gene:A07p033900.1_BraROA transcript:A07p033900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B22 [Source:Projected from Arabidopsis thaliana (AT1G78895) UniProtKB/Swiss-Prot;Acc:Q8GWH5] MGEVGKAMGLLLSGTLVYYHCAYRNATILSLFADVLIVLLCSLAILGLLFRQLNVSVPVDPLEWQISQDTASNIVARLANTVGAAEAVLRVAATGHDKRLFVKASRDLLILFVIPRTTHIRGNRCLCRTMHVLSLHAPLSELSTSWKLCTEASKRRGFRTRCTF >A05g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9397899:9404170:1 gene:A05g503190.1_BraROA transcript:A05g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRKFLNEIRETLEEEVSELNFPQSPRNSRPRAAAVAGSGSSPKMTNENDTPTPIGTSDVIQTPLNAAATDATGVTTAGNITASIIAATTSTILPPGNAADETTRRSLFGAGLYQTVPHRGGREELSCIYMHSHIPCVKRLRGRESSPRARPPPPSGRLGSARRGLGLGLGLGLGWRAFGPIRNILFGPS >A01p025700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19962396:19964287:1 gene:A01p025700.1_BraROA transcript:A01p025700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCCPVIRTRDRLLLNLPCLSDPVGRSSLFLKLALVALHLVFIGFLLVCDAQFIEKTRLHPWYMSSYFFFFSATLVQYFVTSGSSPGYVIDAMRDVDETNAIMYRNAPTTSFEGIQHASRKTGSVVITVEGESASGGRRTPSSWQKMVMDLYPPGTSLRNLTCGYCHVEQPPRAKHCHDCDRCVLQFDHHCVWLGTCVGQKNHSKFWWYICEESALSIWTLIMYIDFLTNVAKPWWKNAIIILLLVVLVISLIFVLLLLLFHSYLILTNQSTYELVRRKRIPYMRNMPERVHPFSRGIKRNLYNVCCGNDTLDSLPTAYELEDRSRSYTCLDMLKCRCC >A09p027850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16820919:16822723:-1 gene:A09p027850.1_BraROA transcript:A09p027850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYEKLPKDIASLTSQAPQGIHRLCKKHPMVKIVTSEIDDSRTITLAKNESLNGAFTVIQDKERIYYNIQKKWTSCRSQSPYPPAYVAPQRSGESRSLQDSKAKQNRAFRIQLINFKRQQSMATKPNTSSMNSSSTTSTTSKEHRNQEKQQLQQLRFVWTSEPVRIVNHHANRTFYICCNKEVERLPLRELPSPLKELLGTPGFRVLISVANGMIAFTSTGAHVDHTITGQPGHSFT >A04p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4378862:4379938:-1 gene:A04p013320.1_BraROA transcript:A04p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIKHRSTTPRRKQGVVLREPKGTEIPVKINPIPVRDHDIKLPLQNYLNPGRTYSNRSAIKLPKDDTKKSRVSLKYLFLVRQNPFRVTVSEHPHDHIEHLEDMMDDDYKRCKIFPFPLEGDARKWLGQLFSNLLEEGQNQFGIYQIDDDTLSELEQRVDFVENSTLKDRYPIPYPDSFTRITMLLLAHDEAEQSLD >A01p014890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7217226:7219053:1 gene:A01p014890.1_BraROA transcript:A01p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSSTETMSEQCPFDDGVYDCVKKVIIGEDSQGVAYITIQYVRNGDVVQLEHGSERGTQITETEFEVKDPDEYITYIEGTWGEANRYDSDIHMWNQTLSRTVTELQFKTSHGRTSQKFGKPGADSFEFKLEGNNGTKLVGLLGSSGRFLDEIEANFDVISSALKQLEPQGGSDGHSWDDGAYDGLKAYFVVVSSTLKQLEPQGRSDGHSWDDGAYDGLRKVCIGEDGGRVSSVEFVYAKGDQRITHCHGMDSNERKEVFELEYEDGEYIISVEGTIDDDGFVSSLIFNTSMTRSSEEFGKAVANNKFFLKPIGFHKLVGFRGRSCVDRINALGANFAVVVAPPVKKLQAQGTNSGEEWDDGIHDNVRMIIVSYGHESVLSVTFEYANGTETVVGDARGDVDEIGDRKEFKLCDNNEYITSVEGFFGEKLLTSETADEYESIYYKMKRLDFITNITAYSVLENDPSDGYVDVVPFKLEEKYHKIVGFHGKSTELSLQQIGVYVKPIDDA >A07p047260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25402151:25403322:-1 gene:A07p047260.1_BraROA transcript:A07p047260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRSSTRWRFNETVRIMVNLTSSNRWRRNTSKCVSESPCFTTRVSLSLQWFLSRKRRRLASGFWIFICSRLFKTIVMWKLASKSIKEGFRSKDDVTKQRNTPSGDCVKTTKEERLECPICWESFNVVENVPYVLWCGHTICKYCLLGLQRAVVNKSSGFPFQLPFFVACPWCSFLSLRLVRNGTNIKFPSKNYYLLWMVETMNGSRSDDNKRVTPGERRCDGVSSDDNRGWWNGLTRGWRLHDSVCKSMAIVAHLLAKFPLVVIFLLVALYAIPVSAAVLGVYVFVTFALAVPSFLVLYLAVPSLNWLIREISA >A05p055340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32681739:32683813:-1 gene:A05p055340.1_BraROA transcript:A05p055340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEESGGGGYLRADQIDLKSLDEQLQRHLSRARTMEKRKSLSDGEDNADNKYNQNNFGHRQLVFQRPLLGGGGGYNNNYNNNHKLTTEVGRSRREWEIDPSKLIVKSVIARGTFGSVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVAVWHKLDHPNVTKFIGAAMGTSELSIQTENGHMGMPSNVCCVVVEYCPGGALKSFLIKSRRRKLAFKVVIQLSLDLARGLSYLHSQKIVHRDVKTENMLLDKTRTLKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSALANVMKRCWDANPDKRPEMEEAVAMLEAIDTSKGGGMIPPDQQQGCFCFRRHRGP >A04g503170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6974358:6975422:1 gene:A04g503170.1_BraROA transcript:A04g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSSGVESSKRSRSRVVLVTNILSKSSTSMLPFHISLLTSISKKILLPSPLIKIQSVIPLAVMNPTMLSVGFTFSSLFFPSVLGLLGNVSRQITTLCLVCSSENTILSLIPIISKKILLSSPLIKIQSVIPLAVMNPTMLSVGFTFSSMFVPSVLGLLGNVSRQITTLCLVCSSENTILSLIPMCIPDTSHHVLNWTTKFRVVHLHSYYSSFIVSNPIQLLATFGFCSLSSVEGRLIWRFNV >A06p013580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6136972:6138710:1 gene:A06p013580.1_BraROA transcript:A06p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKQKKLETSIGVSSNGSISALGAFSVYLMTELFLAVGFWVARFKFSVDLVSDPSLTLFLLWSIEFPVVVIIYSFLRKAPTECSCSKAVGRSILGLISGALMNALGAVALGAPIGAQYLPKTIHWSFLMSVFTFVPATVVFGASLTDWHRVFASVKPSGNIEYMILVPAYGAIIGGWFGAWPMPLDWERPWQEWPICVCYGAIGGYIVGQIVSLCLMLFLRKHKSLKVA >A09g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2054123:2054500:1 gene:A09g500470.1_BraROA transcript:A09g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCNGEKEQPWEKKKETKELLLHHLRDLRRNTTEKVKSLASPPCLRLTLDPRQPSSAFYAHGGIKSNSLSFSSSASGFVSLPLAVEK >A02g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9354818:9358946:1 gene:A02g502840.1_BraROA transcript:A02g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NACDSRLPERLFATDRFPRHRLNIYSRPNILAFVRHALRGSEVFAKIRESSFGKLFDLPTRQCPVSCKLIHSLLSRQLRCNQEHSLWSVFGKDPLRFSLEEFGTITGLNCGSFPDGYEAPDHNRKDANKQKGAHKDPLWQKIVGKYDNITIADLAEELEHDHQMDEWRRIRLALIIILDGVLIASQQIHRPTLRYVQMLDDVDAFLEFPWGRESFLHTVRCMKPPKFEKGNPVDSPVDMLVLKLKQETFRLTGFPLALQLLAFRAIPMLLSKIPAPFNDQTIMDLTDPNLPNHPSIDLDAVLEVEANSSLRVTPLIPLVRGPHPGWGVWPNEKTDDKVTYMEQLIANNHCFSKAMWPGGDCSEPVFTFTPIPEKPVHKKHTVPRKRMESTIKPHKAGKETSVPSENRRSTRLQASSTPTPAPSTELLEARISTLEANATLMVARITGLEATVAKLGASNERLKQKLHWQLKRSRATSKLPQFVVTHRRRSAPHRPQSNDLQGDDHHDSPNSKRRKTNQVSDGFLDSGSPILSQYRAQHCASRRIDFNNSVLNDHLSADNHSADHKSSPRPHSPRPHSPLSPSHSQRSNQLLSNDHNSPDHQLNRHHSTDHQSPSHQPHDHRSPTHSSASHKSPSHNPLAFNPLVVDQQSPNHQTYNHQSLADNHNSPKHGEHSSTHLIVDPLDHEPPNHIPATPTSDPPSTAHNSPPPAISLAQHSPTIQTSTEQSPLFAAVTSQKTPLPPAPFPQGSLSQQYAPIGTLPQFDATPLNKPASQSPPSHGLTLPEPDTAPPIYDSSAFLYSPKPLFNPTPAATTPPTISPNPLFTPPPALTTTPTSSPNKPAGFSTHYSTPNAFAATATLKGSNCRLNEQDLNRDHGEGEASDSSPDKTAQTTCKEVCELSDSSPTRKTKEHQPSEAEKVLAQTFLNRPDFLHYLLVTPPPEDLWDIFAKTMAANKKGFHVTPSKLDFSNQFLLQLATPSQWTDSLHMAVLMHMLDMHHKDVLQMENATFMPPTLTSLMQSKDRQFQAALKKDKIRWDQRISKLILLPGKTWMKEVVKVYTPMIWADRHWVGLAIDLRAGHVDVLDSLPSLYDEERVQRFLRPILQMLPYLIRYVAKNNSRDLSPFTCQRRTGTYENSRSGDCGPVCAKFMELHLYGDPYPHMSGLTDGMVDKFRQQYAMEAYKTIVLPAYY >A09p070880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54873916:54876130:-1 gene:A09p070880.1_BraROA transcript:A09p070880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAYEQDLDEEAGYDDYYSDDNGLDEYEEEEVEYLELRQRIKESIRKKSGGGGVNAQSSQDRRKKQLPYNDFGSFFGPSRPVISSRVIQESKSLLENEIKNSNQPKKRPVPASSSGDKNVSHEKKRPKVVSATRRKVETLKDTRDYSFLFSDDAELPAPKREPLSRSGSFPNSGRLFADIQEARSARPKQSPAVNGRAAQGPPPREDKRHVVSANGHSRPASSGGQMNHSRPTASSGGQMQSRSVSGRPTSGSSQMQKSRPASFGSQMQISRPVSSGNQMQQRAVSSGSQRPGSSTNRQLTMRPPGSTMNGQSANRNGQPSSRSEHQRPAPGKVPVDHRRQMSNGVGPGRSGSTARPLPSKPSLERKPSISAGKSSLQSAQRPTSLSRPMSSDPRQRLGEQRKVNPTTSRMIPKQPVPTSRHQMMSKPAAKRPPQRDIHDDGRPLKKKKPAIMSEDAKALSMIRQMFNTNRYAGRDDDDRNMEANFDDIMKEERRSARIAREEDEKEAQLIAQEEERERLRKIRKNR >A05p052800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30535601:30537029:1 gene:A05p052800.1_BraROA transcript:A05p052800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMDKWVAEFFIRCQKNPRVSPTNLLSALKFRESAGDCSYLKKSSVLREISDSLIRGNVAEGTLDLLETLEKLHRGSVLTESHKFAYCWTAVECTVRLMWPLSASEGLYGDAVERIWTKRIGVLKERGSGLVSEELLKWEADLRKAVEDDEVYKRIRESNVRYTAVCFLNQLLKEQWAVLGSCSLESVAQRMLLKSRKAVDKGTRRLDEGEKENGEDNRDVHGVECPQHAAEEEEERTMGVQEQEHEPSLDEGDKMVARELKDFLLEIQRQMDPSSTRQLQEPNNANITPPQPSRSVNRTGTSGQQQDSASENRVRPHLPTPEPLNVSPLKKKRANPAPRRIKKFWTPEEVAVLREGVKEYGKSWKEIKNANPEVLAERTEVDLKDKWRNLLR >A05g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25071970:25074090:1 gene:A05g508570.1_BraROA transcript:A05g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNCNGPIPRRLTGVIEGALWIRVYSFKQPYVSRYWSRESTGAKPSLKYHHTHIHILLLTILRTGKWKWRSEQQWVAQLGFYPIFLWIGHISYGCFHILVDWPHLLWIPSDRTKGS >A08g510690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24916489:24917790:1 gene:A08g510690.1_BraROA transcript:A08g510690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSLIYSFVARGTVILVEFTDFKGNFTSVAAQCLQKLPSSNNKFTYNCDGHTFNYLVEDGFTYCVVAVESAGRQIPMAFLERVKEDFNKRYGALFVWLQQVSASAAATASMRTVVVSFADAAADAVAYYIATAGFIGVSRRTRRQTQLPASKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTTGTQMRRKMWLQNMKIKLIVLAIIVALILIIVLSVCHGFKC >A08p030980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18956790:18959695:-1 gene:A08p030980.1_BraROA transcript:A08p030980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMALRRLSSSVDKPIRPLIRSTTCYMSSLPSEAVEDKERSRVTWPKQLNASLEEVDPAIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYVLETFAIYDSLQYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAVMLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQATTSEYKAYQEQVLSNSAKFAQTLMEKGYELVSGGTDNHLVLVNLKPKGIDGSRVEKVLEAVHIASNKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVAEYFDKAVTLALKVKSEAQGTKLKDFVSAMEASSTIQSEIAKLRHEVEEFAKQFPTIGFEKETMKYKN >A09g504430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13625707:13627705:-1 gene:A09g504430.1_BraROA transcript:A09g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKRNTVKPNSSRVTQRPATLPAQYDFVPRDPSPSIPPVLPKNKPLPKNNPLPSVRDYPPPRKLFPETNFPPSQSAPSPLTPAAATSQPQQRQTQSTERMNTLPPSQPAPVRASQSPHSSEAQNSRFPEEEEEEDMSDVEAPVQPNLASDHMDLLNSLLNQPGRAKNTIVLTSPPQTRDSWTSSPKKQLHLIPIMFRSFPSPM >A09g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24730588:24732957:-1 gene:A09g508830.1_BraROA transcript:A09g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPQPATSIDICNITSINTRFAAMEDRLKSYEDMHDRFTSPIMRYLDTMSTQVMNVQIDIADAVDQITSKLYKAIDTLEDLLEKRCDDIYFPFDVKISGLDSQSEWLQKEVKAIQRQLASQHQISASIDGEHSISINSATPATIDRHLVTSIDTTSTPDDAQLIPNQMESMQEQLNELSEYAYNKISWYQFSNEDIQERLQNILNAVQKMDERWTRNDEATRSFIADITKMLKWINLSTIHACLDCLKEPKLTSNTKPYTNACLGAWYTWDRILQTSLEVPDTCLKILESCNRYSQGKACTFIFMLGNEVQKHLGVK >A03g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26107560:26108749:-1 gene:A03g507350.1_BraROA transcript:A03g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCLYFSPSVSPTTMNSISSFPKDLMKTLKRTFYETRETRDINETRDLNKGGELIEVDMLLDEKATLIQGSISEHDIPKLGTLSTSRPLEDARSRTIFVANVHFGATNDSLSRHFNKFGEVLKAVIVTDPATGQPSGSGYIEFTRKESAESALSLDGTSFMSRILKIVKGSNEQRQEPASSMSWSRAGRYARASSPYRRGIPGGAFRGRSVVRGGARSMQWKHDSAETGTNSVAAAPSTRSMTYVRTDSQSNGNATA >A03p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7356604:7359397:-1 gene:A03p018010.1_BraROA transcript:A03p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRDVGGRNNSRRVLSRAMDSCGKDLSTAEEIVDALRSRYGKYERMSRQALVLNVRNVLDARHNNKKRVKEDQEDDDSDEGARGGKMKKQRRKSVSSYSSSEDSGGHISTSEYAKFDLTNECLRGGYAKLNSSTKLAPPPPPPLGGKVGPTFKDFAGIKKVLDELDQYILSPLLNPPVFGKIGAKPPSGILFHGPPGCGKTRLAHAVANEAGVPFYQISATQVLSGVSGESEENIRELFSKAYRTAPSIVFIDEIDAIGSKRENQQRETEKRIVTQLLTCMDGPPPGDTAAAAGYVVVIGATNTPDALDPALRRGRRFGREIALTPPDEHARAEILSLVAHRLRLEGSLDMKRIARLTPGFVGADLEELADMAASLSVKRIMDSRKLQLSGDSDDGDDRSWLRQPWSEEDLDKLFVRMSDFEEAVKLVKGSLTREGFSTVPDVTWDDVGGLDHLRTELDDYVVNPIKYPDAFEKFGKKLLETGFLLYGPPGCGKTLVAKAVANEAGANFIHIKGPELLNKYVGESERAIRTLFQRARTSSPCVIFFDEVDALTGRRGREGDHLVVGGLLNQFLTELHGGDRRDVYVIGATNRLDVIDGAFLRPGRFANLVYVPLPNAYERVSILKSIAKKRPIDPSVDLDAIATKYCEDFSGADLANLMDKAIHVAVKEKIQSSKSSEDGDMDLSDCTIKMTHFKQALSLVTPSVTKQQIKHYEEQRDRHHRLQSSTNMDQINVGPSFTFE >A01p051310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28720028:28722136:-1 gene:A01p051310.1_BraROA transcript:A01p051310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITENDPMAVITENVHTEKYIDVAKDVHEICVKSDSEKTIGDGIEKIAITSSKKESQLKPESELDMKNVVAMFKKLNPLAKEFFPSYHNNTKKNNNTGKDNQIMPADDLVAKKNQFGEEFDHDLKKDDNNRKRRNGYSQGRRRLNGRISKAEREDSIRRTVYVSDIDQSVTEEGLAGLFSNCGQVVDCRICGDPHSVLRFAFVEFADDLGITEGAREALRLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCSRTIYCTNIDKKVSQADVRNFFESACGEVTRLRLLGDQLHSTRIAFVEFALADIALRALSCSGMVVGSQPIRVSPSKTPVRPRITQPSSTN >A01p014610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7076664:7078877:-1 gene:A01p014610.1_BraROA transcript:A01p014610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:Projected from Arabidopsis thaliana (AT4G22340) UniProtKB/TrEMBL;Acc:F4JL60] MHKENTGDAPSAPTPRVRHHRKRNTDVVAGAGKPNGSHLLVNDSSKYKSFLIRAYSTVWMIGGFALIVYLGHLYITAMVVVLQIFMARELFNLLRKTHEDKQLPGFRLLNWHFFFTAMLFVYGRILSQRLVNTVTPDKVLYRLVTSLIKYHMAICYSLYISGFVWFILTLKKKMYKYQFSQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIFAYICGFFFGRTPLIKLSPKKTWEGFIGASITTMISAFLLANIMGRFLWLTCPREDLSTGWLQCDPGPLFKQETHALPGWISNWVRLVIVHSAACFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSLSVDKLLDQIITNLTLEEQQALFTKLGQVLQEKVIGS >A07g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25150694:25152249:-1 gene:A07g508870.1_BraROA transcript:A07g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPPPLPPPPDPNPFANQPGRHMDFSASRALHRSSSLSPLLPDLPGVPLCPPASYPPVAHTTTPFSFKENGSPSNTASLPEGIEKVTGHNTLDGTTNESVTWSSVGKIPDSKAKVTISPEGRPRVKIPQAVFERGAKLHSDYIVGIFYGKPPSYGKIWGVLNFLWGKDRRVTIQHLAKNAYLFHIPSPSLRRKVLEHELWRVGDSPFFVTQWKSEFSYNPPALDRAPVWTTISGIPFDLITPEGLGFICRPLGKAVDYKPFKSVTSAEVKIIVNLTKPLPKELEVECEDGKVLVLQVTYPWLPPLCPLCNEIGHKKELCPSAPPSDPPKNKSAPKSKSEWTKVSHDKRKQDKVKETHKPPTPQVVPTPPVSVKGKEAVMEEAVSTNFSEPISLDPQPSFSHLDLPRSEEILEEVQITLNQCRVGDGSTSTTTVSNSFAALCSEEAMAEDIEHSPLAMVIHNPKAHNSSVSPNRKRLKRQRASPSPHSSPGTGGVLLLHGGYRHSTNL >A10p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5420405:5420893:1 gene:A10p013200.1_BraROA transcript:A10p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDRFFVTKCTLDMSSVIVQSSEDMKKEIASLEFEILRIEQYLLSLYMTSSDEQVTSFFPHTETSLVSQSDLTGVLSYHYQASPVSECSSSCPWSFQASLKAFSTKENTRYVYGHHTTLGDLLGSSHIVDDMENPSRFSE >A07p026790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15268652:15269919:1 gene:A07p026790.1_BraROA transcript:A07p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVNIMSPVVSKNLITNFKAFRLKHDLPSLQHTRVDAINNGPIKMMKKYGSRRSIVVSCLDQPISMPNQLSGYDAVMKFYSSINEKNQDQLRNCISNDCFVDDFSFSKPFHGKKEAMKFFEELVNSMGQNVKFCVENVCEGDGYNAAVNWHIEWKGRKIPFTRGCSFYEFTDEGGILVIRNARILIESPIKPGGIALTLLKNITFLFDEFPQVADCMFPGFWGSLMR >A06g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27769218:27770731:1 gene:A06g509570.1_BraROA transcript:A06g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 8 [Source:Projected from Arabidopsis thaliana (AT2G01890) UniProtKB/Swiss-Prot;Acc:Q8VYZ2] MDTMRDKPIKFSSSVFCLIILLSVYNSTAELRRLVQPPKSDGTLSFLVVGDWGRRGSYNQSQVARQMGKTGMNLNIDFVISTGDNFYDDGITSPYDCQFQDSFTNIYTAPSLQKPWYNVLGNHDYRGNVDAQISPILKDFDCRWVCLRSYVVNAGN >A09p071190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55034229:55038515:-1 gene:A09p071190.1_BraROA transcript:A09p071190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPFSPFSVMLVLLITEIKKLRDFDHLFEDLVSTMEILVPITEEIDKLQERLDSCNKSLVFLHKTMSRAQEMVRECSDVRKYDILKKRSYTKQIKAINDDFAKLCGLYMPLIQYLDQLRSRSQRTSQDNSNVYTGRDSFDHEDEQRVILESFKDKGKGKQLQDEEDGQLAAALQESLSMEDEQVAKRRAQELEKDEQIANALLYDESERIANGSSSSTGAPLNEEDLHRMIWEKAKKVKDFKPLFKELASTIERLVPIFQEIDLLQEGLEPGNKDLVVLTKLMLSAKKMVHKCSRVRLYNLVKINSYTKKIKQINDDFVKFCQIDLQLIQHRDQLRSRSQTSLNMKESPRPLQKQVQESLKMEDLSSPEAKELRLAIQESLLLRRQQEDHGKGKQVQEDELVAVALQESLNSFKDNGKRKQVEEDELVAVALQESLNSFKDNKRRKQVQEDELVAVAIQESLNSFKDNGKRKQAQEDEIAARALQERINNGSSSFTRAHSFDEDDIQWVILESLTKKQRKSI >A07p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18223395:18226404:-1 gene:A07p033310.1_BraROA transcript:A07p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASERPQFISNNGGNSSFSDAPLIDNSDPNQIIVPEKKSWKNFFAYLGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYSKVPNFLLWVVAEIAVVALIGTAFALNMLFHIPVWIGVLLTGLSTLMLLALQQYGVRKLEFLIAFLVFTIAICFFVELHYSKPDPREVLHGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSATGIKEACRFYLIESGLALTVAFLINVSVISVSGAVCNALDLSPEDRAKCEDLDLNKASFLLRNVVGKWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLEPWLRNFLTRCLAIIPSLIVAIIGGSAGAGKLIIIASMILSFELPFALVPLLKFTSCKMKMGSYVNPIAVSALTWVIGGLIMGINIYYLVSSFIKLLVHSHMKLGLVVFCGILGFSGIAIYLASIAYLVFRRNRKAIPLLASTNSQTVETLPRQDIVDMQLHGKLSTSDVD >A08p039780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22816182:22817850:-1 gene:A08p039780.1_BraROA transcript:A08p039780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMASASGSALRFTEPPSSRAIRREFGAFCLAPRTVTFGFVDKSITNLHRLRLSSLKTRASNATAVEENANAADSDKVPTPVVIIDQDSDPDATVVEVTFGDRLGALLDTMNALKNLGLNVVKANVYLDSSGKHNKFAITKADSGRKVEDPELLEAIRLTVINNMLEFHPESSSQLAMGAAFGVLPPTELVDVDIATQVSIKDDGPDRSLLYIETADRPGLLVELVKIISDISVAVESGEFDTEGLLAKVKFHVSYRNKALIKPLQQVLANSLRYFLRRPSTDESSF >A09p011440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5773990:5775213:-1 gene:A09p011440.1_BraROA transcript:A09p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAPCQILEMNIISAQELAPVARCMKTYAVAWIDPERKLTTRVDNTGGTSPTWNDKFVFRLDEEALYDGTSIVVIEIYALHWFKDIHVGTVQTLISDLVNPSSGMRFVTLEVLRASGRPHGLLNIAVGLIDNSDQSMPLLFEEDLMFHKTNITSKPVGLRRSKSDTSSMVESPRKKVTQQQTTRVSSTTNSGFEKDEFSSDSQMVVYEPQRKTPNTMSRQTKHIVHGTPMRPRNTNAFTPKRNSIEYGTPMRSRSRPVVITESDLGPSASVVAAQIAKEKALTGRDAESTVISVGERSVEGLRSKLERWQANLPVVLDVGSSYQPSSDYKTSSNFKPKSSYKPNETVPRNQQMIVAPLPKQGGRKKKGGDNGLFSCFGNICGIECSIVCGGSSGQKASKKKKKKK >A09p062900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51272053:51275891:-1 gene:A09p062900.1_BraROA transcript:A09p062900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYGDRLKSTSINGVKLYHVSSAPNVATWLNPKKQRALRKNPQYMQRVELIQDLKFETATTRIKSTPDGEYLIASGIYPPQVKVYELGQLALKFERHLDSEIVDFEVLDDDYSKLAFLCADRSIHLHAKYGKHHTLRIPRMGRDMTYDNWSCDLLCAASSPDLYRINLEQGRFLSPLTTQSPALNVVSRSNLHGLVACGGEDGAVECFDMRMKSSAARINAVTHGGDAASEVTAIEFDDSEGLQVAVGSSAGKVFIYDLRTSAPIHVKDHMYESPILSIKWQRTLNTQQPKLITTDKQIVRIWDPNTGEGMTSIQPSGGGINDICVFPGSGLMLLALDSSLIPSYFIPELGPAPKWCSPLENLTEEMEETGQTTIYDNYKFVTKEDLEKLQMTDLIGTDLLKAQMHGYFVNHNLYKKALTVADPFAYDKYLEDQKQKKLEADRSQRITKKRRLPKVNRDLAATLQNEEDGEEEKKSVEDEEAAKKASKKKKLGLSDENFTDGRFGAMFQNPDFQIDPESYEYGVLHPVASSKKQPSLLDEHFEAVTDDDENSDSDASQGSDDESEDGRPSKKSKTPKLFEVKDERHAEAFHHRRSLAKEDSLPMGERVKALENRRGNFGGSKDVKFGPGGSRELSFNARRSSTYKEDRDDRDDEDGDGQRNKRRGVQSLGLKQDVVRGGFRGRGGGGFRGRGGGGSRGRGGGGRGGGGGFRGRGGRGGGGRGRR >A02p005300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2309438:2310562:-1 gene:A02p005300.1_BraROA transcript:A02p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGETVIGVPYYAGHNPYQAGIVPPNAIYGDPMGAPIQQTIYRDTPAPFNCLYCGNTGLTSIRPVSEWITNLRYKQLIRSKPGVAAVVACMMPFMLGFCFLCPSMDCLWNKYHHCPQCGNKVADFEKSDPCLVMDPPQWKQPSFALPA >A03p023780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10034939:10037536:1 gene:A03p023780.1_BraROA transcript:A03p023780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICHGKPIEQQSKQSTSVSSDQTPAKSSGFPLYSPSPLPSLFKPSPASASSTPLRIFKRPFPPPSPAKHIRAFLARRNGSTNKPNEVSIPEGKEFEIGLDKSFGFSKHFASHYEIDGEVGRGHFGYTCSAKGKKGSLKGQEVAVKVIPKSKMTTAIAIEDVSREVKILRALTGHKNLVQFYDAFEDDENVYIVMELCKGGELLDKILQRGGKYSEDDAKQVMVQILSVVAYCHLQGVVHRDLKPENFLFSTKDETSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRTYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKSVPNFEEAPWPSLSPDAVDFVKRLLNKDYRKRLTAAQALCHPWLVGSHELKIPCDMIIYKLVKVYIMSTSLRKSALVALAKTLTVPQLAYLREQFTLLGPSKNGYISMQNYKTAILKSATDAMKDSRVIDFVHMISCLQYKKLDFEEFCASALSVYQMEAMETWEQHARRAYELFEKDGNRPIIIEGLHRNSDSDHQYLCMLCFRIG >A10p018700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13023345:13026144:-1 gene:A10p018700.1_BraROA transcript:A10p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKADNSLMNLSLCYERKPQFEFKCVLADNFFSPFEHLNVSSSTEKCHPFRKEIGALIDNPPEHSFWTSFTKEDTSKDCMWVDSSLQLRELANKLAEQNVFAVDTEQQNLRSYLGFTALMQISTREEDYLVDTVALHDEMALLRPIFTNPKICKVFHGAQNDIIWLQRDFHIYMVNIFDTYTACLVLEKPQKSLEGLLQTICNVDKTLRTRDWTERPLPPKMLRYARTDTQYLLWIADVLAAELKELKKYDECIRRCNKVCLTLYAKGQEDFKLANREQYDCRERAWKLCIWRDLMARIHDESREFVLSNEVMLEFARKVPTTYDVFKSTADQQRGGKIDEVSVIFLCHYDDYFNQIMSDKSVALETAFPLILQKCLGMNGTCGINLLNCSLLGNFIIIPFSLLVKKSGKQTSKVSQIPIRKPDVAVYESDGTLKFRCNHGKGQWYLKMGLAEQTQIHGEYALQLKTETLGGPEKVEKKKDLCNSCGKEGIELNRHRIVPPSYRKYFTETLKMDQSRDMVWLCQKCQKIALEAGERYKKTMSSRYEVSQPSHQKMVEAKEHVNIRRAAAALLDRPTIPESRREEYMEIVERHYGRSNLSREDLEGVRKIGLMSSKEEKNETSVEKQIIDNLLKNSGEDGVKRFCEEWRQQFVNDLHPTNLPSEWGIQESFVTLTVSISARAHELSVYR >A04p027830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16790969:16792111:1 gene:A04p027830.1_BraROA transcript:A04p027830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRWSLQGMTALVTGGAAGIGYAIVEELASFGAKVHVCDISETLLNQSLSEWEKKGFKVSGSVCDVPSRPERETLIQKVSSLFDGKLNIIVNNVGVLRGKPTTEYGADDFAFHISTNLESAYHFCQLSHPLLKASGYGSIVFISSVSGAVSINGASIYSLTKGALNQLARNLACEWAKDGIRANAVAPNIIKTPQAQPYLEDVSFREGLFGRTPLGRAGEPNEVAALVVFLCLPAASYITGQTICADGGLTVNGFSYQPQA >A01p005410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2315839:2320143:1 gene:A01p005410.1_BraROA transcript:A01p005410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFKGQPRLPKFAVPKRYDLRLTPDLVACTFTGTVAIDLDVVADTRFIVLNAADLSFNDASVSFTPHNSSQALAAPKVSLFEEDEILVLEFAENLPHGVGVLQMAFSGILSDKMKGFYKSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSDLVALSNMPVVDEKVNGNVKVVSYQESPIMSTYLVAIVVGLFDYVEDHTSDGIKVRVYCQVGKADQGKFALHVGAKTLDLFKEYFAVPYPLPKIDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAASNKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLDESTEGLRLDGLEESHPIEVEVNHASEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYVKRHAYSNAKTEDLWTALEEGSGEPVNKLMSSWTKQMGYPVVSAKLKDGKLELEQSRFLSSGSPGEGQWIVPVTLCCGSYDVRKNFLLESKSGAYDIKELLGCSVGDGTCSWIKINVDQAGFYRVKYDDSLAAGLRIATESQSLTAIDRYGILDDSFALCMARQQSLASLLTLISAYKKELDYTVLSNLIVISYKVVKIAADVSLELTSGIKQFFIGVFQLAAGRLGWDPKQGESHLDAMLRGEVLTALAAFGHDETLKEAIRRFDAFLADRNTSLLPPDLRRAAYVAVMQRANKSDKSGYESLLKVYRETDLSQEKTRILGSLACCPDPSIVQDVLNFVLSDEVRNQDAVYGLSGVSWEGREVAWKWLQEKWEYIEKTWGSGFLLTRFISAVVSPFASFEKAKEVQEYFITRSKPSMARTLKQSIEKVHINANWVESIKKEDNLTHLVAQLSSN >A07p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8944960:8951015:-1 gene:A07p014410.1_BraROA transcript:A07p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFGDPNLCVDGSNSTFSSAGKMFWRMAGLSTASAVEAILDKDGFTLEDLLDEDELIQECKALNGRLLNFLRERVQVEQLIRYIIEEPPEDVENKRTFKFPFIACEIFTCEIEMILKTLVEDEELMLLLFSFLEAKETHNSLLAGYFSKVVICLLVRKTIPFMQFIKDHQEILKQLVDLIGITSIMEVLKRLVGTDEHLYANYTSAMQWVEDTDILEMIVDKFGSSDCPEVHANVAEILCTVARYAPPGLATKLSSPSCTGRLLKHTLEDSRPKSVLVNSLSVCISLLDPKRFTLGTYPIYGRQLTLGSMVPNPETVEGMLGSLGDLLVLLNVSSSEGVLLTTYGKLQPPLGKHRLKVVEFMSVLLTVGSEAAEKEVIRLGAVKRVLDLFFEYPYNNFLHHHVENVILSCLESKNSQLLDHLLSECNLIGSILEAEKDSILTAADSDKLQPTVPAEGRKPLRIGNIGHLTRISNKLLQLANSNAEIQSHLQENSKWVDWHTDVLSKRNTLENVYSWACGRPSSLHDRNRDSDDDDYHDRDYDVAALANNLSQAFRYGMYSNDDMEETQGSMERDDEDVYFDDESAEVVISSLRLGDDQESSSLFTNSNWFAFDDEKTANEGSMASPPPNADDDDDVVIGEADEDFKDTVDSSPPVDMETEDSTTTKNPSENPSEPEAEKSAAWVEWRETSESTGPCSNPDEAATLPNGEVQIEKEADGDDDTDKKSAEDPPTGACSDETAEKSPDAASGDEIAEKLKDSGPDASEVAAAESHEHENAQSSEPAITQETEKSQEADVAAETEEAVKEEEKVV >A09p035510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21313278:21317710:-1 gene:A09p035510.1_BraROA transcript:A09p035510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPERLGGISLSFPANEDDSATASSPKTLPRRLRRRLLEPRSPVSAEEIDSKLRDADLRRQHYYESLSLKARPKTRSPRSAPIEELSQRLQSKLNAAQQKRLSILEKDLARLAKLDEARQAAKNGLLQRVEKQRDELETKVEERVQKAEKNRMLLFKAMAQRRAAKRQRAAQSLMQRAIQDNRYKESVRAAMYQKRAAAESKRMGILEAERRRANTRLRQVFGAASSVQSLKEAERRKMKDRLEERLQRAKKLKAQYLRRRRGVDGARQRSETMRKKQAHLVRMLERCWRRFAKYKKSTIVLARAYRDLGIDAKSIESVPFEQLAIQMNSVAVIRTVKELLDRLEIRLTLSQGSTVTNINHLLKHIVPPARRGNSPSSVSQSEQRSPNFKKMEHENLKKIARYPARIFLCAYMIKQHPDAIFRGRGEHEIALVDSATSLIREFELLVKIILDGPGDNVSLLTPGPKKFKSQLEAFDETWCSYLEGFVVWKINDAKLLENELAKPQKPELPEGSKHTSLDQKPLKVSSPTVRAILTETDGGGVGESKASADSLRQSSSSPGSSSSSPSLNSGIEGISPPNVTGLDATLASENEVIVNEIVHENSSTFADSFDAGTGDLNNLQVKVKETMEKAFWDGVMESMKQSQPDFSWVIKLMNEVRDELCEISPKDWRQEIVQTIDTDVLSQLLAAGNVDMGYLGNILEFSLGILLKLSAPANEEEIRSTHHKLMTELGEIVPTEDQSNSSYAVLMVKGLRFVLQQIQILKKEISKSRLKLLEPLLKGPAGLEYLKKSFSSRYGSPDQAASSLPLTKRWLFSVRGEAEKEWDEHKDALSAITNNNPGSSGLPSTTMRTGGNVPSVSKVNAPSSAFPGIELSECKGETVDLLVRLGLLKMVSEIGGLTLETIPETFQLNLTRLRAIQSQIQKIILVSISVLILQQTLASHKASDVETITWTCINRLYEMLDAKPDAGLSEIMETFSELLDSGDAETKKQVIANMLVKSLQAGDPVFTRVSQTIYLATRAAVMAGNNTKRKQLVETMLRRIGAASLADKVIEVSDILVLVANVSRSVHGLWYEELLKKPNFN >A09g512860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38621147:38628899:-1 gene:A09g512860.1_BraROA transcript:A09g512860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRISFGRYYKLEAGRELSRTGSKHDGIEARRENPKFGENPNFGIIDFSKKPEAREIFSIKVRIHLEFIKNIHLIRTGVEKYSGLIAGRKFTGRVEISRMDREARAGLIYEIRTSTRCRETCDRSMLSDMCALSVNLGEVRPWIRHLEDMVSLCMMSWSCHQTCGARGAATHASGAMRGDTRAATRLRKLEKESSSFDRFRVFSAVFENSYSTRFESTSKRGSARLKISSEVGLLVKVKKGFGMQKTDSGSRPRSTKNNEGTMIRNFMYGLKPEIGSRLAGSNFNSLSDLVEKAVNVETAVETEKMVTQYSGRHSKFNQGERSNYNKGPRFNKGKGRGGQSNYRGNSGVCYTCRQPGHISRVCPNNQRNNQRGNQQGYPQIRIEDVTCFSCGKKGHYASSCPNKPIPATPLAIRAPPSRPAIEPAPKKQNLGGRVYALEIENPDNAGPSHGPITEARRFRNPSFLSH >A03g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7367529:7369403:1 gene:A03g502300.1_BraROA transcript:A03g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNISKASSAISFFRCSRNLASQPCVRQLHIRKGLVSRVMKLVSSPLRTLRGAGKSIRVSSFCSVSSTISSLQIEMVIGSALDRDRIPGIDIALKDGDKWMFAGHEVHVMDTPGHTKGHISLYFPGSRAIFSGDTLFSLSCGKLFEGTPKQMLASLKKIISLPDDTSIYCGHEYTLSNSKFALSIEPNNQVLQSYAAHVADLRKKKLPTIPTTLKMEKACNPFLRSSNTDIRQALGISETADEAEALAIIREAKDNFKA >A05p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3375959:3378091:1 gene:A05p008320.1_BraROA transcript:A05p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPDGEEGILLLEFHGNGDRSWQLNFDDFQVSPEHKEKKSPSKLHNCLGCLGPEDNVADYYQQQVEMLEGFTEMDELAERGFVPGMSKEEQDNLAKSETLAIRISNIANMVLFAAKVYASVTSGSLAIVASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTMLSSHKEFSLTKEQESWVVGIMLSVTLVKLLLVLYCRSFTNEIVKAYAQDHFFDVITNIIGLIAVILANYFDNWMDPVGAIILALYTIRTWSMTVLENVNSLVGKTATPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGESLQEKLELLQEIERAFVHLDYEYTHKPEHARSHC >A07p050600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26975238:26976960:1 gene:A07p050600.1_BraROA transcript:A07p050600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAREEYVYMAKLAEQAERYEEMVEFMEKVSAAIDGDELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNDDHVKAIRDYRAKIETELSGICDGILKLLDDRLVPAAGSGDSKVFYLKMKGDYHRYLAEFKTGQERKDAAENTLSAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDDAGEEIKEASAPKPTEEQ >A10p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1765509:1776162:-1 gene:A10p003490.1_BraROA transcript:A10p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSLIYSFVARGTVILVEFTDFKGNFTSIAAQCLQKLPSSNNKFTYNCDGHTFNYLVEDGFTYCVVAVESAGRQIPMAFLERVKEDFNKRYGGGKAATAQANSLNKEFGSKLKEHMQYCMDHPDEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQNNGDADEKKDVASEHEDKTHSSRHHHCSDSHNRALSLPWLQVLKPQKKYKTLLRSFSLAHHQIPICHFPARFRSDLEMEFDIPLPEELELLEANSYYPEEEEDDDYLNFEEAPYPYPIDGDEEKEEEREANPQQSESPDINGCKRPRSLVSDPIVNLEEDSSPAAEKRSKIDDNNRVEMEDEEWLRVPPIKKVVQVMEEEEEVIIPQETILSRYASEIDGECFPITAPDGGDRVYAKFNRALGDEEVKKLDVKAKSNGLIKDPISLLLEQSEKEAFNKVLQASSEDQNESITAETSVMHERLWVDKYSPSSFTELLSDEQTNREVLLWLKQWDASVFGSEIRSTTDEVLAALKRHSTPSHRQKSDSAFTRKKQFSRWSKDSSSFPKNSDASDSNATDNHDLRNKKSKLIGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYRVVEINASDERSAAAIETRILDVVQMNSVTADSRPKCLVIDEIDGALGDGKGAVDVILKMTSSKKERRTAPLSRPVICICNDLYVPALRPLRQIAKVHVFVQPTVSRVVNRLKYICNMEGMKTRSFGLSALADYTECDIRSCLNTLQFLNKKNETLNVIDIGSQVVGRKDMSKSLFDIWKEIFNKRKMKRERSNDASGSEAKKFDFLHSLVSSRGDYDLIFDGIHENVLQLQYHDPAMDKTVSCLDCLGTSDLLHRYIMRTQQMHLYAYLPSLVIPIHRRVAQIQRPTIQWPKSYHRCRTLLVEKQESLRSWHHKIPPYIGRHLSIKSFVEDSVSPLLHILSPPTLRPVASHLLSEKQKDQLASLVMLMHSYSLTYKNVKLDPAMSNLREGSASDASVLALDPHLFDFISFKGRQYKHHVLTLAMKQVLAHEVEKQKILQASGGRSGILSKPPEIKKINPDLVRKTIAASKESHKNPVISKPPSVSVENATTSKPKPSDVKKASRTALSFFDRFRKSRKDYEDPEDVQKRATAKRDSRPLLFKFNEGFTNAVKRPVRMREFLLPIKQKMVQYNFKKITVVPNGKDFIDIILSRTQRQTPTVVHKGYKINRLRQFYMRKVKYTQTNFHEKLSTIIEEFPRLDQIHPFYGDLLHVLYNKDHYKLALGQVNTARNLISSIAKDYVKLLKYGDSLYRCKCLKVAALGRMCTVLKRITPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLVIVCNKTDLMPMENVSEEDRRLIEEMKAEAMKTAMGATEEAVLLKMSTLTEEGVMAVKNAACERLLDQRVEAKMKSKKINDHLNRFHVAMPKPRDNVERPACIPQVVLEAKAKEAAEKEKRKTEKDLEEENGGAGVYSASLRKHYILHHEEWKEDIMPEILDGHNVADFIDPDILLRLEELEREEEIRQANTEEEDFEMDGEELTEEQKQQLAAIRNKKAVLIREHRLKKTVAQNRSTVPRKFDKDKKYTTKRMGRELSSLGLDPSSAVDRARSKSRGRKRDRSEDAGNDDAMEVDDEQQQANKKLRVRSRSRSMSIARSQSRPPAHEVVPGEGFKDSTQKKAAIKISNSSHKKRDKNARRGEADRVIPTLRPKHLFSGKRGKGKTDRR >A09p067810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53342554:53344496:1 gene:A09p067810.1_BraROA transcript:A09p067810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPLRKDNKMHWWFTHKKLVDNYIKDAKSLMASEDQNDAASAIHILDAALSISPRSEIALELKARALLFLRRYKDVANMLQDYIPSLTLAAKDEEGSVSSDGSYSSSSSSSSSSQLSRKLLSPNRDSSSASTPFTCLSLSDLKEKVMAGICRNGDKEKQQWRYAVLGQACCHLGLMEDALVLLQTGKRLATAEFRRQSICWSDDSFCILLSDSESSSSAYSPPRKLPECETVSRLLAHTKVLLRRRAAAFAAFDAGHYSESIRHFSKILDGRRRPAPQGFLADCYMHRAAAYRSAGKIAEAIADCNKTLALEPSCIHALETRAALLETVRCLPDSLHDLEHLKILYNTILRDRKLPGPPWKRHNVKYREIPGKLCVLTTKSKFLKAKIANGEIGNVDWYGLIGVRRGCSRSELDRANVVLTLRHKPDKALLFIDRCDFADQKEVIAVKDRASMASLLLYRLIQRGYSVLTATIAEEEKRKMTMVLTQKSTKVVEEHEPVEKPGSVKINGFSDIKPGNSNAYQGVFCRDLAAVGSLLSRTGFNQPIPMKYEALSC >A10p021320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14348285:14349422:-1 gene:A10p021320.1_BraROA transcript:A10p021320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP1 [Source:Projected from Arabidopsis thaliana (AT5G57900) UniProtKB/Swiss-Prot;Acc:Q9FDX1] MDDWGGLAPEILTNIISRLTIQERWTGPMFVRKSWLAVCRDPYLWSSFDLEPWFESYPESTQWWSPDFERKIDSMIRSVVDWSDGGLTEIRVRHCSDHALSYAAQRCPNLEALAVRSSPHVTDASMAKVAFRCRSVKELDISYCHEISHDALVMIGRNCPNLTTLKRNLMDWSDSSRHIGSVVPTEYIGACPQDGDREAEAFGKHMMNLERLEIQFSRLSAKGLAWVCEGCMKLEYLDLFGCVHLSSRDIANNVSRLKGIKEVKKPDVYVPRSGPVVAQTERYGHWRLYDERFDIQSMRI >A09p015780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8203580:8206769:1 gene:A09p015780.1_BraROA transcript:A09p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MDAR6 [Source:Projected from Arabidopsis thaliana (AT1G63940) UniProtKB/TrEMBL;Acc:A0A178WFH3] MSPVRRAMALASTTLPAKTGLSLWCPTSPSLARRLPVRFSSRIASRGLVTASFANENREFVIIGGGNAAGYAARTFVENGMADGRLCIVTKEAYAPYERPALTKAYLFPPEKKPARLPGFHTCVGGGGERQTPDWYKEKGIEMIYEDPVTGADFEKQTLTTNTGKQLKYGSLIIATGCTASRFPDKIGGNLPGVHYIREVADADSLISSLGKSKKVVIVGGGYIGMEVAAAAVAWNLDTTIVFPEDQLLQRLFTPSLAQRYEELYRQNGVKFVKVVIGIGAKPAIGPFETLSMNKSIGGIQVDGLFRTSTPGIFAIGDVAAFPLKIYDRMTRVEHVDHARRSAQHCVKSLLTAHTDTYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSGRLKGVLVESGSPEEFQLLPKLARSQPIVDKAKLASASSVEEALEIAQAALQS >A06g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19841323:19841663:1 gene:A06g507150.1_BraROA transcript:A06g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKPKSKNFRLQPPHSTINFISYLVEVIFVILNNSGSIGYPFRSGFGSDNTHNPKYQKTRSIRSGLVRIFGFDLFAQP >A09p013570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7029421:7031484:-1 gene:A09p013570.1_BraROA transcript:A09p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIIIRNTYTVVPAEPTWTGRFPLAEWDQVGTITHVPTIYFYDKPPDSFQGNVVETLKNSLSRALFHFYPLAGRLRWLPQDRLELDCNAAGVTLIEAESEAELIDFNNFLGTEEFEKLVPQVNYKSPIETIPLFLAQVTKFKCGRISLSVKVSHAVVDGQSALHFLSEWGRIARGEPLETVPFLDRKVLWAGEQLPPFATSPQYEGKEFKEPPLLIGETDCVEERKKETVVAMLKLSKSQLQKLRSKVNTSEYADPARGFTRYEMVTGHVWRCACKARGHSPEQPTGLVISVDARSRVQPPLPRGYFGNATLDVVAASISGELITNELGFAAGKISKAIKNVTNEYVMTGIEYLKNHEDLKEFQDIHTLGSTEGPFYGNPNLGLVSWLTLPMYGLDFGWGQEVYMGPGTNDLDGNSLLLPDKTEDGSLILATCQQVVHMEAFKKHFYEDI >A06p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2390458:2391380:-1 gene:A06p006940.1_BraROA transcript:A06p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGILATLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFVESKRELDALLSDEALASVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKSNVSLGESGVRPLEVFMCSIVRKMGYGEGFKWLSYYIK >A01p048690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27414490:27415054:1 gene:A01p048690.1_BraROA transcript:A01p048690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTTLVMVFSVFICFTLSHGKDGDCYDQIPFPEKCSKLHGDKRCFRDMLSKNITRRFLSCNCINREPDKSPGNLQTDLHHSKHPGHICNCLRAVAGDCVPDA >A10g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4631920:4634665:1 gene:A10g501660.1_BraROA transcript:A10g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIFSVNSVSYRVELAIADSTFEGAFVCFDGVMTKLHNLRASEADLTITRILKEHERVPVPDFVVKGRNDGDDADMADGGPVPVRVETGEGSSDADKNTDAKPADASAKKRTHSSTKMAKKLDTSSYGFMFQSTAGRSSLDVAAIRLVDVPEKARKLLNLLEQSKDPRFHALPLASQRVAAFADTVNKLVYDILISKVRQRLGEQLEPLAEGISTNGDSKNEDAQFFATEWMFKVAEGATALYMEQLRGIQYISDRGAQQLCVDIEYLSNVLAALSMPIPPVLATFQTCLATPRDELKDVMKSYAGSELDFPTANLVCKMRRVSFD >SC165g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:110241:117499:-1 gene:SC165g500080.1_BraROA transcript:SC165g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRMSLVANGKWRDFGKQSHGSVKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVNPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A05p042430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25666109:25670402:-1 gene:A05p042430.1_BraROA transcript:A05p042430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGSSGRLIGSEIHGFHTLQDLDVQTMLEEARSRWLRPNEIHAVLSNPKYFTINVKPVNLPTSGRIILFDRKMLRNFRKDGHNWKKKKDGRTVKEAHEHLKVGDEERIHVYYAHGEDNTTFVRRCYWLLDKARENIVLVHYRDTQEAATTSGDSNSPSAHSSSNLVAVEDIEFNRDESLYLAINNTPVVKTHENRLHDINTLDWDELLVQSDLDNQSAPIVDDMSYFTEHLQNATKDSAEHLTVADESLDALLNNGPQSRENFGRWMNSFIGDPNGSLEDPSFEAMVTLDQNPLDPQATFHPHSDLPQQVFNITEVSPAWAYSSEKTKIVVTGILHNSYQHLGSSNLFCICGDSCVPAEYVQAGVYRCFIPPHSPGMANLYLSADGHEPISQCFTFEHRPAPFSAKTVLENDQESKWEEFELQVRLAHLLFTSSNKLNVLSSNISPENFLDAKKLSNKTSHLLNSWAYLIKSVQGSKVSFDQAKDQIFELTLKNRIKEWLMEKVLEGRNARDYDSKGLGVIHLCAILGYTWSIQLFSLSGLSLDFRDKQGWTALHWAAYYGREKMVAALLTAGAKPNLVTDSRKDNLGGCTPADLAQQNGFDGIAAYLAEKCLVAQFVAMKLAGNISGTLETCKGEMSNQGPLPDDEQNLKDALAAYRTAAEAAARIQGAFREKALKAARSKVIQFENKEEEAKSIIAAMKIQNAFRKYDTRRKIEAAYRIQCRFQTWKMRREFLNMRRQAIRIQAAFRGLQARKQYRKILWSVGVLEKAIIRWRQKRKLFIGLQVSEAEAEEKAEEDFYKASQKQAEERLERSVVRVQAMFRSKKAQEDYRRMKLTHEETQLEYEFLHDV >A07p031090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17230968:17233852:1 gene:A07p031090.1_BraROA transcript:A07p031090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G59970) UniProtKB/Swiss-Prot;Acc:Q9SE60] MKVVDKIKSVTEQGQTAFSFEFFPPKTVDGVENLFERMDRLVSYGPSFCDITWGAGGSTADLTLEIASRMQNAICVETMMHLTCTNMPVEKIDHALETIRSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVNHIRSKYGDYFGITVAGYPEAHPDVIEANGLATPESYQSDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGINCPIVPGIMPISNYKGFLRMAGFCKTKIPAELTAALEPIKDNEEAVKAYGIHFATEMCKKILAHGITTLHIYTLNVDKSAIGILMNLGLIDESKITRSLPWRRPANVFRTKEDVRPIFWANRPKSYISRTKGWNDFPQGRWGDSRSASYSTLSDYQFMRPRARDKKLQQEWVVPLKGIEDVQEKFKELCLGNLKSSPWSELDGLQPETKIINEQLGKINSNGFLTINSQPSVNAAKSDSPAIGWGGPGGYVYQKAYLEFFCSKDKLDTLVEKSKAFSSITFMAVNKAENWVSNIGESDVNAVTWGVFPAKEVIQPTIVDPASFKVWKDEAFEIWSRSWANLYPEDDPSRKLLEEVKNSYYLVSLVDNDYINGDIFSVFA >A04p032080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18750585:18751199:-1 gene:A04p032080.1_BraROA transcript:A04p032080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRGLLTNVSSYCQRSFSQIKAPNYLNQIQTRQISTTLTKPASKHSGTEQGVKRNSADHRRRLLAARFELRRKLYKAFCKDPELPSEMREKNRYKLSKLPRNSAFTRIRNRCVFTGRSRSVTELFRMSRICFRGLANKGELMGIKKSSWAARNQKLLFYVGVIHYRT >A05p050960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29663718:29665301:1 gene:A05p050960.1_BraROA transcript:A05p050960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFSSSSFPPALSTRLTRTRTITICSNSSKPMAKRVVVCGGGVIGVCTAYFLAKKGVSVTIVEQSAVACAASGKAGGFLAFDWCDGSPVGSLARASFDLHRSLSEELNGVESYGYRPLTTLSVTVTESKPVSGALGLPDWVNGPVKSPSTIGTTQTTAQVQGRLSGGQAVRLFRAQAPGPAQVHPQLFTRKVLSTAVEKYGVEVLIGKLEEVRVEQGRVDSVVLEGGRVIEADSVVLAMGPWSGKFEMLSSVFRVYGTKAHSIVLEPKEPNAITPHALFLSYYPSNGGGALDPEVYPRPTGEVYLCGMSSQEEVPDDPDQVTSNPESIEVLKRVAKTVSSYLNEENAQVKAEQACFLPSTEDGVPVIGEIPGVKGCYVATGHSCWGILNGPATGSALAELIVDGVATSVDLSRFSPSRFSKRR >A01p006860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3448924:3456076:-1 gene:A01p006860.1_BraROA transcript:A01p006860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPPPKPSLFQLTFLTGDKPLLTLDDEFLRSHTKVLLISEASDKIWEVKLDGNRLAGGWEEFAAVSNFSHGDVLVFRHNGEEIFHVAVSSESDDDESDDTDDSESDESNDTDDSESDESNDTDDSESDDSEDNDEGDSSLVNKSKKQEADSSSDCFLRARVTPYSLIKDRLDLSRDFKFMSFDEHKKPFETYLANEKGRKWTLRLSRNISSGAFYITRGWANFCSANGLSRGDFCYFKLSESGERPVLLLCSHESGNGHEDKEEEEEEECPEAEAVKICSVGGCSNEKNTPSRFLTRKFTPSRFKTGQLYISMLSSGVLRESGIKKSGKITLLDNDGRKWPSYLHKTGQSGGEWCYIREGWREMCEANGVDVNDSFVLELICEDANPIFKFHSKIKNKGKGNIVTSKKRALHARTVEKTPGVEIDGERGSKRGCTRASNRSNTNLQRTQPGSCSVSDQVANVRQIIQDTLDTIRHFNAELKTRERNLEASLLEVDDLGERILGISKILNNNLTLDTEFLRKHTKVLLTSDASDKTWKVKLDGNRLSGGWEEFAAVHDFSDGDVLVFRHNGDEIFHVAVSSESDDDTDDSESDESDDVDDVEDEDNDEGDILVEKNKKPEADSSSGYSCFLRARVTPYSLNKDRLDLSKDFKFMLFDEHKKPCEIYLANEKGRKWTLILSRNISSGAFNIRRGWANFCSANGLSQGDICNFKLSESGERPVLLLCSHESGNGHEDKEEEEEEEECPEADAVKICSVGGCSKEKNTTSRLLTSEFTPNRFKTGQLTISSVFLRESGIKTSMEITLLNKDGRKWSSYLHMSGQRGREMFYMRKGWREMCKANGVEVNDSFVLELICEDATPIFKFHSKVKPPTPTFCSLAFLVKLRAKTFIRDAKNVSRVTFQIEIKEKGNTVEKTPEVSKRGHARVLNRSNSNLKRKQPESCSVSDQVANVKQSILDTLNTIRHFRSELKTREKNLEASLLEVDDLGSAPPVAKYLREKRKKGRPNTDNDLQVGQTVSGEVKGPIDGGYIVDVKVKDSDTKFKDVVFLNRK >A09g518460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56335465:56338343:-1 gene:A09g518460.1_BraROA transcript:A09g518460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVIGQAKNLIDQTRRRPPQPHHHNNLRLLSLLRPPASDTTPVSSPRLFSSSSSDMSGSDSSTSSLPVTLDSINPKVLKCEYAVRGEIVSIAQRLQDDLKTNKDAYPFDEIIYCNIGNPQSLGQQPITFFREVLALCSHTALLDESATHGLFSSDSIDRAWKILDQIPGKATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFMTDGASPGVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEASGWGLEISELKKQLEDAKSKGITVRALAVINPGNPTGQVLSEENQRDIVDFCKKEGLVLLADEVYQENVYVPDKKFHSFKKVARSMGYGEKDISLVSFQSISKGYYGECGKRGGYMEVTGFTSDVREQIYKVASVNLCSNISGQILASLVMSPPKPGDDSYDSYIAEKEGILSSLATRAKTLEEALNKLEGVTCNRAEGAMYLFPCINLPQKAIAAAEAAKTAPDAFYCKRLLNATGIVVVPGSGFRQVPGTWHFRCTILPQEDKIPAIVNRLTEFHKSFMNEFRD >A04g505310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11422254:11422430:1 gene:A04g505310.1_BraROA transcript:A04g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTNSLKTDTVKLINTATTCLRKTKEKLDEEDDELVKDGHHETHEYGDGGSPRERR >A06g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23257013:23257537:1 gene:A06g508220.1_BraROA transcript:A06g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLKTNLSATTCSFSSSVYSGCWRGRINVGTVVNSARRRHDVGGLLVSGCLSLPDSSSPPSSISGPKTKLYVSDLMTKGLMYGFAFLRYETEEESLKAIQGMHGKITRSKS >A09p076420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57176828:57178739:1 gene:A09p076420.1_BraROA transcript:A09p076420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Fructose-bisphosphate aldolase]-lysine N-methyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G14030) UniProtKB/Swiss-Prot;Acc:Q9XI84] MTASVAVATGFLRSPSVSKSQNTSFLFTRQRISPKKSSVRPISASASELPENVRSFWKWLGDQGVVSAKSAAEPAVVPEGLGLVARRDIGRNEVVLEIPKRLWISPETAAASKIGALCGGLKPWVSVALFLIREKYDEDSAWRVYLDVLPESTDSTIFWSEEELAELKGTQLLSNTLGAKEYLENEFSKLEQEIIQPNKDMFPSGITLDDFMWAFGILRSRAFSRLRGQDLVLIPLADLINHNPEIKTEDYAYEIKGAGLFSRDLLFSLKSPVYVKAGGQVYIQYDVNKSNAELALDYGFVESNPNRNSYTLTIEIPESDPFFGDKLDIAETNGMAETGYFDIVEGQSLPAGMLQYIRLVALGGSDAFLLESIFSNTIWGHLELPVSRSNEELICRVVRDACKSALSGFATTIEEDEKLLEEGKLDPRMELAIKVRIGEKKVLQQIDQIFKDRELELDLLEYYQERRLKDLGLVGEQGEIIFWE >A02p012000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5220753:5222874:1 gene:A02p012000.1_BraROA transcript:A02p012000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTQKARNGSQDVPKKVSPRAARPLKIPALEPDSTSSPVSANSRTPKDKSPKVPNRRSPRSPVSEKKRPSRITELESLVSQLQEELKKAKDQVTVSETAKKQAEEEAEESRKELQEVSSKLQETQNHSLEVSALEECDLEFDERRGLAVVVQEIRQLKHQIEMVASSEAGHVKQAELRNSEIHLLRGNLMDTLFLVENFRDQLKDCEVSEAETEALATETLRQLENAKKAVEELKSDGAKAVESYKKMAAELEQTKARMVWLEGLVTKLHANPEDLENNETLLKDYEEVSSLRCEVERLRAALEASEQKDQEGNVEASSRLRIQAELQSELKIAKSEIDELKARLVDKETELQFVSEEKDNLYSKLMDNQKETDVEAELKLLREELENLKADMMDKETELQIVSDENETLKSDIHKRERDVQDALVKLGIAMEEADKSSKRAVRVAEQLDATQASNSEMETELRKLKVQSNQWRKAAEAATSMLSAGNNNGKFGENCDQTNSPYAEDVDDEVAKKKNGNVLKKIGVLWKKPQK >A01p047330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26689139:26690711:1 gene:A01p047330.1_BraROA transcript:A01p047330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKMVMITDLTFDLEKKILALVPKESRPHAWQTICKRWYAVRQDLLSKKHLARTGREFILLLNTNVFSTTINLEGVHNNVDPVMEFGGKLGSLQDSNDLQIHDIFYCKGLVLCTMVGKQMLVVCNPSNRETRYVEPRTSHDCFEYALGYKGSKASCVNSYKILRYCRYFDKQAMRTVSEFELYDFMSDSWTVLDVHEHDWEISARGVSVKGNTYWVAKENEDQFILSFDFTRERFGFLPLPYESAGPEDSMNDEYDDTAVLSVVRDEQLSVLHQYLHLDLYEMKIWVSNMIGTKKVSWGEFLVVDVVLLNVVSFVVDEEHKVAVCCSTGKDDPSDDSEEECTSISIIGKNIQSLVYDEGAIHGSWPHLINYVPSPVQILRKSTRKSKRKRTTRRHQPEEGTSARSVEETKVTPKAKPKARRSF >A03p032290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13655722:13659705:-1 gene:A03p032290.1_BraROA transcript:A03p032290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQDIGFSAAINLMSAFAFLFAFAMLRLQPVNDRVYFPKWYLKGIRGSPTRSRGVMNRFVNLDWTTYVKFLNWMPAALRMPEPELIEHAGLDSAVYIRIYLLGLKMFVPITVFAFGVLVPVNWTGETLDKIDDLTFSNVDKLSISNVPPGSPRFWAHLSMTYVFSIWACYVLYMEYKTVATMRLRHLASETRRPDQLTVLVRNVPPDPDESVNEHVEHFFCVNHPDHYLCHQVVYNANDLAKVVAQRKAMQNWVTYYENKYERKPSSRPTTKTGYGGFWGTTVDAIDFYTSKLQNLAEQEAVEREKIMNDPMAIVPAAFVSFRSRWGTAVCAQTQQCHNPTIWLTEWAPEPRDVFWDNLAIPYVELSIRRLLTTIALFFLIFCFMIPIAFVQSLANLEGIQKVLPFLKPLIEMKTVKSVIQGFLPGIALKIFLIILPTLLMTMSQIEGYTSLSYLDRRSAEKYFWFIIVNVFLGSIITGTAFQQLKSFLEQPPTEIPKTVGVSIPMKATFFITYIMVDGWAGIAAEILRLVPLVVINVYDQKYESGARYWPDVHRRLTICLIISQLLMMGLLSTKKLAKVTVLLLPQPILTIWFYRYCAGRFESAFSRFPLQEAMVKDTLEKATEPNLNLKEYLKDAYVHPVFKGKDLDRPRVVDEEESNPLVPTRRTSQATTRYNSEASSTTNTPVANKHSGSPGTM >A06p037360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20217981:20219901:1 gene:A06p037360.1_BraROA transcript:A06p037360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKNIYHHSYTFSFLLVFLVLIIFHPALSTYVNTMLSSESLTISSNRTLVSPGGVFELGFFKPSGRSRWYLGIWYKKVPRRTYAWVANRDNPLPNCSGTLKISGNNLVLLGQSNNTVWSTNLTRCNLRSPVIAELLPNGNFVMRYSNNNNSSGFLWQSFDFPTDTLLPDMKLGYDLKTGRNRFLTSWRSYDDPSSGNTTYKLDIRRGLPEFILINQRVEIQRSGPWNGIEFSGIPEVQGLDYMVYSYTENNKEIAYSFHMTNQSIHSRLTVSDYTLNRFTWILPSQGWSLFWALPTDVCDSLYLCGSYSYCDLTTSPNCNCIRGFVPKNTQQWDLKDGSQGCVRRTRLSGSGDGFLRLNNMKLPDTKTATVDRTIDVKKCEERCLSDCNCTSFAIADVRNGGLGCVFWTGELVEIRKHARRRWSRSLRTG >A09p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13020656:13023264:-1 gene:A09p035550.1_BraROA transcript:A09p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAANLSITLFGGEPHDHPIRRQTSRSPYSAVNLSITLFGCDPLEGLISSSRRLDLISICGIRKSHLVIKGFLSEFLFLEKALILFLLLSDLSSCLKVMEHDEECFPTGVGGGPNGGQSSSASQYMLVSSSHSKRARRSNAWDYFTIGKDENGQERAYYNGEGEMVTIRASPNGVEESGSSQRTENLQSNP >A09p030610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18671926:18673851:-1 gene:A09p030610.1_BraROA transcript:A09p030610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin-2.2 [Source:Projected from Arabidopsis thaliana (AT4G04860) UniProtKB/Swiss-Prot;Acc:Q9ZS88] MLTSLAYSDRTKASIDSPSGSRVPRRFLRLNLAWRGRIGFDSDYYEIKMAQGVEEWYKQMPIITRSYLTAAVVTTVGCSLDIISPYSLYLNPTLVVKQHQYWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGKTADFLYMLLFGATVLTGIVLLGGMIPYLSASFAKIIFLSNSLTFMMVYVWSKQNPYIHMSFLGLFTFTAAYLPWVLLGFSVLVGASPWVDLLGMIAGHAYYFLSEVYPRMTNRRPLKTPAFLKALFADEPVVVARPENVRFAAAPFDEIHQD >A06p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1919541:1931313:1 gene:A06p005880.1_BraROA transcript:A06p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDYKNKTSPRFASPPSLSRNLPLFSDHLPPQIHSDNKWRVKQNMDATSASSDRSEAVNNQFGVLTLEESNHSVQNPKSYGAISGSSSSASEVVNYLEDFAVDKSTYCRAKIRATFYPKFENEKTDQEIRTRMIEMVSKGLATLEVSLKHSGSLFMYAGHTGGAYAKNSFGNIFTAVGVFVLSRMFREAWGTKALEKEAEFNDFLETNRMCVSMELVTAVLGDHGQRPLDDYVVVTAVTELGNGKPKFYSTSEIIAFCRIWRLPTNHVWLFSTRKSVTSFFAAFDALCEEGIATSVCRALDEVADISVPGSKDHVKVQGEILEGLVARIVSSGSARDMENVLRDHPPPTCDGANLALGLSLREICAANRCNEEQQIRALLTSVGPSFCPSDLDWFGDDSVESHSKNADKSVITKFLESQPADYTTSKLQEMVRLMKERRLPAAFKCYQNFHRANDVSPDNLFYKLVVHVHSDSGFRRYQKEMRNMPGLWPLYRGFFVDINLLKANKGRDPMAMESIDKAVKDAGENCGQQGKDGLDDADANLMIKLKFLTYKLRTFLIRNGLSVLFKEGPASYKVFYLRQMKIWGTSDGKQKEICKMLDEWAAHIRKKCGNKQLSSSLYLSEVEPFLEQYAQRSPKNQGLIGSAGNQVRAEDFLAIVDGDLVEEGDLVKKERGTPATPEPAVKKAVQKDGGLIVFFPGIPGSAKSALCKELLNAPGGLGDGMPVHSLMGDLVKGKYWPKVADECRKMPQSIMLADKNAPNEDVWRQIEDMCRRTKATGVPVVADSEGTASNPYSLDALAVFIFRVLQRVNHPGNLDKSSSNAGYVILMFYHLYDGKNRKEFEGELIERFGSLVKIPLLRSDRSPLPVPVKSILEEGIDLFQLHSRRHGRLESAKGTYAVEWTKWEKQLRSTLIANSEYLNSVQGEYKPPSSEKTKHGSITFAAINLPVTQVHSLLEKLAVSNPTMRSFLEGKKKSIEEKLERAHVTLAHKRSHGVAAVARYGQHLNREVPIQLTELIFNDKMAAFTAHVGSVDGETIVCKNEWPHVTLWTAEGVTAKEANTLPQLYADGKASRMVIDPPASVSGAERRASCENPTSPKTSFASPHWGFSRKLRFLLAMDSDARRNVNISPQGSSPSPKPSKFSVYRNPALAAASTANSIRPSKSALFYIFVLSIASVFSLVSFTAGEKRLTNALTFGRITQEAAYVTVKAWQGLGISLHRAKFAAGAETKDQFSLTSRQLELLGVKKKADQGVSESPKSRPALKPTRSLEPLVPIHQNLTGSAHKSSSAGDKLSSRSGSQISPFNTPSKQMGTPSMYLVPSSSPASSNRASSGQDKAVSSPWSGRRSSARDIATEEQLEQLLAEVDEKITESAGKVRTPPPTVGSFAMASPSPVGGSAGLSGTTRSTPLRAVRMSPGAQKFTTPPKKGEGDFPTPMSLEQAIEGFRQLGVYPQIEYWRDRLRQWCSSVLLKPLLNKIETSHIQVMQTAAKLGVNVTVCQVGGDLSTNGTAPTALPVDRTKGWQPSYSLDEDAILHQLRANLVQAIDATMREWVKGLLPRSSIPADYTVQRIRELAEGTCVKNYEYNGRADAREKNKKWSLEPPTDSHLLLYLFCAYLEHPKWMLHLDPSSYTGTQSSRNPLFLGVLPPKERFPEKYVAVISGVPSTLHPGACVLAVDKQCPPTFALYWDKKVQFTLQGRTALWDSILLMCHKIKVGYGGVVRGMNLGSSALNILQVVDSETDD >A04p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23044966:23045819:1 gene:A04p040860.1_BraROA transcript:A04p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT2G46990) UniProtKB/TrEMBL;Acc:A0A178W181] MGRGRSSSSLESTSKSNPYGPSSSTRNLSTDLRLGLSFGASSGTPYFNGGYGYSVVDPTAEYKVAVAEEEEEENECNSVGSFYVKVNMEGVPIGRKIDLMSLNGYHELIRTLDFMFNASILWADEEEMCGQKSHVLTYADKEGDWMMVGDVPWEMFLSTVRRLKISRAYHY >A09p045030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39703252:39703913:1 gene:A09p045030.1_BraROA transcript:A09p045030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQFFPQLKFIPLSLSLFIRRFLIRRFQAFSESLRQAERQEVEAQHLWKKQKFIFEHAADVLRRRSKLKESDVSKETYTKFQNLESRLAELKSKLKVRDRIIIMLLISSISYIPRSVSL >A09g513580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41334946:41337899:1 gene:A09g513580.1_BraROA transcript:A09g513580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRLGITRFSLLLHCISLYQVLEFPLEFLETSKAPERGTGATCDTRSRRVEVGATSCTEVIHPLQAQLLVDDFHDLERPLGATSRTRARCRATSGATSSTRTGFWRGEARQEELCFINNNGSWYRKEPNFQYNNYQQKSYSNNQQGGYQQRQTLSKGTISLGKTPPLVSTITTISLLKLKEVLHKLQLQIQVWMQ >A09p054230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46810478:46814010:1 gene:A09p054230.1_BraROA transcript:A09p054230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patellin-2 [Source:Projected from Arabidopsis thaliana (AT1G22530) UniProtKB/Swiss-Prot;Acc:Q56ZI2] MAQEEIQKPAASTTTAPVPAKEETPVVPPVKEVSAPVTTDKSVTAPAPESKEEKVVSEKEAPVTVTETEVTVEEPEVTVEKVEEIVTGKVIAHTESFKEEGYLASELTEAEKNALAEFKEMVREALNKHEFTAPPAKEETVEEKKREETEEVAETITEEKSAAPTVVETKKEETSAVPAPEETKPAAPAAAEIKKEEKPVAPTPVESKLAAPVVTETKKEETPAPVETKPAAPVVTETKKEEAKPAAPVTTETKKEEKPPVTTETKKEEKPAPVETKPAAPVTAETKKDEKPAPVETKPAAPVTTETKKEEKAVTSAPVETKPATPVTTEVVTIEKAFAAEQEEATKTVEAIEESIVSITLPETAVSVEPEEVSIWGIPLLEDERSDVILLKFLRARDFKVKEAFTMLKNTVQWRKENNIDELLAEDLQGAEFEKMVFTHGVDKQGHVVIYSSYGEFQNKEIFSDKEKLNKFLKWRIQFQEKCVRSLDFSPEAKSSFVFVSDFRNAPGLGKRSLWQFIRRAVKQFEDNYPEFVAKELFINVPWWYIPYYKTFGNIIISPRTRSKMVLAGPSKTAETIFKYVAPEVVPVKYGGLSKESPFAVEDGVTEAVVKSSAKHIIELPASEGSTLSWELRVLGADVSYGAQFEPTNEASYTVIVSKNRKIGLTDEPVITDSFKAGEPGKIVITIDNNTFKKKKVIYRFKTQA >A04p011700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000099.1:62168:63229:-1 gene:A04p011700.1_BraROA transcript:A04p011700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHKKKRNKKLLNPPPLFPLSTANLSLPHDLLLNCIGRLSRLYYPTLSLVCKSYRSLIASPDLYTTRSLLNRTESCLYVCLQLSPDSNPRWFTLSRRPNRTLARNKKLSDYLLVPVTSPHVTSLRSSSVAVGSDIYEIGGLISGVHSSSVSVLDCRSNSWRQAPNMQVGRSFPSANVIDGKILVKGGLELKDVNSSKWVEVFDPNTQNWTTVSFTCGSKEWQSEDDNGTARRSSSFCLIDDVSYCFDNRKLKWFDDKVGGWKFVTGLEGVPKCLLGFNTSCHVHLADYGGKMVVLWDRHDRSSNCHGRTIWCAVLALERCSSEEISGTVEWSEAVLKVPNSFEFVHVLAAIV >A05p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1596601:1598662:-1 gene:A05p004180.1_BraROA transcript:A05p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHPKITCVSSSHQNLVEKLMQLQERFSHLQAARKEGRVNDHAVLEAQISQNLREWQAELSAPSPESSLLGGSISQFSEEIARLLKLNDEEDDATSSFKEHTVPKADAFDQGLCSPGQLEWAAEPFDQSSFDVNFLSGFEDAVNNSGTHDHQLHCAFQEFDPSLSTAPDFHGQKLSHLDITSQLDYHLSEVRQEFNNSTPSVKLDVPDESEFTTPPSVRVPPSAFLGPKCALWDCTRPAHGSDWYMDYCSDYHGNLALTEDSPGTAPVLRPGGISLKDNLLIDALRAKSLGKNVGIPVCEGAVNTKCPWNAAELFHLELVEGETIREWLFFDKPRRAYDSGNRKQRSLPDYSGRGWHESRKQLMKEQEGQKRSYYMDPQPPGPFEWHLFEYQINESDACALYRLELKLTNGKKSPKGKVAKDPLADLEKKMEKMGKLTPEAASDKPSPTTKGRAKATKGVKAAATGNLTRET >A07p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19190239:19192290:1 gene:A07p035460.1_BraROA transcript:A07p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYQTNVGMQSLYQEPIYLNEQQQQQQASSSSAEIISCGGGLEVPNSGGGAQNEMLFIPPTTSAGLNGSVTVSSNDLSFHGGGLSLSLGNQIQYHYQNQLNYNPSLSNENGKSPSSGYINGVGLYNNYRYETTGFVSSVLRSPYLKPTQLLLDEVVSVKKDSNKKIKNNDDKGQDFTNGSSENNTDNEELSSSERQELQSKKNKLLTVVNEVDQRYNQYHHHMEALASSFEMVAGLGAAKPYTSVALNKISRHFRCLRDALKEQVQVIRGKLGEDKESCEEHGERIPRLRYLDQRLRQQRALHQQLGMVRPSWRPQRGLPENSVSILRAWLFEHFLHPYPKESEKIMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDSSSELLSNSNQDNNKKMQETSQFKHEDSSSSQQQSHENNNVPYTSDADRATSGDYDSLMNYHQGFGVDDYNRYIGLGNQQDGRFSNPHQLHDFVLYLDHVSVKQGDHMDQRNDMLLHFVNKKRQ >A04p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10554892:10565785:1 gene:A04p017210.1_BraROA transcript:A04p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLSTSSFYIQLYQIIEDRSSDQIISWSKSNNNSFVVWDLKKLRSNILPKYSSVLGKNVTEFIAKLRSHGFRSVAKGPGELEFSHDDFSRSPLMKKLMAKALSERIERFDAQIKALKCRLKAKKASLKVETLFQNLMRPPTERVSRNQQEKPTMRSPCPSSCSSSSYSLSATSLKSRLLTIFKKAQELTTLCDIEACVIHYGPDGELKTWPENRDIVRDLALRYIQLDKAKRRKKNVNLHEFLNKMEDKKTMLNKFKKNVEGIKYPISDHYSPDQINQLIQSLEISYSTLQERRRFLAAKKHNESLNPSQFTPLPQEYRLKNHEVCVYDQNNNNSFQHLCVSAVQKSGLHYQLMMPYDQNMMCMGNNFQDPCLSNIHDYSVIPLELQESVSNYELNQLMPHETFIVVYPSFPQDFNPDMSSSYVDENRLLQASTLPSL >A09p000590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:325855:330061:-1 gene:A09p000590.1_BraROA transcript:A09p000590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSLFDNTTGGVPTGLAYGNHTTASTIIPGGTMSHIGAASLFSPPINTKSVYSSSGLSLALEQPERGTSMRNHNGAGGDIFDGSGKNRRSREEEHESRSGSDNVEGISGEDQDADYNKPPKKKRYHRHTPQQIQELESMFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENALLRQENDKLRAENMSIREAMRNPTCNICGGPAMLGEVSIEEHHLRIENARLKDELDRVFNLTGKFLGHPQNNHTSSLELGVGTNNNGGNFAFPQDFNGGGGCLPPQLPAVVNGVDQRSVLLELALTAMDELVKLAQSDEQLWIKSLDGERDELNHEEYMRTFSSTKPNGLVTEASRTSGMVIINSLALVETLMDSDRWTEMFPCIVARSTTTDVISGGMAGTRNGAIQLMNAELQVLSPLVPVRNVNFLRFCKQHAEGVWAVVDVSIDTVRENSGGSTVVIRRLPSGCVVQDMSNGYSKVTWVEHAEYDENQIHHLYRPLLRSGLGFGSQRWVATLQRQCECLAILMSSSVTSHDDTSISPGGRKSMLKLAQRMTINFCSGISAPSVHSWSKLTVGNVDPDVRIMTRKSVDDPSEAPGIVLSAATSVWLPASPQRLFDFLRNERMRCEWDILSNGGPMQEMAHIAKGQDQGNSVSLLRSNPMNANQSSMLILQETCIDASGALVVYAPVDIPAMHVVMNGGDSSYVALLPSGFAVLPDGGFNGGSGDGEQRPVGGGSLLTVAFQILVNNLPTAKLTVESVETVNNLISCTVQKIRTALQCEN >A08p034190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20380163:20384583:-1 gene:A08p034190.1_BraROA transcript:A08p034190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLFPLEPSPLYGVCSNGGGGFLEAVMMREATINNQDSSGEACSVFDPIAASNEYYYNPANEDVGSASKNDVVASTNIEDGNRDKSWLRLGVGPEENNNGTSSYKLQRCCSKNASGRENSLDLSLFTSSSTAAGAVRSKVDHPQPQPPYSHDELLTMRGASLVYNHQLFRPQTLLNRGYSFPFSKPWIPQYTAQFRPSSIGMMSERNVTISNSFTRSWCEEEGGAGPSSEFRVIDPPKRPHSGLWFLLQASQFQEKEPFLPQVNKSYLRIKDGRITVRLLIKYLMKKLQLDSESEIEIRCRGQQLSPLLTMQHVRDTIWNPKSSLASSSPSFTLLRDSSTSDHVMILHYGRTA >A01p049230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27651492:27655830:1 gene:A01p049230.1_BraROA transcript:A01p049230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLKSTATMSPGGGESSSMAAKKKNKRSHKRKREEELERLDSLPWSSTIPIGEDDEGETFSTLFAGSDELDGGFLSLEEIDEADYNLADFPVDESVKGKKGLKSKKQAHDNNDGDEEEAVDEITEDKAGEEEEEGKGEGVVESPKKKEKKYKNKKKKERRKRVKEAVEVQESPDAVSCDEENKAEELDGEEEEIPPEFSAWSLMRLHPLLMKSIYHLGFKEPTKIQKACFTVAAFQGKDVIGAAETGSGKTLAFGLPILQRLLDEREKVGKLFALKGEEAQKYSADGYLRALIITPTRELALQVTEHLKNAAKNLGVRVVPIVGGMSSAKQERLLKGKPEIVVGTPGRLWELMSAGEKHLVELQCLSFFVLDEADRMVEGGHFRELQSIIDMLPVTDKPSEGKTQSSDTVSDAPKKKRQTFVFSATIALSSDFRKKLKRGSSKSKQSSSGEVNSIEVLSERAGMRDSVAIVDLTTAAILAPKIEESFIRCEEEDKDAYLYYLLSVHGQGRTIVFCTSVAALRHICALLKILGVDVCKLNADMKQQARLKAMDRFRSSENGVLVATDVVARGIDIKNVRTIIHYQLPHSAEVYVHRSGRTARAFADGCSIALIAPNDTSKFYTLCKSFSKESVKIFPLDSSLMPDVRKRLSLARQIDQIERKGSRERVDRTWLEKHAELMELELDEDESEEEKVDNVRQRKATSAQLKKLKEELSSLLSRPMQPKKFSDRYFAGCGMSPLLQNQLAELTNQKQQQQVATGGDKKRRKLVVVSQNCIEPLQALRDGSKEVMSMKGQSADKRRDIATLRKKRKEEKIGRRDQRRDQKKKRKLMASS >A08p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4047648:4049061:-1 gene:A08p007000.1_BraROA transcript:A08p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKNIVRELRGRNKANGRRGRSHIAPEGSSPSPSAADDCLYQSVWVDLPPELLLDIIHRIESGQTSWPGRRDVVACASVCKAWREMTKEVVKVPEISGLLTFPVSLKQPGPRDDPIQCFIKRERATGIYRLYLGLSPALTGDKSKLLLSAKRVRRATGVEFIVSLSGKDFSRSSSNYIGKLRSNFLGTKFTVYENQPSPVDSGRSFNKKLSQTMRVSPWVTSSTHRYSMASILYELNVLRTRGPRRMQCIMNTIPVSSIQEGGQIHTPTELSNLGSKKKRGLIDFWSGNLGGESVVKEPLVLKNKLPRWHEQLQCWCLNFKGRVTVASVKNFQLMAAAAEAGKDMNIPEEEQERVILQFGKIGKDTFTMDYRYPISAFQAFAICLSSFDTKPVR >A07g501120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2314710:2317228:1 gene:A07g501120.1_BraROA transcript:A07g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTQKKLAAMNNISELKPFKSMWKVKVKIIRLWKQYSAAGGETIEMVFVDSRGDKIHGTVKKDEVGQFAHVLQQGQTKLLINFTVTHSSGSYRTTKHPYKVVFLPTTRVRICEALPYNMTGLEPVNYRAVLNGKLDPDYLVDVVGQVVEVSHNEVVSVNGKDTQKISVELRDTEDERLPLVLWGKFAEDISVAVQLRSENTVICVLRFGKIKIWKDERSVSNAYNVSDVTVNPENIAEVQAFMRLLPKDDLKLSIVDSKPLALANAVSEKDDFFVHTPRKTIAEVLESRQVEKCIVMATIAGIDSDMGWYYLSCKVCAKKVITVHNDNYNDGDEHDVIACNYYCPKCKTNSPKLLPRYKLHLVVLDNTKDCKFLLFDNLALQLLHQPCIELTGPITDEIQDPDVLPPILNDLKGKTFLFKIQIEKENFVYKHDTFKVLKIITNLGMINEFEAAQSPTGSENMLSGTFSTQSDAPEGSLMIQGGSSGSTDLTPAKRTRTPIINLEEAFDQNSVTKMACTIKVKKEKFEKSG >A07p027070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15450376:15463090:1 gene:A07p027070.1_BraROA transcript:A07p027070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRTFRLSHYSRKIFCRVLILLHCFLGEWRSDLPTVLPIRAKRLDIFPRDVQKQISEAKKMGTLPDLSAMIAAQLGLVSGDGPSTAVPRSGEVPPFEAGGAGKGKKRKRGDGSGAGRSTEEVSDVPPSSEPQKKKKKKRTKKKSVSEESGNLEGPTETEGGDVEEEGLHPEEEAFATRALGEEDDEEEAVDGQEPEASLGDIGSDNLEEESEGSPLLIRRRDDGADGEEQFPAPISPYVEVPARPNIGAVQTGTSSRGDAILRRVPGVSFPDKVDFHYEGPAPLAYVPEKCGELLRQFRGRAKPLPAVKDLIFGGEYEEAARAKLLGDSAMNVLIDKYDTALKGALGELELAKKEFAEKEEVLARQISEKRSNLEKLNGMMTRTITRRDELKAELEASRGIGPSTAFEGETPNRGNLAAEDNAPLLVLSDTSAEGSRRGNEEEIVGVFEEIPRSDEVHVSPAVRESSVRASELSALNDHLSIKNRDFSRFEVTLFVRCKCCSRHQIKKLVVMGSRGSEEEDDWFSDAREEVSDYNSQVEEEEEEFVQASGDLDLWTMNPDSVTNRRHKFFQSMGFSFKKRDLLLPCPGDDDVIVPVSQPLNSVSEEEEKLLRNESISSQSDTSSRFRGGRFLDRAKHIDDRIFLTRDCSSNSEGLSESGSTSSRSADLQSSPSSRYEDSPKKGGAKGWLKKLGVLTHLLDVDLDGDSDGSSLGSSTRRQLTRVQSFKKQFKELSSLCVGQEFSAHDGSIVVMKFSHGGNYLATAGEDCVVRVWTIAEVERRDSMFEVADSDSSSNCVYFGMNDKSQIEPLSIENEKLEKSRGLLRKKSESTCAVLPSKVFTISETPQHEFRGHGGEILDLSWSDKGFLLSSSVDETVRLWRVGASDECLGVFSHKSFVTCVAFSPVDDNYFISGSTDGKVRIWDVSSVRVVDYTDVKEIVTALCYRPDAKGVVVGSMTGDCLFYHTIDNQLELDREISLHGGMKKNKKKVPSKRITGFQFFPGDSDKLMVTSADSQIRIICGVDTVCKLKKASSLRTTLSPTSALFTSDGKHIVSTIEDSGIHVWDYSQPNKKAASPPQKPKTIRSYEGFLSDNVSVAIPWLGQGKEEDSVCSFIADLDKKFAHLPLPVRDCFSQVKGATTWPEEKLGVVAGSAAAAISATASSRSKLRLLRSVCQNVNNTCTPHLWGLVIVTATWDGRIRVFHNYGLPIRSSLKAFKEHELKWVSLYLVLYV >A10p017930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2238149:2238676:1 gene:A10p017930.1_BraROA transcript:A10p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLEMIRGGGGSIKIGATGTVATLMTRELDSMKQPSPQTPTTRPVRTTIPVSVDCGTSSSTPRRPKARKSSDEASSSNVRTPKGHNAKGTHQLPNVASDNARTPKGHNAKSTHQLPMLGSDNVSLQGTPRREKRMNIVDIVDVKCGNPDRAWANPITSRLKKLGFSKLNESIG >A09g514030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42329412:42342636:-1 gene:A09g514030.1_BraROA transcript:A09g514030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQSELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVALEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFATKRRIIFVLRKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDLKLDHPMSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKSNHSSQQQSNPSTSTPQESCVGARSHSDTSRSLQLGARLWERHLEVARGFVVVSRKQSPQSEVSERGRRVAPAGSEVMGATPSRRSRFRRNGHSRTDAERGFTATPRGRSSSERAFWSDTPRSLAFSSTRDARKRLGSDLSQRDPHVAPAPVQVKMVKKTKGRLEAERQEAESQEFAQRGKALTSEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEEGETESDDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKDGVTWVPTRFADLNLLKELGLDSDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKISFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHTLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYTQPGVLPLPSTDIYATFGVVSFFVGRLEHYRDWAWYTTDSRPKIGIGGMITPLLQFLNVPLGKDASGPRFIDGNYLRIATYFSGMYGKDYVYHYYLYGKPVEVVLPNRNLTSLEIPGAISFNIPQECFLGEHGPLDPIQAAPSRRRSVPVQPEPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGTASGGELWTSTAGATSRSDGMRSLCTTSRSDSSRATQQGRSRFHHWETREQARSDLPERRAEVALEAWSDVPERQEEVAREFTTRRRENKPGATSRSDTARSLPKLGATCRSDSLRSLRVLFLLELVFSQGHFATKRRIIFVLRKTTKNLWKVISLNQLISFIIEILCSYLYFVFLCMINLKSTMGLRGIMEISDSKLDHPMSNPYIHEFSFPIVKK >A01g510220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28019347:28020687:-1 gene:A01g510220.1_BraROA transcript:A01g510220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEYTPLSQLNASQKEWKIRVLVSWVWNYYSKNKPEVVLGMEAILVDEKGERIQASVKQKLIKKFGRDLKEGEYLDVMNFEVLGNNGDYRGTTHPYKISFIWTTYMKTSEQIPNLSRFNLSPFPYILSQSNVDDVFIDILGEIVGMGEITERNETIIECTLGENHAEDVHSYVKNNKTGPVILLGSLMRTKKFNGKISVQNSRFSTKLFLNEEDIDEISEFKKGMVNTDNLAPCTVTQMVKPTSNKVVTVDDFPLILILNYVIYILIFCQQTDYI >A01p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1967:10942:-1 gene:A01p000050.1_BraROA transcript:A01p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLLAQVVLALCILSAAADDGEAIVSRFQEYLRIDTVQPKPDYYKAVDFLNSQAKSLSLESQTNEFKGKPHLLLKWVGSDPTLPAILLNSHTDVVPFEESKWTHHPLHAHMDNQGDIYARGSQDMKCVGMQYLEAIRKLQASGFHPLRSVYLSFVPDEEIGGHDGAEKFVESHLFKSLNVGIVLDEGLPSPSKSYRVFYGERSPWWLVIKAKGPPGHGAKLYDNSAIENLLKSIESIRRFRASQFDLLKAGGTAEGDVVSVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDIRIPPSVDSEALERRLVEEWAPAARNMSVEFKQKHSGEPLLTTADDSNPWWRLLENAVKEAGGKTSKPEIFPASTDARYFRMAGVPAFGFSPISNTPSLLHDHNEYLGRAEYLKGIDVYVSIIKAYASYESKSGGSLTLQNERASLSESSDAINQLVSDSVFFFCRPSHLCSSLIDSDSFPRRESFLLTSFLLLLLPLKCHFLGRTHLFFWASHPLSPASSRPSPTSLVFLVFLTPSSAPDGSWASSVSVLTHHCMMLLVHTRRKLDSFNGGGFSKIGSFGDLGFAVCGSLGRLVVDLFIILSQAGFCVGYLIFIGTTLANLFDPDSPTSLRHHITTLGFPFKLGLNSIKTLTHLAPLSIFADVVDLAAMAVVIVEDSMIILKQRPDFGGFSLFFYGMGVAVYSFEGVGMVLPLESEMKDKDKFGKVLALGMGFISFIYIAFGFLRYLAFGEDTMDIITANLGAGLISTIVQLGLCINLFFTFPLMMNPVFEIVERRFSGGMYSAWLRWLLVLAVTLVALFVPNFTDFLLHLRVCVTCFVSFAGVQGRDGESGHSDSGTGRGSCCVGNLEFPDSCHPSSSPPYGIVGDGYIINASSLLGSRLGKLYGRCFFDSHPLPFLEQMFLIGVILMVPCYSIESFASLVNPSISVDCGILRDCYESFAMYCFGRYLVACLGGEDRTIEFMQRQGRKSFKTPLLIDHNDDKGTIKHPFPMNLFLKPWRLSHWFYQVVKFGIVQYMIIKSLTALTALILEAFGVYCEGEFKWDCGYPYLAVVLNFSQSWALYCLVQFYGATKDELAHIKPLAKFLTFKSIVFLTWWQGVAIALLSSLGLFKSSIAQSLQLKTSVQDFIICIEMGIASVVHLYVFPAKPYGLLGDRFTGSVSVLGDYASVDCPIDPDEIRDSERRTKVRLPHPDVDIRSCMTIKESMRDVFVGGGEYIVKDVRFTVTQAVEPMEKSITKFNEKLHKISQNIKKHDKDKRRVKDDSCMSSSSSSRRVIPGIDDPLLNGSFSDSGVTRTKKHRRKSGYTSGESGGESSSDQAYSGFEVAEKQNIQKGTSMTSGRGSAWTLTRSDYGKDVQMTRLRRLIEHNLQRSPRQLLTYFPCEGRLEILAVSVHAEGDTSMDHYDEHDDMALQTNKPLLPIDPVVELSKKMQKQEMGTKKEEGTKCSTCHTFVGEAKQYREHCKSDWHKHNLKRKTRKLPPLTAAEECMVVSMFLPEEQEAALKQLRKNLKFKAKPVPNFYYYEAPPAKPELKNSMLCNFLASFDPSQVAKLILSRRKSFSDAVREEVPKTASNRNRHSTGTVQNKNTIAVHDSPRFRSGKETSIYLHGDSQKNTRTSNPNFKKRQSFSKYGDGRSSKTDPSYKKNRLRSPRFSDDPQKNTKTSNPNFKKQVTFTTRFSDDAHVVIICGAVTEVLRFRGYLCYKGFSFYPFLSQSESLLLA >A09p054060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46699455:46702432:1 gene:A09p054060.1_BraROA transcript:A09p054060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alkaline/neutral invertase D [Source:Projected from Arabidopsis thaliana (AT1G22650) UniProtKB/Swiss-Prot;Acc:F4I2X9] MEDLRVNSQSSLSDVDDLFRLLDRPRLNIERKRSFDERSFSEMGIMDSGINSPGGRSGWETPASSTRNSFEPHPMVAEAWDALRRSLVHFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLMTIQIQGREKRIDRFKLGEGAMPASFKVIHDPIKKTDTVIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLADTPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMVDRRMGVYGYPIEIQALFFMALRSAMSMLKHDTEGKEFMERISKRLHALSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFMPLRGGYFIGNVSPARMDFRWFALGNCVAILASLATPEQSAAIMDLIEERWEELVGEMPVKICHPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAESRLLKDGWPEYYDGKSGRFIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQTKPVIKRSHSWT >A03g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28409472:28412273:-1 gene:A03g508120.1_BraROA transcript:A03g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVASLLFVLFHFLSFSVQAQSGESETPPPTTEFIFKGFRENNNSEIQTEGAAAIKPDGLLRLTDGNLSVTGTAFYRKPVRLLDHSSNLNHSKVCSFSTSFVFTLVPSSSTNGGGFGFTFTLSPTPNRPGAGSGQYFGLLNETNDGNPTNHVFAVEFDTIQGFKDGTHATTGNHIGLNFNSVTSDVQVPVAYTTEDEVKEEGFQLEPIIIRFGLQRTKPSAEFDRFLCQTSNRPSTPFISHVVPKLSEIVQEEMYVGFTAATGKDRSSAHYLMGWSFRSCRDGLTADSLVLQELPLWQQLKNKDNQSTVTKILIDWIYNDLENEQQSRLYGDDDLTKEEEEITKKMIFVALWCIQSYPSNRPCMKRVVEMMEGSLDALEVPPRPVFQVPITPLQESSTLSRDISVYSFSR >A09g508480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23849813:23851245:1 gene:A09g508480.1_BraROA transcript:A09g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNPDPNPNSLCSRNAPILHLVSLLSRQKGRIDFSTSSYLRFALPPSQSRQIESFVKSRGKTTIFPPKILLDHGMPVYKAVQRLGEFIVTFHMAHHAGFSHGFNCGEAVNFAMGDWFPFGAIAGMLILTGSNGFKLKFQTRESGYYTYGIVRTTMHQDCVRGNPVMSYEANSSCISSVNRRGNCCSCSESKLSEEVASSSNKKTWFFSAVHDEPQAAVSDSEIFRVKRRLSLKLESRTVVLATRDSEHHQEHKRLKKWHRYHKGRYSLSSSISRQGEEMLVVSSRKETNEQQHSDVRMKNENHCVGGFKRLKVKQLIRP >A02p006070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2577481:2578908:-1 gene:A02p006070.1_BraROA transcript:A02p006070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEEMTTATTATKEGANLLGKPKYKKLENGRFRCVQTGHELHEKDKKVYSESKRCRLGLIDHALSHSKPPLNLFEQDPTARSKLLCKLTGDTVNKTEEHIWKHLNGRRFLNKLEEKEREKESGSVPEEGGEAVAKEDGVKEGKKKNKKKKKKDKKKKKKKKELDEKEEGGEDVVTDGFENENGEEGEEKELDFWMPPDGERWDFDDGGDRWGSDSEEEEEEPIGEIDEDGEASLDDCIIGEVDEDGENCLDETPESKKRKPEELSSSSLPSSKKKKNKKNKKVKTTAS >A03g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26709440:26710331:-1 gene:A03g507540.1_BraROA transcript:A03g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVVLAHSAFDALRLGRSPQIIVGRDLNLQSPFTLSYMTMIFCRYRRQTLGPQAKKPSQITHPIHLYLSTLTITGESSGNIKVKTRVPPKPIARIPAASASSFEVFVDEEEESTEEVGETRKSETN >A07p016860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10332226:10334063:1 gene:A07p016860.1_BraROA transcript:A07p016860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMVSPLWDWEHLIIPNLSKTETDKKQPSTTDWDIEKGEGIESILFPSFTGSSSTTGFLSSQLTSTNSSSPKLKSSLGDFDQVKASTALQVVSAESDLCLKLGKRSYSGEETWGRNNNNDISAAVSVKLLTPPSVVALKKSKSSCGQSMQVPRCQIDGCELDLSSAKDYHRKHRVCESHSKSPVVTVGGFERRFCQQCSRLHAVSEFDEKKRSCRKRLSHHNARRRKSQGMFPLNPERVYRNSIVIRLLLPDGRQHTNMLWNELSLNTGSEEKYAWGTTTYETKPTQMESGFTLNFQRGSGAEEQQVFASSNLSFSAYQTSAGKSNVQLPSKGVGEYSGGLYETQDFYSA >A03p029390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12371445:12374974:-1 gene:A03p029390.1_BraROA transcript:A03p029390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFCCVSTVSDHSLPMPLPEQPGVTRSEPIMTRSASHSQSYNHHRHQSNRHPLHSLSFNHQSQPPPVDVKINDIVGNGIAGILHKWVNYGRGWRSRWFVLQDGVLSYYKIHGPDKIFLSPESEKGSKVIGEESARMISSHHHNKHGASHLHRKPFGEVHLKVSSVRESRSDDKRFSIFTGTKRLHLRADTREDRATWVEALQAVKDMFPRMSNSELMAPTNNLAMSTEKLRQRLIDEGVSELAIQDCEQIMRSEFSALQSQLVLLKQKQWLLIDTLRQLETEKVDLENTLVDESQRQAVNGGSIDLRHEKCSEGTATESDDDHERGDAETDEEDNTFFDTRDFLSSSSFKSSGSDFRTSSFSSDGDGVGSSEDDIDPSIKSIGCDYPHVKRRKSLPEPVEKEKSVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAFDWGKRGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCDGTGWKFWADSNLKSKFWGRSIQLDPVGVLTLQFDDGEILQWSKVTTSIYNLILGKLYCDHYGTMRIEGSAEYSCKLKFKEQSIIDRNPHQVHGIVQDKSGKTVATMFGKWDESMHYVTGDCSGKGKLSEDMSGAQLLWKRSKPPGNPTKYNLTRFAMTLNELTPGLKEKLPPTDSRLRPDQRYLENGEFEMANEEKLRLEQRQRQARKMQERGWKPKWFTKEEGSEAYRYKGGYWEARESGSWEDCPDIFGHIDSEQQTE >A03p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8100758:8111726:-1 gene:A03p019770.1_BraROA transcript:A03p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEEVASKAEEEALETTVEATKEGNAAAADGSRSPESVSAASLVSSRAATTRKKAVISSNLIKPTASSSLRVSSSTPATIRRNSTGGVTEKLTGATKALPKRTNTTTPSVTDPVRRSLPELKRSSLTAKIVSRASLPESKKPIPVSPGGRSSTKSGLSKPESSARPAMSVSVSSKRAPSSSIDSSGRAHSTLSSGRTVSKASSPSAGSSPSVSSGMRSKSLSTPLDRSSNVSGRKKTATPESRDSRLIILPKVEVKAGDDMIGSQRPQDSQSNLRWATLVSKLRVGKIILISSTSTSYQFVYLRDNLLSELEGIEILNRVKVLDLSFNDFKGPGFEPLENCKMLQQLYLAGNQITSLASLPQLPNLEFLSVAQNKLKSLAMASQPRLQVLAASKNKITTLKDFPYLPVLEHLRVEENPLLKIPHLEAASILLVGPSLKKFNDRDLAREEVAIAKRYPPQTALCLRDGWEFCKSELAAESTFRFLVEKWQDTLPSGCIIKEASVDRPSEESPCQSHFGLVQESTESELVLRYQWSKADRSLSNFVPIPDATNEVYWPKHEDIGKILKIECTPIIGETEYPSIFAISSPVLRENNTVKRCAGKGIPKVVSLELHGELVEGNILKGQAVVAWCGGTPGKCITSWLRRKWNGSPVVIDGAEDDEYRLSLDDVGSSMVFMYTPVTEENARGEPQYKYTEFVKAAPPSVSNVRIVGDAVEGCVLKGIGDYFGGKEGPSKFQWLRKNKETGEFSLISVGTSEYILTQEDVGRHVTFVYIPANFEGLEGEPLSTLSSVVKPAPPKVKDVKIVGDLRENSKLTVTGTVTGGTEGSSRVQWFKSSCSVLEGGNNLEELSTSKVAKSFRIPLGAVGYYIVAKYTPMAPDGECGESVYVISERAVETLPPSLNFLSITGDNIEGGILTASYGYIGGHEGKSIYEWHYHKAESDHHGTLIPGASGLLQYTITKEAIGKFISFQCIPVRDDGIVGEARTCMCQERVRPGNPRAVSLQVVGAAVEGTMLSAEKEYWGGEEGASVFRWFRTNSDGTPCEIKGATTSSYLLSVDDIGFFISVSYEPVRDDWARGPTVISDITGPIVAGHPTCQSLEFLGSMIEGQRLSFVASYTGGVKGNCSLEWFRVKRNGVKELLSNDEFLDLSLEDVGESIEIIYTPVREDGIEGNPRSIRSDSISPANPMGLELLIPDCHENQEVVPHKTYFGGHEGDGEYIWYRTKEKLHGSALTEISYAGEEVIACSRTLKYTPSLEDVGAYLVLYWIPTRVDGRSGKPVVSITNSPVTPADPEVFNVRVEKLFSDAYSGEGEYFGGHEGESLFSWYRDNDGTIDVIAGANSKTYEVTESDYNCRILFGYTPVRSDSVVGKQKMSEPTEVILPEVPRVEMLAFSGKAVQGDVLTAVQVIPKTEIQQLVWSKYKGAIEYQWFRSLESGDEMSYEALSSEISCSYKVRFEDIGRCLKCECVVHDVFGRSSEPAYAETGPISPGFPRTEKLEIEGGGFHTNLYALRGNYFGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVVVYTPIREDGVEGHPVSASTEPVAVEPDLYKEVKLKLETGLVKFEVLCDKDPYPKKIVGDGNLERRMLEMNKKRIKVVKPGSKTSFSSTEVRGSYVPPFHVETFRNDQRRLRVVVDSENAVDMVVHSRHLRDVIVLVIRGFAQKFNSTSLNSLLKIDA >A06g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19073269:19077864:-1 gene:A06g506890.1_BraROA transcript:A06g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVIEANDYEWVTFVCDSVCLEKPYTTYLGSPLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTRMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGAKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGRGVEHLLAVREENAYTLRVQQIDGWKFIVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVEARTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHMVYRCSVCKETGHKRPQFVQKTFWLAVLIIVLVFPFTALRKLSKYIQRHFLPDGLPVFFVKRGGKFYPKSMTFDVLQSEGATITSSALRERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPNLLRLAKRRYQACMIIPRPTRESSS >A01p016340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8080547:8080780:-1 gene:A01p016340.1_BraROA transcript:A01p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGESKGTVVGLFVVFVFVVMGGREVDAGAAVEGGEESGDDRGAEPARCLIDLLHGSRRANVGAYADAFVHDLPI >A01p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1274596:1279453:1 gene:A01p002760.1_BraROA transcript:A01p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLGICAAAAIGFFTILVTLLLAFYRRFRSNRRNVTVASSSSTSSTLSSDFEAVPNGLRIRAVYIYCEETLQYTFASHLSVDFRRKRIAAFVNCDLNPDVAEGASASVVVFSKSYSSSASCLDKLVTVLRCRRNTGQMVVVPVFYGISPSDVAVRVHGSADRIREWSNALRELRELPSHQCSEESDEGQVVEEIVKDVYEKLFPTEQVGINSRLVEIEQLLCKQPWGIRRIGIWGMPGIGKTTLAKAVFDDISGGYEASCFIKHFDEAFSEKGLHRLLEEHFGKILKELPRVCSSITRPSLQGEILRKKRTLVVLDDVKNPLAAESFLGGFHWFGPGSLIIITSRDKQVYRHRQINHVYEVRSLSENEALQLLSQCAFGNDIRDQKRMELSMEVIDYARGNPFALSFYGRELKGKKPSEMEATFLKLKLRTPHKIHDLFNSSYKTLDDSEKNIFLDIACFFVGEDVDYVMQLLDGCGFFPHVGIDVLVEKCLVTISENRVIMHRIIQDFGREIINGESVQIERRRRLWEPWTIKFLLEDDKLEANGYPRETCKRPLGTEDIEGIFLDTSSLLFDVKPTAFDNMLNLRFLKIYCSSHENQYGLGLPRGLESLPYELRLLHWENYPSESLPQEFDPCHLVELNMSYSHLQKLWEGTKNLDMLKTCKLCYSQQLTEVDDLSKAQNIELIDLQGCTKLQRFPTTGQLRHLRVVNLSGCTAITSVPEVSPNIVELHLQGTGTRELPISLVSPSQEDNLNLEKLTSLAQVISSNQHLDKLVLLNMKDCFHLQSLPHMFHLETLEVLDLSGCSELKSIQGFPRNLKELYLVGTAVTKLPPLPWSIEVLNAHGCMSLVSVPFGFERLPRYYTFSNCFALSAQEVREFVANALANIERIAREYQPELKKSLAFSFTVPSAVSKNFTCDLQPGSSVMIQLGSSWRSTLGFAVLVELSFLEDYQEATGFGITCVCRWKDKEFVSHRLEKNSHCWSPEEGVPKDHMFVFCDLNMHRSTCEGNDPGILADLVVFEFFTVNKQKKPLDESCTVKKCGVHVITAVNGDASCNMTQESFGNEVEEELRVVFDVLDKNDRTLFLYIARLFNDEKADFLTPLIPSTGLEISSRLKFLASNSLIHISPFGITMRHSLRQKISREIVHRQPTLGKDLIKDSTSPAWKYDVFISFSGEDDSNNKLSNLLAKFKGKLMSTPHRCKSVTPELVQAIRASKGSIVLLSKSYASSSRCLDELVEIMNCNKELAQKVVAIFYNVAPSDVRLQSGDFGRAFQTTCIGKSEDEKRKWAQALADLANMDGVNSRKWANEANMFEKTDSDVLEKIDHKISNESGDMVGVEEHVTDDGFGSGWESEEMDKTVGTAGFMTKGEEINASMVAMPVEPSHLFKQEGRVIRSGAWSPSFLDCIPFAELYTGEVPTAVLQGLGNIRSVLQSRRSI >A10g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17436498:17437152:1 gene:A10g506240.1_BraROA transcript:A10g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEVDLKKYPSRKTKNRSCAVTFV >A04g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2337316:2342640:1 gene:A04g500820.1_BraROA transcript:A04g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQGLFKERAEHFSDDEFTFLPKRLSPNVKGCMVDLYGRAGQLDKALEIIHVSSCHEDPVLWGTLLGSCKIIRRNLELEERSKRSETPQPSPQHEPNMQSSSSSTASSSLAYPPGYRFVPTDAEIIYYYLKPFSPDNKKSWPNLPIHHANIYESNPQQLTAEYKKGNLTEWFFISERTKIKTNGQKQKRVDHNGGYWHSKAVTKKIKVKKDVVGYKTTLNYFVGKQPNGERTNWLMQEYWLESSGHNNTVDYALCKIYLSPTAQKNMKEEDVEEVEEEAVQPRTVEIQQPQPPQFYPTPLVSHQPQPLPQFWPTELDSYQPQSQDNEYQEPLQAQPLNTIYQHQSQCPNNESEYQEPHQPQPLNTIYQHQSQRHNTESQYQEPHQPQPLDTIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIDYQEPHQPQPLDSIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQPQSLDSIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQSQPFDNIIHHQSHQVQFWQATSGSHQRQLQDQLPQSWAAPLDSYPPRCHDIQYPQPQPLDAIEYQYLYQSGPLTTYKNVIESCTQDKSNGDIKKVDHALKIHLTPRGIKREVEEEEDEKRKRKKKEGGVEAPKEELEQLINSHQNSSDNDSFFTGFVDTHLLHIDIESSISNCCLKKSPDEN >A09p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12917802:12921120:1 gene:A09p023600.1_BraROA transcript:A09p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLVANNFTLNGLDKRVLARLKCLQKNFPCNRGKGIYSEFLINCGGPQIRSLSGAVFERDDVDHGSTSFYVSDVERWAVSSVGIYYGSSNIVRINNTLDSELFQSARHSSSSLRYYGLGLENGGYTVTLQFAEIVNIWALLLERTSGDTTLRAVYREYKTNVSENYLEIHLFWAGKGSRYVPIMGNYGPLISAVSAKPDFTPTVDNKPPSTKQNTRTDFTPTVDSRPPSKQNNRTGTVAGVIVGLGLLGLFAGAVIFIIRKSSKAYTDDEELLNMDVKPYTFTYSELKSATQDFDPANKLGEGGFGSVYKGTLNDGREIAVKVLSVGSRQGKGQFVAEIIAISAVMHRNLVKLYGCCFERHHRLLVYEYLPNGSLDYALFGGDKTLHLDWSTRFEICMGVARGLAYLHEEASVRIVHRDVKAGNILLDSNLLPKVSDFGLARLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKTDVYAFGIVALELVSGRKNSDVNLGNEKKYLLEWAWNLHENSREVELVDHKLTEFNMEEVKRMIGIALLCTYSSHSLRPSMSRVVAMLTGDVEVSDVTSKLGYLTDMRFDETSNSSFSAFQTIDTGASTSYSTGFVAPKDSDHKPMLGVKINAGR >A09p016260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8527664:8529839:-1 gene:A09p016260.1_BraROA transcript:A09p016260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64670) TAIR;Acc:AT1G64670] MSSSTRLTLHSMGFSRWLNRSVGFFVFFILDIVDFLLCFTYRTLDFFFESEWKPCYCCPPPEAKQAAAGGNRGRKMIVSERSGEYSKVVSLTRTKIHLDEISDTLYSRPSLLAKLVKCFKKNAVECSDERSPSTKKKTLLTVNSTVVEKLQRTPRWSDCHCTFCTSWLSSSSSSLFVNVQQPKDNKAQENVVFIHGFLSSSTFWTETLFPNFSDSAKSNYRFLAVDLLGYGKSPKPNDSLYTLKEHLEMIERSVISQFRLKTFHVVAHSLGCILALALAAKHPGAIKSITLLAPPYYSVPKGVQATQYVMRKMAPKEVWPPMAFAASVASWYEHLSRTVSLVLCKNHHLLEFLTKLLTRNRMRTYLIEGFLCHTHNASWHTLHNIIFGSGSKIEAYLDHVRDNVNCDVSVFHGGRDELIPVECSYGVKRKVPRARIHIVPDKDHITIVVGRQKEFAGELELIWRRSSTT >A03p006790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2837829:2839745:-1 gene:A03p006790.1_BraROA transcript:A03p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALSVWPLKFGLLVGSRLESELDCSCFVVSRKIRKRHCNFGSSISHTSKVVFLCEPKRSLLRSSVGVGWATEQREEEEASRDKTNSRVNVRELAYSLRAAKTADDVDVVLKEKGELPLQVYCAMIRGFGKDKRLTPAMAVVDWLKRKKSGPNLFIYNSLLGAVKESNGFGETEKILSDMEEEGIAPNVVTYNTLMAIYMEQGEFRKALEVLDLIEEKGFAPSPVTYSTALLVYRRMEDGMGALEFFSELRERYSKREIGNDAEHDWEFEFIKLENFVGRICYQVMRRWLVRDENLTTKVLKLLNEMDNAGVKPSKEEHERLIWACTRGDHHHVVGKELYKRVRERFPDDEISLSVCNHLIWLMGKAKRWWAALEIYEDLLEEGPEPNNLSYELVVSHFSILLGAASKRGIWRWGVKLLNKMEDKGIKPQSRHWNAVLVACSKASETAAAIQIFKAMVENGEKPTVISYGALLSALEKGKLYDEAFKVWNHMIKVGIEPNLHAYTIMASVLTGQGKFNLLDTLFKEMASKGVEPSVVTYNAVISVCARNGLSGEAYEWFGRMRGEKVEANEITYEVLIEALADDGKPRLAYELHLKAQGEGLKLSAKPYDAVVRAAESYGASIDVNLLGPRPDKEKRG >A08g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8263952:8265378:-1 gene:A08g505030.1_BraROA transcript:A08g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGERESVFSFCHLSLETSPFSYRSGECDWRCSLPRRGVETWFFLLPFLILLFLINLRRHGSQSVSFPISFGAMAPNDDESVKLFLSIGLDEKTATTTINNPKVTANLTAIIYEAGVTNRCDRTTVNLLYTKLIDVLKLLHNWIAAVSFFDNHAPEDFKLNEFEEACGVGVEVYAVDIEKAADEVFEENKKTIVEQRYRTNLGQLLEHVRKSLPWADPKIVKDVIDRKMYELLREKTAAGNEQSSLDEQETE >A05p009640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4029363:4029808:-1 gene:A05p009640.1_BraROA transcript:A05p009640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWYKLISVALMSLLITLASVEAAGECGRMPIGQAAASLSPCLAATKNPRGKVPPVCCAKVGALIRTNPRCLCAVMLSPLAKKAGINPGVAIAIPKRCNIRNRPAGKRCGRYIVP >A08p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24720742:24721663:1 gene:A08p044910.1_BraROA transcript:A08p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCEKAPATVICCADEAALCPRCDVEIHAANKLASKHQRLHLNALATKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANTRSANHQRLLATGIKVALSSTSCSSKNQPEPCNNQQKAKEIPAKTLSQQQPSSATPLPWAVDDFFHFSDPECTDKQKGQLGLGELEWFSDMGFFGDQISQESLPAAEVPELSVSHLGHVHSYRPMKSNVSYKKPRLEFRDDEEEEHFIVPDLG >A05g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18573259:18578432:1 gene:A05g506520.1_BraROA transcript:A05g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLVSAIEAGHREAMVYRFKAEKAERDFARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDHAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASRVWPFEFGFRLGLDGRICIYRDWPLVALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKMIIFTIFGPEGAVDKSLNVFRRVLKLRGQSCSQDFAIGRGVSSGLVELAEGVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDVLVVTRIADIRCLVSGFPSLSAFTASELGLPFGQLLLFVPIGDFLFFRHWFFERGAFPSGSASGPSWMSVYILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLERRGVGLRVGCGYVRYWSVEIGAAASIKRSLHVIRVRQTVGTEIHTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKVRNRKERANFSLYSSPRTPSILAPRSVYAFTLLPLSRHSIKWRFSIFPVLHNYLQNFRIYPRKLDIYPSSWAKREP >A10p022620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14887224:14895052:1 gene:A10p022620.1_BraROA transcript:A10p022620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVFHHLMSRSPSTTTPPRRSLMVDETRPAVVAVAVVRRRQGQTWRLEEAWPLIFFRQEFNWNSDDTLSIYHHFVHKVMDNYGKQMHEWKKKWEANKVPKSMNDTDKEETKETSSTNSNNRRSDRKGKDIYKHNLCAQSIATLGDRMTEENEGEPVDDLALMKMAYTNKKTGQIDDGLVRDVVSLVQTQVYDEVSQLQTDDDDSAASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSFAPPPYVDPEVLTAQLKYKDDRISALETQMAAQHAGYETQKRLNEQMMEMMKMMYPNELVDMLLIGFRVSIQSPQHGISLLALPPLLERDPTCIWEKVGLSLHDSANKKSILLESYYSDLRKGVMRRPQATQQIDHVIAGKLAGDQKLHFVESPALAPPEVHIDVAEQQKNEADLIAAAAQPLPDDDDDDAFETTDGRWEFVGGLPLFDPPRHDSAYTIRRDFKTSVSTSIAVDQLAIAKEARPKLGMGSNMIHSISFSTWIREASEGVTSPGQQDCTSYHQSDPLFGSQVMEALQRITRIVVYIVYMGALPSINDYVTIEDYHFSLVRSVTGKSYTPSLIVTNYGRSFDGFAAWLTEEESKKLSDMPDVFSVFPDGMLHLDVINNGGIHSGLRTRHL >A05p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:685023:687162:-1 gene:A05p002180.1_BraROA transcript:A05p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKFPLLILFVLSFSQLHLLQFHHDFFSSFPVKIGLLIMSILLCAYSSTRSKPVYLVDFSCHQPTDSCKISSETFFNMAKGAQLYTEETIQFMTRILNRSGLGDDTYAPRCMLTSPPTPSMYEARHEAELVIFGALNSLFKKTGVEPRDIGIFIVNCSLFNPNPSLSSMIVNRYKLKTDVKTYNLSGMGCSAGAISVDLAKNLLKANPNTYAVIVSTENMTLSMYRGNDRSMLVPNCLFRVGGAAVLLSNRSQDRVRSKYELTQLVRTHKGASDKHYTCADQKEDDKGIVGVSLSRELTIVAGDTLKTNLTALGPLVLPLSEKLRFIISIVKSRLFRLKSSPYVPNFKLCFEHFCIHAGGRALLDAVEKGLGLSEVDLEPSRMTLHRFGNTSSSSLWYELAYIEAKCRVKRGDRVWQLAFGSGFKCNSIVWRALRTISASETLVGNPWGDSIHKYPVHLYMWARLSHHHIKDGDAMWTVKPVPMPVQFFRGITASISVGYSQPPPRNYDGIHLRLCNIPTKSQTSESVGGLGQQIILRSADSNPSKPIPKEREITGSDVLWAIQRATAQRNKSKADRMKKKKIRSVELSSSSASKSTGDSGLDYLNVKALTIKNDWGQRLDEYDKLLKNLQDTEL >A09p017500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9061800:9063522:1 gene:A09p017500.1_BraROA transcript:A09p017500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREAMAFPGSHYYLQRGGGGAFTNLSPSQVASGLHAPPGMRPMPNPNVHHPLANNPGPQFPMSDHRLSDFGHSIHMGMSSSVAVEQQPPPPMMETPMVKKKRGRPRKYAPEGQVSLGLSPMPSSSSCASNKAKDSSAVTDPNAPKRARGRPPGTGRKQRLANLVMAFSQQRPRALCVMSGTGTVSSVTLRQPATTEASLTFEGRFEILSLGGSYLVNEGGGPKSRTGGLSVSLSGPEGHVLGGGIGMLIAASLVQVVACSFVYGGIAKPNNTNKTIKQENKPKEEHDNSEMEPTPANAPEEAHETPQDFSAQGMSGWPGSGEGSVSGSGRSLDSSRNLLTDIDLTRG >A07p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12080192:12080783:1 gene:A07p020500.1_BraROA transcript:A07p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLSPLLPAAHAGSPSSPSPRSQPQTPPIILQVPPINRRDIVVGLGSALWSWDALNGKDEAMAAARRPPPPPAVEKKDPNVSGVQAKVLASKKRKEAMKASMAKLRERGKSVVDEEKPSSSSPSAPVVVKDEPTPPSAAPLVVEAEQTPSSASDQ >A07g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5402203:5405887:1 gene:A07g502660.1_BraROA transcript:A07g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEDRSKLSPYIRVLWEIRVFLVYLFKRKSTVRIGSHNEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMRHMITLSKKSDPGKFAIPCVVKGVEFLHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYKTEYSESIDTHTFPSIDSNESTVTDDRNNRSLDVNLPVDHFAPPNHCYPHFAFQPPSKRGCDDYSIGSWADSGFHESFAVDTVITSLNEEHTEEYDEDHWKERAIEMSLQDERLETHKFTTTFPTSFDEVHSTSVDTHPRPAKQPFTSIDTHTGTSIDICAAAKIQEQENIPSPTRFIDTYLKRFAPLQPPPHTRANTQAEKMKTLPSTSTGKSMESNHLKNTSSAEIILPSIDASLSTSIDTTLKPNIYISKLNDNANIDYGFLTPDEFGIFRDPDGNARAIDGRILQVSRENIADILQVANGPDNLFSQQRGTPDVIQTDPNKHVGVATTEINPDLSCHPKGQASIDGTTQTSIDRLTPTSTDKDDPRSIDRRYEFGNRAYDMYGARKFTWKRMDEYGVYRYECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINKMVTGICGAQEKLGEELKSLVEDTHLPLDRGYNELFRSMVEMKTKIESLRQQLEKEATTSASIDATHAPSIDVSLPTAQISAESQCSAEHKDEWEVSYINTRINDVYYPLNNNMDWLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSIDAKISTMDDRLQTYEDMHDRFVSPASSSSINRLRGPWIDGKNPVELLPYTAAEVDKITSRIYTAIGTMEDRTDKRCDDIYFPFVNRISGLDSHAEWLQKEVKAIQRQLVAQHQISASIDRNKRNRSMVSRRDRPTTT >A05p007070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2854656:2857454:1 gene:A05p007070.1_BraROA transcript:A05p007070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRKSTKGNNRDTQTNTHSNHASIKTSSHQGKRIAKATLLLVLSIFVSAGLLSFLCCFDYTTLTGPRQVTTTITKPPIITLHRFPRQCGVVQNQTQPVSEDGTNKNKPRSNHSRPPTCPSYFRWIYEDLRPWRETGVTREILEKARSKAHFRVIILDGRVYVKKYRRPIETRDTFTLWGIVQLLQWYPGRLPDLELLFDADDRPTVRSIDYRGQQHPGPPPVFRYCSNDASLDIVFPDWSFWGWAELNIKPWAKSLVAIEEGNKMTQWEDRVPYAYWRGNPNVARTRKDLLRCNVSDQEDWNTRLYINDWATEFKEGFKNSNLENQCTHRYKIYIEGWAWSVSEKYIMACDSMTLNVRPTYYDFLIRGMVPLQHYWPIRDLGKCRSLKHAVHWGNTHVDQARKIGEEGSRYIREEVSMEYVYDYMFHLMNEYAKLLKFKPEIPLGAKEITTDSMGCPAKGRWRDFMAESMVMSPSEVSPCEMPLPYSPMELREVLERKANLTRQVEVWEDQYYQAKTIGRNGSGYVLKYLQMKYVYDYMFHILQSYGKLMKMNVEVPEGAKEVCPETMACPVKGGRMRQYMDDSLILSPSNKGSCETPPPFEDDELKKFLEKKKSVEKEVEKWTNEYWEEQNKSLQH >A03p016110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6411908:6413677:-1 gene:A03p016110.1_BraROA transcript:A03p016110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAIGRPLLAKVKQTTGIVGLDVVPNARAVLIDLYSKTLKEIQAVPEDEGYRKAVESFTRHRLNVCKEEEDWEAIEKRLGCGQVEELIEEAQDELTLIGKMIEWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEDFYRTLEGLISESKTKIPAAATSTDPQLKE >A01p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3133363:3140390:1 gene:A01p006100.1_BraROA transcript:A01p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFTLAVLYLSKTEFSVSFHICLPSHFSTPSPSKAQNFIYHTGLEKKMEACCGGTSMGSLQQPGGVQGPVFAPVTKFSQQLKFNFAGPNRSLFLKRSLVVERRASQVSVPAVETSSNEIPFEDYGRSEVDPEVDEIIKKEKNRQFRSLELIASENFTSRAVMETVGSCLTNKYSEGLPGKRYYGGNEFIDQLETLCQNRALATFRLDSTKWGVNVQPLSGSPANFAVYTAILKPHDRIMGLDLPHGGHLSHGFMTAKRRVSGTSIYFESMPYRLDESTGIVDYDMLEKTAVLFRPKLIIAGASAYSRDFDYPRMRKIADSVGAFLMMDMAHISGLVAASVVADPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVNGVELESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKRVVANCRALANRLVELGFELVSGGSDNHLVLVDLRPLGMDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGTPAMTTRGLTEKDFVVVADLIKEGVEITMEAKKLVSGTKLGEFTKLVTSPDFPLKEKVESLKERVESFTSQPLVIPEGSAMEGANTPFAGIINDFNGRRKCYKQDWLAAFNSGVRILAPTLYIFIASALPVIAFGEQLSRETDRSLGIAESLASTAICGIIHSIFGGQPLLIVGVAEPTIIMYTYLHSFSKGRPELGQKLYLAWAGWVCVWTAVLLILLATLNAANIISRFTRIAGELFGMLITVLFFQEAVKGLLGEFLVPKSEDPSLEAYQSHWRYTNGLLAVIFSFGLLYTALKSRRARSWRYGFRWMRGFIGDYGTLLMLVLWSALSFTVPRDIPEGVPRRLELPLPWDSESLYHWTVVKDMGNVPPLYILAAFIPAIMIAGLYFFDHCVSAQMAQQKEFNLKNPSAYHYDIFILGIMTLICGLLGLPPSNGVIPQSPMHTKSLAVLKRQQMRKKMVQKAKECMKAKASNSEIYGKMQDVFIEMETSPKIPVQATSVVKELENLKEAVMKADGGGGGDTKGKKFDPEVLIEDHLPVRVNEQRVSNLLQSILVGLLIFVVPLLRMIPTSVLWGYFTYMAVDSLPGNQFWERLLLLFITPGRRFKVLEGLHASFMEIVPYKSIVMFTLFQLLYFLICYGVTWIPVGGILFPLPFFFLIALRQYILPKIFDPSHLQVLDSSEYEEMVGATHSSFGINGEPHNLPMSSVEISEDDFYDAEILDEITTSRGELKHRTLSAREGTSHMVYPENSGHS >A04p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15842082:15843252:-1 gene:A04p026190.1_BraROA transcript:A04p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYGLQIRAPSQKKQPTVRPPRPSIFDEDEDVDVEKEISRQASKTKSHKETEEQLKKALEEDPSAFAYDEVIDDIKQKAIVPKLQDRQDRKPRYVQHLMKKAEQRQKEHEIVYERKLAKEREKDDDLFSGKEKFITGAYKRKLEEQKKWLAEERLRELREERDDVTKKTDLSDFYFNMVNNVALGARGIEEKEEQRKAEKMEEEMRKEEKKAESPEKEVLLLPESQDVGSSRKRSMEPQEEEEEKDASEKKIGSDVVTEEEKDSSSKEEAKEAPKATTTTQHKKSEDAIAAARERFLARKKAKVEE >A10g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19939084:19944874:1 gene:A10g506810.1_BraROA transcript:A10g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRLSSAEKGKGLDFEHQEPARATRVKVPLPDNSDLLRKHSLTLIGRVTNKTAQKCLVARAEEKAKKAAQEKADEAAGSTVCKEKEPNRDSQFHPANMQRNEGFRFSATNRETSQSRGYTKEGRDYAHHRKPKYQSKIWHERSSLRQSSQARERAKFKNMRFTRTSREHSNFRQIPEPQTRSYYREVSRRTPENIETVSSASKIHQEASDRGNPLQTTPAYIPDEVLNEARAELRDYMIQYTKSADPTEREARKERFRKAEEQGEMEEAAIQMAKTSMSISADRKRRALDEATPERVPATQRLGSSSQQKSVSREENSHELMLNSQERLPVSLRVGPAIPQNTNQEETETFHDTNSSERIPATQRLGPPLASPTDRTKDPEAAIPKRKPGRPPGRKAQEKILQEHGAVQKRRTVAQKKNSPGRRKTSPKTTAPARLKAIRMAKEWTNAQEHLVKSQKKIPSCTPIPLNCSLMRSDAAWRVASQLAGLGWTIRREGEEVASFSSDSSVNSALVAEAMAMRQAVKESWILGHKRMRCEADSDQLIKALNGNE >A07g501140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2339655:2339948:1 gene:A07g501140.1_BraROA transcript:A07g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLRYSEKYDTSSTHQPPTTTPTSPLPSSVPPPPPQPYSPAPITPPESLTPNPPPSISGPPSNPLRSGGGRSPRTPSSSSPSSDGLSTGVVFCKAI >A03g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31327510:31329312:-1 gene:A03g509710.1_BraROA transcript:A03g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMVMYKREGSFSSLLLSDHHSHQLPVTTGDFLATPPVYFERQSSGDLGPTVLDCLKRRLLHHLACPLGTSRNGSVLIAGNSVHGSKSNLKTTAKREGSHIESLEAAIYRGTVNEHRHSDSIKKSYHIFYSVKVRYTGKIEPSSTHNTVTFLYEDDSKKIANSSKTKVAEQCEYNSDIYSIYSH >A07p032710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17909611:17910904:1 gene:A07p032710.1_BraROA transcript:A07p032710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Downstream target of AGL15-4 [Source:Projected from Arabidopsis thaliana (AT1G79760) UniProtKB/TrEMBL;Acc:Q9MA02] MGVSSPDFRAPPPSPVASSRRSSFTANEDVLSEFLDKCGRVPNLVLPDKVFPKHRFLLNPPTFDFLRLGSSSPLLMDAIDTIGCFQLINHGVPETKVRAAADKIIFQDETEEFVFYKDVDDACNSDLRELMGEAERIGKAIREKLGGRSQEEEEEEEEEEEGVGVCYVKKHNSQIESQGWEEEEAIRMLIRGYDERHSLCLSFCHAEFHVYSKRGWFSFSPRPDAVVVTVGDQGWSGRFKAVVGRPLLHRSAHASLPRNFVSISFLYTAAAAAAAAAATRSDAARITHHHQKNTISIYQQFFFALILTLIIPFFFT >A01g510190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27995771:27996232:1 gene:A01g510190.1_BraROA transcript:A01g510190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIVNATATMGEIVIDTLAKNIVRKGTVRETLWMIVIITKVETVTERRGTGQGHALARAAALNISQGHKTHNIWMSRIVVDSKMVGDCRSHVCAITTMHKTLTLGA >A01g509650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26304843:26305433:-1 gene:A01g509650.1_BraROA transcript:A01g509650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVGCIEVPSLIQLSYGMVIILQEISASAAAAASMRTVVVRFADADAAADATAYYIATAGFIGVSHRTRRSDAASDAASCVNETNKS >A06p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1817654:1824515:-1 gene:A06p005640.1_BraROA transcript:A06p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWLSKYCIIILFTLIFHGRLGFSDNNKLHEAEVRALKEIGKKLGKKDWDFNKDPCSGQGNWVVTTYTSKEFESNITCDCSFLPLNSSCHVIRIALKSQNLTGIVPPEFSKLRHLKVLDLSRNYLTGSIPKEWASMRLEDLSFMGNRLSGPFPKVLTRLTTLRNLSLEGNLFSGQIPPEIKRMIHLERLHLPSNAFTGPLPKELALLQNLTDMRISDNNFTGRIPDFIGNWTSIMKLQLHGSGLDGPIPSSISALTSLTDLRISDLGGKPYPFPPLKNLDSIKTLILRKCNLNGEIPKYIGKLKKLKTLDLSFNQLTGEIPSTFENMKKADFIYLTGNKLTGAIPSYFVNKNKNVDVSYNNFTDASTITGSKCSDVTSNWVESFSTGNKSRKESSCYLHHIPCRLPKRYHKYNLYINCGGGELKVDKGTTYEADQNSKGPSMFFSVNHWAYSSTGNFMDNDDDADDYVVQNTSRLTVNASSPSFGLYRTARVSPSSLTYYGLCLGNGNYTVHLHFAEITFTDDKTLYSLGKRLFDIYLQDKLVIKNFNIQDAASGSGKPVIKSFPVNVTDHNVKIGLRWAGKGTTGIPIRGVYGPMISAISVEPNFKPPVYHDKKEIILKAGIPVAAALLLLLIFGIFLKKRRDKNAIDKELRNLDLQTGSFTLRQIKAATDNFDATLKIGEGGFGSVYKGVLSEGKLIAVKQLSAKSRQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLILVYEYLENNCLSRALFGKDVSARLKLDWSTRKKICLGIAKGLTFLHEESRIKIVHRDIKASNVLLDKDLNAKISDFGLAKLNDDGNTHISTRIAGTVGYMAPEYAMRGYLTEKADVYSFGVVALEIVSGKSNTNFRPSEEFVYLLDWAYVLQEKGCLLELVDPTLASDYSEEEAMLMLNVALMCTNASPTLRPTMSQVVSLLEGKTAMQELLSDPSFSTVNPKLKALRNHFWQNEFSRTLSFSTTSGPKTASANSQLDAEEKTGLLD >A01p053400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30072737:30074930:1 gene:A01p053400.1_BraROA transcript:A01p053400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSVKHVPTFGGAAISEMKSFFSAMKPRKTIITFVYAFVITFVAFTVYLAFAPSLITISNSVSSYILPNVSAVTSASSNITLQATTPESLTPAVINTTFEPPLGNETNPHSRNNASRSHASVHLCPNNNTARNSDKQAPLSVNSSASSPMRKQSRKSGAKREIKSLKDCDFFQGEWVKDESYPLYKPGTCNLIDEQFSCLTNGRPDVEFYKLKWKPKECTLPRLNGGKLLEMIRGRRLVFVGDSLNRNMWESLVCILKGSVKDERQVFEAHGRHQFRREAEYTLVFKEYNCTVEFFASPFLVREWEVTDKNGTKKETLRLDMMGSSSKQYIGADVLVFNTGAWWTDDITSKGEDYFQERSTVYPKLNVDEAFRKALTTWGRWVDKYVNPKKSLVFFRGFSLSHFSGGRWNAGGACDDETEPIKNEAYLMPYPSKMEILERVLRGMKTPVTYLNITRLTDYRKDAHPSVYRKQKFTAEESKSPLLYQDCSHWCLPGVPDSWNEILYAEMLVKLDQLRGNRRRKPEGLL >A04p032950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19199731:19201764:-1 gene:A04p032950.1_BraROA transcript:A04p032950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPTNENASRLPHEEQRIVSELSTNSNEPDSFFAVSKMSSLLPNLDSRLETTTLLFDSTLCICRWYASWRKFVNTPPGAAAPRPGPIDNSDIIETDPYLGRPSLAKEKAYVLVTQLVWKTLLEWYSGGPPIPRTFIYLYLSDSRDDDGDDHNEVSDIRLPKEASVRELYDMVCAKTVVSLEKAHIRDYYDMRKGQFLDPLSNKSLEESGLVTNQDILLEVDERGLAGLVNLGNTCYMNSAVQCLAHTPPIHEYFLRDHTHQGELSKAFGKLLTELWSSGTNYVVPRVFKTKVDKAAPQFTGHYQHDSHELLVALLTGLHQDSDIVNVFQGQCKSSLVCPVCGETANTYEPFTSLSLPLPSTPTWSISLLSCLDEYLAQEPLGPDNMWLCPQCKEQRQANKKLDLWKLPEILVFQLKRFKTSKYFVKKIDTFVDFPVDELDLSKYVEKGESCLYELYAVSNHDGGIGLGHYTAYAKMIDDDNEWYCYDDSLVSPVHESEIVSSAAYLLFYRKRVGSDMDLS >A01p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:777212:779303:-1 gene:A01p001740.1_BraROA transcript:A01p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFYYVIVLPLALFLLAYKFIFTSKTQRFNLPPSPPYSLPILGHHHLLKPPVHRLFQRLSKTHGPIFSLRFGSRRTVVISSSSLATECFTGQNDVLLSNRPCFLTAKYVAYNYTTVGTSPYGDHWRNLRRICSLEILSSNRLTNFLHIRKDEIRRMLTRLSREVANKEIELEPLLSDLTFNNIVRMVTGKRYYGDEVHNEEEANLFKKLVADVNDCSGARHPGDYLPFLKIFGGTFEKKVKAVGEAMDEILQRLLDECRRDKDGNTMVNHLLTLQQQEPEYYTDVTIKGLMLGMMIAGTDTSAVTLEWAIACLLNHPESMGKAKQEIDEKIGQDRLIDEPDLANLPYLQNIVSETFRLYPAAPLLVPRSPMEDIKVGGYDVPRGTMVMVNAWAIHRDPSLWSEPEKFKPERFNSGGEDVHKLMPFGNGRRSCPGAGLGQRIVTLALGSLIQCFDWEKVNGEKIDMTETPGMAMRKKEPLRALCRSRPIMNKLRVHLEGA >A02p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19237354:19238520:1 gene:A02p035250.1_BraROA transcript:A02p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKVETPKVKVDMEAKLQAWLESKGKTKSSHRMMAIRSPLIGKNQSWVKKLGVYRSAVAENAKLTSKEQRNAFVSARNLFGGETPDASHLWGIGEKMAEYIIELRETSPVKSETVIQGFVPPGRIKKFMPHMRQGGLYTLTNFYGFRNKEVFRVAAHSVTISFSHTSELAPLENSPVDFEEDRFRFHSYEDFKAGCDMKGDLYDVIGHLKLVNG >A02p026310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13835167:13838694:-1 gene:A02p026310.1_BraROA transcript:A02p026310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSLSNHVPPLTLLSHHERRTLNLKLSCRSSLLFHQLFRAKLVSNSSPGVVAVSASSSPFAVPESVDEDHFDDELRRLLALVPEEIRRTLEEHPQISELIEVVLDLGRKPLARFPSGDFIISDEAVRVKDLQFAVSQVGEFTNDNRAGISRTLHRISAIRNRKGEIIGLTCRVGRSVRGSANLLRDLVQDGNSLLLIGPPGVGKTTMIREVARMLGNDYEKRVMIVDTSNEIGGDGDIPHPGIGNARRMQVPNSDIQHKVLIEAVENHMPQVIVIDEIGTKLEAIAASTIAERGIQLVATAHGGTIENLIKNPSLDLLVGGVQSVTLGDEEATRRGGTKTVLERKGPPTFTCGAEIVSKTEVRVHRSLEATVDAVLAGRLPNVEIRKINSHGVEVIMEKKESLMDVTTLDKKHEEETLDVSKLIKEETISEVLPTKEITEAESSEHETPMYLYVYGIAESTVLQAIKTLEIEIAVEITDNISEAEALLALQSKIRKNPRIKSLATSHGIPVYVTKTSSGIQVAKAIRELLTDYEDGLGEFGSEDRPKLSEKMDALEEARLAIERIVIPENEPVDLLPRPRKIVSFQGNLVRKYNLRSERHWRGDEVYLRILPYGMDEDKNKEDEDEEEEVVEEENGGELEEFGCVTEESNGLPYSIDRLPLLPD >A04p021450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12981428:12985967:-1 gene:A04p021450.1_BraROA transcript:A04p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKTKERKDGEETSQHSAATVCVSGLPYSFTNAQLEEAFSDVGPVRLCFMDLMNTVAFFAFVKFALPEDANRAIELKNGSTVGGRRITVKQATHRPSLKERRTKAAQGISLPDINTQAESDNKDSLIPETDKQVSPPEENIEKKPIERKKPTKLHVDLADKETCSDKQRVARTVIFGLTQDGCRAEASAFLFTSVKSACAAVAALHQTEIKGNLVWARQLGGEGSKAQKWKLIIRNLPFKAKPSEIKDVFSAIGFVWDVFVPKNLETGLPKGFAFVKFTCKRDAENAIQKFNGHVFSKRPIAVDWAVPKNLYNGASDAVTAPEDGEKNGSDEDSDNSSVDMEEVDDAVESHQPSGDDIDDEEEDSSNKPSESDALEKDAVTDVNFEEEADVTRKVLKNFLASSKVNIASNDGETEESDKNKLEESSAKPVVESSGVSEPLKTKEVAPKETQEDDDFKRTVFISNIPFDVSKEEVTQRFAVFGQVESLFLVLHPVTKRPKGTAFLKFKTADASDAAISAASTASGVGVLLKGRQLSVMRAVDKKSAQDIGLEKTKDKNLDHRNLYLAKEGQILEGTPAAEGVSAEYMDRRRRLHENKMKKLQSPNFHVSKTRIVIYNLPMSMNEKQLQKLLVDAVTSRATKQKPTVRQIKFLQNEKKGKVDTKNYSRGVAFVEFTEHDHALVALRVLNNNPETFGPQHRPVIEFAVDNVQKLKLHKANQQFQRNRQNESEAHAEDIQPGNDLKRRTRDGDKTGPVEENASGFKKKRPMRPREQRKQQATPEEKSLSVKEDAGNKKGQWKKRQQESTEKPTPKNVSKDVSDAPRKRKFEEVRGGEKVNSRGGPPEVQDKLDMLIEQYRSKFSQSSAKTGPQKQSSGQVRRWFQS >A01p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27818059:27820283:1 gene:A01p049570.1_BraROA transcript:A01p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEIGGDVSWFGPLETFLFIPISAMENNEKGGDLTLLGLFLGISLLKPTSATGNTGVGGDVILLGPLGTFLIISSFETVFDTS >A03p053010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22750322:22753655:-1 gene:A03p053010.1_BraROA transcript:A03p053010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAMVTATGAVVVLYLLGRRIVWARDGEDDSELGKSGRSGRRRIVRRPAQAPATWLETISTLSETLRFTYSETLGKWPIADLAFGINYLMRRQGNFSSASVYAGSNCVELKGPEIIMELTELLRFLTLCMLFSKKPFPVFLETAGYSHEDVLLQKPKAGILQPAFTIIRDTNSKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGLSNLVLGYAHCGMVAAARWIAKLSVPCLIKALNENPSYKVQIVGHSLGGGTAALLTYILREQKEFASATCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSAASVDDLRSEVTSSSWSNDLRDQVEHTRVLSVVYRSATAIGSRLPSIASAKARVAGAGAILRPVSSGTQVMLKRAQDVAQAVVQTRSSLSSWSCMGPRRRAISSQLNSKVTDMPEASAIIPERRSTEALLAQTVVIDRKAHKRTEHCSSSSSDSEPEEEEEPLISIDQVITETSSVEEDVTEGELWDELNKELTRQEKERASGAMEEEAAAAKEITEEETVITGGSDSSNGQNQSPVSASSSDFIESQRFYPPGKIMHIVSVTETESETEHDEAMVVGTRTATVERVRLYETPRELYRKMRLSRTMINDHYMPMYKKMMELLITELECDLHSS >A07p012070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8358610:8361627:1 gene:A07p012070.1_BraROA transcript:A07p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVYVQIHPPTRLFVFAVRKRTRALTRIRDPTILTTDPKPRLRWTDELHERFVDAVTQLGGPDSEYYLFLVLLLSFLDLVLMKSVLFSLRLYSIMRLESDYNQECNNQCQYHYISKSYSTGGETPVCSYFTEATPKTIMRTMGVKGLTLYHLKSHLQFKFQFSFPSVPCVIPTTFVLCLGESAGHRGYQVTEALRAQMEVHRRLHEQSKQTTLENEPIGHNLCSMGRLQLRIEANKGSTCNRFLRKLARPLTSKLLLLLGLSSILPFFDATKMVMMPSLSELAVAAIDHKSITTNCSVESSLTSNTNGSSVSAASVKKPTSWRQYGPWSNKKYAELDAKLERKMVESRRYYPGHRSLKSMDSIIMMFPKLKEGLRNIRNVFESYDQDGNGTIDMEELKKCLEELKLMSLSDEEVKGLYGWCDVDGSKGIQFNEFIVLLCLIYLLAKPSSESREEESRELGPKLVESIFDPIVEVFLFLDKDGKGKLNKADVIKTLNNEDYPLERSPKHVTNMRFEEMDWGRKGKVGFREFLFAFTSWVGLDDVDGYMTS >A04p034280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19883299:19884701:1 gene:A04p034280.1_BraROA transcript:A04p034280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNDTTTGAGAVVPTPSATVPSTIFPGTTITSNSTFIIIGPPPPFPAPPRSIDFTPIKLIFAVIAIFAVPALVYSLFFTAPCSSRRRNSSSSSRRSSSSSSDDPPHATVDVTPAEKDAATIVAPDVGKKFKKETHSEEIGNECTVCKSVLADGEEIRQLSACKHEFHVSCIEEWLQTRSNCPNCRADVPVKPTEADANVNGNVNVNRSGGGNRRVSATNRDDDWRQANRNALQHAHPTAYEDPMTIVRFIFFHEKQSNFRLIPGDLSARRYPLVLARPCRKPSGASSGLILTMFNVKWRVALRVLSF >A09g512100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35450747:35452118:-1 gene:A09g512100.1_BraROA transcript:A09g512100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPSLSNNGFSAAVTPPKTLRGLNKPKCIQCGNVARSRHTLFLRVLHLTGFDSSKLETWLLFKSRKPLPDSRLLYIPVYAVLKGVVTSAEKTAPSTPSSEQKASEGTSGRVSSIRQLSSNFAQFNNLNAVSRQRKPLTIKDAQALNEWRFTKLKEYINRNIEVENEAFDRYMINVKLLEEAFSLASVREEEETAASERNNEETTVSELKLRLRSNSARADGFKMRITETVKAGLVKVQKPAGNSDDQDDIKRRTKRRRLEDKTSALNEIIDKLNKARTEEDLKSCVEMQSKLCGNVSSSSASDKNRIFPASVHKVEISEALQKMREQLQSFDQVETL >A08p034290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20427300:20431139:1 gene:A08p034290.1_BraROA transcript:A08p034290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPILQFEEKIVETVENNPVVVIIGETGSGKSTQLSQILRRHGYTKSGVIAVTQPRRVAAVSVARRVAQELDVPLGEDVGYAIRFEDRTSSKTRIKYLTDGVLLRESLSNPMLDDYSVIILDEAHERSLNTDILLGLMKRLVRIRSSNFKVLITSATLDGEKVSRFFSGCPVLNVPGKLYPVEILYSKERPGSYIESSLKVAIDIHVREPEGDILIFMTGQDDIEKLVSKLEEKVRSLAEGSCMDAIIYPLHGSLPPEMQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSSGMYSLDVTQISKVQANQRAGRAGRTRPGKCYRLYPLAVYRDDLLDATIPEIQRTSLAGSVLYLKSLDLPDIDILKFDFLDAPSSESLEDALKQLYLIDAIDENGAITNIGRTMSELPLEPSLSRTLIEANESGCLSQALTVVAMLSAETTLLPGRSKPNEKKRKRDEESNLPDGSGFGDHIQLLQIFECWDSNNYDIRWCKENDLQVRGMVFVRDVRRQLCQIMQKISKDRLEVGARGRKSSSREEYRKLRKALCVGNANQVAERMLRHNGFRTLTFKPQLVQVHPASVLSTDQDGMLPNYVVYHELISTTRCAMFVHAQCLFS >A06p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3552928:3554732:-1 gene:A06p009910.1_BraROA transcript:A06p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit E1 [Source:Projected from Arabidopsis thaliana (AT1G13060) UniProtKB/TrEMBL;Acc:F4HP14] MKLDTSGFETSMPTIGFGSSNDMLDGFSTVPSFDLPRTTDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVSGASKLLANMLYSYRGMGLSVGTMIAGWDETGPGLYYVDNEGGRLKGDRFSVGSGSPYAYGVLDSGYKFDMTVEEASELARRSIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVPPAIAEQVMEEAAAE >A02p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1408689:1411305:1 gene:A02p003410.1_BraROA transcript:A02p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVLGFLILSCLLIASAMESPSPAPFIGGGRRVISPSPSKTPTPESSVASPPSLPQADSPSIDSAAVTPSSISDSPSEAPAPSQQSSAVSNQYTVFAGSIAVILYAALLSFNLPHQDFRLTNGGTVGSNLTRNAESSRFSKPYSADAAIGGSIVEDSEEKDDLRSRIFRLRLPKRSATTVLEKWVGEGNQITVNELREISKELRRTRRYKHALETPETYTSLLHAYAASKQTERAEALFKRIIESDSLTFGAITYNEMMTLYMSIGEVEKVRDVIEVMKGRNVSPDIFTYNLWLSSCAARFDIDELRRILEEMRREGGSNEGWARYIDLTSVYINSSRLTNAESSSPVESEKSISQREWVTYDFLMILHTGLGNKGMVDQIWKSLRNTNQKLSSRSYICVVSSYLMLGCLREAGEVVDQWKESKTTEFDGSACLRILNAFRDVGLEEKASEFQLLLVEKKCSLEDEIS >A09p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6664724:6672453:1 gene:A09p013060.1_BraROA transcript:A09p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTKIQVRGQPIPRVPARNHRMINSFVCGVSIKSHHRVSNVLRTNGSSLGSVPIRTTESQFLRGDGNGRFGRFGGKFVPETLMSPLKDLEDEFNFVLGDHEFQEELTTALRDYAGRETPLYFAGRLTEHYKSISRTTGDGPEIYLKREDLSHCGSHKINNALAQAMIARRLGCSRVVAATGAGQHGVATAAACAKFSLECTVFMGTADKEKQFSNVLSMKMLGAQVKSVEGTFKDASSEAIRNWVGNLKTTYYLSGTVVGPHPSPLMVREFQSVIGKETRRQANQLWVGKPDVLVACVGSGSNALGLFHEFVGDEDVRLVGVEAAGFGLDSGKHSATLAVGDVGVYHGSMSYLLQDDEGQILKPHSVGVGLEYPGVGPEISFLKEAGRAEFYTATDQEAIQACRLLSRLEGIIPALEPSHALAFLDKLVPTLRDGAKVVVNCSGRGDKDLDTLIQRGLPSSLC >A01g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14399537:14401102:1 gene:A01g504840.1_BraROA transcript:A01g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEEYSKMIYLDADIQVYGNIDDLFDMEDGYLRGVLSCFCEKIWSYLPLYSIGWCQYRPAVTWPAEMESLPPPPYFNAGMFVFEPNPLTYESLLHTLQITPPTPFAEQDFLNMFFAKVFKPVPPVYNLILSVLWRHPGSVNLETVKVVHYCPPGAKPWRYTGEEPNMDREDVKMLIKQWWDIYNDESLDFKAKSAGCARCPEVVVCQLLVLRL >A01g507220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:21052020:21052268:1 gene:A01g507220.1_BraROA transcript:A01g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKRRLSEKKKNCRRRLGFAVVTDIELVAIYTCRRHPCFCFSDPFLPVSIFTVVATTTAYHHTGHLSLFQSHCGGKGRRE >A06p035430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19165613:19166726:1 gene:A06p035430.1_BraROA transcript:A06p035430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSFKHTHHSSLIITILFRDSQGTFLSFGFKKVVPDRWEFSNDFFRRGEKRLLKEIQRRKLTPQAAVVTPPPEVVAAAAAAQRVQTTKTVVSPSSSAEDQAVSPSWYCQTGNGGGLSVELLEENEKLRSQNIQLNRELTQMKSLCDNIFSLMSNFSGSPPDQNCSPGPSSSHERMKPVEFFPAKRFSGETEVEEEASHRLFGVSIGLKRTRSEGVQVKAVGERSGGGGEKEETPWLRHYNRTNQRVCN >A05g509550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29168646:29171499:-1 gene:A05g509550.1_BraROA transcript:A05g509550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNLRESLAGGRNTPAISQFRRGNISQNGFSRDSDENLDLFSKIRRSFPLASSDELPDVSVKLGRLSVGSKPAPRGKGDDLLSSAEGGKNDYDWLLTPPGTPLGNDSHSSLAAPKITPSARATSASKASRLSVSQSETSYHSTRPARSSSVTRPSLSTSQYTSFTSNRSPSSILNTSSASVSSYIRPSSPSSRSSSSARPSTPTRTSSASRASTPSRIRPGSSSSSMDKPRPSLSSSRPSTPTSRPQITANSPNIVASRPNSRPSTPTRRTPSISSASATSGSNISTGRGGTNGRVTPSLSRPSSPGPRVRTTPQQPIVLAEFPLDTPPNLRTSLQGRPISAGRSRPAAGSSITAKASPEPKGPLTRRNSSPVVTRGRLTETQGKGRYGGGNGLQHHMDAPEPRRISNVADVTSRRTLKTTSTVADNNNGLGRSFSKNSLDMAIRHMDIRNGKSNGCALSTTTLFPQSIRQASSKIQPIRSVNNLSDSISSSSAENGNEATDGRRLMGKLSDMDMYESSRYDALLLKEDVKNTNWLHSIDDRSSDHGLMFDNGGFELLPEPFGPL >A03p046710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21937473:21941129:-1 gene:A03p046710.1_BraROA transcript:A03p046710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINISFWNVRGINGKDKHPDFLNWRNVNKVSFGAILESHVKESNLSIVMNAICPGWSFASNHSEDEDGRIIIFWKHPLQVSVLLKTRQSLTCFVHCPGSHSFTVTAQEAETVEKIPQISQQRQLLGHSKKMGILAVNHFRSILGPPLAPVTPNLLAYKILRLRHLAVRWLKILPGNGRECRFWTSPWSPFGQLINFVGQSGPRSTGIPISSSLASLWSNDSWTLAPARSDRMEEVLTYLTSISLSDRPDTMIWNTSTTRSNQSRCFSANQVYNLIRESNPSVAWKNVVWLKRGIPKFKTLTWLFVLDRCPTRNRLLAWGLQTDAGCLLCNRYQESRDHLFFDCEYSYAIWGSLATRLNFSLQSNSWNDSLQALIDFTGDSTLRYLIILAWQASIYEIWKERNNRLHRNIFRSPSSLVSSINATVKNRISSFRDDNGVFSSQTMQRWLSTN >SC203g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:233175:234992:1 gene:SC203g500080.1_BraROA transcript:SC203g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGNCMNSPLGYSLAASYIDRTDGYLSHRGNQSDGAHENEGSASPDQQGMRNEDETEERDQFEVQSERGEEGE >A02g512220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33159696:33162214:1 gene:A02g512220.1_BraROA transcript:A02g512220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKRKSYEMDTNRRGTGGEYGKRIEMEYEPPRDWWGVWENAAAVAFKNGKGRKTLQGVWTTSKGTGCRVYQGRRPHRNAARKGRVGPLELCRTISGNADGKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARRGPRSVREYTEEFLESAKRCKPKSAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSMGSGRMAGPKPDGEQKSPVRSG >A09p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6763385:6764989:1 gene:A09p013210.1_BraROA transcript:A09p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLSFFLVLLLTLVSSIFLKKLQNSKLNLPPSPSSLPLIGNLHHLAGLPHRCFHKLSIKYGTVMLLRLGFVPVVVISSSEAAEAVLRTHDLECCSRPKTFGTRKLSYGFKDISFSPYGAYWREMRKIAVIELFSLKKVQSFRYIREEEVDFLVKNLTESCLKQSSVDLSKTFFSLTASIIGRVALGQNFHLSGFIIDQERIKTLVTDAVEALGTFTFSDFFQGGVGRFVDFLFQRHKKINKVFKELDAFYQHVIDDHLKPEGRKNPDIVSLMLDMMDKPENADSFKLNMDNLKAILMNVFLGGIDTSSIIMIWAMTELVRNPRVMKKAQENIRTILGAKRERITEDDLNKVDYLKLIIKETFRLHPPVPFIVPRETMSHIKIKGYDIPPRTQIQINVWTIGRDPKRWTDPEDFIPERFTNSSVDFRGQHFDLLPFGSGRRMCPAMSMAVATVELGLMNLLYFFDWGLPNGMEIGEIDMEEFGNLTIVKKLPLQLVPLRRY >A10p037130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21007687:21010673:1 gene:A10p037130.1_BraROA transcript:A10p037130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL3 [Source:Projected from Arabidopsis thaliana (AT5G06320) UniProtKB/TrEMBL;Acc:A0A178UB58] MGDRHHQDLNGAYYGPSIPPPRKVSHNHGRGGGGCCCGCIGDCLGCCGCCILSVIFNILITIAILLAIAALIIWLIFRPNAIKFHVADAKLTQFTLGADNNLRYNLDLNFTIRNPNRRIGVYYDQIEVRGYYGDERFGSSNVAPFYQGHKNTTEVGSKLEGQGLVVLNGGDRKDLDEDLKSGIYRIDAKLRLRVRFKFGLIKSWRFRPKVRCDLKVPLGSSNMSSSSQDDPNIDPEVRFIMEGTARLVAKHGVWMESRFLEDNVNNKLYNFLRIPNHPYLAFYRNKIVEYRNAHQKQCIKPPCSTNYVAQPPVVEIKCPEGMNPNDFGVIKLTAQFVVRYGRHFWPDLVNSVTMNPKPQFGFINASDCRFNVLLELVDAYAKLLMPYWKRPASKRNQHACTETFLQGFFNILQGKKHDEEEGVEIERDVVDVHDFAGCLDYFACSDDGKWKSPGRISAQMLSDGMLDAPPTDDGAQGQPNPLFTYPPIPRDYPFTYPKGITRRQLGIIKVTAQFYVRYGYTFLMALIRRVAIIENSQFEFIMSEDKYSFFYRLVTVYSNVLMPSCTCPERAVLKGFFNLLQGENQEVEVGVEMSESDLHSYVGALDYFANREDQELTSHGCFSSAPTQMRPCLPSLSENVASLKEKIARKIQIPANKQKLWGKAGFLKKDNMSLAHYCVGAGETLIMSL >A08p036630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21441893:21445839:-1 gene:A08p036630.1_BraROA transcript:A08p036630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVTLVSTSPIDGQKPGTSGLRKKVKVFKQPNYLENFVQATFNALTPEKVKGATLVVSGDGRYYSKDAVQIIIKMAAANGVRRVWVGKNTLMSTPAVSAVIRERSGADGSKATGAFILTASHNPGGPTEDFGIKYNMENGGPAPESITDKIYENTKTIKEYPIAEDLPNVDVSAIGITSFEGPEGKFDVEVFDSADDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAQESALLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSDTGGEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAIEAIPYFSSGLKGVARSMPTSAALDVVAKSLNLKFFEVPTGWKFFGNLMDAGMCSVCGEESFGTGSDHIREKDGIWAVLAWMSILAHKNKDSIDGDTKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGKAKELMEHLVKLQSSIPEVNKIVKGIRSDVANVSSADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDASKIGRESQEALSPLVDIALKLSKMEEFTGRSAPTVIT >A01p059500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34166700:34168521:1 gene:A01p059500.1_BraROA transcript:A01p059500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAVHLPKHASFLPNPKLPLNQNSNFLGVSLKIGRPMSVNRKMKGPVTVSAASTSKTVVTDGDRSKQFYINFTGFPFPLGPFLNRRTIRTEAVKGSIWMFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIQQLIEELGAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKTIKDGDLSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIFVPRKPPSSISSESLLASAKNGLAVKILSKGKQVPNDPVVDTPNTRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDEIASDWRFKRIIPAHFEAPVNAGRSEFLAAFGFLDDLLGERYVNRPPSLSILFTSLMGKAASYFPPDDMRTLSSLDQFLVSVGAVKKTVSGRKRR >A07g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14133485:14134006:1 gene:A07g506030.1_BraROA transcript:A07g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNGGYYIYVGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNSLLSFIDKAVEEGFITPTARHIIVSAPSAKDLVKKLEEYVPRHEKVASKKSWEMEQIGQSPTCQISR >A01g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5241738:5241962:-1 gene:A01g501370.1_BraROA transcript:A01g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRLLSPGSDGFQSADFAGFCLRETMSYLESFSPAPIDLRFSSSLSDCLSLCSSDDSSPQLIWFLHLQAYLYL >A03p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6344572:6351286:-1 gene:A03p015920.1_BraROA transcript:A03p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMSNEIVSSNKTKKDGLGWMVWLRGWVNVLQEILLQRIMASHLHNPFPLPPLNNLTCIVTGSTSGIGSETARQLAEAGAHVVMAVRNIKAAHELIQQWQTKYYCSGKRLPLNIQAMELDLLSLNSVIRFSNAWNARLSPLHVLINNAGMFSMGGAQKFSEDGYEQHLQVNHLAPALLSLLLLPSLIRASQSRITSVNSVIHYVGFVDPNDLNFLSGKRKFSSLEGYSSSKLAQVMFNNVLSKRLPLETGISVLCLSPGVVQTNITRDLPRFIQDIYSALPYISYSPQEGCRSSVFSATDSQIQIYCEKLKTDKKSVCAFISQSCQPTNSSEETHNVETSSKVLGKGNRLLHWTCLMVCSSLIVQAGRTRIGVFAQGRHQLNNFNKTLSVGFGFRTTAAKMMMVDSSAGEKRVEMFDIPKEKVDDGGYIGGGWKNDDGSLSCGYCSFRGKRSTMEDFYDVKAFKMEGQTVCMFGIFDGHGGSRAAEYLKKHLFSNLMTHPQFLTDTKLALSETYKQTDVAFLESEKDTYRDDGSTASAAVLVGNHLYVANVGDSRTIVSKAGKAIALSDDHKPNRSDERKRIESAGGVIMWAGTWRVGGVLAMSRAFGNRMLKQFVIAEPEIQDLEIDHEAEFLVLASDGLWDVVPNEDAVSLTQSEEEPVTAARKLTDTAFARGSADNITCIVVKFRHDKTESQPNPEAEAEPEPEPERNPEDEIQTESSNPSDEMETESIPKAEAEPVPEAIPEPKQETEPETKGEKAGE >A10p041070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22554056:22560810:1 gene:A10p041070.1_BraROA transcript:A10p041070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation exchanger 4 [Source:Projected from Arabidopsis thaliana (AT5G01490) TAIR;Acc:AT5G01490] MKLINSWMNEVGGLMNATCGNATEMIIAILAVGQRKMRIVKLSLLGSILSNLLFVLGTSLFFGGLSNLRKHQSFDRTHIRFLPEIERKRVNEEMSLITTEASPSLSLIENGGGSDKRTAELSRSNRRTVQNLSATSLMRNRSDLKLISRVRWEFMRRMLTNLQEVLLGTKLFLLFPAVPLAVVAHRYGCPRAWVFALSLLGLIPLAERISFLTEQIAFHTGPTVGGLMNATCGNATEMIIAILAVGQRKMRIVKLSLLGSILSNLLFVLGTSLFFGGLSNLRKHQSFDRRQGDMNCILLFLALLCHTLPMILRFATEAAGGSATNATNVLVVHPTRSEDGSDVLVLSRASSFLMLFAYLAFLIFHLFSSSLTPPPPPPQREEEEEEEDVYDDNVSDKEEEEAVIGMWSAILWLITMTLLVALLSDYLVSTIQDAADSWGLSVAFIGIILLPIVGNAAEHAGAVIFAFRNKLDITLGIALGSATQIALFVVPVTVLVAWAMGIEMDLNFNLLETACLALSILVTSFTLQDGTSNYMKGLVLLLCYIVIAVCFFVSNSPSGKSWPWPMRSDKYRASNGSDQLWRRGVPFGTYTTGHPFIREWNRNVMKMRRRRVKVAPHK >A01g510860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30142488:30142767:-1 gene:A01g510860.1_BraROA transcript:A01g510860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGLARLMARLDIGGHNLLKIDMLATPGRYLAYDDLDFFLPIHLLTIYLSILCCR >A06p044580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23938858:23943894:1 gene:A06p044580.1_BraROA transcript:A06p044580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein CLC-d [Source:Projected from Arabidopsis thaliana (AT5G26240) UniProtKB/Swiss-Prot;Acc:P92943] MHSNHLQNGIESDNLLWSRVPDSDDASTDGVGLLSSPRDGGGGVDSLDYEVIENYAYREEQAHRGKLYVGYYVAVKWFFSLLIGIGTGLAAVFINLSVENFAGWKFALTFAIIQKSYFAGFIVYLVINLVLVFSSAYIITQFAPAAAGSGIPEIKGYLNGIDIPGTLLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHFNSRWPQLFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKSGICGHFGGGGFIIWDVSDGQDDYYFKELLPMAVIGVIGGLLGALFNQLTYYMTSWRRNYLHKKGNRVKIYEACIISCLTSAISFGLPLLRKCSPCPETVTDSGIECPRPPGMYGNYVNFYCKTDNEYNDLATIFFNTQDDAIRNLFSAKTMREFSAQSLLTFLAMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVRFYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDAFNEGLYEVQARLKGIPLLESRPKYHMRQMIAKEACQSQKVISLPRVIRVADVASILRSNKHNGFPVIDHTRSGETLVIGLVLRSHLLVLLQSKVDFQHSPLPCDPSARPIMHRFSEFAKPVSSKGLCIEDIHLTSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHLFVVPRPSRVIGLITRKDLLIEENGESSAVELQQSTSVRGRYSETATRTDAGRPLLDDLLG >A09g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13434146:13435032:1 gene:A09g504350.1_BraROA transcript:A09g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVRDGTTTPLLCVCPERKECTELLIKHFSRIDVKSSHLTCSIASTSRN >A02p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15511838:15513930:1 gene:A02p030440.1_BraROA transcript:A02p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLVGRRRQAVEEKYTKPQGLYLNKDVDIKKLRKLILESKLAPCYPGDDEGCHELEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSARPTQCPFCKTPNYAVEYRGVKSKEEKGIEQVEEQLVIEAKIRMRQKEMQDDEEKMQKRLESCSSSTSAVTGEIEYGSASAMSYNSPMEDAETASSQNTSVRQRGNRDDVDLEELMVMEAIWLSIQETEMERSSGSGETAPCSQYVSEEEPAMPSSCAISALAERQKMVGESSNHNHNVNVSSYTMLPGNCDSYYDVEQEVDDIDHHHNHYQNNTEMGETGSSSYVSSYMNGEGFHNFPPPPPMVIVPESFEEQMMMAMAVSLAEVHATTTSAPTEVSWQ >A03p017340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6993568:6998435:1 gene:A03p017340.1_BraROA transcript:A03p017340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKLEIKRIEKNSSRQVTFCKRRNGLIEKARQLSVLCEASVGLLVVSASDKLYSFSSGDRLEKILDRYGKKHADDLNALNQLAASCFNLLFVGMAHGHLNCVKLVESIDDVSVDSLVELEDHLETALSVTRARKAELMLKLVESLKEKENLLKEENQVLASQIEKKNLEGAEADNIEMSSGQISDINLPVTLPLLN >A08g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13734889:13737356:-1 gene:A08g507800.1_BraROA transcript:A08g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDHKGKGIYKHNLDAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p028440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16081537:16086847:1 gene:A07p028440.1_BraROA transcript:A07p028440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPHFSISILLLCFYFFFTLLEATKPLNLTLPHQHPSPDSVALHVTSTINASLSRRQLSSSTCGTGNPIDDCWRCDSSDWSSNRQRLADCSIGFGSGTLGGKNGRIYAVTDSSDNNPANPTPGTLRYAVIQEEPLWIVFSSNMFIRLKQELIINSYKTIDGRGAAVHVTGNGCLTIQYVQHVIIHNIHIYDCKPSGGAVVAASPTKVGRRGRSDGDGISIFGSQKIWVDHCSLSHCTDGLIDVVLGSTAITISNNYFTHHDEVMLLGHDDKYVLDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTSWKMYAIGGSGNPTINSQGNRYSAPSDPSAKEVTKRVDSTDDGEWANWNWRTEGDLMENGAFFVASGEGMSTMYSKASSVEPKAAALVDQLTQNAGVFGGPRPCFKWVGKANSEELALLADKQARSPAIAIAGLRPLDDQGQSGDSYSGYGGGGGGGTDAIGGTSRGSSSNGDNNFLGMIFGNNAPPRPRLTLLLLSLLMICVLSTSTLLLW >A03p033450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14162273:14165583:1 gene:A03p033450.1_BraROA transcript:A03p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAEKGLTCHQCKNLTDKVNLVFCSKCTKKRYCYDCIKKRYPERTSEEVQAACPFCLENCNCKACLRQPLRVKQRPSDKDENVKLKQLQYLLLKVLPVLRDICAEQNRELEVETAVRGVPVTESDITRCDASINERICCWKLNSDGSIPCPPTESGSCGTSTLELRRLCECDWVQKLITNAEEVTLQFQPPDVDIAHECSSCTSIISRQAAFRKNGHDNFLYCPNAVDLAEDDIVHFQSHWMKAEPVIVRNVLDKTSGLSWDPMVMWRGCREMNPKVKCKGDGKSVRVLDCFDWCESGIFNLATRLPKGSLKPDLGPKTYIAYGFPEELDGGDSVTKLHCDVSDAVNVLTHTAKVDIPPGQYKLVKKAQLRKQHVGQQTEASASENKSLKEVENEEAALKNCDGLVREESLKNKAGNKEPSNNSSKRSSSQEGKCITAMESDHDPKEAAGLIPQKNVTMTNESIADENHNDVCLKTERLSPEETNGNANESSKAVRGGAVWDIFRREDVPKLIEYLKRHKHEFRHFYNEPVKSVIHPIHDQSMFLSESQKKQLKEEFDIEPWTFEQHLGEAVFIPAGCPHQVRNIQSCIKVAVGFVAPESVEECLRLTQEFRRLPKDHRTNEDKLEIKEIVIHAASSAMREAKGLI >A09g516630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48955829:48961826:1 gene:A09g516630.1_BraROA transcript:A09g516630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQRKRLLISNSANKRVGVCEASWTEMLCLSSLSQPVKALHLIHNLILTSAHTPLFLFSKTLVFVVFPREPLSLFLLYFRDLVHVQGFSFILWSWPLVSVSVFLSKTFVFSFLLACRFGVSYPIYCLGVSRSHSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGSVCFLSDFRFDLFIFCCDFDRSVFLLLKSDKSEYLFLLDLNLIICYHIISDLVLFELLKPDPCSASTMAGDQKGELSKKEKLFLEEFTASMDKACKDQLRKFRQDIQQQRKGRSSRDEYKKKEFDQMDRNRKHAGLKYQIPSFHGKADPAAYVEWEEKMELIFDYQSYAEVKKVQLATAEFCGYASSWWKQLVSSRRHYGKEPVATWLKLRALMRHKYVPRQYHKEVLRKQSETKPCSANSVQEQQGRIRSRSTGVIGLPSKTTSWFCEEDIKKLSQVIMDVEKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLITRAVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIVFGDLLPSEAKGMHVSAQQDFHYETNWRMLPTLSWIQQTGKRSKWPPDHQDIVNSAKPIYLWKPGANDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQIKSGDGDIIRVNGEVITGVGGKLMFSSQNKEKPPDGLSLHQSPNKSARGQTVLSAILFERRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYHSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSECRTSQSYLWRPGEHAKVTNHVFKSSLIDYTDMMHLFLPKESCADYMEALKNAKRKNKREEDKRFKPPDLSQERHHDKHLQMQHTSLINTSGVRRAKWISSFYIIEPVSNNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYIIFENSSLCCVSKEAFVFISLVFSRPCACTRLLLYIVVVAPCISIHLFIKNLCFLFSSCLSRVSRSHSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHHNSYEIYMRLESRIGIERLREIW >A10p015940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3356518:3357475:-1 gene:A10p015940.1_BraROA transcript:A10p015940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTDRRRRRKQHKVTLHDSEEVSSIEWEFINMTEQEEDLIFRMHRLVGDRWDLIAGRVPGRQPEEIERYWIMRNSDGFAEKRRQLHHSSSHKSTKPHRPRFSIYPS >A03g509970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31981200:31983749:1 gene:A03g509970.1_BraROA transcript:A03g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHEVDRPSTKCLRACNRSMLIDMCVLVWGLACTLGMHLDILEKLWRPECVLDMQPDMWSTRCRRACVRSHAKRHTGCHQPEPDLLVSPINTPRPQIISSHPDLSKLAPREGYVQLKVNQMKISSDGKQVNMAREGERNKVVDLECSRFSPRRPVPSNRRFYMIVTRKLCPNDFVLSRVSSYPRVLNSRSSTRYSAGKSEELSRSWRRFCPDQCVEACQFLHGETEVMSKSRSIQSSPVKASIGFSPSPLRLTSFLSAKNTVSRYLEVGSWHEAGVLSDLVTGGFKETPYSLDREDSDRRGHGLWLMTRRTVGCRAVTRMTVGRGRLKVALGKNDRIAGCWTLGPPVSWSKVCDSDRIVPSPSRSASGPWCWVGRSVMFLFDCWLAGWPFISNPWCGSSVGH >A04p000020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8967:9449:-1 gene:A04p000020.1_BraROA transcript:A04p000020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFQFRALSDQLYRSSEYHKQVRGEVVKQLKDNRTIYESYVLMKYKRYYKRMAKLGEWETILPYKLRQIG >A01p000800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:314589:315683:1 gene:A01p000800.1_BraROA transcript:A01p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEVEPPCKKKKQEEPSHPSFLSLPDVIILNCLARVSKSYYPKLSLVSKTFRSLILSIELNHARFYHKTNESSFHICLQLPDRPRPSYWFTLLINLETKKSTLAQVPSIYAPKAPSIMLTVGSQVYALRQFYHPSPVMIVRNIENLLWRKAPNLTVPRSNPGACVLDGKIYVVGGCTANDCWGEVFDTKTQTWEPLPDPGTELRFSRVIRKLQIFQGKIYVRSNDEKDSVYDPKKREWNVAAKALVGDSRCAIGNLLYSCGRKSCMWYDSECKEWKPVKGLSSLNKSCRRGLIETVNLNGKLIVLWDKFARRGPHCQNKTICCALVALESRQDGQVWGNVEWSNDVLRVPSSYLLLRSSIILS >A01p002380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1090211:1092160:1 gene:A01p002380.1_BraROA transcript:A01p002380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWEKAVETALGGETEPETTRVLTLDGAVKCAKGLLPRPEILEKYPNLEHLSIAGVGVSSLDRFPRLGKLQKLVLSDNRISGGLEFLVRAGLGSLRDLDLSNNRIGLFEDLLPLAELELVSLDLYKCPVTKMRDYRARVFGLVKSLKYLDKMDAEENEIPESDEEDDDDEEEEEEEGDDGDDGEERRNVISNGRSSEVVVEVDEEESGADDDDGDVMRNGRGEGVDEEESGADDDDRDERPEVMGNGHSEGADEEESGADDEGEDGEERPNVMRNGRNEEVVEGDEEESGADDDDGDERPEVMSNGHSEGVDEEESGADDDDDGEERPNLMSNGRNEEVVEGDEEESGADDDDGDDGEERPDVMGNRHGEGVVEGDEEESGADDDEGDDPEIDADGEVTVTGRQSNELRDEHLNMDEEDDDDSDEEDGEDEYEQDGLIVDDTHDIEEEVSEEEMEQRVSGLVANGAQNVVMNIDEEENDESGEEDEYEPDGLLVDDTHEIEEEDVVEEMDLGEHGTGGRAQSMAEAVQVDEEDSDDEVQAVYSIASSNLKRKRDEDDDGDSVSNSEDDNASDDVSSDDEDE >A03p053910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23147539:23148704:-1 gene:A03p053910.1_BraROA transcript:A03p053910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNQNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHHSGSGLSGLVSGSPGDGPDHDGYASEDFVPGSSSSRERKKGNPWREEEHRMFLLGLQKLGKGDWRGISRNYVKTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVPDEIMDSQEMEAEDIPMQGSDSVPAPLTLETEECESMKSTNSSGDEPPTVTASASFGSEDTIQTQLQVQPTPGSFPVLYPAYFSPFYSFPFPVWPAAPVYVTEQVKEETHEILRPTAVHSKAAPINVDQLLGMSKLSLKESSLNGVSEQSLSLKLIGGSVSRQSAFHPNPASGGGSDMNTVIHAV >A02p033580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17896038:17899741:1 gene:A02p033580.1_BraROA transcript:A02p033580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLKRKSSSSAKALAKSKKKKGPHLPNSILKVIANQKRPLKSDEEDDDDVLGSDDEHGGDLYEYEEGVPEEESRKNNRYDRHDNYDYELPDDFEDENVESEDDDDGGNSDNDEAEGNDDDDDDRHTRMLQSLTGMPSAAFEGESKSKPVLFTEAYPEGEFNPTRDVLEGKNVLTEEDFLAPLEGTPGYQKTSKQIARMRKDTKHVVHAPLPKPQRERLERKAVIGLVDEEFSKWVHLVKKNREAPTVYFNQDVDLGYSTVGAIASEFQPRTEFEKKMASVLNDSEVSEAHRNDGARLLELNEVSMEDHIKDHNHIAKMRSLLFRHEFKSKRIKKIKSKTYHRLKNKDLKNSSLGALMDPEMAKEEAMRQEAKRVEERMTLKHRNTGKWAKRMISRGLNVKYDGPKAAIAEQLQMNANLSRKMNSMRDGSSSDESDDEEELNDGADEDTPSRLIAKAKEKTLKALEDDEVPNAGLMSLPFMARAMKKKNEEANEEAKRALEEYEEWENSGGENSKKTVNVSGRRVFGATAKVEAPKESRKDSDNFYDDSDSDNDMAGIEDNNIEPVRDNASPARNTITETEKFDDDVAGNPASKTTFDVAMFASGSWKKMAGSKNTESKKASRKTRAPIPQAQDKKGWRDEESEDSESEAEQMVDGVLTSTSKETFEVPSQAELINRAFAGDDVLDEFEKDKEEVLNQEVPKPEKPVLVPGWGDWTNIQKKRGISKQMVQKHEAEKKEWEQGLKTRKDARLKHVIISEKVDKKAEKLHTTTLPFPFTSKEVFEHSMRMPIGPEFNPSTIVGELNRPEVVKKTGVIIKPVKFEEVNPNDEVDDEHPRNHQKQRPKKKTSRRQGKVKSK >A09p042090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23809854:23812835:1 gene:A09p042090.1_BraROA transcript:A09p042090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.4 [Source:Projected from Arabidopsis thaliana (AT1G33440) UniProtKB/Swiss-Prot;Acc:Q56XQ6] MNEKDMYVLQKKKKIEQDIINALGVDFRGRPCRQDKHGGTRAALFVLGLQAFEMMAIAAVGNNLITYVFNEMHFPLSKAANLVTNFIGTVFLLSLLGGFLSDSYLGSFRTMLVFGVIEISGFILLSVQAHLPQLRPPECNMKNTTTHCLEANGYKAATLYAALCLVALGSGCLKPNIISHGADQFQRKDLRKLSSFFNAAYFAFSMGQLIALTLLVWVQTHSGMDIGFGVSAAVMAAGMISLAAGTNFYRNKPPRGSIFTPIAQVFVAAITKRKQICPSNPNTLHQPSTDLVGVKPLLHTNKFRFLDKACIKTQGKAMESPWRLCTVEQVHQVKILLSVIPIFACTIIFNTILAQLQTFSVQQGSSMDTHITTTFQIPPASLQAIPYIILIFVVPLYETFFVPLARKLSGNDSGISPLQRIGTGLFLATFSMVAAALVEKKRRESFLEQNVTLSIFWIAPQFLIFGLSEMFTAVGLVEFFYKQSSQSMQSFLTAMTYCSYSFGFYLSSVLVSAVNKVTSSNGSGTREGWLGDNDLNKDRLDLFYWLLASLSFVNFFNYLFWSRWYSFGPSATHHRAEVNSLEDLEDGANKDSNMEKPRI >A07p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22257713:22259799:-1 gene:A07p041200.1_BraROA transcript:A07p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRRLLWKLSVIVLLALYSQPSFSEVENCPADAGSSDTGNRREAAELKPPDLRADGVDTDPTTKGPEMNWPGKWELFLKNSGVSAMHAILMPKINKVQFYDATIWRISKIKLPPGVPCHVYDTKTNAIDCWAHSVLVDIETGNIRPLALSTDTWCSSGGLTINGTLVSTGGFQGGGNTARYLSTCDNCGWVEYPTALAARRWYSTQATLPDGSFIVVGGRDALNYEYINQEGVDNKKLYDSQLLRETDDPAENNLYPFVWLNTDGNLFMFANNRSILLNPKTNRVIREFPQLPGGARNYPGSASSALLPIRLDVKNPAVIPADVLICGGARQDAFYRAETKKIYEPALKDCQRISINSKNPVWITEAMPMPRVMSDAVLLPNGEVIIINGVRRGTSGWGNGRDPLFSPIIYAPDKPLGQRFKELAPSPIARVYHSIALALPDGKVLVGGSNTNDGYKFDVMFPTEVRLQKYSPPYLDPALADLRPSIVTTATPKQIRYGERFNIRIHLNQKDVTKENIKVTMSAPPFTTHAVSMNMRLLILGIFDIKREVRDLHQIHAIAPPSGKVAPPGYYLLFVVYNGVPSVGEWIQIV >A09p030410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18484144:18487379:-1 gene:A09p030410.1_BraROA transcript:A09p030410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQIEGVYSLRSDEEITALSVEEEGGGSKEMTVPISGDKTQIDKATALLKEAMDQTSVDAHWVFHTFWLDGILHQKQVANLAWKEPTFQLCTRRKKKEELSSRFAKITPSLYSFEKGRQQLPDTFTKVNKLEPGEIILLAKDGVTYTMMLKLKKNGGSRCYLRIKSPTWEKFCLANEVRAEETFILELTEKRELKFFSKYDNETERTGSLNEKEPNLVQQVLTSQRHQTSEFRSDCPEEEHEREHSGHPSPPPRPRVDKDVVLTTRRTWVEDLFNSLRKRKKMPSSRFEKSCSDVLPRVIEEEECVALTPYPTVSDETSPEPTRPAETGDVQYSLGREAAQYPRYPHSRTDITTQPGVLEAEESYTTPFACQIQQLHFLESSGNTSTASQDSSKGRTRAKYLKERFVSTPTSAGQGAVSDQDECSLPSPLVGTNQADLSSANTEGQNIAAETAGVAAPGQSATQPVPPVFSDPFPRKLEMLQRQSDIRKNYVEAKSLLKAEFQRRSAELNEQFKRKHDDVEAEYTAKNSDHTSSLRMFSNLQLELDKSQRQSEIEKNYEEENSLLTAELKRRRAEVYEEFKRKHDDIEAEYTSSYPSSAHCPMPQPR >A01p016150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7995757:7996842:1 gene:A01p016150.1_BraROA transcript:A01p016150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IMAHACRKLKTKAEEGSKKRQKISKVAVNNSPLGNTRTNEREEMNVVHGLKRIPRIKFPQRHLKPSEGAKQVENEADTFFFSNLNTSPKTTIGGKASLQPKRTPVSNEEMEAILVLGRLHLIIEMVWTSSLLHHSQNIQDLVDVFDTIKQTQFAVFKSLFTSIIYTD >A03p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:660758:662469:-1 gene:A03p001310.1_BraROA transcript:A03p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLARSSSSRPSLISIVNRISDFAYYSSSSSWWSSPEDLTAGSKRREKKTTDRFSAAIDAVHDRKLPPELRGRRDFVSVVAHTAVVEAYAKAGQPEESLKVFVRMLACGVLPNAYTYTVLIRGLAGDGKTLKGAEKYLLEMMGKGMRPNAATYAAVSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNVHTNPHADPAEDTLYRILNKNGFAPEWVELNKDIRSKAKEWRVSLKKAWAMKLEDDQSGWEEQSNLLKTQLKQINNMASLQLNYKSRSYNLIVPFGRQMFGLKWEKEIDRLKE >A06p000400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:359152:359712:1 gene:A06p000400.1_BraROA transcript:A06p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein G1 [Source:Projected from Arabidopsis thaliana (AT1G55640) UniProtKB/Swiss-Prot;Acc:Q9ZWD1] MLPPENILPITAEERHHSFGEVISLSVQNLIAFVSSQRPWLEFLALGSMDLPTSFSSAVSRSKVNLRHFVVNYSLITAASITLFLVSNPTALVTLATFAILWLLLCFCRDHPLVLYGRQVSDKVIFFGLIVGSFWGFWLTHCFFSLVLGVLTGVLLCLVHAVLRNPDDLFVQEEEVVVPSHFLHWS >A08g510320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22719315:22720410:1 gene:A08g510320.1_BraROA transcript:A08g510320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAAHLVLLLLVLVSVSLSPSVRCLGKERTLAMIKPDGVSGNYTEEIKRLIVEAGFNIVKEKLTQLDKEAASAFYDEHSSRSFFPHLVSYMTSGPVVVMVLEKGDAVSDWRGLIGPTDAQKAKISHPHSIRALCGKDSQRNCVHGSDSTSSAEREIHFFFKDVVSGDIASQHDEL >A01p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2246495:2251755:-1 gene:A01p005250.1_BraROA transcript:A01p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKGFLIFLMLSLCVSSEESMQMQYDYLKVPASEFVGSIDTIVEVISQVTSILSEFADFSGDSRLQDAVSDCLDLLDVSSDELSWSAYASQNPNVGSAGKGNGTGNMGSDTKTWLSAALSNQDTCMEGFDGTSGLIKPLVAGSLGQLYSMLRDLLPLVEPDQKPQPITKPRPIGKGPTAPPGRTLRNIDDDEESQFPDWFRPDDRRLLETNGVSYDVIVAQDGTGNFTTIMDAVKEAPDYSWTRFVIYIKKGLYLENVEIKKKKWNLVMLGDGIDVTIISGNRSYIDGSTTFRSATFAVNGRGFLARDITFQNTAGPEKHQAVALRSDSDLSVFYRCAMRGYQDTLYTHTMRQFYRECTITGTVDFIFGDGTVVFQNCQILVKKGLPDQKNTITAQGRKEADQPSGFSIQFSNISADADLVPYLNTTHTYLGRPWKQYSRTVFMRNNLSDVVRPEGWLEWNTTFALDTLFYGEFLNYGPGSGLSSRVKWPGYHVFNNSDQANNFTVSQFIEGNLWLPSTGVTFTAGLGV >A08g502960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5818441:5819025:1 gene:A08g502960.1_BraROA transcript:A08g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADSQDRRSEADKTVVDTDVLADEETSSVTVARKGKRKVLDEGAETRKKKLLCKRSAEKKNLTFGPETKSFIEGLVQTSVTSLGDMLSTQMANMERMFKERMGKMEIEVSQLRDAISLTGEGSYPSKKAAEEAPLNSKAMQAPPKGIANQAPPKSKANQDPPKSKGAQAPPKRKGDQLTPTKKVLPKRKCRT >A06g501070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3939235:3941145:1 gene:A06g501070.1_BraROA transcript:A06g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSTLPSPSNYSGNLQDHLLLTSGASSAKSNGATGSESLPHQETNSATLASVSPPLSPPPSVETSGTHASLPVLNAQAAPLVYNSQAPVEASDTQAPHHVPAPPLIATQAPLSQFVPSLGSWAKPLIFKPPVTPPDPSTPRGYEPALIPKEKMPPPELKADGTVRFPWAARLGPQSRNLYRAASPTYRLDGTPELNDQETTTSKVAPSSGSDFVTDHEPLFVSSDVSTESQVAPAASPSLITSTAILADVLSAHAATTTPIMETVPSNNIIKEVQKTSVVDPVTTTPNANTFESPSCFSVLGDVDEAEIEPMGSLSLTRGGRETKPPIKYQDLEWKTLQGRGKHGPRGRGSKR >A03p024450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10320121:10321769:-1 gene:A03p024450.1_BraROA transcript:A03p024450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:General regulatory factor 9 [Source:Projected from Arabidopsis thaliana (AT2G42590) UniProtKB/TrEMBL;Acc:F4IP55] MESAKERDTFVYLAKLSEQAERYEEMVESMKNLAKLNVDLTVEERNLLSVGYKNVIGSRRASWRIFSSIEQKEAVKGNDVNVKRIKDYMEKVELELTSICIDIMSVLDEHLIPSASEGESTVFFNKMKGDYYRYLAEFKSGDERKEAADQSLKAYEIATTSAAAKLPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKEAFDEAISELDSLNEESYKDSTLILQLLRDNLTLWTSDIPEEGADDAHKTNGSAKHGAGGDDAE >A03p066950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28632644:28634243:-1 gene:A03p066950.1_BraROA transcript:A03p066950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox protein ATH1 [Source:Projected from Arabidopsis thaliana (AT4G32980) UniProtKB/Swiss-Prot;Acc:P48731] MENDNTFSSLDNVMTNQNNLLMDLIPSREDSTSFSSMLPWNFMRPDPLQMGGFDIFNSFLTNKYLSSTSSSINVQESFEVMAPPPLPPFHHLDHLRPYDDSSNNMWSFGENSGFHPYSCVEGVEGPSEPIASTFAEEDVSDECSEINPYGATKMTSEQASSSSKDISNNVSHVIFGSKYLHSVQEILSHFATYSLNNLENHPQCYFSSQGTESGAADSAFTAFLEGGFDQRRALETKKRNLLNLLQMVDDRYSHCVDEIHTVVSAFHAATELDPQLHTRFALQTISFLYKNLRERISKKILMMGSVLERGKEKSQENSIIHQHCLLQQLKHKNHQIWRPQRGLPEKSVSVLRTWMFQNFLHPYPTDSEKHLLAIRSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNKRKLKNTQLQGNGGSRRIPKSIMMTQERNK >A01p052960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29665198:29667050:-1 gene:A01p052960.1_BraROA transcript:A01p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLSRTNRDKLQQFVSITGASEKSALQALKASDWQIEAAFDVFYSQPQPKSNDPYSDMILAEGVSVLCSELQVEPQDIVTLVLSWHMNAATACEFSKEEFCGGLLALGIDSIGKLQEKLSFMRSELKDEQKFYEIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEREWPLVNHWCDFLQDRHNKAISKDTWAQLLEFARTVNPMLSNYDAEGAWPYLIDEFVEYLYDKSVVEK >A09g510770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33092241:33093647:-1 gene:A09g510770.1_BraROA transcript:A09g510770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYITELSFNGKKTEDNPLTLFGSTVINNQQNQIPQEFVWPDDKKPSANIPILQIPLIDLAGILSGDQFLVSEATRLVAEGAKQHGFFLVTNHGVDEGLMSSACTLMDRFFKSPTCEKQKAQRNHDESWGYTSSFVGKFKKNLPWKEMLSFRFSPQEKSENHSEIVKNFIIKKMGQGYNDFGSVYQEYAEAMSNLSLRIMELLAMSLGIKRSHFVEFFEDNESILRLNYYPKCKQPNDVLGSGPHCDPTSLTILQQDHSGLQVFVDNQWQSIPYNPQALVVNIGDTFRALTNGRYKSCLHQAVVNSQTERKTLVFFLSPKMDKVVKPPEELEGERAYPDFTWSLLHEFVMKHYRTDENTLKEFTKWLKTRRIFL >A09p006560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3474808:3477321:1 gene:A09p006560.1_BraROA transcript:A09p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLPLICLLVSVTAIAADLSPERYWNTALPNTPIPNSLRHLFTPDFTDEKIINVQVGKGGVNVNAGKGKPGGGTAVNVGKGGVYVDTGKGKGTHVSVSGGKGPGGGVGVHTGKPGKRTDVGVGKGGVIVHTRHKGKPVYVGVKPGPNPFVYNYAASKTQLHDDPKAALFFLEKDMVPGKEMNLRFNAEDGYDGKTSFLPRGEAETVPFGSEKFLEILKTFSVKPGSGEAEMMKKTIEECEAKRVGGEEKYCATSLESMVDFSVSKLGKDHVRAVSTEVAQKNAPMQRYRIAAAGVKKLSDDKSVVCHKQKYPFAVFYCHKAMMTSVYAVPLEGENGLRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGTVPVCHFLPETHVVWFSY >A09g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25768041:25769704:-1 gene:A09g509210.1_BraROA transcript:A09g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQSSIDGDTFPSSDELPTSINTGQPEAGKFSLSRPAKENVVQTELNGQMSKEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMVRQNPFRGSLPEHPQDHIETLEELIPDEYDRCKLFSFSLEGEALRWLNCLAAGSLTCWEEIRKIKNFLKSLHSFLQNKHRSDIAQIDDNALSDTNDYLDEGTNCSDPYSVLNIDSFTQAYDTAVKSRTRRERFNIRQALTGNRKTKLEFYGKINMVYGELMEKADSLEKDAGCLPGRTDLNPRRQVSAVMLRSGKNLAADTRINTDVGKPDDSDKTGKSNSHPIILNDLDPNPSQENRKTTAENAKEKALDLELEEDTEIEDEIDRQYGTDVDRPKRPTIDRQPEKP >A09p004570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2581423:2591040:1 gene:A09p004570.1_BraROA transcript:A09p004570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTPLIDSSHSGRLLISWELSPSLTFFMLEDTRSVASLMDSTSSKIQQLQKAFAELESQRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKEYETKTRKAQELLEKKKAAVEAKEKASLERLQKKRDSAVFAINSALDKYNKAPIADGSITDGTVQEEAEISPQVKAYPELLKLCKDMDSTGLHKFVSNNRKNLASLKEEIPLAFKAASNPATLVLDSLEGFYPIESSPPPSDGKKDANLLGMRRTCIMLMECLSILLSRLDGSSLAGVLSENVKRRAKTIAEGWNPLPESTLDMDACNGNSLEAHAFLQLLASFAIVKDFEEDELLKLIPMVSRRRQAAELCRSLGLSEKMPGVIEVVLNSGRHIDAVNLAFAFELTKQFPPVELLKSYLTEAKRSSSQDEFNERELTGVKAVVKCVEVHNLEEHYPVEPLQKRILELEKTKAEKKKATEPAKPQTKRPRGAQPRVTDNNNNKTGYGRVIIPERYPQYVYDNRPFLTGPIMAAQAHPPPPPPQTYTFSPAAAADGNFYGNCYQFGIQNAVLLVSFLFVVLLFTCFASSQNDGVYEDSASDYKWVRIRVGLVLDLDSLEGKMVGRSVTTALSDFYAVTTDHKTRVSLSIRNSHGEPLLALASAVDLLQSEEVEAIITAGDSILETKLLAEIGEKSKVPVISIKSPVSSSLSRYNHLIQVTHDSSSEAKGITAFIHGFDWNSVGLVYEDEDDWRDSMQQLVDHFYENGVGIQSKAGFAVSSTQEMVMDRLGKMKDLGTSVFVVHLSEVIATRLFECAERLGMMGEGFAWILTAKSMTSFHGKEAMEGVVGFKTYIPMSRVLQNFTLRLRTSLGNGDDNRLSVSGVWAHDVAWALARSAEMVNASSTLLEAITECRFKSLSGERRIGFWNGNGSFSSRRHFNKLEAIIWPGGSAQTPRGRALGESIRRKLRVLVTSSNRFPSLMDVETSAINVTTVKGFCIEVFQAAIAPFNYDLEFIPWRNGSNYDNLAIALSTQKDKYDAAVGDITITANRSKYVDFTMPFTDMGLGIVAPKESSMWVFFHPLTRDLWITSAAFFVLTGTIVWLIERPDNKEFQGSWQKQIGVIFWFGFSTLVYAHREKLQHNLSRFVVTVWIFAVLILTTSYTATLTSMMTVQQIRFNSNKDHVGHLSGSLIANMSLTGPKFRSTNTKGLNTSKDYAQALLDNTVSFVVDELPYLKVLLGENPEKFLMVKAHCNTIGFGFMFQKGGDLVHRVSMEILKLRASDKISEMEKRSFESKLPYTADDTSNPLTLYMFRGLFMITGVSSALALALLLIIWLRDNWEDLMNSVNLFLSRRLVHFRILCATSIHPNPLDDENAVQMAQQEKQNTAWVDLESVI >A07p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24221048:24221928:-1 gene:A07p044410.1_BraROA transcript:A07p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGFKAKSYYEVLGVSVNSSAEEIRRAYRKLAMKWHPDRWTKDPFRSGEAKRRFQQIQEAYSVLSDQKKRSLYDVGLYDTQEDEEYYDFVEEMVSLMAQTRREEKQYSLEELQTMIDDMVCEFQTEPLFQNQPKKMKFDLNQPADWSSHMSLPVSSFEFCPQSSYCN >A09p022250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12253077:12254584:-1 gene:A09p022250.1_BraROA transcript:A09p022250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDPEEADGHGTLCLHAFSDLTHVSPLVFLYLLKQCYIHGSCKATKKFQALQHRVHQVLANNPQPGPSTFIVYCLNLLPVFGVYGEGFSHLIISALRRYFKSASAPASSQEDVASARSLAARLFLAIVGGSVAYDEKVMVNTLKVFDVGLASIDEALSKEGSASSCASAFLEEYISELIKSKSFMTAVSLLEHFSFSFPGETFLQQMVEDKDFQAAEKWATFMGRPSLCLLVQEYGSRNMLKQAYNVMKKHYLQHEFPDLCYKCKESALKDLAEKACWDVAETKAKGDRQLLEYLVYLAMEAGYYEKVDELCERYSLEGLPKAQEAEVDFVNKCFLHLNDLAVEEVVWVDDVNGLREATSFLEGCKVVGLDCEWKPNYMKGSKPNKVNNVSFIPTVT >A05g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26991603:26996158:-1 gene:A05g508980.1_BraROA transcript:A05g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPAISDSSEVGSPPVSNKIAPKGNWVRAREEVPVLAQLREKQDEQGTTVVGENEVDRVLEDTVENNMVSTTGAEETPSITVIVPKELEWSDVSPGKASRSPKKLAEPEQVLTTSRFSVLALEEDEEKNEDNSVSEDTVQEDEEKNEVSSVQEGFVIAFVIQHYRSRHYRAEHLITIDKEILRLRTRGLTDEDDVVQWRGSGDVFRP >A01p038520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14648942:14651581:1 gene:A01p038520.1_BraROA transcript:A01p038520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 7 [Source:Projected from Arabidopsis thaliana (AT1G60030) UniProtKB/Swiss-Prot;Acc:Q0WPE9] MAGGGEGGGAALPPKQDELQPHPVKDQLTSVSYCATSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKMIQTLLFVSGLNTLLQSFFGTRLPAVIGASYSYVPTTLSIILAARYNDILDPQEKFERIMRGIQGALIVASLLQIVVGFSGLWRNVVRLLSPLSAVPLVALAGFGLYELGFPLLAKCIEIGLPEIILLLIFSQYIPHLMRGERDVFHRFAVISSVIIVWICAHFLTIGGAYKHAGTNTQTSCRTDRSGIISGAPWIRVPYPFQWGAPTFHAGEAFAMMAISFVSLVEATGTYIAVSRFASATPPPPSVLSRGIGWQGVGVLLCGLFGAGNGASVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCLFFAYVGAGGLSLLQFCNLNSFRTKFILGFSVFMGLSIPQYFNEYTAINKYGPVHTKARWFNDMINAPFSSEAFVAGMLAFFLDVTLSSKDSATRKDRGVFWWDRFMSFKSDTRSEEFYSLPFNLNKYFPSL >A06g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2498327:2501399:1 gene:A06g500630.1_BraROA transcript:A06g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGGKVVCVTGASGYIASWIVKLLLLRGYTVRATVRNPTDKAKTEHLLALEGAKERLQLFKADLLEECSFEQAIQGCDAVFHTASPVKYIVTDPQTELIDPAVKGTLNVLNTCKKTSSVKRVILTSSTAAVLVRPLEPNDVVDETFFSDPSVCTELKLWYPLSKTLAENAAWQFTKDNGMDMVVISPGFVIGPLLQPTLNFSDGFIVDMINGKNPFNCINYRFVDVRDVALAHVKALETPSANGRYLIDGPSMMTIYEIRETMRELFPDLCIADMNGESEMKDIMTKEIIYEVCVEKVKNLGIEFTPLKSSLTDTIISLKDKCLL >A09p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1280528:1282814:1 gene:A09p001700.1_BraROA transcript:A09p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNGDDFKGLRITQLDDDDEEQAPVEPINVDEFDDDDDDDEDDEEEPVILGFVESPKFPWSSLRQLFPNLAGGVPAWLDPVNLPSGKSILCDLCEEPLQFVLQLYAPLTDKESAFHRTLFLFMCPSMSCLLRDQHEQWKRAPEKPMRSVKVFRCQLPRVNPFYSSEAPKHDGTDQPLGPGAPLCTWCGTWKGDKVCGGCKTARYCSPKHQALHWHRAHKIECQQLRTVLETSDSVDNGVTLTQVQKAASKSLWKEFVLINEDESEYDTEMSETDEVAQPMVVSKREVDDQMKSVMKDFEGDADKNSWVNFQQRVDKAPEQVVRYCRSSEAKPLWPMASGRVSKSEVPNCKSCGGPRCFEFQAMPQLLFFFGGSNERESLDWATIVVYTCENSCDSSLSYNEEFVWVQVYSQTT >A10p024030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15599432:15601574:1 gene:A10p024030.1_BraROA transcript:A10p024030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEKPLNPLDSSHGDSAPSDQKAEEGSSSAITEETSANVQQWRRNNLSLQIPSRAANLSPEESVVIKMPPTPSPTPRRVNFSLTSSSPAPTSSSAAPPRTKSSLKNLIPKVGFKPKLSNTDVEKGQGDVSCQEKALISRSLSLSKLFTPRIKRTSSLPVTPIVLLNSESARGGSSVTPQTPSSKGRVQIARSRSVPVNDKEASLKGMDSFFRVIPSTPRVKEGDVLLNASEAVNTETCDADGEDIPEEEAVCRICLVDLCEGGETFKMECSCKGELALAHKDCALKWFTIKGNKTCEVCKQEVKNLPVTLLRIQSIRNSGVPQIDVTGYRVWQEVPVLVIISMLAYFCFLEQLLVEKMGTGAIAISLPFSCILGLLASMTASTMVMRRFVWIYASVQFALVVLFAHVFYSVVELQPVLSVLLSTFAGFGVCICGSSVMVEFVRWKRRWRTRRLEQELNHAQTLPQTLDATTSQHHPNAS >A04p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3030192:3032672:-1 gene:A04p005700.1_BraROA transcript:A04p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGREDTMGNEEPPPPPGSKRMFWRSASWSASRTASSQAPEEDQHLTIPSGQQSRSRCAGAPPLTPRSHHNKARACLPPLQPLSISRRSLDEWPKAGSDDVGEWPHPPTTPSGGGGMNERLKLDLSSTRVPDKSSGLVKREKIACFDKECSKVAEHIYVGGDAVAKDKDILKSNGITHILNCVGFICPEYFKSDFCYRSLWLRDSPSEDITSILYDVFDYFEDVREQKGRIFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFHYVKSARGIADPNMGFACQLLQCQKRVHAFPLSPTSLLRMYKMSPHSPYDPLHLVPKLLNDPRPSSLDSRGAFILQLPSAIYIWVGRRCEGIMERDAKAAVCQIARYEKVEAPITVVREGEEPGYYWDAFASILPSMIAASSVGKTTQTGERRVEAYNLDFDIFKKAIEGGFVPTLASSNNEHETHLPARENSWSSLKCKFASRFERGFRYVSKTPLSRVYSDSMMIVHALGSPSSSTTTASSDSVCKENSSNSRKSFPPPLILPKFASLSLLPSQASTEPSPSRKASPSLAERRGALKGSLKLPGLADDSSDIVFNLEDVRKDDKNVESGRGQALACRWPSMEMITEVSRGYLDSESVIAILLPSGDDLGETVSRILYMWIGKSFSLDNNSSVLDSNKAIDSVEDLDWVQIGEAILSQMDLPKDTPMKIVREAGDQTEFLALLSAL >A09g511620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34685322:34687928:-1 gene:A09g511620.1_BraROA transcript:A09g511620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETRGGGKRKDNPTKEEVQKVKFVKTTSDNIEKTTTENVESTGTAKTTEIVDSREKTTDVSTEVMTDVSTEKTTDFSAEKTSEDARESTAEITEPSDVALETAPATMNKGPAGPFPPAPPATPAIGTESEEEENEETPSSGDEENQKAGSGEEENDHDDRSDDSSQENEVAEEEQEEADEKEDTEGSGEGNGDREGNGDGEGKDNENEGSEEENDREELANVDDNENPPEPGNPTEPEKVDQLDGRLAPLEEFVKEAQGKVVEVEEAESQGKGKRKKTQKSVGKGKKQKTK >A01p004160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1788793:1789425:1 gene:A01p004160.1_BraROA transcript:A01p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSRVITMSPLVLNHEDDLDLDLDLWEVVNTSDGEYSDDSFSVDSLSDDDVISLDDDASFVISPPPQTLPVTDVGELPADLDLDGAGDDDVVRDQVDDKHLRWAQRRMVLLRGGSTYSFGITLGDYANRGGCYDDGGSDDHVADDGEYYDDSYDLDEELVPRSVCKKLGRQRMRKLGKRAIAKVLTSKTSPYGCVRGKHGGLGLKFKC >A08p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23910850:23916253:1 gene:A08p042720.1_BraROA transcript:A08p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFCLDDSCFYFFVCSLSSQIFLLNTLQLIRYIIGMKIVDISNKNKMGFAAYHLLPHDAQDFELLSSWLRFDTETSDELDPDVVEVYLDASSPVKPLRSRFESSDARKNSHEDEVIKLLNLIGLNTSEMVERKTRKAHGVKKGSENLEYDMYIEALQNARNTITIVAVLIASVAYAGGINPPGGVYQDGPWRGKSIVGKTTAFKVFAICNNIALFTSLAIVILLVSIIPYKRKPLKKLLVATHRMMWVSIGFMATAYVAASWVTIPHYHGTRWLFPAIVAVAGGALAVLFSYLGVEAIGHWFKKKARVGVIPSFARNNSDLAISGKSEGSFFFFNLRAREVNTIGIMEPQNEKPEEGERLEEAMGGLEESKDKSAEMSRKEKRKAMKKMKRKQVRKEIASKEREDAEAKLNDPAEQEKLKAIEEEEARKRENELREFEESERAWREAMEIKRKKEEEEERRWKELEELRKLEASGDGECGEDEDGEYEYIEEGPPEIIFKGNEIILKKNKVKVLKRSVAQVDGNEIADRPTSNPLPPGTEALSKYHNVSSAQQILDSVAQEVPNFGTEQDKAHCPFHLKTGACRFGPRCSRVHFYPDKSCTILMKNMYNGPGIAWEQDEGLEYTDEEAEHCYEEFYEDVHTEFLKYGELINFKVCRNGSFHLKGNVYVHYRSLESAMLAYQSINGRYFAGKQVNCEFVNISRWKVAICGEYMKSRLKTCSRGSSCNFIHCFRNPGGDYEWADYDKPPPRFWIRKMTSLFGYSDEYLKHMEREYSGSLSEFRSDQPTDLQRQPSRRSRSRDHDHVNVGSKPSYRSRTRDSSRGHKHSRHEENCHGGDESPSSTRDGSVERETYKEPRYAKETSRHESKWSEHSPRHRVMRKRIYEKYSDDDSGVEDGRGETSHKRKSSRRYGKRGSDSEVQEGLDDEENTRTHWSSSDRRSRKEDDREGSSADHKEKSHGHDRVHEVGEKSRRERSSSRYSHEGDSSESKHRPHKGSGENERKRSVETSPRDKDRDKSKERHRYNKTGDPDSSNRSRKGKQGRESQEVKRNASGSSSDEEREERRKERSHSSHRKRRRSDEQIRKEPEEEIERWRPM >A06p008010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2768195:2777551:1 gene:A06p008010.1_BraROA transcript:A06p008010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFSSPKRSRKESKESKNPYSNRGLDKFSALLSELDEKRQSIYANRLDSDGPPLVRFVFKSSGECVPVMIKTKKITKKKVETQDDLKIKTESKTKEAKEIKETESETTEKKHRCVLNENLKKISRPNRFLPVTVILVLVFLVFFGRTISIMCTCIVWYLVPMIKEQSRKKGSTYKTQKKKKKMNERMARDQNVLKEKLPHLAAAPGKTRSGGSIRSSQCNVMMGKAITFPSQKTQTLKVSRKNVNRRCGGGALGATCSTGDKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADEAVCIGEAPSNQSYLVIPNVLSAAISRGCTMLHPGYGFLSENALFVEMCREHRINFIGPNPDSIRVMGDKSTARETMKNAGVPTVPGSDGLLKSTEEGVKLANEIGFPVMIKATAGGGGRGMRLANEPSEFVKLLQAAKSEAAAAFGNDGVYLEKYVQNPRHIEFQILADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYVGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMIYSVDLIEEQIRVAMGEKLRYTQDEIVLRGHSIECRINAEDPFKGFRPGPGRITSYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTRERAIERMKRALNDTIITGVPTTIEYHKLILEVEDFKNGKVDTAFIPKHEEELAEPHEIVLVKDLTNAAA >A05g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8501116:8504023:-1 gene:A05g502730.1_BraROA transcript:A05g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLPVSRLEVVWKSSGSRLKVVWTSCKVIWKSSELPKSLLTKSSELLGSRLDFLKVVWSCLLKWNPSFNTVFQDVLNTTYNSVVHETTEIRLKCKSSWEVKLLKLSIDDLTFSRLRLQISKSIAKITSALARRLPGKSSTARRLPAYIRLLQAHRITNESYPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFIVVVVLMTMAIL >A01p041680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22439431:22442294:1 gene:A01p041680.1_BraROA transcript:A01p041680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATILSPRATLFPSHNAHDSRRRRRSTISCSVQQVTADPSPPPLTDSSKKLNKYSSRITEPKSQGGSQAILHGVGLSDGDPLKPQIGISSVWYEGNTCNMHLLKLSEAVKEGVEGAGMVGFRFNTIGVSDAISMGTRGMCFSLQSRDLIADSIETVMSAQWYDANISIPGCDKNMPGTIMAMGRLNRPGIMVYGGTIKPGHFQEKTYDIVSAFQSYGEFVGGSISDEQRKTVLHNACPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLVELLKMELKPRDIITPKSLRNAMVTVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDAVPFLADLKPSGKYVMEDIHKIGGTPVVLRYLLEAGFMDGDCITVTGQTLAQNLENVPRLPENQVIIRPVSNPIKETGHIQILRGDLAPEGSVAKITGKEGLYFSGPALVFEGEESMLAAISADPMSFKGTVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVKNGDIITIDIGKKRIDTQVSPEEMNERKRKWTAPAYKVNRGVLYKYIKNVQSASAGCVTDE >A10g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5126667:5131574:1 gene:A10g501950.1_BraROA transcript:A10g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLGFGQGAGKLPECELRLSDRFAKGRKGEKPPMGGYGTAVGDSLNRPLSLISDHSGRFLHPETQRIQRKIQRVGRIPNPRPMVASSVSWKHTQVVRREGGRLDSTLKGMNSLEDGCSIETGFMEVPWKESSDGTFVMRQEVNTTGFEDESFVSGGDLSCPRSWIGSSGWTAVQGNAPVRSHDLRTSVSWS >A01g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15873781:15875947:-1 gene:A01g505280.1_BraROA transcript:A01g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKVMNVQRREDVKQASSDRAIVPLGRYIATELSQARSLRSDRAIVPLGRYVATELSQARSLRSDRAIVPLGRYVATERSSRSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKIGRCIAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHHTIFPNQKPVNYSMVRAWPARKDKCQVSADKYGRVLGEEHKGELFQKKLSTQSDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNISGSSGKLGANFGSHTRHTLTCPRSFETPWSFIYSKILG >A08g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7722513:7723590:-1 gene:A08g504670.1_BraROA transcript:A08g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAMWSKRCRPTCNRSHAERHTGCHQPESDWLLSSINRHAHLHISTHPDNFRSSFWEFLRSVTTLVLSRRLEEFLFELRVVQGRPFMVRHAEVSWDKRLLDEFKKGFPLLGSWIMAGGRQCEACVLGVTRQGRLHKHVPTRLGREAA >SC179g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:759861:760315:-1 gene:SC179g500380.1_BraROA transcript:SC179g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTARSLRSDRARAKLRSLPRGRYVGDQAIVPLGLYVATELEPKLGRYVATERSSCSVASDRALVPLGRYKATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPCFPPF >A04g502470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5900120:5905534:1 gene:A04g502470.1_BraROA transcript:A04g502470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVSLLRHTLYPSELKIEGRNLSGFKKPRLRQKKPCSYSSTTTSSSSSSSSSASCSSSRKKTDESTMINLYQSPTKSWSYATIWMLFAIPSYVFSVDEHHKKCHPSFRCANQLELSYPFWIPERKECGHPEFKLNCSGDFAEFSRSSVKFQILETEFYSIRLAIKDYQSNLCPPHHENIDINQDILPFSQDTMLSIFYYNCSAPRVDVPHGFYIRQLDCGNDNGRSSYFVSTASHSWDRAILENSSASCERNVSIPVSRDALSIQDGNPTLEAIEKALNKGFEVMFTTECWECKQSQGSCGYNDSSRGFVCYCVDGPHKRTCPHRPTKSGIGFAGGFFGAILLAVAVLCIIWRRKRLAAQNISKGISTTSPYSSSNTMSNTPTSTTISGSNHSLLPSISNLANGSVYFGVQVFSYEELEEATANFSRELGDGGFGTVYYGILKDGRAVAVKRLFERSLRRVEQFKNEIDILRTLKHPNLVILYGCTTRHSRELLLVYEYISNGTLADHLHGDQAQSRPICWPARLSIAIQTAKALSFLHASGIIHRDVKTTNILLDSNYQVKVADFGLSRLFSTDQTHISTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLSELISSKEAVDTTRHRHDINLANMAISKIQNDAVHELADLTLGFARDPAVKRMMMSVAELAFRCLQQEREVRPSMDEIVEVLKGIQKEGMRDFPEVVDIDVSGGEDVVLLRHGVPPPLSPVTEKETSSSNTTASSF >A03g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9240345:9242497:-1 gene:A03g502990.1_BraROA transcript:A03g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLVRKDDLSGDLDGFDDVRADEVYFLQEGDSWIVSATGPGDSWRPYKDWDHATTRLHLRDKVTVQESEPALERAETNSKPLVKVLVMGREYTGKSSIGGQIMCMTGHKTFQEILDCIKETIGYYGISEPYLPSLMDPYKKERGGTTLVGRFEFETTRTSVTMMDGPGAREHLDQMVHASIEADAVVLVVSAVKGEFETGFTTDEGTLEHAELAFSLGVSKIIVVVNQLDDVTERESRYNEIVQKLEGCLQNIGFEGVVFLPLSALYGMNIVKGVGDEFGWWRGPSLFEAIDTIEPQPRALGNPILVDRLIARITINKRSERVVTAEYPAFLCTHRAIVGCEISEIRKIREDPSAAHLKKTTALERFASVPFFGRFALTDEDYIGVLGVGEVLGIPEWRGWWNFHIRREFPANVVQPWGFTYCPSEPLRWEL >A09p081590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59323349:59325063:1 gene:A09p081590.1_BraROA transcript:A09p081590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRTISLPLSIGIAIVAILASKTLFDTHPRAFMHNPFDVLHTIKAISYSAITSVYSRHHHHHHHHHKKPSDTKKKVSICDDFPKNIPPPDTDTTSYLCVDKKGCCNFTTVQSAVDAIGNFSQKRNVIWINSGMYYEKVVIPKTKPNITLQGQGFETTAIAWNDTAYSANGTFYCATVQVFGSQFVAKNISFMNVAPIPKPGDVGAQAVAIRIGGDQSAFLGCGFFGAQDTLHDDRGRHYFKNCYIQGSIDFIFGNAKSLYQDCQIISMANQVSPGSKSVNGAVTANGRNSKDENSGFAFVNCTIGGTGHVWLGRAWRPYSRVIFVSTYMTDVIASEGWNNFNDPSRDATIFYGEYNCSGPGADVSKRAPYVQKLNDTQVAEFVNVTFIDGDQWLQLSDL >A01p042740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25291219:25293439:1 gene:A01p042740.1_BraROA transcript:A01p042740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKGELLFEQVSYGNSEGIQSLHREGADLEWMDREGKTPLIMACMNSEFYDVAETLIELGANVNAYCPARHAGTPLHHAAKRGFENIVKLLLSHGANPLVLNDDCQTPLEVARVKGFSNVVRVIESHICLFSGWMREFYGPAILDLFDPQLLSRKVWVVIVPTGSRNPSKPFKLELVIYASLQEAQPRAVMPLWKANLEEPKPKQSDTSVIIVENSIIPRRRRQRRKRRHQVVRQTRLRLAPSIEGDEQQLKWFCDACKGIPQTTHPPNFLQTVPSAPPLHHAMSETPNANHHSIDEASSSTTPPPPPPSSGKASTSGLNSHESVIVHEPSPSAPPLTDDDDVQTPEEGPFHYPTIDSTPVDVPSSYPLPASAEGEKKEDGALDSVLYVSTLHLTRFAFRVDMSQDACLA >A10p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17283990:17286043:-1 gene:A10p027470.1_BraROA transcript:A10p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGRVGEEGPRADPSPPGTETGLEGSMWRLGLRGGGGESFPERPDEPDCIYYLRTGVCGYGSRCRFNHPPNRVPVLGGLRTEPGEFPERMGQPVCQHFMRTGTCKFGASCKYHHPRQRGGDSVTVSLNYMGFPLRPGEKECSYYMRTGHCKFGSTCRFHHPLPPGAQPPSHQQQLSTGSAIYPSLQSQSGVFVARPQLLPGSYVQSPYGTYSQMVLPPPGMVPYPGWNPYQASPGSQPSMGSSSVPLAPAYQTSLSSNKEQSFPQRPGEPDCQYFMRTGDCKFGASCRFHHPLEAAPPKGVNLSNIGLPLRPGTAPCSHFAQHGICKFGPDCKFDHSMGSSSLSYSTSASSLTYPPGSSSLGTLAASSSSTSDQRTELHSSSSVKPKTTTSGGSETVSAGTKNGDSASIEDEVKASS >A04p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16125532:16127131:1 gene:A04p026820.1_BraROA transcript:A04p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) UniProtKB/Swiss-Prot;Acc:Q8GW72] MSLLTLLFFSSLLSLSKSTPLKPHPLPILPLPSSQQLQWQLGSMSMFLHFGPNTFTDSEWGTGKADPSVFNPAHLNATQWVQIAKDSGFSRVILTAKHHDGFCLWPSDYTDYSVKSSPWRNGTGDVVAELASAAAEAGIGLGLYLSPWDRHDGAYGKTLEYNEFYLSQMTELLTKYGEIKEVWLDGAKGEGEKDMEYYFTTWFSLIHQLQPGAVIFSDAGPDVRWIGDEAGVAGSTCWSLFNRTNAKIGDTDPLYSQQGDGFGQDWVPAECDVSIRPGWFWHALESPKPVAKLLDIYYNSVGRNCLFLLNVPPNSSGLISEQDIKVLKEFRELKNSIFANNLALKAFINSTSLRGGQFGAENVLEEGLDKYWAPEEKQKEWELYLEFRDPVSFNVLEVQEPIQMGQRVASYHLEMRNVESGKWERVVRGTTVGFKRLLRFPRCESRSLKLVVDKARADPLISYIGIYMDKFSDSGRNSSKITITRALQEELVLNDS >A09g508510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23872164:23873207:1 gene:A09g508510.1_BraROA transcript:A09g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPVVQDNIPEIAQVIRLGNICLLDGSWTSSAHFSGCGWVWMDSAGNIQLMGTKNFTRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMIKDPQDWPSFATELERIETLQICFPDFSIIHVPRARNQISDFLAKTAISFHRELLLLVVLFRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALS >A05p050560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29406066:29407131:1 gene:A05p050560.1_BraROA transcript:A05p050560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGEKKEGYLSLEKIIILSSLEGSAEEESLDVTADWSEKEESIDHTVLVQNVEDEAHYYDYHIVYSASYMVPMLYFRGYSSDGKPLSLDVIKRDLPSSSVSLLLESKWTFITQEEHPYLNRPWFKLHPCGTEEWIKLLSESSSSDGCQMPVELYLVSWFSVVGQVVGLKIPREMLI >A06p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6445485:6454542:1 gene:A06p014360.1_BraROA transcript:A06p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRLFRVLNQSVSPCYSFAGELRVILLHREHPCVILPEGSVMDCETSVKEKNQRNFDRLSNLPDSLLCKILSGFPTKESVRTSVLSKRWRNLWLSVPVLDLHSRLFSGEDVFKSFMDRFLCSENKQHLKRFKLIYEVYEHDASRLESWIDTVIRRRVRHLDVHNEVDDDEFVRMPPYGDSTLEILISSCPVLEELTIVRCFNDSMEDVCARSQLLKSFKIDCNESDGHVVAIDAPKLECMTLTDHVSDSFIIHNIGPSAKVDIDVLFGVLYDEPLEPDDSSKITMIRKFLTGLSTVSDMIISADTLNVIHDYCEMEQLPQFSNLSFLHTCFQATAWDMLPAFLESCTNLHSVVLEFDLPETEQVDLTLVPQCFQSSLEFVHLKTPYLVSRKKKGRELVRTSSWRNLAIYFLENVAALKKLTLSRSFGDVIDQIKSIPRRSMWCEALDMDGETAVNENQRDSDSLSNLPDSLLCKILSDIPTKESVCTSVLSKRWRNLWLDVPALDLNSREFQDQDVFVSFMDRFVCSEDKHHLEIFKLKYQVFYLDTSRFKSWVARRRVHRFNASGLKSWMDDVASSRVRHLDTSRFKYWIDAVSRRRVRHLDVRNELYNKMPPSLYSCDRLVNLDLYHVSLDHPESVSLPCVKIMHLEMVRYDDDSTLETLISSCPVLEELTISRDRYNDSLVAVRVHSHLLKSFKIVCERWLSDGHVVEIDAPRLERMTLSDHISEKFIIHSIGPSAKVHIDVLFGEENGEPLEPVDTSKITMLRKFLTGLSTVSDMIISAATLNVIDGYCEMEQLPQFSNLSYLHASFHETSWKMLPTFLKSCPNLHSVILKYELLSETEQVGLSSVPQCFQSSLEFVRLKTHGGVLRGTSSKIKLAKYFLENAAALRKLTLSSSFRNIIDEIKSIPRRSTGCENKELEMDSKTSVKDNQRNFDRLSNLPDSLLCKILSDFSTKESVRTSVLSKRWRNIWLNVPVLDLDTRTFSDDDVFVSIMDRLCSENEQRFERFKLIYQLYEHDESRFESWIDAVTGRRILHLNVYNEFDDDDTLVKMPPSLYSCERLVNLSLRCVFLDHPESVTLPCLKIMHLEKVIYACDSTLETLISNCHVLEELAIVSDYNDSLEAVRVRSQSLKSFSIDCERDESEGHAVAIDAPRLECMTLNDHRSDSFIIHSIGPSAKVNIDVSFNGEDDEPLEPDDSSKITMIRKFLTGLSTARDMIISADTLNVIHDYCEMEQLPKFSNLSYLEACFQDTKWEMLPALLESCPNLHSVVLEFDCLTKTEQVDLSLVPQCFKSSLEFVQLTTIDGVDMRKNETPLRGTPSKMKLAKYFLDNGAALKKLTLRESFCTIINQIKSIPRLSKGNWELFMANSTTLKRFTLRLDQIEEINYVILNELFALARKSITCEVVVQPHVCFHKIFQLIEVC >A07p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11008577:11010995:-1 gene:A07p018390.1_BraROA transcript:A07p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSTSADGKTRSSVQIFIVFSLCCFFYILGAWQRSGFGKGDSIALQMTNSEADCDIVPSLNFETHHAGESSIVGSSTKLKAFEPCDARYTDYTPCQDQRRAMTFPRDSMIYRERHCVPENEKLRCLVPAPKGYVTPFSWPKSRDYVPYANAPYKALTVEKAIQNWIQYEGDVFRFPGGGTQFPQGADKYIDQLASVIPMENGTVRTALDTGCGVASWGAYLWSRNVRAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPARAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWILSGPPINWKINYKAWQRPKEELQEEQRKIEEAAKLLCWEKKYEHGEIAIWQKRVNDEACRSRRDDPRANFCKTDDTDDVWYKKMEACITPYPETSSSDEVAGGELQVFPDRLNAVPPRISSGSISGVTVDAYEDDNRQWKKHVKAYKRINSLLDTGRYRNIMDMNAGFGGFAAAIESTKLWVMNVVPTIAEKNRLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANHLFSLYKNKCNADDILLEMDRILRPEGAVIIRDDVDTLIKVKRIISGMRWDSKLVDHEDGPLVNEKVLIAVKQYWVTNSTSSH >A05p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17908727:17909607:-1 gene:A05p032270.1_BraROA transcript:A05p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRIQARLLQALKPWNWRSRRQSSTRKELVTSTAAKPWTHHLVSSILRSFPDSSSIPPLSIGRQKGFSSPVTLKQRNLHWSDENDVKTLYYNVLKASGKEVSSQNNEEVLGNGLTPSAADVAVYADLHSSVAKTAMMLRRRFLGKLPKPSLLRKYVSQSHSVS >A01g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12153301:12155176:1 gene:A01g504020.1_BraROA transcript:A01g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKGQVMCVLLMCYIFGHIITSHNCGFIEARISSKFGDLEIEKKLRTINKPAVKIIKTIHGEKYGCVDFFKQPAFDHPSMKNHTYHYKMRPISHPEEKIKRETNNTGFGYLWENGVGCPIGTVPIRRITKDDILGLNSLEDIYTPRSSYNTSTVGTSDPYYDQHHFAVGRTPNKGMVFNGATMELCITAPKVKPSQFSSARLHIQMGDDFIQMGITVNPLLYKDDQPRLFVYTKAGGQQCYNHQCDVGMISVRQDYPMGLSMLPASERGAKTSYFSSFGLIKDKANGNWWFEFGTDAEEIGFWPSNLFRQSSGNYVEWGGEVFTASLPGPQMGYGIFPFQQVRYDAYVKRVAILDNNYNFDTKVDYMESFSDDNRGYQVIDFVKSEFQDAGHIIFYGGPGLDH >A07g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10531294:10532575:1 gene:A07g504930.1_BraROA transcript:A07g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNGLFLATRPLRVTPSDTGSHHVSRIDWRRGVDVTRWKGERDSFLSFVSKTLGFLPPGETPCDDDLCPYFSNLLHRFDELLSPLLTEMDPSDEITDFKRKQEFIDHLYNVADSSYGMPTSCPCGGRIIDEVRVKEEYDTRPGKRFFSCINYEADGLHYRQPWVIGVQEEMVRMRERVDEAVEIIKCVPILTKQIESLEAQVKRLTLLLDKLTGDVYNLTVQAAALEKACFD >A02g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7136455:7142948:-1 gene:A02g502210.1_BraROA transcript:A02g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVILSKILNEIRESLEEEVSELNFPRSPRDSRPRAAAVAGSGSSPKMTNDNNNPIGTSDVIQTPLNAAATDATGVTTAGNITASTTAATTSTILPAGNAADETTRRSLFGAGLYQTAQWKRELPTRAAAAVRPARLGSARRGRGLGLGLGLGLGWRAFGPIRSILFGPICLENKNVMHVILSKILNEIRESLEEEVSELNFPRSPRDSRPRAAAVAGSGSSPSL >A02p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5397540:5399816:-1 gene:A02p012360.1_BraROA transcript:A02p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALSPRILFPHSFINSRSPRFAATSTTCWRLVNTLSWEAKAIGNTDSNESPLKGTDGSFFDHVDAELTPETVDFFVSDAEGDPDCPTQGYSSIELALQSLRQGKFVIVVDDENGDVEGNLIMSATLTSPKDIAFLIKNGSGIVSVGMKQQDLERLNLTLMSPEMEDEDSSAPTFTITVDAKSGTSTGVSASDRAMTVLTLASLEAKPDDFRRPGHVFPLKYREGGVLRRAGHTEASVDLMILAGLRPVSVLSAILDQEDGSMASLPYMKKLATEHDIPIRDKLVERITVSRLPTKWGLFQAYCYRSKLDGAENIALVKGNIGNGQDILVRVHSECLTGDIFGSARCDCGNQLELAMQLIEKEGRGVVVYLRGHEGRGIGLGHKLRAYNLQDEGHDTVQANLELGLAIDAREYGIGAQMLRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVVTPITNENRRYMETKRKKMGHIYISDIDQPLV >A04p007290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8952485:8954755:1 gene:A04p007290.1_BraROA transcript:A04p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g53700, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G53700) UniProtKB/Swiss-Prot;Acc:Q9LFF1] MAFSSCLKLYPFSISHSPTLTHHQPLSLKLTPPPSSTVSFASPHSAALSSPAVNLLDSLRSQPDDSSALRLFNTVSKQPNFSPDPALYDEILLRLGRSGSFDSIKKILSDMKTSSCEMGTSQFLILLESYAQFDSHDEILSTVHWMIDEFGLKPDTHFYNRILNLLVDSNNLRLVESAHDQMSVWGIKPDVSTFNILIKALCKAHQLRPAVLMMEDMHSYGLVPDEKTFTTIMQGYIEEGDLDGALRIREQMVEFGCSWSNVSVNVIVHGFCKEGRVEEALNFIQEMSNEGGFFPDQYTFNTLVNGLCKAGHVKHAIEIMDVMLQEGYDPDVYTYNSVISGLCKLGEVKEAVEVLDQMISRDCSPNTVTYNTLISTLCKENQVEEATELARVLTSKGILPDVCTFNSLIQGLCLTRNHRVAMELFEEMRSKGCEPDEFTYNMLIDSLCSKGKLDEALNMLKQMESSGCPRSVITYNTLIDGFCKANKIREAEEIFDEMEVHGVSRNSVTYNTLIDGLCKSRRVEDAAQLMDQMIMEGHKADKFTYNSLLTHFCRGGDIKKAADIVQAMTSNGCEPDIVTYGTLISGLCKAGRVEVASKLLRSIQMKGIALTPHAYNPVIQGLFRKRKTTEAINLFREMLEKSEGGPDAVSYRIVFRGLCNGGGPIREAVDFLVELLEKGFVPEFSSLYMLAEGLLALSMEETLVKLMNMVMQKAKFSEEEVSMVKGLVKIRKFQDALATLGGVLDSRQPRRNFRPR >A03p000800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:467912:468142:1 gene:A03p000800.1_BraROA transcript:A03p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR3 [Source:Projected from Arabidopsis thaliana (AT5G02420) UniProtKB/Swiss-Prot;Acc:Q9LZ60] MVNEEDGCKTPTSSPHKIPAALCPPAPRKPKPNRSSGMKRKLTSVHVVNRIPIDLSPEIEMFFEELDRRIKKSRKQ >A02p015780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6962665:6965224:1 gene:A02p015780.1_BraROA transcript:A02p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGVASSVLIRELKELKLQKAELEHRISALEAKLQETRALERSVAVSNGCSVPKAPGTIENGLEHGLSPDQIYRYTQSNLLKSSVLVIGAGGLGSPALLYLAACGIGRLGIIDHDVVELNNMHRQIIHTEAFIGHPKVKSAAAACRSINSTIKIDEYVEALRTSNALEILSQYPLDIYDIIVDATDNPPSRYMISDCCVLLGKPLVSGAALGMEGQLTVYNHKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGVIGCLQALETIKLASLVGEPLSERMLLFDALSARIRIVKIRGRSAQCTVCGDHSSFNKQKFRDFDYEDFTQFPLSAGPLNILPRGSRINSKEFKEILERKQQHVLLDVRPAHHYKIVSLPDSLNIPLANLEARLDELTSALKEKQEGHASTGSCTNPCIYVVCRRGNDSQRAVEYLRDSGFDTAKDIIGGLEAWAADVNPNFPTY >A03p007330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3034731:3037362:-1 gene:A03p007330.1_BraROA transcript:A03p007330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHVSPSMRSITISSSNDFTTDLMKIKLAARHISSRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSIDCLGRRLGPRFLGRVDDSERLARDFYNILNEVSTQEIPDGLKLPDSFNHLLSDMKNNHYDAKTFALVLRAMMEKFERDIRESKFAELRNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPEFLPVLSDNAYHHYILATDNILAASVVVSSAVQSSSKPEKIVFHIITDKKTYAGMHSWFALNSVAPAIVEVKGVHQFDWLTRENVPVLEAVESHNGVRNYYHGNHVAGANLTETTPRRFASKLQSRSPKYISLLNHLRIYIPELFPNLDKVVFLDDDIVVQRDLAPLWDVDLGGKVNGAVETCRGDDEWVMSKRLRNYFNFSHPLIAKHLDPEECAWAYGMNVFDLQAWRKTDIRETYHSWLRENLKSNLTMWKLGTLPPALIAFKGHVHILDPSWHMLGLGYQKNTNIENVRKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIKNCHILE >A08p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15412943:15414383:-1 gene:A08p023400.1_BraROA transcript:A08p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-methylthioadenosine/S-adenosylhomocysteine nucleosidase 2 [Source:Projected from Arabidopsis thaliana (AT4G34840) UniProtKB/Swiss-Prot;Acc:Q7XA67] MAANGDGFSNASMENLTGHVEKRPISTIVFIVAMQKEAQPLIERLRLVKDVNSPFPKEVAWILFKGMYKDLNINIVCPGKDSALGVDSVCTVPASLVTYASIQALQPDLIINAGTAGGFKAKGASICDVYIVSSSAFHDRRIPVPVLDLYGVGMRKAFPTPNLIKELNLKVGRLSTGDSMDMSPHDKESITANDATVKDMEGAAVAYVADIFKVPTILIKGVTDIVDGNRPTSEEFLENLAAVTAQLDESITKVIDFISGKCLSDL >A01p039930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16120753:16122379:-1 gene:A01p039930.1_BraROA transcript:A01p039930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECITKLTFNENETEDSLLTTFDSMVLHDQENQVPQEFVWPDHQKPSPNVPILQVPLIDLAGFLSEDPFLVSEATRLVAEGAKQHGFFLVTNHGVDERLLSSAYTSMDKFFLSPTCEKQKALGEWRESWGYANSYFGKYKKNLPWKEMLSFSFSPEEKTDNHSQIVKDFIIEKLGDGYNDFGRVYQEYAEAMSNLSLKIMELLGMSLGINRSHFKDFFEDNESILRLNYYPKCKQPDVVLGTGPHCDPTSLTILQQDHVSGLQVLVDNQWHSVPPNPQALVVNIGDTFRALTNGIYKSSLHQAVVNSETERKTIAFFLSPKVDKVVKPPEELESERVYPDFTWSMLHEFVKKHYKTDENTLEEFTKWVKKAETSNEG >A03g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21005852:21006491:1 gene:A03g505850.1_BraROA transcript:A03g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLCVLSPKSMSGRESDSDPGDLEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEEERPVEALMILKYSGVLAHDGRRAW >A05p004980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1934127:1936174:1 gene:A05p004980.1_BraROA transcript:A05p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIHSAPGSSGYLDMYPERRMSYFGNPYILGLTLTAGIGGLLFGYDTGVISGALLYIKDDFQIVKQSRVGVASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNSAFTQVPGTWRWMLGVSGVPAVVQFGLMLFMPESPRWLYMKNRKEEAIQVLSKMYDISRLEDEINHLSAAEEEEKLQKDTISYLDVFKSKEMRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFHSNQLALLLSLIVAGMNAAGTVVGIYFIDHCGRKKLALSSLCGVIVSLIILSVSFLKQSDVTSDGGLYGWLAVLGLALYIAFFAPGMGPVPWTVNSEIYPQQYRGICGGMSGTVNWVSNLIVAQTFLSIAEAAGTGVTFLILAGIAVLAVVFVIVFVPETQGLTFLEVEQIWKERAWGSSRDGDNMEGLLEQGSRS >A04p001130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:564806:566483:1 gene:A04p001130.1_BraROA transcript:A04p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVRQRSKRCLYQVSYGDGSFTVGDLSTETLTFKGARVDHVAVGCGHDNEGLFVGAAGLLGLGRGGLSFPSQTKNRYNGKFSYCLVDRTSSGSASKPPSTIVFGNDAVPKTSVFTPLLTNPKLDTFYYLQLLGISVGGSRVRGVSESQFKLDATGNGGVIIDSGTSVTRLTQSAYVALRDAFRLGAKRLKRAPSYSLFDTCFDLSGMSTVKVPTVVFHFGGGEVSLPASNYLIPVNTQGRFCFAFAGTMGSLSIIGNIQQQGFRVAYDLTGSRVGFLPRAC >A08p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:998970:1000712:-1 gene:A08p001670.1_BraROA transcript:A08p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor RAP2-12 [Source:Projected from Arabidopsis thaliana (AT1G53910) UniProtKB/Swiss-Prot;Acc:Q9SSA8] MCGGAIISDFIPPPRSRRVTSEFLWPDLKKNAKKSSKKRLSFFDLDDEFEADFQGFKDDSSVDCDDAKPFVFAGARKPAVSAATADSVFGKKVADGEGERSAKRKRKSQYRGIRQRPWGKWAAEIRDPREGSRVWLGTFKTAEEAARAYDAAARRIRGSKAKVNFPEEKENPPAKKVAPNPSPVLAQNLDNSFDNMCSMEEKHQVNNNSNQFGGNGYHQYFSSDQGSNSFGCSEFGWNDQAPITPEVSSAFINNNSATFAEEADPAKQLKVMDFETTYNSTEWDSSLDFFSGDAVATQDNGANPMELWSIDEIDSMIGGVF >A05g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8486371:8487234:1 gene:A05g502720.1_BraROA transcript:A05g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLQHLLDKRERGSVGPRMDETGPYLPTEKMRQQRFVGILSLLYIVAFLAKNKSECLQEEWGVLEKSPEAALDVDQTIFVAVL >A03p009670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3874750:3876667:1 gene:A03p009670.1_BraROA transcript:A03p009670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWVSALRISVLLILVAAIVLAFYFLPVEQLLRDFLLWVEQDLGPWGPLALAVAYIPLTVLAVPASVLTIGGGYLFGLPIGFVADSVGATLGSGAAFLLGRTIGKPFVVAKLKDYPQFQSVALAIEKSGFKICLLLRLAPLLPFSMLNYLLSVTPIMLGPYLLSSWLGMMPITLALVYVGTTLKDLSDVTHKWSELSFGHWASLILSLVVSVMLMVCVTKVAQNALRKALAEHGGDMNGAVAASPELNDVANVPADLNEPLLIKIDSQSHQDHENQRVMKEAAG >SC361g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000203.1:4211:4610:-1 gene:SC361g500010.1_BraROA transcript:SC361g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAISKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A09g517850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53721113:53723279:1 gene:A09g517850.1_BraROA transcript:A09g517850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRSGGDSGLDEPGSSGIHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLVGQMLYFVLTTGSGQQTLGEEYCDIIQVAGPYGLSPTPARRALFILYQTAVPYIAERISSRAATQAFTFDEDDQSPRMVDLPSSASSVLTRFKDRVQRLWHRAIRRWPVVLPVAREVLQVLLRANLMLFYFEGLYYHISKRASGVRYVFIGKQLNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSITSSVQQASLGSYQTSGGRGLPVLNEEGNLITPEAEKGNWSPSDATSTTEAVGKCTLCLSSRQHPTATPCGHVFCWSCIMEWCNEKQECPLCRTPNTHSSLVCLYNSDF >A06p048800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25835144:25838830:-1 gene:A06p048800.1_BraROA transcript:A06p048800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGHSFNNISLSGRGGTNPGLLKINSGGIQWRKQGGGKAVEVDESDIVGLSWMKVPRTNQLGVKTKDGLYYKFIGFRDQDVASLTSFFQSAFGKTPEEKQLSVSGRNWGEVDLNGNNLTFLVGGKQAFEVSLADVSQTQLQGKNDVLLEFHVDDTAGANEKDSLTEISFHIPNSNTQFVGDETRPPAQVLHDRIMAVADVGAGIEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVISLDPPIRKGQTMYPHIVMQFETDSVVESELSISDDLLNTKFKDKLEPSYKGLIHEVFTTVLRGLSGAKITKPGKFRSSQDGFAVKSSLKAEDGVLYPLEKGFFFLPKPPTLILHDEIDNVEFERHVAGGANMHYFDLSIRLKTDHEHLFRNIQRNEYNNLYSFISSKGLKITNLGGAGTAEGVAAVLQEDDDAVDPHLERIRNQAADESDEEASALCLTELLQCSDDHDEDFVGGEDDDGGSPTDDSGGDDDDSDASDDGEGEKEKSMTKEPKKETKSLPPKKKAVATEEGSSKKRKVKRKKDPNAPKKAMSGFMYFSQMERDNIKKTHPGIGFGDVAKVLGDKWRQMSAEEKEPYEAKAQVDKKRYEDQISDYKNPQPMLVDSENESDSN >A02g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8966486:8966975:-1 gene:A02g502670.1_BraROA transcript:A02g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEDRARLPRTVEFKHTKSRRKQCRSPSKKKILAGESMGSQAPVYTIPTTFFGFAIDPGSQFYQDQELQKSRIAFAHVLESGKGGTVFGSSESSSCFSTHCCVRTRDTVVLACAWNKSFRRN >A08p030930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18925928:18927188:-1 gene:A08p030930.1_BraROA transcript:A08p030930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFSTRLFSDQELEENGIIQQYGMNTIMGEIHEAHHTLPHSFPTRMLTTNDPSYDDLIDMKPSKILETTYISPKLQPPPSFPLPPYSKPHFHHQPSSRILSFENATQNVMDNELSPTYQNSIFSPKVEAEVPPNWMNGKGTKRAQPLYKSQSNAQDHIIAERKRREKLTERFVALSALVPGLKKMDKASVLGDAQKHIKYLQEKVGEFEEQKRERRLESMVLVKKSKLILDDNNQSTSSSCCEDDSSTLDLPEIEVKFSDKDVLIKILCEKQKGHVAKIMAEVEKFHFSITNSSVLPFGPTLDITIIAKKESDFDMTLMDVVKSLRSALSKFM >A07g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15283381:15284088:1 gene:A07g506370.1_BraROA transcript:A07g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNITMAMHWIVLGCSPGDSSCVIVEDEINATIVRPLPYGVKLHAIVECDMLMEFVKDFKGTAQILEKGYVTDIQDNRKDVVVEHSRQLCSSQSVELNQETLGKTGSQVKSSSSSLGPASLNPIPSSSSPPSALFLLIRQHGLI >A06g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6693027:6693409:-1 gene:A06g501790.1_BraROA transcript:A06g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDWSVRKEFKDLILVYVATFEWDGFCLCWAGLKKIRSFSTETEKKKKLSTIRRKIGTTKLVGSSRISARSKTFSPNSTPSSPALARALVPLPLPSSVLDFVTVASSGGRMQRI >A05p051590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31479099:31482071:1 gene:A05p051590.1_BraROA transcript:A05p051590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSANVRPSHILSIPRPSPTCVPNLRLLASGFSLIFKSSYLSAELSSRQTRSVQVPVVSSTRKQRRSMEQSNVYVASNSMETEIGSQKITKNPSLICAPVMADSINKMVTETCKARELGADLVEIRLDSLKGFNPLEDLKTIIKKSPLPTLFTYRPKWEGGQHEGDENERLDVLRLAMELGADYVDVELQVASEFIKSIKGKKPKNFRVIVSSHNYQNTPSLEDLSDLSVRIQRAGADIVKIVTTALDITDVARMFRITSNSQIPTIGLVMGQRGLMSHILCSKFGGYMTFGTLEYGKVSAPGQPTIKDLLDLYNFRRIGPDTKVYGVIGQPVSHSKSPVVHNQAFKSVDFNGVYVHLLVDDIASFLKAYSSSDFVGFSCGIPHKEAALTCCDEVDPLAKSIGAVNTILRRKSDGKLLGYNTDCIGSISAIEDGLRSSSRCTSASPLAGKTVVVIGAAGAGKAVAYGAKVKGAKVVIVNRTYERALEVAEAIGGRAVSLADLENFHPEDGMVLANTTSVGMQPNVDETPISKHALEHYALVFDAVYTPRITRLLREAEECGAGTVSGSEMFVRQAYEQFEIFTGLPAPKELYWKIMSKY >A07p032150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17701881:17704005:-1 gene:A07p032150.1_BraROA transcript:A07p032150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSFLLWYVLLVQAFFYGAWYSVGGSLHCEYSNSVILHRPHSVSITEFGAVGDGVTLNTKAFQNALFYLNSFSDKGGAKLFVPAGQWLTGSFDLISHLTLWLDKGATILGSTASLSQEYILARRIGLWLILYHHMDEEESCLSDDVMVIGENGTIDGQGSVWWDWFRHGELNYTRPHIVELINTTGLIISNLTFLNSPFWNIHPVYCSGWDEYGISYARPSSKIKINRLTGQTTSSSGIAIGSEMSGGVSDIYIKDLHLFNSNTGIRIKTSPGRGGYVRNVHVSNVKFHNVQKAIRFTGKYGEHPDENFDPKALPVIEKITFENINGEDIGVAGLLEGIEGDEFKNICFLNVTLTVKKNSKKAPWKCSHVRGYSQWVSPEITCDSFGESIFPEHSSDCFGLSENNLEKSSGLSRSPWLLSW >A04p000690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:349690:351069:-1 gene:A04p000690.1_BraROA transcript:A04p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XXT1 [Source:Projected from Arabidopsis thaliana (AT3G62720) UniProtKB/TrEMBL;Acc:A0A178VEJ0] MIEKCIGTQRLRRLQRVWRQGKVTLLCLVLTVVVLRGTIGAGKFGTPEQDIEEIREHFFYSRKRAETHRVLVELSSSSKTTSSSAAGNSNNNNNYETFDFKKIFADEGDEEKALDRSKPYSLGPKISDWDEQRIDWLKQNPSFPNFVAANKPRVLLVTGSAPKPCENPVGDHYLLKSIKNKIDYCRIHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMVFELPWERYEDYNLVMHGWKEMVYDQKSWIGLNTGSFLIRNSQWALDLLDAWAPMGPKGKIREEAGKVLTRELKDRPAFEADDQSAMVYLLATEREKWGGKVYLESGYYLHGYWGILVDRYEEMIENHKPGFGDHRWPLVTHFVGCKPCGKFGDYPVERCLRQMDRAFNFGDNQILQMYGFTHKSLGSRSVKPTRSQTGRPVDVKDEFGLLHPPFKAATST >A09p051820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45559481:45563622:1 gene:A09p051820.1_BraROA transcript:A09p051820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G26700) UniProtKB/Swiss-Prot;Acc:Q94KB1] MREENDYGAEPRERTLGLTPTWSVATVLTVFVVVSLIVERSIHRLSNWLRKTKRKPLFAALEKMKEELMLLGFISLLLTAISSTIANICVSSSFYDNRFVPCSRSEIIEEHDSTISSVKRTRLTRSPFFHSLRRRLVGIGETTCSEGHEPFVSYEGMEQLHRFIFIMAVTHVTYSCLTMLLAIVKIHRWRIWEDEAQMDRNDCLTVVAREKILKRQTTFVQYHTSAPLVKNRLLVWVICFFRQFGHSVVRPDYLTLRKGFIMNHHLTLTYDFHSYMIRSMEEEFQKIVGVSGPLWGFVVAFMLFNIKGSNLYFWLAIIPIALVLFVGAKLQHVIATLVLENAGITEYASGVKLRPRDELFWFKKPELLLSLIHFIQFQNAFELASFFWFWWQFGYNSCFLRNHLLVYLRLILGFAGQFLCSYSTLPLYALVTQMGTNYKAALLPQRVRDTINGWGKATRRRRRHGLYGDDSTIRTETSTIASVEEYDHQVLDDVPETSPAQGADHELELVKNWEASTTVANENSSRVGTPLLQPCASTSSTTFSKLQTETTESLSRSSSLPVKR >A02p038130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23094466:23095707:1 gene:A02p038130.1_BraROA transcript:A02p038130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGKRLSPSTVEITSAEKPSEAEKATINLDKEEEESEEDVEIDRQEGNNDDRLTMVNIDRQNEDNVDRRSTPAKPAVERVYRILPPFPPNKMQTKRELDKAICKKAFFKITLEGQETSSWRKSAGNLFVDISYDNVVLILENPERLALIWRKIREKGCELPSLGDMRECDVYVWMAVANAKAMEASNEYAALMEKRLADFPSKEDVGSHLLIIEQLRGELEAVRVTLQQHEVEIEGLKGKLADAETEKVAVQNDLDSMKEKNRLEIEGRDAATRKECNLARRSLAHEYDAVLAVVKDKLQKKKKETAAEIRLQEVRACIEALTEYSEGGFEIEELERLRYQEVSLDVDYGLASVSDPSLSRLELPEVAGDSVDQD >A02p030800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15725570:15726353:1 gene:A02p030800.1_BraROA transcript:A02p030800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMLSSVTLKPAGFTVEKMSARGLPSLTRTSFKIVASGVKKIKTDKPFGVNGSMDLRDGVDASGRKGKGYGVYKFVDKYGANVDGYSPIYNEEEWSPGGDVYKGGVTGLAIWAVTLAGILAGGALLVYNTSALAQ >A08p021120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14209704:14210888:1 gene:A08p021120.1_BraROA transcript:A08p021120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQARWVPIQSPVEDKAMKPLNYETKKPHNFETILRDADLPIPPSTEQLRSGVLLKKLIKYWVDDRNINCFMIFPRKLSITWSDDPNYWTWLPNDSPNEKGVEAAGLNNVCWLDITGKFDTSNLTPGITYEVVFKMKLEDPAYGWDTPVNVKLVLPNGKDKPQEKKVSLREQPRYQWVDIKVGDFKHERDSVGEIIFSMYEHAAGVWKKGLFLKGVAIRPKYNN >A06g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26857468:26860497:-1 gene:A06g509310.1_BraROA transcript:A06g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-myrcene/(E)-beta-ocimene synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25810) UniProtKB/Swiss-Prot;Acc:Q9LRZ6] MKAFYWLIFFAELDSFSFLSYCTFSIVYIDAFTSNVRLRRFACKSMTKMKSDDVSVDLYRRSGNYQPSPWDHHSLLAIKIKYADEKEVITRDVLKKKMKKILDVELKTRLEQLELIDDLQELGISHHFELEIKDILTDLHHKKEKHLWNCDKEEDLHATALEFRLLRQHGFEISEDVFDVIVNKIESATFKSDDIKKYHHFIRSVVSVLKTREKAIHALEMPYHWRMRRLETRWYIDAYEKKHDMNLALMELAKIDFNIVQVSHQEELKYVSSWWKETCLSNQLPFVRDGIVENYFWNVGIIYEPQFGYTRRFMTIISALITTIDDIYDIYGTLEELELFTAMVDNWNVNGLDELPEYMRLCFLVLYNEINGIGCDILKHKNINVIPFLKNSWTDLCKAYLVEAKWYKRGYKPSLEEYMQNAWISTSVPAMLIHFYCVFSDQISIQILESLSAHRQNVVRCSATVLRLANDLATLPDELARGDVLKSVQCYMHETGASEEKARGHVQQMISDTWNEMNYEAKIALLPRGFVEATMNLARMSQCIYQHGDGHGRPDKAKTVERVMSLFVSPVPLD >A03p074410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32404935:32405968:-1 gene:A03p074410.1_BraROA transcript:A03p074410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFTKSNLCKEIFTKSLAVKYCSNLNRTTKYQLSEGNGHVSKSVTDKLKYGHRTTHKPSSRLSSSKAQSLRSDRAPTKLGRYVATKHVSAEHVSTEHVSTEHVAIETIATEHISTETVATEHVSTEHVATEHVSTEHIATERVSTEHIATEQVSTEHVSTEHVATEHVSTARQARNRPSTDERSDEHRSEPVATEHVSTEHVATEHVSTEHIATERVSTEHIATEQVSTEHVSTEHVATEHVSTEHVATKHVSTEHVSTEQVATEHVATEHVATEHVVTEHVSTEHVSTEQVATELSQNVDTT >A03p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8020798:8022735:1 gene:A03p019610.1_BraROA transcript:A03p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFSDMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >A07p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15277882:15282560:-1 gene:A07p026810.1_BraROA transcript:A07p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIFMENLKSDHRRTIQNVDKAPPPASSDLIGVDDKDELNENENTAQKNTFVQSLGTWSKPLHFTPPPQLGVSEAVQSQIDSFWPTIGEAIVKGPKTKKGQMLFPEQAKPHLPVKTVLPPALKEDGSLRFPWAARMNQSSRNLFRATEPTYRPDGTPQVTIPAKVLRLGPENKEEYVVGQFHRCSNPPGGLIHAVLNRLWGRELEKAAPVQSHIMESIPSHSIILEDSETSKIEQPFDLRSPVTLDHHNFHTEDTPPVYGNGNGFDVVGDSSSYTVTRGGRTIKPTQKVQDMGWTRASGRGENAVTNTARSHLLCCLIAVMIMSEENRRAISKYLFQEGVLFAKKDFNLAQHPLVEGVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIDFLRTYLNLPSEIVPATLKKQQKPLGRPMGDRPRGPPRSDGERRSGDRDGYRGGQRSGGEFGDKSGAPADYQPSFRGSGAGSRPGFGRGAGGYGAGAGPAAGSDLP >A05p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21150956:21152161:1 gene:A05p037210.1_BraROA transcript:A05p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSGLRVLLRRPLRSRGVSLQWCLRFFWYRGEVADVVIRSGGRLLGFCEGIVFTFGSPISFSVVGEGGGLVRRFDSAAAVVALGMVVIRWRRASVVSMQEGWYLPGHARVGSLGVRIWWSSMAFFGGFSLDYVSLDLLFTALCPAPPTGFGGSLLGVSVSRVSLFPSPMTPELVLSESVLDPSFLEGHNCRDGSPKSLVIRVSTFDRCYLPGSVISCMRNYGGVALLSRCFARFGSRFQALFGVEYKPGFGGDCKAVCYSGDDMGSLGIRGNKENLTFPWSSSKVENGNRFQRSSKNRLAECAGFSGRAKLVL >A05g509840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29430281:29430563:-1 gene:A05g509840.1_BraROA transcript:A05g509840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGVVVVLAAFAHWRVKKTKDLWRDAVDEDDDVMRLMIIQLRFRFRAKGGALIGLELLIIDEQ >SC191g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000057.1:79083:80753:-1 gene:SC191g500030.1_BraROA transcript:SC191g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLANGDDEDLDKPTVGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A07p015260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9506177:9507693:-1 gene:A07p015260.1_BraROA transcript:A07p015260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALANLQAVERRSYTTELRSIEETNRRVPDSMVKIMNKNITVGHHDKTESIQISQKKGDENETQSSIETRPEKRAKTILDSRERFEDLEKNVDIPENLSLEAQDNLQQQSNDETKTDDQQNMEPQRGVEKKGRDIRFKLEQFYNRQRTKKQQFQAQQQEQEMKLQQEQEQEQEPTQQQQQPLASHFHLYALVEKLANAVETGTRDQNSNAMVTELSSYFNKSQQMLNSVSEALGFKTMYVDGQKRKLEDTEKLLQQRRLVTYTCLLKPMVRELTVEYRKSIEDILKIEP >A05g508220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23982012:23983434:-1 gene:A05g508220.1_BraROA transcript:A05g508220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREEKCYIEPSRRRSAAVARRRRKPLSKQRSVSDWLFVGSSSSPLFSSTPDDFAVTINTGTSKCGEKLVFELPLIQIAAMDFYLLQSLLAFASECDQKQGHLHQSTTVCVEKTFIILLVNKLKSSLTLPLTPLFPAPRPCAPPSINTAKQPSPVTIADQMAEEAMKREGSSSARTGRNISSPLSPMNGGFMRQETNGWEGDLQHVLYINYGAGDVSSDPDAAASVII >A03p074250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32290847:32291166:-1 gene:A03p074250.1_BraROA transcript:A03p074250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMLEAVPRSLHEVFQSFLLKFIQILDIFFRYEVDFGRFLIRLLEDSQKLLEDSWLTS >A08g509860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21043193:21044773:-1 gene:A08g509860.1_BraROA transcript:A08g509860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALSVLCLVLLVSVSEAAVTKPGIGEFLGCLRSWPSPESPITDDIFTADNTTTFLSSYLAYTKNTRFASPNYQTLMAIVTAKSLSHIQATVVCAKSNGVQIRIRSGGHDYEGLSYISSVPFVILDLFNLRSITVDVPSKQAWVEAGATLGELYTKISDASETVNKTLEQGGIDVLYKWQLVSSKLLDGLFLRALSQVVNRTIVVEFYAQFLGRADELVAIMNQSLPELGLKRQDCLEMSWLNTTLFWEDLPVGTPTSVLLDRPSKPEKFFKSKSDYVKKPIPKEGIKKLWEALLEFNNNNIVYMQWNPYGGVMDTVPAAATPFPHRKGNLFEVQYYTSWLDANATMGSLDMMKKLYEVAEPYVSSNPREAFLNYRDIDIGSNPSNETNVDEAEIYGSKYFVGNLKRLMEVKAKYDPENFFKNEQSIPPARVKQ >A03p020050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8225093:8226406:1 gene:A03p020050.1_BraROA transcript:A03p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRQNDHLGVNKIGKNIRKSPLTQPSVAAHANLAAEAARPQAQPQVYNINRSDFRSIVQQLTGSPSRESLPGPPQNNSPKPQNTRLQRIRPPPLMQINQPGAPLHHHHPHSLMGPPPPQWHMPQVTQQQQQQPMMGQGDQFWSNTTESPLSGYMRYLQSPLGDAGSSGNHMQPPGHEHGPYMPAHEQPPYMLAQPQPQSQHQPYMPGHENRPYMPAQAQFQPRQYMPGHEHGPYMPAQPQSQPQPYMPCHENGPYMPAQPHSQPQQYMPAQPQTQPDPYMMHGSQPQMNMQGPLQPSQYPPPPGLVPNLPPPQFNGPVPVTSTLPSPSFNQMYNGFHSLQNNGFGSLQSPTSQFGMPSPTYPNMLSPRSPNPLLSPGVQYPQPLTPNFSFSQLAQSGSSGPGDGPGLPQPPPSPGLVFPLSPGLFPVPSPSWGDY >A05p054310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32140759:32144693:-1 gene:A05p054310.1_BraROA transcript:A05p054310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTENLPQLKSAVDGLTEMSENERSGFINLVSRYLSGEAQHIEWSKIQTPTDEIVVPYEKMAPVSEDVSETKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDGLTFLDLIVIQIENLNNKYGCKVPLVLMNSFNTHDDTQKIVEKYTNSNVDIHTFNQSKYPRVVADEFVPWPSKGKTDKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILKHLIQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKKLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDNAIGVNVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNSARTNPSNPTIELGPEFKKVANFLSRFKSIPSIVELDSLKVSGDVYFGSSVVLKGKVTVTAKSGTKIEIPDGAVIENKDINGPEDL >A09g517580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52588559:52589118:1 gene:A09g517580.1_BraROA transcript:A09g517580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKHAQPSGKSPVERCDLSRLNTFRRRLSEGSVYSLSGFEVTRSNNIFPLSDSPVSIRFNDRTSFPETTNSKKDTPTELFRLCSHEQL >A06p045980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24655107:24656271:1 gene:A06p045980.1_BraROA transcript:A06p045980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRRRRASCGRPGRGASCLPGVTELEGEALVTQDEEKISRGVHLIEGSSFSEQRVIEQTFNEQSQL >A06p008720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3045748:3050887:-1 gene:A06p008720.1_BraROA transcript:A06p008720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G10830) UniProtKB/Swiss-Prot;Acc:Q9SAC0] MATMARSFLQAISKDEAVAPPLRVVQIEGLAVLKIIKHCKEFAPTLVTGQLLGLDVGSVLEVTNCFPFPVRDDDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTVLGSYQTVELIETFMNYQENIKRCVCIIYDPSKADLGVLALKALKLSDSFMELYRGGNFTGEKLREKNFSWMDIFEEIPIKVSNSALVSAFMTELETDAPVSQGDYDRLHSSTTPFLENNMEFLIKCMDDLSMEQQKFQYYYRNLSRQQAQQQAWLQKRRTENMARRSAGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQVSNFCGQINGGWPELQQALPDQGIARKLITRPAQKEFLPNTTTTMAVYHLLLSTSPSLALPPRRPNFNSILRTPAHPRLAKFPTSSPPAIRKTLVRSTLREDPDADNPSLLIGEDSAAFELGKQKLVSWVYFGVILGVVLYILNVVWIDNSTGFGKSYIDAVSTLSGSPEVAMLMLILIFATVHSGLASLRDVGEKLIGERAFRVLFAGVSLPLAMSTIVFFINHRYDGSQLWQLQGVPGVHEAIWVANFVSFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQIVWCLAHTLWIGNSVAASASLGLIAHHLFGAWNGDRRLAKRYGDAFEGIKKRTSIVPFAAIIEGRQVLPEDYYKEFVRLPYLAITALTVGAYFAHPLMQGASFRLHW >A06p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21326369:21328388:-1 gene:A06p039550.1_BraROA transcript:A06p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNLELSLLCTEITNVGDEDNLIILDKAPIVFPEISISQMGFPSESDEFIREMMEKEKQLLPSDDYISRLRSGDFDLNVRRREALNWILKACEEHQFGPMCICLSMNYLDRFLSVHDFPSGNTWAVQLLAVACLSLAAKIEETEVPMLIDLQAGHPQFVFEAKSVQRMELLVLNRLEWRLRAITPCSYIRYFLRKMSKCDQEPSSTLISRSLQVIASKTKGIDFLEFRPSEVAAAVALSVSGELYTVHFDNSSFSPLFSLLQKERVKKIGEMIERCESQTPNGVLEVSACCFSSKTHDSSSPHTHLS >A08g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6653405:6654705:1 gene:A08g504050.1_BraROA transcript:A08g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTHYLIQKSLRRRTSAEFEPSERDIGELSQPPSTEIRSVTPPPSHALGHQCVRDVEMSPGQEFQPDIRRDAPTRAGGRFARESHAPPSPPDVRRSHRSRPPSVRRREAAAASSPCLRRHRDHVRGRRLKDVWASDATLLVRAGVHRRLDLRCYLCGHVVHRRLYHRRYLRGRGYIDILY >A09p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3586505:3587355:-1 gene:A09p006850.1_BraROA transcript:A09p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADVVLMWKSTQVDEEEILKVESGVQVLVDGIEESRVKENKEVHVEALHGDESVDEDETSEKVDIDMNSKEVESVEEATEAKEEVNKEENVNVKDEEKEKVKEEEKSGDGKKREVVKGKKESPSAYNDVIASKMQQKLQNPRKNKVLALAGAFQTVIDYETAASK >A03p048630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20808044:20811186:1 gene:A03p048630.1_BraROA transcript:A03p048630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSQTQNGSVPPVGSGAVGAQFGTTSLYVGDLDASVTDSQLFDAFSQMGQVVSVRVCRDLATRRSLGYGYVNFTSPQDAARAIQELNYIPLNGKPVRVMHSHRDPSVRRSGAGNIFIKNLDKSIDHKALHDTFSAFGNIISCKVALDSSGQSKGYGFVQYETEESAQRATSELNGMLLNDKQVYVGPFLRRQERDSNTAANVTKFTNVYVKNLAESTTEDDLKSAFGEFGEITSAVVMRDGDGKSKGFGFVNFEDAEDAAKAVEGLNGKTFGGEKEWYVGRAQKKSERESELKVRYEESLREAADKFQSCNLYVKNLDESVSEEKLKEMFAPYGTVTSSKVMRDTNGISRGAGFVAFSTPEEATKAMSEMSGKMIENKPLYVAVAQRKEDRRARLQAQFSQMRPMAMPPAVGPRMQMYPPGGPGMGQQMFYGQGPPTMIPQQPGFGYQQQLVPGMRPGGAPMHNFFMPMVQQGQQRPGGRRPVGIQQSQQPQQHQMQPRGRMFRYPQGRGSGGGPPDVPGRLPYEMPQHVPIGALATSLANASPEHQRTMLGENLYPLVEQLEAESAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRSVVAGGAAGQLASLNLN >A01p007580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3723428:3726067:-1 gene:A01p007580.1_BraROA transcript:A01p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRLSIPLDPFDSKRFHLSASRTFIIGSPISVSKIRVARLDTEADNAIDSHSDSPSGGGRSWSSKFKGSKRGIKKDVARKFTFRREESNDLDLEIEGLFVNNDVNYSALKPGLSLDHYNAILKHLESCNDTNALKFFDWMRCKRKLEANTGAYSLILRVLARREEWDRAEDLIKELCGSQSLQKSFQVFNTVIYACSKKGNVKLTSKWFHMMLELGVRPNVATIGMLMGLYQKNWNVDEAEFAFSHMRMFGIVCESAYSAMITIYTRLRLYGKAEEVIELMKEDRVRMKLENWLVMLNAYSQQGKMEQAESVLISMEEEAGFAPNVIAYNTLITGYGKVSKMEAAESLFRRFFDLGMKPDETTYRSMVEGWGRSDSYEQAKRYYQEMKRLGYKPNSSNLFTLINLQAKCGDNEGAVKTIEDMVSTGCQYPSILGIVLQAYEKAGKIDAVPYILRGSFHNHIRSNQTSFSILAMAYIKHGMVDECLALLREKKWRDSAFESHLYHLLICSCKESGRLDDAVKIYNHTMEYNLHITSTMIDIYTSMGEFSEAEKLYSKMKSSGVVLDRIGFSIVMRMYMKAGSLEEACSVLKIMDEQKDIVPDVFMFRDMLRLYQKCGLQEKLEELYYRIRKSGIHWDQEMYNCVINCCARALPLDELSRTFEEMIRCGFRPNTVTFNVLLDVYGKAKSFKKVNEVFLLAKRHGVVDVISYNTVIAAYGHNRDFENMSTAIRNMQFDGFSVSLEAYNSMLDAYGKDKQMEKFRSILKRMKNSAGKTDRYTYNIMINIYGEQGWIDEVADVLRELKESGLGPDLCSYNTLIKAYGIGGMVEEAVGLVREMRVSGITPDKVTYTNLVTALRRNDEFLEAIKWSLWMKQMGI >A01p048080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27090970:27093602:-1 gene:A01p048080.1_BraROA transcript:A01p048080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 44 [Source:Projected from Arabidopsis thaliana (AT3G18080) UniProtKB/Swiss-Prot;Acc:Q9LV33] MRHLIAPPWPLFLLALILLCFLSSGESSPFGEKIKLDTGGLSRQSFPKDFLFGTATSAYQVEGETHQDGRGPSIWDAFVKIPGTIAKNATAELTVDQYHRYKEDVDLMKKLNFDAYRFSISWSRIFPEGTGKINWKGVDYYNRLIDYLIQKGITPYANLYHYDLPLALEKKYKGLLGRQVVNDFADYAEFCFNIFGDRVKNWMTFNEPRVVAALGYDNGIFAPGRCSKSYGNCTQGNSGTEPYIVSHHLILAHAAAAQRYRKYYQAKQKGRIGILLDFVWYEPLTRSKADYLAAQRARDFHIGWFIHPIVYGEYPQTMQYIVKDRLPKFTEEEVKMVKGSIDFVGINQYTTYFMSDTHNATIPKELGYQQDWNATFGYAKLGKPIGPRAYSYWLYNVPWGMYKALMYMKERYGNPTMILSENGMDDPGNVTLAQGLHDTTRIKYYKDYLTQLKKAVDDGANVKGYFAWSLLDNFEWLSGYTSRFGIVYVDYKTLKRYPKMSAQWFMQLLKRNKK >A02p001820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:777780:782296:-1 gene:A02p001820.1_BraROA transcript:A02p001820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGETAGKPMTSVGGQICQICSDNVGKTVDGDRFVACDICGFPVCRPCYEYERKHGNQSCPQCKTTYKRHKGSPAIPGDKDEDVFADEATVELNYPQKEKISERMLGWHLTRGKSEEMGQPEYDKEVSHNHLPRLTSRQDTSGEFSAASPERLSVSSTIAGGKRLPYSSDINQSPNRRISDPVGLGNVAWKERVDGWKMKQEKNTGGPVSTQAASERGGGDIDASTDILADEALLNDEARQPLSRKVSIPSSRINPYRMVIMLRLVILCLFLHYRITNPVPNAFTLWLISVICEIWFAFSWILDQFPKWFPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLSFEALAETSEFARKWVPFCKKYSIEPRAPEWYFAAKIDYLKDKVQTSFVKDRRAMKREYEEFKIRINALVSKALKCPEEGWVMQDGTPWPGNNTRDHPGMIQVFLGQNGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFILNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKVKHKKPSLLSKLCGGSRKKNSKSKKDSDKKKSGRHTDSTVPVFNLDDIEEGVEGAGFDDEKALLMSQMSLEKRFGQSAVFVASTLMENGGVPPTETPENLLKEAIHVISCGYEDKSDWGMEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYSGRLKFLERFAYVNTTIYPLTSVPLLFYCTLPAVCLFTNQFIIPQISNIASIWFLSLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIVNLVGVVAGFSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSVLLASIFSLLWVRIDPFTKRVTGPDILECGINC >A06g508720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24636395:24636779:1 gene:A06g508720.1_BraROA transcript:A06g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWRQIQMRNWLMRKKKRPRILCLSECARELVEKMMQTCTSTMVSFFRMLKRLHVSLLQRAVPCIQQLRSCKKESLRIALW >A02p028950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14582932:14585536:-1 gene:A02p028950.1_BraROA transcript:A02p028950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRVLSALDAARIQWYHFKAIIVAGMGLFTDAYDLFCIAPVLKMISKIYYDNQPVGTAVLSTSYAIALLGTALGQLIFGYLGDRVGRTRVYGLCLLIMVLSSFGCGFSVCTTRRSCVMASLGFFRFVLGLGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGLGILMSSAVTMAVCKAFKNAGEGSLEMTRAAGIETLAPAEADIAWRLILMIGALPAALTFYWRMLMPETARYTALVENNATQATKDMQRVMSVTMTPPIPEEDSSSETPQQQPSSSSYKLFSRRFLSLHGRDLFAASANWFLVDVVFYTSNLLLAQIFNFSNKHPNSTNVYDSAFEVAKVAAIVAACSTIPGYWFTVYFIDRVGRVKIQIMGFFIMALVYLAAGIPYSWYRSKHEKSNDKGFMVLYGLIFFFSNFGPNTTTFIIPAELFPTRFRSTCHGISGAAGKLGAIVGIVGFLWATKHKHYEEDVFPDVKRVRIAFLILGGVCIAGVLVTYFFTRETMGRSLEDNEEDEISPPTSTAGTSSVNVLLPRQ >A01p009550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4610627:4611933:-1 gene:A01p009550.1_BraROA transcript:A01p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGGLKQFYNPKVELEQYPTGPHIASRMLFTAENSYGDITDKVVADFGCGCGTLSAAASLLDAACVIGFDIDPQSLETATLNAEELEVEIDFVQCDVTKLELKGQIVDTVVMNPPFGTRKKGADMEFLSAAMKVASQAVYSLHKTSTREHIKRAALRDFNAKSAEVICELRYDLPKLYKFHKRKEVDIAVDLWRFEPRQN >A03p021430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9108960:9110718:1 gene:A03p021430.1_BraROA transcript:A03p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGSKMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDAFMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETSKTSSSVEKNYEMPDGQVITIGAERFRCPEVLYQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A02p055850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33773028:33773482:1 gene:A02p055850.1_BraROA transcript:A02p055850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSLTGLFQRRRHRVEWFVYSRGMWVRLTLQSCELSGFRRFGCLEPVFLLLCFHCYYRIRASSPKNGFSADRFGGDLCDSSGLSFSERDRSVGDGGSPQRCGPTQSLGSRSWLKKGVSRKP >A10p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9156203:9156833:-1 gene:A10p005070.1_BraROA transcript:A10p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRYKPSDPSQCTPVIASESIESRKKLEKKKKSHVLLEGYVESLATLDNNNQDDLTRSKSLTDDDLEDLKGCLDLGFGFSYDEIPELCSTLPALELCYSMSQRFSDDNNKSSPENSSVDDSSPAPPIANWKISSPGDNPDDVKARLKYWAQAVACTVQLCS >A02g510800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28595632:28596253:-1 gene:A02g510800.1_BraROA transcript:A02g510800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSVSLSRQSRLPLSHNKKATQRRFVSSLRQDNGDQRQSSLLHLSLMTRRRHKVNDYVNRLKEILGTVPPACCMELPYFHGKAAELGDIIGSGRISNRLLSMYLACMGFGILALLRGLVSHSTKRSPVLARRKRA >A03p024570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10358440:10362388:-1 gene:A03p024570.1_BraROA transcript:A03p024570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTDHQRIKNNLEMEFFSDYGDATRFKIQERIGKGSYGVVCSAIDTLTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIIWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLMGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKPPIPFTQKFPNADPLSLKLLERLLAFDPKDRPTAQEALADPYFKGLAKVEREPSCQPITKMEFEFERRKVTKEDIRELISREILEYHPQLLKDHMNGADKTNFLYPSAVDQFRRQFAHLEENSGKTGPVAPLERKHASLPRSTVIHSTAVARGGQPKLMNNTNTLNPETTQSIPLNQYTTSQAPQRNLSGTKPSTFMGPVAPYDNGRTSREAYDPRSLIRSTALPFPQQSAAATMGKQQERRTTTMESEKQPRQISQYNRYSPDVAINIDNNPFILSRTGLHKAENMSDRIIIDTNLSQATAGIGVAAAAAPGGSAHRKVGAVRNDRQGSDVLTFRELLATEDVAEFTVHAHDDDDDGLIFDGTTNTCGSKIPHYTEITLSLHL >A08p034140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:20357919:20358317:-1 gene:A08p034140.1_BraROA transcript:A08p034140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDSQPHKRSDRHETYRPTQDIIIFMVFVAISVVVAFAMGNSAPDLDITGLVKKAVFMVFMFCNSITMLASVATVMHLIWAYNLSEFEQVQTALIRAMALVTVALISISVAFVVGCCLVVILLPWSTYFI >A05p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7772272:7777211:1 gene:A05p017300.1_BraROA transcript:A05p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGESSSVGEKPVVVRVKRKVGQSPLDAFWLEINERPFKRPLLDFSKLSLSNSETKEDVKPKKVLVRHLETVTDSETTVDIIHSLFESDDRDEHSCSKGKFEDRKIDFKKDNRKEQLLTKAVQQQQTAAQNARFEQIWRSRKGNKEDIHDKELHERCSFYDVIRVDAEEMGPGNAPPEVESLEDQKMLASFLPLLRECIPTAAEEIEAGIHSSHTEEYVYDFYAVNEEKDISEDSSKHQFPLVTVEEEEEFYDGPDDESDYDSDDSNAEDHPRNDYPDEISEEEEEEEEDDEEDEEEKSEASDGESKDEETSERRVKMVLDEDEEFFDGYAEEDVNVYGDSDDEEFEDIKWSYRSLRLGYRHRLRRREAQWKMLTADIPPNQSIYIKNLNERIKKEELKRSLYCLFSQFGRILDVVALKTPKLRGQAWVAFSDVTAATNAVRQMQNFPFYDKPMRIQFAKEKSDCIAKEEGTFVPKDKKRKKEEKAERKREETQRPNTANGPTPQNGAPPPSSFKPSGQDAMPPNNILFIQNLPHDTTTAMLEFLFRQYPGFKEIRMVAAKPGIAFAEFEDDVKSSMAMQGLQDFKITPQFPMLISFAKK >A06g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21965376:21972153:-1 gene:A06g507900.1_BraROA transcript:A06g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGLVHRQPEAKTEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFYTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKSKTTFYGLNNTYITFIKCHNQIMMFGTMNFEMKYGENNRCFKTPNRGSSEIPRRLPRVFRGILPLLSRFPATPPFSLAFSGESPLILPHNHIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWVVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQMYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A03p024120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10203450:10205168:1 gene:A03p024120.1_BraROA transcript:A03p024120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFPSSIEREVASSLLLLLSSDPILFSTPSSDRSGSEEGNRSLCDEIDGKASMSFVSKRSCDSAISNSGSSYRKRSEDDFMNFKVRSYRFRDSLGVGLVCDDGCVLGTDYVLGFLIRLLVCDDGCVLGTDYVLGFLIGLLYMQIARKRRTNVVYSSVDSKLVTHSKNLEIDDLSKEESCLSGAGGKHQPFIYKIKSSEFELSASPERPVKRLGVSETHNLFDFNRW >A04p013310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4370400:4371082:-1 gene:A04p013310.1_BraROA transcript:A04p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTWWQPPLNLDSWKPVQSWSMILQIGPGDLLIPHAKQSEHDISTTKYKNPKKRANLGSRAVGEIPIPSPCLSPRTPYILAPRSVYAFTLLPLSRHSIKWRYSIFSDFRVYPQNSVFIRGNLTFILPCAPSVYRATVYRLLVKKS >A03p042300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17720386:17721448:1 gene:A03p042300.1_BraROA transcript:A03p042300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAVISDFAPSVTRAKGRKLTAEELWSELDASACDDFWGFDSTFKLHSTKQQVTLKDEAANKEKEPAATDKRKERKNVYRGIRKRPWGKWASEIRDPEKGARVWLGTFNTAEEAAMAYDVAAKRIRGDKAKLNFPDLLHNPPQMLPSSPRPEDQPPVKKLCVSPQSELTQSSFPVECIGFGNGDEFQNMSCGFGPDYDLKQQISSLEYFLELDGTTPEQPSQLDESGSEVDLWMFDDVIASYG >A06p032900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17631496:17632492:-1 gene:A06p032900.1_BraROA transcript:A06p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPNLLMRMFAAGDEALGERPINDALEPEELDFFRKSSFAKTIVLDENLPFSCAFGQFVSILDDPTKNWADGTDFGWEDETKDASVDNMVCLIGEGFKLRKEMFRGGVTATDLVRMRLEKHRRKMKLKKSTIKKQLLVKFFRDLNLQS >A07g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13277925:13280982:1 gene:A07g505800.1_BraROA transcript:A07g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHFLFPKSSRKTPPMKGLKLQCSSFKPPFKIHANLPDLPFALYLDFYLNPHLCDLSAYPYLSYLRLLALNPNHFRLLHFWEARKNVKGVPGIILGIEMLMIDAEGTLALGFISQNRRSQYEKELQRGRIYTLTNFYASNSKVMYHVADQRLVICISHDSALSKDEEDVESILTERFRVHSFSDFEANCDLRGDLHDVVGHLKLVDGQALHQRPVLCNKDGSVSRKVTVHLQLKDGPVVNVYLWDEAAESFCLKFDASATTPTVLLVTTVNPKRLGGKLRLSSMSSSRVFLDEDVDPTSEYLTWLSVNPSATSLVNPVEVVKAETLTISEIAVFLKREPAKVAYFDCIATIDDVKLGAEWYYIACKDCQTKLNRGPTTLLCPKCRNEDATALANYRVELSVYDNEEQCTFIILGDAGKELTGRKASELIDAYVQENGGDEAELEVPLPQCFIDTIGQTKKFRIKVSPYNFTSTRLSLTATKIISPAVLPPKNTPLGTPLSLLGAQNHSPGAEVGILEVAESSGSGTSATDDQKEAKRIKRSG >A06p021520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10673041:10673474:1 gene:A06p021520.1_BraROA transcript:A06p021520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNKGRQLPKFGEWDATNPASAQGFTVIFNQARDDKKTKKTAVAGPESLVTPPVNVEPQRRHNHHNNKRNPQIQREKKGWLCFR >A09p021610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11678740:11681097:1 gene:A09p021610.1_BraROA transcript:A09p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFRCIHDILGSMSCSSRVSPHGLEHNQPKDFNIDIVKTVVVGQSGATDFKTIQAAIDSVPSGNNNWIKIQLQNGIYFEKIVIPLEKQKIILQGNKPSEVIIQYNDAGQAHLCGPMLVNAEYFVAINVTFKNSYNNITSSLVPYKEVKVAPSIVLMADKAWFYGCSFISVQDTLADFVGRHYFKNCYIEGAIDFIWGGGQSIYEKCVIYVKGMTKDEMVEGGAMLPGFITAQGRQSEQDTSGFVFKYCAIKGDGTAFLGRAYRGYSRVVFYATSMSNVIVPQGWDAWLNKGEEDKITFAEVNCTGEGANKQGRAAWQKNLSATDVDFLVNPNTFIDRDSWIFTLPYSLVSLYSPSSI >A10p029530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18067433:18072735:-1 gene:A10p029530.1_BraROA transcript:A10p029530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSTYAAVKGNAAFAFQRRSFSNLVSRSATTTGIRFSTGSYFAQLHSSASKAMGAKLARAENGIHSLSSVKARSVRAQASSGGGDEEEAIPLRSEGKSSGTVLPFVGVACLGAILFGYHLGVVNGALEYLAKDLGWIVSALLAGATVGSFTGGALADKFGRTRTFQLDAIPLAIGAFLCATAQSVQTMIVGRLLAGVGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALIAGLPLAANPLWWRTMFGVAVIPSVLLAIGMGFSPESPRWLVQQGKLTQAEKAIKTLYGKEKVVELVRDLSNSGQGTSEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIQSDVAASALVGASNVFGTAVASSLMDKMGRKSLLLTSFGGMALSMLLLSLSFTWKALAAYSGPLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVTKFGISSVYLGFAGVCVLAVMYIAGNVVETKGRKKEEEHLLISKPVRLILLHNGNHVLRSLFFIIGGGLPLADLWDKFFEGHEGFYSIYVHTNPSFQEHYPETSVFYSRRIPSQAVYWGTSTMVDAERRLLANALLDESNQRFVLLSDSCIPLFNFTTIYDYLTGTNLSYIGSFDDPRTPGRGRYNPKMYPQINITHWRKGSQWFETTRELALHIIEDTVYYKIFDQYCKPPCYMDEHYIPTFVHMLHGEMSANRSLTWVDWSRAGPHPARFIWPDVTDEFLNRIRFTDKCPYYGKDSENITSSKCVLFARKFTKETLEPLLRISPLVLGFGP >A01g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18984574:18986305:-1 gene:A01g506540.1_BraROA transcript:A01g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPANPPPPPPPTPPPLKLKLDIQLYAEPVGTFYIRQTNQAVYRLDPGTSGLELRPDPRPDVRADRTEARLSRPTRQAKTDGQAKINLARANSDPEHGFSLLARLARTACTDDCADDLSTLILKLSEDLGLVGTQLARSERPAALAARPAALAGRPIYVLILTVLDTAGSDASGEEPNGHFDYHIQANISQIYWSCESYQATVRDSSFGGLVSHIKHQLKSGISKAFPQPSCYPSIHSVLHPEFTSKPDPVE >A09p004390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2529888:2532128:-1 gene:A09p004390.1_BraROA transcript:A09p004390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase-like protein CCR4 [Source:Projected from Arabidopsis thaliana (AT5G47850) UniProtKB/Swiss-Prot;Acc:Q9FIJ6] MAFNYYSLSLLLVVSSSSSLLCFSLPTVSISHTADQTLVCALTNTSHLQCTTFPLNPIPFSLTGTLQNRRFSGVVSGNGFVCGLRSPLGSNASTILCWRFSTNGANMTYKRIYKGPALKELEASTSRICGVENVTNRTRCWQPVSPRPRLDNHDSLALGEDFLCGLSKPPGRISCEGEGNITKPPSGDRFIAIAAGSSQACAITVDSDVECWGQQTYTSPPSEKFSALAVGENRSCGVRWVNGTVTCWGSNYSLPRRLENVEFTSIYANGLIFCGVVRENYTLYCWGDDESVFAPFQDQTVLPGPCREECPYGPLSGSEPLCGNGLKICDSMNIVLVPDTPRGEQDSNDKTWSRKNIAFLVVGCVGTCSLLLVVVFLVYKSHCRCRVHDSGRLDDNRIIVDEEPKLEKRLSSLASLCNHGQLVEFTIEELALATDGFSPRFQLGIGSFGIVYKAVLSDGRLVAIKRAELTNPASSGITRIRNRREDNDSAFVNELESMSRLNHKNLVRLLGFYDDAEERVLVYEYMANGSLADHLHNPRLEPLTWERRLAIALDAARGIQYLHDFAVPPVIHRDIKSSNILLDATWTAKVSDFGLSQMGPTEEEDVSHLSLRAAGTLGYIDPEYYRLQQLTTKSDVYSFGVVLLELLSGHKAIHMNEEENPRNVVDFVVPYILQDEVHRALDRRIPPPTPYEIESVAHVGCLAVECVVPCGRERPSMAEVASNLEKALAACLAAPQTEPLSSSGSD >A09p005030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2772067:2777823:1 gene:A09p005030.1_BraROA transcript:A09p005030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEKTSSGSDIDEKKRKRKLSNRESARRSRLKKQKMMEDTIHEISTLERRIKEYSERCKVARRRLDSLESENAGLRSEKTWLSSYVRDLENMMATTSLTLTQSGGDEQEANADGDCRRRPWQQYALSKMATGQLFSRTTQALFYNYKQLPVQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHAAIEAACAAHPTADVFINFASFRSAAASSMAALKQPTIKVVAIIAEGVPESDTKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTVARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQIKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALMDAGAIVPTSFEALESAIKETFDKLVEEGKVSPIKEVTPPQIPEDLSSAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIIEQGLGVGDVISLLWFKRSLPRYCTKFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVELLQKFARSNFPSVKYMEYAVTVESYTLSKANNLVMNVDGAIGSLFLDLLAGSGMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >A02p048110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29939184:29940758:1 gene:A02p048110.1_BraROA transcript:A02p048110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMIESKGGAISCMLLALLFLGTWPAIMTLTERRGRLPQHTYLDYTITNLLAAVIIAFTLGQIGPSRPNFITQLSQDNWQSVMFAMAGGIVLSLGNLATQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDRINRAEVLFPGVACFLIAVCFGSAVHKSNAADNKSKLQGFKSLETTSSFQIETGPADSGLAKGKAKEGTAAYLIELEKQRAIKVFGKSTIIGLAITFFAGICFSLFSPAFNLATNDQWHTLKHGVPKLNVYTAFFYFSVSAFVLALILNIRFLYWPILGLPKSSFKAYLNDWNGRGWSFLAGFLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRRSSRRTYVLLISMLFMFIVAVAVLMASSGHRK >A04g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5754553:5755138:1 gene:A04g502380.1_BraROA transcript:A04g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRSSSNNLQNGAILTRLAWQATLYWLWTERNTRLHTQMFRSVDQIFKLLDRQLKNKLQSFRDTNPTRSSIMMQSWFRFG >A08p032240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19540619:19542334:-1 gene:A08p032240.1_BraROA transcript:A08p032240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKVYHDCPNAGNPYHECNYRCLERINSRDVPKKEKKPFGFGKPAWRKDSPPISPVRVVAENRWPLPSYYAKRMVESDESPSFSSSDDTFNANLLSRPPSPLHGNKPESVINWLPMSPSLSVYCKKDSFASNFDHRALIHNGLDTEMTPRTRPKAPEHPLRTHQNMPRTPDSTPERRTSTTDNSIALETRPITPEHRSNINDNGPRTPEHARVSLGPRPKTAERRARSPEHRERSSRQRSKTPEPQGKYLEPRIPQTQPISHSTGLLKSSPETPETRPKAEEYRARNSRPGSRIPQTQPTSFIECKSLAGEETQSKMSESYVSVGSYKVRASVSDTLQQILDKHGDIASGSKLESLPTRSYFLETLASVVNQLQSTPLKQLKETHILEMLAVVEDVESVKIRAGWLREALKEILEAARCYDRHDTTAVEKEMCEREALLGRQEMEKILKEVRWKEDEVKSFRQGLMETAGRLGDLEMKRERLEKRLAFLSSKVDKFDEESVLEKLF >A06p020480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10021100:10022794:1 gene:A06p020480.1_BraROA transcript:A06p020480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIHLLLFFLATTVSFLTSAAYPPSQTPRDQSHILLKIYHVKYIFELASGFRKPSYGTAQDHLHADRIIEAMIGAGDFRDWASDFLFAVEDQVGIPLSATIFIPIDFDTADISSTNAVVVSYHIVPQRLSFTDLRFLKPLSLAVTNNSVSGFTLDGVLVTEPDFSSLLRLLFTVSLRLLISPFTFDALPITIMFGMCSIFVFVASILQRRLMVISENPKGEERSPMKEQDSEVDPLTL >A04p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3405145:3408600:1 gene:A04p006410.1_BraROA transcript:A04p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPKLTTTISSSPSLQTTLCRKLPISIHLPSSSLRPRLTLLSNHRYNPSRRAQNDYGTDSDLRYDFDLFTLGAGSGGVRASRFATSFGASAAVCELPFSTISSDTAGGVGGTCVLRGCVPKKLLVYASKYTHEFEDSHGFGWKYDTDPSHDWTTLIANKNAELQRLTGIYKNILNNANVKLIEGRGKVIDPHTVDVDGRIYTTRNILIAVGGRPFIPDIPGREFAIDSDAALDLPSKPKKIAIVGGGYIALEFAGIFNGLSSEVHVFIRQKKVLRGFDEDVRDFVGEQMSLRGIEFHTEESPEAIIKSGDGSFSLKTSKGTVDGFSHVMFATGRKPNTKNLGLENVGVKLAKNGAIEVDEYSRTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDHRAVPCAVFSQPPIGTVGLTEEQAIEQYGDIDVFTSHFKPLKATLSGLPDRVFMKLIVCANTDKVLGVHMCGEDSPEIIQGFGVAVKAGLTKADFDATVGVHPTAAEEFVTMRTPTRKIRKGSSEMGVPYFISGERQVLRLKQLQGSREGCKIRLDLHHWSFLTRRTISIKSQRFYQWRCHKAHNHGAKLFDRVTYSTCRPDSQESLY >A09g512640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:37445300:37447474:-1 gene:A09g512640.1_BraROA transcript:A09g512640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETRPIPHKRENLKLGTKRSAEKFPRKVTGKFTGDNPAIDLNPAIDSVGPSSPTLHTHSGTEENFLQKYFWLSVDDFGPRGLSVQYTCDVRVYPSAHTGRPWLSVTLVLGLSMLALPLDCLGDFGPRGLSVQYTHDVCGCPPAHTGRPWLSVSTHMTSVAVRVCPCVSGSTHRTSVAVHHILALPVDCSGDFGPRGLSVQYTQDVRGCPSAHTGRPWVSVSTHMTSVAVCVCPSAHTGCLWLSISTHIIMLVLRLSMLTLPVHCSDDFGPRGLSVQYTQDVCGCPSAHTGRPCVSVNTHRTSVAVHVCPSAHTGRPWLSISKHISMLVLGLSMLTLPVDCSGDFGHVGCLFSTHRTSVGVRQHTQVVCGCPCVFVCVRQHTHDIRGCPSVHISARGSLASARWPFPWTVWVIFGPRGLSVQYTQDVCGCPWLSVSTHRMSVAVRVCPCVSINTPGRPWVSVSTYRTSVCVRVCPSAHTGRMWLSISKHISTLVLGLSMLALPVGCLGDFGPRGLSVQYTQEVCGCLSTHTGHPWVSVSTHRTSVAVRVCLCVSVSTHKTYVAVHQYTYHHVGPWTQHANPSRGLFG >A10p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17454585:17455826:-1 gene:A10p027880.1_BraROA transcript:A10p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSCIGMLMDESDNPGFFKVLRKEDLSSQTMILFLLYVQKREIPQDFLKSISAKEFSFKMVLKLPWGSSWRINLSKYQSFFYYMEKDGWDQFLSDNGLGDDELLTFTHKGNMCFNVSIYQINCLEMLRPNRSATIASSSSRNKREEVSNGKEEERESLSASNYPVSETAESTVGGRLKQKRQLINLGKKQVKKAEKANKCKKRKMDTDSDDSEDGTSSLAPEFTLTIKKSYVLFLGVPKRFAEMHMPKEETVFKIHDRKGKKSWEVTYVVSKIQSRFSRGWPRLVKDFGLEVGDVCTFELMKPTEMILTVSK >A05p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19327394:19328714:1 gene:A05p034410.1_BraROA transcript:A05p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLCQIKWHSHRATVHKLIAVKSASGQTTVGIDPQVMPRSGGMAIFRGCLFVVVKVNGFTHFKKKRNSIVAFGSGYSNSSNDQNPIVYIEDTTRKAHK >A03p046900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19856078:19864738:-1 gene:A03p046900.1_BraROA transcript:A03p046900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSVSGYVLVNAEVDSMGGVIDSGGGGGGGIGLKTSPTAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGVATSLSFQSTSLTDQQAEHFLNSEVKDSFAMTASPHGDSAESSGRPAVPTVSEPNTADNLLLFDSGNKSVEGENNSKYPNRQNRTSESERSSKANTNQNTKETEDSAIFRPYARRNRTKINRDPARSSSVDLIQNRGGLATSLSARRGSVDGKGFIPDAANQKDKQTTSVSCPVFGNSNGTIVPTNVAPSNLLNTKVDGEPIVRESAAGSRTSLMKDGADITCRKSSADLPFEEAEKKADLVLNSTEIGSPKAAAIAGQKNNYTQGDSTGEKESSTDRGAAGTKGLESSHANNLEVDVDTERDIYRVDKLDSDESSVQKASRVEGLLNHTVGELMIEDETGRSTTITGECSPTREMDMNSVKIENENYRSTPELQNEEKYSDTENDKKGGSVLANNPSSSLHPAIPQASVDANSSVVGNNVLSGTDVEALKHQPSSDEGSKVLDKVKEDSILEEARIIQLKRRRIAELSCGTVPLEVREKCQWDFVLEEMAWLANDFAQERLWKMTAAAQICHRVALTSQLRLEKQIQYRKLKNIASILSNAVLEFWSSVEVPRELEETNLGNDKETCQESNCDTGRKSFAPGVREYACRFLKYNNSSVPYHSAASSTPDYMCDSELLDVSLVDQLTEESLFYSVPSGAMKVYQISIETHLARCEKYGNSMKEEVDTSGYDAAGDIEYDVTAFDEDEGETSAYYLPRAFECSKSFNLSHKKRKNLMKSHSARSYDLGADYVNYTGGSNSSNLMAKRPGSNINAGSVPTRVRTASRPRIVGSFGYATAGSLPVPSKTDASSGDTSSFQDEQSSLPGGSAFQKGTEVESSGTFEKQLPYDMAETSGKPKKKKKIHLGSAYDQTWHLDSSVHAEQKDHWKKRPENHFDTNGLYGHHAKKQKTTKQLVENNFDGAMGLTGSIPSPAASQMSNMSNSNKSIKFIASRDRARKIKGLKISSSQHGSGTTWSLFEDQALVVLVHDMGPNWELISDAMNSTLKIKCIYRNPIECKERHKILMDKTASDGADSAEDSGTSQSYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKICLIGKKLHCRKTQNDGRDSKQIVPVHNSQVMALSQVFPNNLNGGVLTPLDLCDASTSGQDLFSLESPGLHPGLPMLNQGTPVLPTSGATPSTSGSSGVVPGNNLPTTPGLHSATPRDGRFNVPRGSLPLDEQHRLQRHNQISGNNLQQNSLSTHGAVSGLGHRVVPGVNTVGVSGTNRGTPMSRSGFQGIGSPAVPNNVSMLSSGMVGIPNTGNINSGRGASPRNSMVRPPREAVQHMMRAAQGNSQGIPAFGSLSSGFTNNQTTSVQSYPGHVSQQHQMPQQSHVLGNSHNPQLQSPGLSHATGAQQDGFALRQRQMHQRYMQQQQVAASNTNVPHGQQQSQGTSVSPPQQCPQTQPPVSPQPLPIPPVSTSPNSAMAQQNPQKSQLPLHGLGRNPQSGAPGINNQSGKQRQRQHQQQSGRQHPHQRQPTQGQQPSKQSKVMGRGNMIHQNITVDQSHLNGFTMPPSSQATEKGEAVVPVRSDQQLPKAFPGATSPSQQQQQVQLPSDDCIQGQSSPAVSSPSISPAVAPSNHQHLLLLQKQRQMQPTAQRIVHQNHLGNSDLSRKSQAEFVPRVPQSVTNTTSSMSTSKGMPQVSDDSKKVKAVGSTAVPSPNALEPPTNAASVQSAAPKVVNSSNTDSACSNPVTSPNQGLAQKHLPAGVPCQELKGVIQRQPSLPSVEQRRPKLPEQLTVQNQKHLASDDQPPQLEEAQKLPSPKPPETKVE >A03p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6396513:6400754:1 gene:A03p016090.1_BraROA transcript:A03p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKSVLLSALGVGVGLGIGLASGQSLGRWANGPGSVEDDLTGEQIEQELVRQIIDGRESTVTFDEFPYFLSERTRVLLTSSAYVHLKESDISKHTRNLAPASKAILLSGPAEFYQQMLAKALSHYCESKLLLLDITDFSIKIQSKYGCTKKEPFHKRSISELTLDKVSSLMGSFSMLSQREVDPRGTLRRHTSGNDLKSRSIESSNRPPNHKRYASAAPDISSSISSVSGCNKRSTNLCFDEKLFLQSLYKVLVSVSATTPLIVYLRDVEKLLESERFYKLFQRLLNKLSGPVLILGSRVLEPEDDCQEVSERTSSLFPYNIEIKPPEDESQLMSWKSRLEDDMKMIQFQDNKNHIAEVLAANDIECDDLASICHADTMCLSNHIDEVVVSAITYHLIHTKEPEYRNGKLVISSKSLSHGLSIFQEGGSRSFELDTNTDSKRKGGEVCSKSESKPESSGPENKNELEKSLPSNKNDNPSPPKAPEVVPDNEFEKRIRPEVIPANEIGVTFADIGSLDETKDSLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREKILRTLLSKEKTDELDFHELGQMTEGYSGSDLKNLCITAAYRPVRELIQQERLKDQERKKREEAGKGTEETKEEEEASEEREIVLRPLNMEDMRKAKNQVGASFASEGSGMNELKQWNDLYGEGGSRKKEQLTYFL >A08g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5367006:5368977:1 gene:A08g502450.1_BraROA transcript:A08g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A04p030640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18191657:18192304:-1 gene:A04p030640.1_BraROA transcript:A04p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIARKVCVFIFVFALVAEFAFGNVEVNDDKHFFHKPRPYFHKPRPYFHKHGIYKKGFRKGLGGGGGLGGGGGLGGGGGLGGGGGLGHGGGLGGGGGLGHGGGLGGGGGLGGGGGLGGGGGLGGGGGVGGGAGGGYGGGAGGGLGGGGGAGGGGGFGGGGGYGGGGGFGGGAGGGFGKGIGGGGGLGGGYGGGGHH >A03p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15681955:15686282:-1 gene:A03p037450.1_BraROA transcript:A03p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRYIIIIFSLLSCASSRLSCASPPTFTRRHLLQAPVTGGLPFFPAYGSPSPPPSPPLPPPPTPLPPPPAPADLTFPANISALVLPSSPKQHTASRTLLIPVISAVLAAATAIILVLFLYGRWSVQTRRHESKNLASETKPPEQAPPPRNTTENKLSVSASASGSRFVKPESPEISPLPPLPARSFLKEEEDDDDDFYSPQASLASKEQRKNPYSNFSPSPSSASVFPAIISPERQMRNNKRAFSLWNQSIGFPRVSSASTSPERGVIKTPDAYARSSMYSSVSTTPERFFRKVLETSPPRWNDLSRNVKSLFLSRETELDSAEQSRSAAVHPPPRRPPPPMPVPPPLVPPSQSFTAQKLSFSELPQSCCWEGTAERAKPKMKPLPFRPSSCRKNTWDSTKFNSLNVNPKQRSLSCDLPMLNQESRVLDPRKSQSVAFLLTRLDLTTNDILESLSRIAPSEEEERKLKSYSDVKLASSEKFLKEILNVPFVFKRVDALLSVATFGSKIQNLKQSFGVIQAACEELRNTKTLLKLLEAVFERGTNSLEALLELVGRTNILHSVVHGIIESEGIRGLQAVGSLSSVFVEVKKAGEMDYGVLRSEVFDIYQGVKKVSEVLLLLNGENGDGEEQRWWTFRESMTRFLETAIEEIKMIETIEGCVISAVKEVTEYFHGDSAKEEAQVLRVFVVVRDFLFILDEMKPISMMGEASYTNADCSLSDCISQFWGSWRGFRHKCGQHTMVLCGSRDGYWLFQDSYGLPRGVDDIIKVRKGRLTVSKVRRDKTSASGGKQYVDSKKLKEISEYFHGDSVKVEAQVLRVFVVVRDFLFILDEVCKEIDAS >A04g502640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6196182:6196645:-1 gene:A04g502640.1_BraROA transcript:A04g502640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRWDPGIGDEIRIGAEGQQGKNQELSEGKFSSNLTRFDWVSGIGNFGIFWPVWVIKWSTICIGMVEMITTVDSQAAILTKEGMGLRYCSYGQYKEVTTEIYGGLYRTY >A06p027230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12948881:12949386:-1 gene:A06p027230.1_BraROA transcript:A06p027230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLKKNKEHDRLKSVNSIDDLAAKVDQLLKGNQSQVFIMQEETLGKSAGDLAFEAEISGDNQQEVSYGNGQGWQLKNYHPNPNVRNNQQLFWPMQDKPVDPAQSNQATSAPLAVPQDETKAMLQQLIQGP >A06p010040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3591120:3591739:-1 gene:A06p010040.1_BraROA transcript:A06p010040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSEDDFEGILIPYNFLDSFLVAEDSRAIVVGMLVGFEKKRVTHPIVLKKWVTHILPTPQWSTYKELHISNIPMDNIHMRGMSLLGFVRTRSCVDIGLTSTPDDVLFFTKYFGEECVMGICCSNGMVLYNITKETFAWGNKSNVKVDLETFLRSIVSKLSGKLMKQHLFFS >A06p019070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9247983:9250844:-1 gene:A06p019070.1_BraROA transcript:A06p019070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPTATEAQIKKAYYIKARQVHPDKNPNDPQAAHNFQASSVLGEAYQVLSDPGQRQAYDTYGKSGVSTEIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDILSEGDQIDTKKIIDKMRAVQKEREDKLAQILKDRLYLYVTNKDEFISNAEAEVTKLSNAAYGVEMLNTIGYIYVRQAAKELGKKAIYLGVPFVAEWFRTKGHLIKSQVTAATGAYALFQLQEEMKRQLSAEGNYTEKELEEYMKSHKKVMIDSLWKLNVADIESTISRVCELVLQDPIAKREELRARAKGLKTLGKIFQKNKIASESDPLVRAELHKINGNGQDRDAPKSDEASHSTFGPQEPQSPYVEAPKLGEEQFNYYFPRPAPPPGAQRRS >A01p058790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:33518942:33519178:1 gene:A01p058790.1_BraROA transcript:A01p058790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQPPSGEIGADALVIKLATGLPDVTANPALTESLFKSEPPYNVEGNHSSSVYIEDPATKWGFRIGSFSRFYWKKSG >A01g511040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30779435:30782290:-1 gene:A01g511040.1_BraROA transcript:A01g511040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p039290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20880927:20883603:1 gene:A07p039290.1_BraROA transcript:A07p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSADGGYSETPYPSLTVSASYKESSGGGKSSSRRRPVRPSFDASAAADNEFITLLHGSDPVKLELNRLENEVRDKDRELGEAHAEIRALRLSERQREKAVEELTDELTKLEEKLKLTESLLQGKNLEIRKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLQEDNRALDRLTKSKEAALLDAERTVQSAMAKAALVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKFQEMNEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLRDKLAISDRAAKSEAQLKDKFQLRLKVLEETLRGTSRNTTEGRSMGNGSSRRQSLGGSDNLQKFASNGFLPKKSPSSQMRNAFNSNSNSVLRNAKGTSRSFDGGTRSLDRGKALLNGPGKYSFNKACDEAKESESPSAWKEEDSEEKPPSELPPPTTEDNVPGVLYDLLQKEVVALRKSSHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVDKDQDNRARRSSNTKNSSTTAQILAGRAAGRSGLTRSTQ >A09p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2166150:2180019:-1 gene:A09p003730.1_BraROA transcript:A09p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWVSLRSIFMHADGVDWMLMGLGLIGSVGDGFVTPIIFFITGLLLNDLGGSLNDGNFTKAVSKNAVALLYMASASWVVCFLEGYCWTRTGERQAAKMRERYLKAVLRQDVGYFDLHVTSTSDVITSVSSDSLVIQDVLSEKLPNFLMNASAFVASYIVAFIMLWRLTIVGFPFVVLLLIPGLMYGRALISISRKIREEYNEAGSIAEQAISLVRTVYAFGSETKLIAKFSLALQGSVKLGVRQGLVKGISIGSNGIIYAIWAFLTWYGSRMVMYHGAKGGTIFAVIICLTFGGTSLGRGLSNLKYFSEAVVAGERIMEVIERVPEIDSDNLEGKTLEKIKGEVEFKHVKFMYPSRLETPIFDDFCLRVPSGKTVALVGGSGSGKSTVLALLQRFYDPVAGEILLDGVSINKLQVNWLRLQMGLVSQEPALFATSIEENILFGKEDASTDEVVQAAKASNAHNFISQFPQGYKTQVGERGVQMSGGQKQRIAIARAIIKSPTILLLDEATSALDSESERVVQEALDNASLGRTTIVIAHRLSTIRDADVICVVHEGRIVEAGSHEALMENLDGKYTSLVRLQQMDNQESDGNVSVRVQGSQLSILSKDLTYGPKLSSESGSNMLTSSSIESNLPSSDPKGKKPSVPSFKRLMAMNRPEWKHALYGCLSAALFGAVQPTSAFVSGSMVSVYFLTSHDEIKEKTRIFVLFFVGLAVFSFLLNIIQHYSFAYMGEYLTKRIREKMLKKILTFEVNWFDEEENSSGAICSRLAKEANLVRSLVGERVSLLVQTIAAVAVACTVGLVIAWRLAIVMMAAQPVVVVCFYTQRILLKTISKKAIKAQDESSKLAAEAVSNIRTITSFSSQERILKLLKRIQEGPRKESVRQSWLAGTVLATSRSLITCTSVLNFWYGGRLITDGKIVAKQFFEIFTVFVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRSTTIEPESPNGYVTEKIKGQISFVNVDFSYLTRPNVAIFKNLSIEIDQGKSTAIVGPSGSGKSTIISLIERFYDPLKGSVKIDGRDLKSYHLRSLRQHIALVSQEPALFAGTIRENIMYGGASENIDESEIIEAAKAANAHDFITSLTDGYDTNCGDRGVLLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERVMVGRTSVVIAHRLSTIQNCDVIAVLDKGKVVECGNHSTLLAKGPTGTRVPVSKISRDYNRKMEKETLRTMKLEKKSCGSIRSIFMHADGVDWMLMGLGFIGAVGDGFATPIIFFITALLLNDLGGSFDDGNFMKAISKNAVAMLYIAGASWVVCFLEGYCWTRTGERQASRMRERYLKAVLRQDVGYFDLHVTSTSDVITSVSSDSLVIQDVLSEKLPNFLMNASAFVASYILSFIMLWRLTIVGFPFVVLLLIPGLMYGRALTSISRKIREEYNEAGSIAEQAISLVRTVYAFGSERKLIAKFSVALQGSVKLGLRQGLVKGISLGSSGIVFAIWAFMTWHGSRMVMYHGAKGGTIYAVIICIAFGGTFNLWYFRTHFKSLGRGLSNLKDFSEAMVAGERIMEVILRVPDIDSDNPEDQTLEKIKGEVEFKHVKFMYPSRPETPIFDDFCLRIPSGKTVALVGGSGSGKSTVLALLQRFYDPVAGEILLDGVPINNVQVNWLRL >A02g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13696698:13699934:-1 gene:A02g504130.1_BraROA transcript:A02g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STDPLRIDRSIPCPGKNPKSIFLVLGRKSNSHPKFINPNSLNSLIWTIIWPNSYDFVSFLRIYHSLVCSAKGILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATNSEFEESGEEKGDDQRADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEDLVQASFTTFGEKFCQQFSDRLGKIETEVTELRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELVGKSDQASGPSLTKINSGPSTSKKGTAPSKKKAVKNQELKTADSCVNLPRAKVTQSSASDLSMGTQEFLESCMKNLPLDTFVKGLNPSQAKVEDSLDWLELPKSLKKPTDSLELQKSLKKPAVRLDDRDIELDGENFPDRCLVFVHPTDFKKMQDWQDTRTAIQIGPSMLDGDLAGRIMSASSWLKNYVSIR >A03p067440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29662087:29665473:1 gene:A03p067440.1_BraROA transcript:A03p067440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSSPPSQNLAFSPAATLSRLAPVKRPFYPHRLSDHTSLCRCSSGGNSSSPSSSSDDDDGNPRWDAAIQDVLKSAIKRFDSVMSWYGNQDKDAEDDVVFGGNIKKEEERDWDWDWERWKKHFERIDDQDRLLSLLKSQLNGAVKREDYEDAARLKVAIAAASTKDAVGRVMFSFNRAILEERYNDAVYLRDKASAGLVGWWSGISKDVKDPFGLIVQITAEHGRYVARSYNPRQLSTSATGAPLFEIFLTLDGKGNYKKQAVYLKWKEIFPDVPTMPTRSPTTPRLLTPPVWKEDAGNLAVEPSDDEEESDNSDDDSDLLDESSGFQTFLRDMIPGVKVKVMKVNSPGKGKVDKDFISKVIEQIADDEESDFDIEDIVVEEETKAETDDIELESVTDDVIDSNEVKEIAVKLVISDIVGRLSGNQPLKESLRSPANLESVEKSSFYLRLEKDLNAQQESKGVEGTLVGGKGNRQSRRRVDNIMVDLAKSIEKEKKISVKMPKDVGELLSLTLSQAHNRQQLSGLTKFRRIDVTPSLDPLNGLYIGAHGLYTSEVIHLKRKFGQWKSGKESKKPKDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYELPHRGLIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVIMDGKYVKGGPVFGFVYWAPEYHFVMFFNRLKLQA >A05p040790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24917165:24918110:1 gene:A05p040790.1_BraROA transcript:A05p040790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLANT CADMIUM RESISTANCE 4 [Source:Projected from Arabidopsis thaliana (AT3G18460) UniProtKB/Swiss-Prot;Acc:Q9LS44] MVRPGLDQPNQAHPQGDGNNKAHIQPNIPTGIPVDNQTQNRWNSDLFDCMNDSENAVITFIVPCVTFGQIAEIVDEGATTCAIGGVLYGAIFLTLFPSVYSSLFRAKIRNKYGLPDAPAPDWLTHLFCEPCALCQEYRELKHRGFDPKIGWAMNVQAQQQEMMAPPTGQRMMG >A08p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14627776:14636136:1 gene:A08p021950.1_BraROA transcript:A08p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-binding protein 1b [Source:Projected from Arabidopsis thaliana (AT4G21100) UniProtKB/Swiss-Prot;Acc:O49552] MSVWNYVVTAQKPTSVTHSCVGNFTSPQELNLIVAKCTRIEIHLLTPQGLQAILDVPLYGRIATLELFRPHGETQDFLFIATEGYKFCVLQWDSDSSELITRAMGDVSDRIGRQTDNGQIGIIDPDCRFIGLHLYDGLFKVVPFDNKGQLKEAYNIRLEELQVLDIKFLYGCAKPTIAVLYQDNKDARHVKTYEVSQKEKDFVEGPWSQNNLDNGADLLIPVPSPLCGVLIIGEETIVYCSANALKAIPIRSSITKAYGRVDVDGSRYLLGDHAGLIHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAVVFIGSSYGDSQLIKLNMQPDANGSYVEIIEQYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELPGIKGMWSLKSSIDEAFDTFLVVSFISETRILAMNIEDELEDTEIEGFLSQIQTLFCHDAVYNQLVQITSNSVRLVSSTTRQLRNKWDAPAGFTVNVATANASQVLLATGGGHLVYIEIGDGTLTEVKHAQLEYEVSCLDINPTGDNPNYSQLAAVGMWTDISVRIFVLPDLTLITKEQLGGEIIPRSVLLCAFEGISYLLCALGDGHLLNFQLDTRTGELRDRKKVSLGTQPITLRTFSSKSATHVFAASDRPSVIYSNNKKLIYSNVNLKEVSHMCPFNSGAFPDSLAIAREGELTIGTIDEIQKLHIRTIPIGEHARRICHQEQTRTFAICSLRNQPSAEESEMHFVRLLDDRDFEFLATYPLDAFEYGCSILSCSFTDDKNVYYCVGTSYVLPEENEPTKGRILVFVVEEGKLQLVAEKETKGSVYSLNAFNGKLLAAINQKIQLYKWTLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEEIARDYNASWMTAVGILDDDTYLGADNCYNLFTVKRHSEAATDEERCRMEVVGEYHIGEFVNRFRHGSLVMRLPDSETSQIPTMIFGTVNGVIGVMASLPQEQYAFLEKLQTSMRKVIKGVGGLSHEQWRSFKNEKRSADARSFLDGDLIESFMDMSRRKMEEISKEMDVQVEELCRETFGGAKIIMLFHRLSRLGFRIAKELPKERHLSVCGRRILQRSYGQYLQSSRIWWVSLHIFASSSSSSSSSFDKAVTQIQTRTFQQAFFSNNHNLCTSFSTSSEKSGEETEKINVIFVDKDGEENHIKDTEYYNKLEEPTDEENDMLDLAFALTETSRLGCQVIAKPEIDGIRLAIPSATRNFAVDGFVPKPH >A03p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5211595:5215952:1 gene:A03p013290.1_BraROA transcript:A03p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVTATLLRHRHRLRHLRHHIRLNSTSPSPPPHYHLPLNHPTYLIWSSNTSLGKTLVSTGISASFLLQPPPPASHSTKLLYLKPLQTGFPSDSDSRFVFSKLASLSLRLRVPLSVSNSVLRSSLPRSGTRENIGMRDLNFSEEKVVTGAAAELSCKTLFAWEAAISPHLAAERENAPVEDYVVLKMVEQCLREEMECGSETNVLCLVETAGGVASPGPSGSLQCDLYRPFRLPGVLVGDGRLGGISGTIAAYESLKLRGYDVAAVVFEDHGLVNEVPLTSYLRNKVPVLVLPPVPKDPSDDLIEWFVESDGVFKALKEVMVSAYVERVERLNGMAKQAGEVFWWPFTQHKLVPEETVTVIDSRCGENFSVFKDSDKNSITQQFDACASWWTQGPDPAFQAELAREMGYTAARFGHVMFPENVYEPALKCAELLLDGVGKDWASRVYFSDNGSTAIEIALKMAFRKFCVDHETLLEFSEGRDEKKHIVVKVLALRGSYHGDTLGAMEAQAPSPYTGFLQQPWYTGRGLFLDPPTVFLSNGAWNLSLPESFSEITPEEYGTFSTRDEIFDKSRDTSVLATIYSTYVSEQLQEYSGNTQSAHVGALIIEPVIHGAGGMHMVDPLFQRVLVNECRNRKIPVIFDEVFTGFWRLGVETTAKLLGCKPDIACFAKLMTGGMIPLAVTLATDAVFDSFSGDSKLQALLHGHSYSAHAMGCATAAKAIEWFKDPETNHNIIPQEGILRELWDEELVQQISCHSAVQRVVVLGTLFALELKVDASNSGYASLYAKSLLKMLREDGIFMRPLGNVVYLMCGPCTSPEICRELLSKLYKRLGEFNRA >A08g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10064071:10064532:-1 gene:A08g505840.1_BraROA transcript:A08g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILIYKILHKELTQVVPSSPVPTRRGLSISRVQAVPSRAGCGPENAGPVPYRIISQALTSRPAGWTRKECSMTFLDAAGCSSDHYIPKCT >A06p019990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9761879:9764044:-1 gene:A06p019990.1_BraROA transcript:A06p019990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49710 [Source:Projected from Arabidopsis thaliana (AT3G49710) UniProtKB/Swiss-Prot;Acc:Q9M2Y7] MNQTQWTFKSFRDLLLKCVAERDLFTGKTLHARYVKSLVASSTYLSNHFVNLYSKCGRLSSARAAFDCTEQPNVFSYNVIVKAYAKDSKIHIARQLFDEIPQPDTVSYNTLISGYADARETVSAMILFKRMRELGFEVDGFTLSGLIAACCDRVELIMQLHCFAVSGGFDSYSSVNNAFVSYYSKGGLLREAVSVFYGMGELRDEVSWNSMIVAYGQHKEGAKALALYREMILKGFKIDMYTLASVLNALTSLNDLIGGCQFHGKLIKAGFHQNSHVGSGLIDFYSKCGGRNGMSDAEKVFQEILSPDLVIWNTMISGYSMNEELAEEAVRSFRQMQRIGHRPDDCSFVCVSSACSNLCSTSQGKQIHGLAIKSHIPSNRISVNNSLISMYYENGNLQDARRVFDRMPELNAVTYNCMIKGYAQHGRVTDALLLYQRMLDSGIAPNNITFVAVLSACVHSGKVAEGQNYFNTMKERFKIEPEAEHYSCMIDLLGRAGKLEEAERFIDSMPFKAGPVAWAALLNACRKHKNIALAERAAKELMAMKPNADTPYVMLANMYTDAGRWEEMAAVRKLMRSRRIRKKPGCSWIEVKKKEHVFVAEDWSHPMIREVCEYLEEMMKKMKELGYVMDKKWAMVKVDEAGEGEEEMRLGHHSEKLAVAFGLMSTGHGEEIVVKKNLRICGDCHNAIKFMSEVADREIIVRDNLRFHCFKDGKCSCGDYW >A09p080890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59059730:59062505:-1 gene:A09p080890.1_BraROA transcript:A09p080890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRRWQRILLLSLLSISFFAPLILVSNRLQSITPVGRRESIEDLSNFRHMTNDLRLNAIEHEGGVGLKGPRHILLKDEGFNESGAVTQSSENEKTVDFPKTDGNDLQYREEKVIDSQQKTESSDEKDQFLTVIQLANKTDIKPPLSKFEKSAKAQRDGATDVKIKEIRDKVIQAKAYLNFAPPGSNSQIVKELRTRMRDLERAVGDVTKDKDLSKGALRKLKPMEAALYKASRVFNNCPAMATKLRAMNYNTEELAQAQKKQATYLMHLAARTTPKGQHCLSMRLTSEYFALDPEKRQMPNQENYNDPSLSHYVVFSDNVLASAVVVNSTISSSKDPEKIVLHVVTDSLNYPSISMWFLLNIPSKATIQIQNIDDMDVLPSDFDQLLMKQNSNDPRFISTLNHARFYLPDIFPGLNKVVLLDHDVVVQRDLSRLWGIDMKGKVIGAVETCQEGEEASLHSLSTFINFSDSWVAGKFSPKACTWAFGMNLVDLEEWRRRKLTSTYVKYFNLGTKRPLWKAGSLPIGWLTFYRQTLPLDKRWHVMGLGRESDIKTVDIEQAAVIHYDGIMKPWLDIGIEKYKRYWNRHVPYYHSYLQQCNIQA >A03p061130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26512736:26514963:1 gene:A03p061130.1_BraROA transcript:A03p061130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NMAPKK [Source:Projected from Arabidopsis thaliana (AT4G26070) UniProtKB/TrEMBL;Acc:A0A178V3F2] MKRGSLSLNPISLPPPEQSISKFLTQSGTFKDGDLQVNKDGIQTVSQSEPGAPPPIDPLDNQLSLADLEVIKVIGKGSSGSVQLVKHKLTQQFFATKVIQLNTEESTCRAISQELRINLASQCPYLVSCYQSFYHNGLVSIVMEFMDGGSLLDLLKKVQRVPENMLAAISKRVLRGLCYIHDERRIIHRDLKPSNLLINHRGEVKIADFGVSKILSSTSSLAHTFVGTDFYMSPERISGKAYGNKCDIWSLGVVLLECATGKFPYTPPENMKGWTSMYELVDAIVENPPPRAPSHLFSPEFCSFISQCVQKDPRDRKSAMELLDHRFVNMFEDVDVDLSSYFTAAGSLIPPLANS >A02g511800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31853414:31860744:-1 gene:A02g511800.1_BraROA transcript:A02g511800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVTLLKIVPEKEHGDKDESRLGGEPEWSRNQNHQWHSERSCITSFFVTSPYQYSKKKKNEINVMEKGKKEKKHGATGKVEQEVRTKCMTSRYTWRNAQGELVTFTNQELTRLERTNRQQPRQTDTTMGDHANQDDLVAAMALMQQQMQQMQQTIQAQQDAAEQAALAQREQQAQTKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHVVPATGNSQPDELQGLGMMIQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPMTEHCNAIEQPFAETAPGAEERAEQSASSGVTAPSKPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKLKDVPEKEHGDKEQGWRTRMVAKSEPPVALRTIMYDLLLRHITISVL >A07p042810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23182073:23187670:1 gene:A07p042810.1_BraROA transcript:A07p042810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At1g69350, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G69350) UniProtKB/Swiss-Prot;Acc:Q9C507] MMYQAVPTATTSRGGIPTESGDYVVTLDQIPRWSDVEQRSSSLEGGEAGDPSHSNPRYANPLASSSSEAGSSGNGMVSKFPVDHEINSRIYLWRGEPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEQCATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIDNGLQSIATDCIYTEAKNYPREPAAHVSIRTVRRFLEKHKDKISAVVFCTTTSSDTEIYKRLLPLYFPRDEHEEEVAISKLPADVGDENGETVIDERKIRIQALPNKPQDRSFPALVQRSSTDLALVRRNSNHLDSYLDPTFMSLIKDPDERRQEQWEKTAQAQSGFNFVKLLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDTEGHPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYSIVYFHSAASLQVQPDLGWMKRLQQILGRKHQRNLQAIYVLHPTLQLKATILAMQMFVNNVVWKKVVYADRLLQLFKYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQLIAKGFAFLFSTVNVKMTQYMPLFRSCSSLRTLTQLHAHLLVAGRLRHDPLPVTKLIESYTYMGSPHSSRLVFESFPYPDSFMYGVLIKCNVWCNLFNAAIDLYHRLVSEKTQISKFVFPSVLRACAGSRERLGVGEKVHGRIVKSGVDGDDVIETSLLCMYGQTGNLSDAEKVFDGMSVRDIVAWSTLVSSCLENGEVVEALRVFKCMVGDDGVEPDAVTMISVVEGCGEVGCLRTAKSVHGMITRKMFDFDETLFNSLLSMYSKCGDLLSAERVFEMIVNKNAVSWTAVISSYNRGGVYEKALRSFGEMLKYGVEPNVVTVYSVLSSCGLLKLVREGKSVHGFAVRRELDSSYESLSPALVELYAECGRLADSEAVLHVVGDRNIVSWNSLISLYANKGMEIEALSLFRRMVTRRMRPDSFTLASSISSCVNDGLVRLGKQIHGHVVRTDVSDEFVQNSMIDMYSKNGLMDSACAVFGQIKDRSVVTWNSMLCGFSQNGNSLEAINLFDYMYRNCLKMNEVTFLAVIQACSSIGSLEKGRWVHHKLILCGVKDLFTETALIDMYAKCGDLNAAETVFKAMSSRSIVSWSSMINAYGMHGCIDSAMSTFNQMVESGTKPNEVVFMNILSACGHSGSVKEGKLYFNLMKSFGISPNSEHYACFIDLLSRSGDLKEAYRTIKEMPSLADASVWGSLVNGCRIHQRMDIIKAIKKDLSEIVTDDTGYYTLLSNIYAEEGEWEEFRRMRSAMKSLRLKKVPGYSSIEIDQKVYRFGAGEELCFGTEEIYMCLRNLQHVTLEADCLQINE >A09p068960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53866990:53868451:1 gene:A09p068960.1_BraROA transcript:A09p068960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLILRQVSAAAAESKFRIHGFNQRRGIHSRNKKAMEYVAKGWSAIKEVDRVIDYCELNDRRLIPLLRGAKENFELALEADNLNTHARFWLSKLHLKYHVPGACKAIGAALLVEAADMGNADAQYELGCRLRVENDHVQSDQQAFHYIENAVDQLHPGALYLLGIVYLTGDCVKQDMDSAVWCFHRASEKGHAGAAIAYGSLLLRGVQVPESLTRMNTVGVPPPKRSIENMDMNPLELAKEQFQVAARAGCDLGLKWLQRLEQEEKLLMSQEDNEYASA >A01p058230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33197990:33199516:1 gene:A01p058230.1_BraROA transcript:A01p058230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESYYPTRLVEFTLCLCRLSLIDAITSAVHTSDHQDMSHNPEVLWAQRSDKVYLTVALPDAKDISVKCEPQGLFTFSALGAQGKLFEFSLELYGKVVPEYRKNVGLRNIIFSIQKEERSWWPRILKSEEKPAPYIKVDWNKWCDEDEEVNSETASDDESAFVDEDCESSDDDGLLYLPDLEKARNN >A05p025580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12482902:12483861:-1 gene:A05p025580.1_BraROA transcript:A05p025580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTILKKELTTKNNQKRRKEFVASLQLSHKTSTKQKVEPSPFKSCRRSYPTPTTSPPSQPPITFTPTIQHCRMASIIGLCLRAKLKECLPLHYQVNYALKVYPGSHADEQLNDKERLGSCIGES >A09g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17501224:17502624:1 gene:A09g505530.1_BraROA transcript:A09g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYSHPISGASCRSRRFVWLSSRRQREIGTIRAVVSTTSIFSVQSSSSSAAHKGEREILSKANVKDFTFNDLRLATRKLVPFHLIPSSPRRLRRDLRLLMGTPKRIFIRPFPLPSSAKRIIPNSAVIPECIKSEGGKVGLDKIFDSLRVSLASTNVRREVMILKSLSGHDNLPHLYDTYEDHDDVYRNDH >A06g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9408081:9408429:1 gene:A06g502890.1_BraROA transcript:A06g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQLTIDLVDVDEPGWTVGRKYNSWELGITLDVNQHCIRRLQGADCNDKETPRVATLRDRIGEDRDAPDLLPGLQKYPYTTSVQPVFCFFS >A06g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25552859:25553324:-1 gene:A06g508960.1_BraROA transcript:A06g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKNINTINNKYWHKTIWFNEHIPLNVFISRLILRRRLPNQGSLEALGVKCLRNVQTHYHLFFECSFSRLIWELFAPEVWISPPADLYFVAAWINQSRVNADAHATPVIKLYFQSAIYLLWKERNARVFTADSSPSSVILASFDRMM >A02g503450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:11565913:11569239:1 gene:A02g503450.1_BraROA transcript:A02g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAVRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVSEPSLFISKKAQGESENHFEELKDFSDSLPIFDESENHFEELTDFSYSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRISLDDGPDPMFDEEDESGPVFDDEETSIMSTFMKSQLCFDSSTSPALLSSELQEYCEETSFLNSLPDMFVKISTHDVIRFGLDKMKEFRVSKYVFDNMINSFKVFEPDKFLDQSRFQNVNGINSGFILCFDQFLEDGHGFDHFEKSLELDLKQTVFCSNKSFDTFVFKENSFDLNSFRHRLITDHLLPSSCALDKILIQKLLENKSLKTENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNRPKVFCLESNFTRRPTHQGFTEAWNRMKIFTDEEVMNFPNRRFFSPSMREYQISKGDSCPRKNRPEPKPILHEPKVFPQSSSCLNQKHCKDHELIASTLHENVLKPRISKRKHIRTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNQFDFIHDKNFSDLALSLSFPNCFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKCLDPVFGVLRIEKPFDYSFTRFDVVSLVTLNKQDKHDQFLRRASTNGRQSTWNSLMKMTSKLQGSFCPYISFPEFSMNYNSFVSDSSLFDIGTLDLRTNPFEEGGNDRTQDLDQGVEQTQHGDQDDQISPTEVQPFSRSRSTDRAVYRIDPRAPGRDLRMDPQPVDQISQTTGVLPRPIRHSRANSQARNHDHREESDSGLSLSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRAISSSVHGSSTINHAGSLTSVLLLTANDLITRG >A09p074370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56425195:56427260:-1 gene:A09p074370.1_BraROA transcript:A09p074370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGETQWSSIIVPSVQEMVKEKIITTVPPRYVQSGVIEDSDLLTEIPIIDMKQLCSFTSMDSDSELVNHGLDPSFLDKTKSEIQDFFDLPMEEKKKFWQQPNEMEGFGQAFVVSEDQKLDWADMFFFIVQPVELRKPHLFPKLHLPFRDTLEMYSAQVKSIAKILIAKMGEALQINPEENEDLFGDDMLQSMRMNYYPPCPDPHQVIGLTPHSDAVALTILLQVNEVEGLQIKKDGKWVSIKPLPNAFIVNVGDILEIITNGTYKSIEHRAVVNSEKERLSVATFHYPGLNKEISPSKSLVEKQQKDANFRSLTTKDYLRGLFSSELYGKTYLDAMRI >A08p014430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9656279:9663266:1 gene:A08p014430.1_BraROA transcript:A08p014430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCCCISHKFADAASSNPHKVAVTHSSARFMSEPPSPVHDGDTTFTFADLSSSVDSLSLRLRRILDAPVHNDPHLITPQSSPGTDKLAESGVYIPKVLALYMPPSAEYIISVLSVLRIGEAFLPLDPSWPRDRVSSILSSSNVALVIACGSSFDQFGCEPLCRSHWLVQSSAHPVLLFSMSERLSAETARRSSLAWPCKKERQRKFCYLMYTSGSTGKPKGIFGFIDHLQEFLGATLNSTPLVIPPVTLLKQNMTSIIDFLEAYSISRLVAVPSMVRAILPTLQHRGHNKLQSCLKLVVLSGETFPLSLWDSLHTLLPETCFLNLYGSTEVSGDCTYFDCSGLPKLLETEKIGSVPIGKPISNCKILLLGDEDKPCEGEICVGGLCLSQGYLHSSIESQSYVMLHNTSLCNHLTSDCGSQLYYRTGDYGRKLSSGDLVFIGRRDRTVKVNGQRLSLEEIETTLELNPYVTEAVVILNRDQTELASLDAFLVLNKETKPDEDVIYSIRNWMREKLPSVMIPNHFVLVESLPSTSSGKVDYEALARLKCPRSITHAEDMMHINETDSLLDTIKKAVCDALVVKEVSDDDDFFSIGGDSLAAAHLSHSLGIDMRLIYQFRSPSKLVICMSEKKGKLREDMQHNTIQKPDQKTEIQDSNELVSRPSRMQCENNVSAKRLKIDSDQFSSKSMKDKISWDSGYSEMQCAFSRCNNVHYLNSCGNEGGNRENWSVELPRNQMVSIQELWKVHMESCVDASPLVVLKHSKTYLFIGSHSRKFFCIDANSGSICWETILEGRIEGSAMVVGDFSQVVIGCYKGKLYFLDFSTGSLCWTFQAGGEKLFLDGLANTLCFPFSMKIKCQPVVHTSSQLIWCGSHDHTLYALDYRSQRCVYKLQCGGSIFASSIIDEGHSSLYVASTSGRVTAVSIKDFPFHTLWVLELEAPIFGSLSIIPSSRSVICCLVDGQVVAISPSGTIIWKEILNDGRIGIFCSWQFLLVFSQLSNYSVITLMQYRTGGPIFAGPCMSHVLPSQVLVCCRNGSVYSLEPESGCHLWEYNIGDPITASAYIDENLHFESHQLLGSDRLVSVCSSSGRVHVLRVRASLSRDSHESKVGEIAKLELQADIFSSPVMIGGRIFVGCRDDYVHCLTLESCM >A10g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9516321:9525853:1 gene:A10g503760.1_BraROA transcript:A10g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKIGSFDRISELKAFDETKTGVKGLVDAGITQLPRIFHDSPSNLANPKPPSSDLLHLTTIPTIDLEGRVFEDETKRKNMVDGIRDAAEKWGFFQVVNHGVPLDLLERMKDGVRRFNEQAPEVKKQYYSRDFRREFVYTSNFDLYTSSAACWRDTFSCYMAPNPPKPQDLPAICRDVMLEYSKQAMSLGEFLFELISEALGLNPNHLKDIDCSKGLRMLCHYYPPCPEPDLTLGTTKHSDIAFLTVLLPDQIEEGLQVLREGYWFDVPPVPGALIINVGDLLQASSCSQSINAFCSDLSQLLVTNDKFISSEHRVLANRATKARVSVGCFFTTGIRPNPRIYGPIGELVSENNPPKYREITVKEEVMIEYSKEVMKVGKMLFQLLSEALGLNTNHLKDMDCTNSLLLLGHFYPPVLQEQVGGLQVLHDQYWVDVPTVPGALVVNVGDLLQDN >A10p003910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1914877:1916377:-1 gene:A10p003910.1_BraROA transcript:A10p003910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNMKEGTLTGKIQSHWMLYGGGYLRINIDSDQVKSIRNGIVVDHKECMVSLQRMIKAQLVALMNLRFKGRFKSELVCVAKASWILLTFPRYKFEDKLQRRMVQVEFLQLWNKTGSVTEIKGQVLVNKRSSCGDDKGVVLLEHHMTKMQKTLQVLVKCGSSAYNLLEERIIKWCSIQRLREVICQENKGSQFWKSYRIHIHMSICCNIASLWEHQLVAWVRYSERNRQARGRGAIVLLKVFLHKFRSDDSWELLIDYQWQRNNEKKQVSGFCSTRKRNKGVGFAGHSLDLKAKSSSLCEKSQVIAKVQGSLIVRDQFVRA >A02g510750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28494253:28496047:1 gene:A02g510750.1_BraROA transcript:A02g510750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKAFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEILEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQIEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPSIDERIQGFWDSIPVSPDTEEVPINFHGGGEEVDRPADAFGASLSGDFNFGL >A03p022270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9360276:9367298:-1 gene:A03p022270.1_BraROA transcript:A03p022270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRELYVGQLQLLKKMFPGGDKDKFLSISNKIEDAMSQCKQDSPLPPKSITMQRSLSAGSPRFTSRGINLGPPDLRDEWFKVRTVDAGGAAAGGGVEFCLSREGFPVSLFCGLILLLSLPIVIIAYLFVSEALSIQILIYISFAGIKIRDIELVSRAVLPRFYAADVRKDSFEVFDKCKRKVVVTANPIVMVEPFVKDYLGGDKVLGTEIEVNPKTMKATGFVKKPGVLVGDLKRLAILKEFGEESPDLGLGDRTSDHDFMSICKVKIECINVCYFETFRNQRSAQVLKLAEYLETWEKDDKTKLILIKGAGRAFSAGGDLKMFYDGRDLKDSCLEVVYKMYWLCYHIHTYKKTQVSLVNGISMGGGASLMVPMKFSVVTEKTVFATPEASIGFHTDCGFSYIHSRLPGHLGEFLALTGARLNGKELVAIGMATYFVPSAKHLGINSLSYHSTEDEENEEDSNCKNSVFFGLFDDSESTRLRSGSMKRQYSDIGYLYHKLFKEQHDDDGGLRMDMRVLTVIEYMRELYVGQLQLLKKMFPGGTKDKFLGFFNKIGDAMSQFKQESRLSPTKSMTMQRSLSAGSPRFTSRGINLGPPDLRDEWFKVRTVDAGGGGADGGGKSGSAAGQGQTKK >A06p037120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20099254:20100864:-1 gene:A06p037120.1_BraROA transcript:A06p037120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITATENDGVSLITVKISYDLVETDIEIITSGRRRIPAHSGVLAAASPVLKNIIEKPRKSHGGSSKRVIKILGVPCDAVSVFIRFLNSPSLTEKEMESYGIHLLALSHVYMVTHLKQRCTKGVGERVTVENVVDVLQLARLCDAPGLCLKCMRLIHSKFKTVEQTEGWKFLQENDPFLELDILQFIDDAESRKKRRRRHRREQNLYMQLSEAMECIEHICTEGCTLVGPSSNLDNNKLKTGPCSAFSTCYGLQLLIRHFAVCKKRVDGKGCIRCKRMIQLLRLHSSICDQSESCRVPLCRQFKQRGEKDKKMVEDTKWNVLVRRVASAKAMSSLSQSKKKKSEVLLKEEAEDFIRIRKTKFF >A05p031770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18204933:18207316:1 gene:A05p031770.1_BraROA transcript:A05p031770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I inositol polyphosphate 5-phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G34120) UniProtKB/Swiss-Prot;Acc:Q84MA2] MAVVGSRSRRAEMNWATICCSAFSCLQLYWARLVIRKWFNLSSFDSDYSADTDDDRLSLSGSQDFDPRSSGATNDTDDCPKLRRRNSETFRVQYIDTQAVRICAGTWNVGGTVPPADLDIDGWLDTLEPADIYVLGLQEIVPLNAGNIFGMEDDQPASEWENIIRDALNRVRPRNPKILSHSDPPSPSMFKVSHDMFLETRHGACDTFFSCERPIVSEDSLTNIEVLDSTNDNASLSMQINSDSQREERFSYNARVGLSWPEPPLKLLNQYVLERRGGAFKSLNLSIMNLRKPAYVRIVSKQMVGVFLTVWVRRSLRKHISNLSVSTVGVGIMGYIGNKGSVSVSMSIYQTPFCFLCSHLSSGEKDTDHQKRNDDVREIHRRTLFHPHSSSASVLPRSIRDHERVIWLGDLNYRINLSYEKTHELIARKEWQRLLENDQLSNEMRKGNVFEGWSEGVLCFPPTYKYEIDSENYIGDDPESGKRRPAWCDRVIWKGKGMKLLSYRRNEIKLSDHRPVTATFLAEVEVLSLWKLQRALAITYAEIQSQ >A01p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:967148:974124:-1 gene:A01p002110.1_BraROA transcript:A01p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g37170 [Source:Projected from Arabidopsis thaliana (AT4G37170) UniProtKB/Swiss-Prot;Acc:O23169] MNQTIARKLSTFVNMSSSYASMKRFPEKKFFNSNQEDVSVVERLCKGKRFSEAIDVLCEQKQLIEAVQLLGRAKNPPASTYCNLIQICTQRRAIEEGKKVHRHIRTSGFVPGVVICNRLMRMYAKCGSLVDARKVFDEMPLRDVCSWNVMVNGYAESGLVEEARKVFDEMPERDSYAWTAMVNGYVKRDQPEEALVMYSLMQKERDSKANVFTVSSAVAAAAEIACIRRGREIHGHVVRAGLDSDEVLWSSLMDMYGKCGCIDEARRIFDKIVVKDVVSWTSMIDRYFKSRRWREGFSLFSDLVVSRTQPNEYTFAGVLNACTDLTTEEIGKQVHGYMTRVGYDPYSFASSSLVDMYTKCGNMESAKRVVDGCARPDLFSWTSLIGGYAQNGEPEKALKYFDLLVESGTKPDHITFVSVLSACTHAGLVEKGLEYFHSITEKHGMSHTDDHYTCLVDLLARSGRFEELKDVISQMPMKPSKYLWASVLGGGSTHGDVGLAEEAARELFEIEPENPATYVTMANIYAAAGKWEEEGRMRKRMNQTGVTKTPGSSWTEVKRKRHVFTAGDTSHPMHEQIVEYLGELRKKMKEEGYVPATSLVLHDVEDEQKEENLVYHSEKLAVAFAILTTPEGTGVKVFKNLRSCVDCHSAIKFISKITKRKITVRDSARFHCFENGHCSCRDYCAEDPYLFYTWTVTYGTRSPLGVPQQVILINGQFPGPAIEAVTNNNIVVNLINKLDEPFLITWNGVKQRRTSWQDGVLGTNCPIQPNSNWTYQFQLKDQIGTYTYFASTSMHRASGAFGALNINQRSVITTPYPTPDGDFTLLVTDWFKMSHKDLRKRLDAGYALPLSDGLLINGVSKGLIFTGEQGKTYKFRVSNVGIATSINFRIQDHTMSLIEVEGAHTLQETYESLDVHVGQSVTVLVNLKASVRDYYIVASTRFTKPILNTNASLRYLGSKNAVSGPLPVGPTYHIHWSMKQARTIRMNLTANAARPNPQGSFHYGTIPINRTLILANAATMIYGKLRYTVNRISYINPETPLKLADWYNISGVFDFKTMLERLRGLLGRKPKSWTRDFAIPTMLSTPTIGPAHFGTSVFDVELHEFVEIIFQNDERSIQSWHMDGTSAFLVGYGSGTWNVTMRKRYNLVDAVARHTFQVYPLSWTSILVSLDNKGMWNLRSQIWSRRYLGQELYVRVWNDEKSIYTEADPPLNALYCGQAKRPR >A06p053070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28036685:28039652:1 gene:A06p053070.1_BraROA transcript:A06p053070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPENSSHLDLTISVPGFSSSPPSDEGSGGGREQLKLDMNQLPSSSEDDEEFSHGGSAPPRKKLRLTREQSRLLEDSFRQNHTLNPKQKEALAKHLMLRPRQIEVWFQNRRARSKLKQTEIECEYFKRWFGSLTEQNHRLHREVEELRAMNVGPPTVTSASSLSMCPRCERITTAASPSLAIPSQKTLPPQESEH >A06p006890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2376696:2378901:-1 gene:A06p006890.1_BraROA transcript:A06p006890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/arginine-rich splicing factor SR30 [Source:Projected from Arabidopsis thaliana (AT1G09140) UniProtKB/Swiss-Prot;Acc:Q9XFR5] MSSSRWNRTIYVGNLPGDIRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYDFDGCRLRVEIAHGGRRGSSSVDRHSSSYSGSRAPSRRSDYRVLVTGLPPSASWQDLKDHMRKAGDVCFSEVFRDRGGMSGVVDYSNYDDMKYAIRKLDDSEFRNAFSRAYIRVREYESRSVSRSPYYSRSRSRSRGRSYSYSSRSVSPARSSRSPTPYSSISRSGSLRRAGDWILGT >A04p027020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16233346:16238172:-1 gene:A04p027020.1_BraROA transcript:A04p027020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLASLSASSPVDSILDKESYTLEELLDEEEIIQECKALNSRLIHFLRDKAQVEQLLRYVVEEPLEEDDADSKRAFKYPFVSCEIFTCEIEVILKTLVEDDKLMDLLFSFLEPSRPHSALLAGYFGKVVICLMIRKTAALMNYIKGHQNVFSQLVDLIGITSIMEVLVRLVGADDHVYPNFPDVMQYLADSDLLEMIVDKLSQSSPPEVQANAAETLCAITRNAPSALATKLSSPGFVSRIFGHATEDSHSKSGIVHSLTVCISLLDSRRSAASSYFFNSFRGQHMFESPAPVSQETIGAMLPKLGDMLMLLSVASDSKVLPTTYGELRPPLGKHRLKIVEFIAVLLKSGNETAGKELASSGTIKRILELFFEYPYNNALHHQVESIILSCLENTSETMINHILKDCNLISKILSSDKDSVLSGDNLPTVAATGKKAPRVGYVGHITRLWNKLVQLSGTSVLIKASLEENSEWKEWQSSVLQERNTVENVYRWACGRPTTLQDRTRDSDEEDRDYDVAALANNLSQAFNYRTYGNDDNEEDQNTRDRDDTDAYFDDESAEVVISSLRLGDDQGSLLTNSDWFTFQDHTFSEDVNMNGNSNANNSSSSSSDDEVMVGEEDDDDQTEKQKDIISPDKLSTSESSYESSEMQVTSSGLSPSIDVPMLEAEPVIANGSPTSGSRSSPPPPGVVRALFEEDVEFVGVEVEGTEKAMEQALKEGIVGEAGPLKRNIVQKVSENESQKENSGVKEFNDANFWRVDQEVAVLE >A03g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24536868:24539785:1 gene:A03g506940.1_BraROA transcript:A03g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKSFERFWICRFFRSGFDMQSSRSRLKVVWTSWKSSDKVVWTFRKSSGLPGSRLDFSERFGFLIRWYSSSTNLKIWKTSGTTYLLVVWKSSGSHLDFLKVVWTSCKVVWKSSELPKSLLTKSSEFPGSRLDFLEVSSGLVYSSGIQACLCRGMIYNSFTTYNSVVHETTEIRRLNFQSSHVTDFKVNCKNNLCVDQTTYSSLAYIRLLQAHKITNKSHPPRIVSFYDSMNHKNFRIKILGVFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p067120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28726850:28738837:-1 gene:A03p067120.1_BraROA transcript:A03p067120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLRRGDKVWVEDKDSAWAAGEVLDSPGNKVHVETSTGKQVFVSSEKVFRRDPDEEDRNGVDDMTKLTYLHEAGVLYNLQRRYALNDIYTYTGSILIAVNPFKKLPHLYNGYMMEQYKGAPFGELSPHVFAVSEVAYRAMIDDRRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAEDNRSVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVRITDPERNYHCFYQLCSSGIDAEKYKLSNPHQFHYLNQSKTYDLEGVSNADEYKNTRRAMDIVGISHDEQESIFRTLAAILHLGNVEFSSGKEHDSSVVKDQESRNHLQMVADLFRCDANLLLASLCTRSILTREGTIIKALDCNAAVTSRDALAKTVYARLFDWLVDKINRSVGQDPNSHFQIGVLDIYGFECFKSNSFEQFCINFANEKLQLHFNEHVFKMEQDEYRKEEINWSFIEFIDNQDVVDLIEKKPIGVIALLDEACMFPRSTHESFSMKLFQNCKSHPRLEKTKFSETDFTLSHYAGKAMEHCNLLSSSRCPFVAGLFASAPEESARSSYKFSSVSSRFKQQLQALMETLSKTEPHYVRCVKPNTLNRPQKFENLSVLHQLRCGGVLEAVRISLAGYPTRRYYSDFVDRFGLLAPELMDESNDEQALTEKILRKLGLGNYQLGRTKVFLRAGQIGILDSRRTEVLDASARLIQRRLRTFVTLQNFIAARACAISIQAYCRGCLSRNAYVARRNEAAAVLVQKHARRWLSRCAYVKLVSAASVIQSCIRADSARLKFAHQKEHRAASLIQARWRVHTFHTAFRHRQSSIIAIQCCWRQKLAKREFRKLKRAANEAGALRLAKTKLEKRLEDLEWRLQLEKRLRTSGEEAKSGEISKLQKTLESFSLKLDAARLATINECNKNAVLQKQLDISMKEKAAVERELNGMVELEKDNTSLKNSMNSLEKMNLSLEKELLSAKTDCNNTLQKLKEADNRCSELQTSVQSLEEKLSHLENENHVLRQKTLRISAERIGRMLGESPQQKHSSDVVPAQIDRTSVFETPTPSKRIMPFSRSLSESRRPKFTAERNVDIHELLSRCIKENLGFNDDKPLAACVIYKCLLHWHAFESESTAVFNIIIEGINEALKVGDENSVLPYWLSNTSALLCLLQRNLRTNSFLNASVQRSGRAASGVKSPFKVNGPDDGVPHIEARYPAILFKQHLTACVEKIYGLIRDNLKKELAPLLGLCIQAPKALRGTAGKSSRSPSDVPQRSPSSQWENILKFLDSLMSRLRENHVPSFFIRKLVTQVFSFINLSLFNSLLLRRECCTFSNGEYVKSGITELEKWMSGVKEEFSGTSWHELSFIRQAVGFLVIHQKRRKSLDEISQDLCPALTIRQIYRISTLYWDDKYGTQSVSSEVVSQMRVLVDKDSSQKLTSNSFLLDDDMSIPFSAEDIDKAIPVLDPSEIEPPKFVSEYTCAQSLVKKPSATSVSKQIL >A02p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3247752:3250046:1 gene:A02p007710.1_BraROA transcript:A02p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWLDFVWIAPFRVTYICLDCGFIYILPKPFDEKPDPQCIAPKKRFVRYDMNTGKAIGGGLPHIGQAHQNLDGVRRVHLPPPFSSTQITNPRPKLAHEEKKIAESDLNRKPSPMALEWVVLGYAAAAEAIMVILLTMPGLDALRKGLIAVTRNLLKPFLSIIPFCLFLLMDIYWKYETRPSCDGDSCTPSEHLRHQKSIMKSQRNALLIASALVFYWILYSVTNLVVRIEQLNQRVERLRKRE >A01p056330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30706400:30707954:1 gene:A01p056330.1_BraROA transcript:A01p056330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAVVDMLLIGSRVSSIQSHKRGLICHPRRFSNAAWSGKFVVDCSSFSSDPLLLSTAKGQAGKYTAVYQKLAQKHPSFRDNTDLIVTMSFIFSGILTPIPAFGFLFDNEEVKGPVIQYLIRPEECLKKFHPIDLEKLQFIGDSLNILRQEVEEHAKLTLEMWEPWIKERRYSDTPIVFYTKWKHGSSSADVIGLDWTVDMADGKRRLGSSVRDLRVHGNVDPSNLLSLIPALTEEIYRWIVYFVELKSSEDYWTYLHGIYSNLGHGVLVGTPEEALAHFFETARSLAY >A04g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19107978:19110513:1 gene:A04g507610.1_BraROA transcript:A04g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELVHLADENSQLTLKTREKDRDRDRETEASCHLLEAEQEIVQCLLLQASTDGSFLLGSEDVVNTRISLWWEQARAIVVVPFFKFLVALCLIMSVMYFVEVMYMGIVVAYVKLFKRKPEKVYKWEAMENDAECGSKSFPMVLVQIPMYNEKEVCEQSIAAACKISWPSNRIIIQVLDDSTDQASKELVRRECERWSREGVNITFEIRDNRNGYKAGALREGMKHCYVKQCDYIAIFDADFQPEPDFLHRTVPFLIHNPKLALVQGRWEFGNIPYTPSLILKFRFCYNKSHGIITVNADQCMMTRLQEMSLSYHFTVEQQSGGWNDQTTVEDMDVAVRATLRGWKLLYIHDLKVKSELPCSFNALRSQQHRWTCGPANLFRKMAGQIIRSENVSLWKKLYMLYSFFFMRKVVAHILTFCFYCVILPATVLFPEVTVPKWAAFYLPALITLFIAIGKPRSVYLLAFWVLFENAMSMLRTKALVMGLFETGRVQEWVVTEKLGDGLKTKLIGQVPNEHQVRFRDRVHFLELLLGVYLLFCGCYDIIYGKNKLYLYVYLLMQSAAFFVVGFGFVGK >A09g511600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34682997:34683382:1 gene:A09g511600.1_BraROA transcript:A09g511600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDGSGSSRRRENSARKLCFCRLDAEIRQAWTDKNPGRRFYGCPRYKEKNGCNYFKWFDVEDARDEIQEKSRVIEQLNQTIAELTINLERI >A04p019890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12181896:12182623:1 gene:A04p019890.1_BraROA transcript:A04p019890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSASFSVGNVKLVTTFPALDQFAKDHKEIKESEGRRLFTRSSLRNTFPSDKTDLCSLGYRSYQTMPFVFSGLYLPLVSCFTMKKLKGHVIQSPIGPEEYLKRLHPIDLEKLQFVGDSRF >A03p012280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4879755:4882456:-1 gene:A03p012280.1_BraROA transcript:A03p012280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELQNIDFFSSFSEHTAPTFFTPTTSSLRSDSDPDSPKPQNEGEDEYVAELTRQMTSYMLQDDETHQKSCGGGSGSGSPQSTLWSPFASGYSSPVGPSREPSPPLTPAVQATVEKSPVIIPFQSKQALIDEQIRSVQANFQKIKKEKDKERNDDALRHKEKSYHNLQRPKSAVKAVFVDGLGSRAGSGGTGVFLPRSHGTVMESGKSGCSTVIIPARVVEALKIHFDKLGVPSTLSSDIPPFHDALLVSVKNKNNNSHKSSSSTRAESGPPHMAETSAERHQEPLADLPHEWTY >A03p014300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5685225:5686481:1 gene:A03p014300.1_BraROA transcript:A03p014300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 8/9 homolog [Source:Projected from Arabidopsis thaliana (AT5G55940) UniProtKB/Swiss-Prot;Acc:Q9FG71] MGGNGELKYEISQNAYIKLVLHTLRHKTAAVNGVLVGRISSKDEGVVEISDSVPLFHSNLALLPPLEISLIMLNNKKLEALSKGKDRSPVMQLCVKDASKNWRVVGTDGGSKLLLKEPSANVVLSDYISSEKWKDVTDFDDHLDDVTKDWLNPGLFN >A10p007410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11495477:11497807:-1 gene:A10p007410.1_BraROA transcript:A10p007410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSLCGRKGFYNKETLISSVGNNSSHDGIRTIEAVKSCSFSRKSDLCIRIITWNMNGKVSYDDLVQLVGKDRKFDLLVVGVQEAPKTNVAQLLQTASSPTHVLLGKAKLQSIQLLLFGPKNSQPLVKDLKAESQSVGGCGGLIGRKKGAVAIRINYGDIKIVFISCHLSAHANKVDQRNEELRRISNSLISKDKRTHDLIVWLGDLNYRIQDVSNRPARSLIQNHLQSVLVRKDQLLQEADRGEIFKGYYEGTLGFKPTYKYNVGSSNYDTSHKVRVPAWTDRILYKIQDTKNIQTTLYSYDSLDQVNGSDHKPVKADLCLKWINS >A08p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21808916:21811755:1 gene:A08p037370.1_BraROA transcript:A08p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRICCESDSSLLIKALHTNVMSMEIYGIVADINELILAFDSVSFRWISREKNMEADMLTKNCLADEQVLPQKKLKALRIHNLLSSKAYAAMASSLSYPSLPLRLTLPSTSSPATIAISLDKSEKLLVRRQRIVGSKRSMGFLVKISDINKLYQPENLLLYLNTMRDYKFGSDKVIIDGLQIFKMCPSLARDHVYMEAIVCVEDKQMSEFFFHLMRNQRLPSSKVETAPVPDESRISTTVLLGPVFLGNKGLNDVENDELPRLVYVLVRREPN >A06p014060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6345251:6350379:1 gene:A06p014060.1_BraROA transcript:A06p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEEFLKEFGDYYGYPDGPKNINEIRETEFKRLDQGVVYLDHAGSTLYSELQMENIFKDFTSSVYGNPHSQSDISSATSEIIADARRQVLEYFNASPEDYSCVFTSGATAALKIVGETFPWTQDSNFVYTMENHNSVLGIREYALGKGASACAVDIEEAANQPCQLASSGPFIKVKPRAVQTRNTSKLQNEESRGDACNLFAFPSECNFTGLRFNLDLVNLIKENNEAILEGTPFAKSKRWMVLIDAAKGCATQPPNLSEFPADFVVLSFYKLFGYPTGLGALLVRNDAAKLLKKTYFSGGTVAASIADIDFVKRREKVEEFFEDGSASFLSIAAIRHGFRLLKSLTTSAIWMHTTSLSMYVKKKLQALRHGNGAGVCVLYGSENLNLSSHKSGPTVTFNLKRPDGSWFGYLEVEKLASLSGIQLRTGCFCNPGACAKYLDLSHSDLLSNVEAGHVCWDDNDVINGKPTGAVRVSFGYMSTFEEAKKFIDFIVSSFVSPPTKIGNGIAVNGRFAQLSSEELESKESIPGYYLKSVTIYPIKSCAGFSVNRWPLCRTGLLHDREWMIQGLTGELITQKKVPEMSLISTVIDLEEGLLVVESARCKDKLYIRIKSNSYNPRSDEFDAHDNNILENHNEETRINCWFTNAIGRQCKLLQYSSSTSKHCLNRNKSPGLCRVLESNINFANEAQFLLISEESVADLNRRLEEKGKDPNRYLEKLNPQRFRPNLVIAGGEPYAEDKWRTLKIGDTNFTSLGGCNRCQMINISNESGQVKKSNEPLTTLASYRRVKGKILFGTLLRYEHDAKVESWIRVGEEVNPERE >A02g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24020041:24027239:-1 gene:A02g508960.1_BraROA transcript:A02g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERCVMWVMGSHLWLTQEGQATMTTSGDQTWTPSSRCSKKTVTKVVSTMDMISNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASREDISVHPDHPCVRSAPSLLLGNSLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSQLPLLIMGGKGVNQRQLKRVVEHLVHMIKMWK >A02p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4900801:4906495:1 gene:A02p011330.1_BraROA transcript:A02p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVARPRGRPRKRPRGEDQNGVSNRGKRPVLEIKPAVPRSLLGSYVLKEFDDSRVSLGKVVSYSSGLYRVEYEDGGFEDLKTCYLRKLIIGDGYFDDELRCRRCKLDDLVMNKEEKKKAVNEVEVATTCSSSSSSGSGAEECEEDSRDLDMETTMSPLVQVPPVDLPCSSGTIGIPEEAVVHLLSVYGFLRSFSVQLYIYPFGLDEFVGALNFSGPNSLLDAVHVALMRALKVHLERLSSQECEVASNCLRCIDWSLLDALTWPVYLVQYFSAMGHASGSQWKVFSEFVVEKEYYSLPAVMKLKILQILCDDVFDVADIRAEIDTREESEVGYDPDGVNADFPESGPRRVHPRFAKTSACKEKEHSQFVAMNEPTNFSSRRADGGLNGVSSDLDGNSDECRLCGMDGTLLCCDGCPLAYHSRCIGVLKMYIPDGPWYCPECTINKMGPAITHKTSLRGAIYFGVDPHGRLFLGTCNHLIVLKISVHADADIKYYSVTDIPKVVLVLLSSTNHRLEYLCICKAISEYWDLPGGVISHLRAVEANLAPMLKDGGDEVSSDLIKPDNASSSSINNIQNAFGLCASASSYVGGPVLGRSSGTQGKSFVAGGITDKGLSFKPHAYINHYSNGELAASAAATLAVLLSEETHEPDQPKFSNAKKAASSNILLQVKAFSLVASSFFWPSPDKKEITRERCGWCHSCKLTSASRRGCMLNAAVTGATKSAVKIFSGLFPLKNGEGVLSSIAAYILYIEESLRGLIAGPFLSESLRKQWRKKVEEASTCKMMKALLLELEENICSIALSSDWLKLMDDWLIEHSIFQSARVTVGATQKRGPGKKKQRSQAEVSAEGSNDDSFTWWRGGKLSKVILLKAVLLKPMIRKAAWQGGLKKFPEFNYGDGSYIPKRSRRSMWKAAVESSKNISQLALQVRYLDTNIRWNELVRPEQNLQDVKGPETEATVFRNASIRDKKIIDNKVRYGVAFGNQKHLPSRVMKNVIEVEKTEDGNEKFWFAEARVPLYLTKEYEESLHRVVHVPFIKKPTKRISKLQKKQLKASRANIFCYLASRRDNTEKCSCASCHLDVLLRDATSCSACGGFCHKGCTMSTQYTAEKVDIHVTCKRCYLARARSLISINHRHPTTPSIVINGQHQNAVTPTIKTQIKPLIQQFQSSNTRDNASGAKQITPDCNKSKHKTLSWGVIWRKKNLEDTGVSFRQQHILLAAQSHQHNPGPVCWICKLPYNPRLTYIHCTSCDKWYHIEAIKLEESKIPEVAGFKCCKCRRIRSPECPYMDPVLKEQKQMKNVGFKRKKHGKGNTGMDSDSERMSEPKDSIPSTPSFTFEDAFAPEDDPLLVSVSKVEQITPKDMDSVPGPQKLPIRRQMKREDTEGNSSLSYTEFSTYSESQTFVKPEMEPTLPAMEWDASDNNNNNSNNMVEGELMFDYEDMEFEPQTYFSLTELLTADDSGQCNGYGYDKDATGNTDNTNPNPQVETMEECRTFIYDNTIPCQICMHVDPGPDLTCQTCNMTIHSHCSPWEEESAFTGGSWRCGRCREWM >A09p044050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36053333:36054811:-1 gene:A09p044050.1_BraROA transcript:A09p044050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:Projected from Arabidopsis thaliana (AT1G32210) UniProtKB/Swiss-Prot;Acc:Q39080] MVKSTSKDAQDLFRSLHSAYSATPTNLKIIDLYVVFAVFTALIQVAYMALVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLAPERAFADFVLCNLVLHLVIINFLG >A01p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:956975:958558:-1 gene:A01p002080.1_BraROA transcript:A01p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFSSYPANKTHKVKSTMPAMNSIYEKCREERIKENLQRMQNLGIMDLSLKLKSGTRPAKRRYTKAAASNPDLRSTPPLQLTVSTRRSSRLKDASPVRYFEEAEKKKGKASKEMVLWLPEGGERPEVYTDEHEKLLGNTERVWELFVDGYGPDGKRIYDSVKGKTCHQCRQKTLGHRTQCSKCHPSVTGQFCGDCLYMRYGEHVLETLENPDWICPGCRGICNCSLCRKRKGWLPTGNAYKKVCKLGYKSVAHYLILTKKQSETNEDDEADDTPSQASAKRSLSFKEAKASSEEGDDCILQITDGLEAQDNHVDDGDEGANKNQGSARKSLSFLSGGDNQTSVVDDGDDIKPLDVNEMDHPATPVEASSGDKEKGETKSKRKMSVEPNPNSIGGRLRLRRKSQV >A02p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:167977:169857:1 gene:A02p000280.1_BraROA transcript:A02p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPSRGAKIPLSILVLVICGFMFFVLLYAERISLMSSSTLSSNVLKLKSCPRKDLGSKPKDIVQEEKVREKKVPEESGREERSENMDVVDDRFEFDPEECNVAAGKWVYNSSAEPLYTDESCPYIDRQFSCMKNGRPETDYLRWEWQPDDCTIPRFSAKLAMNKLRGKRLLFVGDSLQRSQWESFVCLVESVIPEGEKSMNLGQKYFVFKAKEYNASIEFYWAPYIVESNTDLPVILDLKKRIVKVDSVEDRSKYWEGADILVFNTYVWWMSGIRMKALWGSFGNGERGAEALDTAVAYRLALKTWANWVDSTVDSNKTKVFFTTMSPTHSRSADWGKPNGPKCFNETEPIKDKSFWGTGSNKEMMKVVSSVVKHMATHVTVINITQLSEYRIDAHTSVYTETGGKMLTVEERADPMRHADCIHWCLPGLPDTWNRILLAHL >A05p011420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4874545:4875840:1 gene:A05p011420.1_BraROA transcript:A05p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWNLFILWLLCISCPSLMGPVVTYLILMTCTSSSLDGSSQISDLKMLRRVRPSSFPSTMTVLPSGKIDYVCFWCEYDSCSSLFWPLFVRSMRVKSSEHDYQTILTISLPFDDPLTILCSPQTPFASVHPNDHNDQRLSFGSGKSPWFHHGNGEVLRLCLELMLVPSEIRIKRILLFAGVEESSLLMSDLFQGMTLSALPWGENDIISRNYVSDFAKLECSSCSAHIAQILQVVKAQVVKLQRPLQTWLAIFGICFMGNSESSHDSGSIYDNSFWPDLSIFICGPKLATSTSRHCSVSSTNLRLLKLVTISSLRIPFVDKLNQLSSRQDRERYSSTSSFSKERLIPPTSLFVRGDFLSVCKTENNYKIFSRLLPCVNARLGPVNAMTLIQMRVEVLDGVATSHAIVTNSLLFEDFETRCKFFIDWIIRDN >A09p023980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13263083:13264497:-1 gene:A09p023980.1_BraROA transcript:A09p023980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAWLMDDSNEDPRLPHHPNPKELLTMDYLAELGVLYWKLNPENYENDSELRKIRDERGYDYMDMLDLCPEKVSNYEEKLKNFFTEHIHKDEEIRYCLAGSGYFDVRDKDDRWIRIWMKPGDLIVLPAGIYHRFTLDTSNYIKLMRLFVGEPVWTPYNRPQEEHPVRKEYTKSLTHKFGESIQAH >A09g510840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33260148:33260686:1 gene:A09g510840.1_BraROA transcript:A09g510840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGIYRYYNLQHLNSGPASNIISNQVTCMPSGTRSNKEKDLLFSDNPAHLERTIRRGQRSTSLDATTLSSINTHNQPSTDTRPSSSINPN >A04p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17400031:17400904:1 gene:A04p029040.1_BraROA transcript:A04p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYGPHFASPKRALVTLIEKGVPFETVPVDLMKGEHKQPAYLALQPFGTVPAVVDGDYKIFESRAVMRYVAEKYRSQGPDLLGKTVEDRGQVEQWLDVEATTFHPPLLNLTLHIMFASVMGFPSDEKLIKESEEKLSAVLDVYEAHLSKSKYLAGDFVSLADLAHLPFTDYLVGPIGKAYMIKDRKHVSAWWDDISSRPAWKETLEKYSFPA >A10p023360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15264317:15265135:1 gene:A10p023360.1_BraROA transcript:A10p023360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTFRFIVYKIEEQQKQVVVEKLGEPGQSHDDFAASLPADECRYAVFDFDFVTAESCQKSKIFFVAWSPDTARVRSKMIYASSKDRFKRELDGIQVELQATDPTEMDLDVFKSRAN >A02p023850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11902760:11905037:1 gene:A02p023850.1_BraROA transcript:A02p023850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSRRSRVTITLGRSGQVVSRDGSDLEDELPRVGTKRSVKERLGNQLDSDSVTKRQRGEASFSGNDLQISKNDLRFKLLQRNAKRRTQSDGGGSTMDLRQKLLSKSEQPPPPRSLDSRPRMAEPRDGPLPPPSGTVRGPSHMLSSGSNYSPRTRDDIPRRSPERLVCSSRGRSPPRNAGSISGTPRALSPPRNARSFSGGSRAALSPPRNAGRTVGHPRDLSLSPLRNAGRISSHPRDLSSPRHAGRMIGHPRDLSASPPRNAGRMIARPRDLSPPRDAGRMIARPRDLSPPRDAGRMIARPRDLSPPRHAGRISGHPRDLSPPGHAGRISGHPRDLSPARITNSFSSGYRTMSPTRNVGSSYMSSSRGFSPPRNPGSYMGSSMGSPPSRNVDDFNGRSRMLDDVRASPYGFRGVLNSQAPTSGPTFARPMLPPPVPNPHLLPPLSQLPPFGSIMQNSPFPVEEPLTVDSFLDSLGLGRYSLAFKREEVDMNTIKQMKESDLKDLIIPMGPRKRILQAIACLPR >A03g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5681480:5683979:1 gene:A03g501810.1_BraROA transcript:A03g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKTNNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDDAVEADAEMPPLEDDADAEGSKMEEVD >A07p041570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22427178:22429352:1 gene:A07p041570.1_BraROA transcript:A07p041570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase BSK11 [Source:Projected from Arabidopsis thaliana (AT1G50990) UniProtKB/Swiss-Prot;Acc:F4I7Y4] MGCCQSSFLKPLSLRDKKTSGDVSARRGGKRSNRNNRHRVNNEGVGNGRGWHFSTVPDFSEFSAADLREATNNFSVNAVVSVCSDQTPNVVYQGCLKDDMRQIAVKKFSKSTWPDPKQFAAEARDIGKMRHMRLVNLIGYCCEGDERLLISEYMPNDTLTKHLFHWEKQTMEWAMRLRVALYVAEALEYCRQSGRTLYHDLNAYRVLFDENGNPRLSCFGWMKDSKDGKNFSTNLAYTPPEYLRDGNVLCLTLSTLIPESVVFSFGTFLLDLVSGKHVPPSHAVDTIQKQNLPVFIDSHLEGNYPDEEAATVFELASKCLENNPKDRPEIKDIISVLATLQHKLNVPSYEMLGISKLENPEKEPESSLLYDASHRMDLTALHQILEAADYNDDVTCELSFQQWSQQIKDFIETGIMISPTVYARRSICHLFCDQADAALRDAMQAQCVYPNWPTALYLQAVALAKLNMLEDSGTMLKEAKILEDKRIIN >A10p006810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9900287:9901211:-1 gene:A10p006810.1_BraROA transcript:A10p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELLSLLRLWISFFFISTLLLLRVDSHSEYLIGMGSYDITGPAADVNMMGYANMEQVASGIHFRLRARTFIISDPEGKRVAFVNIDACMASQIVTLKVIERLKARYGDLYTEKNVGISGIHTHAGPGGYLPYVVYIVTSLGFVRQSFEALVNGIENSIIQAHENLSPGSIFINKGTIEDVDDMCKEDDVGKTTSASGVGSSRSESSSVPP >A10p032440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19194641:19196112:-1 gene:A10p032440.1_BraROA transcript:A10p032440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:Projected from Arabidopsis thaliana (AT5G12380) UniProtKB/TrEMBL;Acc:X5JAK8] MATIVSPPHFSPVEDAENIKKACQGFGTDEKAIISILGHRNLFQRKLIRQAYQEIYHEDLIHQLKSELSGDFEKAICLWVLDPPERDALLANLALQKPVPDCKVLVEIACMRSPEDLLATRRAYRCLYKRSLEEDLASRTTGHIRKLLVATVSAYKYDGDEIDETLAHSEAAILHDEIVGKAVYHEETIRVISTRSSVQLCAIFNRYKDIYGRSITKDILSHPTNEYLSALRAAIRCTKNPNRYYAKVLRNAINTAGTDEDALTRVIVTRAEKDLKNITELYHKRNNESLDQAIAKETSRDYKAFLLALLGHGGI >A07p018650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11195730:11200198:1 gene:A07p018650.1_BraROA transcript:A07p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEKKTATEMERKLKKEEKAKEKEMKRQKASEKAKLAQVKSAKPKPVTDKKINKDEETPSDTPPGEKKRLSSQMAKQYNPSAVETSWYEWWERSGFFKAEAKSTKPKFVILLPPPNVTGVLHIGHALTCAVQDTLIRYKRMSGYNALWLPGFDHAGIATQDVVDKDLMRETGKTSRDIGREEFLKKAWKWTHKYSGTIKTQLRRLGSSLDWSRECFTMDEERSKAVVEAFVRLHKEGVIYRGDHLVNWDCFSRTARSEEEIDHVEIKGRTLRNVPGYEKPVEFGLMTSFAYPLEDGSGEVVVATTRVETMLGDTAIAVHPDDARYKHLHTKFAMHPFNGRKLPIVCDADLVDPELGTGCVKITPAHEQKDYELGKLHHLEAINIFTDDGKINKNGGSEFEGMQRYVAREAVVEALRSKGLLRGVEEKDMRIGICSRSNDVVEPMLKPQWYVRCSTMGKEALDAAGDGKLEIIPKQYSADWRRWLENVHDWCISRQILWGHRIPAWYATLEEDQLKKFGTYIDHWVVARNEEEAREEAAVKFAGKKLLDLSQDPDVLDTWFSSGLFPLSALGWPDQTEELKAFYPGSVLETGLDILFFWVARMVMLSMKLSDGVVPFSKVYLHPMVRDAHGRKMSKSLGNGIDPLEVINGESLAGLQTRLKKGNLDPKELVVAKKGQVKDFPNGIPECGADALRFALVSYTAQSYNINMDVQRVVCYRQWCNKLWNAVRFAMMKLGDDYTPPVKTMCPETMPFSCRWILSVLNKAVIKTVDSLNGFELADAANTVYSWWQYQFCDVFIEAIKPCFSGENSDRTHAQDTLWVCLETGLRMLHPFMPFVTEELWQRLPSPKGCEREASIMICEYPSPIEEWTNEKVEAEMEMVMATVKTIRTLRAAESMERQRNENLSSFEVVLKGEDISSQAGSAVVETVNENLKVYLKVDGAAIDPEAEREKMRKKIEEIQNQKEKLEKSMGVSWYEEKVPAHIKEENARKLEKLLQDGIGKTTLAKRFYNKIIENFEEQRLFISNIREKSSGQDGLVNLQKTFITELFRPAPEIEDVNEKIREKVHEKKILAVLVDIRDMGMQMVVEESGECPGMRSRLWDRGEIMTMLNNMKETTSIRGIVLDFKKKFVRQPSADGISSVFSYMMSIFISHVELQENLNLLPADPA >A10p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21300605:21303571:-1 gene:A10p037870.1_BraROA transcript:A10p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPADKPDPNLTTRDEGEVREEELEDIEEEEDDYEGEDDNDEATASKPQTREDAAASRANAQNLFRRMGVAPVPVRVHDVIVKGNEKTKDHVIEAEVEGVRQATTLQELLEAANVANFNLRALDIFDSVKITLDAGPPELPDTTNVVVKVVESQSPLAAEIVTSTKSQARSSTLEGSLKYKNIFGHGDIWDSSLAYGCDNSGEVGLGLYLPRLRGRPTPFTSRLYLSTQDWLKFSSYRERALGVSLGVLLSKNHELGYTLAWRNLIDPTQMASRTIRRQIGHSLVSDLKYTFKVDERNSSLRPTRGYSFVSTSQIGGLVPDSRTLRFLRQEIDLKYAVPLGFYRSALNFGVSGGITFPWGSGYKSRGSSVSERFFLGGNISPVCSLGGPSALWGFKTRGLGPNEPRREVEDDKSGDTYERDFVGGDVAVTAFADLSFDFPLRWFRERGIHGHVFACAGNIAELSGNKYRNFTAPKFLETFRSTVGAGIVLPTSLFRMELNYCHIVKKQEHDRAKSGFFMTFSTPSS >A06g509740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28568384:28571419:1 gene:A06g509740.1_BraROA transcript:A06g509740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDHEMGKSKGTIIGGDSEGDGSLLRAIQREIVVDHEEKEEEEDDDKEEEEEEVEKESVDVKEMNVAKQSSENRVDGVESKKYSQFHNRTVPSPSVVHKVPPPVIKRASTVYSVPPSKDAYAEKEENFTHPQNKLQSLEDLVMWRDASRSTLVFGFGTFLIISSSYANDLNFSFISVVAYIGLIYLGVTFVFKSVIRRGIVDEEEKHKGVGVREKDVKKILRFIMPYLNESLLQLRALFSGDPSTTLKKMP >A09p073160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55890113:55894872:-1 gene:A09p073160.1_BraROA transcript:A09p073160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDFARAVDDGLKLAKRIYFGHDRAVAAPRLTAPMERSSTSQAHLPSAPMVYAVIPDPGIVDNPDLPSYQPHVHGRCDPPALIPLQMNAIELHVDCYLDTALVTVTGSWRVHCVMGSKKCDCRIAIPIGVQGSILGVEVEIPRKSYTTQLITAQDGTELEKTAQPQSGGFLKPNIFTLTVPQVDGGTNLTIKMTWSEKLTYNEGQFFLDIPFNFPEYVTPAVKKISKREKIYLSVNAGTGTEVLCKGCSHPLKEKMRNAGKLRFAYEADVLKWSNTDFSFSYTVSSSNIVGGLFLQSAPVQDVDQRDIFSFYLFPGKQQSTKSAKREVVFIVDISKSMAGKPLEDVKNAISTALSKLNPEDSFNIITFSDDTSLFSTSMEAVTSDAVERGIEWMNKSFVVADGTNMLPPLEKAVEMLSNTRGSVPMIFFLTDGSVEDERHICNVMKKRLANAGSVWPRIHTFGLGVFCNHYFLQMLANISRGQHESVYNTDHIEERLDKLFIRALSTVLLNITIEPLQNLDEVEVYPSNIPDLTSSSPLMVYGRYRGKFPESVKANGLLGDLSSFSVDLTVQSAKDMPLDKVFAKNVIDLLTAEAWFSEDNQPKEKITRLSIQTGVPSEYTRMIQLENTEEASKPINTGGKKKTETNGEKQKVISRTIPLQNFGIGFGDTTATKENVPPGFGEARAPDAAEKFVKAASSCCVSLCNKCCCMCCVQCCTKLNDQCVIVFTQLFTAIACIACFECCSHIFSWVQRRLSGKKHESASDSSQESSGLALSKDLQSWPQDEETFLAIGTLGNNIISKEEEEEDTDSSKDLTATNTDVSIGKKKSISFLLKKMFVCTSGFKTPPPLLDLSRDSLPNTRMEKMLRTILNKKIHPQRSNAIAKKYLENHKIIDEARSSVDAKKWVKTDSE >A05p014040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6141446:6142806:1 gene:A05p014040.1_BraROA transcript:A05p014040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable indole-3-pyruvate monooxygenase YUCCA7 [Source:Projected from Arabidopsis thaliana (AT2G33230) UniProtKB/Swiss-Prot;Acc:O49312] MCTNQNTNCVSISSMLPNFTPKEDDMFSRRCIWVNGPVIVGAGPSGLAVAASLKRQEVPYIILERANCIASLWQNRTYDRLKLHLPKQFCQLPNLPFPDDFPEYPTKYQFIQYLESYATHFDIQPKFNETVQSAKYDKRFGLWRVKTVSRKGLLSSCEFEYICRWLVVATGENAEKVVPEFEGLEDFCGDVLHASDYKSGEMYRGKRVLVVGCGNSGMEVSLDLCNHDASPSMVVRSSVHVLPREILGKSTFEIGVTMMKWMPVWLVDKTLLLLTRLSLGNTDKYGLKRPELGPLDLKNTADIKVPFI >A02g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5997320:5998360:-1 gene:A02g501900.1_BraROA transcript:A02g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDLLANDLRWSADQLQRNVVAHDDATVRRGIADWESNPRLFPLGNADGDSITMGSSPRFPMYDNDFGWKKPLAVRSGGANKFDGKISAFPGKEKLAVEERNVFAGRENVGDDDVFGGSGDKISSGVVAGRSETERRRSATVDFFSGYTMHLGIISLHHYLLLDRKGSEKGTAKTSKPLVKGFVHDNDDEVMKITKRNSYVAVGSSGDDLHTMAEPLPRVKKKSGQVSYRDQAKLHELQVMFVGEAKRRTQYSTILGAYFSGFREANKLLKH >A03g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17436203:17439711:1 gene:A03g504850.1_BraROA transcript:A03g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEISFAKLRRRSVTAWGHIFSDHIFSDNIFSNYYPDDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSPEKFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPCRSRFYQVLRTPLEWLTDHSSVSCLSVVYESSISRLCALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKYGKTIREKMALDIFRKLPKCHEWENQDNDENLATYD >A04g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16767621:16770736:-1 gene:A04g507080.1_BraROA transcript:A04g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFHREDPRRSPRRRIEPLLTWRFKVAMTASRGAGPDQGPSQPQQRRIMRTRTVGNLGESFDSQVVLSLLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIKRIKAIMISSQERQRFCNSEKWKTEVYGDEVLIIVEGTALSHTDTLHHLSLSLAIGIQCSITIESIVDIYALLSGGGYAKKEGHSPLQKLDEILEDPMTTQDGVRREIDGRCNRDGDYDLEAKNIKTSKDL >A03p059580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25870789:25874461:1 gene:A03p059580.1_BraROA transcript:A03p059580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPRILRALFPSSLSLNNISLALAASSSSSSRSASHHASPRLTFFFPTVTIDFSVGSRDGKVIISLKFLKERSSLPKLRLLRHRCSWEERLSLNLTDLSPLLRSYVMATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALESQVKTKVTIKGHLHTYRFCDNVWTFILQDAMFKSEDRQENVSQVKIVACDSKLLTQ >A06p023070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11861621:11864238:-1 gene:A06p023070.1_BraROA transcript:A06p023070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTDSSCVYKNPDAPVEARVQDLLSRMTLQEKIGQMTQIERSVASHDVLTDYFIGSVQSGAGSWPFEDAKSSDWADMIDGFQRSALASRLGIPIIYGTDAVHGNNNVYGATIFPHNIGLGATRDADLVKRIGAVTALEVRASGIHWTFAPCVAVLGDPRWGRCYESYGEAAKIVSEMSSIISGLQGKPPEEHPNGYPFLAGRNNLIACAKHFVGDGGTDKGLSEGNTIASYEDLERIHVAPYVNCISQGVCTVMASFSSWNGSRLHSDYYLLTEVLKQKLGFKGFLVSDWDGLETISEPQGSDNRNCVKLGINAGIDMVMVPYKYQQFIEDLTDLVESGEVQMARINDAVERILRVKFVAGLFEYPLTDRSLLPTVGCKEHREVAREAVRKSLVLLKNGKNDDKPFLPLDRTAERILVVGTHADDLGNQCGGWTKTKSGQSGKITLGTTLLDAIKAAVGDKTEVIYEKTPSKETLASCEDFSYAIVALGEPPYAEMRGDNSELTIPLNGNNIVTAVAEKLPTLVILFTGRPTVLEMPVLEKTEALVAAWFPGTEGQGMADVIFGDYDFEGKLPLSWFKRVDQLPLNVDGNFYDPLFPLGYGLNCNSG >A07p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16922555:16924259:-1 gene:A07p030380.1_BraROA transcript:A07p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDAVNDSFSPGSSRPSPSMLSREDYWSEEATFTLIQAWGRRYVDLSRGGLRQKHWQEVANAVNDRHFNTGRNVSAAKSQPYRTDVQCKNRIDTLKKKYKVEKARVSSESYVSAWPFFSDLDELLRETFPATSTAAPDNSQRPSPSLPIVPVPVAPRSAISRRPAPAIMSLGGDNLLGFRGNLNAFAAAAAAAASPAYEDDSDGSRSRSSGGNNRKREREREVEIEEKKGYKEVAEAIERFGKIYEKVEERKRKEMVELEKQRMRFAKELECHRMQLFTEMQVRLHKLRRSSGSNGPTSSANAAS >A09p074690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56580028:56584941:1 gene:A09p074690.1_BraROA transcript:A09p074690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKALLIGINYPGTAVELRGCVNDVRRMQKCLIDRYGFSNKDITVLIDTDKTSIQPTGKNIREALKKLIAEGEPGDVLVFHYSGHGTRLPTEEGLFDATDYDECITPCDMNLITDNEFRDMVAEVKKGCLLTIISDSCHSGGLIEEAKEQIGESYVNKPRSRIVTFLVSIVRSLLTTCGISSNDSQRGSGGGHDSFTRESELEDGETVDMKTRYLPLDSYITLLKEQTGQTDIKYGKIRQTLVKVFGQDSSPNVMLSNSVKRNAHRGLLSMFVGKREVNTDGAGSEVKSKHPNNGILLSGCQTDQRSEDVYVTRTGKSYGAFSDAIQTILSGTRKEITNKEMVLRAREILKKQKFGQRPASSFSEPRIQIRSSKRTSLSLQYSIPYKANSRSRRRLVVSSVSAPKVELRTGPDYLISSLLSKFLPNELLCNVLNCLGRFKIYTTGASSMQTNSYSKMGKASKTHTTSTFLRVILTFLVSGVVFIPLGAICLFASQGVIEIVDQYDIDCIPLSFRDNKVRYIQGIEDKRCNRTITVTKTMKNPVYVYYQLENYYQNHRRYVKSRQDGQLRSPKDENDVKSCAPEDTVGGEPIVPCGLVAWSLFNDTYDFTRNNQKLSVNKKDISWKSDRDSKFGKNVFPKNFQKGFPIGGKSLDPKIPLSEQEDLIVWMRTAALPIFRKLYGKIDTDLEAGDTINVLLQNNYNTYSFNGKKKLVLSTTSWLGGRNDFLGIAYLTVGSICLSLAVFFSVLYLAKPRQLGDPSYLSWNRSAGGGR >A07g507780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21610155:21611394:1 gene:A07g507780.1_BraROA transcript:A07g507780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKGLAQTGSEFPSSSEQVVAPCHGTDVAAPLPRVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQVTQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLDSLECIRSRDFVLATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A04g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8701047:8701937:1 gene:A04g504200.1_BraROA transcript:A04g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKEQHHFHTSNGYVFLMIFCGKERDGNLKRRLRVVFHCSNICFVNGLSFSSQGVAFPHPFGSHQKDIVFRCQLNQKRLSKKIKGDGRSTSSILINKQPGLHISINPSNLITLVFKLVSRMLTSVEEDMLFYFSPGIYGTKLRQGSKVLNLWYTARESSAFECVDASEI >A10p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12645834:12646709:-1 gene:A10p018000.1_BraROA transcript:A10p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESHEENNNHTVSGEVIAKRKPGRPRKHLKLEDSTEQPRHIESDEAMVGQHVTGVIEATFEAGFLLSVKLGNSDTVLRGVVFRPGRCEPVSVDNDIAPHVPMLTRNSDAVHHHGLPAKRGRKSRFREKRGDRALAPVPILPANPAMPNHPLVPVVENGSGSVLVHQGHMQTESQVSGGSNSKPFETLLTRVMKEGQVHNSTSQFTEPEEQALSIEPLQAIHPVHPVHIPKPMPSYGRGKMTELLQAVQENVRETHFSQGQ >A07p035670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19276923:19279109:-1 gene:A07p035670.1_BraROA transcript:A07p035670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRKLCPNLDDEDGLETVLEVPVPEEMFTKMGSNATGRWRNMHALMKAHAVVTAVAADMRTPASSSSMSNVNMHMQSKSDNEFVALLKIVGSPLIPFHVPLEFCLSRPINVPSIKNPNLWFLELVVSGFKISAGSDGKVAWNQSSTQPSQAHRGPPRPLRRFFQGLDPRCTASLFLDAVCIGEQTINGEDCFVLKVETPADILKAQCSANTEVIHHTVWGYFSQRTGLLVKFGDTKLVRVRSARGKNDGVFWETSMESIIDDYMYVDSVNIAHGGRTLTTLYRYGGAVNHRRRIEEKWRIEEVDFNICGLCLESFLPPSDMNNDR >A06p012790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5831164:5832892:-1 gene:A06p012790.1_BraROA transcript:A06p012790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTFEVNGLGQGHRTMATDAYSFHATPGNTAGLDEIFIYFPRTPNDLGLLVSRGAQREGKKRELKKLEDNERAMEVARFEENAFEETQIVESVSWDDLERYAASKAGGSSERHQSWRSHQHDSSRKDEESPGDLYELWRQEQNIIAARLDKELKSRWELDELIEEQLSRYQSHYFKTMVSTSLKDVSNLLMPTWISPHELAAVSWLGDWRPTSILDLVRILAAQNPNFSLSEASERVLSQLTREIRIEEAVIDEEYAEIQATCVLHLPFSPLCNAQSREEALGSVQELFGNINKVISKAQRLRYKVLELVMKKLLNQTDTAEFVVAFAGIQDAIHQFGEQKKLKKQYPTVSFKGSGSSS >A06p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12398151:12399833:-1 gene:A06p033520.1_BraROA transcript:A06p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNHSATDDDLKKAYKRLAMIWHPDKNPSARRDEAEAKFKRISEAYDVLSDPQKRQIYDLYGEEGLKSGKIPNSSSYEASSSSSRPPHFFHHHRQHPPNAASFRFNPRDAEDIYAEIFGSEAPGGHRTFRDGSFRNGHSSELRKAPAVENPLPCSLEDLCKGVKKKMRLSRNVYDASGKMRVVEEILPIEIKPGWKKGTKLTFPKKGNEEPGIIPADIIFVVEEKPHSLYKRDGNDLLVNQEITLLEALTGKTLDLTTLDGRSLMIPLTDIINPEHEIVVPNEGMPISKEPGKKGNLRLKLNVRYPSKLTAEQKSELKRVLGGVS >A09p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:975875:978952:1 gene:A09p001280.1_BraROA transcript:A09p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABC transporter 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G01660) UniProtKB/Swiss-Prot;Acc:Q9SBB2] MSSWKQLSRLVDGLSLVAKEIYHQSPELQRARNGDLEALGKKALVAATDLVGLTSGKLRNLSSRRSKEPSVVFFDDKDDGGSSKAEDVSVPITPEVVAKPTIVDSKIVSSESIEEVRVVDRDGETKVGVRAEVASTPSEVATVKRRRPRERKVPSTPMARAYGFFNLGAGLAWGAVKESTYRLVNGTPPTQGNKPALSSILSEENAERLALGLCEMRGAALKVGQMLSIQDESLVPAPILNALELVRQGADVMPRSQLNPVLDAELGPNWQSKLASFDYEPLAAASIGQVHRAVTKDGLEVAMKIQYPGVANSIESDIENVRRLLNYTNLIPKGLFLDRAVKVAKEELARECDYEIEAVSQKHFRDLLSDTPGFYVPRVVDELSSKKILTTELISGVPIDKVASLDQETRDYVGRKMLELTLKELFVFRFMQTDPNWGNFLYDEPTKTINLIDFGAARDYPKKFVDDYLRMVMACANKDSKGVIEMSRRLGFLTGDEPDVMLDAHVQAGFIVGLPFAEPGGYAFRTNNITSSVSNLGATMLKHRLTPPPDEAYSLHRKLSGAFLACIKLGATVRCRDLLLQVYEKYQFDDEPQNPVVASSSVSS >A09p044000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36062404:36062730:-1 gene:A09p044000.1_BraROA transcript:A09p044000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAITWNNMLFMAVELSQKYHWVSNALQTPISRTPRPHHHHSDFHQIMLSVLYSVYWSVMVEPINPIRSNCRCCTRLRLLEAF >A07p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2032405:2034068:-1 gene:A07p005610.1_BraROA transcript:A07p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 4 [Source:Projected from Arabidopsis thaliana (AT2G20780) UniProtKB/Swiss-Prot;Acc:Q0WUU6] MTLPAVENDGGSVFPADSIGNKRNKYQRMDSDAEETREDNHRSRSRKYVMACAFFASLNNVLLGYDVGVMSGAVLFIQQDLNITEVQTEVLIGSLSIISLFGSLAGGRTSDSIGRKWTMALAALVFQTGAAVMAVAPSFEVLMIGRTFAGIGIGLGVMIAPVYIAEISPTVARGFLTSFPEIFINLGILLGYVSNYAFSGLSVHISWRIMLAVGILPSVFIGFALCVIPESPRWLVLKGQVDKAREVLMKTNERDDEAEERLAEIQLAAAKTEGGEERPVWRELLSPSPAVRKMLIVGFGIQCFQQITGIDATVYYSPEILKEAGIQDETKLLAATVAVGITKTLFILFATFMIDSVGRKPLLYVSTVGMTLCLFSLSFTLTFLGQGTLGIALALLFVCGNVAFFSIGMGPVCWVLTSEIFPLRLRAQASALGAVGNRVCSGIVAMSFLSVSRAITVGGTFFVFSLVSALSVVFVYMLVPETSGKSLEQIELMFQGGVERKGGEVELGDAERLVRKDQEF >A09p051510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45429130:45436469:-1 gene:A09p051510.1_BraROA transcript:A09p051510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease 5 [Source:Projected from Arabidopsis thaliana (AT1G26440) UniProtKB/Swiss-Prot;Acc:Q93Z75] MMIMVSAGELGVYVVESKGGAIACITLSLLCLGTWPALLALLERRGRLPQHTYLDYSITNFFAAIFIAFVFGQIGESSPEEAPSFITQLTQIQENWPSVLFALAGGVGLSIGNLATQYALAFVGLSVTEVTSASITVVIGTSVNYFLDNKLNRADVLFSGVACFLVAVCLGSAVHSSNSADVEAKLGKLSVSQEECQRLFGGEEEEMENVKEGTAAFLIALENKRAIKVLGKSMVVGLSITFFAGLCFSLFSPLFNLATNDQWRTLEPGVPKLIVYTAFFYFSLSCFVVAVALNVTFLYKPVLDSPRSSFKGYVNDWNGRGWALLAGLVCGFGNGLQFMGGQAAGYAAADAVQEIVSENIRFACWNAGHVRCSCSASHGLSWEKREAVTLKSFIGLTKEDSQQTMGQDYSYTQPSSSDEFDMTSLLQAEEYLYADEGESSYTPEPEADEGIPRTCYCGNEHVVATSYTPKNPGRRYFSCDNVDDGDCHIWKWWDVAIQEELGEMQTQLRMIKDQFFESDQKVAKLEKIVGALTKKKSMVKYGFAKGVCLLVLSNTDHHLNMNNRTGFVNLMYSQSSVDLESPEPAWFGSQGPDEYGFHPVQPSVESSVHPKDEVPEHEARPVGVKAAKAAGKRKKSGKEEEMSQLEAIMEMKGKLSKQKILERSAVGHVTRVTGGVVGHVTGVTGVFGYLPVGATSSTPLHKQCQETILVNKERNSWNVSLRYSESSGKYYITRGWRKFCLDNRCEIGDLFVFNVVGDGKTTPLMCVCPERKECSEILSKYLSRKSGESSLGGDS >A09p019910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10596962:10598576:1 gene:A09p019910.1_BraROA transcript:A09p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLCLFLIAIASLIFVGKKIKRYKWNLPPSPPQLPIIGNLHQVGELPHRSLQRLAQRTGHVMLVHLGMAPITVVSSKEAAEEVLRTHDLDCCTRPNLAGPRLISRGFKDIGFSQYSEEWKERRKFLVRELFSFKKVQSSRYIREEECNFMAKKLSESTVDRSTVDLSKTLYWLTASIFFKLAFGHSFHESKFVDQEKIDELVFEAETALASFTCSDFFPYAGVGWLVDLLSGQHKRLKNVFFKLDAMFQHMIDDHLSPERSSKYHDDIIVSMLHVIHKQEKDDSLKLTIDHMKGVLTNIFLGGIDTGAVTMIWTMTELARNMEVMKKVQGEIRDRLGNSKERVTEEDIGKVPYLYLVIMETFRLHPALPLLLPRETMTHIKVQGYDIPPKRRILVNAWAIARDPKLWTNPEEFNPDRFMDNPVGYRGQSFEFLPFGSGRRMCPGITMGMATVELGLLNLLYFFDWKLPDGMTERDINIEEAGTLTVVKKVPLKLVPVLSSLVTPNSSFRN >A04g505450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11640033:11640521:1 gene:A04g505450.1_BraROA transcript:A04g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILIIIDISFILLLVITCKPPCPDPHTTVTIHHTNLIWRLFPLLQSLGSASHVIPLLLITDRSGPSNPKPVQMIYSAISVYLVSNPASEKSNLLCTGHQIDGHHRDERRGQRCSCVDWRSSGLPLRLLIRSSDNNTKQVVDTISLNSPYFSESLRLRSLNR >A03p020710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8509906:8512084:-1 gene:A03p020710.1_BraROA transcript:A03p020710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLKQWRSKQVQTESEPQPSAAKIPRHAFDPTQSQTATSTVLPLFAPEPASSKLSSLCPDSSSRFSKMGSFFSLAQWQELELQALIYRYMLAGAAVPQELLLPIKKSLLHLSPSYFLHHLPHYQPAWYLGRGAMDPEPGRCRRTDGKKWRCSRDVFVGHKYCERHMHRGRNRSRKPVETPIVNATTTTSMASPATAAPSSTPSSFAFGGGEEVGQGGSSSFFFSSQSCSEMKQESNNNKRPYESHNGFGSNGSDGGHILRHFFDDWPRSEADNSSSPMISATCLSISMPGNSSSDVSLKLSTGNEEEARSNNIGRDQQNMSWWSSGGTNHNHHHMGGPLAEALRSSSSSSPTSVLHQLGVSPQAFH >A05p045460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27192918:27194287:1 gene:A05p045460.1_BraROA transcript:A05p045460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB26 [Source:Projected from Arabidopsis thaliana (AT3G13890) UniProtKB/Swiss-Prot;Acc:Q9SPG3] MGHHSCCNKQKVKRGLWSPEEDEKLINYINTYGHGCLQRCGKSCRLRWINYLRPDLKRGSFSSQEAALIIELHSLLGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLMSHHHHGHHYHISSMASLLTNLPYHNGFNSTNGGDEGSRFMSNIIITNTNPNFITPSHLSLPSPHVMAPLMFSTSREGDFKFPTTTNTNQSHQDHNNLYNNLDILSATPIINSHHHHYDNDSQLPSLPDLPASTICTYQETLQDCDDGNEVFVTPYNSNGDDPRSIPLVATKLLCEQVLEEKILSSSPITQDHGLLIPTKYNLQMPGDHHNRVVSYINHMIIPSSSSSSPSPISCGQYVIT >A09p064090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51785984:51787301:1 gene:A09p064090.1_BraROA transcript:A09p064090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEADNKFTWVIKDFSSLQSRRIYSDEFLIGGLKWCLIAYPKGSKVDCLSLYLGVADHESLPLEWRRNTKFSLKVVNQFSDKSSILREATEWFDQKTPSFGFTKILPLAKLNANDGGFLVNDELKIVAEINILQVIGESNDESEGSQEVAVQPMKKTKMTEYGTGSSDLHKETSVGNETVDVNGFQVSTLQVAYVRCIFEKHPDFASKVRSNSQLLKSTYMNVLLGLIETLCQLPEKLSDDDLDEASAAVSYLTQVGFKVDWLEEKLEEVREKKTKVYTGKAQLQHMEEEFKVLNKKCLELKDLVEKQNADVTAANVALSFDDVV >A02g512600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:34395979:34397928:1 gene:A02g512600.1_BraROA transcript:A02g512600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVLFLQEAKPKSSGDSSSPFPSNGVSGLSPSHLTSGDSSSPFPLIPPDPPDPDFPPLSSASPKSTRASSQTARPTWPAVKSSTPKTVAGPNSFSPAPVLTGSSKPTDPRSGISFPVNFKILQPKHSSPIQTNKASSPSSNLPHQTTASLSKPLSAATSNFTLNSEKNPFATVNPNPVSASADPPPATDRKSTTTLPTVNPPTKKAPPTTAEPTQDNPPNQPTASSSPLLEKIRKQEDKSLKRLAPVTLSEKGVPRVLIPDSVFQIGAEIHKDFIICYFNGKTPPYTQIQSVLSHMWGKGKRVEIHMNPLSRSMLVRIPSDYLRQKILEKSAWYVGDSMFQAVQWSSSASTSPPNLESIQIWAHLTGVPLDLRHQQGLSLVAGLVGEPKETDDFTKNLVSLTLSHVKVAVNLTKPLPSVVEFVRQSGEVVEVQVTYPWVPPTCTYCKELGHVSRNCPQAPPAPKSSETPAKKAQNAPSASQKGKNVAAPSVAPTVAHSTSNLPPHSASGSTHASASTSSSSFFQPEVNLPPKPATFATSFQTPRKNFPPSPALAHVPKPLTSPLTSIIPSTPPSPPDTFLTPSLKRPRPDPDQKPFPSFTAQLSYFSTISSSNSLPLALPAPSFVNHSSNPFTVLDPDGSLPHEETID >A07p036720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19679064:19680132:1 gene:A07p036720.1_BraROA transcript:A07p036720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYYVPYLSAIQIHTNISVVLFMLASLSVNSLCGLTMEVFWMFRSLFVLQEPEEVVETESSDWWIDSESEKLLSRSMSNDSSKTWEDVPEDSPRDRLGYLDFKYIERDPPYKRFPLTYNVCMIYHGLVMYKTRTVSVLLTDSSFADNVVGGYDSNNKNNGETRNPIAYLPLFCLATYKMQGDLWRKTGFDQDRLIYLQSAADSWLKQLSDDHHDNNFINF >A01p025620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:20000301:20000615:1 gene:A01p025620.1_BraROA transcript:A01p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSRIPPFTESKRKKIHNPKQHPLPSRISKKASTRIKPSHQPEMFSSDSSEYRALRRNYLLLEEESFALERELKEVEVEVKALEDEKVELLDKLVVMEGLVDP >A10p020600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13984988:13985525:-1 gene:A10p020600.1_BraROA transcript:A10p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRARGHRKLFPFPLPICVLLCLCLFSPTRRDTRRSGKDSTVGSLICSGEVGSVVDSIRIIELEAFGVSVNSLPKVILLAVSKSCLRFGEEYRSSLSFRFVVLRLVRGFVSCSGKAVVVHRWRVHRSVVAVWWLHLCGFVHR >A01g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6747552:6749118:1 gene:A01g501920.1_BraROA transcript:A01g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPLGYKRSLSFGDTFGRERRRRAKAEEEREREREMIPQQWTPPCGSQCTHKYAALTQIPWRVFCKKGCDADSDSWEDYCSEICYKDPVLKDRQWSAYIDRSPGAASYSEECFHACVAGCGYKHIIFFLARVCYTMQFEVGSEEVDKVKPKRPPPPPPKPQPPPRAKGPKQPPSEEVPGTSA >A02p043350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27152414:27155661:-1 gene:A02p043350.1_BraROA transcript:A02p043350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETKDLNNYHYTSSYNHYNNINNQNILNLPYVSGPSTYNANMISSQIGYDLQLSPQGVYESGFELSPSSTEFFNPSFDQQNDFHNAFNYNTSNKSQEVVGSGGAIIESETRISASPSSEADHHHDEDSGKSLGEREADDGGKYDQRAQKVSYYRCTTQKCNVKKRVERSYQDPTVVITTYESQHNHPIPTSRRSALFSGPATSNYNSPVSDFIINTPRSFSNDDLLRVPYAAVNVNANYQQQQNQEFQNDYELLKDMFPSVFFKQEP >A02g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18441346:18444607:-1 gene:A02g506600.1_BraROA transcript:A02g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSFNKIFCFVGYSIPAWFEANEVVQAATQDTMNEEPQAVCLGNICLLDGSWTLSANFSGCGWTWIDSSGNIQLMGTKNITRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQICFPDFNITYVPRAHNQTADFLAKTARSFRRELHFVGCSIPVWLPRPPQI >A05p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19052473:19054758:1 gene:A05p033850.1_BraROA transcript:A05p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLTLYSSAATVTLTSSPRVPFHPPASPARRGFVSFRLTAKKLSVRAMSELVQDKESVVAASTSFTESSEELNHSRTFLDARTEQDLICGIKKEKEAGRLPPNVAAGMEELYWNYKNAVLSSGAPGADKTVISNMSVAFDRMLLGVEDPYTFNPYHKAVRQPFDYYHFVHMYISPLIDFKNSYVGNVSIFSELEDKLRQGHNVVLISNHQSEADPAVISLLLEAHCPYIGENIKCVAGDRVITDPLCKPFSMGRNLICVYSKKHMNDDPELVDMKRKANTRSLKEMATLLRSGSQLIWIAPSGGRDRPDPSTGEWFPAPFDASSVDNMRRLVEHSGAPGHIYPMSLLCYDIMPPPPKVEKEIGEKRLVGYHGTGLSIAPEISFSDVTADCSNPNEAKEAYSQALYKSVDKQYKTLNSAINHRRGIEASTSTVSLSQPWN >A09p029400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17562836:17563696:1 gene:A09p029400.1_BraROA transcript:A09p029400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAMSLQSISTTTLNDLSCNHQSHRSSLLSFYKPFQNLGISSNVQDFSSRSTSTPKNLSLSRALSKNSGDTEHSRPSKVQELNVYEINELDRHSPKILKNVLSLKFRLGDLVPFTNKLYTADLKKRVGITAGLCVVIQHVPEKKGDRFEATYSFYFGDYGHLSVQGPYLTYEESFLAITGGTGIFEGAYGQVKLQQLVYPTKLFYTFYLKGLACDLPVELTGTPVPPSKDVKPAPEAKALKPSGIISNFTN >A09p047590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41329329:41330231:-1 gene:A09p047590.1_BraROA transcript:A09p047590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCEQSWHCIMLKADPELEAIRQRRMQELMAQHGTGKQGSQQNPDQERAQEDAKREADERRQMMLSQILSSQARERIARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLITLLEQINSQTSKQTKVTIQRRRGVFDD >A05p012050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5229579:5230433:-1 gene:A05p012050.1_BraROA transcript:A05p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTTSRYVHNVEGGGVGQFSTDNHHEDGGGDGGNHHHHLHNHNHHQGLDLIASNDNSGLGGGGGASGELVMRRPRGRPAGSKNKPKPPMIVTRESANTLRAHILEVGSGCDVFECISTYARRRQRGICVLSGTGTVTNVSIRQPTAVGAVVTLRGTFEILSLSGSFLPPPAPPGATNLTIFLAGAQGQVVGGNVVGELMAAGPVMVMAASFTNVAYERLPLDEDEEQLQVQSGGANMYTEATNGGGGGSLPFFSLPMSLPHMGVDNWPGNSSGAGRAPF >A07p027480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15672748:15673319:1 gene:A07p027480.1_BraROA transcript:A07p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQESNGANPDFESNSNRNINFKTASNDQSLLTILDDIKSSKSPAVINYGASWCGVCSQIFPAFEELSNSFKGMKFVYADIDECPETTRHIRYTPTFQFYRNGEKVDEMFGTGEERLRDRLWLHS >A09p024910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13830865:13832308:-1 gene:A09p024910.1_BraROA transcript:A09p024910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNLATIVEVLEEINENTSDHDPSLPSGGNTSRNVSRRRRASLFRRFLAFFCCSATTMSMSRRCRSDSIQAEDSTPQSYKPADKSSFDETILEQDKSSLHYPYSEGSDFMQSEDSTQQRSVLSNERETILDQDRRNIQSHASEGSDSMQSEDLTSQSYVTSDELSLGDTVSENISGPGSEESDTTESEDSTSQGYVTADELSLVETVSEQNRGNIPTPAYEGYDFIEYGDSASVIYVTANELTEDSFCQLPSSPQSIESTFPPQNSAPGTIKGKSPK >A04g505280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11360458:11360940:-1 gene:A04g505280.1_BraROA transcript:A04g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFNLASLPPSMLHKILSKVTTSHLRDFGSARTAFSGFNQIGREEYYYRSADLFNLNDWIDEANALRTFRLRCYQAGNLEAIYIRVMYEFFVLHLLDEGREKICLAGERGLLWAKYVDGMLNLAFSVDARGFVHNYPSFSHKFVDRMNYMITTSISSGH >A02p029890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15182561:15186750:-1 gene:A02p029890.1_BraROA transcript:A02p029890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVEATNKQFCLHFEAFQLGRAPVYMAFLRFMGDENEAKKFNYSLEVGAHSRKLTWQGIPRSIRDGHRKFRDSQDGLIIPRNMALFFSGSDKEERKLRVTGRIWREDKVLRAMRSKSPRDQFDRFIPNRAAMDFDYAHLALMEEREEEEEEVSSSRKAYRKQLAETMGLNRTRILAFTNKPPSSTSLHHQHQHQHEPPRRIPQRPERTLDAPGLVDDFYLNVLDWSSANVLAVALANSVCLWDASTGSVTTLVTYGEDQGPVTSLNWAHDGINLGVGLNNGQVHIWDCVTKTLLRTLQGFHHTRVGSLAWNSHVLTTGGMDGRIFNNDVRFMSYPVSTYKGHTQEVCGLKWSASGQQLASGGNDRLVHIWDRSTCTQWLHRLRGHTSAVKALAWCPFQSDLLASGGGAEDRKIKFWNTRTGACLNSLDTASQVSSLLWSNNQRELLCSHGSQLTLWKYPSMVKMAELSGHTSRVLSMAQSPDGCTVVSAAGDENLRFWNVFGLPHTTAKKTVPKAAHEPFSHVALGCSAQLSDGASEYISQASISQPNFIQTRVCVNHSLSLWSIAEHYLPPVIRLCGKLEISAVKRGSRSKISAPIPARLVCKRDRFSQRQGRRTEPNATSNILRAEKSLATDNSWNLYGNHSSHYRTCKTGTWQATSNRECDARSSSVIGSDVVLLPQIYLFQLRLRLVNRQDPKLHRFGNKMRHSQYRREE >A06p049790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26266169:26267118:1 gene:A06p049790.1_BraROA transcript:A06p049790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLIGRLVRTKPSRLTTSARLIPSRCTASVTEPESKASSGVGGGKASNFKTFQIYRWNPDNPSKPELQDYKIDLKDCGPMVLDALIKIKNEMDPSLTFRRSCREGICGSCAMNIDGCNGLACLTKIEEGAKETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPASEPGKEILQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLEAIDDEFKLYRCHTILNCARACPKGLNPGKQIAHIKQLQR >A02g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5809068:5811334:-1 gene:A02g501820.1_BraROA transcript:A02g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGIHVKLGVSFTMETYRAYFIFFSDLKSSKCSYVVEPRLLRFWDARNVKCGGELMWIDTVLMDVNGSHSFSGSIPFCLGETTVYVSIIYLIANLLHERSLTSERNFRLCFHLNTTLKHMLCRAQEGLSSASVKYGRIKKLESVALTESKNTNAHHLLISHTSGEDHPNDDMTGAVNLSMDSNPIVNNIGPDYQPV >A10p028830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17784640:17794668:-1 gene:A10p028830.1_BraROA transcript:A10p028830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVAVLDATVAAFFVTGSKEERAAADQILRDLQANPDMWLQVVHILQNTKSMDTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRSERLYVNKLNVILVQIVKHDWPAKWTSFIPDLVAAAKTSETICENCMIILKLLSEEVFDFSRGEMTQQKIKELKHEFKLIHELCLYVLSASQRQDLIRSTLSALHAYLSWIPLGYIFESPLLETLLKFFPVPAYRNLTLQCLTEVAALNFGDFYNAQYIKMYTIFIGQLQTILPPNTNIPEAYSNGSGEEEAFIQNLALFFTSFFKFHIRVLESTPEFVTLLLAGLEYLINISYVDDTEVFKVCLDYWNLLVSELFDAHHNSDNPAATVNLMGLQMSFAPGMVDGLGSKVMQRRQLYSNPMSKLRGLMINRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLRKLNKQLSGEEWAWNNLNTLCWAIGSISGSMAEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGETEPFVSELLSGLATTVQDLEPHQIHSFYESVGSMIQAESDPQKRDEYLQRLMALPNQKWAEIIGQARQSVEFLKDPDVIRTVLNILQTNTSAATSLGTFFLSQISLIFMDMLNVYRMYSELVSTSITNGGPYASKTSFVKLLRYKATMLDDVPNIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFPALIKLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQHSAFCNQFFRSYFVQIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLAESGALTEPLWDVATVPHPYPNNAVFVREYTIKLLSSSFPNMTAAEVTQFVNRLYESRNDPSEFKKNIRDFLVQSKEFSAQDNKDLYAEEAAAQREQERQRMLSIPGLVAPNEIQDEMRGAMSPREVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLSSTLKDVKAAGFTSVVKQLSHKNQNL >A02p027360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13272069:13275120:1 gene:A02p027360.1_BraROA transcript:A02p027360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDSVQTSGKSERYTNLMTQLSSEDPGQTRSYYCTVRDVRVGKQHALAFKAQKDVNVISWNRFFCQEWDALVSHFEKHKHPTTSIDVKDLKGLHWHNQIPGMIISAASEF >A07p022910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13329600:13332187:1 gene:A07p022910.1_BraROA transcript:A07p022910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase 48 kDa subunit [Source:Projected from Arabidopsis thaliana (AT5G66680) UniProtKB/Swiss-Prot;Acc:Q944K2] MMNLSRSVALISLFLLPLLTFSFSVDNPTDRRVLVLLDDLSLKSSHSIFFNTLKSRGFDLDFKLAEDSKLALQRYGQYLYDGLIIFAPSTERFGGSLDSKSIAEFVDSGRDLILSADTSASDLIRGIALECGVDFDEDSSAMVIDHSSFSVSDVDGDHTLIATDDLVESHAILGKTKIEAPVLFRGVAHSLNPTNNLVLKVLSASPSAYSANPSSKLSSPPQLTGSAISLVSVMQARNNARFVISGSLQLFSNRLLRSSVQKAGSPNKYEKSGNEQFVTELSKWVFHERGHLKAGSLVHHRVGETDEPAIYRIKDDLEFSVEILEWSGKSWEPYVADDMQVQFYMMSPYVLKTLSTDKKGLFHTSFKVPDVYGVFQFKVEYEKLGYTTLSLSKQIPVRPYRHNEYERFIPTAYPYYGACFTTMAGFFVFSFVYLYHK >A06p044300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23834439:23835494:1 gene:A06p044300.1_BraROA transcript:A06p044300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLPFLFLFLFLTSLPFSVISQLDERSTLLTLKRGLGDPPSLRLWNTTSSPCDWSEITCFAGNVTGISLKNKIITATVPTNICDFPNLETLDLSSNRFSGDFPTVLYNCTKLRHLDLSQNYFNGSLPADIDRLSPQLEFLNLGANAFAGDIPTELTSLSKLKSIFLDSNNLSGELPEKIISWTSLVTLSLSKNKLSGNIPRALGLLPRLVVLDLSENELSGEIPPEIGNLKFKTLNFSSNMLTGEVPDQLDNLAYETSFLNNTNLCADKPVVKLQDCRKVLRRSKRLRGPIFVMIVVIGALILAVTLVLTFVVVWNYIRKPRTSRPSIFNKDCLRSFMYNICIKYNGH >A09g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27868112:27871735:-1 gene:A09g509500.1_BraROA transcript:A09g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELGFIGACHCGAEYELEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCHQHFAFQPPTRRGHEDYSIGSWANSGFHESFAVDTVITSPHEEHTEEYNEDYWKERAIEMSLQDERLETHKFTNTFPTLFDAVNSTSVDTHPRPAKQPLTSIDTPKVTSIDIRVAAKIQEQENILSLTRFPDTYINRFAPPKPLTHIRANTQANKMNTLPSTSIEKSMKNNHLKNTSSAEITLPSIDVTVSTSIDTTLKPNLSISKKNNYANIDYGFLTPDEFGIFRDTYGNARAMDGRILQQRDTPDAILTDPNSHAGVNTTEINTDLSRQPKGQASIDSITETSIARVTPTSIDMYDPTSIDRCYECGSRAFDMYGARKDERGHARKAAGEMIPVTKDNIRKILEIASLFEESHICLREHATSFTLTRLAPELYTKEEIDEMVFGICGAREKLGEELKTLVDETHPPLDRGYNELFRCMAEMRTEIESLRQQLEKEAKTSASIDAPRATSIDVSLPTAKILAEPRCSTQHMDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLRQDLDTIRKKDQHPATSIDMCTFTSLKVSAMNERPRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIDNITNQSVLQEKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTTLDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKSKSIDDNSLRSTNEHIVASIDAEPIPIGEQLIHKTVESMSALTTFKKGYRTSPMYLGRWMTNGQEMMRPQEVSLHLGNHTTIGVTLTHRSISNPVRYSTKDAKADQPINYTLALNRMK >A09p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23007009:23008439:-1 gene:A09p040720.1_BraROA transcript:A09p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRRLKRGSGIFLILLHQDWRGPLYRLGVCLLSRQQAPRLCLAEIMPNQDLLVDAHWRITCEALLLRGQVQDMMACRDLLIQQVRASVRWELMREWMEKRVDHWNLEEEYRRHLFLSGGFGRQSENVSQAATPRSDPKVLYLGHGTEVGARGDVGIQRFFSRIRRSWLEPGGFYDLDPEVIRRSYWDPSVYGPLGRIGTQRTVLHLPRQDYYRYLFRFLILPLGSWPPSSSYDVFYFCRKSLTCLKGAGMGVVTQVPGLRCFPRLEKHDLDCSMCFTILLQ >A06p005210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1546896:1547588:-1 gene:A06p005210.1_BraROA transcript:A06p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINFDHEIDNNPQPEEAGTITVTATIFGDDDEFDTPFTTRSHSIQDVFGCDEDEDSDCQIVRELKCFLLVEANIDDDDVIGDAMRKLVAYIDGVACFTSNSDYSLECALSVQMDLFPDSRSQIEEAIQVSFDEASNNTRFIPASKVVVKTLIRKVYKKKIEKENMKKKKKKKISLEECTICLEEFKNGGRVVQLACGHEFDEECIVKWLETSHVCPLCRYELPCEDQMN >A09g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6921132:6922139:-1 gene:A09g501930.1_BraROA transcript:A09g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINRDPSTPPPVIGKIGPYTVFMTPPATPKPPESPSSVPQNPIVQPPVLPPPQQFKSVASSEQDGSVLGFFKNAVTKVQNGTEREKSEDEDCSVFVLNYFTTAHSSVDDHLVRWFGLNQSKYQWALDEYYEGKGSSEMKSVEAKEMPGKVQSV >A05p011670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5103826:5104086:1 gene:A05p011670.1_BraROA transcript:A05p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSFSTKVLSGFLIILLVIQLHFETTTGARHAPVVSWSPPEPPNDDFVWYHKINRFKNIEQDAFRPTHQGPSQGIGHKSPPGAS >A06p047320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25143928:25144494:-1 gene:A06p047320.1_BraROA transcript:A06p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGVAAAANGATVVEKSVTFTAYKPQLIVEAQKVGDAVAFYKDVFGATETGHSLYPKRKADQELPHVVSAEIKLAGATVVVSDVSVHTGSNVKTGNVSALLETDDVEVAVAKAVAAGAVKVEVSEGEADGGVKGKVTDPFGFTWIFVSPVEKSDKEENKEVV >A04p007490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8843601:8847623:1 gene:A04p007490.1_BraROA transcript:A04p007490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPHVFGSDNEAEMINKVALDVTAMLGFTPSKDFDDFIGIEARMMEIKSKLILQSEEVKVIGVVGPPGIGKTTIATVLYNQISPGFPFSTFLENIKGSYEKPCGNNYRLKLRLQKNLLSEIFNQRDIEVCHLGVAQEMLGDKKVLVVLDEVGSWWQLEATADQRGWLGPGSMIIITTEDKKLLKALGLGINHIYEMEFPTSSESLQIFCQYAFGKNSPDNGFEWLAWEVTGLSGDLPLGLRVMGSYLRGMSRDYWIDALPRLRSSLDTEIESTLRFSYDVLSDKDKALFLHIACFFVGFEEDFVKRCLEKSGLDVNHGLQVLANKSLLSIENGYVKMHCLLQQMGREIVKKESLEEPGHRQFLMDTMEISDVLEEDTGTGKVLGVKLDTSNSKKIQISKSFPRAFQGMNNLQFLYVSSNTLCIPEGLICLPHKLRLIDWNGCPLRFWPSKFSGKFLVEIRMPNSKFEKLWEGTKLLQVSEKDSGSLKSTSLEELNLSYCKSLLELTSSIGNATKLTIFNLRGCLLLKELPSSIGRSERYCDRENAIIIQHLVLALSIGYNRVYKHHRLPDVPDSIVELVLSCTGIEEVPPSIVNLFRLRILLMYGCKKLKSISPNISKLENLELLALCNRDVNAHYLFPICLPEKALSSPISLRLAGDSVETIPDCIRSLSGLIKLNVIACKKLVALPPLPACKYAFLPGEKVPAHVTHRASSGSLTINLTPTPLLSSFRFKSCILLSEGQAVNNSLMDVSFHVMSKQNGLIVGRGSTQLHIPYLSRYGEHLYIFEDSFSLNQDSPEAEEATFSELTFVFKLHDKTWKVKGCGVQFLEGLQCITDRNEDDNVDDDVDDDDDDDGDGDEICALYQGSYSLNLQQKAKKLIQTLDCKSSLVPGVEVPAHFTHQSTSGSQTINLTPESLPSSFRFKACILLSRDKHFVLFLKKKDFGDANICSMQR >A04p036860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21138103:21139188:1 gene:A04p036860.1_BraROA transcript:A04p036860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRRNLKQAASEQDFTLEECQSIAQVVSLRGSNQIEIMDAKGENSLALFPAKFRESMWIRRGSFVVIDHTGKEKAQESGSKVTSIVCKVLFFEQIRLLQKSPEWPEIFRDAKPVPADESSQIPIPQDDDIDLSDESDDGMPPLEANTNRLRPFGVQCDAETDSGSDSDS >A07p051320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27261655:27262836:1 gene:A07p051320.1_BraROA transcript:A07p051320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCI1 [Source:Projected from Arabidopsis thaliana (AT1G79200) UniProtKB/TrEMBL;Acc:A0A178WDT9] MGSERSSMEKKKRSRARSEDPSSSSENEERVKRHRGTEKDDQRRSRRSEKKKKEKKSHKQHRSSSSKKSKDDKHKKKDAEGDHKLKEGIAELTSEDYFSKNNEFATWLKEKKRTYFNDLTTESARELFSRFVKAWNRGKLESRYYEGISTAPRTAHNWKIKQK >A05g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16348869:16350195:-1 gene:A05g505800.1_BraROA transcript:A05g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVDCLFSTHRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVRQHTQDVRQYTQDVRQHTKDVRQHTQDVRGCPCVSVCPSVHTGRPSVHTGRPSAHTQDVRGRPSVHTGRP >A09p055790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47660766:47663784:-1 gene:A09p055790.1_BraROA transcript:A09p055790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETEHTLVPLLLLPSLLSLLLFLILLKRRSRHSFNLPPGKSGWPFLGETIGYLKPYSAKTLGYFMQQHISKYGKIYRSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRSISLNFLSHARLRTILLKDVERHTLFVLDSWQQHSVFSAQDEAKKFTFNLMAKHIMSMDPGEEETEHLKKEYVTFMKGVVSAPLNLPGTAYRKALQSRGTILKFIEKKMEERKSEIQEEDEEDEAEISRSDHYERKHRADDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLQACPKAVQELREEHLEIARVKKELGESELNWDDYKKMDFTHSVINETLRLGNVVRFLHRKALKNVRYKGYDIPSGWKVLPVISAVHLDNSRYDEPNLFNPWRWQQQNSGTSSLSGCGSFSTWGNNFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFSWKLAEDDQPFAFPFVDFPNGLPIRVSRIL >A05p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23885277:23885931:1 gene:A05p038990.1_BraROA transcript:A05p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSNLLPMHVMWKYREHKSFTLTEEEYILRLDVANMLKCWGALSHICNNLAKSNEQPRIVKAVSIFIDMDSTGGASKRMDLQVVNRPVYKQKVSKLYRHQFILKAFFCDTDLQKQRFVKLSSFPSSLSFIKFSPLVLASM >A09p054210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46777997:46781893:1 gene:A09p054210.1_BraROA transcript:A09p054210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGNLCEDVHPSGSLEPLMRLTAENDGNHNVLDELSYTPDISTTNWTHNSLSSMPNKNVTVPLSSGIYGQQLQPSSLGTEQNLNNDNICTQSCRAIIQMQQQRQQQYHGLYNINSTSGTCPQQCLPYMYPSSSTSPQCNISHRPRLQFPSSSSSGMGGLIDQPSSSFNSLFLNNLTPRRPFFNSSIHQRRRPQRQSNQCLERGESSSVSQRQLQPSFLGTEENLNNYHLPTQNCHAVQQQHQQGRNFHVQPNNQGLYNQMMMDSAPRRSEALAGVNQQLNERTTSLEEPNIPTMQQYQQQFSRRYDPNSTFGLPYMNPNSGTNRQCNFSLLPRSQVPSNSSREYSGTQGLIDQRIGMANGPQPAGLARTRPMRGGFGQRYCRPICERVSRAKSHAGWAFAVWVTAGLAGLTEPAPLPLCCVST >A10g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12069998:12073981:-1 gene:A10g504880.1_BraROA transcript:A10g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKQERARQETARHGKPLASVPATEGTSRQQVLAARRREGKQVATVESVDAGSRRSAPPKRSKETKGKGVALPEEEENEDITEEDQAPHKKAKVSKKKKADNKRDRTKPPTEDELYGHLKNGVLWPPTRFADIKIMEELEIGDDIKQMLEHMNMQSFFTMAYPTYEDESCQFLASLVATFHTTKHVRQGWGRIKFKVHGKVYNMTFKEIGQALGLKDLEESSIPILYDVPKEESMARMVWRVLAGKDRKQSRDKNASICHPSVRYLHRLIVHTILPRKEPGTVNDEELQLLHQTVQHYAHPSQLHHVGSDFYKNFGMVGFFVKRLIHYKEWAWTTSDPEPQVGIGGLITPLLKFKDIPLEDDPIGPAFIDEAYLKKAQYFSGRFDGTCVYSYIQSTKEVEVLLPNTDLTSLTRAGAISFDIGSEHFLGPHGPLAPMRSPKKKKTADKYGVFQEDASSQNAEFLYGPPRYHFDQRPGALPHGPLRQAHEHISNLQRWNKAQDRTIFKLKDRCKALSKTVKRQAEASAKFMKKVADILTRGAVAGCSSSDFDFLTPQPQPSVDPLALRLPATKKELLRRKRNPPAQPHAGSATRVESSTKSRGMEHAGSRTRTYDLKAWRRLDAGTTTRVMPILSYPQYRRVLFEESCGMEQRGEAYPHV >A05p031510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18429514:18431273:1 gene:A05p031510.1_BraROA transcript:A05p031510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEQPSKDSPEKNTGKDQIEAGKVKDLETSGDLLTSHIFATLSLLSNVVSTPTTADLTIRKPIVSGSSCQDLQSKEDDDEPILLPDQADEYLIKEYSVSLIGKLLNPKKQNVERLIVAMPEQWGMSEKITACELGNKHFLFNFDNEEDLNSVLNQGPFHHNFCMFVLICWEPIVDENYPSMVPFSIPLQGIPLHLCTHQNLEAIGDRLGSRQDRCCRGEDQASEVHQEAPNAKKEGINIKLHYEKLFKHCITCGLMTHEAQDCPMKQTNVMSHPTGHETFFDRVRHITSRGDLRDAGSRVPAGNSRGKDETARFPKDGTSSYSCVQRSAHSSRTSRVPKKRDSRYNPYSSGRNQASTGNHQDTCKEQLWKEKHLRPLKIADQQPRDATSASIGETNKLRSIVIVIKHLLPTSYPQQGLRRSNLLWILISH >A05p050890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29620220:29625613:-1 gene:A05p050890.1_BraROA transcript:A05p050890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALDEGRLNLELETGIKNACIDLAFEHQPETLAIQDAVKLLLQGLHEDVNREGIKKTPFRVAKALREGTRGYKLKVKEYVQSALFPEAGLEDGIGQAGGVGGLVVVRDLDHYSYCESCLLPFHVRCHIGYVPSEQRVLGLSKFSRVADVFAKRLQEPQGLADDICSALHHWVKPSGVAVVLQCSHIHFPCLDVKSPSDNGFVKLMVSSGSGVFDDEGSSLWGEFLSFLKLKGVKTEALCGSVKKEWCPSVKTSSEEEDPEMVSAVVSILKSLGEDPSREGLIATPSRFLKWMMNFQNVNLEMKLNGVNGVKANEKKKLHCELNLPFWSMCEHHLLPFYGVVHIGYYCGEGYNHKSLMKSIVHFYGFKLQVQERMTRQIAETLSPLVGGDVIVVAEAGHTCMISRGIEKFGSSTATIAVLGQFSNDGSARADNGYVKQWCPSIKSSSEQDPEMVSAVVSILKSLGEDLSREGLMLGSPDVAVECREAAGVGLHTESSTKLNGPGEGLVTKPAKFLKWMMNFENANLEMKLNCANAVKANREVKEKKLHCELNIPFWSMCEHHLLPFYGIVHIVYYCADGYNHKTLMKSIVHFYGFKLQVQERMTRQSNS >A02p025330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12290003:12291986:-1 gene:A02p025330.1_BraROA transcript:A02p025330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNPDYRVENQSEMAEEAANVDAKPSKPKDIRHYLCQYCGISRSKKYLITSHINSHHKMEVEMERDEEACEVDEEEVSGKHTCQECGAEFKKPAHLKQHMQSHSLERPFECYVDDCTSSYRRKDHLNRHLLTHKGKLFKCPVENCKSEFSVHGNISRHVKKFHSKDDGNKDDTGNGDSNKEDTGHGETQSSESSSGQKKLVCKENGCGKAFKYPSQLQKHQDSHVKLDSIEAFCSEPGCMKYFTNEECLKAHIRSYHQHINCEICGSKHLKKNIKRHLRTHEEDSSSPGEFKCEVEGCSSTFSKASNLRKHLKAVHEDIRPFVCGFSGCAKRFAYKHVRNNHEKSGSHIYTCGDFVEADEDFTSRPRGGVKRKHVTAEMLIRKRVMPPQFDSQEHETC >A07p022810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13287834:13291536:-1 gene:A07p022810.1_BraROA transcript:A07p022810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRSTENTPASEMASDGKTEKDGSGDSPTSVLSDEENCEEKTAAVAVEEDILLAKNGDSSLISEAMAQEEEQLLKIREDEEIAKRAAGSGEAPDLNDTQFTKLDELLTQTQLYSEFLLEKMEDITKNGIEGETQKAEPEPEPEKKGRGRKRKAATQGDSMKAKKAVAAMISRSKEGRESAESDLTEEERVMKEQGELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLSHLKGNGLDGPYLVIAPLSTLSNWMNEISRFTPSINAIIYHGDKKERDELRKKHMPRTVGPKFPIVITSYEVAMNDAKKNLRHYPWKYVVIDEGHRLKNHKCKLLRELRYLNMENKLLLTGTPLQNNLSELWSLLNFILPDIFASHDEFESWFDFSGKNNNEATKEEGEEKRRAQVVAKLHNILRPFILRRMKCDVELSLPRKKEIIIYATMTDHQKKFQEHLVNRTLETHIRDDTIRGHGLKGKLNNLAIQLRKNCNHPDLLVGQLDGSYLYPPLEDIVGQCGKFRLLERLLVRLFAKNHRVLIFSQWTKILDIMDYYFSEKGFEVCRIDGSVKLEERRRQIQEFNDEKSNCRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSIEGRVLKRAYSKLKLEHVVIGKGQFHQERAKSSTPLEEDDILALLKDDENAEDKLIQTDISEEDLDRVLDRSDLMITLPGETQAQEAFPVKGPGWEVVSSSAGGMLSSLNS >A08p007520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4430804:4435852:-1 gene:A08p007520.1_BraROA transcript:A08p007520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERASIGSLSETMNFEHGSTSSNPVAEQQILWDNDLQNYMSSAAAVDTNTTMSNAVYHEPRDLHRFNLGEGSSSGTKNEAPSSHSEQWMQMGRFEERRNDKLELNPLFMQQPSSTGNRVVRDVNLNAEYIERAEDMNPVTGQGNVAENSARAGCKRKAVDAGIGQSSSSVGAFHRGESSSSWVSGPSFYNHNNDLNISLNHAPRALVPNLSPSPSPAISSRNFSFGANPTAQQPVFVRQPVPPSMSAPGHVQPVVDQQLMDMRYRHPFSNVTPLNPNASAPSMPPRNMIPPFQWSGNPVAAAGSSAPVDRNALRPGQSRLRSNMLANPLFVPAPPEPRNLAHGHVASASVQPPASSPTWAPYQNQSPHNQRRLSEHRRRSLISSLLTNQRAAAAAAARSMVPPPAPDHHGVHPGGDNNFQTLNQAYSRAVPRQGQTAVGVPHSLRGLASTSRGRSRPSASEIRNVLDHMRRTGNLRVEELLSLEERIGDVCTGLNEETISNRLKQRKYNSGTKSTQEVEPCCVCQADFHHCGQRFAFFTAELPALGSGDSTFPLVMSLALVRQTIFFSVGVVWIFSGSLSDLACDWNLYSTAKTSFLSAFTPLRESPVDPLDGFLFRFVPVKRRLAPGHTAASSHRSTIIIPSSVNCRSLASLLFLSFNNLPLLRRKSVKTVSYSSVDVQLRHLSQFRRISLSSS >A01p053270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30223680:30227971:-1 gene:A01p053270.1_BraROA transcript:A01p053270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLNTPQRSINMAPTIKTIAEYKSHQYYFVDFFGDNLFVTQTETPSVIRRWIRYVVYRHRRSRSRHPLVVGVGVQWTPPWYFSAPKGYYRPADTLQLCVGTLCLIIQLSYCKRVPTILRRFLTNPETTFVGIRNSQDVERLVRTKQQLEIGELLDVRNYFTLTDWHGRSFRPRSFEEIVETCMGFRGVRLDKNISKSNWSVGYLSHDQLLQASVDAYVCFKLGVDSRLWERKTKVHMAPTIKTMGEYKSHQVYFIDFFGQNLDVTQTETPSIIRRWIRDILYRHRRSRCSHPLVVGVGVQWTPSWYFSPPAYDRAADTLQLCVGTSVLIVQLSYCQRVPNILRRFLTNPDTTFVGFWNSQDVRKLEITRHRLEIGELLDVRKYLADQQGRSLRGRSFEVIVEECMGLEGVKLDRKISKSDWSVDYLSKEQLVQVSVDAYVSFKLGVDARLWQKSDQYHSVDLFGEDLTVTQTKTPSVITHQTMNPRCSLPSPSLALESPSRRWSRRPVDTPPSWYFSSPPSHYRPADTLQLCVGTSCLIIQLSYCKRVPDIIPRFLTDPNTTFVGIRNSQGAKRLARTRHQLEIGEILDARMYVVDSQGRSLRGRSFEEIVEKCMGFRGVKLDRGISRSDWSVEYLSNDQILQVSVDAYVCFKLGVSARLWQVKF >A05p037080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21052880:21054941:1 gene:A05p037080.1_BraROA transcript:A05p037080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYFDSLQEKAQGDAHDIEFEGSRVSVKDSLVGAVEGSKGPWMDDTNDLNPCWPTLLSDEAVSSKGYVTFSLTNGPEYHISQITDAVMVAKHLGATLVLPDIRGSKPGDERNFEDIYDADKLIKSLKNVIKVVKQLPEEVSLRDMAIVKVPSRVTEDYIKENIDPIFKSKGNIRVATYFPSVNLRKSSQDGETDPVACLAMFGSLELQPELNAVVESMIERLRTHSRKSGGRFIAVDLRIDILEKKNCHSTGVVGSKTCYNAQEIAVFLRKLGFSGDTTIYLTQPRWDSSLNILKDIFPKTFTKEAIMPASKKSKYLESESSEYENVIDFYISSRSDVFVPAISGLFYANIVGKRIALGKPQVLVPAEISETSGLATDFISPYISKKNHLAYSCFC >A01p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1603447:1604646:1 gene:A01p003680.1_BraROA transcript:A01p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNQQAHPDCVYSANPFHECASACLERIAQGHVIKKTPKKQGSKILSFSGSFGRKKKETHSQPLSPLSAKPYQNGGGGFGNANTPKAHRSVPPPVAVKNKNVSDSDKSFSSSSSTDPDDFYKHKPEVKLSQIIPLSPKPGKQEHNVKTETTLFNLLSSPIQHERESSDDYNDDDGYNNEIGVELDLESVMSDTFVSVGKYRVRSGSSAILTAIIEKQGDIAQNCKLESDSMRSRYLECLCSLMQELRSTPVGQLTKVKVKEMLAVLKDLESVNIEVAWLRSVLEEFARFQEHAESEKERQEGLVKAKREELEAQEAGLVRLEEEVGKARLRIMETRAVMVEMERERSRMEKMGFKMEKFKGKTFLDELM >A09g510470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31751328:31756009:1 gene:A09g510470.1_BraROA transcript:A09g510470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKTRSSYQVKKGRENEWIWSDWVKTVFGSCGIWSNQIKEEPLKEVVIFEDEAVQEITRKSGIEAASEERSKLVKGSEDKRVIRDWKQGKDELYQLVGRLKEVWLELTARPEVIQERREQDVIFNFLVNEMCELVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQEKEGGADDCITRKEWRDQEQGRREPSNQAGEAGRATPLDHERGNGSESGEQEQNQQDSGHHNQEDGAQSSGDGQGESTGSGESVAQSTGSDESVAQSTGSEESGAHTTKRSMDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTYFESHFEIPFVSALSLHL >A05p019680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9224004:9226847:-1 gene:A05p019680.1_BraROA transcript:A05p019680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTKAFLAIFLVVLVCVSVEIIARDGANRLRSSVSEEAHGVDMAEKTKIRCRKELKDENKDCLVYISREAAAANGYVKLSVLTGYGHWFGPLLDSTPRRKLISKKKKFTVSAPNFALGPAPRLTPGPAPSTSPSQPSSHSPPDESNSAPVKRKPSVVAPSPSVVLSPAKKYDILMQLIIAVASTAVLTFFLVTLLFLCCFRRNRSPRDGPRDEGQFLHLVDLSPGSNETSPAAANPSRRFFSASSKKKSFLSRMSLKRSGHDQFSTAQASTSSGHPPPLKLPPGRTAAPPPPPPPAAPAPPPPQPPPPPKSKPPPPPKLVRPPPAPPKGAAGKRQGHHSSSGDASDVDSETGAPKTKLKPFFWDKMANPDQKMVWHEISAGSFQFNEEAMESLFGYNDGNKNKSGQRGESSRDSPVQYIQIIDPRKAQNLSILLRALNVTTEEVVEAIKEGNELPVELLQTLLKMAPTTEEELKLRLYSGDVNLLGPAERFLKILVDIPFAFKRIESLLFMISLQEEVSGIKESLSTLEVACKKLRNSRLFLKLLEAVLKTGNRMNVGTFRGDAQAFKLDTLLKLSDVKGTDGKTTLLNFVVLEIIRSEGVRALRLQSKSFSSVRTEEDTNNNNTDSSPQSVERYRSTGLQVVSGLTTELEDVKRAAVIDADGLAATLTNLSGSLTNAREFLKSMEEESDFEKALAGFIERADADIKWLKEEEERIMALVKSSADYFHGKSAKNEGLRLFAIVRDFLIMLEKVCRHVKETTTSATKTRTHSGKKETQVMMQEDSHQPSTDNIQQRLFPAIAERRDDSSDDSDDE >A01p030200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21280762:21284091:-1 gene:A01p030200.1_BraROA transcript:A01p030200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSNAKDILVNNSNKEMSEMQTKNQVEDSIIQESLLTCIDLANSDLHQSALLLKKACLDSGFFYVINHGISKEVTDKAFEQSKKFFALPLEEKMKVLKNEKHRGYTPLYDQIPDPENQVKGDHKEGYYIGSEVPRDDPQWDRPFYGLNTWPNPDVLPGWRETMEEYHQEALRVCKAIAKLLALSLDLDADYFDSPEMLGKPISTLRLLHYEGISDPSKGVYGTGAHSDYGMITLLATDGVMGLQICKDRNAKLQKWEYVPSIEGAIVVNLGDMMERWSNGLFRSTLHRVILNGQTRYSIPFFVEPNHDCIIECLPTCQSENNLPNYQMFGVSHPTLRRIAFEVQQLQKTNLSEGNKSSQNALRTVKNGSGQGLRKVNPLVKGLPGQLLTRNQFDLTDRLTLTDFELTNQTGLTGQRVDTPSHWRVMKAGGGEATVWRRSGGGGLAEETAAKAAEMIANGGEWPALTRRGETSGGSSGFVRTRIAVWSVATALSEEKNTMVAFNA >A01p056430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30726457:30733114:1 gene:A01p056430.1_BraROA transcript:A01p056430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPDKPQSNSNSMQRVKVYHLNEDGKWDDRGTGHVSIDYVERSEELSLCVIDEDDNETLLVHPINTEDIYRKQEDTIISWRDPERSTELALSFQETAGCSYVWDQICTMQRNLYVSSLNSEPFPSLNSELRELPAVELTTLPLILKIVTENGMSDQMRLTELILKDHDFFRNLMDVFKICEDLENVDGLHMIFNIVKGIILLNSSQILEKIFGDEMIMEIIGCLEYDPGVPHSQHHRNFLKEHVVFKEAIPIKDPLVLSKIHQTYRIGYLKDVVLARVLDDAIVANLNSVIHANNAIVVSLLKDDSTFIQELFARLRSPSTSMESKKNLVYFLHEFCSLSKSLQVVQQLRLFRDLMNEGIFHVIEEILQIPDKKLVLTGADILVLFLTQDPNLLRSYVVRTEGTPLLGLLVKGMMEDFGDKMHCQFLEIIRTLLDANALSGGAQRASIMDIFFEKHIPELVDVITASCPEKSGSISEVGAIRVRTKPEVLLSICELLCFCIMQDSSRTKCSFLQNNVAEKVLHLTRRKEKYLVVAAVRFVRTLLSVHDDLVQSYVVKNNVLKPIIDVFIANGNRYNLLNSAVLDLLEHIRKGNATLLLKYIVDTFWDQLAQFQCLASIQAFKIKYEQCLESAVPQNTAAAVDLRRRVDERALDKEEEDYFNEDSTGSDEEDSASASNTKKEKPASNTKKEEPKPHISNGVAASPASSSPRSGGLVDYEDDEDDEDYKPPPKKQPEVSEEEEGELLGLKRKSPFVEREQEPSKKPRLGKNPKRENVFAVLCSTLSHAVLASKKGQGGTTGSAARSILAKEPEDSRSSEENNSSSSDDENHKGDGVSSSEQRASDSGKKLNGEESLVVASESSPEMAVNGS >A09p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4933262:4935483:-1 gene:A09p009580.1_BraROA transcript:A09p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase of the MYST family 1 [Source:Projected from Arabidopsis thaliana (AT5G64610) UniProtKB/Swiss-Prot;Acc:Q9FLF7] MGSSANTETTNFTTASPSSNHNHPPTTVAASNPNHTPSELDSSKKRRTRMLPLEVGTRVSCLWRDGKYHPVKVIERRKNHSDGNNEYEYYVHYTEFNRRLDEWIRLDQLDLDSVECALDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGKYEIETWYFSPFPPEYNDCVKLFFCEFCLNFMKRKEQLQRHMVSNWHRKCDLKHPPGDEIYRSSTLSMFEVDGKKNKVYAQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDERGCHMVGYFSKEKHSEEAYNLACILTLPPYQRKGYGKFLIAFCEHSFHLNLLFSSLTSLYKKEGKVGTPERPLSDLGLVSYRGYWTRILLDILKKHKGNISIKELSDMTAIKAEDILSTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKMIWTPYKEQS >A07p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20174924:20177194:-1 gene:A07p037750.1_BraROA transcript:A07p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYDKDRFSGDDKMGNAEIDLRPFVEAHQMELDLQKLPNGCAIKRIRPGRTNCLAEESSITWSNGKIIQDMILRLRNMECGEIEIMLKLTTGPGFSGLGREVYDKDTFTSHDKMGDAQIIIKPFLEVHKLEVCKKFKVEQRSRESCPPEKLLV >A04g502510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6038570:6038905:-1 gene:A04g502510.1_BraROA transcript:A04g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAKQVETLTAKSKPPRGATRVRRGRRLDFGSPGNEDKDAPRESTEQNPDETAPAGQRTTSDNLPPPQWEAKETTSNESTWTSAIDRIILTTTLISILEGPEVGPLDRQ >A06p021610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10711515:10713216:1 gene:A06p021610.1_BraROA transcript:A06p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNTYRDLHFPALLVGGSFAAVAICLSLFLILQHLRYYTNPSEQKWIVPVLFMVPVYATESIISLSNPKLSLPCDILRNCYEAFALYSFGSYLVACLGGERRVVELLEDESREPLLEGEENKSKKKTKKTQKQRNPLWKFLCEPYVLGPELFVIEKFGLVQYMILKTLCAFLAFLLELLGVYGDGEFKWYYGYPYIEVVLNFSQMWALFCLVQFYNVTHERLKEIKPLAKFISFKAIVFATWWQGFGIALLCYYGVLPKEGRFQNALQDFLICIEMAIAAVAHIFVFPVEPYHYIPVSECGKITRTKTTEVKLDEGGGVVEKKETHVEASGTSIKESVQDIVMDGGQHVVKDVVLTINQAMGPVEKGVTKIQEKLLDSDGKEKEEAEVTVESSVEKKDQ >A08p020640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13922138:13923882:-1 gene:A08p020640.1_BraROA transcript:A08p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESQKNAHPELTEWYNSLADLYQKKLWHQLTLKLEHFIALSVFQAGDALIQLYNNFITDFETKINLLKLAHFAVVVSRQYPEKEAAVSYLQGVIEKLRATKESRISEPVSYVETQIALFKLEQGDQKECKKILDDVKTSLDSMTDIDPSVYANFFWVSSQFHKFRQEFSDFYKNALLYLAYTSVESLSESFKLDLAFDLSLSALLGENIYNFGELLAHPVLKSLLGTNVEWLYHILQAFNHGDLVQYQELCRVHNAALSAQPALVENEKKLLEKINILCLIEIIFSRPAEDRTIPLSVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVDGTVHVSWAQPRVLGIPQIKSLRDQLDSWVDKVHTTLLSVEAETPDLVAA >A08g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5618079:5619078:1 gene:A08g502720.1_BraROA transcript:A08g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFMGSSKKEPADSCTIRKSTREVLIDTLQATAIDSVNQKSIDNITTPSIHITCEKAEKVEGTAIPDDSTVAEEDDFELKPIYIALMEHRPFHGFPHAQAIDYINMNEELVLFIFNRVFEDHYFCKLFPYTLTRDATHWFMKLTPRSLTTWNDMRDAFLNKFLYDDAANLEIEMESLRRYVVEDNEQHVSRELSIVEEAGTEGTTSTSTDDFNVDRRTSTSTDGTISTSIDSTTSISTKITTPTSTDGTTSTSTDATTLTSIDSMNLETIDKTSAAINTDFCHRSIPLEIPERLSCPQDIADSTHKSTDVSSCSPSPDVNR >A06p052630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27822125:27826321:-1 gene:A06p052630.1_BraROA transcript:A06p052630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITVYDALTERKKTAKIINFSDSASSSSSLVNLSSTTFRDSIRSLLSDYAVTEDYTVHGNTVSCIFLYSVETGAVFPLFTVEERISEGDLSHNLLCDVCRCVGWGHHYVTKRKYHLIIPTVDKWKEPLTRETIKASNHLMHGVIHCNGFGHLLCINTDGAFRYFSGDQIMHLWDRLCSTLHTRKISLDDISRKGSMDLRLLHGVAYGRPWFGKWDYMFSHGSFGIRKEQYSRAICILSSMEVDKIKEDFSGTRKERLMKMIINFYRESSKTPLVTLSELLQFMLAFASKAPVERTTAMSLVTISSHHVSNQVLGDDGDTSDSSYTSSPDDHVELDDDENDSGADTDLADHDTTMVGMNPPKYNSFDAMARGEDARWSSKRLSNAAQAVLKVFNERNNSVITRQELREAVRGSIGDTGLIDFLLKHIDKVLIGDQIVQRFTNPESRMLQFSLRKITPPALEREAKKRRKTQETDEWRSTTPGLDPYEDILYLYQNLLLTYPDTEVYSDASEVILNCKGFVKEWPLPSHQEQDTLTVSCQVLPNHEEVLRDFTRKLPPGELVMVPQNATIRELKSAAEKALRDTYFVMENFEVLEIKKKDLEKIDETTGVNSDGNKIIMTEFLVTGFGLDTGTELRYEGGFDDWTVECRCGARDDDGERMVACDACKVWHHTKCHSIEDDEAVPPVFLCNRCFGDGVRSKKRSVANR >A08g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5122783:5123102:-1 gene:A08g502320.1_BraROA transcript:A08g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLRRKPATLVFIDDIKPGNNSYKLKVQVMKLWKLWRSKKVVSIEMILVDATGTRIHASIDEDLIQIYEGKVSEGVAFL >A01p004100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1772802:1773702:-1 gene:A01p004100.1_BraROA transcript:A01p004100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWTEARALKASLRGEAIKHQVIVSEELSRTSSAEDFSVECFLDFSEVQEEEEELVSISSSQEEQEQDCCNNSSQPCIFDQLPSLPDGDVEELEWVSRVVDDCSSQEVSLLFTQTLKTKPNFSSRVPVKPRTKRPRNSLTGDRVWPLVSTNQHAAGEQQWRKKKKQEPVIVFQRRCSHCGTNTTPQWRTGPVGPKTLCNACGVRFKSGRLCPEYRPADSPTFSNEIHSNLHRKVLELRKSKELVEEETGEAITKTDQVKFGSQSGREDLGKM >A08g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19419269:19421408:-1 gene:A08g509540.1_BraROA transcript:A08g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLNGLEPITKNTIVDFDTGEEARLTLEYEGIQYMCYICNRLTHFAKECPWNPVRATSPSRAPENRQTTESVSRQYSSLREPRYQPYPTHKRQERTLQEGDYHQRLDRHGRPFGERISQSRGSARPLRNKIAPAPYEPTRRGAHGRSNSPITRDYASEAGRYGAKNPSDYRVSTKPSGPQQMWREKEKPPPPSREDDQSVDPITPAPEDQYQPFEHSSLGRNLAISDFPQVARIPTTEEVMQELVDVSIQYTNCADPVEREARRQRVLQSNAEGIMEKTAASIIAAAASTSINEPHILLAPANTSRIIPDEPEVAILPTSAPILLPGPGSSIPKRRGRPPKEKKQSPGAKVLYGASSRKRNLTMIHTSPARRLTVVPRQGYLQNLTMSQGYSGLEPHPVSQ >A03g508330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28765779:28766882:-1 gene:A03g508330.1_BraROA transcript:A03g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMEQFLALSVSSSPLIPPLPDDVTVDIIARVPISHYPTLNRVSKSFRKLIASCTLYKRRSQLGITQHRIYAVLRSRNPQTRDYFNFYILHRKLNCRNRLVLVEPLPLMSYLGKYVPVGSKVYVFNDLDALSIDCTSHKSQPISDIPQRMSYKVANVVDRKVYLIGGLFFPDESGSLKSAVTVFDTETQSWEPKLVKEDMPVGLGPFLYDSVVMEGKIYMKDYCKGNSFVYEPEERKWGLMDEVLNSKEWERACVVDDILYNHDVSENVLRAYDPKQSCWSVVNGLEKFLAVETARSRWSRTVNYGAEKLALFFHKNQDGKDVIFCAEIALERRQGGGIWGEMESCDVVIEDRLFYRIKFVSVTV >A06p011000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3999650:4001041:1 gene:A06p011000.1_BraROA transcript:A06p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPDLISDLPQSILENILTHLSIRDAIRTSLLSTKWRYKWSTLTDLAFDEKCVSPSTDRALVETNLVRFITGVLLLHQGPIHKFHLSTSFLQCRPDIDQWLLFLSRNGIKELVLELGEGEFRVPSCLFQCLKLTRLELCHCEFDPPKSFNGFSSLKSLTLHQILVSPEAIESLISGCPLLEFLSLSYFDSLVLSISAPNLMYLYLDGEFKDIFLENTPKLVAISVSMYMHEDVADFEQSSDYNLVKFLGGVPLLEKLVGYIYFTKYLSVGDDPGRLPITYIHLKTIELYQVSFEDANEASPIQLFPLEEESFDLFERDYFEYKLPRLETVRMTDVSGIRNELEFIRFLMGTSPVLETVIVTSSLSDKDARMEMVVELLRFPRVSPRAQLLFLQD >A05p054590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31251759:31254971:1 gene:A05p054590.1_BraROA transcript:A05p054590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAHLVSSLHSETALHSLTLVRFGEAVTNRGPEVSGTADNWVSPSDIPLIEPYSKEHKMREAQLGAHTVRSHGMTLARTHMHDWIILVLLVILECILLIIHPFYRFVSKDMMTDLSFPLKSNTVPIWTVPIYAMLLPLVIFIAIYFRRRDVYDLHHAVLGLLYSVLVTAVLTDSIKNAVGRPRPDFFWRCFPDGKAVYDSLGDVICHGDKSVIREGHKSFPSGHTSWSFAGLGFLSLYLSGKIQAFDGKGHVAKLCIVILPLLVAALVGISRVDDYWHHWQDVFAGGLLGLVVSTFCYLQFFPPPYHTEAWGPYAYFQVLEAARAQAQAAENGVAQGGNGEEEDGGFMGLHLVDNPSMRREDEDVEAGRVPRG >A06p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23983956:23987069:-1 gene:A06p044680.1_BraROA transcript:A06p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVGVPSLYQVPHLEFSKTTSKKRSTCLPLSLNKPFLSPFSLRRTRLIHSSSSSSLLIPSAVATPNSVLSEEAFKSLGLSDEFDNTDSPSDDDGEELAISKLGLPQRLGESLEKRGITHLFPIQRAVLVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTEQAGDYSAFRKPGRLAKFLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYTIQQNALTRGVDVVVGTPGRIIDLIEGRSLKLGEVEYLVLDEADQMLAVGFEEAVESILENLPQKRQSMLFSATMPTWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISATSTSKRTILSDLITVYAKGGKTIVFTQTKRDADEVSLALSSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMHSSSQKRTVRSLERDVGCRFEFISPPTVGDLLEASADHVVATLNGVHPESIKFFSATAQKLFEEKGTDALAAALAHLSGFSQPPSSRSLLSHEQGWVTLQLIRDPTNARGFLSARSVTGFLSDVYRPAADRVGKIFMIADDRVQGAVFDLPEDIAKELLENEVPEGNSLSLITKLPPLQDDGPSSDNYGRFSSRDRMPRGGGGGSRGSRFGGRGGSSSRGRGSWGGDDDRRGRSSGGGGSSWSRGGGSRGSSDDWLIGGGSDRRSSSSRAPSRERSFGGACFNCGSSGHRAADCPDKRGF >A10p037440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21130615:21132191:-1 gene:A10p037440.1_BraROA transcript:A10p037440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:Projected from Arabidopsis thaliana (AT5G06000) UniProtKB/TrEMBL;Acc:Q9FI86] MASMMQRTSNFQWGDIEEDDDDLDILLPQVIGPDENGVKKVIEYRLNDEGRRIKVTTTTRVQKRLLSQRAAERRSWPKFGDAAREEPGLYLTVRSTEDIHLERGSQAEEATTSGDSMPQAGGVLMVCRVCRMRGDHWTARCPQRDLLSLMETPLTTTEASTSTEVYVPPSMRAGADMRRRNDENSVRVTNLSEDTREQDLMELFHPFGAVTRAYVAVDKNTNMSRGFGFVNFVSREDAERAINTLNGYGYDNLILRVEWATPRPTA >A07p007460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:975336:977311:1 gene:A07p007460.1_BraROA transcript:A07p007460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triacylglycerol lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) UniProtKB/Swiss-Prot;Acc:Q71DJ5] MKWLLVAVLTAFTISSAVTQSHILVGSPVNSLCADLIHPAGYSCTEHTIQTKDGYILALQRVASPAQNLTLQYGPPVLLQHGLFMAGDVWFLDSPKESLGFILADHGFDVWVGNVRGTRYSYGHVTFSETDKEFWDWSWQDLAMYDLAEMVQYMYSLANSKIFLVGHSQGTIMSFAALTQPRVAEMVEAAALLCPISYLDHVTAPLVERMVFMHLDQMVVALGLHQINFRSETLVKLVDSLCEGHMDCTDFLSSITGKNCCFNDSRIEYYLDYEPHPSSVKNLRHLFQMIRKGSFAQYDYGFLKNILTYGTSKPPEFKLSLIPASLPMWMGYGGSDLLADVKDVERTLAELPSRPELLYLENYGHIDFVLSTSAKEDVYKHMIQFFRARKKSSSW >A04g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1966856:1967358:-1 gene:A04g500690.1_BraROA transcript:A04g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHMFPFHNRSEHFGKFLCQIFLHLAFNQMVLIFHLDMYVACSIKVYLYHLPIIFSVFKPFEHFLICRSRAEFGRLMGSLLGSFLKYNTIEDFQEVLRCKSI >A08p034820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20633111:20641481:1 gene:A08p034820.1_BraROA transcript:A08p034820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSELEENLFAASDAKLHRDMCKELSAVYCKVLSIFPSLEEARPRSKSGIQALCSLHIALEKAKNILQHCSECSKLYLAITGDAVLLKFEKTKSALIDSLRRVEDIVPSSIGSQILDIVGELEHTKFLIDPSEKEVGDSIISLLQQGKKFDNATDNAELEIFHQAATKLSITSSRSALAERRALKKLIDKARAEEDKRKESIVAYLLHLMRKYSKLFRSEAVDENDSQCSPPCFLPLVMKTVPMRLGVNYLSLKWLSDGHNSCPKTQQQLPHLSLTPNYCVKGLIASWCEQNGITVPTEPPQSLDLNYWRLALSDSESANSKSVDGIGPCTPKEAKLVPLEESSTIGPEQQQHKEEVNAPDVNVLEQYQDILAILDKEEDLGEKCKVVENVRLLLKDDEEARILMGANGFVEAFLRFLESAVDKNNAAAQETGAMALFNLAVNNNRNKELMLTSGVIPLLEKMITCPHSQGPATALYLNLSCLEKAKPVIGSSQAVPFFVKLLLQGETQCKLDALHALYNLSTHSPNIPTLLSCNIIKTLQVLASTGDHLWIEKSLAVLINLASSQEGKEEMISSEGMISTLATVLDAGDTVEQEQAVSCLVILCTGSEQCIQMVLQEGVIPSLVSISVNGSSRGRDKSQKLLMLFREQRQREQASPNKDEAPRKSLSAPLPMSVPAQASAPGSEVKPLFKSTSARRTMTRAFSFFWKKSYSIHR >A09p016520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8653987:8654343:-1 gene:A09p016520.1_BraROA transcript:A09p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMERWMQGEGEEGEIEARAKAKESFKLDGDDDDQDGGQGGTKVKIVLTRHELDMFLLQMNRNHEGNLMMTKDVMVELEKRIIKPTSSLSSSPSSMAWEPSLESIIECPEVQEMDR >A05p049030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28584776:28586903:-1 gene:A05p049030.1_BraROA transcript:A05p049030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSLLSSSPPLYKPRVSPTTLVSRTDFISFSPRTTLSSSTISPAMILSLPVKQNHRRNSLQVKSVASPTETVSEFDEMVSGTKRKYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPDSPLFALEINPEKAREEFRSASQMNGGTEVKDFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKINSATSAIKSVFGKEDNQPDAAEKLERLRERMVKVRELFRDTESTEFVIVTIPTVMAVSESSRLSASLKKESVPVKRLVVNQILPPSSSDCKFCSIKRKDQMRALDMIREDSELSGLTLMEAPLVDLEIRGVPALRFLGDIIWK >A02g513120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36001838:36002417:1 gene:A02g513120.1_BraROA transcript:A02g513120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEYTEEFPRQFRGNPKFGFLGISSEYTDGIPRKIHFVGIFRRNTEENKSRWRAVGEILKIPRKFRGQKGFPRNFLGIDLEIPTTIEFSSEFPRKIPRKFRGTWGFKPKTTFYGLNNMYITFIKCLNQIMKSNFGVLPNNKHFYDCMNENHTT >A05g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14501959:14509712:-1 gene:A05g505250.1_BraROA transcript:A05g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDFDKDEEGETEDTLAEEEAVLAIPTGPTTRAMTRRLKEAVGNILKISKKQEDCLVFRV >A05g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21806402:21807860:1 gene:A05g507690.1_BraROA transcript:A05g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSAMRMVEGDHVKSWQASSRDHPTGIFGSHDMAVEDLRFLMERNRLDSSGSDHTGKIPSRSGSAPPSMEGSFAALRNLLKHQEGSFSRSIENYGSEEEIRSDPGYVAYYLSNINLNPRLPPPLISRENQHLLRHLGGEGNNLSPTASWDDMGVRSSLLASRTALSTHREEPEDEASSGEQLTFASLPGRRKSFADIIQRPHSAGNHPTAEDIHAISSGIASGSTRRVPESDISVVNLLRETDSLSMEAIASEDPFTSELSSQSSTNTQNERSNARVGSREDNNLSAFGVSGPSSAASRMRGNQEEPRRMPVQYTPSSYQVQATSPQQMTYPRMGGSGTHDMMQNLPRIATGEVHSSFQSPHGLTSPPMYTSTAAYMTSLSPFYNHNFQSSGMYLPQYGYSGYPPASGVVPQYMSGYPSHEATTTPSLVDPFHLLHRTLLSCYSTKMKVNDFSNPLF >A09p081880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59480321:59481259:-1 gene:A09p081880.1_BraROA transcript:A09p081880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLMLSSKLAEVLIYSGKTVRSFPLCRAFVSASPRPLQGKEEVEQCKNVKEAADAVKDGAKQVKETTEYIQDVASTASGRVSKMTKDVTEKVTETTDSVTEKAKGSVSGVVATVKNATDIINNKTGGD >A08p010060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7720308:7721284:1 gene:A08p010060.1_BraROA transcript:A08p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVYELDDVSLTQNNKTKRYHHESSTTCFIFLFLIEPATTDPDRQLTIKIKRINTNQTEINVSIRYLTTGNGHPTDDTADLMEHMLSYHDIYFPVSGEIIECASHKFASMIPSLTDNAGTHVRTVLSIRDFNPKATNMIDVDIALIDLRDSIREPIPEEADKVCTICQDKFVGTGVVNSLRCNHKYHHLCIVEW >A04g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7662556:7663483:1 gene:A04g503520.1_BraROA transcript:A04g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMKGGETATIKRMVKDVQSTVACSIHERLKHGVIHYTTSKSLRSQLPLSVFLNSVLTAFSLSFERCSVSLGFWTMIAVNAQFPGPLFNAMTIYNVVVRV >A01p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21787494:21788045:1 gene:A01p040810.1_BraROA transcript:A01p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKIQYKTECGWLYAVADANHGITNKCACGQSTVVETGEQGRRYYLWKVFEVRMMCALENEVNDLKKDARDEVKTRLKLQLKIQQMSEENEELKKLVVMFYQYYTFK >A02p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14357027:14359839:-1 gene:A02p028400.1_BraROA transcript:A02p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi-copper oxidase type I family protein [Source:Projected from Arabidopsis thaliana (AT1G75790) UniProtKB/TrEMBL;Acc:Q1PFD0] MRYVVVGVLLLISLVVLELSNAMAPSVSYEWVVSYSERSILGANKQVIVINDMFPGPILKATAGDVVNVNIFNHLTEPFLMTWNGLQMRKNSWQDGVRGTNCPILPGTNWTYRFQIKDQIGSYFYFPTLLFQKAAGGYGSIRVYSPELVPVPFPRPDGEFDILIGDWFYTDYRGMRASLDNGLSLATPDGILFNGHGPEEAFFAFQPGKTYRLRISNVGLKTSLNFRIQDHDMLLVETEGSYVQKRTLSNLDIHVGQSYSVLVTAKTDPIGSHRSYYIFASTRFSNSYMTGLALIRYPNSPVDPVGPVPAAPESWDYASSVRQTLSIREDLAVGAARPNPQGSYHYGRVNVSRTIILQNDVMSSSNRLRYTVNGVSFVFPETPLKLADHFQLKNTIVPNMFPTYPSNKTPRFGTSVVDIRYRDFVHIVFENPLDESQSWHIDGYNFWVVGMGFGGWSESKRAGYNLVDAVSRSTIQVFPYSWVAILIAMDNQGMWNVRSQKAEQWYLGEELYFRVKGDGQEDPRNIPTRDESPIPENFLRCGRVL >A07p051130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27184860:27196800:-1 gene:A07p051130.1_BraROA transcript:A07p051130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSYKDFEDDDTPTRNPQLLRLASSVELDHEVSPVPSKKLASEIPTPQFVVVDSYERDYSPTFGQPASYLRARGARSELGEFVEYDLDNEDDDWLYEFDKDNKQLSPEMFESIIFKLEVLDHKTRERAGVITPTLGSPVPVHLQLDGAIEALQSLSINYGVFQAIFNYWKDKRKRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTILEALIKREEKKRDVMDGEVSLQRMQLQYRHETELLEDTLGLPGFPPATTSYKFGSSDEEFMDSDDYTSTHVRTRPSLISNSRFTNSNLNASQHGGIKQEVRRRHSHHNWLHKLDPNEPVMLFTKPLVPEKLAAAGIVPPAPDATSGGQQPQRRFQGRMGRGGRIIFDRWNPLMQSHINCGNSLYIAPNHRSTKNFFCKESSVIEDVDPSMASMLARRSLDTIRARHLVLSGQALQGSHLSRLQSRAISYGSKKDDEEEEQLAKEISKDWNTVFERSINTLFLTEMVRGLSLTLKYFFDPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLKSESLYPLRCAHLSSGQVSNQQQNGNSQMQNLVNGSGGAPTTTSNAGLGPSRVDNDLLRLRQSMRIKIFSILQQKQPSPADDASKAKYMDVARRLEEGLFKIANTKEDYLNQATLEPRLASLIKGRQLNNYNQRQANSSSVGTMIPTPGLQHSGGNPNMMMTSSVDTGMAGSNNITTTAMNTGSLLNSSAMLGGNMSNGYQHSSSNFGLGSGGNMASMSSQRNTGQMMMPTPGFVNNNTNNNNNNGQSYLSVEASNNSGGFSTAPMMAPQPQQQQQQQRQNIGGQNSRLLHNLGSQMGVGLRPGMQQIMSNVSNNSTNGGVGMNAKGVDPGTRNSQQVYDNLQRPGMQGEGYGTNNSDPFGSGNLYGAVTSVGTMTNTQTSSTTSFQSVPRTSSSLSHQQQQFQQQPNRLQQQPNQFHQQQQFLRQWQFKQQSQQQQRFISHDAFGQNHVASDMGTHVKHEPGIENPSESIHSQTPEQFQLSQFQNQYQNNADDRHAGSQILPTTSQSDMCTSAPQNSQQIQQMLHPQSMASDSINSFSNLSVGVKSESGLPGQWQSQSQEHTQMSNSMSNQLHIQNDFRQRMSGTDEAQPNNMSGGSIVQSHISTTSESPNPQNPVDTSCRYGNGNQDPRFRNQQKWLLFLRHARNCKAPEGKCPDRNCVTVQKLWKHMDSCDAPQCSYPRCRPTKTLINHHRSCKESNCPVCIPVKAFLQQQANARLARLRTENGAARSANEAGISNDAVQTSTGATSCAPPIADISKHLQPSLKRLKVEQPSQPVDIETESCKSSVVSITEAQSSQYAERMDHRQSDVRAPSRYSEVKAEVSEASVQARPGFKETKIGIAENIPKQRPVIEAVKQDLSDVSPRQEKCKIEKEAEPPKKEIMAESVKPLPKSGKAEIKGVSLTELFTPEQVREHIRGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTVGAGDTRHYFCIPCYNESRGDTIVAEGTPIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPYCYIAEVEQSKRKPLPQSAVLGAKDLPRTILSDHIEQRLFKKLKQERTERARAQGKSFDEVPTAESLVIRVVSSVDKKLEVKPRFLDIFREDSYPTEFAYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECAFPNQRRVYLSYLDSVKYFRPEVRSYNGEALRTFVYHEILIGYLEYCKLRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREYLAMLKKASKEGIVAETINLYDHFFMQTGECRAKVTAARLPYFDGDYWPGAAEDLIFQMSQEEDGRKGNKKGMLKQKITKRALKASGQTDLSGNASKDLLLMHKLGETIHPMKEDFIMVHLQPSCTHCCMLMVSGNRWRCSQCKHFQICDKCYEAEERREDRERHPVNFKDKHALYPVEITDIPADTRDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNACHLDIETGQGWRCEVCPDYDVCDSCYRRDGGVNHPHKLTNHPSLADQNAQNKEARQLRVLQLRKMLDLLVHASQCRSAQCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKESQCHVPRCSDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGGSNQIEVPFLKVTTYVANFTQQVDHYPFEEEEDQTVRD >A08g510180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22136738:22137007:1 gene:A08g510180.1_BraROA transcript:A08g510180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKMIKRKILGGELGQPKWTEKEPRVEGGRDSLVYRESHFRVLSLLDYLVWFGVCLFVWSLDIT >A03p072280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31952432:31953820:-1 gene:A03p072280.1_BraROA transcript:A03p072280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLAIRASRPSIICSLPGLDVGSQRLPLSDGFLRLPTSSYAADKPKLVAKSASLHPISAVNVSAQASLTADFPALSETNVKEERINGDKNKPENIVWHESSICRCDRQQLLQQKGCVIWITGLSGSGKSTVACALSKALFERGKLTYTLDGDNVRHGLNRDLTFKAEDRTENIRRIGEVAKLFADVGVICIASLISPYRRDRDECRSLLPEGDFVEVFMDVPLSVCESRDPKGLYKLARAGKIKGFTGIDDPYEAPLNCEVVLKHTGDDDSCSPRQMAEHIIFYLQNKGYLEG >A06g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18422595:18423481:-1 gene:A06g506610.1_BraROA transcript:A06g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQFCLMYFLLIYLFCRFLIKWYSSSTNLKEVQTTSWKLSGLHGSLLTKSPFHNRSEHFGKFLIRWYSSSTNLKVFQVWKTSGTTYLLVVWKSSGLPKSRLDF >A07p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10170151:10174840:-1 gene:A07p016530.1_BraROA transcript:A07p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSDSDGSHISATPPRDPFPPPPPKPPQPPPPRQVPPVSRKATSSSSSSSRSKPKAHTHPQPPDHSEAAPLPSSYPPPPSLFTDLPFRICEPSNRTQPARCSSSVSSFYRLPRASPASEDISKSECQSSSVSHVLPELPHVPVVRRKPPNLITDSITCQPVKAPVVFRSGGEGNFVKLNLNGKRGKKFPSKYKSASKSRSKFAYRGKRYKKSEANGEEEEGETWLDEESDLQRDNGFISSVEEAVLAVKAEACDENLTKLLSLVYGYESFRDGQLQAIKMVLSGSSTMLVLPTGAGKSLCYQIPAMILPGITLVVSPLVSLMIDQLKHLPSVIKGGLLSSSQRPEEATETLRKLKEGIIKVLFVSPERLLNVEFLSMFRMSLSVSLVVVDEAHCVSEWSHNFRPSYMRLKASMLYSALKADCILAMTATATTMTLQAVMSALEIPSTNLIQKSQLRENFELSVSLGGANRLKDLLILMESQPYKKIRSIIVYCKFQYETDMISKYLRDNNITAKGYHSGLPAKDRVRIQESFCSNKIRVVVATVAFGMGLDKGDVGAVIHFSVPGSLEEYVQEIGRAGRDGRLSYCHLFYDEDTYLKLRSLSHSDGVDEYAVGKFLTHVFSSDTKQHEKICSIVIESASHKFDMKEEVMQTILTHLELGEVQYLRMLPQVNVCCTLNFHKSSPNILAARNIIVAAILKKSHVKQGLYVFDIPTVASSTGVATTDVLAEIQTLKMKGEVTYETKDPAFCYTILESPKDTSSLSSHLTKWLAEVESCKVRKLDIMSSAAMAAINVSNTSETSSGAKQTLSLQSRILDYFNGDENCDVPSKTTQNCSFLLADIKVFLQSNRQAKFTPRAIARIMHGVGSPAFPNSIWSKTHFWGRYMSVDFRAIMEAAQTELMNFVDRNAALAS >A02p034360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:18650153:18650563:1 gene:A02p034360.1_BraROA transcript:A02p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGQFERTLIVAEERSFVEYIEGCTVPYDTNKLHAAVVELYCREAAKIKYSTVQTAYVGYEQGKGGIYNFLTEVMQVETGYAITWKYPSMVLESDDSVGEFYSVALTNNYQQADTGTTMIHKGKNTKSRIISKGI >A10p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1109624:1114035:1 gene:A10p002130.1_BraROA transcript:A10p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18g [Source:Projected from Arabidopsis thaliana (AT1G03380) UniProtKB/Swiss-Prot;Acc:Q8GUL1] MMKKGKGKNTSSGLLPSSFKIISSCLKTVSANATNVASSVRSAGASVAASISPAEDDKDQVTWAGFGILELSQHITRHVLLLGYQNGFQVFDVEDASNFNELVSKRGGPVSFLQMQPLPARSGDHEGFGNSHPLLLVVAGDDTTGTGSGQSFSHNGSLARDGRVDFSADATSYPTTVRFYSLRSHSYVYVLRFRSSVCMIRCSSRVVAVGLATQIYCFDALTLENKFSVLTYPVPQPVRQGTTRVNVGYGPMAVGPRWLAYASNSAMAMKTGRLSPQSFVSSPSLSPSRATGGSSVMARYAMESSKQLANGLLNLGDMGYKTLSKYYQEMLPDGSNSPASPNSVWKVGGGAGLDAENAGMVAVKDLVSGAVVSQFKAHTSPVSALCFDPSGTLLVTASVCGNNINVFQIMPSRSHSAPGDLSYECDTSHVHLFKLHRGITSAIVQDICFSRQSQWVAIISSKGTCHIFVLNPYGSDAGLLPLNCEGEQPARPSASTVPWWNTQTLAINQQSSPPPAVALSVVSRIKYSSFGWLNTVSNAATAATGKVFVPSGAVAAVFHKSVTHDLQQNSRTNSLEYILVYTPSGHVVQHELLPSVSTESPESGSRVHRASHAQVQEDELRVKVEPIQWWDVCRRSDWLETEERLPKSITEKQYHLDTGSSNLPIHEDACLSVDINGNFGEDKTSSEKAPERSHCYLSNFEVKVTSGILPVWQNSKISFHVMDSPKDNIDGEFEIESVPAHELEIKQKKLLPVFDHFHSTKATSEERFSMKCYHASALGSYQANGKICQDIINCHSKPGSVESAGSSEESSSKRMDNFHDSDHMNNSFKSSLNLYPTTNGFYKEIEKENTNGFVETLVTAELYTVEDTRMENHITNGFTTQPVHTDNSVNEQMLSPGKSSMNFGFALLEEHCKPVADPKEEHLKKSDEGTNGHHINVNNNTEKPQGDEMANSCQKSRRRSEVCKRVKIIITGLELIFVQLDPGKDHQTPWP >A09g504580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14005974:14006936:-1 gene:A09g504580.1_BraROA transcript:A09g504580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPELSSKDLKVADLFCPRTKEWNKELIQQILPHEAPDILCIKPSTTGASDTYSWTLTSNGDYSTKSEAQEEIPQPSVQQTTPKQQMTATILINTDAAWKEDTKTAGLAWIFYDNTGKQLQQGSSTEEWVNSPLTSEALAIREALSQA >A01p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26217169:26220171:-1 gene:A01p046560.1_BraROA transcript:A01p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase HAIKU2 [Source:Projected from Arabidopsis thaliana (AT3G19700) UniProtKB/Swiss-Prot;Acc:Q9LJM4] MFRQLFIVRLLFLLPLASSSSNHKRELKNLLKLKSTFQETEPNSVFATWTHRKPPCEFSGITCNSGGNVVEINLESQSLNNGYNNNTSDLPFDSICDLKFLEKLVLGNNALSGKILKNLSSCKRLRYLDLGINNFSGEFPAIDSLRLLELLSLNASGISGRFPWKSLKSLKRLSFLSVGDNRFDPHPFPGEILNLRGLSWLYMSNISITGEIPEGVKNLVLLQNLEISDNRISGEIPKGIVQLINLKRFEVYNNSLTGKLPLGFGNLTNLKYFDASNNSLEGDLSELRSLKNLVSLGLYENRLTGVIPREFGDFKSLAALSLYRNKLTGKLPEKLGSWTGFSYIDVSENFLEGQIPPDMCKKGAMTHLLMLQNRFTGQFPESYAECKTLIRIRVSNNSLSGVVPYRIWGLPNLQFLDLASNRFEGHFTDDIGNAKSLGSLDLSSNLFSGSIPSQISGADSLVLVNIRMNKFSGQVPDSLGKLKELSSLYLDKNNLSGDIPESLGLCGSLVVLNLAGNSLSGRIPGSLGSLRLLKSLNLSENRLTGLIPVALSTLKLSLLDLSNNELSGSVPDSLISGSFVGNSGLCSSKISYLHPCVGPRGYIGTTKHLSKLEICYITAAVLVLFLLFCYVIYKVKKDRLNRTARIKNNWLVSSFRLLNLNEMEIIDKIKPENLIGRGGHGDVYKVTLRNGETLAVKHILGTDTCNREFEAEVATLSNVKHINVVKLFCSITSEDSKLLVYEFMTNGSLWEQLHERRGDQEIGWRVRQAIALGAAKGLEYLHHGLDQPVIHRDVKSSNILLDEEWRRRIADFGLAKIIQSDSVQRDVSSSIVEGTLGYIAPEYAYTTKVNEKSDVYSFGVVLMELVTGKKPMEVEFGENRDIVSWVLSRSKEMEKEKMMELIDPVIEYEYKEDALKVLTIALLCTGKSPQVRPFMKSVVRMLETTGTSYDKSNGEASHGVSDSVEITEGL >A09g510320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30799406:30803492:-1 gene:A09g510320.1_BraROA transcript:A09g510320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYSTEKASSVQSSILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDAPRESPKNCRGAKEGSVQISRSRRVSFFMMKPRLCPSQDQSSPVQSRCPLGFGQVLFDQPAASRLEHFISTKGLRPYRPDYWCYGSDVKSKSGLGWWILKLCGIIGWPIMFLFDCWFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPASSVQSAILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVGVLLDTPPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSRRPLGFGQVLYDQPAASRLEHCELVPVIFKDSFIAGGWTIWITVLTLSPKSGLGTGLGLGLVVDLFLCRFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPV >A10p037650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21203642:21206025:1 gene:A10p037650.1_BraROA transcript:A10p037650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNYNLEDGLETVLEVPMPEELFSASKTKPGWNQMKSFWSKPTATATATNMTRLFGGRNAEIQLLLGVVGAPLIPLPVQPDHHNDYENPIHKDIKDQPLEMSMAQYIVKQYIAAVGGERALNAIESMYAMGKVRMTASEFCTGEGSLNSKMVKARSIRSGGGEVGGFVLWQKGIELWCLELVVSGCKISAGSDAKVAWRQTPWHPSHASRGPPRPLRRFLQGLDPKSTANLFARSSCMGEKKINDEDCFILKLEAEPSTLKARSSSNVEIIRHTVWGCFSQRTGLLIQLEDSHLLRIKAQDDNSIFWETTMESLIQDYRIIDGVQVAHAGKSSVSLFRFGENSDNHSRTRMEETWEIEEMDFNIKGLCMDCFLPPSDLKKDDDEEEEEEEEVEVKCGLAANNEKLPMKIRSASLRISSSKVLAIVEEEDESDVTEEMEET >A07p026480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15131808:15135750:1 gene:A07p026480.1_BraROA transcript:A07p026480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQETKTLKQYSNKQKILLVGEGDFSFSLSLGRAFGSASNITTSSLDSRVDVNRKYTYGKQNVEELERLGGTVIHGLGFGSEHESFYIMQHQALVRGFFESARKMLEDEDGEIHLTHKTTKPFSEWEIETLAQEKGLRLIGLMVFDQLAFPGYSNKKGSGSNCDSNFPIRSAMKNRRKRGVGDVVVSWRRFVRFVALFVSSFLVFSALFIFLGKFRPSIKAVHGGSVPSVMVGPVHEAVKFPDQTLIFIKYYQPSSRLLTKDDLFCVFAHTNDSSKVYKELPFAVETSYSGRQIVRCSAVPRHQSVSLAVKGWTVDNRFLVGATYQWDRLVYDAVIDHDNTTVAFVKGLNLRPGKVADVSRYECVYGWDLAKPKLLLRSQVISAAQEIVRCKTPLTVLTGPRVAQSPAVKVSVRIKGSGMLPSVAHPINHPVRVKDLTYGEGKQFETCVCTMTRNAANVLREWVMYHAGIGVSRWFIYDNNSDDDIVSEVENLKNCGYNVSRHFWPWIKTQEAGFANCAIRAKRDCEWVAFIDVDEFFYIPSGQTLTQVIKKYTTPLSSSASMIGEIRTSCHSFGPSGLKDPPRRGVMASYTCRMSQPERHKSIVRPETLNTTLINVVHHFQLKEGFTFVDVEKDAMIINHYKYQVWDIFKEKFKRRVATYVADWQDKENVGSKDRTPGLGTRPVEPSDWAERFCEVRDNGLKDWVLENFVDRKTQRLVWEEERNIMVGEVVAQMDLC >A01p037700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17673182:17675790:-1 gene:A01p037700.1_BraROA transcript:A01p037700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISN1A [Source:Projected from Arabidopsis thaliana (AT1G58080) UniProtKB/TrEMBL;Acc:A0A178WM39] MRICSALLLPFFFRATTMSMSILLPTNLQQCPSPSFSPSTPLLSPSLSTAFSFVGTSRRCLRLVTCCVSSVQSSVANGSAPAAVIVERDQIRLGLPSKGRMAADSVDLLKDCQLFVKQVNPRQYVAQIPQLPNTEVWFQRPKDIVRKLLSGDLDLGIVGLDTLCEYGQIPNYGIFENINSLKELADMPQWSEERPLRVATGFTYLGPKFMEENGIKHVTFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAALVASRRALTDRKGALKTVHEILERLEAHLKADGQFTVVANMRGNSAQEVAERVLSQPSLSGLQGPTISPVYCTQNGKVSIDYYAIVICVPKKALYESVKQLRAVGGSGVLVSPLTYIFDEDTPRWGQLMRNLNL >A05g507350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20797174:20797594:-1 gene:A05g507350.1_BraROA transcript:A05g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVFAGATTCGHNIDEYCMLHHQQRFYHTVVTGGPSFSNAKTKPEEEEVKFPWSRNPGHDNVVTPAQRETRKLEVVDEEEFDIPPLFVAKSRFGRRRSGREHTPVYA >A07p042390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22970767:22972311:1 gene:A07p042390.1_BraROA transcript:A07p042390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHGMEADMSGLVAHPKRSLAGKFFTSLVPPSLDRPESSLRQQWKLSGSLKVLPMEKDNIILFEFEKKRDKKEVVKGGPWNVDGTVLVLKKCSQDISMVDLDFSVACFKVKNLGEFCEHCGMINHRICGEAAKMRPLTRKFKTRVYGPWLRCDHKVLACNGLPVKFYYSQPKPFVDMGLTYEETVTYAQFMVVVQVDLVYKSEQEWGGKKLVRSLLVSGDNDCFRFSCFPTQVIKDVLAFEIDRISPLAKLTSEDKTSIVLGLVAGLMKQRKTWSKKRWIPCKVRVEKTVMVPPVDMEVMLEEAKDKKLHKTIDINIVKMVGFPLGKSDDVLRKTIRDAIRGVGQTQFPDSYFESWVRLVKRPKYYSRLILEKDCHKCVKVLGPDMDMFGTCCICQEGFFLGGYATITSCSHVFHSSCITDWINKSTKCPLCRVQLEVYTLMI >A03p005920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2472999:2479104:-1 gene:A03p005920.1_BraROA transcript:A03p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLVPREPSPPKSTTVIRRRPWKRSLIELNGRLESRYRHEVYTLLAHSYSEIGRFSHLYHLNERPCHTHISDILHGIDSSSTGGVAGLEFDNQGIYIVSVTTSGCLTVHDFDSLYCHSKLAPDCVEDESKHVVHLSFPPGREFNVARWNPTNQNEVACTSRKRDKVSIFDISYMSPKPTEELQTRQKLSIIGRKTSSGLSDVAINRNGDSRVFSPDTLGTVHVWDRRAGVSPCIELSTNRYDSLKSIQIHVDNQTIFGAGKEGIIHIWDLRGGTNSTAFQSRKDMSHLPLASLHLAPMLQKIASLKAQSEIVPKEIHSINVNPSAPHQLAFHLDDGWSGVLDIYKSEVTHVHCPPPAWLDGFNNSADLILRKPTWLPTSSIYVAGSMSEKGIHFLDFHPSSRSPCHVDYDEDSQRKEKRDKCNHSNKFVSLSESVTGCAAHPLNSMIVAGTQKSSLLLIAQRHCSSTTETTSSSSHPFLARSHSPPLFYSCFMASSETRMKKGRAKEAAIANGVKVRSLQAGFMQKSSPSSTHSIRIPSSSSASRPLPNLSAHDYPVFTPSYEDEPASTYYHKNLTLSEHWDESDVGLVEGDEDLDLRHTYHSDSYKTSASRNTSNPQQDSHHHHQSHVLSYALRSPPLHSCTSATSNCGSVSSCNEYKQRGFDTMSLNNSNLVVPLTDSHSVAVSSHPRNRGGRGMSWLFPKLKRNPNRTDISEEVFKDSGSDIEKLKRELMEANRSRDAALTQVSEMRTSLGEFSEKLQYLESYCDGLKKALREAVSRKKNSEMPVSEDVMVEGFLQIVSESRLSIKQFLKTLVTEIDEEDSNLISNINALLQPHNLSFTSKHSKTIQYHLEAIISQSVQQDFENCVFQKNGKPKLLDQEQERQANFSSFASLRNLSWSEVLKKGTKYYSEEFSSFCDEKMSFIITTLKWTRPWSEQMLQAFFVAAKCVWLLHLLAFSFKPALGILRVEENREFETSYMEDMGADRDRQRSLTSRGPAWVKVMVMPGFYVQDRVLRCKVLCRYKSLG >A07g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1455349:1456487:-1 gene:A07g500790.1_BraROA transcript:A07g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPFLNLVLVFVTVASIFSTFAEANRGFGWGWGGGSNYSSSSGSSPGSGWGWGSSRNGSGWIWGAGTNHSSGSSPWSGWGPNNGQNTGSGGSGSGWGPKNTNNSGSGSSGSGWGWGWGGHSKGYNATYNAPRKIIVGGDKEWTYGFNYSYWASKTAPFFLNDILVFKYNPPAPFTHSVYLFSNPLSYEKCDVKKGKMIASPKQGAGNGFELVLTKMKPYYISCGEHDGAHCSNGTMKFTVMPILARW >A08g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7324414:7324968:-1 gene:A08g504440.1_BraROA transcript:A08g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFQINDEPKKNHLHAVSRLKAVNEEEDQGKKSRKKLGCWLYVNHGKVNLGDDEHKSILCVDGYYFEAMRRMDQPAYINRC >A04p022190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13385065:13387066:-1 gene:A04p022190.1_BraROA transcript:A04p022190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional aspartate aminotransferase and glutamate/aspartate-prephenate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) UniProtKB/Swiss-Prot;Acc:Q9SIE1] MSSQTSAAIISSAFTAAPYPDSKKPIGSIRFQPLPLSPSYCKVSSRISAMAKPNDALPVDTSLSPRVKALKPSKTMVITDLASTLVQSGVPVIRLAAGEPDFDTPQIVAEAGMNAIRDGFTRYTLNAGITELREAICHKLKEENGLSYAPDQILVSNGAKQSLLQAVLAVCSPGDEVIIPAPYWVSYTEQARLADATPVIIPTKISDNFLLNPKDLESKLTEKSRLLILCSPSNPTGSVYPKSLLEEIARIVAKHPRLMVLSDEIYEHIIYAPATHTSFASLPNMYERTLTVNGFSKAFAMTGWRLGYLAGPKHIVAACSKLQGQVSSGASSIAQKAGVAALGLGKAGGETVAEMVKAYRERRDFLVKNFREMDGVKISEPQGAFYLFIDFSAYYGSEVEGFGLISDSSSLALYFLDKFQVAMVPGDAFGDDTCIRISYATSLDVLRAAVVKIRKALEPLRATVSV >A06p026540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13834237:13835485:1 gene:A06p026540.1_BraROA transcript:A06p026540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKRDSDIEMGESTSLAPVPTSSAEAPVCVAGHLSFREKLVCCQAEKELVQAGSEFPSSSARVIAPGHGTEVVVPQDVRILAGSGVPDAQALPARSSTTPILVEDKERAADSMPPPPVRKEIVLALRAPSAVPVAQPKGRKRKLAKSGDGWSETEAMLTTVKDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKAKSSEVRRLQRQIEDDAGLASRGIQEAMDALRSEFQARLVKISAFLGSLECIRSRDLVLATIEGGMAMVRSFRSEAPPTLEAEEARLSGCRGDMAAVDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGGDAAPGSTRRRVKRGHEF >A06p017960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8726452:8733881:-1 gene:A06p017960.1_BraROA transcript:A06p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLMNKSRTWNKSIQAERERETAMYSTVMLWLSDPDRAKMIRTALLFAALLVGTSVQAQQLLPPSRRDGFVYPPGRKIEPDTILIEAYFDPVCPDCGDAWEPLKLAVDHYGSRVALVLHLMPLPFHDNAFVVSRALHIVNTINANATFSFLEGIFKHQALFYNSQTQYMPRPAVVDKLVKLGTVTLGSSFHYPLKSGFRNSKSDLATRVSFKYSVSRGVSATPTFYVNGFGLPDAGSPIDFEGWKNTIDPLMDMYERVAKPKDETPIAENEIRITSTGRARNCITYAMALLQEKGSDEVIFKAMGRAINKSVNIVELIKRRIPGLHQHTSIGSTDITDTWEPKEEGLLPIETTRHVSLITITLSKKELNTSSVGYQPPIPIEMVKPLAEIDYEGRDGSPRGRARRGRGRGGRGRGGRGDGYVNVEYDDGGMEPERPSGRGRGGRGRGGGRGGRGRVGHSGPPPYYEAQQDGGDYGNNAPPPQYHEYDDGGVEPERASGRGRGGRGRGGRRGGRGRGGYNGSQPYYEAQQHGGDYGYNPASQQDYGHAAPPQGRGDGRGRGGRGRGGGRGGFNRSNGPPIQAAA >A08p034850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20648670:20649898:1 gene:A08p034850.1_BraROA transcript:A08p034850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQLTKSSYMDSLKILEADIEHANGLAAEIPMGKSGVRLQMKLVCSNLAPFFIFLLQWMDYSCLLPRYFDFFHILIYKVRADGRWNRSRYGRKATIREFYGVILPSLERLHINFSDSPGGDTLWYPNPKAITYDIEGNRFITNSVDSEREEECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGNIKRVNSEDLWVLTCDEDVVDPETVTKEDLFRFYLHINSLPKDYPEAVFLGYNEYLI >A06p036610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19845447:19848181:-1 gene:A06p036610.1_BraROA transcript:A06p036610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLNSLSPSAESISLCFFETSRFNQTPKLPGGCSLRKRNQGRGFGKGVVKCSVKVQQQQQQPPPAWPGRAVPEPPRQSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVALLADQVKRFKPALVAVRNESLVDELKEALAGLDYKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLANKHNVKILPADSEHSAIFQCIQGLPEGALRKIILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTMSWPDRVPCSEVTWPRLDLCKLGSLTFKKPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHRSELVTSPSLEEIVHYDLWAREYAANVQLSSGARPVPA >A01p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11384019:11385342:-1 gene:A01p023060.1_BraROA transcript:A01p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGGRKVMDEIRSFEKASLFDLGHPLLNRVADSFVKAAGVGALQAVSREAYFTVVDGTGFDSSSMGPPSEDTGSKKHRFPNLRGENSSKSLEALVKNTGKESLQWGLAAGLYSGITYGMKEARGGAHDWRNSAVAGALTGAAMAMTTSERTSHEQVVQSALTGAAISTAANLLSSVF >A09p042110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22115074:22116368:-1 gene:A09p042110.1_BraROA transcript:A09p042110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAETTRCHHPSPPRNDSAMAIMAVAALFHARFPLIARRTFPSSVSYCPRTLLSNEFSYRLQSIIRFSSSDKDSDTIVPEVQGPNFLKYSDEELMKQCKFETFRVSGPGGQHRNKRDSAVRLKHLPTGIVAQAVEDRSQHKNRASALNRLRTLLAIKGLNSDPFSHDFKLKVHNLLVSSVRNKVDIEAYSPPPELLQILPPNLSTGGLSRLILSHDGLRMAVNSMRAAKGMKPLK >A09p024220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13408185:13410876:-1 gene:A09p024220.1_BraROA transcript:A09p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 7 [Source:Projected from Arabidopsis thaliana (AT5G44100) UniProtKB/Swiss-Prot;Acc:Q9FFH8] MGEKMDLVIGGRFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGLPSLKWFGVEGDYSVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLLNRVEFMHTRGFLHRDIKPDNFLMGLGRRANQVYIIDFGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYDVISEKKVSTPIEVLCRNCPSEFVSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGSSSGSSSRTRQQTTTKPGLNTAGPSTSRQERHAGKETTRVSGAVEAFSRRHPTNTSTPRDHSRSRNSDDGPFTKHIHGESGRGSSSSRQGTSTSRKAVGGGSSSRPSSAGGPSGSRGSSRLVSSSGGGSGNGRPSSSQRVQPGYESKTLSFARATASRNAREDQLRSFELLSLRK >A08p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23165138:23166763:-1 gene:A08p040810.1_BraROA transcript:A08p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLIKQTRRRHPAARVKTGEVDSSTREKELPPRKSVSFKGGATNFYYVVLDIPIIIRCLINFLPYMVYIILRVLVDKKKASNWLEKQFSRQLSDQSYDSITDMDYAAAVAATAYAITTLKETSLESYHSGREDAFPIEEPRSLSRRFSGQLSFREPELNDNKLPTPKSPVRKSSSVKKTPTFSMDLKGDRTRQSEDSGETHERLKKPASVVSEPPAPMQLQPPVRTRSERRAPPPPPPPPHLTPSPLQLPPRETKRPSSGGTSREHDSTADAWEKAELAKIKARYEKLNRKIDLWEAKKRDKARRKLDKSEQSEQEQRKKRGLQRFREDMEYIEHIAAGARAQAEKQRQNEELKVKERAGIVRKTGKIPGKACSCF >A03p006210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2634918:2639001:-1 gene:A03p006210.1_BraROA transcript:A03p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVAGRRLFSVSQRSSTATSFVLSRDHPISNGGDSSSTHRSVPSADLSSFNSYHRSLIRGFSSQVITQGNEIGFASEVPATVEAVKTPNSKIVYDDHNHERYPPGDPSKRAFAYFVLSGGRFVYASVLRLLVLKLIVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDLGSLRDPQEDAVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKFGHYTLRAEMLRVAGRRLFSVSQRSTNATSFALSRDHTLSDGGDSSSSSSATRSVPSPALSRFGSYHRSLVRGFSSQVLTQGNEVGFGSEPATVEAVKTPNSKIVYDDHNHERYPPGDPSKRAFAYFVLSGGRFVYASVLRLLVLKLIVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDLGSLRDPQEDSVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIAITSYKT >A04g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17529829:17530639:-1 gene:A04g507240.1_BraROA transcript:A04g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDDLIKINREILDILENTIDLFDLTLASDSATREEATFSMIWEPDGEVVDRSFVKCHAIPGQFEEASHAASTKQEGVDGGVCVLLAGLRFETAD >A09p073640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56075691:56076255:-1 gene:A09p073640.1_BraROA transcript:A09p073640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRFTVAVFFVLVSVSSSNAAVAPPSGGGSGGGDARALPCIQKLKSCQPYLHSVIPPLPASCCLSMKEMVANDAPCLCSVFNNVDMLKSLNLTKDNALDIPKACDAEPDISLCKASPADGPTTNSTSSTPTSSAPAIHFAGLSFASTIVALATTFF >A08g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10322025:10325023:-1 gene:A08g506010.1_BraROA transcript:A08g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFPLSYTPVSVKPVTYSRRSKLVVFSSSSNGRDPSPSEEKSVPNGVKSIEKLQEEKRRAELSARIASGAFTVRKSSFPSTVKNGLSKLGVPSNVLDFMFDWTGANEDYLKVPEAKGSIQAVRNEAFFIPLYELFLTYGGIFRLTFGPKSFLIVSDPSIAKHILKDNAKAYSKGILAEILDFVMGKGLIPADGEIWRRRRRAIVPALHMKYVAAMISLFGEASDRLCQKLDTAASTGEEVEMESLFSRLTLDIIGKAVFNYDFDSLTNDTGVIEAVYTVLREAEDRSVSPIPVWDIPIWKDISPRQRKVATSLKLINDTLNDLIATCKRMVEEEELQFHEEYMNERDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTTNPKVVAKLQEEVDSVIGDRFPTLEDMKKLKYTTRVMNESLRLYPQPPVLIRRSLENDKLGPYPIKRGEDIFISVWNLHRSPLHWDDAEEFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCIGDMFASFENVVAIAMLIRRFNFQTAPGAPPVKMTTGATIHTTEGLKLTVTKRTPPLSVPILPMEAPRDEVSSALS >A04p029340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17553286:17554058:-1 gene:A04p029340.1_BraROA transcript:A04p029340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLIFNSWHWWTHTAGIQPWDYMREGNKLYKDMNRLVAFYKGLNTWARWINYNIDSSRTQVFFQGVSPVHYDGREWNEPLKSCKGQTQPFMGQRYPGGLPLGWVVVNKVLSRIKKPVRLLDLTTLSQYRKDAHPSLYNGIAKGLDCSHWCLPGLPDTWNLLLYASLTS >A09g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20050356:20057087:1 gene:A09g506780.1_BraROA transcript:A09g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEVAPRLLSARFLFYLRAFWSFHYAQIHKNSLEKFISWVLICYVLVLISYLFLYMINLKSNMGLRGIMEISDSILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPHFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSFAPQESSTDTLLKQILESQTRSEKQVGYELKNFHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERLVGATCRGRSAPIICSISILLKGLLSNHNASSELATQLLILRHFSPESLILDHPRSNPYAHEFSFPLVKK >A08p029310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18176366:18181056:1 gene:A08p029310.1_BraROA transcript:A08p029310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENPFRSILKTLEKPDGGEFGNYYSLPALNDPRIGECSLPSDDHKLPYSIRILLESAIRNCDEFQVKSKDVEKILDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNNERFAFLKWGSNAFDNMLVVPPGSGIVHQVNLEYLARVVFNTNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRDGMTATDLVLTVTQMLRKHGVVGKFVEFYGEGMRELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYSEPESKTVYSANLELNLEDVEPCISGPKRPHDRVPLKEMKADWHSCLDNRVGFKGFAVPKEAQSKAVEFNFNGTPAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLAKSGLQKYLNQLGFSIVGYGCTTCIGNSGDIHEAVASAIVDNDLVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGTGKDGKQIFFRDIWPSNKEVAEVVQASVLPDMFKATYEAITKGNSMWNELSVASGTLYEWDPKSTYIHEPPYFKGMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKHLKGEVGPKTVHIPSGEKLSVFDAAMKYKNEGRDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKTGEDAETLGLTGEELYTISLPSNVSEIKPGQDVTVVTNNGKSFTCTLRFDTEVELAYFNHGGILQYVIRNLIKQ >A01p008260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4008952:4015550:-1 gene:A01p008260.1_BraROA transcript:A01p008260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKDATPPQKLNPSKKTKKNNPQTQRVDAPVEVVENKPCDQKETASGIVSEEGPWKNLELILSLQNNELSDKEKMELAFSFVEAYAGEKRSDDEDEEECQAVTISRLVMYLSDWIQSLFFSKEQNFQVKAEICLDFRCWNILRFCLKQSSILHVSINSSRYLLKAIGFIAGDLLASIGDGQGFEAFSSVVDCVELLFSSKSGLFNDNFDLWFSAVEPVLNLTHRVLAENIKDAFVLRFSCLVLEPFSMFMVQPTKKNGFQDFVDKLVEPLLSVLGLLIAREDKGYGLETALLKLIQEILSLGLFHSSHIDGFLGLGGAERYLPESNVSKTVLKSYHRHFFTKFENMLVMKKEVELSCMGSLFSLFINRVMKQQRDSNQLATKASKQQGASTNDNESSAKSHHSSFIRWESRKSLFDFFLHLMEPILLKIDGHVESSSDVASLLSDFCCLIKSANSLLFHFAHERIYVKTEDASEGACFCFLKKIFTTIVSVASQLQHTYSEGSKMHVLLAKELITAIGYLLQIEYEVIENDLVTLWLTILSFTRFSSFSSENAEDDCSLTSLLIGLGCQLINLYSDLRQVSVAVFAMCKAVRLVIPSDGDNVEMVDTRELLLLERSAQPVEKLLSCQDLRLAIDRAVKAIPEGQASDFVKSLTTDVSETIDWIRVSCSSSAREQDGQVAAFLAGALSAIYSLILDSLTIKTGNSISVAESMNSLVILIRPCLTHLVSSGSDRIENFLSAVTGKGLDIVISEKNRETYRKSVRLFIIFFLRIYMSSRSLSRQLICLMPPKKSKEMAVIMGDSATARRGSDWVKKKSWNDEGYFSWICQPSASIVDIIKQISDVYLNDDSAEDCSLLVYILYGVALQRLVDLNRDIKSLEYVSQISDHQMHGTVLEHVSVLKSEGEELTCFLLGNNTIIPGFAEGGTFETMDNTDQCLTAVRLGVLSQHIDIWCPHAGKKNMKSFLSQLIGSFVMPNLVLENSVDKGNQNKQTRLEQSSLGVLCDSVLYEHEFVRRSLAPSFSHILKTTAEALFKDFTEEVDSPSDWSEVLVLLESSVAKLQSEAFFEGHVSQLDNRKYTACKNLLNLLCVMPKEYMNKKSLQIYASFLLDLERFIVFSMLRCLNKLYPDDMQNLFSLFITCRKTLKSIAMVSCDKVLRSTELPLSDIPLLTSWLFKSAQAVVTCQERVRSDFTRKSRDSLFALMDHTSYMFLTISRDQFSKALPLFDGQLISTEGSGQANLAFESVTEQAETLLDSLRSTFRDERTVFECKTLILNELVPIFSSLSGLLWGLASTVSHRDMQKSHKNAKLKWKSEEFSKLSGIIHVLSKFFEVFAQYLFLSADVQQEIGASLNWTGLLDGTEGSNGLVCGDIVESSSDVKKQIIESLLKGDSTEVVLALRHLLIASAAILNLNLQIKGITVSPTFIPVLTDISFDLLSVLAGTSELPLEFSFIWLDGAAKYLEELGSHLCLYKRMSNRDHLYSKSIELHLKVIGKCISLQRKEATLESHETGFGTNVIHSKKVESERSRSHQRLHWLEELKVRFRMSFKVLLHNSEESHLKSGLEAIQRALVGVCEVCPAIYSIQTGDRDGGRISETAAAGIDCLDLVLEHATGRNRLSEVKGRIQGLVSAVFGIMSHMQSPFIFCTDAVVGKQGPKSPDAGSVILMCVEVLMRIAGKQALFEMNPSHISQSIHMPGAIFRDYGNLLRKDDQQQDLQVDQKFSVSMYAACCRLIYTSVKHHPKKTERSIATLLESTSALLHCLETAGNKVGKGASFEVEEGITCACFLRRIYEELRQQKEVFGHHCFKFLSTYIWISCGYGPLKMGIKREVDEALRPGVYALVDSCSDQDRQYLHTVFGEGPCRNYLAALKQESDLNFKYEGKV >A09p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43133790:43136374:1 gene:A09p048380.1_BraROA transcript:A09p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSLFLSFLFITALISSVNGRVLNSLSADKSILVSDGSQEGSSYEILTLDPPNRLSKNACVHVYGFLPCADNVAGYVFQVFSFGCLLIIGENFLSEGRTKLFVIFEVGFYGGIVFPLLTMFTRIALILSSGLTGSREIANSMVDNNVGVTVAYTVFALTMQWGACVVFGLSGLGSDQSIRKGEVQRIYSDTTIPRRQLIMKIVAEAGVKADPKNKKAAGIMLLTLLPFVMVTLSEIFDSKSWKDRIVLITLIISGSATVVYFLYSYFDTADQQKSLDRARFELMSEVHKHLQNFSPQSFIKKGQLSKESLHRLFEKIDVNKDGKIQVSELKDLTVEFGMLGRMKCDIHELATTLLADFDSDSDGEIDEIEFEKGISRWLKQYKFSFDSTECQRDNRAEDRVLKMEQPKESLVAKLLSQRTMRATIEVIVGILIVLFLATPFMANIELLSKSAGVPSFYVVFVVIPLARNLRNALSAHFCRKKDKARVTSDTFSEIYKDVTMNNLLGISIILAIVYTRGLTWDYSTEVLIIVIVGLIIGVPAYVRSTYPFWICVLAFALYFFSLVLVYLHFNSRDKNGTLTSNI >A02p010680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4628079:4628911:-1 gene:A02p010680.1_BraROA transcript:A02p010680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIESYVFDNSGDSLYQSSSSESLSSPSSSSAASSSIGKNSDDEEDFHGGEGEENEVESTYKGPLDLMESLEEVLPVRKGISSFYNGKSKSFTNLAAEAALALTSSSSMKDLVAKPENPYSRRRRNLLCHQIWENNNKKTTPRGGIWKKHVMSSSRSALTLAMAVAAGEGSSSGGDSSQGSSPSPPRQRHHQTMMMMLPPLYPRSQGSVGNLKSSQSSMEFCALRSYSVADISRCFPASGLGSSDDS >A10g505290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13242158:13242747:-1 gene:A10g505290.1_BraROA transcript:A10g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLNPTHDASVPSSFGMVPLCWDCEIPCPTLSYLISTILSTLGLIGKPAWIYFWFLSQKASASFENVSPTTSRSFEIVITDLRQPGALIPIVGIAKSRVQLYLILLVRYCPLWA >A03g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1564313:1567321:-1 gene:A03g500540.1_BraROA transcript:A03g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCASNPGGGSKASRVKRWRSTGIIGLRDSKLKTFPDVVIDMERAVRTLDLTHNKISDVPGEISKLINMQRLLIADNLIERLPGNLGKLQSLKVLMLDGNRISCLPDELGQLIRLEQLSISRNMLIYLPDTIGSLRNLVLLNVSNNRLKSLPESLGSCASLEDVQANDNVVEELPESLCNLMQLKSLCLDDNQVKQIPDGLLRDCKSLQNLSLHNNPISMDEFQLMEGYEEFEERRKKKFDKQIDSNVMISSKGLDVGDQRFCHW >A04p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22641562:22642177:1 gene:A04p040070.1_BraROA transcript:A04p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGKARLTEGCSFRKK >A10g503650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9343888:9346981:-1 gene:A10g503650.1_BraROA transcript:A10g503650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLKGQFTLMPPSLTNLKNKFEINLSPSSFHRFFFSSLRRRRRRLSVVVSPSLSSSSLVVVVVVVSPSSTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A09p046720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40613393:40615160:1 gene:A09p046720.1_BraROA transcript:A09p046720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, root isozyme 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30510) UniProtKB/Swiss-Prot;Acc:Q9S9P8] MSHSAVSQAAAVSVSIGNERSLTRSVFKLCPVTFLEICLLDFLIASEFDLKPSFACVVSIFILQQNNSISFNTKPWSSSLALTQKTSTIRDAKRYSNTTICMSVQQASSSKVNVSPVELEDPTDPPLNLYKPKDSYTAKIVSVERVVGPKAPGETCHIVIDHDGNLPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDFFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCDSKPGDKIQITGPSGKVMLLPENDPNATHIMIATGTGVAPYRGYLRRLFMENVPNYKFGGLAWLFLGVANTDSLLYDDEFSKYLKDHPDHFRFDKALSREEKNKKGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKRVAEERGESWDAKLSQLRKNKQWHVEVY >A04p033690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19569420:19571704:1 gene:A04p033690.1_BraROA transcript:A04p033690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTMIAPVVVEENNRYQKGVKHLCDNGLTKVPTKYIWPEPDRPIFTKSDKLTKPKQNLKLPIIDFAELLGPNRSHVLRTIAEACETYGFFQVVNHGMEGDVSRNMIEVCKKFFELPYEERSKYMTSDMSAPVRYGTSFNQNKDNVFCWRDFLKLYAHPLPDYLPYWPSSPSDFRSLATTYATETREMFEMMVKAIMESLEIDGDDNEAAKELKEGSQMVVVNCYPPCPEPELTLGMPPHSDYGFLTLLLQDDVEGLQILYRDEWVTIDPIPGSFVINVGDHLEIFSNGRYKSVLHRVLVNSTKPRISVASLHSFPLTSVVKPSPKLIDEHNQPQYMDTDFATFLQYISTREPKWKNFLESRKIHHL >A05g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21780352:21781810:1 gene:A05g507650.1_BraROA transcript:A05g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQSAMRMVEGDHVKSWQASSRDHPTGIFGSHDMAVEDLRFLMERNRLDSSGSDHTGKIPSRSGSAPPSMEGSFAALRNLLKHQEGSFSRSIENYGSEEEIRSDPGYVAYYLSNINLNPRLPPPLISRENQHLLRHLGGEGNNLSPTASWDDMGVRSSLLASRTALSTHREEPEDEASSGEQLTFASLPGRRKSFADIIQRPHSAGNHPTAEDIHAISSGIASGSTRRVPESDISVVNLLRETDSLSMEAIASEDPFTSELSSQSSTNTQNERSNARVGSREDNNLSAFGVSGPSSAASRMRGNQEEPRRMPVQYTPSSYQVQATSPQQMTYPRMGGSGTHDMMQNLPRIATGEVHSSFQSPHGLTSPPMYTSTAAYMTSLSPFYNHNFQSSGMYLPQYGYSGYPPASGVVPQYMSGYPSHEATTTPSLVDPFHLLHRTLLSCYSTKMKVNDFSNPLF >A02p032360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16952443:16955419:-1 gene:A02p032360.1_BraROA transcript:A02p032360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDLLPSISSLLDRRISSHRSPLFDLLPTVLLSSPPTDLLPRIPYSQSTGYMGLLNSQQGSVCPENSPYETFPSLSQQFPQFNSQPTTPNVGGEEIRPEGVKAAKANRSAMGKGKSVADCTAVWELRKEDLERKEKLSKLAILDTLLARTGPLSEAEEVAKNKLLADYSQPSQSETFGGDGFESEYNEVEALIQEDQAQLEYENAQAFVYPPQPEVEFGIPQVCYCGNAPKIATSKDFHRYYTCGNADDGECHVWKWWDEAVMEEMRARDRHTLQLDEKVDALTLLSDYDTDQTLFRLENMVCELAKSRSTWSFESLVAAMVIVLVFIGLVVVFI >A09p057390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48455658:48457744:-1 gene:A09p057390.1_BraROA transcript:A09p057390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATRIVLSRIQALDPDNASKIMGLLLLQDHGEKEMIRLAFGPENLVHSVIVKAKKELGLMSCSRPLWSQEELMSPKNNTRGSSLNPASLPFYANGGGRSFKDMTNEFEFMDDVNLGSVHARSGSCGFDGLGYGESDLGFGGVPCSYFARGFCKNGSSCRFVHSDGGGELVGSPSRMELLRSNSVPPRLAHQFMTRSSFSPKGVNLQNSDAQRAAAAALMMGDEFQKLGRWRPERIDLSAMACPASRQIYLTFPADSRFREEDVSNYFSTFGPVQDVRIPYQQKRMFGFVTFLYPETVKSILAKGNPHFVCDSRVLVKPYKEKGKVPDKYRTNQTTELELSPTGLDSSPRDVLGGRGFYNNAQDVMWRSKFEEEILELQSRRLMNMQLLDVKNHFQLNSPSTHIHSPNPFSQTLVSPRPGAVKAGGEIGKGSSKEGSDDDTINLQEKLEECLPDSPFASSTNHLFLFADSVDNNGSDLWSPSSDNDDNSTPSTLSDSFNSFNCQMPRSPAFGMLPGRGGPACRVGI >A01p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19282244:19284167:1 gene:A01p026840.1_BraROA transcript:A01p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGLTDVAITDFVVNQRHGVKGLVEVISPKTLPSCYIQLPEKRVTTEKLIMEAPTTGKGALSAPVIPVIDVSDWKNPTVAEEICEAAATLGFFQIVNHGVSVDEQNELRAAGRGFFDLPTEEKKRYWEGSSVSETAWYMTSFNPYKEAKLEWRDYLKFEYLSDQVDFSATWPTVCRDEVVNHSNRMKPIGRKILEILMNNLNTRMEEQDLMGTLRMNVNYYPECPDPKLTVGTGHHCDINTLTLLLQDDILGSLYARYGDKWLHIPPVMGAIVVNIGDMLQILSNDRYKSVEHLVMTSRFLSRISFAYYCGPSYDSVIEPLREVLENGEKPLYKATMYKDYMKYYFARPHTGSKTIESIKLP >SC206g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:97313:100508:-1 gene:SC206g500050.1_BraROA transcript:SC206g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGARLIYPALGQAVKPYACAQEGGTSDGKSLVAYMGAPNSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVE >A08p016020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10434316:10438821:1 gene:A08p016020.1_BraROA transcript:A08p016020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRWPIIQPTAKSRRRKTKTPSRSEGVSNHKEQSLATKMVQPRFVRPSPSSPSSGTGEPNSSNLYVANCGPAVGLSHDAIAAVFSAFGEVKGVYPADESGVRVIVSFADPFSAKSALEALSGRPCPDLDGRTLHIRYSVLQLPSQTQVNECVPVSLSDSDLNIPGLFLLPDFVTAEEEQQLLAAVDTQPWIGLAKRRVQHYGYEFCYGTRNVDTKNRLGELPPFVSPILQRISLFPNLDYDPASLNLDQLTVNEYPSGVGLSPHIDTHSAFEDCIFSLSLAGPCIMEFRRYSASTWKAASITNDDNEKQDSSTCIKKALYLPPRSMLLLSGEARYAWNHYIPHHKIDKVKDKVIRRSPRRVSFTLRKVRNHPCSCRASRKILSKRMTTAMDPKVRKFESVEGADLNSISKPDGIRFRLVSYNILAQEVDEYDSFYRKNMESLGYSGIYIQRTGQRKRDGCAIFYKPSCAELVTKERIEYNDLLDEQKIETSNEAKGDDKEAKEHSGKDSHDLNDPQVRLKRDCVGIMAAFRINKPFHHMVIVANTHLYWDPELADVKLAQAKYLLSRLAQFKTLISDEFECTPSLLLAGDFNSIPGDMVYSYLVSGYKKPAETIEEEEVAPIPMCSVYEVTRGEPKFTNCTPGFTNTLDYIFFSPSDFIKPVSILQLPEPESPDVVGFLPNDHHPSDHLPIGAEFEISRE >A05p028220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:65631:67944:-1 gene:A05p028220.1_BraROA transcript:A05p028220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGETHGHCQMAKENQHLTALQEVDLIAQLRKRKKAQGQRPQPGERRFGDAPEAVYVEPKPPDPSRINQTPTSQTHKHHVVNSQFNYNSFADKNKLFTFSGKRGYLIWERNLDEWFHFNNILKEERLAYAIEQLRDDAFEWWVQEEGDRWFYKEPTINTWRALKEVMRYEFAPKITSSEIQELYPRRYPTHGSKKARKDVPKEGHRSLIHQDQIRPNQKPTVFYDQNQPIEVSKTMEEKKFVSQDTLARHKEKPDKLIFQEKAKVSPILDKFVCKSSPTGMSHLSLSKNDKTGPEVKKDMISKSFLDLKGDVSGTKEHEFKGEDPPGATPVMNQKMEGLNHEANIYGFCTQEEVHSNWNWAKIFTEQDVMNFTTQRFLSRSICEYPTLEGDLSSSKERPEAKPIIGVKRSLSAFQKAQDQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHLNQSGDILGIQEEFYKFIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAFKKVPRKLTYPLKPSRFKKDQILYLEPKSHKRLQRLNVRTSCR >A01g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22273572:22273941:-1 gene:A01g507840.1_BraROA transcript:A01g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A10g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13577110:13579576:1 gene:A10g505420.1_BraROA transcript:A10g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQRSLGAVSSNRCENTLLPARFVLVAAVCEVLWQEAAVRGASVLWSGLRCYLRDFTSNFHFSTLVYLKQAKDMGKDRGPGTDHIVDVGTSSRAGTRTNPPQAGRLTPNPPAQVSRRKAPQQKENSPADRATLEAEIEEMIEEGLRAETEDEEEETTAPKPAKKKKRVPPTSKPTSAQLYERLYNDVKWNPMRFPDAEALHALGIYEDVRMILRNMGMESLLGMSYGVHKEASCEFLSTFFVQYHCDEHRTEGFGRISFKINERTYKVGFKKLSSILGFSDNPGEDKSNDISNPGVRYAHKVLTHTFYSRREPGGANDDELKLLALGLLLILEEGTLLHTDPEDFEDLGLVGLLMKRFEYYRDWAWTTPNEKPTLFIRSFITPILDAFGIDLGPRDHAPASIDLAYLKKTHFLSGQSGDRHGYPFWSTDLDPEQLQIFLPCERLTTLSDPRHVLFAPAAHELIPADFGVLETITKVRKKKTKASSSRAARPSDADDEGPTTPAPVYGTERYHFQPYGGVTLNVALRQDLSQNAKLLRWNKMQNSTIYKLKNSVKALKRQMKKVTALLSQVSIGSGCQRDDVLAGAGPSTLPYPVFYGPPRSPE >A03p011080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4437224:4438301:-1 gene:A03p011080.1_BraROA transcript:A03p011080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIYAVVARATVVLAEFSAVTGNSGAVVRRILEKLSPETADERLCFSQDGYIFHILRSDGLTFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHHAPAYAMNDEFSRVLHQQMEFFSSNPSVDTLNRVRGEVSEIRSVMVENIEKIMERGDRIELLVDKTATMQDNSFHFRKQSKRLRRALWMKNAKLLVVLTCLIVLVLYIIIASFCGGITLPSCRS >A01p001630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:715775:721751:1 gene:A01p001630.1_BraROA transcript:A01p001630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRFR1 [Source:Projected from Arabidopsis thaliana (AT4G37460) UniProtKB/TrEMBL;Acc:A0A178V335] METAAASERVELAKHCSSRNWSKAIRVLDSLLAKQCSILDIWLEALLVLEQGYNIALQQTSDVKQLLELDELLNAARPEIDVTLNHLAAETPVSSCATQKIDNCQVPTSMAVSVSGACSNGNTHELGEKSMDASKLSGGASELRNGLAYKEKENVKSGSQINGKPSSNGSDLSETSDRLGDLSVIGNKLSSKSGSVSKQSLTAEARSGGSNETKINNNKCTIARISETHSISVDFRLSRGIAQVNEGNYMKAISIFDKVLKEEPTYPEALIGRGTAYAFQRDLENAIDDFTKAIQSNPAAGEAWKRRGQARAALGEFAEAVEDLTRALELEPKSPDILHERGIINFRSKDFTAAVKDLSICLKQEKDNKSAYTYLGLAFASLGEYTKAEEAHLKSIQLDSNYLEAWLHLAQLDFCKANETSLYLMLFLGFSWQFYQELADHNKALECIDQVLQVDNRVWKAYHLRGLVFHGLGEHRKAIQELSIGLTIESSIECLYLRGSCHHAVGEYREAVKDYDATVDVELDAVEKFVLQCLAFYQKELALYTASKVSSEFFCFDIDGDIDPMFKEYWCKRLHPKDVCEKVYRQPPLRESLKKGKLKKQDLAITKPKANLLRFTDMIGKKIQYFCPGFLPNRRQHRMAGLAVLEIAQKVSKAWRIEWRNSNKGTAKNGKKNRRRERINMLSQNRGGAGCSTSTSSETSTGYASLEDRTTGRSMLSWQDVYSPAVRWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMVLGQAKVIRYFPNYERTLNLAKTIIKEKLCVRSKADKVIDLSKDEKIEEIMRAETCEELHKIVGEDFWVATWCHSTAFEGKRLEGTRITCIKKPGSLGYDFAIRTPCTPARWSDFDEEMTSAWEALCNAYCGENYGSTNLDALETVRDAILRMTYYWYNFMPLARGTAVTGFVVLLGLLLAANMEFTESIPKGLQIDWEAILSVEPDSFVGSVKSWLYPSLKINTSWKDHQEVSSAFSTTGSVVAALSTYND >A09p027150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15330974:15332033:1 gene:A09p027150.1_BraROA transcript:A09p027150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSNLLLCLSVFIFIITKFALAQTCSNYKFSSNTRFESCNDLHVLDSFIHYTYNSSSGNLQVAYRHTNLTSKKWVAWAVNPTSTGMVGAQAIVASPQPDGSVRAYTSPVSSYQTSLQEGDLSFNVSELSATYQNNEMTIFATLTLPLANGGNINTVWQDGSLSGTNLLPHPTSGNNIRSVSTLNLHSAASGGGGAGAGDSKLGLQVSSWAGNQPGFSLALTVLSVSLSSALQQFKPKPEHKYRVYWNIYHHIIGYTVIILAVVNVFKGLDILSPEKQWRNAYTAIVRTLGLVSCCHARSLYLVRRLSLETMVGLNRA >A09p004050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2382924:2386560:-1 gene:A09p004050.1_BraROA transcript:A09p004050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEYETQMIQEWREAYMDYRSLKAIVKQILRHHQKKQQQQRPPPPPSQQNGETAPSLQPHGGVNQVGEETGPTGLSRTISLYRAFSGLTNRSRGSPKKSHKQNNPLSSKRHHHYHLFDDDEDQVILINEDKTGTYTTTFLCSAEEGGDMDVQFFRRLDGEFNKVLRFYKQKVESVMEEADELSRQLNVLIALRVKVENPNIVFPNISAVSSASSSPHSTPRAPATSPLEVIKEVEQKEDKKDKKVYKPAPVEMLDHIKIKIEAETPLQTLKCMIMGLTSQQTFSKVELKGAEELMSRAFVEFYQKLSFLNQLAFSKILKKYDKTTSRNTSKPYLNTVDHSYLGSCDEVSRLMSRVEATFIKHFANGNHRDGMKSLRPKTKREKHRVTYCLGFLSGCAAALAISIAVVVHIRGIAKSEGRHQYMENIFPLYSLLGFVAVHLFMFAGNIYFWSRYRVNYPFIFGFEHGTDLGYREVLLVGAGLAVLTFGGVLSNLDMEMDPRTKSFSVITELVPLALLFCLLTVSFCPFDIIYRASRYFFIGSVIRCVLSPLYKVILPDFFLADQLTSQVQAFRSLLFYVCYYGWAGDFKKRTHECYESEIYKKLYLVVAIVPYWFRFAQCIRRLVEEKDKNQGLNALKYLSTILAVATRTIFEMQKGRYLLTVAVATSTIATLFNTYWDIFMDWGLMNPNSKNPWLRDKLLIPHKSTYFIVMVVNVVLRLAWMQTVLGIREAPFLHKRALVAVVAILEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFQEAGGNKSM >A08p008640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4755741:4756277:1 gene:A08p008640.1_BraROA transcript:A08p008640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPNPKKRKKNEEGNSNIRRQNTICSSENEFGSSSNSYQSRSKNKGKQPLTDTTNGTNMNSQELEKIQMQQHANCNSQSQNTTYCNENITPLTSSTFASSSKVVS >A02g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13822986:13830981:-1 gene:A02g504180.1_BraROA transcript:A02g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGIFPSYPYFLSQPPVVLIYHIFCFSLSYYAVLETRNQTLELETCDLILCKGRRSDLILMFVIASQSKRQFRCMTSRHTRRNAQGELATFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTLKVVPEKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPSYPYFLSQPSVALIYHIFCFSLSYYAVLETRNQTLELETCDLILCKGRRLHLSHHREEPLEPLFYFIYTCLHQVNHLVPDSRSDLILMFVIASQSKRQFSLAEERIQTSDESSKQVVTQRLNVRPARSLRSDRTQAKARSLRSDRTIVPLGRYVATERLSRSVATDRAIVPLGRYVATELSQARSQRSDRAQAKARSLRSDRALVPLGRYVATELKPKLGRYVATELKPKLGRYVATKLKPKLGRYVATELGQARSRPARSLCSDRAIVPLGRYEATGLEPEFGLCVAIEPFRTLIRYQSLHSLQTFECYLPKTVASSVHKPWKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGWLELGISPTALEPRLIPC >A04g507230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17496026:17496936:1 gene:A04g507230.1_BraROA transcript:A04g507230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTDEALHHLCHRFSGAYEKRDSEKNRKRTRQGFTSLTPQFQWRVSWEREEEAGGEVGKTMVSRSDLQCGEDDDIELSAKWSDKRWSDEIWITVKIPHVSSMLWLFTRFICLGRGRKKLDERGCVDVIGVDGSCMDVVIVDMRLGIKEEEAGREVGTTMVSRSEFWCGEDNTTSSSRNVDKGCVGVIGVDDSYVDVVVVVMRLGVKCEMVR >A03p026960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11236320:11238620:1 gene:A03p026960.1_BraROA transcript:A03p026960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGGSHFDAIKNTVRKKRSLIPRRPRTEGFGLFTPPHHFSSDDIPAFDTNPRRKEFTLSHCISKADSQTGNNDSLQREATDLGERKRMKLKIGGVSRLAHANGSPRKSSKPVNATTTNHLEESSADCNSPLDKKADLEKDESMTGRRKQGEPGGSVRRSKRALKKQVSDSDDDNDGELRFLETLKVKPPGVTIGGNSASEKASDDMDAEELDPVPDGIEIGNEIKRESTMTSRQRALASASGKSTPVEFQDGLPPTSRRKRENLSEMEQQVKKAEAAQRRKVQVEKAARESEAEAIRKILGQDSSRKKREDKIKKRLDEIAQEKAAHEERVSTSYIRTIMGPNGTTVSFPIDKVPSLFDPKPSGYPPPRENCAGPSCTNPYRYRDSKTKVPLCSLQCYKAVQQQQQQQTSEPATDPTPPPEQTSEPATHPTPPPV >A10p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22085938:22092248:-1 gene:A10p039980.1_BraROA transcript:A10p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRLGSKSEAFHREGQTWLCTTGLVSDVTIEVGDMKFHLHKFPLLSRSGLLERLIEESSNDDGPACVLTLDEIPGGGKTFELITKFCYGVKIELTALNVVALRCAAEYLDMTDSYGERNLVGTTETFLNEVFGNWTDSIKALQTCEEVTDHAEDLNIISRCVDSLASKACADPSLLGKKKNEDETHLWNGISMLQPNGEDWWFDDASFLILPLFKRLITAIKSRGMKPENIAMAVMYYTRKHIPLMNRDDEQATSPSEAEQKTTLEEIVELLPSKKGVNPTKFLLRLLQTAMVLHASQSSREDLETRIGNQLDQAALVDLLIPNVGYSETLYDVECVLRMIEQFVSSTEQAGIVPSPSITEGDLGKDGDDLLTPTTLVATLVDGYLAEVAPDVNLKLTKFEAIAAAIPDYARPLDDGAHAWITDSEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSEDLDNPELLHQSGGNGGLLKPRGENVREKVCELEKECMNMKEELQKLVRSKRSWKNFTRKLNFKKKSECFNPKDQAKPAI >A09p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13217372:13218021:-1 gene:A09p023890.1_BraROA transcript:A09p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFFLDSIWDEEDIFWDLIAGDVSGNADNTVSVLNRSAFRSYVRDREQTMVSSSSSVNVNKRMLNLLRKIWEEKKNALAPEKERCRQHMMKERTRRMKQKQSYLALHSLLPFATKNDKNSIVEKAVDQIGKLDEYKKELERRMNVLEAKSATDYDKIMTGTKI >A07p024740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14236097:14236643:-1 gene:A07p024740.1_BraROA transcript:A07p024740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILAQERLLGFTLGSALTGFIVLEQRKLIHESVADRKSQSVDQSQVREGIFGKKYRMEFASVWNKAVDQTFEPAIQYLSSRKW >A08p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4590971:4591583:-1 gene:A08p008920.1_BraROA transcript:A08p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQAFNAGQTKGQAREKAEQWTESAKQTAQSARDKTAEVAQSAHDKAIDVTHSAQNKSADKSHSTRESAQHGQEQTTGFLGQTGESVKNMAQGALDGVKNSLGMNEKK >A05p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15760745:15762188:1 gene:A05p030500.1_BraROA transcript:A05p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHNAFMSDAKGNISLAVRVPVSSQSLFSPSGGRCISIPRKKLVQKIEAVPTQTRIDSWIETMRASSPSRTRPGNISSLPELDEEDEYSSWMAQHPSALSKFDEIAKSSTGKQIVMFLDYDGTLSPIVENPDQAYMTDEMRDAVKGLARYFPTAIVTGRCRDKRLPIRPRDLENDSEGSAERRVSTKASTGAKTREVRTRKEYEDSSKQATTPMSEISTSSKNATTTGRPQNPRVDVMSEKREPSIHHSFAMVVVSRTK >A04g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8868877:8869299:1 gene:A04g504270.1_BraROA transcript:A04g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTRGILKIRNPKSPFLLSPKTLRDRERERERERERERERERERERERERERERERESSIYTDGASSSHHKKFENSLSTEEEDLVPAMEDEVDGEEKKFSSFYRLLVIKMKQQEV >A09g515590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46015272:46016299:1 gene:A09g515590.1_BraROA transcript:A09g515590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPMCTCDVVIRSATEPEVNPKPYSTSQGANQDIRARKIPYLTNQEGLNHEANFYGFYTQEGVQANWNWAKIFTEKDVMNFTTQRFLSLSICEYPTLEGDLSSSKERPEVNPVIKFKSILSAFQKAKDQEKWTRKSEDMFNLPEPVKPVLHSPQLEANRFNQLQTRNWRPGDHFNQSGGIPEVLSCTRTQEISRFNGESLKSNRSYLWKDWTIFRFDPFQAISIQPGEPDDVQTKPRHPGDIIQEPEEFYNFIPCTSPHRNKKIPIITKLPYLESLAFKLQQLFFYQGKDEISIYQEFKKVPRKLSYPLKPSRFKKNQFA >A06p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2200923:2201960:1 gene:A06p006540.1_BraROA transcript:A06p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSQTLGHNNNNNNDNKYQICEEIGRGRFGTVTRVYAPATGDFYACKTIEKSSLTDDLDRACIDNEPKLMALLSFHPNIVQIHDLVDTDSTLSIYMELVDPSVSIYDRLVSSGTFSESQTASFAKQILQGLAHCHRYGVVHRDIKPENILVDLRNDTVKICDFGSGVWLGEGETTEGVVGTPYYVAPEVLMGYSYGEKVDLWSVGVVLYTMLAGSPPFYGETAEEIFEAVLRGNLRFPPKVFRGVSSMAKDFLRKMICKDASRRFSAEQALRHPWIQRAGEAEERFI >A06p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19449313:19451596:1 gene:A06p035830.1_BraROA transcript:A06p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQFESICSTLLQELEIIWDEVGETETEREKILIEIEDECRVVYCRKIEKVKEERNRLRQDIVDSKSRVIAICSVMEEPSSLGRQHQSDQSGRRSLKEELVKILQKLEDMEKRKSERKDQFIQVIEDIKCIRDEINEESVDTCSSDFSIDESDLSLRKLEELHSELYTLQEEKRNRMKHIQDHLRTLESLCSVLGLKYGETVTKIHPSLVESEGSRSISNTTLDKLASSVNQWHETKIQRMQELQDLVTTMLEFWNLMDTPAEEQQKFINVSCNIAATVSEITKPNSLSTDLLEEVKDELSRLEELKWSKMKELVLKKRSELEEICRRTHIVLEEQDISVENVIKAIESGDVNPENILEQIEYQAGKVKEEALSRKEILEKAEKWLNACEEENWLEEYNQDENRYNAGKGSHLILKRAEKARALVNKLPAMVEALVSKITIWESEKEAEFLFDGNRLLWMLEEYTELREEKEQERRRKRDLKKLQGQVTSEQDKGTPTRPQSAKKGLKVSTNKRFASSPHTDSPRSAKSFTSQSRYG >A04p000940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:454995:455957:-1 gene:A04p000940.1_BraROA transcript:A04p000940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NGA2 [Source:Projected from Arabidopsis thaliana (AT3G61970) UniProtKB/TrEMBL;Acc:A0A384KUR3] MERKSNDLERSENIDSQNKKMNLEEERPVQEASSMEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDNNSSDNNKGLLLNFEDRIGILWSFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFHRGSCNKDKLFIDWKRRPKIPDHQVVGAMFPRFYSYPYPQIQASYERHNLYHRYQRDIGIGYYVRSMERYDPTAVIESVPVIMQRRAHVATMASSRGEKRLRLFGVDMECVRGGRGGGGSVNSTEEESSTSGGSISRGGVSMAGVGSPLQLRLVSSDGDDQSLVAMGAARVDEDHHLFTKKGKSSLSFDLDK >A05p053780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31081238:31084625:-1 gene:A05p053780.1_BraROA transcript:A05p053780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMKHIYTNTNTKCVGISLYIYTHLQYQESHFPVAFLSKSNKPKIKNTMNALAATNRNFKLASRLLGLDSKLEQSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVAKIPYGGAKGGIGCDPTELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSIAGQRFAIQGFGNVGSWTAKLINEKGGKIVAVSDVTGAIKNKDGINISGLLEHTEENIGVKGFDGADAIDADSVLVEDCDILIPAALGGVIHRENANEIKAKFIIEGANHPTDPEADETKWVQNIQGFMWDEEKVNKELKSYMTRGFKDLKEMCKTHSCDLRMGAFSLGVNRVAQATTGRRPSASSAPAPWPKSWRSLDALSYTPVTHSVCINGVLHFGAQSFVDPNKYKVVTFNLGSERFGLIDLPDELQINPVVDRLVKYRGTACLVKYDNCFDLPNGKRVHRLFVKEENEDKLSPILVQIPGWRNVAGNLAFDFTGTTEEDEELVFAVSSVGIDGYHYVIYFNSETELLRKIKIDGGSSGATL >A03p058060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25190704:25191583:1 gene:A03p058060.1_BraROA transcript:A03p058060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEFSAIWMIFLCMCYSLMISYNRGIVEAAETLKSVDDLDIEQELKIINRPAVKIIKSIYGERYGCVDFYKQPGFDHPSMRNHTFFHDKMRMSYPEGSTKHRREKLSKNHFGHLWENGVGCPVGTVPILRVTKTDFLRLKSLGGDNSNPRGSWNNTYEPMLSGNDHHVSVLPQSFLFYN >A02p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25729400:25731306:-1 gene:A02p040730.1_BraROA transcript:A02p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSLLYFSITVLIFLHGSTAQQFPNECQLDQLNALEPSHVLKAEAGRIEVWDHHAPQLRCSGVSFVRYIIESQGLYLPSFLNTAKLSFVAKGQGLMGRVVPGCAETFQDSSVFQPGSGSPFGEGQGQGQQGQGQGQGQGKGQQGQSQGQQGQGQGFRDMHQKVEHIRSGDTIATHPGVAQWFYNNGNQPLVIVAVMDLASHQNQLDRNPRNQQDNRGNIVRVQGPFGVIRPPLKSQRPQETEANGLEETICSARCTDNLDDPSNADVYKPQLGYISILNSYDLPILRVLRLSALRGSIRQNAMVLPQWNANANAVLYVTDGEAQIQVVNDNGDRVFDGQVSQGQLLSIPQGFSVVKRATSDQFRWIEFKTNANAQINTLAGRTSVMRGLPLEVIANGYQISLEEARRVKFNTIETTLTHSSGPASYGRPRKADA >A09p070080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54422486:54429922:-1 gene:A09p070080.1_BraROA transcript:A09p070080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl peptidase 4 [Source:Projected from Arabidopsis thaliana (AT2G23960) UniProtKB/Swiss-Prot;Acc:F4INN2] MVEQKKYLLFLGVPDSEFAKKTYGGYHNVFVSLLGDEGEQWDSYRVVDGEFPDEKDLEKYDGFVISGSSHDAFQDTDWILKLCDIIKKLDEMKKKVLGVCFGHQLIARVKGGKVGRARKGPELCLGNITILKDAVAPENYFGEEVPTSLRIIKCHQDEVLELPESAKLLAYSSMYEVEMYSIEDNFLCIQGHPEYNRDILIDILDRVLAGGHITEDFVKTSKATLENNEADRQFWQKICKNFLKATCDSTFVKKTYGGYFNVFVSTFGEEGEQWDLFRVIDGEFPEEKDLDKYDGFIISGSLHDAFGDDDWIIKLCSICQKLDDMKKKVLGICFGHQILNRIKGGKIGRARRGADMGLRTITIAKDSVKPGGYFGDKTPNSLAIIKCHQDEVWELPESATLLAYSDKYNQDLADKAKATMEEAEPDRKQWQTLCKNFLKGKTDQI >SC121g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000017.1:305046:306149:-1 gene:SC121g500020.1_BraROA transcript:SC121g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFSLVLFFMGSRQVGSGWRMRNVVWLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVVVNIIDISSRESSPWISMPAWSPAFSLGGSLD >A01p013060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6390397:6391601:-1 gene:A01p013060.1_BraROA transcript:A01p013060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroceramide fatty acyl 2-hydroxylase FAH2 [Source:Projected from Arabidopsis thaliana (AT4G20870) UniProtKB/Swiss-Prot;Acc:Q9SUC5] MVAERFTVDLDKPLVFQVGHLGEEYQEWIHQPIVCVEGPRFFQSDFWEFLTRTVWWAIPTIWLPVVCYVLSISARKGLTFPQIGLIVAFGVLTWTLLEYTLHRFLFHIETKSYWANTAHYLLHGCHHKHPQDGLRLVFPPTATAILLVPLWKLLHLIATPATAPAMLGGILFGYVMYDVTHYYLHHGQPKEPTFKHLKKYHLNHHFRIQDKGYGITSSLWDRVFGTLPGTKAAQKKL >A10p032740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:19273680:19276121:-1 gene:A10p032740.1_BraROA transcript:A10p032740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRFRLVSRSSRYATVKLSESASLCSCRLFSTSSDATHPEPESAPPPNPITGDDKLRNLRVLLQQNRIETARGALTSLVRSGSAPFTSPKELFSAFSLSSPSLKNDFSYLLFSVLIQSNMIPEATDLFFALRNEGITPSSDSLTLFFDHLGKMKQFRVTINVFLNLLESNYRPSKFMYGKSVYAAVKSSDLGKGLELFNRMKHDRISPTVFIYNVLIDGLCKNGRVNDAEKLFDEMLQRRLVPTLITYNTLIDGYCKSGNLDKTFKVRERMKADGVDPNLVTFNTLLKGMFNARMVEDAESVLKEMKDRGFVPDAFTLSILFDGYSSNERVEAALGVYEEAVDSGVKMNAYTCSILLNALCKEGKVEKAEEILGMEMGKGLVMNEVIYNTMVDGYCRKGDLIGARMKIEEMEKQGMKPNHLAYNCLVKRFCELGEMENAEQEVSKMKLKGVSPSVETYNILIGGYGRKSEFDKCFDILKEMENNGTMPNVVSYGNLINCLCKGSKLLEAEIVKRDMEDRGVSPNVRIYNMLIDGCCSKGKIEDAFRFSEEMLTKGIDLNLVTYNTLINGLSMNGKLAEAEDLFLEISRKGFVPDIYTYNSLISGYEHAGNVQRCIALYEMMKGSGIKPNLKTYHLLIGLCTKESVELTEKIFGEMSLEPDLIVYNGVLHCYAMHGEMDKALKLQSQMMEKGIDLDKTSYNSLIMGQLKRGKLSEAKSLFEEMKARGLDPEADTYNIMVKGHCEKKDYMGAYDWYREMQEKGLRVEACIGGELVTGLREEWKSKEAHTVSSEMNGDKLDDVPVEEALSATKKL >A09g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9901043:9902967:1 gene:A09g503010.1_BraROA transcript:A09g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRKDSGPPQDRRRYGASSAKIQVSINVDNPIQFERRVGFPNGDIGRVTLAYDGLHRFCFNCKHISHDENSCPLLTEQEREDRRKQRLEYNINNELDLPRGQIGRDTKDRTLKRPRSPPQERSPRLHYSPPAWRDERREEKRQRNSQHGHKDSLNSYRSEPKHSVEDKYPQSLGRNPHKHNDVWNRIERPQKARDSGILSRQSSDLYHRNRDGRSSRNYGAKPNLSWRPRPNQANTYSREARNSAANVRIDSEVSNSRVDSQRTISENVRGLEPGEIQNAEAEMERRRLKGKGIAAETPTSKEKDFHARLNVGRLAPLSIREPTEHRPSAVTRYVAPGPLITSTRVVTCNDNTEMDVDMIHGPNLDSMVLTETDLENIEKSVKEFENLEMDDEMIANDDLLGDVPGFDAVQIDALTQLSPVHTEIQDTLHDIPVSSSPERRRADYASSPKGANIDTVMSHDGTAAKPQATQTQP >A02p010900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4734684:4735172:1 gene:A02p010900.1_BraROA transcript:A02p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor OFP10 [Source:Projected from Arabidopsis thaliana (AT5G22240) UniProtKB/Swiss-Prot;Acc:Q9FMS7] MPLKKKMKYTLKTILKPIFMPCGCGSTVPPPSHSHYTPGLPVSPTVLRSPCPKIDESVAMAKESSNPFEDYKMSMNQMIKERDIKTDDDLKELLRCFLDINPPPQHNLIVRAFVDVCSVLGPPHDRRGNSLGRLLRLYVNPVDDNDDNSHQTSSFKMRNGSP >A05g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2605777:2607264:-1 gene:A05g500710.1_BraROA transcript:A05g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKPPKNKPPVRLPTGTTKSSNSSVKVATPDASSAVKLKVTDSLLTGPAAQTMSPPPAQNPSNRDLAKQTENPNPETLATDTQSEMVYKQVGVKTLDQPELVSSSVPKDPPPAASLKPAVIPPPSPIVPVSPRNGTVTVEYDLSKGSLSVDLSTESHLKEQSSSGSSSDTTLSSEEDDPDDEDQFIE >A08p006320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3628902:3629435:-1 gene:A08p006320.1_BraROA transcript:A08p006320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 1 [Source:Projected from Arabidopsis thaliana (AT1G48020) UniProtKB/Swiss-Prot;Acc:Q9LNF2] MAANLRNNAFLSSLMLILLVGSSYAITSSEMSTICDKTLDRAFCLKFLNSKSASPNIQALAKTTLDATQARATQTSKRLQSIIDGGVDPRSKLAYRSCMDEYENTIENLDEAFEHLASGDGFGMNMKVSAALDGADTCLDDVKRLRSVDASVVNNSKGIKKLCGIALVISNMLPRRS >A01p006520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3289029:3289640:-1 gene:A01p006520.1_BraROA transcript:A01p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLNPQDCLKQPFSHMKYPRNHIACPNRHQKKPVPSRTRRSPPRNQTTRSPPKAPPPPPQRAAVSSYVPKGTVEKSPNKNVVVGQVRILKRGEEIPKKTLELVVEKTNLVVEKTDLVVEKPDLVSTQRIGPDPCLIPSQIRLSDRKSKKTTVVPFYAGPVTMTSPPPSDVPLPAFFTTKKDATNHIIKLLRLDVACMSLQ >A07p005880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1902271:1903443:1 gene:A07p005880.1_BraROA transcript:A07p005880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNHNLLFVSFLVLCLAVNGVTGYATVTGSVFCDQCKDGERSLFDFPVSGIKVSVTCADESGQVYMSREETTNWLGGYVMRFDGTPDLSNCYAQVSDNGAEQQGSSSSCSIASGPAQKLKLLFSFFGFETFAADVLLTQPVQPMSYCPKPPPAPVMSPPHQAPPPPEVKLPPSPPKPQVPVLPPPQAPVTSPPPATSPPQFKLPPLPPIPPVPFVDPSACSHQLWIRPEYRCYWRVIGPDTKVAVAFGLIAGRRYGTDMTVREALDGRGEAYKTLLREATTALLNSYNSLGFPYNSIAVITHTNLALLGNSQHDVLMTAIRFIKANSGTCKFTVCK >A02p058800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35219202:35226078:-1 gene:A02p058800.1_BraROA transcript:A02p058800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAKDITQVKLPRPTRVKNKTPAPLQITAEQLLREARERQESHILPPQQNITDSTELSDYRLRLRKDFEDRIRRPGPYTQVWINYAQFEMRNKFVNSARNVWDRAVTVLPRVDQLWYKYIHMEEMLGNISGAREVFERWMKWSPDQEGWLSFINFELRYQETARARDVYERFVLCHPKPSSYIQYAKFEVKGGEVARARDVYERAVKNLGDDDEENLFVAFAEFEERCKEVERARVIYKFGLDRVGRGEELYRKFVAFEKQYGDKEGIDDVIVTRRRFEYDEQVRKSPLNYDAWFDYVRLEEEVASSVGDKERVIEVYERAIANVPPANEKRYWRRYIYLWIKYALYEEIEAEDVERARQVYRECLKLVPHASFSFAKIWLLAAQFEIRQLNLKGCRKILGNGIGKATNKDKIFKKYIEIELELGEIDRCRRLYEKYLVWSPEKCYVWRKYAEFEISLEETERARGIFELAVSQTTLDLPEVLWKGYIDFEMSQGEVERTRALYERLLERTKHYKVWVSFAKFEAGEQDEDEEEDGIERKQECIRRTRAVFDRAYVYYKEVIPEMKEERSTLLEEWLNMEMSFGMLGDVSIVESKLPKKLKKRKAITGEDGSVEYVEYTDYLFPEELKASNLKILEAAIRWKKQKVDAFCNV >SC115g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:509477:510449:1 gene:SC115g500030.1_BraROA transcript:SC115g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKKESRCPTKARNRSLRSDRAIVPLGRYVATELEPKLATELKPKLGHYIATERSSRSRPSDRPARSLRSDRARAKARSRRSDRAIVPLGRYVVTELEPKLGRYVATEHSSRSRLNLSEHRYDTSPCILVKPSNAISRRPNRGKLVVNVSSRKTAQRDLKHDSRPILRFPNPKPVNRSTVYAWPTRKDKCQVSADKYRSFEDNCEDREKWNISIFML >A07g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21380640:21381118:-1 gene:A07g507740.1_BraROA transcript:A07g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNDGLDANQRWISDITRISSPRWSRRIQHQQAVIETAMLNEKDGKRDLKVGENRKEVDLAQFLLEDIMEMG >A01p057860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32802988:32806948:1 gene:A01p057860.1_BraROA transcript:A01p057860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) UniProtKB/TrEMBL;Acc:F4J3M2] MSLFFLNPSLPSNSIHPIPRRAAGIPTTRCSISAPEKKPRRRRKQIQKRENEDSSSFGSSEAVSALERSLRLTFMDELMERARNRDPSGVSEVIYDMIAAGLTPGPRSFHGLVVAHALNGDEQGAMHSLRKELGAGQRPLPETMIALVRLSGSKGNAQRGLELLAAMEKLNYDIRQAWLILVEELVRTNHLEEANKVFLKGAKGGMRATDQLYDLMIEEDCKAGDHSNALEISYEMEAAGRFATTFHFNCLLSVQATCGIPEVAYATFENMEYGEDFMKPNTETYNWVIQAYTRADSYDRVQDVAELLGMMVEDHKRVQPNVKTHALLVECFTKYCVVKEAIRHFRALKNFEGGTKVLHNDGNFEDPLSLYLRALCREGRIVELIDALDAMRKDNQPIPPRAMIMSRKYRTLVSSWIEPLQEEAELGYEIDYLARYVEEGGLTGDRKRWVPRRGKTPLDPDAAGFIYSNPIETSFKQRCLDDWKVHHRKLLRTLQSEGLPVLGDASESDYMRVMERLRNIIKGPAQNLLKPKAASKMVVSELKEELEAQGLPIDGTRNVLYQRVQKARRINKSRGRPLWVPPIEEEEEEVDEEVDELICRIKLHEGDTEFWKRRFLGEGLIETTAESIETDESVAAGGPENKTEDVAKGADDDEDDDEEDQEGDEEDDENEEEEEVVVAEPENRAEGEDLVKNKAADAKRHLQMIGVQLLKESDEASRTKKRGKRASRMTLEDDADEDWFPEEPFEAFKEMRERKVFDVKDMYTIADVWGWTWEKDFKNKTPRRWSQEWEVELAIVIELGGVPTIGDCAVILRAAIRAPMPSAFLKILQTTHSLGYAFGSPLYDEIITLCLDLGELDAAIAIVADMETTGITVPDQTLDKVISVRQSNESPKSEHEEPPSSSESS >A06p035890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19462846:19463742:1 gene:A06p035890.1_BraROA transcript:A06p035890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWSAENATKAYLTTLKQDQRTKEPNVAEFISALAAGNNARKIVVACAGAANADIVVALIAAANQTRGQVVCVLRGIEELIISKKMLEPSEIHQIQFVVGESNDNTLINDHFGEADFVLVDCNLKNHQDIVRKIVNNHEENARTGGGSGVAVVVGYNAFSRGSWRFSDGRKTQFLPIGEGLLVTRVNDNGSYNQKTMKKNNGHHHHHDHVRMSNWVVKVDKCTGEEHVFRVRAPRGEAIIGA >A08p009820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8030158:8030532:-1 gene:A08p009820.1_BraROA transcript:A08p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNDFEVVWMWINEREVVGIYRRQQPIRFRLVAARVSVRRAPIACTAAPRAPHGFKHGQDSCRAPPLLPDVRLHDRTHARRHSSSHMAGRMLRLHARRHLVLLHVSPHAHVACVATPCASLAL >A08p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18777356:18779207:1 gene:A08p030670.1_BraROA transcript:A08p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKESNGVSVKEEMEALPKDIEEKSMRCTSNFEDHSFDNVEDQSEDHRGGEIKEGEEEEVDVVEWSGVNDNNRVGVSEFDDGNGTDEYSSSFSGTVSDHESDDKTGFNDQEADSMMCTDTSMPFYARKKKLTDHWRKFIQPIMWRCKWVELKIRQLQNQAQIYDKEVKESSQAKQLELENLKSEEVGVKALPPLPCHTQKTQLKKRHKRKRVEEAPDAPSNHNLFSYHAYRKSYADTALNDNSRKLDKKSKSSKEDAVFSEETPPLEFREGDAFLEQILLKIEAAKLEVRNLKNRVDKVMTENPSRFSLDDTVVMLGSAADVVTASEQQNPEPVIKDEDENPVVSEAEEEPAKSASVSSHHDKVAEDDDGNTDILLSEMIASRKREGKAVVPDKKVEKTEQAAVEEEGPSRPVRKRTPRNLDIEVKEGPNPKKRKVSREKPKPNVTMSSRLKLPNRKRKGGKRRAGGGSSGLRRRS >A02g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21520344:21523062:-1 gene:A02g507770.1_BraROA transcript:A02g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQSEIPCEPASVWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVQRRARTMSEERRDGKQPESSGEPAVQQINLNQVQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYQEEQREGKLGIDQAVQEAVPAVPSVLSGSIRNATKRKVTRVKGLNGQRGFTLGDETVWMDRVTAAYPDSLAQAVKAKGVSPKDAISV >A06p046330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24791419:24793312:1 gene:A06p046330.1_BraROA transcript:A06p046330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 1 [Source:Projected from Arabidopsis thaliana (AT5G49450) UniProtKB/Swiss-Prot;Acc:Q9FGX2] MANAEKTSSGSDIDEKKRKRKLSNRESARRSRLKKQKLMEDTIIEISALERRIKENTERCKVARRRLDSLESENVSLRSEKTWLLNYVSDLENMIATTSLTLTHSGGDDENVNAEIAVGDCRRRPWQDFTFLSSSQKWQRNSCNSGKLHALKGKNLVLNVDGAIGSFFLDLLAGSEMFTKQEIDEIVQIGYLNGLFVLARSIGLIGHTFDQKG >A04g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13339926:13340521:1 gene:A04g506260.1_BraROA transcript:A04g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEERRHSKRQKDYINKLGSVPDSEYGIPRRCPCGGRIIDEVRRKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIGVQEEIERLTKRVEEAEQVMLGTLNFSKQIETLEEQVKILCEQVDYLTVQVAMLEKVSFD >A05p018610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8654532:8663900:1 gene:A05p018610.1_BraROA transcript:A05p018610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 81D1 [Source:Projected from Arabidopsis thaliana (AT5G36220) UniProtKB/Swiss-Prot;Acc:Q9FG65] MEDNNRGVVLYSIFPLILLLIIIFLKFLKPNKQILPPSPPGWLPVIGHLRLLKPPIHRTLRFLTESLHGSGGGVMSLRLGSRLVYVVSSHRIAAEECFGKNDVVLANRPQVIIGKHVGYNNTNIIAAPYGDHWRNLRRLCTIEIFSTHRLNCFLYVRTDEVRRLISRLYRSAGSEKSVVEMKPMLTDLTFNNIMRMMTGKRYYGEETTDEEEAKRVRQLVADVGANTSSGNAVDYVPILRLFSSYEKRVKELGKKTDKFLQGLIDDKREQQETGNTMIDHLLVLQKSDTEYYTDQIIKGIILVMVIAGTNTSAVTLEWALSNLLNHPDVIRKARTEIDKQVGLDRLIEESDLSQLPYLKNIVLETLRLHPATPLLVPHMASEDCKVGSYDMPRNTTLLVNAWAIHRDPNLWYDPDCFKPERFEKVEEAQKLLAFGLGRRACPGSGLAQRIVGLALGSLIQCFEWERVGEEEVDMKEGTGNTVPKAVPLQAVCKARLFLHKILS >A06p053190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28086826:28089690:-1 gene:A06p053190.1_BraROA transcript:A06p053190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAMIRTIVEAIHSSPTQAVVYLSGGASVALSWLMSVPGASNTLLEAVVPYSKISMVQLLGRVPSQHCSQAMASEMALLAYNRAVKLSKPGFPVIGVGFTGALATSPPKRGDHRFFLSMRASDRIWETSVTLAKNLRSREEEDKVASRVLIQAMAKACQVSGTFDSGLTESEVPDESETQFSEEQELEQLIKGDLCFKVYPFSKQAYGSDQDRKIILPGSFNPLHDGHLKLLEVAMSVCGGGYPCFELSAVNADKPPLSVAQIKDRVKQFEEAGKTVIVSNQPYFYKKAELFPGSSFVIGADTAARLVNPKYYEGSNKRMLEILGDCKRTGCIFLVGGRNVDGVFQVLENIDIPEEIRDMFVAIPEEKFRMDISSTELRKKQGGVDKRKRESSKEDVEQSSK >A04p034430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19925930:19927586:-1 gene:A04p034430.1_BraROA transcript:A04p034430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTTTLFHALVPSNTYKLGAVSSSFVSVPRSSTPPSSPSLQFRSLVSDTTSIYGRRKFTGNIRRVSLTVSAAAAAEPLTVLVTGAGGRTGQIVYKKLKERSDQFVARGLVRTKESKEKIGGEDEVFVGDIRDPEAIAPAVQGIDALVILTSAVPKMKPGFDPSKGERPEFYFEEGAYPEQAAGVKQIVLVGSMGGTNINNPLNSIGNANILVWKRKAEQYLADSGIPYTIIRAGGLQDKEGGIRELIVGKDDEILETEMRTIARADVAEVCVQALQLEEAKYKALDLASKPEGTGTPTKDFKALFAQVTARF >A04p010200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7296629:7298589:-1 gene:A04p010200.1_BraROA transcript:A04p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTVLATAVSNSGGKGCCKSGPGYASPLAAMSGPREKLIYVTALYSGTGLEKPDYLATVDVDPNSPTYSSVIHRLKMPYIGDELHHTGWNSCSSCHGDASADRRFLVLPGLISGRIYAIDTKTDPKAPSLYKVVEPKEILEKTGLAFPHTSHCLATGDMLVSCLGDKEGNAKGNGFLLLDSDFNVKSRWDKPGHSPMFGYDFWYQPRFNTMISTSWGAPKSFSKGFNLQHVADGLYGSHLHIYKWPEGEMKQIIDLGNTGLLPLEIRFLHDPSKDIGYVGSALSSNMIRFFRNSDDTWSHEASRVVISVKPLKVENWILPEMPGLITDFLISLDDQFFYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPVKAVREDGSTYQFDVPQIKGKSLRGGPQMIQLSLDGKRLYATNSLFSAWDRQFYPELMDKGSHIIQIDVDTEKGGLSINPDFFVDFGDEPDGPALAHEMRYPGGDCTSDIWI >A07p019520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11581133:11584970:-1 gene:A07p019520.1_BraROA transcript:A07p019520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLPLPISTIINLPRPLLLGPPSRCRFSTVAFRPSSLLHISGVVSRNLRRYWFSTMTTAARVELEEEKQSKISVELKEKIEITEKEREIFDRLLGTLRFCNLDTQLRVAGGWVRDKLLGRDSDDIDIAIDNMYGSEFLDKLKEYLASRGEQVQGDTVIERNPDQSKHLETAKMRIYNQWIDFVNLRSEEYTENSRIPTMKFGTPKEDAYRRDLTINSLFYNINTGSIEDLTERGIDDLKSGRIVTPLPAKATFLDDPLRVLRAIRFGARFGFTLDEELKQDASSEEVRVALGEKISRERIGNEGCIVTQLDFFVQIDLMISGNGPVSAVTYLSDLKLFGVVFAFPASSEPAPSENCGSICKAYLEAMWSLIQTPGLGKFSGEQRRLALYAALFLPFRKTVYKDNKGKMIPVVNHIFKFSMKRKTSDAETVINIHRTTEKFLSLIHSLQLKNGAQVDKLDWATDILEHWKSISVDDPEIPETSKIRVLTGFLLRDIKDFWRVALLTSLLLSVVDGMKEDQETGQLDFQLEKLRESYLTIEETICELGLDNIWHVKPLVNGNAIMQITELKGGYHIREWQQKLLTWQLAYPNGSSEECKDWMRQVKAKRQRTE >A05g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1188237:1189497:-1 gene:A05g500280.1_BraROA transcript:A05g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQNTIDHFTVNEGQNSGNIVGSITSLSKNKTLSPLSTTRVSTAASPPSGGRRRVSLAAAGPSFSFSFSLSSGSVSSLSSPPLSGGDSTRGRCLFFQCSVPVASFDSVRLRGFVALLRVEIGWCCVVVAEMLARATCAWALLVSVSLAPVSSCGNARGGHGGAGWWQPPRFFSVGFFVFLSLWAVCRWFRFGLCRDLSPPRLHLPLNKLRFRRVSHLSVRYLYMGFRFNGCKRSRRAGMEAAISGTVRGTTASSIDGSLSCRRRFSCRGIEFVCVWILHSGPVMAEWSEAVGAVASRFEGTFLSVARGARPLSRLVSVCKVRA >A06p000350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:382842:384418:-1 gene:A06p000350.1_BraROA transcript:A06p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRELSSKLIRDYDDDEEVWLTHYSSNHQILLVGEGDFSFSCSLASRFRSASNICASSLDSYGELDIVFSREVSMTKNDVVRKYSKARSNLATLKRLGASLLHGVDATKLHLHPHLNSRRFDRIIFNFPHAGFHGKETDSRLIQKHKALVFGFFHGAAHMVRADGEIHVSHKDKAPFCHWNLEELASRCSLVLTQCVAFEKSEYPGYENKRGDGSRCDKPFPLGECTTFKFAVSRVAKEIYAEKVRWRETKERESKLLMYPLQPQTQSQGVSQRPVQVHDPLVQSRQLRTSPLDLGSYRELIRCSQFEDARYIPTAQRPASFALERHHDHCYQDNLRYNERSSFQFHEFCHHREEERCLECSSRFSGVPLDIYNRERQSMLTRTSYPRRYAGESPERRPLLWQEPFFLRSSHQLYGWEGGRTMSTSTGFHHLHTEEGRLIRQRNLSIYHPYH >A01p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5602783:5604444:-1 gene:A01p011570.1_BraROA transcript:A01p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSIDRLVYFSSVVVLAGRCIRRQTGFRKADPEQWEFANDDFVRGQPHLMKNIHRRKPVHSHSLPNLQAQQNALTDSERQRMSSQIERLTKEKEGLLQKLHRQEQERDVFEQQVKKLKDQLQHMEKRQKTMDSYVSQVMETPELALNLSPCLPETNERKRRLPRIGFEDNQTCVVMREEGSTSASDETEHQVEQLEVWENLASVSDDSCEGMAQSTRSMMTLDVDESSTCPHSPPLSCIQLSIDTCPKSPPMKIIDMNTKPDVSKEQNIVAPAPPPPAAGVNDVFWEQLLTENPGSTEQREVQPERKEDKTEDPSEKCWWNSRNVNAITEQLGHLTS >A05p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23728493:23732089:-1 gene:A05p038490.1_BraROA transcript:A05p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVFPFLDALSLRSALISRRCLVTSLCGGKLLMKEGHGWKKIMSLLIVAEAEHTSQDMKEGFDAFSFCLVLMGVWCLLALFWSLSDGYQGSLSNKKRTKKMVQTRAQHRRRVTSQTWGKVEGAEDVFHDILSRLSVRSIRSFKTVNRYWHASISNKHFATKQLAQSRKKPSYIACPRADKAMKLYLLKPGKFNYRHHATVDPPGRSADHNMHMIASFNGLVCCINQLSDENEDHQIWICNPSTEETLLLPQGRPSVWTEPSIGVAYGPDISDYKIFRIFCVGRRNAGKGDYLYECEVYSSSSGAWRGIGPVLHLPMYVCFSPHRSAHVFAGGKIYWLVSLEDPAGIMLSVDMEENFEVMELPYYSTDLRYEDRITVTTYLINLGGSLSLVVLHVGSFDVWEWKEASWVLVIEDYLPFMHFCEIVLFMTSLEKEILFVTESRLWTYHLDTRKWKKLGRPPTRFTNPAIFPFTESLLPCRQWRGEARRKVKKSTYHLWLVGGALIYLAEA >A02p005420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2375078:2377288:-1 gene:A02p005420.1_BraROA transcript:A02p005420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISNLANLTPATSAGSSRSSSSSSVLPRSFITLRTLNSKLSSSSHLSLRYNQTSKPSLFVRCSASGENGSTTKKTTLHDLYEKEGQSPWYDNLCRPVTDLLPFIARGVRGVTSNPAIFQKAISTSNAYNDQFRTLVESGKDIESAYWELVVKDIQDACKLFEPIYDQTEAEDGYVSVEVSPMLADDTKGTVEAAKYLHKVVNRRNVYIKIPATAPCVPSIRDVIASGISVNVTLIFSIARYEAVIDAYLDGLEASGVDDLSRVTSVASFFVSRVDTLMDKMLEKIGTPEALDLRGKAAVAQAALAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALEAFIDHGTVKRTIDENVSEAEGIYSALEKLGIEWRKVGEQLEEEGVDSFKKSFESLLGTLQDKANTLKLASH >SC308g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000153.1:7408:8946:1 gene:SC308g500020.1_BraROA transcript:SC308g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARCPLPGGCWVILATWAVLFSTHRNVPMGVRTSTHRTSVAVCFCLRLSGQHIQNVCCCSCLSVWCMLDPGPVRQHTHDRPHADPSLGLLGDFGPRGLFVQYTKDVGGCRSAHIGRRCLSVCVCLCPSAHTGHSWLSISTHISTLILGLSTLALPVDCLGDFGPRGLSVQFTQDICGCPSAHTGHSWLSISTHVSTLVLGLSTLALPVDCLGNFGPRGLSVQYTKDVCGCPPAHTGRPWVSISTHRTTSVAVRQHTQDVCGCPCVSVSTHRTSVAVHQYTYQDVLGLSMLALPVDCSGDFGPRGLSVQYTQDICGCPSAYTGRPCVSVSTNKTSVAVLVCVCVCVCVSVSTHKTSVAIHQYKYQHVGPWIQHADPSRGLFGTHRTYVAVHQYTYQHVGPWTQYAGPSRRLFWVILPTWAVCLVHIGRPWVSARTLASRPDGVRRVTY >A05p033730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18959660:18960615:1 gene:A05p033730.1_BraROA transcript:A05p033730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMAAFNSVSSKLGAYDLFGNSRILFTVSDSLKNWASKYFFVKIDENLVPGDFVSLYWGDIGRPRGRKPVIYELKDISPVVPMILHLPLSVLFGSRKRLLWSPKVGVVHRFAAISSHWSDTGRPSPLDAQILRGVTLLSGGWHQRGSGCRYQCQPSSFGVSGSRNTSALSERVKHNSKVMPLTTGVPSFQAGNFFHIFQFS >A01p013720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6689574:6690698:1 gene:A01p013720.1_BraROA transcript:A01p013720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRYSSSVELLPHDVVELILERLPVKSLLRLRSVSKTWKLTIQTRRFQERQLIQYCSQSRDPDILLVHHGDHGPNTDARRLVFGSSVVYTVCFPTLCNSVCPSICDGLVCFYNNYVPSVSVVVVNPTTKWHQSLPLARIQQLLVDRITKRLFCSPYYKLGFGKDKIRGTYKPFFLYNSSEFDLDNVTTCEVFDFGTSIWRYVHPASPCRINVHLDPVYLDGSLYWFTECEKTKVLSFDLHTETFQVICKSPFVHARDDPYSISMCILDNCLCVSENNLTTQEIWSLDSSGSNIKTWNKMCSIDLTKTLNWFGECTLLAIAFSEKNKLLLRGRGFYQPLVIHDIHTKSYELLFETNKYVDFVCYFQSLFSASSD >A05p008330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3379675:3380305:1 gene:A05p008330.1_BraROA transcript:A05p008330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHSVNSPRRVLSFSKRQKQKPFGLQDSDSTRSSPFRASQVQGPKPSEVYGFVGSISTVVATLIFLIWAYVPDKLLESIGIHYYPSRYWVLAMPTYLMVTLLLGLAFYIGLNFIATPHPTSLNTLFDEYSREPGEIDSQMEEGDERPIDPISDIDITRINDIMFNSSGVQ >A02g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21239735:21240535:-1 gene:A02g507670.1_BraROA transcript:A02g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKNLTEGDTYEISGFSVIHNSRHRKLTQLPYYIQIDQKTIASRVTDIGPIFPVHNFSPQNYKNLLRLATTPTYLLDVVGQILIIQKINPYHPESNTDATIGLRLNRSTMVKLLLYDKHAADFSILQSKKNRRLKVVIITSIIPKLFQGKLLLSSSPAINFYFNISIDYIKHFKGPVRNHAKACSKE >A05p014600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6387185:6387415:1 gene:A05p014600.1_BraROA transcript:A05p014600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREFIICCLLSLLLCTSFMRVVSSVADVSRGGCGGGDGSLRDDNERCLEEVKDDDDDDVDNVYKVINKMRIYA >A07p013120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000021.1:224196:231287:-1 gene:A07p013120.1_BraROA transcript:A07p013120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSSRAAAAKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDSFNEIDFISVSPAKITKVAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELTKKKKEKEAELPKKKKEEEAELQRSAECVVTNDEKMSSSSYVSGNTYFHRRHVERGTPKQCWCGEPAELCTSASRANPGRLYYCCRKGYIKRHLFKWADECLVEEVEDMKSVMSDMTKGISDLRVDVGRLEKELGKSEKMKCLMFPVVMDLQRGIPRTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKIIECLRMKS >A07p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17117017:17118768:1 gene:A07p030790.1_BraROA transcript:A07p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTDPDYHRRGQTANDRSPGYFVRLDKPRAVDDLHIGKREKMRRWLCCACHVEEPYHTTENDHRRSHKHHNGHNKNQEVSVRPDALNVPPAIDVPALSLDELKEKTDNFGSKALIGEGSYGRAYYATLKDGRAVAVKKLDNSAEPESNFEFLTQVSRVSKLMHENFVQLFGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWTQRVRIAVEAARGLEYLHEKVQPAVIHRDVRSSNVLLFEDFKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGSLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWAAPRLSEDKVKQCVDPKLNGDYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKSSRSSTAAATPSQET >A03p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15388398:15391569:-1 gene:A03p036760.1_BraROA transcript:A03p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCO3-transporter family [Source:Projected from Arabidopsis thaliana (AT3G06450) UniProtKB/TrEMBL;Acc:A0A178V9G0] MAEAESFVPFQGIKNDVKGRVRCYKHDWTSGFRAGFRILAPTTYIFFASAIPVITFGEQLERDTDGKITAVQTLVSTALCGVTHSIIGGQPLLILGVAEPTVIMYTFMFNFAKNRQDLGSNLFLAWTGWVCLWTGLLLFLLAILGACSFINRFTRLAGELFGILIAMLFMQEAIRGIVDEFGVPGRTNPTSAQFQPAWVFANGMFGLVLSFGLLYTALKSLWTCVSYIPWKSVPQGIPRRLVSPNPWSPGAYQNWTVIKEMLDVPVVYIILALVPASMIAVLYYFDHSVASQLAQQEDFNLRKPPSFHYDLLLLGFLTILCGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVAAARKCIRNKATLGEVYVSMEEAYQQMQSPLIHQGPSRIQGLKQSQMQKGLGSVNADTLVDEAVFDVETEVENVLPVEVKEQRLSNFLQAMLVAGCVAAMPLIKRIPSSVLWGYFAYMALESLPGNQFWERIVLLFTAPSRRFKVLEDNHAVFVETVPFKTMAMFTLFQTGYLLVCFGITWVPVAGVLFPLMIMFLVPVRQYVLPNFFKGAHLQDLDAAEYEEAPALLSFNLKPEGEVSRATSFADSGEVMDGMFTRSRGEIRRVNSIKLAGSVGGGSAGGSPAGGVELMRRVVSFQNPRVSEKVYIRSLSDYRGGGESSPRSPAGRAPLSPRFAAAGGGGGGEQRLSNLGKSV >A08p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2344818:2345247:1 gene:A08p004030.1_BraROA transcript:A08p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKFGQFNIEKIAPSINLTRPSFHIMHIDFGEYCFEASMNREKFPEKGSVRQRMLAKAMEVSGIEGNFRSICENVMQVLRTNKGSVIAMMEAFVHIFAGGGRF >A03p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14194314:14194804:1 gene:A03p033520.1_BraROA transcript:A03p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLRTKQTKTNPFLTSSSEEVSSLEWQAVNMNQEEEDLVRRMHKLVSDRWELIAGRIPGRTAAEIERFWVMKYN >A09p004230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2427292:2428871:-1 gene:A09p004230.1_BraROA transcript:A09p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIEHLVEDESVIKKARMVGNNNVEDVAVKETVVAAASRGEEEKENKKKIEEVSAALSLADTTEHMSEEVDVDAKNTEKVLPPLDLEKNKEASVVTRRRKLLVLDLNGLLADIVSPLADCKADINIGRRAIFKRPFCEEFLKFCFDKFEVGIWSSRKKNNVDRITEFLLGDMKRRLLFCWDMSYCATTTLGSLENRHKYVVFKDLNQLWEKDDPRLPWQKGDYNETNTVLLDDSPYKALLNPPYTAIFPHSYSHQNKSDTSLGNGGDLRLHLEKLVEAENVQDFIKKNPFGQEAITEASETWEFYREATRMHTHIRI >A02p054840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33243961:33247711:1 gene:A02p054840.1_BraROA transcript:A02p054840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIKLSFPPDSPPLSVIAALSLSSSPVTIDSSAATTVPTFVFSDGRKLSGTSVLLRYVGRSAKSLPGFYGHDAFESSQIDEWVDYASVFSSGSEFENACTRVDNYLQSGTFLVGHSLSIADVAVWSALAGSGPRWESLRKSKKYQNLVRWFNSISLEYAEPLNKLASYTAKKPSGKTVSAAPRTKDQQADNANDKGKPEVDLPGAELGKVKLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIIRFDDTNPAKESNEFVENLVKDIGTLGIKYDRVTYTSDYFPDLMAMAEKLMREGKAYVDDTPREQMQKERMDGIDSKCRNHTIEENLNLWREMISGSVRGLQCCVRGKLDMQDPNKAMRDPVYYRCNPMSHHRIGDKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYKVLEDMGLRRVEIYEFSRLNLVYTLLSKRKLLWFVQQGLVGGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIVDPVCPRHTAVIEERRVLLTLTDGPDEPFVRMIPKHKKFEGAGEKATTFTKNIWIEGADASAISVNEEVTLMDWGNAIVKEVTKDEEGRVTALSGVLNLQGSVKTTKLKLTWLPETNELVKLTLTDFDYLITKKKLEEDDEVATFVNPYTKKETSALGDSNMRNLQRGDVIQLERKGYYRCDVPFVKSSKPIVLFSIPDGRQHQPLAAN >A09p028270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17018278:17020265:1 gene:A09p028270.1_BraROA transcript:A09p028270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYLNLGSHVFAFLSLCLAVVAPSIQAHVAVFDEYWTQRQAAALRQTIESYDPNPFNVTDHLNYHAALAMETTGADNGTRRELGQVGGGRKTKRRGGRYHSLNAIDKCWRGHRNWHKNRKKLADCVLGFGRKTTGGKKGPMYVVTDASDDDLMNPKPGTLRYAVTRDRPLWIIFGRSMIIKLQQELIINSDKTIDGRGANIHITGGAGLTLQFVKNVIIHNIHIKTIVPGNGGMIRDSEHHFGHRTTSDGDGINIFGSTNVWIDHVSMTNCTDGMIDVIMGSTAITISNCHLTDHNEVMLFGAREEDVIDKKMQITVAFNHFGKRLVQRMPRARFGLVHVVNNDYTHWEMYAIGGNMNPTIISQGNRFIAPPKENSKQVTKREYAKYSEWKSWNWQSERDYFLNGAYFVKSGRANAWSPAPENPIPRHFAIQPQPGTGVRRLTKDAGTLGCRPGKSC >A04p011260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6817581:6817889:-1 gene:A04p011260.1_BraROA transcript:A04p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKYSTQTGDTIISLDADLATMMGMMQNLMERFERQDTSNKAINERVDDQAAAYTQPCADEQDPDTARRQLFKTNVNPTVHRVETNQVDQATTQKINVLLK >A04p013900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5190825:5193697:-1 gene:A04p013900.1_BraROA transcript:A04p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIEAPSFSLGFDLDAASDPKPGLTGDDEPEPGLTVSDSDLELGPGSSSPALKRLRRGFNDTNKCSAEDGASELLGRAEDRDDDDDDIEEFSSPEDAPASTRSHFSSCSSRVSLRCSGIFTSQPSSSISRSLEKRKRLEDVPVSTGTGSGITSVAPLFRSSDCSPLRRFQLLESDSEDDHPSKRSRGSIRITETNDSSSKRKEPGSLPCTGDLLKDFPPVGVSKTQTPAQRRFQLLDSDSEDDHPSTRSRDLSGVTNTNDPSSKKKEPGYMPTRSPLRRLQILDSDSEDDCPSTKKESSRVTKTNGSCSKVQPSVASKPKRKELGDLWKDFSPAAVSKIQTPALDDVCQDYFSSINKSTAQKQSSAVASSSNSGLFQQNGHFSDSSNPTPPSHRFFLHSDPRIQNLARKRLPNFLPLGISNDRENRREECLIDYMNQFGSNGSSKTGVSSSKSCRGTQAKSKVSKDQESSKARSAAVPKDAGRRRVSANTGNAGHWFTSAEGRKVYISKSGQEFSGQSAYRCYKKESGGGFNKSRKKRPPKKKAKK >A03p018240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7441114:7441371:1 gene:A03p018240.1_BraROA transcript:A03p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDGNMFHTIHVVDGLGKNRAAKFTIPQYECRENLRRTSIYNESQILSKRTSIESSEPFIGSNDKKQTMVMVTGAGLLEPQYRD >A08p029010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18013761:18015745:1 gene:A08p029010.1_BraROA transcript:A08p029010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAEGTQQPHLILAHKLFLLTHPDVQDIEKVQLKSDVLDSIKSDGMAPLYETLAASSVLELDQSLLDSMRASNEEELKKLDEKIADAEENLGESEVREAHLAKALYFIRISDKEKALEQLKLTEGKTVAVGQKMDLVFYTLQLAFFYMDFDLVSKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAASLFLDSISTFTTYEIFPYETFIFYTVLTSIITLDRVSLKQKVVDAPEILTVLGKIPFLSEFLNSLYECQYKAFFSAFAGMAEQIKFDRYLNPHFRFYMREVRTVVYSQFLESYKSVTVDAMANAFGVSVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >A08p003660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2056242:2057567:1 gene:A08p003660.1_BraROA transcript:A08p003660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRHDVTNPTPSPSCNLCGRLIPQSTTTTPDDLEIFSLCGDCKFLLLQDFGTTPPPPPLTRRQTSRRRRLRMRRRRSSSSRQGSSSESVADQPQQFTHLISIARQSETSSHTTPNGSARWRVLSESESEDLDNLSNASFSLYRFSSLGGESDAASTDIEFDTDIDPMHAGLNQWNSDDEDREWEEEEGARHSRTPSESNSSVTRFDSLDLERTFRQRIIERRQALSRNIFTGLEDLEFSRYPGNVADYLDERGFEELLEQLADSDNSRRGAPPASMSCVRSLPRVIIREEGLDCAVCKEVFSLGNETTQLPCLHLYHPHCIVPWLGARNSCPLCRYELPTDDKDYEDRKQNVVDVVSEDSSSDDDGTEGGEEEDHDVVRGESEAGVRSVSRGRWLFLAAAPVVSLVGVVLAMWLSNPQRRNIVFSHSQRGNRSRRWLPFF >A10p013880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4496751:4498148:1 gene:A10p013880.1_BraROA transcript:A10p013880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSAVFGITEIAPHIQLVSAATEKSYSRAPPGVLKKPTTSCLLPENLHAARSEKHTRCRTHAPHLTHPFREWLDPSVLLRQLLLVSPPRSDLEALEILGLGYTSSISGLARLNWLFLRFDISVLSYRRSLELHGQRELWA >A08p038590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22318346:22319223:1 gene:A08p038590.1_BraROA transcript:A08p038590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSEIVAKLNLRSHQEGGFFYETFRDSSVILSTSHLPPTFKVERAVSTSIYFLLPSGNVSRLHRIPMAETWHFYLGEPLTVVEIHDDGKLKFTCLGPDLIEGDQKPQYTVPPNIWFGSFPTKDFHFPHDGTLLRAEPRDSENHFSLVGCTCAPGFQFEDFELAKRSHLLSLFPQHESLITMLSYTE >A04p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8642617:8645433:1 gene:A04p007840.1_BraROA transcript:A04p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHSRRRGYISLLVISYSYVFGLASNLNISNDARGSKIEITNSRSSSTDYGTDMGKQYIMCTESNLQDPWVLSCKESKDIFTRINFADYGNPSGKCEHYRHGNCGAKATMDVVKKNCLGKHSASFSVGNVKLVTTFPALGQFAKDHKEIKESVPSDNFLTLLIDPPLVNTAKGTSRKTMPFVFSGLYLPSVSCFTMKKLKGHVIQSPIGPEEYLKRLHPIDLEKLQFVGDSRF >A09p057300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48373265:48375766:-1 gene:A09p057300.1_BraROA transcript:A09p057300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSILHSVSSILPSRVNSAERLGVLSLRNPVEFTRRRHGRSTSDFETSGRRLVVRAAETDTDKVKSQVPDKAPAGGGGSSINQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTPEDVAKSILCMLMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEQEVITQVWVLLLGGLGIAGTLDVWAGHTTPTLFYLALGGSLLSYIYSAPPLKNLSIHERISLQLKQNGWVGNFALGASYISLPWWAGQALFGTLTPDVVVLTLLYSIAGLGIAIVNDFKSVEGDRAMGLQSLPVAFGTEAAKWICVGAIDVTQLSVAGYLLASGKPYYALALLALIIPQIVFQFKYFLKDPVKYDVKYQASAQPFLVLGIFVTALASSH >A10p038400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21467459:21468461:-1 gene:A10p038400.1_BraROA transcript:A10p038400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEDFQKKVSIRDSSLAGEMETECGGSSSSTVGSSRTLVLLRRLLEIQERRAQAYAKLKRGFSEYVETSGEALYQKLCSEITAEFNECSKQVREMETLFLNPDVGRSDLAQLLSDIQTQEKQKLHLTVTIQVLKKAGRPSERMLTHENCKFKKPMQHECVHLHEITEAEGTEEAEADAEFDNALKEAIRGVQDAVTCINEYLEDVRYEIAALEAD >A04g506610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14950602:14952340:1 gene:A04g506610.1_BraROA transcript:A04g506610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSKKKHRPPFSRSSKAFRLILSTRMAKKKSCSLVASSETGAAALASSFSGTLSSFPVGSLLAASGVSSPVNSALTASGSAIPAQSPIHVNWVQSSCGIEGVALPAPSHAGTEAPTVKNYAALLKNSTQLQEMGTPVDHISGAPFVLIPDENIEAAKKEFKDFIYARFHGDFPSMGKIIGVVNAVWAKTGPRIFVHNIGHGTFLLRVTTPRTREVLLSRTCWNIGGLPMFVAPWSPDYSPDEPPLTSAIVPEELRNVPYMLFNKESLSRLATAIGKPDSLAPETERKENFEVAKLYVRVDLTTPLPSKIVSGFTNGKEVVIDVTYPWLPVKCDLCKKFGHPSVRCDAVPPEGSPGKLGVRKVSVETSRRRSRSRPGRSTDKKVKQGLLRYQPVLRPSVEASKEATSSQLHEEDVLIASMIQEDHSADLEEGEIPHQITENTTDLGDANANKGISPEACNEDLLSASVDETKSEDVKTSTAKDADDITVSEENSVQKSFLDTRPAT >A01p019170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9277877:9280087:-1 gene:A01p019170.1_BraROA transcript:A01p019170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDLPRCFRGSAERVYIGFPLASNTYHRRETETLSRLATDETRRLLRFQVSHTKRHRLPFQVSLSPMNSPRVRPPMPPGATGPAYMPPGATGPASNRAASSSRSNSYPQMILNAMLNSPARLAQPHLHPDKINGALWFGIDPCVNSFIRATWQAYYMGPWKSWRTVPDERRESWWQTFVQNFYRDPEFNDLVYGLWKKETWTTIGERISKKKRQRKKPKYINEADWTLLLEYWATEAAKKKSKKAAKSRKSDPVGKGCHKHNAGSRSFARIEYNMMVASGTNERPSFTDLVRATHTRPDGTFVDYRAEELVTQAEMEATQLSNTDGSPGSPSASSTPSRLKINKAYLKNAKGKRGHVYGLGSAQYREHAPSSRVPNGLARNLELEMRVGGLETSLQSVREDVSEVKQDVSEMKQEFASTRDAINQLLQMLRPPQAPTEQTYAQPQVPTPQP >A03p036230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15159861:15161260:1 gene:A03p036230.1_BraROA transcript:A03p036230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHIVNPIIYSSPTIPRRFAAISPLKTLNPQFSRHTPQHAHALSRRLFLPSVSSIWDAITGGGDSNPREAIAAIRNGMQLFRQGDVSGSVTEFDKAIVLDSRQKAYLWQRGLSLYYMDRVEEGAEQFRLDVAQNPNDTEESIWCFLCEARLHGVDVARKQFLEVGRDSRPVMREAYNLFKNGGDPEKLVNDFSSGQASEVFYASLYAGLYYEAEGKSEKAKFHITAACGSPYGQRSDDYMASLAKVHCLCRNWSSGLV >A07p038190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:20356412:20358280:-1 gene:A07p038190.1_BraROA transcript:A07p038190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEAF RUST 10 DISEASE-RESISTANCE LOCUS RECEPTOR-LIKE PROTEIN KINASE-like 1.5 [Source:Projected from Arabidopsis thaliana (AT1G69910) UniProtKB/Swiss-Prot;Acc:F4I3V3] MSQSLSSSRRCFSLLILILTIVKTYSSSCSSSSTSFHCPPFNSSPPFPFSTSPGCGHPHFQIQCSSPRATISIKNLTFSLLHYSSLSSSLTLSPQLDSNQNNCSSLRFSSSSPNRFIDLTGSPFRVSDSSCSRLSLLRPCSPLTLPNCSRCPQDCKLLKNPGRILHGCESTHGSLSEQGCQGDLLSFLQDFFTRFGFEVEWDESQDPYFAKCKDCRVKNGVCGFNSTHPRQDFICFSSQRETTTVNNHVAVLCLIFALTCLLLAISVAVAIFRSRRASFLSSVNEEDPAALFLRRHRSAALLPPVFTFEELESATNKFDPKRKIGDGGFGSVYLGQLADGQLLAVKFLHHHHGATAADTEHCKAFSMKSFCNEILILSSINHPNLVKLHGYCSDPRGLLLVHDYVTNGTLADHLHGRKSAMTWRIRLDIALQTALAMEYLHFSITPPVVHRDITSSNIFVEKDMRIKVGDFGLSRLLVFSESTVNSATSSDYVCTGPQGTPGYLDPDYHRSFRLTEKSDVYSYGVVLMELMTGMKAVDQRREKRDMALAELFVSKLQMGLLDQVVDPLIASDDDGGVAAVAELAFRCVAVDKDDRPDAKEIVGELRRIKSRTRVGEDDVAKC >A03g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30848608:30849392:-1 gene:A03g509560.1_BraROA transcript:A03g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQEIHDGHTAQTTRNRPFALDAPQGDATTAGAPNLQSLRTYTHVHRRTVFTPEGSSDNRERLRLWTTRNAGHCDSLHLPATRADYGETEKASTSRRQEPAAAKLWKPPPPGNRTQTTIIFTAPTLQATASSLQDQNHRGRCLARGQTRRPLEETRRRKLRIN >A05p049610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28853690:28855373:-1 gene:A05p049610.1_BraROA transcript:A05p049610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYSSNDRYGGARLYVGHLSSRTRERDLEHLFSKYGRFLDLEVCGERERVHLGATPVAFVKSIMMALLFLANHFRRIRDLDMKRDYAFIEFSDPRDADDARYRLDGRDFDGSRITVERDASYSRSPVRSRSRSPPRRRRRSPSRSYSRDRSYSRSRSPVRKETDRSPEAARSRSPEAARSRSPELMVDNSPSKDRKRSLTPEEGSPMREKNSPKENELSPRGNDDGGIGTNEQDRSHSPREDRSPVEDDDQ >A03p007600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3135891:3136496:-1 gene:A03p007600.1_BraROA transcript:A03p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCETIIIKGVNCSKTRWLYRGIGTLVCVGWLTRLIVALLATSSWSSTLKDESSALGICSVPPFSYIAFKVVSSFYVPVPTPRPKPTHHNSGATRHYFPYAVGVMMIMMCSTCSYFRMIEFCICNFMIFAGYLAVFQLTRPSKYVYVHHVVYAYFMLCLVGYLSYQLPVWTAYGLLAALLLLNTFLLKGMFPEENNDKSPV >A03p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11445052:11448618:-1 gene:A03p027410.1_BraROA transcript:A03p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFLSRLSRLCLRKPPTLGVKYLCGARFLSETSICRIVRADHCQYSSRDESVGKLVIHNVNASDSEDDEVLEKMVPMELMTGSGTIGASHGWVATFKESIVCLQDDLNPRASNSDPKRISLPRPQTLPHCQTQLVTNVAMSSSSPEDDDCIVAVKFVGPQLSLCRPAEKSKKWVNIRIEDPGFFNSRVMYSKRDKMFSMLDCVGTHIGYWDLEKHRSRNLGSFSYYYEEFVQSQLQQLSQCYRTEHLVEAPTGETFIVKWYSDLLWGSEGNFGNPRWQRFMVFKIRYDQICTAVSTKDIGDLCIFLSTKGEPFSVKASLYGLNPNYIYYVGHFNFGKVNIRDNVWRHLVGARFLSETPLCHIYGAEHCGENWVDGDIGRLMITDFSGPSCWTRVLEKTVPMYMMAETGTMGASHGWVLTSKYGPYLSDDLNPGVSESDFSGIVLPDFETLPHCQTELVTNVAMSSSSPDDEDCILAVKFVGPQLSLCRPAEKNKKWVNIRIEDPAFFNSRVMYTKRDKKFAMPAYGGTHVGYWDLGENLEKPKLCRRGLYTPQLLQSEWEELDLCCTVEELVESRSTQEMFMIKRFRKRNNNKGGRMEEHQIWVFKQGLNRCNPLQWCYTTDIGDLCIFLSKSEPFCLKASSHQKCQNSIYFIDKSERGIFTLGGKCKTSNFSNFTAPYFIPPQSYLNANRSNPSNSP >A10p005540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9393805:9396071:1 gene:A10p005540.1_BraROA transcript:A10p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHFGAGLISTPFDWNKSIWNVKTAPKIRDFLWRTVRKAIPISSNLATRGIQEFPCKRCNGVEDDLHLFLQCDIAREVWELAPLAVKPSSATPSMATLIAAAPSFIVLPPVGLTIPLWPWILWHLWKARNKLSFENRAFSSMEIILKAITDAREWQSAQCTDQIGSIVAARSSTLPPSLHVSNPPHDTVICNVDAAWDSITLKCGIGGIFSAVCETVVRENPVVKATNVKRGGDLMEFEKLFL >A02p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4753254:4755991:-1 gene:A02p010980.1_BraROA transcript:A02p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKIEEIQSTAKKQRIATHTHIKGLGLEPTGIPIPLAAGFVGQLEAREAAGLVVDMIKQKKMAGKALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKETKDVYEGEVTELSPEETESLTGGYGKSISLVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLQDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGVCNVRGTDMPSPHGVPIDLLDRLVIIRTQIYNPSEMIQIIAIRAQVEELTVDEECLVLLGDIGQRTSLRHAVQLLSPASIVAKMNGRDNICKADIEEVTSLYLDAKSSAKLLHEQQEKYIS >A06p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18898754:18903810:-1 gene:A06p034880.1_BraROA transcript:A06p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTRNKNKRQRPSETVDSSSQILRKMYEANDVTEEDVNHLFMIHKKPLCQGCRVNTRDNPNCFCALVPPPNGTRKSGLWQKTSEIIQALGPDLSSHRRASLSSPAGLTNLGATCYANSILQCLYMNSAFRDGVFSLEAEVLQQHPVLDQIARLFAQLRASNRSFVDSDAFVKTLELDNEIQQDTHEFLTLLLSLLERCLSHSAVSKAQTIVQHLFRGRVSHVTSCSKCGRDSEASSKVEDFYALELNVKGLKSLDDSLSDYLSLEHLNGDNQYFCASCHARVDATRCIKLRTLPPVITFQLKRCVFLPKTTAKKKITSSFSFPQVLDMRSRLAESSESELTYELSAVLIHKGSAVNSGHYVAHIKDEKTGLWWKFDDEQVSELGTHPFNEASSSTPKSETNVASNSGKNTTESEVFSSSDAYMLIYSLRSSKLESWEGQREDPIDITKGDVDGVQQPEGGYLPPHLDEWISDLNATFLEGCKQFDIRKERELNTLTERRQEVRTILSEAAVQSLEDQYFWISTDWLRLWADTISPPALDNTPLLCSHGKVLASKITCMKRISELAWTKLESKFNGGPKLGKGDYCRECLMDSARMVVSSDSYKDRRTFMKSIASDVLSGKFEDGEYYVSKAWYVFNCPVTDRLQQWVKRKNLDAPSEADAGPTNAITCSHGELMPEQAPGAKRILVPENFWSFLVEDALKVTTPEDTSGCPCFTLDSSQCSHCTSELSEVADVEDALRTIKAKQRQNHEKLATGKGIALAPQSRYFLHKRLLERLPELVCKRGSFFQKNPSTDKLTIIPEDDWKCFCEEWGGIMENGVSAFTETGNNRHGSASQDVIDLEKDMDIDSQQLILRTSPEIFELYSVPTHVCEECIGDRESYELMQKLSYSEGEVSVSLVRGKEAPKSMLEASDSGLEVDRRTSKRSRRTNYGKQTSLKVSATTTVYNLKMMIWQLLGVMKENQELHKGTQVIDQESATLADMNIFPGDKLWVRDTEIHEHRDIADEICDKKMGHQDIEAGFRGTFLTGDITSEAR >A02g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2890507:2891322:1 gene:A02g500950.1_BraROA transcript:A02g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLEEHGEEEKDSLDDDSEETTPLCLSFAAFFLLLLFSCNSDSYRFLVVRCKVGITNIFNCFPCKISSKESLDTMAIYRQSHVLELWQRPEFISGYNNTSRRIMLPFQDSPLLCVSLKVEEERGGQRRTQHLDNGKATL >A03p029560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12446620:12448514:-1 gene:A03p029560.1_BraROA transcript:A03p029560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREPSLVPEWLRSTGNGSSVGSKNHILSSSARSDSSLLLNNNSKTRNPRTKATDVDSPPFLDRSCSTNSRRGSTKNAYSNFNVQRSNRDKDRSSRESYIDHPWDHDTSFPFGSFLNEVQLRRSNSMTSRKQDDHPGFSLGFKDGRSIFNRNGILPPAQSSERNQDVVRISSPCLSPAVVGGNSSLTPGEHWTSALAEVPIVIDKSASDPVAANVATLTGQTRNMAEALLQPPRTGTPPQESSKIQRLEDRALKLIPVLPSTPKGSVLSSSDKSKNKPMPRSGEIGPASFRNTHQHSSIRLGNLPSNAGGQIKPDTTKKMVVLKPAVKESASPRSTNNSLAAAAASQMIAAPSAPSTSSAQSTNNPRELKGASVNMPAEKKLSLAQTQSRNAFFSTLKKKETSTNISTSSCTISKELVASDPSSVERDDMVMERVEKVSERVSVFESTDLPDEEEAEFLKSLGWDENNTEVEALTDEEIRAFYEQHKEVKPSLMQTLPIIKEATEDATLNS >A06g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7788280:7790199:1 gene:A06g502200.1_BraROA transcript:A06g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGVDHISDDSEPNEAFLADAPPAGSDLTTIRELAELKLSLQQMGEKIHHVTSAAPQIESVLAATSRTPFTRALTSVQLGKIEKLRLPEYKPGGDPDQSLRDYMEKFKAVVSKIEIPDGIAIDALRNTLWVHSKFQEDLYQNPTKSLQDAIARSDNFIRMEEDTNAILSKMSAPKAPAAKNANARQEPRQHAPNDKNGRKDGYMYVVNENNAPISTLVVRGEGWNKWVRELESSDQKVDSVCTTQPAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPETGSDTTRRDLRTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVRLNKSKSTDLRRQLERAKGQPQLPPPDTS >A10p010390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5754336:5755008:1 gene:A10p010390.1_BraROA transcript:A10p010390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAISGDSTISDEFSDLQECWCEDELLSRYNDYFAKALSEILFPWLKMFNESPNFSTLNDVNPSFALIFELKKIILVLLTFPSPSTRVKTTEKVEAIYLLLKDMALSPDMPGGSNAMKHMFTFALRSAININKVEDFFIFV >A10g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6424676:6427285:-1 gene:A10g502370.1_BraROA transcript:A10g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDADNMQIPLNRGSGTDLHTPVADVSAANAQANAATLEEFKKMTQAIRPRGTTKIRGRRLDFATPLDRTGVARERPSAQNPSEKSPIKKGNSESLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGEVSMTLGSIQLPVMAKEITKIVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKIDRSSAKSAPGEDEVKSSIDANASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATSTAE >A10p040770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22417198:22418738:-1 gene:A10p040770.1_BraROA transcript:A10p040770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLCDESWLSGPSTPEPFPNFRLNIHDDHVEMSPAMDAATVEEAISMDLEKESCFSNHGDKFIEFLVSKKLTDARSQTVQWLIQTRNRLNLSFETIFCAASCFDRFVYATICNEWSKWMVELVAVTSLSIASKFNEVSSPSLEDFQMEGLNHMFHHKTVLEMELIVLKALEWRVNSVTSFSFSQILVTTIGMGGGDIMMNRITDHLLDDLCDLKMLAYAPSAVAVAVVLGFLEEKAALEENLGKIMNLFGEEHKVSVAKCINVMKSRNVEEGWRREVKSPASVLQRGEVMNMNIVYYVENLSAIFQILRSGGSDKKRERDSYEDENRPGKRVTIVTSN >A02g510240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27344914:27346885:1 gene:A02g510240.1_BraROA transcript:A02g510240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSFWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDNFFAGLPSGFDAPPPTKESARPRVVGEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVCKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A03p041490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17339040:17342329:1 gene:A03p041490.1_BraROA transcript:A03p041490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEIVYTTLTPNKESASEGYDTTHNDEYDPGSSPQSDSLLDPDALIYEDDSDHYGYEMELEEEEEEEEEEEADDYVSEYQALFDAKEKELPAGVEVTMDWLPNSKSSGSNKSSREDHGIKLEATSSSKKPKKLPHNSKGFTPNSAYALPQIYLDPQAPVPVPAPAVPAPASSTVLLPTQNNPKGIYNARPDVQEVISDSNTCRVKRNMDDYLGKFVFFKRFDIVEDLVDHQYASKGTASKKHSKEWAKRIQEEWRTLENDLPEMIFVRAYESRMDLLRAVITGADGTPYHDGLFFFDIFFPDTYPSVPPVVHYHSGGLRINPNLYNSGKVLVSIQGLILNQKPYFNEPGCERMAGSPSGERLSNAYSENTFLLSLKTMVYNMRRPPKYFEDFSYGHFFSCAHDVLKACNAYRNGAPVASLVRGKVKEGDESNERCSEKFRTDVATFVDTILLKEFILLGVLGLEPEEEDKPSETRVAESSTSKRPKRGGVSSKTKNDVAK >A10g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:481656:486786:1 gene:A10g500140.1_BraROA transcript:A10g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPGPVESLSSDEAIDQDECAPSCEDSPASGALSAQASPSVDVSSDLSDPAESETLASVTVISVTTPGQSNLDASTVKTTSASDLPAESTVPAKETYKEECGGSRSNLTRRDGTPVGLITSDKSSNGVQTDSSDLDSSEAEAERSENEVILHAHLTTVDPPVATSSADKFFWTVDETRDHILLTCGYSSEIWRYILPRLESPDVCFMNWTELLSWIKAPARGNFCTLKKIVTQSTLYHIWRQRNNILHNQVLIPPDTVFRIIDRDVRNILLGRRGRRAYNTLLSSWLKFE >A03p029880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12556672:12560386:-1 gene:A03p029880.1_BraROA transcript:A03p029880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MLH1 [Source:Projected from Arabidopsis thaliana (AT4G09140) UniProtKB/Swiss-Prot;Acc:Q9ZRV4] MTDCSPLAVAMEEEESTATAMDVEESPSTSIAPRDPPKIQRLEESVVNRIAAGEVIQRPVSAVKELVENSLDADSTSISVTVKDGGLKLIQVSDDGHGIRREDLPILCERHTTSKLSKYEDLFSLSSMGFRGEALASMTYVAHVTVTTITKGQIHGHRVSYRDGVMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYGKIVDLLSRMAIHHNNVSFSCRKHGAVKADVHSVVSSSRLDSIRSVYGVSVAKSLIKVEVSSGESSGCAFDMEGFVSNSNYVAKKTILVLFINDRLVECSALKRAIEIVYAATLPKASKPFVYMSINLPREHVDINIHPTKKEVSLLNQEIMIEMIQSEVELKLRNTNDTRTFQEQKVEYIQSTLKSSRSDTPVSPLPSGQKTPKVPVHKMVRTDSSDPAGRLHAFLQPKPHNLPDTVSSLSAVRSSIRQRRNPKETADLSSVQELLSGVDSCCHPGLLETVRNCTYVGMADDVFALVQYQTHLYLANVVNLSKELMYQQTLRRFAHFNAIQLSDPAPLSELILLALQEEDLDPENNEKDHLKERIAEMNTELLMEKVEMLEEYFSVFIDSDGNLSRLPVILDQYTPDMDRVPEFLLCLGNDVEWEDEKACFQGVSAAIGNFYAMHPPLLPNPSGDGVRFYYTKTSEKSSQENPALGGNVEMESVLDQDLLSDAETAWAQREWSIQHVLFPSMRLFLKPPASMASNGTFVKVASLEKLYKIFERC >A04p035530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20525441:20530983:1 gene:A04p035530.1_BraROA transcript:A04p035530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVQNIYNNAHSVSSLLVFFVLILFRPALSISAANRLSSAESLTISSNRTLVSPGGAFELGFFKPSALPRWYLGIRYKKVSEKTYAWVANRNNPLFTSIGTLKISGNNLHLLDQSNNTVWWTSSPSGDVTAPVIAELLSNGNFVLRHSDNNDDPSSFLWQSFYFPTDTLLPEMKLGIDHKNKRNWILTSWRSSDDPASGNLTFNLETQWGLPEFILRSDGRVVARSGPWDGIEFSGIPEMQRSDNIISNFTDNSDEAAYSFRMTNHSIYSILTARDWMLVRVTWTSTSLEWKRSEDNLFTDICDVYHVCYGPNTYCDINTSPRCNCIRGFVPQNATEWAERDEVLGRSISGCVRKTQLNCEEYHDFVLLNNTKLPDTKTATVDQGIIDEKICKERCLSDCNCTSFAFGKNGLGCVTWTGDLVDIRTYFEGGYALFVKVSADDPDFSSGEKRDRTGKTIGWSIGGVSVLLLLSVILFCFWKRRQKQAKADATPIEGNQVQLNEMVLRNINSSREDEIEDLDLPLMEFEAVVAATERFSHSNQVGKGGFGAVYKGRLSDGQEIAVKRLSAMSAQGTDEFLNEVRLIARLQHVNLVRLLGCCVQANEKILIYEYLENLSLDSHIFDKTRSSMLNWQMRFDIINGIARGLLYLHQDSRFRIIHRDLKASNVLLDKDMAPKISDFGLARMFGRDETEANTRKVVGTYGYMSPEYAMNGTFSMKSDVFSFGVLLLEIISGKRNKGFCDSDSNLNLLGHVWRNWNEGQGLEIVDTAVIVDSSSPTCRPREILRCLQIGLLCVQERVEDRPMMSSVVLMLGSEAVFIPQPKRPGYCVASGSSLDTRSEDESFTVVLYDTAKSPPTLTLPLLPSPPSKQAQQQLPHICPNTIVVGLSDSSKNGILVKAILPEALGQHVSGLLHPAQQLSVHLPLPYVLAPSPSTPSLLFSQVLLDPSYQKQAFEALSPLALTAQSTPPNQKIQGASIVLIQSIKLHPMQRSTLMVGGEQLPPPASSLQQPLRTGLTAILHARFCVWSLMVAATLILLCTKPIHVSVLGPVPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEVKLDHQHLSLLLPLRLTTWEVENRHADDSTNHTSRKPGQQRWMARSFRCWVALRISRTIRLVFSRLYEEDIESTTE >A06g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10809128:10815052:1 gene:A06g503570.1_BraROA transcript:A06g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYLMMTAFSGTYLILYVIATVMLTLHQFIRTKCSSPRYYFTDRRIGTRKAFYSSSSGKEDSGTLWEFRFLLGLQNLLLHICAVTRPSPSLESHSICIWNSIASSYSISCLCQSYWRVVDRNVVVKIVSAKSVDQLGQAYVRSLISTDEVGEDLYRGLGDKEDTSVDTMVALIDDDYPFEHNTWSGGVKADDVKLKKGHAQTSESSDENVPDPVEKDNAHRGGVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINQLLKSGLRGADMGATYGFSPGRHASPFPGQNDDFNYTEVNPDRHTTHTGVPESTPRDGEDVAATETASVGLGQNLDEGERGGGLAPDADVGHDPINVEVGEHGGDADIGHDPINVENPSPSINVASPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKILSEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDDRGEAVVGNKGEDVDEDDVTITKVQAGRVNTDAAGSQADGGRRFSGRTHTSTKRYTPPAPAVRKKDGNKKVARQTDDNPAPPKRVKKVAAEPSNPKTRPQEKTHLYRWLLPIFSVNLSCKRGLPEDNGRGKSGNNQLTVLPLSFRSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSTPSSKFDFIPPTFFIDLIRSYPAFEDMQDKSAFTFPVSLRNRHWIGMIVDLSMWALYVVDANQTCPPISVLKDVVNPISIMMPHIVSRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALILLEIAAVGKPLIDLALTEEEVRVAAENYAISTLGMFKVVPPNPAV >A01p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7807129:7810516:-1 gene:A01p015910.1_BraROA transcript:A01p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRH1 [Source:Projected from Arabidopsis thaliana (AT4G23640) UniProtKB/TrEMBL;Acc:A0A384KFZ5] MADRRNRCNQVLLLAYQSFGLVFGDLSISPLYVYKCTFYGGLRHHQTEDTIFGAFSLIFWTITLLSLVKYMVFVLSADDNGEGGIFALYALLCRHARFSLLPNQQAADEEISTYYGSGDATRNLPSSAFKSLIERNKRSKTALLILVLVGTSMVITIGVLTPAISVSSSIDGLVAKTSLKHSTVVMIACALLVGLFVLQHRGTNKVAFLFAPIMILWLLSIATVGVYNIVTWNPSVYKALSPYYIYVFFRDTGVDGWLSLGGILLCITGTEAIFAELGQFTATSIRFAFCCVVYPCLVLQYMGQAAFLSKNFSALPTSFYDSIPDPFFWPVLMMAMLAAMVASQAVIFATFSIVKQCYALGCFPRVKIVHKPRWVLGQIYIPEINWVVMILTLTVTIFFQDTRHIAFAFGLACMTLAFVTTWLMPLIINFVWNRNIVFSVLFILFFGTIELVFFASALAKIPKGGWITLLLSLFFTCITYVWHYGSRKKYLCDQHNKVPMKSILSLGPSLGIIKVPGMGLIYTELASGVPATFTHFLTNLPAFYQVVVFVCCKTVPIPYVPQKERYLIGRIGPKTYRMYRCIIRAGYKDVNKDGDDFEDELVMSIAEFIQLESEGYGGSNTDRSIDGRLAVVKASNKFGTRLSRSISEANIAGSSRSQTTVTNSKSPVLLRLRAEYEQELPRLSMRRMFQFRPMDTKFRQPQVKEELFDLVNAKDAEVAYIVGHGHVKAKRNSVFVKRLVVNVAYSFLRKNCRSPAVMLNIPHICLIKVGMNYYL >A10g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9850160:9851490:-1 gene:A10g503980.1_BraROA transcript:A10g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGVAHRHLLPSLLLGSTPSHSILRNSLQVGETLSIKGQSLFQNHHPHQSYNNRNLVLNVKRGGELIGVDMLLLDSKATLMPGSSPSHHLQTTLKGGRTLKVSKSKSAAWPSQHKYPASSDVSGTLSMFDSDAASVPECHIWETTLF >A05g510380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31991844:31996458:1 gene:A05g510380.1_BraROA transcript:A05g510380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKRLVVSCFLLVLLLAEANAQGLKVGFYSKTCPHAEDIVRKVVFAAMKKAPTLGAPLLRMFFHDCFGCDGSVLLDSSNNQAEKNAVPNLSLRGFGIIDDSKAALEKVCPGIVSCSDILALIARDAMVALEGPSWEVETGRRDGRVSNINEVNLPSPFDNIAKLITDFRTKGLNEKDLVILSGGHTIGMGHCPLMTNRLYNFTGRGDSDPSLDSEYAANLRKKCKPTDTTTALEMDPGSFKTFDVSYFKLVAKRRGLFQSDAALLDNSKTRAYILQQARGSTFFHDFGVSMVKMGRIGVLTGRTGEIRKMCRVPN >A01p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2009481:2013619:-1 gene:A01p004690.1_BraROA transcript:A01p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin ligase SUD1 [Source:Projected from Arabidopsis thaliana (AT4G34100) UniProtKB/Swiss-Prot;Acc:F4JKK0] MEISSAESESLSISGGAAASSEAASESSVSSPSSSSLSASSQASPTAFSAVDPASLTATASRYVDDDEDEEDVCRICRNPGEADNPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPTRLPFQEFVVGIAMKACHVLQFFLRLSFVLSVWLLTIPFITFWIWRLAFVRSFGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDEREDEGERNGVRAARRPAGQGNRNLAGEGNVEDAGDQGAGVGQVNRRNPENVLARLDIQAARLEAQVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFTLGRVILYHLSWLFAAARGPALTASMHFIDSALENTTLKSALTSVSNLTNEGQENGLLGQLSEMVKVNGSELNGANSTLSVAADLLKGSVTGSSKLSDVTTLTVGYMFIVLLVFLYLGIIALIRYAKGEPLTVGRFYGIASMVEAVPSLLRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTVRMFGKTMSHRVQFLSISPLASSLVHWVVGIMYMLQISIFVSLLRGVLRPGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAIRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFIIEHFRLRTTIKSLLRCWFTAVGWALGLTDFLLPRPEDNVGQDNGIGEPGRQNRAQVGGPDRAIAALPAADDPNRNLLRADNVNAGEEYEDDEEQSDTEYNFVIRIILLLLYAWVTLLLFNSALIVVPVSLGRALFSAIPVLPITHGIKCNESSPKSVDLYAFVIGTYAFWTAISGGRYAIEHVKSRRTSVLLNQIWKWCGIVFKSSVLLAIWVFIIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMLPIMDDSWRAKFERVREDGFSRLQGLWVLREIVFPIVMKLLTALCVPYVLARGVFPMLGYPLVVNSAVYRFAWIGCLSVSLFCFCAKRCHVWFRNLHNSIRDDRYLIGRRLHNFGEAALANQNLNQSSEDAAGDGGVLIGREGDADTGLRLRRAIQQEA >A09p005760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3115310:3116515:1 gene:A09p005760.1_BraROA transcript:A09p005760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVFQPKTCNEIIVAVEESMEKAAESQVVSSASSSATQPEKCNDLTVAVEESMEKATENQAVSSDGSSAAPQPEKTNDVTMAVEEAKEMAAENQVVTSEGSSAAPQPNDIIVAVEGDKEMATENQIVPYTGSPAPQAEQCTDIVIVATENNNPGTENPSLMGSGAVPTGSQILQLYPPRSNKIFSCPTCKKGFPSSQALGGHQNAHKQEREWEKKRKNMEQEYPGFAFLNPNIDNPLMFFLGGYSEDALTHENHLGIPLDDAFKRRFARNHPSVNNGSSDMNITAVPRVAPTGFFAGNTPTTNGSSSGGVGPPYNSYPPMLPRNFPPFPPPQTNNLPSGLYPQQENVLNEVNFISEIGKGKNIIEIDDDDDDDADAGADDGPIAGTSKSWGADLSL >A01p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1374919:1379397:1 gene:A01p003010.1_BraROA transcript:A01p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENPFRSILKALEKPDGGEFGNYYSLPALNDPRIDKLPYSIRILLESAIRNCDEFQVKSKDVEKILDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFDNMLVVPPGSGIVHQVNLEYLARVVFNRNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRDGMTATDLVLTVTQMLRKHGVVGKFVEFHGEGMRELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPASKTVYSSKLELNLEDVEPCVSGPKRPHDRVPLKEMKADWYSCLDSRVGFKGFAVPKEAQSKAVEFNFNGTPAKLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLAKSGLQKYLNQLGFSIVGYGCTTCIGNSGDIHEAVASAIVDNDLVASAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGTGKDGKKIFFRDIWPSNKEVAEVVQASVLPDMFKATYEAITKGNSMWNELSVASGTLYEWDPKSTYIHEPPYFKGMTMSPPGPHGVKDAFCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKHLKGEVGPKTVHIPSGEKLSVFDAAMKYRNEGRDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKTGEDAETLGLTGEELYTIELPNNVSEIKPGQDVTVVTNNGKSFTCTLRFDTEVELAYFNHGGILQYVIRNLIKQ >A06p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5378186:5380858:-1 gene:A06p001940.1_BraROA transcript:A06p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LanC-like protein GCR2 [Source:Projected from Arabidopsis thaliana (AT1G52920) UniProtKB/Swiss-Prot;Acc:F4IEM5] MGERFFPNEIPEFLPEDAGEEETISTHKDSLTKLLSLPYRSFSKKLQRYALSLKDTIVFETWDRSGKRVRDFSLYTGVLGTAYLMFKSYQVARNENDLKLCLEIVEACYTASKDSDRVTFVCGRAGVCALGAVAAKLTGDSQLQDRYLALFHEIRLPSDLPYELLYGRAGYLWACLFLNKHIKKDSVSSARMRSVVEEIFRAGRQLGERVKCPLMFEWHGKKYWGAAHGLAGIMHVLMHVELEPDEMEDVKGTLSYMIQHRFPSGNYLSSEGSESDRLVHWCHGAPGVALTLVKAAQVFRTDEFVEAAMEAGEVVWNRGLLKRVGVCHGISGNTYVFLSLYRLTGKPEYLYRAKAFASFLLDKSEKLISEGKMHGGDRPFSLFEGVGGMAYMFLDMNEPTQALFPGYEL >A02p034930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19115780:19120190:1 gene:A02p034930.1_BraROA transcript:A02p034930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKHLVVAIRVHTHDVVLSLSFGQSQFEWVIGYGTLGVYLHGGTGSTGIVARVGSSAGCSVSSSERWTSSNYDFLPSPSTVSKAKNVKKAGELIGVDMVFLDEKVTLIQPRDGLSCTVPIYEGYAFPHAILCLDLGGLDLTNALMKILTERGPQPHQSVKLSQTYKRSFATLVLTKDLEKAKTSSAVEKNYELPDGQVITIGSERFRCPEDRGSLSAAYDWYGLTILYKDVYGNTVISGGIGKHIFSGIAKKMSYDITAWAPSSITIQQMWIANEQYDESCPSVIHRKVGPIALLPATRVLFQKLSESDKLRGPLISKFLVSIIIRFPGIPMISALKTHNDQTFSKALVYGK >A07g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:901754:908022:1 gene:A07g500390.1_BraROA transcript:A07g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSRATRVTVPTKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELAWLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTTKVDQLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQAGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIKKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETAPGAEERAEQSASSGVTAPSELAETPPSRVYVPKVPYPIPPKHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQVFKNCRETQEEIKVLYTKVLSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALYDSEKLKVVPEKEHGDKEQGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGNYNHHGICPNYPYFLSQPPVALIYHIFCFSLSYEANSRQKKTIGDFCAFGLVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSRLALN >A03g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28808702:28809036:1 gene:A03g508420.1_BraROA transcript:A03g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYYMLLDESTLPPTFIDSGSIIERLIMFYLLLLIFINYKIQ >A03p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1625200:1626942:1 gene:A03p003730.1_BraROA transcript:A03p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMDRERRLSARNGTRTPPEYTNGKFRDDNNGFYGGGFLDRSEKSPSRSNILRIPSPTSSPPPPSGSSPERGYIEHRVSKFDTLAGIAIKYGVEVADVKKMNGLVTDLQMFALKSLQIPLPGRHPPSPCLSNGSLNHGPFPSTNTPLNHHRKSRSLVNALLEEINQSPDNTTHQEQSSDKFIRGRRKSVADFSSRTPELLLKEENSSSNGGFLSIAGKGLALRSKASSRTNLSSAESETTSNFNPVPINLMDASVSDSFSSVRKSSSASSLQDPDGSNGSSSLSLWPTSKWSLKPDLLTPAAITSSIFDGLPKPLTGRRNKAAMD >SC165g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:92660:94634:1 gene:SC165g500050.1_BraROA transcript:SC165g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A08p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11512698:11514840:1 gene:A08p012650.1_BraROA transcript:A08p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRNLRALVPRGFLSSPHSYNVRSNPVTMAGRVVRNLFAILNVGNNDRSTVKKKQNGRMKTKETCPVGLLKNSVVKVFFASRDYSRTRPWETHTERCYGTGFAISGKRILTNAHVVEVLNEHTSVHVKKRGSTIKYKAKVQKIAHECDLAILEIDSQEFWKGMNPLELGGIPPLKKAVFVLGYSGGIPFLTSNRIWITKGLVSSFETKKYLHSDTELLRIQIDATIKDGNSGGPVILENKVVGVAYEGSQIQSSLIPTPIVKRFITGDEESDQQAVFCSLGLSYQSIKNAQIRNHFKMSSEMTGILINKINMWSGAYGILKKNDIILAIDGVPIANDATVPFWENERISFNYLISMKKPGETSMIKVLRRGKEHEYNINLKPVKPHVRVQQYYKRPSYYIFGGFVFVPNHNLSESEEQHVIISEILEDDINQGYESFKDLQVEKVNKVKVKNLRHLFELIEENGTQNLSIDLEDDKVLVLNYESAKKADSIILKRHNITSAISNDLTGPSN >A02p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12408333:12409373:1 gene:A02p025160.1_BraROA transcript:A02p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLELETSNVKDSMTYSQEAGTIRKNGYIVIKGRPCKVVEASTSKLANVTALPSISSLPTSLRISFCLPTTVPHVNYTDYTFLYIFDDGFVSVLTENGPTKDDLKLPTDESLLTQINLYRSFLIAPKVSDLRYSIVIH >A06g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25853575:25855382:-1 gene:A06g509090.1_BraROA transcript:A06g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMNRNSRSSSSSFDQQTMKSMDVLAVNKLESRSSFESDSVVLMEYLTGGLQKFLASRRSAMNDNRRAARRWFYCVRRRTKFKDWSDFKLQLLERFGHVRSCSSVAVKLKDESSCSSEAVPEIKLVKKTASPTEEDVSAELETEETSPYVADSLCEIDQTACLRCEHEAIEIVQNTDSLKPFGSVKECSPRVVMVTQTEREKDKFHATDQEEELVQEKDESTLINEAVRETELVGKLNSPLVEAIDMKAYEETEEMVPQDSLNALEQQTGLFLRHDFVKENYSSAPVLEEIDSVQFLRETVIIDEENLEIACVSRLCGHRLRRVPIKKRQRKGLKTWKFKYKPLSLLRIMLQMNSKQVTDERKHKELLTVKRRQDSKYGRQLFLGVLTYHVNHKWRVKHFTPVSDSEGCVDQLVDENDATKSVLVRRMLARLDERHLRWWLELGGSCSDLKVRRVPTIWESWGTACDHGT >A07g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19308093:19308730:-1 gene:A07g507280.1_BraROA transcript:A07g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLKVEQNIKKGAAKTKMVWTLGAASRSVEMIEKLLEAGMNIARFDFSEGLTHTTKKQSIISEPPYGTLVSSAPSCSTQRKATYACWKYPKAKAIIITAKAAVKLVARCRPSVPVLLVVSMSESFKWSSHVASHGLVFHGIIPLMGADSKTIGDMISFSVQVAKKEGICNSGDLVVALHVLNVLQPLHVQ >A02p030150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15307337:15307906:1 gene:A02p030150.1_BraROA transcript:A02p030150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPIKRTTSLSEIKFDLNLPAESEVSSNQQSPTQTTVVGPYGSNGQVVAASVNQQRGIDERFLAMVTPRPRGNLRRHSGDFADAGHFLRSCSLCERLLVPGRDIYMYRGDTAFCSSECRQQQMAQDERKEKGKPARQSVVAATAKPGKGGRAAAAV >A03p022950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9674639:9677451:1 gene:A03p022950.1_BraROA transcript:A03p022950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADHPNSDSTSTTVMETVNGSHQFTIKGYSLAKGMGPGRYIQSDVFSIAGYDWAIYFYPDGKNPEDNSAYVSFFIALASDSSDIRALFELTLMDQSGKGRHKVHSHFDRTLEGGPYTLKCKGSMWGYKRFLRRTALEASDYLKDDSLVINCTVGVVRARLEVPKQFGVVLPSSDMGQGLKDLIDSEVGCDIAFQVGDETYKAHKLILAARSPVFRAQFYGPIGNNNVERIVIEDIEPSIFKAMLSFIYTDGLPDVHEITGSASASLITNMIQHLLAAADLYDLGRLKVLCEVFLCEKLNVDNVATTLALAEQHQLSELKAFCLKFVTSPGNLRAVMKSEGFKHLNQSCPSMLSELLSTVAAGDKILTSEQASKKRSLCSSVLAYDTNAARQLRRRT >A04p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13734149:13735370:1 gene:A04p022730.1_BraROA transcript:A04p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At2g22760 (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G22760) UniProtKB/TrEMBL;Acc:C0SV56] MDADIFSTDFSLENIDFDFNIHEEMNVTPVEASMHTQRAHYSSSFDHQMNLDFLKEKPKPAVKEMMNINNKSRVISFDFSSNVSSSPAEEDIIMDRLVSCGSKRKACSSATRSPVLAKEHVMAERKRREKLSEKFIALSALLPGLKKADKITVLDDAISRVKQLQEQIRKLKDEKEARGEMKSMILVKKSKVFFDEEPYVASSSSSSSSSSSSSSSSSSSSSCHAKFDQLLPEIVAKVVQKDVLIRIHCEKSKGCMLNILNTIENLQLRIENSIVLPFGDSTLDITVLAQMEKDFSASALKDLIRNLRLSMV >A09g513810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41935967:41937084:-1 gene:A09g513810.1_BraROA transcript:A09g513810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDPQTTSVPSPETNETSTLQEPVVPLSQTAPTMETELSSHVSKPSLPQQRYQPVDKSKNLNPSTSTASQSTLPNTIIPTPSQLTVNSPSLSATLPPSSTNSHPIPPPKKAPLYP >A10p032380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19166853:19167588:1 gene:A10p032380.1_BraROA transcript:A10p032380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLFFDPRETITRSLVSKLFSLSDNPIIQAIQNDRYYCFLAPLTLPALPVSVYFHWLTMKLFKHA >A06p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5940705:5942154:-1 gene:A06p013320.1_BraROA transcript:A06p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMLTDVFRGHPIHLPHSHQPDFTSLSELPDSYTWTPKDDPLLDAAPSPPAASENIPLIDLNHPDAANQIGSACRTWGAFQIANHGVPLELLQGIEFLTGSLFQLPVQRKLKAARSETGFSGYGVARISSFFNKQMWSEGFTITGSPLNDFRKLWPQHHLNNYCDIVEEYEEQMQKLASKLMWLSLTSLGVSEEDIKWASANPDLNWAQSALQLNHYPVCPEPDRAMGLAAHTDSTLLTILHQNNTAGLQVFRDDLGWVTVPPVPDSLVVNVGDLFHILSNGLFKSVLHRARVNQTRSRLSVAFLWGPQSDIKISPVPKLVSPVGSPLYRSVTWTEYLRTKATHFNEALSMIKNDIDE >A09g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27759232:27759764:1 gene:A09g509430.1_BraROA transcript:A09g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKSNPCKDIFTKSLAVKSFSNLNRTTKYDYPKATDMYPNRPRTSSSMAIGPQTSQARSIRGDQACTQLGRYVATERPSRSDRARAKARSLSSDRASVPLGRYVATELEPSSRPSSSQARSLRSDRASVPLGRYVATELKPKLGLYVATERPSRLVAT >A01p052700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29545911:29548553:1 gene:A01p052700.1_BraROA transcript:A01p052700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIVVFQFTVFDESIKERAKEIASEFPGVTKVVEVEGEGQLEVRGEFSTFELTKELMKIDESVETIKIVPDGVTEPEVKIQQQDKGQPPTNIQKTSKWPSDVASSSNGRGVQAYEFLGQAIDVAKLAKDMGVGAAKQAKDMGVGAAGKAIGLGMNYYRNKKEKDVKAQLEPKLGAEQRNIWGHLPEAQKRGLFEVEKQKKIREEEERRKKQAEEKIREEKMRKQAEEMKQKKIRDEEMRKKAEEMKQKKIREEKMRKQAEEMKQKKIREEEMRKQAEEMKQKKIREEKMKKQAEEMKQKKEEEQRRKQAEEMEQRKIRLDKEKRKMMEKDLAIKKEEEKLKIWQEKMKNSGGTTWTGTTGSLWGNLSTQTSAWMGLQTGETSASAQTQLPGRASASTQNQRLTQRRKEESRGVDKKGKNHQ >A01p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20883872:20893711:-1 gene:A01p029490.1_BraROA transcript:A01p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 3 [Source:Projected from Arabidopsis thaliana (AT3G47360) UniProtKB/Swiss-Prot;Acc:Q9STY7] MDILHTSLDVLLPLVSIIFFFLFYPFYVLIKLVMFLYKQFLFENVAGKVVLITGASSGIGEHLAYEYAKKGAYLALVARREDRLDIVAETSRQLGSGDVIIIPGDVAEVEDCKEFIDETIRHFGKRHFCNLAWPWVLSPLDPKCRVSNVSTSIDGTCVHRSILIFICRGISWCRSTALDAHRSTVLPLVDPYMDINFWGSTYITYFAIPHLRKSKGKVIVITSGAANIALPVASVYSASKAALLRFFETLRIELNPDIKITIVFPGVVATDMTTPRCIEHYGSDFIFTEPVSRCAKGIFNGICRGETYIEEPSWMKWIYVMKNVCPEIVEY >A05p044340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26622161:26622659:-1 gene:A05p044340.1_BraROA transcript:A05p044340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSSGYVNHPPLSTLGLSKVEETRSLKMQCKNINNAGCFVIYQKKVVDAEIFTLVKKHAVSDKAFMPGESWVWFGKKIKVVNCWPVLICFVIFTQSSKSKLTPNQGECASSTRMLLKTAGKLRSLVPKRTKTTPDQSK >A02p009830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4146353:4147879:1 gene:A02p009830.1_BraROA transcript:A02p009830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 19, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20070) UniProtKB/Swiss-Prot;Acc:Q94A82] MNLRTHAYAGNPLRSKTPKSTDSFSPSSAFKSLKSLIPSIPNHPTPSPDFKVLPFSKGRPLVFSSGGGDDASSTTPLWHLGWITLSDCKALLATRGIDLDENSLVYLGPKVEEDLVYWAVDVSSQEEHGVVLELASRKLCFVEVRTLMVAADWEDQRAMDELAIAGHARALLEWHKVSRFCGACGGSTVPKEAGRRKQCSNEACKKRVYPRVDPVVIMLVIDRENDRALLSRQARFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGEVVYHSSQPWPVGPSSMPCQLMLGFFAFAKTLDINVDKEELEDAQWHSREDVKKAVSFAEYRKAQRTAASKIEQMCKGVERNQSLSTDFNVESGELAPMFIPGPTAIAHHLISTWVDQGSGNVHSKQQPGVSLSNL >A06p021640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10730653:10734095:-1 gene:A06p021640.1_BraROA transcript:A06p021640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCETATVRVLCPKLLLSSGNQPGALQWLIGSPFFPPFTVVSTFRCIHQSPDLDQESDDLRKLLPKGFEVIGGLILGDSDAEKSALEAVRAARRLRKSISEGGELDDEKIVGASVDAGTGAIHFFISKTEKLAKLEPVGSVVYEEDKSGKYLWENGCLLHCELPIKLPFYFPASSPSESKKMFSEAVDAVITQFKEPNVVYIAETLKKASGDVPKPVVLCGKDFGFGADASNINRLPAAEDQVSDIISCSHLCLNSKPAALVNSAENADKIQISLMLNRSEKSPTSGAPVAEYFPATEEARLIVVDLNLDVLTYAPKELPLMHAVSNLVIPALVDQLYSLKKIILPHLLMEHPQLRIYHFNPPGVLHPITTIYELNYGETEMKQVDVRKSLHMRLGLPLDRPLLRTANALDLSVNDDSRSNTKKRGSIQLKDVHIGIPSSGVSEGVASVIQGSYEYYHYLQDSFDDSGWGCAYRSLQTIISWEIQQTLVEIGDKDPSFVGSREWIGAIELSFVLDKLLGVSCKIMNFRSGSELPEKCRELALHFETQGTPIMIGGGVLAYTLLGVDYDEGSGDCAFLILDPHYTGGEDHKKIVNGGWCGWKKAIDSKGKSFFLHNKFYNLLLPQRPNMV >A10p003900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1912360:1914714:-1 gene:A10p003900.1_BraROA transcript:A10p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCVRNTMGRSSAWFRRISQVYVSVKRWSSRVQHVVICCEEDVGSQHEFLKEHVFTGTQEQFEVELFETITKLNKIMENSLRGFNGNGFQVQCKRLQGDAKGEQVCSSTKGKLKKLDEGVKKISDKVEIVKKCVI >A06g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23486087:23487675:1 gene:A06g508370.1_BraROA transcript:A06g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDEMSLLYVIVALVFFASILIARKAKKKTMNLPPGPLKLPIIGNLHQLGSQPPRSLTKLSEKYGPLMSLKFGKVSTVVASTPEAVKDVLKTFDVDCCSRPYLTYPARITYGLNDIAFSPYSNYWREVRKVAVVELFTGKRTLMKLSGSVTCRVGFGINLKGSKLENTYEEVIQGTMEVLGSFAAADYFPVVGRFIDWITGLHSKCEKVFKAMDAFFDQSIKYHLEDESIKDDIIALLLKMEREETGHGEFQFTRNHTKGILLDILIGGIDTSAHTVTWVMTHLIANPRVMKKVQAEVREVIKNKDNTIEEDIEQLEYLKLASKDLKIGGYDIPKKTWIQVNVWAIHRNPNIWKDPGVFIPERFMDKDIDYKGVNFELLPFGVEGGCAPLPDGMGAKDVDLEESYGLVSPKKIPLELIPVITQWT >A09g516470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48643885:48649208:1 gene:A09g516470.1_BraROA transcript:A09g516470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTSCPGLCTISKHLKWASCDLSGHTGPYRSVQGRTASPYLCTLAHFDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHKITWRMFSTQLRSSSKKNQIKRSSYMIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEKAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPLLHDLQEHCEEPSSLNSLSDMVVKVSTDDPDLLSFETDNTWHFLRSFRYNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNKKHSWPNFKIDKPIFGDQLTCLSLAHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYILRTWNWKYLREASSKLQGSKIDLRSNPFEEGGNDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLLNHEASTHEITWRMFSTQLRSSSKKNQIKRSSYVIVMPFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKFLGEVISKFRSFFCWTVLNPLGSEFISTLPKSDPYFGSITFAPQHSSKSLLDFDLA >A10p007950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11102631:11104812:-1 gene:A10p007950.1_BraROA transcript:A10p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRKTKKKNCELNEIRTTEGLVGSMENTAAVAFKNGRDTSFFLPFKLCISKRGPVRDTRGCRKSRQVYPYPILGTPEGIGEGTGLSIGIRARLKPGRTPPRNARQAQPTTTAQRAARRAARAASQATSDNGSHAGDGVEENQVNGPAQGQGQAALDAAAVEELRRYREAYGGRLPGEGAAGGGLAPPLALRSDLARLQPPRTTGPFAHLAPSAGRCTTASARDQDASNADNWGISSGIARSSMMGQSPRHPISRVSCVDVTGMRPGTIVTGPKQGQGLHCRHHRRRSQQPYRECSLQGTTRELRP >A02p004420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1919713:1922115:-1 gene:A02p004420.1_BraROA transcript:A02p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKGYVWIVTNGIADLMSLMGESTVEAMQGVLGVQTYFSRSKELAYLQYRWGKRSGGEELNHFGCWAYDAATALAMSVEKISDVNMSFNKTKKTMSRDDNETDLDDLATSLSGPKLLEALSTVSFKGVSGRFQLKQGKLEATTFEIINIEESGERTVGFWKSDGGLVKRLNASQSSSGLRPIIWPGDTIVVPKGWDHPISPKKLRIAVPKKDGFNQFVKVTKDANTNVLATSGFCIDVFNTVINDMPYHVPFDYVPFETPDGKSDGNYDEMVHKVFLREYDGAVGDITILANRSAYVDFALPYSESGIVFVVPVKDEREKGEWVFLKPLTKELWLLTAFSFVYIGMMVWVFEYHADEDFKTHKMSDKISNVFYFSFSTLFFAHRKPSESFFTRALVVVWCFVLLILTQSYTATLTSMLTVQELRPTVRHMDDLKKSGVNVGYQSGSFTFERLKLMGYEESRLKAYDSPQEMRELFLNKSSDGGIDAAFDEVPYVKLFMAKYCSEYSIIEPAFKADGFGFAFPLGSPLVPDISRRILNITEGETMKLMENKWFYGERHCLDSTTTDTPIQLDHHSFRALFMMVFVVSVILLLLMWGSKRYKERRVEEIELVAQDEVNEEGNVVDGDEADDNDHHVVDVDTALLRRKKLTSTSIPTRRATPLLRLKSA >A03g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7138431:7139114:1 gene:A03g502160.1_BraROA transcript:A03g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGADPYDLKQQENVLGESRMMIPDCHKRLEAALADLKSTLAESEVKEGPEVEDAKKTVEEVEKQFPTEDA >A06p009540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3353603:3355198:1 gene:A06p009540.1_BraROA transcript:A06p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVCLISFFFFISTTSLAAVSPPNPTLYQNFLQCFSNQTKSPPNSLSDVVLPQTAPAFTPTLRAYIRNARFNTSTTSKPAIVIAARSEPHVQAAVLCTKSLNVQLKTRSGGHDYEGVSYTSNVPFFVLDMSNLRNITVLGESAWVGAGATLGEFVAPKTDPSLFMRLLLQPVTRNKAQTVRASVVALFLGKSSDVLSLLTRDFPELGLKQENCTELTWIQSVLWWANNDNATQVKPEILLDRNPDSASYGKRKSDYVEKEITKEGLDYLMRKMIEVGKTGLVFNPYGGKMSEVATTATPFPHRTKLYKVQHSINWKDPGTAAESSFLQQTRSFYSYMAPYVTQNPRHTYLNYRDLDIGVNTHGPNSYREAEVYGRKYFGENFDRLVKVKTAVDPQNFFRDEQSVPTLPGKA >A01p001230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:461720:462894:-1 gene:A01p001230.1_BraROA transcript:A01p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCGCSFATPALSPRLPLEIKTSVSKLRASSPRTHTQINHSDDLTEKSPATRVSLPSHVDSITSTSNPFVKHCLKLRQSASYRHAHGSVLVVGAIPIREVCMFQTNKQGGVATEIECLLLHEEAQVPQGLESLGVRVVRVSSLVMKKLAGVQSIESVEAVALMRIPSSFIDLKDDEDIITDCKKWFPSAHRVLVLDSIQDPGNLGTLIRSAMAFNWDGAFLLPGCCDPFNDKALRASRGASFQLPIVSGNWNHLKLLQREFQMKLLAGHPATQKPVSRLSLEFAQSLAEKPLCLILGSEGHGLSEQSRQVCELVSIPMEGEFESLNVSVAGSIFLFMLQ >A05p047700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28051818:28053191:1 gene:A05p047700.1_BraROA transcript:A05p047700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSSSFTRFRSSGEPSPQGTGVQMAHPAGVNLDKFFEDVESVKEELKELDRVNDTLQSSHEQSKTLPNAQAVKDLRSKMDGDVGVALKKAKMIKVKLEALDRSNAANRSLPGCGPGSSSDRTRTSLLNGLRKKLKDSMDSFNRLREVISSEYRETVQRRYFTVTGENPDEKTLDRLISTGESERFLQKAIQEQGRGRVLDTINEIQERHDAVKDIEKNLRELHQVFLDMAVLVEHQGAQLDDIESHVGRASSFIRGGTEELHTARVYQKNTRKWTCYAIIILIIIIVVVVLAVVKPWQTNGSGGGGGGGGGGSPPAQGQVPPPSPPQARRLLLR >A03p058810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25505674:25512503:1 gene:A03p058810.1_BraROA transcript:A03p058810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYYQRRMDWRFSISNQGWHVFGTRSRSDQRCIGMDLKGVYMETIRKIGFIGEQDLTSIILKMKSNVFKGKVADDHKWVKTAERGYKGTSSYHGNSRGDAGGSHKRSLRREEMAVVPQEVHRRASPRHVEEQNGREVVLEGTREGGEIKSTEEETLASASKEFQVALAETQANGLEMISDPVDREEGLLQIQSLVVKDRTSDRVSEGDEEDVMEMDEFQAALLEHGLDEQTIDALPAVSEEELKEMMAGYEEDDQLQEAGEQTNGAEEKNKDTMEQAQKQGTRKRLFKSSVIVAGSTKMRNAVALVSPRKRAAARMGTRKGDTNKQLESKGSSNLNSGNLKFNLWIKSYKFLPSRNLEGYIKKLDDATKDYSALKLTSETREAADADIIRSKEEEIQKINEKMDHAVKDVNESKDKVADLTEKYEDAKRMLEIELASVHNLRHELEGTKRTLQASRERVSDLEKMLDESRALCSKFESEVSKVHEEFDKAKKRYEENLADERRNGEVLASELAVEKDHVKKARDEIEELRREVEEASAKNQSLQKELVEVYKRGEATNKELKEEKETVSALEKEVKAMEKQMLMDREAMKALETDLEEAVKSLDEMNKNTATLSRELEKVNTHASSLEDEREVLQGSLEEARNASKEAKENVEDAHIVVMSLGKEREVLEKKVKKLEEELGSAKGEIVRMRSQQQDSIKAVNSSDER >A03p045050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18819820:18824107:-1 gene:A03p045050.1_BraROA transcript:A03p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPQAFWLCALICINLSSLLHLVEAQDDQKGFISLDCGLSPTDLPYNDPSTGLTYSTDDGLVQSGKTGKIQKEFEAIFSKPSLKLRYFPDGVRNCYSVNVTESTNYLIKAVFVYGNYDGLANDPSFDLYIGPNLWSTVDMHGRTNGTIEEIIHRTISKSLQVCLVKTGTSNPFINTLELRPLQNNTYNTQSGSLKYFFRYYFSTSDRTIRYPNDIHDRKWYPFFDSKEWTEVTTDLNVNASNSYKPPQIVMASASTPISTFWPWNFTWTLPSSTTQFYVYLHFAEIQTLKSLDTREFKVTMNGKLAYERYSPRMLATETIFFSTPQQCEGGKCILELTKTPKSTLPPLINALELFTVIDFPQLETNQDDVVAIKGIQNTYGLTRITWNGDPCVPKQFMWDGLNCNSLDISTPPIITSLNLSSSQLTGIIAPGINDLIHLQELDLSNNNLTGGVPEFLAGMKSLLVINLSGNNLNGTVPQAILQKKGLKLNLEGNSDLICPDGLCVNKTGNGGSKKTNIVVPAVASVAFLVVLGSALAFFLVYKKKKTSNIEGPSSYTQASDDRTTRSSEPAIVTKNKRFTYSEVLTMTNNFERVLGKGGFGMVYHGTVNGTEQVAVKMLSHSSSQGYKEFKAEVELLLRVHHKNLVSLVGYCDEGENLALIYEYMANGDLREHMSGKRGGSILNWETRLKIVVESAQGLEYLHNGCKPPMVHRDVKTTNILLNEHFQAKLADFGLSRSFPIEGETHVSTVVAGTPGYLDPEYYRTNWLNEKSDVYSFGIVLLEIITNQPVINQSREKPHIAEWVGLMLTKGDIKNIMDPNLYGDYDSGSVWRAVELAMSCLNPSSARRPTMSQVVIEINECLAYENSRGGTSHNMNSQSSIEVSMNFDIGTTPGPR >A02g512310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33349132:33349489:-1 gene:A02g512310.1_BraROA transcript:A02g512310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLTYKHKTHSFCSGGKIISSADIAFYINNDMIYVVESKPAKQYGDVFLRQIEKLEGVINDMDRT >A03g503740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12800501:12801899:1 gene:A03g503740.1_BraROA transcript:A03g503740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVADNVGAGTEAVAISPSPPSSITSQGSGVSTNDDQGGEIGSHVERSDGGESLKQRDMRELQELLSKLNPMAEEFVPPSMNKQGGVNGFNGVNGGFFTVAGSFLPNNGFGAAGYFPVNEDGGFRRKKPFGQQGKRRMNPRTSMAQREDIIRRTVYVSDIDQQVVDCRICGDPNSVLRFAFIEFTDEGGARAALNLSGTMLGFYPVKVMPSKTAIAPVNPTFLPRSEDEREKCARTIYCTNIDKKVICLLALELF >A08p003380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1883505:1884524:1 gene:A08p003380.1_BraROA transcript:A08p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILHEISNEAKTTTETSGSSNSFLRLATKPTVSTTTRIALSNTATTKYKGVVQQQNGHWGAQIYAEHRRIWLGTFKSAAEAAAAYDSASIKLRGFDANSHRNFPWSELTVHEPDFQILYTTEAVLNMIRDGSYHHKFRDHVMSRSRMANFNMVESKQESNKCFSCTQLFHKELTPSDVGKLNRLVIPKKYAVKHLPFISDDQEEGEAVDDVEVVFYDSTMRQWKFRYCYWRSSQSFVFTRGWNGFVKEKNLKEKDVIVFYSCDVPSNVRRLEGQRDKFLMIDVDHKGFVAPKEENKMVHNRSEGEMKTENFFNSKLEDEETKQEEKKGGFMLFGVRIQ >A10p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9425111:9428421:1 gene:A10p005700.1_BraROA transcript:A10p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative acyl-coenzyme A oxidase 3.2, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G06310) UniProtKB/Swiss-Prot;Acc:Q9LMI7] MSENCALRRANVLANHILQSPPHPSNNSLAREACLQYTPPEVNESYGFQVKEMRKLLDGHNLEDRDWLYGIIIQSNLFNRKERGGGKVFVSPDYNETMEQQREISMKRIMYFLENGVFQGWLTETSPEAELKKFALYEVCGMYDYSLSAKLGVHFLLWGNAIKFFGTKRHHEKWLKDTEDYLVKGCFAMTELGHGSNVKGIETVTSYDPRTEEFVINTPCESAQKYWIGEAAIHANHAIVISQLEINETNQGIHVFIAQIRDQDGNICPNIRTADCGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVSPDGEYVSAIKNPDQRFGAFLAPLTSGRVTIASCAIYSAKVGLAVAIRYSLSRRAFSAVANGPEVLLLDYPSHQRRLLPLLAKTYAMSFAANDMKMMYVKRTPETNKEIHVISMGLKALLTWHNMRTLQECREACGGQGLKTENRVGHLKGDYDVQTTFEGDNNVLMQQVSKSLFAEYVSCKKRNKPFKGLGLEHMNSPRPVLPTQLTSSTLRCSHFQKNVFCLRERDLLERYTCEVAELQERGKSREFSFLLSHQLSEDLSKAFAEKAILQAVLDAEAKLPAGSIKDVMGLVRSMYALICMEEDPSFLRYGYLSRDSVGDVRREVSKLCRELRPHALALVTSFGIPDAFLGPIAFNWIEANAWS >A02g512910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35341685:35348663:1 gene:A02g512910.1_BraROA transcript:A02g512910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSCLSSPFRVITGLELDWMGDGPAGTKEAANSAIWWFSRRTVLMTVPDSGATRVTVPSDCSSGREFLRKLQPISGFALIIPIFSPSRLKFSRCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQSDTTMGDHANQDDLTAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDSRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNSRTEHCNVIEQPFAETASGAEERAEQSASSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGT >A06p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6513827:6515661:1 gene:A06p014530.1_BraROA transcript:A06p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPSKLPRLRRPKNQQIKVRMMLPMSVRCNTCGNYIYMGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAELTMKTDPQNSDYIVESGASRNYEPWRAEDEAVDKEQQKRDAEEMGDAMKSLENRTLDSKREMDIIAALDEMKSMKSRHATVSVDAMLEALQRTGAEKVKRIEEEDEAVIKSIFGKQKEVVRRIADEDDEDDDDDDDDDYPSLQKEKKEGSSSDLSKKRKASEESPSNPTDILTSSSADNPKEPKKRATSKLPFKAVHIKVIKKQSQPASSTTPAPAKPEEKKTDVVANAGLASLFQNYGSDEDED >A01p005710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2982530:2984240:-1 gene:A01p005710.1_BraROA transcript:A01p005710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLANYVNIGSLLIFWLFEEYELRMVVDVFYIKHKELDEKMRDVFHSFLEERGVNESLFPFLQALLYVKDHRKFAICCGGSNQLALIFSESESEEAILDEGDDHDLEEEHETQAHPEAEPEKERKQKEQAEFEALFSRFFGVAPNNGQGNCQDKQEKKEVNGEGEKTTGESKASKKNKQEVSSRRLLLQCISVWCM >A06p058070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30173785:30176663:-1 gene:A06p058070.1_BraROA transcript:A06p058070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT4G38270) UniProtKB/TrEMBL;Acc:W8Q6G8] MMMMMMMMMPRCFVSLIQAFFLSLVLLLLHHVGGESQAASSHHRELLAYRRPLQDCPGCTTTTTTTASSLPALHYDPDLKDVNIVATYSDHHGNIRLGSVKMGHLSSSWLFHNPLLPLPNNNKPPSQLVTPRDSFQNDSRMEESASYSSTNQTENHFPHVEFTSPAKLKRQSLRQERRAQRTLELIQQDKESDKQMQEAAIHKSMTFENSLVGKYSIWRRDFESPNADAILKLMRDQIIMAKAYAHIAKSKNASNLYLFLMQQSRENQLVLGKATSDADLPSRALEQAKAMGHALSLAKDELYDCHELAKKFRAMLQSTERNVDGLKKKGTFLIQLAAKTFPQPLHCLSLQLAADYFLLGFNEEEEDVVKKDAKFEDPSLYHYAIFSDNVLATSVVVNSTVLNAKQPEKHVFHIVTDKLNFAAMKMWFRVNAPADATIQVENINDFKWLNSSYCSVLRQLESARLKEYYFKANHPSSISAGADNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLAPLWEVDMQGKVNGAVETCKESFHRFDKYLNFSNPKISENFDAGACGWAFGMNMFDLREWRKRNITGIYHYWQDMNEDRTLWKLGSLPPGLITFYNLTYAMERSWHVLGLGYDPALNQTAIENAAVVHYNGNYKPWLGLAFAKYKPYWSKYVEYDNPYLRLCNINE >A02g511820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31896586:31896876:1 gene:A02g511820.1_BraROA transcript:A02g511820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNHMNHDNEQNIASSFSYPTSVIHDQFHMPQSVTATSSDHSMTDEGNLWGSLWSLNDEDRPHRFGGGWEQRIAADISEKFNGDRIEAPPCGSG >A02g512230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33162635:33163034:-1 gene:A02g512230.1_BraROA transcript:A02g512230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQPNNNQDLLNPRSTFNNIKEHERDLVADITRPPPTLAAVHGEERETRPREREGGAAKRERERRDAAKREKEERRRREREGRRRRLGFLISGTSLQGFVSKFVMEG >A04p030570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18166828:18169143:1 gene:A04p030570.1_BraROA transcript:A04p030570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSRLCLLSIMSILSLLITTTTNLVAAQGCRPDQTETLKRFKNEFTFSSSCSDDADFLRGVTCDNVTGAVTMLKLPSGCLRGTLRDNSSLFELSHLRYLNLSFNDFASSPLPSSFGQLHNLEVLLLSSNGFLGQVPSSIRNLTKLTQLQLSHNKLTGDLTLLLQNLTSLVALDVSSNEFYGTIPSFLFTLSSLSYFDLSENHLSGSLQTPFSLPNLRVLRLSYLNITHQLDLRIFSSLKSLSYLDLSGISLAPTSMFSDIDFTKNLNVLILLECNISKFPRFVRSLKNLEYLNLSHNRIKGNVPDWLWSLPRLTTLYLFNNSFTGFEGSLDHVLANSPVQVLDMSYNSFRGSFPNPPRFIINLSAWNNSFTGEIPLSTCNRTFLDVLDLSYNNFTGLLPPCLGNMNVVTLRKNKLEGSIPDVFYIGASTQTLDVGYNQLTGKLPRSLRNCSLLRFLSVDDNRISDSFPFWLKALPSLKVLTLRSNRFHGPISPPDGPGPLAFPKLQILEISQNRFTGSLPKNYFENWSATSLKPNDEEKYIGNYTRTQIIGQPKSSFEGNLGLCGLPLEESCFTENTPSIEEPDEEEDGGVLKWRAVAIGYGPGVLFGLAIGYVVALYKPEWFIKNYIQNRLLGIGRL >A01p059820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34054692:34056260:-1 gene:A01p059820.1_BraROA transcript:A01p059820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMGGDEKDAFYVVRKGDIVGVYRSFSECQQQASSSVSDPAMSVYKGYGWPQGAQDFISSFGLKNALFSVNATHLKDDSVFGKLIPCPLQQPSSSSSQGDSLDKPSQPKRLLQQMETDESPSSSHPQKHLKTENSSGGMVPRLAVPSSQLTRRPILQNVQDIWKTKHPKMAELCKQAKELMKQFKSFHIQHIDREFNSVADAQANHAINLPEGQTKEIAGG >A10p038180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21387430:21389386:-1 gene:A10p038180.1_BraROA transcript:A10p038180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHTTLAFFFLFLVLVSADLASDEQALLSFASSVPHPPKLNWNKNLSLCSSWIGITCEPFNLTSRVVAVRLPGVGLYGSIPPATLGKLNALKVLSLRSNSLFGSLPSDILSLPSLQYLYLQHNNLSGQLTDSLPSTQLLVLDLSYNSFSGNIPSTLRNSSQITVLYLQNNSFDGHIDSLDNPSLKVVNLSYNNLSGPIPKSLKNSPEDSFVGNSLLCGPPLSPCSSTSILPRPLTDNLHPIQKRQSKAYIIAIVVGCSLAVLLLGVLFLVCLMKRTKREKQGGEGGRLQIGGVNSKKPELAFGSGVQDPEKNKLFFFERCNHNFDLEDLLKASAEVLGKGSFGTAYKAVLEDTTAVVVKRLREVAASKKEFEQQLEVVGKINQHQNFVPLLAYYYSKDEKLLVYKYMTRGSLFGILHGNRGGDRGVDWETRMKIARGTSKAISYLHSLKFVHGDIKSSNILLTDDLEPCLSDTCLVTLFNLPTYTPRTIGYNAPEVIETKRVSQRSDVYSFGVVVLEMLTGKTPLTQPGLEDERVVIDLPRWVRSVVREEWTAEVFDVELLKYQNIEEEMVQMLQLALACVARNPESRPKMDEVARMIEDVRRSDQSQQNRTSSEATSNVSE >A09g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7547463:7548414:-1 gene:A09g502170.1_BraROA transcript:A09g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGRSYQCMLSGRWLIKSSGRIMFHDDGVGPNLINECIGWYEQIISVVWVKSQGRSGQMMTHQFQDLMSFVSPEDGLGTIAYKEKGFRIVHEPKRPDANPYPFKDFSKLLSKSDSSQWRTDELISSIDVAKLSKLAKAKVIRPDKC >A07p024510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14108412:14111457:1 gene:A07p024510.1_BraROA transcript:A07p024510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclic nucleotide-gated ion channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) UniProtKB/Swiss-Prot;Acc:Q9SL29] MGYGNSRSVRFEEDSEVTKPQAVHEETAVKLKFKINGAQISPRKNVKKMTRGKSFKDKVLGACWYLLAVERQEACWRHACNIEKPICQYRFFECRRLEDPQRNSWFEWSNITTICKPGTRFYEFGIYGDAVTSTVTSSNFISKYFYCLWWGLKNLSSLGQNLSTSTYVGEIIFAVVMATLGLVLFALLIGNMQTYLQSTTMRLEEWRIRRTDTEQWMHHRQLPPELRQAVRKYDQYKWLATRGVDEEALLISLPLDLRRDIKRHLCFDLVRRVPLFDQMDERMLDAISERLKPALFTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCLIGPGDFCGEELLTWALDPRPVVILPSSTRTVKAIYEVEAFALRADDLKFVATQFRRLHSKQLKHKFRFYSHQWRTWAACFIQAAWRRHKKRKYATELRVKEEFQCMFETASMVRLNSGKFTRSGSDSGMVSSIQKPVEPDFSSG >A05p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23732645:23734334:1 gene:A05p038680.1_BraROA transcript:A05p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITVICVTLKGSASSGAPFEVKSLAKASSSVGFTVNAFGNRFVIPANAPGWVYFCLLMAAGFGLFISEEALNIWVGITLARMLTLDGTWQSFAESFSRNAPYLGGVCISDMIPFYLGKLFRQSGASDDVCSKLGIGKEKAMGITQAVQKYGNLSGFGNGMIFGGSEESYSILCRSTCKSVPLLSPCHGISPECFFAGVCCGGLITLPIQLVIGFLLRERPMFAVARVATVVGIWTIFPYMVAAATALYLYIRSRYTPKT >A03p021070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8706754:8708768:-1 gene:A03p021070.1_BraROA transcript:A03p021070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPKPRPGSLEVPHKKSPTATPRTARKLKTADTDPVSSPNPKIRTSKAQSPKVVAGRGSPRTPINEIQKKRTGRTPDVASQISQLQEELKKAKEELSASEASKKEAQDEANETKHQLMEINASEDSRIDELRKLSQERDKTWQSELEAMQRQHAMDSTALASAMSEVQKLKAQLSESEPLEKNLRMELNETLSLVEELRGEVYDAKEGEARAHEIVSSTEKQLEIANLTLEMLRSDGMKVSEACNALTTELEQSKSEVKSLEKLVRQLEEDSSSVEELKEEINAARDEISHLKSAVEVTERRYQEEYVQSTLQIRSAYEREAELGEELKGAKGEREALHERLMDKEAKLRILADENELLNLKVKETEGRGVTSELKKVETDLMEKEMELQSVMSQNESLRSEMEKMRSEKNKALERVESLKEEADKSVKRGEKAMEELGAAQVSNTELEGELRRLKVQCDQWRKAAEAAATMISDGNKNGNGKYVERTGSLESPLRRNVRMSPYMDETDDDLSSPKKKNGSMLKKFGVLLKKSQK >A10p028430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17647364:17648810:-1 gene:A10p028430.1_BraROA transcript:A10p028430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDYISSFCSYTYSNAKTKRKPLQTVEIKVKMDCDGCERKLRNVVRRMKGVKTVEVNRKQSRLTVNGHVDPNKVLKRVKSTGKKAEFWPYIPQHMVYYPFAPGMYDKRAPAGHIRNPTQAFPAANAPGENYVSLFSDDNVHAACSIM >A07p041890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22591357:22593379:-1 gene:A07p041890.1_BraROA transcript:A07p041890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEAYPSARQANAFVEVIAPPHVACDCDVMAKATRTRRRVHSRRIRARPYKFQSSNRLVTRNVFPEDCSKCIEKRDNWENVICSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKASAKLKAAAASRPPSNKSELGNLTCPLCRGQVKGWTIVQPARDYLNLKKRTCMQENCVFAGNFKELRKHMKVDHPCARPREVDPEVEQNWRRLEIEHDQNDVMSTIRSLIPGATVLGDYVIERNNNNNDGNGSDSDEGGDHYNGSGMDAGFGRNFLNVILMMHAVEASRNQTRRSDSDSSGTNEMTFSGEEEEDRQSTSLASRMRRQGRVLLGRSGRRRRAREANQRPGPPR >A01p003340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1486601:1487676:-1 gene:A01p003340.1_BraROA transcript:A01p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1 complex subunit sigma-2 [Source:Projected from Arabidopsis thaliana (AT4G35410) UniProtKB/Swiss-Prot;Acc:O23685] MDRLMSLCVPSLDLRKHRSLIASLEIQSCYLLSEHSCLASGFGSIHFVLLVSRQGKVRLTKWYSPYTQKERSKVIRELSGVILNRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDAEDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGEIQESSKKTVARIISAQDQMVEAAKEEAGSISYIIAQAS >A08p029330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18186012:18192899:1 gene:A08p029330.1_BraROA transcript:A08p029330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRFPFSPAEVSKVRVVQFGILSPDEIRQMSVIHVEHSETTEKGKPKVGGLSDTRLGTIDRKVKCETCMANMAECPGHFGHLELAKPMYHVGFMKTVLSIMRCVCFNCSKILADEDEHKFKQAMKIKNPKNRLKKILDACKNKTKCEGGDDIDDVQTQDTDEPVKKSRGGCGATQPKITIEGMKMIAEFKVTKKKNDEIDQLPEPAERKQTLGADRVLSVLKRISDEDCQLLGFNPKYARPDWMILEVLPIPPPPDDLTHQLAMIIRHNENLKRQEKNGAPAHIISEFTQLLQFHIATYFDNELPGQPRATQKSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVDYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLIDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNTLMWWQDFDGKVPAPTILKPRPLWTGKQVFNLIIPKQINLFRYSAWHSDAETGYITPGDTQVRIERGELLAGTLCKKTLGTGNGSLVHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFTIGIGDTIADSQTMEKINETISCAKTAVKDLIRQFQEKKLDPEPGRTMTETFENRVNQVLNKARDDAGSSAQKSLAETNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFDGRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKTEFDRTFKYEIDDENWNPTYLSDEHLEDLKGIRELRDVFDAEYQKLEADRFQLGTEIATNGDSTWPLPVNIKRHIWNAQKTFKIDLRKISDMHPVEIVDAVDKLQERLLVVPGEDGLSVEAQKNATLFFNILLRSTLASKRVLEEYKLSREAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKRIKTPSLSVYLTPEASKSKEGAKTVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDFEFVRSYYEMPDEDVSPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEGAKGEAQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKQVRKSKFDEDEGFKTSEEWMLDTEGVNLLAVMCHEDVDPKRTTSNHLIEIIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAAYAETDCLRGVTENIMLGQLAPIGTGDCELYLNDEMLKNAIELQLPSYMDGLEFGMTPARSPMSGTPYHESMMSPNYLLSPNMRLSPMSDAQFSPYVGGMAFSPSSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYGPTSPSYNPQSAKYSPSLAYSPSNARLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYSSGASPDYSPSAGYSPTLPGYSPSSTGQYTPHEGDKNDKTGKDASKDDKSNP >A06p009710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3440783:3441808:-1 gene:A06p009710.1_BraROA transcript:A06p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSVDESTTSTGSICETPAMTSAKSSVNLHRMGSGSSVVLDSENGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARSYDVAVYRFRGRDAVTNFKEARLDDGEVEFLSSHSKAEVVDMLRKHTYSEELEQSKRRRNGNGNTARTLTSSLNGDGVSTTEFRSAEALFEKAVTPSDVGKLNRLVIPKHHAEKHFPLPSSNVSVKGVLLNFEDVTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLLAGDVVSFSRSEGQDQQLYIGWKSRSGPDVETGRVLRLFGVNISPESSRTEVVGSKRVNDTEMLSLVCSKKQRIFHAS >A01g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8980137:8980631:1 gene:A01g502650.1_BraROA transcript:A01g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISASPVPQTGIPDVYHSTFESLRLGSSSQNIVSGLLRFWNSLNFKKDREFMGITVLFLDENVNSVVYEFIPVRRANHYMPYLKACSIVKVDRFEVVRCTSMYMITYHPFLIRFISPTIIDEVITGAPQTISSHN >A02p045450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28541315:28542659:-1 gene:A02p045450.1_BraROA transcript:A02p045450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADNGGINSSHASKQRLRWTHELHERFVDSVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSEGKKTDKKESGDVLSGLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGALGEPSGPVTGESDPATPAPTSESPLQDKSGKDCGPDKSLSVDESLSPYREPLTPDSGCNAGSQDESAVEERSSKKPRLVRGGAAGYTPEMVVAHPILESGLNASYHQPDHALAFDHPSTSLLGTRDKVSGDDL >A05g501640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5729096:5730046:-1 gene:A05g501640.1_BraROA transcript:A05g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEKIGATDEEKIVDAYAQAEVFLHEGDYVKVLEITEATISVHGANPSCYRHHLLQGDVFFELASVADGNELKTVYLFACVDAYSVSSRLCPESVRSFHGCSLSLIELGDRLGLSKFYEKAHSKAKLGLGLCMKILEPQGIEDDLQSKIEAANNAMVVHDTTSVDDQTQEQGKGEADVDLLKKLWSKLDEKSKRDLLVVDSRGFVDYIQSVHAKTKAERRHFSECLCIDDTLRWRKWKCRICPQVNYCLVDCTWHILETHVQKFQPRSSSRPRRLDECFASMIRCGNWEPVDTAEAINVIKDKIERKEELVIGL >A02p017890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8135941:8137846:-1 gene:A02p017890.1_BraROA transcript:A02p017890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVKEPNKKLCQLSVVYQKANSQKEKGTLPSTKIEATLRSVSSDAGDAFSRRRRDCPSSLSLCFTFKFFFLTVMMSTLVSSVHRLAPPPSVQIFVVSGPWLEPTGTVPFSGGSRKARSSSTAAQSYTISFHSCTLLFSSRSLCSSAPVNRLKPDEMLRQSHSYSQQHLFLTSAPEMASSVSGVPHLIRRPVQKARGTMRLCFPLHALIIITPSDVYLDCCSGESFCTIKGYISSPICLAAQTVHSDETSFSPAALFFSSTRSLGGVQFGDPNPSNITIWAWPIKGYKKVSPLLRSLSVSKPTFVWGSLVIWSNLLIFFGGFTENITETVDMHTTPYLSYKKSFRSFYLPVDSSGQSTPLSSLSSFSQEMRAVLPHASVMRNVFPPNTKWRCVSLSIAVCSSCVAVRSGPKDATDIVSTIFRGADWILTSRYKVTKFQVSSTAVSLAPTHSSHASSSLSFYLRGVQSVDVKEGKLTVVGEIDAFIIVKKLKKICYTEIITVGPAKEPEKKPEPKPDPKPLQVICHYVPTCSPPYYHNFNGCDGENPHGW >A09g502260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7635334:7635598:-1 gene:A09g502260.1_BraROA transcript:A09g502260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSLGTTSPMLQLERRLWTFVLTVSEILPTTIHACKGLLVFNAGGGVTGSGLGYLLLEPLSVD >A04p015650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9697859:9699205:-1 gene:A04p015650.1_BraROA transcript:A04p015650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVVISETIVQPESNEDVSDRVKIHLTPFDLFLLKTEYVQRGLIFPQPDPETNIISHLKSSLSVALKIFYPLAGRLVKKDYEDDETASFYIDCDGSGVRFIHASAATVSVNDVLDPAKAAVPDFWNAFFPANEVKSWEGVSQSLIAFQVTELRDGVFIGYCNNHVVVDGTSMYSFLRTLTEICTTARKTFPPLRLCGWFLDGVDYPIRVPVSEIVSSSSPGEEVVSPDLQKSIFRLTSRNISELKEKVKDEVVGSHDEDLKISSLQAVVAHMWRSIIRNSGLNPEEVIHCKLGMDIRQRLNPPLEKECFGNMVGMALTTTTAGEMLDNGLGWAALQLNKTVRSQTSEVIKRFAENWAKNPKIPNHLVENNSLVVGSSPRFNVFGSDFGWGKPIAIRGGPGISGHGKILVYPGTELGSMEIHTFLWSHVLEKLLADAEFLQHVVRLF >A03p010540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4235760:4237270:-1 gene:A03p010540.1_BraROA transcript:A03p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQKLFLAVIFAAVIVATTTGDGSNSAGEDIVHSSCMHASYPSLCIRTLSTYSGPTITNRRELAQAAVKISLSHAKAAAKKLAAVRETVGKKREKAAVVDCVEMIGDSVDELSRTLGALKHLRVSGGSVNEFRWQMSNAQTWASAALTDDDTCLDGFQGIDGEIKSAVKEWMTKVARVTSNALYMINQLDDKRGKPHVVGAAQEHEVRSYDELRHDLARTFGIQGQLEDSLTSDWKLVCVTTLIMKKIYFLPVMIHGRNL >A01g511660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34339987:34347242:-1 gene:A01g511660.1_BraROA transcript:A01g511660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEOR1 [Source:Projected from Arabidopsis thaliana (AT3G01680) UniProtKB/TrEMBL;Acc:A0A178VBX0] MFSKGLAEFNEWEVNIPTHGFLKALTHQLLMRLPLHKVQFMLPETARIILNAPSATQLAGHSSRDGKTPRTTEMVPATSTGGLTMSSDESMMLKLIQQTHSPDAREVQVRGLLSLVEDILDRATLDSDDSNASMLPLPTEDKLMQSSMMSVLDSVSYAIDRVACEIAYKSLTGSDAHEITMSVFEHLSSFHWHGKLVLTLAAFALNYGEFWLLVQFHSKNQLAKSLAMLKLVPVQNRVTLESVSHGLNDLIREMKSVTACVVELSELPDRYITLDDPHLSRIISTIPIAVYWTIRSIVACISQINMITAMGHEMMNTQMDSWETSMLANKLKNIHDHLAETLRLCYRHIEKQRSSESLKMLHSLFDTTHIDNMKILTALVHPKNHTTPLQDGSTKRKVHLDVLRRKTVLLLISDLNILEDELSIFEQIYTESRRNLQGIDGKSHMPYEVVWVPIVDPIEDYERYPSLQNKFEALREPMPWYTVDSPKLIERHVVEFMRERWHFMNKPILVVLDPQGNEASLNALHMIWIWGTEAFPFTRAREEELWRRETLTLNLIVDGIDSVIFNWINPENYIFLYGGDDLDWIRRFTMAAKATAKDSNVKLEMAYVGKRNHSHREHIRRISEAVRAENLSHSWAEPALMWFFWARLESMLYSKIQLGKADDHDEVMQGIKKILSYDKLGGWALLSKGPEIVMITHGAIERTVTVYDRTWKTHVPTKGYTKAMYDHHHDEILRETGKPCSHFDFHITARSGRIPEKMNCFDCHRPMEKYMSFACCHDDKLLDQDENYNF >A10p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19379830:19387767:1 gene:A10p033050.1_BraROA transcript:A10p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQFIVFFFFVSVAVFAVNPSSSYRFAIVEPNSGLDPDSESESESESLLFHQDYSPPAPPPPPPHGPSVSCTDDLGGVGFLDTTCKIVADLNLTKDVYIAGKGNFIILPGVKFHCAIPGCSIAINVSGNFSLGAASTIVAGTFELSAGNASFAGGSAVNTTGLAGSPPSQTSGTPQGIDGAGGGHGGRGACCLTDTRKLPEDVWGGDAYSWSTLSKPWSYGSKGGSTSREIDYGGGGGGRVKMNITQFLDVNGSLLAEGGYGGAKGGGGSGGSIFIIAYKMTGIGKISACGGSGYGGGGGGRVSVDIFSRHDDPKIFVHGGYSIGCPDNSGAAGTLYDAVPRSLFVSNYNLTTDTYTLLLEFPFQPRWTNIYIQDKARATCPLLWSRVQVQGQISLLCGGVLSFGLSHYGTSVFELLAEELLMSDSIIKVYGALSMSVKMFLMWNSELHIDGGGGDTAVSTSTLEASNLFVLRESSVIRSNANLFVHGQGLLNLTGPGDSIEAQRLVLSLFYRIYVGPGSILRGPLQNASRDAVTPKLYCDRQDCPYELLNPPEDCNVNSSLSFTLQICRVEDIIVEGFIRGSVVHFHRAKTVTLESSGEISASGMGCRGGVGEGKLLGNGIGSGGGHGGKGGRVCYNSSCVEGGITYGDADLPCELGSGSGDYSPDYSSAGGGIVVIGSREQPLSALSLEGSMRADGESVKRLSRDENGSVVAPGGGSGGTVLLFLRYLILGESSLLSTGGGSGSPVGGGGGGGGRIHFHWSNIPTGDIYQPIASVKGIIHARGGAALDDEFSGKNGTVTGRPCPKGLHGIFCKECPSGTFKNVTGSDTSLCRPCPIDELPTRAVYVPVRGGVSETPCPYRCISERYHMPHCYTALEELIYTFGGPWLFGLLLMGLLILLALVLSVARMKFVGVDDLPGPAPTHHGSQIDHSFPFLESLNEVLETNRAEQSQSHVHRMYFMGPNTFSEPWHLSHIPPEEIKEIVYEAAFNTFVDEINSIAAYQWWEGAIYSILSVVAYPLAWSWQQWRRKLKLQKLREFVRSEYDHSCLRSCRSRALYEGLKVAATSDLMLAYLDFFLGGDEKRTDLPPRLHQRFPMPILFGGDGSYMAPFSLQNDNILTSLMNQLVAPTTWYRLVAGVNAQLRLVRRGRLRSTFHSVLRCYGVSAHKENSPAHLRESMLYNQNHTNTENYATRRKNYAGIIDGDSLPSLKEKRDMFFILSFLVHNTKPVGHQDMVGLVISMLLLGDFSLVLLTLLQLYSISMVDVLLALFILPLGLLLPFPAGINALFSHGPRRSAGLARVYALWNYMSLVNVVVAFLCGYVHYHSESSASKKIPFQPWNINMGESEWWIFPAGLVVCKIMQSQLINRHVANLEIQDRSLYSKDFELFWQS >A02g510710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28475691:28477732:1 gene:A02g510710.1_BraROA transcript:A02g510710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFTMDLIVNLVPLLFSAALVYSDQSTVPLKSFKISENVIYDCIDIYKQPGLDHPLLRNHKIQMKPSFSPHDSTNKTGNNATYKTKIACPYGTVPILRNTKEFNTDAQIFAEKHFNQILADGLDPLTHIAGVRSEPGPYRGVQAFFKAYNLDLRDDEASYNQIYIGSGLNNGANFLMTGLMINPSLYGNDSVWTFGFFQGKDGKGCYNTGCPGFVQLSPRNPIAFPVGMKPLEAGNIHPFIHQDNQTGNWWLSTLGYNSFKVDIGYWPKELFNLMDNGGNIVGAGGVVHASPFGSSPAMGHGQFPKQPVPLDGSPTFSDVKVMNSKYESHRMDYFPIEKLLDSPQCYGIEIGINEPPHHDHRGFFFDVGGPGGNTC >A08p003070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1742332:1748683:1 gene:A08p003070.1_BraROA transcript:A08p003070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LysM domain receptor-like kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G51940) UniProtKB/Swiss-Prot;Acc:F4IB81] MSEISSDLNLFLTSPLTKPIKTHFDSPFTFIKSRSFLLKSLLSMNLSASSFLILLSFFFILPNLSSSKPMNCTDTSRLCTSFLAFRPNPNQTFSIIQSMFDALPSDITADSTGEDDGGGYIYVKKNCSCLTTTPHQYATNTTFTIRQNRGYVYDAVVSAYSGLALPPNTTRASSAGAVVSVQLLCGCSSGLWNYLMSYVTVSGDSVQSLSSRFGVSMDRIEQVNGISNPDNVTTGDLIFIPLDSVPGVPYEARKINPPAPSPSPVLTNSNISATDEVNHTSKGGHVPYIWIVGGLTVVLALLVMCILVCICLRSSSCGSSDEDGNEHNFQILRKSSFFCGSSRYNCCGSGDFRPTNGEETQSHHQAVAIPKALGDGVFEIEKPMVFTYEEICAATDGFSDSNLLGHGNYGSVYFGLLREQEVAVKRMTATKTKEFAAEMKVLCKVHHSNLVELIGYAATNDELFVVYEYVQKGMLKNHLHDPQSKGNTPLSWIMRNQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDEAFRAKISDFGLAKLVEQTGEGEISVTKVVGTYGYLAPEYLSDGRATSKSDVYAFGVVLFEIISGREAVIRTEAMGTKNPERRPLASIMLAALKNSPDSMNMLSLKEFVDPNMMDLYPHDCLFKIAMLAKQCVDDDPILRPNMKQVVISLSQILLSSIEWEATLAGNSQVFSAILELTIFMFMIICCCCCCRTGPVELPPQFIDKTPQTQQDIETGQIKGILFKDIKEKEEEEGCGKRCCPICLEEYEDDHEIRRLEKCRHVFHRFCIDSWLQQKQNCPSCRRSIVCGREVVIRTETVGTKNPERRPLASIMLALRNSPDSMNMHHFPVDRLQCWQSNAWMMIL >A09p051060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44648179:44649952:1 gene:A09p051060.1_BraROA transcript:A09p051060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLQGFTKSLAMTFVSEIGDKTFFAAAILAMRYPRRLVLAGCVSALIVMTILSATVGWAAPNLISRKWTHNITTLLFFGFGLWSLWDGFKQGGGESEELAEVEAELDSDLKANGKATKDNNSKIEDENKKQNRPFLTQFFSPIFLKAFSINFFGEFGDKSQLATIGLAADENPFGVVLGGIVAQLLCTTAAVIGGKSLASQISERIVTLSGGMLFIIFGIQSFLTSVE >A04p028810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17265875:17267020:1 gene:A04p028810.1_BraROA transcript:A04p028810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEGTNRAAVESCHRVLNLLHQLDHGSSSLVSETREAVSRFKRVGSLLSKSVGHARFRRANKKPLTHLSQTIFLDPCLLQTTQLPESSQKLRSGFHELSLRPNDSLTLGTRSFSLKAPPLLQLNQQQQQQQLHERLQAHHLHQQQQQRHHQAEIMLRKCNGGISLSFDNSSCTPTMSSTRSFVSSLSIDGSVANAEGRNSFHVVGDQSLLPHSKRKCLLKGDEHGSSSRCHCSKKRKHRVRRSIRVPAISNKVADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSSMRGCPARKHVERCVEDPAMLIVTYEAEHSHPKLPSQAVTT >A02p046310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28925040:28925663:-1 gene:A02p046310.1_BraROA transcript:A02p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNLTATLLLFTTFLFISPSISDVHSPPQLNSTTNDLIFIRTSCNATLYPVLCFNSLAGYASAVQNSPARLAKLAIGVTISKAKSTAILLSKFSRSATKVKDCASYLKDALDEMRDSLRTLRNISRGVGIGAAPSSVERFRFKMSDVQTYMSAALTYEDTCTDEYEEMDEAGGTKTAVYDRVNNLKRLTSNALALVNSYANNGAP >A10g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19600601:19603871:-1 gene:A10g506690.1_BraROA transcript:A10g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDARDNEAYEEELLDYEEEDEKVLDSGNKVNGDAVKNSGFRDFLLKPELLRAIVDSGFEHPSEGKYISDFVKPPMKRYGGYLLILNGSLAAIFFGSTSVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPSPGQVSALILCHTRELAYQFCCVPPRFLIVEADNYLQICNEFVRFSTYLPDTKVSVFYGGVNNKIHKELLKNECPHIVVGTPGRVLGLARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEMEKNRKLNDLLDALDFNQVVIFVKSVSRAGELNKLLIECNFPSICIHSGMSQEERLTHYKSFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVASASDSEVLNQVQDRFEVDIKELPEQIDTSTYSKRLLSFFTSSSKQLKCLFLCRLKLASSLSKNFRLQQAAWSFAAIIIPSEFVF >A01g503200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10245221:10245466:-1 gene:A01g503200.1_BraROA transcript:A01g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLCLESRRRVFFLSPPQRVEVHTISRTCEAVKLLRLTAVRVLELAHLEVDALWPRDTPWVAPLMVTGDGSGGPPTPLP >A02g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26824627:26831711:1 gene:A02g510000.1_BraROA transcript:A02g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQPLRFSPETQNLAKPCPVGERAAAGHQTTTGATASHQSTAGDRVISPERRSRRVLIPSHRFLESSTIFLLDPATGEGFGWKPTLVLVDLVSSRKCRSDRLGVVLVFGMAPRGRRTARGRGTATRVVREASPTNSVESVNGTNTETDGGSSTKGSQQSDQPAGYAEMMAELQRYRERFGDQMREESADGTPHQADARGYVPGVGEIGLEEESKLTRSTQSKATKRTWEVANTPQAAKSEGGATCHRCNKKGHHVKDCRFPPNVRCYRCNREGHTSNACRMPAQGAPQQGAPQQGAGRNKQLPPPPKRQDVAGRAFVVGDHEGGEPIVEKTLGFSPSSSIKRRQPLRFSPETQNLAKPCPVGERAAAGHQTTTGATASHRSTAGDRVISPERRSRRVLIPSHRFLESSTIFLLDPATGEGFGWKPTLVLVDLVSKDL >A02p027420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13307798:13308788:-1 gene:A02p027420.1_BraROA transcript:A02p027420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RKD2 [Source:Projected from Arabidopsis thaliana (AT1G74480) UniProtKB/Swiss-Prot;Acc:Q9CA66] MADHTLNEENPFSVLTHSLSFDDHSLLTYPSFEWEEEHLFMNNSVYEAFPLPTPLPDLEPLSQDVLESYSSASLNVTDQNRGQGDLEQNTEEEAVQETTNKRKINRYVTTYTTFSTSKALSMETISLYFYMPITQAATELDVGLTLLKRRCRELGFTRWPHRKLMSLLALISNVKLQKFEGGENAEKLKNALLEMLENQKRRIEENPDLEFDDKTKRLRQACFKATHKMKKKASLKSDHLCGFAKEFN >A03p062330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27101706:27106703:1 gene:A03p062330.1_BraROA transcript:A03p062330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G27910) UniProtKB/TrEMBL;Acc:A0A178UVA2] MIIKRELKTQMIPSLKRCRLSNSVSHSTKKRKSNLGGYYYPLSLLGDISSGIVPGGGPNGFSAREAPSGVSRPPLVPTSRGRIRMLPSRFNDSVLDNWRKDGKNGREGEVERRKEKGSSLKSKQLEARSCVVDREREDERVQREGFYGPENFYSGDLVWAKSGRSDEPFWPAIVIDPMTQAPELVLRSCIPDSACVVFFGHSGNESERDYAWVRRGMIFPFLDYVARFQEQSELQGCSPGRFQMALEEAFLADQGFMEKLMDDIHTAAGNSSFDDSSLQELRNYRNPLACAGCETVISFEMAKKMKALTPGDQLLCKPCSRVKKSKHNCGICKRIGNHLDRQTWVKCDRCKVWVHAECDKTSDKHLKGLGETDYYCPTCRAKFNFELSDSEKPKSKLGKGNGGMVLPDKVIVVCSGVEGIYFPSLHLVVCKCGSCGPQKKALSEWERHTGSKVRNWKTSVKVKSSKLPLEEWMMKLGELHANATAANVPKRPSIKQRKQKLRAFLSERYEPINAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGATNIHDFTSWVCKACQKPSIKRDCCLCPVKGGALKPTDVETLWVHVTCAWFQPEVCFASDEKMEPAVGILSIPSSNFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHSLEKNGRKITKMLSYCAYHRAPDPDTVLIMQTSKDVFSAKGLVQNKKQGGSRLISSIRRDDKESPAEDTVTCDPFSAARCRRSEEEAIPHHTRGPSHHPSAAVRTLNTFRHVPEEPKSFSSFRERLRHLQASTLWTEMDRVCFGRSGIHGWGLFAKRIIQDGEMVIEYRGEQVRGSIADLREARYRREGKDCYLFKISEEVVVDATDKGNIARLINHSCTPNCYARIMSVGDGESRIVLIAKANIAVGEELTYDYLFDPDEPEEFKVPCLCKAPNCRKFMN >A04p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5615630:5618513:1 gene:A04p014630.1_BraROA transcript:A04p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEETNIIEVETKVMNLALNMESMNILSIRVHNLIEDFTEDVILHIRSRYIRLEPHCFTPSHISELLRGQQVDESQHIGEKIANEINRSLSNDKTLREPVFVNVEVEFIKERRLVVPSDVPASFEVLQRLVEEHRVDLNRNIEAMCSICIEDLSKSQQSIIEMPNCLHMFHQNCLFEWLGRKNSCPLCRRFVRPRNTIKKQKLENVTSSGVSIMLRIVDTHPYILLQELIQDETGVVQVLTSDNINLNPSGGFTPHHLSRLLRDEQVPESQFLGQKIALDISRELANDNSLREPAFVFVTVNFIRETRLVFPPDEPTPSRGASGEVLQRLADE >A04p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22443447:22447803:1 gene:A04p039630.1_BraROA transcript:A04p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MMIKMSLPLPPKIFLPPSNSPPTHHHQAPPPLAPPRAAISIAIPETGLGRTGTIHEESTSSAFRDYQSLFLSQRSETVEPVVIKPIEASIPVDFPSGTYYLAGPGLFEDDHGSTVHPLDGHGYLRAFHIDGSKRKATFTAKYVKTEAKQEEHDPVTDTWRFTHRGPFSVLKGGKRFGNTKVMKNVANTSVLKWAGRLLCLWEGGEPYEIESGSLDTVGRFNVAINGCDDDSDRDVAGHDIWDTAAGLLKPILQGVFKMPPKRFLSHYKIDDRRNRLLTVTCNAEDMLLPRSNFTFCEYDSEFKLIQTREYKIDDHMMIHDWAVTDTHYVLFANRVKLNLIGSMAAMCGMSPMVSALTLNPSNESSPIYLLPRFSEKSMGGRDWRVPVEVSSQLWLIHSGNAYETREDNGDLKIQIQASACSYRWFDFQKMFGYDWQSSKLDPSVMNLNRGDDKLLPHLVKVSMTLDAIGNCKSCDVEPLNGWNKPSDFPVINSSWSGEKNKYMYCASSSGTRRELPHFPFDMVVKFDLDSNTVRTWSTGARRFVGEPMFVPKSSSEGEEDDGYIIVVEYAVSVERCFLVILDAKKIGESDAVVTRLAVPRNLTFPMGFHGIIVGNDQEGDDSVPLNNMFGYSTSLRSMTQGKGEFATEYKEHSDVSNEVQAQLVNAHN >A05g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14443262:14449041:1 gene:A05g505210.1_BraROA transcript:A05g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFRVFTGSGTFRRNMVILESFGAFGGAELHRRVRCLAMDRDLPTVRLGPYFDTRYISELAFQYHQSQVNQHPVADVMPVLLKSGQSASREEAAEKRKPCRSMQLSARRSMEIPDRGPCIFYDCVKHRSHKLPECRWTTRNHIYRREGRNSYQNPPGTPLYIHISIRAMKRGFLGPSRKEPASLCTIRSIDHVSENTIHPGTVHHVTVHPGTVHRNTIHRGTVHHNTIHPSTVLRDTIHRDTIHLPSIDTIQIPSIDTVHPVSVDTIHIPSIDTVHILSLDTIDKKCIWRHEEGRPCSPTGRLINAEGEQSKVEEADTKDPTSASIDSSNSESIDIRTLETIDTNICHRSIPSTIPDATTVIEEVDISDMSSEPIDTPTSTSIDIPTIHTNSCCRSIPLEIPEKSSCPQDIADSTLKSIDVSSCYPDQKVENEITMEDFLELEEFLELEDGQQLGDLDSSEEVIDFLELEEWLGDLDQNPKQKFDDQHTSGKGLENSLKADNIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPNCIDRRPPNCIDRHLCLDELSGYQIEPGTIEEIMHMCKTSHTVPEHLRPPICAEEAVGICKRVKRIHDPVKIMVPCAVFEVESPIPPDKEAYQRGLRFRDEVDEGPAGSPSSDISKSELIDTNTSSSIDTDQIPSIDTRRESEQNEYHHAFRQVWGKKWRNWKKKKKINEGSQISLTPHFSDDARMSRVRLHKSVGKKGRNRKKRKRTKGGSQLPLTPYFSDSIRKSRVRSRCFSQPFAKLKALLIAEMIDKGEGSRSHPDLIRSPEVQGKDPRKTSFHRNQRWLANINRQSIKGIDRHLTVLVNIHIKIRVDDCSAHRPMTPARYQSTALHIQQSIVSSLCRMIDLAIDR >A01p029090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20741059:20742221:1 gene:A01p029090.1_BraROA transcript:A01p029090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNHNATEDDLKKAYKRLAMIWHPDKNPSTRRDEAEAKFKRISEAYDVLSDPPKRQIYDLYGEEGLKSGKIPDSEASSSRTPHFYHHQHPPDAASFRFSPRDADDIYAEIFGPEGGGGGHRTFRDGSFRNSHYSELRKAPAVENPLPCTLEDLYKGVKKKMRLSRNVYDASGKMRVVDEILPIEIKPGWKKGTKLTFPKKGNQEPGIIPADIIFVVEEKPHPVYKRDGNDLLVSKEITLLEALTGKTLDLITLDGRNLMVPLTDIINPDHEVVVPNEGMPISKEAGKKGNLRLKLNVRYPSKLTAEQKSELKRVLG >A08p009420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7437410:7438050:1 gene:A08p009420.1_BraROA transcript:A08p009420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAILLGHTDSYTKDKMMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTVNSQGNRFLASGNRFAKEVTKRVGAGEGEWNQWNWRSQGDLLLNGAYFTSSGAEASSSYARSSLAAKSSSLIDMLTYSSGVLKCRIGTPC >A06p021110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10430733:10430915:-1 gene:A06p021110.1_BraROA transcript:A06p021110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHKCPPTLLLTIRWALKDEPSRRSLWPHPRDIHKKSGVDSTTFKTLLHGLAIHVSPG >A02g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18295102:18295913:-1 gene:A02g506500.1_BraROA transcript:A02g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDAVLAQSGFDALRVGRSAQIIVGRLLRFWYSMKIKMQGEFMGITLILLDEKYCKGQIFFGLHHPTTTMSLFPCEASMAASQDFKPILICFLCKLF >A04g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8229672:8234549:1 gene:A04g503830.1_BraROA transcript:A04g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELAGGQLNPVNGAFWFGSVWASPGRLLGEPMVRVQDGSTKWVLVLGQGVAKLPECELRLSDRFAKGRKGEKPPMGGYGAASYVSWEHTQLVRRGGGRLDSTLKGMNSLEDGCSIETGFMEVSWKESDGTLVMRQEVNTTGFEDESFVSGGDLSCPQSWIGLSGRTAVQGNAPVRSHDLRTSVSWS >A05p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3965894:3967528:-1 gene:A05p009470.1_BraROA transcript:A05p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLEVMSPATYLETSNWLFQENTATKWTAEENKKFENALAFYDKDTPDRWFKVAAMLPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYASDSFTLDWGGYDAGSNGFNMNGYYFAAAGGKRGSAARAAEHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNIARNFVTTRTPTQVASHAQKYFIRQVNGGKDKRRSSIHDITTVNIPDTLNAAAADTANAPCSPPSLGGSQQDASDQWEGQTIYDETAAAFYNQNAFQETLLGMSSTPYMAKLQEQSFLNASQFESYNAYLQM >A09p010470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5349875:5350246:-1 gene:A09p010470.1_BraROA transcript:A09p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RALF-like 34 [Source:Projected from Arabidopsis thaliana (AT5G67070) UniProtKB/Swiss-Prot;Acc:Q9FHA6] MAAAPSLTLLIALSLLFISLQRSESLPENPSMILLGDGFDWPISHGDAFDIMDGGESFEDAADRRSLYWKRRRYYISYGALSANRVPCPPRSGRSYYTHNCFRARGQVHPYSRGCSSITRCRR >A02p041150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25969864:25972662:1 gene:A02p041150.1_BraROA transcript:A02p041150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRKVDHEIGFDFTKFPPSKWGDHFLTVTVTDSDLDALAKEIEVLKPKVRDMLILYSEDDGEATKRKILIIHFLVTLGLAYHFENEIEHIVKVAFEKIANLITDENDLYTISIMFRVFRTYDVFKRFIENDEKFKRYLINDFKGMLSFYEALHFRTTTDYILDEALNITLSHLEPIATSQLACPGHISRLIQKALHIPQHMNIETLVAREYISFYEQEGNHDNTLLKLAKLNFKFLQLHYFQELKTITLWWRGLDHTSKLPPNFRERTIETWLAALMMYFEPQFSLGRIMSAKLYLAITFLDDACDTYASIDEVMNLVDCIERWDPDYMGKLQGHMQTAFKFVMSVYKEYEDILRSQGRLFVLDEMIEEFKIIVRTNLQLVKWAREDYMPSFDEYIEAGGAEIGSYATITCSVMGLGEIGKKRDFEWLRSRPKVVQVLAAKTRLMDDMTDYEEDIGKCYTANALNYYIKQHGVTKEEAIKEFGKMIKDINKIVNKECLKTTNISRRVFNQIINYGRSLDVLYTSDDVYNHREGMFKEYITTLLVDPIHL >A07g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11860423:11861548:-1 gene:A07g505370.1_BraROA transcript:A07g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSPDPLSTTIHLAIGFVAHLSPITATSLGISPYISLISPQSLFSFDIIGFSVDSFEEERCYHLLLLIKLDRLYLSQNPWGWGKFCRDNRCDIGDLFVFNLVGDGKTTPLLCVCPESKECSELLSKHLSRKRGDIASSSQFRLKFLCFSTLYSFQFRLKYL >A05p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10583680:10584822:1 gene:A05p022180.1_BraROA transcript:A05p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYKKILPPIIPPPKLPAAEVTVTTEVVAIASVRDDGGEKKVCVCSPSKHPRSFKCRYHHHEYQWLPSSSSSSSSSSSSSPDVRAEEDDIINAVDFVVKRFGKLDILINYAEHHVPISIRTVLTDLKLIFGVNI >A02p053020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32311801:32313656:-1 gene:A02p053020.1_BraROA transcript:A02p053020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSSLIKILSCMDHVEYYVLVVIVISSALWYFWLYAKSKPQSPPLPPGPWGLPIVGNLPFLKPELHTYFQGLAKKHGPVFKLWFGSKLAIVVTSSEVAREILRTNDVIFANHDVPAVALASSYGGIDIPWSPYGPRLRMLRKLCINRILSNARMEKSVDLRRGETRRTVRYLADLARAGSPVNLGEQIFLMILNVVTQMLWGATVQNEDRELVGAEFSGIVQEMNDLLLVPNLSDFFPVLSRFDLQGLAKRMGGLAERMDRLFDRVINQRLGMGKGSEGKGEDFLEVLLKIKDENDEKTNLNMNDVKALLMDMVLGGTDTSVHVIEFAMAGILNNPEIMKRAQQELDEVVGKDKIVEESHIPKLPYILAIMKETLRLHSVAPLLNPHRPSQTTVVSGFTIPKDSKIFINVWAIHRNPDVWENPLEFDPSRFLDQSYDFNGNDFNYIPFGSGRRICVGMAMGERVVLYNIATLLHSFDWILPQGESLKIEEKSGITLKLKNPLVTTPALRLADPNLYL >A06p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10424748:10428905:-1 gene:A06p021100.1_BraROA transcript:A06p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coiled-coil domain-containing protein SCD2 [Source:Projected from Arabidopsis thaliana (AT3G48860) UniProtKB/Swiss-Prot;Acc:Q8RWD5] MDRRRAGSPVYGRQWSDTSNSTESSSPTMSPAHRKQLGGVGGFSTAKRSQNVAAKAAAQRLAKVMALQNKDNGDEDEEDEDEDLSLRFAPTSLKPARHAPSSLSSTGSNNSNGDSKPPAVSFALRNRSPSPALGRNFVEQVPSVRSASAGRPSISTRSTTPTPNLMPPSRVAVKAPSAIPPLDPPTRNRDKRFFADLPSVNSKEKGDQREASALRDELDMLQEENEIVLEKLRRAEEKKAEAEARAKELEKQVASLGEGVSLEAKLLSRKEAALRQREATLNAAKQKNNGKDDVLVSLRSELESLKDEATTAAERLQEAESEAKSLRTMTQRMILTQDEMEEVVLKRCWLARYWGLAVQYDIFADIALSRHEHWSALAPLPFELVTSAAQKAKDLSWDKGSSKFCFSGNDRNRAARDLSDLTGEGNIESMLSVEMGLRELASLKVEDAIVLAFAQQRKPSLIRQTVSDHKGHGESRFVDAYELGEAEQEDVAFKQAWLMYFWGRAKLLGVEEDIAEERVQLWISRSNGKSTTSHDSLDVERGLIELRKLGIEQQLWEASRREIDQLPSPTSSPSNHDLDADP >A09p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4070479:4073120:1 gene:A09p007790.1_BraROA transcript:A09p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCSFSHSLSPSVAVSLVLPLFLLQQQISFCPLSPPQITMAQQEASTSPGAEVVGRAFVEQYYHILHQSPGLVHRFYQDSSLLTRPDVTGSVTTVTTMQAINDKIMSLNYEDYTAEIDTADAQESHERGVIVLVTGRLTGKDNVRKKFSQTFFLAPQDKGYFVLNDVFRFLEEKEVVTTQARSVTIKDVQAPVEPESVIVSHEAEVEPEPVAIIEEEDVDNVAKVYDDPCEKDEGVVVDVEPIEQPPAQIIHSEILSVSNGDPPTYASILKLMKSSPAPPTHIARNKPRAAAPVRTNQKPAPSPAETALPPNASSGLENDHNSNNVDVEDDGHSIYVRNLPFDTTPTQLEEVFKSFGDVKHEGIQVRSNKQQGFCFGFVEFETSSGKQSALEASPITIGDRQVVLEEKKTNSRGGNNGGGRGRYFGGRGSFRNESFKGGRGGGVGGGGGRGWYGRGEFSGRPNPRNGGEGYQRVSQNGGGGRGGGRGGPRGGGVSS >A06g509160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26226149:26228404:-1 gene:A06g509160.1_BraROA transcript:A06g509160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSTINLLALVLLPFVIPKIWEACWIFLLRPWMITRRFKKQGISGPKYRFVYGNLKEIKKMKKEAKDWVLDPNSNDIFPRVVPHYHQWLSQYGETFLYWNGTKPTVFISDPELGKQILSTKLGFAVIAKKRPEVFILFGKGLPFIEGDDWARHRRILNPAFSIDRLKVMTKRMVDCTLRMLKEWRTQRKGEEVVMRMEINKDFHRLISDIIATTAFGSSYEEGIELFRSQAELGKYFLTSLTSVFIPGTQYLPTPTNLQLWKLDKNVKDSIKRIIDARLKSSSKNCGDDLLGIMLKAAASKEYEKTMSMDEIVDECKTFYISGQGSSATLLTWTTFLLSSHQDWQEKLREEVFNECGKDTVPDSDTFSKLKLMNMVLMESLRLYGPVIKMVREATQDMKIGHLDIPKGTSIIVPFLKMHTDKAIWGEDAKQFNPLRFENGVSQAANQPNALLPFSVGPRTCIAQNFAMMEAKTVLTMILQRFRISLSPEYKHTPVDYFNLHPQYGLPVILQPLDTR >A06p025490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14763788:14764455:-1 gene:A06p025490.1_BraROA transcript:A06p025490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFKYQSVEILSKLRRIEWWCFIKDDKGHSQGYLTMKTRSISETQLFYPKMGTSQPEITRFKSTDKHQREGHFAVNRLHNNLRSPEQSMAGTL >A08p035980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21206173:21208237:1 gene:A08p035980.1_BraROA transcript:A08p035980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTGIGLSRIFLLAGAGYTGTIMMKNGKLSDILGELQSLVKGMERSGEEGDSDVSDAIAAQVRRLAMEVRQLASARQITVMNGVSGANLQALAVPAAALGALGYGYMWWKGLSFTDLMYVTKANMATAVANLTKNLEQVSATLAAAKRHLTQKIQNMDDKVEKQIDLSKEIKNQVTLARGDINSLENELQSLNDLISGLDGKLDTLEYKQDVTNVCMLHLYNYFGGKSTKLPDMEQLQLPVNQKARNLLGDVGTKGLKNFAEQLLISNDTEGGATTVRRIGITRANDKSGPLLSRSGLLQLGVDVEVS >A03g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8825480:8827960:-1 gene:A03g502810.1_BraROA transcript:A03g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHILFVLFSHVLLTQVVEGNTDDFECVDLYKQPAFQHPLLKHHKIQEIFYPDENLDRKGQYKTNYQSCPKGKVPILKQRNGTKSVHLDTVEYPGQHFATIETVLDGSIYRGAEANISLHSLTLQNNQFSKSQIWLENGPRNELNSIQVGWGVHPRLYGDTRTRFTMYWTADGYKNSGCYNIQCPGFVIVTRIPWIGIAFPRTSIYGDKKSFTFTPQVFQDGISGNWGLKIFNEVIGYWPKELFTHLNDGASLIRFGGNTFMSPDGISPPMGNGHFPVIDFQKSSFFLHVKVRNSNYQLLDIEDRKTRLYSDSFQCYRLSYWGYAKSNGVSFSFGGLGGDCGT >A03p048890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20889191:20891407:-1 gene:A03p048890.1_BraROA transcript:A03p048890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAEAGHADVIGWFEHVSENACKAQRETLRRILELNCGVDYLRKWLGSVDVKEMDDNCLETLFTSLVPIVSHADMDSYIQRIANGETSPLLTQEPITVLSLSSGTTEGRQKYVPFTCQITQALLQMSRLSAAYTSRCYPIREGGMILEFIYAAKVFKTPGGLDVGTATTLYYASKEFKTKQEATKSFTCSPQEVISGGDFVQCTYCHLLLGLHFSSQVDFVASGFAYTIVQAFSFLEENWREICADIKEGNLSSRITLPNMRTAVLALIRPNPSLASRIEQICMELESNLGWFGLIPKLWPTAKYVSSIMTGSMLSYLKKLRHYAGGLPLVSGNYVSSESLIGVNVDPQLPPEDVSFAVIPTFSYFEFIPLHRQQNQQDMTSDGDFVEEKPVPLSQVKLGQEYEVVLTTFTGLYRYRLGDVVEVTGFHNGTPKISFIYRRKLILNINIDKNTEKDLQEVVDKASQLLSQTTQAEVVDFTSHADVKVSPGRYIIYWEIKGEAEDRALEECCREIDAGFMDHGYVVSRRMKSIGPLELRVVERGTFRKVAEKFVGKRGSLNQFKTPRCTTNSVMLDILDASTVKIFRSSAYD >A09g513790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41928584:41929952:-1 gene:A09g513790.1_BraROA transcript:A09g513790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKENRATDELSVRSHRGLTDRSVPNRVFNDVKNRTTTPTTPVNNFKRSREMGKENGKSSQSAKRTRKSNGQASAKRYKYDTDVCSPTSALSIPVRSIFDRFFNDVLNSPRIPFTPVTSLNLSVANQSCKSGLTTVRHADSIDPRKRSRTVFNDITNISLEQENGTQVINKRPRKVNDNMKRSNPSPGETTDKEEESEEDDNFAIEGSHYVNEDQYFDCTTPENTDSESEVDSETENYDDHNVKKTEAERKQSVLSRMEALLQMAFTGRNSCAKQTS >A09p009700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4995668:4996256:1 gene:A09p009700.1_BraROA transcript:A09p009700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWRERERENKGEQILAPLCGQVRVLVIQVDLLAYVESITTKENFSSHELESYTKSYGLCCPVKVEPAKTSAASKPQSIVDSYTASLEDMTAQGALDVSVTGNLWDLWP >A01p041530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22320303:22324005:1 gene:A01p041530.1_BraROA transcript:A01p041530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILRGRRLIEFQKWGHLRFASPNVLSTLSNSFSSASPADGRKVNNFTVAYLVDSLGFTTKLAESILRKVTSEAKGDPDSVLNLLKSHGFRDSHISTIISNYPRLLLLDAEKSLAPNLKFLHSRGASTSELTEILSKVPKILGMKGDKAVGRYYDFVKDIIEADKSSNYEMSCLSALPEGSRQENIIRNVLVLRRLGVPQKLLFSLLISCSHIVSGKERFEESLKKVVGMGFDPRTSKFVEALRIVYQMSDKTVEDKVNLYKRLGFAVEDVWEMFKKYPFLLAISEKNILNSFETFMRLAFTRDEFVRMVKHCPQCIGLSSELVTKKTEFLVNTMIWTVEDVVSNPAVFGYSLEKRIVPRCNVIKALMFRGNGIPSVGYVRKHDDKELVAELMAIFTGQGTDRWNRFNSCGSLFFVRLGNWIALSSIMCSLTLHGTRSLVQLQKWRSFSVSVDVGPRDGPKGNNFTVSYLVGSLGLATKLAQSISRRVSFEDKSNPDSVLSLFRSHGFTDSQISTIITDHPRLLLLDAEKALAPKLNSLQSRGAELTEIVSKVPKILDKRQGRSINLYYDLVKDIVEADKSSKKSWPDGRRGNKIRNVLVLRDLGMPQKLFLPLLVSLAEPVCGKQRFEASLKKVVEMGFDPTTSKFVTALRMLYQMSDKTIEEKVAVYKSVGFTVEAIWEMFRKYPFSLAYSERKIINIFETFLGLGVTRDKFATMIMSSPQCIGFSAEALKRKTEFLVKKMNWPLKSLVSRPQVYNYSMEKMIVPRSNVIGALMSRRLLRDGVSEIPPPLPSVFACTDQAFLNRYVLKVDDKELVAELMAIFSGDCAS >A10g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14457302:14458557:1 gene:A10g505670.1_BraROA transcript:A10g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQHIYEASDGFDLSGFNAVTKLLNSIDAHHSMILIFVRGGVSPLINSEAAEILGRQTRWELMGVDKLLLDAQNLTLDSFKVFDEGLQPRGIFDSNSSSCELSGGEADDLQR >A01p009300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4481313:4482065:-1 gene:A01p009300.1_BraROA transcript:A01p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISCKSYSQVPSFGPRQGWRQEIRVVKNQQLIYASNELEDRRQLWISLRDTAVAFDLSSHSWMVCGDFNEILEPRESSNPAIINSTRAMREFASCLSDIGVFDLASQGPRFTWSNHRPSDPIGKKIDRCLVNDRWQLSYPKGFCSFEPSEFSDHTPCHIRFTSTSPEFGSRAFMFPSYLANLPSFVPTIKDCWIQFGAPVKNLTSLCYRLKQLKSPINTLYKENFSQIERRVQEAKAVLDSHQLQYYTY >A05g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6200693:6201377:1 gene:A05g501840.1_BraROA transcript:A05g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKNTDLDDLHELGSGTFGTVYHGKWRGTDVAIKRIKNSCFSGRSSEQDRQKKDFWREARILANLHHPNVVAFYGVVPKGPGESMATVTEFMVNGRHALQRKDRSTR >A08p011800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:246152:246509:-1 gene:A08p011800.1_BraROA transcript:A08p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGNNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRAL >A02p044490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000124.1:21:464:-1 gene:A02p044490.1_BraROA transcript:A02p044490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRISVNYHTSSNQNTRMTTIKIRNRKESKVGLIPNLRMNVTTRYKPGLESCRRDSYAKFALKKFSLCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSAET >A03g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19097631:19102214:-1 gene:A03g505320.1_BraROA transcript:A03g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRRKPAAPTYSQMFHDGVGTSSSEAVSDSQTSQRDGAQLDPTKVSPLDEAFMVEPEANFGRVGRSGTYLGELVELNRSDTYISELSELSDTSLELNELSDTEEGACLVFGRNEPFSAQGKIHNKFNLGWFYTKFDQAFADGLMPICIKKYQQKESKSWSYQGAFNNTLISIPEVPFAFSDHIQHPAKVILPDFGSIKLYHSHFGWFVFILLIIFSYLHHFLFLFLFGSGLFFYSLRNPRGVVEEKPCWLKINPALGQLRRIHTKISSLFFLFSFPTKMFGLLKKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNGFDFVQKQKKRQNRCDDEKWAFADGLMPICIKKYQQKESKLWSYQGAFNNTLISSQKWLALDRGYIKSIPHLLTILSIHLSSRSARALNERRAALGMPIQNPEDADPDRSQPSTATDYFDNM >A07p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10242347:10244592:-1 gene:A07p016650.1_BraROA transcript:A07p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHQRKRSRQHFEAEEARHLSSLECETSKWYFSREEIERFSPSRKDGIDLPKESFLRSSYCSFLQRLGMQLHVSQVTIGCAMVMCHRFYMRQSLAKNDWQTIATASLFLACKAEDEPCQLSNVVVASYEIIFEWDPSASVRIHQTECYHEFKEIILAGERLLLDTSAFHLLDVELPYKPLAAALNRLNAWPDLATAAWNFVHDWLRTTLCLQYKPHVIATATVHLAATFQNAKVGSRRDWWLEFGVTTKLLKEVIQEMYMLIEVNRRRAMPPPRREVAWAIPTAINPPVHLARGYPFHSYPMQSSRQPGIW >A07g501490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3081915:3082268:-1 gene:A07g501490.1_BraROA transcript:A07g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDKEGTRIHVSVGEQLIKQFEGKLTKGDAKVVQLFKWSMLLVIIGPPRIRTKLASFKQILLEQLMNFRVKFRRIILRMRGMSI >A06p044850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24060942:24063415:1 gene:A06p044850.1_BraROA transcript:A06p044850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILFENLKGRMKMKKRGSWYYSRKVIEILSDKVLAERELKIQSDVKEIRKVFCCELCSNQYRTVMESEGHLSSYDHNHNKRFKDMKEMLRESSRDDRKKREKQRQEREMTKMTDARKQQQIQQNKQEEDP >A02p045890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28724646:28727302:-1 gene:A02p045890.1_BraROA transcript:A02p045890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:Projected from Arabidopsis thaliana (AT2G01420) UniProtKB/TrEMBL;Acc:A0A178VZS2] MITWHDLYTVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIMLVLLGLWASLTKKGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGVEAGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLMMTPRPSNLTGAEIYSMSSTPRGSNFNHSDFYSVMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENNAVKYGFYPNSSVPGPAGSYPAPNPEFSSGTAGSTKPNKITKENQELETKGSHDAKELHMFVWSSSASPEHVAAEQSEQGAKEIRMVVSDPPRKSDVRGGDDMDAGEVQREIEKATAGLNKVGSNSTAELEAAGGDAGGGRGKGTHMPPASVVTRLILIMVWRKLIRNPNTYSSLIGLIWALVAYRWHVAMPKILQQSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAIMAVASLIIGLHGDLLRIAIVQAALPQGIVPFVFAKEYNVHPTILSTAVIFGMLIALPITLVYYIFLGL >A02p044580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28093549:28094903:1 gene:A02p044580.1_BraROA transcript:A02p044580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSFFDSQPGSRSWSYDSLKNLRQISPAVQNHLKRVYLTLCCALVASAFGAYLHVLWNIGGILTTIGCFGSMIWLLSSPPYEQQKRLSLLFLSAVLEGASVGPLIKLAVDFDPSILVTAFVGTAIAFICFSGAAMLARRREYLYLGGLLSSGLSMLMWLQFASSIFGGSASIFKFELYFGLLIFVGYMVVDTQDIIEKAHLGDMDYVKHSLTLFTDFVAVFVRVLIIMLKNSADKEDKKKRRRN >A10p038410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21468685:21470514:1 gene:A10p038410.1_BraROA transcript:A10p038410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 11 [Source:Projected from Arabidopsis thaliana (AT2G26640) UniProtKB/Swiss-Prot;Acc:O48780] MIPWEEAMSAEEKRRPLMMGGRDSSSPSDRNLPDFKKSVKLKYVKLGYHYLITHGMYLLLSPLLLLIAAQVSTFSRADLATLWDHLQYNLISVILCSTLLVFITTIYVMTRPRPVYLVDFSCYKPDDARKCTKKIFMERSKLTGSFTEENLEFQRKILQRSGLGESTYLPEAVLNVPPNPCMAEARKEAEAVMFGAIDELLAKTNVNPKDIGILIVNCSLFNPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLNSIPNTYAMVISMENITLNWYFGNDRSKLVSNCLFRMGGAAVLLSNKRWDRRRSKYELVDTVRTHKGADDKCFGCVTQEEDSASKVGVTLSKDLMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGRKLFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIAFGSGFKCNSSVWRAVRSVNPKKEKNPWMDEIHEFPVDVPTHATI >A10g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11722857:11725945:-1 gene:A10g504780.1_BraROA transcript:A10g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQFTLTPSSLTNLKNKFEINCRRPRFIASSFPHRCLLSSSSLRRRLSVVVSPSSSSSSSLRRRLRLSVDPSSSSLRLFIVVVVVVVSQLICRFYVYSSHSLSRVTMEVLCICGQWISKESLQWEFLVDLKRNASIISIEKDLLYEDLMKIVPEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFVSTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANRVILSTVQSEKQSLLYEGVSTVPLNALPDFSPVHIGLSPNTRLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A09p064660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52012692:52014646:1 gene:A09p064660.1_BraROA transcript:A09p064660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESPLSNEMSRKISLFGLTDVKLWVLVCFVVGTFVALVLFILYVWIAFRRKSSQKLLPFSQIPRVAKDIRVDDRVGFQNHSENLCIADKPTDRSSGKMMAYLGRTKSSDNDSISQCSSVHHHERAYSSHSGEEGSFGTAWRQGSLVTASPLVGLPEISHLGWGHWFTLRDLQLATNRFAPENVIGEGGYGVVYKGTLINGNDVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNSGNLEQWLHGDVGEHRTLTWEARMKILTGTAQALAYLHEAIEPKVIHRDIKASNILIDDEFNAKLSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYERPANEVNLVEWLKMMVGTRRAEEVVDPKIEPKPATRALKRALLVALRCLDPESEKRPKMSQVVRMLESDDQLFREERRNRKSRTASMEIVETMEETSKRPEHSEKNHTTKPD >A08p032660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19775740:19777374:1 gene:A08p032660.1_BraROA transcript:A08p032660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRKKMGLNVDPFFSVARRSMDARIDAEDFADVFGGPPRSFLTRKFSGDFSRSDCFYDEVFLPPGISSGGTLPSSKSHGRNLTAFRIPSGADGFYDGVFGGRGGAAKKQSPTAKSNSSSVLTSEEVSPHYPPPAATSGDDAGFSSFTSRLRPLNVPSRKRESKKQSFPAFPTSGDSFSGHERSDFYYRKPHFSGSRRSSPETMSFDPSSFRRIDDFGPSSPASSPVSSFVYEEENTERKGDCNVEEVEEEEMSSYVIEINTDRFDRGNSDSNDMDEAIAWAKERSQRPEAKQTQEEDLMDSRSEEAKSEEEMEMEMKDEEIKIWLTGKETNIRLLLSTLHHVLWSNSNWNEIPLENLRDGSQVKKAYQKARLCLHPDKLQQRGGTSPVQKSVASRVFSILQEAWAVYVTNEGLSS >A03g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7772425:7775583:1 gene:A03g502420.1_BraROA transcript:A03g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFRDVLTQLGVFDLRYYGPVHTWTNKRDLTPVVKKLDRCLINSEFLTSFPGATATFLPPAPSDHTPCLTDLVFSLSRVGTQPFRFQNYLTKHPSFLEVVTDAWLLAGNVSTDLASLCWKLKNIKRSLKNLNKEKFSNIQQRVIDAYRHHTTRVSWETVTLAKDHGGLGIKDLHKWNLACILKLVWMIFFRPNSVWVCWFKEVILKGDVANYWTISTSSRRSWLVNKMIKARDLLYPLVKRRIGNGRSTRFWFDNWTPLGKLYTTLNGGSSLLGIPKMATVASLFTAGSWNLPFARTDAQLALQIHLTTVTLSDQEDHYDWMIEGKTRRRYKTGEMYDYLKGAQQMVPWAKVIWISYGIPRHSFLSWLVMLDRCPTRDRLNRWGLNVDPLCLLCNTQPESRNHLFFECGFSADVWRRIAHRCQLQPRIVWEDIILQLQRLSTDRDSRRLTLLAFQATVYWIWTERNTRLHQQLFKTPLTVFSTIDKQIRNRLQSFRHANPRASSAMTQLWFLNS >A02p000320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:187621:189054:-1 gene:A02p000320.1_BraROA transcript:A02p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCSVTEISKCIVYPEKRSTVADLRLSVSDLPMLSCHYIQKGVLLSRPPPSFSFDDLVSSLRRSLSSTLSLFPALAGRFSTSPSGHIYITCNDSGVEFVAASAKHITVSDVLSPGKDVPLLVREFFVFERLVSYNGHHKPLSAVQVTELDDGVFIGCTVNHSVTDGTSFWHFFNTFADATSGACKIKHLPDFSRNTVFDSPAVLPVPPGGPRVTFDADQPLRERVFHFSREAILKLKQRTNNKVNGIETVVNTEEILGKVSNDGMITNGNGNGKITKKKSYDRTAEISSFQSLSAQLWRSVTRARNLDPSKTTTFRMAVNCRHRLEPKMDPYYFGNAIQSIPTMASAGDVLAKDLRWSAGQLHRNVVAHDDATVRSGIADWESNPRLFPLGNADGASITMGSSPRFPMYDNDFGWGKPLAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAGIENDPEFMQYVSEVSYGC >A03p039740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16538533:16540721:-1 gene:A03p039740.1_BraROA transcript:A03p039740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme/RWD-like protein [Source:Projected from Arabidopsis thaliana (AT3G12400) UniProtKB/TrEMBL;Acc:A0A1I9LRG3] KINCENVFVYVDSPADTLQLGVGNECLVIQLSHCDHVPDELRIFLLDPDTIFIGVRNKSLQSKDAYNITSSSPTKHPLPFVVVFFFNQTFPIADTVITPMVPSPLNPQQIQQFLSSVLSQRGPNSVPYDESTKWLIRQHLLNLISSYPSLEPKTATFIHNDGRSVNLLQADGTIPMPYHGVTYNIPVIIWLLESYPRHPPCVYVNPTADMIIKRPHAHVTPSGLVSLPYLQNWVYPSSNLVDLVSDLGAAFATDPPLYSRRRPQPGPSPPPYETRPPPPPQTVDQRPFPPSPYGRVNHVHHQDDAAEVYKRNAINRMVEMVHGDLASMRRDREAEAESMLSLQGGLKRREEEISRGMKEMVEEKETLEQQLQIISMNTDVLDSWVRENQGKTNIDVDVDKAFECVDSLSKQMLECTASDLAIEDAVYALDKGFQDGVVPFDQYLRSVRLLSREQFFHRATGSKVRAAQMEAQVHAIAGRLQS >A03p043020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17954831:17958310:1 gene:A03p043020.1_BraROA transcript:A03p043020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHASNLWVLLGLGIAGILLAAKKLKKTIRQDLGAFIDKLLLLPPPPPPPPKAPHPLTALSFAISDLFDVSGYVTGFGHPDWVRTHEPAASTCPVVSTLVEGGATCVGKTVVDELAFSISGETKHYESPTNPAAHDRIPGGACSGAAISVATSAVDFALGIDTVGGVRVPAGYCGVLGFKSSHGAISNAGIIPVSSSLDSVGWFARDPNTLRRVGHVLLHLPFATPRNPRQIILADDYFQLLKIPVDRITQVVIKSAEKLFGKQLLKRQNLENYFESKVPSLKEFARTKANASTKVPTSRLLANVMQLLQRHEFLQNHGDWINTVKPAIDPVISSQLSENSELTNEEIENLNTVRNQTRAAINSLLKDDGILVIPTMPTIPPKLGSKEIISEDYQTRASSLLSIASISGCCQVTVPLGHHEKCPVSVSFIARHGGDRFLLDTVQTMYASLQENSSIIADPKSSKKTISQEESAEMAKEKGNQAFKEKQWQKAIGLYSEAIKLNDSNGTYYNNRAAAYLEIGSFLQAEEDCTKAITLDKKNVKAYLRRGTAREMLGVYKEAMDDFRHALVLEPNNKRASQSAERLRKLFQ >A05g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6878921:6880626:1 gene:A05g502170.1_BraROA transcript:A05g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPWFPFGSASAFSPPLDTAGDSCSPVPPHPPDPPDPSSPFPVTHYPPLSLSSSKTSRRSQRTAMYRPVEKVSGTPPPTTSNVLTPSTTGVAAATSADPETRSGTVTLISRSESTVAGAPASESFTIFPPKETSPTVTNKASVSSSKDKSPALLQNTIPIPKLNPPSSSLQTIPLLSYSSTEKIPLNRSIPPGPSLVERLRKSEDKTLTRLAPISLSDSGRPRVLIPDSVFQKGAEMHKDFIVCHFNGRPPPFNQIQSVLNHMWGKGKKIEIHNNLHSRSMLVRIPSDYLRQKILEKNVWYVGDSMFHASQWSSNGCSAPREAIQIWAHLTGVPLDLRYKEEVKLSEPLPRVVEFVRQSGEVVEVQVDYPWVPPTCGHCKELGHISRNCLLLPPPSKKNLPSSKKVLPPAPKTTLNDASTSMDSHPVAPSLDPPLPPPSNHQNPPITLPSEPPPPGLPPTPPSDYQNPPHLSSETLPPSSSLATFSPNIASPPLTFSSSEAN >A02p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3981407:3983616:1 gene:A02p009430.1_BraROA transcript:A02p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFAVLPLLNYNSSTTNRSSSSIRFFNLPKSKHRSSRHSNPRSPQVLVSLSLNQPTDDESSDAALFLESNSIADYMRFKRRSDDGDNGSSELQTAIVSYKKRFPWILLNPFLQVDLVSTIHIADKEYFTALQKELEPYDSILYEMVTSKESLENRRNPIAAKRLKTSRSRGFSILGLIQRQMARVLALDFQLDCLNYEAKNWYHADLDFETFTLLQKEKGESFYSFARDMTIRSTKAMIQPALVTEGLDTWRSKLLWVSRVFPMPLVGLFLIVAFCADFENQTEDYPELEALSRLDIGAAMKVFLAKRLTSELTQGTSEIEEKSVIIGERNRAATEALKRAIEQGSKRVAILYGGGHMPDLGRRLREEFDLVPSEVRWVTAWSISNPGDMETTSFPFLRTMADALRWPLNRYQTLALLIFSSVLALDLCFWELFLDSTFDWGSQIAAEVYRFVDNTKLV >A02p051230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31507139:31507996:-1 gene:A02p051230.1_BraROA transcript:A02p051230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQLSLKGCFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQSKILSLFCHELRVPQKRSFQTSGFLFGLSQLVLYGSEALILCYGSHLVSQGKSTFSKVIKVFVVLVITANSVAETVNKNQLSSEQPSHGCIIGLPEEECSYQVDRNRIAIARAVLKNPTVLLLDEATSALDAESECVLQEALERLMRGPPLYIGVIQDRRIVEQGSHSELVSRPEGAYSRLLQLQTHRI >A08p045940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:25104592:25105194:1 gene:A08p045940.1_BraROA transcript:A08p045940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVKSTFCFLVAVLCFIGNNVEGREGKLFFSKFTHLDRPNNKEVALAPAPAPGPAQANGKLGDGPFGPGSGMIPQTKESWRVSTTTTDEEFEKLIATFDLEKSNNQLPEEFEEEEESQEDLSEQKDKYTNNGYTYTTNNYNDNRRGYDNEEERQGMSDTRFMENGKYFYDTRGRSSEYMPSRRYESARGNDHPNDRV >A02p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7025458:7027140:-1 gene:A02p015910.1_BraROA transcript:A02p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRRSLTLAKEAKDSFLFLSNLRARFLSTEYYDPPFSPLSKPPKPKKKTKKTQKDQSPEPIVNPTAPVTSDLPFDFRYSYSETNPAIEPIGFREPKRFSPFGPGRLDRNWTGTCAPASQENDAQSQWAEERENILGEALTEEEVAELVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKKAEAVRIKCLGVPTLDMDNICHHLEEKSGGKIVYRNINILVLYRGRNYDPKNRPTIPLMLWKPYPPIYPKLVKNVADGLTFEETKAMRNRGLHAPALMKLTRNGVYVNVVGRVREEFGTEEVARLDCTHVGMSDCKRIGVKLKVWVLLVLIWFHVFLFCSKMSRSYSGEGRRIEMKRMLPVKL >A02g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:118822:120162:1 gene:A02g500050.1_BraROA transcript:A02g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKALKAHFDTLHFVTDSMQGIQERCACGQRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELGFMKTRVEKCEEYKSLVVKLEVENQELKVSCFQDFLNDLGSPMELL >A09p054610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46986390:46986987:-1 gene:A09p054610.1_BraROA transcript:A09p054610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGKRQRPEIKRTTSLSEIKFDLNLPCEPEEPSDHQHVQTLSPRKSKLVTVDEHRQVHHGLLDQRLLAMVSPRDTQRRHSEDYSTHAGDFLRCCSLCKRNLVPGRDIYMYRGDRAFCSLECRQQQITVDERKEKGLVRSTVAAGSGKGERVSAAV >A04g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18777189:18779521:-1 gene:A04g507500.1_BraROA transcript:A04g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AHATPVIKLYFQSTIYLLWKERNACVFTVVSSPSSVILASLDRMMRDRLLSYPASSYFSSSLLLFIFLNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLWTKKAP >A02p009820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4141708:4145528:1 gene:A02p009820.1_BraROA transcript:A02p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKANIIATISIIALLIVIITARVTLKLSKTFYLIAGVDISLILAVICFLVIRRRYNRERKILVSKYVSEGRELRIEYSFLRKVAGVPTKFKLEDLEEATDGFRIQIGKGGSGSVFKGVLKDGSQVAVKRIEGEEKGEREFRSEKKKLEYFPRIVNQKMRERKVMEIVDERVEAGDEGQVMKLVCVALWCLQEKAKNRPDMAMVIEMLEGRVPVSEPPDSQLVVVDLLAAGDDDDATTGVRRVVETVLLSPSASSQHCLLSEMSISGGALGSGRILGRAVEFGKTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPSQPISLFGGFPSTAWFDVVGINEDGPDDVEGLDVAAAHVANLLSNEPSDIKLGVGGFSMGAGTSLYSATCFALGKYGNGNPYPINLSTAIGLSGWLPCAKTLAGKLEEEQIKNRAALLPILVCHGKGDDVVPYKFGEKSSEALISHGFKKTTFKAYSGLGHYTIPQEMDELCAWLTSNLSLQG >A01g507770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22043249:22046333:1 gene:A01g507770.1_BraROA transcript:A01g507770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANIYPIQNESPFVEREENYEIYREIYGDPIYDVYEDDVRVVDFVFSEDFFANFVCAKIGQDEIRAKFGRDKIRANPDESPFVEREENYEIYREIYGDPIYDVYEDDVRVVDFVFSEDFFANFVCAKIGQDEIRAKFGQDKIRANPVQDELRANFGESQKIRFYENFGFEDKYWKISATKNLFKIRGRIFSNPERMMQITHPRFCLETDSGESSNALELQRGYWDGGGEESRLLGFGEAISYFPCLDLWQLWFDGGA >A02p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17891621:17894843:1 gene:A02p033570.1_BraROA transcript:A02p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLKVNELRAKLAERGLVTTGLKAVLVERLEEAIAQDAKKVKSKSRRKRNRDSSDSTHDSNKLIAVGEFRAMNVKELRKEASKRGLATTGTKKEVLERLCNDETQDDKNGLEEEKSTKKGAAVLDQWIPDHGDDVYDAMLNQTNVRDNSNKFFVLQVLESDNRKTYMVHFRWGRVGVKGQSKLDGPYNSCDPAMEIFSNKFYDKTRNHWSDRKEFILHPKSYAWLEVDYGKEENDSVVNDIPESSSKVTRDESKLDPQVAKFISLICSVSMMAQQMMELGYNAKELPLGKLSKSTISKGYEVLQRISEMIERYDRARPEELSGEFYTVIPHDFGFKKMCQFVIDTPQKLKQKIEMVEALGEIEFATKLLSIDQGLQDDPLYYHYQQLNCELTPVGADSEEFSMVAKYMENTHAKADSGYTVEMIQLFRASRAVEADRFQQFSSSKNRMLLWHGSRLTNWVGILSQGLRIAPREAPVTGYRFGKGVYFADMFSKSANYCYANSGSNDGVLLLCEVALGDENELIYPDYEADNLPTGKLSTKGVGKTAPNGSEAKTLEEGVVVPLGKPEDHSSTMGMLLYNEYIVYNTEQIKMRYVIQVKFNYK >A08p027060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17165756:17166952:1 gene:A08p027060.1_BraROA transcript:A08p027060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPLDLLYEIFSRMPTKSIGRSRCVSEQWRSILCSADFTEYFLTKSSTRPSLLFTMNRFRSNEFLFFSSPPQIPSKPSSSSSLAAAYFKLNMQLEFYGHAAGLFCFRRMEFTRKGWENTVHVICNPSLGQYVFLPTLKTSSQTFLGFDPIDKVFKVLSPNDTFSSSFAYILTLGTGEKRWRRVHFPLAHSHSSGGVCINGSLYYLARENTTYFIVCFDVRSEKFKLIQGSFLDSDARLRLINYKGKLGVISWPKKFWDSRVGAYSRSKEEVRIWVLEDDEKQDWSEYAYTLPGDKFCDVECDVLKVYVAGVTSATGAIVLMNPNYDHPNPFYVFYFHPERNVIKRVEVQGFGSHGTVHAFVDHVDDLTIDMKSWQLDFLKFESINKFNALCLLEDI >A07p036400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19549249:19550193:1 gene:A07p036400.1_BraROA transcript:A07p036400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRYAMVCSSNQNRSMEAHFLLKRQGLDVASYGTGSHVKLPGPSAREPNVYDFGTPYKQMFDELRRKDPELYKRNGILQMLKRNLNVKLAPQRWQDNAADGVFDVVMTFEEKVFDSVLEDLNNREQSLMKTILVMNLEVKDNHEEAAIGGRLALELCQEIEGNETWEDTIDDIVAGFEKQQRRKLVYSISFY >A09p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2837225:2839030:-1 gene:A09p005170.1_BraROA transcript:A09p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAGYYPSNVTSDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSVLSQQYGSAGGGVIQAEGGSHYLQQQQQATQMSQQSLMAARSSMLYAQQQQPYASLQHQQLHHSQLGMSSSTGGGSSGFHILQGEAGGGFNEFGRGKPEMESGEGRGGSSGDGGETLYLKSSDDVN >A09p021820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11799134:11802030:1 gene:A09p021820.1_BraROA transcript:A09p021820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLSPPMASSFCGWTLQLPFFSKFRRPTVRSRFIRASSSSSTSSNGNLPIKKSFPGEPEADVVVIGSGIGGLCCGALLARYNQDVLVLESHDLPGGAAHSFEIKGYKFDSGPSLFSGLQSRGPQANPLAQVLDALGESLPCAKYDSWMVYLPEGDFLSRIGPTDFFKDLEKYAGPNAVQEWEKLLGAILPLSSAAMALPPLSIRGDLGVLSTAVARYAPSLLKSFIKMGPQGALGATKLLRPFLEIVDSLELKDPFIRNWIDLLAFLLAGVKSDGILSAEMIYMFAEWYKPGCTLEYPIDGSGAVVEALVRGLEKFGGRLSLKSHVENIVIENGKAVGVKLRNGQFVRARKAVVSNASMWDTLKLLPPGALPDSYVAGVNTTPQCESFMHLHLGFDAKEQGIADDLEIHHIVVNDWERGVDADQNVVLISVPSVLSPNLAPPGKHVLHAYMPGTEPFSLWEGLDRKSAEYKDLKSQRSEVMWKAVERALGPGFKREKCEVSLVGTPLTHQRFLRRNRGTYGPAIEAGKGTFPGHSTPIPQLMCCGDSTFPGIGVPAVAASGAIVANSLVTVSKHSELLDAIGL >A09p040860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23094149:23094495:-1 gene:A09p040860.1_BraROA transcript:A09p040860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKILIDFGLNLMKGSIPFEDQTERSSIERGNQKIKLLVSVWAFFFCPWVEFIFSWKYSYFSGSS >A06g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16971433:16972917:-1 gene:A06g505910.1_BraROA transcript:A06g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCYKGVNGWVREKGSPRARRRRLGWAWAWAWAWAWAWVEGLWPDKNYSFWTKLSSTLCHLFREKTAYNTPCIVLRKKFRNSTFLDLREILAHVQQLLREVEKMTNDNNTPIDPTNVIQTPLNAAATDATGVTTAGNITASTTAATTSIILPAGNAADETTRRSLFGAGLYQT >A05p007740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3126167:3127423:1 gene:A05p007740.1_BraROA transcript:A05p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nematode resistance protein-like HSPRO2 [Source:Projected from Arabidopsis thaliana (AT2G40000) UniProtKB/Swiss-Prot;Acc:O04203] MVDTDCKRKLVSPDLPTKLHVTIPSPFKLPVSSPISCSAPSSCSAYELYLRLPELRNLWSSRDFPRWSHEPILKPSLQGLEITFRLVLAVCSDNRPYINHREWNRRLDSILTCQIKLISSISEEDEAAPVGNERSTLSLLPQLATWRKSEAFGKKILSTIDKEMRFSKYTLGLGEQNISGKPNLQYDAVCRPNELYSLRNNPYADHIDNNENETLYILHQIIESWLHVSSNLLKRINTSIDKGRFGEASRDVYLVESIWKLLIEVEDLHLLMDPEDFLKLKKQLHIKTAGKNDAFCFRSRGLVEVMKMSKGLREKVPFVLGVEVDPTGGPRLQEAAMRLYARKGEECDKIHLLQGMQGVEAAAKRFFFAYKQVVAAVMGSAEMNTECDSVRQIFMEPTYFPSLDAAKTFLGEFWSHVG >A07p042030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22641368:22642400:1 gene:A07p042030.1_BraROA transcript:A07p042030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSMENSMKNKSCKGSEEAELRRGPWTLEEDTLLTTYILHNGEGRWNLAAKCAGLKRTGKSCRLRWLNYLKPDIRRGNLTPQEQLLILELHSKWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIESNSDKFFDAVRSFWVPRLIEKMEQNSSASYACPQNNNSKNKNSSLLPPSQTFESMSTQTYQDLSGLSNMDGSSSSSAFMPDLMTVPHFMDPNTIIDGSMYYHEDNAQELSGYIPGTEEYYYMGNSDISTECQVAEAYENVTQDPMWNMDDIWQFRE >A06p028680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:209594:214189:-1 gene:A06p028680.1_BraROA transcript:A06p028680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSGASIFYQKPFSLFSLVLDELKCVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSISAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGFHQVVSEQLWKGNLFLLDLNLIICYHIISDLVLFDLLKPDPCSASTMAGDQKGKLTKEERLLLKSMNAQMQQMLDNNMGEFQKELRQKFLQQTDDLRQKNKKRTDSEKLEPRSPESVQNKSSKHKWYKEEEAGRGQQSYKQTAHTSSRPHQAFRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNVFKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPKKKVISATDFKSEKSETKMADYEKEISSLVKEILKTSKHLDKQKKLPKNQEPVATVSELNDAEPDSAAPIQEAQTKTSMGKGKFEKEQEFSLFLPHSESNFDNSFDELTCLEPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQSDFCLKPCDSFARTEERSFVTNFHVHQLILDNSFVSAYVLNEPKKLQEPKLHQSDFRFKFVKSAKFSEFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVCSIFFDMHNRWRNHAVLCFGDILVYNTFFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPRKYNFGIRILLYDDFACVNLSCFKVSGLSNASGVRKAKWISPFYLIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYINYQGSLFPLMNSRSGACSSLLYISFLLVCNIQRPRALERCVISDLSLGVSRSISAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHHSSYKHLV >A10p023410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15273704:15276084:-1 gene:A10p023410.1_BraROA transcript:A10p023410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSSPSSSRRSAYLEALTQEIKKKLTRAVVSPAQTRNLLQDLFADIALEVDERAKDVLLSKEEDVISDEADTDGPLCFFDVLADYYVKVSERGKDILDLMVQLWSQSFASHIFSLLFHKWLFEVELENQEILLRYSSALVQGATNVFWIDIQTNTRRFQTLFRYLLEEVALEPTRLKKIPIQAQRELYLLLSRFIFFYNSVDRLDSFLRNFPEFPNAFLVGGAGDFLVIELTDQLQKLKVEPVLLHYLSQMKILQGMELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDSLFPVGRYPRHLISLFFRLLYPWYWPSSCWNFVVSCIKAVLYSIVRLIFSRREKPRQS >A07p033010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18047846:18049490:-1 gene:A07p033010.1_BraROA transcript:A07p033010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEAALLLALLCVSSNVAVSAPIRDGLLPNGNFEIGPKPSQLKGSVVKERNAVAHWDMTGFVEYIKAGQKQDDMVLVVPEGSSAVRLGNEASISQKISVRSGRLYSITFSAARTCAQDERLNISVTHESGVIPIQTMYGSDGWDSYSWAFKAGGPEIVIRIHNPGREEQPACGPLIDAVAIKALFPPRFSGYNLIKNGNFEEGPYVFSTAKWGVLIPPFIEDDNSPLPGWIIESLKAVKYVDKAHFFVPEGHRAIELVGGKESAVSQIVRTSPNKFYALTFNVGDARDGCEGPMAVEAFAGRGKTLVEYVSKGKGGFKRGRLVFKAVSARTRVTFLSTFYHMKNDHSGSLCGPVVDDVRLVAVKTLREKKDIYSQELRVTNKILQDQEQSGGKLASQPVLRMR >A08g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15142532:15143136:-1 gene:A08g508290.1_BraROA transcript:A08g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTNIPQIQNKYSIAEEKALTTGGIVTFNLYYPVVETERERSNIMRPFPAPIDKLKPIYSVSRSHGKVVRTIIPKKLENVNNSERETMKKTEETVDPVVAFSKPPPFTPFVGPLLVYSLLQSWFSGDEDG >A01p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10581527:10584342:-1 gene:A01p021680.1_BraROA transcript:A01p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFLPATSLRLTPFSTLRFLSFFPISNPSPYSLFRPLRRPLFEDSPANARRRCFCTATSVSPSSSDGKNNHEQRIGSKVGEFRKKLRIAEVKGGADEGLGRVGQSLSVMGWVRTLRSQSSVTFIEINDGSCLSNLQCVMNPEAEGYDQVEAGSVLTGASVCVQGTIVASQGTKQKVELKVRKIILVGKCDSSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNTLAYATHKFFQESGFVWVASPIITASDCEGAGEQFCVTTLIPSSHETTNTSIDAIPKTKGGLVDWSQDFFGKPAFLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLDDDMACATAYLQYVVKCVLDNCKEDMEFFDTWIEKGIIHRLSDVVEKEFLQLSYTDAIELLLKANKKFEFPVKWGLDLQSEHERYLTEEAFEGRPVIIRNYPKEIKAFYMRENDDGKTVAAMDMLVPRVGELIGGSQREERLEVLEARLDELKLDKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDVIPFPRSPGSADF >A02g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24197998:24199925:1 gene:A02g509060.1_BraROA transcript:A02g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEKDASRTTDEFLATMRSFYHIPDAVEFRVTCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDEQNPVEAPTAAPDSSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPSTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDHAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A09p026980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12521338:12522632:1 gene:A09p026980.1_BraROA transcript:A09p026980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQVIRYSSIPILSYSISFTCSYSSPTQAAITKDLSLTVAEDLSTLCLVLYPTWLLWLVQFPQSLMNAGRLSYHLQKDVSVFTPSGTKKLSISFARFELSNSNKRASLSRQTIKLGLEENKIVAFIKLDFGNVVMVTRGRNRGSGGVIRNRAKLKGSFETTTTYKTQQDMSLQQG >A08g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1819922:1822636:-1 gene:A08g500680.1_BraROA transcript:A08g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEVLKKMGDGFPEKLKRSRYIRTRRSVRSEIEKGKIGDCGGSASREVLLDDLFDNELVKRKITREIKQEEADTGSKAANENNSLDPPLVETVVSPHKTRQNCDYEVNLSGTKAEKKKKRTMVGDGTKEAKCLLHEGGDNQFKMEDDDDADERLKQRNNAINEIALTLEARMMKLITEGQWEFLQLDDVTDEPSVNSSFAALKNWIRNIKQPASDNVEKILLGNKPRSNARPESLVLSFRCTEKYVTQEKVMEFLLSRSEELKQRGMNMSMLSELMELEPVKSSSQLNHMNIMDTNFSMKLSGHVQFSSNSNVALHDLLLIASEFDNEVLTQVVVLAPSKRLKPSQNKQNIIEKLRRETSTRGTFCLC >A05p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:228906:231062:-1 gene:A05p001410.1_BraROA transcript:A05p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response sensor 1 [Source:Projected from Arabidopsis thaliana (AT2G40940) UniProtKB/Swiss-Prot;Acc:Q38846] MEPCDCFETNANQDDLLVKYQYISDALIALAYFSIPLELIYFVNKSAFFPYKWVLMQFGAFIILCGATHFINLWMFFNHSKVVAIVMTLAKVSCAAVSCATALMLVHIIPDLLSVKNRELFLKKKADELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILRTTLVELGKTLCLEECALWMPSQSGLYLQLSHTLSHKIQVGSSVPINLPIINQLFNSAQAMHIPHTCPLAKIGPPVGRYAPPEVVSVRVPLLHLSNFQGSDWSDLSGKGYAIMVLILPTDGARKWRDHELELVEVVADQVAVALSHAAILEESMHARDQLIEQNFALDKARQEAEMAVHARNDFLAVMNHEMRTPMHAIISLSSLLLETELSPEQRVMIETILKSSNLVATLISDVLDLSRLEDGSLLLENEPFSLQAIFEEVISLIKPIASVKKLSTNLILSADLPAYAIGDEKRLMQTILNIMGNAVKFTKEGHVSIIASIMKPESLRELPSPDFYPVPSDNHFYLCVQVKDTGCGIHTQDIPFLFTKFVQPRTGAQRNHAGAGLGLALCKRFVGLMGGCIWIESEGIEKGCTASFIIRLGICNGPGSSSGSMALRLAAKSQTRPWNW >A04p037120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21208767:21210142:1 gene:A04p037120.1_BraROA transcript:A04p037120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPETSDQMLYSFLTGNEISGGGFSVSGDYMSTMQSLCGSSSSTSSYYPLAISGIGETVAQDRALAALRNHKEAERRRRERINSHLNKLRNVLSCNSKTDKATLLAKVVQRVKELKQQTLEITDSDQTLLPSETDEISVLHYGDYSNDGHIIFKASLCCDDRSDLLPDIMEILKSLHMKTLRAEISTLGGRTRSVLVVAADKEMHGVESVHFLQNALKSLLERSSKSLMERSSGGSGGGERSKRRRALDHIIMV >A07p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17331978:17334700:-1 gene:A07p031300.1_BraROA transcript:A07p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHANGFLTRIVRDKQLWRFGYRRSFCSLKVTPDDLDNQVLFEGSGCSRTAILNRPPALNALTTHMGVRLHKLYKNWEEDPNIGFVMMKGSGRAFCAGGDIVSIYHLRKRGSPDAIREFFWTLYNFIYFLGTYLKPHVAILNGVTMGGGAGVSIPGTFRVATDRTIFATPETVIGFHPDAGASFNLSHLPGRLGEYLGLTGLKISGAEMLACGLATHYISSTEVPILEQQLKKLLTDDPSVVEATLEKCAEAAHPEKTGVIRRIELLEKCFCHDTVEEIIDSLEVEAGRTKDIWCTTTLRRLKETSPLSLKVALRSVREGRFQTLDQCLIREYRMSLQGTVGNFSGNFCEGVRARLIDKDEAPKWDPPTLEKVTEDMVDNYFSPLTPAEPELDLPVKLRESI >A01p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25314616:25315204:1 gene:A01p042690.1_BraROA transcript:A01p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGQVEHVKAERNLLPEVDSNCIVKLYCSFQDEEYMYLIMEYLQGEDMMTLLMKMRQGFIDIKPDNLLLDRSGHMKLSDFGLCKPLDCSILEEKDFTYAQNVRGALQSDSRPVAWRRTLFQMEQLQNDTYASTHPPIIYQYQRRGSKK >A05p022530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10773457:10778231:1 gene:A05p022530.1_BraROA transcript:A05p022530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLGIILTEQDSVHLSSFVSFTFPHISYSNLFNLNGQDLQKIKVKHIMKSLNGFLLPLVIAFAILQSVQAQNQPGFVSLDCGLIPKSTVYTEKTTNITYTSDTAYIDSGLVGRINDSYKTPLQQQTWTLRSFPEGQRNCYNFKLTANRKYLIRGTFVYGNYDGLNQIPEFDLHIGPNKWTSVILDGVANASIYEMIHVLPQDRLQVCLVKTGKTSPFISSLELRPLNNKAYVTQSGSLMSFARIYFPETPSYLRYDEDFHDRIWVPYSENETLSLSTNLRVDTSSNSYDVPQNVANSAIIPAKPTDPLNIWWDLGDAKTQSYIYMHFAEIQNLGYNEIREYNITYNGDQVWETFFRPGKLNITTIISPTALSSPDGRFNFTFTRTKKSTLPPLINALEVYTVVENLLLATYQDEVSAMMNIKKTYGLSKKISWQGDPCSPQIYRWEGVNCLHVDSDQPLIISLNLTTSGLNGTIAPDIANLIQLRELYFRLMDRDLSKNDLSGDIPHFLADMKMLTLINLSGNPKLNLIIPDSLQERINIKSLTLIIDVKPGRKFTLVAIEISVAGVITALAILTICFIVARKTHSKGIIVGSGLETHGKYNRSSNQSIGTKERIFTYSDILKMTNNFERVLGKGGYGRVYYGNLNDTQVAVKMLFHTSAAQDYKHFKAEVELLLRVHHRHLVGLVGYCDDGDNLALIYEYMANGDLKENMSGKRGEHVLTWENRMLIAMEAAQGLEYLHNGSIPPMVHRDVKTTNILLNELFQAKLADFGLSRSSPVDGESYVSTVIAGTPGYLDPEYYITNLLSEKSDVYSFGVVLLEIITNQPVIDITRERSHITQWVGFFLLEGDIRNIIDPKLMGDFDTNGVWKAVELALACVSPTSNRRPTMPHVVMELKECLESEIARKQGSQVMYSKDSVDFSLSPGSELSPGP >A04p004090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2025361:2026542:-1 gene:A04p004090.1_BraROA transcript:A04p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKPASSTTMSSPSPAIHRAFSFPTPLVHHPPARKGDTHHLVSLTSTSYGSLLLVDLHESKTASDRISISGPDPVSLDSFSPDSVINTWELMDGLDDDDEFEFESPKLGKPISNLDSDLYPKPDPDRIVSALKLDESYEFEFESPKLCKPISSLDSDLFPKPDPDPNVSALKLDESYEVVRVEQEVEEGWVPLSYKPKQPLWKHLSEESFLSGLDPSIVSSYKKALPASALSTTQAKAEDKIVLYFTTLRGIRKTYEDCCCVRTILKGFQVTVDERDISMDSKYRKELQSTLGDAEKPVCLPQVFIGDTHIGGVEEVMKLNDSGELAEMLKGFSVCECLGTCKSCGDARFVPCSNCDGSTKVFEEEDEMFKRCSKCNENGLVRCLECCI >A06p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18010177:18011697:-1 gene:A06p033500.1_BraROA transcript:A06p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHL [Source:Projected from Arabidopsis thaliana (AT3G47860) UniProtKB/TrEMBL;Acc:A0A178VKZ0] MVSNIITSLSMTLVLPQSFTRPANTRCSVVRRINSRSHYSDRIICSLENPTGSKEALRKHFVSGFAAILLLSQAGQGVALDLSSRYHNICQLGSASVEGNKPTLPLDDDPEAMMMMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKEPAIRVDTFCVHGSPDGYITGIRGKVQCVGAQDLEKTETDLEKQEMIKEKCYLRFPTIPFIPKLPYDVIATDYENYALVSGAKDRSFVQVYSRTPNPGPEFIAKYKDYLAQFGYDPEKIKDTPQDCEVMSDGQLAAMMSMPGMEKTLTNQFPDLELRKSVQFDPFTSVFETLKKLVPLYFK >A06p048990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25909420:25916408:1 gene:A06p048990.1_BraROA transcript:A06p048990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKRDLRVEEENMCTSLLTAFVVLSMACLKHFYSVSYLIEQWRSLVFLLLNVVVLAVYFTSTRPISCETRDLKTRRGSRMRMARRKTRKTRMVEKPACSGQDFLVVEPMEVIKNCVVEETKRVCPEFEETVKGCVLNKKGVDSNVEEDDFEPGRLSNEELNERVEAFITTFRQHLVLDARRGRYRETDQKMRSKDSDISFLGREKRRKRIRKKKKMTSWASIRSIFMHADGVDWMLMGLGLFGAVADGFITPIYLLIIGLLLNDLGGSSSDRTFMKAISKNALVLLYVAAASWVICFLEGYCWTRTGERQAARMRERYLKAVLRQDVAYFDLHVTSTSDVITSVSSDSLIVQDFLSEKLPNFLMNVSAFFASYIVGFIMLWRLTIVGFPFIVILLIPGLMYGRTLIGISRKIREEYNEAGSIAEQAISLVRIVYAFGSETKLIAKFSVALQSSVKLGLRQGIVKGISLGSNSIIYAIWGFMTWYGSRMVMDHGAKGGTVFAVISCVTFGGTSLGQGLLNLKYFSDAVVAGERVTKVVKRVPDIDSNNMEGQILENIKGEVQFKHVNFMYPSRPETLILDDLCLRIPSGKTVALVGGSGSGKSTVISLLQRFYDPVAGEVLIDGVPINRLMVKWLRSQMGLVSQEPVLFATSIKENILFGKEDASMDEVVEAAKASNAHTFISQFPHDYNTQVGERGVQMSGGQKQRIAIARTMIKSPKILLLDEATSALDSESERVVQEALDKASLGRTTIVIAHRLSTIRNADVICVVHNGRIVETGSHEELMENLDGHYTSLVRLQQMENEESDVNISVRVQGGQLSILSKDLKYSPKLSIDSGSNLLTKSSTDSNTPGLIPKDKKLHVPSFKRLMGMNKPEWKHAISGCLSAALYGTVQPINAYVSGSMVSLYFLTNHEEIREKTRIYVLGFVGLALFVFLTNIVQHYSFAYMGESLTKRIREKMLSKILTFEVNWFDENENSSGAVCSRLAKEANLVRSLIGERLSLLVQTISGVTLACTLGLVIAWRLAIVMIVTQPVVVACFYTQSILLKSMSKRAIKAQDESSKLAAEAVSNIRTIIAFSSQERILKLLKRVQDGPRKESVRQSWLAGIVLGTSRSLLTCTGVLNYWAIADAGTMTTDLAKGSDAVGSVFAVLDRCTTIEPEDPNGYLPEKIKGLISFVNVDFAYPTRPNAVIFKDFSIEIEEGKSTAIVGPSGSGKSTIISLIERFYDPLKGSVRIDGHDLKSYNLRSLRRHIALVSQEPALFAGTIRENIMYGAASENIDESEIIEVAKAANAHEFITSLSNGYDTICRARGLQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERLVQDALERVMVGRTSVVIAHRLSTIQNCNVIAVLDKGKVVECGDHSSLLAKGPTGAYFSLVNLQRNLC >A05g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12455433:12460915:-1 gene:A05g504550.1_BraROA transcript:A05g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASGRRSSVSPPSSCASGDKRILIPPDPPDSNLPLAQYPPLSPTIPTRREKALVNSTIVSPYPTGQQISAGLSETGIALCNVDVEMVLGPVSVADPITRSDATVGATVDFQIQPSTTVVPPTEFTNNLQEKFTVLLPKFSSPIQTNPALSPTPTIASTSGDEDLPHASIPHPNHPVPPGNSQLVPNPSLVEKIRKSEDKSLKRLAPVTISASGRPSVLIPDAVFQKGADMHKDFIVCVFNGRSPPFSQIQSVLNHLWGKGKRLEIHNNPSSHSLLVRITSDYLKQKILEKGYWYVGDSLFHTKQWTTTNKSLAPSFSSIQIWAHLTGIPLDLRHQEGLSLVAGLVGEPKETDDFTKNLVSLTLAHAKVEVDLTKPLPDVVEFTRQSGEIVEVLVSFPWLPPTCSHCKELGHVVRNCLLVPLPPKAPPANPSKNKTPTIPKTPSGINLHLKTPTKTPSKTPTKTLLKNHPSSSSSVPSPSLSLPEPCPVVPVGSNNSVASVTIHSGLPSTSKNLKPLSSSSLFVPPPFSFASIKNPSLDVPSPTYQPSLKRSRSDPSISPPNNLSLFSNSSHQNITNLLSILCRGWRFASNHASDDDGRIIIVWRDDVNVRILHQSRQSITCEVTLPATAPFIYTAVYASNFRAERVDLWVELLDVCQTYQLHLQPWIIGGDFNEIMHPSEHSLMEVNVTTLQMQEFKDCLQQLEVFDLRFQGPRFTWSNHCPEGPIAKKLDRLLVNSNIISIFPNCVATFYPTLFSDHSPCVLDLAHHLPLAGTMPFRFFNYLTRHPSYHQLVLETWSQAGSLALNLTKLSWKQKSVKGVLKQLNRENFSNIQVRVLEANSIIITDPQLMSLHAITHFRNLLGPDVVHVPAIFSPPSCVQKSSFFSSGLSQQEVDTIKASTGMPNGLLPVRYLGGSIEGHHAARVSWETVTKSREGGGLGIKDLGTWNRACCLKLIWMLFFQGGSVWVAWFRSEVLHGSLSNYWTVNTSTTNSWLANKLIKMRGEVYTWIQLRVGNGVNCRFWTDNWSALGSLQGYFAAGSASRQGIPLTATLSDLNRNGSWTLPRPRSEEMVQAQIALTMVTLGEEEDSYEWVVTGTHTVKLFKRLILLCWKGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A09p075140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56733478:56734149:1 gene:A09p075140.1_BraROA transcript:A09p075140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein IAA5 [Source:Projected from Arabidopsis thaliana (AT1G15580) UniProtKB/Swiss-Prot;Acc:P33078] MANESNLAHEITELRLGLPGDIIVTGKKRVSSEVESELKCEPATKSQVVGWPPVCSFRRKNSLEETRTAYVKVSVDGAAFLRKIDLKMYKRYQDLASALQILFGCFITFDDTLKESECVPIYEDRDGDWMLAGDVPWEYNVCCIMQEVKGYEEISNEMIVREN >A09g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22907916:22911507:-1 gene:A09g508030.1_BraROA transcript:A09g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILESFGAFGGEELHKRVRCLAMDGDLTTVNQHPIAEVMPVLLKSGQSASREKAAEKRKPRRSMQHYARRSMEIQDHPPVRFRVQIASSVSHSPPVELPSGSPTQICDHPCPSTEPENGHDRAVEPTPQHSSLPEDPEEQERVQQRERKEQEREMEREMYGRSCGGKLYPKYGWTNPETDSAYHHDHDAVEAGVESPEIVREKRESAGNHKGEERSRRKSQGRESAAERNGEENLARPNKMRRPTENIRRNFLGMIKYFRQNFLGNHLFNFREIFGGLVYPVK >A03p056050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24207582:24209260:1 gene:A03p056050.1_BraROA transcript:A03p056050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasma-membrane associated cation-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G20260) TAIR;Acc:AT4G20260] MGYWNSKVVPRFKKIFEKSSVKKAAAAEACKTFDESKEAINKEIEEKKTELQPKVVETYEATSAEVKALVRDPNEAGLKKNSAAVQKYLEALAAIEFPGSTAAKDAASSFGAGYVSGPVMFIFEKVCVFLPEEVKTREIPVEEVKAEEPAKTEEPAKTEESAKTEESSGEKEEIVEETVTTAVVEEHKPEVAKEEEKKPEEVKKEEAAPPPAPELVESPVKAPETKTPAPVAEPSKP >A02p012760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5593016:5594959:1 gene:A02p012760.1_BraROA transcript:A02p012760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSGSSTAAPAPSPSALFQHRRRHHGGMMHMTFFWGKNTEVLFDGWPGTSLKMYWVCVATVFVFSALSEWLSRCGIMKAGPASLGGGLAQTVIYTVRAGLSYLIMLAVMSFNGGVFLAAIAGFGVGFLIFGSRSFKDTGINNNHTEVQSHCVVVVTDDFVETLDTLPQLNNELRVGHFNMKASKAKGLAHLLN >A01p036350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16484612:16485745:-1 gene:A01p036350.1_BraROA transcript:A01p036350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLADETGQKIHATCKQTYIESKGRILTVGAWRYIRNFQITPAGGAYRTTDHTWKIVFNQNTAVSRSNHVNDELYLNLSDFQTVLSGTLDENFLIDVLGQVLDCGGVENIQCTGGKQRKKLEFTLSDINDSRLPCCIWGNLAEKLHSAINQEVGMVTMLLRINDDDKTLTSFQSNEDSQEDNNKQVAQSGKRGQRDK >A10p017410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2455170:2455427:-1 gene:A10p017410.1_BraROA transcript:A10p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLPPGLIAFHGQVQTIDPFWHMLGLGYQEKTTFSDAESAAVVHFNGRANPWLDIAFPHLCPLWAKYLDSSDRFIKSCHIRGS >A06p024760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15307110:15307790:-1 gene:A06p024760.1_BraROA transcript:A06p024760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIFHSFKGFLDLEDFWDDLPVSRLKYNALDDFQEVQTTRLPGSRMEVVFNQMVLIFHSFKGFQI >A01p019310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9353622:9354955:-1 gene:A01p019310.1_BraROA transcript:A01p019310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B-like 3 [Source:Projected from Arabidopsis thaliana (AT4G26570) TAIR;Acc:AT4G26570] MSQCVDGFKHVCNSLLRCFDIDIGKSSGGPGDPELLARDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGRIDKEEWRILVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVEDT >A02p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16040119:16041858:-1 gene:A02p031040.1_BraROA transcript:A02p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEASVQKIQHLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYLRLYKEPIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGEISSKNIEIGKIGADKVFRVLTPAEIDDYLAEVE >A07p018890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11287249:11289159:-1 gene:A07p018890.1_BraROA transcript:A07p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT1G23730) UniProtKB/Swiss-Prot;Acc:Q9ZUC2] MSTDSYEDALRKLGELLTKKSDLGNIAAAKIKQLTSELEELDSSKIDSVERIKSGFNHFKTHNYEKNPSLYSALAKSQSPKFLVFACADSRVCPSHILNFQLGEAFIVRNIANMVPPYDKTKHSNVGAALEYPITVLNVESILVIGHSCCGGIKGLMAIEDDAAPYKSEFIEDWIQICAPAKNKTKQDCKDLSFDDQCTNCEKTLRLSLWCEKEAVNVSLGNLLSYPFVRERVVKNKLAIRGAHYDFVKGTFDLWELDFKTTPAFALS >A01g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22770033:22771073:1 gene:A01g508040.1_BraROA transcript:A01g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRLKKDKPICLNQDVWDGFKAYWQLDATAHIAATNSVNRRSKRGGKGEAIHNGGAKTREEREIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTASNMLSREEINQLVLENVPIKKGRRYGIGRTSEAISTSSSQLYVSSSSIVQYMERMKTELDEERSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSATQSPDDRCF >A08p022140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14721610:14725771:1 gene:A08p022140.1_BraROA transcript:A08p022140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPNMFESYHHMFDMTKSSDNDLGLTGSREDAFETKSGAEVTLENPLEEELQDPDQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRELNLEPLQIKFWFQNKRTQMKAQHERHENSILKSDNDKLRAENNRYKDALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPMLPHSSPFSQLTTSTHIPTRSLDLEVGSFGNNNSSQTGFVGEMYGTSDIMRLVSIPREAEKPMIVELAVAAMEELVRMAQTGDPLWVSSDSSGEILNEDEYFRTFPRGIGPKPIGLRSEASRESTVVIMNQINLVEILMDVNQWSSVFCGIVSRALTLDVLSTGVAGNYNGALQVLTAEFQVPSPLVPTRENYFVRYCKQHSDTTWAVVDVSLDSLRPSPITRSRRRPSGCLIQELQNGYSKVTWVEHTEVDDISVHTMYKPLVNTGLAFGAKRWVATLDRQCERLASSMASNIPTGDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWSTLAATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPMGLKRVFDFLRDENSRSQWDILSNGGLVEEMAHIANGRDPGNSVSLLRVNSSNSGESNMLILQESCTDASGSYVIYAPVDMMAMNVVLSGGDPDYVALLPSGFAILPDGSTRGGNASVVAEGEVVTSTASNCGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVQRIKDALACDGA >A08g510000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21519391:21520182:1 gene:A08g510000.1_BraROA transcript:A08g510000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVPTSTSDTMYQHFEEGKIYHIRYFNLLPNNQRYRLTDQPYIINIKETTTITLIQENIPPIPSYIFHPQRYTQLFSSASETGFLTDVVGRICLIQGSDLYNHYTDSKIIIGLRLDRSKLVRLTLWDKEASNFRELNGISTRKNHVAIITSIIPRIHEGKLSLTATPGTRFYFNNEIEIIQRFQKRNKLLS >A06p006500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2169082:2169942:-1 gene:A06p006500.1_BraROA transcript:A06p006500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYNEIAKKKREAKADRKRAIHGDPLTNKLKSRAPVVSVSGKRQKKLLRKWRREQKEMVEKGLVTMEDVEMASADAVSEESKKSPRKFSVKKTLKLNKLKNKGKKNKSQKAVSQVSADQMLE >A07p029210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16404651:16407880:-1 gene:A07p029210.1_BraROA transcript:A07p029210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFNSLAPLPSLSSSSSPRLQLSSLASSVFILKPNIIESKNRVSLSGYNLSNSHGRAAIVKAVASGVDGAEPEEPPKTVVSVDKLPLESKEAKEKQLLEQRMKMKLAKKIRLHRKRLVRKRKLRKKGRWPPSKMKKLKNLLLLSLMDSQRVIVEDPKSQFLNRQQGSSLYTLTLDEVQTHLGSSGKSLGSMNLDELLNEGLSRQGSLALPRDLSKKTVDEVWKDIQQDNKNGGSAHERRDKQGALGEMTLEDLLLKAGVVAETIPGSNHDDPGGAAGLAPWVQYHQLPSMTQPRSFLPYLVADMQVMVSQASLMGGLSDTQTPGRKRVASGEVVEKIVERKQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENERLRRQKEVEKILPSSPPPDPKRQLRRTSSAPF >A09g505410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17174057:17174645:-1 gene:A09g505410.1_BraROA transcript:A09g505410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFQQLAILKESFPRIVKKEMLLDSTELQHFQNHSSALDFIVSVASDTFIPTYYGNMAKVVEENNIAEPEEKRLLELLDLHDNKTLSWDQSAVYVKEVHEGRRMGEPAHRKVISDKPKEEDYFYANPHEMHQ >A04p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:657817:659760:-1 gene:A04p001310.1_BraROA transcript:A04p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWRRLLKTETIPRISQSTRKLFSTDAPSSFADRLRNLPKDFPSTQAKRDASLLIGRTPLVFLNRVTEGCEAYIAAKQEHFQPTCSVKDRPALAMVADAEKKNLITPGKTTLIEPTSGNMGISMAFIAALKGYKIIMTMPSYTSLERRVTMRSFGAELVLTDPAKGMGGTVKKAYDLLESTPDAHMLQQFANPANTQIHFDTTGPEIWEDTLGNVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGVEPAESNILNGGKPGPHAITGNGVGFKPDILDMDVMESVLEVSSEDAIKMARELALKEGLMVGISSGANTVAAIRLAKMPENKGKLIVTIHASFGERYLSSVLFDELRKEAEAMKPVSVD >A07p016670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10262040:10263092:1 gene:A07p016670.1_BraROA transcript:A07p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSTLTRIPLLTTKAGPRDGDAWKQRLKEEYKSLIAYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVHNLLKYEFDLQFDIPITYPATAPELELPEIDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDAASSAES >A09p001470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1089962:1091617:1 gene:A09p001470.1_BraROA transcript:A09p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTRRSHFKPTNFELLNILHWRLVRGKRCSFITDMQNLYERAPWLLQHVRHVRFRENEWFYFVRRNKRPGMQKADSTRPSRTVGESGIWKTSGVVAQIKNQDGANVGTKRHISFKAKSATVKDGITTGWTMHEFVLDEPWFQEVVLCRIRFYKRKDNAQYAPRFLPIVIGRERGDSIHHGVAAAAGEELQYSNAPVETHEAQGMEQWTGSCSREVVSYLARQQIMVQDSNYPILNGGMMQHQDFGSYGQHFSVNGRMEKHQNFRSYGQLSGEFLGQQQDHILAGQATYVSHMEDHQQQSHNLLGGHGTDPSHSAQTMEEDQQQWNGFWGPYSAQPYDQGMMENQDFESSALGLAQNHQCSGQNNDLSALSEPAAQQQHLGEGSNLSGPSQVQVMMNQALVEQHYVPWSAQANDQYKEHNNELPTVPMETQHQQHDNVIINSAAQLHLEAQDNVPLINETMEAQATDLPVNQGIDESHGGIVGSPTYRELYQEFLGEEEMGSEMDNIWNDVLNEEWVQKLGKNTPEEEAELIADLTNCETFESFLDSLLSN >A06p039700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21411931:21414081:1 gene:A06p039700.1_BraROA transcript:A06p039700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g65570 [Source:Projected from Arabidopsis thaliana (AT5G65570) UniProtKB/Swiss-Prot;Acc:Q9LSL8] MRRDHYGGLIVVSRISSFFGSKAGNFRSQFRLPCIASNSFTTTHTFSPLLRQCIDERWLPGIKTIQAQMLKSGFPVQLSGSKLVDAGLKCGEIGYAREVFDEMTERHIVTWNSLIAYFIKLRRSKEAVEVYRLMITSNVSPDEYTLSSVFKAFSDLGLEKEAQRSHGLAVVLGLEVSNVFVGSALVDMYVKFGKTREAKLVLDRVEEKDVVLITALIVGYSQKGEDAEAVKAFRSMLGEGVQPNEYTYASVLISCGNLKDVSNGKLIHGLMIKSGFDSALGSQTSLLTMYLRCGLVDDSLCIFKCIEYPNEVTWTSLISGLVLNGREEMALTEFRKMMRDSVKPNSFTLSSGLRGCSNLAMFEEGRQIHGIVLKHGLDRDKYAGSGLIDLYGKCGRSDMARSVFDTLNEVDVISLNTMIYSYAQNGYGREALELFERVMKLGLQANDVTVLSVLLACNNSGLVDEGCEFFESFRKGKVVLTNDHYACMVDMLGRAGRLDEADRLVNEVVNPDLVLWRTLLSACKIHRNVEMAERLKRKILEIAPGDEGTLILMSNLYASTGKWNRVIEMKSDMRGMKLKKSPAMSWVDVDRETHTFMAGDLFSHPNSEQILETLEELIKKAKEMGYVEDKSCVFQDMEESAKERSLHQHSEKLAIAFAVWRNAGGSIRILKNLRVCVDCHSWIKIVSRVINREIICRDSKRFHHFRNGSCSCKDYW >A02p025140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12419271:12419650:1 gene:A02p025140.1_BraROA transcript:A02p025140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQGVWNEQRFPLSSYEVSGFWSYRLAGEAAAISVFGCEVEITGWRARDSSGNSLSLAHSGVGLSRSSMGLNRSFLWVFGVGVVSFYR >A02p058120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34929610:34930637:1 gene:A02p058120.1_BraROA transcript:A02p058120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDSITKASAIASSNARDFSKKKKKNNKTAKMKQSKLGLRREQWLSQVAVTNKDCKEERSDHRVNNPVENVDENLGHERFMESPSSSSMGGTDISTNFSGRSSRTSSSSSRSSGDITEEDNVDDGCVDDWEALADASEAEEEEEERLIHESVKEQENVAQSASSNRAWRRDDDHRPQALPNLAKQISFPELDKRFSAASIPSSCPICYEDLDSTDASFFPCPCGFKLCLFCHKTIYDGDGRCPGCRKAYERNAMTTETSFQGGCVTVRLTRSSSMFCRS >A04g506010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:12527136:12527351:-1 gene:A04g506010.1_BraROA transcript:A04g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGV >A01p016180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8009120:8011385:1 gene:A01p016180.1_BraROA transcript:A01p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETQLVVDRIALNEKKVAMALDDLIKLSKRNAKGNKGRSSRRPKNKNRNFNGAARYGNPSKEKHYVNSLSGVRQGAVEKRRSNFKGNQFPVATNVARKAANVPPPSVRRRAFNAGRTTSANQSSGFMQLFFHSYQSPRCYVSSSSCILKNIDLARWGCHTELGDVISRWVDLEIPQELALFLRSYFEMGVVLMSGEPLRLGNHFYLLVPLASYRLLLQAVVLLAPPVQSKSRFTTKRHEMDQKVENGGGKWKTLDSRFAIMKEQRKNSTCNSGVGLQVPRLPPWARARRF >A05p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1480849:1483618:-1 gene:A05p003800.1_BraROA transcript:A05p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCQLLAGFLLWALLMLSWCEASRSSINGYDHHHSYGNFKSNSLIKRRDDGTRLKSFARVSSRPPTTVSVSDFGAKGDGKTDDTQAFVNAWNKACSSIGAVNLLVPEGKTYFLKSIRLNGPCKSIITVQIFGTLSASQKRSDYKDITKWITFDSVNSLYVDGGATGTVNGNGETWWQNSCKRNEAQTLTFYNLKNLRVNNLRVRNAQQIQISIEKCSNVQVSNVEVTAPADSPNTDGIHITNSQNIQISKSTIGTSDDCISIETGSQNVNINDLTCGPGHGISIGSLGDDNSKAFVSGVTVDGAKLSGTDNGVRIKTYQGGSETASDIIFQNIQMDNVKNPIIIDQDYCDKSKCTEQTSAVEVKNVVYRNISGTSASDIAITLNCSKNYPCQGIVLDKVNIKGGKGSCSNANVMDKGIVFPQCKST >A10p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4622777:4623756:1 gene:A10p013610.1_BraROA transcript:A10p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLRDSSAPLRLLRLYSSRLSLSLSTSVLLFPRISAPCLSTIRRSLLMSLHLHYCFYQLMCEDEPEDQYGVEEQRGVWRKRGEALKLLMNIISIFVGESNIQKNWKMESVAIPEQLIKLLPVAEPGIFDLVGYVIFAVEGIHTRASSTTETLR >A01p057920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32825707:32828857:-1 gene:A01p057920.1_BraROA transcript:A01p057920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor 1.1 [Source:Projected from Arabidopsis thaliana (AT3G04110) UniProtKB/Swiss-Prot;Acc:Q9M8W7] MKNLISLLICFLLFPTIKSRVADQNEEVLKEVRVGLVVDLGSTEGKILETSFALALSDFYRINNEYQTRVSVLARDSQGDPLLAFAAVTNLIKNAKVEAIIGAQSLQEAKLLATVSEKAKVPVLSLLAPNSLSLNKYDHFIQTTHDPTSEAKGITSLIHDLNQTSVVVIYEDADDWRESLQSLVEHFQDERISINRTASFSESSGENHMMNHLRKVTKVSRTAVFVVHMSNSLVSRLLHCAEKLGLMEQGHVWILTARTMHHFHFSDHFSTRSMQGVIGFRSYVPVSSNIMNFTSIATNTLMETKRYSAWAHAVASILANAAERMSLKTSENVSSNLLETMRQSSYKGLSHGGDIQMVGNKFILGTFEIVDMVGTRERRIGLWSCDSFCGIRRDVMASSTNDLEIPRHRFLEENGETKKVLRVLVPAGNKVPNLVSMRLDPETGVYTATGFCIEVFKTCIAPFNYQLEFIPYNNGSYNNLAYLLSTQSDKYDAAVGDITITSNRSLYVDFTLPFTDIGIGILTVKKKSQGMWTFFDPFDTSLWLASGAFFILTGVVVWLVERSVNPEFQGSWGQQLCIMLWFGFSTIVFAQREKLVKMSSRFLVIVWLFVVLILTSSYSANLTSTKTISRIQLNHQAVFASTTLNNMKLGSINAVEAYAQGLRDGTLSHIINELPYLNLLLGYYPDAFVMTDRESSTNGFGFMFQRGSGLATNVSREIAKLRSLGTLKDMEKRWFQKLDSLNVRSNAEDVASLNDVDEASNRFSFRELRGLFIIAGFAHVLVISLHLVHMRQELLTKLQSFY >A06p005630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1816021:1817019:1 gene:A06p005630.1_BraROA transcript:A06p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRRPLSPSVVSSFFLRRRSMSSKSDPISPSNTRIGWIGTGVMGRSMCGHLIKAGYSVTVFNRTISKAQPLLAMGANLAASPNSLASQSDVVFSIVGYPSDVRRVLLDPTSGALSGLSPGGVLVDMTTSEPSLAEEISKSASSAGCFSVDAPVSGGDLGAKNGKLSIFAGGDEATVKRLDPLFRLMGKVNFMGASGKGQFAKLANQITIASTMVGLVEGIVYAHKAGLDVRKFLEAISTGAAGSKSIDLYGERILKRDFDPGFYVDHFVKDLGICLNECQRMGLALPGLALAQQLYLSLKAHGEGGLGTQALILALERLNNVSVQPSVS >A04p004880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2521857:2522660:-1 gene:A04p004880.1_BraROA transcript:A04p004880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKKTEWQVGDPTISIVGDQFCNPYPMDLMVKRKVQSFSKDNYQVFDPSGNLLLQIDGQAWGINRKRVMTDPAGFTILTMRQKGMTLKNKWEVHGGESKEREDLLFTVHHSLAVSLKTSVDVFLAENNNVKKSNTCDFHASGGYSNISFKVFKSDALIAGVGVTHKFTWGSFCKGKCNFRVRVNPEVDYAFIIALLVMVDDNEN >A07p040180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21336975:21339034:1 gene:A07p040180.1_BraROA transcript:A07p040180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable metal-nicotianamine transporter YSL7 [Source:Projected from Arabidopsis thaliana (AT1G65730) UniProtKB/Swiss-Prot;Acc:Q9SHY2] IINSTLPVRFDLIFCDTGGFGSYLFGMSEVVAKQSAEANTPLNIKNPHLGWMIGFLFTVSFLGLFSVVPLRKIMIVDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRALGKFFSFSFLWGFFQWFFTSGDGCGFANFPTFGLEAYENKFYFDFSATYVGVGMICPYLINVSLLVGSILSWGIMWPLIGAQKGKWYSADLSSTSLHGLQGYKVFIAIAMILGDGLYNFIKVLGRTIVGLYKQFKNRDALPVNDRSPSNTATISYDDKRRTELFLKDRIPSWIAVTGYVVMAIVSIVTVPHIFPQLRWYHILTMYIIAPVLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSANGGVLAGLAACGVMMNIVSTASDLMQDFKTGYMTLASPRSMFLSQAIGTAMGCVISPCVFWLFYKAFPDFGQTGTAYPAPYALVYRNMSILGVEGFSALPQHCLMICYIFFAAAVFVNGVRDAVGPKWSRFIPLPMAMAIPFYIGGYFTIDMCVGSLILFVWRKLNRPKADAYSSAVASGLICGEGIWTLPSSVLALAGVKPPICMKFLSGATNVKVDSFLNPS >A07p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2698015:2699896:1 gene:A07p004710.1_BraROA transcript:A07p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANLAQTVTLEDMISWWFMPVNMGLTFLIGGILGWIVVKILKPPPYLEGLIVATCSSGNMGNLPIILVPAICDEDKSPFGNRSVCRTVGLSYASFSMALGGFYIWTYTFRLIKGSAMKFKDIEESEQKAIRSSNSDLEADHKSHLLGAPEETLVKQETGFWRKGVDFLHEILEELRAPPSVGAIIGFIFGAVTWLRNLIIGDDAPLRIVQTTAKLLGRLCRDGTIPCMTIILGGNLIQGLRSSAVKPVVVLGIVCVRYILLPIIGIGIVKSAESFGFLPADPLFQYVLMLQFTLPPAMNIGTMTQLYNVGQDECSVLMLWTYLVAILALTVWSTIFLHLLV >A03g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24478197:24481743:-1 gene:A03g506910.1_BraROA transcript:A03g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTLHTYKLVLLFACSLVLFLNTELSFLASAKTLDNDSKAFYLGERVHDDPELVTASHHEMLESLLESKEDAHNSMIYIYQHGFSGFAALLTSTQANKISEHPEVIHVIPNRILKLQTTRTWDHLGLSQISTPFSSPSSTSVKGLLHDTNMGSEAIIGVIDSGIWPESKVFNDQGLGPIPKRWRGKCVSGEKFNATIHCNKKLIGAKYYVNGLLAEMGGKFNRTIIQDFKSSRDIIGHGTHTATIAGGSFVSNASYYGLAQGTVRGGAPKARIAAYKACWNGVEPAGGCTTADMWKAFDDAIHDGVDVLSVSIGGGIPEDSEVDKLDNIAAFHAVTKGIPVVAAAGNAGPAAQTVVNVAPWLLTVAATTLDRSFPTKITLGNNQTFLAESLYTGPEISTGLAFLDSSSHDNVDMKGKTVLFFDSTTPITLKGVAGVIFSQKPDDLLQRCHSFACIFADYELGTHILQYIRTTRSPTVRINSATTLTGLPATTKVAAFSCRGPNSVSPAILKPDIAAPGVSILAALSPFDSREHDGFGLDTGTSMSTPVVSGIIALLKSLHPNWSPAAVRSALVTTAWRTSPSGEPIFAEGSNKKLADPFDYGGGLVNPQKASKPGLVYDMGIQDYINYMCSAGYNDSSISGMVGKITKCPNPKPSILDMNLPSITIPNLEKEVTLTRTVTNVGPIKSVYKAVIKSPLGITLTVTPNTLVFSSAAKRVLTFKVKAKTSHKVNTGYFFGSLTWTDGVHDVKIPVSVQTKIMIKV >A09g510730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33013466:33016448:-1 gene:A09g510730.1_BraROA transcript:A09g510730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSGAMPIDSTGLLEVRSLAISGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAILAAQLGLTSGGGPSTAVPRTGEVPPSGAATTGKSRKRKRGSSGVEGSAEEANDVPPSSELQKKKKKRKKTKRSVDAQSENLEGPIEIQGGGVQEEELRSEEEKDDEEEAVNEEESEASLGDAGSDNLEEESEGSPLLIRRRGDEAGNEAWSPAPMSPHAEAPVRPNIGAGSLAALEGEMPNRGTNTAEDDAPVHVLSDTSAEGSRRGNEEAVARESSVRASELSALDDRESDRED >A04p031870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18689775:18692053:1 gene:A04p031870.1_BraROA transcript:A04p031870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLGANAFGIDFHPSKNLVATGLIDGHLHLYRYDTESSLVRERKVRAHKESCRAVRFIDDGQRIVTASADCSILATDVETGASVAHLENAHEDAVNTLITVTETTIASGDDQGCVKIWDTRQRSCSHEFNVHEDYISCMTFASDSMKLAATSGDGTLSVCNLRTGKVQSQSEFSEDELLSVVIMKNGRKVICGTQNGILMLYSWGFFKDCSDRFVDLSPNSVDVLLKLDEDRVITGCDNGIISLVGILPNRIIQPIGSHEFPIEDLALSHDTKFLGSTAHDSMLKLWDLEEIIEGSNGNASGAAGDSDSDNEEMDLDNDPKPSRGTKRKTKSKPTPVDSKTSFFADM >A01p041230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22036484:22037367:1 gene:A01p041230.1_BraROA transcript:A01p041230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFGVSLYLCTVIECLPLILFTLVSCLQMANPHEPHFLKPLLPCFHSGVTIPLGFFSKHIEGKTNQKTWKLRSDASYKTWEVIQEGKRLTGGWKDFTTAHDLRIGDLVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEEADADDAADDAAGDADDNEHHHKIRTWAMSSFSFDYCFLAEVTASNLKEDKLYLPVGATSSTALNKQCQ >A10p030290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18335469:18336894:-1 gene:A10p030290.1_BraROA transcript:A10p030290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCKTLFRASVSSSPFVHVPNANSSSITLISFRAFSSSTVLRPNPSTHSFGPPRCALHDAASLLVLETFTETPPIDLTVSVKELLTTNRNDASSMMKMERRSSFSGDRSRGSWFPYEDKFRCGDVHLSSREVLEAVSPHMMEERSERFRRVVENRSFSVCLVVEGLSDIGNISAAFRSADALGIQSVHVVASDSYKRYRNNRHVSMGAEKWLDIELWDTPKECFKVLKSRGYRIATTHLGMDTVSIYDMDWSQPTAIVVGNEGSGISEEALELSDLYCSIPMNGMVDSFNVSVAAGILMHHAVCDRTARLGSHGDLSEQEKEILVAEFSLRHSRSSLFIASEFAKRRQQQHSPTV >A10p036040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20579130:20579817:-1 gene:A10p036040.1_BraROA transcript:A10p036040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSFPVPTSFQVSNDGSQVEEKKTGYLNYEVEPGFTIRLRQNIDPAMDPKKLKRIVSNRVAAQKSRWKKLQYIDDLVKKSRDLNWQVSMLRSQVEMASEQKQCLEREKMELKECMAGWIQRFISDQGEIEANTAEIERLKKKMAHMI >A06p049760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26253634:26256422:-1 gene:A06p049760.1_BraROA transcript:A06p049760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSNNPFVYAFICLLSIGNTFVLSSSSLPHTQDPNLVVDEVNRSVFNASRRSLAYLSCRTGNPIDDCWRCDPNWETNRQRLADCAIGFGKNAIGGRDGRIYVVTDAANDDPVNPRPGTLRHAVTQEEPLWIIFKRDMVIRLKKELIITSFKTIDGRGSSVHITDGPCIKIHYETNIIIHGINIHDCKPGSGGMIRDGPRHTGWWVPSDGDAVAIFGGKHIWIDHCSLSNCDDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTIYSQGNRFLAPNTRFNKEVTKHEDAPESEWRGWNWRSEGDMLLNGAYFRQSGAGASSTYARASSLSARPSSLVGSITTTAGTLSCRRGRRC >A10p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4934314:4936108:-1 gene:A10p009410.1_BraROA transcript:A10p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHGVEHVGLPTQMKSKQGSCNPFKKPGPVSMDHVLLALRETREERDVRIRSLFNFFDSENAGYLDCAQIEKGLVALQIPSGYKYAKELFRVCDANRDGRVDYHEFRRYMDDKELELYRIFQAIDVEHNGCISPEGLWDSLVKAGIEINDEELARFVEHVDKDNDGIILFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAVIPEGMSKHVKRSNYFIAGGIAGAASRTATAPLDRLKVLLQIQKTDAKIRDAVKAIWNQGGVRGFFRGNGLNIVKVAPESAIKFYAYELFKNAIGENMGEDKADIGTTARLFAGGMAGAVAQASIYPLDLVKTRLQTCTSQAGAACPRIGTLTKDILVNEGPRAFYKGLFPSLLGIIPYAGIDLAAYEKLKDLSRTYILQEDAEPGPLIQLGCGTISGALGATCVYPLQVVRTRMQAERTRTSMSGVFRRTVSEEGYKALYKGLLPNLLKVVPAASITYMVYEAMKKSLELD >A03p012430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4937087:4939130:1 gene:A03p012430.1_BraROA transcript:A03p012430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSSQNPNRHTWFKLLPSIPSNRRIFSIPIPFSLPDPVFQRISGDLVDAWRRHTTMDEEASSWIRRTKFSHTVSYRLNSSKLASFPLKVNQENVSQLKTRPQKLVSTSSPNVCVVVETEVQTNPVTNKQRSVSPSPQMALPDVFKEARSERKRFSTPHPRRMDSEKGMKVKLSHKDSFEKRRSFNLRSPSVPIRDLSTLRIQERVSKSKKDTGWSKLFDNGSGRRVSAAEASEEYRIDMSKLFFGLRFAHGLYSRLYHGKYEDKAVAVKLITVPDDDENGCLGARLEKQFTKEVTLLSRLSHPNVIKFVGAYKDPPVYCVLTKYLPEGSLRSFLHKPENRSLSLKKLIEFALDIARGMEYIHSRRVIHRDLKPENVLIDEDFQLKIADFGIACEEEYCDMLADDPGTYRWMAPEMIKRKPHGRKADVYSFGLVLWEMVAGAIPYEDMNPIQAAFAVVHKNIRPAVPGDCPAAMKALIEQCWSVAPDKRPEFWQIVKVLEEFEDSLEREGCLNLSSNKICKDPRKGLKHWIQKLGPAQGGGGSSSSVLGGSALPKPKFA >A05p011860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5180456:5183006:1 gene:A05p011860.1_BraROA transcript:A05p011860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G35390) UniProtKB/Swiss-Prot;Acc:Q42581] MASVALSFPPAAAKTPSYLSSSSSTFFSSSLSFTTSQSHSRNPVFASIKCDLGNGNPSIPIINERTLPKFLESARMEKSANRTNNNTRLKLFSGTANPALSQEIAWYMGLGLGKIKIKRFADGEIYVQLEESVRGCDVYLVQPTCTPTNENLMELLIMVDACRRASAKKVTAVIPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKSIAAEDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRSGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIVKGAALLHQEGAREVYACCTHAVFSPPAIERLSGGLLQEVIVTNTLPVAEKNYFPQLTILSVANLLGETIWRVHDDSSVSSIFL >A01p004940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2131900:2132151:-1 gene:A01p004940.1_BraROA transcript:A01p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLAAMFMLSPPLIPQLPTTTTTHNNLQPQPEPSLPVVRCHLPARSSSESSESSRSKFMLWLFGDPATYNKRFQRAIELNCS >A03p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12979988:12986737:1 gene:A03p030910.1_BraROA transcript:A03p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKKFLPIVCLVLSFSFGFVSAQICGESLFFRPTSTYALNRLLVLSTLASNVSSREGYYNASVGEGPGRIYALGLCLPGTDPTVCSDCIQLASVSLLQNCPNQTNSWFWRSEDDTNTICFVRYSNRSFFNQIDLRPREEFIYDLDFIGDVAKYKRTWGGFMERMISAASSSSPGSLAGRHYAANTTSLSGSRTIYALMQCIPGISSADCNACLQENVRYYQSCCGGKQGGSVRRPVCFFRFDLYWFRNAFHNIASSPPPQSSQDGQELQPTTPPPPPPDGKTISTGVRMALIVSTGIFIALLALGLFVFKRRQSYNTLNLENDDDIISLESLQIDVKTIEAATDQFSETKKIGQGGFGVVYKGTLPDGTKVAVKRLSKNSGQGTQEFKNEVVVVAKLQHRNLVRLLGFCVEGDEQILVYEFVPNKSLDYLLSGSALELLDPAFGQRYQSEEVRRCIHIALLCVQKDPGDRPMMSKIILLLSSSKITLQRPRAPGFYFQSSLDQDLEAEGLDSFGKQIHCSVNDASITELDPQRFGLYVIKAEYLINRCYINSQMNSSLCNLFTYVSTGLRLGFWNNYSFLELIDPAFKVSYENDEVIRLIHICRAIVCSRNSCRSSSIILFLILCLVLAVSFDYVSAVVCGDSMFFTPNGTYDTNRRLVLATLASNVISQDGYYNVSVGEGPGMIYALGMCIPGTEPQTCSDCIQASSKYLLQDCQNQTDSYDWSPPFCYVRYSNSSFYDEITLKPQYAEYYTGDIPGNVTEFNRLWEDLMRRMITATSSSTPGSPARRHYTVDMIPFTGFVNIYALMQCIPWISSEDCQKCLLENVRRQQNCCSKYKGGSVRRPVCYSLTDTSPFFGAFDNITLSPPPQGPLPQAPRTSPPLGDLTKKDGKTISTRTIVAIVVPVAIIVLLLALGFAFYRRRKSYKPMKLQTNDIFAADDDMTNTHQLQYDLNTIEAATDNFSDENKLGEGGFGVVYKGTFSNGTEIAVKRLTRTSRQGFQEFKNEVVVVAKLQHNNLVRLLGFCMEREEKILVYEFLCNKSLDMFLFGLLTFQVPNSHGYMAPEYMNLGQFSMESDVYSFGVLVLEIISGHTSSRFYRIGDTDCNLVTYAWKIWRTGSYAAEEFVDPTFGDNYQIEQVTRCIHIALLCHQADHADRPKICKINSMLTSSKISLPAPHEPGFLVPSRRA >A03g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28902201:28909501:1 gene:A03g508470.1_BraROA transcript:A03g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLYNCGGDDLELKEEMEKQFVDLVTEELKLQEAVADEHSRHMNATLLEAKRVASQYQKEAEKCNAATEICESARERAEALLIKERKITSLWEKRARQSGWEGEANT >A03p029770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12513472:12521506:1 gene:A03p029770.1_BraROA transcript:A03p029770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSPLKYTATSVRLLCSRVIFNMSELMELSVSPLIPSLPDDVTLDIVARVPRSHYPTLSLVSKKFRKLIASPKLYKRRSQLGITQHRLYALLRNRDSGDCRFYILHRKLNSRNRLVIVRSLPPVSSRGSFVSVGSKKVYMFNDVDALSIDCASHTAQPIPDMPQRFSATPMPSKVANVIDGKVYLIGDSRFTFDDGMSWSKTVMVLDAETQVWEPVMMKEDMLVGALWSDAVVMEDKICMKGHRNANSFVYEPKEKKWELMDEVLNSKHWESACVVDDLLYYHDCSEKALWANDPKQSRWSVVYGLDEFLVSECAQSKWPNTVKCGEKKLALFFPKKHDGKQVICCAEIALERRQDGEVWGKMESCDVVIEDGPFDMVKCVSVTFGCSKLGSASEANNKLLPSCGHNLPEFTKDHETRISQKAVLNFATVTQRNGSNRQPEVRHSHEGSGTRPLEKGIKIFNVMLLIQRIYSQLIGFQSSDTHINHMSENMKQSPEMLLSPLIPSLPDDVTIDIVARVPRSHYPTLSLVSKSFRNLIASSKLYKRRSQLGITQRRLYAVLRNRNTGEFSFYVLHRKLNGYNRLVVVRSLPFMSSRGSCVSVGSKVYVFNDLSVLSFDCTSHTVQRGPNFPQRMSYKEVNVIGKKVYVIGDAFCHYVQGTGWMEVWQKAVTVFDTETESLEPKLVHEDMAVGVGPFWSDSVVLEDKIYLKGYMNGNSFVYGPEEREWELMDEVMNSKDWEGACVVDGVLYYHDRSGKVLRAYDPKQGCWSVVSGLEEFLAVETGRSRWSITVNYGAEKLALFFPKKQYGEKMICCAEIGLERRQGGEIWGKVQWCHIVIGDGPFDMVECVSVTV >A01p049540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27803614:27807563:1 gene:A01p049540.1_BraROA transcript:A01p049540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELSFYVGVIGNVISVLVFLSPVEAFWKIVKRRSTEEYECLPYICTLLVTPGEYLVSTVNGFGVLAESIYVLIFLFFVPKPRFLETITLVLALNILFPVIAIVGTRTAFGDAKTRSNSMGFICATLNIIMYGSPLSAIKTVVTTKSVKYMPFWLSFFLFLNGAIWGVYALLVHDVFLLVPNGMGFFLGTMQLLIYAFYRNAKPNVKDEEEALAPSQPLLS >A09p054710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:47024792:47025637:1 gene:A09p054710.1_BraROA transcript:A09p054710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSSDQSLSINSHLTSPLLTSSMLSRTSSSSSAVGDYIGTESCFDVLSADEENDVVSPQEPLNRFRYGGRRREEREARAAAAREFPPPIPLLAQTENLLPHMPWVLKRVVTSDGRLILREEKVRHHEYFRAHRSNGRLTLHLVPLDDDVFELPQEPSHYPPDDVEEHDHDDDDHECNGDGVDDHHEVRDDLADNVDKSVIITVRDDEDGVVRNYDDGKDNVHGGREEEYRRATLAAVVDETAVESGGMVGGGGGSPRGKCLKSCFVGIRPQEIRPVLS >A10g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12223534:12225070:-1 gene:A10g504920.1_BraROA transcript:A10g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSLSEVFHFLEGSRVQGQSLRFPPAGTPGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDMDEPTSINRWYECGNRTFDMHAARKFTWEQRDEYGVYRDERGHARGVAGEMILVTKDNIRKILEKASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLQLEKEATTSSSIDAPRATSIDVSLFTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTILKKDQHPATSIDMCTFTSFDAKAKSASIDMLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCTDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDMTKAKSIDSNSPRSTNEHIIASIDAESIPIG >A03p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11224303:11229360:1 gene:A03p026920.1_BraROA transcript:A03p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP21-3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G47320) UniProtKB/Swiss-Prot;Acc:Q94A16] MERRVANAFPGAGPPPPQVPYYHNNNNNNYNPHQIHPSHHHVSAVGFHQYPQNDNRDQRFNQPHFDNQQQHNMIVDAPPSSEFSPCGGGSSLRKRRSLSSSTTPDPTAAADGCIAKLYVAPVPKTAKEDDVRQVFEKYGNVTEIILPRDKMSSERAAYCFVKYRKLEEGNAAIAALTDQYTFPGEMSPVQVRYAGAERERIGVSTVQIPDKLYVRCLNKQTTKTDVHEVFCRFGVIEDIYMAVDDMKVSRGFAFVQFSRKEMALAAIKGLNGVFTMRGSDQPLIVRFADPKKPRLGEPRFNFNAPPAMQQYDPNWHPQPYPQWGNNEHAAPRFVDFASQPNHFPQQNAQAVSEFQQPLHQKSETASVKTRSDGQKISSRSNAIHEDQNTEECDWSEHTCPDGNKYYFHCVTCESTWEKPEEYSMFERWFDEQIRLQDQNIAKSQDAIKNTQQDESTLLEQTTKLQQQSLSTAKSRLHPIFHLMCVFPGSGEQFSISGSNKSEWLLKRRVHKNVSGQVTKQKLMAKIKPQALLQQSKKKKGPAPVSITSIVIYTLAVLLVVFVLFSAYKRWTLRSEIPTHNGRSLLQDPAFPGVKKNTNLPWFATLDTGKGSVTIQLFKDAAPNVVDEFINLSQDGYFKGFSFSRVVKHAVIQAGHSAQFDAVKDWALQRNNLHTSLKQEEYMVGTPKAKNEQGGFEFFIVSSQITDLNEKLTVFGRVVKGQDVVKEIEEVEIDEHYQPKAPIEIMSVTLLQDM >A02g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9129504:9132346:-1 gene:A02g502750.1_BraROA transcript:A02g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFNSLWRESESFKLVVHGGCGIDDNGNLVIT >A07p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21134174:21141601:1 gene:A07p039760.1_BraROA transcript:A07p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQSRPADHNGKSAPKHMQSPQSFSSPGRYLGSGGPPPVYMGGSPYGSSLFNGSMPPYDVPFSGGSPYHFNYSSRLPAGAHYRPLHMSGPPPPYHGGSMMGSGGMYGMPPPPMNRYGLGIAMGPAAAAAMMPRSGYYSDEKSQKRDSTRENDWTCPNCGNVNFSFRIVCNMRKCNTPKPGPPQLQQGGSSDQISKQKAPEGSWKCDNCGNINYPFRNKCNRQNCGADKPGDHSNESPSNAPEENDQSNIASGRRPCCSGRQVASMHLSLYLSLVLKGVSDGLARGKNPKEMDDVVDALWKEHMRHSDASEMLNKDIISHFVLRLVYCRSEELKKWFLSMETALFRHRFRLQNFEAQRAIVGEFGLPYKAVTGAELESLKERLGQVVRSLGQISPSVEAVYYKVPFEEVPDLVAGRRVLIQKGHAFVAGSQLVSLAVTQFRSHISKALILTNRKWMTTIREREKDRLTPIVEALSTTYVGPDYSQSSEYADISLKDIDQVSKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWRAEFSKKVGSERFDKEYAYGIRHNYGKEGKRTDYTPYACQKIISSAPGVGDHHGCPYRHFSEDNLRAALGRMGLSSRGMEDVMDKVRNKHYQLACTLTFEAVYGTSCDVGINHPNQYFEESQKILKSKTPAAPV >A10p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11038992:11040340:1 gene:A10p007860.1_BraROA transcript:A10p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAARNSIQDLYGLKASSRSMKVLAERRGVYHFLDVLSVSWGLNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYECVVYTHAMTRAIFEGEGKYFDGIPFESVDRIDANVQILWHIDVTKDDNMCNRCFWIKELGLFLRDFERISLLRDTRKVGENIKKLMATEFDHAHNNGLDLHWSG >A10p031600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18853445:18855619:-1 gene:A10p031600.1_BraROA transcript:A10p031600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSKSEVFHLSGHTWVCSTGLKPDVVIQVQDQTFHLHKFPLLSRSGYLEALFSKASETASHHAQLHDIPGGPDTFLHVANFCYGVRIEVTAENVVSLRCAAEYLQMSENYGNANLIYLTESFLNDDVCTNWEDSIRALESCAKKLLPIAEELGIVSRCISSLAIKASYAEDKSLFNWPISAPETTTTTLWNGIQTKSTSGSNWWFNDVSSFLDFTIYKRFIQTVASRGVKADVVAASVTHYAKRNLPLLGCSRHGGSSSSDEGTNYGDDVYYSHDDQRSLLEEIVELLPSEKRVASTRFLLRLLRTSMVLHASAVTQDSLERKIGVQLDEAGLEDLLIPNMGYSAETLYDIDSVQRILDHFMLTFDSSSRCYNNAHPWLTEYEREQLCLLMNCQKLSLEACTHAAQNERLPVRVIVQVLFFEQMRLRTSIAELDMDTNSSNEETTGKNPELEGGSKTKGNAKMGIKEMKERVYELEKECTSMKQDLYKLVKPKEERNFFSKIFGLKCKTKTSPCGGKGKGGEEDALLMIRETKN >A02g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22076658:22083165:1 gene:A02g507960.1_BraROA transcript:A02g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFRSLWAVFRLDTFTTSSFDKEQTLRACYSLREIAFEGLTRMHRLVSYRCSEELGRYATTELWLEPGRYVATERNGRSQPSKTDTRSLRSDRAQPARSLCSDRARRTLEATDALRAEFQARLAKISASLGSLECIRSRDLALATIEGGMAVVRSFPSETPPTMEAEEARLSGCKGDMAAVDGDFDLILADLKSACFLPTCSEDPEGKDPLVGENGGDAAQGSDEALVGRKVMSCRLISFFDCEMFHSRSVTRVLPRDVSSTELLKIDQCAGFRARPRLTFGLRIVRWLAGYRFSCCDFFLIRIDLKSAIGSRLIRLDVCEFDVISIGLGGACQITFDASFATSRDAKAVGFLSVNGGRSESRMRSLTLVTSESSPTSSFVAYLAPKTLQLVVECPRDFWNLQKVFPSLSAFTASELGLPFSQLFLFVPIGDVLFFRHRFFEQGAFPSRSAPGPSWMSVDVLVGVVGDIARIQVNTFGFVILRVLCRGRKTFRVPLFDGRFLARVLTGRSFPRDSRSVEWGSEVEPLLVDFGGSAGTDCLSPCRAHEVILFFRPFLIGGEHLFKLLERRGVGLCVGRGYVRCWRMEIGAAASVKRGLHVIRVRQTVGTEIRTVDFRLNKETRKTLISQRTRISVNYHTSSNQNTRITTIKIRNRKESKEDLIPNLRMSVTTRYKPGLESCRRDSYSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPVNRAVVYGLLVKQIVGWASSRVLGPFGPSSDSTRLLRVLSIKNELSVVSNPRKCMDWCRIDVRKSLVAMQRPNIDSSPVAK >A06g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18222520:18226132:1 gene:A06g506520.1_BraROA transcript:A06g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKRVDDLHNTIPSTFDSTLQNLSTHRKKLEILPRLLWKSRCTMAMMLQCITHYPETRNRFLKADMPTYFYPLMDINLTDKPLECLRLGALGVIAHMLKLIAVFIINKIMSTGEGLQYCCVLPDRFFFIDGLLKKLLVYLTAMGTPCPSLFNLLVGCYTNLSYKPRTRRGLRRYLPAMLFNGTFACLLAEDPAAERCRQQLIKTLEMK >A09p074080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56270899:56274852:-1 gene:A09p074080.1_BraROA transcript:A09p074080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKELMGYPLTSKRPLLVWSASHFKKRTQPTQLSIKPFDRRPRTSKSGVVAAISEDLVKTLRFNTTTGDRKSEEEEKAAVKFKVRAVVTVRNKNKEDFKETLVKHLDAFGDKIGRNIVLELVSTELDPKTNMPKKSNAAVLKDWSKKSKTKAERVHYTAEFTVDAAFGSPGAITVMNKHQKEFFLESITIEGFAVGPVHFPCNSWVQSQKDHPEKRIFFTNQPFLPSGTPDGLKKLRERELKNLRGDGSGVRKLSDRIYDFDVYNDLGNPDKSSELSRPKLGGKEIPYPRRCRTGRHPTDTDKEAESRVEKPLPMYVPRDEQFEETKQKTFAAGRLKAVLHHLVPSLKASILAEDFADFGEIDGLYKEGLLLKLGFQDEIFNKFPLPKAIVNTLQESSKGLLKYDTPKILSKDKNAWLRDDEFARQAIAGINPVNIERVRTFPPVSNLDPEIYGPQHSALTSDHIIGHLDGLSVQQALEENRLYKLDYHDIFLPFLDRINALDGRKAYATRTIFFLTRLGTLKPVAIELSLPPHGPNHRSKRVVTPPVDATSNWVWQLAKAHVSSNDAGVHQLVNHWLRTHACLEPFILAAHRQMSAMHPIFKLLDPHMRYTLEINALARQSLISADGVIEEGFTAGSYGMEMSAAAYKSSWRFDMEGLPADLIRRGMAVPDSTQPHGLKLLIEDYPYANDGLLLWSAIQTWVRTYVERYYPNPNLIKTDSELQSWYSESINVGHADLRDAEWWPKLNTVDDLASILTTLIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDESDPEYASFISDPEKFYFSAMPSLLQTSKFMAVVDTLSTHSPDEEYIGERQQPSIWTGDAEIVDAFYGFAAEIGRIEKEIEERNSDPDRRNRCGAGVLPYELLVPSSEPGVTCRGVPNSVSI >A09g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18284940:18289874:1 gene:A09g505860.1_BraROA transcript:A09g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSDFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYSMPPYPYLATDYATQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTNRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGVTAPGETASTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSALSLIGGWLHLQPKWKPRVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGSGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWKDKPVALSIVQARLVGLAHFSDPICIIIIDNKRNLSIMAKKSLIYREKKRQKLEQKYHLIRRSLKKEISEIPSLSEKWKIHGKLQSPPRNSAPTRLHRRCFSTGRPRANYRDFGLSGHILREMVQACLLPGATRSSW >A03p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7398064:7400518:-1 gene:A03p018120.1_BraROA transcript:A03p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G31450) UniProtKB/Swiss-Prot;Acc:Q9SIC4] MILVNRAVSTTLDNVAWFSRIRTMNRKIHSTLSSSKPDSLRIQHLRSEDSNPESASGASGSSETLVYTRKKRLKQEALEPLEKKSQLCGLPDIEEFAFKKNTRSSKRSTETNITVASASTAGRSTETNITVASAKTTAGNPPENWVEVLEGIRQMRSSGDAPVDSMGCDKAGSFLPPSERRFAVLLGSLLSSQTKDQVNNAAIHRLHQKGLLTPEAIDKADESTIKELIYPVGFYARKATYMKKIAKICLEKYNGDIPSSLDDLLALPGIGPKMAHLILHIAWNDVQGICVDTHVHRICNRLGWVSRPATKQKTSSPEETRVALQQWLPKEEWVAINPLLVGFGQTICTPLRPRCEACSVAKLCPAAFKEASSSPSSKLKKSKQSKEL >A04p024160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14653811:14655002:-1 gene:A04p024160.1_BraROA transcript:A04p024160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMTSNVAAKLAFFPPSPATYGVSKDEETGKLMFTGVTPEKSMDVHQITTKSGNKVVATFWKDPFARFTLIYSHGNAADLGQMVELFIELRAHLRVNVMSYDYSGYGASTGKPSEVNTYHDIEAVYNCLRSEYGIKQEEMVLYGQSVGSGPTLYLASRLKRLRGVVLHSAILSGIRVLYPVKMTFWFDIYKNIERIRHVTCPVLVIHGTKDEVVNMSHGKRLWELSKDKYDPLWVKGGGHCNLESYPEYIKHLLKFINAMEKLALNNPPTKQADDEPSITKTKHNCCLRFRKK >A03p067140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28760887:28761300:-1 gene:A03p067140.1_BraROA transcript:A03p067140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDAALQRRTGVWWDLNTCPVPDGFDPRRVRGCIESAVHKQMGHRSKVIIYAMGNLEYISSALLEEIASSGIVLIHAPCGGNDFKNLLREWSQLNPSSPATTVMLISRNYILIFSAYWIRCFLCISKRWPACYP >A06g509210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26338388:26338925:-1 gene:A06g509210.1_BraROA transcript:A06g509210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASHSTILKVLSGELKAGRCRKTVVAHVLRSWEARNVKKGGDLMGVYLVLLDEKDQILRTHMLRKPMRDHCQDS >A07p050320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26815290:26819441:-1 gene:A07p050320.1_BraROA transcript:A07p050320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 2.2 [Source:Projected from Arabidopsis thaliana (AT1G77990) UniProtKB/Swiss-Prot;Acc:P92946] MSIEMQSHQAEAAPAEEPLSQWLINMPEPPTIWQEFVGYIRTNVLSKKRNKMKKKSSNPVYSYLKSVFPILIWGRQYKLNMFKKDLMAGLTLASLCIPQSIGYANLARLDPEYGLYTSVVPPLIYSMMGSSRELAIGPVAVVSLLLSSMVSDLQDPVTDPIAYRKIVFTATFFAGAFQAIFGLFRLGFLVDFLSHAALVGFMAGAAIVIGLQQLKGLFGLSHFTNKTDVVSVLSSVFHSLHHPWQPLNFVIGSSFLIFILLARFLGKRNKKLFWIPAMAPLISVILATLIVYLTNAETRGVKIVKNIKPGFNRPSVNQLEFNGPHLGQVAKIGIICAIIALTEAIAVGRSFATIKGYRLDGNKEMMAMGFSNIAGSLTSCYVATGSFSRTAVNFSAGCETVVSNIVMAITVMVSLEVLTRFLYFTPTAILASIILSALPGLIDISGALHIWKLDKLDFLVLVAAFLGVLFASVEIGLLLAVGISFTRIILSSIRPTVEALGRLSKTDIFGDINQYPMATKTQGLLTLRISSPLLCFANANFIRDRILNSIQKVEEGEDDEQEVKRAKVLQVVILDMSCVMGLDTSGVVALEELHQELASNDTQLVIASPRWRVFHKLKRAKLEEKVKKENIFMTVGEAVDFYVRARTTSHDMC >A08p027220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17246092:17246797:1 gene:A08p027220.1_BraROA transcript:A08p027220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHALQPLLLLLLALFFLPAALGLGTFVNFTNCRVSYPYRRVNVTSIWIDPYPLPLREINRIKITAETNYNITLGKMILNTFSVPLVLNPVHDEFHLCRDHTVTTCPVTAVPMVINIPVVINGADHYVREYFAEILLIEELINQTMCVTFRYLVRDPEFSTGILAA >A10p013810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4529059:4530783:-1 gene:A10p013810.1_BraROA transcript:A10p013810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFLSRFQVKDQIRSFFYFPSLHFQRASGGFGPIVINNRDLIPILFSKSDGDISFMIGDWYTHNHTALRSVLDSSEELGMPDGVLINGKGPYKYNTTVPDGIQYKTINVDPGKTYRIRVHNVGVSTSLNFRIQNHKLLLVETECRYTSQTNFTDFDIHVVQSYSFLVTMDQNASSDYCIVASARFVNETEWQRVTGVGILHYSNSKGHASGLLPLPSTDVSHPWFVVNQQRGIKGARPNPHGSHHYGQINITGTYILRSMPPTKINGSLRSTLNGVSFLNPSTPMTLADKHRLKGVYKLDFPSRPVDSKPPRLESSIINATYKEFIQVIFQNNDTKVQTFHIDGYSFYVVTMDFGNWTEDKKGSYNNWDAISRSTIEGHGLLYLFPGITSEFEISEPRTLTDGILAKKHT >A03p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:882863:884000:1 gene:A03p001770.1_BraROA transcript:A03p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVEYMSLPASQYSVLDAERIERVHDNTFTLLNSSTLKCALFCIKLFLRGLLLWLLKMTSLMVNIEVSFAFRVFPVGAIEATGTQVLDQILRLMLPRFLSQLSKDYQAMGFRRHFKATSWDWRDLIVNENGPYLAPRSLPSFSNVNVNWSKPKPIISKTHKYLSMTRP >A06p014310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6438393:6445268:-1 gene:A06p014310.1_BraROA transcript:A06p014310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRVEDDYDYLFKVVLIGDSGVVKSNLLSRFTKNEFNLESKSTIGVEFATRTLTVEGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFENVDRWLKELKNHTDPNIVVMLVGNKSDLRHLLAVPTEDGKSYAEQESLCFMETSALEATNVEDAFAEVLTQIYRITSKKQVEAGEDGNGSVPKGEKIEVKNDVSALKKLVFTFDCFTEKGASLQSATRRDHFPMDLTTTRQRRPLITGSSSSSPKPYSKTDKPSRSNGGDAEDRGLGWFLPFIALCYLRYMSATSNIVHDCDEVFNYWEPLHYLLYKSGFQTWEYSSNFALRSYLYILFHELAGRPAAWWFGGDKVRVFYAVRLFLGLVSAVSDTVLVVAISRKYGKRLGTYAVAMLCLTSGCFFASTSFLPSSFSMYAMSLSSGLLLFEKYAMAVAVSVVGVILGWPFSILAFLPVVIYSLVKRFKQAFISGAVTSALLLGGSVLVDYYYYKRWTSSVLNLLVYNVLGGGESHLYGTEGPLFYIRNGFNNFNLCFVLAILFIALYPVIRRKYDHSLLVVISPMYIWLAFMSLQPHKEERFLYPIYPLICVSASAVIENIPELFREKYSTSENLLVTITKYLRPVILGIILCASHARTFSIINGYSAPLEVYKLLEHHDDAGPGSVLCVGSEWHRYPSSFFVPDYISEVRWIDDGFRGLLPFPFNNTLGGTAASPPYFNNKNQASDEQFLKNIESCTFLIELQLSRPYPYRGSDLSTWETIVVLPYLDRELSPAKYRSFFIPYKWQEKNVFGKYETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >A10p021180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14266295:14268470:-1 gene:A10p021180.1_BraROA transcript:A10p021180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT32 [Source:Projected from Arabidopsis thaliana (AT5G57740) UniProtKB/TrEMBL;Acc:A0A178UH83] MRFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLDYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLVESGVDINLRNYRGQTALMQACQHGHWEVVLTLILFGANIHRSDYINGGTALHLAALNGHPRCIRILLSEYIPSVPNCWSLLKSNKSSVSGFDRSVLQEVINRAADGGITPLHVAALNGHIETVQLLLDLGASVTQVTVEDGTTIDLIGAGSTALHYASCGGNIQCCQLLIGKGASLAAINSNGWTPLMVARSWHRDWLEETLNPTTEQQPQSHPPKVPSPFLCLPLMSIVKIAQECGWRENDCLTPCRDPCAVCLERKCTVAADGCGHEFCTNCALYLSTTTNTSSKTTSQATPGSVPCPLCRYGIASFTKLPETTAITTTVTSSRTSISLSFCTCSSSSDVLDTALLTTNTQYSCNKPVVSRTGSRISQSVGSSSSFRSLSCHRFPPSLCLGGSDVDEPQRRLMNGSYSRSGLGFRRSASQVEGKRSWFSAFNHCVTAGGTAC >A01g501040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4077018:4077485:-1 gene:A01g501040.1_BraROA transcript:A01g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLRTPSFTPNDLTVFCNTDAAWRSDLMAAGMGWIITDRYGHEISRDTASQLNVTSACMAEALAIREALIHASTQHITHICLRTDSQVLARAISKRRRPTELYGILSDIDSLTSSPSSPFLECNVVFTPRSRNGPADLLSKSCLANFIMGLRP >A05p026620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14243986:14245601:-1 gene:A05p026620.1_BraROA transcript:A05p026620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KASELTVEQDLRSTFRRNNRSMHTLQRTVFVQTDLEPKTSPIYKITPDVSSINTRQPPLIQTPILPTDTHSPPSTETTLSSTDIFHLTSIDTSSRTSIDTDFRYMVAYLVLVLDENGDLHDQEGHLHNAAGQKINA >A03p013690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5408081:5408560:1 gene:A03p013690.1_BraROA transcript:A03p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRKTEYESGRDTAKMEMPTPVIISGGDDNEDEYTPDEIMQLVESSLPTTTNTEGTNFPGEASFRVRFIDDPYEIPVAVQSSSGYITINVNEESCGPSFSDSDASAMASVDASGLFAGCCLGFNGEKGGAWGANNVGASECEWDDDLLARFLGEDSV >A06p047750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25331618:25334367:1 gene:A06p047750.1_BraROA transcript:A06p047750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTAPKISMFGAKSGFVIPKNKLSGSLIPIFQRGGGGAGKDNGTLAKLGKRKTKWGPDLTQDVAVKKGRVLAYQKRLDQITQQLESGTHEAEANRETNSDIAEHLELEKREAIGEILELNPRFKAPPDYKPVLKEARLPIDVKEHSDFSFLSLIFGSQGDTQKQLEKETGAKVQVFGTKTGGEKVELTPSDENEIQTSWEELYFQISSDTYEKVDAAIAVIELLISSVSGNTEAGAAPSSSKSEDVSTLPGNINATTTDPNSEQPTDNSVQPPQAQLEEYGSSLPLASNQVPFHPPLNPSVPSLGNRIPDQEQPTNPLFAQQPIPFHQNTSLRPDFQGPRPPDLFSFNLSSTPRPYSVVQPGFSTVPPYSGSQIQPLGPRSTMRPSTLSTFQPIPNSGFRPPLLPEMVSSNMSQSVRPLAPNLSLHPVAHQPGTEIPSVPFPSGISLKHLTEYASGGSLRPMSVSPHVSGRLAGPLPTYPSTNTAPRTFQGDFGFHPQSHLSPRPNSQTVHLAPLVFRAPSVSPASQHFGQSFTRSQHFGRQMDQPLSHLPGPFHGNVRSSNLQSFRPPLPRVMPRNFPEAQFPQRSALFPPRPVFHNDNLNPNGQPQIRHRSNAGVHQVYDPFSPSDA >A06p005030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1459837:1465653:-1 gene:A06p005030.1_BraROA transcript:A06p005030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISDFNDEEKEKVLEDLTSNVKQIQDDLLKEILTLNSGTEYLQSFLHGSSSKELFKKNLPIVTYKDVKPYFDRVANGESSDVISALPITNVFVSSGTSGGANKILPSNNKFLDGYAFSNDLLVHIISKHVKGVERGKGMIFSLTGHETKTPGGLPIESSTSWYLKSDYFKNRPSNWFYSFTSPDEVMLGSDIKQNLYCHLLCGLVQRDEVMRIGSTFASGMVRVIKVLQDSWKELCSNIRSGYLSEWITDSGCRNAVSMVLGGQPRPNLSDEIESICSQKSWKGIMKKLWPQTKYIEAIITGSMAQYVPTLNHYCDDLPLVSTTYASSESIFGINTYPLCKPEDISYTLMPNISYFEFIPVEEGSDDVVDLADVKLGCSYQLLITNLWGLYRMRIGDMLKVTGFHNKAPQFRFMGRENTLLSIDTDRTNEEYLFKALNRATLVLESSDLRLVAFTSYADISSSPGHYVIYLEVKAKNEDIRDLKFDEKTFLECCLVMEDSFDDEYRYCRSNEFVGPLEIRVVNDGSFDSLMNLSISKGTSVNQYKTPTCITSEKGVQVLETNVVARFFTLSIKPNHSM >A05p042160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25532877:25535155:1 gene:A05p042160.1_BraROA transcript:A05p042160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFIWFYLYVPQGDTIHATVNEDVVPIFESFLEEGDSKIFINFSLSQSCGSYRLTKHPYKIWFQATTRVKYCDDLPYRLTGFTPVNFREILDGSLSPEYLIGEYIIGQIVEASIGVWGKFALELSNAIQLLSDRTLICVMKFGKIKVWKDERSVCNAYNVSTISLNPYIQEVETFAKLLPKENISLAIVQSKPFSMVSMMSEEEDYFVQTPQKTISDISETRKVERCFVRCTIAAIDNDMGWYYISCKVCGTKLDMLHNNVHPGGTYELDVRCMLYCTKCKMLNPKLKLRYKLHLVVLDNTGYTKLLVLDNIALQLLHQPCFHPTTHIKSEILEPNVLRTALKNLVGKTYLFKIIIDKVNYQYDDDTFRVQKIITSPYMINEFDVSPYPKGHSNKFYPDFSNGSEDLEGSMMLTGSSSVDSESNVKTPAKREGSPIESLEAAFYQSAANKHGPSDSIKKVKTEKSG >A07p032770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17919935:17929784:-1 gene:A07p032770.1_BraROA transcript:A07p032770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastidic glucose transporter 3 [Source:Projected from Arabidopsis thaliana (AT1G79820) UniProtKB/Swiss-Prot;Acc:Q2V4B9] MAWFSDKISLGGFPDLTGAVNKFQESVKNIEKNFDNALGFDDKSESAGEASSMWPPVMSFMGNTSDEKLDTLEDSASSENPPQSEEEEKEEGSGKVATEQEVLVEANKETNVTEEADQAEVAETVVLDPKDGEPESQMALEDSQGNSVQQPRDTSSLEPDEKQELAAASQESQPEPPKSEDTGSEAEEAMPEEDAGTNEVSVGNNEAVSSPVIADTDETKNEQETSSVNVEVSPETDNLNRIEPSDAQLSLITESAGSANESSVLKRSPSDEISERVVDFVSRELDSRLDGSELIESQRSSSATNASDSADVVMELEKTKKEMKMLENALQGAARQAQAKADEIAKLMHENEQLKSATEDLKRKSNEAEVESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEGQIRKLRAQIREVEEEKKGLITKLQSEENKVESIKRDKTATEKLLQETIEKHQAELASQKEYYSNALAAAKEAQALAEERTNNEARIELENRLKEAGERESMLVQALEELRQTLSKKEQQVVFREDMFRRELEDLQRRYQASERRCEELITQVPESTRPLLRQIEAMQETTARRAEAWGAVERTLNARLQEAETKAATAEERERSVNERLSQTLSRINVLEAQLSCLRAEQGQLSKSLEKERQRAAENRQEYLAAKEEADTLGGRANQLEVEIMELRRKHKQELQEVLLHNELIQKDLEREKATRLDLERTARINTSTASEQLPISRQNSTYENGGLQRKPSSASSLGSMEESYFLQASLDSSDKSSEKRSMPEATMSPYYMKSITPSAYEATLRQKEGELASYMSRLASMESIRDSLAEELVKMTAECEKLRGEADRVPGIKAELEALRQRHAAALELMGERDEELEELRADIVDLKEMYKEQVNMLVNKWGMDSVRRTYTMMRGRHIEKRVPSRELLSVLDKAETAAVTGTGKDIGNPSWKRSLPHVFVASLTSLLFGYHLGVVNETLESISIDLGFSGNTIAEGLVVSTCLGGAFIGSLFSGLVADGVGRRRAFQLSALPMIIGASVSATTESLEGMLLGRFFVGIGMGIGPSVTALYVTEVSPAYVRGTYGSSTQIATCLGLLASLFAGIPAKDNLGWWRICFWISTVPAVVLAVFMQLCAESPQWLFKRGRAAEAEVVFEKLLGGAYVKAAMAELVKSDRGDDGDSAKLSELLFGRSFRVVFIGSTLFALQQLSGINAVFYFSSTVFKKAGVPSASANICVGVCNLLGSTVAVVLMDKLGRKVLLIGSFAGMAVSLGLQALAHTSYSSTFGTLFLSVGGMLLFVLSFATGAGPVPSILLSEICPGRLRATALAVCLAVHWVINFFVGLLFLRMLEQLGSVLLNAIFGFFCVVAVIFVQKNVIETKGKSLQEIEINLLSATSQ >A04g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19835213:19835705:-1 gene:A04g507810.1_BraROA transcript:A04g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSFLLDPFHAEGIVRQKIQRHGTVNGTMDVKELVLLLAKNLMNHQSLLLVKDCATPPALKKEVSAEGERDKEGRKVGEKKTEGG >A04p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20430598:20434058:-1 gene:A04p035460.1_BraROA transcript:A04p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVPSDQSFYIESEDEDDRKDYAEEEEEEDGHSHSDSSDANDEHHTQNKPNSYTTAWPQSYRQSIDLYSSVPSPSIGFLGNNSMTRFGSSFLSSSLIRRHTPESLPVVTKPLLEAEEQAPPPPKHRLSSHGLLPPVPSRRSSMRKDERVSHEVPMSRNSSYGQAVMNGLNVLCGVGILSTPYAAKEGGWLGLGILFIYGLLSFYTGILLRYCLDSESDLETYPDIGQAAFGTTGRIFVSIVLYLELYACCVEYIILESDNLSSLYPNAGLSIGGFELDARHLFALLTTIAVLPTVWLRDLSLLSYISAGGVIASVLVVLSLFWIGLVDDVGIHSKGTTLNLSTLPVAIGLYGYCYSGHAVFPNIYTSMANPNQYPSALLTCFTICTLMYAGVAFMGYTMFGEATESQFTLNLPQDLVATKIAVWTTESTYALTLSPVALSLEELIPSRHNKSHWYAIAIRTALVFSTLLVGLAIPFFGKILSTILIVMLIYFGIKLTMKQHLAGLVMSLIGSLLTMLVTLILPPACFLSIVRRKVTRTQMMLCVLIIVVGAISSVIGSYSALSQIIEKLSS >A06p023270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11793983:11794444:1 gene:A06p023270.1_BraROA transcript:A06p023270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COPT2 [Source:Projected from Arabidopsis thaliana (AT3G46900) UniProtKB/TrEMBL;Acc:A0A178VCX7] MDHMHNMPPPSPSSSMTNQTKPHMMMMHMTFFWGKNTEVLFSGWPGTSSGMYALCLIVVFLLAVIAEWLAHSRILRGGDATSRVAGIAQTAVYTLKTGLSYIVMLAVMSFNGGVFIVAIAGYAVGFLLFGSTAFKKPSHDQKTTLPQSSGCVC >A06p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22105670:22106266:-1 gene:A06p040910.1_BraROA transcript:A06p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase Pin1 [Source:Projected from Arabidopsis thaliana (AT2G18040) UniProtKB/Swiss-Prot;Acc:Q9SL42] MASRDQVKASHILIKHQGSRRKASWKDPEGKIIMTTTREAAVEQLRSIREDIVSGKANFEDVATRVSDCSSAKRGGDLGPFGRGQMQKPFEEATYALRVGDISDIVDTDSGVHIIKRTA >SC239g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000090.1:48315:52687:-1 gene:SC239g500010.1_BraROA transcript:SC239g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPREPVPFNEFEKWSLFQPSLYKLVAIFFYHYAIFYQNLLVLFSLVVSECLVCNIQSVGTMAGDQKGELSKKEKLFLEEFTASLDKACKDQLRKFRQDLHQQRKGRSSRDEYKKKEFDQIDRNRKHAGLKYQIPSFHGKADPAAYVEWEEKMELIFDYQAMLKRHYGKEPVATWLKLRALMRHKYVPRQYHKEVLRKQSETKPCSANSVQEQQGRIRSRSTGVIGLPSKTTSWFCKEDIKKLSQVIMGVEKQFRETHTTRPSLEEQNQELITSMDVKKQFRETHTTRPSLEEQNQELITSVSELNSAEPVSATSIQGDQAKESSAAIQKDEQPVQNVMVPFKQAIVPEETPREPQTACKKRQLIVFDPCDFQKTFLGIFLFNPFVWNKTRAVELSRHELGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLVKFCELLISDWTGRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEPDQNALLLDHVKVWKPPDLQKLQYHFRDYQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGNYLDSKKRMKPDLLSTGTGQTVMSTRIFEKRGYSIDQSIKKGSLAKLEMQLSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSLLNERVMGSTRRVILCLLCLNFSEFSTSQSYLWRPGEHDKVTNHVFKSSFIDYTDMMHLFLPKESCVEYMEALKNAKRKNKREEDKRFKPPDLSQERHHDVTCFILIKEAPPDAAYKPKPIKYNFGIILLLYDVFAYYQGSLFPLMNSRSGACSSLLYISFLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFD >A01p056440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30734180:30736075:-1 gene:A01p056440.1_BraROA transcript:A01p056440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPASEGSPSTLTGFMDKRKRSPLIRRPRDVKESFHSLTSKPPSTQPNVSPSNEDNPSLNTLKLRLKLGGGVTRTIQTNSDASIYTKSTKTMRLGEINGPRVNPSEAVRGASMSEKRKQGLKKRLLDPEQDSDDEEIRYLAKLKSKRVPRDHINVEDKHDVTERDKLSMEGSTSGHIPTTRTRALQSVKDPYSSNGSGPLEFPDGLPCPSSKRQKQKLSEVEQQFKKAEAAQRRRIQSEKAAQEAEAEAIRKILGQDSGRKKKEEKIKKQQEERAQERATRSSTLPSDTIRLVIGPSGTTLTFSEDIGLPEIFKPITHSYPPPREKCVGPNCEKAYKYRDSKSKLPLCSLTCYKAIQAKMQQQPLIHC >A09p065500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52360368:52362407:1 gene:A09p065500.1_BraROA transcript:A09p065500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKKKGSDQNQTRKGFIKFSKKISESEELNFIKRNIYLNKKFNKKVKDHGIFCSCSVSPSSSTLCGSDCSCGILLSSCSSSCQCRCQCTNKPFQQRHIKKMKLVQTEKCGYGIVADEDINAGEFIIEYVGEVIDEKTCEERLMKLKHKDETNFYLCQINSNVVIDATYKGNKSRFINHSCNPNAEMQKWIIDGEIRIGIFATRYINRGEHLTYDYHCDTKRYSRFVQFGADQDCYCGAACCRKKLGAKARKAKSLPSDEAVNLTACEAVTWKPPKVKKTRGRHAPGQSRNTHGQRKICYPNCIGVVIMLARSTNQRCFGIIREFDEGSRKHLVMYEDGVTETIDLSREVWKLIAV >A09p061860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50858960:50861349:-1 gene:A09p061860.1_BraROA transcript:A09p061860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNWKSVRLRLEMRTLLFLLAILSFDSCFSLGNEEGVTRDLRPDDRYALDLRGVSFHRKLLGRFRNPYTHLNKDRPPVAALAPASSSVPSHRGTTTRESSALHPPRRSPTALHVSSAPPPSVPLSKNPSVRRSSSSSMVPVVAGCVGGAVFLLLLATGLFFFKSKAGKSVNPWSTGLSGQLQKVFITGVPKLKRSEIEAACEDFSNVIGSCPIGTLFKGTLSTGVEIAVASVATASAKEWTNNIEMQFRKKIEMLSKINHKNFVNLLGYCEEDEPFTRILVFEYASNGSVFEHLHYKESEHLDWIMRLRISMGVAYCLDHMHGLKPPIVHSNLISSSVQLTEDYAVKIADFNFGYLKGPSETETSTNALIDTHISVTTQEDNVHSFGLLLFELMTGKLPESVRKGDSVDTGLADFLRGKTLREMVDPTLECFDEKIESIGEVVKSCVRADPKQRPTMKEVTGRLREITGLSPDDAIPKLSALWWAELEVLSTA >A03p030920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12989527:12992653:-1 gene:A03p030920.1_BraROA transcript:A03p030920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRRFAQVSSDEEDDVPARRAKVRNSRSPEEATEGKRRKRKTVKLYEDFEDDVDQEEEEEEEERPEDANPVGESVKVTGKGRGRRTHFEQFEYDGNRYKLEDPVLLVPEDKSQKPYVAIIKDITQTKDGSMMILGQWFYRPEEAEKKGGGNWQSSDTRELFYSFHRDEVPAESVMHRCVVYFVPAHKQLPKRKVNPGFIVRKVYDTVEKKLWKLTDKDYEDTKQHEIDLLVEKSMARLGDLPDLEPEEVHADLENLLKTKRSFRKVSLAPVDVKKEEDAYLKPETPGSAAISSEYHYVLQKFDSLTGDAHRDKWLAKLLEAVQNICYTAENKEAGDEAKVGSDASHLEQADNGAHKNMSKDESFLWPDAAVPPVCALELALHASLASDYVKYNQKMRTLVFNLKNTALLARRLLNGELEPAKILNMSPTELKEGLTAEETEKKEPDDAERMQMTDARCPRCSEIKVGLRDIIQAGHGDRYELECIACGHSWYASRDEVSTLTIDTAKPAKGKESEEVDKNLTSPREGEKKATEESLKTINVSSANNNPEATKKPE >A09p005090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2809668:2810294:-1 gene:A09p005090.1_BraROA transcript:A09p005090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTSRLIMISFLLVAITRSYDVLGEGTDVVYDADAQPVMANVPYYISFMTYEYKMWICRVNMGSNDPKSCPQQPVMITNPPSDLPTQVMFLLPASAPNNTVHESTELNIKFISPGQCGESGFWRVVQNSTTLEGEVVLNGCKSNNDSKFFIHKTNEYYEFTVGDNDNVDPITISLSNEPVGRQKLLAKRFAGVMEVYFYRNMPKEQV >A03p052740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19946792:19948105:1 gene:A03p052740.1_BraROA transcript:A03p052740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFQLQRAIESPTLELKIVSASELSHVDAEDKMDVYAVVSINGETTEKTQAAKTPIDYDGCFNPTWNHTIKFLFNEEAAREGLLTLKVELFSYWLEGRDDLYLGEVNVSVQELFTTNPLPPFANGNVNKMKSLTCPIKLTDGGCANARLSLLYRFKPAPVEGPYHPEPQDHSPSIGQPVYPNRDPGRQLVVYSPRIQTGTTKMVLEVVIKHAKDIRDVNAFSVMDVYASVAILKDRKVKDRINTPVDFAADTNPKLNHRVKFSLDEKLAQEGRLILLVEMMSHRPFLGDKEIGFVRLPIQQLLASNPPTPLKHGEGNGMKLETHALTGPYGKKGVVSFTYRFLTEQVTFSTVTPPSTTTSQPYMYIPVSPHSYASSDPVQVTPSYLPVQQGGNAGPSNGLVPIYMPTPYQPHGYEQYPILPAAQPYTHPQPPPPQL >A10p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9609329:9615967:1 gene:A10p006220.1_BraROA transcript:A10p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGEIFMEIEDRVVEEKNLERLENLVEIIRKQISQFLRNCPMPQLDRSRVSSAKLLSVVVDGDYYGRSSAAVPFKWESQPGTPIRLFKRSSGSDSDFNSPVSGPLTPPPSYFSASPSSTKLKRANVRSGSLVNKNRSVPSSPVASSSSSSSSTSSVPSSPMRTSDSYGRNSRRPMWYGSRHYNAKSSGCYGSIIKLFLRDVK >A05p020310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9578946:9579822:1 gene:A05p020310.1_BraROA transcript:A05p020310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSCYVKEISCLNCPGFSILLSFRLLPKRFMSDLEVQVPTAFDPFADANAEDAGAGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYSYTKILKDLKKEFCCNGTVVQDSELGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGF >A01p058630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33450411:33452903:-1 gene:A01p058630.1_BraROA transcript:A01p058630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGSGAVDWKVGSIVWVRRRNGSWWPGRILGQDDLDSNTITSPRSGTPVKLLGREDASVDWYNLEKSKRVKPFRCGDFDDCIEKVETSQGLTVRKREKYARREDAILHALELEKEILKKEVKVETSKPRDNAHDISNGTCNHVGDDVMHLEKGKVEDHQPSCEDEVRPRMRGLVEFGLRTSSSKRKFSSSNGPDASFKSLARSNSSASSSGDHSMERPSYTLGKEKARSSMEAKRTKYMFAPNESNDVLDRHEGLPSHREAMHSSFPGGGSRYYDHPDFLQDTEYVSSESETDSSDMEEDTDDDIHLLSGAGRHSGQHNTFSRHMSAEDESTSGEEDCYESSISGDSYHLYSQDPDNGVGTVSKWQLKGKRNMRNLPRRSARKREMHRNHLEDGRRYSEYKRRAFGQKPMGYGLDSSDGTDDTDPNERQFGLGDDDEYRLSTMFASGCKNIYSRDMLDWDDDPWEGQIGLKKHEGSDASHRHFGRKTYPPLMDVDLEVQGSYRKGPVPFVSLMSKLNGRAIIGHPVEVQVLADGSSESYEYFSNETSYHDKPLLLPPAWKTARRSSSRVPRLHRLSSSLEADPEDHSPPDQGRKPLLRKLGSGNFSNDGDSVRRGNLMGIPRPPGERKKLLKNTNATPSQKTRALSSFSSEQALHGVKALGDGTHELSNRRVLPGPPTVACIPVKLVFSRLLEKINRPSSKPTVKGFNERRDQ >A10g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2160914:2161916:1 gene:A10g500660.1_BraROA transcript:A10g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKRMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGVQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMRRMYPNEVFPNIQDP >A06p005910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1934395:1935614:1 gene:A06p005910.1_BraROA transcript:A06p005910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLRRVKHSIPQSFFLRQIGFANSDLTVRSYLSGPASSPSLSIWRRKKEMSKEGLIAAKELKRLQTKLVRLDRFIASHVSRLLKSDLVSVLAEFQRQDQVFLCMKLYEVVRREIWYRPDMFFYRDMLMMLARNRRVDETKKVWGDLKREGVLFDQHTFGDLVRAFLDNELPVEAMRLYGEMRDSPDPPLSLPFRVMLKGLVPYPELRERVKDDFLELFPGMVVYDPPEDLCEESDDEARTDSDLE >A05p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:483251:485259:-1 gene:A05p001910.1_BraROA transcript:A05p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMALIHTVINLLLMVHGDVSSSSSAVGDPEMKRDGLRVAFEAWNFCNEVGLEAPHMGSPRAADCFDVSTSGYSLAHKVSDSDNNLGIGKSKQGVISEAALHNPDLYAVEKELYLGSLCQVSDEPNPWSFWMVMLKNGNYDTKSGLCPQNGRKIPPFDQPGKFPCFGTGCMNQPVLNHGKTQLLSDGYTMRGWFNGTYDVDADGVSYYEVVWEKRVGVGGWVFKHKLKTSSKYPWLMLYLRADATKGFSGGYHYDTRGMLKTLPESPKFKVRLTVDIKQGGGPKSQFYLLDIGSCWKNNGEPCDGDVTTDVTRYSEMIINPETELWCNPKTLHNCPPYHTFRNGTRVHRTDSQRFPYEAYHVYCAPGNAEHLELPVGTCDPFSNPQAQEILQLLPHPVWGEYGYPTRRGDGWVGDPRTWELDVGALSSRLYFYQDPGTTPARRIWTSVDVGTEIYKDEGAVAEWHLSDFNVLIT >A10p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22323035:22324056:-1 gene:A10p040540.1_BraROA transcript:A10p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTSFSSPTLFALGSFSGLEAFSRPDLLADEAGTCGEEMKLNDPSEAGSSILCFSELSVLWVHHVDFDCPRKKFLFEVFLSSGGETVCIGGGLNATTPSGRFELEQFIENHYAETVSKESGKDEDENQMMRNGESVLFGKCSFW >A02p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18146753:18149469:-1 gene:A02p033740.1_BraROA transcript:A02p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAHAITGLYGILADIQDLASAFECISFVWISRLGNVEAALLPKQDLVYKLSKVGQAIENNDLEAACLVLGKGIDTGWVKTVNLAFTKVFCLLLPTHNVNKNDRESSKLAFVSSASAFEKWTSLTGIKVFGHAASTATRRVLLTLHEKNLDFELVHVELKDGEHKKEPFLSRNPFGKVPAFEDGDLKLFESRAITQYIAHRYEEEGTNLLPADSKNISHYAIMAIGMEVEAHHFDPVASKLAWEQVFKLFYGMTTDQAVVEEEEAKLATVLDVYEARLKEFKYLAGETFTLTDLHHIPVIQYLLGTPTKKLFDERPHVSEWVAEITNRPASQKILQ >A07p032270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17744406:17747185:1 gene:A07p032270.1_BraROA transcript:A07p032270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSPRRPVPLWKKVMPCGSPIGSPTPARTPPVSELESPKFIRIGKQFSAGATVEVRTKVESFGIIWAPAITIKENEDGTLLVKYKSLSGGEDEWTKTSVPYSEVRPSTPPFDLRAFSLMENVDALIECGWCPSVLRPSMEWKDGAWQTQEEKSSCYFCRDLGSKMVNDVMNEESNNRKSVSKRKREQQPRKFISTPDSLPNSVVETPHVKETTMVLPFVKKSPCWKVFESMEIFKAVPQRPHFSPLLECEEEFREGEAIGAMVNFTGLLEKVRDIKVHDSVSAINRIRDCFLKLEKHGFDVTAPRSRIHKILCIKECQTWALKEVQYAERMITEKGSKRRKLQDDIELVSKKIVELRRQEALLKEEKVTNEKEIARMKSHAVVLDRKVQSVEQDFMATVSPPWY >A03p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14691928:14694772:-1 gene:A03p034910.1_BraROA transcript:A03p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERTTITLGGKGSSFSSSSVYKIASGVATVRIDSSAIERFSTKPLPLIKRTSFGIPQGLTTEETRASLAVLLNKLLLSNSGSVRSALPVKILEILNSKVETFELWDEIEVTEGENVVLEKSCSSLVGVCSVIDHKSTALSQIVDSVAALSCEAAKGDVSSFNSLDSGDGFGYKDAISVAGDLKVLLNGSKAVGKVEVEEVSKIPRIHGKFREVVRGVHSDARVELNSGVKGGKTGSGNSAVGEALGTTLLGLCMSVKSLGECSFLRGKLCAESIGDESVRKVVLEKSCVEYEKLKSGYKSALVEEDQCRFAHKLNECLGIVWRIVGLEAAAAFFVLGGGDKSGDAADKEEAKSDKKKKKNDKKAVLGKGTSVVIQFIKERLVSDGGDQVEQILSLYNPESDCFDYLLAKVKEIVESNENRRLPKLPKGTRDFAKEQMIVREKAFSIIQNVFKKHGATALDTPVFELRETLMGKYGEDSKLIYDIADQLLDGMLEICGVPAEKFRTICSSIDKLDKQTFEQVKKEMVEEKGLSSEIADRIGGFVKEKGAPMELLTKLRQEGSEFLANSSSKEALDELTTETQVLVSVMEDNKLGEAAELASMLWEADINAEYLVTKRQTKHFDRAKSSGIPWMVVVGKNELSGGVVTLKKVVEGSKEEVKDVPRDSFVAELLKRL >A08p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19779830:19782559:-1 gene:A08p032670.1_BraROA transcript:A08p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTSDELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDASLKSFTGARDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATRKWSSKNTGSATCKRGFVQFCYEPIKQIIATCMNDQKDKLWPMLQKLGVQMKSDEKELMGKPLMKRVMQTWLPASTALLEMMIFHLPSPHTAQRYRVENLYEGPLDDQYATAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGMKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCTMEESGEHIVAGAGELHLEICLKDLQDDFMGGAEIVKSDPVVSFRETVLERSVRTVMSKSPNKHNRLYMEARPLEDGLAEAIDEGRIGPRDDPKIRSKILAEEFGWDKDLAKKIWAFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGPLCDENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYMVEIQAPEGALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSSQLRAATSGQAFPQCVFDHWEMMSSDPLEAGSQASTLVTDIRKRKGMKEQMTPLSDFEDKL >A09p022600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12434311:12436794:-1 gene:A09p022600.1_BraROA transcript:A09p022600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGREASSKAAAATTSEIKLAQTSKTGEANGAADVEVEKKKKKHEEAPKEERKSKGDRRRSKPNPRLSNPSKHWRGEQVAAGWPSWLSDACGEALNGWVPRKADTFEKIDKIGQGTYSNVYKAKDMLTGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVVKLEGLVTSRMSCSLYLVFQYMDHDLAGLASSPLVNFSEPEVKCLMRQLLSGMEHCHSRGVLHRDIKGSNLLIDDGGVLKIADFGLATIFDPNHKRPMTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCILAELLAGRPIMPGRTEVEQLHKIYKLCGSPSEDYWKKGKFTHGAIYKPREPYKRCIRETFKDFPASSLPLIDSLLSIEPENRRTATAALESEFFTSDPFACEPADLPKYPPSKEMDAKRRDEETRRQRAASKAQGDGARKNRHRERSNRALPAPEANAELQSNVDRRRLITHANAKSKSEKFPPPHQDGGAMGVPLGASQHIDPTFVPPDMASSFTSTSFNFSKDEPPTQVQTWSGPLGHPITGISRRKKDSTKSSKGKRAVVA >A08g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7475687:7476882:-1 gene:A08g504520.1_BraROA transcript:A08g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGLMGCRKDLRVAYCQLIGIYVDVIFFPPFDSEFSEERIRHVLKSDSEEWVGGLEHFFGVDWICWSEPVPPLMIVFPPLMTFQRQLLNRIEEDIQLMLSKGLELKSFLGDV >A09g516340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48218496:48222562:1 gene:A09g516340.1_BraROA transcript:A09g516340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNKLHSRLRLWEFPDQYIIEPANGSGAPCLDISRVDASMKLIDQVGECDSLRVPKIHPISGVVGMLKLLAGSYLVVVTESERVGSFLGHPIFKITSLKVLPCDHSLKNSPEEQKKMETEFSKLLSVAEKTTGLFFSYQVNLTLSSQRLHDLGAESKSLPLWRQAEPRFLWNNYMLEVLIDNKLDQFLLPVIQGNILFISSFSHFSSIYSTFETAIGKDIVDITLIARRCTRRNGTRMWRRGADADGYVANFVETEQIVQMNGYTSSFVQVRGSMPFMWEQIVDLTYKPKFEIVQPEEATRIAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKFASVMQHITGDEIRYLHFDFHQICGHIHFERLSILYEQIEGFLEQNGYFLLNEKGDKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFGAEETIRSHPNFDERYKILWANHGDDVSIQYSGTPALKGDFVRYGHRTAQGVLKDGWSSLRRYYLNNFADGTKQDAIDLLQGHYIVAVNRDMAPVPQKGGLEAVANFPVALAVVLLSFWFATMSMKQAGSDYKHKHLFFSLLWTGICVGVAALVRANGRIFCNRPRLHKPRG >A08p042060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23665066:23667669:-1 gene:A08p042060.1_BraROA transcript:A08p042060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 3A [Source:Projected from Arabidopsis thaliana (AT1G11560) UniProtKB/Swiss-Prot;Acc:F4I8X8] MADHTTLPHRFFLSISLLSILTVSQPDLTKELVSLRSSSESGVIRLSDNTVSKFITSVSTPRPYSLIIFFNSVDLNSTPLQEFRREFAFVSASFIANNNNRSDVANKVFFCEIDESSDSEAFGLFGIQFLPQICLVDPLMENLQDKTGRMEEEDVDGTAESVVEFIESRTNLTVGPLHRPPLLSKTQISVIVALIAISTPFLIKKVLKGETVLHNSRVWLFCTVLVYFFSVSGTMHNIIKGMPMFVRDHEDSNKLVFFYKGENFQLGAEGLSVGLLYNVVGLLLAYVTNALVRVRSVSGQRVFMMLAMVVSLLAVKKVVYLNSWKTGYEIQTFNFYTMAETNKGRSEEEVARAFVNHYYHLFDNDRSSLSTLYNPTSLLTFEGQKIYGVEDIFNKLKQLPFDQCRHLISTVDSQPSSMAGGCGGILVFVSGSIQLHGEDHPLRFSQTFHLVPLPQGSFFIQNEMFRLNYG >A02p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4803503:4803805:1 gene:A02p011070.1_BraROA transcript:A02p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCRECNRRRKPPVHRKRYISTCGVSLHAREHLFCRRIIRTVLEYNEYTVYDLKLTSIRFLVEVKYKEK >A07p048300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25823421:25824425:1 gene:A07p048300.1_BraROA transcript:A07p048300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLLMVFGYAYPAYECFKTVEQNKPEILQLQFWCQYWILVAALTIFERVGDTLVSWLPMYSEAKLAFFIYLWFPKTKGTTYVYDSFFKPYVSKHENEIDRSLTEVKTRAGDMAMIYLQKAINHGHTRFFEILQYIAEQSSPKRQSKEGKEIIPPELGDTTLKMTQNKDTVPETESSTKKD >A09g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4298734:4304376:1 gene:A09g501300.1_BraROA transcript:A09g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEIARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A02p050800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31313203:31314964:-1 gene:A02p050800.1_BraROA transcript:A02p050800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATVARNIAGIFGNVISLFLFLSPIPTFITIYKMKKVEEYKADPYLATVLNCALWVFYGLPIVHPDSLLVITINVTGLAIELFYLSVFFYFSPTQRKVKVGIWLIGEMVFVGIVATCTLLLFHTHNQRSTFVGIICVIFISIMYIAPLTIMSKVIKTKSVKYMPFSLSLANFLNGVIWVIYALIKFDLFILIGNGLGTISGVVQLILYACYYKTTPKDEEEDNLSKANLQLSGNEEHAKRVSA >A10p009480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4898661:4900195:1 gene:A10p009480.1_BraROA transcript:A10p009480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRASYGDSSSDSDTDELSPAAENPGEVPTSGKQGKESISLPPPPLSLLESIGSTGDDDARSSLDVYSTETVTRVRNFPHVHGNYALHVYIPVFIPLLAKKEIACVLKRVASLVPHLHLVEADIPLNILCNDDQKLDHALGREFHISLGRSVPLRVHQINSLVSMLRQKLQLHKRYWMDFNKWEVFVNDDSTRSFLSLEITTSGLSEISKQINAVNEVYKLHNLPEFYKDPRPHISLVWALGDIRTSLKGAVDGELKKLRAGGCVQNRIFTSKFSGIECKIGNKTHKICKLPDE >A05g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15015189:15017940:1 gene:A05g505420.1_BraROA transcript:A05g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGHEFKATDFRGGDSSLPPLKAAEKAEGVGVKKKCQKPSRRFGKACDEPGSSTQVPERPIRPRRGICKQAEPGNLSDKEQELKEWIRVELKTQLGKLRNDIYDWLHHDRGGSSTVPQNTTAGKTNRDNGHADPTGMEVPKKRRPFSGDGNDEAKIFGSDSKKHKKNNGDGFSDDETMRMHDNHCDGRTPNAHFWEKVDSMAGEGPTSSKSANIPEVDVSTPIGPETVSKPAKPNLPEPLEVFSVDYQLFVLASEVYRNTDLFGQGEGGDGSPISGLNLLAEEVEKGTRSDSVYKDPQENTCRMLTVWSHPESYVLPPEEHGGKASPTNSEDYKTPPEDDPMTESRTPDVGNSKLSRYLTRSLKKAELEGKCIPIRSTKKDDIRTKRIPRRSTKIGGVYTPDRRLKKLFQSCKKPKYTPLADLEKAQFQEFQSILRENPAQEFEIVIGIHVSNKFFLSLARPKNWVSTEHISVLIGMLVRRHGRNYLSGRCRFVDYFSIAGIISKFAEFEKASDKLGFNWGGLVSYSFTGKTPRRNDKKGLLVDVDRVYAPMMWGKDHWVGLVINLTCRQVEILDCNILHNESDNEVNKHMAYLLRALPHVLAAFSPPSDSSHPEEDQAFSWVRPDNIYFNERSGDCVPCAFKFLEMHAAGYSYEDMGQIDDKMVDIFRQKYAMDTYEEFIGNAKVQNDG >A07p048520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25896987:25901651:1 gene:A07p048520.1_BraROA transcript:A07p048520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase EXL1 [Source:Projected from Arabidopsis thaliana (AT1G75880) UniProtKB/Swiss-Prot;Acc:Q94CH8] MVSYLFLSSSSILFWFSLFLVLLFTTTTNALVKLPENITIPAVIVFGDSIVDAGNNDDMITEARCDYPPYGIDFDGGVATGRFSNGKVPTDILAEELGLKPSIPAYRDPNLKPEDLLTGVTFASGGAGYVPFTTQIAGGIPLSQQLKYFEEYIEKLNGMVGEERTKFILKNSMFVVICGSNDIANDFFGLPTVRLQYTVDSFTALMADNARSFAKSLYGYGARRILMFGAPPIGCVPSQRTVAGGPTRDCVVRFNDASKLFNAKLSGNIDVLSRTLLDSKLIYVDIYSPLLDLILNPGQYGFKVSNLGCCGTGLIEVTALCNNYTSAVCPIRSDYVFWDSFHPTETAYRIIVAKLLDRYLSRIIHRATMKRYITSSRRHFMCVLFMVLLSETITNALVKLPANKTIPAIIVFGDSIVDAGNNDDITTTLARCNYPPYGIDFDGGIPTGRFSNGKVPTDFIAEELGIKPSVPAYRDPTLKPEDLLTGVTFASGGAGYVPLTSQLSGGISLSQQLKLFEEYIEKLNEMVGEERTKFIMQNSLFMVICGSNDIANTYFALPSVQRQYNVDSFTTLMADKAQSFAQKLHEYGARRIQVFGVPPLGCVPSQRTVAGGPTRSCVGRFNDATKLYNAKLSANLDSLSRNLRENTLIYIDIYGSLLDIILDPQQYGFQVVDRGCCGTGLIEVAVLCNNFTADICPNRHDHVFWDSFHPTEKTYKIMTAKYFE >SC346g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000189.1:6637:8204:-1 gene:SC346g500010.1_BraROA transcript:SC346g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A09p011760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5963933:5965766:-1 gene:A09p011760.1_BraROA transcript:A09p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTISCFSLSLPRVTIRNKPTNPSSPFLFRSNSRFRPLTLSASASASPNSFSDDGFSLDDFALHSDSRSPKKCLIADVIQEIEPLDVSVIQKDVPLTTLDAMKRTISGMLGLLPSDRFQVHIESLWDPLSKLLVSSMMTGYTLRNAEYRLFLEKNLDMDDEDVESHTVVKGTDREPDDVCVENNGSSTMDGKTQSLSEMIDKEGLGRVSSEAQEYIFRLQSQLSSVKKELQEVRRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPAAPEVKETIHSVVHGLLATLSPKMHSKLPTSEAPPPETVNAKGDEDCAELVENTSLHFQPLISLTRDYLARLLFWLDLPFSLPLLLARVHAVRTLSQRFGISNGTNGGSKFDMRC >A06p045710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24493515:24496276:1 gene:A06p045710.1_BraROA transcript:A06p045710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14U [Source:Projected from Arabidopsis thaliana (AT5G27950) UniProtKB/Swiss-Prot;Acc:Q8W0Y9] MLISAEKEEILISQSPVSSMSVPESFESPQPVPLVYTDVTVVPEHERNELEKSISSLEGEVLELKSKLKSLDDKRKQVLNKIIDTKGSIRVFCRVRPFLLTERRPIREPVSFGSDNVVVRSAGVRKEFEFDKVFHQSATQEDVFGEVKPILRSALDGHNVCVLAYGQTGTGKTFTMDGTNEQPGLAPRAIKELFNEASMDHTHSVTFTMSMLEIYMGNLKDLLSARQPLRFNEASTKCNLNIQVDSKGSVEIEGLTEVEVPDFAKARWWYNKGRRVRSTSWTNVNEASSRSHCLTRITIFRRGDGVGSKTEVSKLWMIDLGGSERLLKTGAIGQTLDEGRAINLSLSALGDVIAALRRKKGHVPYRNSKLTQILKDSLGTRSKVLMLVHISPRDEDVGETICSLSFTKRARAIESNRGLTEELRKLREKKISELEDEMQNTQESCKKIITRLQEAECLLTENKKLLRTTHEKYEEDMEEKLLSPINHLKETDATPKSSDKLVKISKSSGFVPRFMTSTVTSRLRQTMSEKEINARPQSIRSVAKTLTQISTSQSLSLSDSRSRGLLRRSYTKPIQTASNSGTPEAPKRDIKDLSFQKKNLNDTSLPRSKMVTSSDPNVRAKLCHHRRRMSSLT >A08p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:700989:701327:-1 gene:A08p001190.1_BraROA transcript:A08p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKLRSVGILVICSLLVTSLSRDVRENDEHRSDCVYKGPCKSNQDCKSQCGPPNFPPTTIGLCQASPRGHGNICCCSKD >A01p051060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28665556:28667820:1 gene:A01p051060.1_BraROA transcript:A01p051060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGMMDESNEIILQKSKRLTSVVWNYFERVRKADVCYAVCIQCNKKLSGSSNSGTTHLRNHLMRCLKRTNHDMSQLLTPKRRKKENPVTVATIGFDESQQPKDGYIRPTKFDQEQRRGDEIVMSRGSGGGGRFSQERSQVDLARMIILHGYPLSMVDHVGFKVFARNLQPLFEPVAITTIEETCMEIYIREKQRVQHTLSNLYGKINLSVEMWSSRDNASYVCLASHYIDEEWRLQRNVLNFITLDPSHTEDMLSEVIIRCLMEWSLESKLFAVTFDSFSVSDEIVLRIKDHMAQSSQVLINGQLFELRSAAHLLNAIVQDCLEAMRDVIQKIRGSVRYVKSSQSTQARFNEIAQLAGINSEKVLVLDSLVSWNSTYEMLETVLEYKGAFCHLRDHDQGFDSSLTDEEWEWTRSVAGYLKLVFEIAADFSGNKCPTANVYFAEMSFLTRTRSALPLVMTLPSLAARAKKFNHCLDNMLKLCEENNKFQGMVKI >A10g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11650260:11652197:1 gene:A10g504760.1_BraROA transcript:A10g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGGGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGSEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGMSPRGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSHRGNEEVVRESSVRASELSALNDRESDRED >A09g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27837176:27838891:-1 gene:A09g509480.1_BraROA transcript:A09g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPLIDGNTFPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIHVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSEFNADYYRVIDENAMSDTDDYSDEETSFSDPSSVFHVESFTQAYDIALKSHSLGELIRKLEGQVAEIATAINRDAGCLPGRTDLNPRRQVSAVILRSGKTLGAGTRNNSDIGKPDDADETGKSNSHPIFLDELDPNPSQDNRKTTTEKAKEKAIDLELEEDTDIEDEIDRQYETDVDRPKTPTVDQQPEKPIDRRSTQPEPIIERVY >A07g501840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3775778:3777005:1 gene:A07g501840.1_BraROA transcript:A07g501840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITRLSLRALLLHLPSPSFQFSRSRTPTINTPLHLDSIELPPTLTDLDLTVNRLSELDSRIAHLSMLKKLSLRQNLIEDSAVEPLSRWDALSDLEELILRDNKLAEVPDISIFSRLLVFDVSFNEITSLEGLSKASSTLKELYVSKNEVYKIMEIEYLHDLQILELGSNRLRVMVNMESLTKLEELWLGRNRIKVVNLCGLRCIKKISLQSNQLTSMKGSEDCVALAELYLSHNGISKMEGLSALVNLRVLDVSNNKLTSVDDIQSLTKLEDLWLNDNQIEILEAITEAVEGSKANSFPGFSM >A02p050650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31217672:31221189:-1 gene:A02p050650.1_BraROA transcript:A02p050650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETRSSALSMEISVSSPKQSVLSSSDCMSDPEEEHEISEEEDDDDRNHKHRKKEETSSQSLEQGSSDQAFSRPFRKSYRHFENGSEHEKRSSLGAGGSGHRVQFDNQRSRSNPGRGRGSYGSWSQRDSRFNHPVDLSSHMVPGMFGGRGIAAQTAPWPGFGMLPNGGLDGFHHLQGPLGPPLNGPINMGITRQRCRDFEERGFCLRGDMCPMEHGINRIVVDDVQSLSQFNLPVSVPGAPHMAASSKPVPAQFGGGNFMSTKGGHGKTNEGGMAVDGMGYGDAYPSAGGTDSYDPDQPLWNNSAGETSGALSALNSHGMDENVAPMDDNNQDGPVNTCGIRESRNTNQSGWGRVRNSQGNFKEKGDIVLNSSAGLEGQSKEISVSLSRQNNVGRCVAKVVDSSNTSNDAVNNTSTPMQKAMRTLFVNGVPHESNRRDLILAHFQKFGKVIDIHIPVNSNRAFVQFSKREEAESALRAPDAVMGNRFIKLWWANRDSIPDNGLSTGNGAFMKGRGVGTSGGQNQIPIAAASKSNHVSLTAKGPAFHPGGAPSSSQQPKPVGVTSGPKVTPLQQKKTDTLEQLKETLRKKQEMLDQKRNELCKKLATLQKQETGVKDEEADEPDAKRVKVDTASNSGAAIPSPKTESSTEKKWPIKKPLSIARPSTEMPSPDSKNLKQRYSYTTSLNTPMVNRYKLDNRTTTIKVVPPLPTGLADVAVLKEHFSSYGEVSKVELEDNASADSGKDQDETHNKSLAACVTFVKRSAAEKAFANAKSWQEHTLQLVWVTRQIKKENKSSSDKNNPSVSSDHLSSKNKYAASVSNDPKPEDEVKASSTEEPKSTNVSGDDNTLNEQDNGSNKSNSESIEGAVKVAAPESDEEQMNG >A08p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1686829:1690577:1 gene:A08p002970.1_BraROA transcript:A08p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVGAMGGNMGIPFDDGVFDGVRKIIVGKDWDCVSYMKIEYENSDGNFETREHGTIRGGLQEFTVDYPSEYITSVGGRYEHVPRYGTVLIRSLIFKTSGGRTSPILGYTTSFGHPAGREFMLEGKNGGRLLGFHGRSGQALDAIGPHFFAVNSPLKHFNRQGGNGGSAWDDGAFDGVRRILVGLGGRFISFLRFEYAKGQRIVPHAHGKRQEVPQEFVVDYPNEHITVVEGTIDGYLTSLRFKTSKGRTSPAFGNVVGRKFVFEEKKFKLIGFSGRSGDVIDALGANFGPYPAPTPAPTQASASTKMGPLGGNKGNAFDDGIMDGVKKITVGADEYSITYIKIEYEKEGKDEIRDHGTKRGELKEFFVDYPNEYITAVGGSYKHIFNYDTTLFKGENGGKLVGFHGRGGYAIDAIGAHFSQAPISSPSSVIKVEAVGGKGEETFDDGSFHHVRKVSVGQGNSHISYIKFEYEKDGRRITQEHGQRTSRGTEVFEVGQNDGITSVKAYYEKLDGWKTETITHLAFKTLKGINSQPFGKTPVIVNERTKLSLLEGGKITGFHGSSSDVLHSIGAYISAFPQTMLHGKWIQVEQKGRTPGPRCSHDIAMVGNKMYAFGGELKPNFHIDRDLYFFDFKTHRWSIADPNGDVPDLPCLGVRMVAIGTTLYVFGGRDGFRNYNGFYSYDTVKRSWKLITHVNKGPAPRSFHSMAADDKNVYVFGGVSIQERVNTLHAYNIIDQKWIEFPNPGESCKARGGAGLAVVKGKIWVVYGFIGEEVEDIHCFDPVESKWTKVETRGEKPWARSVFALAVVGKYIIISGGEIEMDVKAHLGPGSLTGGAFVLDTESLVWEKLEEGHSPRGWIASTTASIDGKKGLLMYGGKAPTNGRYEDIYFYGVNSA >A08g506950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12018967:12022747:1 gene:A08g506950.1_BraROA transcript:A08g506950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREGGMDDIHHALKKKTVTKVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWIFEPDITAKVEHFIRIFSGMTMTELLTSVRERYKLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERLPTIPHSPGGIVITERGDPTRATRRQTGPTDREKNKRHVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVADGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCTAYLKGGSSSNRKCKRCGRSGHNRASCRNPI >A09p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2387401:2388830:1 gene:A09p004060.1_BraROA transcript:A09p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRWIIMMTLMLRIGESMRLDLESGITKCISDDIKINYMTVGNYSVVNPNEALHLPASHKIYVTVTSPKGHSQHHAENVESGKFVFTAMESGDYTTCFVAPGFRPPAKFAVDFEWKSGVEAKDWATIAKRGQINMLEVEVRKLLDVTESIHDEMFELREREREMQELNRSTNSRMAALSLLSFVFTLSVACLQLRHLKSFLERKKLL >A02p055490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33633281:33635414:-1 gene:A02p055490.1_BraROA transcript:A02p055490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEDQSLENSGSIHVDKGKSRFRNVICGPVRWIKMLSKELHWSFVFGVVSLYGINQGLGGSMGRVATEYYMKDVQKVQPSESQALTAITKIPWIIKPLWGILTDVLPIFGYHRRPYFILAGVLGVVSMLFISLHSNLHLYFALMWMTLSSAAMAIADVTIDACTAYNSIKQSALASDMQSLCSLSSSIGALGVFGLLTLPFALVSVVGIVFSESHVPGFSYKQVNQRFTDAGRAMWRTMKCSDVWRPCLYMYISLALSLNIHEGLFYWFTDSKDGPMFAQLVLKDHPFRGLCLWTQLLFALSGMLDLILVLRLNLKFGLPDYLFIVVDEVVSQMIGRLKWMPLLVLTSKLCPHGIEGTFFALLMSIDNAGIMTSSWLGGVLLHVLKVTRTEFGNLWLAVLVRNVMRLLPLFVLFLVPKGDQNTFKLPSEIMGVDPEEGDEKEDARNLEMASLVHSVDRR >A10p016100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3289653:3290732:1 gene:A10p016100.1_BraROA transcript:A10p016100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEYFDFRPLKYTEHKTSVTKYTKKSPAKNPSGETRPDSVRIVRVSVTDPYATDSSSDEEEDFLFPRRRVKRFVNEIKVEPACNNNNINTTGVSMKDRKRLSDETQSPATSRHRRPLKVAIPSAHNGRKFRGVRQRPWGKWAAEIRDPEQRRRIWLGTFETAEEAAVVYDNAAIRLRGPDALTNFSIPPQSQEEEPEQPVIDKPENNITTTTTTTTTSSSESTEDFQHLSSPTSVLNIRPSEDIQQPFKSAKPEPETSDAPWWHTGFSSGSGESDDSFPLDTPFLDSYFNESPPEISVFDQPMGQVFSENEDIFNDMFLGGESMMMNIGEEFTSSSIKDIGSMFSDFDDSLISDLLLV >A03p066360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29324060:29325568:1 gene:A03p066360.1_BraROA transcript:A03p066360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSSHLLPPQEDLPLRHFSSDQSHQPSLLTASFLNLPPTTATTDSKFTHPHRDGDSSAAATNRRWLSFHTEVQNTGEGDPEEVNADGVEDWRSASDKAAILKHPMYEQLLAAHVACLRVATPVDQIPRIDAQLSQLHTVAAKYSSLGVGMDNKELDHFMSHYVVVLCSFKEQLQHHVCVHALEAITACWEIEQSLQSITGVSPSESNGKTMSDDDEDDNQVEESEVNMFDGSLDGSDCMMGFGPLVPTERERSLVERVKKELKHELKQGFKEKIVDIREEIMRKRRAGKLPGDTTSVLKEWWRTHSKWPYPTEEDKARLVEETGLQLKQINNWFINQRKRNWNSSSSTSSTLSKSKRKRTGKS >A03p020660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8485604:8486095:1 gene:A03p020660.1_BraROA transcript:A03p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHPCQTPEGHRLCVNNCGFFGSSATMNLCSNCYGDLCLKNQQQASMKSTVESSLSAASPPSSPEIQSISTSTIAPVVQIYAAEIQIEKQIQQQPTQRPNRCTVCRKRVGLTGFMCRCGTTFCGTHRYPEVHGCTFDFKSAGREEIAKANPLVVAAKLQKI >A09g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1822402:1828432:1 gene:A09g500410.1_BraROA transcript:A09g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSSGQQKEERSHVSQATGYVDSGTERYQSCDVHKRERSWRHVSFMEDSNIAGLSMDEKQFFAVIIDVNLRRYSRLERYLKLVGISTPTSDRCEAVLGDTEAAQVFGVSSSDYTDAVKASGFTACDDQGLFKIIRKEAARRKYKRKKLDKVSCIGVKLPEIFRDQDICVCYNQLCAGTDGLRWRLMKKSVLRLSTIRMCERLQAKCLMKDKVARDVSSSTSNNLMWSYQYYVMSDASSEYGFLVPGGVSHSCLENVWVASDKSVGLCLEKTKGNQDRVSVRYSSMDWSHSMTKRSGWKELSQDMRQSCCKGGSQVSAVVSAVGEIGYRVCGIDRNYRGYCVIGGRVHEIGVLATQKISAELTFTEKVSHVLFIDGASKGLPVSKLSDVSDLLKGIPEKSTGDHEDVLTQEMKLLRAKTRWRMLKCVSALVNKKKKEVTYHKPLEVKRLIDSVAESASSKSPVNLSEKFNKLVREAFMFLGSFSASDFFPNGGWIIDRLTGLQGWRERSVRDLDDFYEQMFDLHKQGNKNGVEDFVDLLLRLEKEETVLGYGKLTRNHIKAILMLHYLKMVIKETWRLHPPAPLLVPREVISEFEINGYKIQPKTLLHVNAWAIGRDPDTWKDPEVFFPERFMDNDIDAKGQNFELLPFGSGRRICPGMYMGTTMVEFGLANMLYQFDWKLPEGMVVEDIDMEEAPGLTVGKKNELLLVPVKY >A07g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3426173:3426532:-1 gene:A07g501660.1_BraROA transcript:A07g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDLNKREEVIENVLRLAPDGYESPCNYDDTKGAPNEENEKEENSGGKRNATDDENDEEEISDTQQLTEVNILGENENTEKITLDEDTEKK >A06p007470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2589849:2590810:1 gene:A06p007470.1_BraROA transcript:A06p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGSLTCVVVAVDGSEVSMEALRWALDNLKLSSSSSDSSFVVLHVQPSPSVAAGVSPGTIPFGGPSGLEVPAFTAAIEQHHKRITETIMDHARLIFADRSVNVKTQVVVGDPKYKICETVESLHADLLVIGSRAHGRIKRMFLGSVSNYCSNHVHCPVVIIKPKGDSSE >A02p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25699706:25700250:1 gene:A02p040680.1_BraROA transcript:A02p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRERVRGLKDRWAINAYYVGRGAETFAFDAALEGGGTETDCTSDAMYIYPYEEMWDVWFSYALSAHCLFCVML >A09p020520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10923887:10924689:1 gene:A09p020520.1_BraROA transcript:A09p020520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVVQHPDGKLDRYYGPVPVAEIMRMYPGHYVSLIIPLPETNVPATTTKTVEDDKSERRVVKFTRVKLLRPTESLVLGHAYRLITSQEVMKVLRAKKYAKTKKHQRETTEEKNPTSLEKKVAVESDKNQNMETNDEKQRAVLTSSGSSKSKTWRPSLQSISEATS >A06p051950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27501894:27502510:1 gene:A06p051950.1_BraROA transcript:A06p051950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGTAPCSARAIVALMVVSVLVLQSYYVEASTYIVGDSLKWAFNAVDWPKGKHFNAGDVLVFNYNPSFHNVVVVDSGGYNSCKTPAGATTYTSGKDHLTLSKGQNFFICNFPGHCEGNMKIAVTAV >A09p066050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52555406:52557013:1 gene:A09p066050.1_BraROA transcript:A09p066050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLANMWRLTVNERKFVETALQSELRVDGRGLYDYRKLTIRFGKEYGSSEVQLGHTHVMGFVTAQLVQPYKDRPNEGSLSIFTEFSPMADPSFEPGRPGESAVELGRIIDRGLRESRAVDTESLCVLAGKKVWSVRIDLHILDNGGNLVDAANIAALAALMTFRRPDCTVGGENSQEVTIHSLEEREPLPLIIHHLPIAFTFGFFNKGNIVVMDPTYIEEEVMCGRMTVTLNANGDICAIQKPGEEGVNQSVILHCLRLASSRAAATTKIIRDEVEAYNREKSLQKVKRHPTLAKPEVSGPIVVVKEGHKKVSDEEEAAELSREHVERLNLSSVEVKSSKEQEAASNFKGGPSNW >A10p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18329952:18335096:1 gene:A10p030280.1_BraROA transcript:A10p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKPQRSPSEIEDIILRKILHVTLTESASDPRVVYLEMTAAEILSEGKPLLLSRDLMERVLIDRLSGNNNNFPSSSSAAEPPFPYLIGCYRRAYDESKKIQSMKDKNLRSEMETVTRDARRLAVSYCRIHLANPELFGGSDDARKAKKRRNASPLLPLIFSEVGSGSLDMFGGGSSSGGGVQCPPGFLDEFFKDSDFDNLDLILKELYEDLRSSVINVSVLGDFQPPLRALKYLVSLPVGAKSLVSHEWWVPRGAYMNGRAMELTSILGPFFHISALPDNTLFKSQPDVGQQCFSGASERRPADLLSSFSTIKNFMNILYSGLHDVLMILLKSTDTRECVLQFLSEVINANASRAHIQVDPVSCASSGMFCNLSAVMLRLCEPFLDPHFTKRDKIDPKYAFYGHRVKLSDLTALHASSEEVSEWIDKDNTANVNDAGNENESRLLQSKEATSSSGNASRQNAKSTTKYTFICECFFMTARVLNLGLLKALSDFKHLSQDISRGEDNLATLKAMRDQAPSPQLELDITRMEKELELYSQDKLCHEAQILRDGDFIQRAISFYRLVIVWLVGLVGGFKMPLPSTCPMEFSCMPEHFVEDAMELLIFASRIPKALDGVLLDDFMNFIIMFMASPEYVRNPYLRAKMVEVLNCWMPRSSGSSATSTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRRIAKEEEKGVYLNFLNFLVNDSIFLLDESLNKILEIKQIEAEMSNTAEWEQRPAQERQDRTRLFHSQENIVRIDMKLANEDVTMLAFTSEEITAPFLLPEMVERVANMLNYFLLQLVGPQRKSLSLKDPEKYEFRPRQLLKQIVRIYVNLARGDSENIFPSAISSDGRSYNEQLFDAGADVLRRIGEDGRIIQEFMELGTKAKAAASEAMDAEAALGEIPDEFLDPIQCTLMRDPVILPSSKTTVDRAIIQRHLLSDNHDPFNRAHLTSDMLIPDIELKARIDEFVRTHQSKKRSSGEDSSNKERIQTTSSDMLID >A06p018580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8996550:8997464:1 gene:A06p018580.1_BraROA transcript:A06p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLFEGGGGSTIKSDATSLKVLTEPSSPLPEECFCFRSRSEMLALANTSSQLSDIIGEITAVKSSVSDLPGDKNHLTATHRLILHMCALRQYICGWYSPGDNVKKGDDIPVDNTVPAEVKTGGSSQQAAPDATAVPNGKEPQEPSAKAARLMIWQQSYFISKKCFCINVGFVLN >A08p028290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17743813:17746153:1 gene:A08p028290.1_BraROA transcript:A08p028290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTEAENPLLGEITCGTLLQKLQEIWDEVGESDEERDKLLLQIEEECLNVYKHKVELAAKSRAELLQTLSDANVELSNLTTALGDKSYIGIPDKTSGTIKEQLSAIAPALEQLWQQKEERVREFSNVQSQIQKICEEIAGGLSNGPHVVDESDLSLKRLDDYKSKLQELQKEKSDRLNKVLEFVSTVHDLCSVLGLDFVSTVTEVHPSLDEANGVHAKSISNETLSRLATTVLTLKEDKKQRLEKLQELATQLTELWNLMDTPDEERELFDHVTCHISASVHEVTVSGALALDLIEQAEVEVDRLDKLKASRMKEIAFKKQTELEEIYARAHVEIKPEVVRERIMSLIDAGNTEPAELLAEMDSQIAKAKEEAFSRKEILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKITAMVDTLVTKTRAWEEDNSMSFEYDGVPLLAMLDEYTMLRQEREEEKRRLKEQKKQQEQPHTEQDSAFGSKPSPARPVSAKKPVGTRANGGGGGANETPRRLSMNSNGCKSKRESLNKLTSPSKLVAISKEEAAASSPPVSCPDPVPASSP >A10p026820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16945420:16948353:-1 gene:A10p026820.1_BraROA transcript:A10p026820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLETMSIIGTIMVLMLFLLLIIILFVCKPWRFLPRSRSSPFSTFKVGDLQRPLVSHDENLIQGQTSEASREFDLEEACFQNEGLLRSSLTEGRGVYKPRLPSSSSSPHLAQGESFDLQIISEPSDNALVGETLKHPADKVSSQEVQTYGSQQPDLEKDILSELSPGVVVKDQRSWLSLEVISGPSVGLQYAVQSTSTSKLPVKLGRVSSDMILKDSEVSGKHAQITWNSTKWELVDMGSLNGTLLNSRSVSHPDLGSRKWGHPVELASGDIITLGTTSMVSVRISSQNEFQTPFRIGVASDPMAARRGGRELPMEDVCYYKWPLSGANKFGLFCVCDGHGGAGAAQSAIKIIPEVLANILSDSLKKEKVLSQGDASDVLRDVLVKTEARLDDHQYEGCTATVLLVWKDSEEHFFAQCANLGDSACVINNDGRCVQMTEDHRVTSLTERRRIQEAGLSLRDKETRIFGINLARMLGDKFPKQQDARFSAEPYISEPLRIDQSNKDAFAVLASDGLWDVVSPKKAVQLVLQMREKESSAEKIANGLLNEARVMRTKDNTSIIYLDFDTSL >A05g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:372150:373704:1 gene:A05g500090.1_BraROA transcript:A05g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKGSGIAAKPRGIENVITITAYGSSISLLQWLNRTKVLIAVAMILGDGVYKLASIEQDPSLRTKIPSWVAGGGYELRLPEWYYILVISVFALVLALCNALWSQAHGLVTSQPMFTIRAWAGSDHGGLPAHI >A06p045940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24638407:24639339:-1 gene:A06p045940.1_BraROA transcript:A06p045940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVATPVHAPAPSPATNLIVSATTTAVETHKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTISEILKNNGLATEKKVLTSTVGMKDENKGRIIEIVLGKSDKFDSLMTPVTKDTVKEEKAVNTGGTNRN >A08g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3404718:3405740:-1 gene:A08g501340.1_BraROA transcript:A08g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRKLRIVLLIDAQNPHLLVYLTKPSLGFIGSAHKILTSEEALIKLQTQPLGAAIPIFQPDYNQIKGAWPPSSSRPALRGPMYKDSVFRGMHAVSVTGSGVENGESFLWVRSSHGTDLGQDGYFRVSIDVMILRTSKCQDHEERYFKKPTPLLERFCYPDFPRF >A05p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25546962:25548397:1 gene:A05p042190.1_BraROA transcript:A05p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRASSLTQKWLLLTQLCLLKDLVIRCVRQFIRRAKAMLLSQNVVVVEPAEISVVVDVKSSQQDLNSFQIAQVRIRDSVCIEIPVPEETPLLGSFSKQVKTCSVTEEDVTEFVPKISSGSYADKGSREYMEDEHICIDDLSSHLPSSFFRFPVPVAFYGVFDGHGGSEASQYIKENATRLFFEDDVFRESHFVLNNFFLQEVEKSHREAYRLADLAMEDESIVSGSCGTTALTALVIGRHLMVANVGDCRAVLCRGGKAVDMSFDHKSTFELERRRVEELGGYFEGEYIYGELAVTRALGDWSLKRYSSLGGSLSPLISDPEIKQVVLTEEDEFLIMGCDGVWDVMTSQYAVTFARQGLRRHGDPRRCAMELGREAFRLNSADNVTVLVICFTSSSSTPQRRRIQFCVSDEARASLQAMLQG >A08g510170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22069114:22070245:-1 gene:A08g510170.1_BraROA transcript:A08g510170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILLASTPLGISSSSNTTLCGNPALFLNTIFSPLAIVNSLGTKANAPSPDPKRTSMAMAFPAKAMEAAAATAATPKSLRDAFTVRFRDAVVGSLTTAEGLEIVDLRPVNDGIATVAEVTEAMMKILGNRRSQQVGEEKEYSALQYTTGKRE >A08p002020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1164768:1167345:1 gene:A08p002020.1_BraROA transcript:A08p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRSLLSRRFSISFRESQGGKTPPEADSHQPPPPTTTKMMLPPPPSNAAAGSSWSAMLPELLGEIIRRVEDSEDQWPHRRDVVSCACVSKKWREIAQEMVRSPLNSGKITFPSCLKLPGPREFSNQCLIKRNKKTSTFYLYLALTPSFTNKGKFLLAARRFRTGAYTEYIISLDADDFSQGSNAYVGKLRSDFLGTNFTVYDSQPPHNGAKPSNGKASRRFASKQISPQVPAGNFEVGHVSYKFNLLKSRGPRRMISTLRCPSSSPPPPSSSSTDHQNPCDVVTKIMKKPNKDGSGLTILKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLAATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFAICLTSFGTKLACE >A05p023670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11442342:11444539:1 gene:A05p023670.1_BraROA transcript:A05p023670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMVFHHMVFIFHSFKGRFINFRYEFLFFRTGRLPNDFQEVFHTTSRKSSDRVLSHVLIRWFSSSLDNVLCVFYINLGLIYMFFRSGSDFGRPMKSLLKYNAQKKTYTKVVRPTTYMEVVQDKQVVHEKSNF >A09p075260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56779269:56780634:1 gene:A09p075260.1_BraROA transcript:A09p075260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVAFKCERTVKPAWALFLFPFPTSIANHGQRETESSSHLRWRWSVSRRLSQRPTLGDKLESSLNLLGREKLCSNSDSAPGDDKPPTAASVNVMLRQALHADDRSLLLDCLYNRDEQVIANSVAKLNSAEVLKLLNSLLPILQSRGAVLACAVPWIKCLLLTHCSGIMSQESSLLALNSMYQFIESRVSTLHTAVEVSSCFDLIVDDLDEEEEEDGGPVIYEDKDSDEEKREGTELAMETDEEADESADGVNDFEDMSD >A02p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5513472:5514478:-1 gene:A02p012620.1_BraROA transcript:A02p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTPSDHVTQPGSIPGDFPPDPLLSTGSFISSPTPAADGTLGSSATQPPVQRGSEAIGMSDQVNPSPDNSGSKSRKRSAPLDNSWLPPGWRVEDKVRASGAKAGSVDKYYLEPITGRKFRSRTEVLYYLEHGTTPKKGSKKAKNTGSSSDHLEGKGRNKSSRKAKEPPALPPLKFDFENAPEKVSWCMGNAGEEAWTPFVGDDKVQDSVRRDWCTAFTVITTKNPSR >A10g507010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21288611:21289075:-1 gene:A10g507010.1_BraROA transcript:A10g507010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFMALIIVDLDWFGDLHVKFWLILIENRRKQTRPTEVAKLTHVLASEAAQMVVEPILNL >A03g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17496154:17502362:-1 gene:A03g504900.1_BraROA transcript:A03g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDTVHRLEKESGFYFNMRYFEDSVTAGEWDDVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKKDNAKAVDILVKELKVFSTFNEELFKEITMLLTLTNFRENEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFIDHSCGHPNGSHVASPATNHLMGSAPKVGGFPPLGAHGPFQPTPAPLTTSLAGWMPNPSVSHPTVSAGPIGLGAPNSAVSMLKHPRTPPTNSLAMDYQTADSESVLKRPRPFGISDGVNNLPVNVLPVTYPGQSHSHAAYSTDDLPKNVSRVLSQGSAIKSMDFHPVQQTMLLVGTNVGDIAIWEVGSRDKLVSRSFKVWDLAACTANLQASLASEYTAAVNRVVWSPDGGLLGVAYSKHIVHIYSYHGGNDLRNHLEVDAHAGNVNDLAFSLPNQQLCFVTCGEDKTIKVWDAVTGNKLHTFEGHDAPVYSVCPHQKENIQFIFSTAVDGKIKAWLYDNMGSRVDYDAPGRSCTAMAYCADGTRLFSCGTSKEGESFIVEWNESEGAVKRTYHGLGKRSVGVVQFDTMKNKFLVAGDEFQVKFWDMDSVDLLTTTHADGGLPSSPCLRINKEGTLLAVSTTENGIKILANAEGSRILHSMANRGLESSRGPPGSVAKGPIVGAFGTPSSSTGMSLSMADRSGPGASVAGMNGDNRNLPDVKPRIPDEAEKSKIWKLTEISERSQLRTLRLPDSLIQARVVKLIYTNSGSAILALAENALHKLWKWQKSERNLLGKANSNVPPQLWQPSSGVVMTNDTREGSKEDVVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATSLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSNVLNVLVSSGADSQLCVWSMDGWEKQASKQIQIPSGHSPNPLSHTRVQFHQDQTHVLVVHASQLAIYEAPKLESMKQWIPKESSGSVTDAVYSCDSQSIYAAFDDGSVSILTATTLQLKCRIGPNSYLPSNPSSRVYPATIAAHPSEPNQFAVGLTDGGVHVIEPPGPEGKWGMSPPPENGAGPSVSSAPGSDQQPR >A06p011100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3948679:3949454:-1 gene:A06p011100.1_BraROA transcript:A06p011100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root meristem growth factor 2 [Source:Projected from Arabidopsis thaliana (AT1G13620) UniProtKB/Swiss-Prot;Acc:Q6DSU1] MTITSSFLCLFILLLFCLSCGYSLHGDKNEKSSVNFVSNAKHVDGYDAMKKAQVQRRSGEEFSKETTKMKMNPKKPIEKETGVEEEDDLVAYTADYWKPRHHPPKNN >A03p052930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22701245:22704549:1 gene:A03p052930.1_BraROA transcript:A03p052930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pleiotropic regulatory locus 1 [Source:Projected from Arabidopsis thaliana (AT4G15900) UniProtKB/Swiss-Prot;Acc:Q42384] MPAATTEAESIEPQSLKKLSIKSLKRALDLFSPVHGQFPPPDAEAKKIRLSHKMKVAFGGVEPVSQPPRQPDRGNEQTTSSALALTGPEGSKSTQKGVTENALVVGPTFQPKGLNSIGTSGKSTTIIPANVSSYERNFSTSALMERIPSRWPRPEWHAPWKNYRVIQGHLGWVRSVAFDPSNEWFCTGSADRTIKIWDVATGVLKLTLTGHIEQVRGLAVSNRHTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTLDVLLTGGRDSVCRVWDIRTKMQIFALTGHDNTVCSVFTRPTDPQVVTGSHDTTIKFWDLRYGKTMTTLTHHKKSVRAMTLHPKENAFASASADNTKKFSLPKGEFCHNMLSQQKTIINAMAVNEDGVMVTGGDNGSIWFWDWKSGHSFQQSETIVQPGSLESEAGIYAACYDQTGSRLVTCEADKTIKMWKEDENATPETHPVNFKPPKEIRRF >A08g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4054183:4055531:1 gene:A08g501600.1_BraROA transcript:A08g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISKIFPLLQSASYIVFVNGLCSNIHAADFPLPCYSHKKESAGTIHKDIRRWAFNFFHADQQETRFLWKHKPTETDHFKLIWMNADLLVEDLEDGDRTSQPKDKVVTWNLPFRSDTSYLVQSTT >A02g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5469707:5470169:1 gene:A02g501670.1_BraROA transcript:A02g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNTKRRTIYRGKSQEKYEQTVDENSSFNEFEFWTNCRTLAFASSESTLAVLSLLCRSRLAVVGNEIRQKD >A06p011700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4352057:4355309:1 gene:A06p011700.1_BraROA transcript:A06p011700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACLRCSRGGSNLIEALRPISKFSSSLRSSSSSISFSTEDKPVKRAYDGLLLDAGGTLLQLSEPVHETYASLGLKYGLKTTPAEIKQGFKRAFASPWPEKLRYQGDGRPFWKLVVSEATGCSDNDYFEEVYQYYANGEAWHLPQGAYETMSLLKNAGVKMAVVSNFDTRLRKLLKDLNVIDMFDAVIVSSEVGYEKPDDRIFKCALEQISVDANRAVHVGDDEGADKGGANAVGIDCCHFPESSSQPATVFKLRRVAMGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDAHGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADFTKWRQEKRVVPDGVNAKFFSCHGPLALRQPGTAFLPATY >A08p041870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23572783:23575152:1 gene:A08p041870.1_BraROA transcript:A08p041870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MED32 [Source:Projected from Arabidopsis thaliana (AT1G11760) UniProtKB/TrEMBL;Acc:A0A178WG85] MDNIVDSLNKAYEKFVIASADVLESKESAGGLKASLTDAALENFKEKWELFRVACDQAEEFVESVKQRIGSECLVDEATGLTTTTTGGGGSNSGQSVGAATSLPPISAVRLEQMSRAVRWLVLELQRGSGGAAAGSVHSPRYMDFNDPMLLLSSRIGQIGDLGLDLLWRFLHIVVSLFHIVSGIFEAIQSYAISLGLIQKYSSIDIEKLMCLAVVVDIEVARDVAKVVELLQWLKTIGVKQVGLFDSQGLLKKSKDMILEMVPGSMLLQSSFLSSDNKEAVVKAANILLQRHLKASHPEKDEGDNVFTESHLNEALRVVGENVHVPDLMLVYGPVRSHLGFPAWRLRYTEIVHMGSLKYMRYGSLLKAIHKFTGVRQNYGKSFLF >A03p058890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25569028:25569541:1 gene:A03p058890.1_BraROA transcript:A03p058890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLDKACEVVSYYVNTRYAEMKKDKLKRPCGHKMLSWRGKLEEQVYTMDKKQEINLENKDYDFRNVEITIKTAMESNSKNSLGVFLDRAEAVAEVLTTERQVW >A02p045200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28397241:28401695:-1 gene:A02p045200.1_BraROA transcript:A02p045200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLKGVYYNTNQQRVEREEGKDESAIVCKPNIPYKTKTNPGSWVDRFSQSDQDPVVRFNPSLFSKRMEMESCKLFIGGISWETTEDRLREYFQSFGEVLEAVIMKDRATGRARGFGFLVFSDPIVAERVVLLRHVIDGKLVEAKKAVPRDDHLVLNKSNNTSLQGSPGPANSKKIFVGGLASSVTEAEFKKYFAQFGVITDVVVMYDHRTQRPRGFGFISYESEEAVDKVLQRTFHELNGKMVEVKLAVPKEMALNPIRNQMNVNSFGNSRISALLMNEYTQGFSPSPVSGYGVKPEVRYSPGLGNRGGGFSPFGHGYGIELNFEPDQSQNFGSGSSAGFGRPFSPGYAARLGRYGSQIESGGVGKGSVLNAATKNQLWGNGGGLGYMSNSPISRSSFSGTSSLGSIGDNWGRNSYRSEGGGGLGLEAMRGGHVGGYSSGSSSLENDSLYSDSAWLSMPAKAEERMGAFDFMSRGPAGYINRQPNGVAEVAAASPLSSASSECNSNSCRWKPYSNSTDFQANASVLLILIFSALICALSLCAAIRCFLRPTTPETDDNDHKPDAEADGSFTIPTPTLVYSSDLELAGAEAECAICLSEFEQGESIQVLEKCHHGFHVKCIHKWLSSRSSCPTCRTSIFLQSTLDSTPSTEAPSTNELNA >A04p006360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3388282:3389895:1 gene:A04p006360.1_BraROA transcript:A04p006360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inorganic phosphate transporter 1-7 [Source:Projected from Arabidopsis thaliana (AT3G54700) UniProtKB/Swiss-Prot;Acc:Q494P0] MAGDQLNVLNALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSVKPGNLPPNVSAAVNGVAFVGTLTGQLFFGWLGDKLGRKKVYGMTLMVMVICSIASGLSFGNKPKTVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIFAIIIAAVFEARFPAPAYQVDALMSTVPQADYVWRIILMVGALPAAMTYYSRSKMPETARYTALVAKDAKLAASNMSKVLQVEIEAEHQRVEEISRDQSRQFGLFSKEFMKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNAIQEVFKIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQMMGFFFMTVFMFALAIPYDHWTHKDNRIGFVAMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAMVGAFGFLYLAQSPDKNKTEHGYPPGIGVKNSLIVLGVVNFLGMVFTLLVPESKGKSLEEMSGENENNDESNSSSSNNNQNSTV >A01p019440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9429484:9430622:1 gene:A01p019440.1_BraROA transcript:A01p019440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic import inner membrane translocase subunit TIM22-2 [Source:Projected from Arabidopsis thaliana (AT4G26670) UniProtKB/Swiss-Prot;Acc:Q94EH2] MSADDSSNATDIDAKLGSDSKLNSDGDDAADNDSSKALTIPAPAVCLVRFAGDAAGGAVMGSIFGYGSGLFKKKGFKGSFADAGQSAKTFAVLSGVHSLVVCLLKQLRGKDDAINVGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFILEGLNKRQTALAHSVSLRHQTGNFGDHHRPLQLSLALPIHEEIKGAFSSFCKSLTKPKKI >A06g503640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11430272:11430574:1 gene:A06g503640.1_BraROA transcript:A06g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKSIVCALPASGVRPQLLQKQKPQQEALNREQERGQSSGGNDVEEITRTEFRTSLGYLTRIEEPEEDIDPMFRNSSLFRRPPQAQGQSSRTKSGRHY >A01p013800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6739651:6740752:1 gene:A01p013800.1_BraROA transcript:A01p013800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLYLYRQIIHTCPVLEELTIRDGKFQMCYYHLSTDYFESSRQTVRFRAPSLVCLDYSSFVFKEYQVDDLDSLVEARLSLKLWWSISHFDYDDDYYYDHDGSFHLGYTRPPIFGDVKCLVVAIRNITTLHLSPDSLEVFHFCCKSMPMFNNLVNLSIESNKQKGWQVMPLLLKSCPNLQTLVFKGLLHRVTNRCGDACACNPGRKKRKRMKNMKEVCCLQTCRVNVLEISDYGGRFQELKQMRHFLDNLECLETLKVGVDPDKNSEFVRANVMALPRLSSRCSIQFI >A04p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5890304:5891362:1 gene:A04p015100.1_BraROA transcript:A04p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLSDIHLDLGSVRMIPITRNTTKQDPFGIYVVFGSVRIHFYRIGFGSGMQSLHLEDAVGEQVRQHNAKRTKDDDDSYEVTLDEDFLTALEYVMPPPASRMAGDAVDKLCEYKRRHCVSCSESLAVIIVPNVSSGIKPNIRTFNILIDSYGKRGNYKKMSAVMKFVDLGTYNVVIDAFERGDLKQMEIPI >A09p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11403904:11407631:-1 gene:A09p021280.1_BraROA transcript:A09p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFNTDQHGHSAAESGDVYAMSDPTKNVDDDGREKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGTLILIIFSFITYFTSTMLADCYRAPDPLTGKRNYTYMDVVRSYLGGRKVQLCGVAQYGNLIGITVGYTITASISLVAIGKANCYHNKGHHADCTISNYPYMAAFGIIQILLSQIPNFHKLSFLSLMAAVMSFAYASIGIGLAIATVAGGKVGKTNMTGTVVGVDVTAAQKIWRSFQAVGDIAFAYAYATDTLRSSPAENKAMKRASFVGVSTTTFFYILCGCLGYAAFGNKAPGDFLTDFGFYEPFWLIDFANACIAFHLIGAYQVFAQPIFQFVEKKCNRNWPDNKFITSEYSVNIPFLGKFNINLFRLVWRTAYVVITTLVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKVKKYSPRWIGLKMLCWVCLIVSLLAAAGSIAGLISSVKTYKPFRTIHE >A02p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:362355:366647:1 gene:A02p000670.1_BraROA transcript:A02p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase L3 [Source:Projected from Arabidopsis thaliana (AT5G02790) UniProtKB/Swiss-Prot;Acc:Q9LZ06] MALSHILVEDRQVPLDATSDLPTLFDGTTRLYISYTCPFAQRVWITRNLKGLQENIKLVPIDLPNRPAWFKEKVNPANKVPALEHNGKIMGESLDLIKYVDSNFEGPSLYPLDPEKRDFGEDMLKYVDTTFTKVVFGSFKGDPAKDTAPVFDHLENALQKFDDGPFFLGDLSLVDVAYIPFVQRFQVFLGEVFKYDITAGRPKLAAWIEEMNKMVAYTQTITDSEYVINFFKNVLEHRPASLDATADPPALFDGTTRLYTSYGCPYAQRVWITRNFKGLQEKIKLVPLNLGNRPAWYKDKVYPENKVPALEHNGKIIGESLDLIKYLDNTFEGPSLFPEDQAKREFGEELLKYTDTFTKTMWALISPIYRSSKGFKSHSMNYSSEMNKIDAYVQTKTDSKEIVEIFKRKLM >A08g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13858853:13860021:1 gene:A08g507900.1_BraROA transcript:A08g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKRSQKLGGTITTCVSYSMIQEPATNGLKRMMETTLMEILKRLERSYELITSMLTVVLLDRLSGHMFQLRHACPEEVLLGVNDRQKCEEFSREYLSDAEGSNHCNQEHRAVNWASPCPLAHIHLSINGLKRMMETTLMEILKRLERSYELITSMLTVVLLDRLSGHMFQLRHACPEEVLFGVNDRQKCEEFSREYLSDAEGSNHCNQEHRAVNWASPCPLAHIHLSIHCLWTAK >A03g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13533722:13534497:-1 gene:A03g503860.1_BraROA transcript:A03g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDQEAVPEVEKAVIVEQNADERRGYVITLQGDDEEAVPEVEKRVIIEEDVAGRRSYLITLEDKLDQEQEKLLLASCSLVKIYPSLDDDEYAGIERSMGWMDFQKFYHNCLSHKESEEETEEETLARAHQLRNLWIGKMISAYDVPEEERGDVPFKPYNVDDLEAVKETFGEDLYRTIRKAFREARVAFKTGVDYKPWNRGEGREATLNELLDALPKVARPPTHRRR >A05p009510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3989948:3990994:1 gene:A05p009510.1_BraROA transcript:A05p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTKPEPTCLIMSLPDEVVVDIIARVSTRFHPSISAVCRRFRSLVASPELYKARRSLLGCNEHRLYVILQSFGENSETRVYNLRRKPDGGHRLVLVSSLPAMPHEASFVVDGSRIHVFGGFSLYDRRERNALSIDCRYHTVQPLPTMPKRMIGTPLAHVMDGKIYVFGYTNYYNKVTAVFNTLTQTWEPEMTKPRIELRHVLNGPDGYVNSIVYDGTKETKWETEWMLKFNKWKSVCVIDDVFYSYDCLYNKLKAYDTKLRCWSEVKGVKTLLPTNKSLVWWPRVVNYGGSLLFLYQKRICRIEEHWCAEIALERRQDGEIWGKVEWSDVVIVGDFCLIESLVATF >A09p001850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1421313:1421757:-1 gene:A09p001850.1_BraROA transcript:A09p001850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSSVKFVSAFVSQELSNAIFRCGFAATTAQPSGGKGGAVVSAVMKKGMEESNQKIAAWIPDPKTGYYRPETGSNEIDPAELRAALLNKKQ >A01p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19449481:19450432:1 gene:A01p026610.1_BraROA transcript:A01p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKAFIEATEAPGRRIYERPWDGIFGLSGLSKSTITGARPIWRTMMDEGVVTKKVFSIWLRRYSDSGENGGEIIFGGIDQEHFTGAHTYVDAEGPHNTFKINSFFVGKIDTKVCSKGCKVLVDSGSTYIRGPPNLIVKINKQIRIAADCSNYDKLSEVISFTIAAKTFTLTPRDYIERKNGKCKSVFADAKSDLWQLGTPFIRAFHTVWDYQTPGIVKVGFAKSK >A03p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20336386:20337495:-1 gene:A03p051880.1_BraROA transcript:A03p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKAGTFVLFLLGLTFLSDARSFLHPTLSEEVTKNENVCTLCEEYVTSALTYLEKNETQTQILEDLHDRCSLIRGFEQQCITLVDYYLPLFFLHLESFQPHYFCKRMNLCGHVVALVEEARQDTCGVCHRTVSEILIKLQDPDTQLDVIELLLKGCKSFKNYDYEKKCKKLVFEYGPLILVNAEEFLVKNDICTLLRACPNKQTALRQPGLADS >A07g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8153420:8154494:1 gene:A07g504200.1_BraROA transcript:A07g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKATNMFASSTKAEHFYELFLLPRVREDIRIHKKLHFCLYQSLKKSLFKPKGFYCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPENFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVAAHFLRFRKETKVMPTTPEIVRELISSRNRGEMEDNSHSASTIKEDWFDMPQVPMEED >A03g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21671836:21673010:1 gene:A03g506050.1_BraROA transcript:A03g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMEQCEMYRSGYFHAERLQEEEDVQDLKNEVSVMVNRIELLQLHCRKLMGQDLGSCSVDELNEITIQIEKSLTLIRSTKISIQPSSFRVLPIFWQAKVHEEEVGKLKAEIAGTRELVNERDTLHEMFEEKPLWMQSGSLGSENNASSSVPV >A04g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17705744:17707064:1 gene:A04g507290.1_BraROA transcript:A04g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVMQKFLVASMFMLILPVAILYGFNNDLLPGKEEEGPSSSTTLSPHSLTLLSGFLAVVSVNVVIVFYICLALKEPTDKHKPDALFVAEAKDSVKKLTSGVPSTDPAIKKQE >A01p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14218239:14218859:-1 gene:A01p039600.1_BraROA transcript:A01p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIMEEQEGVVLKMSALSVGVVVIGHENAHQLVVIEDGTEILLQSVRSRIGSYDGHRDRYDRYMDDRRDGGRYSSYRDCFEGGGKYEARDLYPVERYGPPGDRLVSDRYGMPERHHHHLEDEYGRGERGYDRDIYSSDRYGAMGRLRDEGRGYRSRAGPYDRPSRSGGRSSSYERC >A05g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21000681:21001607:1 gene:A05g507420.1_BraROA transcript:A05g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVQLLRSLNQTRSQNPFTGKVTNPTLSPSHLKVIYRIKLPGPAILGEVISIVQFVFVIVVLLPVNKRGLLHSPKPDLCQKVSSFELDDSLVHCVLPRFEVEDGVVQREEVRRECGKGFTSSKALCGHMACHFEREKRVSCSHFFQVKKSVKSLVISHELV >A05p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25391530:25392610:-1 gene:A05p041940.1_BraROA transcript:A05p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSVEGRRRASGGMLPLLALSTVAEYYRLPWKPPVTAGLLAANTLVYLRPSFIDPFIPHINEVWFNPNLILKHKDLKRFFLSPFYHLDEPHLVYNMMSLLWKGIKLETSMGSSEFASMVFALLGMSQGVTLLLAKSLHVFFDYERAFYSEYSVGFSGVIFALKVVLNSRDEDYTSVYGILVPTKYAAWAELVLVQMFMPSASFLGHLGGILAGILYLKLKRSSHSGLDPVSMVVRGVTRAVTWPLRFLSSLVRSRRRRITGRGRVGRGQNGVWRCQSCTYDNSGLQSVCEMCGLGRGRGNGWSVNQRQTHSSSSDIPLDELRRRRVERFS >A09p000330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:175445:176309:1 gene:A09p000330.1_BraROA transcript:A09p000330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHB1A [Source:Projected from Arabidopsis thaliana (AT4G00335) UniProtKB/Swiss-Prot;Acc:Q2HIJ8] MGGCCSSSSRHSHLVGAPLYYYCPESFEELGPSGARARVGSAALTTTGLLADIIGLETSSIPDTFYAPAPLPYDLLFGRPDSKSIKGKMISDCSFETCEDLGGPDCKTQPASVILSPSETHLSKHKTWKNQLVDEEEEDSCPICFEDYEADNPKIKTNCEHDFHLSCILEWMERSNGCPICDKVCFLLPSS >A05p009030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3730331:3731107:-1 gene:A05p009030.1_BraROA transcript:A05p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 member 1 [Source:Projected from Arabidopsis thaliana (AT2G38460) UniProtKB/Swiss-Prot;Acc:O80905] MENAAEAVTVVQQDEEREVEGLGQPQNPPPPVRRRFIISLYVGYFLARWGARTWEFSVALYMIYLWPNSLLLAAIYGAIESGSTAIFGPIVGRWIEGMDYVKVLRLWLLCQNLSYIIAGGAVIKLLLDYHLKPRNIPVFATLVALTNVAGAIGVLSTLGGTILIERDW >A07g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6402568:6405015:-1 gene:A07g503250.1_BraROA transcript:A07g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYPSKFLNTQVEPSKESFTFVDCSRMSSGGIVRDLEILMSTTTLFQSRSQKTSSRRIDDPVFIAACHCGAEYETGYSVSIETHTTTSIVSAHQKPIDSPKEESVDSSPSDWENDYYDPTMATHTRDTMHTEEYDEDYEEERVIEYIAILDEEHRPLQHSSWKRNSPSIDIIVSTSIDTHLHQTSQNRASTDITYYPSIDTGVDRAREGDYLIGSWANDHHHESYAVKTAVHEPGAYELHEGFTYEELLNMQRCDEADQHQAEASGERTRFSHTFDRANRPSIDNKHPSTIDIRPEPPSTVSENLNYDNQYLTHDEFGIFRDPNGYAREIGGHALQVSREDISDILQMANGADKLFMKQCTSPAHQQRVTNEFYDTAGGIDNCLKQKYRHPTRPSIDVDVPSSIDRRPEFGKRAYDRYGTERFHWEEKDEYGVYRDDHGHARDVDRHIIKVSKDDIRSLLERASRDEHSYICLPKHASSFTQTKLMKLDGVYYLLNDSISWLTTCMEEMRQDIVKTQTQHAAEATAPSSTDSHHSTSIDDDPHHSHPMKSQPDSYTRADIDQLVEKIYKTLETTEERLDRRCDDIYFPMDLTVIQSSSTRSINIDRQTQQQINRQLQTNIGRRSYKPRQASTKEDREHNCNNEGQMAQRR >A09g518920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57848494:57853537:-1 gene:A09g518920.1_BraROA transcript:A09g518920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNRQEWQRKDHYNSDRSRHSAELPRTDYYREEHRKGRGDSHSASSPKSFSRPRHVAKETEASPPRYRDLGSKEDVTDARNHLNSKNQGIPCRRRRDKTLPQDAMDEAIGEVREVMSQYTNCADPTESAARRERVRLAEAKGQIEESAAKIVRASLTRKETADSQTGKVPSSEDRVPIAARLGQLPLDKSIDSTAEQATSKDQNERIPIVNRLGPHKSTLVQDTQDSIVPEKAQKRKPGRPPGKRRVNGSPSLLPGASSKKRKVQQTKQPNCRKKLQIEGNGKSAKAARGKGDSARVASGSLFLWIIWNLWKSRNRFVFEGFPSRPEDVLTTAIKMAREWSSDQNLEIVHHKGEPRMEQPSPCDAIVVRTDAAWEASRQVAGLGWIRLGRPTNQTFKGHVEFVTSPLMAEALALRDAILTCKRMKIKKLRFESDSAQLIKIVNSKSSVPELHGVVSDILACSLAFEFVCFVWISWERNTIADLLAKDALIASVQCVVVGAVNAHN >A05p045510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27210105:27216269:-1 gene:A05p045510.1_BraROA transcript:A05p045510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKDMLLHQQVQQQQEENMSNLTSSGDQASVSSGNRTEASGSNFFHHQQQQEEQQQFFVPEPQPQKKRRNQPGNPDPESEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYVCPETSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDCKAHSKTCGTKEYRCDCGTLFSRRDSYITHRAFCLALAEETAREVVTPQDQNHQPNPLMIHQSSHHHHQAQPNMNISSSSSSSHNIINTLHFETNVNVTNNCESSSNHPHTFPMKIEQQQTGDQIINYHHHGVPPWLAPQPQDRTSSNPNPSNGGGGGGGLFSLAASPVMSATALLQKAAQMGSTRTTPLPPTTDFERSHNTLTTTMASMMTSPSGFISSNNNNQVLFQDYNASGFNHHHGGGEAFDYTFSELFRTNADTTSDKNKSGEGGGGREGLTRDFLGLRPLMSHNEILSFAGLGNCINDAASDQLHPKPWQVYSCIDHQQQRAFSCCLDKNMVLNSIQSSNVGESQGILSGSDPKLSQTRREGLPFAPEAQELADTGSCPGILLLHENTVAELLQQRISSSEETDGSFSCHLDFTLSSAPLPDKPASQMAKTIVHAYKVSVNGGMKAAVEEADMTA >A06g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20102081:20102884:1 gene:A06g507250.1_BraROA transcript:A06g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKYTKSLGELSQAFHQTPFRWEIEIDKKSTQEHNNQNPRDELLKRVFVYLSKIKDNLPLVAYKVLKHRSPRNCNFGQQNNAERLAGVAPASRSRATFSVSDGTNASDLGVSLQQVALNIGCDFSTSLWKVAPGSNMCVSGCENASDFVQSLWMSRSGKWCTATSCSRSEKSLQAVLVQRPL >A03g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8152399:8154045:1 gene:A03g502610.1_BraROA transcript:A03g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKIFSRPTFLITLHLIYLITIPRVYSSLPLPLYAPELFLECLDTQPADHGSRNSRAAVIPTNASFSTNLMRAVRNLRFASGSTRKPEAIVAAVTETHIRATISCCKHLNLELKVRSGGHDYEGFSYTSPVPFVILDMYNFHKVDINLKDETATVEEGVIDLVHKWQQVAPVIDKDLFIRLEIKPVYRSTTSKYKTKTINVSFIGMFLGSPERLLNIMNKRFPELYLTEPDCMVKKWIDSVVFWAEYPENEPLENLLERTSVNESYWKRTSDFVQTPISKRGIAKIFQTMIYHSPLPRRVWMQWNPWGGRMAEIASDETPFTHRAGNVFMIEHFMNWYAPGDELEEAFLAISRSFKKAMTPFVSKNPREAFLNYRDVDIGITIPGYNATFEEAKVYGDKYFKGNYLRLFQVKAGFDPTNFFRSQQGIPVLE >A03p072640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32141428:32141918:1 gene:A03p072640.1_BraROA transcript:A03p072640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFHMWNHHHMFIRFHTQCHLYLKDHISAEKLLCINKMMRMLPKEISFKDFREIHQLHIVLPKVEDPKKFVFQCSISGFAFKEHLCDSRYNGNVRLEEIAEKLRVDRIQPLKMTLSFSNSSPTTPYGTIPKLYVAC >A04g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7335255:7342274:-1 gene:A04g503310.1_BraROA transcript:A04g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITILLLDELDSVIHGFIPANRASHYRPDLKSGSIVKVDRFEVARFAHTYKITEHQFVIRFTPSTRICEVLTDAPVINSEKFMVRRYDHLHVLSNTNLELPDVVGEIRSVQGSDLRNDAATTRVVVRLLIEPDVTVYLSLWDEAASTFRGLLKAGDKTKSVMLVTTVNPKLFGGNMYLNSTPGTRFFFDTSLPEIATFVSMVGGESSKVFPLVDTLQGIKKKELVSIADLNTFISNSNEQTQEADFFCKARIVGVVHENGWSFVACTGCNRKLERIGTSLSCNRCVTDAVTGVVRFRLELAVDDGNDSATFVVFDKEMTKLTQQDAAVLALDEAANGGEENLPICVAVDGKVYGMSFGLFGENFHTIYDTRYGTTIQDMAHIGSMWYDFNEKIWKMNKGLDPGKGFHKGMVDCNGKLACLLFLGPCGMIKSILYSKSPQSISERRVDKLMMDSGTRRFKGTEKGKSVVATSTPARNPDGGRLGDLESTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAIRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGKGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGSEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGTSPRGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKNLVFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAMARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVARESSVRASELSTLNDRESDRED >A06p011920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5467413:5468366:1 gene:A06p011920.1_BraROA transcript:A06p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g14470 [Source:Projected from Arabidopsis thaliana (AT1G14470) UniProtKB/Swiss-Prot;Acc:Q9M9R6] MDMYGKYESVGSARKVFDEFTQRKAMLSGYAHDGFTEEALRLFNDMLRLGVRPNETTWVTVISAGRCLIWHAKCKDILSARRTFNELGAQRNLVTWNAMISGHTRMGDLSSAMQLFDAMPERSVVSWNSMIAGFAHNGQPALDKVTALSACGHVGDMKLGDWIVDYTAKNQIKLSISGYRSLIFMHARCGNLREAERVLEEMNE >A04p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12171353:12175273:1 gene:A04p019870.1_BraROA transcript:A04p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALTYSRRIHVFPNFHGPDVRRTFLSHLHREFVSKGIVAFKDKEIERGHTIGPDLVQAIRESRVSIVVLSKNYASSGWCLDELVEILKCKEDQGQVVMTIFYDVNPSDVRKRCGDFGRAFETTCQGKTEEVKQRWNKALTDVADIAGEHYLNWEDEGEMVENIAADVSKKLNLTLSRDFEGMVGMEAHLRKLDSLLCLECDEVKMIGVWGPAGIGKTTIARALFDKLFSHFGLSCFMGNLEGGLKKSIRGVDDYASKLCLQSQFLSEILNQKDMKIRHLGAVKEWLQDQKVLIVLDGVDDLEQLVVLANEPSWFGSGSRIIVITEDKKILTAHGINVIYNVDFPYEEEALEIFCLSAFKKASPQAGFEKLAKKAAKICGNLPLGLCVVGSSLRCATKSEWELQLSGIENSLDKKIEDVLKVGYDRLSKKHQAIFLHIACFFNNENVDHVTTMLSDSNLDFRNGLKTLADKSLVFISTDGKIVMHYLLQQMGREEVVNHSDEPGKRQFLVEAQDILNVLANGTGTESVVGISFDTSRIGELFIHRRAFERMHNLQFLRIFMNHSNENLSLCTIEGMDYLPRLEDMEFLPRLRLLDWSSYPGKCLPQSLRPEFLIKLNMPSGKFEKLWGGIQPLVNLRKVNLNFCFKLKEIPNLSRATNLETLTFIQCTNLVKFPSSIVNLYKLTTVRMWGCKNLLVVPTDTNSQYRNLMCTSVLRSFPDITRNINNLWFGSSSFVGRNRNRDFKRLPHVPESVWSYEDLSRSDMERPHTFINENCTKQRPNVVGRELQRFTTHVPQSLCKIDLSGSDIERIPDYVSGLHRLQTLFIENCTKLISVEGLPSSLKFLHANGCVSLERVEFSMLLRDPDSIRDLMFRDCWRLDEEARREIINSRFAKYVCLPGIQVPAEFIHKARSNYITISPGNFCTAYSRFKACVRLDSIKDNTFSSVDCYIRSKEGVIINQVNYLTKINPGQPPQIRTPHLFIIGDKLSHQRNKSRSEVDVDTSEMIFQFTSSDNHTIRECGVRIFKEKPCFETGDNKNDPTYDHDIDGNCEPEAVGNISNQSNKAEAVEVSQVDNLESSKHTGLWGLLRKLGLGKNRKMKKAETPFCA >A04p039940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22582464:22584194:1 gene:A04p039940.1_BraROA transcript:A04p039940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 9 [Source:Projected from Arabidopsis thaliana (AT2G45480) UniProtKB/Swiss-Prot;Acc:Q8S9M3] MEEKKHSLQSNKMQSPKTEEEDEWRRKKWPCMKAAQLQEFRMQALVYRYIEAGVRVPNHLVVPIWNSLALSSSSSSGYLIHHNYPSSSNAVLNDKVDPEPTRCRRTDGKKWRCSNKVLLFQKYCERHMHRGRKRSRKLVESSSSYDVASSSASTKRDNTDGLNSSTESHCVSHGAMSVSSNAQVVTIASLPSARVCDNIPRPSLVVTESTNKSVRRRITDMSYDDFIKQRGATTCVRGFPVQGSERLPSVQKFFPEASDNSSEAARISSNRKNEIIARSREWKNMNVNCGGLFPGIHFSPDTVLQDRGGFGLHRVETDSEPGRCRRTDGKKWRCSKDVLSGQKYCDRHMHRGSIKKKHPVETTHTHENTVKTAARSVTCQDGDGQKLPVSVLGREQLSRVSDEKNTTNSCSTDTTITDIALKGEEDNEEVLSLCSSGV >A05g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4931234:4936776:-1 gene:A05g501300.1_BraROA transcript:A05g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNVPKNSMPSSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALKGRSGLRFLSSRHRDASNLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A04g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11844013:11844447:1 gene:A04g505590.1_BraROA transcript:A04g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIAFEWDKGRKLLGVAWVVRNHRGVVICHSRRLFLEVINREEAKFASLLWAVEREFDDLFDAASRPQAWPVFGFQKQIERLLSSLKVLQDKGTGAILRRTMTSGLAI >A02g510570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28085516:28085924:-1 gene:A02g510570.1_BraROA transcript:A02g510570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCFHSSYCLCFTSSACPVLIDDFVERTDEQKKDYRCCHTGLRSVPGLKRIKI >A08g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18712603:18717138:1 gene:A08g509450.1_BraROA transcript:A08g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTQLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKVMMFGLQRKSNKEKHPRLSVSQTSFKYALNTFDEFVDVQEKPNRWSKEHVNTSKGESDPKRRLLQFDVQEICDNFEKGMMKALKDISKSPKKSTSTRAPVAEPSLFISRKTQDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQYPCLGTRICLDDELGPIFDEEVEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKFSTDDVIRFGLDKMKDFFVSKYVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQNSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKINTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLKSNFIRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPEPKPILNESKVFPQSTSWPNQKHSWPNFKIDKPIFGDQLTCLSLTHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVVLNEQDKHDQFPRRASAGECLRTYVIFSSREFRPSEKLKMANLLSDEPTVNSIMPNVIIHVLNIQKSIGLDGFQKDSKTSLFSANGETDKILAKRKDGFRPGLKGTCLGPYQE >A01g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:691708:698405:-1 gene:A01g500220.1_BraROA transcript:A01g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKLKTTFYGLNNTSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDNSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p026140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14961006:14963329:-1 gene:A07p026140.1_BraROA transcript:A07p026140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMYRASKTLKSSRQSFSLLFNSIKPNRRDPLRVGLQQAYGFSSDSKQKEPAVDLTQFPSDKIRNFSIIAHIDHGKSTLADRLMELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYENKVKDQEASGFLLNLIDTPGHVDFSYEVSRSLSACQGALLVVDAAQGVQAQTVANFYLAFEANLTIVPVINKIDQPTADPDRVKAQLKSMFDLDTDEVLLVSAKTGLGLEHVLPAVIERIPPPPGISDSPLRMLLFDSFFNEYKGVVCYVSVVDGMLRKGDKVSFAATGQSYEVLDVGIMHPELTSTGMLLTGQVGYIVTGMRTTKEARIGDTIYRTKTTVEPLPGFKPVRHMVFSGVYPADGSDFEALTHAIEKLTCNDASVSVAKETSAALGMGFRCGFLGLLHMDVFHQRLEQEYGTQVISTIPTVPYTFEYSDGSKLQVQNPAALPSNPKYRVTASWEPTVIATIILPSEYVGALDILLNGQAVDALATIVHNQKAYRVGKELVEKLKNFIERQMFEVMIQAAIGSKIIARDTISAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPHEAFQQILKVS >A02p006450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2722502:2724698:1 gene:A02p006450.1_BraROA transcript:A02p006450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSARAFILSRVTDLSRKKLILHQPPPSSPRRFPNRAVSSAVISCLSGGGVSSDDSYVSTRRSKLDRGFAVIANLVNRIQPLDTSVISKSLSDSAKDSMKETISSMLGLLPSDQFSVSVTISEQPLYRLLISSIITGYTLWNAEYRVSLTRNYDILTDPRKEDDQSSKDDVRFGSEKGVSEGLGDCAEELERMSPPQVFGDLSPEALSYIQELQSELTSIKEELDLQKKKALQIECEKGSKNDLLEYLRSLDSEMVAELSQLSSPEVEEIVSQLVQNVMERIFEDQNTSALMQGSVIRTTTEGGGDGAGRTVDASRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >A02p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28445565:28446686:1 gene:A02p045360.1_BraROA transcript:A02p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEFYLGILRQPVPVNEFGPDIVLWKHAQDDYQSCFSASRTWKQIRDRREKVAWSNVVWFSQAVPRYSFIPIPASTDKSVLIAFNDKMIMLPGPLVYRVDEDIHNVTDSAIRDIMSFTCTIIISMNSVLPYNGGGLVRLKKTDVVPRLKASGVRVFVETFSNEFVTQPLDLFSDSTVEIDFLVQRAKIDGIITDFPATTARYKSKTKSPSSSHSCFFKVFLRQSAIIFDCKSNASFTSSSSISITN >A03g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27763223:27763596:-1 gene:A03g507890.1_BraROA transcript:A03g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVLKTISHLPSYGLERRWSSAIKRLAAPPPPFNFVCSVPGGQECTPWCSMANVSVFL >A07p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20884121:20887284:-1 gene:A07p039300.1_BraROA transcript:A07p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha,alpha-trehalose-phosphate synthase [UDP-forming] 6 [Source:Projected from Arabidopsis thaliana (AT1G68020) UniProtKB/Swiss-Prot;Acc:Q94AH8] MVSRSYSNLLELASGDSPTFGRMNRQIPRIMAVAGIMSNIDNDSKESPSSDLSPKDRIIIVANELPIRAQRKLETSSTSTSTTHCSSKGWTFSLDENSLLLQLKDGLGSEATTTEVIYVGCLKEDIHPNEQEEVYQLLLENFKCVPTFLPLDLYTRYYHGFCKQQLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNADLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLRSVLSLPETENKVKELIEEYSKKGRRMLLGVDDMDIFKGITLKLLAMEQMLTQHPEWQGKVVLVQIANPARGKGKDVKEMKAETYSTVKRINQAFGRPGYDPIVLIDAPLRFYERVAYYVVAECCLVTAVRDGMNLIPYEYIVSRQGNEKLDKILKVENHHKSMLVVSEFIGCSPSLSGAIRVNPWNVDAVADAMDSALEVAEPEKQLRHEKHYKYVSTHDVGYWARSFLQDLERSCGEHGRRRCWGIGFGLSFRVVALDQSFRKLSMEHIVSAYKRTKTRAILLDYDDTLMPQGSIDKRPSSKSIEILNTHRRERAVSLLGDIALAFVRVTIVLVLGGQEWILNGLHELCLTSRHDWDL >A05p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27021070:27022220:-1 gene:A05p045110.1_BraROA transcript:A05p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYVFAFIRLLLILFTFVSCLQMANPHEPHFFKPLLPGFHSGVTIPLGFFSKHIKGKTNQKIWKLRSDTSDKTWEVIQEGRRLTGGWKDFTTAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKEGADADDAADDADDNEHHHKIRGTWAMSSFSFDYCFLAEVTASNLKEDKLHLPVGATSSTALNKQCQEMILVNKEGNSWTVSLQFSESGGMYYIGRGWRKFCLDNRCDIGDLFVFNLIGDGKTTPLMCVCSESKECSELLSKHLSRKKKECESSPLTCLRLMSLLM >A09p069260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54001223:54008837:-1 gene:A09p069260.1_BraROA transcript:A09p069260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor CHD3 (PICKLE) [Source:Projected from Arabidopsis thaliana (AT2G25170) TAIR;Acc:AT2G25170] MSSLVERLRLRSDRKPVYNLDESDDEDYAPKKDRTFEQVEAIVRTDAKENACQACGESANLVSCNTCTYAYHPKCLIPPLKDASVENWRCPECVSPLSEMDKILDCEMRPTISDEQDSSDAAPKPVSVKQYLVKWKGLSYLHCSWVPEKEFVKAYKTNHRLKTRVNNFHRQKLESSNNNEDDFVAIRPEWTTVDRILAYREEDGEEQYLVKYKELSYDECYWESESDISTFQNEIQRFKDINSRTRRGNKDVDHRRNPKDFQQFDHSPEFLKGLLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIALLASLFEENLIPHLVIAPLSTLRNWEREFATWAPQMNVVMYFGTSQARAVIREHEFYFPKGQQKMKKKKSGQSSSENKQKRIKFDVLLTSYEMINLDTSVLKPIKWECMIVDEGHRLKNKDSKLFSSLTQYSSNHRILLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDINQEEQISRLHRMLAPHLLRRVKKDVMKDMPPKKELILRVDLSSEQKEYYKAILSRNYQVLTKKGGAQISLNNIMMELRKVCCHPYMLEGVEPVIHDANEAFKKLVESCGKLQLLDKMMVKLKEQGHRVLIYTQFQHMLDLLEDYCSYKEWSYERIDGKVGGAERQIRIDRFNAVNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQRNKVMIYRLINRGTIEERMMQLTKKKMVLEHLVVGKLKTQNINQEELDDIIRYGSKELFASEDDEAGKSGKIHYDDAAIDKLLDRDIVDAEEVAVDDEEENGFLKAFKVANFEYIDENEAAALEAQRVAAEKKSSAGERATYWEELLKDKFEVQQAEELNALGKRKRSRKQLVSVEEDDLAGLEDVSSDGDESYEADSTDGETPGQGNQTGRRPYRRKGRDNSEPTPLMEGEGRSFRVLGFNQSQRAIFVQTLMRFGVGNYDWKEFVPRLKQKTYDEIKEYGVLFLKHIAEDIDENSSTFSDGVPKEGLRIEDVLVRIAVLMLVQEKVKLVEDHPGKPVFPNRILERFPGLRSGKVWKEEHDKIMIRAVLKHGYGRWQAIVDDKGLGIQELICKELNFPHISLSAAEQAGLQGQNGSGSSNLGAQNHGGGVTGNSNASPADAGQVNSMFYYRDMQRRLVEFVKKRVLLLEKALNYEYAEDYYGLGGSSSVPSEEPEAEPKVTDTVGASFIEVDDEMLQALPKTEPITSEEIMVAAADNNQERVEIAQLYNKMCKDLDENARESVQAYVNNQPSNGKLGESFRSIESNISRILSAPSDQLKSTEDTKPNLNNVEMDSVEETKPLRASVDLNVLEGEENIGEGSGSVDVKMEEAK >A06p056660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29492432:29495792:1 gene:A06p056660.1_BraROA transcript:A06p056660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSLDSVFQEEGENFWDMIAGDVSGDGDGDKTVGVPNRSAFRSYVRDREQRMVSSSSTVNVKRRMVNLLRKNWEEKKIVAVPEKERCRRHMMKERTRREKQKQSYLALQSLLPATKSDKNSIVEKAVDQIRKLEGLKKELERKMNVLEAKSARDHDEMNGKKVKFNVQEPLSGIDSVVEVLQCLKSMGTNLNTVQANFSPHEFSATMNIETQIRGEEVEKRVQKRLQETEWKLLLF >A02p019480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8989753:8991402:1 gene:A02p019480.1_BraROA transcript:A02p019480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSFLVPSASPPSSALFRRFFRPSLLSSKIFHRLPRVVNSSSRTEVSVDKSEADKLVDKIDFGELCNEFECTSSPQVESTARQLARDILEIRESNRAFACYAVSVKFKDSVRSFTGREKYKRPMWITSGLESPTVTIQEMVMLSTSVLRIRWTVKGKPKSILGAVSGDLVIKVKSEFTLNQISGQVIEHEESWDSSSSSPIAQAYFWTSRRLFAASESAKDLADATKDITATFTTRKEDREIYRDPTDPTKYFQRDDSFERDFYQVALFLAIVYFVVQFLRNTL >A01p028010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18575804:18576794:1 gene:A01p028010.1_BraROA transcript:A01p028010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGYESRRGSRWEELDTDILVRIFQKFSIFELTSGLAHVCSGWRAACCDPILWKTLDLSHMRSSFIKIPLEPYVYVERRSDEALTRILKLSMNLSGRNTRALVFHFNLFLSDDQLTYTAERCPGLRRIVLPAWNRIKKTGICKAIRIWKDLESLTMPSIANPPYLLTEIAKNCKNFKELKIMGPFEIFFANTLITYLPNLKTLSLRCSAIKREALIKILDGLPHLEVLNISHSYLVEYSVWQPQQKVIVRELDKTILEKASRLKRFLTCMEHETCVMCQRTENDEGIVRWYKYEEGEWKVDEVSSLHL >A05p053590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31019029:31020644:1 gene:A05p053590.1_BraROA transcript:A05p053590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIP1A [Source:Projected from Arabidopsis thaliana (AT3G04090) UniProtKB/TrEMBL;Acc:A0A178V5Y6] MGVVKSAIGDMLMTFSWVVLSATFGLQTTEIISAAGFQGIAWAPLAITTFLIFVYVSIFTVVFGSASFNPTGNAAFYAAGVPGDTLFTLAIRLPAQAAGAAGGALAIMEFIPEKYKHMISGPSLQVDVHTGAIAETILSFGITFAALLIIIRGPRRLLAKTFLLALATICFVVAGSKYTGPAMNPAIAFGWAYMYSSHNTWDHFYVYWISSFVGALSAALVFRTIFPPPKPQQKKQKKA >A01p022170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10913273:10915731:1 gene:A01p022170.1_BraROA transcript:A01p022170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKPVNKILRPEKNTQPKIRRVSFNRRSNVNGSSASDTDLSWPEKLSTSRLIRQACLNHGFFYLTNHGVPEELMKRVFKESKNLFSFPLDEKMVMVRRGFRGYSPLYDEKGDSKEIFTFGSSEGVLGQHYPNKWPSEGKKKDVGKKLLGLVALALELEENFFERLGAFNDQAAVVRLLLYSGELNSSEEEEEEETCGASAHSDFRMLTLLATDMEFQGFNAFIVNIRDLMERWTNGLFRSTMHRVLSVGEERYSVAVFLDPDPNCVVECLESCFSETSPPRFPLVRTSDYFHDRFSQSLISRLCLINKKTCVLCHQKLGSFCCL >A01p022890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11266698:11276748:-1 gene:A01p022890.1_BraROA transcript:A01p022890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGKKKVFVYMGYICFVWIKKKFDQIKPVLLSLSFAVIRSLETSNPSVTMMKLKVDIDCAKCYKKVKKVLYKFPRELLSSFLFFKDSEIRDQWFDEKSNIVIIKVVCCSPERIMDKLCSKGGGSIKTIEIIEPPKPPPAQPSQKSKEIEKTNQTKESEKHTTSAPATAPSHAPAPKQPGPPPPVGPKQPGPTPPAVPKQPGPTPPAVPKQQGQPPPAVPRQPGPLPQPVPMMPQGQPVAMCCWPYYDGFGGGPAFYGYGMLLPQPYEYYGRPVYDSWGGGPPPGYRPCHLTRCNFFSEDNPQSCSIIEIRDQLFDEKSNIVIIKVVCCSPERIMDKLCSKGGGSIKTIEIVEPPKPPQPQPQAQPPPQKPKDAPKAAEKPKEAEKPKAAEKPKEAEKPKQPEKPKDAEKPKQPEKPKDAEKPKQPEKPKDAAPKPAPAPAGPSPSPAPKQPGPPPQAVPMMPQGQPVAMCCGPYYDGFGGGPAFNGYGMPPPQPYECYGRPVYDSWGGGPPPCYRPCPLNRCDYFSEENPQSCSIM >A04g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17482416:17482998:1 gene:A04g507220.1_BraROA transcript:A04g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFSDLQTGHSSSSVEDGLLRFWESRIFHRGRELMGVDMLLLNSQRRKLKGGLPGRSGSSTAKILGKDMESRQKGLLSGEGTPETVSGRQDRVYSVLKRGRR >A09g514410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42946368:42947879:1 gene:A09g514410.1_BraROA transcript:A09g514410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITVRHRGGGHKRLYRKIDFRRNTKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAISSSTFRKPYALEEACTVWEGVLIDQKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRSK >A06p044710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23994696:23997973:1 gene:A06p044710.1_BraROA transcript:A06p044710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILGKYRSRSSCQYQKLSHHQEKVTTKHNLGKKLEGRSKGFRLNRPRRLVLKALVLPRRILSIYARITDKMNREVRSNTFKDGSLSVKEADETRRNYFSEGEGYMRSLVCKKMWWVVHGRTNKRNLHRDCENKSICDLGKDLDEILKGRLETIEEEPEAEERERLGESQKPMLVKAKMKVEKCKTIVKAKMKSGQVFYMLCIIGLASRRASTRSLCLIIAPFLFLFLTVLASTEPPPTPILRHDNQSSDLVSAIADMIRESYNGFGTLLLLHVLNDTNFYNNQEITFLMPSDDHLSQADMSQESLETFILRHTIPAWLMINHLLRFPNKTLVPCSIPDKMFVITKSGRSGGLYVNNARIVSPNICRNSRVACHGVSNVITFTEDSLSKAMLSSPVLSSVPERITSSRH >A03p017640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7186082:7186390:-1 gene:A03p017640.1_BraROA transcript:A03p017640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVRMSSEKGVVIFSKSSCCLSYAVQVLFQDLGVHPTVHEIDKDLDCREIEKALMRLGCSTPVPAIFVGGKLVGSTNEVMSMHLSGSLVPLVKPFQASLC >A10p026340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16748099:16749277:1 gene:A10p026340.1_BraROA transcript:A10p026340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLFSLTLLFSLALLTTPTLSLYEPDPDSDSISSTVYELLPKYGLPSGLLPDSVTNFTLSDDGRFVVYLSKPCTIEFDYLVYYEKTISGRIGYGSITELEGIQVKRFFLWFDVDEIKVDLPPNDSIYFKVGIINKKLDIDQFKTVHSCGDDGVSGSCGGSWMSFLQIPEMMNEAEMLITE >A01g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16689379:16689939:-1 gene:A01g505640.1_BraROA transcript:A01g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTTSMAKFGLNQLDNGRPNRIKCLGFENWGKHNFFFSRVVRDKESASAILLRFFFLIFDSSSLCSIRLPLLRSSLAKNNSNPFDGQKQAFVVVGRVSTGIEAKLKVLYGSGARKFARSCCSSWLTSHEGIVSLSSLEFVCSQ >A01g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18768132:18770533:1 gene:A01g506480.1_BraROA transcript:A01g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVICEWGWGSWSNRFHTELQHKSEEGMLIDKDSDNLILPSLDMLIPVIQHFQHVGSSLIPAALKNLDSVKSQDLRYRTTTLSTNHGTMRSLERGSQGCTIARAGDNVAIALQENDANQVMSGGVLCHSYYLVSAVNALAGNESHKMLKAWRSKMQI >A01p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18394675:18395948:-1 gene:A01p028310.1_BraROA transcript:A01p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMVLGEGPVMAFELRRWFLWYLHLRYHQSLTDKEKEVWITDKALTVVLVLRRRRFKLRYFVYNVGPNGLKNCQEMILMSLENKLDNMEIVLMCKLRSAPFLRFPMLRLSIIVIYAGVDEYRSHAGLNLQGLCKSWVGIGQHFYGQHPTRACTAKTRIDRPSPHEFRPSFFFTLLRQIEVDTDVEIPPPPTQSSQPRKQSSFGSGSVSKSKKTMIQSSISDGIASSSKASQGRKN >SC122g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:85014:85993:-1 gene:SC122g500040.1_BraROA transcript:SC122g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVYRNGLDMLPMAFFLQDDHERVENDQEQLLDATGEHTFFLKRLNSQMNMKLLLGLEMAWELYVCVKPKWDVVLDLVFTILVMVSDWLKESGKRSLDGSDCPCLKWMDKMQSCVFHGQKVLFLLTSYFPASNRSRPAPLLSIPNSHKLR >SC244g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:7677:11483:-1 gene:SC244g500020.1_BraROA transcript:SC244g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVGVEYHLLSSVTHQTRRSIICYHIISDRVLIDILKPNPCSASTMAGDQKGELSKKEKLFLEEFTANSIRKAISQAFRDVEKQFKQSKTISPSLEVQNQAPSSTVSELKDAKPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKRDVIEEEAPMASKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTREVELSRHELGMEHVVFEPGGELWNHRNNPIVIEKKSAATTIDFGDLLPSEAKGMHVSAQQEFHYETNWRMLHTLSWIQQTRKRSKWPPDHQDIINSAKHIGLVKFCELLISDWGGRIQFYLWKLGAYASILIILGECSARGRTSWGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGNYLDSKKRMKPDLLSIGTGQTVLSARIFEKRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLASNWNHVQSLSNERVMGSTRRVILCLLCLNFSEFRTSQSYLWRPGEHAKVTNHVFKSSFIDYTDMMHLFLRKESCAEYMEALKHTKGKNKREEDKRFKPPDLSQERHQDWSLFQPSLYKLVAIFFYHKAIFYQKPFSLFSLVLDELKCVWCVISSLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLESFD >A04p012800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3954767:3956014:-1 gene:A04p012800.1_BraROA transcript:A04p012800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIS12 [Source:Projected from Arabidopsis thaliana (AT5G35520) UniProtKB/TrEMBL;Acc:A0A178UCY0] MEGSKGQAVFDSMNLNPQLFINETLNTVEDIVGEAFDFYTLEASNLLKIDDNRSLELAKGIDRVRGMIQSVLEDRLRMWETYCVRYTFAVPEDFVLPESDGQNIQPLPNDQELDAELDSLRRKLHSERNRSVELNSELQALERRSVSNEQSAILVNEVSKLFDESSVNDMFKEMTKVASELRAGINDLKTRRMKAADGATVQNNGNDFSATAPDAKIEELGKFLAELKKM >A02p058270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:35002416:35003954:-1 gene:A02p058270.1_BraROA transcript:A02p058270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTAVLVLFLILGFILIFSFSTKALKPQTESSTRLKSYPLIGSILSFKKNRHRLLQWYTDLLRLSPSQTITVELLLNRRTIVTANPENVEHILKTNFCNFPKGKPFTDLLGDLLGGGIFNSDGELWSSQRKLASHEFTMRSLREFTFGILREEVETRLVPVLSSAAAECDGGRTVDFQEILKRFAFDVVCKVSLGWDPDCLDLTRPVPVLVEAFDVAAAISARRATEPVYAVWKLKRLLNVGSERKLREAIKTVHMSVYEIIRAKKKSLKINGNVSDKLDLLSRFLAAGHDEESVRDSVISFIMAGRDTTSAAMTWLFWLLSENPNVEKKILEEVRNKGSLGLGVEDLREMSYMKACLCEAMRLYPPVAWDSKHAANDDVLPNGTQVKKGDKVTYFPYGMGRMENVWGQDWDEFKPNRWFEEEPNYGTKPVLKSVSSFKFPVFQAGPRVCIGKEMAFMQMKYVVGSVLSRFEIITVCKNRPVFVPLLTAHMAGGLKVKIKRRESISDVPI >A01p004760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2046551:2048578:-1 gene:A01p004760.1_BraROA transcript:A01p004760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAVSGPMDLPIMHDSDRYELVKDIGSGNFGVARLMRDKLSNELVAVKYIERGEKQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIHRILNVQYAIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPVDIMNDDTMNSQFDESDQPGQSIEEIMQIIAEATVPPAGMQSLNHYLTGSLDIDEDMEEDLESDLDDLDIDSSGEIVYAM >A09p005560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3039621:3041124:-1 gene:A09p005560.1_BraROA transcript:A09p005560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRIRLATNTSFSISGVTRLLSSGAATATLKEILRNNSVPSLLQQRVDSGHPVTLSELRFISKRLIRSNRHDLALQMMEWMETRKDVNFSAHDASLKLELIIKARGLKQAEEYFEQLQGSVSAARSAYLPLLRGYVKARLVQEAEALMEKLNLLGFLVTPHPFNEMMKLYEATRQYEKVVMVIGLMKRNKIPRNVLSYNLWMNACCEASGVGAVESVYGEMVGDRSVEVGWSSLCSLANVYVKVGFVEKAKLVLESAEKKLNRSNRLGYFFLITMYASLGDKEGVVRLWEGSKSVSGRITCANYICVLSSLVKLGDLAEAERVFEEWEENCCNYDVRVSNVLLGAYTRNGLIREAESLHNRVLERGGSPNYKTWEILMEGWVKCQSMEKAIDAMHRAFELMKGCHWRPSQRIVLAIAEYFEKEEKIEEANIYVRDLHLLGLASLPLYRLLLRMHEHVRRPASHIYEMMKLDNIRIG >A07p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27639945:27641736:-1 gene:A07p052400.1_BraROA transcript:A07p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRWQAPLCSSYIGYRFWFDSAVCETVVRENPVVKATNVKRGGDLMEMKGQRITFSGQILNYENTVAQVVELLGDENTAADLRSIYLNEHNMNMCHKVIYLGFHVGYKVYILAMFERIGTFGKANSRFRTNKKVKSFWLGLNEFADLSHEEFKNEYLGLKTDIERGDDERSYQEFAYKDVDVEALPKSEVINKIVTENLWKNGGVRKEEDYPYSMEDESEMVTISGQQDVPRNDEKSLLKALAHHLSVSLLILLGVFDGRCSVNLDHGIAAVVTKRNTYKLETLCGINKMASFLH >A09p008770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4524274:4525904:1 gene:A09p008770.1_BraROA transcript:A09p008770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLMSSSASVLVPGSFQPLTSFQFRPLRRHQHAHLHCYHSLHRKTDKIASLHVSFRDHKPREVSQKRAYLPLATSEDDPETLSQPEDTPRDDSSSIQHNGNGGKPGFISFYNPRNKAEDLLIPPEEAQSTWGRLLWLIGPTVLVSSFTLPPIYLRRIVSAVFEDSLLTDFLILFFTEALFYCGVAAFLLITHRSKTSSTRTNPSQLGQTISSVATLVLSLMIPMVTMGFVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSSLTIPIIFQVYRLHQLNRAAQLVTALLFTVKGAEATVNNLEIKKSLGMLLSVIQVLGMISIWSISSFLMWLSPPPPSQNQS >A06g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20213203:20215564:1 gene:A06g507310.1_BraROA transcript:A06g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTVDWKKEEVLRAFCLQEELRKRKVVQEVIIVSPCLINDYMLGLHEIFLLVEFALAALSDTNIEEIFHFAFSGAGEALYRWSWPPLLRSLLFTLLLAPCFCSLLFLVMLCEGRRSRRRTRRGPSIWLSVLVLSASLPYLLFCSPAMSREESPTAAVLCFSGVNSVEPLCYRRRTSHTDGFVQALQSAMEHNVHHLSSLFLHQGRAESKIFSGFAPLHFRRQIRSIFRPDPILFGKSSTSLNFRVMCSSTTLQPCFHLLVNLVSDVGGNPLRHPALSHLFVNLVSDVGGNPLRRPALSHQKLVRSCCPSTTLIFSSVAESISLPCLFSMNGENFSDSFLSFSFSLLTGLLPCGAVCTGPEGAIETTSVFLVGEDCFSTSLVTIFQLSDFVVKAFPTHSSTISNSLSSSVEDLSRLAYLCIAFYAYGQRGWIIPSFYCMEED >A08g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4350422:4352501:1 gene:A08g501790.1_BraROA transcript:A08g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQKEVTDSAETTHRSSLKLEYMDEYQNGAENMYFEVRKKETSKKKRRLSAKKRKCRRRLVFAIITDIELVAIYTCRLRPCFSFSDPVLPVSIFTVVVTTTACHHTGHLSLFQSLSLFLCKVDKAEELDGGGDNKTVVWLEDFGALMVTGLRWRRKKTIMTSRLS >A05p052810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30531252:30534266:1 gene:A05p052810.1_BraROA transcript:A05p052810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:Projected from Arabidopsis thaliana (AT3G05030) UniProtKB/TrEMBL;Acc:A0A178VK10] MTMLASLYTSLTTKMLSVSTSDHASVVSLNLFVALLCACIVVGHLLEEHRWINESITALLIGLGTGVVILLMSRGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMAFGAIGTIISCTVISLGAIHFFKKLDIATFDLGDILAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHLNHEAAFHFLGNFLYLFLLSTVLGVATGLVSAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFALSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFLAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILMGLVMLGRAAFVFPLSFLSNLSKKNQSEKIDIKQQVVIWWAGLMRGAVSMALAYNKFTRSGHTELRGNAIMITSTITVCLFSTMVFGMLTKPLIRHLMPHQSTTTSMLSDDNTPKSLHMPLLDGEQQDSFVEFSGSHHDVPRPDSLRGFLMRPARTVHHYWRQFDDAFMRPVFGGRGFVPFVPGSPIERSTHDLSKP >A08p004050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2348004:2349897:-1 gene:A08p004050.1_BraROA transcript:A08p004050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTSKFTLIPFVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEDDEGEEY >A03p012570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4983732:4985067:-1 gene:A03p012570.1_BraROA transcript:A03p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAQLILLGLPIFLFCSDLFNLFTPPPPKPPPHRPHQPPPHVPHQQRPAGFTPETLDFPSQKQSGLGAVGYGNTVEINFCVSCSFKGTAVTMKKMLETEFPGLDVILANYPAPAPKRLLAKVVPVVQMGVIGMIVAGDRVFPLIGIAQPPAWFNSLRANRFGSMASTWLVGNFLQSYLQSSGAFEVLCNGEPVFSKLKEGRFPGEIELRDLISKKLTRPSIVSGSY >A04g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11655876:11656901:-1 gene:A04g505470.1_BraROA transcript:A04g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILHIHGDQCGNQIGAKFWEVICDGTVLTTPANTSATLPSSSNEAEKSDCLQGFQFCHSLGGGTGSGMRTLLISKIREKYPSIDCLKSSSTWCSPIRHGSLMIMPSTPLAWRFDSAEFTLKEDYNYFFLN >A03p046870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19847534:19848501:1 gene:A03p046870.1_BraROA transcript:A03p046870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor 5 [Source:Projected from Arabidopsis thaliana (AT3G24810) UniProtKB/Swiss-Prot;Acc:Q9LRY0] MTLTKKNAAEKTNSLDTFHISLLFSSLKKPFPLSFFSFSLLRLKFTFFSSSSSFPSFHSQPEIPSIIFPNMGRYIKKSKIAGGALPAKDISHQTASSFRTRAAKNLALQRLRSHSTPPCVDADSFRYLQLRSRRLVKLPLLADTRKQQQKQLINSVGKRQTTNPRANSVLSSEPTNLEEDCGSNLVNSGSGCSFGEKGLEFESGDRETTPCSLKRDSEEAIQSVPSHEIEDFFAFAEQQQQRFFTEKYNFDIVSENPLPGRYEWIKVVP >A08p035560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20952137:20952999:1 gene:A08p035560.1_BraROA transcript:A08p035560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRGPISTPPPSLRLRATSNPQNAAVQPSQAAFPSATQRQPPIYSITDEDLQSKGFLLRRTPEGLNLDHLNSVFVAVGFPRRDTAKIEVALRHTDAMLWVEYEKTRRPLAFARATGDGVFNAIIWDVVVDPTFQSLGLGKAVMERLIEDLRRKGICNIALYSEPRVLGFYRPLGFVSDPDGIRGMVFVQVDLAWWRKATEQRMVVMRAKNPNPIIREIPATIRSIDVCTLIPIIFCYKMLS >A03p056330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24355119:24355752:1 gene:A03p056330.1_BraROA transcript:A03p056330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLTPHRHPMCVEIIEEFQKCHLDHPIGKFFGDCTELKVKLLHCFRQEKAVKRKVNFEQSKKLQERLKAIRKEENAET >A06p057910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:30068984:30069502:1 gene:A06p057910.1_BraROA transcript:A06p057910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPKVFFDISINGQAAGRIVMELYTDKTPKTAENFRALCTGEKGVGRKGKPLHFKGSSFHRVIPSFMCQGGDFTAGNGTGGESIYGDKFEDENFERKHTGPGNLSMANAGANTNGSQFFICTVKTDWLDGKHVVFGQVVEGLDVVKAIEKVGSSSGKPSKPVVIADCGQLS >A05p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1157168:1159414:-1 gene:A05p003200.1_BraROA transcript:A05p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKAGKDGSDLKDESCGLVGSEFLAEVPDWSVITSESDSKDPVGNADSNKMLTTLVSAMGECAFSIVREPEPQYFDEDFLHDFCISTLKIISCIVEDVIASLWISPPELKHLFASFHETSVALHNIKHFKNVSMAFELCIGTVWNCVRLICLKFVNVESNSSEDFFLSAEAIIDFVSEACSKYAFYLDVLELHMEQKIEKLVLFILENWSEAKNLITRLRDMLPCIILYLLFLVYVCSGLFRANQLKIANILLKDVFITKDLFVERARVLTWKARMTTTNDAEPLKECNHETLCCFQLIIAYFLRALCIQETEPQFKSSDIISLENVLSLLFNVMDLVSTKRRIELHQCIYDLMFRIFKWKNVSKAKSIGFELSIHESDITIDEINRTASKLVSSVRN >A07p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3856239:3856693:1 gene:A07p002400.1_BraROA transcript:A07p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISAIKAEAAPAVNKQKDNSIEKERSDTKEIIEGLADRLQKMEEKMDLLISLINSNTERESYEEPCKKKAKTDAKTQTKESTSDDSSEESDENKSDSRLFSARGRGRGRGRGRPGLYAGGPYAGGRCGGAGFCGKC >A06p053400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28156250:28156672:1 gene:A06p053400.1_BraROA transcript:A06p053400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTIVLKIRMRCDKCRTKAFKIIAGTFGVMSVRLEREQGKLVVEGEQVEIAVLAQTLTKKVGRTEIVHVSEY >A10p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9870359:9873197:-1 gene:A10p006740.1_BraROA transcript:A10p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSETLDLVVTVVSAKHLKNVNWRNGDLKPYVVLYLDSDHRVSTRSDDSAKPVWNERITLPLTRSVHESVLNVEIFHSDAAKPLVGSVRFPLVRLVDSEGAMVPESISSLELLRPSGRTQGKIRLKLTIKERPIPPPQRPQSQPRDYYSAPQGNHYYSPSPPPPPPAPITSPSPHRDYREFPPSPSPSPYPFTDHYYSGFYYPPPPPRSMYDRASNYGQPSAPLDLPLAPPRFPQPSGPSAPVEAFQMNEYKPQAGSRLSSYGVPSGPSAPVDYSPYDHRQLQKTMGGLSLEEERGAAESDFGARPSFSYGREYRPTGTKRISTSTRPVPRDASVNQTAVKGPHGGKIPGCTTSCGLRLPRKTEVTAARMIKQLGCKFAKGLRLVVMRKKRKSPPSKGSSSFSSGRSQPSIMPISNDNHRSEAIEDCIEFINSSSSFNRSNSTC >A04p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13174135:13186698:1 gene:A04p021800.1_BraROA transcript:A04p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDHKPPPTTEEIRQKMISSFTTEITSSSEQVAILILEAHQWDIAAAVSAFRDAVVAAADAASTARENAHSTIRLRSPRSPSRAFSPSDGNILSDSDEKEYDDAMESDDVDRDLPSSSSSLASLPRRLKFRSLSEILSVTPQVIPRTVTLYRNGVTFDDDNILWPLDDPQCAEYLEFVESLESPRALDSPGGTRRVLITLIRRQQEDFHEPPKPFQGVGRTLAEPDSVPPASSNSLTTEATSSMDPTAPTTSIKVILADGTPIVSRFTTTHHTIRDVRDFIDAATPGASRDYQLLIMGSSPPTPLTTDLDQTIDQAGISNSVLTLKGESLRRRRRKERKKRSEEEVSFAMGDDDHKPPPTTEEIRQKMISSFTTEITSSSEQVAILILEAHQWDIAAAVSAFRDAVVAAADAASTARENAHSTIRLRSPRSPSRAFSPSDGNILSDSDEKEYDDAMESDDVDRDLPSSSSSLASLPRRLKFRSLSEILSVTPQVIPRTVTLYRNGVTFDDDNILWPLDDPQCAEYLEFVESLESPRALDSPGGTRRVLITLIRRQQEDFHEPPKPFQGVGRTLAEPDSVPPASSNSLTTEATSSMDPTAPTTSIKVILADGTPIVSRDVRDFIDAATPGASRDYQLLIMGSSPPTPLTTDLDQTIDQAGISNSVLTLKF >A09p078390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57999078:57999751:-1 gene:A09p078390.1_BraROA transcript:A09p078390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELSFLISVLIICADISAGVLGIEAEIDQRKQQHHPNHQHGCRRNPSSGAFAEGVAAIVLLSIVHVTANVLGGYAYNRSKQDIKRATANKILAVAFFVISWIFFAVSYSTLMVGTLANSRSNRYCSLRSRWLFLIGGIFCLAHGLVTSAFYVSALAASKEDKENVQQEDPAN >A09p038940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000030.1:268936:269394:1 gene:A09p038940.1_BraROA transcript:A09p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSIQLPVMAKEITKIIEFAVVDHPAMLNAMQAVPSTYHLGLKFPTPSKVAAIWGCQKQSRLCFLAEHKLRQITTSAAANGKRTKIDRSSAKNAPRKDELKSSADANASDVETQHEFEVHATTQPEHLENSANPATIDMVKADITTSTAE >A03g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25763604:25764773:1 gene:A03g507280.1_BraROA transcript:A03g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGRYLIGVAGASGFGSKSTADEGTESCDLRSTTAIITGATSGIGAETARVLAKRGAKLVFPARNVKAAEEAKGRIVSEFPEAEIVVMELDLSSISSVRSFVAGFESLHLPLNLLINNAGRLAHEHAISEDGIEMTFATNYLGHFLLTNLLLKKMIQTAEKTGVQGRIVNVTSGIHGWFSGDLIEYLRQISQPKW >A07p038670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20569883:20571150:-1 gene:A07p038670.1_BraROA transcript:A07p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANKTSREIARSNSCVFPKDLLQRFISNSAEGEDGGDQEEEDDEEIELNLGLSLGGRFGVDRSSKLVRSSSVVVTMPLFREPETTKPAETSVAMARRTGLTRTTSLPAESEEEWRKRKEMQTLRRMAAKRRRSEKLRTGGGNSTKSAEANNNPGEAATTSRRRGRPSSGLPRWSATAANSGGLLRQHSAGHESLQGSLESQGGGGGGGGVGSSSSVSEMETKLNQASSEEARSLPTTQQQQEAIAKPNTTRLRRLSSVDMKIEPPQGKGKNEMPCVFTKGDGPNGKRVDGILYRYGNGEEVRIMCVCHGDFLSPADFVKHAGGPHVDHPLRHIVVNTSSPSNLL >A03p065230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28466339:28470423:-1 gene:A03p065230.1_BraROA transcript:A03p065230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFTDDEGKLTLCVAENGHSFAFECSETTSVESVMRFVESVSGISFSDQLLLSLDMRLEPQKLLSSFGLPAGDREVFVFNKAMLQSNSHPPSPEDVDLEEVVDALPPAASLHEHHPLDDASDPALKALPSYERQFRYHFLRGRAIYNCTVLKHENCERFAREQKVQQRAVEVATANLEQYYRVIYQNYLEFMKRYKHQHRLHSDLLMNFGRDVEKLRSVKVHPCLQTDLRKCLLDFVKEDKLSQAVESCGSSHRQFENKIAQFQQMFVEVKRKVEELFACRASLSMENLEVTVKEHQHFINEQNSIMQSLSKDVNTVKKLVDDCMSSQFSSSLRPHDAVSALGPMYEVHDRNHLPQMQACYDSISELLEYFKNKKNEMNTFVHTYMQKITFVTYIIKDAKLQFPVFREAMVRQDDLFADLKLVRGVGPAYRACLAEVVRRKASMKLYMGMAGQLAEKLAMKREAEVRRREEFLKTHGHFVPRDVLASMGLYDTPTQCDVNVSPYDTSLISIEMADVDRYAPEYLVGLQSKIASSSSSAETEEIGVDTSKDSFDDILEASELVEIAGTSKMEVENAKLKAELASAISRICSLAPRVEYEEIDESEIENLLKNAAQKTEEALQAKDEYGKHLLSMLKEKQRHCDSYEKRIRELEQRLNVEYSQGQRRVNNKDVSGGLNLLHEKASGGLEGSKGHVSGSEPMDEVSCVSNHSSKQPCKAREGMDENMVDSSSLVLSHPLDSSMLESQQNNEKGGKDNLVGGMGVFLSNSSTAESPTKSLDTKHTDDIILELRNELMEKSSKLNETESKLNGVMEEVASLSRELEMNQKLLEESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNALRASSVRIRGLFERFRSSVCAGGGVAGFAESLRTLAQALDNSINDNEDDGTVEFRKCIRVLADKVSFISKHREELLEKCRNLDATIEQTRKELVEKKELVKTLYTKHQLGKQANKEKISFGRLEVHEIAAFVLNQAGHYEAINRNCPNYYLSSESEALFTEHLPNRPTYILGQIVHIERQAVKQPNLASSSMSTSSSGTTTTTTTNPYGLSSGCEYFIVTIAMLPDTSIHQQAS >A09p015040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7855678:7856802:1 gene:A09p015040.1_BraROA transcript:A09p015040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLGTHAGFTRRTLSPKGEDLLQLRRLRFLSGCVPERSMSPVRNLSSNIATTGSSLKVKGSTLNSLVPESPNLFSLELPDHAQPPEPPDPPDAPSELQYVPSSTTPAPVSLDMTYPSSPPISTDLCGTRARTFPSRSRRSSPPFSFISILHLLPTSITAMFLLLESKGPISCRQASIDEESSELQQFTGVLLSIPHGSKNMGWAKWFSLVGLDTFSSPSNSTSFIIPDNHAIKGNLVLYQKASTTSSLAWERSHSSSFPLWKRSLLSFFLSRKRLFSATASSVASPLAAETLALRNAMISALQCGINALLIFSDSQILTNLVNSRGRHLEIAVLLNLLSALFTAVEFKFIPRLIINRADLVARQALCLMYQS >A03p071830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31700894:31703115:1 gene:A03p071830.1_BraROA transcript:A03p071830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFVGSLIRSGEAGEVLYCGLEDKEDEAVDHLVALVRDDYPFEHNAWTGGVKADDMKVKRGHPLPTESDGEHESKEIDREYGQGQRSIRQDEAPIGGRPTSSGIADLVRQPAEAYEAQLLPIPSLPLCTKGNTGVNMYGGDMFSRYSPGMRSPSHVPSFPSRQSKNGTADTRVDVQDPPQIDELDGNSSIREKSGPCEMSPGDPKSDSPETLFEETVTGAMPKSSPRVDVFVAVSKVRLQPNELIYVRYCSSISYFYFRFNQYIFLTVQPSSSIPVIDGGNAVVSASAEAKTTFIQPHVPSSVISETDKPQARSTLLSSPLLAPLTDTPPSASEAVVQKFPLSTLLYAPLSAPLSDIPLTASEVINQPVNRGTVYAWFAKKDKCQVSADKYEILKIITKIGKNGVSPFLGYDGLRAEGEKRKPT >A09p002360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1643816:1645003:1 gene:A09p002360.1_BraROA transcript:A09p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFASLSLVPVLLLLLRWEPFTEAMINPVDFLALQAIRKSLDDLPGSNFFDSWDFTGDPCSFAGVNCDGDNVTALNLGDPRAGSPGLSGRIDPAIGKLYALTELMIVPGRIMGSLPDTISQPKNLRFLAITRNFISGEIPASLGELRGLRTLDLSYNQLTGSVPPSIGSLPELSNLILCHNYLNGSLPRFFSQTLTRIDLKRNNLTGAVTSLPPSLQYLSLAWNQLTGPVNQVLLRLNQLSYLDLSLNRFTGAIPGQIFAFPITNLQLQRNFFYGVVQPVNQVTIATVDLSFNRFSGEISPLLSSVQNLYLNNNRFTGQVPVTFVDRLLASGIQTLYLQHNFLTGIQISPAADIPVSSSLCLQYNCMVPPVQTPCPVKAGPQKTRPTTQCNEWRG >A05g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24089765:24093248:1 gene:A05g508260.1_BraROA transcript:A05g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSTRLSLTGFLFCLCLYAGNGVSAKEICVILELSSNLPRVLEVCTSAIPQAFLDGTDTNPSRLTERCLKLWYQEEMTKMNLTYHFGFMFVFDDLNVPNGIDYLTAHVEPEVLDLDPRLLHTTHLPRLTEAEKYLIKEAASITRDDLRKWNADCDDPMVFLTKLRPFVHDFLEEANKIFTIYTKGISRLR >A09p079830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58645185:58646320:1 gene:A09p079830.1_BraROA transcript:A09p079830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMQNPVFHELKKQASFFIKEKIKTARLAVTDVTEEELLTEEVTGSALSLIDARSMAVITKASFEVDQFQRIVKILRQRMLMFDRREWRGMYNTLTTLNHLLINGPLSVFKEFQHEKEIIEDVITIEWIDEKGFECGLKVRNIAEEVLKLLEDETFCKDERERKRKQSFGRITGFGSSSFVIHSETLSETNKGRDSSFLSDHQTCENDCNVDDHPFVEKEHNNTAKLLVSSST >A03p066010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29199214:29199832:-1 gene:A03p066010.1_BraROA transcript:A03p066010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATVDIFMATDIKWDPTGRYVATVVTSSVMEDGFYIWSLMGSCFTEHSRNKSFRPPSLLSEQKEKEVKKNLRQSVERYEEEDKEVLDLLSRQEMEKRRVMEEEWEMWINKWKQLHEEENLQRQNFLVREKKGKKSIIMRLLRKLSPWNHEQ >A10p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16812091:16814411:-1 gene:A10p026530.1_BraROA transcript:A10p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 7.1 [Source:Projected from Arabidopsis thaliana (AT5G19640) UniProtKB/Swiss-Prot;Acc:Q3E9B5] MAAMDPRNNGYVAPLNDKERDEDIEVGEVVEVQDNQSVASLKSNDSDLKKKTNDGDTKEKGGWTNALILLGNQGLATLAFFGVGVNLVLFLTRVMGQGNAEAANNVSKWTGTVYMFSLVGAFLSDSYWGRYLTCTIFQIIFVLGVSLLSFTSWFFLIKPKGCGDGNFTCDPPSSLGVGIFYLSVYLVAFGYGGHQPTLATFGADQFGDNDKTSKAAFFSYFYFALNVGSLFSNTILVYFEDKGLWTLGFLVSLGAAIIALAAFLGPTKRYRYVKPCGNPLPRVAQVFVATARKWSVVRPENHQELYEVEGPESAIKGSRKIFHSSKFLFLDRAAVITDDDRSGTRNNAWRLCSVTQVEEAKCVMKMLPIWLCTIIYSVTFTQMASLFVEQGDVMNASIGKFHIPAASMSVFDICSVLISTGIYRHVIFPYVRPTELMRMGIGLIIGIMAMVAAGLTEIQRLRRIVPGQAESELTILWQIPQYVLVGASEVFMYVGQLEFFNGQSPDGLKNLGSSLCMASMALGNYVSSMMVNIVMAITARGKSHGWIPEDLNEGHMDRFYFLIAVLAAIDFVFYLVFAKWYQPISHDEDSIKGTGVKRKAISELDQV >A07g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:828805:829691:1 gene:A07g500360.1_BraROA transcript:A07g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSEKAASRAKPAYVPTHLRNMQSEPVATLPQNDRPGYGGQPSRWAPGGGVGGGGGYRNDVGRTRYVPPPVNTFADIDFGEALNLNIRRWSVKTAAFCFPIISGIMRDQHLQRPRGSRTVYPLAIILSPTRELASQIHEAKTFTCQTGVKVIVAYGGTPINQQVIIMHM >A06p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2695990:2713919:1 gene:A06p007820.1_BraROA transcript:A06p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFDTVESGDATGNNFDDDGREKRTGTLMTASAHIITAVVGSGVLSLAWAIAQLGWVAGIVILVTFAVINYYTSTMLADCYRSDTGTRNCTYMDVVRAYLGGRKVQLCGLAQYGCFIGVTIGYTITASISLVAIGKANCFHDKGHGEKCSMPNYPFMAVFGIVEIILSQIPSFHKLSFLSIIATVMSFSYASIGIGLAMAVVASGKVGKTGATGTVVGVEVTASDKIWKSFQATGDIAFSYAYSSILDTLRSSPPENKVMKKASLAGVSTTTFFYMLCGCIGYAAFGNKAPGDFLTDFFYEPYWLIDFANACIVLHLIAAYQVFAQPIFQFVENKCNKAWPESNFITKEHSMNILFLGKCRISFFRLVWRTAYVIFTTVVAMIFPFFNAILGLIGAAAFWPLTVYFPVEMHISQRKIKKHSMRWIGLKLLVLVCLIVTLLAAIGSIVGLIKSLTALTCTLAYPVYRTLRGGGKDNGIETQWTSGVNSGGISRFLESVSLSLSLSETIKHAIRSQNRVIVEGNNLTNARFKICSSFSMFLELEAGLLFTATAVAYWNYFIRLEMKLKRAVDVEEEDYFAVWHCWKYRELQAARCGSIQGQCAYEQRGLKGWFLCTCSSFTHLLFDAVHNPSAVESADANVDDDGREKRTGTLMTASAHIITAVIGSGVLSLAWAIAQLGWVAGTLILVTFAVVNYYTSTMLADCYRSDAGARNYTYMDVVRSYLGGRKVQLCGLAQYGCLVGVTIGYTITASISLVAIWKATCFHKKGHGAKCSIPNYPFMVAFGVVEIFLSQLPNFHKLSFLSIIAAIMSFSYASIGIGLAISVVASGKVGKTSVTGTVVGVDVTASDKIWKAFQATGDIAFSYSFSTILDTLRSSPPENKVMKKATLAGVSTTTVFYILCGCMGYAAFGNRAPGDFLTDFGFYEPYWLINFANACIVLHLIAAYQVFAQPIFQLVENKCNKAWPENNFINKEHSINIPFLGKWRINFFRLVWRTAYVILTTFVAVIFPFFNSILGLIGATAFWPLTVYFPVEMHISQRKVKKYSMKWNALKLLISAHPKFKKFRDEIFEEFDDLKLIFDKNIATGTNAIGLGETTDAQTVRVAETEKEQANCGEEFSFDVQQNYESQSSFFCSPSDDTLEKLPLRKRQKTSPLNKDLCHLLSSLFMSPSPPLTMKSLDTLHNPSAVESGNAAVKNVDDDGREKRTGTFLTASAHIITAVIGSGVLSLAWALAQLGWVAGTMILVIFAIITYYTSTLLADCYRAPDPITGTRNYTYMGVVRAYLGGKKVQLCGLAQYGNLVGVSIGYTITASISLVAIGRANCFHDKGHGAKCTASNYPYMVAFGGLQILLSQIPNFHKLSFLSIIAAVMSFSYASIGIGLAIAKVASGKVGKTTLTGTVIGVDVSASDKVWKAFQAVGDIAFSYAYTTILIEIQDTLRSSPPENKVMKKASLIGVSTTTVFYLLCGCIGYAAFGNLSPGDFLTDFGFYEPFWLVIFANVCIAVHLVGAYQVYVQPFFQFVESKCNKKWPESNFINKEYSLKIPLLGKFRVNFFRLVWRTNYVILTTFIAMIFPFFNSILGLLGALAFWPLTVYFPVAMHIAQTKVKKYSGRWLALNLLVLVCLIVSALAAVGSIVGLINNVKKYKPFESID >A08p012750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11551910:11553578:1 gene:A08p012750.1_BraROA transcript:A08p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKITSMAVAKPLCSVSCLLLSSSLVFVVSLLFFISNSLTSDQNPRISLDTFNTGINVFVAELPRSLNYGLLDKYWSSSPDSRIPSDPDHPTRKTNSPKPEKYPPYPENPLIKQYSAEYWIMGDLETPPEKRTGSFAKRVFSESEADVVFVPFFATLSAEMELGNGKGSSFRKKSGNEDYQRQRQVLDFLKNTQAWKRSGGRDHVFVLTDPVAMWHVREEIALSILLVVDFGGWFRQDSKSFSGTSFPERIEHTQVSVIKDVIVPYTHLLPRLDLSQNTRRHSLLYFKGAKHRHRGGLIREKLWDLLVDEHGIVMEEGFPNATGREQSIRGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMIDYSEFSVFVSVSDALRPKWLANHLRNFSERDKETFRSRMARVQSVFVYDNGKADGIGPIQPDGAVNHIWKKVHQKVPMVKEAVFRERRKPAATSVPLRCQCI >A03g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29253077:29253902:-1 gene:A03g508640.1_BraROA transcript:A03g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFMDLEINDALAMEICRDTSINSRDGWHSSPALARIGAQNPNDMTEETGTLDGSKVRTSQPRRSRADAEAS >A02p003650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1661592:1661888:-1 gene:A02p003650.1_BraROA transcript:A02p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEFENLHWQGFSCLSCSKTTAVDRQVRDSLLSIPPSPRYRIIMWKMFLLSLKTIASTTMALAGILNF >A08p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8559529:8560957:1 gene:A08p010370.1_BraROA transcript:A08p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGQPEFDYLFKVLLIGDSGVGKSSLLLSFTSNTFDDLSPTIGVDFKVKYLTIGEKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFKNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVSKKEGIDFAWEYGCLFLECSAKTRVNVEQCFEELVLKILETPSLTTEGSSGGKKNIFKQNPAQTSSTSSSYCCSS >A06g504590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:14177283:14177807:-1 gene:A06g504590.1_BraROA transcript:A06g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHRWDPGIDGGVVFGKRNHKGRSKGISFRGSSSNLRCRGSGTGNWFKRIFWKSRTSAKWISILAIIPVNSHDYSGIIFDYSSIRNLIYYKENGGFNNGFDLMEIWRLAICGGLTGTGGLEWLTGKWVLWLVSLNQPQKTELIIETDLKENHILAGADVRRLIWHVEEFSVFF >A07p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10624801:10625230:1 gene:A07p017560.1_BraROA transcript:A07p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHVFVISILLMALLSPPSQSHGLTILGYFIRGVVIDVAVLSEALTDINGLFRIALSYFETILFNPSFCGFGFTLPAGTCGIAAPDTVLSTPLNLVGLIDNNNINIALYTANLFINSTG >A04p011610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6639996:6641663:-1 gene:A04p011610.1_BraROA transcript:A04p011610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL24 [Source:Projected from Arabidopsis thaliana (AT4G13190) UniProtKB/Swiss-Prot;Acc:Q1PE89] MSCFLGSSTNSKSRENEGSSMVAGYEQQNPQWNDRGQITSWESVAISKESPINIEAKSYTFRELAAATNSFKQEFLIGEGGFGRVYKGKLEKTGQVVAVKQLDRNRLQGNREFLLEISALSTLNHPNLVDLIGYCVDGDQRLLVYEFMPLGSLEDHLLVKPDVAPGQQPLDWNTRIRIVLGAAKGLEYLHEKANPPVIYRDFKSSNVLLNRDFEVKLSDFGLAKLGSVGDTQNASSRVTGTYGYCAPEYQKTGHLTVKSDVYSFGVVLLELITGKRVIDTTRPSYEQNLITWVQPIFRKPNRFPELADPLLRGEFPEKSLNQAIAVAAMCLHEEPIVRPLISDVVTALSFMSTETDSSSGFTGSVLKFQPSSGKLQTVEDLLQY >A03p049610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21246380:21250564:1 gene:A03p049610.1_BraROA transcript:A03p049610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNSSYNFTFNDVLFSSLSSSSDPLVTRRLFLLRNVQVLELLIALFAFVAIHSLRQKKHYGLPVWPFLGMLPSLAFALKGNIYEWLSDVLCRQNGTFRFRGPWLSSLNSTITCDPRNIEHLLKNRFSVFPKGSYFRDNLRDLLGDGIFNADDETWQRQRKTASIEFHSAKFRQLTTHSLYELVHKRLLPVLETSVKSSSPIDLQDVLLRLTFDNVCMIAFGVDPGCLGPDQPVIPFAKAFEDATEAAVCRFVMPTCVWKLMRYLNIGTEKMLRESIKGVDDFADEVIRTRKKELSLEGETTKRSDLLTVFMGLRDEKGECFSDKFLRDICVNFILAGRDTSSVALSWFFWLLEKNPEVEEKIMLEMCKILRQRDDNGNGEKIIYAPVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVQEDDVFPDGTVLKRGEKVIYAIYAMGRMEAIWGKDCREFRPERWLRDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKSTAAAIVYRYKVKVVEGHRVEPKLALTMYMKHGLVVNLINRSDSEVDRDLIYINTYTHTHKPIMEFDYEKLVSATDGFSPSRLIGKGSHGYVYEGLLHEEDDHKRQRVVAIKTPSLLSSRSQPSSVITKTEQMKKLEDEISVMSSLPYNPHVLTFLGHAEKRLMVVEFMPNGSLHQLLHHVSSSTPPPTWLKRIEIALKIASAVHFLHEQDILHRDIKSDNILFDSNWEPKLADFGLAVDLAEKKVPAPAPAGTIGYLDPCYTSPENLSAKTDVYSYGVVLLEIVSCRKAIDVSRSPASIVDWALPLIEEGKIGEICCGGGGGGGGGSGVFMETNLRLLRMAARCVSSDVESRPSSGEIAAEMVACLTEPVRSLPLWVSFFRGVVKLKRRKKRLRERMTWPGQTCRVR >A01p021940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10853209:10854627:-1 gene:A01p021940.1_BraROA transcript:A01p021940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNVLHLLLVQVIVLLPLLCLSDDFVSSRATYYGSPDCKGNPRGACGYGEFGRDINNGEVSGVSSRLWNNGTGCGACYQVRCKIPPHCNEEGVYVVATDYGEGHGTDFVFSPKAYGRMARPGTEDQLYSFGVVDVEYQRVPCKYDGYNLVYKVHEKSYNPHYLAVLILYVGGVNDILAVEAWQEDCKEWRRMRRVFGAVHDLQNPPRGTLTLRFLVYGSAGINWIQSPNALPADWTAGATYNSNILLT >A03p038580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16085039:16086852:1 gene:A03p038580.1_BraROA transcript:A03p038580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIB-2 [Source:Projected from Arabidopsis thaliana (AT3G10330) UniProtKB/Swiss-Prot;Acc:Q9SS44] MSDAFCSDCKKHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLTTVISKPNGSSGDFLSSSLGRWQNRGSNPDRGLIVAFKTIATMADRLGLVATIKDRANEIYKRVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMTNQTVKAAQESVKKSEEFDIRRSPISIAAAVIYIITQLSDEKKPLRDISVATGVAEGTIRNSYKDLYPHLPKIIPAWYAKEEDLKNLQSP >A08p016710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11817014:11819267:-1 gene:A08p016710.1_BraROA transcript:A08p016710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSPIPSSASQRRLRFSKSYFQALGVTGVGLEVRLKRSGETTITRLVPSNSNSNLEIVKTEPGETDSSVSSSNCICLALAFTLCVIRRGVCGTFCCCQLSKDRKEIIQGFGHWWGRSQGQSLGYFSVVSLLLSVYLICFVARDSVTFDASEVLVAAGAASQNNEGETQVIILYVERNYILRLLDISHNSLLICMKIKGCGGMARKICFLRLNVKGWSSFKEFIDKEVYKDLADVEVKLGELEAELSESNVVNDKLQRSYNELPTDPTKQVKLGFLNGLVPCNKSMVFQRILFRAPK >A09p051850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45576668:45577653:1 gene:A09p051850.1_BraROA transcript:A09p051850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWMKGDLLSKSRRLVGGLAMREPVWLKAMEASPPPVFPRSNGNLKKIVLPEDSYVRRFARKHPEAKLVDPIKASAFIPDPARVYGCRVLELTKNGISEDDAMSVANMEYLAERKEKKKAYKRLKELAVLQDKTPPPKPYLSSKKEMQIQEKKPPTDPPSVRRLVNQLKQQKEVLLQDKPGGTANQDHWIDE >A05p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24436098:24438558:-1 gene:A05p039970.1_BraROA transcript:A05p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMHREDVESVTADKKVSDGGGGGGGGSSGAQASSFKFNAEAPEFVPQSRAAVATPQVSPISGYFYPCFHYSDGGGTAVGTQASDWFFVGGGDPAQHPHVHDPAAAYYVPSPAVQFPVNQNSSPSSSLLSDDLRLKIVKQVEYQFTDMSLLANESISKHMNKDPEGYVPISYIASTKKIKALTSNHHLVSLALRSSSKLVVSEDNKKVKRKVPFTDRDREDLQGRTVVAENLPDDHSYQNLEKIFGVVGNVKAIRICHPPESNSSRPKGDFLMSNKIHALIEYDHTVVADKAVEKLNDERNWRKGLRVRLLLRCSPKSVLKHRRNFDGILIDDESPYESGEDSPRLHLTEHQLDNEGDENTLGGLWGKGRGKGRGRSPRSYVVGGGERSFGIGMGLSLGPMSPSLGLHGSSSPKTATKGPRMPDGTRGFTMGRGKPVNLSLI >A06g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3029656:3032164:1 gene:A06g500740.1_BraROA transcript:A06g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVTAAQAERFFSKLIEKEGDSESNEPVVYDNGVTYMFVQHSNVYLMIASRQNCNAASLLFFLHRVIDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEARILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLQFKKNEVGAYGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQIERHSRSRVEMLVKARSQFKERSTATNVEIELPVPTDASNPTVRTSLGSAAYAPEKDALVWKIKSFPGNKEYMLRAEFHLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKVIEKSGYQALPWVRYITMAGEYELRLM >A09p050190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44155103:44156319:1 gene:A09p050190.1_BraROA transcript:A09p050190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQLTKSSYMDSLKILEADIEHANGLAAEIPMGKSGVRLQMKLVCSNLAPFFIFLLQWMDFSCLLPRYFDFFHILIYKVRSDGRWNLSRYGRKATIREFYGVILPSLERLHVNFSDLPGDSLWYPNPKAITKKNYDTEGNRFIMTNNVDSEREEECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSIKRVNSEDLWVLTCDEDVVDPETVTKEDLLRFYLHINSLPKDYPEAVFLGYNEYLI >SC165g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:77977:79769:-1 gene:SC165g500040.1_BraROA transcript:SC165g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRSPHLDREGGRESETQEDGQDGAGLSEEEEESVSVSHNQGEQSQEDEEHQS >A02p058490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35080505:35082701:-1 gene:A02p058490.1_BraROA transcript:A02p058490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFSVQEMLCFRSFENAAGLSLCIPMDATDDTLGPKSLVKTSEFIRLIIDALTSLGFNAIATELEKQSRIPLHSQAAKQFLELQKYLDSLKNEQVPEALNTIREEIQPLGLDLRLLHKLASKILKPDPVGEEETARAASSWSYSDLSLFSYHYCDKRKIPSETLQVLSEHTDEVWFLTFSHDGKCLASSSKDKTAILWEMDADGKFTQKHKLVGHEKPVVAVLWSHDDQQVITCGENEVIKRWDVGSGQCRETRAERVIQLHEKEMITSFSLSRDNKYLLIDLVTQEIQLWVIGGDEPFYLSEYDGHKRTRFIIRSCFGGYDEDFVASGSEDSQVYIWHVAKGLRPCRVLRGHSGAVNCVSWNPTDIHMLASGSDDRTIRIWGLAKK >A06p008230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2837084:2839450:-1 gene:A06p008230.1_BraROA transcript:A06p008230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPKSCVGSKLRSSKPRKSRKRRRKRAAAAAASSSSRLSDGSFDHPRNNLPTPTFRASGEEAWFESNAAFETDCDDDFHSVNDDTLSLGGGERVSVSSTTTTSSTGDSNSNEAMSQSEGGLNEPKQQLDSIDSSADEGGGGGGILENCRILPSNCLPCLNPISVVPCVDKRRALSSSPPSSRKKASIRLSYKWREGHASGALFLSKLQLKRPIAGSQVPFCPIDKKMLDCWSTIEPNSFRVRGKTYLREKKKEFAPSHAAYNPFGVDVFLSERKINHVAQYVKLPVTTTSTKLPSILIVNVQMPLYPTTIFQGDTDGEGMNIVLYFKLSDNYSKELPLHFQESIQRLIDDEVERVKGFPMDTTASFRERLKILGRVANVDDLHLSGPEKKLMQAYNEKPVLSRPQHEFYSGENYLEVDIDMHRFSYISRKGFEAFIDRLKICVLDVGLTIQGNKPEELPEQILCCVRLNGIDFMNYHQLNQEPL >A07p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3294493:3295860:-1 gene:A07p003410.1_BraROA transcript:A07p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNRTNRVEAHTCGLDVGERKEEEEENKQRKKDVVVVLSRETRETAVEVKRGQIALSPSQLARDAVLVSKLFSGARCKTKPTLMWVITSPIEIGMVIFRRVSGWTVVMQSMISWSLLGLEALLYSG >A07p047210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25383521:25387423:-1 gene:A07p047210.1_BraROA transcript:A07p047210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVNSKQTVSVTPAIDHSGVFKENENECSGSGRVVVEDPPRPILKKLASWRSRSWKRSQKSEVGSELSESGRGSDSLSFRLGSISKYIEAEQVAAGWPAWLSNVAGEAIHGWVPLRSDAFEKLEKIGQGTYSSVFRAVETETGRIVALKKVRFDNFEPESVKFMAREILILRKLNHPNVIKLEGLITSKLSCTIQLVFEYMEHDLTGLLASPDIKFTTPQIKCYMKQLLSGLDHCHSRGVMHRDIKGSNLLLSNEGILKVADFGLANFSNSSGHKKKPLTSRVVTLWYRPPELLLGATDYGSAVDLWSVGCVFAELLLGKPILRGRTEVEQLHKIFKLCGSPPADYWKKSKLPHAMLFKPQQNYDSCLRETLKDLSEIEINLIETLLSIDPLKRGTASTALVSEYFTTEPFACDPSSLPMYPPSKEIDTKHRTEATRKKISGNGRRGVEARKPSRKTLSFNKLAPAEERIGHLVPISIESDAKFCGKVQTQLDHKKDEASHVKNASQGDVPFSGPLQVSKSSSFAWAKRDKDEACVKVHNRSLSRGHIPNACGPSPAYNGNGVEPKINESKNDDKREDKTDSRGQESYEAVKRSMLKQWRQLERLDSFGASDEYHSQELSLGQRDKRATKMGNNLGDDGDKIEFSGPLLSKSNGVDELVEWHERRISKLVRKPWFQKGKIKNRGSEMVVNFYGHHKAHK >A07p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16883381:16889048:-1 gene:A07p030240.1_BraROA transcript:A07p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGEQMEKRFSWVLKKFSSLQEERCYSRPFAVAGVNWRIAADCKGDKNDGHLSLFLEFADSESLPPGWTRDVKFSLTLVTKAFTKSNLVVRAQQCFSDEIEGWGCDKFVSLSKLHEKGEGFLVNNKIIILAELHVLPAEVESVGKSQRDSSCEAAQETEVTNLDDDDDDDDGPFDEGTGGGVSSKEDVDNDDVSSPCLDDGGEDISLLNHFSALEETVGNDGLKSNSVAAETEVSNDDAPKEDIGDESSSLVSNDSASNGTSLDKVKSLLDVENGGKEFNNVASVPETANNLLTEIQPANVNGFDVYSSQVESVSLIFRRHPDLASGFRPKNRQIRRAYMNELLSLIEMMCQSPEKLSEDDLSNAVDTLSDLIDVGFKLDWLKVESVSRIFERHPYIAVRFRAMNQHTRETCMNILLRLIEMLCQSLDELSSNDILGADTALAYLKSVHFNVAWLEKKLDLVKANKGKEQSSLVRLQEMEDNLLELKQKCSDLDALVEKEEAELSAIRTPLSFDDVKKLTCVIKNFGLQDDEFHYYSPPFKVADCDWRLIAFPKRDTDGGYLSMYLDLAPESLPPGWRRDVKVSLTLVKKGLAPQSLNQTLVGKGCFDAENNIWGFEEFLPLSKLWRYLDDYKLTIIAELDVIPAIVLPEEPVIIIEPSLRCNQADDASVSRSQVDQVSCQVEQKPENPSNQDSDNALKEIQPVKETIDVNGFEVLSCQVESVKLIFERHPDIAVEFNAKNQHLRNACLSFLLSLVETLCQSLEKLSSEDLVEADIALTYLKDAGFKVDWMEKKLDQLKDKKEKEKSCLARLQEIEENLQKLKQKCSELDVLAEEEKADLSTTRTALSFDDIV >A09p051430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45372651:45377518:1 gene:A09p051430.1_BraROA transcript:A09p051430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAVSEDRKSDDIEIVSVGALYSGSWDKKYWSSSRGKDRFPYPVGYKAVRAYNGSTYYMEIEEGAKGPLFLIRYLDESWTGQTPDIAWGKFQKTGLSNLKVWHGKRFTCKMNGVEFFGFKNPLVQRLLRELVNNSHGMVESYSSNRVSQIQVDDERLAKRENPDLLCYLDMPVARKKRSRKAEIGHQNSVVKEGNKKTRFQDSYSGGEILNSATVSFCSAKEVEIVGLQGALPKQLHSRHATNENSSPPIEIPPEVKVVVPIQETNQLPNSCTTKPLSNISEELHGLQEKENKPNDDTFLNGSHDMIGSNLCAPDTLEFVQDNPISSAPVTDDNTSCEQKEELTLADIIVNEGHSAEPYTEDLADQEIAKSMISFLLPRAIPLLKKASAKKPPKTDVSETMSARLNHSDNSKTSQLDDASGTVVSLSIRTCTGDDENRQVVALDSVQDFTSDVPVAPDSFDESHLDVAESGHIISSSKEAYPADLSKNPLDEEDVVIVTPSPLVSVLDTAEIIKPSSHVPFAPDSFDEPHLDVLESGHIISSSKEAYPADLPKKPINEEQFVTENASLSVPALETVEIIKPSSHDVSTILEENNLGGCVKKSMLIPQCTTPTNKIITKESEELRAGQTEHHSENKEAKSTSCSTEGNGLVVGTTPTVASSVRKETHKVYSRKRVSTNQLRGNKNSSSESKTSCRNTGDGDSISKMSPNKTQRILEPQSTLSTNSVSDRTNPQGDGSSHVTEHYQGPELMKVNNNQFTNVFCNEACVIPQDIRPAQGFENASTSPPSFPASKVENVQGHIDEALGIQVSEPPSTKSQYKEHTSEKSISSVPEISASSSLKLNRDIKINNEMEKTVELLGCYFHPMPISSVSLQSVGNEIYICVLSFATEDRVRTLFMYKISAKAPTKGFPCVVGHTPVILPIMDDKSGANVNSRALERSYFHVTPDGEHLIFTGNIKTPYCRKREIDCSCLTCTSASFEENVVRIVEVKTGYVSLVTKLQAVDSVQCVVVCDPDYLVAVVKGGNLIVWAMNSNWR >A09g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:318166:320343:1 gene:A09g500030.1_BraROA transcript:A09g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQCSNLGCCRTSSHKTAVLEAPPHLENGDNSDVTDVPAFREFTLDQLKSATSGFAVEYIVSEHGEKAPNVVYRGKLENQKKIAVKRFTRMAWPDARQFLEEARSVGQLRSERMANLLGCCCEGDERLLVAECYVTLDTKCVLLLQQPGETQPMKWTMRLRVVLYLAQALEYCTNQERTLYHDLNAYRVLFDEECNPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGTIINFLKPNIDMVEAKMHLMFSGRITPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQTLTDSCLDGQFSDSDGTELVRLASRCLQYEARERPNPKSLVAALTPLQKDTEVPSHVLMGLPHSGSVSPLSPLGEACSRKDLTAMLEILEKLGYKDDEGVTNELSFHMWTDQMQESLNFKKKGDVAFRQKDFREAIECYTQFIDGGMISPTVCARRSLCYLMSDMPKEALDDAIQAQLISPLWHVASYLQSASLSFLEMENESQIALKEGSNLESKRN >A02g512420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33697882:33701551:1 gene:A02g512420.1_BraROA transcript:A02g512420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPSCKHLCCSIAMCLNLMKVCFIPYPRVRRTNVADWWACTKVLPRGVNETSEVALTALQDDTRNDVVAPSEMIRIESYVVEDDSGYDVLPVAPPNDEYVSEDEQKKKNFTLLTLLRLSDDTRSHCLELSLIRTSMAQSGPSDNRRRQRPPELNLPDMQQRRIPTSVASAGFVMPPTSGSSGHSMPPGAVGSSTRDRPPVNNYNHLTEQALLRSAAREHQPHLHPKKVNGALWFGVDPSVHKFIRTTWQAYYWGPWPSWKFVPEERRTSWWHTFIQNYYWEEKHHDEVYNRWKIHTQHTVCQKISRKKRDNEKPKYISEEDWSILLANWATQKAKDKSTKAAKSRTSAPPGKKMSKHSAGPNNFAKLEYDMMVEGGLDEPPSFIDLVRKTHTRKDGSFIDERAEALVLAVEEAVDSMILDEDSPNGASPTASTATTAPSRRFLLDQEFLKLAKTSKGSVYGIGSVQFRDYEPPQSVPASLKRSLDMDLRVSGIETNAEHVQTAVELLKTDVTTLKGDFLAFKTEFQQEMAATRSSLNVILQALGAVSPQVNQPDNASTP >A04p006550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3511764:3520803:1 gene:A04p006550.1_BraROA transcript:A04p006550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATKMILPSENGYRAWEDQTLFKWRKRDPHVTLRCHESIEGSLRYWYQRNNVDLTVSKSAVWNDDAVQASLDSAAFWVDGLPFVKSLSGYWKFFLAPSPANVPEKFYDAAFPDSDWKALPVPSNWQCNGFDRPIYTNIVYPFPNDPPRVPEDNPTGCYRTYFQIPKEWKDRRILLHFEAVDSAFFAWVNGNPVGYSQDSRLPAEFEISDYCYPWDSGKQNVLAVQVFRWSDGSYLEDQDHWWLSGLHRDVLLLAKPKVFIADYFFKSKLADDFSYADILVEVKIDNMLESSKDLLLSNFIIEAAVFDTTSWYKSGGFSDELSPKVANLKLNLSPSPVLGFHGYLLEGKLDSPNLWSAEQPNVYILVVTLKDKSGKLLDSESSIVGIRQVSKAFKQLLVNGHPVMIKGVNRHEHHPRVGKTNIESCMVKDLIMMKEYNINAVRNSHYPQHPRWYELCDLFGMYMIDEANIETHGFDLSGHLKHPAKEPSWAAAMLDRVVGMVERDKNHACIISWSLGNEAGYGPNHSAMAGWIREKDPSRLVHYEGGGSRTDSTDIVCPMYMRIWDIVKIALDKNESRPLILCEYSHAMGNSNGNIDEYWEAINNTFGLQGGFIWDWVDQGLLKLGSDGIKRWAYGGDFGDQPNDLNFCLNGLMWPDRTPHPALHEVKHCYQPIKVSLTDGKIRVANAYFFNTTKELEFSWTVHGDGVELGSGTLSIPVIKPQNSFEMEWKSGPWFSLWNDSNAGELFLTITAKLSNPTRSLEAGHVLSSTQIPLPSKREIIPQAIKKTDPIITSETVGDFIKISQQDSWELMINVQKGAIEGWKVQGVLLMNEAILPCFWRAPTDNDKGGGDSSYFSRWKAAQLDNVEFNVESCSVKSTTDKSVEIEFIYLGSSPSKSDALFKVNVTYIIYGSGDIITNWYVVPNSDLPPLPRVGVEFHLEKTLDRVEWYGRGPFECYPDRKSAALVGIYEQNVADMHVPYIVPGECGGRTDVRWVTFTNREGVGIYASTYGSSSPMQMNASYYTTGELDRATHEEDLVKGQSIEVHLDHKHMGIGGDDSWTPCVHDKYLIPPEPYSFSLRLCPITAAASRLHALIITATVANPPSLLSWPPHQPSPSTIPDHSSSPAAVGASRADYMLGLRNIILLPPPSQIIHPSVEDNNNKSRNSNVEEKVCRDCGNRAKKECSFERCRTCCKSRGYKCATHVKSTWIPSSSSSSHHHHHHSPSSDRNRNKKLKIDSSNNPSVLIVPTTTSRRQERSFREGLPGKIEAPAVFKRTRVTAISNEEQSEIGYQATVTIHGHVFRGFLHYHGVDHNKAFPYGVRLCDGGANRATVEARVRKFVTIKIIVAS >A05g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15139546:15145299:-1 gene:A05g505470.1_BraROA transcript:A05g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAEYGLYFERLYGHVLAVFIVYRDWLLRSTLHIAPLEATRRKTCCSQMILVIWNAPSVEVNTPHRSAQQYAQPTVDRHQTFIVDLSKSFDNDRYYTAYVDRYRVDENGNLYDQDGHLRNATCQKIDAQGTNPPPQTQESKIEEMLYRVLLGQQQFTIDFNGKIDSAYNNLNTKIETVGTQVRKLETQKIQTGETIKRQEAFAREAGADKGKHHVNAIIDDDSWQVVRNEKLEEGDFEIESSMSLGGSQWCRPISMNSHRSTDHDEDRWTEYSSHRSTSSAKSTECNAVGILTHAEFAAKHPHPPYPFYDKIDPPVEPTIDRQSESDVDRHNTPPIDRRAPLTYRVRLPSIDNDYINALRPPRKPLANPPEPKPNPLNSSPEPIQENQETEGRRLRKRKEKIPKNLKREANEKEMDGFTKRVIRISIEKVFDEAYFTHRLWKFFRETKVIEEDIRRMFHQVKEKMKHMITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYKSEYKTEYSESIDTPTFPSIDSNESTVTDDRNNTSLDVKLPRGRDDYSIGGWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERVIEISLQDERFETHKFTNTFPTSFEEVHSTSVDTNPRPAKQPLTSIDTHTGTSIDICAAAKIQEEENIPSPTRDPDGNARAIDGRILQVSREDITDILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTQTSIDRVTPTSINKDDPTSIDRRYEFGNRAFDMYGARKFSWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKVEINEMVTGICGAQEKLGEELKSLVEDTHQPLDSGYNELFRSMVEMRTEIESLRQQLEMEATTSASIDAPHAPSIDVSLPTAQIPVEPQCSAKHKDEWEVSYIDTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLQTYEDMHDRFVSPVMLYLNKLSSQILHAQRDIDKITNENFLQANSFSIDRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDKHLIASIDAESTPAGEQLIHQTTMHEELTELSSYAYDNIGWHQVSIDNVQDRLQNISNVLKKMDDKWTRNDEATRSFIASWSRMCRDDPITTTVKLNDYNQALSGRQPTIRFRRPK >A02p057520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34573281:34576497:-1 gene:A02p057520.1_BraROA transcript:A02p057520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable transcriptional regulator SLK2 [Source:Projected from Arabidopsis thaliana (AT5G62090) UniProtKB/Swiss-Prot;Acc:Q94BP0] MRSFVDIYIKGGTFVMAGGGLTPSSSASGIFFQGGGDDESQGGGGGGFMNSHFTSSYGNSSNPGCGGGPGYHNPAMMSVSTPGASSMLTDANSGGGGISGGGPHLQRSASINNESYMRLPASPLSFSSSSVADGSTVVQRPPPPHHDPRGGSSATSIPTSRRASETIGYWRKFVNEYFSPRAKKRWCLSHYDNVGHNTLGVSPQAATDEWQCDLCGSKSGRGFEATFDVLPRLNEIKFASGVIDELLYLSVPSERRFPSGIMVLEYAKAVQESVYEHIRVVREGHLRIIFSQELKILSWEFCTRRHEELLPRRLVAPQVNQLLQVAEKCQSTIDQSGPDGIHQQDLQANSNMVMAAGRQLAKSLESHSLNDLGFSKRYVRCLQISEVVSSMKDMIDFCRDQKVGPIEALKSYPYRMRTGKMQMQEMEQVANAAQGLPPDRSSLNKLMALRNNNNMNGQGSAQAAAFALTNYQTMLMKQNQEQTNGALQQESSRNMSPSPSYQGAGSLLGGVSSHMSPQRQMPSSSYNSSPHQQYQQQQPSCSGSNQALEQQIHQIWQQMANSNGGSGQQQQQQQSLSGQNMGRNRTDYVPTVETPSTSNRFRGMKGLDEGQNQEGMVSSNASLSFENNGFFGSEVDESMGYPWKA >A10p012900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5510462:5511473:-1 gene:A10p012900.1_BraROA transcript:A10p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHKILFASLLICLLIQSSHAATKERLFSDLEKSALEDTATPSRVGEGVGVDKLSITWKVSSTATKEPEFKAIKVKLCYAPASQVDRPWRKTENELFKDKSCPHKISSWSYDPAMKAGQSFDYTLERDIPTGTYFVRAYAVDTKDHEVAFGQSTNEDKTTNIFSVQAISGRHKSLDIASVCFSVFSVVALLVFFVNEKRKAKIEQSK >A04p004770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2469134:2470006:-1 gene:A04p004770.1_BraROA transcript:A04p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSWFLDETPLPKLGFLGQGNNGYVTLVRNGDGLLMAKKTSFLKYSEDLEKEVRIMDRFFSINFNTVRATSPAVSYETMPFNVKVCSIHMEVAPHGSLKDMLTKAGGTLPENVIGYCILQVLEGLRDLHQHGYVHCDLKPENILIFPSYAHEDLCELKLGDFGSAKEPNGPDPVNGSLFEDNPEYLAPEAVGPRGVISSAVDIWSLGTMVMEMMGVTLRGRSDYVPWNLSEMTRDFVRRCTERSPRARATAVELMSHEFVRQSLGTPPLELLPVPSCLSNGVVQGRLF >A08g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6811689:6813203:1 gene:A08g504220.1_BraROA transcript:A08g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLQDPLTTPPNPSDEAMSLQADSLVTTMALPMVLKAALELGVIETITAVDEGVWLSSYEIALHIPTKPTNLEAPVLLDRMLTLLANRSILKHRMVDTGENGETKTVYAAGPICTFFSNRGAGSGSLAPLFMINLSEVYFKTWMHLKDVILEGKDAFTSAHGKSFFEYTCSNQQFAEMFNGAISDASRLTMKKVLEVYGGFEDVNTLVDVGGGNGTAISLVISKYPHIQGINLDIACVIADAPLYPGVEHVSGDMFREIPEGDAMFLKWILHCWNDEDCVKILKNCRRSLSETGKVIIVDVLKPTQPNISDLYSKNAFAKDMVMCTFLSGGKERSLPEFKNLAFDSGFRRFKIACIADSYYIIELRK >A01p016730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8255922:8258071:1 gene:A01p016730.1_BraROA transcript:A01p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDHILCLLSLLFISSLHVLPASATPHKLKNAITIREVNRRGPYIGLVTVFETEENAFLGSVDFRPDPAHPFLDLSGRRFRIGKIHGKKVVYVRCGIGMVNAAAATQQLIDVFNMKGIVHFGIAGNTNNSMSIGDVSIPKQITNAGMWDWLNPDKAEGSEYAAYLDFGKYTVPQGDGSDSMLGSIGYSYEELYSVSIGHKNSPKKVFWINTTQEWLQLAAGLEKMELLQCVNATLCLPEKPKLVVGLKAATANTFVDNAAYRDFLYDTFGVSSSDMESSAVAMTCVSNGYPVIVIRGLSDLAGAQTGDNAVRKFGSLAATNTARAVLEFIKKLPPNYNLNSYA >A03p014240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5665177:5667568:-1 gene:A03p014240.1_BraROA transcript:A03p014240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTSLSGGGGGGGGGGRFMPYSSSLSVPPSVPQSPNYSGGIRSQSSVFVEQENSRTIDKHLTPAAIIVSEILRVTTLLENATVLSQSGLDDHTNPGGIYQNARADMSGWTSQFPSERSVPSSPGPNWHNSPGSPSGLIAKRTIRIDIPVDDYPNFNFVGRLLGPRGNSLKRVEASTGCRFLIRGRGSIKDQYKEEMMRGKPGFEHLSEPLHILVEAELPIEIVDARLMQAREVLDELLTPMEETHDFYKKQQLRELALLNGALREEGSPMSGSVSPYNSLGMKRAKTREV >A05g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17461030:17461426:-1 gene:A05g506130.1_BraROA transcript:A05g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A10p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16966036:16968819:1 gene:A10p026840.1_BraROA transcript:A10p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVEDRVKQILPKIQVKCKSMATLFSFYLPPTLSLSSNHSRAKPTFPAKMAVSADCRISLSAPSCLRGSSGLTRHIKLGSFCNGELMGKKLNLSQLRSSSTNLSKKKIQMSLTSVAGETKVQETESEKRDPRTVASIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLARAYNSNGVFGDGFVEALAATQTPGETGKRWFQGTADAVRQFHWLFEDARSKEIEDVLILSGDHLYRMDYMDFVQDHRQSGADISISCIPIDDRRASDFGLMKIDEKGRVISFSEKPKGDDLKAMAVDTTILGLSKEEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPFSAKEFYVNAYLFNDYWEDIGTIRSFFEANLALTEHPPAFSFYDAAKPIYTSRRNLPPSKIDGSKLIDSIISHGSFLTNCLVEHSIVGIRSRIGSNVQLKDTVMLGADFYETEAEVASLLAEGKVPIGIGENTKIKECIIDKNARVGKNVIIANSEGVQEADRSSDGFYIRSGITVILKNSVIRDGAVI >A08p016540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10744291:10746038:-1 gene:A08p016540.1_BraROA transcript:A08p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENKYSKECAEIVVESLGKLERNAVLKAICSGFKERTEPGICLSGDIETNECLEANGGCWQDKKSNVTACKDTFRGIVCKFPVVNGVREMAILHVNPLWTCEMFDQSRRLLRHPDVVALQVSKGDGLKCEDIDECKEKSACQCDGCKCKNKWGSFKCKCSGNQRSGSRIGWFFTFVILPAVAGICVAGYVFYKYRLRSYMDSEIMTIMSQYMPLDSQNTNDPMTGEPQQQQLRLTSAA >A02p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:989998:991971:1 gene:A02p002360.1_BraROA transcript:A02p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR4 [Source:Projected from Arabidopsis thaliana (AT5G06620) UniProtKB/Swiss-Prot;Acc:Q9FG08] MSRLALGRYSRYLSRLKPLPHLNHPLPFSSSAASSQDGAACHTGPPPIRVGLTESAGRAVFATRRIGSGDLIHTAKPVLTCPSLLSLDSVCYLCLKKLMGSAKFRDRGVFYCSQECQDNAKGFYDVETRGDWSSFVDYCSTHNFKYPLMVKRLSCMVILGALSADCLDILQPATLSSAMISKIEDGYGLLWNAFRKANFTEDDVAFLTKQWYTGILARIRINAFRIDLVGGSCGEDLLSLAAASVEGEGAVGHAVYMLPSFYNHDCDPNAHIFWLQNADARLMTLRDVEEGEELRICYIDASMGYEARQTLLSQGFGFCCNCLRCQSRD >A01g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12422066:12425001:1 gene:A01g504130.1_BraROA transcript:A01g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDKDRWTDYSSHRSTSSAKSTDCNAVRILTHEEFAAKHPHPPSPFYDKIDRPVESTINRQGESDVDRHTPPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLASPPEPKPNPLNSSPEPVQENKETEGRRLRKRKEKIPKNLKQEANDKEMDGFTKRVLRIPIEKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVRETMRQRITLTKKSDPGKIMVDQLGLTIKPSTESFTFVDLSEKRSGGIIRDLEVQIGNAIVPVDFHVLDIELNWNSSLLLGRSFLATVGAVCDMNKNKLCLMLIDTYIHYDLIRPKRKVINSVDYGIELGFIGACHCGAEYESEYKTEYLESIDTPNFPSIDSNESIVTDDRNNPSLDVKQPVDHFAPPNHCYPHFAFQPPSKRGRDDCSIGSWAGRQMKDLKHISSPTHFQHRSPKCAPHRSIPILVQQNYRSHRSTPTQEHRSIFAPQQKFRRRTIFPIQLEITLPSIDAFVSTSIDTTLNPNISIPKLTDNANIDYGFRTPEEFGIFKDPDGNARAMDGRILQVSREDIADILQVANGPNNLFSQQRGTPDVIQTYPNNHVGVATTEINPDLSRQPKGQASIDGTTETSVDRVTPTSIDKDEPTSIDRCYEFGNRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDFRKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVFGICRAQERLGEELESLVEDIHKPLDRGYNELFRRMA >A02g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22839333:22849399:1 gene:A02g508440.1_BraROA transcript:A02g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVVCMMIGFDRKINKKASHHNSRYAPYETKDKKKTWRIKEQNETRNVGFVGRHESYAHRSKQTHIERSSNNISDVLEHNVHQSSGRKIASKIVTPARDDQENNVTKKETEDYLEKLLHVDDQIIDALNDMEIIGTSNIKGHPYETQMVGEDHDDDLLGEDLMDMEDSVINDAEEMVDTVSREKVYTAKTEKSKASSYKRGRLSRFPLGLQSKKAEFLRRGSPKLSLAIRSTHRRDTFCWNYTRNCQRTVKSGYWVAQNLLKTEEEKELGVAFLGDGWWLMVSELGSISELLSAVYISSLSIRTGLRSGSFNLHDVCFLWAMSTEAAVLHCGFFFVGDNSCSSQAREWWLEAASLAARRGSRAAGGEVPCQQSCVFVLVIGEAVGAASNEVLRAGLGWWARGNNDEDSNCCNCGKPTNVRISQFGIGVSNRRSSWMVRCVPLAFGLHSHILLPIVGMEVPKPFVSSFIYIFFDNPLESDIKSN >A05p007600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3062345:3066360:-1 gene:A05p007600.1_BraROA transcript:A05p007600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAVNVAKQCLTAESSYALEEAVKVARRRGHSQTTSLHAVSALLSLPTSVLRDACARVRNSACSPRLQFKALDLCLSVSLDRIQPGQQQQQPGSDDPPVSNSLMAAIKRSQAHQRRLPENFRMYQEMMSSQNQSSNSLSCVRVELRQLILSILDDPVVSRVFGEAGFRSSELKLSIIRPFPHLLRYSSRQQQPMFLCNVTGNYTEPELNPVRWGYNVPNRNLAGDSDHRRISAVFTRDKGRNPLLVGESAHSVLTGFLSSLENRTDGLSTVSLSTEISDQVNVKFDKTYTDARFRELEKVAEKGSGPGLVLSYGDLRVFIDGDGNGSAASYIVSRVAELLRRSGRRVWLIGAATSNDVYEKMMKKFPNMEKDWDLQLLTITTTTLRSCLPHHKFSLMGSFVPFGGFFSTPSDLKLPFSGFNKETTEPVSSISDQTQSILPPWLQMTTRTDLNQISGSKIPSMQTTEGLESVCGTKSTISASASTGSAKSITTDLNAKMCPVTTGFGLNNYLDDKDVAHPRSSSRDLNVESFKIIYQRLTDRVSWQDEAARVISSALSQPPRVTTRRDVWLHLVGPDTVGKRRMSLVLAEIMYQSEHRFMPVDLGVTCDDVMRQRGKTMVDHIFEVLCRNPFCVVFLENIDKADEKLQMSLLKAIETGKFMDSHGREVGIGNTTFVMTSSSVDDSGTIATYSEEKLLRAKGRQVEVWIETVSMLPNVRTVSRLRSVKKRKMIGLRDTQDKNEILETGKQVNRTSNGVLDLNLPAQETETEDCEDHSKLWLVNLKKHDRLTEVPFKPFDFEELAERIKKSVKEIFEKCVGSSDCSLEIDPKIMERLLAAVYFSDSRKYIIKELMEKVMARVFLHVKERYEITSCSVVKLLGRDLDVLGEDEMDLFLVKSQ >A08p004650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2732838:2733029:1 gene:A08p004650.1_BraROA transcript:A08p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMKLEICIELVKLTVDFVAAVAESIEVAFRNRPPPPIPHLAVRNGRRSNHSAIPIPLVGFL >A08p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3049674:3051230:-1 gene:A08p005280.1_BraROA transcript:A08p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome berefringence-like 7 [Source:Projected from Arabidopsis thaliana (AT1G48880) UniProtKB/Swiss-Prot;Acc:F4I037] MSSFTKSTSFNRRALSSLSVESPRSTSSTAFNSPIGSAFASPRTFGGSPRPYTNRLKEISYLFQVLIVAGTLVSFLVIIAGGYLYVVPSLGYNGALQFNDTSVIINSKECDIFDGSWVVDASYPLYNASECPFVERGFNCLGNGRGHDEYLKWRWKPKHCNVPRFQVRDVLERLRGKRIVFVGDSMSRTQWESLICMLMTGLDDKRSVYEVNGNNITKRIRFLGVRFSSFNFTVEFYRSVFLVQPGKLLRWHAPKRVKSTLKLDVLDVINNEWSSADYLVFNTGQWWVPGKLFETGCYFQVGNSLRLGMSIPSAYKVALETWASWIETQIDPNKTRVLFRTFEPSHWSDHRSCNVTKYPTPDTQGRDRSIFSEMIKEVVKNMTVPVSVLDVTSMSAFRSDGHVGLWSDNPLVSDCSHWCLPGVPDIWNEILLFFLFRQQVP >A05p053050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30412041:30413840:-1 gene:A05p053050.1_BraROA transcript:A05p053050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVRLHEVGEHWPEGMAAKEIAVGSSSFSGGDPCPICLGPILQESYLDTCFHKFCFRCIKQWIKVVSSKVSKPLSSVKCPLCKRDNISIIYNFDGHSFDRHYVDPSITDGFVLTKEHRYRLQCYYIESGFLADVFDVPRFWKLKKLLQRNRWLESWLRRELQALMQEEDVDIVLHHLVGVMDSFCKREVGVSNEAIEEENRRTRATPNLFLFEEDSV >A09p051730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45529308:45530257:1 gene:A09p051730.1_BraROA transcript:A09p051730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFDDHLDGCVSQSQRERERAVMDPAHNTSAAEIGGSNGNATVSEDDSKESLDQVINSINKSLVVLHQLHLSLSSSLTPSSQLHLLPRLNSLVSELNSISKLSEKCNIQIPMEVLSLIDDGKNPDEFARDVLNSCVARNQATKGKTDAFKELRKHILEELEETFPDEVDKYREIRATSAAEAKRLAQSQTVLPNGDATVKSDL >A02p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5002914:5007480:1 gene:A02p011540.1_BraROA transcript:A02p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNNNNKENKGDGGGEKKTASVTVVLKIDMHCEGCASKIVKCVRTFQGVETVKSESESGKLTVTGDVDPAKLREKLEEKTKKKVDLVSPQPKKEKEKEKDSNKDKTKNDEDKNKEKKPEEKKPKEAAVTTAVLKVDFHCQGCIGKIQKTVTRTKGVNGLTMDKEKQLLTVKGTMDVKKLADTLSEKLKRKVEVVPPAKKDKENGKGKENESGDKKKGGDGGGKDNKGGEGVNAMEYVAAQPAYGAAYYPGGPYGYPIQAHAPQMFSDENVNACVVMLLSVCFSSLIGLSSCVAISTGSEDATETTSVVLVDEVWTSMSHYVTILQLSDFVVKAHSTHSSFVSNSLSSSVEDLSFLDYLCVVCYVYDQRGCIIPSCYCSEKV >A08p014690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9834034:9836118:1 gene:A08p014690.1_BraROA transcript:A08p014690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGQSISVMASRVYAHYHHGDACSKARWNTRETFRFMYDRPWQHVLDFYSNAVARKLSVLNLFEPNKILAHDDGEREGMPLETELETCGRKDGRTGRWERVNFKILLSYNGASFDGWQKQPDLHTVQSVVEKSLGAFVDEKKAQLLKKKCKPLEGRVLVAGRTDKGVSALNQVCSFYTWRKDIEPIDIEDAINKDASGKLRVVSVSKVSREFHPNFSAKWRRYLYIFPLDHACGSGKDRENLIFDENHGKQRNGLLSEENTEGVGEEDDELETEEVDGAKPSDFSVSKVDQLLQQLQGKLLSYKMFARDLKAARNEGPPTECFMYHARAAEIRLPSPEYVEGRRVMCVELVANRFLRKMVRVLVATSIREAAAGAENDALLKLVEASCRRATAPPAPSEGLCLFDVGYVDFDPHSSLIS >A09p056290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47922061:47925220:-1 gene:A09p056290.1_BraROA transcript:A09p056290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFILNWPIIVHCGYSGFSETPPPFQVSVGISAKSQSSDNQMGFGAIRSILRPLSRTLASRVAASCSSPPFPAAKPLLCSFFGGSRLPWIQVANHFHSLSLTDTRLPKRRPMTHPKKKRFKLKPPGPYAYVQYTPGEPIASNNPNKGSVKRRNAKKRIGQRRAFILSEKKKRQALVQEAKRKKRIKEVERKMAKVARERAWEERLAELQRLEEEKKKSMMVIILSSKRSLNKSRRRCSPSSISPLVLLLHGFPETWFSWRHQIDFLSGHGYHVVAPDLRGYADSDSPPSHESYTVSHLVADVIGLLDHYGTAQAFVAGHDWGATIGWSLCLFRPDLVKGFISLSVPYFPRHPNLKPLEFFKSFGDGLYISQFQKPGRAEAAFAKHDILTVIRKFLLTTRTDYLVAPPDTEIIDDLEIPSTIPDWITDDELQVYADKFQKSGFTGPLNYYRAMDLNWEIMAPWQDSKIVVPTMFIFGDKDNGNEGEHGKMQYVKGEMFKSLVPNLEIIVIEDGHHYIQQEKSKRVSEEMLSFFNKLGNTSE >A02g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3974662:3975379:1 gene:A02g501180.1_BraROA transcript:A02g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAMVMYKREGSFSSVLLNDHHSHQLPVTTGDFLATPPVYFECQSSGDLGPTVLDCLKRRLLHHLACPLGTSRNGSVLIAGSSVHDSKSNLKTAAKREGSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A09p011820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9193469:9195648:1 gene:A09p011820.1_BraROA transcript:A09p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAGFSESKKNHGNELIEKLEAGMQDMLQIVEDRNREMPIEEDMVEGFLYEVPEQYINMPFLKRRVVYMKAKIKDNPNLEDCVFHIVVDGYNAPVTAGNFVDLAERNFYNGMEIQKYIYILRSCGRIYRSNHREVRTVPLEIMVTGKKTPFYGSTLKNWVCTMAMARERELMPSNSNILDGRYDVFGGYVTQNEDFLADLKVDDVTDTSTILAALKYGRCSSTVEVEVRLLRFWEARNIKRGGHLMVVDMLLLDSKAMLIPAIINVNRLPTYRGYLKGTVIRAANPEQTSSSEDSSQEQSSPEDSTESQDLPSTPLTSENSLEKAESAAKSLAVADSKVFEAKEASQVADTAPKKSLTDVLKDENVELR >A03g504880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17464935:17465704:-1 gene:A03g504880.1_BraROA transcript:A03g504880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTESGWLMNIRGTTHTWTASTCRCNICLKEDFCFFIRKALLALAEYIEIVAKDGADPILVEVRVQRMTLLWIL >A02p026550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13945288:13946842:1 gene:A02p026550.1_BraROA transcript:A02p026550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNEPGYDKRAKPRRIHFIGPKPIYYLSKPGYSRTGFDPVQPLLLTFRKQNPKIKKQTLEAMEIGEIETAKKRCESVIRTINHLPLSTSITASCRSTLLKLASSELSFLSSLATDPSPPQPLSVNIGHIESVLWILRRPSITAVSRVCKPIPLPNTNSVHVDLVCTLGKAPAWIIVSDRNSNYISWSDNRHGSKGLKSRIETILAAANSTTTLKPSSLILFFANGLPLPVYEKLKDRFGAEDFNFGSDSDFDCEETLEGGEWVNVVRTRSYKEAVSVEIKLIDGHDSLAFDEHVVAEAEVADLSEKDAFSSVLSSMRLKGEDFLVNFDTTALVALVSGIANGCAERILDMPEVVLEEKFKGNTVFVIAQARSEVENSVFVRMGGVLSGKRGIVCKSVVSEFEELVSMYAGPNEKQRAEQLLKSLMVVNDNPTERVVGLPTTRKLAMKNKIVFGTGDRWGAPTLTANMGFVRAVAQSGMSLSTIEHSPRALTGD >A09p011380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5735629:5735963:1 gene:A09p011380.1_BraROA transcript:A09p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYKNILITFVFTIFFVISNVHCTDIIQDFGVKHEYKKCYDPCPKGQEHVCERFCAALSLQLIGRCFSEQCCCISKETK >A08p003250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1822659:1824089:-1 gene:A08p003250.1_BraROA transcript:A08p003250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRQNRSAPPPSDLKSLSLSVFFRGWRLPNRKFKSWALKMSSLHKPTWIQAGVFEAIMSSTKGISKDTDLLLGIAEKWCSDTNTFFFPWGEATITLEDVMFLLGFSVLGSPVFAALDESGERVKEKLVKDSLRIKKDNNFVFVSQVEWMRRFMNDDDELEHVAFLALWLSYFVFPSAYYHIDEVVFSVAVHVSRGTRIALAPAVLAHLYAELTLLKRHIREFITIEDKIELKRLFKLVQVWTWERFKELQPEKANPLLKGEPRLSIWCDDSTQKRSSNVRKVLEEAKVESFEWRPYTKALENGKFPLFYPEEAMWVLVDNDLDDEFVSFARCVKVSKLVGIDCVEHYFPNRVAAQFGLIQDVTCHVERKSLSKEAAWDEYNKPLDELTLYIPSRCVIPWWKKSSSEWWKKLSPEENQAVESLTPRHIIGDDDDDDTSDSVPSGCKRWKSMKRVYEDDEDDSLTIAQVMRLRKKDT >A10p017380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2476453:2476848:-1 gene:A10p017380.1_BraROA transcript:A10p017380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PBP1 [Source:Projected from Arabidopsis thaliana (AT5G54490) UniProtKB/TrEMBL;Acc:A0A178URF6] MATPQSSTRPTHQNPQPTFHDLFPAMAGKLGGEGLIDELCKGFELLMDGDKGVITFESLRRNASAVLGLGDLTDDDVRCMIDEGDFDRDGALNQMEFCVLMFRLSPDLMDASRFVVTEAIEEEFGDRTHGH >A06p035100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:18976646:18978133:-1 gene:A06p035100.1_BraROA transcript:A06p035100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g61800 [Source:Projected from Arabidopsis thaliana (AT5G61800) UniProtKB/Swiss-Prot;Acc:Q9FLS9] MSSIQKLCKTLRHVHQFHAQFITSGRISNGPNQNSLLTNILFAITSITPSPTASYAAAVFSFITNPSTFCFNTIIRVYSLHAPSLSPHRFYVQMRRRSVPPDFHTFPFVFKACAKKNVSFTLVEALHCQALRFGLLSDLFTLNTLIRVYLTNISIKSALKLFDENPQRDVVTYNVLIDGLVKAREVVRARELFDSMPFRDLVSWNSLIAGYAQMNCCREAISLFDEMLASGFKPDNVAIVSTLSACAQSGDLEKGKAIHDYAKRNRLFVDSFLATGLVDFYAKCGFIETAMEIFCLCSDKTLFTWNAIITGLAMHGYGELTVDCFRTMVSSGIKPDGVSFISVLVGCSHAGLVDEAKKLFDQMGSLYDVDKEMKHYGCMADLLGRAGLIEEAAEMIERMPKDGGNREKLLAWSGLLGGCRIHGNIEVAEKAAERVKELSPEDGGVYKVMVEMYANAERWEDVVKVRGVMERDERVNKSAGFSTVMSFNTFSTVMS >A05g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17153006:17157600:-1 gene:A05g505990.1_BraROA transcript:A05g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVGQDQAAINAQLLADNEELRASLRTITAELAQMRQGGRPNGPRPPGRHQPDPHDTDSDADSTDDTRSQDEERPNRGGVACTGTNRIQATIDPGVGRLKA >A10p035370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20339524:20341451:-1 gene:A10p035370.1_BraROA transcript:A10p035370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQGNLNAHLLCNLPVNLAIKPNNMMFPGSSKINQHSTRFDEEEKQKDEYLRPLYEFRRRANESDGPITNFPQLVRSSENNQPSLSITRSDEENERNDGFDIEGIRGSGLMPFSCQGLSTFTYPYRPLVKRYAKLGLHRYNIKEGTNFELDALIKVNMKSNGACSFLITLAARDTVAGRPMQIFQVRVDENRYGLLDATCTTARIKGETTDGFCLPQPVYGFPGWPSDDGVFDASERFCSVEISKLGSNPWIRLYLELAVYTKDRRISDEDLSKLEIVKVVIETTYDEPPLKAKSSDLYIVFKGLARNGLGEQLERKAIIKSVFDEQTGSLSLMGDLCKSQDDVTFMSVEEMHYRFRQSLGAIFMSDRLLVFYPRLVSDTDMCRNLICKKQHL >A04p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:760017:763697:1 gene:A04p001600.1_BraROA transcript:A04p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTIERYNRCNINILDNNRPEESTQKWSQEVTKLRSKYESLLRTHRYLLGEDLGEMSVKELETLERQLEGALSATRQRKERQLGDINKKMKLEVEGHEFKGFQDLLLNSDARVGSSDFSLLSSHHNSVDCDVGQFLKIGFQQQYEQGDGSLVEKMGRLYLRRDELALIILYLNKAEARDKICRAIQFGSKFLSGGHPGTAQTVDKNTSLARKVFRLFKFLNDFHLLIGPVPKGTPLPLVLLGKSKNALLCTYYFLDQFVWLGRSGIYKNKLLTDLIRSFAIFCWLGSSLCNIAIQLLPGRPKLKTP >A01p054860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30857342:30859562:1 gene:A01p054860.1_BraROA transcript:A01p054860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKFSSGDKKEEHNKTPKSVSLTSNFSDRDINRSGSDFNSRDASGTSTESSMGRKNSYPSMSARESNLREFSVTDLKAATKNFSRSVMIGEGGFGCVFRGTVRDLEDPSIKIEVAGHKEWVTEVNFLGVVEHSNLVKLLGYCAEDDERGIQRLLVYEYMPNRSVESHLSPRSLTVLTWDLRLRIAQDAARGLTYLHEQMEFQIIFRDFKSSNILLDEDWKAKLSDFGLARLGPSEGLTHVTTDVVGTMGYTAPEYIKTGRLTSKSDVWGYGVFIYELITGRRPVDRNKPNGEQKLLEWVRPYLSDTKKFKLILDPRLEGKYNLKAVQKLSVVANRCLVRNPKARPKMSEVLEMVTKIVEAPSGSGTSPKLVPLKSLETSRDVGGKKKKVLETRNNGGGGGEGGWFGKLWNPKAVGAC >A03p060810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26392625:26393616:-1 gene:A03p060810.1_BraROA transcript:A03p060810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISETNRREICKYLFKEGVLFAKKDFNLPKHPLIESVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIEFLRTYLNLPSDVVPATLKKSAKPIGRPFGGPPGDRPRGPPRFDGDRPRYGDRDGYRGGQRGGDVGGEKGGAPADYQPSFQGGGGRPGFGRGAGGFSAAAPSGSGLP >A06p055340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28917947:28919803:-1 gene:A06p055340.1_BraROA transcript:A06p055340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 13 homolog A [Source:Projected from Arabidopsis thaliana (AT5G45620) UniProtKB/Swiss-Prot;Acc:Q8RWF0] MAALQYLESLKSSHPELNEWYDSLSDLYQKKLWHQLTLKLEQFIALSVFQAGDALIQFYHNFITDFETKINLLKLAHFAVVVSRQYSEKEAAVSYLEGVIEKLKATKEPRITEPIVYIETQKALFKLEQGDQKECKKILDDAKTSLDSMTDIDPSVYANFYWVSSQYHKCRQEFSDFYKSALLYLAYTSVEALSESFKLDLAFDLSLSALLGENIYNFGELLAHPILKSLLGTNVEWLYHILQAFNHGDLVQYQELCRVHHASLIAQPALVENEKKLLEKINILCLIEIIFSRPAEDRTIPLTVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVNGTVYISWAQPRVLGIPQIKSLRDQLDSWVDKVHTTLLSVEAETPDLVAA >A02p003630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1665799:1667848:1 gene:A02p003630.1_BraROA transcript:A02p003630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWNATSSSSAASSPTSTRRTEAPRRWPSSESPPRKMRSIAEIMAITAPVVDQAQDGGNVTVTSGDVVDRYGDVACEKCGSGERGDELLLCDICDRGFHMRCLRPIVVRVPIGQWLCVDCSDPLPVRCLSQRKIMHFFRIEKFDVTEKPELSQEETIKHKRKFRPLTLTKRRRRLLPFVPSKDPNQRDAQLRTLASALTTLKMKYSNELTYIPGMAPRSANQSMFEIGGVQVLSKEDIETLEQCRAMHRVGGYPPLVVVEDQFQGFTVEADGPIKDLTLIAEYAGDVDYLKNRAKDDCDSIMTLLLCEDPSKSLVICPDKYGNISRFVSGINNHNRYGKKMQNCKCVRYSINGEVRVLIVATRDISKGERLYYDYNGYEHAYPTHHFL >A09p083670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60184235:60189772:1 gene:A09p083670.1_BraROA transcript:A09p083670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESASTIQRPSLFHPATSCVSNRLLSPRLISTRFWLPTTYHRSHFAHRLRRNSALSGATLTSSTHLHSSISICSQAKHIPFGFRISCQSNDSLGNVELEETNDQFEEKDVTVENETDSLEELRDVLQKAIKDLEVARLNSTMFEEKAQRISETAIALKDEAAGVWLQVNKTLDLIRDTVDEQSVAKDALQNATMALSLAEARLQIVEESLEAGGENAVPEASQESVKRDNLEDQEEEEALLAAKDDIKQCQLNLANCEAQLRSLQSKKDELQKQVDKLNDLAETIQINALKADEDVANIMKLAEQAVAFELEATQRVNDAQIALQIAEKSLSTSQTPEETQGQLSDEEALLGDEVVLLSNTEDASHQFELESPIHEDISPVQNTADHMPDKVALKALKLTQPSGSSDLENGKPSVESSKVVESDSEKSKIIGQTKKQETQKDLPKEGSSLNAPKASFNKSSRFFSASFFSSNPDGTTTVFASLVDSVKQQWPKLVFGLALLGAGLTLYSNGVGGNNQLLQQPDVISTSTEDVSSNKKPLIRQVQKLPKRIKKLLAMIPHQEVNEEEASLLDFLFLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRNVHATKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAAVVGLIAHYVAGQAGPAAIVIGNGLALSSTAVVLQVLQERSESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGIGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVVIGTLGLLILGKTILVVVMGKLFGISVISAIRAGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAITPWLAAGGQLIASRFELHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVTIARSLDLPVYFGDAGSKEVLHKIGAERACAAVVALDAPGANYRCVWALSKYYPNVKTFVRAHDVVHGLNLEKAGATAVVPETLEPSLQLAAAVLAQVKLPTSEIANTINEFRTRHLSELTELCEASGSSLGYGFSRTTTTKPKTQTSDATEENQIIEGSGSLAI >A09p069670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54234588:54237033:-1 gene:A09p069670.1_BraROA transcript:A09p069670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKSFKVEVIAHATPQGLSLGFLNSVSIRQSWEIEELLVDENDDGNITSLGSYPDPSFPDKPSVLRLRVQDFAPTTVHQLIHNRFNDPLLSRLITDQIVVESRRRDLPQGPLLMTVFVKFTKKDYVVMPCNSAPLTMTVEEPCAICLEDMSESGQAFCQPPGCVHVFHEDCFVKWLGRHDSCPLCRLSTNPLTNKPSRILEEEKTMDTKSSERFLRIQATARAQSDSSYSSGVVIFQNHHVEILLQDKQRSGSSSCLIETLGSHPDPCREGSTLYLDFDNFTPNYIFRRLAKELNDSNLARYMRDYIFDESQRGDLPQGQPLLITVLVESARRVYLEREETCAICLDDFESKTMSMFPGCFHQFHRECLLEWIERSRRGHGSCPLCRHPFG >A02g512360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33496279:33497972:1 gene:A02g512360.1_BraROA transcript:A02g512360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIILRMSLVMNLLSLLLSTAIVYSNQSTMPLRSFKMSENVTYDCIDIHKQPGLNHPYLKTHKIQMKPSLSRPELKMRTEKNETSNQNKIGCPNGTVPILRSTKEFIINSQMFAENNFHMLSADSPGTHIAGVRSSSGPFRGVKAYFSAYKLNVGKDQVSYSQIYVGSGSGSQVNYISAGWTINPGLYGDQRVWTFGFWKGKDGKGCYNTACSGFVQVSKVVPIVQPNDLKPGVPGFLDYFIHQDKNTGNWWITRFSKGAPSVDIGYWPKELFNLLDNGANMAGVGGVVQASRSGSIPPMGNGNFPNGGRLDSAIFTNIEVLNSNYEQRKMNSFHVDYLVDSEKCYGLKVGKKGFFYKNPLGFYFNYGGPGGNSCGV >A04g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11919264:11926718:-1 gene:A04g505670.1_BraROA transcript:A04g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFPILELPEEIQALVVERVAGNSFPDLYGLRALCMSMKALAERSRVNHFYDVLSVPRTLNMLPEFRNPSTLYMKGVQFFFTFNLQEEGFAFMKLAADAGYEHVVYTYAMTSKIFWRDEEYFARFTRESVDRIGKLVRSLKWAWGLSHSDEFLAKWDEFISTVVPSFYSCQCVPIMGRDWVLWYIEKYKGDKMCNLCFWIKELGLFFREFEPMSVIMDTREW >A03p064060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27866271:27869825:1 gene:A03p064060.1_BraROA transcript:A03p064060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCHFPVNLHTVSSSSSSSFHPLVSSQLWISRLRFHPFSHAIQLNTIRAAAIDVDTHPRRKKKKRKPKPGFFEEISDKWSSRISPKDSNFPWQKKHEEQTQPHQEEEESESEVNRSSELTGKITDSNLRSSFPRPSGYMSAPWVNVKDESFNQNRYRKDNALDLDDDDGGRGMVVESGRDKGVWRSKKSNTVEAERAVPEHELSRLRSVALRMVERVKVGSAGITQALVEAIHEKWEDDEVVKLKFGEPCALNMKRTHETLEKKTGGLVIWRSGSSVVLYRGISYNLKCVQSFIKQNNLDTSPEAKEYTAEEANYPKNVPKEQLSELCELNDLLDEIGPRFHDWTGCAPFPVDADLLPPMVLGYRCPFRVLPQGVKPCLSNKEMTEMRRLARTSPPHFALGRSRELQGLAVAMIKLWEKSAIAKIAIKRGVENTRNERMAEELKRLTRGVLVSRNKEYIVFYRGNDFMPPAVSEALRERQKEITEVLQNKEDQLRETASARVTPVSQGKRIKTPLLAGTLAETIAASSRWAPEASSVDVEELKRESASIKRAALIRDLDLRLLYAKQKLRKAEKALAKVQKDLDPSDLPTDSEIITEEERLLFRRIGLSMDPFLLVGRREVFDGTIENMHLHWKHRELVKIIVRGKSLPQVKHIAISLEAESGGVLVSVDNTLKGYAIILYRGKNYQMPFRLRPSNLLTRKKAFTRSIELQRREALKHHVADLEERIELLKSGQEEDREPHKKSDGEEDDNLYLRVDESDYSSDEDESFLSSEEEEEET >A01p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1172494:1173426:-1 gene:A01p002600.1_BraROA transcript:A01p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 17 [Source:Projected from Arabidopsis thaliana (AT4G36410) UniProtKB/Swiss-Prot;Acc:O23239] MTTSSASTRKGLTKIATNRLQKEFMEWQTNPPAGFKHRVSDNLQRWIIEVNGAPGTLYANETYQLQVEFPEHYPMEAPQVIFQHPAPLHPHIYSNGHICLDVLYDSWSPAMRLSSICLSILSMLSSSAVKQKPKDNDHYLKNCKNGRSPKETRWRFHDDKV >A02g510160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27255685:27256517:-1 gene:A02g510160.1_BraROA transcript:A02g510160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKGSRLLPESEILRKGKDDYNAIIIRRGFCINLEKPGLYHGNHEEEQWWFCDFFYAQYITVSITGKCSHNQHKKGNMDSLSAPSASEERIYNTTNVKDKFL >A05p007290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2934398:2935447:-1 gene:A05p007290.1_BraROA transcript:A05p007290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHDPPRGFFPFGNPFRLLSLKSSALSPWLLSLLTGFELLLAERLKKLIPKNKDDILTLSWMKLAMESLCETHENINTLITDLHLPVSDWEEKWVDVYLDISVKLLDLCNAFSSELTRLNQGDLYLKCFLHNLQSGSDQKKYLQSRSSLDSWRQHVNANNARIETCREVLDSLAKSLTLPNVKSSAKGKVLMRAFYGVKVQTVYICSVFTAAWSDSTNDLFDLHVSEKPLWAKVFTDTQSVVNGEVRDLLSSGRSTILKELERVDASVEKIYPMIQDGVDDTVEAETFKDCVMELGTQAEKLSQGLDRLLEEVESFFKMTLSGRDVLLCNLRSSDLISGNVFGEDVG >A07p017920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10835801:10836411:1 gene:A07p017920.1_BraROA transcript:A07p017920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEQNQRETETQPKSESKVVKWIEINKMESPRNHVGSEEEEYSSCESGWTMYIGDAIQGNDHSTVVVDDNDDDESHVKYADDGYENDDGGKESDDSMTSDASSGPSNHLPNNINKQAARKNGSKQVYIEKRQPKEKTLSNEGEKSEVKARTRTSAASRVQSRGKVSKTK >A06g507810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21783886:21784177:-1 gene:A06g507810.1_BraROA transcript:A06g507810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFLTSEKLETEKRFNDEEEEGSRKRKRKVKENRGSGLSFDDGKINGVRLIAEAPELEMTDDRLID >A01p051630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28952197:28952826:-1 gene:A01p051630.1_BraROA transcript:A01p051630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASALDDDDDVGKILVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGEQYCDIPLGLYVIRGENVVLIGDLDTEREELPPHMVRVSETEIKRAQKVEREASELRGTMRKRMEFLDFD >A08p000230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:169009:173422:1 gene:A08p000230.1_BraROA transcript:A08p000230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 21/22 [Source:Projected from Arabidopsis thaliana (AT1G56010) UniProtKB/Swiss-Prot;Acc:Q84TE6] DQRISTKRERERSMESEEEKESSISMVEAKLPPGFRFHPKDDELVCDYLMARSLGHNTKQPPPLLLIQVDLNKCEPWDIPKTACVGGKDWYFYSQRDRKYATGLRTNRATATGYWKATGKDRAILRKGKLVGMRKTLVFYQGRAPRGRKTDWVMHEFRLQGSSDPPTLNSPEVQEEDWVLCRVFHKNTQGDNMGSCFDETVSASLPPLMDSYINFDQEPSSYLGHDQHFFTNEQVPCFSNLSQNQTIHSNLTDSVSELETPCKNPIPLFTGGSSPAMLPGLDSFSSSDQMVLKALLSQLTKNDGGIEVKESQSYGEGSSESLLTNIAIPSTAWN >A03p028370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11903988:11904911:1 gene:A03p028370.1_BraROA transcript:A03p028370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-2 [Source:Projected from Arabidopsis thaliana (AT2G26150) UniProtKB/Swiss-Prot;Acc:O80982] MGFSKFAPLLHQTYLLGFRKIDPDRWEFANEGFLAGQKHLLKSIKRRRNMGLQTVNQQGSGSGSGSGMSCVEVGQYGFEGEVERLKRDHSVLVAEVVRLRQQQHNSKSQVAEMEQRLLVTEKRQQQMMTFLAKALNNPNFVQQFALMSKEKKGLFGSDVGRKRRLTSSPSLGTIEERVLHDQEFDRMKDDMETLLAAAIDDEASKDEQCLEAMNVMMEDGPLEPEIDVKVEDLVASPLDWGSEDLHDIVDQMGFLGSEP >A09p054860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47098001:47100953:1 gene:A09p054860.1_BraROA transcript:A09p054860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVPLSHYQLPNSNSGLNMDHQDNSIPAATGNLIACDDKNKSIVQKQQPCMAREQDQYMPIANVIRIMRRTLPPHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDDYVGPLNLFINRYREFETDRGCSLRGESSSFKPAFGGNGFGFHGPPQGPPHPGRYGYGTLDQSMVMGGGRYYQNGSGEDGSGGGGGSSPSMNGMPVFDQYDSIPMEERQELRRLIPRQLVATVLGLYTIYNEGESGFDLSPDPNTARGGVSLACASGSNTLARMFFMMVIFRITVTPVVLEQLRRTRESCDVNLVLGSILLLISFAISPYLR >A06p034360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18574736:18575406:-1 gene:A06p034360.1_BraROA transcript:A06p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTSGFRQRVESACRCQRVSFFYPRFRICFIVPALLYQSCCWPWSDVSPDAREVVDRGGILVGAGVTTRWNRAIVCGGVSFSRLRVTCFLADILCRSLEPSRSKCVDEVRFVSVLVLMVGVSSRLWEASYSGDNMRIPSIQDNEENPTFLWFS >A03p048930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20905111:20908214:-1 gene:A03p048930.1_BraROA transcript:A03p048930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHHRSSLFLFLFLFLSLLCLVDLVVVASKSRTRFDERLTLLRLRSTLGLRGTDWPIKGDPCRLWRGIQCNSNGSIVGINISGLRRTRLGKQNPRFSVDPLLNLTSLAYFNASGFALPGSLPDWFGLTTTLRLLALGNLTTLRSLNLSRNGLTGSVPSSLVGLLSISEIDLSDNYLTGPIPPGVGLLSKLMYLNLSSNSFSSSIPSELGDLVDLVVLDLSINSLSGSVPKEFRKLTNVQKMVISDNLLSGTLPVDLFGANSKLESLILRENSFSGNLPDAYWSLPMLKILDVAKNNFTGMLPNSTSGPNQINAVAVDISWNMFYGELTGILTRFSTMDLSGNFFEGKVPDYVLRANSSVTSNCLQNEKRQKSSEDCSSFYSSKGLEFDDFGRPNATSEKASSGISRRTVVILAAVGGGIGFILIFILLPILVALWIRRRGNSDRPTKHLNETSQPPKGGAQTFDLSRLGNAFAFEQLLQATEEFNEANLIKHGHSGSLFRGVLDNGTQIVIKKIDMKGGKNEGYYVSELEVLSKAGHHQRLVPLLGHCLEIESQKVLVYKFMRNGDLASSLFRKSDNEGLKSLDWITRLKIALGAAEGLAYLHHECSPPLVHRDVQASSILLDDKFEVRLGSLSKVYAQGDVYQSRISRLLRLPQSTEASSSGAINATCAYDVYCFGKVLLELVTGKLGISSPDNAEAKSYMEEALRYISTNEKELVTKILDPSLIVDEDLLEEVWAMAIIAKSCLNPKPTRRPLMRHIVNALENPLKVVREDNSSGSGGSSRLRTNSSRGSWNAAIFGSWRQSASDVQAGGTTSGGGNGNSGSQGSSGRNNNNGNSSSRRRHSSEIVPEPAGYGGDV >A08g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6573031:6575165:-1 gene:A08g503920.1_BraROA transcript:A08g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRLLPVGAWRHIQNFTLSPSTGMYRATDHPFKMSIIQNTAITRSPLNNEDMFLSLVDFQTVLGGSLKTCLLIDVIGQVVDLGDLETIQVSGKPRMKVEFTLRDMNDARVPCCLWGKFAEILYEGCSKDEDGKPICLIRFVDEVKPLAICEGRDEILDLEENSVNLLDNEEHIEGLSTPSTTPSTKKKGAWSDPPRDITSTSKNLRLKTIKVEKMSDLEAEAGKKT >A04p013070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4196847:4200228:-1 gene:A04p013070.1_BraROA transcript:A04p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRRVNRVFEVANKKKDASSLGVVSYDLSLTTAAPRRRALSSTPATTSTVINSGDDEHCHHLRRKHEALIVFSPVSLSQRRHQGDEIPINSGDDKHYHQLRRNHEALILQHHLVVIPKSRVLRFKYEASIFNDNGLRTNKSCDYLSTSCHQSAALTVLSLAFLRNVAYDIVNFLLLVLVKLSSIQNNFRKHLYSFSKAFQNIGWLLNLLMSLLLLVLVKLSSVQKKKRKHLCSFRKAFQKLGWKHLCSFRKAFQNIGCYFSLFTQDRNKMGDSDFGWTRLDKENDTHVNTKEK >A09p016700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8747506:8747955:1 gene:A09p016700.1_BraROA transcript:A09p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGVIKIFGLMLSFLLIAITPSGAVVAGEKEVETPPPVIFVLSSSDDVVRVSTELNIMFARRFFCRDESGYWRVANSSLPIKEVVLSGSKSTYDSTFTIQKSVNGSYKFAFGSADKPTNIGLDGIYPGISRLVLSNSSSFGVSFIPG >A03g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26448535:26453981:1 gene:A03g507470.1_BraROA transcript:A03g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSDDLATEVEKEKKAATPKVSFLKLFSFADFNDCVLMTLGSIGACIHGASVPVFFIFFGKLINIIGIAYMDRHQASHKVAKYSLDFVYLSVAILFSSWLEVACWMHTGERQAAKMRRAYLRSILSQDISLFDTEASSGEVISAITSDILVVQDALSEKVGNFLHYISRFIAGFAIGFTSVWQISLVTLAIVPLIALAGGMYAFVAIGLIARVRKSYIKAGQVAEEVIGNVRTVQAFTGEERAAKLWALLVWFTSVVVHKGIANAGESFTTMLNVVIAGLSLGQAAPDVSAFVRAKASAHPIFQMIERDKAAKTSAKSGRKLSKVDGHIQFTDVTFSFPSRPDVVIFDKLNLAIPAGKTVALVGGSGSGKSTVISLIERFYEPTSGAVLLDGNDIKELDIKWLRGHIGLVSQEPALFATTIRENIMYGKDDATDEEIGRAAKLSEAFSFINNLPEGFETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKNVQEALEGVMVGRTTVVVAHRLSTVRNADVIAVVHEGKIVEFGNHENLVSNPDGAYSALLRLQEAASLECNPSLDRTLSRPHKYYLLIQYSREQSRTSSCLEKESVTREDGEDQSKEAKVTMRRLYSMIRPDWLYGICGTLCAFIAGSLMPLFALGVSHSLVSYYEKGWDNTQKEVKKIAILFCFASAITLIVYSIEHLCFGIMGERLTRRVREKMFLAILKNEMGWFDEVDNTSSMLASRLESDATLLKTIVVDRSTILLQNLGLVVTSFVISFMLNWRLTLVVLATYPLVISGHISEKLFMQGYGGNLSKAYLKANMLAGESVSNIRTVAAFCAEEKVLELYSKELLEPSKRSFRRGQTAGLFYGISQFFIFSSYGLALWYGSTLMDNKISSFKSLMKTFMVLIVTALAMGETLALAPDLLKGNQMIASVFEILDRKSQLVGETSEELTNVEGTIELKGIQFSYPSRPNVVIFKDFDLIVRSGQSMALVGQSGSGKSSVISLILRFYDPTAGTIMIEGECSRLGTINTKFLVSQVKSEKPRLLASKGRKDIKKLDLKALRKHIGLIQQEPALFATTIYENILYGNEEASHSEVIESAMFANAHSFITSLPEGYNTKVGERGVQMSGGQRQRIAIARAILRNPEILLLDEATSALDTESERVVQQALDRLMTNRTTVVIAHRLSTIKNADTISVLHGGKIVQQGSHRWLVLNK >SC166g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:156465:158501:1 gene:SC166g500060.1_BraROA transcript:SC166g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTRFGILASKTKMLFALQPGTARDALVFFPQRTDQDKAVTRLISHGGNTPLISPITDQNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHVRSLEMIEQGSKSLLKHGKGLQSWVFDPGDDHVSIILQVSDLRTNPFEGREDGVTLISSILKNMKSRKEEAMKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNLHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSPDTTWCSPGMAVSPWIT >A01g500900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3526754:3526975:1 gene:A01g500900.1_BraROA transcript:A01g500900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFNGIRILTLLSKQRNRDESESQKTYDVFKTLRKRYSVKLEMPAVLLPSAETVAQNRTTDARKAEADEKGR >A09p022170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12217487:12218416:1 gene:A09p022170.1_BraROA transcript:A09p022170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1B [Source:Projected from Arabidopsis thaliana (AT1G56330) UniProtKB/TrEMBL;Acc:A0A178WM97] MFLFDWFYGILASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDEALATVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVTTAGGDSGVRPLEVFMCSIVRKMGYGEGFKWLSQYIN >A05p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5770231:5772665:1 gene:A05p013260.1_BraROA transcript:A05p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MAEICFFGGLVHYKAPSPSSLHYPSLFRCKPHHYSLMSASISAECHSSSSTVDPPSLLVFSGGTGFNGVVEELKKITTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALAVRRLLGHRLPIDAHNAKKDWYDIVEGNHSLWDGVSRPYRETIRAFLIYFQNEILRRPNDSFCFANGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPCDSLVLPVISTNDRLTLGCELQDGTIIRGQNEISHPTNGTIQTVDKRHSSTLPSKIKRVFYMSSEGNNLLHEVFPPVNPTVLDQLRSVDCIVYAMGSLFTSICPSLVLLGVGEIISSRSCRKVLLLNGSQDRETSGFTASCFVTAIADALNRTHGDPNIRLKNPPGYYINTLLVPKDGDIAVDFKKLSEQGISDVRVVESVRDPKHGVLFNPSSLINTLASLVE >A06p024910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15199254:15199570:1 gene:A06p024910.1_BraROA transcript:A06p024910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNNALILDLSESSNNVEMWAKILRVWETITTHNLKERHLIVTDPNGCRIEITIPNNLIDQHYFGYFDEDQWRIFR >A07p045880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24853177:24854746:-1 gene:A07p045880.1_BraROA transcript:A07p045880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSLPSSLLTQGGPSLLFSSSNQVSEAKCQLVVTPGKRPLVRCLAKKKISFVDQILDYIEGGPKLRKWYGAPELLPKDGSSSGEDDEAEEQEEDALDGNKDTVFVTDGDSDVGQMIILQLIVKGTRVKALVKDKRKAMEAFGAYVELTTGDASDERFLRKAFRGVGAIISPTEGFLSNVKSLKGVKHVVLLSQLQLSVYESSGGIEAMMNSKAKKLSEGDEKAVISSNVPYTIIRTGKLENSPGGNLGFNFSTDAATKGSLSKEDAARICINALSVIPPTGLLFQVSNGEEAVSDWEGQLMKLMQRQNQKK >A06g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18509320:18511296:-1 gene:A06g506630.1_BraROA transcript:A06g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEAPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A08g509060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17396926:17397797:1 gene:A08g509060.1_BraROA transcript:A08g509060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANIYPIQDESPFVEREENYEIYREIYGDPIYDVYEDDVRVVDFVFSEDFFANFVCAKIGQDEIRAKFGRDKIRANPVQDELRANFGESANFVQKRICEDSSYKQISAK >A05p026640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14237847:14239383:1 gene:A05p026640.1_BraROA transcript:A05p026640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSAPSRKALSKIACNRLQKELTEWQLNPPTGFRHKVTDNLQKWIIDVTGAPGTLYANETYQLQVEFPVNYPMEAPQACHLDPLDILYDSWSPAMTVSSVCISILSMLSSSPAKERPADNDRYVKNCKNGRSPKETRWWFHDDKA >A05g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1191319:1192265:-1 gene:A05g500290.1_BraROA transcript:A05g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLGPTIREARSTFESGARPARERPCRRRSLFLFVVVVPLHFLFAVLSTSALSDLCHRPHPFRWFGYGVTTRSSGGPRWRKWLACTVVVFSGRWRLLQIHRRRFELPGRESSSDSSSPLSAMESGGYQRSALPFGIPMFFGKKVYEVSFGSWSVWLSSWWVWVTADEISVEDDALRRGDGGQEKKRDGCDDSGEKNGLRSLEACRVLSSDERGSLSVFVPVASRWRRLSRDGDDALSAKGADLPACRASLLDALSMWGE >A01p042710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25303961:25305090:-1 gene:A01p042710.1_BraROA transcript:A01p042710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVKEHTKAIEDFVEFFSFLWGRLGFDMHISTIKERDEITLSQNTIIVKGFALTIQLVMVEARHAILANDGHARNLGKKAENVINLDPTRPIVESTLVWSDGGVTKIDVERIREEAKVHAREKKTKKPYLAKKKKKTKKPIKPSSKC >A05g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20181792:20185593:-1 gene:A05g507100.1_BraROA transcript:A05g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGLQRKSNKEKPQRNSNSQTPFKYSLNNFDEFVSVQDRPNRRSNEPFKSSQGEADPKRRFLQFDVQEICDNFEKGMMKALKDISKSPKKSTSTRAPVAEPSLFISKKTQDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPCPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHCEKSELVISLPDMFDKISSLDVIRFGLDKIKENCFSKSVFGNMINSFKIFEPDKFLDQQRFQNNLGISSEIILSFDQSLEQSKVFDHFEKYLELDMKQTDFCATKSFDSFVFKENSFDLNSSRHRLITDDSFASSLDLDDFLIKKMQEQNSLETETGFCELDFCDSNFLRSSCENFVDLSVADILVYNTFFEKCLESLIVVSQSEFKLVCSDVDNDMHVLEMINVVAYLDKILVCNVYFDLHLDRLKNVQFEIQVQPLIIESIARAQQSEFWRSFVVQTGYLGASNRGSVQEGYLNSPKLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVTDRTVPNASGWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRIHQYPCPDDRIHRTGARISRTDWHFKSNGRDRFGFGRLELKIGHDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPCHRSYHQFSILSDLSSYQPYRKSDPYFGSINIPCFKTRRRGPQSRRKIVIRPSTVAN >A09g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13345584:13348121:1 gene:A09g504310.1_BraROA transcript:A09g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGQVITCKAAVAYEPNKPLIIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGGSIEILLNAKDLFFVWSGNRVVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRSATGVGVMMNDRKSRFSVNGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKAPLEKVCLLGCGVPTGLGAVWNTAKVEPGSNVAIFGLGTVGLAVAEGAKTAGATRIIGIDIDSKKYETAKKFGVNEFVNPKDHQKPIQEVIVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRTQVPWLVEKYMNKEIKVDEYITHSMTLGEINKAFDLLHEGTCLRCVLSTSD >A03p054220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23267894:23269437:1 gene:A03p054220.1_BraROA transcript:A03p054220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFTFLISLSLSLSLSSRDSCFSPSDTVGENPPPNREIAVTFSPPSISVYRQNRIFRVGFLEKERERGKMSFQDLEAGRGGRRLASSSNTNGGGGRQDTTQAVASGVFEINTAVSSFHRLVNTLGTPKDTPELRDKLHKTRLHIGELVKDTSVKLKEASETDHQRGVDQRKKIVDAKLAKDFQSVLKEFQKAQRLAAERETVYAPLFTISSPSSSFASSEIDVSGDKHQEQRALLVESKRQELVLLDNEIVFNEAIIEEREQGIQEIQQQIGEVHEIFKDLAVLVHDQGTMIDDIGTHIDNSQAATAQGRSHLAKASKTQRSSSTLMCWLMVIFGIVLLIVIIVLA >A07g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6583849:6588239:-1 gene:A07g503340.1_BraROA transcript:A07g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRNYNLQHLNSGHASNIISNLSYIYHLLANQRSTSLDAITSSSIDTHNQPSTNTRPSSSIDPNRSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLYDQAGHLRKAACQKIDAHGTVIHDTDATGAAQPEGDFEIESSMSLGGSQWCRPMSMNSHRSTNHDEDRWTDYSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLMKRKEKIPKNLKREANDKEIDSFTKRVLKITHRLWIFFRETKVTEEDIRRMFHQVKGKMKHRITWTKKSDPGKFAIPCVVKEYESEYDTEHSESIDTPTFPSIDSSVSMVTDDHNNTSLDVMHPLNHFASPNHCHQHFAFQPPTRRGHDDYSIGSWGGSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLEIHKFTKTFPTSFDAVHSASVDTHPRPAKQPFTSIDTNKGTSIDIRAAAKIQEQEKIPSPTRFIDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVIVSTSIDTSLNPNLSISKLNNYANIDYGFLTPDEFGIFRDTDGNARAMDGKILQVSREDIADILQVANGPDNLFSQQRDTSDVIQTDPNNHAGVTTTEINPDLSRQPKGKTSIDGTTETSIDRVTPTSIDMDEPTSIDRRYECGNCAFDMYGARKFTWEQRDEYGVYRDER >A09g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6295015:6295675:1 gene:A09g501720.1_BraROA transcript:A09g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVSSSLFLILCFFFCTLCFVVLVCSSSSAQFFVSSLVSSNPTISHKRELKICSSSTVSWDSLLLPLRVSLDGHHLTLTGYICNSTVWHGSSWMYPQTAHYSTRSKLVVDFAVSREQTNEKGEKMYIQTRMAEYAEELWELLEKKRQHLCLHVWSQGYGEGYR >A03p046310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19523237:19524511:1 gene:A03p046310.1_BraROA transcript:A03p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 1 [Source:Projected from Arabidopsis thaliana (AT3G24050) UniProtKB/Swiss-Prot;Acc:Q8LAU9] MEAFMEELLNFSVPEEEGGPVLRSPKNIARRKTGLRQTESFGLLNPDDDLGEGEVEEEDLEWISNKDAFPVIETFVGVLPSEHFSVSSPEREVTEGKQLSPVSVLETSSQNISITTTTTTSNNSSSGSNISVPIPTMISCCAKFNAPVKTRSKRRRREDLRILWTGNDEQGGGGGGSQKRRMTSVAAAAMGRKCQHCGADKTPQWRAGPSGPKTLCNACGVRYKSGRLVPEYRPANSPTFSPEFHSNSHRKIVEMRKHVVSGDGGGRQDCG >A06g501720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6500759:6501895:1 gene:A06g501720.1_BraROA transcript:A06g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERGGRNLWKKSKARKEVYVTAEELLEKKQEEGFGGGQTIIDMRGPQVRIVTNLENLDADEKGRDVDVPMPELQHNLRLIVDLVEHEIQKIDRDLRNERESALSLQQEKEMLIMEEERQNTDLNNMEYIEDEISQIEVENMSGSLTLDSLAIRFEDLQTSYPDDYNLCNLSTIACSLALPLFIRMFQGWDPLRDAVHGLKAVCSWRKLLEVEDNQSIWVLSTPYSQLVSEVVLPAVRIAGINTREPRDPEPMLRFLETWDNLLPSSVLHTILDTVVLPKLSTAVEYWDPRRELVAIHVWVHPWLPILGQKLEFLYQIIQMKLSSVLDAWHPSDPSAYTILTPWKTVFDATSWEQLMRRYIVPKLQLAMQEFQINPAN >A07p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20431136:20431745:1 gene:A07p038370.1_BraROA transcript:A07p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKTRGMGSARVQKTRRKTQRWSDKSYKKSHLGNEWNKPFAGSSHAKGIVLELIGVEAKQPNSAIRKCARIQLIKNGKKVVAFVPNDGCLNLLEPNDEVLISGFGRKGHAVGDIPGVRYKVVKVSGVSLSALYKGKKEKPRS >A08g509350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18415238:18416271:1 gene:A08g509350.1_BraROA transcript:A08g509350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPLPPNLTSIPLLPPNLEILDAHGCDKLKTVMSPMAILKHMEKVHSKFIFTNCNSLEQASKDSITTYAQKKSQLDALRCYKEGHASEALFITSFPGSEVPSWFDHRMIGSTLKLKFPPHWCDNRLSTIVLCAVVAFQNEINSFSIECTCEFKNELGTCTRFSSILGGGWIEPRKIDSDHVFIGYTSSSHIINHVEGSPEHQKCVPTEASIKFKVIDGAGEIVNCGLSLVYEEPNHVVVEGDCSGTSSGRGLSVVESTMSFATRFLSVILRYLWLGVVFFSVFGFARFYFH >A04p004290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2122280:2123115:1 gene:A04p004290.1_BraROA transcript:A04p004290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALVPPFFPNFGWPSTGEYESYYLAGENLDNCTFFDFPVPETYGLVHQQNSLGVSISSAGIGIDKSPVVNKKLKHNASERDRRKKINSLFSSLRSCLPASDQSKKLSIPQTVSRSLKYILELQEEVKMLIQKKEELLVRVSGQRAIEQQAKVVAHYVSTVSATRLGDHVLMVQISSSIIHNFSISNVLSGLEEDGFVLEDVSLSRSQGERVFYTLHLQVDNIDNDKLNCTDLSQRMLYLYEECGNSY >A03p048280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22006818:22008823:1 gene:A03p048280.1_BraROA transcript:A03p048280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITGECQYFILILLCFFAFICFFLFFTKPTHSVRLPPSPPSLPIIGHLHHLLFFLLYKPSLFFFDILPLEFLHTLSSKYGTLLLFRASFFPFLLVSSASVAYEIFTTHDVNVSSRNLPTNEGSIIFDTSGFVTAPYGGYFKFMKKLIVTKLLGPNAQVQSRSIREDELERLRGILLDKAKKKESVEINKEARKLVGNIVARMTMGRSFTEENGDVESIQSLVAKANASKIKMALSVLIFGQLEKVGISWFKKRTVIKKFDKLIERCLVEHKEKPNKDQCMDIMDVLLAVSEDPNAEYKITRNNIKAFLVELFFGGIDTSVNSIQWTMAEIINNPIIAGRLREEIDSVVGKSRLIQETDLPNLPYLQAVIKEALRLHPPVPIIPREFNQGCTVGGFFVPEKTRLLVNVFAVMRDPNVWEDPLEFKPERFLTSLSPGQEDERNKQTLKYLPFGGGRRGCPASNLGYMIVGTTIGMMVQCFDWRIKGEKVNMDGCFKEFTETMAYPLTFTPVTRISTLHSEASLGLHT >A04p004390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2189085:2190376:1 gene:A04p004390.1_BraROA transcript:A04p004390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQREPKSHSLNRQGSSHYSLTLDEVQTHLGGSGKALGSMNLDELLKSVCSVDSNGDTTQEGGGGLSRQRSLTLPRDLSKKTVEEVWKDIQQNTNGGSNNAHKEPTLGEMTLEDLLLKAGVMTETVTGLGQNIPQAGPWVQYHQLPSMLQGQSFMPYPVADMQAMVSQTSLMGGLSDTQTPGRKRVASGEVVEKTVERKQKRMIKNRESAARSRARKQAYTQELEIKVSRLEEENERLRRQKEVEKILPSAPPPDPKRQLRRTSSAPF >A06g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3981055:3982600:-1 gene:A06g501080.1_BraROA transcript:A06g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSRRSSTATTKDHSQKRNPCFDPVGAPPRPPRTIVKISFGLEVLNLPPDIQEILQHLRMRCRWPKILLATVVKLISLLDKIVTGAQMGVISLIMRGVLYIKRKRFCRGDPVEMDHHNLQCSPSLIKIFQRSTA >A04p038410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21871803:21876084:1 gene:A04p038410.1_BraROA transcript:A04p038410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAWSHARGQWVVAILALLVGSAIATEPYIYSSPPPPYEYKSPPPPVASPPPPYEYKSPPPPVKSPPPPYEYKSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYVYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYSSPPPPKSYPPPYYYSSPPPPPKSYSPPYYYSSPPPPVSYPHPHPHTHPLVFKVVGKVYCYRCYDWTYPKKSHDKKHLKGAVVEVTCKAGDKTVKAYGKTKINGKYAITVKGYNYRKYGGEVCTAKLHAPPKGSPCNIPTSYHMGNKGAKLHVKSKTKYEVVLYAKSFAYAPKKPYGECHKPAPYHPPYYYKSPPPPSPVYYYKSPPPPAPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTPTYIYKSPPPPTPTYVYKSPPPPTPTYVYKSPPPPTHTPTPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSDRTTTPHLLLLPPLLQSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYYYHSPPPPVKSPPPPYHYNSPPPPVKSPPPPYYYHSPPPPVKSPPPPYHYNSPPPPVKSPPPPARQTQQNFHIIQEGSKSKMRRSEPNKDRLVETMNNTSPTMIHITSSSSVSLIFHFCIIIFASSSSRIVSKTLLDRIIFERHSGDEVVTTYIVASNAGK >A07p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13828102:13829943:1 gene:A07p023970.1_BraROA transcript:A07p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISADPLMVGRVIGDVVDSCLQAVKMTVTYNCDKQVYNGHELFPSAVTNKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDVTFGNEIVGYEMPRPNIGIHRFVYLLFKQNRRGSVVSVPSYRDQFNTRMFAHDNDLGLPVAAVFFNCQRETAARRR >A07p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23963329:23964836:-1 gene:A07p043800.1_BraROA transcript:A07p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLGYKRRKGSPSLSGLWSLPDDVALTILSQVSRFDRGALATASKSFRSVVASPELNGLRSTEPCFYVCMRFFPDTTPSWFILNATQRKLSPIPSNDAYQAPDSSSSFVVVGNGIYVIGGLFNGGRSSDVWFLDCLSHTWSRVESMNMARASASASLIDGKIYVLGGCTDPSKWAEIFDVKTQTWGDWKVPRMIHNIHRSVVIEEEKKVFVVDVKHLGLYFSPSKPIVWSNGPREDSKPGNRHDWCYIGKRLYCRSDGGRILWCDPVPGELDWKEVKGLEQELQRPWREDLSSINPLTLLPCQVRYPDICRLSSNSGGNIVIFWNVPDSIELWSAEISIEKGQGLAEVWGKVEWSGPVLKLEPLSSVEYSSSKSGFLEKEQCLLHVHLKNLEAANVEGKGDFHLNEHPRLKQFLPQKVAG >A03p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13693601:13695710:-1 gene:A03p032390.1_BraROA transcript:A03p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAR GTPase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G02790) UniProtKB/Swiss-Prot;Acc:Q8H1F6] MFAQLSPSPSPSPANLTHFVHRRTFRNSTLAVSASPPTANSSRPPIQIAGGKDSTLDVTRKNDSKGFTLEANEEEIDWMNLESDIRLWTRALRPVQWYPGHIMKTEKELREQLKLMDVVIEVRDARIPLSTTHPKMDAWLGNRKRILVLNREDMISTEDRNDWARYFAKQGIKVIFTNGKLGMGAMKLGRLAKSLAGDVNGKRREKGLLPRPVRAGIIGYPNVGKSSLINRLLKRKICAAAPRPGVTREMKWVKLGDGLDLLDSPGMLPMRIDDQAAAIKLAICDDIGEKAYDFIDVAGILVQMLARIPEVGSRALYSRYKIQLDGGCGKKFVKTLGLDLFGGDSHQAAFRILSDFRKGKFGYISLERPPL >A10p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8479015:8481591:-1 gene:A10p008470.1_BraROA transcript:A10p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTSCLLQLLVSFFMEGKCSAACAAWSAEACHQLSNLSCVFCGSKPSSEATPYDIKYHLLSSGRPVTARKTREKFREKERMKREKSCVLVWLRAEDLVSKSLESPKFFNLGFYRLCLLINIELVRCLLRAIGSILRTSDRQSRNIDRVIFGHLDRGCHKGGIRAWLYDARMGLVSNKHQDKLEWMERTYRGYYHHDQVCYPHGGVHYLPARNLGSNTQSRIFTWPLFRELQEGFGSKLFGDERYELLVESQELLQRVEFELYRSQEFKK >A08p011330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:161122:167747:-1 gene:A08p011330.1_BraROA transcript:A08p011330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRARCGEDTHIKSEMVSRKEEARCDLQLPYGRGMKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDKQGGVWIRSDVDIKETINKEVKTKERWAEWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQGTHHSIEETTNLWLNPHKHSLSTQPSVLDSTLVI >A09p020820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11062182:11063222:-1 gene:A09p020820.1_BraROA transcript:A09p020820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFQKAKAVRLRSHHDKYLIADEDEESVTQERNGSAGAAKWTVEPVAGSSNLIRLKSAYGKYLTASNKPFLLGATGRKVLQTNPSRLDSSLAWEPIRDGALVKLKTRYGHFLRGNGGLPPWRNSVTHDIPHRSATQDWILWHVDVVEILTDQHQLQQKQQEPPSPLHHSDSLDFEPGSPSRSNRFFRQESTDSVAVGSPPKSEGRVIYYHVADDEGEVEDDSVEVKSFTFKGNGVEELTKRLKEESNVDDVIVCTRSPLNGKLFPLRLQLPPNNADMTVVLVPVSSKIAEGFIK >A03p045120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18852799:18853156:1 gene:A03p045120.1_BraROA transcript:A03p045120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFELTSLILSLKSRTTLILCPFLLAKFLGTYLDLLTNLCQSSLSTLKGLIPTRKVFWSSCRFSLNRSGMDLLNPCPTLYCLINTILSTLGLRNLSA >A03p008440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3391959:3394409:-1 gene:A03p008440.1_BraROA transcript:A03p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLLRRDLQFLCKINKIPANMTNLAMADALKSLETSLDGELDQESVIKNVKFDVAKTPAVRSTRKASCSSKAQESKKVEMVQSAYSTRRSTRLLEKCMADLSLKTKETLDKPESVEETEQEVSAQEKNPAGSEEGTEDAAVILVRDLSASMEKEWEVLKNDDDDTAPVLEHSNTEITNDNKESKNLETFESLVQVDHQVTEQAVEEKDSEPEKTNTFHEETMGDQTDGDSEAESEGDDSGVDSDGTISEADSDQADHQEIEEATQENDSEAEKIITLDEYTMVETDGDSESEQEGDDSGIDSNGTISEADSNQVDHHETEQATHENYSETEKINAFDEDTMVEQTGGDSDTESEGDDDDSGAASDGTISEADSYQAIQGTDIADGKVILPESEGSITAPTSPLVLEEAPVETAPVSPFVAEESVSAQFPRPNKSASKNSAMKLVDVDNTSKENSMEMMMMMLNVVNGEIIGEEAAKKNEKVDIDEENLKDVSIRKLVKMVKKLSIKSSNNRTALQMLPGNNQIAE >A03p049920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21434148:21435784:-1 gene:A03p049920.1_BraROA transcript:A03p049920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL8 [Source:Projected from Arabidopsis thaliana (AT5G60910) UniProtKB/Swiss-Prot;Acc:Q38876] MERILERYDRYLYSDKQLVGRDISQSENWVLEHAKLKARVEVLEKNKRNFMGEDLDSLSLKELQSLEHQLHAAIKSIRSRKNQAMFESISALQKKDKALQDHNNALLKKIKEREKNTVQQEGQLIQCSNNSSILQPQYCLTSSRDGFVGRVGGDDGGASSLAEPNSLLPAWMLHLATNE >A01g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17712117:17713149:1 gene:A01g506010.1_BraROA transcript:A01g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHHPRTLGPSQLKRTIPPLFLLLPVKSPALVGPPPSSSGSVRLCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYGRRVSFLSTLPRNMNKIWNRTPHLSLDLDLDLRIGGKRKRDLRSMTPRGHHHHSRLLKARRERRDRERERREGVAARREREKRRGEEREGEERRREERERESTARASSLREFSAGLRF >A08p015670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10267801:10269060:1 gene:A08p015670.1_BraROA transcript:A08p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PELOTA 2 [Source:Projected from Arabidopsis thaliana (AT3G58390) UniProtKB/Swiss-Prot;Acc:Q9M2H7] MRILRRDFVRDGPGSAKLMAEDSDDLWFTYNLIAPGDSVMAVTSRKVLRERGNSKRVDSERVDSKREDSDSDQRVDSKKPVGSKKRGDSKNRLDAELDDSKPLVSERLTLKLEVQVEEVNYDKDGGVLRILGTNILENEHVPLGAYHSLELVLKRRFLLRKKIWDSLAIDTLNQAKHHNSSSDLAVVLMQEGHAQIFLVGKSVGAPIETSIPNRKHAGYEAALKKFFENVVRAFVKHVDFNVVRCVVIASPGFTKDQFHRHLLLEAERRQLKPITENKSRILLVHANSGYRHSLGEVLSDPKVMKMIQDTKASKEVNALRDFFTMFEKDPYRACYGPKQVEFAHEQKAIQTLLITDELFKNSDVKERKKYVDFVESVKKLGGEAFIFSSMHVSGEQLAMHTGIAALLRFPLPGLDDVEM >A08g502340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5126003:5126370:1 gene:A08g502340.1_BraROA transcript:A08g502340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHPAALLRPLAQTKTLDSWDNKYTVNGGVQVKLELFNCVSVGHCKTGIHICGQHFQPVSKILNAL >A03p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3972878:3974752:-1 gene:A03p009970.1_BraROA transcript:A03p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKVAPIGIWTAVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAASVTTTMLKNEGIGAFYKGLSAGLLRQATYTTARLGSFKMLTAKASEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADNTLPLAQRRNYTNAFHALYRISADEGVLALWKGCGPTVVRAMALNMGMLASYDQSAEYMRDNLGLGETSTVVGASAVSGFCAAACSLPFDFVKTQIQKMQPDAQGKYPYTGSLDCAMQTLKSGGPLKFYTGFPVYCVRIAPHVMMTWIFLNQITKFQKNIGM >A08p040930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23211140:23212099:-1 gene:A08p040930.1_BraROA transcript:A08p040930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAEDNNTFDLNNLPDDPSIDFFPFFKEGSSSSSSSGGFREKHIKDGKEYECRFCSLKFFKSQALGGHMNRHRQGKRPQRETESLNKARELLRNNTFPPHQGPPFSYQHGDMHIRDPITPYKPMMYPPRLFSPSALLTPPPLVQPYLYPPRSPTPPLSLPYRCTDDYYLHNNGTNHQTSTNSGCGGRSPPESRYTFIGSPVANGYSVAPPLSQPLPPHHGYN >A05p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1683904:1686550:1 gene:A05p004340.1_BraROA transcript:A05p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSDSDDCLVQDPLTSSEKASISLEDSGQDSLKVVSLPPLENDSVLEISSEIQVKSSPFASIPEKDVETVHEKEAQAMDVDCPGVYSVSEASSSFLEDDKMETDGSVVCNSEKKGQEDSEVQRLLEAEKRRLLAEIETGTIFRKKEDVVETLEKNQKVERVRVKDNAFVGRSVKIDVVDDTALLNVVPFCSKKGKDHHPKRSGAAHSDDKEAPRKHKKTVGKHQHVEGNASTNQLRIMYSLNQMKSMRYANMGNQRKLWSDMYARLVPELVSEYEGLVSTKKNQKSSKSSGIGILGTKEGIDDLTLEEEEEEEYNEDNDYYNSILRPAFAVDGEPDFESGPPEDGFEYLRRVRWEAKRVPNVKVAKIDESKYIPKEQSVYMPQIPEIPKCPEHLLPLKEWEDSLLSDFSHLRLALSQEANECCGDETMSSTQSIEYELMGMFTTRLNTVADVSFGVVVSEIQGMDSVTRVSRLKKKICLVEKESGLQGSDCIWVVALCASVDTPLDGDTCACLRAVLRKCASVRALEVEDEQVIIMANMLITIAGRYFGQME >A05g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11772718:11773213:1 gene:A05g504250.1_BraROA transcript:A05g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSNIFLNSYEFVREDGVNFFNVCAWRMTTLRHTILRVYFNTSSTKINTKASFIYAIQLPQQYKWYVSLRFVSACLRSLSKSVKNSLSSIPAIMKNRYYENMVNLKPKRQCDLDNVTKVCKHCYYFKRLNQFVEFAINQE >A04p005690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3027195:3028452:-1 gene:A04p005690.1_BraROA transcript:A04p005690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVDVTKKADPKAKALKAAKAVKSGQVIKKKAKKIRTKVTFHRPKTLTKARDPKYPRISATPRNKLDHYGILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >A06p020340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9964620:9964865:1 gene:A06p020340.1_BraROA transcript:A06p020340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALDSELDEIQAVSKEFSELSIAYISRSLKFRTNSLAKGVRSYLDQLL >A05p004390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1722100:1722636:1 gene:A05p004390.1_BraROA transcript:A05p004390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSH10 [Source:Projected from Arabidopsis thaliana (AT2G42610) UniProtKB/TrEMBL;Acc:A0A178VLX9] MSSPRDRGKSSMESSGSEPPVTPSRYESQKRRDWNTFGQYLRNQRPPLPMSHCNCNHVLDFLRYLDQFGKTKVHVPGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLRVVRECQAKARGIPFKKKKKKPTTTEMGGGREDSSSSSASPFGFS >A02g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:563169:563696:-1 gene:A02g500160.1_BraROA transcript:A02g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVLSYLNRFLLFKNKDQTRDSKILKFLGLCGIHSPEPGSVVFLSAIETRGGVSWSEEHLRRREP >A08p008790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4656825:4657037:1 gene:A08p008790.1_BraROA transcript:A08p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQTCIVPLAIWQAGHGVPLAIWRVGRHRPTRHMANRIWTVPLDIWRAGHGPSHLPYGKPDEDVVLSIV >A07p000630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:602632:604483:-1 gene:A07p000630.1_BraROA transcript:A07p000630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQQPKADLAKKQKQVEDKTFGLKNKNKSKNVQKYVQSLKQSVQPKPDAAKVAAKKKKEEEKAREQELNELFKVAISQPKVPVGVDPKSILCEFFKAGQCQKGFKCKFSHDLNIQRKGEKIDIYSDKRDEDGDMEEWDQETLEKVVESKKNEYNQNKPTDIVCKYFLDAVEKKQYGWFWACPNGGKECHYRHALPPGYILKSQMKALLEEESQKMPVEEEIENERAKLKTATQMTPALFMEWKRKKIAERDAGLAASQAERAKNDRMSGRELFLSNASLFVDDAEAFEEYEREKEEEEIEQKAKSKETEAGTSKSSGDATEQSSKEVDEEDDDDDDDLDMDELDELEASLSKTTIQIREPNSEGSSS >A05p001960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:509492:511075:-1 gene:A05p001960.1_BraROA transcript:A05p001960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLLRHRTLPKSPSLLSRHFSSQCEDEKSVYRRVRSLIGSSELDKAVEYARSPFVVKSRRATRSVICELVVTALYDAKRYRELLSLIFKFSIRDCDPKLYYGLNCLNLQSRAREKRNLISYAKYEAEAKRLELNKCLVSNPPPYPIILGGDVIISPPKNQYYDESHLYSTVKLLIQNLSDLDTAMEYARFTAFTTTRTETTTATCDLIIAALCEAKRYMDAYHLFHYFFNQSNISLSVDCCNHIVKALCDDGHAHVALQLHHHDDAPLDYQTYRILTKALFLSGKIDEALDLVKDIFSLNNPDKYDSVFLDQSDFDKAWALCCEEVISSKNVGYPTVTKTAVTVSLIEYHFSKGEDEKAMEIYTCLVSEKIEDASIRPLFQLLSKYGKETEAWSLVKNLRFTDHSEHIRFMMNQFFRYQLRMTNEAIDTLKKVRKIEDFITTCKFNHAPYSNVISRCCQDGNTLSHAKLILAGLLNKHDPSAYKVSTFQEIINAYLKAGRLDDALETANMMVDANLKLVSILMKS >A03p019800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8115476:8116849:-1 gene:A03p019800.1_BraROA transcript:A03p019800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) UniProtKB/TrEMBL;Acc:O23315] MEKGNPFVASLHEVENQLELSLRQAFESLEPKLQPPFSLDIPDPQESLELTRAIVYAVLCDSGSSKTHIKHLHALVTDGYAFFTSLLVGIVVELYGKLVDSAKVQLLWLTKEMIDVSSVGLEDLLVSLLRRIGSGDYGEQNVWLCSELVSLFLDKWDCLLEDAPLVLTSALYSFLRLLADHCRVTGIPKLENVKRLEIKFCVKMFREQLHLSLKIGRDLVRLLQDLVHISEFKEIWNDLVCSDVSKIYQSKTSSRYFLLRITPEMETQLRFLLGNVKLGSHKRHQVWFLKKFLLGPEKETVLIDIVRFICCVVHPTNEIIRSEIMPRWAVIGWFLDLCKQNHHVEGRVKLALFYDWLFFDERIDSIMNVEPAVLLMLWSIPQYPHITHSLLEFLLHLVDTYDIACRDMIMRGVASAFREIERKGVVQSLDMFLSNPEIATDLKKKLANLLSCHQDIN >A10p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20624382:20626859:1 gene:A10p036160.1_BraROA transcript:A10p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XBAT33 [Source:Projected from Arabidopsis thaliana (AT5G07270) UniProtKB/TrEMBL;Acc:A0A178U6J8] MGNSFGCSASGERLVSAARDGDYVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVGLLLEKGADVNSRNYCGQTALMQACRYGHWEVTRADYLAGRTALHFAAVNGHARCIRLVLADFVPSEQLNPLPESGVVVTPRNKSGQSALSKFVNKAADGGITALHMAALNGLFDCVQLLLDLEANVSAVTFHYGTSMDMIGAGSTPLHYAACGGNLKCCQILLARGARKMTLNCNGWLPIDIARMWSRHWLEPLLSPNSDVVIPLFPRSSYLSLPLLSILNIAREFGLQSTTISDEVDICAVCLERTCTVAAEGCEHQLCVRCALYLCSSSNVPSVTVDPPGSIPCPLCRHGIVSFKRLPSSLTKELKLPMSLGFCAPCMLHTGDARDQSSPTTEQQQQRSSKTRAASVSSDMFCPVTCSPFPSVNIPLCTCSDGTCPNFETHGAERDGEEHDESSPPPRVATEQEKVDEGQRLGKTTTCSSMFWGRRSCSRENQCNSEINA >A07p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:117796:119252:1 gene:A07p001460.1_BraROA transcript:A07p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMRAKQLVVGPPSKKRRRHRSEFVAVVTGLELGGSMREEARRVRGGDEVLSKQSPRIIAVLILLSGDVFKLLKQMAKKASSGGGGEAEQEEEERWRKRDDKDTKGRRGCGEDVRVDVKLNKQIWSRGIRGPPRRVRVYVARKRNDDEDAKEEFYSLVTVAEIPAEGLSGLGTKVIDEDE >A08g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17580839:17581356:-1 gene:A08g509110.1_BraROA transcript:A08g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLLYVQARVPALPLKDEGFVYLILILTPCTLMVGLNQVDSCHCLGIKGFQAPENFNGRDYEALNGTTENLLPLRKTFSQDCTSKGVLLSLESKSLIAVPNPDTC >A03p028830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12129190:12129598:1 gene:A03p028830.1_BraROA transcript:A03p028830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKIFIVFVICLLCTLLVSVSGIQVKPSCTTSIECELVCFRRTGRRDGVCEGGMCACLSPKPKTELTKTILCKKDRDCPSSSECPKDFYYSCLHGECTCIAV >A06p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19194703:19197219:-1 gene:A06p035490.1_BraROA transcript:A06p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQD23 [Source:Projected from Arabidopsis thaliana (AT5G62070) UniProtKB/TrEMBL;Acc:A0A178UJA3] MGFFGRLFGRKKQDSSTNRRRWSFTTRSSKPASTSSSKRRSYPTELPSVNEEESGDKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGTGGRNGGGSNRRWALEYLAAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMFRRMQTLVRLQAQARTRASRSSHSSASFHSSTALLFPSSTPSPRSLHTRSLSNAEVIPMDHRSHSKRVDWQTEEDEDKILEVDTWKPRLHHPKPLRSERNNESPRKRQQSLLAPRSTENSPQVGSSGSRRRTPFTPTTTRSEYSWGCNNYYYSGYHPNYMANTESYKAKVRSQSAPKQRLEFSNETSGYKKSVQGQYYYYTAVAEESLDAGSPGYYGGEGGGASERLNRNQSEKTRMRSSFLV >A02p049640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30745394:30746684:1 gene:A02p049640.1_BraROA transcript:A02p049640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFTRNFKLIASNGSIPKPITSFLHYSTSSSRKLEGKVAVITGGASGLGKATAEEFVSQGAQVIIVDIDEEAGRMVATELGSAANFIRCDVTVEEQVAKAVKTVLARHGKLDVLLNSAGISCSISPPSIADLDIDIYDKVMRLNVRGTVLGIKHAARAMIPAGSGSILCLSSISGLMGGLGPHAYSISKFTIPGVVKTVAGELCKHGIRINCISPAGIPTPLTLRMFREVFRGHNIPEEQLVAIVNAGGDLKGEKCEERDVAKAALYLASDDAKFVTGHNLVVDGGFTCFKTLNLPFP >A07p025620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14719414:14721860:1 gene:A07p025620.1_BraROA transcript:A07p025620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTNFEIEVNPAETISDTKKRIETLHGAQYPAAQQMLIHQGKVLKDETTLEENNVVDNSFIVIMLSKAKVSSSGASTASAPAPSATLVDKAQPAQTVATPQVATPTASVPEPTSGAATVAAPSAAAASTQTDVYGQAASNLVAGNNLESTVQQILDMGGGSWDRDTVIRALRAAFNNPERAVEYLYSGIPAQAEIPPAPQAPATGGQAANPLAQTQQEATPVPATGGPNANPLNLFPQGMPAADAGAGAGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPQLVRLIQEHQADFLRLINEPVEGEENVMEQLEAAMPQAVTVTPEEREAIERLEAMGFDRAMVLEVFFACNKNEELAANYLLDHMHEFEEQ >A04p004720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2440101:2440781:-1 gene:A04p004720.1_BraROA transcript:A04p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICCGGGGGSACAVRCDRRTVTPRASCVSVPVTNRRNRTENGKVSMTMADFAPVKPERKRGGSVVSREKLDEWLRDSVVEIVRNLRESSLMVNLYAEGNGGLTTTATATNPAAEDWEAMEGRWGRGEERTPEGVVLVEKLADGEVAERDDYDGGACGEGATSAWGIVAQGRGTDSGPVCYLLKTTRVGSGVGTVCTHFSLVKVKSFRETAVSQLNNSWLVQTGQ >A03p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7152800:7156347:-1 gene:A03p017580.1_BraROA transcript:A03p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVKTGSKVCMNASCGSTSTVEWKKGWPLRSGSLADLCFRCGSAYETSLFCETFHLEQSGWRDCYLCNKRLHCGCIASKLMVEFMDYGGVGCTSCTNCHQLNLNKRGENPVVFSRLAMNSPHTNGENGISIRSEADLFSQPLVPGDDKREEFMAHRGFANFMKPNNNTNNNNAGEMHEPSQPSLNMALATLPYSPSFANPVGGNKLMAAASQSQSYIGQCSASSILQKPSKSVPGTPPGTSKSAQARIGRPPVEGRGKGHLLPRYWPKYTDKELQQISGNLNLNIVPLFEKTLSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDVRGKEWTFQFRFWPNNNSRMYVLEGVTPCIQSMMLQAGDTVTFSRGCGLTNGTSNEDTSSSGVTENPTSINASSRPSQIPEELKGVPEHLSLNGGSICDDSSRVKDKKRTRTIGAKNKRLLLRSEELRVTWEESQELLRPSPNAKPTVVVVEDHEFEEFDEPPVFGKRTILTSKPSGCSCSAPEESLKDLENVLRAGKEYKKRRIQAAKTEEEPSGLDALASAASAAVLGDALGGDSEVATTTRHPRHRVGCSCIVCIQPPSGKGRHKPTCGCTVCSTVKRRFKTLMMRRKKKQLERDEITAAAEAYEEHNNKEAAERGDTDENNGEKEGRIDLNSDPYNREDVEAEKEDTKGRECSGVADEVLGLTELGGEAASCEELKAAT >A04p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7304323:7308806:-1 gene:A04p010190.1_BraROA transcript:A04p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-fatty-acid--[acyl-carrier-protein] ligase AEE15, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14070) UniProtKB/Swiss-Prot;Acc:Q8W471] MPLHLKPDCSFFISPSSAMTSTSLGPSMLLSYGSSSREFHNLRFRLISGPRVRVPSFRRFRIHCVSKGKEVTPIIESSSLSGGDAALRSNEWKAVPDIWRSSAEKYGDKVALVDPYHDPPLKLTYNQLEQEILDFAEGLRAVGVKPDEKIALFADNSCRWLVSDQGIMSTGAVNVVRGSRSSVEELLQIYQHSESVALVVDNPEFFNRIADTFTSKASLRFLILLWGEKSSLVTQGMQIPVYSYTDIKNLGQEKRAGSNDTRKPINPDDTAAIMYTSGTTGNPKGVMLTHRNLLHQIKHLSAYVPAEAGDRFLSMLPSWHAYERACEYFIFTCGVEQMYTSIRFLKDDLKRYQPHYLISVPLVYETLYSGIQKQISTSSAARKFLALTLIKISLQYMEMKRIYEGMCLTKEQKPPMYIVSLVDWLRARVIAALLWPLHMLAKILIYKKIHASIGISKAGISGGGSLPIHIDKFFEVKPSAIGVILQNGYGLTETSPVICARTLSCNVLGSAGYPMHGTEFKIVDPETNTVLPPGSKGIVKVRGPQIMKGYYKNPTTTKQVLNESGWFNTGDMGWIAPHHSTGRSRCCGGLIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSRLIDQIVVIGQDQRRLGAIIIPNKEEAEKLDPETSQLSDEKLKSLVYQELRKWTSECSFQVGPVLIVDEPFTIDNGLMTPTMKIRRDKVVAKYKDEINQLYN >A07p027780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15801781:15805750:-1 gene:A07p027780.1_BraROA transcript:A07p027780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVHREKASSIAMILETRRNVEFPHRNVDKRPRKRPRLAWDAPPPPLPPPSSVFHPPLYYGPEFASGVVPSFGYPNMFYNGLPRQGSPPWRPDDKDGHFSFVVGDTLTPRYQILSKMGEGTFGQVLECFDNKHKEAVAIKVIRSVPKYREAAMIEIDVLQRLSRHDVGGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYIKIPDYKFLSRPTRDGSYFKNLPKSSAIKLIDFGSTTLEHQDHNHIVSTRHYRAPEVILGVGWNYPCDLWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPPHMVLRADRRSDRYFRRGAKLDWPEGATSRDSLKAVWKLPRLPNLIMQHVDHSAGDLIDLLLGLLRYDPTERLKAREALNHPFFTRSREQRTRFISIMESLGRLQPFHLSFPKHPSFPPFRPLIRPNSSFKHTPIKASSSKSQNPITPLHKSTPFRLFKSTCITLTTAAALLFVNLQLKPPAIAAPVAPPPSTESKEHMTLEEEERALEEHLATHPPDVDSLRSLMEVKIRSRKLTEAVEVVDRLIKLEPEEPEWPVLKANIFTYSGEIDSATASFEEILAKDPLRVEAYHGLVMAYSDAGLDLKEVESRIQEAMLRCKKENNHNDFRDFKLLLAQIRVIEGKHSEALKLYRELVKEEPGDFRPYLCQGVIYTLLKKKDKAEEQFDKFRELVPENHPYREYFMDNLIASKLFSEKTQREMAGSES >A09p061500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50664338:50665888:-1 gene:A09p061500.1_BraROA transcript:A09p061500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcription factor-like protein [Source:Projected from Arabidopsis thaliana (AT3G55730) UniProtKB/TrEMBL;Acc:Q9LDW5] MDRSEPLPFPSSADSDDVTTAAAELAAGDSSGGGYEVKSKVKGPWSTEEDAVLTKLVSKLGPRNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFSDEEDHMIISAHAIHGNKWAVIAKLLPGRTDNAIKNHWNSTLRRKYADLWKNSSQVTTAYIKNENVEQQLPQEEIVSPPEVPQVVDVTMYDEPTQVLDDVTMDDASPNEPQEQAPPIESSIPVFRPVARAGAFRVYNPTSQRNGYRDHNVVPCEGPLIQAAKPDSLAGKFLQSLCGEPNIPSKCGHGCSTHPAEYGKSVLGAEFVDYEEPCPVFNQELISIATDLNNIAWIKSGLDNAIVREAEQNLKMDQITFSDPRIRFSGMMPRQHFFCARS >A09p058040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:49030909:49031538:-1 gene:A09p058040.1_BraROA transcript:A09p058040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQTATALVAVFALCLTAVTAQLAYVLWWKRRFRRRSISGGSELDVFSSRGGDSTATPPPSKELLYFFLFCVENKQFRIGSATAPPLPTAAPPADDVASKWSTTGNELLSGPSETLFTIAEDSTSESDHRTADMEPRGSVSVDDHVRDDELEEGSVVTDQHDDEVDSSEYNHDETTPFSTPCASPPFYTPSPSPVRDDLTTELGEES >A05p049380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28768430:28769486:-1 gene:A05p049380.1_BraROA transcript:A05p049380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPREVNVMEQNNVDCDIAAEYEFYSHLGALAAAAEYGDVVALGTAIDTMHGLTDEPLKNSDTALHLACLYGNLPCVELLLERGADMEVTDLYRATPLHNACDGGYLDIVEFLLSRASCPECAKRMIETIDLQGDTPLHNAARYEYVDVIRLLLSSGASPTTKNSSGQTPGDLAGINSEARRILEVAVGNSSIS >A10p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:770383:771616:-1 gene:A10p001460.1_BraROA transcript:A10p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPMIDWVWKGENSENISYLLMVGSVVLCGLADGLVQPESFLDSFSFIPSSACLRRPHWLRTEVPVVVLTFMQGLTSGYLTSVLRIMAPKTVHASEAELAAVFMVVFLGIGLVCGPVLGWVWLI >A09g514480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43183332:43183944:-1 gene:A09g514480.1_BraROA transcript:A09g514480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKPVSPIPEERFRFRDHDLLLGLANTHTQLPVFLFVLGDQSCDFYMQMQAVILQPLGDKGITVIGGKTTIYMLHVFRIGLHFFNLEKLDATLGRCFIGSAYISQETDRNKYPDP >A04g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11241955:11243663:-1 gene:A04g505160.1_BraROA transcript:A04g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFRSDVFDLLGWEDEIDSGRFCFEFKPERLTLKSYALSIHNYRPIHTDYDTNTNTKLSIRVSAPEIQQQLKLLLGFLFHEAQNVIYTKASYGSPWRIHEVFFQQYSNSILWCIDTFPEGLTRKLPVTNKYVKPICIGFGGVEDHDLENLKKQLEDDDLIRGTITAEHQGSEVTKASVHSKSSRHNHSWENQGTAQHGSPLLLEILETNELEFRDINW >A06p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6683057:6683945:1 gene:A06p014960.1_BraROA transcript:A06p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDSFGYRTHTDDDSKLVDEIIKRISNMLLLSTTPSSDGLSNQLGIDAHMKELYPLLGLNSNEGVRLIGIWARGSSVRSALARFVYKKIHKKFQSKCFLENVKGIPQDCQMSNLRDEFLIRIQGGYTKMKTSGLIRTRLMSQKVLLVANNVDKLEQLDALADDFNCFGPGSIVIITTHDKQLLVGFGIKVVYEVECLRCFEVRQLFRQSAFRERDLYVDSEMFSTLSVTESSGNSVYGS >A05p030510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15765440:15767519:1 gene:A05p030510.1_BraROA transcript:A05p030510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSLAISPTNRRYTFCWNYTKSGQYTVKSGYWVAMNLMQIDEDVAVTRNLVRRNMRCDNYWPRCGEPEETVTHAIFQCPPALQAWELSSTPSGPQTFPVPSVYANMDYLFWRKNRIMKPEDDRDPYPWIIWYIWKAKNDKLFRGIDRDPLELVRSRGEELVPSVGTRRYFPMLGCRPPPRAAARLTSPVDHSIVVSLGSCCPRELVDNPLFITRQSSPPFISPLHQSMDLRRKRLLSKPRAHTSLDLPEI >A03p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10148174:10149529:1 gene:A03p024000.1_BraROA transcript:A03p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSSLRRNNKSFLRIFMILVLSCIPGRTNLCSNHSVTTPIDLPPDIRSSLDSLDLEGYISFNDVHNASKDFGNRYQLPPLAILHPRSVSDISTMVRHIVHLGSTSNLTVAARGHGHSLQGQSLAHQGVVINMESLRSPDIKIHKGKQPYADVSGGESWINILRETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFKYGPQINNVYQLEIITGKGEVMTCSEKQNSELFYSVLGGLGQFGIITRARIALGPAPHMVKWIRVLYSDFSAFSRDQEHLITKKNGFDYVEGFVTVNRTDLLDNWRSSFSPNDSIGASQFKSEGKTLYCLEVVKYFILEEANSTNLVRCENISSDKSLNSLDHTLGLLT >A09p083190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59946397:59949310:1 gene:A09p083190.1_BraROA transcript:A09p083190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HFR1 [Source:Projected from Arabidopsis thaliana (AT1G02340) UniProtKB/Swiss-Prot;Acc:Q9FE22] MGFHFLGQILSPLPHRIIFSNPLSPLSLWFVNMLNNQDLMGLERTNDVESLALKDQDISVRARSGEDRITNGLKWSDDYFYYDQADFHLQIVPEIRKEEENSKKDLTLVVPDEHSETGDHHLHIKDYSDSSYNRRFLRNKHAKPKRRRVQILSDDESGDFSRKVPLVIRKSSKRRIRDDMMSNKMRTLQQLVPNCHKTDKVSVLDKTIEYMKNLQLQLQVMSIMGMNPYIPQATLNFGMHNHLLRAMGIAHSLNPAKQTTLSPLIAGSNWPSPPFSHLSFPHSSNQSLFPTPASSPQCLCGLVPCFPTMMNSRIIITIGFSYIISSIRAYDPDALQDLCVADKSHGTKLNGFPCKETSNITSSDFLVAGISKPAVIN >A04g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20380147:20382992:1 gene:A04g507960.1_BraROA transcript:A04g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAFVFLLSLCLLLQTSLSIENFHQAFPIVEPDPNHTKLRLSREGLEAISGITTPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELEIDGVKTSIIYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPSKLLWLIQRDFLQGKSVKEMVDEALKHVPNEDGNKNIDQVCSYFFCVVPVVVIIIISVSEVIHPWFRLTGSGIPWRLWVTTALPEQSIFAPHLQVYKNFVLANEYQSSKLCEALYTKCEDDMDHLQALRLPSMAKFNAGFVYCNQSFEHQCVGPSKQNYEQRLTKMMGKARSLFIKEYNNRLFNWLVAFSLVTVVVGRFIIKFILLEMAAWILFIFLETYTRMFWTAESLFYNPVWHFIVGTWETVVYSPVLDLDRWAIPIVCIIALCVLYWRCYGKRKHGSSWLLPMYNKNGRSRERSE >A09g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27761244:27761698:-1 gene:A09g509440.1_BraROA transcript:A09g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSMRIQKRTQRRTFLRPYRSLRSEWRVGLATEQHVRARLLRSDRAVSVLGRYVTTEQRVRARSLRSDRAVCVLGRYVATEQCACSRPSRVRARSLRSDQAVCVLGRYVATEQRVRARSLCSDRAACACSVAT >A07p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18008399:18009865:-1 gene:A07p032910.1_BraROA transcript:A07p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVENGLQGDPRLQAISDAIRVIPHFPKTGIMFQDITTLLLDPVAFKHVVDIFVDRYKHMNISLVAGVEARGFIFGPPIALAIGAKFIPLRKPGKLPGRVISEEYELEYGNDRLEMSVEAVKSHERALVIDDLVATGGTLSASINLLERAGAEVVECACVVGLPKFKGHCKLKGKPLYVLVEPSQLDEVTF >A07p033290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18218893:18221766:1 gene:A07p033290.1_BraROA transcript:A07p033290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVGLTDLARSLIDAGNRLLKPPPSADELLALLNETECLLRDVGQGQPLSMQHALIPSKNALVSSGLLTHPDSEVRVSLASCLTEIVRITAPRAPYGDDQMKEIFRLTVEAFEKLADASSSRSYGKAEFVLDIVSRLNSCLVMLDLECDDLVPQMFRIFLKVLRSDHPSVVPSSMEMIMITVIDETDEVSTDLLDTLLTSVKKENQNVSPMSWSLAEKVLSRCARTLQPYIIKALKSTGTSFDLYSPVVSSICQTVFETPKVHNAVNTKDNEDKLGSKRPAREGTIRTSGSDKVRKGNILKQEVQCEGKDAETDLRIRGKRGRKPNSLMNPEEGYDIDWLSGKIDALKTCSNKKLIRASPSSLGKVAARKTPPALTGSVKRSRVSICESDHDSDSPSSSEEDERKINSSSKKKKKPVFKPKGVNSSGKRSSARSDTKKKKKKSYTDEFGVGLVGQRVNIWWPLDKTFYKGVIMSYCSIKKKHLVLYTDGVTEQLNLIKERWELLEDLTSSASEQDMESDLVKKSGNTSPSAIRSSSRPFVKKDSGKAREGKNLKSLNGESDRREEQEDVDSQSEDEYYNSEKQEQSGNKSAESLKVADREEKEDPRSETESEREGSESKEPKWRETENTEDEAEEVDDDKVRSTRLLETEKDADEDRES >A09p070260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54513018:54514315:-1 gene:A09p070260.1_BraROA transcript:A09p070260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B13 [Source:Projected from Arabidopsis thaliana (AT2G23640) UniProtKB/Swiss-Prot;Acc:O64837] MANDVSKDTSPKSEDTTLEDIYLWRRKKLAFSVLLVSTATWVLINIYGFSSITIVSWAAMAIVSMVFLWGSLLRLFSKVEPDLSGLEVSDEFVAEMARSCRKLMEEMVGWMFTVGAESEWFVFAKTILGFWILSRIGNLLDFHTFLFIGLVIGLTVPKLWEKHGDRLQKLSRNLKDRSNEAYDNSREKILKMKNKLQHGIEDKVKKAE >A09g513470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40982759:40989298:-1 gene:A09g513470.1_BraROA transcript:A09g513470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLICYEMKRNNTQHSLPRSILHLVSQVICFVLLLYISEKICASEIMAAIPGLNSDAGLKKLDDYLLTRSYLTGYKTSKDDLTIFVCLSNPPSSQYVNSSRWYNHIVALLKTSGVSDERGGVRVEGSSPITEGDVATLHAADFKDDDSNEEDDDVDLFGEETEEENKTAEERAVSVKASTKKKESGKSSVLIDIKPWDDETDMKKLEEAVRSIQMEGLFWGASKLVPVLEYHMEFLEAFGCIWSSKEVFKVVIGRARHGSDQSGATPPSRSDLPIRATLPERQGEVAHVFITRRRENEPGATSRSDTARSLPKPGATYRSDGLRVNIMHLLSWPLSSCSLGIFHPKGQEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPSGFNNKGNHSSQQQSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNN >A06p051740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27408484:27412828:1 gene:A06p051740.1_BraROA transcript:A06p051740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFTSQMVPEWHEAYMNYDYLKTLLKDIIRFKRKNNPHHHVHGHHHLRRTLTLYRSFSGLLAKSGRRRHHHGGGQIGHLSDSDDDIEEGLRSAPILVHSANHGYETTFLMAAEEGGEYETLFFRRLDDEFNKVDRFYKEKVEEVMKEAVMLNKQMDALIAFRVKVEHPDGWPWEERTVEMTQLASDVASSAAAVTASTPARARSVEVRAHQAHMAAIQEGGSSKAGKSDEDGDDEIVEKEEVNVVSDVGTSEISRFRAARPSHIEVLDRVKINHTNETPRSTIKGVLQVGNTELKFSRENLKRVEEKLRRAFVEFYQKLRLLKSYSFLNVLAFSKIMKKYDKITTRNATKSYMKMVDNSYFGSSDEVNKLMERVEATFIKHFTNGNRTKGMNILRPKTKRERHRVTFSTGFMAGCVFSLIVALFAIIRTRNVLQKEGQNQYMNTMFPLYSFFGFIVLHILMYAGNIYYWRRYRVNYSFIFGFKQGRELGYRQVLLVGFSIGVLALLCVIANLDMEVDPKTNEYKKWTELLPLFLLIVLFIVLVLPFNIFYRSSRLFFLTCLFHCVAAPLYKVTLPDFLVGDQLTSQVQAIRSVQFYICHYGWGDYKHRMNTCSDSDVYNAFLFIVAAIPYGWRLLQCLRRFFEEKNAEQGYNGLKYFLTIVAVCVRTAYSVVDEDHKFIWRMLAVIFSAIAAIFCTYWDLVLDWGLLNRTSKNRWLRDKLLVPQKKVYFVAMILNVLFRFAWLQTVLDFNFSFMHRQTMITVVASLEIIRRGIWNFFRLENEHVNNVGKYRAFKTVPLPFNYDEDDDKDS >A09g519080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58484409:58484859:-1 gene:A09g519080.1_BraROA transcript:A09g519080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFINGISGGVMVAVVCDEQSMPFILQKLQGMKESFSRRYNKCGFRMLSDEGSLEEGALVSSGCLFYLWSFLQGVLALVWYRERMVQVCLVRCCCVGHYSAYSLLCLVGSWQECRLFL >A10p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20971982:20980798:1 gene:A10p037040.1_BraROA transcript:A10p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKREICEYRDKLDKTLSSPDLTNDQTLKSLLRKQLYPSQECNENILDKRTADVSKLLTTLRSVSMTDDHGNSDWKLKHDLEDCRVMYREGVDGSPFHTMLVEGYMDAPLQECLCVSWEATLYKKWWPQFTFPPFKIVQNTCLQKVRIGEQISDLDSIGVSSKEINEDVMSVTPGAVRIDVVGGFVLQKVTPERSYFRTIGDIDIKLDFVPPSLMNFVSRQLIGNGFRLYKKSVASVAKFDEDYSRALADPLYTKIRQALYSTDKASEEEPKLEANEVNGDKEHDNDEDDGEIEHVHCRKTVPEIEEEEYDYFEESISSEEGNINGKTNGDIRRRFCISPEVKQALGTLDRVIYMVRTNTTPVQEAEELSPDRAEDDHKKQVSLLEHFENVPQKSERQDFSTSTVIQETRVFSQEDKREKVTGEEESGILEKGGKNKSLGRRKRKTRCLAFSSRRVKLLLRRNGTLMESKITTASPPPNPLFCLKWPWDSPNKQPKSPSVCDFQSPWLFRSMQSVGSFALTSFNSLGLDLKPPKKKPLSASEQGEAEQRAFAAALASQKDATVLEFYSPKCRLCNSLLNFVLEVEKRNSNWLSVTMADAENEKWFPELLHYDIKYVPCFVLLDKNGQALAKTGVPSSRAHVIAGISHLLKMKRPPTLVTMEEHSDLPSRSMDMEVAATALPLNDEEYVYEDARSEECSEVEDADQKYYESRLKDIDNGDQDSYPHKFAVSISVSEFIAKYKSLFNGDHVEDDQVSVAGRIMSKRSSSSKLSFYDLNDSCLKVQVMADLRKSELDKDEFAKLHAFAKRGDIVGVTGFPGKTKRGELSIFPRSFTVLSPCLHMLPSPANNKETRFRQRYLDLMMNNHEIPQIFKTIDNINKYIRRFLSLLDFVEVSTPMLHTIPGGAAARPFVTHHNDLDMKLYMRISPELYLKQLVVGGMDRVYEIGKQFRNESIDMTHNPEFTTCEFYMAYADYNDLMKMTEDLLSGMVKELTGGYRVKYHAKGYDKDPIVIDFTPPYRRIDMIGELEKVAELSIPTDLASEEANKYLIDACGRFGVKCAAPMTTARLLDKLVGHFLERTCVNPTFIMNHPEIMSPLAKSRRSIKGLTERFELFINQHELCNAYTELNDPVVQRQRFADQLKDRQSGDEEAMALDESFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVITFPLMKPQAQDAAPEAEDSKLVASISTLSTRRRTYSPSSTFRTISKNSQTHHSSRRESFQPSSSAKPLWLRFSGREFCSGSSDKTSSSVCWNCGFLSEKDAFLFCDSCRSIQPIDDSVDYFQIFDLEKKYELDAGSLEGKYKDWQKKLHPDLVHNKSQKERDYAADQSAKVTEAYRTLTKRLSRAMYIMKLNGNNVNEEETITDPTLLMEIMELRETISEANDSKELDQIRSQVQEKLKQWSDSFVEAFESQRYDEAVKCIQKMTYYERACEETVKKL >A05p019970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9351355:9351771:-1 gene:A05p019970.1_BraROA transcript:A05p019970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYSIIIIFINYLQYSHCQEYTNQNLTTNLKLLMHQLGLLVVKFCLIGEANQVISLVESMKLIFFQICSSEDFCVSILVVEDLYESLLVNT >A08p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15237323:15239827:1 gene:A08p023130.1_BraROA transcript:A08p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKLDDLPAVALCRARCSFLEAAIQQRYHLAESHVAYTHSLKGIGHSLHLFINHHHRFVGDSPRLNLPPQRKGHPEEQENKGVEHSPKKPKLPSPHHPGSGTDSGHLEFDSDEELEDHLDSDHHHHLGNFETGPHMGAQPGYTRYPNPEMMGTPYMHMNYMKNSSMPPSLIYEQRPSSPQKVYIGESGPGPGYYGSSTDAPSSKPPPPPPSPPRSNGWDFLNPFDTYYPSYTPSRDSRELREEEGIPELEEDDDSHYEVVKEVHGRDNQPTPAVVFREESDSPSPSPDKSGASTSGGGAAMYQTRPSVSVEKNGVEYEVHVVEKAVVEDGGNESNATATRGGGGGGGPRAVPEVAKEIENQFVRAAESGSEIAKLLEVGKRPYGRKHAASKLLHGVTPSVAEPPTYADIEEELASRSRNLSSTLHKLHLWEKKLYREVKAEEKLRVAHEKKVRKLKRLDERGAEATKVDTTRKLVRDMNTKIRIAIQVVDKISVTINKIRDEDLWPQLNALIQGLTRMWKEMLECHQSQCQAIKEARGLGSIRASKKLGDEHLEATSLLGHELINWIMGFSSWVSAQKCYVRELNKWLMKCLLYEPEETADGIAPFSPGRLGAPPIFVICNQWSQALDRISEKEVIEAMRSFTTSVLQLWEQDRLETTVMGQEKVRSMDREEQRIHREIQALEKKMVLVAPGDGLLISGNVVYQSDTSNDSLQGSLQRIFEAMERFTDECMRAYDDLLVRAEEETAPRESEE >A05p011800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5168506:5169268:-1 gene:A05p011800.1_BraROA transcript:A05p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELALGTAYFLGLRRSYRLALKTQRRIVSPNHPRIRQFLHRRTHQIFDVALRVHKNIQERDMEFGRSLGNWILRGLDRMKPSAQVLRPKQIEPSVGKAAKRLLDSTRLKTHVSAKTPQSSEVGRNLFLSLRNLRPKFSPTISMMMKIKPPRFTGSTTQYRPFSESSLVKPIYARGAFDGVMRKDILQWMRQR >A03p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16605714:16607960:1 gene:A03p039900.1_BraROA transcript:A03p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRALSCLITMLLIAAADSTEDTVMRLEMSHRDTLFPISSHRIEDIISDDQKRHSLISQKRKTNGGGAKLPLRSGSDYGAAQYFADVKVGTPAKMFRVVVDTGSELTWVNCRFRGKGKGREKKRRVFRADESSSFRQVGCLTQTCKVDLTNLFSLSNCPTPSTPCSYDYRYSDGSAAQGVFAKETFTVGLTNGRVARLHGLLIGCSSSFDGDSFQGADGVLGLALSDYSFTSKATNLFGGKFSYCLVDHRSHKNVSSYLTFGSTTTKATSTRTTPLDLNLIPPFYAINIIGISLGEDMLDIPMQVWDATSGGGTILDSGTSLTLLAEAAYKPVVSGLERYLVGLKRVKPEGVPIEYCFDVTSGFNESKLPQLMFHFKGGARFEPHRRSYVIDAAHGVKCLGFVSAGAPATNVVGNIMQQNYLWEFDVVASTLSFAPSTCL >A03p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15049555:15050439:-1 gene:A03p035930.1_BraROA transcript:A03p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFSASVSMQATSLATPTRISFQKPALVSRTNLSFNLGRSIPTRLSVSCAAKPETIEKVSKIVKKQLSLKDDQKVVAETKFADLGADSLDTVEIVMGLEEEFDIEMAEEKAQKIATVEEAAELIEELVLLKK >A06p039290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21129529:21130537:-1 gene:A06p039290.1_BraROA transcript:A06p039290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEMPVVLITGCSKGGIGYALAREFSANGCRVVATSRSQSTMSDLEKDPKFFVQELDVQSEQSVSKAVSEVIDKYGQIDVLVNNAGVQCIGPLAEIPIKAMENTFNTNVFGSIRMTQAVVPHMASKRKGKIVNIGSISIMAPGPWSGVYTASKAALHALTDTLRLELRPFGIDVINIVPGGIQSNIADSAITSFNNLPELKLYKPFQESIRQRAFLSQNIKPTPAETFAKETVSVVLKKNPPAWFSTGRLSTVMAIMHHMPIFVKDFLLTRSFMKMGAKTE >A02g511710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31632961:31633230:1 gene:A02g511710.1_BraROA transcript:A02g511710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLECGMSFLDRLEEKPEAVRSRSRIHFLEERDEEMLSKRLLKLRSASELFDCMRVSTLQPNPHACNSFLSCLLRNGDLQKVFTVFD >A08g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10781675:10782235:1 gene:A08g506280.1_BraROA transcript:A08g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEGRSEDEAVQLYFQRLTMTTMLRMVVKRSLADFLFLVTTHHTHGILETFSYMFSLCCLGWKPMTKKLNRFVLMKSVMIRIAHKEVHDAVFGGPARNREEDVKEAKESVENGNQSFG >A09g517490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51995321:51996157:-1 gene:A09g517490.1_BraROA transcript:A09g517490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLELKHGSFISLLNVIKPTTLPHIITAAFVELSSAITPAYMIPGDPKQLEILTVLSSWVESPLILHRRRLFVSEGASRGYAFVEYEPEKEMCRAYEDAHHSFIDGREIIVDYKRQQLMP >A09p007230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3825519:3826298:-1 gene:A09p007230.1_BraROA transcript:A09p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGREVEVTISSAKNIKNVNWRNGPNKPYAVVWIDPKYKFSTRVDEEDDTCPSWNQTFVIPLPPGNDDYDDDKVYIDVVHAGGEENTKPLIGSAHLSLRDVIDDVGFGVPVEKTLKLKRPSGRPHGKLDVTVTVREPRYQPAPGSYHAPPYGYTHAPPQPVYGEPYTHAPPQPVYDYPYAPPQPYGTASYGQSGYTAEKEKGSKFGGMGTGLAVGAVAGVLGGVALAEGLDEVEDDIAEEAAEDVEDDDAGEDDEDF >A03p057910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25081901:25083857:1 gene:A03p057910.1_BraROA transcript:A03p057910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYR4 [Source:Projected from Arabidopsis thaliana (AT4G22930) UniProtKB/TrEMBL;Acc:A0A178V399] MSFSFALFKTGESCKAFVMINTLVSPSSVGFGSQKPKFDKSCKKVKQRAVRMELTITQPDDWHLHLRDGDLLQAVVPHSASHFKRAVVMPNLKPPVTTTAAAITYRESIMKALPIGSSFDPLMTLYLTDKTHPDEIKLARESGVVYAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMVKQNMPLLVHGEVTDPSIDVFDREKIFIETVLQPLIQRLPQLKVVMEHITTMDAVNFVESCKEGFVGATVTPQHLLLNRNALFQGGLQPHNYCLPVLKREIHREAIVKAVTSGSKKFFLGTDSAPHERRRKESSCGCAGIYSAPVALSLYAKVFDEAGALDKLEAFTSFNGPDFYGLPRNSSKITLKKAPWKVPEVLSFSFGEIIPMFAGETLQWQPSFE >A09g512520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36761982:36764456:-1 gene:A09g512520.1_BraROA transcript:A09g512520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTERDQPSIDGDTFPSSDVESEKSTDKELPTSIDTAQPEAGKFSLSRPANEKVVQTELNGQTSNEASQTEQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSSIKIPGDDTKKSKFNADYYRMVRQNPFCGSLPEHPQDHIETLEELIPDEYDRCKLFSFSLEGEALRWLNCLTARSLTCWEEIRKIKNSLKSLHSFLQNKHRSDIAQIDDNAFSDPYYVLNIDSFTQAYDTAVKSRTGRERFNIRQALTGNRKTKSEFYGKINMVYGELMEKADSLGELIRKLEGQVSEIATAIKRDAGCLPGRTDLNSRRQVSAVMLRSGKNLAADTRINTDVGKPDDTDKTGKSNSHPILLTDLDPNPSQENRKTTTEKAKEKAIDLELEEDTEIEDEIDRQYGTDIGQTITCD >A06p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23195857:23197124:1 gene:A06p043100.1_BraROA transcript:A06p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPMVLMAVLVYVSLLFSVSYSSTFVITNNCPFTIWPGTLSGSGTQPLPTTGFRLDVGQSVKIPSVLGWSGRIWARTGCNFDANGAGKCMTGDCGGKLECAGNGAAPPTSLFEITLGHGSGDKDFYDVSLVDGYNLPIVAIPTGGGLVGACNATGCVADINISCPKELQVMGEGEQERGGVVACKSACEAFGLDQYCCNGQFANPNTCRPSSYSTVFKRACPRAYSYAFDDGSSTFTCKASEYAIIFCPGRVKRPSSQNSDPPSQPQNPYGQPMAPPTQNTYGQPMAPPTQNPYGQPMAPPTQNQNPPGPNQNPMTPPPQNQNGNGQFVPPPSVNQAPNDQFMNPPMEDESQRAETGRVAKSPSSSDILRPYPVLLLLGLTLIALGQSCTT >A07p001410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:137422:138612:-1 gene:A07p001410.1_BraROA transcript:A07p001410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDVGDGQGHHLTSAAIIGLDGSVWAQSANFPQFKPQEMTDIMKDFDEPGHLAPTGLFLAGLKYMVIQGEPNAVIRGKKGAGGITIKKTGQSMVFGLYEEPVTPGQCNMVVERLGDYLIEQDL >A03p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1145256:1147294:1 gene:A03p002500.1_BraROA transcript:A03p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICIWIENSRRKLSMEKSNGQRVVLFPLPLQGCINPMIQLAMILHSRGFSITVIHTRFNAPKPSSHPLFTFLQIPDGLSEAETRTHDVTLLLTRLNRSCESPFRDCLTKLLQSETGERKQRISCLIHDAQWIFTQSLAQSLNLPRLVLNTYKVSFFHGHFVLPHLRRQRLLPLQGVDYLPLTPTSHITNSEQDDPVEEFPPLRKKDLSQILDEETELLESYSDKILETTKTSSGLIFVSSCEELDQDSLSQARQDFKVPIYAIGPSHNYFPGSSSSLFTPDDTCIQWLDKQEDKSVIYVSFGSLANMSCSELIEIAWGLSNSDQPFLLVVRVGSVKDTEWIEMIPEELMERIKEKGKIVKWAPQQEVLKHRAVGGFLTHNGWNSTVESVCEGVPMICLPFVWDQLLNARFVSDVWMVGIHLEGRIERNVIDRAVRRLLLEPEGEVIRERMKLLMEKVRRSVKQNGSAYRSLEHLVDRLSSF >A10g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10118921:10122432:-1 gene:A10g504070.1_BraROA transcript:A10g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGGNSRPKGKSVVATSTPARNPDGGRLGDLESTHHAAMMDIANLSRSQRLLVADATRLAREGNENVAIRDATECARDGQSGAMPVDSIELLEVRSLAVSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAVLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGGGRKRKRGNSGVEESAGEVSGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEEVSEAEVSRERDEAGEADGSEASLNAAVLDGSDEDSGESPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGMSPRGSAVLRRAPGINFPDNVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEIVRESSVRVSELSALNDRESDRED >A02g511410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30406903:30408417:-1 gene:A02g511410.1_BraROA transcript:A02g511410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESLSPPDATRQRSAGFVSAQSGDTDKAKKIRKAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTLSFCSNAFRALIYIESLELLQPLQPPLPSFPSPPSTLSALEGPLSPLLVGSSDSRIPGTLTRMESLWASPFSSLMNWGQISLEGEPLATMLPVSPFLKLL >A06p000830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:89547:92850:-1 gene:A06p000830.1_BraROA transcript:A06p000830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQPLSNGYPYRRAEHEAGGINKAQQMKQHTLKKNGGKAGSVDISSRDPFEYLAACHIGHHVEVHLKDGSVYGGVFHAADVENSFGIVLKMASLIREGSLPGMKASSSLVSKPPSKILIIPADEVVQVIAKDLPVYSNNASNSAQCERPLELLTDSSISQSTHVDLGRELERWVPDEDVPDCSDLENVFDDSWKRGWNQFEVNKTLFGVTSTFDEELYTTKLERGPRTRELEEQALRIAREIEGENTRDLHVAEERGIQLSGKFDIDEETKYSSVCPVNGFDDSGYEEDEEEEILLNCRNNLTFGDSTSSDGMKSASTGNGKGGGSLSSVGQSCSNSNMHARQPIFEQPSKVTRAPGNNNRNESQFGEQRNIKSVEATHYRKPSMESVSGLEEYVQLSLRMCIEDINEDAATSDPWRASDRQNSTDGKLLGRLSDRAKPESSCWRPGSSISRNPENSATSSPTSRPMLSPSSSIGSYSSEKSTLNPNAKEFKPNPNAKSFKPSPTATRPQSPVPEGSFYYPPLPPMPGIHIVYGMGAAFPGQHPVMYNNTPQQLGPNQTYYSPNSPQYPQQMMVGQQRPALFMPPSPYQPEMQYKGRDSY >A05p022100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10529122:10533184:-1 gene:A05p022100.1_BraROA transcript:A05p022100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAMRMNFLALGLSLCLVLSSFHDVSCQDDGGASRLSHLDLIEREYQASDIVTENQKNTTVTDQNTISLSLSDESEGTTFSDSADNSNQVGAVSDESVQRSSLSDQIELEFEAHLNGLNQAGSDGAKDSEELSAERQKMLEEIEREFEAASTSLKQLKTDEGNDEEQSAKRQSLLDEIEREFEAATKDLEQLKVNDFTGDKNNEEQSAKRKSMLEEIEREFEAAIEGLEELKVSDSTGSNDDEEQSAKRLSMLEEIEREFEAATDSLKQLQVESSSEDTEQTAKRQSMLEEIESEFEAATRDLKQQNEFTDDDQSAKRKSMLEEMEREFEDAIQGLQQIKSDDSINNEEQSAKRKLMLEEIEREFEEAQSGMKQRGSITPEFLGLGQSGVCGCFNQDNSGLKQDEVASISISTQYSIEEILSEESELQGTETSSSLTKSLTQLVENHRKEKESHNVLTSSRSESAATSETVESLRAKLKELRGLTARQLVTRQDFDKILVMAATFEELSSAPISYISRLAKYRNVITEGLEASERVNIAKARATMLKETATEKQTFVDANFEEAKRLAQRGDALYVRIFAIKKLLKKLETEREAVDVKFKEVVKGLSHLLVDASEAYEEYHGAVRKAKEEQAAEEFAREAMESAQIIWVKFLSSL >A04p000920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:443482:443694:-1 gene:A04p000920.1_BraROA transcript:A04p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDIVAWAAEHPEPSTLMLVMSDISQDFLDVVDLLTTKKNYRFIEVLPHPPPPPPRPLVLILLTNNSSD >A07p039180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20826934:20828426:1 gene:A07p039180.1_BraROA transcript:A07p039180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMNNDQESIQFWSSLLHSLADSDPKDDHLPEISSPIMVMSTSMAIDVSPKPFNAPRDGHKAGPQKAIVETGDGSIDEGGQRSAEKHIEVIKKEGEKEEIKNFGKSKRPRTTEARNIAEKRDIASTLDCIIDNIRWMKHYVESQSMGPMLPLGMKMDFPAPWFPSIPPNFIMPPFSGFTPGETSCAYGNQNIEPSTTKV >A09g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19279731:19281562:1 gene:A09g506470.1_BraROA transcript:A09g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELLKKIQAVVVERAARNSIQDLYGLKASSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYDVGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADARYERAVYTHAMTRAIFEDEGKYFHGIPLESVDRISKLVRSIKWGWGLWHGDYFRDHKVLFISFFMSSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRDFEPISLLRDTRKVEENIEKLMATEFDHAHNNGLDLHWSG >A03p053330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22875627:22877581:1 gene:A03p053330.1_BraROA transcript:A03p053330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGIAKADKTEFTECWRTTWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLFIKEDFDEVDKKTWLQSTIVSMAVAGAIVGAAVGGWINDRFGRRMSILIADVLFLIGAIVMAFAPAPWVIIVGRIFVGFGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFFSYLINLAFVHTPGTWRWMLGVAGVPAIIQFVLMLSLPESPRWLYRKDRVAESRAILERIYPAEEVEAEMEALRVSVEAEKADEAIIGDSFGAKLKGAFANPVVRRGLAAGITVQVAQQFVGINTVMYYSPSIVQFAGYASNSTAMALSLITSGLNAIGSIVSMMFVDRYGRRKLMIISMFGIISCLIILATVFSQAAIHAPKIDALESTTFSPNATCPAFAPLATPNAPPSGWNCMKCLRSECGFCASGVQPYAPGACVVLSDDMKATCHSKGRTYFKDGCPSKFGFLAIVFLGLYIVVYAPGMGTVPWIVNSEIYPLRYRGLGGGIAAVSNWVSNLIVSESFLSLTHALGSSGTFLLFAGFSTVGLFFIWLLVPETKGLQFEEVEKLLEAGYKPSLLRRRNKAKGVDTA >A08p030920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18917044:18922917:-1 gene:A08p030920.1_BraROA transcript:A08p030920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEVVVAVTAATVTAVAAAVIIGQWVRRKERRRKQTQMILRKFARECATPVSKLWAVADALVADMTAFLSATTAAGSCGSLNMLVSFAGALPSGDEKGLHYGVNLRGKELLLLRGALGSNEEPISDVHKQEISIPVDVLNGSFKELCDYISLELVKFIGMNPGEETDEVKNLGFTLTRYVEEIGPSSISAIQRKGLANDDDDTVLKEFVNDMNESLESHGLKIRMNMALVDDTIGVLAGGRYYHKDTVAAVTLGMGTNAAYIEQAQEVLRWKPTKPNDPQEIVISTEWGDFRSCHLPVTEYDAALDAESLNPRSCVFEKMVAGGYLGEIVRRVLLKMSQETALFGDILPPKLTTPYTLSSPDMAAMHQDISEDRDIVNKKLKDVFGVMYSSLAAREVVIEVCDLVAERAARVAGAGIVGMVKKLGRLEKKMSIVIVEGGLYDHYRVFRNYLHSSVWEMLGDELSDHVVIEHSHGGSGAGALFFAACGNSTIYFATLPEKERERIGKKINQRMATAIVRSALSRAAIGAAPKTSLAPKRRFSSSAGHDDAYEAAKWEKITYLGIASCTALAAYVLSKGHHHGEDPPAYPYMHIRNKEFPWGPDGLFEVKHNEGH >A04p005100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2632871:2634803:-1 gene:A04p005100.1_BraROA transcript:A04p005100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSVTCYSRGIILPSVSSQRSSTLVSPPSSFSASSSFKVSSILYTLTCKAHTCDQRLKSSSIFGESLRVAPRSQLKATKAKNNGGLTVTKCEIGQSLEEFLREATPDKGLRTLLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALQYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVLAVKGFPGTHEFLLLDEGKWQHVKETTEINEGKMFSPGNLRATFDNSEYSKLIDYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGLLIENAGGFSSDGYKSVLDKTIVNLDDRTQVAYGSKNEIIRFEETLYGTSRLKNVPIGANA >A01p010490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5131977:5132814:1 gene:A01p010490.1_BraROA transcript:A01p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLMRTGSMPVQTRFIPTTISRHNSVESLSTYGDRFPVGKISIDAKSAAGMRRALSESDVIRSERMSKSVVGSKPSPARIPEEEEQGFSGGGGGGSGFSGGDGGGYEDRRKIGDYYREMLKSNPNSSLLLMNYGKFLYEVERDLERAEEYYGRAILADPGDGEALSMYGKLIWETKRDEERAQWYFDQAVNASPDDCMVLGSYAHFMWEAEDEEEELIVAASPALV >A04p035340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20390394:20391125:1 gene:A04p035340.1_BraROA transcript:A04p035340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLCAFDSFAGLVFDKWMASDKCQKEKRKTVNGEYLLWVVTNILGFEDYLPGTLEAAFTSSPLLFQSFYNSNTLGSPLCTPKPKVYHPSSSISKSWTPPRQAKPEKKLVATELCGNMISFFIFSSGKERSMASKSFTSSCLILKGEAR >A03p044910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26029651:26030446:-1 gene:A03p044910.1_BraROA transcript:A03p044910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFLFFASKTKADAKKHLSVLASSLPDIMLRDKEVTRLGLGYASKRGHEMVSLLKRSHES >A06p018000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8734045:8736949:1 gene:A06p018000.1_BraROA transcript:A06p018000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGIDMDEGALEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSMRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSQDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDATS >A07p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3576028:3578671:-1 gene:A07p002810.1_BraROA transcript:A07p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFFFKNGLFTLSTSSSSSIYKIVIAINTPTTMNNQFEALNVPQIDLHFFFLNSYELKTTFLSLYLHIHPKNPKILILKFLWFIEPLKLTILGRSLLFEILGAWRRHMCAKQVISLVETMKSIFFSRSVLPDDFWVNLLVLDDLHGSFLVNTDAKTTYMEVVHLCLLKQKFETKSSWKSSITLPISLPTSVPDLKNMYLKPRSEKHAYQKTFKWFKNRENEFVIKEKHVRRLRQKSSGRLPGSLLAHYVLEDFERLMGSLLGSLLKNNTLEDFS >A10g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12574317:12575120:-1 gene:A10g505070.1_BraROA transcript:A10g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMKSKKHEPLGRSSKRVGLEMHWMADEPAGGKEYNLEFFTLNSLTTAPTKRNSQAEQPE >A09p058160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49103156:49104635:1 gene:A09p058160.1_BraROA transcript:A09p058160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDMISHLPEDLIRKILSFLPTKTAIATSLLSKQWRSHWMSAPKLRFDSEDYENEHDENFSKIVSESFLSHKAPVLESFHLRFGLDKVDPIDVGFWIGIAFARQLRKLVLDFLELQESFIFPSSLCTCKTLETLKLRNQILLDISSPASMKSLKKLHLSYVFYKDDETINNLLSGCPSLEELIVDRSDEHTVEFFTINVPSLLRLTIYDDNSEKEFLGYLIEAPSLKYLEIDELRCKLFLLEAPELVEANIYGVPAIISSEFHVSLTSVKRLVLDVSPLKTIYPPIGDIFNQLVYLEMYTREALWWDLLRRMLEHSPKLQVLKLVDEYRINPDYRVCGREWKKPKYVPKCLLSHLETFVWTRYDARRENEEEVATYILKNAKQLKSANFSANPIKPKELKKLAERREMFRKLDGVVKASTSCHLVFK >A06p035220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19040760:19041737:-1 gene:A06p035220.1_BraROA transcript:A06p035220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINEKTSSTNIFISFVSNLLSIEDISKGHTSNLLSNYKPFSGWVYEAQVVAFKAGLWKSFLDDAIREETTLSMNKGTDEEVFDSKAKLPIRTTPRGKYTWEKDGTLVSSEKVGLTGVRGDRVMKKIKKEENTWGHFGTRRLSFRSQYQSWRCSQLKFMPEKDDFSGQTCLSVAS >A09g513490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41069839:41075547:1 gene:A09g513490.1_BraROA transcript:A09g513490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTFCIYAFKLVFKSLSSLPSPFGVITGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECMTSRHTRRNAQGELVTFTNQELARLEKTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMLQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNPAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETVLGTEENSEQSTSSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLICFNKMVRRLPKELAFEDALQIRPLLQFFKYCRETQEEIKVLYTKALSTPALKVLLKVDDPGKFVFPCSIARRTFKDALCDSEKLKVVPEKEHGDKGESRLFSDEDPSTDPTKFRGNSRVKQKVQKKRVKGDPTMTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGDAAVKGLLSRSRGGEPEWSRNQNHQWHSERSCITSFFVTSPYQYSKKKKNEINVMEKGKKEKKHGATGKVEQEVRLELHWMGDGPAGTKEAENNAIWWFSRRTVLMNVPDSGVTRVTVPSECSSGRDF >A07p015250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9499663:9503136:-1 gene:A07p015250.1_BraROA transcript:A07p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease 1 [Source:Projected from Arabidopsis thaliana (AT1G29630) UniProtKB/Swiss-Prot;Acc:Q8L6Z7] MGIQGLLPLLKSIMAPVHIHDLEGCTVAVDTYSWLHKGALSCSRDLCKGLPTTRHIQYCMHRVNLLRHHGVKPLLVFDGGPLPMKLEQENKRARSRKENLARALEHEANGNSSAAYDCYQKAVDISPSIAHELIQVLRQENVDYVVAPYEADAQMTFLAITKQVDAVITEDSDLIPFGCPRIIFKMDKFGHGVEFQASNLPRNKELSLSGFSSQMLLEMCILSGCDYLQSLPGMGLKRAHTLITKFKSYDRVIKHLKYSTVSVPPLYEESFKKAMLTFKHQRVYDPKSEDVVHLSCISEDIGEDSDFVGPSMPQHIAKGIALGQLDPFTQLPFQAEGVTPKLAVDAISRPRSFKPENLKKKLELPVQKNLLTKYFCFASLEAKRKFKAPRISPMSLTPTGESPSTPEDNSTDVDASSSQTTNESPVYSLGENTGKVAEKRESPDYDAVERNYKDLDHKYIYQHVERQKKTKTDIVVRSKYFKQKQDDKSLKESLPCLNDCSVIGQRKTVNTVLNKSSASTIEETDRAISTSSCERIYNDHEVAKEASIFAMNEVAERTTNRHEIDHEIDKEEQNPSVEILSAFSTPEDAIPLNSIGTYSFCGAATGKRKLEPEENLHKENLNSKHMRIDETDPGNAETSFETNNDVEKFGSNISHIGQYSEIAEKSVERFVSAISSFRYSGPGSRASGLRAPLKDIRNTCPSKGLSSKLDFSKFGYASSSATKSRRL >A08p032750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19812369:19815039:1 gene:A08p032750.1_BraROA transcript:A08p032750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) UniProtKB/Swiss-Prot;Acc:Q9C757] MEGGIHGGADKSAFRECFSLTWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIKEDFKTVDRETWLQEMIVSMAVAGAIVGAAIGGWANDKFGRRSAILMADFLFLIGAIIMAVAPNPSLIVVGRVFVGLGVGMASMTAPLYISEASPAKIRGALVSTNGFLITGGQFVSYLINLTFTDVKGTWRWMLGIAGVPALLQFILMFTLPESPRWLYRKGREEEAKAIMRRIYSAEDVEHEIRALKDSVESEILEEGSSEKINITKLCKTKTVRRGLIAGIGLQVFQQFVGINTVMYYSPTIFQLAGFASNRTAILLSLVTAGLNAFGSIISIYLIDRTGRKKLLIISLFGVIVSLGLLTGVFYEVTTHAPAVSSLETQRFNNVTCPDYNSSLKAQTWDCMTCLKASSPSCGFCSSPSGKEHPGACWVSNDSVKDLCHNENRLWYTRGCPSNFGWFALLGLGLYIIFFSPGMGTVPWIVNSEIYPLRFRGICGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLMFGVISVIALLFVVVCVPETKGMPMEEIEKMLEGRSLHFKFWKKRSQPVEKGNQTA >A03g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30381613:30382116:1 gene:A03g509390.1_BraROA transcript:A03g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRRNREREEEEKTRVREAPTSGCASERVPAPVVPFLVDPGLTPGRALLMESFKRRQLLLLWIRGFLSSASLAKSLGDGGFLSSASPDRAPRGEGVLYLASSALFAL >A04p006250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3227760:3230130:1 gene:A04p006250.1_BraROA transcript:A04p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPVRCLLNSISRYLHLVACQTIRYKPIQTCIGNVVHLLKLLKPFLDDVVDCKLPPDDCLNSACEDLDSVVNQAREFLEDWSPKLSKLFGVFHSELLLEKVQTCSLEISRTLVQLSQSSPVTSSVQGVEVNLLQRCMQEIECFKQERTLSEHMKDTVPLDSDDLDSIIQMMGLISNQDLLKESIAVEKARIRSQTSKSKEKMEQMDQLIDLVSCIREHMLKTEFLEVAKGVSIPPYFRCPLSTELMLDPVIVASGQTFDRTSIKKWLDNGLDVCPRTRQVLTHQELIPNYTVKAMIASWLEENSIKLPANCDGGGDASSMANNMGSNDFNRTESFRFSLRSSSFTSRSSLETGNGFEKVKINVPASLCGESQCKDLENFELSSSGLSYTHSRSESVCSVVSSVDYVPSVTNETQSIQENHQSCSEMSPKKQNSESSSNVNHEYSECSSHTMKLVQDLKDGSSKEKTAAAAEIRHLTINNVENRVQIGRCGAITPLLSLLYSEEELTQEHAVTALLNLSISEVNKAMIAEAGATEPLVHVLNTGNDRAKENSAATLFSLSVLQVNRERIGQSNAAIQALVNLLGKGTFRGKKDAASALFNLSITHENKARIVQAKAVKYLVELLDPDLEMVDKAVALLANLSAVGEGRQAIVREGGIPLLVETVDSGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAIPPLVALSQSGTQRAKEKAQQLLSHFRNQRDARTKKGRS >A03p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13874623:13875106:-1 gene:A03p032800.1_BraROA transcript:A03p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYILVNNYNSNKSIDNYHENSSDTNPALMNQCLDNDNSEGLYIERLNHYFSTINLSNDSTIFVNPYNAILENSQFKPDQCWSMFKNSFTGFHITLKIKYLTNCHIFDIDKV >A06p001960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5377088:5378021:1 gene:A06p001960.1_BraROA transcript:A06p001960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVIIIVFILDLIAVGLAIAAEQRRSVGKVVPDKEKVYEYCEYGSDIATSYGAGAFVLLLTSQVIIMVASRCFCCGKALNPGGSRACAIMLFLICWVFFLIAEVCLLAASIRNAYHTKYRKMWNIDNPPSCEVIRKGVFAAGASFALFTAIVSQFYYVCYSRARDDYKNPPY >A02g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16680863:16681309:-1 gene:A02g505690.1_BraROA transcript:A02g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVFVRGTESINGLCWRRSRVTSRGSTDEIDSYGNMTLHELGKIIAMVDKEKGN >A03p023500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9926992:9927893:-1 gene:A03p023500.1_BraROA transcript:A03p023500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVDEQQSNPSSRHTHSSPEERSLSRRRRAKKMTFKRRNGGRNKHNRGHVKPIRCSNCGKCCPKDKAIKRFIVRNIVEQAAIRDVQEASVYDGYTLPKLYAKTQYCVSCAIHSHVVRVRSRTNRRVRTPPPRFVRRKEDAPKPGQPGQAPRPAGAAAAPRA >A01p052220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29216897:29218053:-1 gene:A01p052220.1_BraROA transcript:A01p052220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILHLMPLREHTKFLKDLISCGFKPSEPFLSMMLHHIRESKLVELRTKMCILIPKSRSMMGCIDETNLLEYSHIQTKVQRPLDEDLYFVSWDPELIPLKTYEPMDYTIKEPQVLDYEITIQVFVSKEDDKSLRKQCLELANMFSAAADFPKSELEVKIPPHLCVKEYPDLCKNDINQTTHLNSFKHMKSSYESMDFLQHNIFYYVGWLSWRLGILHDSRI >A06p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20704912:20707973:1 gene:A06p038490.1_BraROA transcript:A06p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDGGVDRGQNPRATMERDSDDKIAEPSWFSPKKLLFVFCVVNLINYIDRGAIASNGVNGSLGTCTSTGTCSSGSGIQGDFNLSNFQDGVLSSAFMVGLLVASPIFASVAKSVNPFRLIGIGLSIWTLAVIGCGLSFDFWSITFCRMFVGVGEASFVSLAAPFIDDNAPQAQKSAWLAMFYMCIPTGYALGYVYGGLVGSVLPWRAAFWGEAILMLPFAVLGFVIKPLHLKGFAPDDKGKNRTDNLNVLPTGYGFSAVLKDLKLLLVDKVYVTNILGYIAYNFVLGAYSYWGPKAGYNIYKMENADMIFGGITVICGIVGTLSGGVVLDFMDATISNAFKLLSVSTFIGGLFCFAAFCFKSMYAFLALFAVGELLVFATQGPVNFIVLHCVKPSLRPLAMAMSTVSIHIFGDVPSSPLVGVLQDYVHNWRVTALVLTFVLFPAAAIWFIGIFLNSVDRYNEDSEPDAVTRESAVAPLLEDA >A07p035680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19281011:19284928:1 gene:A07p035680.1_BraROA transcript:A07p035680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQKGKSFLFCLSFFVSCSLLFLSPIVTASESDPPPYEHSDASPGVVTVSESDRQGVALHRLEELVRNLTEVVARLDAKLSETSSKEENEMSSNGVKEKAKKAFSVTKYSPFWSERFEFTSAVKLNSEATCINVLPFKDHEGLSKYFAVGDSSGNLYVFLRNGDVLVEFFTSCDSPITAMVSYMSVYKNESFVVTGHQSGAVLLHRLREGSVGDDLSSAVMESVGKFDVTEDGLEVTLLEVHHVGRVRYILATDITGKLTVFTENRTVHGSVTPTSRPLVFLKQRLLFLTETGAGSLDLRSMKIRESDCEGLNSSLARTYVFDASERSKAYGFTSEGEVIHVLLLGDVTNFKCRVRSKKKVQIQEPVALQAIKGYLVLLSEEKVFVYNVSTQHYVRTTGPRLLFPAALEDLKSTFLTHQSKTSPQNFKVTTPLIASDREKLLVMSLGEGYVATYKSKLQSSKGELNTMLWSNPVFFFLLFLFGAWHFFAKKKESLTAWGPDDPFTSSSSASFSEPSRRNNDDLMDLRRRYVSPSRYPPGAAAGTYRSVASNDPTTRGSVDSTNYRATGQGMKYRGVTGLDSSGGGGFGNRRESLFGNNNKALDNEKMWSAVGALRRTAASKSCPIRSSWLGGGGGGYRCLTVEPTEEITITEAKKLMRLVNVDEMKKKLGCMGNDETVSYTKLIEASQGFGIARSLHEAHAFARILDDAGVILIFRDKVYLHPDKVVELIRKAVPLGLNPEDDPAKVEFDKLRRMKEEIDVLAHKQVRKILWCGLGYSVVQIGLFFRLTFWEFSWDVMEPITFFTTATGIIVGYGYFLMTSRDPTYQDFMKRLFLSRQRKLLKSRKFDVERFKELEKKSKMTSCSSYHSCHANAAIRKCVGVDLDLEDSLHSRSD >A09p008440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4342639:4344425:1 gene:A09p008440.1_BraROA transcript:A09p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 41 [Source:Projected from Arabidopsis thaliana (AT5G62560) UniProtKB/Swiss-Prot;Acc:Q0WUF6] MGGTKKKWFSFHQRSASATTTTVPQHKHNETPPEFLCPITGFFMSDPVVVPSGQTFERLSVQVCRNVGYVPDLLDGTRPDFSTVIPNLAMKSTIFSWCDRNKVDHPRPPDSAYVENVVRARMNIDPQSRIGTQPSLGDPEPEILPPVAENSPSDYYAVMEAIRARSKNSVSPSPSPTTSLESVTVGQSPYHPTRAVSMFSSSSTTSSGVYIGAESPNAISFSSSDYSSSSPMSPEEEEIFNKLRTADIFDHEQGLILLRKMTRTSEELRVSLCTDRILSFLRTLLVSRYNLVQTNAAASLVNLSLEKQNKVKIVRSGFVPLLIDVLKSGTTEAQEHVAGVLFSLALEDENKMVIGVLGAVEPLLHALRSSDSERARQDAALALYHLSLVPSNRTRLVRAGAVATLLSMVRSGESTSRILLVLCNLAACPDGKGAMLEGNAVAILVGKLREGGGEDSEAARENCVAVLLTLCQGNLRFRGLASEVGAEEVLKEVEESGNGRVKENAAKILQAMRGGSGGGSAFGENGEAREWNRMLEGTGLSRTQFQGGQNGGFACSSQF >A09p036340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24309284:24310499:1 gene:A09p036340.1_BraROA transcript:A09p036340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDPRGLRTDGMNGDTKGCGIAQRYYQTSIVAGCDAPLDGKDKPQYGQIGHLAMVPAKAPFRTYVGLSSTLHGQSVRYVEKHEPRLKCSERSDLKAGSAPYVKDVASMALRQHGSTTVTRGSRRYRSTTGGGGEHAAPQRLSLPLGSCSPSRRSGT >A03p071930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31748096:31749794:-1 gene:A03p071930.1_BraROA transcript:A03p071930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSTTALNDMSKQIDELRSSQTQQTEEIRKELNDKINGLEALMEKYFANAPVTQREGKQTEAASSAVTDGSPQTKDPPDRFNTEHSTSKPTTTNVNNNNNNPPIHHGLSARLTKIGFPMFDGTPPELKVRLASLHITGKALQWHHSYIANRYNQFPLWPEYVAAVSDRFSELYDDPLSELVSLKQGNDSIDEYLDKFDCAMTRITLAPDHALSIFLTNMHQHLALHVRQFKVSSVPEAAKIAKLHELSLSHTPIKTLRPTYNSSQKANFSQSNRNQHNSTTTPTTGIVGNQNNKPLIPNPPQKRISFEEMQERKRKGLCMFCEEPFTPGHHLKHRRAEFLFMEADTEFDEEIALEEQIRETTLDDMDDKPQQTYVARNSNCFTPPSGYGQHG >A08g508680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:16056888:16057148:-1 gene:A08g508680.1_BraROA transcript:A08g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNIRISSESPRYIPRKFRGTWGFKLKTTFYGLNNTYITFIKCHNQIMMFGTMNFGVLSNNKHFLRLHERKPHNIRETLIHFNKR >A06p003720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4549170:4550192:1 gene:A06p003720.1_BraROA transcript:A06p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVSSAIPMDVAKSETLNTTTDSYLPVKKRMRLNEPPTTDKAPVSASDKTKYKGVVQHQNGHWGAQIYVDHKRIWLGTFKSAAEAAMSYDSASIKLRAFDANSQRNFPWSDLTVHEPVFQKGYTTEAVLNMIKDGSYQREFKEFLKNRSQIVSKQNRRDEESNKCFSCTQLFQKELTASDVGKLNRLVIPKKYAVKYLPFIREREEGEIVEDVEVVFYDREMRQWKFRYCYWTSSQSFVLTRGWIGFVKEKRLKEKDLITFYTCDVPTLEGQSKKFLMIDVRYFSDNNSAVTDEEVNKTVHNSFDDVMKPEINSKLGGEEEAKPEENKGGFMLFGVRIQ >A03p015170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6008527:6011028:1 gene:A03p015170.1_BraROA transcript:A03p015170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMTRPLVLLALFLSCSALYTPPDNYLISCGSSQNITFQGRTFVPESLLLKTGNSSVAASTNSNSSSSNISIYQTACVFFGLASYRFKIASPGRHWIRLHFSPIKNTTWNLTSASITVLTDDFVLLNNFSLNNQILKEYTVNVTTDSLTLTFIPSNSYSVAFVNAFEVVSVPDTLIPNQATTLNPSSHVPLSHVAFETVYRLNMGGPLLTPENDTLGRRWENDAEYLHVNSSVLVVTANPSSIKYSVSVTQETAPNMVYATADMMGEDANVASPSFNLTWVLPVDPEFSYFVRVHFCDIVSQAMMNTLVFNLYVNDDLAHRSLDLSSLTNGLRVPYFDDYVAHTSGESLTVSVGPDSLADITNATMSGLEVLKISNGAKSLSGVSPVKSLLFPGGGFNKKVVIIGSAVVAVTSVLLIAVCCYCCLAASRKKSPQKGGNGNGHPWLPLPLYGLSQTSHKSNTASCISLASTHLGRCFMFQEIMEATNKFDECSLLGVGGFGRVYRGTLEDGTKVAVKRGNPSSEQGMAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGGELPPLSWKQRLEVCIGAARGLHYLHTGASLGIIHRDVKTTNILLDENLVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCCRPALNPVLPREQVNIAEWAMVWQKKGMLDQIMDGNLTGKVNTASLKKFGETAEKCLEEYGVDRPSMGDVLWNLEYALQLEETSSALMEADDNSTNHIHGIPMAPMESFDNSVSIDIVDRGGVNSGTGTDDDATTSAVFSQLVHPRGR >A09p018110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9429121:9431831:-1 gene:A09p018110.1_BraROA transcript:A09p018110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSSGDFASAVTHFTDAINLAPTNHVLFSNRSAAHASLHHYEEALSDAKKTVELKPDWAKGYSRLGAAHLGLNHHEEAVEAYSKGLEIDPSNEALKSGLADASRSRAAPPPNPFGDAFKGPEMWAKLTADPSTRGFLKQPDFVNMMQEIQRNPSSLNLYLKDQRVMQSLGVLLNVQFRTQTGDEAEGAQEEDEMVVNEPVVEKKREPEPEPEPEPEVAEEKEKKERREKALKEKEMGNAAYKKKDFETAIKHYSTAMEIDDEDISFITNRAAVHLEMGKYDECIKYDECIKDCDKAVERGRELRSDYKMVAKALTRKGTALGKMAKVSKDYEPVIETYQKALTEHRNPDTLKRLNEAERAKKELEQQEYFDPAIGDEEREKGNQFFKEQKYPDAVRHYTEAIKRNPKDPRAYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYSRKGAVQFFMKEYDNAMETYQEGLKHDPNNQELLDGVRRCVQQINKANRGDLTPEELKERQAKGMQDPEIQNILTDPVMRQVLSDLQENPAAAQKHMQNPMIMNKIQKLISSGIVQMK >A03p047840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22191506:22192696:-1 gene:A03p047840.1_BraROA transcript:A03p047840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS2.1 [Source:Projected from Arabidopsis thaliana (AT2G06530) UniProtKB/TrEMBL;Acc:A0A178VT12] MMNSIFGKRKTPAELLRENKRMLDKSIRDIERERQGLQTQEKKLITEIKKTAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVSEVMGDAIDDALEGDEEEEETEDLVSQVLDEIGIDINQELVNAPSGAVAAPAAKNKVVQAEAAGAEDGGGIDSDLQARLDNLRKM >A10p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2679250:2680796:1 gene:A10p017010.1_BraROA transcript:A10p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTAQFLHKLPPPLWNSNIRFLTSLIARPNLTSTSSLRRSSPLSLDCSTPQSTFLLSDIHHNRFLNSLLQRLSHPGSCPLRLLQEDGDWSKDQFFAVIRFLLPHSSRLHHILPVFDAWKKLEPSRINEANYEKIIRLLCAERSMNEAVRALQSMMDDHKIKPSLEIYNSIIHGYADGGKFEEAMLFLNGMKENGVLPETETYDGLIEGYGKWRMYDEIVLCVKRMESEGCARDHVTYNLLLREFARGGLLKRMERMYQSLMSRKMTLEPVTLVSMLEAYAEFGVLEKMEETYDKVLRFGICLDDELVRRLACVYIDNLMFSRLDDLGRGIRRSDLAWCLRGLCHACLVSRKGLDYLVKEMNEARVPWTTTFANILLLAYWKMGDFKSIKELLLFELWKRRVKLDLVTVGMVFDLSVAGFEGTAVFMSWKKNGFLDKPVEMKTDPLVHAAFGEGQFLRSCKEVMKQESKSLTYQYLLEVVVKNQKN >A03p044650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18656138:18656887:1 gene:A03p044650.1_BraROA transcript:A03p044650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRNGSGYVEAEGNVLEARFRKLPQGSDSDSDSEAGNGRPMKLPCNVGNDISFLGVNIFIYLFSTSASEKKYLI >A05p008420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3420038:3422056:1 gene:A05p008420.1_BraROA transcript:A05p008420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNDLYKDSQDIKSYVTPLNLSQSAMVKMAKSVTFSTSTSASNLSSHPSFTSLASFNSLPASPLNQHFSQSMMEEALESADSIIKKWDPNSQSYRKIISLFRHSRKQAKEFIGCVRDLRRAMHFLVSQDSKSDKLVLAQNLMQIGMSTLEKEFFQILSSNRDHLDPDSASGQSTISSNSEFEVYMDYDEDDDDELKKAGESISQVEKASALVMSDLKVIAETMISCGYGKECIKSYKLIRKSIVDEGLHLLGIEKVKTSHFQKMDWGVLEHMIKNWIKAAKIGVTTLFRGEKLLCDHVFSASVTIGQSCFNQIAKEAGLNLFMLPELVANKEKKQHHHERIFKMMDLYAAISDLWPDIEMVFSFDSLASVKTLVLSTLKKLKDSIHMCLKEFDTMIHKDSSKELSPEGGVHKLTRTTMNFISSLSEYNRVLSEILTEHPLKTNTRLLESYFTAPILEDEHVNNHACSVHLAWLILVFLCKLDTKAESYNDVSLSYIFLVNNMQFVADTVRSSHLKNLLGDDWLTKHEAKLKSYAEKYEKTAWANVLVSLPAKTSAKLSPEEATTCFKRFHAAFAGAYLKQSSCVIVDTKLRDELKVSIVKKLVPEYREFYEKYLPMLRQDRNIEMLVSFKPDNLENYLSDLFHGTPMLTGSSHSATASLFSPSCFSIRCVKH >A06g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7589290:7591882:1 gene:A06g502140.1_BraROA transcript:A06g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVEIPIFEGFALRQWIDWMEKRFAQVRLSESQKVSLGKTLISGDAKTYIQHWRLPFHSWYSLKVALLFKFGDDDDPEKLRLIEDNTRFVERCCKIRSQQDVAEEFLKTKLSHVADHHLSSIGKCLGTYETRFDSVDQRFDQLTQIATTGTTIATTSIDPIQVSHPSMESDLPHYERSNSFVTKIAMPRFNGSSPFGWLLRLEKYFQSCHVVEDERLGRLSECLEGEAFFWYKKELYFGGFRTWCEFKRRLVARFSPVKRCSRQAATRTTEVISQTVVEPNKSLETVRTPEVLEMPLVKTCSSPTVFLNHISTLETVPTESLTGATEFLHERETVPVIDRAEELVSLVSTVEDLIQEEDSQEAQDSDMRNEVVREAESINQPLTDAELESSPVKKPVETKKIPAGDSIQNLVIEVDHEDMPMLQPLVSKSVLESGHREKLLEYLETDTVFEVQKQDVSPFIVLIPSEEDAFYAQTTCVGSTLNAYNVFAQMFVLRDVLQQAINQQKKSKSWKFKFKPKVPFGADFTRPGRGQPVAEKGHDTGAPVVCKNLDMESNVYFPVGGACTWSDGKLMTQIEKNSGTTFKIKLTIGNTQRLRNSQNENALHDNPVALLKIKSGLESAPLEAWLRSSPEARLTYKSLPKCLQVDELRTKTDLLQTNEPGILFQAQSGLVLSGFYSDFHSGARSPQMKFFKSWMFKYNPEQYELTFLSSTNARELIELGKEKILFSVMGSFVWDINVGPTVVFWFRLMRKKNHASWLHGKLCSNRFMELNPFMEQKHDKQSKAGYNFMKMQYVMDMMELLAFVRLDMEDTKPVQIRGHKIFGIWHRWRSRDCVHGAFKVEKGCNHLS >A02p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30501141:30508660:1 gene:A02p049130.1_BraROA transcript:A02p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTSGTQSLHCHNLPDQPVSSAAASPPVTPFQRQKRQCFGDSTPGEFPLAANPSIVLHVLTECRLDPRDLANLEATCSFFSQPAKFAPDCSLSLPELAALDMCNKRMIFKPMSEQEREEMKRRCGGSWKLVLRFLLAGEACCRREQSQAIAGPGHSIAVTSKGQVYTFGHNNSGQLGHGHTDEEARILPIRSLQGTRIIQAAAGAGRTMLISDNGSVYSCGKDLFGEAEYGGQGGSRQVTTPQLVTSLKNIFVVQAAIGNFFTAVLSREGKVYTFSWGNDGRLGHQTEANDVEPRPLLGSLENVPVVQIAAGYCYLLALACQPNGMSVYSVGCGLGGKLGHGSKTDEKYPRVIEQFQLLNFQPRVVAAGAWHAAVVGQDGRVCTWGWGRYGCLGHGNEEGESVPKVVEGLSHVKAVHVATGDYTTFVVSEDGDVYSFGCGESASLGHHTGVFEQRDRQANVLSPAVVTSLKQVNERVVQISLTNSIYWNAHTFALTESGKLFAFGAGDKGQLGAELGRDQTERCVPEREIRSLTLGKRKEIAFLTLSEVCTKGDHAISLRLGEALLLLFIAVSTAIVSATDKPSVTTAGPPTSTTPTSGDVEAAEAPGDDNAIGTTDDDAPGTPGDDDVAVAGPIGSETSYANYPPPQQTSGSGVTAMIGFVSVAATTIGSFFFF >A03p057890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25076254:25078896:1 gene:A03p057890.1_BraROA transcript:A03p057890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTASNATPPANSSPHLSPPPSMNTPIISLESRINRLINANQSPSPSRSIYSDRFIPSRSGSNYALFDLAKDGKEDGAGSYATLLRAAMFGPETPEKRDITGFSTSRNIFRFKTETNRCLDSFSPFVSDDGPGVSQSPIKALRKVSRSPYKVLDAPALQDDFYLNLVDWSAQNVLAVGLGNCVYLWNASSSKVTTLCDLGADDSVCSVGWNFRGTHLAVGTSNGKVQIWDASRCKRTRTMEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRCEEDHVSKLTGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYSEHTAAVKAIAWSPHVHGLLASGGGTADRCIRFWNTTTNAHLSSIDTGSQVCNLAWSKNVNELVSTHGYSQNQIIVWKYPTMSKVATLTGHTYRVLYLAVSPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGSTFFGRTTIR >A03p045190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18887279:18891457:-1 gene:A03p045190.1_BraROA transcript:A03p045190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ABCI12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G21580) UniProtKB/Swiss-Prot;Acc:Q944H2] MKDEDLLQIPGCIVHLANASEPLELASGEFKLVRDSSDDNVALALLVRVGLELQWPVVKDEPMVKVSAHDYLFTLPDKDGDSLGYKVTFSDEEGGVFKKLEILEELMREHSCFSSLSKNKNEIDWKEFSPKAEEYKNVVAKAIAEGTGHIIKGIFICSNSFSKMVQKVGSEITITKETGEKSGHDTEINGGDNNRTPKNNNIITITNIARVETLWEASEMIGAMVLDGEGMISGLIMAPVVKSKLGKALLSTAPGEILLASLDSFDKILGAAEAAEIQTHFATSMAATKLVSKSFGENAGKITGKVLETTGKPTETVQSPPPPTKYITSRQDRISRACLVQSDIEPLMNLSNLPTPHPSLPVNLIPKLPIRHLTPPIIGRSFAIPKLLLHGTPAAKRVFWVRATVDGDGGKTGNWVNRLPIPGLGAENVFRLVSSATGSPIGQFISSPVTFLHSVDPRIKLLSFTVYNYVLVVGLLIELSYGMAINFGSSPSKSKYRCAFGTCCMHSSFVYLCSPKTSLDDVVDVMRFLSLLSCDLSEFLNFSSCKDQLARVSLLSGILFITLGLGSDGAPPMLQSRTPPSSLTGLPDLPMSLTGYSYMLLKLGPLQFTRKGLSVGSTAACLTFIIFQSASICLATTTPEQLALAMRWFLFPLTYIGVPVGEIILTLLLSLRFINLVFDEVRSVALGIVSRRVDWKQLTVLETLDIFASFVRRIFKNIFRHAEQISQAMIVRGFRGESSSHKIYFFSGSSNKFADFASVLGLIGVISTALLSEYLFV >A04g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8649716:8656942:1 gene:A04g504160.1_BraROA transcript:A04g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGISQLRLNQDTMETRVKELGAGGLAHSAGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGTGSGQAPRVMDLRQKDKEKAKEKEKEVAPGDRTPKVRGVAKSNRTRPRMMADQKWTVVREKHHEDRGHGKMCGDWVDSENCGTRRYKSRKGKKATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGDDTGSSNVGAGSGQNVDANNVRDTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVSAGVTDVKGISQLRLNQDTMETRVKDLGAGGLAHSAGNSWRSAQSGERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGTGSGQ >A08p046310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25229495:25231053:1 gene:A08p046310.1_BraROA transcript:A08p046310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLVKVNHTNVGSLILMALVFGSCVANGEYLGGRRGLVAVAGNPTVFDITKFGAVGNGATNSFKAFLNTWLQVCASPVPATLLVPKGEFLTGPVIFAGPCKSKVTIEVQGTITATPSGYATPEWFLFEHVDNVLLTGPGTFHGKGEAVWKADGCGKKVNCNLPPTSLKFRNILNLEIAGISSVNAKAFHMFLVKTTNVNIHNINIIAPAESPNTDGIHLSNAVNVNIVESTIGTGDDCISVGRGSTNVTVEHVTCGPGHGLSVGSLGKYPNEEDVSGIHFKSCTMKGTDNGLRIKSWGGSSPSKAVDIKFEDITMESVKNPIIIDQNYGSRGGDSKVAISDVLFKNVRGTTITKDEVQIMCSKSVPCTGVSVIDVNLNFVGDKGGHPSSSGGLIGALCTNANVIFGGKLSFPMCPK >A02p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28679643:28682795:-1 gene:A02p045830.1_BraROA transcript:A02p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGGKSFADAVSGIGGNGVGRLLAAVAAAMLVRIFSGPGIALLPENDAEDDFSDTEGAGDEDGDDSSSSPGKVRPVTIRWRNITCSLSDKSSKSVRFLLKNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLSSSPRLHLSGVLEVNGRSSSSRAFKLAFVRQEDLFFSQLTVRETLSFAAELQLREMSSNEERDEYVNNLLFRLGLVSCADSCVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEQVMETLRKLAQDGHTVICSIHQPRGSVYAKFDDVVLLTEGTLVYAGPAGNEPLTYFGNFGFLCPEHVNPAEFLADLISVDYSSSDSVYSSQKRVHALVDAFSQRSSSILYATPLGVKQETKNSMRPRRNAIVERKDGWWRQFFLLLKRAWMQASRDGPTNKVRARMSVASALIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERSKGSYSLGPYLLSKTIAEIPIGAAFPLMFGAVLYPMARLHPTLSRFGKFCGIMTVESFAASAMGLTVGAMVPNTEAAMAVGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWAFQGLCINEFTGLEFDHQNTFDVQTGEQALERLSFKGSRIRETIAAQMRILMFWYCTTYLLLEKNKPKYQKLELLPDNGDTETPGVQLEPALDEADVVDQKEEPEDELKQPLDDQSSTSSDEFGEIRPFVLEGL >A07p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:601126:602175:-1 gene:A07p000640.1_BraROA transcript:A07p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANLGSLRSTTTSLFFSNRPFSLSMLRSSLVLRTCLIKPTPLRLLVSWPPHHGSSVRAMSSSSPSSSLEETVKTTVAENPVVVYSKSWCSYSSEVKSLFNGLQVKPLVVELDQLGAEGSQLQTVLEKLTGQFTVPNVFIDTLQLYNKGQLEPMLAEANAKTGRT >A04p038460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:21895128:21896978:1 gene:A04p038460.1_BraROA transcript:A04p038460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFDFVNTLRTLWPFSLFSNDLKESKELVQRLSLPSTTKNFIFAIRVPEHDSTIYILSAQSLSQRSATDAESLIRELRPDAVVAQVNKSALGEAQVEESVSDSIPTSAFRVLKQCFVDKVNKEKYESVAGILVLREIFGTSFNGHVLAAKRAASEVGCSFMVLESPFVNIAAIEGSYEESDTVGKVQGLANSIIPQSSPSGVLSGSRRFLITNDVQSQMLKLLSSHITQLSKELTPPLSCVANGVSSEVPPFAESIYSLLVDLRDIFNDLPSIRKALASARKMLSDVDRGESMDTDVLSEVYLFQIAVEGLRIALNNAGRLPIKNRASARFEDLSSEEKSYALMADLLRSQAKRFKNIVAVVDASNLAGLRQHWRTCVPQEVKDLSEHMVQDFDSDDESGNDSKLKRLLSDKPVVAVGAGATAIWGASSLSKAISASPLFKIMTFKVPASLNLFLTHTHKAMTYAFTKVAYPSKLMAPGFASSGAKSTSLAKASLSAEKIRAVTHSIIASAEKTSFSAMRAAFYEIMRKRRAKPIGALPLATFGASLSTCAGLLLYGDGIECAAVSLPSAPSIAKLGQGIQNLREASLEVRSRESNRIQNAIEALRQKLKKFRLQ >A07p050570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26964487:26966818:1 gene:A07p050570.1_BraROA transcript:A07p050570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMYGGLNLPSTKPAIRHRQPFSSSASRPAFLRISAGIDPKNESITSKTQAVKNPVGVSLSKSKWSPETWKAKTALQQPEYPDQKELDSVLKTIEAFPPIVFAGEARLLEERLGQAAMGEAFLLQGGDCAESFKEFNANNIRDTFRIILQMGAVLMFGGQVPVIKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDAFDAKSRIPDPQRMVSAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFAEHSEQGDRYRELANRVDEALGFMHAAGLTLDHPIMQTTDFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHMVWVGERTRQLDGAHVEFLRGIANPLGIKVSNKMDPAELAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELSFVIAERLRKRRIKSQQAFAV >A08p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17173668:17174595:-1 gene:A08p027100.1_BraROA transcript:A08p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDVGDGQGHHLAAAAIFGHDGSVWAQSANFPQFKGQEFANVMKDFDEPGHLAPTGLFLAGAKYMVIQGEPGAVIRGKKGAGGITIKKTGQSCVFGIYEEPVTPGQCNMVVERLGDYLIEQDL >A09p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:675256:676720:1 gene:A09p002800.1_BraROA transcript:A09p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKGSMKKSNLDRFLHCTTPVVPPQSLPKTEIRSLNRIWHQSEREKVEFFRLSDLWDCYDEWSAYGAGVPIRLTNGESLVQYYAPYLSAIQIFISRSSLIRLRDESEYGESETRDSFSDSYSEESESDKLSRSAASDEGGLEHDANDRLGYLYLQHFERSAPYARVPLMDKINELARRYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMEPEENGGEKERARKEGEGVTLLPFGLATYKMQGNVWLREDDHGQDQERVLSLLSVADSWLKQLRVQHHDFNYFSRMAHR >A09p008120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4201878:4203417:1 gene:A09p008120.1_BraROA transcript:A09p008120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKNNKKGRVNVKPIAMKKNNQANVDHVTGDKIPKSFVFSRTKLPASVKQLQMDLRKLMLPYTALSLKEKKRNTLRDFLNVSGPMGVTHFLMLKKTASALSLRVARTPQGPTLTFKIHQYSLASDIAQSQLRPRCPPDLFKNPPLIVLSGFGTQELHLKLATIMFQNIFPAIDINTVKLSTCQRLVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRKFVEKHEVPDLRNLQDVSDFVTKAGYGSESEGDEEAATVTLSSDLGRVNRGSTKSAVKLQEIGPRMTMQLVKVEEGLCSGGIIFDESENGDEKIAKKKQNEDGEEEEGEEGSEEEEEGEEGSEEEMDEDLE >A02p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19401722:19402782:1 gene:A02p035540.1_BraROA transcript:A02p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIFHADILFIRSFSISSAHRRKIFCWSYTKNGLYTLGESLTYGDTKLYTTKVCPAFRGRSIAMGDGEYASVFDKSELWNGLQGFDRHDKGASCLAKLCNITGEDSDSEDMLPGFQNHSYSTSAKSDFKFFS >A01p031130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13396075:13397214:-1 gene:A01p031130.1_BraROA transcript:A01p031130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNNALLTWAYFSHGKTMEELRQGLVYTTLELEQTKLVAQEELRKRDEQLIHLEDVLAKTLKERDEALEKCNNLIYDNLLLQPQHNQDHITPPLSGASSIEEEQLQPHQQNPNSNKSFSSSDTEESIMSPSVINPNQTSQVEIMATLLLEKPLPEKGRLLQAVLKAGPLLQTLLLAGPLPQWRHPPPPLETSEIPPVTLPPLLFESSVINNGCGNPNKRRAFSISDGSYSETKYESV >A07p026620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15204373:15204991:-1 gene:A07p026620.1_BraROA transcript:A07p026620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLKLVDMEKKLIMLMLLLQLLSLGQSSSKPTANITVMGLVYCDFCSNNSFSKHSYFMPGVEVRIICRFKAASSKTREMITFSANRTTNELGLYKLDITSVEGASCATEANKDSLVASCQASLIGSSSDSCNVPGHKTTTDQVKSKRSNLCVYRFTALNFRPFKKNIDLCGKQ >A06p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14406052:14406974:1 gene:A06p025940.1_BraROA transcript:A06p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRPLISLQLLSSLSPFSLNPTRQSLFLPNFTKPKRLSRRSGVHCSANLITHPSVLFLGSFDGGGFVDTQTFIVTISLVVAIALSLFLGFKGDPVPCERCGGNGGTKCVFCLEGKMKVDSGMVDCKVCKGSGLIFCKKCGGSGYSRRL >A06p046990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25018989:25021328:1 gene:A06p046990.1_BraROA transcript:A06p046990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVVVSPSLCIHLRMPEVHSTKRSSISMNPHVEIAQRKPSGEARKRYASTSLNLGAFLSRGESSCKCTCLASLAEFDAVAGSGWVPIGDQVLLIASVFLTYMAGVIPLQNSAYSSTKNTVEENPDVGTSESSDFEGDLKSVWDVVKAKLLDSLDAIKRESSLGSRVLKAKPPQGKPPLSLYAISEGPQMYLLWSCFQKLEEETNKISNTTNSDEWMVGFTDIVRKAYQGACTAWLKRELSVESTDSDKAITPLLIKMLNEKDAIFNKIRKSGKEELFADFLYFHRFGSSGKPSCYDLSLFRTHGVAILEDLVITLADGVASIYLELISVDSKFSNEINSGGLDICNLSSRALQKLRNEAALYQWLHQNMEAVVSMYEDRFDLYILQTQVINNPDGVDDTKSGSWWRNLTPGKAKPASSSALRYSVISDFSLPVKRTKELKALSGWRYYFSLFLELSDIGMPIIRVVLDKVSSVISFFLVTLIGRSVGLIFTGIRQSLRWK >A02p032690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17164848:17167107:-1 gene:A02p032690.1_BraROA transcript:A02p032690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor sigA [Source:Projected from Arabidopsis thaliana (AT1G64860) UniProtKB/Swiss-Prot;Acc:O24629] MMATTAVVGLNAGKRLLSSSFYYSDVTEKFLSVNDLCSSQYYYHIASTRSGITAKKTSSSSSSSNYSPSFHSSSRHTQSAKALKESVDVVQQWLPPGSDQELEEESHEYEDDDTVEALLLLQRSLLEKQWNLSFEKKKKKILVTCSGISARQRRMGAKKKTNIKVVNSDLFQNNRVKGGYVKGVISDDVLSHTEVVRLSKKIKSGLRLDEHKSRLKDRLGCEPSDEQLAVSLKISRAELQAWLMECNLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLVQGGLIGLLRGIEKFDSSKGFRISTYVYWWIRQGVSRALVDNSRTLRLPTHLHERLGLIRNAKLRLQEKGVTPSIDRIAESLNMSQKKVRNATEAVSKIFSLDRDAFPSLNGLPGETHHSYIADNRLENNPWHGYDEWALKDEVSKLISATLGEREREIIRLYYGLDKECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKSKMEAMILKN >A09p073690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56106487:56107403:-1 gene:A09p073690.1_BraROA transcript:A09p073690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G18170) UniProtKB/Swiss-Prot;Acc:Q9LDY5] MANLFTVTAPFLSQPFTKTAPYHLCCASSSTPPEQNPPSQPESSSTPTQSVKTEQPLASQQKRKKTSVETTDWVASSLTRRFGIGAGLAWAGFLAFGVISEQIKTRFEVSQEEANTRDVEEEKEIVLPNGIRYYDLRLGGGATPRAGDLVVINMKGQVQGTGQVFVDTFGSKDKNKKPLALVVGSKPYSKGLSEGVDYVLRSMKAGGKRRVIVPPALGFGEEGAELESGFQIPPSASLEYVVEIERVSIAPA >A10p008170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:11164805:11165008:1 gene:A10p008170.1_BraROA transcript:A10p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFTCLDYLLLDLRSQLLRIDLEKVSIDTINKLSIDAINKLSIDTPFRPSIDTTTELSIDDPSSKI >A01p035970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16692306:16693435:-1 gene:A01p035970.1_BraROA transcript:A01p035970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLP18.3 [Source:Projected from Arabidopsis thaliana (AT1G54780) UniProtKB/TrEMBL;Acc:A0A178WHT4] METILSPRCLSPPLIPKLSSSHQSKHATSSSLALSNHTVSGPKHLSTRFSAKPESWLTDAKQGLAALSLSLALTFSPVGTALASEFNILNDGPPKETYVVDDAGVLSRVTRSDLKKLLSDLEYRKKLRLNFITVRKLTSKADAFEYADQVLEKWYPSIEEGNNKGIVVLITSQKEGAITGGPAFIEAVGEKILDATVSENLPVLATDEKYNEAIYSSAKRLVAAIDGLPDPGGPEVKDNKRESNFKTKEETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSKK >A09p069910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54365803:54368859:-1 gene:A09p069910.1_BraROA transcript:A09p069910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCSLLSFSFLIIVMTVLASKDKDELIKRDQNSLLSFRSSIVSDPHNSLSTWVSSSTSSSSVDVCNWFGVKCNNDSTRVIELDISGQDLRGEISPSIANLTALTVLDLSRNLFTGEIPREIGSLHKTLKQLSLSENLLQGNIPHELGSLNRLVYLDLGTNRLTGQIPVELFCNGSSSSLQYIDISNNSLTGEIPLKNHCHLRELRFLLLWSNKLVGDVPPSLSNSTNLKWIDLESNLLTGELPSQVITNMPHLQFLYLSYNHFVSHNNNTNLEPFFASLANSSDLQELELAGNSLGGEMPSSVRHLSVNLVQIHLDQNRIHGSIPPEISNLLNLTLLNLSSNLLTGPIPRELCKLSKLERVYLSNNLLTGELPVELGDIPRLGLLDLSRNKLSGSIPDSFANLSQLRRLLLYGNHLSGTVPRSLGKCINLEILDLSHNNLSGKIPPEVVSNLRNLKIYLNLSSNHLTGAIPLELSKMDMVLSIDLSSNELSGKIPPQLGSCIALEHLNLSRNGFFGPLPASLGQLPYLKELDVALNRLTGAIPPSFQRSSTLKNLNFSFNMFSGNVSDRGSFSKLTIESFLGDPLLCGSVKGMQTCKKKHKYAVVLLPVLLSLIVTPFLCVFGYPLVRRSRFGKNLTSLNIIICFFFKSEYGMGRRASIHGDVYSFGVLLLEIVSGRRPTDVAVNGGSSLHEFIKSHYPNSLEGIIEQALIRWKPQGKPERCDKLWREVILEMIELGLVCTQYTPSTRPNMLDVAHEMGRLKEYLFASPSLLHNQPQGTQGEAIS >A01p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:322445:324482:-1 gene:A01p000820.1_BraROA transcript:A01p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFIEENTKHVDKETGNKSNTDVTTATKKVLQDLIINNGGLINSWVDSMRACSPTHLKSLMKQSSWLKEHPSALEMFEEILHASEGKQIVMFLDYDGTLSPIVDDPDRAFMSKQMRRTVRNLANCFPTAIVSGRCREKVYNFVKLTELYYAGSHGMDIKGPEQGSKYEADKSLLCQPATEFLPMIDEVYQRLMEKTKSTPGANVENNKFCVSVHFRRVDEKNWSDLANQVRSVMKDYPKLRLTQGRKVLEIRPIIKWDKGNALEFLLESLVLACVGYANCTNVFPLYIGDDRTDEDAFKILRERRQGLGILVSKSPKETNASYSLQEPDEVMDFLQRLVKWKQLRSGA >A08p020670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13931698:13932510:1 gene:A08p020670.1_BraROA transcript:A08p020670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM68, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19100) UniProtKB/Swiss-Prot;Acc:O49668] MASVTCLFNLSAHPRSSCKQLDGSVERRREWNGQVPKSLTCNKRLEISRIAPLQATLNSPRGFGPPPKKTKKPKKSKPGNQSDDEEDDQEEDEEDERERGVIPEIVTNRMISRMGFTVGLPLFVGLCFFPLFYYLKVGLKIDVPTWVPFIVSFVFFGTALAGVSYGIVSSSWDPSREGSLLGWNEAKKNWPVFWQSFWKR >A05p045650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27273928:27275506:1 gene:A05p045650.1_BraROA transcript:A05p045650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYHQPYYVYNPHPLPQLADEPGAINTLFVSGLPDDVKAREIHNLFRRRPGFESSQLKYTGRGDQVVAFATFSSHGFAMAAMNELNGVKFDPQTGSTLHIEVARSNSRRKERPGSGPYVVIDNRNKEPSKSQDGQSGEGESDPDEAEEPGNSNSPKGNDSTKSEVDSDQDSKAPPTSGNLEKASEGGSGARPCSTLFIANLGPNCTEDELKQLLSSYPGFNILKIRARGGMPVAFADFEEIEQATYVMNDLQGNLLSSSDRGGMHIE >A05p016540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7380817:7382620:-1 gene:A05p016540.1_BraROA transcript:A05p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:Projected from Arabidopsis thaliana (AT2G31260) UniProtKB/Swiss-Prot;Acc:Q8RUS5] MDLHVTDNEVLTMPWETVLENFVQLQSSQRLCVVKDLSAHEIVMHLMRKENYLIGMVNKSLLSFPISHWIPGAGPLVKSALDGTQHHHLLLTKTLEWTLNWFILQSINFRVRRDFVSNPTILKKQLFAVGVAMLLLSSYLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWLFREFNEVDHLFKYRINNSAEHASESFSAVVIIIAFLEESLLEDHRSQFIMNLLWYAAVFGTITAISRAAVSDEILVLDPVKTMTFVVQHTHYMPKRWRGKENKDDVRLELKTLFQYTGMMLLEEMASIFITPFLLMFVVPKRVDDILQFIRNFTVDIEGVGNVYSFNAFDSRTMGILNMVDRVMYLVSREALRGKWRSHS >A01p023000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11354731:11356493:1 gene:A01p023000.1_BraROA transcript:A01p023000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADERYNRKNPAVKRILQEVKEMQANPSDDFMSLPLEENIFEWQFAIRGPSETEFEGGIYHGRIQLPSDYPFKPPSFLLLTPSGRFETNTKICLSISNYHPEHWQPSWSVRTALVALIAFMPSNPSGAIGSVDYPKEERRALATKSRESPPKYGSPERQKVIDEIHQYMLSKTPSPKPNPEECNKTSSADSDGQSQTKPQDTEAATAEPVTAVEENVVDQIAEEAGQTVVPGANAAENVAAGDNRNGLVRQREQATVAVRAAQRRGDDRLFTWAAVGLTIAIVVLLLKKLVRSSGHGALFMDES >A08p028000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17610063:17613309:-1 gene:A08p028000.1_BraROA transcript:A08p028000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVVNFGGFEVAPAPFEAKPETKPETNGKVEQGKGEPPKKAEESSSSSKISSDVPKDAAEEWPAAKQIRSFYFVKYRHFDDPKIKAKLEVADKELEKLNKARSVVIEGLRAKKAERSKLFDMLDPLKSERQGFNNKFDEKRKEMEPLQQALGKLRGNDGGSSRGPVICSSQEELNSMIYSYEYRIQHESIPLTEEKQLLKEIRLLEGTRDKVIANEAMRAKIKEAMGHKDDIQGQVKLMGAGLDGVKKERQAISARINELSEKVKATKDEIQVLENELKTVTEKRDKAYSNIRELKKQSAETNSGFYQGRNVLNKARELAAQRNVDELEALSNAEVEKFVSLWCSKKNFREDYEKRLLGSLDARQMSRDGRMRNPEEKPLVAREAPQAKAAPSVTEVVPKANKAKQQQPKEEEVSAPKPDAAAPVAQKAEKAKDAEKGKKNVVVVDDDDDEEEEEVYGLGKPQKEEEEVDEATVKEMRKQEEIAKAKLAMERKKKLAEKAAAKAAIRAKKEAEKKEQKEREKAAKKKTGGRNAYEAISEEVPEASEAEKEEIEVPVEEKPKKEKKVLKEKPIRNRIRNRGGPETLPRPMLKRKKQTNYMVWAAPAAAAVVGKDGGEESKQQGMGTTTDGKAPNVTMNHAADTSKDGLKRAVDVANEESGDASKRKSGENDEGSEEDVSVGDDGTVEGQNHSSG >A08p013670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9239993:9240467:1 gene:A08p013670.1_BraROA transcript:A08p013670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKQKLSDLASTAKKKMVICRAKVEEKAEKARARTKEEKKIAHERRKARQAEAKLDMHVAKEAHAEEKLMAKQSHYHVSQSHVPHHTPVTTPQPVVGHGYGHNHPAAYPPTAYPTAYPQEHHHHHPYGNV >A05p014650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6416019:6417730:1 gene:A05p014650.1_BraROA transcript:A05p014650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDPNASVSLAAASETPMTQPSTVKTPSPQPPYRAIAPLHRQPQQSIHPHPFPVRRSNPVSGSPLQDPSALAYPGRGLPTRPGRQSPSTVADLSGGYPTLPVYAYQNGQSLDPMSQFMRAQYPQIQPASHLGSGHLKGVPHFLQPRVAHPPLTSILDNGGRKNSRSRNDVLVLIRKRKVRITEGASLYSLCRSWLRNGAHEGLQKQQSDTTVTCLPKPLPASDVVETSLPKDSVEEPNCVEEDKEDEESVKQLSDADLLKRHVDRAKKVRARLREERLKRIARYKARLALLLPPFGE >A08p027490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17357041:17359220:-1 gene:A08p027490.1_BraROA transcript:A08p027490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGFMWKSEDMQGFFPVRSECQADVPRTRFKSRVGKTLSRRRWQAAFTKDGHLDMERVLRRIQRGGIHPSIKGEVWEFLLGGYDPDSTFDERTKLRNHRREQYYAWKEECQKMVPLVGSGKFVKMTIVSEDGQPLEESSADNQEWLVKDTVTDKRVLQWMLVLSQIGLDVIRTDRYLCFYESESNQARLWDVLAIYTWLNPDIGYVQGMNDICSPMIILLEDEADAFWCFERAMRRLRENFRTTATSMGVQTQLGMLSQVIKTVDPRLHQHLEDLDGGEYLFAIRMLMVLFRREFSFLDALYLWEMMWAMEYNPNNFSSYEKPENGTKQDPRLLKQYGKFERKYIQSGQNEQHHNTLAVFVVASVLETKNKRLLKEAKGLDDVVQILGGIAGNLDARKACKEALKIHEKFLRKANKQ >A06p007920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2749592:2750104:-1 gene:A06p007920.1_BraROA transcript:A06p007920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGQSHNKFLRIISTPFRALGKAYVRSITGCASRTQYPSSSVSTAFPRSKSSSSAAFSSSASTRRTTDFGIGDDYSELVRAASVRSFGHKNEIDMFIQEKVKQEKLQLEMQKKMKYGGLPKSSSVGMAKIDEDEEGEEGSVNPKTTKKVSELLYPRSKSYAVTGSPKF >A02p017770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8058264:8060033:1 gene:A02p017770.1_BraROA transcript:A02p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 8 [Source:Projected from Arabidopsis thaliana (AT5G52860) UniProtKB/Swiss-Prot;Acc:Q9FLX5] MKTPPPQSSETKAYTLTTSSVSYTQPKTSLSLLRLAATEPPSFILRNITLTAHPSEILAVVGPSGAGKSTLLDILASKTSPTSGSILLNSVPINPSSYRKISSYVPQHDSFFPLLTVSETFSFAARLLLPNPSDVPQTVTSLLSELNLTHLSNTRLAQGLSGGERRRVSIGLTLLHDPCFLLLDEPTSGLDSKSAFDVVNILKSIAVSRQRTVILSIHQPSFKILSIIDRLLLLSQGTVAYHGRLDSLEGFLLSKGFTVPPQLNSLEYAMEVLQELRESDGNTDVITLPSTENRIERQKQSIVRYRKSRITEICLLSLRFWKIIYRTRQLLLTNALEALVVGLVLGTIYINIGIGKEGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSHILANTLVFLPYLFVISIIYSASVYFLVGLCPTWQAFGYFVLVIWVIVLMANSFVLFLSSLAPNYIAGTSSVTVLLAAFFLFSGYFISKESLPKYWLFMYFISMYKYALDALLINEYSCLASKCLVWYEEAQGKVCLVNGGDVLEKKGIHEKHRWFNVYVLLGFFVLYRVLCFLALLRRVSGSKR >A03p008780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3520187:3522741:-1 gene:A03p008780.1_BraROA transcript:A03p008780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSKDLPSPTSFFTAYASMAGYMMMIRSMTHQLIPGPVQDFIYSSLHSLLFRSTSTTLTLTIDDDNMGMHNEIYQAAQTYLSTKISPEAARLRISKGHRDKHVNLYLSDGEAVNDVYQNVELKWRFVTDRGDKKGDDDGRSEYFELSFDKKHKDLILNSYIPHVESKAKEIRDERRILMLHSLNSLRWESVILEHPSTFKTIAMENELKRDVMEDLDRFIRRKDYYKKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLQLASVMRDSDLRRLLLATRNRSILVIEDIDCAVDFPNRLEQQQPGEGKNCGDSQAPLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIYMGHCTFQGFKTLASNYLGLNDTTMPHRLYPEIERLMDGEVITPAQVAEELMKSEDADVALEGLVNVLEKMRFKANELSPVLKKKESRLERDEMRARPDTEGSPRKNSKRIKKLVLFWT >A05p050290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29285773:29286725:1 gene:A05p050290.1_BraROA transcript:A05p050290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKASVLLSDVSKDEGHLGSGAIHVITGPMFSGKSTSLLRRIKTEISVGRSVAMVKSSKDTRYAKDSVVTHDGIGFPCWALPDLMSFPERFGQDAYDKLDVIGIDEAQFFGDLYEFCCKVADVDGKTVIVAGLDGDYLRRRFGAILDIIPIADSVTKLTARCEVCGQKGFFTLRKTCDTRTELIGGADVYMPVCRKHYVNNQIVINASKKVLDSDKARGDSCVETVAAMV >A03p052010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20252111:20257169:-1 gene:A03p052010.1_BraROA transcript:A03p052010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFLHPEDDPPPTLPKPVEGLHEIGPPPFLVKTFEIVEDPNTDHIVSWNRGGTSFVVWDLHSFSEFLLPRHFKHSNFSSFTRQLNTYGFRKIEAERWEFANEGFLLGQRHLLKSIKRRASFASSSIIQDPCVELRKEKQLLLMELASLRQQQQTARIYIKSMEQRIEGAEKKQRMMMSFLARAFQGPTFLYQLLQERDMRLKEVEVEVEERERGSSVSELEALALEMQGYGRQRNVKEEEDMVVERELDDGFWEELLSNEKNDPPPSPPKPVEGLHEIGPPPFLIKTFEIVEDPNTDHIVSWNRGGTSFVVWDLHSFSEFLLPRHFKHSNFSSFVRQLNTYGFRKIEAERWEFANEGFLLGQRHLLKSIKRRASFASSSIIQDPCVELRKEKQLLLMELASLRQQQQTARIYIKSMEQRIEGAEKKQRMMMSFLARAFQGPTFLYQLLQERDMRLKEVEVEVEERERGSSVSELEALALEMQGYGRQRNVKEEEDMVVERELDDGFWEELLSNESLASTSSN >A05g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4681583:4683015:1 gene:A05g501210.1_BraROA transcript:A05g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISLRGFQTFLSFTLLRGNLKTQQITQVKYENAPEPFRLSSPHQTCNTKDQFVIYGMVPLIDVGYLHLVMDLTGGMSCMLISIAQGSAKGMIGQSILSGTEKNVVTVFSAPNYCYRSSTSRDTADPKTYTTATDQHGKENLAGLLNTTESFPLSPSDVGNSLLRLILWQNIRSIRQSCVMCSCLKN >A02p002290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:956990:957442:1 gene:A02p002290.1_BraROA transcript:A02p002290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTSPERKRRRGLSTPSLCCGGSTAAEFCGGTTADFAALCCCGPCSVVSLVVLAVYKLPRGLFRRLLAKRGNGDSKLSKVGSSKFAVHPVESREEEDLDKLAMELKDGEEGEDEEVVALEKEMWSRFYSGGFWRSLSQVETTSSPKTD >A01p047590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26837858:26838615:-1 gene:A01p047590.1_BraROA transcript:A01p047590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin-like protein 5 [Source:Projected from Arabidopsis thaliana (AT3G18590) UniProtKB/TrEMBL;Acc:Q9LII0] MSPSKKIITLVTILVSFFLFSCGVSSTELEFGGEDGWVVPNSNKTHGDMFNQWASHNRFKVGDTIRFKYKKDSVLVVSEDEYKECKATKPQLYSNNQDTVSYYPIM >A07p036440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19561012:19562391:1 gene:A07p036440.1_BraROA transcript:A07p036440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGDPSTTIRHQPMNLPPFPTSDEPLIPKPNRICKSAMSTFFLLPSSSNEPNNRRKGKKQTTSSFRSLGCTSSASQQVSVPAVIRSSANWDASDAKSKKTKSKTKKNKGCSGYSGGGSVKILSEAERSGCGPVPDVWCGPGVGFSTDAVVSGTVEAEPPRRNIPARRKIDGEGSSVPPRRSHNQETSLYFDSDLTSRDEQTQTLFSDRYHRHLRQPYPNGLDEMMMLQNGFVMGGMLNSHDHFRDLRLNVDGMSYEQLLELGDRIGYVDTGLNEKQIKTCLWRVKPSHKATPLEDRKCSICQEEYEGKDEVGKLRCGHRYHIYCAKQWLLRKNSCPVCKTMPFV >A02p032800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17223482:17225274:1 gene:A02p032800.1_BraROA transcript:A02p032800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSNTMLLVAIAAAYWLWFKRISRWLKGPRVWPILGSLPGLIEQRDRMHDWITENLRACGGTYQTCICAVPFLAKKQGLVTVTCDPKNIEHMLKTRFDNYPKGPAWQAVFHDFLGQGIFNADGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILETAQIKCEPVDLQDLVLRLTFDNICGLAFGKDTRTCAPGLPENGFASAFDRATEASLQRFILPEFLWKLKKWLGLSLEVSLNRSLAEIDGYLDAVINTRKQELLSQQESGVQGHDDLLSRFMKKKDQKGQSYSEGFLRHVALNFILAGRDTSSVALSWFFWLVTTHPTVEDKIVREICSVLIETRGTDVSSWTEEPLGFDEVDRLVYLKAALSETLRLYPSVPEDSKHVVNDDILPDGTFVPAGSSVTYSIYAAGRMKTTWGEDCLEFKPERWISPDDGKFVNHDQYRFVAFNAGPRICLGKDLAYLQMKTIAAAVLLRHRLTVAAGHKVEQKMSLTLFMKNGLMVNVHKRDLEGIMKSLITKESNDVIVLKKCNGVIGEGISVNAAVAVAV >A07p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:441351:443489:-1 gene:A07p000850.1_BraROA transcript:A07p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIF1 [Source:Projected from Arabidopsis thaliana (AT2G20180) UniProtKB/Swiss-Prot;Acc:Q8GZM7] MNHHHHFVPDFETDDDYVNANTNSSSSNLPRKPIIGEEEDGDLMELLWHNGQVVLQNQRPNTKKQPPSSSSSSAPPPPLDHNLFIQEDEMSSWLHYPLRDDDFCSDLFFPAAPSSERQASNQVTSARPPVPSTVRPPEPSSVKPPVRNFMNFSRLRGDFTGRGESGPSTVRESAKVNPSATPASSAAREADLGSRSAVDGGGAYAAGHNRKGKAVVTTEPARENQGTSSSVASKSEIETIVDERKRKEREAIDETECRNEEAKQGRGSSSTKRSRAAEVHNLSERKRRDRINERMKALQELIPRCNKSDKASMLDEAIEYMKSLQLQIQMMSMGCGMMPMMYTGMQQYMPHMAMGMGMEMGMNRPPPPPPPFMPFPNMLASQRPLPPQTRMGGYPPVQASDPSRVYGSNQQFDPNSSQAQFSAYMDPFQQFRSLHPSQPPQFQNQAASYPSSSRVSSSKESEDHGNQTTS >A06g500190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:946165:946705:-1 gene:A06g500190.1_BraROA transcript:A06g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFRTSLIGFIMLTIFLLGVLVSAQKGGPATQKTCVDAYPNNTGKCDAKQCAAECTKKRKGVSRCQDIGKGDFQCRCHYNCRP >A06p036170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19637282:19638626:1 gene:A06p036170.1_BraROA transcript:A06p036170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWQRNLQLIRQIARRVTTNSNVSTANYSSLARSSESPFSKCYLQGLLRPTYSSAPLHHYLQQVGISTSRKLNAGEEPVSSPLSSPALLGSGKEEEEEQKVIPKRKKVQAVLKAIKQSPKKVNLVAALVRGMRVEDALMQLQVTVKRASLTVYRVIHAARANASHNHGLDHDRLIIAEAFVGKGLFKKRISIHGKGKCGLMIRPECRLTVIVRETTPEEEAEIAKLKVHNFRKLTKRERRLNPYKLIETTPIWNRRGTKANHRSSELVPSR >A05p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3678783:3679696:-1 gene:A05p008900.1_BraROA transcript:A05p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIFLTISVVATSASPWIPMDGNNPASYCLSWRLAIETNNVRAWRTVPLQCMRYVEAYMLAGQYDRDVELIVEQVRVYLNDIVLPGDGMDAWILDVDDTCFSNVYYYRLKRYGCDPYDPTGFRTWAMKGESPAIQPVLELFNDLIEIGFKVFLVTGRDEETLRQATVENLHNQGFTGYERLIMRTAENKKQSAATYKTTIRKQMMEEGYRIWGNVGDQWSDIQGEYSGNRTFKIPNPMYFVP >A06g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14019859:14022292:1 gene:A06g504490.1_BraROA transcript:A06g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHAKGNVYAHVSPHMQPEACGTTHMRLGGESLLAGVFYIYLAPLLFFIHPKSLKDVEFFKGGHSGLSTPLRSVGIRGYLRNSRKGFGRGFGQGPKPQRTKHNESRKLIADLFSCRFSLIGSCIMAGGRYRVVPITCQISNARLVFWVLRGKEDYVSMSLRGLAERLHKACSIVARKVTRVCPYAAGQRGFIKHVVYGEVARKHAPSCDTLLGLHVSSLDVLARVPLWLRRFRTMVLGWTVSHVLVLLLAGRLAFHLQPLVWVVYRSCSCLIVGRWVDLCLERFGSVTNMNPHLSLHVRQFGPTYLSTAARIASLHESSLAATPQRSYGALFNLSQQQKSYGVQ >A07p000940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:360852:362012:1 gene:A07p000940.1_BraROA transcript:A07p000940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL12 [Source:Projected from Arabidopsis thaliana (AT2G20030) UniProtKB/Swiss-Prot;Acc:Q9SL78] MNPPQAISLLFFLLDYVSAQSPPPPPYTTNDLFKPSLVITTGVFSVVFTLTFVLLVYAKCFHNDLRSETDEEGELGRLERLWQGLFNQSSRLSSGLNRTAIESLPFFRFSALKGSKQGLECSVCLSKFEDVEILRLLPKCKHAFHIECIDEWLEQHATCPLCRNRVCIEDELSVFSVLGCSSNSMRIMNQLTETREEDSNMEIYIEREEGEDSSRFSSFRKILKFGKNDKPLSLVEQGNEKRLHKFRHRIVVSDVVFKNRWSNVTPSDLTRLTSDMLNSMSSDRFSSMERVDLRDKEEMDMKRMMKNKDSTRRVVSEITAVPRLSVLEVTTAVGGNDGGLAASTSRSDAIAATEERRRQLWLPIARRTAHWFANREKMNELNTTKP >A05p012490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5431977:5433230:1 gene:A05p012490.1_BraROA transcript:A05p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAGSFVARRRRRLLTVSHLSVSLFLLFTFLLHEFLVWLGFFTSLVVASCRRSCRCRLYILWLLFSPFSSLLTAQVPDLTLMTCNSLTLVESSQISDLLMLQRTLLSSSPKMMNFLPSGKIYPVRFWCECGSCTSPPCPILVGNISLNGSEHYYQTIPARNLLFKDPVTNLCSPRTPLVAAPSDDCSPLQSLLENNDQGLSFGSEKSPWIQHGNAGVHMFCLESMLVPLESEVKSTSLFVRVKESSALMSELSQEKTISAMFWCERGIIFGSYISGLTVLVQCFTEHLLKSSQDSRSFSTISFWTTLPKCMRRPQLATSTPSQCSVSSPNLRLLKLETNSPLKLSFMVKFHQPSSRQGRERSLSTSSFSKERIILQTSLFVRDRLIPIAISEKLFNPFTILLSCLEDQKMQPDLS >A02g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10943441:10943859:-1 gene:A02g503330.1_BraROA transcript:A02g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLFHVLNTDNDNMVFLFLNVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGENFSGIYRKVQLKPLKWDGEGEK >A02g509970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26647873:26648319:-1 gene:A02g509970.1_BraROA transcript:A02g509970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKLLCLLVVFAIITFVTHGSGPHCGLNDVTLRQSKSGMVESKPVWKVTLNNPCICLLTNLKLSCTGFESVVPVDTLIKTGDVCVLNKGIQGDFVFKYAWDTSFDFKVIDGTFCA >A08p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:953410:954540:-1 gene:A08p001590.1_BraROA transcript:A08p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTASNSFASHDALMLPVRTWPVTAAVEALASSILRSGDTRSATPNVLLAPPPLFKRNLTGLLVGKLTQLPHCVPPKSPSTPHTVFKREPSDLPQWGLTGSSPTTQPASHRNLIPISKQLRLQPDHPKAPCLLSNVYSHMLNRNEYDDSLLKFLSVTTSWPRHGNVKVRVSNPIKLYASSQNSIVLSTFLKVKLKLEIRLVSWISLVVVRAVSMHFNAKSRHIKPFDTIAVEKDSPASLSMRGESFRVFNPVLNSSKSLSLGYFNVVSDYLKLFRAVVQGFK >A07g500820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1493351:1495884:1 gene:A07g500820.1_BraROA transcript:A07g500820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKLNGKSPITFDDEEKVMFFRDVSPGPHETQLCFRLIHFWEAWNPLKKTLIGLEMLLIDEQGTVIQRFISPGHTERYLSKMKPGLVYKFNNFYGSSNKSVYRVSDQAMMVSFSWNSDLSVLEDSPTPFDEDRFRFHSLEDFRTNCDRKGDLYDVVGHMKLVNGQSLIGTPVLDEVEIARARHLLVHVQSHDGPVMKLYLWDQAARDFCKKFKSYENTPTVLLVTTVNTKSLGGTLALTSMSSSRVFMDYDVQPTIDYFGWLGSNPQSAERVNAEVVTKRETLTIGEIFSYVKQGSTKEAFFECMATIDDVVHGSTWYYISCSGCNTKATKGPTSLMCSKYGKVNISGVAQYRAQISVYDNSEQAVFVLLGDAGYELTGRHASELVSSYFEANGNQGVTQEVPVPEALISTIGQKNKFCVKVTKHNLERKSRSLTVTKILPLDTPPATESSEGNHNTETSEETFETGTNVCEASKISVDSAEGRKRTCDIDEMGKAKRPNCGN >A02p056680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34148285:34148659:1 gene:A02p056680.1_BraROA transcript:A02p056680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSGSSLVITNAERSEEDSGLSVNSIRRLLQRSAIRIMDVMEGVTNGDETVVLATGAAPAMEKLQMTVLLTIVVLLIVFVTRATRAR >A01g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9196047:9197056:-1 gene:A01g502720.1_BraROA transcript:A01g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDDLLMKERLTKLAILDTLLAKNQPLTEAEEIVKNKLLALKWDDIATASHLFQRIIVGIHQTVDTALQKSSSVGTKKSSSVGTKKSSSVETKSRLKYPPQPEVEFGFPQTCYCGGAPKLATSKTLNDRGRLYFTCDQADDGECHVWKCDFEAEQKLVRLENLVCELGKKRASYLIGFELFGGLVITVLVFLVVVICFK >A07p017690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10682240:10685488:1 gene:A07p017690.1_BraROA transcript:A07p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRDILLTFSVALLLISLFQIWLFREGQVRELAEDNQVGKDVNTLASKNKKDNVQRLFQRYFKDRSLAPQIASTTRDRARAITTPSDLTVSGRSSENLTAILAWFNNSGSEDGGINKCVIERFDKEAAEMYKRSFKYVWVLEVPHCAILIIDSTTLVLKLGLAEVDWRQKRELLLEKKVKGVDVKEALRLQKENNFVISDVRPEAEYKDGHPPGAINVEMYRLIREWTPWDIARHLGSAFFGIFSGTE >A10g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7886172:7891318:-1 gene:A10g502940.1_BraROA transcript:A10g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKVGGVDDLYFSRLLNNLHGRRIFQSSTSYRTFNFTNRCFSPTAISKAIRRKPYRGRIPPLSSPIISLITTNLVSPSCGRVFSSEISFAKLRRRYVISHVLRRLAAEKTLNILVLILLFPSICRSVTAWGHIFSDHIFSDNIFSNYDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVRHSRRLPGSRPSLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIYAGYIERKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVNGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSAETPVEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEEPGSESVNGAKARQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVREWKLAPTQQTPFKGNSTAKQIIPNKQVGEGYDPFAPYDKMKSKELTAWVQKDPSHKLPLKKKPRRCPSRFYQVLRTPLEWLTDHQMDAFINLLRQRYQNHPEHFRSDRICFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPKELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGTFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPMCHEWENQDNGRLGDVNRSG >A10g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4217824:4219016:1 gene:A10g501520.1_BraROA transcript:A10g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDWINIHRPYFGAFLETHILENNKERILRAVPRDWNYYGNYEANDSGRIVVVWDPRVTMFIYHASAQSVTCGVTLLSENITFTVTFVYGFNLLEDRRSLWVNLADLQATTPVSVFPWCVLGDFNQMLRSSHHSNHLTSRTDDSGMDEANLGLQDAQLFEAQAKGLPFTWRNCQDDNPISTKIDHTFINQSWSSSFPDSFADYLDPSQSDHAPCLFRMPAIRRQVIKPFKFFHHVLDHPEYAETVSGAWNCEHIMGTDQFKLVRSLKLLKRPLRKLNKRHFSGISQRVKAQKERVDDLQRRLLTLPEESTAREEHLERDKLNILLKAEEKYYKQRSRVRWAGLGDRNTPDPRVN >A02p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19163273:19163990:1 gene:A02p035060.1_BraROA transcript:A02p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPYLLFTVYIKKRTHTHSTTIVASVTALKTLYTRTRITNPIARIRSLARCLSRGAKRLCGGEKISGNNKIRLGKDLNVSPSMNVVPKGHLVVHVGELDGDTRRVVVPLIYFNHPLFGELLEQAELVYGFDQLGRITILCRVSGFEKV >A05p003340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1219792:1220103:1 gene:A05p003340.1_BraROA transcript:A05p003340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKCIYICTVGAEVGDPTHQGSRGSTERLGSLEGEKAKKIRRIMRRRGSRRSVAGGGGSGGRNGGRIRRSRETKRRTATDLAVIKELPVKPIGLGVMSTLSR >A05p033740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18962211:18962639:1 gene:A05p033740.1_BraROA transcript:A05p033740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSNSRDHTAMRITRKKSTHYSLSTSGAVVVLKETELKRLIDDAQHDCWKMREGEKNILGYAVKKYQAQLI >A09p033640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20326090:20327355:1 gene:A09p033640.1_BraROA transcript:A09p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICERDDFSLTGPLHLTSVDWANEHHRRSVAASLVQGIYVAERDRQLQREGPELALSPLWSEFFHFRLIRKLVDDADFSIFGGIYEYKPSSGTVKSQELSPRFVIAFRGTVNKADSISRDIELDIHIIKNGLHTTTRFEIAMQAVRNMVASVGCSNVWLAGHSLGASMALLTGKTVARTGVLPECFAFNPPFLSPPIERIKDKRIKHGIRIAGSVITAGLALAKKATQQVSQNHRALPAPPDQFAALSDWFPRLYVNPGDHLCSEFIGYFEHRNKMEEIGAGFVERLATQHSLGGLVMDVVSGGKNTEAPVHLIPTAVLTVNMSSSRDFKEAHGIHQWWREDKIFDTKIYQYK >A05g500670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2501874:2502140:1 gene:A05g500670.1_BraROA transcript:A05g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPYMTAKTSVWWDIESCQIPRGFDAYGIAQNIGSALEKMNYCGPISIYAYGDVSRIPPTIQHALYSTGIALNHVPAGNIHLKPST >A10p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7375187:7375708:1 gene:A10p010170.1_BraROA transcript:A10p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLCVSLAYDMSTMNLFLFQRVNNNMDSFLIKIERSGLSSQEKKGVFYSALIIYPNELSKAAQLSLAKAKSFKEVSKKWCYAFEFVELGL >A05g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17616767:17617983:-1 gene:A05g506170.1_BraROA transcript:A05g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFRNRFFPTKNLYGRKNREVRRKLKTGRGHFRASVSLGRYVATVRPARSLRSDRARAEARERALPNIDTTPVHAFSSKPLGRYVATELEPKLGRYIATGLEPKFGRCVASELFRTSIRHQSMHSRQNLQMLSPEDRSKLIRSLSKELVVNVSSRKTAQRDLKHDSRPILRFLNQNSVNHSTVYAWSTRKDKCQVSTDKYGTATQLGLAVLGLLELGISPTALEPRLIPCCKRSYANSEISGSSGKLGFSWFLYLNGNRQCEFWFSQF >A05p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1019629:1020977:1 gene:A05p002870.1_BraROA transcript:A05p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPREKKRGSLKEKFQLLRSITNSHAENDTSIIMDASKYIKKLKKKVERFKGDTTAGQSSSEPTDPTIPMVTVETLEKGFMINVFAGKNQPGMLVSVLEAFEDIGLNVLDARVSCTDSFSLHATGVENEDGEGMDSEAVKQAVTDAITSWSESSDLQS >A04p003410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1676412:1680434:-1 gene:A04p003410.1_BraROA transcript:A04p003410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSALKEVLESNSTGMDYEVKTTKVEVSNSNNSKSTKSGGAGTGKYGMHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKARVQNTCPTCRYELGNIRCLALEKVAESLEVPCRYQSLGCHDIFPYYSKLKHEQHCRFRPYACPYAGSECLVTGDISTLVVHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDENEAKKFSYSLEVGAHGRKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNLALYFSGGDRQELKLRVTGRIWKEE >A06g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3705448:3706062:1 gene:A06g500960.1_BraROA transcript:A06g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEMDEEQRDMKARKAYYQRVDFVFNSLQGIPQLCPCGSITKEIVDEEDTYDYLPRKRYFICKDFENDGLHYRQPWVVGVQEEVERLKLKVLRHENLLTECEELKAQVAMLVKRVTELELLH >A09g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9736032:9736844:1 gene:A09g502880.1_BraROA transcript:A09g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFNFTINQKAFSKIPHSLISAVFRSDPPSPFRFAVSVQIRRLCPPDPPSLSSRSTVSVLNRRLRPDSSSSSRSTKQSRSRLKTSNTAESVSFSSDKKYDITQVKAPPQLQKTMC >SC160g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:69441:70021:1 gene:SC160g500060.1_BraROA transcript:SC160g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPDLSREEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A08g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9987929:9988442:1 gene:A08g505800.1_BraROA transcript:A08g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSSSRFLRISATKNLKMELGSSSSRKSRNSRHKLCFCGLKASINQAWTDKNPARRFYGCPRFKFGNGCKYFSWFDEEEGTEWQKKALIEARDEIRKKDRIIEQLKVTIAEMRSDLEKKQMETVKNEDEIVRQFEECFV >A01p049580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27827117:27828178:1 gene:A01p049580.1_BraROA transcript:A01p049580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYTDLLTGDELLSDSFPYKEIENGILWEVEGKWTTKGCVEVNIGANPSAEEGGEDEGVDDSVEKVVDIVDTFRLQEQPTYDKKGFIAYIKKYIKLLTPKLTPEQQDEFKKGIEGATKYLLPKLKDFQFFVGEGMHDDSTIVFAYYKEGATNPTFLYFGHGLKEVKC >A01p022480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11087679:11089082:1 gene:A01p022480.1_BraROA transcript:A01p022480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVREGLQKQVKILIGLGFGGYRGFHSRFASPNGFLEPASSDLLLSNERRNLSLLGALSRTFSVPSVSGPAFQVCGYHIDLLLSDASLRSVGESMASLGGSKSLFLDPLVSGVTVCGDGHSRGRVSMRLRGRDHGDNNNSTVYGYFAYRAAKKWIAFNPRTGGLAFRGLHSSPLSRFSAGNAPDVSFDSSPAEEQVKGSSSDSVADKLCVKPLKLVSGSCYLPHPDKEATGGEDAHFICAEEQALGVADGVGGWAELGIDAGYYSRELMSNSVNAIQDEPKGSIDPARVLEKAHTSTKSQGSSTACIIALTDQGLNAINLGDSGFMVVREGHTVFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFTVAVAPGDVIIAGTDGLFDNLYNNEITAIVVQAVRAGTDPQVTAQKIAALARQRAQDKNRQTPFSTAAQDAGFRYYGGKLDDITVVVSYVAASKEVGKTLK >A09p051560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45444734:45446260:1 gene:A09p051560.1_BraROA transcript:A09p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIRNQTRRFLLLHRRFTTELTQPRATITPVNQDHLLRVCTILYQQQNSPDSRLVSKLSSTEFQLTHDFFLQVCNNFPLSWRPVHRFFLYSQTHHPDFSHTSVTSNKILGIVGKSRNMDLFWELAQETGKRGLANDKTFRVVLQTLASAREMKKCVSFFHLMNGFGYSYNVTTLNRAVETLCKEKLVEEAKYVVAKLRDCIKPDEVTYRTMIEGFCDVGDLVEAAKVWNLMMEEGFEVDVEAGKKMLETLLKKNQFDEASKVFYVMVSKRGEGLDVSFYRVMIDWLCKNGRVDTARKLFDEMREREIQVDNLTWASIIYGLLAKRRVAEAYKTVEAIENPDISIYHALIKGLVKIKRASEATEVFRKMIQRGCEPIMHTYLMLLQGHLGRRGRKGPDPLVNFDTIFVGGMVKAGKRLEATKYIERTLKRGVEVPRFDYNKFLHCYSNEEGVVMFEEMAKKLREVGLFDLADIFQRYGEKMTTRERRRNREETSPVLPPLPNRCTMPT >A07g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22832339:22838195:1 gene:A07g508310.1_BraROA transcript:A07g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSKNKISEEEKQLHGKRSRPKGGLITMPFIFANEICEKLAVVGFHANMISYLTTQLHLPITKAANTLTNFGGTSSLTPLLGAFVADSFAGRFWTITFASIIYQIGMTLLTISAILPTLRPPPCTGEEVCVVADTAQLSVLYIALLLGALGSGGIRPCVVAFGADQFDESDPNQTTKTWNYFNWYYFCMGAAALVAVTVLVYIQDNVGWGLGLGIPTVAMFLSVIAFVGGFKLYRHLDPSGSPFTRLIQVAVAAFRKRKLMMVSDPTLLYTNDEIDAPISLGGILTHTKHMSFLDKAAIITEQDNLKPGQIPNPWRLSTVHRVEELKSVIRMGPIGASGILLITAYAQQGTFSLQQAKTMNRHLTESFQIPAGSMSVFTTVAMLSTIVFYDRVFVKIARKFTGLERGITFLHRMGIGFVISIVATLVAGFVEIKRKRVAIEHGLLDKPHTMVPISFLWLVPQYSLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWMAISIGNYVSTLLVTLVHRFSAKPDGSNWLPDNNLNRGRLEYFYWVITMLQAVNLVYYLWCAKIYTYKPVQVHHSKEENSPVKNELQLSNKN >A08p005770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3321921:3322238:1 gene:A08p005770.1_BraROA transcript:A08p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRGCVGSSMVTVDACLWMGWLLNGRCGCVTVNGLALLLNRSQRVYLLLQRLLSKRVSQRILRREEALVNFCLIRSCLVHKWKKKNRKRRQVTRFCSLAFSFSV >A10p029520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18063914:18066748:1 gene:A10p029520.1_BraROA transcript:A10p029520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMWWLRQTRSLLIVPVFKCLVAICLVISLLVFVESVYMNIVVLYVKLLKRKPEKTYKWEAMQEDIEQGGQNFPMVLVQIPMYNEREVFQLSIGAACRLIWPLDRLIVQVLDDSTDPTIMEMVSMECAQWASKGINIKCERRDNRNGYKAGALKQGMRHSYVKQCNYIAIFDADFQPEPDYLQRTVPFLIHNPELALVQARWKFVNASKCLMTRMQEMSLNYHFMAEQESGSTRHAFFGFNGTAGVWRLAAMEEAGGWKDRTTVEDMDLAVRVGLHGWKFVFVNDITVKSELPSQFKAFRFQQHRWSCGPANLFRKMTMEIIHNKRVMIWKKLYVIYSFFFVRKIIVHFFTFFFYCVILPTSVFFSEVNIPTWSTIYLPFMITFFNAIATPRSFYLVVFWVLFENVMAMHRTKGTFIGLLEGGRVNEWVVTEKLGDALETKLLPQVKKPRNGILERVNTKEMMVGIYILCCACYDFAYGNTLLYIYLSMQALAFIICGFGFVGT >A06p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1958691:1963983:-1 gene:A06p005980.1_BraROA transcript:A06p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNETLNLPKILDPSSTDDASRSSVDSTRPDPSAPLLPPSSSSKNECAICLDEIVKGDGKALFTAECSHTFHFDCITTNVKHGNKICPLCRTQWKQVPSFLDNTRPPSRFHIPLPVPPLPSPAPQISFEDDELLPQAQAQAQAQAHGDQRGPPPLEVKLLPQVSAVAKEVTLSDFAVLVHLKAGDVSGDEEMPSRAPLDLVAVLDVSSSMDGPKMELLKNAVGFVIQNLGETDRLSVVAFSSDARRLFPLKVMSAAGKREAVQAVNSLVADGCTNIAEGLKIGARVIEDRRWRSPVPVMMLLSDGQDNFTFSQFGQRNRFLVSQAISEAKALADGGDVRAAGELLRKSERDLAETLSARSGDGLCESLTFELRALQEKMTSMSMYQTSGRAYALSSMSSHSAQRATALYSPTVSGFSPTYSPGTAGYSMPLPQAYQTSAMARMFDFAVPMGIFHRSGAPRRTNENAKLIITTIVAMVFGFFIGITLPSVSFTKINLPSGFISSLDGGRSPEDFGSRHFPKIYVPTNPHGAELLPPGIIVAESDFYLRRLWGEPSEDLKKKPKYLVTFTVGFEQRNNINAAVKKFSEDFQILLFHYDGRTTEWDQFEWSKNAIHISAKKQTKWWYAKRFLHPDVVSAYEYIFIWDEDLGVEHFNAERYIELVKKHGLEISQPGLEPNNGLTWEMTKRRGDRDVHKETQEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGESEKGKTPWQGVRERCRNEWTLFQNRVAEADKEYMEQQKVKE >A08p008690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4736954:4738345:1 gene:A08p008690.1_BraROA transcript:A08p008690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYKGSAILATDYYPFLYKRPVFSPSASFPSTAISYPARTRFLSTRIQARLTQDDPVKQSEDLSFYDLLGVTESVTLPEIKQAYKQLARKYHPDVSPPDQVEEYTDRFIRVQEAYETLSDPRRRVLYDRDLSMGFSFSFSGRRRNRYDEEVVEQKSEWRKKWQTQLSGLKKRSHQKENNSMSWAARMRRQQHVSEDSSS >A06p039740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21447462:21451398:1 gene:A06p039740.1_BraROA transcript:A06p039740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRITTIIATTFVIANTLMATTTTSRASLNLLLRRSPPKLRNSKFFSSSSSCQFRPSTLPKSYPCPIWSSSFSFCLPPRSTSTSLPFRHFSSSPPSMSSAIAAAASSSVSDESLLSSNPLLQDFDFPPFDSVDAEHVRPGIRALLQHLEGELEQLENSVEPSWPKLVEPLEKLVDRLSVVWGVINHLKAVKDTPELRAAIEDVQPEKVKFQLRLGQSKPIYNAFKAIRESPEWSSLSEARQPQIKEAVLTGIALDDEKREEFNKIEQELEKLSHKFSENVLDATKKFEKLITDKKEMDGLPPSALGLFAQAAVSKGHENATAENGPWVITLDAPSYLPVMQHAKNRALREELYRAYLTRASSGDLDNTAIIDQILKLRLEKAKLLGYNNYAEVSMTMKMATVEKASELLEKLRSASWGPAVQDMEDLKSFAESQGAEESDSLTHWDTTFWSERLRESKYDINEEELRPYFSLPKVMDGLFSLAKTLFGVEIEPADGVAPVWNSDVRFYRVKDSSGSPIAYFYFDPYSRPSEKRGGAWMGEVFSRSQVMAQKGTSVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRNVEWDAVELPSQFMENWCYHRDTLMSIAKHYQTGETLPEEIYKKLLAARTFRAGSFSLRQLKFASLDLELHTNYVPGGPESIYDVDQRVSVKTQVIPPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDDIKAVQETGQRFRNTVLALGGGKAPLKVFVEFRGREPSPEPLLRHNGLLAASASA >A02p056470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34078591:34081055:-1 gene:A02p056470.1_BraROA transcript:A02p056470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHTHIEKSQPLSRKRSRRPKRLDFVGWGSKNLIHFLKSLGRDTTDKISEYDATFIVRKYIREELTHPLHKKKIKIVTCDVKLRLLFGCQKINVAKLPDLVAKHYVENQDGEEFDYLYSSEEEEDDEKGRLCLSDKEVVEKEKRRGSVAAIVRDNVKLLYLRKSLVEELAKTCETFEGKVVGSFVRIKNPCQLVHVTGVKEWNPIDGYFLQVTNYCYYLKDVASSALSDDDFTQEECEELHQRMKNGSVKRLTVVDMEEKVRSLHEDVTKHWIARELEMLRRKINQANSPEAVAEECVDVDVKVEDHSIETPKLRDEEDQPPWAASAGDKDLIEEDVIITQNNKDSIYDQPQAQPNPIEIIELSDDDDEEEANDNGVYKQYDPKKKMWCYEMPKGETHGPFSLADLKEWSDQEYFVDIPDFKVWKTGNSIESAVLLTKLLSHVKA >A06p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17899477:17900588:1 gene:A06p033340.1_BraROA transcript:A06p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVSLFLDTWRLQDARLSYVDDDVAASGGSKPSFIYKDYKQHEDTLSLENPRPVAASSRGRWISSQNCVVSLCSLGFLLPLLLESFFTSCVAEQFEELFLSQIRWSVYRSGRVERFLRPPSASSFEMKVQASWFGCCHHEHVVQLKSQWFGCCHLEQVVQMRSQWFGCCHLEQVVQMKSFLFMKLPVVLLVIVLSSG >A08g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12919877:12922425:1 gene:A08g507380.1_BraROA transcript:A08g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 29 [Source:Projected from Arabidopsis thaliana (AT4G16650) UniProtKB/Swiss-Prot;Acc:Q8LPF8] MGVAQLKSGGLWKWRSFSGQPKRTVMWKWVCGFMLFSLGVISLFTGHVVSHLEWAQQLSKRSLLDISRKEPIDVWKSKYSKFFYGCSERGKSFPPAVQEHKSNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFNDIFDVNWFISSLTKDVTIVKRVPDRVMRSMEKPPYTMRVPRKSTPEYYLDQVLPILSRRHVLQLTKFDYRLANDLDEDMQKLRCRVNYNALRFTKRIQSVGMKVVKRMRKMAKRFIAVHLRFEPDMLAFSGCDFGGGEKERAELAEIRKRWDTLPDLDPLEERKRGKCPLTPHEVGLMLRALGFANNTYIYVASGEIYGGEKTLRPLRELFPNFYTKEMLANDELKPMLPFSSRLAAIDYIVSDERRERYMGHKRTIRPNAKKLSALFMDREKMEWQTFAKKVKSCQRGFMGDPDEFKPGRGEFHEYPQACICQRPFSYDKTSTDDEEEDIPEEVHNNTSSGHGHLSSADSERDEVFPD >A06p050380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26513796:26514803:1 gene:A06p050380.1_BraROA transcript:A06p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSARTGRDRQLYDNNFRLVSGCIPYRLVKDEEDSNVDLENKLQVLMVSSPNRHDLVFPKGGWEDDETVLEAASREAMEEAGVKGILREDPLGVWEFRSKSSCEEAECCGRCKGYMFALEVKEELAAWPEQENRERRWLNVKEALELCRYEWMQSALEEFLRVMTEDGSTKEESLSPSGISKRRERQFDSRYCFVVN >A08p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23127979:23130032:-1 gene:A08p040730.1_BraROA transcript:A08p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSDSNQGRSGDNKLPSPAAPKPRVQVWFVTVCSTILIWTCLVQLFAAGELWRTRIFTGQVSRFSAPVEPVPLPPPLPPARNYTSNGVLLVSCNGGLNQMRSAICDMVTVARLLNLTLVVPELDKTSFWADPSGFEDIFDVRHFIDSLRDEVRIFRRLPKRYSSKYGYKMFEMPPVSWSDENYYLKQVLPLFSKHRVVHFNRTDTRLANNGLSLPLQWLRCRVNFQGLKFTPQLEALGSKLVRILQQRGPFVALHLRYEMDMLAFSGCTHGCSEEEAEELKKMRYTYPWWREKEINSEERRAQGLCPLTPEEVALVLKALGFDKNTQIYIAAGEIYGSEHRLSVLREAFPRIVKKEMLLESSELQQFQNHSSQMAALDFMVSVASNTFIPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHHNKTLTWDQFAVAVKEAHERRTGAPTHRRVISDKPKEEDYFYANPQECLCEGTNCHDLYGHRNNSSLTR >A03p018770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7671244:7672683:1 gene:A03p018770.1_BraROA transcript:A03p018770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYIKKNKLDGEALSLMDVSPSPSPLGVLTRAKSLALQRRLQKPPSSPSPNPPPSKQKMTDCSGGSYLQLRSRRLQKKPPIVVIRSSKRRKQQQQRREEEGRNPNPNPQNSIRGSGGDGSSRSDSVAESVVFGKEKDFNGGINKELDGSESFNRTTSRESTPCSLIQKPESIPSPGSSTKLSNSISDNSNKREESFSGSHRHLPTTPEMDEFFSGAEEEQQKQFIEKYNFDPVNEQPLPGRFEWKKVDD >A06p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000110.1:28703:37805:1 gene:A06p028590.1_BraROA transcript:A06p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGIEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEEDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGVKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTREQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVTSPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEGQSSGEEQVGPPVPACRDALPLSINGHMPSLVKAKHDQTVTSSRGNSSLPHGRYRLYGRTAMVRTCTDRSPLSDPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGVGSGRLL >A06p051080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26833590:26838563:-1 gene:A06p051080.1_BraROA transcript:A06p051080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCLGSALIYRNAITGKNCRHRPKRFVCKSMTKTTPENVSADVLSRRSGNYKPSPWDHRYLLSINNEYAKEEKVIARDLLKEKVRKMLDVETKSRLEQLELIDELQKLGVSYHFEVDINDILMDFHHQNGRSILKCDKEEDLHATSLEFRLLRQHGFDVSEDIFDVIIDKIESETFKSDDINSIISLYEASYLSRKSDIKLREVIRPFATEQIRKFVDGETCNLEVREKAIHALEMPYHWRMRRLETRWYIDAYEKKHDTNLVLIEFAKIDFNIVQIAHQEDLKYASSWWKETCLVNHLPFVRDRIVENYYLAVGIIYEPQFGNARRIISIVNALVTTIDDIYDIYGTLEELEIFTAMVDNWDVNRLDELPEYMRLCFLILYNEVNSIGCDILKDKHINVIPFLKKSWADLCKTYLVEAKWYRKGYKPSVKEYIQNAWISISGQTVLIHFYCGFSDQISVQILETLAQHRQDIVRCSATILRLANDLATSPDELARGDVLKSVQCYMHETGATEEEAQAHVQQMICDTWEEMNYEAKMAGTSSLPRGFVEAAMNVARMAQCIYQYGDGHGCPEEGKTVERFMYLVVNPVPLDGPK >A09p052100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45734863:45736025:1 gene:A09p052100.1_BraROA transcript:A09p052100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSRQSSGSSRISDDQISDLVTRLQHLIPELRRRRSDKVSASTVLQETCNYIRNLHREVDDLSDRLSELLATTDDNSGEAAIIRSLLNY >A09p063970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51721080:51722669:1 gene:A09p063970.1_BraROA transcript:A09p063970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGSALKEVLESTSTGMDYEVKIAKVEANNNNSKPTKSGIAGSGKYGMHSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKARVQNTCPTCRYELGNIRCLALEKVAESLEVPCRYQSLGCHDIFPYYSKLKHEQHCRFRPYACPYAGSECSVTGDIPTLVVHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDENEAKKFSYSLEVGAHGRKLTWQGIPRSIRDSHRKVRDSQDGLIIPRNLALYFSGGDRQELKLRVTGRIWKEE >A03g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18880597:18882300:1 gene:A03g505230.1_BraROA transcript:A03g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKGVHQCSEHPQWESLRGMWLKINTTNRIIFYKLTESSRDSFYTVTLPKLERSLSLTLSHFLPLSGQLKRDPQDPKPYIIISPQDTVSLTVAEIDADFSHLSGKGLRHQTELRALVPELHVSSDSASILSLQITLFPNEGVCMGITSNHAALDGKTAVKFLKSWAHVFKHGAMPQDFHLPMVLDRTVVNVPAELESKIFQLPQDKAYVRSLKLPSTREIEDLVRITLGLSQENVNKLRERAKTESTRSDLLHLSTFVLTYAYLLTCVVKARGGDADRPVPFMYVADFRDRLDPPVPVSYFGNCVMPINIAGYKAKTFLGEDGFVNGVHILSDSIRYLSSRGVESIWELYEKGIKTFDPSVEKLSVGGSNRFGIYGSDFGWGRPVNTELVSLSRNILFTMSERRDETSGVEIGMCLKKCEMDAFYSFVSKWIVKLR >A09p060540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50182682:50183331:-1 gene:A09p060540.1_BraROA transcript:A09p060540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTANCLSTENKPLELSKLVIEDKSSVKKNTENTITPVNHGVKVWQENREKWVGDQSRQRKKTTKDQIISWSTTYEDLLSTHEPFSESIPLPEMVDFLVDIWYDEGLYD >A10p020080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13632110:13634871:1 gene:A10p020080.1_BraROA transcript:A10p020080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKGSRERGVVVGKKVMVAVRASKEIPKAALLWTLTHVVQPGDRVRLLVVVPSNYTSKKIWGFSRFTSDCASGYGRFLAGTDTDRKDDIHESCSQMMFQLHNVYDADKINVRIKIVFASPDGVIAAEAKKSNSNWVILDRGLKYEKKCCMEQLECNLVVIKKSQPKVIRLNLVKNADTAHPQAVSTLTSKSARSSRKGKKLREPSVTPASSPDQEGSSSQTTTDMGTTSSISSSDAGASPFLASQVFEGLNPWVSDGNKSFFESDSDSDGDKWSPLSMASSSVTASVVVSPSGDLSKPHTETPRKSRFASVLRLGSSKKEPEAGKEIRNSDTCLNKSVREVVSLSRKPAPGPPPLCSICQHKAPKFGNPPRWFTYGELETATKGFSKGSFLAEGGFGSVHRGTLPDGQIIAVKQYKIASTQGDREFCSEVEVLSCAQHRNVVMLIGLCVEDGKRLLVYEYICNGSLHSHLYGLGKEPLGWSARQKVAVGAARGLRYLHEECRVGCIVHRDMRPNNILLTHDFEPLVGDFGLARWQPEGDKGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELITGRKAMDIKRPKGQQCLTEWARPLLQKQAIKELLDPRLMNCYSEQEVYCMALCAYLCIRRDPNSRPRMSQVCCSVTDVGRRRCHEPNVEASQGKGSSHGVCRLLYSFLV >A01p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22476:23552:1 gene:A01p000090.1_BraROA transcript:A01p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase small subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38460) UniProtKB/Swiss-Prot;Acc:Q39108] MVLSASASPLRFGGFVAEKPCRMRMKQAIRSSSSNNFDLRTYWTTLITEINQKLDEAIPVKYPAGIYEAMRYSVLAKGAKRAPPVMCVAACELFGGDRLAAFPTACALEMVHAASLIHDDLPCMDDDPVRRGKPSNHTVFGADMAILAGDALFPLGFQHIVSLTPPHLVPRSTILRLISEIARTVGSTGMAAGQYVDLEGGPFPISFAQEKKFGAMGECSAVCGGLLGGATDDELQSLRLYGRAVGMLYQVVDDIRDDDKKSYAGVFGSERAMEMADELSVKAKKELQVFDKYGDASVAPLYSFVDYAAHRDFLLHV >A01p024870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20579283:20582621:-1 gene:A01p024870.1_BraROA transcript:A01p024870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tesmin/TSO1-like CXC 2 [Source:Projected from Arabidopsis thaliana (AT4G14770) UniProtKB/Swiss-Prot;Acc:F4JIF5] MDTPQKSITQIETPVSKSRFEDSPVFNYINSLSPIRPVKSIPNPHQFSTLNFTSPPSVFTSPHLTSSHKESRFFKTHHPSPDPTILVQESPDDSKSNEEAAAEADATTEFNIDASTEMEQPQIVKYDVKETNLDETTDLSLVPYASPPRKENGSASEDAPGMEMYDNVQGKNETPDWDRLIADSSEFLIFSSPNDSEAFRCLMMQRASPSQAPSVMMPTMQPGSINEPESSNASPYGAVSVLHRGIRRRCLDFEMPGNKQTSSENNTAACGSSSRCVVPSIGLHLNALLMSTKDCKTNNTTHDYSCSEKYQMGLQGSSSTLQETLDQTETETREDVTVEPTLEELHLSSPKKKRQVCLVKLEAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCIDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIKSSDLVLETGDDASKTPASARHKRGCNCKKSNCLKKYCECFQGGVGCSINCRCEGCKNAFGRKDGSAIVDMEAEQEEENETSGKNRRAKNQENTEVYLMRKEESSALPATPMSVYRQQLVQLKNMMPPPQSVLGGGSSSSGMFNSQYTRKPEMKSLETVAEDGAEEMPEILSHSSIDNINAVSPNGKRVSPPHMDSSSSGRRSGGRKLILQSIPTFPSLTPQH >A09p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2620628:2621193:1 gene:A09p004680.1_BraROA transcript:A09p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVAAANGATTAVEKAVTFTAYKPQLIVEAHKVGDAVAFYKAVFGAVETGRSLYPKRKADQELPHLVSSELELAGTTVVVSDVSDAKTGTMPAILETDDVEAAVAKAVAAGAVKVEEEEEEGGVKGKVTDPFGFTWIFVAPAKKSEEEGNKEV >A10g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14165504:14166616:-1 gene:A10g505570.1_BraROA transcript:A10g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QISKLGVGQVYAASVMYGYFLKRIDQRFQLEKAMKILPGGSDEGETGIEQAGREGERMFYEETEETYQAVSSNQEVGIDSSKDEHIQISFKGPKRLLLEAVTFGSFLWDVESHVDSSYSFLKGHDQKVNIISVETFFRWLEIGILSAKNRRSFGQGKRRVNKRTSLAQKEDVIKRTVYVSDIDQHVKKRGICWF >A01p027960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18595123:18596412:-1 gene:A01p027960.1_BraROA transcript:A01p027960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIKMAKCGNVVAFISLLMIFSLVLTGEAQVKSKYVKAKSAPTEVCLDRNSGDEVCTQYCVAETNPKRCSGKCFPYKSAGRKECYCHPC >A03p011460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4597727:4601876:1 gene:A03p011460.1_BraROA transcript:A03p011460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSINLLHYHYLPPCLNHSSFRFCVTAPSSSRWSSPDDDICSIMAMPTTTLPGSMSPFNVPHSVRTKRPNGQQKKEEMEKEVYMLREMLDQEEKTREILERVQKHQLPSSSSVALPASLPPKMKELITELSIVEGEISRLEVQISHLQINLKEEQDETLRQATTSSSRRTWQASESDNNDSITSHQALPKYSNLPPPSPMVDQCMMKNGNNNTKSSTNHRQENATFETKTLHFINKAIKGDYVTQSFHKSNEKVGLVKKENPRSVQQENKLQENTNMKKMLRRMKSPSPLREPRYSSPKTNKDRVALDTSLDLPPKSLSSTILMEDGQNIQKWHPNKLAENIMKCLNFIYVRLLRTTRVMELEKGPVSRSNPFSLISRSFRVDKATSGLSKSMNLVSYKESRQQDPYGVFDVEASLARDIGPYKNLVIFTSSCMDSKCISSSSSVSLIQKLRVLMNNLETVDLRVLSHQQKLAFWINMFNACVMHGYLQYGVPKTTEKLQSLVYNKATINVGGKNISAHTIEHYILRKPANSNMTQDRHEEMIIRKLYGIESTDPNITFAFSCGTRSSPAVRIYTGEGVATELEKAKLEYLQASVVVTLAKRVILPELLVKHAVDFVARDDGNGVEMGSLVKWVCNQLPTSGSLRKSIVDCLKNQNSKASSSSSSLVVEKIPYDFEFQYLLAI >A09g515010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44690782:44692375:1 gene:A09g515010.1_BraROA transcript:A09g515010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFEQPGPALDADTGGDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLACGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGF >A06g509780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28758183:28759225:1 gene:A06g509780.1_BraROA transcript:A06g509780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKDKQLISQSQALLILLEQPETTRVKPQDKFNQVSPKWLQAGIDGNGWLPPRSSVWRRTPTNKLKLPQRSFWLLIRPRDTKDTAEISSHTKASNWSLGGDIFARVVLKTLGNSIESRKEDKYRFWSHAMVVVIRPKGQRETRLEGDTRQEKRRSRRVTVSGESQISDLTQIRAQTVTTSRSKGSRVNFNSSHLASVPERSKDALLSVRSDGEAPSNPEQPNKEERRGGDNKGERRYQKRENQETRGDRIYGPATARKLRRWRARGRES >A01p001750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:791043:793166:-1 gene:A01p001750.1_BraROA transcript:A01p001750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSPLQSRTTSEKDVKALSFIEEMTRNPDSVQEKVLGEILSRNSGTEYLKRFGLNGSTDRKTFKTKVPVVTYEDLKPEIQRISNGDRSPILSSHPITEFLTSSGTSAGERKLMPTIDEDLDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSESKTPGGLPARPVLTSYYKSDHFKRRPYDPYNVYTSPNEAILCSDSSQSMYAQMLCGLLMRHDVLRLGAVFASGLLRAISFLQNNWKELARDISTGTLSSRISDHAIKNRMSKILTKPDQELAEFLVEVCSQDNWEGIVTKIWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSESYFGINLKPMCKPSEVSYTIMPNMAYFEFLPHDGAAGDETELVELADVEVGKEYELVITTYAGLCRYKVGDVLRVTGFHNSAPQFKFIRRKNVLLSIESDKTDEAELQKAVENASKLFAAQGTRVIEYTSYADTKTIPGHYVIYWELTNAVPGDDVMAKCCLEMEESLNSVYRQSRVADKSIGPLEIRVVQSGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSAHFSPSLPHWSPERRR >A06p023740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11527088:11530932:1 gene:A06p023740.1_BraROA transcript:A06p023740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHEILLVALIATFAVIHLSRAEDQEGFISLDCGLPPSEASPYIEPDTGLWYSSDSGFIQSGKIGKIDASLPKTLKSYVTLRYFPDGIRNCYNLSVKQGTNYLMRVTALYGNYDALNITPKYDLYVGPNYWVTIDLENRISGQSEEIIYIPRSNSLDLCLVKTGTTTPMITSVELRPLANDLYITESGSLKSLKRYFLTSSDTILSYPNDVNDRIWEPKFDPEWTQISTTLEANNSNGFLVPRNVLKTAAIPANATARFNITEELDFPDDQIYLYLHFSEVQSLPISESREFDIFWNGQRQFDKTISPEYLKTTTIYSTTPVTCKGGVCNLELIRTTNSTLPPLLNAIELYAVVEFPQLETNENDVVAIRKIKAIYGLNRIAWQGDPCVPQKFLWDNLNCNSTDISTPPSITYLNLSSSGLEGTIAAEIQNLTHLEKLDLSNNNLTGDVPEFLANMKYLMLINLSKNNLIGFIPQALLDREKEGLQLFVDGEHRCLSGLCVTVTEKKIPVKTVAFVSSATVMAIIVVLVLIFLFKKKKSSNSEVLQPLPIKLGANVTSTNISEISIEVKKKKFTYSEVMEITKNLARPLGEGGFGVVYHGDINGSQQVAVKLLSESSTQGYKEFKAEVELLMRVHHVNLVSLVGYCDERGHLALVYEYMSNGDLKHHLSGKHDSSVLKWSTRLQIAMDAALGLEYLHIGCRPPMVHRDVKSTNILLDERFSAKLADFGLSRSVQLGGEYHVPTVVAGTPGYLDPE >A01p047380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26715454:26718634:1 gene:A01p047380.1_BraROA transcript:A01p047380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGQSPENSPPSSTPPSPPSSDTTQQQSPPTPDSSSSSPPSSSPPATTPPPDNASSPPPPDNASSPPPPSSDSQSPPQGNNNNNNNNGGNNDNNNNNNNNGGGSNNGNNNNNGNNNNNNGNSGGGSNNNSPSPPSRNNNGGGSNNNSPSPPRSLAPPRSSGGGSNSSRNDVNTGAIIGIVAGAGLLLLVMILFCVCCCRKKKKKHQMPYYASNGYATGKGGGDQYQQQYNQSGHVMNMSGQYSGSNHGNNNWMNSPPPPPPGSWQPSQPPVSGGLNSSEIYSGPHGPALPPPHPSVALGFNQSTFTYDELAAATQGFSKDRLLGQGGFGYVFKGILPNGKEIAVKSLKAGSGQGEREFQAEVEIISRVHHRFLVSLVGYCIAGEQRMLVYEFLPNDTLEFHLHGKNGTMLDWPTRLQIALGSAKGLAYLHEDCHPRIIHRDIKASNILLDETFEAKVADFGLAKLSQDNVTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELITGRRPVDLTGEMEDSLADWARPLCLNAAQDGDYSELVDPRLENQYEPYEMARMVACAVAAIRHSARRRPKMSQIVRALEGDASLDDLNEGAKPGQSALFGRGSSSDYDSGSYTADMKKFRKVALDSQEYGASSEYGNTSEYGLDPSSSSSEEARRGGANNNKTTPNREF >A10p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3676151:3677649:-1 gene:A10p015330.1_BraROA transcript:A10p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSIGRPLLAKVKQTTGIVGLDVVPNARAVLIDLYTKTLKEIQAVPEDEGYRKAVESFTRHRLNVCKEEEDWEAIEKRLGCGQVEELIEEAQDELTLIGKMIEWDPWVVPDDYECEVIENDAPTPKHVPQHRPGPLPEDFYRTLEGLISESKTKIPAASSTDPLLKE >A02g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17570700:17571152:1 gene:A02g506160.1_BraROA transcript:A02g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQGIVGQAMSTVVTSWSIKRTGAVFVSTFSPVSLVSATLFDFLILRSPLYLGSIIGSVVTITGLNVFLWGKRSETDQTVSKILTTSKSCQMIENEDNMTVDDNNTKLPV >A01g503870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11617992:11618376:-1 gene:A01g503870.1_BraROA transcript:A01g503870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLCEQRPFILKSMCSVADCASSFIFRTQANNTKKFSLPKGEFCHNMLSQQMTIINVVAVNEDGVMLTG >A08p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2406929:2409725:-1 gene:A08p004210.1_BraROA transcript:A08p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVISSSTDPRNPPRDRPNNVGSGQRRPTRGKQVPSRYLSPSPSHSLSSSTTTTSSSSSSSSSAFLKTTKRHPSPLLPRPTNSASNPIKTPSFLPKRSQSVDRRRPSAAPAEMSAATKMLITSTRSLSVSFQGEAFSLPISKKKEPASPPVSHRRSTPVRDQRENSKPVDQHRWPGASRRGKSEPFAPNPLFGESPRVSINGRLSLNMESGRRRPNNGLLTSSDVTASDTDRVSSGSTNGETSKSRSLASSARFWQETNTRLRRLQDPGSPSVSSPRGMASSPVRGGGAAAIRSASPSKLWATTTTTTSSPARALSSPCRVSDQMNNRKDTPSILSFSADIRRGKIGGDRVVDAHLLRLLYNRYLQWRFVNARADSALMVQRLNAEKNLWNAWVSISELRHSVTLKRIKLLLLRQKLKLASLLRGQMGYLEEWSLLDKDHSSSLSGATQALKASTLRLPIVGKTVVDIKDLKYAVSSAVDVMQAMSSSIFSLTLKVDEMNSVMVETVNVTAKEKVLLERCQGFLSRVAAMQVTDCSVKTHIIQLRPITSSLTS >A07p018820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11260501:11261423:-1 gene:A07p018820.1_BraROA transcript:A07p018820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYFGRILFIKILPLGIHMGRVESVLKRINERYGSSGYQPIILIDRYEKTAYYAIADCCLLNYVRDIMNMSHHAQAYFFEWCDQEAVNLALKMSEAEKRFRHEKHYHYVSTHDVGHCLIGQRASCMILRGCAMIIIINIPLSRRTEIRREGKYFWPMMALSFLRALLSKFKTPTAEVLSVLKSLCEDPENTVFIVSGGG >A10p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21881658:21882777:1 gene:A10p039580.1_BraROA transcript:A10p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFKTHSCLERERNLYKIKMENMGTRVIEPLIVGRVVGDVLDNFTPTIKMNVSYNKKQVSNGHEFLPLAVSSKPRVEIHDGDLRSFFTLVMTDPDVPNPSDPFLKERLHWLVMNIPGTTDATFGKEVVSYELPKPNIGIHRYVFVLFRQKQRRVKFPSNIISRDQFNTREFAIENDLGLPVAAVFFNAQRETASRRR >A02g511790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31837799:31840352:-1 gene:A02g511790.1_BraROA transcript:A02g511790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNCIAPRPEENGEATVQGLTDMSETQGKPVLAFPVATSQPGLQLSMYPITLKFEEVEYKVKIEQTGQCLGSWSCKEKTILNGITGMVCPGEILAMLGPSGSGKTTLLSALGGRLSKTFSGKVIYNGQPFSGCIKRRTGFVAQDDVLYPHLTVWETLFFTALLRLPSSLTRDEKAEHVDRVIAELGLNRCTNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAHRIVTTIKRLASGGRTVVTTIHQPSSRIYHMFDKVVLLSEGSPLYYGPASSALEYFSSVGFSTSMTVNPADLLLDLANGIPPDSQKETSEQEQKTVKESLISAYEKNISTKLKAELCNADSHSFEYTKSAAKNIKSSEQWCTSWWYQFTVLLQRGVRERRFESFNKLRIFQVISVAFLGGLLWWHTPKSHLQDRTALLFFFSVFWGFYPLYNAVFTFPQEKRMLIKERSSGMYRLSSYFMARNVGDLPLELALPTAFVFIIYWMGGLKPDPTTFILSLLVVLYSVLVAQGLGLAFGALLMNIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGIQYTDDDYYECSEGVWCRVGDFPGIKAMGLNNLWIDVFVMGVMLVGYRLIAYMALHRVKLR >A10p002240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1154552:1162200:-1 gene:A10p002240.1_BraROA transcript:A10p002240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHEELPIDQASKVKTFKDAVDFPSIDSYLDFDSCNWLGNNPSIEEFGVKDTDFDFFEDDMEEIGQGGVENQNTVLSETMFEVKPELCGGVTEDIEAAEPALKELEHDVSESSKPMEDVSASVDCPAMKKTDVSLALGLEKVSLNMGDDEAKGKSISVAESESEASSSSSSSSSSGSSSSSEEEESDDEEDSEEEEEKMVIVKEDGVAGELEEGEIESADEVEEDSDDEVNEMIAWSNDEDDDLGLQTKDPIRSKNELKDLPPVPAVDVSLEPHHVTLPVGVVLSVMSTQVIVEGMEQHSPLTEGSILWITERRTPLGLVDEIFGPVKCPYYIVRFNSESEVPEGVSQGTPVSFVADFAQHILNIKELQKKGYDASGDNDEEIPDELEFSDDEKEAEYRRMQKMEKRGMGNDQRNGNARNKKKKNRDHHGSSSSLSSNRSDPQMGGPPVSNHHQPRPQMDGFPPNNGSPWRPQSNQQNQFQLPPMGMPNLGPMQMPMMGMQNQNQMMFPPQFNGGQMPMPMPMPGGLNYFPGQGSAPWPALNCFNQQQFGGMGRGIQHPQLPNMFASQGFQMQQPQSQMQRPPQFQMQPQFQPRPQYQMMNSNRPPSPMNPQFQMQPQSEIRPPSQVPQHSPTNPESPVQEQSQGFSNGQSSERGRGHRGGRGRGRGRGRGRFGRGRVSSSSLCPLPKLNQKFEFFFLSRLSLSAVVFIGTCLIDKAFFVQGALMLGMRKLYRKLASFKDPFTRAKRHVSSSPSGFRSFSDRRWMFPFIAASIISITLLMLSISGFYSSEEESPLPSDAVSKPTNDDYFVEPSFVKSNPDVNPDLPRLAYLISGTKGDSHRMMRTLQAVYHPRNQYVLHLDLEAPPKERMELALSVKSDPIFSRMENVRVMSQSNLVTYKGPTMIACTLQAVAILLRESLHWDWFLNLSASDYPLVTQDDLLYVFSNMSRNVNFIENMQLTGWKLNQRAKSIIVDPGLYLSKKSDLAWTTQRRSLPTSFKLFTGSAWIMLTRSFLEYCIWGWDNFPRTILMYYTNFVSSPEGYFHTVLCNSKEFVNTAIGHDLHYIAWDNPPKQHPVSLSLKDFDNMVKSKAPFARKFHKNDPVLDKIDRELLGRTHRFSPGGWCVGRSDNGSDPCSVQGNDSVLRPGPGAVRLQELVQTLSSEEYRSHASSYASNCTTMTSLTKQLSSYANQSLGCAPLNVYGKCVSVSHARKLFDEIPQRNTVVWKAMISHYTHCGKIREAVELYEAMDVMPNESSFNAIIKGLVGTEDGSYKAIGFCRKMIEVRFKPSLITLLALVISLFRGLRFVCKQGPLLVFSGCLKQGRDMGGGALLGACMNYGEVEPAEIAAKELLKIEPENPARRWRQRDLEWRRNRRSKGFTWSLPGLSEYKNLRKQAPKLLLDCVLPFVLQDLIRFCEVQWSRDPVYRENPLAEAAISLNSMDFTETFH >A04p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2295764:2297517:1 gene:A04p004560.1_BraROA transcript:A04p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKMSELAKPYFAMVCLQFGYAGMNLVTKVVLNGGMNHFVLVAYRNAFATAAIAPFALLSERKVRPKMSFPIFMQIFLLAVLGPVIDQNMYYAGLRLTSPTFAGAVTSIVPALTFIISIIFRMEKVELRKVRFQAKVVGTLVIVVGAMLMILFKSPLINFLRSHLIREASSSAGEDYLRATVFLLIASFSWASFFVLQAATLKRYSSHLSLSTMVCFMGTLQSTALAFVMEPNLSAWNIAFDMNLLASAYAGIMSSSIAYYVQGMMTKQKSVVFVTAFNPLIVIIVSIINFLILGQRLYLGGILGMVILMVGVCAVLWGKEGDEEEEENIEEKFVDVIKCCKDCGNNSLSMSGIDEEVDVEMQSTKKDKTVVDLL >A08p017430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12160263:12160670:1 gene:A08p017430.1_BraROA transcript:A08p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:Projected from Arabidopsis thaliana (AT4G15000) UniProtKB/TrEMBL;Acc:Q0WRB8] MVKFLKQNKAVILLQGRYAGKKAVIIRSFDDGNRERPYGHCLVAGLKKYPSKVIRKDSAKKTAKKSRVKCFIKVVNYQHLMPTRYTLDVDLKEVATLEALSSKDKKVAALKEAKAKLEERFKTGKNRWFFTKLRF >A09p052600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45991474:45992542:1 gene:A09p052600.1_BraROA transcript:A09p052600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSIALAFSFDSLKQNQSTKLTLSSRYPSLYSSRSHRRSSLRFASLPSSHSPSISTATETGEEEQEEESTPTKSSGSYDFLEETFRTGRFLSNAELEKLKALEGFAYFQELESGTMWIRVMRQDEMDSTVSLLAESFGESMMLPSGYQSVLRFLVKQYLIERREVLPHAVTLVGFFRRKTDSLSDGEEEVVEMAGTVEVCLDKRGANASPPSPTPPKESPYVCNMTVKEDLRRRGIGWHLLKASEELISQLSTSKDVYLHCRMVDEAPFNMYKKAGYEVVKTDTVLVLLMLQRRKHLMRKKLPPTTTSPSDVVESDNDLTSSVNV >A10p034520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20050154:20051875:-1 gene:A10p034520.1_BraROA transcript:A10p034520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 78A7 [Source:Projected from Arabidopsis thaliana (AT5G09970) UniProtKB/Swiss-Prot;Acc:Q9FIB0] MELMNMASKETSYWMIALPAVFGTQNMYDVSIFGYLILAVVSLSLLTWAFAGGGGVAWKNGRNRIGRVAIPGPRGIPVFGSLFTLSRGLAHRSLAAMAWSRANTQIMAFSLGTTPVIVASEPNTAREILMSPHFADRPVKQSAKSLMFSRAIGFAPNGAYWRTLRRIASTHLFAPKRILAHEAGRQLDCAEMVKAVSDEQNGAGSVVLRKHLQLAALNNIMGSVFGRRYDPLAQKEALDELTSMVRKGFELLGAFNWSDHLSWLSYFYDPVRLKQRCSELVPRIKTLVKKIIEEHRASNSENKGDVGDFVDVLLSLDGDEKLQEDDMIAVLWEMIFRGTDTTALLTEWTMAELVLNPNVQAKLRDEIKTVVGDRAEVSDADLAKLPYLNAVLKETLRLHPPGPLLSWARLSTSDVQLSNGMVIPKGTTAMVNMWAITHDPTVWSDPLQFNPDRFIGNADVDIRGGDLRLAPFGAGRRVCPGKNMGLATVTRWVAELVRRFEWSQDQTEPVNLSEVLKLSCEMEHPLRAVVTEIY >A08p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24071584:24077405:1 gene:A08p043100.1_BraROA transcript:A08p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIDVFDFNEEDELAESASGKLLEKFANPSSSVSPILQCGRIQSFCQEEPALKEVEGASCAEAATDVVDRHCEDAPALATEPDDFSKDHLTESDVNHGLVFGLNTEDREKEADVDEDNHGLMFGFDIEDHMKETDADHGLESFSCQPSAKSFYAETSSYSQPQLNSPFSDSSSSPERTDMMSAIDESLSDRSALSEASDSEGDEDWMSEHCFDDMEKIDRNTAVIMIPEYVVLKDMPCAPSLVIFSCNGIKIKSYPDNNEEGPFCCEFGVEDIVSIQYNWYQNVGVIILRIRVLLKDEKCHEDRQHTTDIEELKFAVKDHNWPEKQQQINSLHVKYPAVWSADLDEDVEVSGDNLHQRKRYFPRFDEPFEDVVYPKGDPDAVSVCKRDVELLQPETFVNDTIIDFYINYLKNQIQAEERQRFHFFNSFFFRKLADLDKDPSSIADGKAAFLRVRKWTRKVDMFGKDYIFVPVNFSLHWSLIIICHPGEVANGTDLDLEDSPKVPCILHMDSIKGSHAGLKNLVQSYLCEEWKERHKETSDDISSRFMNLRFVSLELPQQENSFDCGLFLLHYLELFLAEAPQNFSPFKIYNASNFLYLNWFPPAEASLKRTLIEKLIFELLENRSREVINEQDQSCESPVPVNNKTGIEVLSGRCTSLIDCNGNMTQTQEEQGIEMTLLERSAMRNMQAVNDSGMVLRDLFDAGASNTGSLLGQLQQTFDEPSSFYHLSNNSLATEQVEMESGEQFMCLNSGEGSNFQRITGTASPRASASFSSLNLSMMSVQKEGVADSLSNDSEDIGIIEETGESPSRETVSLFSATLGPSTDHNTENDELPSTQDELVVASSQDGRDEEKQLENDVGIEEKTSEDLKMGDKMSGDLVIEDKTSEAPGIGDKTSEVLGIEDKNNEDPAIEDKTSEVPGIVDKMSEDLGIEEDKISKDLGIEKTRDDLGIEEKTSEDVGDDCGDKKEKEKEPMEEEEAKRAAKRPRLSSTGEVEEMEIN >A02p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10862302:10862561:-1 gene:A02p022730.1_BraROA transcript:A02p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPHRNHRYTLVQWNTLELVHFFHHYKPIKHFIDRTLSCYRWTWLDNLSCNIHVGTTMVECVLANILYNFD >A07p048590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25930976:25933443:1 gene:A07p048590.1_BraROA transcript:A07p048590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit B1 [Source:Projected from Arabidopsis thaliana (AT1G76030) UniProtKB/Swiss-Prot;Acc:P11574] MGANDIDMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTADLLEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTTTT >A10p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19617153:19618459:1 gene:A10p033540.1_BraROA transcript:A10p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 713 [Source:Projected from Arabidopsis thaliana (AT5G11150) TAIR;Acc:AT5G11150] MAILYALVARGSVVLSEFSATSTNASSIAKQILEKLPGEDVDCHVSYSQDRYVFHVKRTDGLTVLCMADETAGRSVPFAFLEEIHQRFVRTYGRAIHSAQAYSMNDEFSRVLSQQMEYYSNDPNADRVSRIKGEMTQVRNVMIENIDKVLDRGERLELLVDKTANMQGNTFRFRKQARRFRSVMWWRNVKLTIALILVLAVVVYIAMAFVCHGPSLPSCFK >A09p011040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5581614:5582688:-1 gene:A09p011040.1_BraROA transcript:A09p011040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEAALKSSVRKDMAFKTTLPVYEDFLAVTTAEDFSVDDFFDLSNDSVFTEEEAEPKTHQEMLHVSSEEPQDKGDALSRSNDGFGSLPPSELSVPTDELAELEWLSHFVEDSFTEYSAPNLTGTPTEKPAWLTGDRKHPVTPVTHDSCFKSPVPSKTRTKRNRNGTNFWSLGSSSSSGPSSSNSTSSSSSDPSNTWFSGAELLEPVFPSEKPPVPKKHKKRSAESVYSGQLVLQQPSRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVMEMRRKKEPTDDNETGLNQLVQSPQAVPSY >A09g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19845499:19846207:1 gene:A09g506720.1_BraROA transcript:A09g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGGGYGNAAAVAFKNGGVKNRGAGKIESRQVLAGKGRNTLQGVDCIQGTGCRVYQGRRLHRNAARKRGGLVRLSCVGPRWLVGSRFALSSIEAHGGDWSRIASLSIHTHPSFSLPFCRTLSGSGDGKKENGPETHETSNGTHGDVGKVDMCVLNPAPRNPCWKWGMGGCFSLI >A08p000600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:382710:385284:1 gene:A08p000600.1_BraROA transcript:A08p000600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWSGNSIEIWNPPKMSDCDGIVRAMLEQTDNGLNSISQIFPKTNLSTNHQSEQRSSLGERVSSRIRFNVPPLETENIMSPFAVSRSQTNVPSPLIAVSPGFSPSALLQSPKKFSNSFSQHIIQSRVANDGPPEMVESSGGDYATTTIFNNDVPHQPMNFDHMPHHEGFYDIPTEQSIYVPSHESHADLIGAPLVTSFESDEVANETDIMNIISLDSEDEDEDYKEDEEYKEDENVDEEDDSVDELPPRKRRKYEVSDMFGAKRTRKDERVILRMECEEDNPDDGYQWRKYGRKIVNGNSNPRSYYKCTYGGCNVKKHVERGADDVKLLVVTYDGKHNHPIPTGRSSNRSGPRNRSGFSVSQTPRLGRLPSSSSACQYCYSSLEPHPDMALLYMTGLSKLQSFPVNHNHGFMYRHDEPIIDRVIPDGTEVYNGMKDRLLPNFGFNF >A02g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15063755:15064426:-1 gene:A02g504650.1_BraROA transcript:A02g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAENSESQSSPVLTLLLHYTNGSNASFCVSGKYGTLKKAEELMRVDTLPLDEKAGCCSNTVEVRVLRFKIGRELMSVDILFLKKFLLRFDYRTKLNLEAFLEDWEPTKDSFLVVRALGMSLLKILLTETKLHG >A09p045950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40198028:40199164:-1 gene:A09p045950.1_BraROA transcript:A09p045950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLATATACMSQVCYLLLLDLLIFDPPLELLIFLAPAPKLLFLLDPPLEVLLLCTEKVSKEATGNDHESRCRLKTI >A07p028120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15947113:15948243:1 gene:A07p028120.1_BraROA transcript:A07p028120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDDKKEKAGAPMLAAEAPKSNADMETQSPNGSGKKRNRKIYICVSLLILLLLIFIVLLVLGLTLFKPKNPTATVDSVVVERLRTSVDVLNLKANLNLTLQAHLALKNPNRVGFSFGSTSALLNYKGQLVGEAPLPANKVGPQKTLHMNVTLTLMADRLLSESQVFSDVMSGSIPVNAFVKVAGKVSVFNMFKIKVKSSASCDVTISVSSRNVTSQHCKYSTKLLNVTPNGALLHGFLYQHRSSNPYFIIALTLALKLENSDPICSFTRHRLEY >A02g512820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35141246:35143686:1 gene:A02g512820.1_BraROA transcript:A02g512820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGLRVEWIFITSVSLSSLSSVDSQNVFLRCRCCIIRRTDCFCRRSKVADEVAEKEANKKALRKYLELVEFFTKVLVALYEQNDKPSSALEFIQQKLGGPSVSDYKKLQSEKSDLQIKDNEVFAKHQGTLRENFYMIGWNGNGVYRVLKIDQLDASELNLSEDFTAYTKKECYELLKRIHKENKATGGLKFVTLCYGIIACSHNLVYEVSKSEIISLRNSSVICNISNSRDENSGGALYKKMFAWNEFLTRGIRNHLRNTVMIHPGKGLPSEILRLKLSRKLLEQMNGSVSFVREDERCFFQVDLQVKTRLGVETRGTEADSSIQ >A09g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:763258:765208:1 gene:A09g500170.1_BraROA transcript:A09g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKSSGYALYKSMLENKSVELLLYAPTRPAVDFAAGLLLLMAVGTVVVGSLWSELTDPDQANESYSISSKEKEILYISVTSVVFFIVIASVFLLLLFYFMSSWLVLVLIIFFCIVGMQDMHKIIMAVLLRKWRHLGRKTVKLPLIGTVSWMSVLVIIICLAFTVFWFVKRHTYYSWVGQDILGICLMITSLQVVRLPNIKVATVLLCCAFVYDIFWVFISPLIFHESVMIVVAQGDRSSGESMPMFLRIPRFFDPWGWLSHDWFWGHLRPWYAYLLCFQVYHFSELQITHLEPFKSLDVCSILYDKFKKRVVSSGYFLCLTIGYGVGLLLTCLGLYLMDGHGQPALLYIVPCTLGLAVVMGLVRGELKELWNYGIEATESYTPEDPLPVA >A03p049630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21253173:21258248:-1 gene:A03p049630.1_BraROA transcript:A03p049630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGASKANAKGQLILGDLVLAKVKGFPAWPAKISRPEEWDRAPDPKKYFVKFFGTQEIAFVAPPDIQAFTNEAKSKLLARCQGKTLKYFSQAVTEICTAFEELQNRKSLDPLDSAEAETLSGTTDHIVPKLDKNDGDETNAETVKKDSSPGSSYDPKIKEEDFDKGAGGDGFTNGQKNVARTKKVAGGSDKKGDSIHRDKSSNSKAVIKSGVSGKKRRLESELGKPAPKRLDESSPAAKKPRTGSVKCEIDDESDPTGTGSDIKHDLVVLGGNLMVEHDTSPPFSGSRGRSGKVHLEQKDRSSPVKVPVAQSLKKRRAVCIYDEDDDEDPKTPLHGSRRAAVPKTSELTDGPKSGNASTKAKVSAGPTESTRVRKAPLRKHCEDASRVLSENVEKSANSLPIVKPISELPPKEVKQIVKSPMLSPQLGSSNKHVTAPQKAAKSSVKVSGVVMAKKPQSDSFKEAVMKSDKVSSSLSQSDQRHKPASLKDRPRDTSEDLSAVMLDGNRENWSAPFISAKTPDAASSMKDLIAAAQAKRKLAHSQNSVFGNLNPSFMSISDAQMRSQSPFMVQSASASAAIAMPSVVEGHEQDSSPSNHGHQSSSRNQNEVDDNEERRLSSGHKSAGGSLSGGTEAAVSRDAFEGMIETLSRTKESIGRATRLAIDCAKYGIASEVVELLIRKLESEQQLHRKVDLFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPSGIDARDNRRKCLKVLRLWLDRKIFPESLLRRYIDDIGASGDDGTVGISLRRPSRSERSVDDPIREMEGMHVDEYGSNATIQLPGFFSSHTFEDEEEEDDDRPISQKAKSTSSGEPFDLEIRDTSSDRDHRVLEDVDRDLEMADVSGEGKDVAPSAICENESLNVSEPVAEKSTGVPPLSEDSPPLPHESPPSPPPLPPSPPPPSPPPPPSSPPPLLPPPPPRAQIPPLPSPLSQPPPPPPLTPPPSPPPPPPPPAQSIALPTQPSIASHHQLPPQLGFPPPAYPLSHQTYPGSMQLDRCSIFTGDQIVQGPGSSSSRGSHVQQSYNFNPEASSQNQRFQPNTTLSQRPMVRNPPLVPSGHFPYPSHVQSQSPHTYTHPYSFPPQRDDGRRYRDEEPWRMSSSGRSAENQSGAWIRGRHSHPGLPRVTDNFFRPPSVTVSYQPSSASNLQAIPAIPGHAAAPQMVPSRPDLPAGNCWRPA >A04p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2156407:2157051:1 gene:A04p004340.1_BraROA transcript:A04p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCFNPLAPLSSQRLQLSSSASPAVFSFKPNRVSLNGYNLSISNGRAVIVKAAASGVDGAEPESNEEPPKTVVSDVQVDKLPLESKEAKEKMLLEQRMKMKLAKKIRLRRKRLVRKRKLRKKGRWPPSKMKKLKNV >A07p012630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8006879:8009689:1 gene:A07p012630.1_BraROA transcript:A07p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTPHLLLFLLFAISSSLTTSTADDGAAMLALAKSFRPPPSDWSTTDYCKWTGVKCTSGRVNSISLEDTSLSGGLPPEISTLSELKTLTLQRNKLSGKIPSFAKLSSLQEIYLDENLFDGVEPGAFAGLTSLQILSISDNPNIAAWSFPSELVDSTSLTSVSLDNTTISGVLPDVFDSFASLQSLRLSYNNITGALPPSLAKSPVQNLWINNQESGLTGTIEVLAGMTSLSQAWLQKNQLTGPIPDLSKTNLFDLQLRDNQLTGIVSPSLLPLGSLKNITLDSNKFQGPLPLFPPEVAKVSAEHNYFCTAKAGENCDPQVMTLLDVAGGLGYPSTLAESWQGNDACSNWAYVTCDSSKKNVVTLNLAKHGFAGVISPAIANLTSLKNIYLNDNNLTGVVPKVLTFMSNLQLIDVSNNNLTGEIPIFPAQVKFNYKPGNVLIGTDVGDSTNGGGPGGGGSKAGVIIGVIVGVLVFLAIVGFVVYKFVMKKKYGKFGRTDPEKAGKLMVSDDKNGYRANNFNALKSPSSGDSGGDRFPLEGGNVTIPMEVLRQVTNNFSEANILGRGGFGVVYAGELHDGTKTAIKRMESSSMGDKGRNEFQAEIAVLTKVRHRHLVALLGYCVNGYERLLVYEYMPQGNLGQHLFEYQELGYSPLTWKQRVSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEMLTGRKALDDSLSDEKCHLVTWFRRVLINKENISKELDQTLEADEETLESIHRVAELAGHCTAREPQQRPDMGHAVNVLGPLVEKWKPTSQEQEESFGIDMNNMSLPQALQRWQQNEGTSTSMFSGDFSYSQTQSSIPPKPSGFHSTFDSTDGR >A02g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24088377:24089864:-1 gene:A02g509000.1_BraROA transcript:A02g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQAS >A05p048600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28426002:28428159:-1 gene:A05p048600.1_BraROA transcript:A05p048600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSEDSLDNWRDYFRRGDSDIFGIINHAIILAAADFPKEFKSRRDGIAQLLFSRNASRSCIGCGREDNNHETVGVSDDSGGGGGDEEDEKKLNDDEIVVVEVMRIRDILLNRDDESNSVLLLRSLRKLESMSLSVDLLKDTEIGKAVNGLRRHGSDKIRELAKALFAEWKEMVDQWMNSTNEIACNSFLLMFLCYWEELFFFVKGSQYLAHAGDEGTPESANYSVVDEAEAFPSPPHDLDFLAPEPTGFELSQILDGLDCDGNPRHSVEPKRKSMRRPEGTHEANLVGRYNKNQQTRREEVDARPMKHSATVEDEPRRQPKQTREQMIHPIQRKPIVIPEQKRNSQQDKLKALDLDARFEFAKRKLQESYQQHDKAKRQRTIQVLETIPKQGKVQKPQFKRPMRR >A03p047230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22495789:22501624:1 gene:A03p047230.1_BraROA transcript:A03p047230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.3 [Source:Projected from Arabidopsis thaliana (AT2G04160) UniProtKB/Swiss-Prot;Acc:Q9ZSP5] MKRTNLLSFLPFLVLLLLPHLGSKPILASEDVSSYVVYFGSHSHVGVITQDAMDRVRETHYDFLGSFTGSSREIATDAIFYSYTKHINGFAAHLDHDLASAISKHPEVVSVFPNKALKLHTTRSWDFMGLEHNSYVPSSSIWRKARFGEDFIIANLDTGVWPESKSFSDEGLGPIPSRWKGICQNQKDATFHCNRKLIGARYFHKGYAAAVGPLNSSFDSPRDIDGHGSHTLSTAGGAFVPGANVFGQGNGTAKGGSPRARVAAYKVCWPPVKGNECYDADVLAAFDAAIHDGADVISVSLGGEPASFFKDSVAIGSFHAAKKGIVVVCSAGNSGPADSTVSNVAPWQITVGASTMDREFASNLILGNGKHYKGQSLAPSSLPHAKFYPIIAASDAKAKNVTASDAQLCKIGSLDPQKAKGKILVCLRGINGRVEKGRAVALAGGVGMVLENTNATGNDLTADPHVLPATQISFKDSLSLSRYISQTKKPIAHITPSRTVLGTKPAPVMAAFSSKGPSSVAPEILKPDITAPGVSVIAAYTGAVSPTNEKFDPRRLLFNAVSGTSMSCPHVSGIAGLLKTRYPSWSPAAIRSAIMTTATTMDDIPGPILNSTYMKATPFSFGAGHVRPNLAVNPGLVYDSGIKDYLNFLCSLGYNASQISVFSGKNFACSSRKASLYNLNYPSITVPNLSSSKVTVSRTVKNIGRPSTYTVRVNNPEGVSVAVKPTSLNFTKVGEQKTFKVTIAKRKGKVAKGYVFGDLVWSDKKHRVRSPIVVKL >A04p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19498810:19499614:1 gene:A04p033550.1_BraROA transcript:A04p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSQTNGGDGAGAIAMAEPPLNNEIIMFNRSLSTSSCLSKEDEEMTRTALSAFRAKESEIEKRKLEVRERVQAQLGRVEEETRRLATIREELESLADPMRKEVLIVRKKIDSVYKELKPLSYSVQKKQREYKEAVDAFNEKNLEKIQLITKLMELAGESENLRLKKLEDLSKSI >A04p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12301320:12301827:-1 gene:A04p020150.1_BraROA transcript:A04p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKFFDGNITTDCERGSLQQYILNQECKHKPKVFAIENECRQYIEFKHKWTHIIPVDHGECFPMDTKSSQAAIMYPCYMVDYVIKLDVENNLYIFKEKESKI >A05p006900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2785732:2786706:1 gene:A05p006900.1_BraROA transcript:A05p006900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable carboxylesterase 9 [Source:Projected from Arabidopsis thaliana (AT2G45610) UniProtKB/Swiss-Prot;Acc:O64641] MSRDPVPAFDAYKHLNLSINPDGSCTRNFEYPIVDPDPSPSPGKLTASKDITINLETGVTVRIFRPTNLPSNDNTIARLPILIHLHGSGWVLYPANSPANSRGCSQMASELTVIIVSVNYRLAPEHRLPTQYDDALEALLWVKRQAVDKTNGEPWLRDYADFSRCYIFGSSTGANLAFQLALRSLDHDLTPLKIDGSVFYQPFYGGKARTKAELKNFADPVMPVPAIDAMWELSLPKGVDRDHRYCNPVGYLPQKEKVGRLGRCLVIGYGGDTLVDHQQDFVEMLVTAGVRVEAKFDDAGFHGIELVDPRRAVALLNMIREFIN >A06p017590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7905165:7905584:-1 gene:A06p017590.1_BraROA transcript:A06p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVQLSLTVSSSWNPSVMQFSLHQSVFSFQSGHSILLLHPYLFLCSVARLHHSTKSASSDRNPWPQKSPLWCLEKLAPTSPSRIEEQQWSKLAYFISGHPSVDIFVSNLQLMNLQFLMSNLGPPAYSVPLFPINRSNT >A02p021840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10286960:10289404:1 gene:A02p021840.1_BraROA transcript:A02p021840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEYRPRIIVNGTRRTRTFHYFYCRHCSRTIRLQNYGLYGPLCPLCSREINLHDELDIMRLNRPYWDTDTDWITLHLVNSTRSNRFNHELVNTDDEFADVLPNERVGPPPASPSAIEAVNTVTVSEENLAKEMVCAICKEEFEVGEEGKELKCLHLYHPSCIVSWLNIHNTCPICRFEVNLGVSHCHVDGEESHNLGNGRSNRIRTREETRKHEINRLLDEMLSLEKQEPESSSSEEAQAVTPWVVSDSVERLTSPPWRLLRTQILDRYQGGGKFCLYLLLAALRRRTRVRLRKRVRMNGLKLPGVWKTLVDAVSRVKGSSSNGQNYRMLWTGLGAQLARDVRSLQSAGRHLSL >A08p009750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7637016:7638227:-1 gene:A08p009750.1_BraROA transcript:A08p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPARKEIVLALRGPSAVPVAQPKSRKRKLAKSGDGETSQKGGSSLASGLRGKFISLIDGMISECGSETSRLAGELVELQGRWSETETMLTAVQDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIEGDAGLASRGIQDATDALRAEFQARLAKISASLGSLECIRSMDLALATIEGGMAVVRSFQSENPPTLEAEEARLSGCKGDMAAVDGDFDIILADLKSVCFLPTCSEDPEGKDPTVGENGGDAAPGSDEALGKEGAKVMSCRLISFFDCEMFHSRSVSRVLPRDVSSAEALKMSNINIEAWFRNLLSFDIMP >A09g513430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40926438:40926964:1 gene:A09g513430.1_BraROA transcript:A09g513430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAEVTFCGTVSRGSVDDCCHCKNTTNFIPTKAENLRWWLGEEEKLRLWLGEEEKLRLWLGEEEKLRLQRSSSGGGSVKKTRSGGGSVKQRTSGGGLVKNRSYNGGSVKKRRSGGGSVIIYGMQW >A02p045410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28521941:28523982:1 gene:A02p045410.1_BraROA transcript:A02p045410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFTMDLIVNLVPLLFSAALVYSDQSTVPLKSFKISENVIYDCIDIYKQPGLDHPLLRNHKIQMKPSFSPHDSTNKTGNNATYKTKIACPYGTVPILRNTKEFNTDAQIFAEKHFNQILADGLDPLTHIAGVRSEPGPYRGVQAFFKAYNLDLRDDEASYNQIYIGSGLNNGANFLMTGLMINPSLYGNDSVWTFGFFQGKDGKGCYNTGCPGFVQLSPRNPIAFPVGMKPLEAGNIHPFIHQDNQTGNWWLSTLGYNSFKVDIGYWPKELFNLMDNGGNIVGAGGVVHASPFGSSPAMGHGQFPKQPVPLDGSPTFSDVKVMNSKYESHRMDYFPIEKLLDSPQCYGIEIGINEPPHHDHRGFFFDVGGPGGNTC >A03p044870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18730616:18733819:-1 gene:A03p044870.1_BraROA transcript:A03p044870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRLVTGHGIWKYFNPAYYHGRTMRLVLLFILFISVSMVAWDRQTRGQDHELEVSKLNKQALRLQRMLGDVKSVKEDATMRTLKSIKEDPVDAGRRQRVKEAMIHAWSSYEKYAWGKDELQPQTKDGVDNFGGLGATIIDALDTLYVMGLHKQFQKAREWVATSLDFDKDYDASMFETTIRVVGGLLSSYDLSGDKLFLEKAKDIADRLLPAWDTPSGIPYNIINLRHGNAHNPTWGGGDSNLAESGTEQLEFIALSQRTGDPEYQQKVEKVITVLNKNYPADGLLPVYINPDTAKPSYSTITFGGGGDSFYEYLLKVWVFGNKTLTVKHYRDMWEKSMNGLLSLVKKSTPSSFTYICEKSGSSLTHKMDELACFAPGMLALGSSGYDDPTQAKKFLTLAEELAWTCYNFYESTPTKLAGENYVFNSGSDMSVGASSNILRPETVESLFYLWRLTGNKTYQDWGWNIFEAFEKNSRIETGYVGLKDVNTGVKDNKMQSFFLAETLKYLYLLFSPATVIPLDEWVFNTEAHPLKIVPWKDQVNPGQSNSVQQRKPRVSLRKRRFGRMEHK >A05g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6754536:6756162:1 gene:A05g502050.1_BraROA transcript:A05g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLSAPAAPAPATAPAPAPPCPPGVMSVAQLVQPIRERDQRMDQPYDVLGPRQGTSDFHSLPSREAASVVSSVCVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEFLTAQLKDKDDRISALETQMAAQQAGYET >A07g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23806322:23807107:1 gene:A07g508670.1_BraROA transcript:A07g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEEGNYKEQKVLTRCKRPTTGVRKLLTRCKRFSIRRFASIVGIVFIGGCYYFGLLWLMQASFREEPVQIPKIELASLDFTVLNITHSYNNLKYREAQVLKVSAGVSGEGIYGLIGKDITEDMKEKKEVRFGTRFYITDCRENTTGTMRYACDEVTLRFEPDSGMKAAFFGNNPSCVLLT >A09p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4434206:4435833:1 gene:A09p008630.1_BraROA transcript:A09p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLSFSLVSRASYENVFKKWIPELQHFAPGVPLVLVGTKLDLREDKHYLADHPGLSPVTTAQGEELRKLIGATYYIECSSKTQQNVKAVFDSAIKEVIKPVVKQKDKTKKKKKQQSNHHGCLSNVLCGRIVTRH >A02p050710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31246020:31250414:1 gene:A02p050710.1_BraROA transcript:A02p050710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVIVGGGVAAGYAALEFTRKGVSDGELCIISDEPVAPYERPALSKGFLLPEDPTRLPSFHTCVGANDEILTPKWYKEHGIELVLGTRVKSVDARRLTLVTSTGETITYNFLIIATGARALKLEEFGVEGSDAENVCYLRDLSDADRLATAIQSSSNGNAVVIGGGYIGMECAASLVINKINVTMVLAGAHCMARLFTPKIATFYEDYYMGKGVKFIKGTVLTSFEFDSDKKVTAVNLKDGNQLPADLVVVGIGIRPNISLFEGQLTIEKGGIKVNSQMQSSESSVYAIGDVATFPVKLYGEMRRLEHVDFARKSARHAVSAIMEPEKTGEFDYLPCFYSRFFAFSWQFYGDHVGEVVHFGEYEDGKVFGAYWVKEGHLVGCFLEGGTKEQYETISKATQLKPAVTDMEELEREGLGFTQTVVSQQVVTEVKDVPSSEIVRQTSRVVSLVTVEKPLHVWHAVTGVVVAASVTAFAFWYGRRRRRW >A09p013620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6958140:6958604:-1 gene:A09p013620.1_BraROA transcript:A09p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLFGGLGNVEEYLPTKVIKPDSGVLEFFSPIAAGSNELKTSVGNCHVRSNSESLPMITPYGMSLDYNHRVLKRSYTNVFSRKTRHKEKKKTRKRMRNKGDIWKVKLVINTEELLQILSEEGRTNELIESVRAVAKCENFVASEMNLSVVKV >A07g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2672908:2674121:1 gene:A07g501260.1_BraROA transcript:A07g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIQKDNKTNSLKKIDLISNPQGSKSKKNCVPPVSSTSTSLYTPLEAVCTFPFCPPSILTLRRNIPFLFDLHIYLWKLHIYLSNMTFIFSCDIKDKPALPKRHRFQGSSNGDFDRDETRASSIQSQSGGGRGVGGGGGELREGRMIDPTRRIGELDCMFGPTRPFCELDGSVDPTLPFSELDLPNGRVGRCVRSNSSLRGVGQWVFLCPVSVVRGLE >A06p044540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23903139:23905583:-1 gene:A06p044540.1_BraROA transcript:A06p044540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYVLFVFSIFVSATCRGEGATAAYKPTDVLLLNCGATSDTNDTGNRTWTVEYDWELFPWKSEHLSFVANAPYQDVADYHVPFMEARIFRSKFTLKFQVSPGWKFLRLYFYPTRYGSNFDANGSFFSVRVNGFTLLKNFSAYLTVRTSKPESKYLIKEFIVPVFETLTLSFTPSPNSLAFVNGVEIVSMPDGFYTKGGYDDEITNVSSTVNTIDEATALETLYRLNVGGHMVSHVNDTGMFRRWLPDDDFLISDNSATKQLLPDEKIYYTNSTPAFVAPEDVYRTYRTVGSNQNPQLNLTWLFKVDAEFTYLVRLHFCESPPEVNGHGGRIFSIFIGNQIAKLDMDIISHTDVSPSPIYLDFSIYVGSENGLRPDLRLDLHPSNEVTPEFYGAILNGLEILKLNYPDSITEPNSIVVTSKGASNVANTTRRKRIVTITLIAVGSVLLAVSVIVFLIFLVRQIKRRKKSRQNNSVAMFKVLLKHYTYAEQTWILGDEITTEENEIARKMILVSLWCIQPCPMDRPPMNRVVEMIEGSLDALEIPPKPSMHISRGFVPDQSSSLPSFSHGYEAEEKNSNL >A07p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4592085:4593380:1 gene:A07p009010.1_BraROA transcript:A07p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAAHNSIQDLYGLKASSRSMKVLAEGRGVYHFLDVLSVPWGLNMPSELLKACYDEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDMIGKLVRSVKWGWGLWHGDYFRDHKVLFICFFISSFYRCQCANLVQRQCHCLWHIDVTKDDNMCNRCFWIKELGLFLRNFEPISLLKDTRKVEENIEKLMATEFDHAHNNGLDLHWSG >A03p056530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24441846:24444246:-1 gene:A03p056530.1_BraROA transcript:A03p056530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPHK1 [Source:Projected from Arabidopsis thaliana (AT4G21540) UniProtKB/TrEMBL;Acc:A0A178V174] MDPLQPDNDHLPSPPLISDRVLINGVVTPLTLTADGELQWTTEPGRGKSTTEKEILSFAVEGNKVRVKTLVERTGSSICCGGSGGDYARKDFVFEPLYDESRKLWCDKLRQRLHSLGRPKRLLVFVNPFGGNKTARKIFLEKVKPLFDDADVQLDVQETKYQLHAKEMVRSMDVSKYDGIVCVSGDGVLVEVVNGLLEREDWRTALKLPIGMVPAGSGNGMIKSLLDPVGMSCSAASATVSIIRGHRRSLDVATIAQGTTKFFSVLMLAWGLVADIDIESEKFRWMGSARFDVYGLQRIICLRQYSGRILFVPAPGFESCGQLASYHVDKESSVGDKTRGYQGPDTNLEDLEWREIKGPFVSVWLHNVPWGAENTLAAPNAKFSDGFLDLIVMKDCPRLALLSLMTKLSDGTHVQSPYVSYLKVKAFVLEPGARIDEEDREGIIDSDGEVLARGRKSYKCDEKALMSYSKLQITVDQGLATLFSPE >A08p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12621569:12621797:1 gene:A08p018240.1_BraROA transcript:A08p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRKDKKSSTLTLSLETLISSVNTHNSSYIYHGPQVHTVKESITSSTNAIFLVCQYPNNSILVK >A05p029820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14965885:14967606:1 gene:A05p029820.1_BraROA transcript:A05p029820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRFIRSISSSRCMYLSYVFFYTCDRCRSDGKSENEMNNLDEERSNNTGVSILSMRGGDGHNSYATNSLLQRRVLSMSKPILVKNTKEMMTNLDFPKYIKISDLGCSSGQNTFLAMSEIVNTINALCQERNQNPPEIDCCLNDLPGNDFNTTFKFISFFNEKLTSNTPCFVSGVPGSFYSRLFPSKSLHFIHSNYSVNYPSKVPEGLEKNKMGVYITSSSPLSEYKAYLNQFQKDFTTFLRMRSEEMVSNGRMVITLFGRNTIDDPLYRDCCHHLTLLSDSLRDLVIEGLVSASTVNSFNMPFYDPTEEEVNEIIRNEGSFQINDLETHAFDLGHSKEESSLQLCRAKPGEKEANCVRAAIETMLVAHFEDAISIETLFAKYAHHVSQHASCMNKTSVTLVVSLVRK >A01p009160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4396388:4399164:-1 gene:A01p009160.1_BraROA transcript:A01p009160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKILADPLLETNQVTVGETKKQRSNLTVTLSLVLFLCLGTSGFYCFTDNITVWLSSLATNDHSLSHGIHGDAIESENGVVAADDGRCSEIGASFLRKGGHAVDAAVATTLCVGVVNPMASGIGGGSFLIVSSSEDSKAEAFDMRETAPLAAFKDMYKNDANAKSTGALSMGVPGELAGLHEAWKRYGRLPWKPLFKPAIKLARDGFIVAPYLGRAISTHSSKILKDKGLRSIFSRNGQVLKPGDTCYNRELARSLETISELGPEGFYNGTVGEKLVSDVKMAGGIITMDDLRSYKVRVTDAMAVDVMGYTIHGMPPPSSGTVGFPMVINILDSYSELYTASGSDLGLHRLIEAMKHMLAARMDLGDPEFVNVTNAMNQMLSKPRAEEIRKRILDNTTFPPEYYLSRWSQLRDQGTSHFCIVDGDRNSVSLTSTVNYPFGAGVLSPSTGILLNNEMDDFSVPEITPDDLPPAPTNFIVPNKRPLSSMTPLVITKEGELVAVVGGSGGTNIIAAVIQVFLNCFVMKMKPLEAVASARVYHKLIPNVVRYENFTAINGDHIGVTKDSKMFLEEKGHELQEMSSGGAIVQLIVQSFKEDEDKEMVTDFGRKLGKDFIKKPKPFKGLLTAVSDPRKDGKPAAV >A05p035180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19943400:19944826:-1 gene:A05p035180.1_BraROA transcript:A05p035180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CDC73 homolog [Source:Projected from Arabidopsis thaliana (AT3G22590) UniProtKB/Swiss-Prot;Acc:Q9LJ87] MDPLSVLKDFTTRGDLDKIERVGANYRFGSGYSFPCATETAYRSKGGSLYTLEALVHYVKNHHLKLGEYMQSTVKNSVPAVTLPDRKPLLDYLTGRVASSDSIDYLLLQQQNAQSQKQNEEYRPDQDNSAFVSRENAVEEVDGYGKSSGGEDVDYIMLIRSNERPLKSRDAILECKNRDFHSVLVNSTKREEERQRIESHQRKDGLVAKSRLMGAEERGIVGFTGGGGDDSGYDANPKSKLRKIGEGVPIILVPSAFQTLITIYNVKEFLEDGVYIPNDVKAKEMKGVKPDCITVQKKFSRDRERVVTAYEVRDKPSALKPDDWDRVVAVFVLGKDWQFKDWPFKDHVEIFNKIIGFFLRFEDDSIESAKTVKQWNVKIISISKNKRHQDRAAALEVWEKLEEFVRSRSHS >A06g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20951830:20953223:-1 gene:A06g507460.1_BraROA transcript:A06g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHKNLRTAKKGVLFSIGVSCYLLNLIVTGREEIHKIVDQRNDIEKLLECQNIKRLLGDAAIAQNLLLITINLHSSWRHLCVLDMTVFKTLREGNYSKLKVNYLTLKSLIFKQSKIWILCLNFIAVEFICKVVVRGIETSNGWCYIGCSICSRKLNRGFHLSHVLSVPCRNDATDTAELVAFDTEVCKLTNVPAADVSHQQNCLIHYYTSLLIKVGDAQDPNKEKAFGKGVWNCSEPLEEQQGVCPYVLERLHGLMERRQKRR >A05p007620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23809431:23810976:1 gene:A05p007620.1_BraROA transcript:A05p007620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSRRSSTATTKDHSQKRNPCFDPVGAPPRPPRTIVKISFGLEVLNLPPDIQEILQHLRMRCRWPKILLATVVKLISLLDKIVTGAQMGVISLIMRGVLYIKRKRFCRGDPVEMDHHNLQCSPSLIKIFQRSTA >A09p074440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56445013:56448412:1 gene:A09p074440.1_BraROA transcript:A09p074440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETVFHLLSISLVIFFVFSVNVVSEEDYQRAVPPEDKTTTVWFSRIKQSGNDYWGKLKESLGRGHARFFPPNIEGKDDPSMGAGGKMKEAVTRSFEHSKDSVEEAARSAAEVACDAAEAVKEKVKRSFSGSETTQQQSYGTEEL >A05p052360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32391364:32392632:1 gene:A05p052360.1_BraROA transcript:A05p052360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTMTTEGMMMEISMVDDIIRRLLEGKGGKQVQLSEIEIRQLCVNARQIFLSQPNLLDLHAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPSKVFLLRGNHEDAKINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPELENLEQIREIQRPTEIPDNGLLCDLLWSDPDQKHEGWCDSDRGISCTFGADVVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDQSLVCSFEILKPAPSSSSIPLKKVPKMGKS >A01g510500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28901563:28905250:1 gene:A01g510500.1_BraROA transcript:A01g510500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRVRKKIRRKGVKSLISLTLTSLVSPPLFHGDKDGDFVFSVVLPPVKSTTEPTKASLSTSTSLCYSPPLSTSLRLSPPLRFSPPLCFSPPLSASFLLSPPRRFSPPLAASPLIATSPLLSASLPLCLNLRTDNFFSVISVTGNGDVFLDAQYRREGEMEDERVDLVLERIRNKYDWSSTDWPVLDPEESKMEEPDSHDRGSEADKSVDHTDVVADEETSSVQVAGKGKRKFLDEGAETRKKKVLCKRSAEKFLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERVFTERMGKMEIEVSQLKGAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGAQAPPKSKGAEAPPKRKGDQPTPTKKDGKKIATETNDFDFGLSTQDLRDLSQATFVDGFDLSQVKAETSSKSKPFNMAPLQWNDEEMDRTKEDSPDAALVFFREEDWEKVRTWSTSSTRIRIGPATLDFEIANRLMDKSEWLNSLEIDAAMYVFRERTSLKRWRPHRVAFMTVVFSNMIKKEYGHLEAQGRKSYMLHNLLLQFGKGVLPLHGRTHEIWNIDVDRLYVPVHVSGNHWIALCISFVTRSIEVFDCSGRKRYKEVDGFANLIPRIVK >A01p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2925171:2929596:1 gene:A01p005610.1_BraROA transcript:A01p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSNSSHNIDNGKYVRYTPEQVEALERLYNDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHPQNQGNLATTDTSCESVVTSGQHHLTPQHQPRDASPAGLLSIADETLTEFISKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGIAARACGLVGLDPTRVAEILKDKPSWLRDCRSLDIVNVLSTANGGTLELIYMQLYAPTTLAPARDFWMLRYTSVMEDGSLVICERSLNNTQNGPSMPPSPHFVRAEILPSGYLIRPCEGGGSILHIVDHLDLEPWSVPEVLRSLYESSTLLAQRTTMAALRYLRQISQEISQPNVSGWGRRPAALRALSQRLSKGFNEAVNGFSDEGWSMLESDGIDDVTLLVNSSPTKMMMTSSLPFSNGFTSMPSAVLCAKASMLLQNVPPSILLRFLREHRQEWADNSIDAYSAAAIKAGPCSLPIPRPGSFGGQVILPLAHTIENEEFMEVIKLESLGHYQEDMMMPADIFLLQMCSGVDENAVESCAELIFAPIDASFSDDAPIIPSGFRIIPLDSKSEGLSPNRTLDLASALDIGSRTAGDSCGSRGNTKSVMTIAFQLAFEMHMQENVASMARQYVRSVIASVQRVALALSPSSHQLSGGLRPPPASPEAHTLARWISHSYRCYLGVELLKPNGNDLLKSLWHHPDALMCCSLKALPPVFTFANQAGLDMLETTLVALQDITLEKIFDNNGKKTFCSDFPQIMQQGFMCTDGGICMSSMRRAVTYEKAVAWKVLNDDEDPHCICFMFLNWSFI >A02g512630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34472285:34474105:1 gene:A02g512630.1_BraROA transcript:A02g512630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVWRHPATVPPSASQRRLLRRRILSQGSATSPPSSMKKKKPKISPPKPPSISPSNSPPSMTSPKSPLVSSAPADPIDSDLTSSREAPKIVSDAQFSSRADAVAQQAVGAPDLPLAPSIPPLSKTVIDDRSSDPSSTNINNPSMNLELISVVSPPRTSVTDKVALVTVDAKMISLSSQLATNKTQATDEMECFDAADAQHKQKQESVGRKTRRSRSKDKQVWKVVETTTEVINIATTKPSPVQSALIKTQLVQTVMPQGSKLGTEKDKVQGESSNTPSYLRSPRPRSRSATTRSSQSDAQPDSSDVESSDSELEEG >A04g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19227407:19228389:1 gene:A04g507660.1_BraROA transcript:A04g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKTKQKKKAAHEEQLPWELIEAILSRVPLKSLVRLSVNIKKLQHWLVDCDEFLICSMDQGAVVWNPWLKQSAWIGHPSSRLEGICYNGSNRRSELSSYKTICLTNFNFSKDIFSRFCDLPCGMSHLRDALVLRIFKGDRFSLLKQCNVTKNTEIWVTNNKVNVEDSRDVVWVNFIRLSIPSFLSLVPTNLYSQQPSYFIDDKRLVVCSCDETGQA >A10p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10636565:10637339:-1 gene:A10p012240.1_BraROA transcript:A10p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYVINNLVRLLLLLLGSSVARFFKRFLGSEGSAILTTMCVSFFALVGFLFLFRIYYFRLKGPLREILNLFFLNAVEIKKPATELNVGIVVFGGDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDAMGVHIDGRGALSDHEQRRVEVTFVRMARRGSLGGLSGGNVRKV >A02p003610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1677527:1678171:1 gene:A02p003610.1_BraROA transcript:A02p003610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HEC3 [Source:Projected from Arabidopsis thaliana (AT5G09750) UniProtKB/Swiss-Prot;Acc:Q9LXD8] MNNNMNPSLFQNYTWNNIINNKSDDHHHNDPIGMAMDQHTHLHIFNPFTSSSSHSHFPPLSSSLATTTTLFSGDQEEDEEEEEPVEELSAMKEMMYKIAAMQPVDIDPATVKKPKRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRLLNNPPPPQDQASQAMKTSWISPPPPSDFGSEGGGGELI >A09g510660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32888558:32890240:1 gene:A09g510660.1_BraROA transcript:A09g510660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVVRLRRVGQVSGAAGYDGSSESSSSSESASDSNFEDENVVESTESSHETGSSEFEEAEVLEEVEEESDHLEGMNFFAGMGAIPQASDSFNYQYGYGNEYWEAMDISSSDVEIIKPPAPEVIEISSDSTVAVNIIDISSRESSLWISMPAWSPAFSLGGSLDYSLESIGQSFDPYNEYHYSPMPMESPPANPKVGTGAREVDMEVQPEIVRDVQMMESTKNGRTRPNGALGGQVEKGSTSRRPASNVQDSRNIPTEEECNVCGADDHHTRACTRIRSQPDLSAYLICSSCETRGHFIADCPMTNVTRAVPISVVPPTSLLDQPHLQPEDQTLETLTLLGVLIGVLVAAKFCVVERCVYNLVVLWTDMSLDILLPKDSCMCGKIWNQRQSWYRSATSVQRFRVVNVKVQSRQRMLKSRRAVWGFKRSDGVIFLPRRIFVQSVHGHVCKTRSISDRASEEATKAVTEALRSV >A06p013790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6245695:6262398:1 gene:A06p013790.1_BraROA transcript:A06p013790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic cation/carnitine transporter 6 [Source:Projected from Arabidopsis thaliana (AT1G16370) UniProtKB/Swiss-Prot;Acc:Q9SA36] MADQSKPLLLSADDSNVDHKTRPEALTFDNIVEQSLSDFGFWQLFQVILVGLALFFDAQQIFITVYTDAYPTWHCLNHTICDHSTSDICQLPRSAWEWDGGSKDKTVISDLGLECSSSLLRSMPSSAFYIGAIVGGFVLALIPDGSLGRKKLVLLSTFAMSITSISVAFSTNVWIYTTLKFIIGFSRSQTWSYALVLISERVSTRWRPRATMIPFTFFVLGFMSLSGIAFLAQHSSWRFLYLYTAVPAIFYCIFLYIFALESPRWLHMQGNDEEAINVLKSMSSKNKPYLESLVSQLPLEQETSEELPRYSIKDFFFRKWAFRRIVVVMIILFGLGISYYGVPLAARDIDVNIYLSETLNAVVELPTFIITPIILERFNRRSSVLVNTLLGGASGVLCFVLSLLGKTGIAFAFELATFFCARIGFNLMAVYMVEMFPTCVRSSATMMFRQALVVGGACCPLIASIGRDLPSVSFAIFGVAMSGFGLFVLILPETKGSSLCDTMEEQEKRDQTINTKPLLLSHIGADDSNVDHKTRPEALTFDSIVEHSLSDFGFWQLFQVILVGLTLLFDAQQIFITVYTDAYPTWHCLNHTICDQATSDICKLPRSAWEWDGGSTDKTIISDFGLECSSSLLRGMPTSAFYIGGIVGGFVLALIPDGFLGRKKLVFLSTFATSVTAISVVFSINVWIYTTLKFVNGFTKSQTWSYAMVLISERVSTKWRPRATMIPFTFFVLGFMSLSGIAYLAQHSSWRFLYLYTAVPALLYCIFLYIFALESPRWLHLQGNDEEAIKVLKSMSSKNKPYLESLVSVLPLEQETSEELPRYSIKDFFFRKWAFRRIVVVMIIMFGLGISYYGVPLAARDIDVNIYLSETLNAVVELPSFVITPIILERVNRRSSVLVNTLLGGASGVLCFVLSVLGKTGIAFAFELATFFCARIGFNLMAVYIVEMFPTCVRSSATMMFRQALVVGGACCPLIASIGRDLPSVSFAIFGVAMSGLGLFVLILPETKGSSLCDTMEEQEKRDQAINSSHEHVGVFLELLDIELAKIRYRQKLPKEVGRERICKEFLEKTGISLSWEPFKSKYDILRNMYGSYKRLKNFTGVSADDNTGSKPEAMYSTHVQDAEQNEQLDKSVPETQDNDGDHTNDVHHHSQTISLDSPPRSPIGPSKRSNRKQARVAPYESGRGKDVALSREKNIPRRRKSFEKEINEQFKEMMELRRSQVAEAKERREKNDAQPFKEAYEILKSIQGYDLHGNFSGERFKALQHCHGDPSSANPEQNTIRPTMESYKDFAAPSRSELMSLFEEVGYKRGYGKMGDGRESSFSVGFNINHTICDHSTSDICKLPRSAWEWDGGSKDKTVISEFGLECSSSLLRGMPTSAFYIGAIVGGFFLALIPDGSLGHKKLVLFSTFAMSITSISVVFSTNVWIYTTLKFVIGFTRSQTWSYALVLISERVPTKWRPRVTMIPFTLFVLGFMSLSGIAYLARHSSWRYLYVYTAVPATFHCILASSTSSHSNHHGGFTCKETTKKPLLFSKACHLRTKPTWESLVSTLPLGQETVESLPRYSIKDFFFRKWAFRRILVVMIILFGMGMSYYGVPLATRDIDVNIYLSETLNAAVEFPTFIITRSYWRGSTEEALFL >A03p043840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18343834:18346662:1 gene:A03p043840.1_BraROA transcript:A03p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFPIGSHFAPPHKLTKRHAVVTSSRLCLSTRLPQSVSFSKVSSSRMSRHSVLVRAEDKTRNSSSPSLEEQSQPIDESKLEQDLMDSCDPICSVDEPSSTYFEANYQPKTDVVKAIAVIAAALTGTAAINHSWVAANQDVAMALLFGIGYAGIIFEESLAFNKSGIGLVMAVSLWVVRSIGAPSAEVVVSELQHATAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPKTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPQSEYRKLLGAVVVIAANAGGAWSPIGDVTTTMLWIHGQISTLPTIQGLFIPSAISLAVPLALMSLSSEVNEKGQDTSDVMASEKMAPRGQLVFGVGLGALVFVPVFKSLTGLPPYMGILLGLGVLWILTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILREIATYLDANIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGYAFAGYAAGIAAYLAVQSLHFSIPTTVAQIPFLTGS >A01p059810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34051733:34054021:-1 gene:A01p059810.1_BraROA transcript:A01p059810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLSQKLNQSLGLVLGSTSSSRLRERHKRRNRSFPSHHGSIHDTLLANLKQYRHSHLFLPLRPVLHDLHQHRHSPLLHNRRLRFRHRHQQAHHERRFVHHVGSEIRHQLHERLHDSSLHGSDLVLLSRAEGVESRRRVLLRPEPSRLQEVNQKRNRSRSPDRDFVILELGEAEEGARGVLLSRGSSHLQGPDEGLDGAGGGDEVLVLVAEGEVEDGGDAVLLEQRVGRGDEGDERLDGAGLGDFDAVLGFVLGEQPDLHRGLLLVLDGGGEEGDQMGDEVVGVVGGGGEEEASAQGRRGGEFAGSVAVAVAESGGGVHLLAKAKAKAKAQKDKKKKEMESSRGGGGIQQLLAAEQEAQHIVNAARTAKMARLKQAKEEAEKEIAEYKAKTEQDFQRKLEETSGDSGANVKRLEQETDAKIEQLKNEASRISNDVVDMLLKHVTTVKN >A03g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10618778:10622419:1 gene:A03g503250.1_BraROA transcript:A03g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLFWNVRGLNEPDKHKPFAQWLIYNKPYFGAILESHIKEPMTSTILSKVCPRWNFVSNHQSDPDGRIVFIWRSPVTVKVLHQSRQSLTTEVTIPNARPMIVTSIYASNLAAERDDLWAELIGIQQLFSLHLEPWLVAGDFNQITTPSEHSSPTVQAISSDMIKFRNTILHLDLFDLRYHGVFNTWSNKRPASPIAKKLDRALVNHHWISSLPNSSAIYLAPEFSDHSPCLLNFETPLPIAGSKPFKFFNYLTKHPLFLSTVGDAWILAGNFSTTLAELSWKLKTIKSVLQKLNNENFSKIQERVLSANTLLKDVQVGNGASTRFWIDNWSPFGRLEDFLTPTISRRMGVPATATLSNINSNGNWLVQSPRTENQVLAQAYLSTLVLTEEEEDIYEWIVDGNHWGKYRTGAVYDLLKRHGPQVNWYRTIWSKGGIPKHNFLAWLFTLNRCPTRDRLINWGLNVDANCLLCNTAPESRDHLLFQCSYSWEVWSQTATRCLITPPRDWASLLPFMNGLTLPKNHKKLILLAWQCAIYLLWSERNARLHRGCFRSSQSLLGSLDLIVRNRCSSFRDTNPSSSSAMLQLWL >A04p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19337781:19338970:1 gene:A04p033210.1_BraROA transcript:A04p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEALSFLSSTLPPRNHHHLFPPFSRLRLHNFPSLSFKPNSSPSSIFFPPNPPDSLSSAPSAAGALESDIHEKLLYLDTLGIDFLTLLNRHPPLLSSPLSAVKSVVDYMTTPPINFSLPDLRRLVSMCPELLTSPLTSHTIPVITFLLREVGVDSTFDLRQALRRRPRLLACSVDLQLRPTLYFLRGIGILEPRRHTYLLSCSVEGKLLPRIEFFERLGFSRRSAAAMFKRFPQLFNYSIGENYEPKLNYLMGEMGRDVREVLEFPQYFSFSLENRIKPRHVACAGRGVRLPLAVMLKTKEDGFRDALEVQFVLNDSEELKRMKKPLVSAYILREKGR >A02p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:556693:561441:-1 gene:A02p001160.1_BraROA transcript:A02p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTRKGLPYWVVTRRGLPASTFSPENWSYEASLVLFADQFIGAGYYTDMLRRLDAMDYDLLLVTPTLDINNPESPQWPGLFIDRGAACFAVETSKISQEPPSPKKHEADAAAEEETLNTHGKLLLETEDIKKPESPELPAGSTLGRWNIKVWSKFVGYNNTSSRCYIRPNLHIGSEERNIMEVSLMQPRKEKLSLLEVEMVTDISTFFPPDIEYHRLSSRNMLCEVKVMRNTDIADMKIFSDVILRAHGEQKHILILAGDKDYLYLIKQLTLGELVKQDNASSSSKTLVDEDGLSYQWGRRHGGISQATKKLTSMIDKGSITTAIDYLCTLVSGFRNSRKSVPQAPKMQRVRNTLSGKNGSIDVFINLETTTIDSSDGPKLRAHIGTVLGKKYHSRFMTVYAIRTPDETLVKSLYQGKKIVLKPISKEKSSATSVNSVKVSVIMH >A08g507100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12323352:12323995:1 gene:A08g507100.1_BraROA transcript:A08g507100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPKQLPATVISKFAQYSGYGTSIFQRLQKVGYPVDMLKTQYCMRPERRSFPSKEFYQDALEDGADIELRQLLTDINTVALVRFSFSICMKGKSLNIRDKLTNLPQTRNHVPRAQVKFSPSYYISI >A04p037740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21518876:21520504:1 gene:A04p037740.1_BraROA transcript:A04p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRQVPLPLSSLLPVPLSDLCSNRSPTSAARYFHGQDIDGGSSASLLHLPLIQRDVTSKKEEKALDDDYGDGDDVDVKSCTVASGSNNVNSQGESDSSTQAVEKNQNVVTLRKRRSYTSFEEQEEEEDEEEEEEANKGKKKRKGKKKSSDVLEEGSRCSRVNGRGWRCCQQTLYGYSLCEHHLGKGRVRSTNKSSGGRGGGQKKSVVAVEVKKKKKRVKLGMVKARSINSLLGQTSTSSGVSEISAPADQFAANDK >A06p017900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8057535:8070132:-1 gene:A06p017900.1_BraROA transcript:A06p017900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CO(2)-response secreted protease [Source:Projected from Arabidopsis thaliana (AT1G20160) UniProtKB/Swiss-Prot;Acc:Q9LNU1] MKGLFFFFSPFSLMFLYLLCISFTAETEAGLRNGDGVYIVYMGSASSAANAYRAQILINTMFKRRANDIVHTYKHGFTGFAARLSAEEAKVIAKKTGVVSVFPDPNYQLHTTHSWDFLKYQTAVKIDSSPPSSPEAGSYDSIIGILDTGIWPESESFNDKDMGPIPSRWKGTCMEAKDFNSSNCNRKIIGARFYKSPDDDSEYFTTRDVIGHGTHTSSTAAGSAVENASYYGVASGTAKGGSPNARIAMYKVCNPGGCAGSSILAAFDDAIADGVDVLSLSLGAPSYAHIELNTDPIAIGAFHAVEQGILVVCSAGNDGPNGGTVVNTAPWIMTVAANTIDRDLESDVVLGGSKVIKGEGIHFGNVSKSPVYPLIYGKSAKSADASESSARTCDYGSLDQEKVKGKIVLCENFDGSSYASSASDEVKSKGGIGCIFVDDRTRAVASAYGTFPTTVIDSKEAAEIFSYVNSTKDPVATILPTVTVEKFTPAPSVAYFSSRGPSSITRSILKPDITAPGVAILAAWTGKDTSISLEGKPPSQFNVISGTSMAAPHVTAVASLIKSLHPTWSPSAIRSAIMTTDLISTVNYPSIGISGYKGNENKTVSRTATNVGGDGVAVYTVSVETPPGFSIQVTPEKLQFTKDGEKLTYQVTVSAGDGSLKKDVFGALTWSNAKYKVRSPIMMGLEPSKPIKIVSPFLLASDVSSDSKSVRLPNFTGITPTTTTMALQCSIITHEVKILCTSPHPSSQSLAQFLKFVLSQKLSKRDFNSQTELDCSRWGTGHMWNQWFQREQSLCIMRGGTTMYRSCSLLGCLGSRLAAFGSLHITTETGDKAIPYNSGAGQFTLFGPWSPGVVYETIQTDYLSFLCYYGFTSVHIRKDLKTHAQRYYAFSSITWCNGM >A03p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14902634:14904434:-1 gene:A03p035490.1_BraROA transcript:A03p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINYSPLGFLISLFFIVTFLAPQVKSRAFFVFGDSLVDNGNNDYLVTTARADNYPYGIDYPTRRPTGRFSNGLNIPDIISEALGMPSTLPYLSPQLTGENLLVGANFASAGIGILNDTGIQFKLYELGARRVLVTGTGAMGCAPAELAQHSRNGECYGALQTAAALFNPRLVDLIASVNAEIGQEVFVAANAYQMNMDYLSNPEQFGNYNSSLINFLVAIN >A04p033980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19739840:19741807:1 gene:A04p033980.1_BraROA transcript:A04p033980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGQRRYASKKKPPEKRSVTNSVFLEQEQEETKEPHDDDVIVGEPKKVNINQNMAKEDNHEAQRCECEDHEESSPLLQVNESKICSKGDTTKTAEEYGWTADGLPVSQGSVIGEPIGRNQWSSGLFSCLGRNDEFCSSDLEVCLLGSVAPCVLYGSNAERLGSAPGTFSNHCLTYLGLYFVGNSLFGWNCLAPWFSYSSRSAIRRRFNLEGSFEAMNRSCGCCGSCIEDEMQREHMETTCDFVTHVLCHTCALCQEGREIRRKVLHPGFNAQSTVVVLPPSEQTMGRK >A02p034850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18932601:18933424:1 gene:A02p034850.1_BraROA transcript:A02p034850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGIRPTRCLFSVFLCVSGCRRKPWRVCKGTDLPSLYRRRGGYFLTIAFLRLHPFAYGSYHTPWNCQTTPGQVQTRPRLIAHAHDPTTRALQPIGTHGSSTWPGHCPDPNQLPKTRTLSPAEFLMPLTLLPGYDTLVFGPYDHTGAPPRTAVRPDDPIQNRGHDKSPPLAKDSSSNPVVLKSCLSYKQVSPT >A06p029920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000030.1:125150:136460:1 gene:A06p029920.1_BraROA transcript:A06p029920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFENSCPLGHSQPFILTAQSWCDRTDRLTVDCLGDFSPRGLSVQYTQDVRGCRPAHTGPHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGQSVQYTQDVRGCPTAHTGRLWLSVCFRVCLSAHTGRLWLSISTHISTLVLGLSTLTVPVDCSGDFGPRGLSVQYTQDVRGCLSAHTGRPCVSVRTKRTFVAVRVCPCVSVSTQRTSIVVHQYTYQHAGPWTQHADPFRGLFGLVIGLFPHRTSVGVRQHTQGVRGCPWLSVCVCVCPSAHTGHPWVSISTHISTLVLGLSTLALPMDYSGDFGPCGLFVQYTQDVGGCPSAHTEPHTERPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVRGCPSAHTGHPCVSVRTHRKSVAVRVCPSAHTGRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVCGCPPAHTGRLWLSVCVCVCLSAHTRRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVHGCPSAHTGRLCVFVRTHMTTLNLPVDCSGDFGPRGLSVQYTQVVHGCPSAHTGPHRGRPFLSISTHISTLVLGLSTLTLPVDCLGDFGPRGLSVQYTQDIRGCPPAHTGSSWLSVCVRQCSSACTGQSWLSISTHISTLVLGLSTLALPWTVWVILAHMGCLFSTHRTSVGVRQHTHDVCGCPSAHTGRPWLSVCVCVCPSAHTGRLWVSVSTHRTSVCVRQHTQDVRGCPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTRALPVDCLGDFGPRGLTSVAVHQYTYQHAGPWTQHAGPSRGLFGIVGTAMVRGNVPASLRISRQAFHGRERSSTSSTKLGLVHSSSVPTKSALLAGILAQSAEADESQLIAARRTVRVLGRWSGSGKGDGMQVAERAQPLADGDHSLASRACLVKYSEKNVERERVPVKRKAEFRVVRFPATLIVCEATSGQEWEIKTSRRAWRTQTWFTRINGWEMNEDGTGLAGCVSLSRSINGWRWDDGRHKALQGRVARSDRLLRPSGLDLRLTRPIGSRRRPDQVRKSVGPLSRTSLAATDVLWVLTDTHGHTRTATDVLCVLTDTHGLPVCADGHPLSCPRSWIGSSGRTAVQGNAPVRSHDLRTSVSWS >A02p028080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14177314:14179132:-1 gene:A02p028080.1_BraROA transcript:A02p028080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTDNRRAIWGVPEKLQLHIAMLTLQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGITANQGFYLLGLDYTSPTIERVRLNRRDGISKVLGTALCVAGASVITLYKGPTIYTPTSHLHAHLLTTNPAVLAPLGDAAPKNWTLGCLYLIGHCLSWSAWLVFQAPVLKKYPARLSVTSYTCFFGIIQFLIIAAFCERDSQAWVFHSGWELFTILYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYFVLYGKSEERKFAALEKAEIQTIVEHGIERVPVSRGSIKSSITVPLLHQSTDNV >A09p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10413225:10415156:-1 gene:A09p019660.1_BraROA transcript:A09p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVLAGQVPSVLATPNIPGMFPNVLAMVPNQQLGALPLLPVQAMTQQATRHARRVYVGGLPPTANEQSIATFFSQVMSAVGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIILEGVPVKVKRPTDYNPSLAAALGPSQPNPNLNLAAVGFSSGSTGGLEGPDRLFVGGIPYYLTEDQIRELLESFGPLRGFNLVKDRETGNSMGYAFCVFQDPSVTDIACAALNGIKMGNKTLTVRRAVQGAIQLKPEQEDILLHAQQQIALQRLMLQPGGTPTKIVCLTQVVTANVLGDDKEYEDIMEDMRLEGGKFGNLVNVVIPRPSPDHDPTPGVGKVFLEYADLDSAAKARSRMNGRKFDGIQVVAVYYPENKYAQGDYEAC >A02p006730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2863371:2865732:1 gene:A02p006730.1_BraROA transcript:A02p006730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLISKNVKPGTPVKDRIKSSHLENANPNLSHAKSPLTKSSSSSPIVKRSAQKKNQSPNPNPNPKPSQAVFSPRNRIRERRFVVVAKKNSRKGKKDPSSPAAETSATVREIDCRCGERKRGNMKCVCVAYETLRASQEEFLKKRSESEEKEKEEGCDLEDEVEGGGESLEPEMIGALKRTRGKVVEESRRSVPESGKVMNLVEAFEKLSTNKDEEEQSKLWEGEKETHHTWSSAFCPKELVLTAKSLGLDPKASVSSSWDSSHNSVLSGMSNAGRRSRRNSLDSTTTMGSRKSKKKQVKVTSLKPFKLRTEQRGKVKEEEFAKKLQEITMVEEKMRIPIAQGLPWTTDEPECLVKPHVKDITIPVDLTLHSDVRAVERAEFDQQVAVKMSFIEQYKMEREREHKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSNKHPTAPRDPKFHIPQHKKIRCCSSSSCSETGSYLSDFNYQFL >A01p000460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:175600:178629:1 gene:A01p000460.1_BraROA transcript:A01p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CESA2 [Source:Projected from Arabidopsis thaliana (AT4G39350) UniProtKB/TrEMBL;Acc:A0A384LAI4] MLILCRLAILCLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWYPIQRETYLDRLSLRYEKEGQPSGLAPVDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSDTAEFARKWVPFCKKFSIEPRAPEWYFCQKMDYLKNKVHPSFVRERRAMKRDYEEFKVKINALVATAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGHSGVRDTDGNELPRLVYVSREKRPGFDHHKKAGAMNSLIRVSAVLSNAPYLLNVDCDHYINNSKAIREAMCFMMDPQSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKKKKPPGKTCNCWPKWCCLCCGLRKKGKTKAKDNKTNLKDTTSTQIHAVENIQEGAIVAVSNVGKRSEANQMKLEKKFGQSPVFVASAVMQEGGVPRNASPACLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLIVYCSLPAVCLLTGKFIVPEISNYAGILFMLMFMSIAVTGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVDTNFTVTSKAADDGAFSELYIFKWTTLLIPPTTLLIINIIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIVHLYPFLKGMLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPVLEICRLSCGN >A01p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19460939:19462242:-1 gene:A01p026590.1_BraROA transcript:A01p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFCSNEKKLENLHRSLPLPPAGVLAQSGYVLISCSSSLSSNNGSTPESFNERLKVSGAALTGDEEHNIDPKAIAREVSAVNRLGIEVAIVVGGGNISLVDPSGLGAVALTVPLLIVSGIKSILDFLVPDECVFFFHRVSTGVIIMNFEFIS >A08g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:108198:112235:-1 gene:A08g500050.1_BraROA transcript:A08g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDRKILTGRSGSLTPRTGSPESIRVELTELNSSTSGIRAQGYGDAWISFGSSSDSFKKRTGGRRKMNRYGFAISRAKLVLERGKIIKDNVLFVKFQRRRVYGLPLRRRLELRKEKVSVGFMLILPVLKKDRVSGKKLIPGDDFKRVKSWQLTRGGCLNAESFRRRVMFVKKESSKSKAQIRDVCQMAGQRLRQDHIGVLSGSKKNLWSSVIFRGVSEKKAQRVSTSSRFSMVSSDEGFSVWLSYVKRMSAVSMGGVLKSRRFQRMVSSQREGVNRECVTGFVRSHGDWYLKKTKFQGQKEMSTGSVTGPARTIASKSGSTDKEVWSSQKRSKTALGLRTSEVYLCLWEHHKEAKESFCSGITEDCLLEDCLSWSKSDTSKSETERGLDKRSRVMLKVQTSMNQGLLQVEACVIQGPIANDSGEFVSKVSAHDKKQTAIAQESCCRKKGGDIGKSGTQEWT >A09p009390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4851529:4851723:-1 gene:A09p009390.1_BraROA transcript:A09p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPS28 [Source:Projected from Arabidopsis thaliana (AT5G64140) UniProtKB/TrEMBL;Acc:A0A178UA91] MDSQIKHAVVVKVMGRTGSRGQVTQVRVKFTDSDRFIMRNVKGPVREGDILTLLESEREARRLR >A06p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8300685:8302097:1 gene:A06p027410.1_BraROA transcript:A06p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNIFLEPLAMGIGFIKCNYVPLISFRSNLRLTIEFQLLKSDAKLANKVLIAKKKSIEEGAERFRKLIVYSLIHQLKNILLPPCLFLLLKSTVRGVMSDDVARFFNLLTTTQFWATMAYLSREYWKRRCCAITKSMENEIVVEAFMNFRTHTSGSQNQHGGFVRGS >A08p002420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1372358:1372929:1 gene:A08p002420.1_BraROA transcript:A08p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVLYGLVVMLMYLVGGGYSQGVVEWIRDKAEWEEILVKTEIHIGVMVTSPLCGAPCDIVNDQVARFVEPYGDRIKFYKVNILETLFFALDYKILTVPTVIIFKEGTINIRFESLSDWSMFYELLVNSSIIDFPPDLSPAPAPAPSDSDPDADLPPPLQSGE >A09p054100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46717055:46718056:-1 gene:A09p054100.1_BraROA transcript:A09p054100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHMNKGAWTKEEDQLLVDYIRKHGEGCWRSLPRAAGLQRCGKSCRLRWMNYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLAGRTDNEIKNYWNTHIKRKLLSRGIDPNTHRPINESTIPPSETTTPSLLHDESVQFDLAKPDQQQTVKPEPTVLYREEGDNNNNNCTSSGTTSDDDCVLNLELSVGPATTRSYRYESTRKTNLDSVESTGRWGSELFGAQAGVCLCCRIGFHNESCRNCRVSDARTIWESKIL >A02g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26563474:26565312:1 gene:A02g509950.1_BraROA transcript:A02g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPAEGRTTNPHAPSDKNNRKNGLLYVVDENGKKWNTFHRETDPPSESSRATAPATVAQVDSAAGSSRTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESGEIKIPPPKPKSENSWSRNKDRKNQRKNQAKPRQDDQKPKVAEQIPHQDDDGDASADEDPPAARQRIEVIRAQPESSSDEESDLEEALDPLDLRVLLKRKTTSTNDKTPGSSDLRVELNAKRTKHSLSPGSSLATTEGNPIVDLRDQLNARVSDLRAKLDHKKA >A07p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9838330:9840423:1 gene:A07p015920.1_BraROA transcript:A07p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTINREESKVCRLGIQSRVGDPDELLVCPIDALCGRILVGEEPPSVRYKSIIRFGDSSADTGNFLSLSYDINHPPQAAFLPYGETFFHPPSRRYFDGRLIIDFIGNLYKTSRQNRLLYSGLAEFLGLPYVPPYFGSKSVSFDQGVNFAVYGATALDRALLVEKGIKSDFNNVSLSVQLNIFKKFFPNLCASSSRDCREVFGDSLILMGEIGVNDYSYPFFEGESINAIKELVPLVIKAISSASVTSEKEHEPFTGCIPWLNNFGEYHNEQLKTELTRLQKLYPHVRIIYADYYNSMYPFFQEPAKYGFKNRPLAACCGVGGQYNFTIDEECGSKGVSYCQNPSECVNWDGYHLTEAAHLKMAHGLLNGPYVIRLVLP >A09p060520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50169686:50174599:-1 gene:A09p060520.1_BraROA transcript:A09p060520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) UniProtKB/TrEMBL;Acc:F4JE40] MAQIPNLENAPLNLKSIREKSQRELVNLLKDIRGTKCLVIDPKLSGSVSLIIQTSTLKELGLELRHLSEEPVQTECTKVVYLVRSQLSFMKHIASHIQNDISKAIQRDYYVYFVPRRSVACEKILEQEKVHNLVTVKEFPLYMVPLDEDVISFELELCEKDCLVDGDVSSLWHVAKAIHELEFSFGVIPKVRAKGKGSVRVADILNRMQVEEPVNTNDVGRPEVDTLILLDREVDMVTPMCTQLTYEGLLNEFLHISNGAVEVDSSVMGSQQEGKKMKVPLNSSDKLFKETRDLNFEVVVQVLRQKATTMKEDYTEINSTQTVSELKDFVKKLNSLPEMTRHIHLAQHLTTFTSKQSFLSQLDMEQTLVEAESYDICFEYIEELIHKQEPLTKVLRLLVLFSITNSGTELLHSYGFEHVNVLNNLEKAGLLKKQEFKSNWQTVKRTMKLIVEDTDTSRPVDIAYVYSGYAPLSIRLVQQAIHSGWRPIEDILKLLPGPHLETKRSGFPSSPSVDSLHGASNGVADGRRSVVLVVFIGGVTFAEISALRFLASKEGMAYDLIIATTKIINGATLIETFMDKQG >A07p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19568716:19572965:1 gene:A07p036470.1_BraROA transcript:A07p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGVTPPLLITERNTTTIRVKEEVKKQLWLSAPLIGVSLLQYSLQVISVMFVGHLGSLPLSAASIATSFASVTGFTFLLGTASALETLCGQAYGAKLYGKLGIQMQRAMFVLLLLSIPLSIIWFNTEHILVLVHQDKSISSVAGSYARYMIPSLFAYGLLQCINRFLQAQNNVFPVFVCSGITTCLHLLLCWLFVLKTGLGYRGAALAISVSYWFNVILLSCYVKFSSSCSHSWTGFSKEAFHEIYDFSKIAFPSAVMVCLELWSFELLVLASGLLPNPVVETSVLSICLNTSLTIWQISVGLGGAASIRVSNELGAGNPEVAKLAVYVIVGIAVAQGIVVVTVLLSVRKVLGRAFSSDPKIISYAASMIPIVACGNFLDGLQCVLSGVARGCGWQKIGACVNLGSYYLVGVPLGLLLGFHFHIGGRGLWLGIVTALAVQVLCLSLVTIFTNWDKEISGDSGSATARGLGRRLLHRGSMVPHDGEIKGEDHTNHDSRGQGTQHEITLAAFRGGGFYSPEQKATTNGWHRFSKSSLRVTVIEDQQDETPSIMHL >A05g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9593809:9594895:1 gene:A05g503290.1_BraROA transcript:A05g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTTRFIVYELMPNVSLKSYLHGSGSSRGSATTITWPMSMKIALDIARGLEYLHEDFGLAVVNGPKKKNLKLSGKVGQLTEKSEVFAFGKKTVEKLGPGECETIITWAMLYLTDRTKLTNVIDPLLRHDGLETSLPGSSSGGFVRAARTEL >A02g510150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27206291:27206826:-1 gene:A02g510150.1_BraROA transcript:A02g510150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNQKISTLNQFVYLRLPDQICNRNAESQPNRRYERDEVLVIVHADHTYELFSQPISQAQTISPLQHTSWSRRNTEEADSDDCGEKIGGLEEGQQWQLLRRLRIRVLQY >A09g511130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33694854:33696934:-1 gene:A09g511130.1_BraROA transcript:A09g511130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTKGALMLLMEKPAKGALMELKELKELKELKELKELKELKEQKELKDLKGLKEHKALKELKDLKEQKELKCYMNVNLSET >SC149g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:184886:198697:-1 gene:SC149g500040.1_BraROA transcript:SC149g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNLRKEIFTKNLAATDTDELEYGNRTTDKPSTIATQRPSMHTARLLRSDRAHVPLGRYVATEFEPSLVATSIPLGYYVATKFEPSSGANVRPELNLVERALSKRQFDTSPCILVYPSMLSPEDCSELKVVVNASSRKAAQRDLKRDSRPILRFLSQKPVNCRMVYAWRARKDKCQVSTDKYEILKIITKIGKNGISPFLGYDGANFGSHSLALEGGGGGYGLLLLMATKRLIETMSGYMKDKLAALTAPMANAYANATVLEKIENHAATFRHWKCNETSSRFLFLNIKENDKSYQTPKHNKTITQLGRYVATEHAHCSLCSEWRAGLSSVATYRPSSVRAQSLRTDRAMCVPDSSWLASTRYVATCQTFSRYVATCKASERSPTEFSFESSSKRFSFRLNRTTFQTFSLYVATCKASERSSFEFSFESSSKRFSFRLNRSFRCDFTTKTSRTLLGLLPLAMYRPRPVRPQKDPPLSSLLNPHRNAFRFVSIGVSVEILRRKQVGFFLACFQSLRSDLSDFQSLRSNLHHRNAFRFVSIGVSVEILRQKQVGLFLACFHSLRSDLSDPQLATLRPFRLQKAPPLCSLLNPERFSFRINRSFRRDFTIKTNKTRLNSFACSYSPLPPALCSPSNLDRNVSWFYRKLPLKFYDKKKPVLFEIDSSLRKSLAEERIETSDESSKQVVTQRLNDRPARSLRSDRTQAKAWSLRSDRTIVPLGRYVATELGQTRSLRSDRAIVPLGRYVADYRAIVFRRRYVAARARNKIGATVATYAERARGHSSSLRSDSSGLSSRSASPTLADRARAKARGVRSDRAIVPPVATLVDRAHAKLGRYVSTSTRFRSALQATEGSRPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKHVESEDGPKGPKTRLEAHPTIFLNQKPVNHSIVRAWPTRKDKCQIGKNGISPFLCYDGLRAEDCDSIRFSHLRVARTRNLADSSRAQAYT >A03p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2255381:2256422:-1 gene:A03p005400.1_BraROA transcript:A03p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEHKKRLESRLEWEGFIETKIKSDGNCQFSSLADQLFRCPEYHEKVRERIVKQLKTCPKIYREFVEMDSSKKRANDLPKDYSEYVKNMSKNGVWGDNVTLQAAADTFGVKIVVITSEKEVPSMEIVPKSLTLERVVYLSHLVGVHYNSIYLKGSETDPAPMELPGKSKNKSENDKEPQGRNENDKHKHKNNEKKNDRSKNKNK >A03p008710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3497477:3499466:-1 gene:A03p008710.1_BraROA transcript:A03p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKIAPPVTSGSLTFKVNRREPELVSPAKPTPRELKLLSDIDDQEGLRFHIPSIFFYRHNPNVYSDPVAVIRRALAQTLVYYYPLAGRLREGPNRKLAVDCTGQGVLFIEADADVTLAEFEEKDALSPPFPCFEELLFDVEGSSEVINAPLILIQVTRLKCGGFIFAIRLNHVMADAGGLSLFIKTIGEFARGCHAPTIPPTWERHLLSARVPPRVTQTHREYDESAAAEAEPVIGDGETLVSKSFFFGGAEMSAIRKLLPPDIANRSTGLETLTAFLWRQRTAALRPDANKEMRVIFIVNARPKLKNPTLPRGYYGNAFAFPVAIANARDLTEKPLEFALRLVKEAKASVTEEYMRSLADLMVTKGRPKFLADGAYLISDVRNFADIDLGVWGKPVYGGIGKAGVMDSLGSSFYVSAENREGERGILVPVCLPEKAMQRFVEELEAVFNGQSVVSSGGNKIYRVRFVKDISTLKIISDSLTLIRTISGNLQSKKLLEL >A06p041260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22288475:22290193:-1 gene:A06p041260.1_BraROA transcript:A06p041260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLPDEMFVSTKLVELSLRSEFGVDWWRGGTNTFLPMLKTLTFDSKWILLCDELEVFLSAFPLLEEFYMADIEWPETDESVSSQSLRKLTIYACGFEDFQNPMSISFDTPNLVYLEYSDFVAADYPKVNLPNLAEALLDFKVTRDQVELIRAPDDEDEVSLRLGNVWKLISGLRNVNKLFISAETLEVLSLCCESMPVFNNLKSLHIISDADLGWQAMPALLRNSPHLETLILKGLLHYVTDKCGDVCDCISREDKGRSLASCPVKKLQIRGFGGTVREKEMIRHFLESFPCLDEMEVYADQNGNDPTNVEVNRIYKIVAYKVHVEEVSTETVLQSKTMSQTQMEEISSQFTPRNNRNKYDFVFILHGAPPPPPPPQDMSEHYYLKEQLDAAKSKLAKLNEFLKELLQGPDAIAIFDRIVDQNTMIASALKARQSAAESEASNDQEKKRDFEALSEIITDLNPDFASAWKDRRGTDLERGETSRDQGEKTTSTADVLPRDGH >A07p027050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15441599:15443630:-1 gene:A07p027050.1_BraROA transcript:A07p027050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase WNK8 [Source:Projected from Arabidopsis thaliana (AT5G41990) UniProtKB/Swiss-Prot;Acc:Q944Q0] MAFGSSSGMEEEADFADKDPTGRYIRYHDVLGRGAFKTVYKAFDEVDGIEVAWNLVSIEDVMQMPGQLERLYSEVHLLKALKHENIIKLFNSWVDEKNKTINMITELFTSGSLRLYRKKHRKVDPKAIKNWARQILKGLTYLHSQKPPVIHRDLKCDNIFVNGNTGEIKIGDLGLATVLQQPTARSVIGTPEFMAPELYEEEYTELVDIYSFGMCMLEMVTCEYPYNECRNQAQIYKKVTSGIKPQSLSRVDDPQVRQFIEKCLLPAESRPKALELSMDPFLARDGSKDSAPLLASTSTASKPPQPEHLPMDVDHHHNESKSVSISSSRKSNNEESYPWCQTIELQRFAEDKEFRLRGERSDDATASMLLRIGDSSGKGRIVHFAFYLNSDTATAIAEEMVEELHLTSQEVIVIADMIDDLIMQLHSERSSSSHPNQTSPHLAAREDHHEAANQLTANSKDEESMKSGISTDYYLPFSSNGSAGQEAESMSSFLDSCSMMSTLYISDNEYPEDLKTELNMIESQYNQSVQDLLKLKEDAVENAKRKWIMKKQKGL >A03p022210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9341355:9343049:1 gene:A03p022210.1_BraROA transcript:A03p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGVHRLAPPLLPLSLPSPPPPASFSFSIAANPNRNQSLLHHRLVTSFSQSASHRPNDDAEADPCLDQGETLDKNATRRKNPFVSEELLMKLKRYGLSGILSYGLLNTVYYSAAFLLVWFYVAPAPGKMGYLAAAERFVKVMAMVWAGSQVTKLIRIGGAVALAPIVDRGLSWFTVKYKFESQGKAFGAMVGICLGLALMLFLVVTLLWA >A10p031020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18641925:18643996:1 gene:A10p031020.1_BraROA transcript:A10p031020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPSPASYRLITDEMTGLLVMNPFPHRENVEISKLPTRRGTEIVAMYVRHPMATSTLLYSHGNAADLGQMYELFIELSIHLKVNLMGYDYSGYGQSTGKPSEHNTYADIEAAYKCLEETYGAKQEDVILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKKTYWFDIYKNIDKIPLVNCPVLVIHGTSDEVVDCSHGKQLWELSKEKYEPLWLEGGNHCDLEQYPEYIKHLKKFIATVERSLSSRKSTCQSETQSSDVEMPRQSVDRREKPRQSVDRRDKEKPPKSQSKKSKLRITFEQHLDRSRRSVDLHEKARKSVDHYSHHHQNNHEIERGRKSVDRLDRVRSE >A05p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1706214:1710802:-1 gene:A05p004380.1_BraROA transcript:A05p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSLKKMASIDAQLRLIAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEEVREFVQECYEVAADYDGNRNTEKLEELGNMLTSLDPGDSIVVTKSFSNMLSLANLAEEVQIAYRRRIKKLKKGDFADEASATTESDIEETLKRLLQLNKTPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKFGRIRDCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFEMSMWRCNEELRVRAEAQRCAKRDAKHYIEFWKQIPSSEPYRAILGDVRDKLYNTREHARQLLSSGVSDVPEDAVFTSVDQFLEPLELCYRSLCDCGDRPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITQHLGIGSYKEWSEDKRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFKVISELPYDSFGAYIISMATAPSDVLAVELLQRECGITHPLRVVPLFEKLADLENAPASVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKTQEELVKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGQLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRVFMDEMAVIATEEYRSVVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKRVIQKDAKNLNMLKEMYNQWPFFRVTLDLVEMVFAKGDPGIAALYDRLLVSEELQPFGEQLRVNYQETRRLLLQVAGHKDILEGDPYLRQRLQLRDPYITTLNVCQAYTLKQIRDPSFHVKVRPHLSKDYMESSKPAAELVKLNPKSEYAPGLEDTVILTMKGIAAGMQNTG >A07p047370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:25454131:25455393:1 gene:A07p047370.1_BraROA transcript:A07p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETPSYLVSRNLPSSCQEESMLIYNAQHYMLQLYLKKRLLDNDDTHGQQGGGDQKSSPVTLLDQNALLNCLAHCSLSDFKSIASTNRTFRSLIKDSELYRLRRAKGIVERWIYFSCRLLEWEAYDPNGDRWLRVPKMTFNECFMCSDKESLAVGTELLVFDKEITSHVIYKYSILTNTWTSGMQMNTPRCLFGSASLGEMAVIAGGCDPSGRILSSAEVYNSETGEWTEIPSMNKARKMCSSVFMDGNYYVIGGIGEGNSKMLMCGEVYDMKKRTWSLIPNMLPERSNGGGGGGGGHGKETSSSSAMAASAAPPLVAVVKDELYAASYEQQEVRRYDKGCNVWNKVGNLPERASSMNGWGMAFRACGDQLVVVGGPRALGGGFIEINACVPREGESLHWRVLASKPSGSFVYNCAVMGC >A03p017130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6878388:6880046:1 gene:A03p017130.1_BraROA transcript:A03p017130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNDGDRSLPELISSLLDLIPNLLSFKSKWSSIRAKLSDLNTHLADISDFAASSTNQLSLDLLASVRETLLDAVSVASRCEGRDLSQGKLKTQSEVDSVASRLDRHARDADVLIKSGLLLLQDDDDSKKKLTVPSKKEGVRSEARSLVIRLQIGATESKNSAIDSLLELLRGDDKSVMIAVAQGVVPVLVRLLDSSLKEKSVAAISRISTVESSRHVLIAEGLSLLNHLLRVLESGSAFAREKACVALQAMSFSKENARAIGCRGGISSLLEICGSGTPGSQAFAAGVLRNLALFAESRENFVEENAVLSLVALGGSGTPLARENAIGCLGNLTSGGEDDEEMVVLVVREGGIKMLKSFWDSDCNAKSLEVGVLLLKNLASCPVVREVVVSEGFISRLVPVLSCGVLGVRIAAAEAVSSLSFSSKSRKEIGGCIDQLIDMLDGKAIEEKEAASKALSTLLVCASNRKIFRKSDKGILSLVQLLDPKIKKFDKRYTLSALELLVTCKKCRKQVVTAGACLHLQKLVEMDVEGAKKLAENLARSKIWGVFARP >A02g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16500193:16500679:-1 gene:A02g505590.1_BraROA transcript:A02g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDSPQMDTTVQKDINGCIDNIEKVGLDWVQARADARDQIFKAKCYKIDEILTKSRDDLIQSLREKVAADRAVKDADIAEKTALLNSVLGPMEQVRDSMPNP >A04p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:839159:848282:-1 gene:A04p001740.1_BraROA transcript:A04p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKRHSSSSSSSSSLSPNAKIRKLQHPIVDDDCISELPDDLLRKILSKLSTEEAVMTILLSSLWKDLWKWRPHFVLDMKRILDKTPTKLWEKISAQLASSMDKRRHGELHYTSRCDDGTLQNWIQHAIRVKHAKDLTINYIHDRKRRYRGTHLLDMLPKIFSHPSLTSLDKDINPMDSTQIAIKEAESWRVAQCATEISAIEGEDRIVETNLLRQPSPSGRWRCQVDASPSWIRENEATAMKRHSSSLSSSSPDAKIRKLQHPIIDDDCISELPDDLLRMILSKLPTEEAVMTILLSSLWMDLWKWRPHFVLDMKRILDKTPTKLWDKVSAQLASSMDKTFRQHHGDLESCTITSRCNDVILQNWIRRATRVKHTKDLTLNYIHGHKRRYRGTHMVYFLPEIFSHPSLTSLSLSGYTLERRRAFINCGNLKTLKLLNMFLFRVSTLSHVLAACSSLEVIVLEIVFLSGLGVLKIGNKNLKFLQVTFPDYVERIEVNAPCLDVLDVRDIKCESKNNFILTAPNIQFDRNDWVSRCVYRPHISYNVSELVQETKHTWYELLVSDFHGMPRHGTLSVSIDITNPKEVEILKELLLMWTTYKMIELEILFKTNNASREEEGECVSDGIAHEKLWEDAAPFPNAEFRVYKVWMYNFNGSSKEEFAFASRIVLQKTVMMKMMIETSSFPPMKKLEVEAAVAKLMELPKGNEDLSIECF >A06p051540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27103841:27105327:-1 gene:A06p051540.1_BraROA transcript:A06p051540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADNDHVVGNSVESCKDESKPHQCPEEKWEDAELKIQENGKNGSNVPPELFYDTRTGDEWDKENDGKDSNEDGKNNIDAKSGSPEPVDPVFFMDKNVTACDLPEIVVCYNENTYYHVVKDICVDEGVPVQEKFLFGEKGSVKCSSDSNQCESEDLMKADKGIETTKSLEEDRNSKVDDDAELCNDPKTNQDVEEASREDFADAEGSSSSCNQDHLIVTREAKDSLTSEIEVATLENGLKESKTLGDILSWEDEPKPLEEQSQPQLQEKGRDEPKEAEEKLSSVPTTTSQEPNKPFNDNQQPSLVVGDTLEDNKLISSGFGETSFSAAEAVSISGHITYTGPIAFSGSLSVRSDASTTSGRSFAFPVLQSEWNSSPVRMAKPEKRRHKGWRQILLCCRSS >A01p048210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27154750:27155310:1 gene:A01p048210.1_BraROA transcript:A01p048210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSRMARRLSRIRKEKKELEMLLLSYAGVMFSGFFCGCFLYAGCYLVTVTDPPHLVIFRRLFLLTMGLFFGYCTVEIAVDICILQRKRWGRDLPKRKRKKLRKFMGQSDFAHFEIWKRMEDSRKGKDEDEEDCPICLEHLNGSLGYIRLRNCMHKFHSRCIDKWLFKCARCPLCRSLACRNNYL >A02p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:763135:763825:-1 gene:A02p001780.1_BraROA transcript:A02p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDPAMERSDPPSPKRQKTDEETTCYDGRPEDFDSDECTDEEMQLFDQELDKIGGGYEIDFKKFRYCFGWKSLDLDDSTMVDEPETNRDFIATLANLALTKYNADKGTSLELGKILIANFHLSCGFSFYISFQVNDTSDGNQTKPYRAVVRYFPGDIEVVSCNPKGT >A02p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2365729:2369643:1 gene:A02p005390.1_BraROA transcript:A02p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPELQPRLFRPHITSPSGEPTLSPPNYPPHITRNFTSRSNTPPRFSPSSFAYNARIATALVPCAAFLLDLGGAPVLATLTIGLLISYVVDSLNVKLGAFLGIWMSLLAAQISFFFSSSHLFSSFNSIPLSLLAALLCAETTFLIGCWSSLQFKWLHLENPSIVVALERLLFACVPFTASSLFSWAVISAVGMSNSSYYLMVFSCVFYWIFAIPRVSSFKTKNEAKYHGGEAPDESFILGPLESCFLSLNLMFTPVLFHVASHYSVVFTSAAAVSDLLLLFFVPFLFQLYASTRGGLRWVTKDSHQLQSVRIVNGAIAMVVIVICLEVRVVFRSFGKYIQVPPPLNYLLVTMTLLGGGAGAGASVLGMVSGGFSSVVFTGLAVVVSAAGAIVVGFPILFTPLPAVAGLYFARFFTKKSVPSYFAFVALGSLMVIWFVMHNYWDLNIWLAGMFLKSFCKLIVANIIIAMVIPGLVLLPSKFHFLTEAGMVAHALLLCYIEDRFFNYSSIYYYGMEDDVMYPSYMVMLTTLIGLAVVRRLLADRRIGSKAVWILTCLYSAKLAMLFLSSKSIVWVSAALLLAVSPPLLLYKEKSKSASKMKPWQGYSHAAVVAISVWFCRETIFDALQWWNGRPPSDGLLLGFCIVLIGLACIPIVALHFSHVLSAKRSLVLVVATGCMFILMQPPMPMTWSYHSEMIKAARESADDISIYGFMASKPTWPSWLLIVSLLLILAAATSLIPIKYVVELRAFYSVVMGLALGVYISAEFFLQAAVLHVLITITMVCASVFVIFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKTLSDNVAWGWDAGEEDKKVTAMLAIEGARTSLLGLYAAIFMLIALLIKFELTSLLREKVSESSGPSKTQGGARGMFPTRMRLMQQRRATSIQSFAIEKMSEEGAAWMPAVGNVATIVCFAICLILNIHLSGGSSQAIFFLAPILLLLNQDSDLLSGFGDKQRYFPVILAISTYLALFSLYTIWEEVWFGGNTGWGIEIGGREWFFAVKNLALLILTAPGHIIFNRYVWSYTSKQSDASPMLTLPLSFAAVVITDVFQVRLLGVLGIVYTVAQYVISRQQYIKGLRYI >A03p055200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23800347:23801116:-1 gene:A03p055200.1_BraROA transcript:A03p055200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKVRSTLGTGVRSARERLCRCRSPLLSVVVAPLRSFFVVLSRTPCPSSGTASIPNGGSVLGGEGAACMFICDVFREMEAYSDPPSSTLASGKGISFKFAFADFWLRRAEASKAPRCRLRTRCSLGKDEISVDDEALRRGDDLGKKAMVLGSRVLKSEEISRFDGTLRRDDSAVKNGYGFVGGLSVTELRRTRISLVCSGGVEAEAVKLRRLRRVTR >A08g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3142687:3144713:1 gene:A08g501230.1_BraROA transcript:A08g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQAQQYQKQQGNSTAILIRSCKLGTFIRKGIRSSSIDNNTYDDFWQVVKQEKLQAGDFEVESSMSFGGLHWCRSTPDFKHRLTYFDQNRSTGSPEHRSMTPMESTASCNAVRILTHKEFAARHPHPPSPVYVKIDRHSNTTDDRQKENAINRQPPVPIDRRSPLT >A04p022720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13707432:13709124:1 gene:A04p022720.1_BraROA transcript:A04p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMNVFSTKWSSEMDIEEYSIIHQYHMNSFLGDFPQSLSSLDDTTTCYNIDASCDDDLLEEKPSKILKTTHVLPKLHPCPTYNPPPQSQPPSRILSFEKTGLKVMNHNSPNLIFSPKKEVGSPDQITRGTKRAQPLTRSQSNAQDHILAERKRREKLTQRFVALSAQIPGLKKMDKASVLGDAIKHIKYLQESVKEYEEQKKERTMESMVLVKKSQLVLDENYQLSSSSSDGNRDCSSSNLPEMEVRVSGNDVLIKILCEKQNCNLIKIMSEVEKLGLSITNSSVLPFGPTFDISIISQKTSNFDMKVEDLVKSLSCGLS >A04p037280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21313017:21314480:1 gene:A04p037280.1_BraROA transcript:A04p037280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLLGFQINIRGIVRCSLTGDPNAPPVSGSVVKLGFECSPATADDLPFSCLPFITLVCANETTNLSGVLTDPTGAFNFTLKFSDTLLYDPSYCVVEANLPAGNCSLSPPNGTLTATVNLVNVFVTSALVSLNYITGPFVQN >A07p004140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3011708:3020743:-1 gene:A07p004140.1_BraROA transcript:A07p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIKVSSFLFVIFFLINGGSSTTFTVVNQCNYTVWPGLLSGAGTAPLSTTGFSLNSSESRVISIPASWSGRIWGRTLCSQNPTTGKFTCVTGDCGSSKIECSGAGGKPPATLAEFTLNGATNSNIFTSNLDFFDISLVDGYNIPVTIVPHGGAAGVGKCMAAGCAADLNVVCPPQLKLTMEDAAGVAVACKSACEAFGTPEFCCSGAFGTPDTCKASEYAGFFKKACPTAYSYAYDDGTSTFTCSGADYMDLVKVPSFLVVILFLINGASSTTFTVVNQCNYTVWPGLLSGAGTAPLSTTGFSLNTSESRVISVPASWSGRIWGRTLCNQNATTGKFTCVTGDCGSSQIECSGAGANPPATLAEFTLNGSDNLDFFDVSLVDGNNVPMMVVPRGGANGVGKCNATGCAADLNGVCPAQLKVTVDAVAVACKSACEAFGTPEYCCSGAFGTPDKCKPSEYSAFFKKACPTAYSYAYDDGTSTFTCSGADYIITFCPPSSGSPKPEAVNVSAAPTFSIAFILSVLAVAVSWVGNTPYLIFQCGIWIDISHSPPQAKDHTPCALSSSESSRCLVASSTFDTFSRKDVLTNLCRTSLSTLKGLIPTRRVFWSSCRFLVNRSDTNC >A08p038940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22479044:22481239:-1 gene:A08p038940.1_BraROA transcript:A08p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRVARRRLLSWIWLLLGSFSVAGLVLFMVQHRHQQQDPSQLNLERDTSMQSVSPPRLNFTEEVTSASSFARQLAEQMTLAKAYVFIAKEHNNLHLAWELSSKIRTCQLLLSKAAMRGQPISLDEARPIVTGLSSLIYKAQDAHYDIATSMMTMKSHIQALEERANAATVQTTVFGQSVAEAVPKSLHCLMIKLTSDWLTERREVADESRNSPRLVDNNLYHFCIFTDNVIAASVAVNSTVANADHPKQLVFHIVTNRVSYKAMQAWFLGNDFKGAAIEIRSVEEFSWLNGSYSPVVKQLLDTDSRAYYFGDQETNSEPKVRNPKYLSLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSDPLISSKFDPQACGWAFGMNVFDLIAWRKANVTGRYHYWQEKNRERTLWKLGTLPPGLLAFYGLTEPLDRRWHVLGLGYDVNIDNRLIETAAVIHYNGNMKPWLKIGIGRAQKVCQVQIFSEDCCSLLMVWREIYNYTLEGL >A09p058370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49191656:49194357:-1 gene:A09p058370.1_BraROA transcript:A09p058370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable purple acid phosphatase 20 [Source:Projected from Arabidopsis thaliana (AT3G52780) UniProtKB/Swiss-Prot;Acc:Q9LXI7] MVKVCNLVAIMLIVLLPNVSSYDRPATRKNIVMHPYSNDPVSPEQVHISLVGPDKMRISWITKNSVMPTVVYGTTSGEYEGSANGTSSSYHYLMIYRSGQINDVVIGPLKPNTIYYYKCGGQSSTQEFNFKSPPSQFPIRFAVAGDLGTTEWSKSTLDHVSKWEHDVFILPGDLSYADLWQPVWDTFGRMVQPLASKRPWMVTQGNHEVELIPVLHRQSFTAYNNRWRMPFEESGSTSNLYYSFNVFGVHFIMLGSYADFEPGSDQYQWLEKDLKSIDRKTTPWLMAVIHAPWYNTNEAHQGEKESVDMKQSMETLLYNARVDLVFAGHVHAYERFNRVYEDKFDKCGPVYINIGDGGNKEGLAKNYGDPTPAISLFREASFGHGQLVVVNASHAQWKWQRNDDDVSVEKDSVWLTSLSADSSCQI >A01p021430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10421254:10422426:-1 gene:A01p021430.1_BraROA transcript:A01p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSITFHALTSLLLFHVSLVSTATHTPHHHASHSSNHLQKAYRALQAWKKVIYSDPKNLTGDWVGPSVCSYTGIFCAPSPSDPNTLVVAGIDLNHGDIAGFLPEPIGLLSDLALIHLNSNRFCGILPRSLSQLSLLYELDLSNNRFVGPFPDVVLSLPSLKYLDLRYNEFEGPLPPKLFSNPLDAIFVNNNRLTSLIPRDFTGTTASVVVFANNDFSGCLPPTIAHFADTLEELLLINSSLSGCLPPEVGYLYKLRVLDMSYNSLVGPVPYSLAGLGHLELLNLDHNMFTGAVPLGVCVLPSLQNLTVSDNYFSEEEGICRNLTSRGIVFDDSNNCLPDKPHQRSHKVCEDVLDHPVDCYDHECSAVAPQASPFAAGPSLAPAPAPSYT >A03g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28495480:28497365:1 gene:A03g508160.1_BraROA transcript:A03g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPSLDTSATQPRETTSDGQSHRRGDQQRPIPPIATQPKMVQPQEPHFFQPLLPGFQTYLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGSMVFHVTPFGPSCCDIQYTYPNSMEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTWPLMCVCAPSKECLKSAGDIASSSRVN >A03p035550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14926495:14929272:1 gene:A03p035550.1_BraROA transcript:A03p035550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQVGGDGTRPTFFEMAAAQQLPASLRAALTYSLGVFALRRSFLHKILDYEDEFYAALMLILEGHSLRTTDASFAESLYGLRRKSVRLRLRKGSGEEEVQHSGLEKRQRILSVVFLVVLPYFKSKLHAIYNKEREARLRESLWGMEDQGFDEADFFTGEEPVVSRGDSGNQELSVRVQLATKIKKFIAVCYPWIHASSEGLSFSYQLLYLLDATRFYSLGLQALGIQVCRATGQELMDTSSRISKIRNHERERLRGPPWLKTVQGALLTCSYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERLTAPTVYPPPPPPPAPKVAKEGIPLPPDSSLCALCLQKRANPSVVTVSGFVFCYSCVFKYVTKVSSPKLQAMSSDIDSGKRGSDKEAVSRHLGTICFTVLSQTVRALFSISVWRWCNQSLNRKS >A06p033400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17921419:17922100:-1 gene:A06p033400.1_BraROA transcript:A06p033400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTNPRESKRILSRAGSVSAERIRSGDVSEALTEVLREDTRLPRTSPQEGKDLEGEKSAARTKSGSLTGSEGRNHPPKKAKMTSADHRLGASGDTFVAKPLHWQFSHSKSCPITEDPDSVAHLLRHFKPAGCPLPSLRNMMEREAYVKMAVAHAKAMEANNEFAATLEKRLQDVPRSDGLYEIKKGRSGAETRFEDGSGLRAS >A07p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26428018:26429668:1 gene:A07p049740.1_BraROA transcript:A07p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICQHTLFTINTHSLIFSHLSQSNTNKTQRRSKFIMSTTGQIIRCKAAVCWEAGKPLVMEEVEVAPPQKHEVRIKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECGDCPHCHSEESNMCDLLRINTERGGMIHDGESRFSINGKPIYHFLGTSTFSEYTVVHSGQVAKINPEAPLDKVCIVSCGLSTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARIAGAGRIIGVDLNPKRFEEAKKFGVTEFVNPKEHDKPVQQVIAEMTNGGVDRSVECTGSIQAMIQAFECVHDGWGVAVLVGVPSKDDAFKTHPMNLLNERTLKGTFFGNYKPKTDIPGVVEKYMNKELELEKFITHTVPFSEINKAFDYMLKGESIRCIITMGA >A01p054900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30832777:30834377:1 gene:A01p054900.1_BraROA transcript:A01p054900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDSICKKYDKYDVDKQREANVSGDDAFARLYGAFETQIETALEKAELVTKEKNRASAVAMNAEIRRTKVRLSEEVPKLQRLAVKRVKGLTTEELAARNDLVLALPARIEAIPDGTAGGPKATSAWAPSTASRPDIKFDSDGRFDDDYFQESHESSQFRQEYEMRKIKQEQGLDMISEGLDALKNMASDMNEELDRQVPLMDEIDSKVDRATSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIVLGIAAYLYNVLK >A08p040120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22937286:22939441:-1 gene:A08p040120.1_BraROA transcript:A08p040120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIQPRGILSLPTKPIVAARTLLPPSPALKQRLFTRNLPPLSFSSNAPTKVQSFHRNPLTISISHKERINRGFICKAEASAAAAAGEGDSPNILGVEVTTLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIIPFIAYFGAFGFVMYPLSNMIHPEALADKLLATLGPRFMGPLAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSKKKKVKPQMGMMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFNKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGVILLGIVTAWLAAAKSLEGQFNTLMSEEELEKEMERAASLKIPVVSSEEAASGESTSQLPETSSPTSI >A05p027100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17042456:17043904:-1 gene:A05p027100.1_BraROA transcript:A05p027100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSLRANQSSILSEIERMPEAPRQRISHHRRARSDTFFSGESIDDLLLFDPSDVDFSSLDFLNAPTPQTQPQPQPSPMSVDSPPEETSSNGAPPIPLPPGRHVRSFSVDSESNFFDDLTATEDTQFARPTSSGGRKGHHHRSNSMDGATSSGSFNMDSILAAVNCKDGAKKNMGMASDRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQNEATTLSAQVTMLQRGTSDLTTENKHLKMRLQALEQQAELRDALNEALRGELNRLKIAAGEIPQGNGNSFNNNRSQFSSQLGNNKNQQMSTNGQPSSFMDFNKRG >A05p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9423421:9424661:1 gene:A05p020100.1_BraROA transcript:A05p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSDKLADAYQNARPRYPIDWFTKLAARTGQHKLAWDVGTGNGQAAIGLAEYFEKVVATDINEAQLKRAVKHERISYHHTPKELSEDKMVALVGGDNSVDLIVAAQAVHYFDLQPFYNIAKRVLRKEGGLIAVWVYNDLVISPEVDAIMKRLVDSTFPYRTPVMNLAFDGYKTMPFPFESIGMGSEGKPIQLDIPHKLSLKGFVGFLRSWQPAMKAKERGVELVTEDLINQFEDAWGDDDDVKDIFYKAHMIVGKLSSADIERHRKRFESNCVVTKLKDSNNSAVIASVTAAAFAGVAAYCAYSARKNT >A10g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6414160:6414598:-1 gene:A10g502330.1_BraROA transcript:A10g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACQGAPGVLSLDFSQPRVHCFRTNTVTGCLVISGSYAYDPTKTEVLGMDERITTESAGT >A02p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3277756:3279934:-1 gene:A02p007820.1_BraROA transcript:A02p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKYVWEGAIPLQIHLHKSEVASHPPPPPALVLAPRIGYLPLLVHLIKPYFKDSLPPGEDSIWFDYKGLPLKWYIPTGVLFDLLCAEPERPWNLTIHFRGYPSNVLIPCEGEDSVKWNFVNSLKEAAYIINGNCKNVMNMSQSDQEDLWTSVMNGDLDTYTRLSPKIKMGNIEDEFSRKASVSSPQSRQGGTEIDVAGQVKTARVPVRLYVRSVSKDFENLEDVPEMDTWDEISYLNRPVQFLRKEGKCFTLRDAIESLLPEYIRDRAQRSGEEGEQRTTDASDVSQETRGELKLVRIQGIEMKLEIPFSWVVNNLMNPEFYLHISVLVKEPQR >A10g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11603928:11606402:-1 gene:A10g504750.1_BraROA transcript:A10g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQEHPYLIFFSTIGFSPCPRVTVISLSPSSLSLTVNFRRLSHRLVLVSLSPSISLSPSTSGDEIDSKSPRCQLIYETSSADLLCLHRDSTRASTITEVLEVEKKNNGVVTTVYGFSEEFKHLVNPTHPHDKDFESVIQLSTRIQCVENRLGTRICGCFLTTEDIGRQSRTEDKDEQTQYQEEQQVEADAEFGDGLRKNKKNKWKLIQKLRSPCKLKGRNEEEEIRNKSIRRIKRKEKMKRMRKLRRNFKMKMADGYESPCNYDDTKGAPNDENEEEENSGGKRNATDDENDEEEISDTQQLTEVNILRENENTEKITPDKDTEKNDVIYSNIHYSKL >A04g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6723991:6724638:1 gene:A04g503040.1_BraROA transcript:A04g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPLGTAIVCDGKRWDKLEDEVKKYVPLLAPEALLYFICQDKDEKLDGHADILLSRVVLGVTFGVESDNVVYKRESG >A07p024760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14239358:14242962:-1 gene:A07p024760.1_BraROA transcript:A07p024760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSVDELPSAAETLTSQIPSHTAPVDPIPSSNDAEAVGEKRKRDDDEKTTNLESSTPSPWFKTSLCSYFRRQGSCSHGAACKYAHGENELRQKPDNTWDPTSERGKKAKMMSDSAVKEEEEVGDVMFTEEMMDDNDGGGDGGVHDLSLSKCLVHLPTKWQSDDLKTFLREQGVEYKFAKKRRGMMVGFLTFENAEQLKNGVEILEGKNVNNKSLKIADVLPRTFDKNGAKNPAAKLKSAREAVTPLADLSYADQLEQKKASIAQMLKKLTRNARKACPNGKSVPEWVLQSREIGGLSCKLEGIIESPLVNGYRNKCEFSTGYSVEGKLTVGFMLGNFSAGVTAVEEAVNCPNISKLACRYASIFQSFLEKSRLPVWNRFKSCGFWRQLTVREGSKPGVVSSDEDADSRIAEVMLMVQVCSTDTDEAEVATEFEEMAKAFAEGARASTPPLPLTVLVVQDHVGISNVAPPDCPLRLLPIPVSDNGTHQDQSTNVLTEARIHDHINNLRFSISPTAFFQVNTCAAEKLYSLAGDWADLGPDTLLFDVCCGTGTIGLTLAHRVGMVIGIEMNASAVSDAERNAKINGISNCKFICSKACAEDVMSSLLTEYVDVSETEEAKPPSDDLDKQNSSAEEMSNPEDVPPLNIQEAVQKTEQKDSSSEPERSTKPQFKNVVAIVDPPRPGLHPDVIKALRTHPRLKTLVYISCNPETLVANAIELCTPSFEKTGQENKGYRRQSRMGTAALARHRAKKMPASEPFKPVKAMAVDLFPHTDHCEMVMLLNR >A06p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21344353:21350511:-1 gene:A06p039590.1_BraROA transcript:A06p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin like protein for actin based chloroplast movement 2 [Source:Projected from Arabidopsis thaliana (AT5G65460) TAIR;Acc:AT5G65460] MGEKNNRWNWEVTGFEPNKSPSSSEDHRTPLRGYSIPENSLPPHSSELASKVHSLKEKVQLAKDDYVGLRQEAIDLQEYSNAKLERVTRYLGVLADKSRRLGHLITDQHALETEARISPLINEKKRLFNDLLTTKGNVKVFCRARPLFEDEGPSIIEFPDNCTVRVNTGDDTLSNPKKEFEFDRVYGPHVGQASLFSDVQPFMQSALDGSNVSVFAYGQTNAGKTYTMEGSNQERGLYARCFEELIDLANSDSTSTSQFSFSVSVFELYNEQIRDLLPGCQSNLPKINMGLRESVIEISQEKVDNPSEFLRILKSALESRGNDKSKSNVTHLIVSVHICYNNTITGESVNSKLSLVDLAGSEGLTVEDDNGDHVTDLLHVTNSISALGDVLSSLTSKKDTVPYENSFLTRILADSVGGSSKTLMIVNICPSARNLSEIMSCLNYAARARNTVPSLGNRDTIKKWRDLANDARKEVLEKERETQRLKQEVTALKQALKEANDQCVLLYNEVQRAWRVSFTLQSDLKSETTMVADKHNIEKEQNSQLKNQITQLSQLEQEQKLQVQQQDSTIQNLQSKVKDLESQLSEALKSDTTRSADPLESHPRTAGSTVDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKSNAGSTQVSSPSSKASPTVQPADFDRKNSVGALPSSVDKNDGAITVVKSSSEIVKTTPAGEYLTAALNDFDPEQYEGLAAIADGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPRRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKPYTSRTRSSSGSSSPGRSPVRYYEEQVHGFKVNLKPDKKSKLVSVVSRIRGHDQDTGRQQVTGGKLREIQDDAKSFAIGNKPLAALFVHTPAGELQRQIRSWLAESFEFLSVTADDASGGTTGQLELLSTAIMDGWMAGVGAAVPPHTDALGQLLSDYAKRVYTSQMQHLKDIAGTLASEEAEDASQVAKLRSALESVDHKRRKILQQMRSDAALFTLEEGSSPVQNPSTAAEEARLASLISLDAILKQVKEITRQASVHVLSKSKKKALLESLEELTERMPSLLDVDHPCAQREIATARQLVETIPEQEDNLQEEKRPSLDSMSSTETDVSQWNVLQFNTGGSSAPFIIKCGANSSSELVIKADARIQEPKGGEIVRVVPRPSVLENMSLEEMKQVFGPLPEALSSLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDTTKST >A02p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3561711:3573795:-1 gene:A02p008620.1_BraROA transcript:A02p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKEEKQQKVIPIFYKVDPSDIKKQTGHFGKTFEKSCVGKTEGVTQAWRKALKDVPVLAGYDSSNWQNEAELIEKIAVDLMDVLGLTPSTDFDDLVGMETRMEEINSLLDLTAGDDVKIIGIVGPAGIGKTSTARALFNKFSRDFQFSTFIEDIRGSKEMPSLGGCLDQFQLGFKNKLLSRLFNQNIEIFCQYAFLQKSPFEGFEELAWEVTRLAGNLPLGLRIMGASLRGKTMDEWMKAMPSLRSSLDSKIESILMFGYNGLPHDKDRALFLYIACFFVGFKGTQKVLGIKLDTFEAVESQIRCRNLKKFPNVPESIVELDLCRTGIEEIPSRIENLSRLRKLIMYGCTKLKTISPNISKLENLEFLGLRFDCFCEYDYIDVDNEEYDDIDADLFEARIEWGPDMKRSWTLLSSDFRVHHILPICLPEKTLTSPISLRLLGNGFKTIPDCIGCLSGLSKLDITECIKLVALPPLPGSLLSLDAKGCTSLKRIHCSFQNPNICLNFANCSNLNQKARKLIQTSVCKYAFLPGEEVPAHYTHRASSRSLTINFTPRSLPSSFRFKACILLSKGNINLEDHNDDDDEEYVNPLWRVSLIRDDEKDENSGMRVSCHVSEVPAHFTHRSVSGSLMINLTPTPLPSSFRFKACILLSIDNDEDNEEGVPWRSGGLFDRRDGDEDENLLMCVSYRGEHNGLTVSYGSNEHDMPYLYGFEDRLYIFEDSFRLNHDFHEAEEAISSNLVFQFEVFYKKWRVKGCGVQLLEVIIGNSKALKALIDVIQERDFSTAIDAAIKSVAEPRANQLHQSAKQETNPDMFSAKTASLINQKRPRTIGMSPKSIRTRKSLDTQIERVNQHPRIERFGLERTASRPSSQSFHPIFFSSDKIHPSPFHLTILASGAATAMEAASVVYHLSSAFARENEAGLINKIAVELMSVLGFTPSKDFDDFVGIGARIIEIKSKFILQSEEVKVIMMVGPAGIGKTTTARVLYNQLSPGFPFSTFLENIRGSYEKPCGNDYQLNPESHGILSTRYVQGRVDRGTTKAQPLHCLMLMDLSSSWRLRKIPDLSKATSLEKLDLSDCRSLLELTSSIGSAAALELHLRYSAIEELPSSISTNLEVLDITGCKIIKDFPNVPDSIVELVLSETGIEEVPPWIENLFRLRKLDMHGCERLKIISPNISKLENLEFLALSNVYRWVEDLDFFFQKKEYCDFANYDEAIIKWGSEMKRRWRLETDFDFDYILPICLPERALTSPISLRFSHKGFTTIPDCINHLSGLTKLDITQCSELVALPPLPGSLLSIDAHGCESLKSIDSSSFQNPNICLDFAKCFNLNQEARRLIQTSSCKYALLPGEEVPAHFTHQATSGCLTINMAPTPLPSFLRFKACILLTNDGDDDEDENSLSVDFPEAEEATFSELFFEFTVRNKAWKVKGCGVRLLEEVTQCILDGKETEDEECRGINIEASNENAGGEDKEKEDDDDDEEEEDDDDEVDEGVDVEEDIDGNDAGLSDEGRDINFEANNETEEEGEESGRDEDVERMIRKRKIGGKQNSLSVSYGSNQCDMNQDLYGYEDRLCTFEETGKNGVAVMCGLNTGDRNRAKDLTESNR >A07p048710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:25983210:25983722:-1 gene:A07p048710.1_BraROA transcript:A07p048710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastocyanin 1 [Source:Projected from Arabidopsis thaliana (AT1G76100) TAIR;Acc:AT1G76100] MASVTSATVAFPCFTGLKLAVNSKPTAVSIAAIKSPSKAAPKLSVKSSLKDFGVMAVAAAASIALAGNAMAIEILLGSDDGGLVFVPSDFTVAKGEKIVFKNNAGYPHNVVFDEDEIPSGVDASKISMDEQALLNGAGETYEVTLTEPGSYSFYCVPHQGAGMVGKLTVN >A07p051700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:27412033:27412317:1 gene:A07p051700.1_BraROA transcript:A07p051700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGIVSSPLRRPHVLKKQWEDLGSFSTVFRRHRFLLTAMILLAFLCTIYIYFAVTLGARHLSCSDMTGKEKAICQMGHVHASFSKGRKLKFF >A09g517140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50391082:50394795:1 gene:A09g517140.1_BraROA transcript:A09g517140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITACYLLSSVNMWMRIDHPQKISSIPYNLTVKAENELMLSRFEQMTGQDKVLLVHGTWVRDSDQRWILEPDITAKVEHFIRIFSGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTMPLTQPQTKAIPHEVPDYSVTKAARSKERIPTIPHSPGGIVITERGDPTRATRRQTGPSDRKKNKRPVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVDDGLHWGKFDEALHEMLNNPNTPAFFGRDAPPVYNHAQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A09p007850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4094046:4097602:-1 gene:A09p007850.1_BraROA transcript:A09p007850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEVKRSEMMQNLFGDNSEEEEEEIDSEHESNPQPHYPSDEAEGGVEPGEEGEAEIEVHGEPEAESDGEQGVVEPGEGESEGEREESSQEGDVADPREESEEEEGDEERVATTRRRDVVESGSERSGERRYESEDEEVEQTRSPRSPSQEKEEAPVAQSDVNIRNVFGSSDDEDAEEYVRNDIEADEPRSPIEDEEGSEKDQRPDDMMLDDDMAPEEDPRYESEDERVEVRHRERPVGPPLEVEVPFRPPPGDPEKMNMIKVSSIMGIDPNPFDAKTFVEEDTFERDGEKTRIRLVNNIVRHRFVKGRGGKTYSESNARFVRWSDGSLQLLIGNEVLDITEQDARQDQNHLLLKHEKGILQSQGKILKKMRFIPSSLTSNSHRLLTALLDSREKKDFKVKNCVTDIDPEREKEKRERMESQNLKASTKLSQAREKIKRKYPLPVARRQLSTGYLEDALEEDEETDHYGSHRSNRGYEEDLEAEAQRERRIMNAKKSQKGFPGRSSMSSARPTRRQAEYSESEREESEYETEEEEEEEEMPRSRKRVKEPEDEYEEHAEEDDEEEDGKANRYSEEDEEAEAEKGRGSGRKRKGIESDEEESPPRKAPTHRRMAMVYDSDED >A05p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9801191:9804649:1 gene:A05p020700.1_BraROA transcript:A05p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHTDVDSVHDVVTDGIEKSLVTDSKPESESDLKPKSETKPEPEVDQMKKLVLNPEAKEFVPSYKKKNNQSLSSEDFATAKKKLSGDEDNKKDANFRRRGNNYNQGRKVRLTGRASKAQREDSIRRTVYVSDIDQSVTEEVLAGLFSSYGQVVDCRICGDPHSVLRFAFVEFSDDHGARAALSVGGTMIGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCSRTIYCTNVDKNATEDDVKIFFESACGEVTRIRLLGDQLHSTRIAFVEFAMVSSLRFCRECSWGAQLQWSSLRISADKGKPFKDTSETKIQSITIHKLEERNRTPREALLEEEAEEEALSVFPGDTADGEKFAKKMGPEIMNELAEGYESICQRALPSTAHDALVDAYDTNLIIECEPEYLMPHFGSNPDIDEKPPMPLRDCLEKEAIDEAMKQAPLMKDIVDHYSGPDRVTAKTQNEELDRITTTLPQSAPDSVKRFADRVALSLKSNPEWRYDKKYQFMDKLVLEASQSYK >A05p041830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:25345891:25346892:1 gene:A05p041830.1_BraROA transcript:A05p041830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKLPCDLEEEILSRLPPLSLVRSRTVCQHWNSLLHDNKSFLNKHLARVRPQFIFLTESKAHSINIDLHTGGTDSTVEAHEVPSDFPYQAIDLTHTTITSCDGFLFRHFWKQGVAFWNPWLRQVVWIEYVDKSFHFCGVGYDYDSNVPEKRYKILGYLNCLRDVSDTYQVSYKRVAIYECSSRALRFLDAPFKNWPTMDPLSLNGNLYWVTSNPETHECFIRSFDFSSETFKTFCLLPCQKNHSRDQLVLAVYKRDGFSLLKQCYVTGEISVWVTKEKIDAAEVVWINLMTLPTSNLPKLINKLCGISYFIFKNTLIEEILDVIGGFLFWL >A05p017430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7874781:7875209:-1 gene:A05p017430.1_BraROA transcript:A05p017430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREVHDFASFFFSLIPDDQHAMASTAPFMAGSSSNFHRSSPMFCVPVECSIRHPMVTSEQVMSTASLF >A10p030860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18586836:18588789:-1 gene:A10p030860.1_BraROA transcript:A10p030860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLTLKCLALKLLLLISCDARHSVYWRGNHRSIAEGGSSGTFNVLDYGAKGDGTSDDTKAFEDAWNEVCKVAASTLLVPSGSTFLVGPVSFLGKECKENIVFQLDGKIIAPTSSRAWGSGLLQWIEFKSLIGITIKGKGVIDGQGSVWWNKSPDYDPADDNDMMKDQKGTKMPGTKPTALRFYGSNGVMVNGITIQNSPQTHLKFDNCINIQVSDFTTSSPGDSPNTDGIHLQNSQDAVIYRSTLACGDDCISIQTGCSNIYIHDVDCGPGHGISIGGLGKENTKACVSNITVRDVTMHETTNGVRIKSWQGGSGSVKQVMFSNIQVSEVANPIVIDQYYCDGGGCHNETSAVAVSNINYINIKGTYTREPVRFACSDSLPCTGISLSTIELKPATDKASSSEPFCWEAHGELKTETLPPIQCLKTEKSTSQSSNDAC >A04p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:478261:479587:-1 gene:A04p000950.1_BraROA transcript:A04p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFQGHINPCYLDRKADARSLEVQEFAEAQSFAFKEEEDQDTAPFLQMLQSEEPSFLRLLSLQNLKEPWEVESFLSQEGYPHLYQNQVSASYMEGAKQDLSSQEADMILLPSSSPQHKRKNSDLLAPDMTREKRKRRKTKPSKNIEEIESQRISHIVVLEQIIQSLESQKKTQQSSGVENRVQEDETCVPSIETTVIQNHVNLRVVCRKRQGGLSRGIISLEKLRLTVLHLNISTLSRFYVSYCFNLKMEDGCELESADEIKKVAHQIFDMPMNLNN >A01p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2221962:2225314:1 gene:A01p005210.1_BraROA transcript:A01p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKCFSFRNGRTMMMIMKIAPSKSTLIRFNLILFALSFLLSTAFFLHPSSSVYFISAASFVGCSFRDCTPKVERGVKTQELIVENQINKIDSSNQTKLEAPSFMDEIITRGLGKTKIGMVNMEESDLTQWKRYGETIHIHFERVSKFFSWHDLFPEWIDEEEDTEVPTCPEIPMPDFESLEMLDLVVVKLPCKYPEEGWRREVLRLQVNLVAANLAAKKVKTDWTWKSKVLFWSKCQPMIEIFRCDDLEKREGDWWLYRPEVVRLQQKLSLPIGSCNLALPLWAPQETGIDKVYDLTKIQAETRRPKREAYATVLHSSESYVCGAITLAQSLLQTNTKRDLILLHDDSISIAKLRALAAAGWKLRRILRIRNPLAEKDSYNEYNYSKFRLWQLTDYDKVVFIDADIIVLRNLDILFHFPQMSATGNDVWIFNSGVMVIEPSNCTFSTIMSQRSDIVSYNGGDQGYLNEIFVWWHRLPRRVNFLKNFWANTTNERNIKDHLFAAEPPQLYAVHYLGLKPWLCYRDYDCNFDVDEQLVYASDAAHGRWWKVHDAMDEDLQKFCRLTEQRRTEINWERRRARLRGSTDFHWRINVTDPRRRRSYLIG >A02p019570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9018489:9019131:-1 gene:A02p019570.1_BraROA transcript:A02p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ycf20-like protein [Source:Projected from Arabidopsis thaliana (AT1G65420) UniProtKB/Swiss-Prot;Acc:O80813] MASQIQASRILTPSIIEIDKGRPFMINAFHFHTRINPIKSFQIRRPNQRIAFALDAGSSVPPPGPGDNGGDRTGLGSTRLGRIAVALGKQLLVKINSARQNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKKKEPSSSSSSGKKFQSFVVFMNFWKAGVCLGLFVDAFKLGS >A04g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7573454:7573886:-1 gene:A04g503480.1_BraROA transcript:A04g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGRYRARRLQRMIAARSASAPSRFRVEGIVAIGIAMLISTLYTASEFNFISTGKLPHKIEILVAYASARIIWKPDNF >A09p066990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52968288:52970859:1 gene:A09p066990.1_BraROA transcript:A09p066990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPELPGFKFGAGDMFGPPGLYLDSSSSEPDFGFAFNDRNFSDRLLRIEIMAPGSNPGAEGFGSISDWARRRKRRREESVNVSDVLAGAEEQVLTNEKPDMDEGEAMIQEAFAGDDEDDVTNAPNWGFESFDYSGVERVRELHISSPILAAKSPFFYKLFSNGMLESGQRHATLLINASEEAAFMELLNFIYTNTVSVTTAPALLDLLMAADKFEVASCMRYCSRLLRDQPMTTESALLYLDLPSTVIVAASVQPLTDSAKKFLAARHKDITKFPEEFMSLTLAGIEAVLSSDDLEVASEDAVYDLVLKWARARYSSLEVRREILGSRLARSIRFPFMTCRKLRKVLTCSDFDHELASKLALEALFFKTEAPHKQRSLAAEESASEHRRLVERAYKYRPVKVLEFEHPMPHCVVYLDLKREECIGLFPSGRIFSQAFHLGGQGFFLSAHCNLDQQSSDHCFGLFLGMQEKGSMGFGVDYEFSARSSPSEEFKSKYKGNYTFTGGKAIGYRNLFGIPWTSFVEEEDNVNFINGILHLKAELTVRRSTDP >A10g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16792079:16797750:-1 gene:A10g506150.1_BraROA transcript:A10g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLESPPPGTSSSAMRNAFGTVLSALILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWALNAINIPLSVETVCVFTAPVFSAFASWATYLLTKEVKGSGAGLAAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNAIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSPRLYIAYAPLVVLGTLLAALVPVVGFNAVLTSEHFASFLVFIIIHVVALVYYIKGILSPKMFKVAVTLVVSIGLVVCLIVVAVLVALVASSPTGGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFSPLSDASSFVVLYIVMSVYFSGVMVRLMLVLAPAACIMSGIALSQAFDVFTASIKYQLGRSANSKDDVKRSSKKGKKKEREPADKPSVKSKIAKKRALVLPLEASIVALLLLIMLGAFYVIHCVWAAAEAYSAPSIVLTSQSRDGLHVFDDFRESYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRIDSEATPTMLNCLMYKLSYYRFVETDGKGYDRVRRTEIGKKNFKLTHFEEVFTSHHWMVRIYKLKPQKNRIRGRAKKLKLKTSSGLDSKAAKKNPWI >A03p010250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4091703:4092685:1 gene:A03p010250.1_BraROA transcript:A03p010250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASTPLLTSLVHVSSPRESPIETESLHQIQRPRSITLSSSSSSSCCYSPMSLHSSDESSRRIKRTASESDLKHLTSTKHASKFLGGALMEDVEEGIGFGIIRGSSYETEVGGGGGGGGGKRRSGGRSGGDDGDGENDSTDVHYREMIEANPGNGIFLSNYAKFLKEVRGDYLKAEEYCGRAVLVSPYDGNVLAMYAELVWMIHKDSSRAESYFSRAVAAAPDDCYVQASYARFLWDAEDDEDERHGEVLEAQTSRMDFFTGPYPITAMS >A05p041550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25229324:25230141:1 gene:A05p041550.1_BraROA transcript:A05p041550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSLVPAIPLCTSFSLTETNWRDSIRFTAVYMLFLGWANVCQKYNLKQGDTVVCEFELSGRVVIAVRVHFATASNSASGRQTSRGRESFDHYVSPPVIKKYGLEFGPHSSSIDFLLPDGSKMEGFTKSYGGLYGFLGWAAVCQKYNLKPGDSVVCEFELSRGVVSAVRVHFVN >A01g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24801927:24803848:-1 gene:A01g509170.1_BraROA transcript:A01g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFCHRPLLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYLNVEDVDNHPARKFELCGRDRSDAAPPPFKCGCSGSGKLGTSGGDEFMGVDMLLLDSQEVSLAAPRQHASSTKKLPPDNVKMICQHKDIIRQENSRKQCSVRSQATTLIYKNVEADQVVDCKWKQKDFVKLNYRSRGFRLLAIKTLNWIGLSWQHL >A01g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18365588:18365925:-1 gene:A01g506290.1_BraROA transcript:A01g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASTYSFWDPVQASCGASFIPALLLARLCYSRNVKGTPRIRGNEENFTFPRVTLMVENGYRYRRISKNNLAERAGFSGWAKL >A09g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7437141:7439026:1 gene:A09g502100.1_BraROA transcript:A09g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFSAPGEEPTPTLAAAPPITSDFMSSVMARLARQDETSRPQQIRRRLFNTNPTATGVDHISDDSDPNEALLADALPAGSDLATIRELAELKLSLQQMGEKIHQVTSAAPQIESVLAATSRTPFTRALTSVQLGKIEKLRLPEYKPGGDPKKDQSLREYMEKFKAVVSKIEIPDGIAIDALRNTLWVHSKFREDLYQNPTKSLQDAIARSDNFIRMEEDTNAILSKLSVPKAPAAKNANTRQEPRQHAPNDKNGRKDGYMYVVNENNVPISTLVDNGEGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDAPVPGDLRDVLKRKFESENEGGPKHRDLRTMLDARKSRRISTSDANNKEGPISDLRDKLNAGACDLRVKLNRSKPTDLRRQLERVK >A04p039070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22123190:22124502:-1 gene:A04p039070.1_BraROA transcript:A04p039070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOL3 [Source:Projected from Arabidopsis thaliana (AT2G43940) UniProtKB/TrEMBL;Acc:A0A178VV10] MGNSGKAPAVESPSDIFQRLVREDSSGGWEKTWKAGATPWDLGRPTPIIKHLAETGSLPKGRALVPGCGTGYDVVAMACPDRYVVGLDISRTVVEQSSKRFSTLPNAKYFSFLCEDFFTWEPDEKFDLIFDYTFFCAFEASVRPLWAQRIEKLLKPSGELITLMFPMDERSGGPPYKVSVSDYEKVLIPLGFEAISIVDNELAIRPRKGVEKIGRWKKRSPTLRSTL >A07g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9016268:9017807:-1 gene:A07g504480.1_BraROA transcript:A07g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDIEEAGEEDFPRLGGGRYRPVVAHDRAVIEMSSVEPGSSSSPTLKNIKVVTPGELGAGGREGPRLEDGVNGHQKESKLELFGFDSLVNILGLKSMTGEQVAAPSSPRDGEDISITQGHPKPALKMGTMMGVFVPCLQNILGIIYYIRFTW >A09p029520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17631947:17633835:1 gene:A09p029520.1_BraROA transcript:A09p029520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFLSTASLSNPKSTISFASSVSPAPVPSLRRVVFRSPSSHRRVMTVRSKIREIFMPALSSTMTEGKIVSWIKTEGEKLAKGESVVVVESDKADMDVETFYDGYLAAIVVGEGETAPVGAAIGLLAETEAEIEEAKNKAASKPSSSAVVPSPPPATSSPAPAIAQPSPAAAASDGPRKTVATPHAKKLAKQHKVDIGSVAGTGPFGRITASDVEAAAGLAPTVTPPPPPPLPPAAAPAPTAKATTTSSPPLLPDASIVPFTAMQSAVSKNMIESLSVPTFRVGYPVNTDALDALYEKVKPKGVTMTAILAKAAGMALAQHPVVNASCKDGKSFSYNSNINVAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVGKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFAKIVENPDSLTL >A02p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2031475:2034531:1 gene:A02p004670.1_BraROA transcript:A02p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEMEQKVLDATDNEPWGPHGTALAEIAQATKKFSECQMVMSVLWTRLSETGKDWRYVYKALSVIEYLVSNGSERAVNEIIEHTYQISSLTSFEYVEPNGKDVGINVRKKAENIVALLNNKEKISQIRDKATANRNKYVGLSSTGITYKSGSASASFGGGSGSSNYDSYRDRDSREDKDDYESFQKSRRGGVKSDEQSYTSKKSFSRYGSTDQDSLSSGKKSPGSGKHSAIPSHASAAPSNNDDDFDDFDPRGTSSKKPSAGSANQVDLFGADMMGDFMDSGPTETSSNNNNGKFQETDLFADATFVSASAQGTDFGSQKQEEVDLFSASQPSVTITSPPPTVDLFASTEPIAPPEAKIPKPESMTTPSIVDPFAAVPMENFDGSDPFGAFTSHSASQAPVVHGSATNTTNLQSLADSKPQQFQKKDPFQVKSGIWADSLSRGLIDLNITAPKKASLADVGVVGGLSNDDGNKASAAAYYSGWSMGAGSGLGKTGLYSAQQQQQQQQQQTPEISDDFFSSLSNQRYQTGGFKQ >A04p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8671711:8676467:1 gene:A04p007790.1_BraROA transcript:A04p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase UPL7 [Source:Projected from Arabidopsis thaliana (AT3G53090) UniProtKB/Swiss-Prot;Acc:Q9SCQ2] MDLNRKHKVSLRGASSEEMSRDALLAKVSQARELRSYARRANAAAVFIQRVWRSYIVRKKAAFVIQDEWESSLSCHSDTLTKSWVSSRVLRPFLFFIRSLCVQHQKIEPRDVQCMQTCFKILLESINSNDQGYNFCSLAVGTFEESRTWLCQTRRLVSLCSFLLTECSYSQERIKDVLGVGALLLRILIVLTDPKSWKIVSKQNFEDAESAGKMIVPFIGNSKSGYYTAVRRYIKSLTKNSDERLLITTSAVTLALRPFHARQLDSDGDNQPDTNLAVEEYVSLILTIPRLVCCLPSVLIRALKHKSILMPSFHTILILRDKLLTRISEIEYSERQSCTTEIPSVGWAMGNIVSLATVSENDFMDTQESNLEMFYVLYVHVIVTLTENLLSQVEKVGTQDIHLDFEATPDDTEKGKSSVKISFVELLRPVCQQWHLAKLLAASGKETRIIADKDASTNSKKGSETLELFDVARFYSCMLRIFCVLNPVVGPLPVLNMLSFSPGYIVSLWSSLENVLLSEDGCIPDESSHKPAKASWNTRSPSEKKLKHVKNDGVNKWVNVLNKFSGKSPGPREHVESTSDQPGSSLINDSTDDGWDVQTLRGGPVGISKDVSCLLHLFCATYAHLLVVLDDIQFYEKQVPFMLEKQRRIASVLNTLVYNGLLRGTGPENRQLMDSAIRCLHLLYERDCRHPFCPSTLWLSPGRTSRPPIAFAARTHEVLPTSDVFTSPSMGSVITITPHVFPFEERVHVFREFISMDKASRKMAGEVDAPGARSIEIVVRRGHVVEDGFRQLNSIGSRLKSSIHVSFVNESGLPEAGLDYGGLSKEFLTDITKAAFASEYGLFSQTTTSDRLLVPSPSARYLENGIQMIEFLGRIVGKALYEGILLDYSFSHVFIQKLLGRYSFIDELSGLDPELYRNLMYIKQYEGDLQELCLDFTVTEEFCGKMSIIELKPGGKDISVTNENKMQYIHAMADYKLNRQIVPFSNAFYRGLTDLISPAWLKLFNAHEFNQLLSGGNHDIDVDDLRRNTKYTGGYSDSSRTIKIFWEVMKGFEPSERCMLLKFVTSCSRAPLLGFKYLQPTFIIHKVSCDTSLWAAIGGQDVERLPSASTCYNTLKLPTYKRASTMREKLLYAITSNTGFELS >A09p067900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53392958:53394612:-1 gene:A09p067900.1_BraROA transcript:A09p067900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative two-component response regulator ARR20 [Source:Projected from Arabidopsis thaliana (AT3G62670) UniProtKB/Swiss-Prot;Acc:Q9LZJ8] MSVSSNILKENSRDLLREEEPGDDEVEFPINDEDEDFSITSIRIVLVDSDPESLCLMKNLMTQYSYQVRDFKNGAEAIAFLMMSKHEIDLVIWDFHVPEINGLEALKTIGKEMDLPVVIMSHEHKKKTVMESTKRGSCNFLLKPVSKEIIAVLWQHVYRKRVSIYSVESNPEENVGLDQDDIDLYQTNSNSGEQTSSYQKEGKNKKPRMTWTPELHQLFEKAVEKMGGVEQAVPKQILKCMQEEKDAEGLTRNNVASHLQKYRLNSGKKSSMIQETREDSEWRNAGPNTALTASKPLPNSIFGLHTRVPYFANDQDARNGPMQYPSTNYFTMDNGHFMTNSFANLPYTDSFHQQQQQQFQHQQYSNSSLQLPSVITKQEFPYVSAALENPDLIANENSLYMDLGDYLQEGLSDFDKTNRY >A10p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16205805:16214955:1 gene:A10p025250.1_BraROA transcript:A10p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVESYMFNFTDDQSSSESLSSSSASSSIGRNSDDEEELHGEGKSSEDEAESSYKGPLDLMESLEEVLPVRKGISNYYSGKSKSFTNLAAAASALTSSSSMKDLAKPENPYSRRRRNLLCHQIWENSKTTPRGGISKKHVMSSSRSALTLAMAVAAGVMAREGSSSSGGDSSPGSSSPTTSGSPPRQRVGSQMMMLPPLYPSQSRESFGDLKSTKSPSSGFCAWRSYSVADIPRCFPATASGSSAAMEVTANEPDMRSSKSSPRVTIPNFFVSLSSAFTQTPSVRTNKPSLRLLPPASDSVKLIQDFHRSLLSATEKFAGFFHSLAAKNPLFQEAVRLSSEFRGVCDEIRLRNTTRVRLAMSDHGFAAVLPGDSVAGMVVANGLINFLNIYNTVLVVRLVLTWFPTAPPAIVNPLSTLCDPYLNIFRGVIPPLGGLDLSPILAFLVLNAFTSSAMALPCELPPAEGLTSPSSCETKWMRRRRLSSNQNQTPSSA >A03p055700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24056537:24057594:-1 gene:A03p055700.1_BraROA transcript:A03p055700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAHHMNIFSPQLLSDRDCVKLKQDMNHGEFHLPAGEVPFVTGESLAVDPLAKACFNKSENGPTYNFNSSSVLPPSAKRPRGSQYLDSDAHFASPVKRRSGAFGLTSSLINAELVSQIQNQQQSEIDQFVAQQTEKLRIEVEARQRTQTRMLASAVQNAIAKKLKEKDDEIVRMRNLNWILQERVKSLYVENQIWHDMAQTREAHANNLRTNLDQVLAQIQTLPTAPTVAEDDAESSSGSCVEGGEAITAVGGGCKRCGEREASVLVLPCRHLCLCTVCGSALLQTCPVCDSVMNASVHVNMSA >A04p032410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18969754:18971361:1 gene:A04p032410.1_BraROA transcript:A04p032410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAVSEELAELEGQINDLFRALSNGFQKLEKIKDASRQSRQLEELTDKMRDCKSLIKDFDREVKSLEGGNDASTNRMLNDRRQSMVKELNSYVALKKKYSSNLASNNKRVDLFDGPAEDHMEENVLLASNMTNQELVNKGNSMMDDTDQAIERGKKIVLETINVGTDTSAALKAQTDQMSRVVNELDSIHFSLKKASKLVKEIGRQVATDKCIMAFLFLIVIGVIAIIIVKIVNPNNKDIRDIPGLAPPAMNRRLLWNHY >A01p050630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28508696:28510406:-1 gene:A01p050630.1_BraROA transcript:A01p050630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPKKVVEVYERYEAVGDQFLLVRNQMVENDRERNANREALTALRKKAKTTKTSVPSPFDSMMKDTHGSSAKALIREVCSTCGSHDSTEPTWMMLPGTDLFAAVPFHAVHTMLEKDEKRMEFESKKLQSLLKEKTLLLSELGALADSVPPSVIRSLITLKDKPSVQRSKKNPMNSHLFRVICILHSVIALTSGTLMMFYTEKASIFGHGSDIANKLKGSTPHDELLIQISQSFSGLLLFAIGLVLFMVSFVKDREFHGFFAGGSVILYVLMALWRVVFEWKVEDLAFECPKQALGDIALAVSWVFFLVYTWREKYD >A03p042610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17810554:17812426:1 gene:A03p042610.1_BraROA transcript:A03p042610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCGDDDFHRVNETGPKTAYNTAGYDGHHQRVEPFKSPPAIQMQPISVPAIPADELKDITDNYGSKSLIGEGSYGRVFYGVLKNGKAAAIKKLDSSKQPDQEFLSQVSMVSRLRQDNVVALLGYCVDGPLRVLAYEYAPKGSLHDILHGKKGVKGAQPGPVLSWHQRVKIAVGAAKGLEYLHEKANPHVVHRDIKSSSVLMFEDDAAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSENNVNQCVDARLKGEYPLKAAAKMAAVAALCVQYEAEFRPNMSIVVKALQPLLNPPRSAPQTPQRNIPY >A03p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16469596:16471674:-1 gene:A03p039570.1_BraROA transcript:A03p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKREYMFSILDFVLVLLVIATVDASVPGGGGFDENYVVTWGNVLKLNQGEVQLSMDKSAGSAFESKHKFGSGFFQMRIKLPPKDTAGVVTAFYLTSKGDTHDEVDFEFLGNRDGKPIQIQTNVFNNGQGNREQKFVLWFDPSTDFHTYGILWNPYQIVFYVDKIPIRIFKNNKKYGVGYPSKPMTVIASLWNGEGWATDGGKAKINWSYAPFKANFQSFSDSGCHADGGNINAEACGSTTYYWNTIQYSRLSANETTAFKNVRAKYMRYDYCSDKRRFPVPPTECRLNQ >A06p015660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7018238:7019604:-1 gene:A06p015660.1_BraROA transcript:A06p015660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDRSEDGSSNVENGSCNSNEGTNHETSSCRIANAAKVRKPYTVTKQREKWSEKEHERFLEAIELYGRAWRQIQEHIGTKTAVQIRSHAQKFFSKVAREADSGSDGSIKTVVIPPPRPKRKPAHPYPRKSSVPYAQSPSSNLEKGTKSPTSVLSPFDSDDQANRCSSPNSCTSDMQSFDKKNDYATSKQSFKEDDTVTGSKPISSIMLFGKIVLVTGESHKTSSSREDSGVDHKSTTGQDSRHVDTVLSLGVWETSCTGSNAFGSLTEASENLEKSAEARKRLTSLEKQEPCYRAYGFRPYKRCLSEREGTSSLSLVASEEEGSRRRARVCS >A03p051500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20473078:20478584:-1 gene:A03p051500.1_BraROA transcript:A03p051500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDLAILMLSGFAIFFTLQHEGDFAFKEAWFHLYDDYPVKHESDRLPPPLVADLNGDGKKEVLVATNDAKIQVLEPHWRRVDEGFSEARVLADISLLPDKIRVASGRRAVAMATGVIDRYYKDGTPQKQVLVVVTSGWSVLCFDHNLKKLWETNLQEDFPHNAHHREISISISNYTLKHGDTGLVIVGGRMEMQPYNHMDPFEELGITEQNAEKHRRSATEKQPTEDSGGVNLRHFSVYAFAGRTGVLRWSKKTDDVEAHTSDASQLVPQHNYKLDVHSINSRHPGEFECREFRESILSVMPHHWDRREDTLLKLAHFRRHKRKTLKKQAGKSTTFPFHKPEEHTPAGKDLSRKIPKLIGKAARYAGSAKPKKGMQYIPTITNYTKLWWVPNVVVAHQKEGIEAIHLPTGRTLCKLHLLEGGLHADINGDGVLDHVQAVGGNVGERTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHHTPFNFMHYGEFSRNFAQARDTSSLEIATPILIPRDDGHKHRRSHGDIIFLTNRGEVTSYTPDVHGREPLWQWQLQTEATWSNLPSPSGLTESGTVVPTLKPFSLRIHDNQPMILAGGDEAAVIISPGGSVLASIELPSQPTHALITDDFSNDGLTDVIVMTSNGIYGFVQTRQPGALFFSSLVGCILVVMAVIFVTQHLNSVKVTRPTPPPLLFFFISFSLHSPTSAPNPSLTPQEMESVELSLTNMETAVSADGAQNGEDFSVDDLLDFSSNDDVFFEDGAELKTQRNKGVSVSLNDETTLNPSNDFSTACELAVPTDDLAELEWLSNFVDDSFAPYSAPTKKPVWLTVDRRHPVTPVNVGSCFKAPLPVKIRTKRPRTGVNLWSSLTDSPSSSPTSSSSSSSGYSGPLWLSGAEFLDEKAVKRQKNKKKKEFLSWEAQSQTRRCSHCGVQKTPQWRAGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVIEMRQKKETSRDAADEPGMNRTVHAVQSF >A09p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:705679:706775:1 gene:A09p002860.1_BraROA transcript:A09p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTKRIGALVLRLAAFGAALAALIVMVTSRERASFFAVSLEAKYTDMAAFKYFVIANAVVSVYSFLVLFLPKESLLWKFVVVLDLVMTMLLTSSISAALAVAQVGKKGNANAGWLPICGQVPKFCDQVTGALIAGFVALVLYVLLLLYSLHSVVDPFLLQKA >A03p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:717102:727800:-1 gene:A03p001460.1_BraROA transcript:A03p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSLISFLVLTGFYVGFGTGQPLVPALIIMGDSVVDAGNNNLRLTLVKANFPPYGRDFLAHTATGRFSNGKLAIDFTAENLGFTSYPVAYLSQDAANETNLLTGANFASGASGYHDGTSLLYNAISLTQQVENYKEYQSKVTSMVGRDKANEIFKGAIHLLSTGSSDFLQSYYINPILNAIVTPDRFSDRLMRFYSTFIQNMYDLGARRIGVTSLPPLGCLPAAITMFGGIGSNTCVERLNRDAVSFNTKLNNTSVNLANKLPGLKLVVFDIYNPLLNMVMKPEENGLVVEPEQWKHRFYAMHYRWVHVQMLPVTCFGTVFIHQKQPIVFFESRRACCGTGTTETLFLCIALSVGTCLNASSYVFWDGFHLSEAANRVLADNLLVQLISFLVLTGFYAGFGIGQPLVPALIIMGDSVVDAGNNNLRLTLVKANFPPYGRDFLAHTATGRLSNGKLAIDFTAENLGFTSYPVAYLSQDAANETNLLTGANFASGASGYHDGTSLLYNAISLTQQVENYKEYQSKVTSMVGRDKANEIFKGAIHLLSTGSSDFLQSYYINPILNAIVTPDRFSDRLMRFYSTFIQNMYDLGARRIGVTSLPPLGCLPAAITMFGGIGSNTCVERLNRDAVSFNTKLNNTSVNLANKLPGLKLVVFDIYNPLLNMVMKPEENGFFESRRACCGTGTVETSFLCNALSVGTCSNATSYVFWDGFHPSEAANRCRIMSAYSSAKLFLFMVPLVLVSGFVFVNIGPKCPTSFLTSLSTTHISPPLLLSSPSLPPAPAPAPSLQAAKEVSLPTSALSTKVESVQGDKNRTIQLNPINITLVSNNVTSTASSELMKKRVTKKIDKIEFELQKARVAIKAASMDDPVDDPDYVPIGPMYWNAKVFHRSYLEMEKQFKIFVYKEGDPPLFHDGPCKSIYSMEGNFIYEMERDTHFRTNNPDKAHAFYLPLSVVKMVRYVYQRDSHDFGPIRRTVRDYIDLVSDRYPYWNRSIGADHFILSCHDWGPEASFSHPHLGQNSIRALCNANTSERFKPRKDVSIPEINLRTGSLKGLVGGPSPSIRPILAFFAGGVHGPVRPVLLQHWENKDNDIRVHKYLPKGTSYPDMMRSSKFCLCPSGYEVASPRIVEALYSGCVPVLINSGYVPPFSDVLNWRSFSVIVSVEDIPKLKTILTSISPRQYLKMYRRVLKVRRHFEVNSPAKRFDVFHMILHSIWVRRLNVRIREV >A03g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28675533:28678363:1 gene:A03g508260.1_BraROA transcript:A03g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKIYLIFHSFSLFLSHLNVFGYAGFSDLEDFWDDLPVSRLEVVWTSLKSSDKVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLPVSRLEVVWKSSGLPKSRLDFLSRLDFLKVVWSCLLKWNPSFNNVFQDTTYNSVVHETTEIQLKCKSSGEVNLLKLSIDDLTFSRLKLHISKSIAKITYALTRRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPRIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSLQEWKKKKGKSILEKLRASNWLFMVVVVLMTMAIL >A02p032840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17270146:17272005:-1 gene:A02p032840.1_BraROA transcript:A02p032840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKHLIRSKRISGPTRRSTKGGWTPEQDKLLMNGVWRYKGKNWKKIAECVPGRKDKRKTDVQCQHRWLKVLNPNLNKGPWRKEEDDLLSELVNVFIENDKSKWSKISKQLPGRIGKQCRERWHNHLNPTIKKTPWTREEELILVQAQRDQGNKWAEIAKLLPGRTENNIKNHWNCSLKRISEHLVTSSPLSGHGPCGSESSFVNQSNMMEIEKAAKSPQRYSLELTLEPMNWRNTSSSINSLKGDEESTISSSLESDWLRRNSKVEISITPSSDDHHHKNVWLTPQLTTPSCVKVPLSPETPQSSSAGQEVREIIGRWKMAASTFENTPSIISRRRSPASRRKQENDSPFHRCPRTLLSSEEEHSFSNSSSTSPLLMKRNNTCSSLKPLERRLEFDFI >A02p001370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:621584:622048:1 gene:A02p001370.1_BraROA transcript:A02p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDMGITEDQHLPQGYPAAEKVSEKPGQDEKKPRIFETKQKGDRGFIEGW >A05p008500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3481227:3481598:-1 gene:A05p008500.1_BraROA transcript:A05p008500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYIVIETLLVTRSPLLIVPELGAEILNVEKAVRAVLNSNMPQFFTILHPPHETQVVMRANFPKLAAIATKLKGYAETNARHVDYSGKLEEWVNIHNGQVGQRAFFQTMDYLTQFSRADED >A07p004030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3086513:3088654:-1 gene:A07p004030.1_BraROA transcript:A07p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA demethylase ALKBH9B [Source:Projected from Arabidopsis thaliana (AT2G17970) UniProtKB/Swiss-Prot;Acc:Q9SL49] MEDDPFLRKFQPSELKIASEFLTNCLPFLSRDLCKDCVNVLSDRIRSLDPDHQLSGYLEKVIGSRVYAKEKSCFVCVEHCSKVGGEGNSKAGLESEGTSKIETEISFGTLSEVLGSVLPSRQAAETASPRMSWADMSQEDEFEEEDEEEETRKAIDASPMKTPEKPKLSREQREDLRLKNVKRKKDFICLERFKGKLVNVVDGLELHTGVFSAVEQKRIVDKVYELEERGRKGELRERTFTAPQKWMRGKGRVTIQFGCCYNYATDRAGNPPGILQREEVDPLPSLFKVIIRRLIRWHVLPPTCVPDSCIVNIYDEGDCIPPHIDNHDFLRPFCTISFLSECNILFGSNLKIEGPGEFSGSFSVPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDESKRPVWFTPEPDLQGIEPLPLDLNRSDSAARSAGSSSNHNGSNRRGGYGRRGGNNYETRGYYTPERSSEQYESREWSSSQRRGRPRPGRTS >A08p036970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21609005:21611106:1 gene:A08p036970.1_BraROA transcript:A08p036970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRILCKFFAHGACLKGDNCEFSHDWKDPSNNICTFYQRGNCSYGSRCRYEHVKPSRPPHPSPASSSTYLSSPFVSQRDLSSSPAWTLASLDDEVNNTDTTKPEDQPICSYAAAGDCPRGDQCPHIHGDLCPTCQKHCLHPFRPEEREEHKRSCEKKHKQLEALKLSQDVECCVCLERVLSKPTPSERKFGILTECDHAFCIGCIRNWRSSSPSTGMDVNTTLRACPICRKLSYFVVPSVIWFSDPDEKKEIMDNYRDKLRSIDCKHFNFGDGNCPFGTSCFYKHTVKPGSYAWRHHRPPPRRPRPTSGSNFSEINAFFNMMGNIMSEREYGPFGFEDSDDDDNELTSTDMMMLLMNLDMDSDDDDSNEDSY >A03p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8875527:8876234:1 gene:A03p021980.1_BraROA transcript:A03p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNPDNQKPVEPSDVEAAAPTTFSAITQRWKREDSIKKASPITRGLALLFSILAFVIMVSNKHGPGRNFDEYEEYRYVVAIAILATLYTAWQTFVHLSKRDFFDRRTSIFVDFSGDQIVAYLLISAASSAIPLTNRFREGQDNIFTDSSASAITMAVLAFVSLALSALFSGYKLSTTHSFV >A08p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17253897:17254279:1 gene:A08p027250.1_BraROA transcript:A08p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKTCFFLVFLCLVVLLIPKSAQAEGGGKPYIQGGPCSQFPDCNQHCIDLKYPGGGKCIKVGQRSDYLTCACFE >A01g510680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29471210:29474399:1 gene:A01g510680.1_BraROA transcript:A01g510680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRFLTSPLNQNVYIYVSRRCSRRIAELLGGKDVKKAGELLGVNLVALDEKALIFSVDDVDPRAYRNSETQQFQISAEGRVNLWAKLIRCYQKQHPLQAHGLSCCHTLQRPDQDCGAVGADTNRKFQTSSAKLVVSTLFSMMKTSPFSVSRCDDYNPIGQRTVCVCVSVFDKVVTLLDEKLTLLGWLFFNAIFGTHFYFGHETLVSKCFLKVLCGAEGYYSSTPSTYGGVKKIKTVTLAELNTYVLNSQPHATEFLCKVEVFDIKTLNLLGGKDVKKAGELLGVNLVALDEKALIFSVDDVDPRAYRNSETQQFQISAEGRVNLWAKLIRCYQKQHPLQAHGLSCCHTLQRPDQDCGAVGADTNRKFQTSWAKLVVSTLFSMMKTSPFSVSRCDDYNPIGQVGYSSMQSLGPISTSATKP >A10p008850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5339419:5340594:-1 gene:A10p008850.1_BraROA transcript:A10p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLFPVSHTMDFELNIVVDELGEAAVVRARHSPPPSDIKIHTEGHIVLRDLEISMEKSLGDSLLRISRSRYSPINNKRNPSKKHKITSR >A05p034370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19309209:19311937:-1 gene:A05p034370.1_BraROA transcript:A05p034370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGKQSSQPEKGSSRILSLTVLFIALCGFSFYLGGMFCSERVKIEANDVTSTTTKAVASPMKPTVSPLQIKSVSFPECSSELQDYTPCTDPKRWKRYGVHRLSFLERHCPPVYEKNECLIPPPDGYKPPIRWPKSRDQCWYKNVPYDWINKQKSNQHWLKKEGDKFHFPGGGTMFPRGVSHYVDQMQDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTISLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEIHRIVRPGGFWVLSGPPVNYNRRWRGWNTTMEDQKSDYNKLQSLLTSMCFKKYAQKDDIAVWQKLSDKSCYDKIANNMEAYPPKCDDSIEPDSAWYTPLRPCVVAPTAKVKNSGLGSIPKWPERLNVAPERISDVHGGSASGLKHDDGKWKNRVKHYKKVLPALGTDKIRNVMDMNTVYGGFAAALVKDPVWVMNVVSSYSADTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHLDSLFTLESHRCEMKYVLLEMDRILRPGGYVIMRESSYFMDAITMLAKGTRWNCRREETEYAVESEKILVCQKKLWFSSNQTS >A04g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1103457:1104352:1 gene:A04g500270.1_BraROA transcript:A04g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVELIQFHKPRFLLLLLPNQTLSSFVFRSAIEDEIIVRVEHWEWKNHGLVFDLSGLLDVGLN >A04g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21457427:21457807:-1 gene:A04g508240.1_BraROA transcript:A04g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLMRRRLALRLRVPDLYPPDDEAHGGVREVDRLRRGRDREEAFGCAWAREGVRFDWMELISLQGKTNFFEKRVGDYQKASVMSSFNGGGAFDNH >A09g515250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45329753:45331437:-1 gene:A09g515250.1_BraROA transcript:A09g515250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLSKPDVIEKGKEIRFGVRRRRLHPGSPSSSSSRSIIVRSTVVSVEILSSPSRSVVIFVEIHRHFLSHSRRQKITRITLSCGSSLCLRDVFDGSDQSSFALIGLENRRIEVLLASYSVVVGLLVELHHRCRSWLSLVEVVDALEELCGESVWLGFYRVAWKTSLVSKLFSGARLQVKPALMRSCFLSVVEFGWCLFTGLQLGSLWCGFWLCFLYLGPPCGDLGSNSFLEKEKHHLRWLAHPVMFAKKIGSKLLDVQMFVTVRIIGAAPVSNMNFYKLLRSKTHVVLYPGLYVKLCT >A07p031960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17611877:17617327:-1 gene:A07p031960.1_BraROA transcript:A07p031960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX3 [Source:Projected from Arabidopsis thaliana (AT3G61740) UniProtKB/Swiss-Prot;Acc:Q9M364] MILKRTLTFEEQNLKRCKVGSEIEYGGKKGEIIVYRRRNRASVDQPPCREEAEDCTTSSAGSLTSKESQDQSKSLRGRVRVAPSRFNDSVVDAWGNRRRKVEPKESGRDDEVRVVKKVKGGQGGEKGSSKLFPRKDNGDSSEVDFDDLGGGKDANLRVSMLSSSDDANSLSVRGKEEFFAESGMPKDHAAMRKGVYRPEDFAVGDLVWAKCGKRFPAWPGKVIDPDLQAPDSVLKHCVPGSLCVMFFGYSKNGTQRDYGWIRQGMMFPFTEFMEKFQDQTNFYNNKASDFKKALEEAVLADNGVEGSCGAAEISCPESSATESDQEHGTASRSQARFPDTYSLFSLDLQFFSVGSCHVDVRTCDGCGTVMQKSQPEELLCKHCSKLWKSNQYCGICKRSWHSSDGEDWVCCDGCDIWVHAGCDNISNERFKELEHSNYHCPGCRAQHECAPTVLNEQSPTVLNEQNSVFESTEKATETELADEVTVVCNGMEGTYIRKFHVIECKCGSCGARKQSPSEWERHTGCRAKKWKYSVKVKDTTLTLEKWIAGCSALPLEQGTADCRVLDKQMMLSLLQVAVHQECYGVSKAQDLTSWVCRACETPDIERECCLCPVKGGALKPSDVEGLWVHVTCAWFRPEVGFLNHEHMEPAVGLFKIPVNSFLKFCRICKQTHGSCVQCCKCATHFHVLCASRAGYSMEMHCVEKDGVQRTRKSIYCAFHRKPDPNSNVVVHTPSGVFGSRNLLQNQNGRIKGSRLVLTKKMKLPDSDIHPQTEKSHGSLSAARCRIYSRSNTKACIWGSHLIFGHLTFFSIFSCLTTSMKFGTNVSLLLLLFQKVDLEAIPHRLKGPSHHSLGAIENLNSFKASFSLRAPFVFIEADTSGFTSFKERLKHLQRTENLRVCFGKSGIHGWGLFARRSIQEGEMIIEYRGVKVRRSVADLREANYRAQGKDCYLFKISEEIVIDATNSGNIARLINHSCMPNCYARIVSIGDGEENRIVLIAKTNVSPGEELTYDYLFEVDESEEIKVPCLCRAPNCRKFMN >A09p083460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60049126:60049952:1 gene:A09p083460.1_BraROA transcript:A09p083460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic pyranopterin monophosphate synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G01290) UniProtKB/Swiss-Prot;Acc:Q39056] MFSTLRRAMFLRRFPAPAKRAFSSKVSDEINLRVLDELNQEMQSIFGAEPPATMDYPELNSSIGSLESSKPESSRQYEQPPKVVTEDISKLTHVGVSGEAQMVDVSSKDNTKRTALACCKVILGKRVFDLVLANQMGKGDVLGVAKIAGINGAKQTSSLIPLCHNIALTHVRVDLRLNPEDFSVDIEGEASCTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDISITDVRLERKTGGKSGYWSRGE >A08p030330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18591177:18595826:1 gene:A08p030330.1_BraROA transcript:A08p030330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDYHHHHQQHQGGIISFSNGFDRLDSTSLTTQQKQEHQRIKMDEESSVAGSGIPVYETAGILSDMFNFPGSSGAVGGGDLDHDQSFRSNRQFLEKQHQNIPADSAAAMQLFLMNPPQQPPSPASTTTTRSHHNNSSTLHMLLPNPSHQQGYTNTMSMHQIPHQHHHQQLTWQSPSSSDHHHHHNSQTGGQGLSLSLSSSLEAAAKAEEYRNIYYGNSSSNSRTLLTDYPQHHLRSSPVAAAASSSSVGAINIIRSSRYTTAAQELLEEFCTVGRGFSKKTKLRNSSNPNTSGGDGGSGSPSSAGAIKDQPPLSASDRIEHQRRKVKLLTMLEEVDRRYNHYCEQMQMVVNSFDIVIGHGAALPYTALAQKAMSRHFRCLKDAVAAQLRQSCELLGDKDAAGISSSGLTKGETPRLRLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQESKELEENEQDQETKNSNSDKSTKPNNDDSNFTPVRTTSQTSMTAAQSATTAPDADAAVATGHRLRSSSDINAYENDPSSSYTNVAAVSSYAGSTAFSAVATCQKGIGGFDDTDMDGGNVIRFGTNPTGDVSLTLGLRHVSNMPDQRFFLR >A09p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12701066:12701661:-1 gene:A09p023060.1_BraROA transcript:A09p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRITEPQTILTVDMMKDPTNENKRVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A02g512830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35156959:35157608:-1 gene:A02g512830.1_BraROA transcript:A02g512830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHVSETPHPEFSRRMFAFGEEQVGIKVTEDQKSSCISKIINALEEEEEIVVNRASTFEKLLAIAKETSILGLFWAFPYIQAIESFEEARNLVLFAGKPVRFSIREFPLVTALNFRRFPPHSKKRSTKNISCKAQVISIISAGVEQNNVNPELGWSDDEEDVQVDNIAISQAEPPKLMLSGCVMKPKRKT >A09g513720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41712968:41714885:1 gene:A09g513720.1_BraROA transcript:A09g513720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRIKDHPPRVFFRCGNPFKNLFPKTNNARIFPNLLSLLNSFETSLMLSIRELIPKDDGNAILTVSWMKEAMASLCETHKSIRTLVTDLELHVSDLEENFIYIYSDISSKLLELCNSFTSELDRLNHGNMLLKFTFSKLETSSCSEEISLLHLESWRQHMASKNPRIENCGAILSSLVESLKHHHHSLSKKKLSGKGKVLLRALYGVKVKTLYITSVFAAVFSGSSNNLLYLTIPKEMEEVPWAQGFMELQNMVNPEIKNAFLSDRFTVIKELEAVELGVKKLHTAVQEGSDTNALVESTGKASMLICVLTDDNGRPVCADGRLVCTDGRPVCADGRPVCTDGHTDTHGRPACADGRPVCADGRPRTSSTATDVLCVLTDGHGRPLCADGSPVCTDGRPATTDVLRVLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHRHPACADRRPACAYGRPVCADGRPVCTDGHTDSHGRPACADGRPVCTEQTAHVGQNHPNSPRSVLICVLMDSQTSCMC >A09p013010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6626235:6626741:-1 gene:A09p013010.1_BraROA transcript:A09p013010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTYRSSSSSDGGNPPGSAVAVDDRKRKRMLSNRESARRSRMRKQKHVDDLTAQINQLSNDNRQILTSLTVTTQLYTKIQAENSILTAQMTELSTRLESLNEIVDLVTATNGGVDQIDGCGFDDRTVGINCDGYYDDMMSGVNPWGGSVYSNQPIMANDMMNMY >A05p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5599304:5600397:1 gene:A05p012820.1_BraROA transcript:A05p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRSKNRFTMKTKYGAAVAPVFFLAGAYVAWNYINHRLWRKKDDNNGRDIRKGIHNSISKETSLARRRKDQKNLSRSVSMGAIRGGKVALQRLLDLHSYHLDTSSLVNAEIEFESLLSKEKPDFGLLQRDIVKMEMSGKEAKGVEILKKALDKAIAEGKGHEAYEIEMLLVEMLIYMGNIEEASKCKCLEDDFITDARRPLYQAMSNVFFISQTIIYYFRGDPWKQVEETFNRFREIQMGLQWPGNSEESESHEITLDEFKKVMESLKHEIEDSKKRQTVNSTPREAK >A10p022610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14880897:14883980:1 gene:A10p022610.1_BraROA transcript:A10p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRAPSSCLLSCLLVLFLSSVSAVTDDPQDRQVYIVYMGSLPSRADYTPMSDHMSILQEVTGESSNEGRLVRSYKRSFNGFAARLTASELERVAGDKLIGARDYTSEGARDTEGHGTHTASTAAGNAVADTSFFGIGNGTARGGVPASRIAAYKVCIPTGCSSEALLSAFDDAIADGVDLITISIGGKKASMFENDPIAIGAFHAMAKGILTVTAAGNSGPQDSTTSSVAPWMLTVAASTTDRSFVTKVVLGNNKTLVGKSVNAFDMKGKKYSLVYGKSAASSACSAETAELCKPGCLKQSLVKGKILVCSSPGGLKVVESVGAIAIIYKSPKPDVAFVHPLPASGLPEKEFESLVSYIQSEDSPQAAILKTEAIFNRTSPLIGSFSSRGPNTIAVDILKPDITAPGVEILAAYSPDGEPSEYDTRHVKYAVLSGTSMACPHVAGVASYVKTFYPKWSPSMIQSAIMTTAWPVNATGTGIASTEFAYGSGHVDPIASLNPGLVYELNKVDHIAFLCGMNYTSNILKIISGETVTCPEEKEYQTRNLNYPSMSAKLSGSNNSFTVTFNRTVTNVGTPNSTYNSKVVPGHGSKLSVNVTPSVLSFKTVNEKQLFMVTVTGRDLDSELPSSANLIWSDGKHHVRSPIVVYTDAN >A03p038380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16020271:16022456:1 gene:A03p038380.1_BraROA transcript:A03p038380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQRRANQRGGRRAMGVDYYKVLQVDRSAKDDDLKKAYRKLAMKWHPDKNPNTKKEAEAKFKQISEAYDVLSDSQKRAIYDQYGEEGLNSQAPPPGSGGFPGGSDGGASFRFNGRSADDIFSEFFGFARPFGDTRGAGPSGGGFRFAEDVFSSYRSATGEASNAPPRKAAPIERQLPCSLEDLYKGITKKMKISRDVLDSSWRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGIIPSDLVFIVDEKPHAVFKRDGNDLVITQKIPLVEALTGYTAQVTTLDGRTLTVPVNNVISPTYEEVVKGEGMPIPKDPSRKGNLRIKFNVKFPSRLTTEQKTGIKRMFSSA >A03p014750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5828921:5830021:1 gene:A03p014750.1_BraROA transcript:A03p014750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSSSWSDLLPELIEAVFHSLNDARDILSCATVCSSWRSSSSAVYSRKFVPFLFVSHPSSVVEEAQCSDGFRIISPENMIFSGNDQRWICGSTGGYLLTVNVSFPFEVNLQNPFTNTVIPLPPLASFEDVQRLLQFQAISQHSGTLTLIKNFVKKAVSSTSLLDPDWVVLIVYDTDGGKLAFCRRGDKQWTGLESEHVDDIVFCSGVFFAMDRVGRIYQCELSPNNPKAIPLCSASPFRYDPCKKYFAESDYGKLWVVLQKLDVSDDYDFTTYFEIYEFNSETKEWTMVRSLRGRALFLSPQGRCVAVSADETGSGGFIKDNSIYFIDESLSVIEWESKQIMKLYQSRFCNSMFWVTPVDVLQ >A07p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12029997:12035525:-1 gene:A07p020380.1_BraROA transcript:A07p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRPTGSQDLFDTYFRRADLDGDGRISGAEAVAFFQASNLPKNVLAQVWSYADAKKAGYLGRAEFYNALKLVTVAQSRRELTPEIVKAAIYSPASANIPAPKINLAATPSPQPRGVVPATQAQGATSMPSSVAAGMRGGQMGGTVSTSNQQVVPGQQNQFNGPRPAQPPQNFQSQGLPAGGAGAGAPRTAPNSVANHIPTPHMTPAVISSTTARPQESAPVHKPQDSSAPSGARALDVPSSQLVGKNPKELAASGNGFASDSLFGDVFAVGSTEPKQPTTGTTSTVAISSVSSGTVVAPRVAQSIVRQSSIPQHGSLGQHPVGVQNQLAGQISQPFAPSGAASGTTGSTVGAGMSASSQMTQRQAQLRPQYQPQPQHQPHSQPYHQPHHQPHHQPQPLPHHQPHHQPRPRPHSHSQAPWPKMTPADVQKYTKVFVQVDTDRDGKITGNQARNLFFSWKLPQEALKQVWDLSDQDNDSMLSLREFCIAVYLMERYREGRPLPPVFPSTIISSESMFTSPGQSVAPHGNASWGHPHGAQHHQLHGGSRPPAIPKGKPPRPVPLSPSDGMVQPTQPKRKMPELEKHLVDELEKEIADSKQKIEFFHAKMQELVLYKSRCDNRYNEITERVSGDKRELESLAKKYEEKYKKSGNVGSKLTIEEATFRDIQEKKMELYQAIVKFEEGKLDDGVVKERTEHIQSGLEELIRNLNERCKQYGVRGKPTTLVELPFGWQPGIQEGSADWDEEWDKLEEEGFVFVKEHTLDVQNVIAPPKEKSSAWKKEITASSNEGEDISSSDVESKTEKKPSSDEEASEKDPASADGKNDRNGSLDDPDARKGIEADDSPRTKDTRSENGHDDGESTASAGKTLNYDSHDETDSVSSFNGKDKDHEKHDSGFGFGFGFGFDDFSIKPIKTGSTLSNDFLPPKLSIFSDSVPSPSANANDGFTAKPSLFADSVPSTPATTTASYSGNKSYFDDSVPSTPAYAGKSFFDDSVPSTPAYPGNMFPEKKSFFDDSVPSTPAYSTSDFGGKPFASETPRSDNLFPGRSPFMFDSVPSTPAAQDDFSSNTFSRFDSFNSNNNDAFSLSRSDSMRSTSEPDPFASRFDSFNYQRYDSFNAQSYDSSSNNYAAETPKTSLTRFDSIGSTRDADYSHGFGFDDHDPFGSTGPFKTTTNKAETPRSSDHWNAF >A01g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15178561:15182132:1 gene:A01g505140.1_BraROA transcript:A01g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELIIVLDLTISGNVDGKEGNAPETHGTRNGTHGDVGKVEMCVLNPVPRNPGWKWEGAGPAIVNVEGEFEQLRVFGQDQINQKVIVPQCSGVSEVQEWDDGGSSWGKKDDGGSQEAKYEMLIRIRDLHRAKGLTVFVFTLHDVFTSASRARRKTHALRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVRRQILMPHFQRAAEYRRLYQGRGTFQFAPEVDTTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRRITGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEEPASPTGSGRAAGPKQDGEQKSPLFVSCYKVIRGFWRYLETYLFKRSPAENSRRLEALAVDSLSLSSRRLSSPSLSSPRLFSLSLLAATPSLLSLSRSRLSLLALSSREWWWWPRGVIDLRSLTQEGWNKVPGEGWKEMKRGGRSVQKRRRCGAIASDKNGRVRIEAPVRLSHAESWREGVVIHCKGGPNPRNWAAVLPGQATSQEAARKGEEWSA >A09p074040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56245575:56247316:1 gene:A09p074040.1_BraROA transcript:A09p074040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKLKWTEEEEEALLAGVQKHGPGKWKNIIKDPEFADSLCNRSNIDLKDKWRNLNVPPDIQGSIDKVRTPKIKAAALNLAAVAAATTSTPPPSSATPSPVAPLPRSGSSDLNVDDSWNTMVDAKNAPRYDGMIFEALSALTDPNGSDVTTIFNFIEEKKHEVPPGFKRVLGSRLRRLAAQGKIEKVNQIRAGTQNFYRMYGTSFSGMRAPVVARPKEVNVKPRQTNSQRVLTVSQKEVDQASGTAAFKFAEVEKNSELVKRAAEHKERMIKLAEQADIILLLAEELHEACCQGNIVELN >A08p015450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10130533:10134036:-1 gene:A08p015450.1_BraROA transcript:A08p015450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGETSKMSETGNDEQMDVGSVVEAVSADHSFGAPLYVVESMCMRCGENVCLSPRLGTTRFLLTLIPHFRKVLLSAFECPHCGERNNEVQFAGEIQPRGCSYRLEVSAGDDKMFDRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGILARAADELSALQEERRTVDPKTAEAIDQFLSKLRACAKADSSFTFILDDPAGNSFIENPHAPSPDPCLHIKFYERTPEQQAALGYLSNSSQTGQPERDHPPSTAAIPHGAIGAAAGHRAIAQSNSTDISDNLFRYTAPEEVMTFPSTCGACMKLCETRMFVTKIPYFQEVIVMASTCDDCGYRNSELKPGGAIPKKGKKIILSVRNIADLSRDVIKSDTAGVKIPELDLELAGGTLGGMVTTVEGLVTQIKESLARVHGFTFGDSLDESKKNKWREFGSRLTKLLSLEEPWTLILDDELANSFISPVTDDIKDDHQLTYEEYERSWEQNEELGLNDIDTSSADGAYESTETTKLP >A09g501430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4840494:4846387:1 gene:A09g501430.1_BraROA transcript:A09g501430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKHRLASSVRRRRAASSLTRVKRRDALSSVTNALSLSLSLSLSLSRNLSQNLSRRKAIWFFFGGSCRRRLGDVSFGGSRRRTLSRWFSSRRRSSLLVPLLKSKVFWFSFSVGLFLQDFTRRLISNRKIAGSRFIDQRFRAQLRGTSDTHTEMEARKPIICGLSARGIIPPLPREETDDDVEDIPPTEAEVVEISDEEEDDIVELSNDEYRRSTGYLIRVEEEEDDIAPEFSRMVQMMHEEEKRLSEERFKALKAGIKLEEGQSSTGDEMEARKPIICGLSARGIIPPLPREETDDDVEDIPPTEAEVVEISDEEEDDIVELSNDEYRRSMGYLIRVEEEEDDIAPEFSRMVQMMHEEEKKAVRGEVQGVEGWNQARRRTILYG >A03p050820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21793120:21794820:1 gene:A03p050820.1_BraROA transcript:A03p050820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNAHGQDSSYFLGWEEYEKNPYDEIKNPNGIIQMGLAENQLCFDLIETWLAKNPDAAGLKKEGQSIFKELALFQDYHGLPEFKKALAEFMEEIRGNRVTFDPSKIVLTAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQAQKLDLKVKGVLVTNPSNPLGTMLTRRELNLLVDFITSKNIHLISDEIYSGTVFGFEQFVSVMDVLKDKKLENSEVSRRVHVVYSLSKDLGLPGFRVGAIYSNDEMVVSAATKMSSFGLVSSQTQYLLSALLSDKKFTGTYLNENQKRLKIRQKQLVSGLEAAGVTCLKSNAGLFCWVDMRHLLDTNTFEAELELWKKIVYEVKLNISPGSSCHCTEPGWFRVCFANMSEETLDLAMKRLKEYVESTDSRRLISKSSHERIKSLRKRTVSNWVFRVSWTDRVPDER >A01p050850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28593931:28594795:1 gene:A01p050850.1_BraROA transcript:A01p050850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) UniProtKB/TrEMBL;Acc:Q9LKA0] MGSGWLLRSLICFKAEKHKKSNQSNVHSETLNRVKPVESSSASTTLTVEIAATRIQKAFRAYKARKRLCSLKSARRFNALIQGHTVRNQTSIALNVMHSWYDIQSQIRARRMYMVTQGRLQNKRLENRLKLEIKLHEIEVEWCGGSETMEEILARIQQKEEATVKRERAMAYAFSHQWRANATQYLGQASFNLDKENWGWSWKERWIAARPWEIRAQCQVTKPIKPATKP >A02g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9107874:9109777:-1 gene:A02g502730.1_BraROA transcript:A02g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVVENKKVILKNYVDGIPTETDMELKMGDTIEIKAPKGSSCFLVKNLYLSCDPYMRGRMRDFHGSYLPPFLPGQRIEGFGVARVIDSDDPNYTPGELICGITGWEEYSLLRSSNELQLRKIQLDDAIPLSYHLGLLGMAGFTAYAGFYEICSPKKGESVFVSAASGAVGQLVGQLAKLNGCYVVGSAGSKQKVDLLKNKLGFDEAFNYKEEADLDAALKRYFPEGINIYFDNVGGSMLDAALLNMKVHGRIALCGMVSLQSLSSSSQGGINNLYNAIPRRLRLEGFLQSDYVHIFPQFLEHVKGYYKEGKIVYIEDMSEGLELAPAALVGLFSGENVGKQVVRVANE >A06p047150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25088945:25090710:1 gene:A06p047150.1_BraROA transcript:A06p047150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWESSQYKQLLDQEEVDVVQPLDKERGITIEDFKLIKFHMSNHIMKLAQHIKVRQRVVATAITYMRRVYTRKSMVEFEPRLVAIACLYLASKAEESIVQARNLVFYSKRLYPDEYKYELKDILGMEMRVLEALDYYLVVFHPYRSLSEFLQDAALNDVNMNQFTWGIVNDTYKMDLILVHPPYRIALACIYIASVQREKDITAWLQDLNDDMNLVKNIAMEILDFYENYRTITDEKVSSAFSKLALKP >A05p051790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31567516:31568513:-1 gene:A05p051790.1_BraROA transcript:A05p051790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase 1B [Source:Projected from Arabidopsis thaliana (AT3G06110) UniProtKB/Swiss-Prot;Acc:Q9M8K7] MEKVVDLFGVGEANTQKLLEGGNDLSQIQQGLFIGSVAEANNKDLLKASNVTHVLTIAVALSPPYPDDFVYKVIEVVDRSETDLTVYFDECYSFIDQAIESGGGVLVHCFMGISRSVTIVVAYLMRKHGIGFSKAMEIVKSRRPQALPNFGFVSQLQQFEKSIKVHDEASSSVDNKEIVA >A01p050560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28478632:28482938:-1 gene:A01p050560.1_BraROA transcript:A01p050560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHQGKCFGFVLLLCFFSSTFARRILKDLDHVVKPGKVSLREQDHGLFSLEPDHKVKPGHNLQSAWWGEPEEPEDKVKPDHKLQSMWRELEEPDPEKKHAHKVMPDYKEKLNDGGKEKIDDIEKPDHVVKPNYKEKLNDGDKEKIDDIEKPDHVVKPNYKEKLNDGDKEKIDDIEKPDHVVKPNYKEKLNDGGKEKIDDIEKPDHVVKPNYKEKLNDGGKEKIDDIEKPDHIVKPNYKEKLNDKEKIDGIEKPDHTVKPMGYGYGVGYGSGGSGFGEGIGSSGGSGFGEGIGSSGGSGFGEGIGSSGGSGFGEGIGYGDGSGVGIGEGRGSGYGQPNCGPVRGAPGRGFGEGIGRGSGSGEGIGIGRGGSGSTGVPSVVVPPITVPGTTIPPITVPGTHIPGFTIPGVTVPGFGTGGGCQTGGCTPSVPYYHPPIYQPPNCPHCPPFVSGQDKHMSDKGTMTEALAPIGKLIKSRAFAFQVICHPFHIPLPLLLFLIVFGEFRWSLETLFFKKKAERNWLVSLEDLPSHLILEVLTSGRLNAVDLLSLELTSKVFGGSSGLLYPLKFRSLADYAASRLCYVHPVYAGMGLTTQKELFANCEGNWKRLLRFLQSVDQSSDMVQTSAGNMQVTTGRYHTLLINNSKVYSCGSSLSGVLAHGPETTQCVAFTPIEFPFSAKVAQVSATHNHSAFVLQSGEVLTCGDNSSHCCGHLDTSRPIFRPKLVEALKGTPCKQVAAGLHFSVFLSREGRVFTCGSNTHGQLGHGDTLDSPVPRAVEFFQSVGPVVQIATGPSYVLAVTQDGSVYSFGSGSSFCLGHGEQQDEHQPRVIQAFKRKGVHILRVSAGDEHAVALDSNGRVYTWGKGYCGALGHGDENDKITPQVLVSLNNCLAVQVCARKRKTFVLVEGGVLYGFGWMGFGSLGFPDRGVSDKVLRPRVLESLKPHRVSQVSTGLYHTIVVTEGGRIFGFGDNERAQLGHDSLRTCLEPTEIFLHCGHSRSQVC >A06p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28239378:28241030:1 gene:A06p053630.1_BraROA transcript:A06p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSGVASRIMGGNGVVARSNASSLRQRAGMGLPVGKHIVPDKPLSVNDELMWDNGTAFPEPCIDRIADTVGKYEALGWLCGGLGFFATLGLLAVVNDKASKVPFTPRVYPYDNLRVELGGEP >A04p022320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13447347:13450976:-1 gene:A04p022320.1_BraROA transcript:A04p022320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRQRGRSQRKHFKESRENVWKRPKSDAPDNAAVADKPTWEPFLTDNPNFEEYYKEQGIVKAEEWDLFMEFLRKPLPAAFRVNSNSQFCDEIISILENDFVKSLQAEAIEGGELEAIKPLPWYPKNLAWHSNFSRKEIRKNKILERFHEFLKLENEVGNMTRQEAVSMVPPLFLDVHPDHFVLDMCAAPGSKTFQLLEIIHGASEPGTLPNGMVVANDVDFQRSNLLIHQTKRMCTANLIVTNHEGQQFPGCRLKGPSDNLHINQLSFDRVLCDVPCSGDGTLRKAPDIWRKWNSGMGNGLHSLQVILAMRGLSLLKVGGKMIYSTCSMNPVEDEAVVAEILRRCGDAVELLDVSDKLPELIRRPGLKTWKVRDKGGWFTSYKDVPQNRRGGVIVSMFPSGKNLKDSTETTQENENGGVQDEYKETENSVVDAIPDEPVVEVSDLPLERCMRIVPHDQNTGAFFIAVLHKVSPLPEFQEKPNPRKHSSKKNADSTEKAPAEEAVVSANTEPVESPVEKVKEEDANSEKDDSLVEPEKKNTEGETTITEEKEANPSSQVAGKRKVPMQGRWKGFDPVVFLKEETLIDSIKEFYGIKDESFPLNGHLVTRNTDTSSVKRIYYVSKSVKEVLQLNFAVGQQLKIASVGLKMFERQSAKEGSSKPCSFRISAEGLPVILPYITKQVLYTPMADFKHLLEHKSIRFPDFVNPQLSQKATDLVLGCCVVILSDGEEPVKADASTIAISCWRGNNSLAVMITPADCQELLERLAERTPKTEGGSVDGNNGDSVAMETA >A06g507890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21950041:21950931:1 gene:A06g507890.1_BraROA transcript:A06g507890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVVTIHKIFSDANMPPKFDEIDEKEGSNDDSDGEDHRRFNLESPRLFTEASYCSDASRRVSLNEGQGSPQRLSPLPRFLSVGRTFRLSLRGRLGSFDSGKAWNVKKSGELMGVDLILVDGKEQAKLQICGRPCFAPIRRGP >A06p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1415004:1417060:1 gene:A06p004940.1_BraROA transcript:A06p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVEVDDEFFDSSDVLSVGQVEEEEFQVWSCEPVSVEERRQRFLKKMGLLEGEKERINDDSDEVTTSSSESSCGECCVREENYGSTNSMSDEEEAESEQTSMSNACSSPSPSLRKKMTANKWLFECFVGEREYKPKEEVMMSKVKVKTNKKSHVELSAAYKVQEINGHKGKIWALKFSPDGKFLATGGEDGVVKIWRITLSASFVGQELMREQEALVMFPNKAFYLEETPFQELYGHTGDVLDLAWSDSNLLLSASKDKTVRLWRVGCDECLHVFHHNNYVTCVQFNPVNKNNFISGSIDGKARIWGLSEQRVVSWTDARDSISAICYQHNGNGFVVGCITGDCRFYRISGDEVLTEEQIHIRGRNSITAVEFCPGSSEKVMVSSDDSKLRIFDRSQILHKFKASAKFGKQSSASFVSSAGKHILSVRRGHGVFLWDNDGFPAKKQATTSRSFEYFNSPGVSVAAAWSPTGKEVSVVAGEEDESRRALRQIQNSGRLSRSSRVTATWPEEKLTPSSSVGGAWRLVIVTASLDGVIKTFHNYGLPHKL >A02p042330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26551668:26555625:1 gene:A02p042330.1_BraROA transcript:A02p042330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPSMEYAELGQKKKDEDLCKEIVGVLETDGSQRVLLAGEAGIGKTRLAKMVGKHATDAGRCFLTICLHLNRKFDDELSLYENIASQLCLYSDFEETEVDDRDEDEEEEKKPEALLAELKKMITEEIEKVKEAKEAAEKKAKELAEKAKELAEKKAKKQPVAGEKKDGSHTGPLYLLLILDDEGNKTSEDRVMKELKLETFLREQKFLKDDKNRLKILITRRKEEEERSKAAGEGATEDAKSEQEDESEHASDGGIKSEKSDNESDEGIKPHDSGDEGKPEPQAPSNATVIDPTKKGVPPVGDEFHTTDMSESLLNSINKPNNMKDLFASLMKDWKTYEKFLPEMVEKSKKSPAAIFVLAKSLKRITPTTLDTEEGEALKQRIEKKIEEVLSAARPSDSSINPVLRLAYELLEFDFPLKDAILDCFWHSLDFFEHCGSVYYRDLITQWILEGYFDPVRSVEKAYQDGHLILMELINRGMLKIQENNVVVPERAMSTLIDPRRRGLFGRSRLRFSRVYCGDKRKGIGKITQIDDMIKTVQAKKGDKVSTVLVSGDRLRRETPVKYFKKLSDLQVLGLFEPTLEPFITAFANLVKLRVLVIRDCDLLTDIEELKDLRELHALEVSGASSLKKISDGFFKALSKLQSLHLSGLQITSSPSSISELTELHCLIIKNCPLLEDLPDIQELVKLEVVDVSGARGLQTCFDNTKGEKKNKSKNKNFYHLTKLQLLDFSESQIERLPIFQDSAVGDKLHSVRRLLLRDCSKLRRLPSLKPLSGLQILDLSGTTSLVEMLEVCFEDKKELLSLDLSGTNLSELATTIEELTNLNKLLMKGCTNIEVLPNIQKLTNLEVIDVSGCEKLHTIEGSFEDMSYLCEVNLSGTKVKTPKLPNKTEIRCLKRITLADGTPFEGEDWSEIRDKIESKRSDEASEEIVEETQPKEDPASDSTDMGDVIKERLCHVPIEKDIYKTILSRFDSPIQQEVMKIHESKDHLQGEASANAELVSFVDIDSTRLKSFFSENKLVKGCSLRMCRDIDNLFFEVDGESLGSLETLSISNFPLLETICWGDSFKNLKKLSIDCCPKIKTLFPEASQMPSSLVELNIKYCENLEKVVEGVQLSSLTNLKPQVKNCPKLEETSEVDSEDWVMVERP >A06p052460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27764483:27767259:-1 gene:A06p052460.1_BraROA transcript:A06p052460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-J18 [Source:Projected from Arabidopsis thaliana (AT2G01905) UniProtKB/Swiss-Prot;Acc:Q9C5X2] MSTEIAHLRRRLVEFTIQCTTHLELPPIVKYSALSLFFDRFRPSVVRFLQKKKKAEHWLLQPLTESNLQLFVLVSIWISCKMHCSRGLSVQSLKSLGDKMITEQLFTVRDFMEAELVFLKVMKFEIGTLNMAYTLLDDLFIHFKEVAKVGELLNFEACMDMMDLLYEKEETSVLYHSSTSLAASILVSSYIITVPKQQCEFPILPWVKMVTNKEEREVVELVGYILSHVLYSHPS >A07p047560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25521648:25524371:1 gene:A07p047560.1_BraROA transcript:A07p047560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIPL1 protein [Source:Projected from Arabidopsis thaliana (AT1G74790) UniProtKB/Swiss-Prot;Acc:Q9SSG3] MMILHRSLVIFFLFILCSTLSSLSLPLCSDSRAPLEGNSTLSFCPYKGKTCCDTKEDSNLNKQFQSMNISDKACASVVKSILCSRCDPFSSELFRDHSDQLSVPILCSNTSSANSTKDFCSETWETCQNISISGSLFAPSLQGQAGAPMNTNASKLSDLWQSKTDFCSAFASSNETICFSGEPVTLNDTTPEKPPPGLCLEKIGNGSYLNMVPHPDGSNRAFFSTQPGVVFLAGLPDQDSGGVLDVDPSSPFVDLTDEVHFDTSFGMMGMAFHPKFAQNGRFFASFNCDKSKWPGCTGRCSCNSDVNCDPSKITPESGSQPCQFQTVIAEYSANGTSSDPSKAKNAKPTEVRRIFTMGLPFTSHHAGQILFGPSDGYLYFMMGDGGGGADPYNFSQNKKSLLGKIMRLDVDNIPSASDISKRGLWGNYSIPKDNPFREDKELEPEIWAVGLRNPWRCSFDSSRPSYFMCADVGQDTYEEVDLITKGGNYGWRVYEGPELFQPESSPGGNTSAKSINTIFPVMGYNHSEVDSSGKSASITGGYFYRSETDPCIDGMYLYADLYGNGVWAGIETPANSGNFVSHRITFSCASDSPMKCDDSPGTSGLSLGYIFSFGEDNNKDIYLLTSNGVYRVVRPSRCNLTCSKENSTVARRNPGPSVSPSSSSSSCYMHMNGFHGILVSLSLILLALLV >A09g513590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41339379:41339803:1 gene:A09g513590.1_BraROA transcript:A09g513590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQRFLVIFLRTKMICLLVAYRTRSDLGSSLSQVAPGFGSDLAGSLREVAPRAISCLREPRWRETSPCRSGKVAPSFGSDFSTSLWKVAPRSECVSPDVKSRATLCSRSG >A06p041930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22556755:22558325:1 gene:A06p041930.1_BraROA transcript:A06p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCVNDALTDDELRWILSRLDTDKDKEVFGLVCKRWLYLQSTDRKKLAARAGPHMLGRLASRFTRIVELDLSQSVSRSFYPGVTDSDLAVIAQGFKCLRVLNLHTCKGITDTGLASIGRCLSLLQFLDVSYCRKLSDKGLSAVAQGCHDLRALHLAGCRFITDETLKSLSERCRDLEALGLQGCTNITDSGLADLVKGCRKIKALDINKCSNVGDAGVSSVAKACASSLKTLKLLDCYKVGDESVSSLAQFCKNLETLIIGGCRDISDESIVLLADSCRDGLKNLRMDWCLNVTDSSLTCVLKQCKSLEALDIGCCEEVTDNAFRDLGSEDVLGLKVLKVSNCPKITVAGIGKLLEKCSSLEYLDVRSLPHVTEVRCSEAGLEFPACCKVNFSGSLTEPDVLL >A06p011870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5445501:5446403:-1 gene:A06p011870.1_BraROA transcript:A06p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 4 [Source:Projected from Arabidopsis thaliana (AT1G14440) UniProtKB/Swiss-Prot;Acc:Q9M9S0] MPIPLSTTFVGSGGGHGHMIHHHHDHHASDSAPPTHNHNNITTTQPPQMQLHGNGHGNSNDHHHQDPHHIGYNAIIKKPMIKYKECLKNHAAAMGGNATDGCGEFMPSGEDGSIEALTCSVCNCHRNFHRKEVEGETTATAISSHHQPPPPRKLMVNHHNIRSAMPHQMIMPIGVSNYRYMHNLESGDFMEQDGVTTASRPPAYNQKKRFRTKFTPEQKEKMLSFAEKVGWKIQRQEDCVVQRFCEEIGVKRRVLKVWMHNNKLHFSNKNTSNNINLEGNDNDKINNVNNVDVSGNNDMI >A07g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13355269:13356454:-1 gene:A07g505830.1_BraROA transcript:A07g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMVEIAPPAFAWCSKTLEIHKGGCDTLSEGVYVKYKSNLGFDDQPAAQAITSQTMGCNLQTEVWELILLRLDPVCNLFSSWLQLLSWLRQGSAAAPKTMRTVVAQAAIFSSMASTQQT >A09g511870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35091476:35093194:-1 gene:A09g511870.1_BraROA transcript:A09g511870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKTTLTNQISPDPHVSLFIVQSSSSHPPLAEATEAGDEDGTENDETDVYVGLSDREGIEAGDEDGTGGVLTFHGEIGMGENVTERDEH >A04p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15187375:15200634:-1 gene:A04p025110.1_BraROA transcript:A04p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSYNVPSDDQNPVALRPPSSSSSYLTRDQEHQIMVSALRQVISNVGSDTSSPNGITSGADLPLPDAGPCPLCGITGCYGCAFQQRDEEIKKEKKHKGVRKKPSGKWSAEIWDPSSKVRRWLGVFPTAEMAARAYDEAAAEFIERISARRPPASSCFSYLTRDQEHQIMVSALRQVISKVGRDTSSPNGITSGKKYKGVRKKPSGKWSAEIWDPSLKVRRWLGMFPTAEMAARAYDEAAAEFIGRISARRGQMNGHVIKRWRR >A09p065280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52264971:52266900:-1 gene:A09p065280.1_BraROA transcript:A09p065280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVNTGVELDNNGSATDGFEEISRCLCGSTYFIIISTPTHLFFLAPTILPVYHKQELIGRSSTMISQKVSDFCFVVACGANLEQWGRIKHIRRCRDLGLAPPQLSPRRLKTEWSVSGGMLLVWLVSTLPTPSPGKTIRTSKKEEEMKKGELEADTDKLMREEGTRRISKPSSLSKLLTVVLQWINDLSQIRKTDSSKKKKSKKRKHYASSESSSSSDEDESRRSRSSSKRSTKEKKHKSSRDKRSNKSKHETDGPVPLSRFFGNLKS >A09p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11504140:11510806:1 gene:A09p021360.1_BraROA transcript:A09p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLRATYQGRSRSRATRWSDTPRSLATSRPETPKIGPGATSRSDTCKSLRSLLRIVSRLKVDSLIDHLPSLVRYLITQGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDFSERLHEVAVHHIPERLIQSDCTKSLAFSHPETHIFDPGATFQSDVLKSLPMFRATCWSDTPRSLAFVLSETLKVALERLAGATPASRSAALISLRTGSTIFYTTTFVLGALKTPNIKCGSGYLDFFRLDIQICNFY >A08p021730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14489427:14492374:-1 gene:A08p021730.1_BraROA transcript:A08p021730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSHGSRLDLLLLKKRLSVKFLLPDDVIELILERLPVMSLLRFKSVSKKWKSTVEDRRFQERQLLCRKQSRGPDFLFMSLRDDDQIIKHAPIIFYRSEIVYNMWFRSLCWSICHGSCDSLVCLYDMRVGVVVANPATRWYQTFPLARIQHYMSRHGVSVSPSPKLGFGKVKLNGTYKPVFLYNSFGFGLDNVTTCEVFDVSTNAWRYVHPASLYRINAYNNPVLFFDGSLYWLTELEENVLSFDLHNQTFQVICKAPIAHVCDPSSVSMCILDNSLFVSENNWSTLEIWSLDCSGGNTKTWKKMCSVDLIKRGSFFRECALLPIAILDKTKLLLGGHECTHQLVRSGYKSNRKPKRNRSGVRGEFSHLCKRVMIAEAETKDTMEEIQTQKKEEEEDEEEGPPPGWESTVLPPPPTPISAVTTAAEISGVYFRIPEEPNTSSVLAVRLLISFLKSYFSGFECVITTPLLRYSYFTYQVGQVKCSNCELLLMYPYGAPSVRCSSCKSVTDIREDNKRPPWSVLQGPLKTFSSVR >A01p012550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6155592:6157194:-1 gene:A01p012550.1_BraROA transcript:A01p012550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCFVCLLLFLITVSVQFDETISLRRDMTKLLEIQDKIQERLAVAPSLPPLSPPSSPFPKMVGRVIYPISYGADPTGGQDSSDAILEALTDAFQLHIELHMLPRVTDLGGVVIDLQGGSYKIGKPLRFPSSGGGNLLVKGGTFRASEVFPGDRHLVELVASNSSPEDTFSDQNSGIFFEDVTFKDVLFDSSFRGGGLAVVNSARIRITDCYFLHFTTHGIKVQGGHETYISNTFLGQHSTVGGDRQERQFSGTGIDISSTDNAITDVVIFSAGVGILLNGQANIVTGVHCYNKATWFGGIGILVKSHLTRIDNCYLDYTGIVIEDPVHVHVTNALFLGDANIVLRSVQGKKIHGVNILNNMFSGDPKHNFPIVKLEGEFNEVEQVVIDQNNVEGMTLKSTTGKAKVSANGTRWVVDFEPVLVFPNRINHYQHSFLAQSGEIPASAVTNVSNNVVVVETDRDVIGTVSVIVYQ >A01p044290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24443141:24446759:1 gene:A01p044290.1_BraROA transcript:A01p044290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMINSLRRMARSSQVTLQSRYAASSSSGSRIFTTEASPQKQTIVGSKGHDMLAPFTAGWQSADLHPLIIAKSEGSYVYDDHGKKYLDSLAGLWCTALGGNEARLVSAAVEQLKTLPFYHSFWNRTTKPSLDLAKDLLAMFTANKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPEKKKFIARKKSYHGSTLISASLSGLPALHQNFDLPAPFVLHTDCPHYWRFHLPGETEEEFSTRLAKNLEDLIIKEGPETIGAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVCAFSHGFTYSGHPVSCAVAIEALKIYKERNTPEHVAKVAPRFQDGLKAFAKTSPIIGEIRGTGLILGTEFTDNKSPNELFPPEWGVGAYFGAECQKRGMLVRVAGDSIMMSPPLIISPEEIDELITIYGEALKATEERVKELKTQQKK >A02g501130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3621074:3622366:1 gene:A02g501130.1_BraROA transcript:A02g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPEAVSSGFLPLPPEPPDPDLDVMLLVDPPVPPPILIDASVLSVQLLLMHPLLAEAELSSSLTIGRVSFLQLIPVSKPGNLDSCGEHVSFMSLLRNVRYFQQVAGVIEISVARFLGLLTADCKFTSLHYSSLQVPEDWTSNVEILAVVGFLYAVFITSVQSFGVQLSTSMCSSQSKHILDLKPWSHVVGPISPCFTLSQGMLFISCWSESFLFDHCLREEFKCLSRRSLFPCKQEIMLFLNGSLPRIEDIISSSIFRFKLLLPQFEDVIWTSVLVAMDAIVSGLTIWPWRLTSQQLIFWKRCLVASEVVDVSSPGGYVENFPTWSANGTWIVSPTQKIKAVTLQKAPHSTLSSGFSSLQILADSIVLFFALRSGLVLIEITGSFIVRNLVPLVTPLSFCYNLCTTLCLVVVALAMGGVSKLCSSSTLV >A09p030740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18742366:18745867:-1 gene:A09p030740.1_BraROA transcript:A09p030740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGIFMTMVLLMTTMSNLPEELVREILSRVPLTSLRKLRCTCRTWNALSKTQVFGKETARNQFLGFTVINGRVCSLRLDFQGIHNKGDLVHKSTKKIKVTSWCGTHIWGKPPSRDFRFYDMFCFGYDKNNRNHKILRFCYDNDESLFCFELFDFKTSSWRLLDIEPDVDLDVYRSGVSLKGNTYFVAQTNRPGVVDVLLCFDFTTERFWRPLPFHYDAVEHVVLSCVREEKLAVLYQIENTMEIWITTKIEYDDVSWSKFLEVEMTPLNGFDYDFDTETESFFIDEDKKFAVVGGECENKPICSATRNQPTEQEETQRLLLSKETKETESFFIDEEKEVAVGETYCYQTAHITGQDGYLKSVSVAEAPECGLDELFVISSYVPSLVQLGINQCCKREHKDCFYQ >A02p049750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30804261:30808489:1 gene:A02p049750.1_BraROA transcript:A02p049750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLLGSVFGLSPVSSERVVGKAVFPSSKSKPRRQTHRVTAINSVASKTHVGVRRIQKNEDGAKVVKLVENPYSGAETASPDLRKSLSDFLEEARDFVGDDDGPPRWFSPLECAAQAKGSPLLLFIPGIDGTGLGLIRHHKKLGVVFDVWCLHIPVRDRTPAKDLMKLIERTVKSENHSFPNRPIYLVGESIGACLALDVAARNPNIDLALIMANPATHVNNLMSQPLVGMLNVLPYGVPTLLEEIFGFKQGDPLTAILDALTNEFAVHQIGGAGGGILRDLFTVSANLPTLSRIFAKDTLLWKLELLKSAVASANSHIYAVRAETLILFSGRDQWLLNEEDIDRYSHTLPKCIVRKLKDNGHFLFFEDGVDLVSIIKSTCFYRRGKSHDHLSDYIMPTRYELKQQLDDHKLLIDATSPVYLSTLEDGKIVRGLEGIPSEGPVLYVGYHMLLGLELGPMVIQFLKERNIHLRGLAHPMLFLNGKDVLADTQMFDKYKIMGGVPVSNFNIFKLLRSKSHVLLYPGGVREALHNKGEEYKLFWPEQPEFVRVASRFGAKIIPFGVVGEDDICDLVLDAYDQRNIPILKDFMEEATKKAGNLREGDETELGNQICYLPGLVPKIPGRFYFYFGKPIETAGREQQLKDKDKAQEFYLQVKSEVEQCIAYLKMKRESDPYRHLLPRVLYQASHGLSSEIPTFDI >A06p051000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26792172:26792782:1 gene:A06p051000.1_BraROA transcript:A06p051000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIMIN-2 [Source:Projected from Arabidopsis thaliana (AT3G25882) UniProtKB/TrEMBL;Acc:A0A178VAB1] MTCLYSKVHLKAHVMELMLQRLNDDVIINLHHPFNLAVDDIDRSKSTPTSYKKANMSSEKKVERREEDNGDGHIGKSSMEVVRTVTEEEVDEFFKILRRVHVAIRTVTRANGGIVERELTSKKRKRSQRLGLRSSLDSNGVRDGELDGIDRVGLRNSGLDLNCKPEPDAVSL >A10p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20497740:20503261:1 gene:A10p035850.1_BraROA transcript:A10p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFRRLFYKKPPDHLLEISERVYVFDCCFSSDVMGEDEYKLYLGGIVAQLLTHFPNASFMVFNFKEGEQPSQISEVLSQYNMTVMEYPRQYESCPLLPLEMIHHFLRSSESWLSLEGQQNFLLMHCERGGWPILAFMLAGLLLYRKQYQGELKTLEMVHRQAPKELLQILSPLNPQPSQLRYLRYISRVDWPPSDTPLFLDCLILSDLPHFEGSKGCRPVLRVYGQDPKARGNRSSIVLFSTPKTNTQTRLYQQEECTLVKLDIQCHVQGDVVLECTHLHDDLVREEMVFRIMFHTAFVRSDALVVQRDEMDILWDAKDQFPKEFKAEVLFSGADAVVPTIATAPISEDEKEDITSPEQFYEVGETFSHVIDGPDHRIDSDSLVVVDTSSDDSEGKEVWKGDVGPNAFLDCASDDSNHKHDVHGEASIDPVKDITVDDVQYRPDGKADTNIDSVKDIEIDDGDDQRERRTIMEAKEHDSRTAEIKHKNDVEGSDSNTNINNPISEKTQDGAAASPPPPSQNDSFFQTPQIPPSPPFAFERPNSGIMLPPPPPFVSEKPNSGIMLPPPPPPPPPPPPPPFASARRKSETMLPPPPAPPPPWKSVDTSSFETHETCSTSYGSPPLPPPPPPPFSKAHSVPPPPPPPPPLPLTKAHSIIPPPPPPPSYGPPVPPPPPPFSKTSPPPPPPLPPFSSGGPPPPPPPPFRSGGPPPPPPLPTPMSAPPPSPPPMRGGAPLPPAPPMRGGAPPPPPGPRPPGVGPPPPPGPRPLGGGRGRGLLRPGVGPSIQKKSSLKPLYWVKLARALQGSLWYEFERRGVGKIAQEFDVSEIETLFPATVPKPADKSGGRRKPLEAKPEKIQLIDLRRANNTEIMLTKVKMPLPDMMAAVLAMDDTVLDIDQIENLVKFCPTNEEMELLKNYTGDKEMLGKCEQYFLELMKVPRVESKMRAFSFKIQFSTQITEFKKSLNVVISACVEIRTSEKLKEIIQRILYLGNIMNQGTARGAAVAFKLDSLLKLNDTRAVNSNTTLMHYLCKVIATKASDLLDFHKDLESLETASKIQLKSLAEEMQAISKGLEILKQELTASESDVPLSEVFRKTLKEFISFAEDEVETVKSLYSDVGKNADLLSCYFGENPKNCTFEQVTATLLNFIRLFKKAHEENVKQVELEKKKAAKEAGMEKAKGVGLRKKAVDDS >A09g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1817885:1818700:1 gene:A09g500400.1_BraROA transcript:A09g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESAYNCQNQAIVSVNFRFEAPQTTLRPVKLLKMFIADSVLYHIQKELDYTQVKDLKTTIYLLTPTSPQLDVKKPQDIVRPESLSLLAEGYDRCVQVCSEYAKTFYLGWDLLLLSLLSFMSTTYMSTFYISIFSLSTNN >A02p039500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23586968:23588185:1 gene:A02p039500.1_BraROA transcript:A02p039500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGPRPAGLARKSPMQGGFVLRPDKRVGSTRFSGTWEARTSSFSSFRLLTDEETTLATTEATLLPPATAGASLIRRSTTIGRSFSFSVTVPSFASVYNEYALKSQYDTSIHL >A01p055690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31741428:31743753:-1 gene:A01p055690.1_BraROA transcript:A01p055690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIQNRRDLTMELQSQIPILRPSIHARRANIVVKFQDMYSFTVEGNVDDVNVLNEVRERVRNQGRVWWALEASKGANWYLQPEILLIGALKKTSLKISALANAITLKRLVRKGIPPVLRPKVWFSVSGAAKKKSTVPESYYSDLTKAVDGMVTPATLQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVRDCYTTNLSGCHVEQRVFKDLLAQKCPRIASHLEDMGFDVSLVATEWFLCLFSKSLPSETTLRLWDVLFYEGAKVLFHAALAIFKMKENELLMTHQVGDVINIIHTTSHQLFDPDELLTVAFEKIGSMTTNTISKQRKKQEPAVMAELDQRLRRLNSLKETGKNT >A06p015550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6981763:6982702:-1 gene:A06p015550.1_BraROA transcript:A06p015550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18250) UniProtKB/TrEMBL;Acc:F4IAP1] MASLNLFNFALLLLISHASASTVVFFNKCRYPVWPGIQPSAGQNILAGGGFKLPANKAYTLQLPPLWSGRFWGRHGCTFDGSGRGRCATGDCGGSLTCNGAGGSPPATLAEITLGQELDFYDVSLVDGYNLAMSIMPVKGRGQCSYAGCVSDLNRMCPVGLQVRSRNGKRVLACKSACSAFNSPQYCCTGTFGNPLTCKPTSYSRIFKVACPKAYSYAYDDPTSIATCSKANYIVTFCPHHRR >A04p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6654988:6655851:-1 gene:A04p011570.1_BraROA transcript:A04p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYAIPLSSSSSLSNAYHQPSRVCFVFRNPRSNFEFRETRLSGGVRRTSLKCNCCSKGKGGENENRNVLDAFFLGKALAEVINERIESTVGEVLGNIGRFQAEQQKQVQEIQGEVLERAKKAKERAARESMEAQGLVPESTNTNPKPAAGVVASVVTPTSIVESKAIRNDEKLSGFSGSSMGDDMDSSSSSDEDEDSV >A05g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29248477:29251019:-1 gene:A05g509710.1_BraROA transcript:A05g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGASMFTNFTKLCKGLALVLVVGHVLVHFVPATVTYLALIPARTIPFGWNLITSGYFELSVYGVVFSTVSLLFMGKFLEPVWGSKEFLKFIFVVNFLTYLCVFVTAIALYYITRLEIYLYMPFAGFHGVLAGLLVGIKQIIPDQEVLLVKIKAKWLPSIMLVLSIASSFFTLNSAAYLPTLIFGTYMGWLYLRYLQRRPDTKLRGDPSDDFAFSTFFPELLRPVIEPIASIFHRMLCGRSNATSEDHGYTTSGAPLPGSDSAEASRRRERGARALEERLATERLVPSRNKDELQSDALDSV >A06p023640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11584593:11585867:-1 gene:A06p023640.1_BraROA transcript:A06p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 4 [Source:Projected from Arabidopsis thaliana (AT3G46550) UniProtKB/Swiss-Prot;Acc:Q9SNC3] MATVNFISHLASPASVYLLLFLLSTTAAAINVTTVLSSFPNLSSFSNLLVSSGIASELSGRNSLTLLAVPNSHFSSASVDFTRRLSPPKLADLLRFNVLLQFLSDSDLRRISPSGSAVTTLYEASGHVFAGSGSVNVTRDPASGSVTIGSPTSSKSVTVLKLLETKPPNITLLSVDSFLVPAGIDLTASETLIPPTSGMSPPPAGINLTQILINGHNFNVALSLLVASGVITELENDDHGAGITVFVPTDSAFSDLPENQNLQSLPADKKAIVLKFHVLNSYYTLGSLESITNPVNPTLATELMGAGSYTLNISRVNGSIVTINSGLVLALVTQTAFDQNPVSVFGVSKVLLPKELFPKSGQPVSTPATTTPPRQVSLSPEGSDDQPSRLVAPPGEVVSSSTVKRTRVFFYLCWCIAFWCAFLV >A06p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9187176:9188581:-1 gene:A06p018950.1_BraROA transcript:A06p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEMVHFGHPRHVLVKVDLPDFYTCAGCKEEGAGIRYVCQECDYQLHEFCASAPPVLKSHPFHYQHQLLFFTKPAKGGIMKSKCDVCGRSPKGYTFRCKACSFQMHPGCAMLSPSLSSSSLHHHPLQLLSSAANNTGGDHSGGFLCGECKRGKRVGRVYRCTVCDYHLHAVCAKDAAVNGLRANGHKGRDRSPAVLGTAARVASQVVIDFLGGIMEGLGEGVGEAILDGVTRGGGGGGRGGGNGGVTRAIPRVRGG >A09p059430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49708139:49710388:1 gene:A09p059430.1_BraROA transcript:A09p059430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKYLDRRSYSWSGQSRPYICDFCERGFSNAQALGGHMNIHRKDRAKLREANLKEDNPEDSICTNSRTRFEQVPIELPFFVDTISPTRKENNNKSGNYLGDEEEKKMRSLFQKALSKSAEVIDLELRLGLDPYKKSPNIPFSLFTSLETGGVRFEGLWRCRRWGHGLTVDTEAFERAVVEISKLGGSGGGQLNVPPGWCRSLWKLNPWSKPVITGRKLDLQYRGKDWNLLQHTSIKSIRVTYSQISSYFTKRSMD >A08p039020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22515387:22520292:1 gene:A08p039020.1_BraROA transcript:A08p039020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEEALKLISFCVLACRGLVVLLHGLNEHSGRYSDFAKQLNVNGFKVYGLDWIGHGGSDGLHAYVPSLDYAVTDLSSFLEKVIAENPGLPCFCVGHSTGGAIILKAMLDPKIESQVSGIVLTSPAVGVQPSHPIFGVIAPFLAFLIPRYQLSAAKKKIMPVSRDPEALLAKYSDPLVYTGFIRARTGYEILRLGSHLLENLTRIKVPFLVLHGTADTVTDPKATQRLYDEASSSDKSIKLFDGLLHDLLFEPERGIIAGVILDWLNRRVLAFLNAVLGLNQLNQVVVIATGYSSCDYVYDSSLTSNVSSESRGTGGMPALFGSLLEKLEDFISRDAELSEGDEHVDRIASCLLSGSLSMALCYIQRVFRSGHLHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRLMVPIDSCYIGTQNSAFLQQASYITGGVHHAPKQLDGLFQFLTTIFATDLHTRGFVQLPKPVGVDFRASCFCHKKTIDMGYVCSVCLSIFCEHHKKCSTCGSVFGQSKLDGANASSSVNDRKRKAPDS >A06g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14086730:14087863:1 gene:A06g504510.1_BraROA transcript:A06g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIIFLARFPWIVWFLWKARNEKIFNGKQVLPPDTELHATQEEENWRVAQVIEKKDTTGDFRLSNGRNLENDSQLPRCQVDASWVTNSTVSGGGFVFDLAPEIHTYGSLGMDHVLSPMHAEVTYEKKGLCDCAFTGMSELRFKPAYNPYTEPSMEIFSYHAGLKKWVEIGNSGMFRPEMLQPMGLPEDVRVVAWGLSLERPTMILYKCDNIRELFGHNVQDLT >A02p048530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:30175354:30175587:1 gene:A02p048530.1_BraROA transcript:A02p048530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRHLDELWSQVIRLRNENNCLIDKLNRVSETQDSVLKENSKLKEEASELRQLVCELKSNKNSDDDNNFGRKLSE >A10p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:264755:266349:-1 gene:A10p000480.1_BraROA transcript:A10p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFVSSMNMSLPGFSTTLPHSKTTMPVSARSHTMSFSEDPTKKIRKPYTITKSRENWTEQEHDKFIEALHLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTNEHLPPPRPKRKANHPYPQKASKSVALTTSNALLEHEYLYPTDPQPVISTPNHGLMRCNVITPIPVIKEELGVLENCCSTSRSRSIRDKMRTRTVTETDDQRVMPNFAEVYSFIGSVFDPKTTGHVQRLKQMDPINLETVLLLMKNLSVNLSSPEFEEQRRLISSYNSE >A01p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21749515:21751011:-1 gene:A01p040710.1_BraROA transcript:A01p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERICIVSGKLYRNSMGTKATYETLASHMALQSIRTPVFIANHTQQMGTKATNETHASQVALQSTRTLVFTPNHTQQMGTKATNDTHASQMAPQSTETSVFTPNHTQQMGTEVTNETPASSIAQQSTETLVFTPNQTHQNEAEPSYETPSKPNQAEENFDDEEVFD >A01p058000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32939233:32940921:1 gene:A01p058000.1_BraROA transcript:A01p058000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQNQHQGKSILSSSRMHLPSERHHQFLRGGNSPGDSGLILSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTIMKVMGIPGLTLYHLKSHLQKYRLSKNLNGQANSGLNKIGMMTMMEEKSPDADEIQSETLSIGPQPNKNSPISEALHMQIEVQRRLHEQLEVQRHLQLKIEAQGKYLQSVLEKAQETLGKQNLGEAGLEAAKVHLSEFVSKVSAEYPNTSFLEQKEFRNLCTQQMQPPDCSLESCLTSSEGAQKNPKTLENNRLGLRTYLGDSTSEQKEPMFKRMELTWTEGLRGNPYLSTMVSDAEQRVSYSERSPARLSIGVGMHGHRDHQQGNNEFKDERFKDKSEDHKLETQGTTTELDLNTQVDNYCTTRPKQFDLNGFSWN >A06g503620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11201117:11201541:-1 gene:A06g503620.1_BraROA transcript:A06g503620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTMSAKSTEYNAVRILTHGESASKHPHPPLLSMIKSIDRLTQPSIDRMSLTSIAPLTYRVRLPSIDNDYINALKPPPKPLASPPEPKPNPLNSSPEG >A05g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8989810:8991226:1 gene:A05g502970.1_BraROA transcript:A05g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFWAFSFISGRCDSAYLPEGESADWSKTTTPFVKKESGEGEAMDDLFTAYMNLENIDALNSPEADMESSRASGTKTNGSDDTEGESSSVNYGIITIALQEVGVPASINRSPLFLLQSSSKPCIYYTYKKVLRGVATLKVRAMKEVWNIASVSTGIKNSLLLKDATTLVMVAMEAPVVVTVARIISW >A06g503930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:12056303:12056875:-1 gene:A06g503930.1_BraROA transcript:A06g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLELVRYAESECQAWFNANEMIPPQVQVSNNEENQVLSLGNICLLDGSWTASERVSGCGWVWMDSRENIQLMGTRNFTRCESALHSEVEALRWAMENMLQHSSCQSFGTDCKELITMLNDPQEWPSFATELEKIETLKICFPDFKINHVPRVRNQLSDFLAKTARNFRRELLFIGCSIPVWLPRLPQA >A08g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6359258:6359598:1 gene:A08g503550.1_BraROA transcript:A08g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNKSITLLKDVEPFKSCWCVQMKRFQDPLFMQEVMIYRKEKHRSMLEELEALPILTV >A10p035210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20284193:20287341:-1 gene:A10p035210.1_BraROA transcript:A10p035210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAGSSSSWAAISSSNIGFQLLKKQGWKEGTGLGVAEQGILVPVQAELKNNKRGLGAPAEKPAKRKAVKPATDSGKEEEEVSKQSKKLSKKMRKLMEHEKHLQEKEFERAFFREFWPDNNSISRFRTAPPHLKPTPKASTMASLLVPSSQLLLRTQTQHNTQPSLLPRPSPKTPFLVSLPSLRPSRLNHLSTSASASKKPSEMENKKQKSSSEAEEEVEEEEVEEDMLWIQEKALDLVEFTGSVTQAIPGPRVGSSKLPWMLAVPLAYAGVTFVTAFVKTVKKFSSPKAQRKKLVNQNAMLCRSIDELLQSEGTVNSSELKAIEEKTGFNMMEILRKYIRYALNEKPFNPDLVASLIHLRRASGLDDSQIPEVLNEISRRIVKEKGPVVMNMQGFTEKGFKRKLAVQALFGKIYYLSELPDFCSKDNSLIVKEIFGVTDEDAEKLRIHALTEAGDIDSLEKMVEFEKVADSSSDIEDTNEEDDTTTTTTP >A08g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11009145:11017395:-1 gene:A08g506450.1_BraROA transcript:A08g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSEEQTETTTDEVEIPEAAPLDPEGGNQALSSTVSELKDAEPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGKLWNHRNNPIVIEKKSAATTIVFGDLLPSEAKGMHVSAQQDFHYETNWRIKWPPDHQDIVNSAKHIGLAKFCELLISDWAGRLQIYLWKPGAYDSTLIILGECSARARTSLGNKELEADQNALLLDHVKVWKPPNLQKLQYHFRDCQTKSGNGDFTKENGEVITGAGGGLMFSSQIKEKPPDGLSLHQTSNKQTRRQTVLSARIFEKRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFNIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSLSNERVMDMMHLFLPKESCAEYMEALKHTKGKNKREEDKRFKPPDLSQERHQDVTCFILIKEAPPDAAYKPKPRKYNFGIRLLLYDDFACRIRALVWCVISDLLPRSIKEPFRSLLCHHSIHIP >A09p029510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17623698:17624330:-1 gene:A09p029510.1_BraROA transcript:A09p029510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLFNFVIAWTLMFAPLLYTDRKRDRFKSSLDVLWGLMMFLTNTFLIPYMAIRLNDADPDDKPSKRSQLGEAMTKGASVVGLTGVTVCLISTLWSLYGRADGGFGGIMERWQYLTGYLGSERLAYAFIWDICLYTVFQPWLIGENLQNVKESKVEIVSYLRFVPVLGLLAYLLFLNLDDQD >A01g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23863207:23864574:1 gene:A01g508780.1_BraROA transcript:A01g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQTQSSALFNLLPSPNFTKPIDKRGFVTPKRYPSPPISLRCSVSTASDTPSTATNHHLSTSHKPFPAEVSRSIMELSSVGTLSTLTHDGWPLGVGVRFAVEQDGTPVLCLSRSFSPDKRSSLHVQLEQCGLRTPQCTIQGSIARPGDDNALKRLSATWRKKFGEEVEEDSLYVVAVDRVLQIEDFMEDGVWVASSDYKNASPDPLRDVAEDIVNQINANNMEDIFRFCNVYVDLDFVVSETKMIWMDRLGFDLRVWSPRGVYDVRIPFPMEVTDEKGAKSSFNGMSQLAWEVEKSYCPADFNKVKLLKQVVGSSHEGGQ >A06p016570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7409018:7410184:-1 gene:A06p016570.1_BraROA transcript:A06p016570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEVMRMEIPASGDMSVPSPELHVLAVDDSIVDRKVIERLLRISACKVTTVESGARALQYLGLDGDKGASGLKDLKVNLIVTDYSMPGLTGYDLLKKIKESSVFREIPVVIMSSENILPRIEQCLREGAEDFLLKPVKLADVKRIKELIMRNEAADCKTLSHSNKRKLAEYIDDASSPSPSSSSTHDDSAAKDFPSLKRMKAEDEKFSSLL >A04p001480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:693461:694471:-1 gene:A04p001480.1_BraROA transcript:A04p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCDKEGLKKGPWTPEEDEILVEYINQNSHGSWKTLPKKAGLLRWAAIAAQLPGRTDNEIKNLWNTHLKKHLLSMGLDPETHEALASYGLAKHAPASPTTRHMAQWESARVEAEARLSKESTLFSSCGEVKYDCDHFLRIWNSKIGESFRNIASCDESTTTSQSHCSRKTSSSSSLVKSSTSSCVGKEIVMGIHHGSDSSSLCWNNLEDVSSDSSLQLLLDFPISDDDMSFLEENIGSYT >A09p066370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52712342:52713507:-1 gene:A09p066370.1_BraROA transcript:A09p066370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQHANWSPYDNNGGTCVAIAGSDYCVIAADTRMSTGYSILSRDYSKIHKLADKAVLSSSGFQADVKALQKVLKSRHLVYQHQHNKQMSCPAMAQLLSNTLYFKRFFPYYAFNVLGGLDEEGKGCVFTYDAVGSYEKVGYSAQGSGSTLIMPFLDNQLKSPSPLLLPAQDAITPLSEPEAVDLVKTVFASATERDIYTGDKLEIMILKADGIRTEVMELRKD >A09p081350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59234307:59239174:-1 gene:A09p081350.1_BraROA transcript:A09p081350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKGLSQGDETDAKPVRSSDRLRRRPRLYGRSCYYYSPNMVHNRKRKNTKTRTAASQIAKMLHKGNKPARASNAAPDASDLRRSTRKRRISVNLEDYTDSSGGEDEDMMSPAYRTSRSRVNNGVHRNFSTSKSRKTMEAESAPRREGLRPRRSKILANKRLKTESGANRDSSEEKDGQDETENGNELDDHDADDGENEVEGEDEGNGEDEGDGEDEEEEEDGDDDVDEEQEGRKRYDLRNRDEVRRMPTEEFNKQQQPRSPRRVLHQGMGTRAGRDVRRGGSRLHKRHRSTRTDDSDDSLLVDELDQGLAIPWARGGNRSGPPWLFGGMDMHGSSSLGLNVGASGWGHQSDGLTALTSGVQTAGPSSKGGADIQPLQINEDINFDDIGGLSEYINDLKEMVFFPLLYPEFFASYNITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFTFSLPGCEARAEILDIHTRKWKNPPTMELKEELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKYAIDVGLVKVGKSHFVEAMSAITPAAHRGSVVQSRPLSPVVLPCLHRHLLKSMSLISDIFPSSAMSSEFTKLSMLSFGSAIPLVYRPRLLLLGGEGVGLDHLGPAILHELEKFPIHSLGLPSLLSDPGAKTPEEALVHIFTEARRTTPSILYIPMFNNWWENAHEQLRAVFLTLLEELPSNLPILLLATSYGELSDIEDQPVFDNRSVYTVDKPSSEDRSLFFDRLIEAALSVISGLNGKPDGSQALPELPKVVKEPTGPKPAEIKAKVEAEQHALRRLRMCLRDVCNRILYDKRFSAFHFPVTDEDAPNYRSIIQNPMDTATLLQRVDAGQYLTCSPFLQDVDLIVKNAKAYNGDDYAGARIVSRAYELRDVVLGMLSQMDPALLTYCDKIAAQGGPSQIPDDLSGSILGLAPVVQMGTVTRSSARLRNLQPEVNIDRDYEGLKMPKKTADVVFTDSAADKHQDPDVEMTSVEAAGNSNSPAPCSADGDEEDQSEQPSRKEEETCGGEVIGDCSRDSVKSDEDISSGIESVKGMLMERTENYSIPQMERVYTRIMKGVLETLEKGLSDDDQSPKHSILRFLSEFAQLQANF >A06p042330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22747624:22748214:1 gene:A06p042330.1_BraROA transcript:A06p042330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRNNNSYHPNRYYIHYRNQLERPVQVLTFLKTATMVIKRWRPDRDTIWMLTFANNFVWLDSSLISLYLELVIVMRFYNTRCRRVWFDIS >A08p034240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20405423:20406112:-1 gene:A08p034240.1_BraROA transcript:A08p034240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMDPDAVAKAFVEHYYSTFDNNRVGLAGLYQEASMLTFEGQKILGVQNIVAKLTSLPFQQCKHNISTVDCQPSGPASGMLVFVSGNLQLAGEEHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >A02g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10180642:10182546:1 gene:A02g503070.1_BraROA transcript:A02g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFLSITTKQFVLLTDLKSRRCSSTSLRLLRSEKPETGVDRILLDAELRTTFISYVSFGESSSAPPCTSNRKAFFENVSPTTHHRWTKELQWTVSAFTCKTSNNANVVGVLRVTFMARENLVLHGLNYHKVIKSLHRFQSMEHPLPGLPTGNDDDDMPAMNSVSAKVDVGGSTLEGAPTT >A03p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5439013:5441511:1 gene:A03p013730.1_BraROA transcript:A03p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIQVSVLGLVDVQTASSTTSIKVAMGKIEYRTSDSGDYIFPVTRLQENLIITLVDVNGNGILHKEIETRMIIESGFLEENVLFNGYGNVQLKMQFVLSEEDRNRIRFLRQSALRKKHKELVGGSSFTKSKSIASDLSSLSPMQTRDTVAAASPKTALAQETELKTNADREPVSSNLIPWKAEVTEKKKKNQPSSSDVSLSKKLPEVKKLENVSLVKQEDKGLSKPEKIPIRKPMRRSLSETNLSNVRKMISTFEVKVTQDTNLLMGKSQTEDVKEKAKAQSQPKSSVNIDKPKELKISSATTEICDHIVTVSREERPLVIEHKSPEESTIRSDSLSKQRIKRSSVVEVRNDEKKQSKPVRLKDSHLENARGSRLWIFPDEAKDVLQKKTEESKKANTGERGLSCRSIEKMNINNKWKNIERLKKQKSQTSSADSESSRGPVGQVGDACTDCGRFCRLSVFDTEMNLNRFLVIQASASNLFVSLIHFADEPNLHFVIVILEQFF >A09p064600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51980712:51981153:-1 gene:A09p064600.1_BraROA transcript:A09p064600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding INQPRVNAYAHATPFIKLYFQSAIYLLWKERNARVFTTVSSPSSVILASLDRMMRDRLLSYPASSSFSSFLLLFIFLWTKKTP >A05p007220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2910623:2911444:-1 gene:A05p007220.1_BraROA transcript:A05p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEDHPPPPPRGNEWEVVSLTSSAYAASPGPYNVESRDARKFDHAYYGAETSRDLFMSDHFVFPPSQHEDLPIDDDEPVFEDEGSKDDGQDLMMPEEGQGLSDEHSTSRQYMESAMYEHGFIDSEPNESAEDTVGPEKDAKKARHDLPCEAWWRRRAVSMYIRTREANAMWSLFFAAAVTGLVVLGQRWQQERWQVLQLKWHSSISSEKLSRVLEPLSRLKGVIVRSNPQASLVRSGTSSEI >A05g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29291002:29291867:1 gene:A05g509760.1_BraROA transcript:A05g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIVDCSICIYWRLKHRLSLVGTVAFDLALTAAAAFAADDHLRENVPVMVVETVFPGGSDPKATVSTRLAWSCFLSRKLLGSGRITDLCLVIITIIQAAAGAGRTMLISDSGNVYSCGKDSFGEAEYGGQGSNVPGVDFVLSCCLVRMSGSLTLSSKNVKNGSGKVCVCVGVSRDMCVCCSCCLVAQTVYKSQEKCCCCCLVSR >A09p036150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21461875:21462422:-1 gene:A09p036150.1_BraROA transcript:A09p036150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFLVIGLSSIVSTRFDFQDLIFSDMTSMLSFIAQGRLHGLLISFYLPFSSMFSHLILAFIAVRVSGGSPIHQMVVVVLMLYACVFILRGDYDLL >A02g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4116321:4120836:1 gene:A02g501230.1_BraROA transcript:A02g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGVESMRPETAMEETCNVKIAAAKQGEGLKQYYLQHIHELQRQLRQKTNNLNRLEAQRNELNSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDINKITPSTRVALRNDSYVLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWKRPRGTKAEKDLTVEKAALKIKRDQKEGNLTVALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAVIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVHIDVAEQQKNEADLIAAAAQPLPDDDDDAFE >A07g507970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21947614:21948494:-1 gene:A07g507970.1_BraROA transcript:A07g507970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLLFQPHYLQPLNLTILGGSFLFEILGAWRRLLCAKKIIPLVETIKLVFFIYVRPDDFQVSRLVVDDLHGSLPVNAEVNDLNGSRPSENLHGSRPSDDLYGSRYQSHDFIPRFWLNLAYLGRLPRKSSDR >A01p020660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10110049:10110822:-1 gene:A01p020660.1_BraROA transcript:A01p020660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIKRTYTTPKTTTITKRRKKLAKEEEEDDTPLTGIFCLKQRQDMKIAEEKEDCFILDFDPNDSFDSEKLSSSENPESDDDVAIVHEKGQVACRDYPHPRHLCLKYPFGSTNHQLHCNNVRQICATVMYVMWLRLAHIGRLLLTNRIVKHRRKEGGTVYGSFTASEEECGCSPL >A08p000740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:454860:460921:1 gene:A08p000740.1_BraROA transcript:A08p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGELNNNKVWEIKALKKKAKEEEARKILEKVANQVQPIMTRRKWRVKLLSEFCPTNPRLLGVNVNRGVQVKLRLRRVNNDGDFLSYHEILDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGSGQGFDVPGKRLGGFSRQPTLSSLRATAAKAAEKRVRAGNLLPSGPQRLGGDSSIMSDLTPIQAAAMAAERRLLDDIWCGSQSAEALEDQENYSDACAEPVVSVRSAKRSSSCSNATSSSCPPSSWGSDVIDLTEEEASESSRCSKRSCNPAGDQGPSSSSKDEPISGVMKSSETSPSTSCNENQGREETAMWECAECTLLNPNLFTVLFILEQLLAPICELCTAAKPKEREMKHKVWSCKFCTLENEVNLEKCEACGRWFSEALVATWESRNAIGALHTDEKMRQLSVSGWLKFALEKLSVPIFEAALQPFLLDAGRAPGHRRWVLCSACFSSPLSALSIVISNFNSRVFVVSYAMVSTNRFTVAVSHPPLVTAHPLVISHPLKVNRSTGSRTTRSAHFLGTVKSPLGVQPEPPLLHHVSSPSQPFEPLVIYSLRQLHPNRSLDVSFQSFVMGLRFSSGLDESYGFQYGNIGVHFLSLISVRILSWNIVKSIAPPPPSRLVTPFPSEICCYSTASFTHPSHLNADTAYGLSDICFWLGLAHLLVCEGLLLKSTHSWPTKNFPMSDVIKLRHRSSSEASCRSTVCRLVSYRVHLAPSCDVVQGSPSPSFMSIRFKSRQRRPFSMAFSYVSGVTHLFLPPISPYLRQSSIENSGRAQPPLFQDNYFLVEAKESVSSPVFVSANRFKTLSIGPLIVGFISRLQYVLGTSVSGSQVKHLYGSRENPPPSILLSPSPLICGCLAGTLRYQKLDRIQPWLRDYVKLQSLAVFLIYIQIGCALIGSLGALYNGVLLINLAIALFALVAIESNSQSLGRTYAVLLFCALLLDISWFILFTEEIWSISVETYGTLYIFSVRLTMAMEMIGFFVRLSSSLLWFQIYRLGASIVDTSSSLPRETDSDLRNSFLNPPTPAIIDRQCSGAAEEILGGSIYDPAYYTSLFEDAEAQSNLNSPKVNHYSAEYNGSPSAAEASQIKSPGSRSLHAIDEEKGLKQQDKKIIYHLPEDETLHVDDKIRVRKCTLMHHIDSPGFFFCVNGDTFVLVAMDREYDDSDIEMSRPCVFDMNRKVDDLEIPKILINQHQSGGSHMSMKKKIVVACCRDGMKECWEMIRFRHGFHNWSLLSDLKLEPPIVRVSTTNSKTYWNI >A03p052730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19948620:19949411:-1 gene:A03p052730.1_BraROA transcript:A03p052730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHYSSAFRCPTVDSGRSCPNVLINPLQFQIPVTKLTLELVIKMAKDIKDVSWIHGMDMYASVSVREGTIIKHRSSTSIAFGVYKDPIWDHAISYNGMMMVTRDVIGPSGKVGTLSFSYRFLNEQEATIPPAPLQPTTPTTPPTTTPQPFIIYVPIPHQSFGSPNQVNGASPYGEVHVGAHPETSSGIHPVYIQPSYQSHGHQQFPTMPPH >A03p027150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11311543:11313400:1 gene:A03p027150.1_BraROA transcript:A03p027150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYGRTPPSQSAHGNAADTGLEESMWRLGLGGETYPERPAAPDCPYYMRTGVCGYGSRCRYNHPPDRATVEATVRATGQYPERIGEPPCQFYLKTGTCKFGASCKFNHPRNAGGSMSHVPLNIYGYPVREGGEKECSYYLKTGLCKFGITCKFHHPQPAPAPAATPPPPASAPQFYPSLQQQQQSLIPGAPSSSLRVARTLLPGSYMQGAYGPMLLSPGVLPMQGWSPYSAPVSPALSPGATSLYGVPHLSSTTPSLPGVYPSLPSPTHSFPERPGELECQYYLKTGDCKFGTSCKFHHPRHRVPPSANCNLSPIGLPLRPGVQGCTFYIQNGFCKFGSTCKFDHPTGYNASSSSLPDAPVSTLLGAPSSTGLLSGAARSTSNISAGLIFSQSGGSIPFSDLQLSSQTSLPLTGSRITRHGREIRRSF >A07p049520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26299349:26301535:1 gene:A07p049520.1_BraROA transcript:A07p049520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGTTTTTSAPAEAPPPPQSNDAAAATEAAAAAATVGAFEVSEEMNDRGGFGGNRWPRQETVALLKIRSDMGIAFRDASAKGPLWEEVSRKMGELGYIRNAKKCKEKFENVYKYHKRTKEGRTGKSEGKTYRFFDQLEALETHHQPQTQPPPLRPHNNNSSMFSTPPPVTTTIIPPTTTPSFPNISGDFMSDNSTSSSSSYSTSSDVDIGGGGGRNKKKRKRKWKEFFERLMKQVVDKQEELQRQFLEAVEKRERERMAREESWRAQEIARINREREILAQERSMSAAKDAAVMAFLQKFSEKPNPQGQSIPQPQPQPQPQPQLQMQVNSNNNQQQTFQTPQTPQPPPPPPLPQPTLDTAKTDNGDQIMTTPASASSSRWPKVEIEALIKLRTNLDSKYLENGPKGPLWEEISAGMRRLGFNRNSKRCKEKWENINKYFKKVKESNKKRPQDSKTCPYFHQLDALYRERNKFQTTTTNNNVASSSSTKPDNSVPLMVQPEQQWPPAATVSQPDHHPAQPLDQSFDDEEGTDEEDYDDEEEDEENEEEEGEFELVPSNDNKTNNV >A09p014640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7692461:7693974:1 gene:A09p014640.1_BraROA transcript:A09p014640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSCFNPFRLRRSLRPQFPPDPSFPALSANPSSSKTNRYAESETMEKKRFDSMESWSLILESENVETWEASKGEREEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGNLRMYLNKKEPYSLSIETVLRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCREAKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWSENPSKRPDFSNIVAVLEKYDECVKEGLPLTSHASLTKTKNAILDRLKGCVSAISSPSSSSSLPVNA >A06p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5278097:5278815:-1 gene:A06p002210.1_BraROA transcript:A06p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQELSLKAGEATGQVQLKKEEYLNKVSHAMNENADHHSHSHSHPEHDQNNPSLISQASNVIQQTGGQVKNMAQGAADAVKNTLGMSPATNNPSNPAGTTHPSNPSSKNL >A03p033750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14285516:14289761:1 gene:A03p033750.1_BraROA transcript:A03p033750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGSLFDNTNGGSPTGARILSGLTYGNHTTASTVIPGGAMAQVAAASLFSPPINTKPVYSSGLSLALEQPERAGPNRGEASMRNNNNDNNNFEGSTTNRRSREEEHESRSGSDNVEGISGEDQDADDNKPPRKKRYHRHTPQQIQELESMFKECPHPDEKQRLDLSKRLCLETRQVKFWFQNRRTQMKTQLERHENALLRQENDKLRAENMSIREAMRNPMCNNCGGPAMLGDVSLEEHHLRIENARLKDELDRVCNLTGKFLGHHNHHSSSLELAVGNNNGGNFNFPPNFGGGGCLPQPPQQHQSMVVNGIDQRSVLLELALTAMDELVKLAQSEEPLWVKSLDGERDELNQEEYVRTFSSNKQAGLVTEASRTSGMVIINSLALVETLMDSNRWMEMFPCNVARAITTDVISGGMAGTRNGALQLMNAELQVLSPLVPVRNVNFLRFCKQHAEGVWAVVDVSIDTVRDNSGGSAVTVRRLPSGCVVQDMPNGYSKVTWVEHAEYDENQIHHLYGPLLRSGLGFGSQRWVATLQRQCECLAILMSSSVTPHDNSSITPGGRKSMLKLAQRMTVNFCSGISAPSVHSWSKLTVENVDPDVRVMTRKSVDDSGIVLSAATSVWLPASPQRLFDFLRNERMRCEWDILSNGGPMQEMAHIAKGQDQGNSVSLLRSNPMNANQSSMLILQETCIDASGALVVYAPVDIPAMNVVMNGGDSSYVALLPSGFAVLSDGGSGDVEQRPVGGGSLLTVAFQILVNNLPTAKLTVESVETVNNLISCTVQKIRDALQCES >A03p032080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13580677:13581808:-1 gene:A03p032080.1_BraROA transcript:A03p032080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMSWFMCMMMMVCVISCGEAAPGAKFEDLYRSSWAMDHCVNDGEVTKLKLDNSSGAGFESRSKYLFGKVSIQIKLVEGDSAGTVTAFYMSSEGSNHNEFDFEFLGNTTGEPYIVQTNVYVNGVGNREQRLNLWFDPTTEFHTYSILWSKRSVVFMVDETPIRVHKNLEDKGIPFAKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFIASYKDFKIDACEVPTTTDLSKCNGEDQRFWWDEPTVSELSLHQNHQLIWVRANHMIYDYCYDAARFPVTPLECQHHRHL >A04p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6900149:6902185:-1 gene:A04p011090.1_BraROA transcript:A04p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLQSLSIILFLLLPSSTAMWCVARFDVTSQALQAALDYACAAGADCAPIQPTGLCFLPNTIQAHASYAFNSYFQRRAMAPGSCNFAGTSTIAKTDPSYGSCVYPNSLSNAGGSASTTTVGGTPTATAGNIPVTSLRPPSGTTASPFGIGGNGLIPPGITNTDESGAYIINTSSVSISPWGVASGSLAGHKLVANNIACNHGGVFLANYFSETL >A05p045750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27340716:27347248:1 gene:A05p045750.1_BraROA transcript:A05p045750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTIDRPETHAHTLTFIRKRNPFNCDACGRVGKDVMNMYGCLSCNFFIHRDCMYLPKVIKLTRHSHRLFHTYQVPDHNTKCRICYDPFVCGSGGYICSDKTCDYKLHSQCATRKEIWDGKDLEREPEEISNSEDVTMTFSSLEEVDGKTLRHFSHHHDLIRLCVNGGEEENKRVCQACILRIGSNSFLGCKECDFALHDRCARLPRKMEHLFHRHSLTLEVDMMNIKEGFFRCSKCERESCGFMYWCCQEECDFKMDAKCASLAGPLYSETHKHPLTLLDYGARCYECYECPFSLDSKQATLPVLVKCNYDIHPLTLCFGRHIMCPWRLFSCEICEIRIKPDYPDYEDLYGCFDCNTLVHVECAIGKYPYLKPGHTIKLNGFEIEIASNSFSRPICHACHSTCQDKLIYYKANCFRCRLCDFGFHKECQTTLMESNLTIDRPETHEHTLTFIRKMNSFNCVACGLVDKYEINMYGCLPCNFFIHRNCIYLPKVIKLTRHSHRLFHTYQVPDCNTKCRRCENTFVPGCGGYICIDKTCDYKLHSYCATDKNTWDGRDVEGEPEETSNSDQDVTSLKEVDGKTFRHFSHQHDLMRLCVNGEKEEGEERVCQACILPVDFDCFLGCKECDFALHDTCASLPRKMEHTFHRHPITLEVDIEEASSVALNVNENHVVSCIGAAKRNCASLSDPLYSRTHEHPLSLADYGASCYKCSFYLGPNRVSLPVLVKCNYDTHPLTICLFESIKSWVWPPFHCEICEIRIKPKYPDYEDIYGCFDCNTVVHVECAIGKYPFLKPGHTIKLNGFEIEIASNSLSRPICHACHSTCQDKLVFKNKSSAISFCSINSPLFILNMSLKSMVQYIPMTVQRYA >A01g510890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30156908:30167598:-1 gene:A01g510890.1_BraROA transcript:A01g510890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWILKSLLLQLLFVLIQHHADASSIIRYLPGFEGPLPFELETGYIGVSEAEEDQLFYYFIKSENNPKTDPLLVWLTGGPGCSSFSGLVYENGPLAFKVETYNGSVPSLVSTTYSWTKVANIIYLDQPVGAGFSYSRNPYADIPSDTGSVKRVDEFVRKWLAKHPEYSSNSFYVTGNSYSGKVIPAIVQEMSKGNCLCCKPQINLQGYVLGNPVTDFDLDNNTRVPFAHGMALISDELYESMKISCGEKYVNVDPLNTECLKLIEEFKQSVSRIYDELVLDTNCDTTSPDCYTYRYLLSEYWANNESVRRALGVVKDLKGTTEKWERCNYNVQCKQDIKSSIPHHLSNSIAGYRSLIFSGDHDMSIPFVSTRAWIKSLNYSVIDKWRPWMILDKVAGYTTTYANKMTFATVKGGGHTLEYKPEYFVITLKNSRKRMGSWILKSLLLKLLVVLIQNHADGGSIVRYLPGFEGPLPFELETGYIGVGEGEEDQLFYYFIKSERNPEEDPLLVWLAGGPGCSSFSGLVYENGPLAFKVETYNGSVPSLVSTTYSWTKVANIIYLDQPVGAGFSYTRNHLADTPSDTEAAKRVNEFLRKWLAKHPEYFSNPLYVAGNSYSGIVIPAIVQEISNVNHLITGNDICCEPQINLKGYLLGNPLTDSVVDGNARIPFAHGKALISDELYDSMKRSCGGNYFNVFPLNTECLKLVEEFKQSVFRIYEELILASNCDPISPDCYTYRYSLSEYWANNESVRKALKVVKGTTGKWKRCDYNMRCPHDIISSIPYHLNNSIKGYRSLIFSGDHDMTIPYVATQAWIRSLNYSVTEKWRPWMILDKVAGYTKTYANKMTFATVKGGGHTLEYKPEENSIMFKRWISETQKKKKIQMEIKLLLLLVLELLPLAFIKHACSRSTVRYLPGFQGPLPFELETGYIGVGEAEEDQLFYYFIKSERNPEEDPLLIWLSGGPGCSSLSGLLFENGPLSFNIESDNGDIPSLVSTTYSWTKVANIIYLDQPAGTGFSYSRNPLADIPSDIRSAKLVNEFVHNWLAKHPEYYSNPFYVTGNSYSGIVVPAIVQEISNENGVCCKPLVNLQGYVLGNPVTDFDNNDNWRIPFAHGMALISDENYQLLRRSCRGNYIIVDPLNTDCLKSVKEFENCVSGLDVTYILGLKYVNASDPYVSNPSEHRMSVQSNCWANDESVRRALHVEKGSIGEWLRCYREIPYKFDIRSSVPYHKNNSIQGYRSLIFSGDHDMYVPFLATQDWIRSLNYSIIDDWRPWMIHNQVAGYTRTYANNMTFATGGGHTMVYKPEECSVMLERWINGQPLEKAIVLEIQRAKGLFCFFELESLNKLFFSWHELSGETVVYVPFLSTQDWIRSLNYSIIDGWRPWMIHTTNSLDTQGVRTYKMTFATVKASLTWMVYKPEECSVMFERWINGQPL >A01p050020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28072974:28073477:1 gene:A01p050020.1_BraROA transcript:A01p050020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHALQPLLPFHLLLVSLFVLPAIFAASVSDFRICQNSQQSPIKVNAVEVSPYPFTSGTNANFTITGFTSQEIPNDAEVSVGLTSDGTPARGHL >A10p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17440025:17443025:-1 gene:A10p027840.1_BraROA transcript:A10p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GICTSSFKNGVKIILRKPNYSNHLRWLPLSLSCLKWKLRCLFLEQHLERERERERERERERERDSSISSRSLLPQNKNIQEDCMDLEDWELLPKNLYKGLDLDHDEDHEAAMRIIRNTEKSFDMDYFICPTQDPVGKIEFHRRPSVVPTQLLQVPVNWEPVYTVDDTDHKKNQDQDPELVTESVPSPRITFKTAKENEFVDMKIDLPARFTSPLPQKDDKHSVSGRVLAREYHGEMGTEVEEGSDVKCKKEVDWEEEKNKRGEKMNLWKMGLHGIGAICSFGVATAAATLYVFFLGRNNSIRGCRNKNQILRFQIYSDDNKRMKEVVKHATKLNEAISVLKGLPVARAQISFGGHYDGL >SC332g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000176.1:2972:3531:1 gene:SC332g500010.1_BraROA transcript:SC332g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPDLSREEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEMAKTVGLGSRQGDQDKPASNHPWRQPTGSGRRS >A02g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25694504:25697923:-1 gene:A02g509640.1_BraROA transcript:A02g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTYFRSWIDRPHLDPNTRLLTEEYQQETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINKIVESSVPKKKGRLVGLGRRSWSAAPSSAPPPYVDPEVLAAQLKDKDDRISALETHMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p013370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5847091:5848672:1 gene:A02p013370.1_BraROA transcript:A02p013370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uric acid degradation bifunctional protein TTL [Source:Projected from Arabidopsis thaliana (AT5G58220) UniProtKB/Swiss-Prot;Acc:Q9LVM5] MAMTTMEIREEDWKVCCGSSDFAKLMVKASPFNSLDAAIESARDIWFNQVNVAAWLEAFAAHPLIGKSPSPSSNSDFARRSVTEQSRAFATTSASALEELTEWNALYRERFGFIFIICASGRTHAEMLAELKGRYANRPIVELEIAAKEQMKITELRMAKLFSEKAKAVSQTESTKPQEDRLRIIGGHLNVAEGPKRSRPPITTHVLDVSRGAPAAGVEVHLEVWNGTTSPSFGHGARGDWSMVGTSATDKDGRSGPLMDLVEALKPGTYRISFNTGKYCPGGFFPYVSIAFEVTESQKWEHFHVPLLLSPFSFTTYRGS >A02g510860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28783278:28788227:-1 gene:A02g510860.1_BraROA transcript:A02g510860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVLFRVFLSRVEVNTSCRLISCLEMFETRALGLGKDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTICLALSSNFNFLRRLAVIIFTIFGPDEAADERKLKRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVEFAKIADIRCLVSGFPSLSALTASELGLPFGQLLLFVPIGDFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVGDITRIQVNVFGFVILRVLCRERKTFRVPLLDGRLLAGVLTGRSFPRDSCSVEWGGEIEPLPADFGGSAGTDSLGPCRGEHLFKLLESRGVGLRVGRRYVHYRSVEIGAAASVKGSLHVIRVRQTVGTEIHTVDFRLNKETRKTLTSQRTRISVNYHTSSNQNTRITTIKIRNRKKRANSLPCSSPRTPYILAPRSVYAFTLLPVSRHSIKMEIFHFPDLHNYLQNFRIYPRKLDIYPSSWAKREPCCGSRAFGPFRPSSYSRRLLRNSGKHGLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVATERDDRSRPSLAELGRYIATERDERSRPSGTIARSLRSDRAIRPSGTITQSLRSDRALARARSLRSDRAGRSFGLNPKEYFFVKITSYRLFLRKLHPFFY >A06p046020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24666726:24667719:-1 gene:A06p046020.1_BraROA transcript:A06p046020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVATLSSPPPVTLPLSSSRSSLFSNCFIATTRRPKTRSLVAIRPEQRRKSLTCNALFGLGVPELAVIAGVAALLFGPKKLPEIGKSIGKTVKSFQQAAKEFESELKTEPEDSVAGSSSPVAMSNKEEEKTEVSSSSKDNNV >A06p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:186163:186455:1 gene:A06p029120.1_BraROA transcript:A06p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTKLGLEKLSLLPSKHLDSIYSTSLLNPKCRVWCLDIDRWYLCTSIDINLHLSRHFLILIVSTDSHRSIVLPLVDL >A08g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6523018:6523334:-1 gene:A08g503850.1_BraROA transcript:A08g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKDGDPEMKPASIRCGSVLCRDRRFYRRQPQDAVSDAESCVRRRKLRQRNEQELTQNVDAAAAAGTGGNQTNSTYI >A01p054880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30844129:30850737:-1 gene:A01p054880.1_BraROA transcript:A01p054880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFHGTNDSCPLVKNILLLDSEGKSVAVKYYSDDWSTHAAKLSFEKLVFSKTSKTNARTEAEITLLDSNIIVYKFAQDLHFFVTGGEDENELVLSSVLQGFFDAVALLLRNNVEKMEALENLDLIFLCLDEMVDQGVVLETDPNVIAGKVAMQSTEASDVTSLLRFGIADINSSTGNSSGASGKKSAYMSSLVCMPTPNHNQKLMNKNYGGHISVRQTTYLGSHLAVDDLPFSRLAVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFNGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGDEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGRGVEHLLAVREENAYTLRVVQKTFCRPEDLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSMTFDVLQSENPTITRSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFCRPNMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPSNLLRLAKRRYQACMIIPRPTRESSS >A07g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24622767:24626751:-1 gene:A07g508820.1_BraROA transcript:A07g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTSIVLIWKYPLDVHILVQSRQCITCVLSIPNQAPIYYTAIYASNQSDERVELWNELLITHSTLDLENKNWVVGGDLNQILFPFEHSNPDVNFTDNPMYQLQDCLLQAGLFDLRYLGPCHTWTNNQPESPTAKKLDRLLVNNITIDSYPHAVASFLAQEMSDHTPCLLNLALFLPRAGTFPYKFQNYLTKHPGFAQVINDAWIHGGSASQSLTQLCWKLKQIKSDLKRINKENYSKIQERVVETHRPSFVNPVAPSTPEWFQSLLDFSISPLRSVAYPLIHIQIQNGEHGRFWIDNWSPFGKLQDYLEGGRSRLGIPLKATLASLYRNGTWQLPAARTENQLQVLTFITTINFNSQPDQYVWKINGKSSEKFSTGEVYQYLRGDVEEVNWAKVIWSPRSIPRQSFHAWLVVQNRIPTRDRLISWGLQVPSLCLLCNQTDESRDHLYWDCGYAFDLWNRVAGRCGIVPQRSWDNSLNQMLSLSPAASTMRSLTLLGWQACIYWIWNERNNRLHANQFRSLDSLFSIIDHQIRNKIQSFRETNPRRSSQMMQRWFGLGVGNLPLSSEIIVSHHHLFSH >A04g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22687687:22687957:-1 gene:A04g508530.1_BraROA transcript:A04g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPDINVRMIGILVDWLTEVHYKFELMEETLYLTINLIDRFVAVTQHVPRKKLQLVGVTALLLA >A01p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12114530:12123631:-1 gene:A01p024470.1_BraROA transcript:A01p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLGVCQDMDKISQLPDELLLKVLLFLPTKVAVSTSILSTRWEFLWMWLPKLEYDHSMDERKSLSRFINLNMPLHRAPVIESLRLNFSHGYTGSVTPEDVRQWVALAVTRFLRELSLDLTLEVNPTKLPSSLYTCKSLVILELKGSNLVDVHRTSCLPSLKTLILQGVLYADQKSLHKLLSSCPVLEDLFVEQDGCESEHFLEAVSVIVPSLQRLTLKLCRGYFFHRLVINTPSLKYFKIEDYTYEHTNGIDDDDYFFYSDDMPKLEEMEVDSTYLDIQNFVCLITHVKRLSLCLPDQAENALYREGIVLSQLRRLKLCSCTINWSKLLVRLLKDSPNLQELEIHLDDDPPVCWENELACVPDCLVSSLQTFKWTRIYGSQKEVDLVKYVLSNARCLKTATILFRSISDSALEEDELEMVIQDLSLSSRGSKDVKLNQSSYPNPRCLIPLHGDVLSRDDAFSRRRRRKQPFEESLRRGVLLFLPTKVAASTSILSKRWEFLWMWLPKLAYDDSMDEHNSLLDFITLNMPQHRAPVIESLRLSFSYGYKGSVTREDIRMWVAIAVTRFLHELSLDLTFEVNPTKLPSSLYTCKSLVILKLEEGILVDVPRTTCLPSLKTLLLHGVTYADQKSLHRLLSSCPVLDDLFVKHNGCESEQLKTFSVIVPSLQRLTLKICRGSFFKALVMNTPSLKYFKFTDYTCEHHDFSDTDFDFDFDYNGYSFYSDDMPKLEEMKVDSTYLDTENFVSLITYVKRLSLCIPDQAEKALYREGIVFSQLRRLKLCSCTINWSKLLVRLLKDSPNLQELEIHLDGAHTNICEDPPVCWENELACVPDCLLSSLQTFKWTRIYGSQKEVDLVKYVLSNARCLKTATILFRSSDSALEEDELEMVIQDLSLSSRGSKDVKLIKIHN >A04p000450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:227423:228809:-1 gene:A04p000450.1_BraROA transcript:A04p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPL4-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G63000) UniProtKB/Swiss-Prot;Acc:Q9LYC2] MMMLRVRSRDGLERVSVDGSDTTVSQLKTLIQDQLQIPIQNQTLSTDRNLLLAKSPEESLSFTDMTDPNLPISSLSLSHGSILYLAYEGERTIRGGPAVTPAGTFGRKMTVDDLIARQMRVSRQEKSHCDSVSFDRDCANAFQHYVNESLAFAVKRGGFMYGSVSEGGEVEVNFVYEPPQQGMEDNLILMRDAEEEKRVDAIALGLGMRRVGFIFSQTVTQDKKEYTLSNVEVLLAAQLHAESDLKEWVTAVVKLEMNEDGGADVHFEAFQMSDMCVRLFREGWFVTEIGPEDDPKLSKLKKEVVVGVKDLKQVDNDFFLVVVKILDHQGPLTCTFPIENRNVETTMRALKTHMDRARSLPFVKRISDFHLLLFVARFLDVGSDVPALAECVRLQSNVPEGYELLIDSMANTC >A04p022480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13565961:13566854:-1 gene:A04p022480.1_BraROA transcript:A04p022480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLSSLVIAVTFTSLFTGLSARRHLLQSTPATQPPVTTTLPPLPKPTMPPPMPSSLPQPTLPQPTTLPPLPSTQIPSLPNPTQPINIPNFPQINIPNFPFNIPNNFPFNIPTSIPTIPFFTPPPSKPIDKPNTNDDI >A09p060690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50249936:50253072:-1 gene:A09p060690.1_BraROA transcript:A09p060690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2-like ethylene-responsive transcription factor SMZ [Source:Projected from Arabidopsis thaliana (AT3G54990) UniProtKB/Swiss-Prot;Acc:Q6PV68] MLDLSLGMFSNYGEDQDRKVPFVSTAGDEESNISSSSSKDSAAGKDFITFGILKRDDDVVPPPPPSRETGDLFPVADARRNIEFSLDDGHWLRLSSLQRNKQQVVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFVVEDYRQDLDKMKNLNKVEFVQTLRRESASFGRGSSKYKGLTLQKCTQLKTHHDQIHLFQNRGWDAAAIKYNNELGKGGVMKSGASIKGNIHNDLELSLGISSSSQNIKLTTSDYYKGNQSAMGLFGKHSPIYLPVTTMNPLKTVAASSGFPFITMTTSSSSSMSTSFDP >A09p003130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1842599:1844416:1 gene:A09p003130.1_BraROA transcript:A09p003130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat only 4 [Source:Projected from Arabidopsis thaliana (AT3G26600) UniProtKB/TrEMBL;Acc:Q38957] MEQESRIGDELSSSLLTAERLRSSVEEAKSFKTECGEVGKQADRLAQMLRTLVRLVAASQQQVYDRPIRRVVADVRKNLDRALSLVRKCRRDNVLRRVCTIINAADFRRVVSFLESSNGDVKWLLSVFDGDGGGEIVISLPPIATNDPILPWVWSLVASVQMGKVVDKIEAANQLGSLAGDNDRNKKIIVDEGGVPPLLKLLKDGSSPEGQVSAASVLKTLSCDEDKVRCIVNDVGVPVIVQVLSDSPVRVQIIVATLVARMAEHDPIAQEEFARQSVIKPLVTLLSLDVFVDDLEPSSKQHSSIHSLVQMNKDPVSKAYRSSKSNVYSEFGGSGSGSRILKKERDNESPEVKHELKVNCAEALWMLARGNVANSRRITETKGLLSLAKIVEKEDGELRYNCLMTLMEITSAAESNADLRRATFKTNSPAAKAVIDQMLWIIKEIDSPGLKIPAIQSIGSLARTFPARETRMIQPLVEKLGSSNQEVAVTAVISLQKFVCPENFLCVEHSKNIIEFGAVPLLMKLIRNFEQQVQLQCLVLLCYLSMNASNHEQLEQAKVLTVLEGAERLASLQNPELRELVSKAIYQLSLYNAGSHSQMLSYAGP >A03p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17291442:17293335:1 gene:A03p041370.1_BraROA transcript:A03p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMRSLRGTSKAVSIFRPARLSSLRSIFTGCRAVMLLRFGGPEVLELRENVPVPNLNPNEVLVRAKAVSIRAGYGRSVFQPHLPIIIGRDVSGEVAAVGNSVKSFRVGQEVFGALHPTALRGTYTDYGVLSEEELTEKPASVSHVEASAIPFAALTAWRALKSNARILEGQRVLVFGGGGAVGFAAIQIAVASGCHVTASCVGQTRDRILAAGAEQAVDYTTEDIEMVVKGKFDAVLDTIGRPETERIGINFLRKGGNYMTLQGEAASLTDRYGFVIGLPLATSLLAKKKIQYQYSHGIDYWWSYMRADPEGLAEIQRLVGAGKLKIPVEKTFPITEVVAAHEAKEKKEIPGKVVLEL >A02p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2888068:2891148:-1 gene:A02p006790.1_BraROA transcript:A02p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLLYNLSDENPNLNKQFGCMNGIFQVFYRQHYPARRVVVAGDELRSLPSGKTIENVGDTNLTTDNKETEKSKSKKKAAKERQRGVVSSESSSRLSFSSSPCSSSFSSESQFEQPGLMQTSKRENPVKDGLVMSSDLRELVRNSIHKETRARDEEESKPERANVSLLKESSPARRVVKLKDSPRFSYDERETRKTVAKFKETPRLSLDSRSNSFKSARSSSSPEPQELVTGHHRRTTSSVVAKLMGLEVIQDESVTDQVRENRFCDSPRPTLQRSRSSDPIKKMMPAKFPMKAAEAATTLTVYGEIQKRLSQLEFKKSEKDLTALKQILEAMETTQKLMSKDDDNNGLSSTNFMQPVPSATLRSSSIVVMNAATASVSKETGNYGSASSSPRSVTLPNVKVSNLRQSQKVSQRKQSAMDVTPRPGVYKVQTDSPTKKTGPRPLAKSGKSQKPSVSPRTQPKKLGFEKQSRPTSPKPEQNKIQRQQLSRQQKESASPRRIRSMQQSEDRLSDESSDLRSLRSDSNVSSASNLDSEVTSRYRYERSSDFTEQQHTPKQRSPELGMRSLPKPLKVTVEQPSPVSVLDVAFDEDESPSPVRKISVVFKDDDHLRSEESQWMNKHKALRRSIVWPESNGSLDQSDAELNEGIMEEGGKLNNNGDHHKYISEILLASGLLRDIDYSMLSIQLHQAHLPINPSLFFVLEQSKTSNVTQHDKKHRGIGFGQQQTANLIERSRRKLVFDTINEILARKFAAEGCTKQPYITSSISQLRRTNKSSKGKELLETVCSEIDRLQDNSKCILDEDDEDLIWEDLQGQGMNWKEIEGETPGLVLDIERLIFKDLISEVVTSEVAASPGMLSGKPRQLFNC >A06p029000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:62601:63582:-1 gene:A06p029000.1_BraROA transcript:A06p029000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDTLSQRCINSPSSLEPRLEGAKLVMILCIPMELGCLNHHRESHKTHLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWYKTFVFTFLVVGELHVSHSLCTYHHHNSMQDQIIPLGSKQVIHLV >A03p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6848475:6850295:1 gene:A03p017100.1_BraROA transcript:A03p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSVSISMSDDDSNETNRIRPSRTRRKRKKPGHRTTFGELPRYFLRLLLRYWIILVLLLALGLLLFESTRIGTKSEHSQLKKHNPDSSGNKKNEGNLNRLDPTTKVIGGVRQRCLKLLPPEELEHLDILERIDSTSPVKKLVYLTGTDSSSSPVRGNGTRFNLFTGNQSFAERETSFQVSETVSVHCGFFNENGGFRIEDEDKKFMRSCQAVVSTCAFGGGDNLYQPIGMSNASTQKVCYVAFWDDVTLATQEAEGHKIGENGYIGKWRVVVVKDLPFMDQRLNGKIPKMLSHRLFPEAKYSIWVDSKSQFRRDPLGVLDALLWRTNSVLAISEHGARGSVYDEAKAVVRKHKATPEEVQVQINQYRRDKLPEDKRFNGKKALSEASVIVREHTPLTNLFMCLWFNEVVRYTSRDQLSFPYVFWRLRVLKNVNMFPVCTRKDLVNSMGHVRKAKPLEFSL >A02p030230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15369534:15370343:1 gene:A02p030230.1_BraROA transcript:A02p030230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGDLKAVVAGGGKDKRLCVHGTYKKNLESILAFGLKHNKVILTESIDSIVSVDYFQKIESWPNRLPVHF >A03g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5446874:5447698:1 gene:A03g501750.1_BraROA transcript:A03g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSDVQVFQIWKTSGTTYLLVVWKSSGSLLTKSSGLPGSRLDFLEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIIWYSSSTNLKVFQIWI >A02p032470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17004858:17005534:-1 gene:A02p032470.1_BraROA transcript:A02p032470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGTAVWDPWLIVGQIICLQCSFYLSLGVFMILFLGLRVPRLSLVYFFDYATLTTSTLTGWCVIASFLCASLAGVVKIDWYGGRAVYMIFLVERARKCLDFSATLYIIHLFFCILYGGWPSSMAWWVVNGTGLAVMALLAEYLCIKREQREIPMDRFHSSMFIILSGLMVGVCTVDEDHTHERDS >A01p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10005059:10008747:-1 gene:A01p020380.1_BraROA transcript:A01p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGTPVVILRVTVTASSVFEGGKGRPDFRHGRGGVPLVLSSHPSTREDHLILHRFGGGSSELLLGLGDGFKRCVTLRTSSALVACKARVHRKRRHGKSRHPRQQGEPHLLLILVDGRERQPISKETDKWTSGMCRFRHRHEIRWLPPAIFLRRINRPPVHLRRLSFQQIVDPKLASYGVESPIYAVVQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAARDWGLQCLRYEIRDIMPPHGVRVAMEMQAEAERKKRAQILESEGERQAHINIADGKKSSVILASEAAKMDQVNRAQGEAEAILARAEATARGLAMLSQSLKETGGVEAASLRVAEQYIQAFSNIAKEGTTMLLPSTASNPASMIAQALTMYKSLVNQGPKSEHQETSAMEGDWEDIGEKEISEGSKNQSGSTTFETEKPGHTGGPVFSLQSRTEEP >A09p081560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59311887:59314045:-1 gene:A09p081560.1_BraROA transcript:A09p081560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuole membrane protein KMS2 [Source:Projected from Arabidopsis thaliana (AT1G05360) UniProtKB/Swiss-Prot;Acc:F4I8Q7] MGSSKRASSTTNPAISGLREKHQQDLEKLTLTSQPFKTFRLFVAAVFLYLRRSASYLLANGGLFMLFTIVFAVVSALLVTLDGPHVKHLEELSEYVRFGLWWIFLGVASSIGLGSGLHTFVLYLGPHIALFTIKAMTCGRVDLKTAIYDTIQLKRSPSWLDKPCNEFGPPVFSSGVPLSSILPQVQIEAVLWGLGTALGELPPYFISRAASLSGGKMEELETCGGDGSGFIGRRLNNLKGWLLSHSQYLNFFTILVLASVPNPLFDLAGIMCGQFEKPFWEFFLATLLGKAIIKTHIQTVFIICVCNNQLLDWIENELIYILSFVPGFATALPALAAKLRLMKEKYLIASPPVTSDINVKKWDLSFASVWNGVVWLMLLNFFGQIVTATAQRYLKKQQEKELDALTKKKSSPVSKKSN >A07p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19726560:19740478:-1 gene:A07p036840.1_BraROA transcript:A07p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKKREDDATLVDRVTERISKILSSNVISFDEHMKRLYPLLDLNSNEGVQVSGIWGRGSKGRSAFAKHVYKNISPDFEAHCFLEDLWRSEAIIRRDDHVGSELSFPTLICNDKSEGNCSVQLIDGDGTYNVAGIDHFIKEVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFMEMDAFKGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTMLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPMSDFFNVEVVALSSYEEKEEQFKEQVASLRQRFMHSIAPGGLAGDRRGVIPASGFAFSADQIWRVIKENKDLDLPAHKVMVATVRCEEISNEKFAHFITNEDWRKLDEEVQAGPVSSFGKRLTSILGSCLSEYDGEATFFDEGVRSSKRHQLEEKLLQLVNPAFQDVLGHIRWGMLEKFKASFDKALEIGEGFSSASTTWLKACMDQFDEECAGAIIEQANWDTTKVREKLVRDIEAHVSSVRTSKLSELTSQYETKLHTALSEPVEALLEGASDQTWTTVKKLHGRETETAVSGFSSALAAFDIEEETRDKMVKSLQEYSRGVIESKAKEEAGRVLMRMKDRFATIFSNDADSMPRVWTGNEDLRAITKAARSASLKLLSVMAVMRLGDETDNIEKTLSVALLDSTTSKKSITASDPLASSTWDDIPSSRTLITPVHCKSIWRQFKTETEYTVTQAISAQAWLLYSQEANKRGSNWLPPPWAIFALIILGFNEFMTLLRNPLYLGVLFVGFLLLKALWTQLDIPGEFRNGALPGIISISAKFVPTVMNLVKNLAAQGEAPPTANPENRRPSNNTSSNASSSDNPTEHKSKEQKLKFNPYRYTFIDKASTFASSSSSSFSSNGRDSTYDYIVIGGGTAGCPLAATLSRNFSVLVLERGGVPFTNANVSFLRNFHIGLADTSASSASQAFVSTDGVYNARARVLGGGSCINAGFYSRADAAFVKRAGWDPKLVNESYPWVEREIVHQPKLTLWQKALRDSLLEVGVRPFNGYTYDHVSGTKIGGTIFDRFGRRHTAAELLAYANPQKLRVLIYATVQKIVFDTSGTRPRVTGVIFKDENGNQHQALLSNRKGSEVILSSGAIGSPQMLMLSGIGPKKELQRLKIPLVLENEHVGKGMADNPMNTILVPSKQPIEQSLIQTVGITKMGVYVEASTGFGQSPESIHTHYGVMSDKNELFSTVPAKQRRPEATQAYIRRNKYQLHEAFNGSFILEKLAYPISRGHLSLLNTNVDDNPSVTFNYFKHPVDLQRCVEAIRLVSKVVTSKRFLNYTQCDKQNVHEMLSLSVKANINLRPKQVNDTKSMAQFCKDTVVTIWHYHGGCLVGKVVSPDRKVLGVNRLRVIDGSTFDESPGTNPQATVMMMGRYMGVKILRKRLGNKAGV >A10p033070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19394480:19394745:1 gene:A10p033070.1_BraROA transcript:A10p033070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLARERNLFLSNVDQVLNFDVQMVRFFRQNKDYPPAIVAEMVKKGVGESNGRLRVFARETSPEP >A10g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3327062:3327415:-1 gene:A10g501170.1_BraROA transcript:A10g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYVLLANLRAGRCSNTAEVRLLRFWEARNDRKDGELMSLDMLLLDEQSTLIHGSINSSRVDTFRRRLSEGSVYSLSGFDVARANQKF >A10p004730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8981557:8982975:-1 gene:A10p004730.1_BraROA transcript:A10p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucosyltransferase 75B1 [Source:Projected from Arabidopsis thaliana (AT1G05560) TAIR;Acc:AT1G05560] MAPPPHFLLVTFPAQGHVNPSLRFARRLIRTTGARVTFVTCASVFHRSMISKHSDLDNLSFLTFSDGFDQGGLTTAEDLKSRSANLKNNGEKALSEFIEGNKKGDSPVTCLVYTILLNWAPKVASRFQLPSALLWIQPALVFNIYYNHINENNNNSCLEFKNLSSIATRDLPSFLTPANTNQGAYNSFQELMELLKEETNPKILVNTFDSLEQEALKALPSVGMVAVGPLLPSEMFTESVKDLSNDQSSSYSRWLDSKTESSVIYVSFGTMVELSKKQIGELARALIEGKRPFLWVITDKSNREAKLEGEDETEIEKIAGFRHELEEVGMIVSWCSQVEVLRHRAVGCFVTHCGWSSTLESLVLGVPVVAFPMWSDQPTNAKLLEELWGTGVRVRENEEGLVERGEIRRCLEAVMDEKLVELRGNAEEWRRLAVEAGREGGSCDKNIEAFVDEILLSEAEEVKDKDECSKEI >SC216g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000072.1:11776:20204:-1 gene:SC216g500010.1_BraROA transcript:SC216g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSVGQVQHEDQNSPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHEFPSSDHTDRPDHNSPRFSTEVHPDDRTERTDRAVYRIDPRTSGMELRLDPRPDDRSDRTEARLPRPTRQVRKIDQAKLSSERTDFESDRSFSFLTRLALTAGTAERTAERSENPTYQFDPILQFDHLSFSRGRILKLSEDIGHIWNTSVHEFPSSDHTDRPDHILSNTVPAECSDLRVYQSVHGKDQHADMSSVHVSVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHADMSSVHGLVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHADMCGQHADICTDGQSTDSLCVLTDRTRPVLTDSPHVNSPQPTACYSPDTDVLCVLTGRPRMSCVTADRHTRTHTDSHGRPACADGRTVLCVLTDVLCVLTDGRGRPVCTDGRPRTAVLCVLTDVLCVLTDVLCVLTDTRTHTGQPQTSCLTDVRAAEGRPVCADGRPRTSSTDVLRVLTDVLCVLNRQPTWAKTPEQSTERADMCTDGQLDVLCVLTDGHGRPVCTEQTAHVGQNHPRTANITREAKNAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPFRPRNSDLL >A02p055120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33396190:33398316:1 gene:A02p055120.1_BraROA transcript:A02p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BURP domain protein RD22 [Source:Projected from Arabidopsis thaliana (AT5G25610) UniProtKB/Swiss-Prot;Acc:Q08298] MLTRLPLICFLVSVTAIAADLTPERYWNTALPNTPMPNSLRHLFTPDFTDEKSTDVQVGKGGVNVNAGKGKPGGGTAVNVGKGGVYVDTGKGKGTHVSVSGGKGPGGGVGVHTGQPGKRTDVGVGKGGVIVHTRHKGRPVYVGVTPGPNPFVYNYAASETQLHDDPKPALFFLEKDMVPGKAMNLRFNAEDGYDGKTAFLPRGEAETVPFESEKFSEILNTFSVKPGSEEAEMMKKTIEECEAKRVGGEEKYCATSLESMVDFSVSKLGKYHVRAVSTEVAEKNAPMQKYKIAAPGIKKLSDDKSVVCHKQKYPFAVFYCHKAMMTSVYAVPLEGENGLRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGTVPCWTKLDNSLRAKLS >A03p051160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20642974:20644764:1 gene:A03p051160.1_BraROA transcript:A03p051160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF1-related protein kinase 2.2 [Source:Projected from Arabidopsis thaliana (AT3G50500) UniProtKB/TrEMBL;Acc:F4J0N1] MDPTTAAVMPIDLPIIHESDRYDFVRDIGSGNFGVARLMTDRVTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPSHLAIVMEYASGGELYDRICNAGRFSEDEARFFFQQLISGVNYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEILLRQEYDGKMADVWSCGVTLYVMLVGAYPFEDPAEPRDYRKTIQRILSVTYSIPEDLNLSPGCRQLISRIFVADPATRISIPEIKSHEWFLKNLPGDLMDENRMSSQFQEPEQPMQSLDTIMQIISEATIPAVRNRCLDDFMADNLDLDDDMDDFDSESEIDVDSSGEIVYAL >A04g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3771089:3772459:-1 gene:A04g501520.1_BraROA transcript:A04g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQIVMLVIKSTRPRFRNNHDKVAFVVHATFVVSGYKLVAIGRHALATLSLPLLLERNREPEPVHYARRPFPLGPQFHQPS >A09p040710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23003555:23006178:1 gene:A09p040710.1_BraROA transcript:A09p040710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDEHNELSDGAQRVALLQKQRRKAQPVVVGKNTVLWNQNQARNTAGDKKRRFNTQVQPMGNLNTPNSREGVTDPAPASGVAGATREGVENPRVPNLEESDSEQEYDKETPEKISATESSMTAYLEQIKYGSRKILVLAPHGSRQLSTDGLGNEEVGHKHREETSSEIILDGSGAEVLKSGRSGG >A07p043900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24005795:24010203:1 gene:A07p043900.1_BraROA transcript:A07p043900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYGSIERDIEQAVFQRYLRPDKDYLSFSLIYSNRKRTLDVICKDKVEAEVWIAGLKALISGQGGRAKIDGWSDGLSIADSRDLTLGSPTNSSVCASRDFNSVDVPYASTAFSKSIRTENSVSSERSHVPSGSPNMSVRGPSTDVFRVSVSSAQSTTSSHGSGPDDRDALGDVYLWGEVPSDKNASSKSNVLVPKPLESNVVLDVHQVACGVKHAALVSRQGEVFTWGEESGGRLGHGMGKDVTGGPPQLIESLAGSSVDFVACGEFHTCAVTMNGEIYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLRIASVSCGPWHTALITSTGQLFTFGDGTFGVLGHGDKETVFYPREVKSLSGLKTIAVACGVWHAAAVVEVSSSVSSGKLFTWGDGDKGRLGHGDNETRLKPTCVSSLIDNDFHKVACGHSLTVGLTTCGKVFTMGSSVYGQLGNPTADGKLPCLASNDSVEEVACGAYHVAVLTSRNEVFTWGKGANGRLGHGDVEDRNAPTLVEALKERHVKYIACGANFTAAICLHKWASGAEQTQCSACKQAFGFTKKSHNCYNCGLVHCHSCSSKKSLKASLAPNPGKPYRVCDSCYSKLSKASEGSVMPRLSGENKDRLDKAELRLAKSGIPSKIDLIKQLDNRAARQGRKGETFSLVRTSQTPLLQLRDAFSNVAELRRGPPKPVVTPSGGSSRSVSPFSRRSSPTRSVTPIPSMVGIGFSTSVTESLKKTNELLNQEVVRLRAQAESLRQRCEVQELEVQKSVKKAQEAMKQASDESAKSEAAKEVIKSLTTQLKDIVKLLPPGAHEAETARTTHLLNGLEQNGIHFANAKGQRSSRSDSVSDTPLTSPLTPPSRSVNGLWRSSQSPRNTDELQSDGVRISNGFSEDGDTRRNSRSSVATSNASQVEAEWIEQYEPGVYITLLALGDGTRDLKRVRFSRRRFKEQQAEAWWTENRERVYEKYNIRGTDRPSVQSQLPT >A02g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10891059:10893647:1 gene:A02g503300.1_BraROA transcript:A02g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSYVEDSVSPHSSYHTSPSPLLAPAVPAPAAAPAPGPAAAPGPPGVMRVEELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEMSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDNDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A01p000190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:86982:88610:1 gene:A01p000190.1_BraROA transcript:A01p000190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G38880) UniProtKB/Swiss-Prot;Acc:Q9T0J5] MAFSVEISSIFPFSLSAKPKKASQDTLFPCFTKPCLSPQVSKTLSFSVSCRRRSTLAPVFSSMITPQVAKEDDELHEECGVVGIHGDPEASRLSYLALHALQHRGQEGAGIVAVNHKGDLESITGVGLVSEVFTESKLNTLPACDIAIGHVRYSTSGASMLKNVQPFIATCKLGSLAVAHNGNFLNYKQLKRKLEENGSIFITSSDTELVLHLIAKSKAKTFLLRILDACEKLRGAFSMVFVFEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIDARYEREVCPGEIVVVDRGDVGESSMFMLSHPEPKQCVFEHGYFSQPNSIVFGRSVYETRRMYGEILATVAPVECDVVIAVPDSGTVAALGYAAKAGVPFQIGLLRSHYAKRTFIEPTQKIRDFAVKAKLSPVRSVLEGKRVVVVDDSIVRGTTSLKIVRMLRDAGAKQVHMRIALPPMIASCYYGVDTPRSQELISSKMSVEKIRKHINCDTLAFLPLDSLKQVYGPVESHSYCYACFTGKYPVTEEEEENNAERELTAL >A04g502330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5619670:5620302:1 gene:A04g502330.1_BraROA transcript:A04g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETNDTVEVTTLVSNLAQNMESTNTVLIRLHELILEDESGVATHLGSYRICYKPRDGFTASDLSQFLHEQEVPHSQQLAEVIDGEINHSLALDISLREPVFVTVNINFIQDRRPKDLKERSIAVIKRLLKEQRIQPMDLKETSDIQCSICIEDFSVSHENIIWMPQCKHVFHQGCLFEWLSRQNSCPLCRSTVPMEDQEAENQRMDCS >A05p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17725763:17729125:1 gene:A05p032820.1_BraROA transcript:A05p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICDSMMETHVPCTLEEERRIVTELISEAGDNLKEGNLYFVISNRWYTSWQRSSREPTRPGPIDNHDIIDTQSDASDPQLCRRLEEGVDYVLVPQQVWKKLVEWYKGGPTIQRKLICQRFQSYSVEVYPLCLKLTDSRDKSSATIRLSKQASVGLLYELVCSARGVSKEKARIWDYFEKKKSVLLDPSSERTLEESCLQVDHDILLEVDENASSKYDMSSAGNELALVPVGPTRSDATNTMPGANGHSSSGFGERGLRGLHNLGNTCFMNSTLQCLAHTPPFVDYFLKDYSDDINEDNPLGMRGELATAVGELLRKLWSSGKNAVAPRFFKTKLDRFAPQFSGHNQHDCQEVLSFLLDGLHEDLNKVKQKTYTESKDSDGRPDDEVAEEMWKYHKARNDSVIVDVCQGQYKSTLVCPDCGKFSITFDPFMYLTLPLPTSLTRSMTVSVFYGDGNRFRTPYTVTVPKDGSLGDLSNAIGAACGLKDDESLLLADVYAHKVYKYLETPLLSLSEIRDNDRIVAYRFNQMHRGPGKAKLEILHSEQKQDAEISGKLFGVPLVTYVNTERHSGSDIDAIISGLLSPLHRTHSSSGSLEDTTVGEKEFSFSVFWLDRYSSSLKPLESDFVPNPLSATRVVVKWDEKEHEKYDSSSYLNDLPEVYKATSSVAEKVSLFSCLEAFLAEEPLGPDDMWCCPACKEHRQANKKLNLWKLPEILVFHLKRFAYTRLLKNKIDTFVDFPIHDLDLSKYVKNKEGESYLYELYAISNHYGGMGGGHYTAYAKLMDENKWYEFDDSRVSPIDEPKIKSSAAYVLLYRRVRSESEASSMDED >A08g507700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13587816:13590511:-1 gene:A08g507700.1_BraROA transcript:A08g507700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPVSSDTDKKFMFFKDVSLGPHETQLRFRLIHFWEARNPVKKTIIGLEMLLIDEQGTVIQGFIPPGRIKKYLPDLKQGSVYRLNNFYGSKNKPMYRVADHIAIVSFTWNSELSVLHEIPTSFDEDRFRFHSYEDFEANCDLKGDLYDVVGHMKLVNGHTLIEHPTPDEVKIDTTRHIMVHVQLHDGPVMKLYLWDQAAADFCKKFNSYDNTPTVLLVTAVNTKRLGGTLALTSMSPSRVFMDYDVQPTIDYFNWYVSPSLVSILYRLGSNPEIAKQVSADVVTKRETLTIADIFTYMKQESAKDAFFECTATIDDVVHGSAWYYIGCSGCHAKATKGATSLVCTNTKCEKINTDGVPQYRAKISVYDNSDQAVFVLLGDAGRVLTGRHASELVSSYFEANGSEGADHEVPVPEALISTIGQTHKFCVKVTQHNFSGDTRAITVTKILSLDTPPPTEASVGSNIAATSRETMQTENEVCEPSKTRGDSADEESKRTFDSADPQKAKRPRRDD >A02g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12855602:12858158:1 gene:A02g503860.1_BraROA transcript:A02g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSLLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTFRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILEALRASNWLFMVVVVLMTMAIL >A01g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15628561:15629004:-1 gene:A01g505200.1_BraROA transcript:A01g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIEFTTACHDAPGVLSSDFGQPRAHCFRTNTVSGLAKEGCLVAFSLTLFVLEFGDIRKLCVRSNQN >SC133g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:88949:92316:1 gene:SC133g500040.1_BraROA transcript:SC133g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A06g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24324368:24325995:1 gene:A06g508620.1_BraROA transcript:A06g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGFVSAQSGDTDKAKKIRKAAIFTISFVACDSPSGNQLLWSIFKAVRTFCAYQTLIFCSLYFLTSVAKMTYPAAPAASATIAAVPFSTFNSLRLGRNINKNGEFMGITILLLDELGADLLRRGATRHDASSFTILETLMNHKANIRALFQSNGWILSQTTAKPEARREVKCCVLEDDYLEFTI >A09p064260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51843112:51856252:1 gene:A09p064260.1_BraROA transcript:A09p064260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFGSLLISSSLVEHSVMSTSWADVADSDNAASRAKPAYVPPHLRNRQSEPAAPLPGNDRGGGYGGQPSRWAPGGGGGGGGYRADAGRTGYAYGGRGTTGGGGGGWNNRGGGGGGWDREVNPFGDDVDLEPAFTEQENTGINFDAYEDIPIETSGGDVPPPVNTFAEIDLGEALNLNIRRCKYVRPTPVQRHAIPILLAQRDLMACAQTGSGKTAAFCFPIISGILRDQNPQRPRGSRTVYPLAVILSPTRELASQIHDEAKKFSYQTGVKVVVAYGGTPINQQLRELERGVDILVATPGRLNDLLERARVSMQMIKFLALDEADRMLDMGFEPQIRKIVEEMDMPPRGMRQTMLFSATFPREIQRLAADFLANYIFLAVGRVGSSTDLIAQRVEFVHESDKKSHLMDLLHAQRDTGNHDKQSLTLVFVETKRGADSLENWLCMNDFPATTIHGDRTQQEREVALKSFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGVATAFFNEKNAQLARQLAELMQEANQEVPEWLTRYASRASFGGGKKRSGGRFGGRDFRREGSYGGRGGGSGGGGNDYYGGGGGGGYGGGGYGGAPSGGYGGQKHNSRLWKIWLVLLDSSPEAVWLKNLRSLDWRIMESKKVMEEEEEMWRREIVTSVMRIVSTRLPQRDLISLLLVSPWLYRTLVSYPSIWLNIDLRERTNAGDRLLAALSLPRYRQVKHINLEFSQGVEDTHLQLVKSHCHDALSSLECLNLNGCQKISDSGIEAITSICPKLKVISIYWNVRVTDDCIRHLVKNCRNIIDLNLSGCKSITDKGMQLVAETYQDLEALNITRCVKITDDGLLHVLQKCSSLQTLNLYALSGFTDKAYKKISLLAELRFLDLCGAQNLSDEGLGHIAKCNKLETLNLTWCVRITDAGVITIANSCTSLEFLSLFGIVGVTDRCLEALSQTCSATLTTLDVNGCIGIKRRSREELLQMFPRLICFKFASMSSSWADVSEPERPPSSGWGGGGYGDSRPSRTNYVPPHLRSRPPSSDFAAPSHGNDRGGYGGRGGRGSGYVGRGGGGGWDRRDTETNPFGNDGNAEPPGVNEQENNTGINFEAYEDIPIETSGDNVPAPVNTFAEIDLGEAMNLNIQRCKYVKPTPVQRNAIPILAAGRDLMACAQTGSGKTAAFCFPIISGIMKEEEHVERPRGVRGVYPLAVILSPTRELACQIHDEARKFSYQTGVKVVVAYGGTPVNQQIRELERGVDILVATPGRLNDLLERGRVSLQMVKYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVHDSDKRSHLMDLLHAQRENGNQGKQALTLVFVETKKGADSLENWLRINGFPATTIHGDRSQQEREVALRSFKTGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNDNNTSMAKPLAELMQEANQEVPDWLSRYASRASFGGGKNKRSGGRFGGRDFRRESGGYGGGPGGGYGGGPGGGYGGGLGGGYGGGLGGGYGGGYGGGYGGGPGGGYGAMQGGYGTVPGGGYGTVPGGYVPYGRGGGAYYGGGYGTVPNQGYGPGVASAWD >A08p001540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:910169:911873:-1 gene:A08p001540.1_BraROA transcript:A08p001540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPKPHLFSLSFLSLLLLPHFPTVSLAQTLFVFGDGLYDAGNKQFVSSNRVDASFPPYGMTVGEPTGRWSDGRIVPDYLASFMGVPHIPPILNGTADFSHGANFAIADATVLGSPPETLTFSQQVIKFSDNKNKWSAQARSEAVYLFYIGSDDYLKFAKNNPNPSDDQKQAFVDRVITAIEAELKVIYGTGGRKFALHNLAPLGCLPAVKQANGNVQECVKLPSEMAELHNKKLLQLLVELSRQLSGFQYSFYDFFSSIKDRVIKSKTYTFETGMAACCGTGSVNGTSCSTNNVCSKPDDYLFFDGKHLTQEGNLQVGHLMWGADPVVVGPNNLRELLFLPLNTTVMLADIEEAMAAMSPKQNKIESLYDIKMMESEMENQWLYQVGKAVSFLI >A06p036290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19696643:19697938:1 gene:A06p036290.1_BraROA transcript:A06p036290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDILTKLTEKGIRNKLSLITMKGGQNMVNVLQYWFQARGRLRLRRETHVGLQETAERSSRGDKVVLLKITISCSGTLSIFGPNDSPRDGGTFKLTLQFTEDYPNKPPVVRICLDYKTNGVQYTMFLQYSHQSWFITCTLRLLHCGSLLRDPNPSSPANSEAARLFIKNKQEYNRRVMEIVEQSYVY >A02g509470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25206766:25212272:1 gene:A02g509470.1_BraROA transcript:A02g509470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMLLTIWFLLCIPGSVHLVRALNEMGATTHPEEAEALNSIFVAWNIQAPKEWNTSGELCSGIAIDDIIIIDDQAHNPLIKCDCSFNESTVCRITALKVYKMNVVGPIPPQLWTLTYLTNLNLAQNFLTGPLSPAVGNLVQMEWLTFGINALSGPFPKEIGLLTKLKSLSIGLNNFSGSIPAEIGNIENLQKIYLSNSGLRGEIPSSFASLVNLQEALITDLDITGRIPEFIGKWTKLKILKILGTGLNGPIPSSFSNLTSLGELNLGDISNGNSSLEFIKDMKSLTILVLRNNNLTGTIPSNFGEYLSLQQVDLSFNKLHGPIPASLFNLNSLALLFLGNNTLNGSLPTQKSQTLTNIDVSYNDLAGTLPLWVSLPNLKLNLVANNFNLEGLDKRVLPGLKCLQKTFPCNRGKGIYSDFSIKCGGPQIRSLTGEVFEREDEDLGSASFFVNDVNRWATSSVGLYAGSSNYIWVINSLDGELFQTARRSSSSLRYYGLGLENGGYTVKLQFAEIEILGSKDWKGLGRRRFDIYIQGRLVEKDIDIRPTDDDTTVRPVQREYKAHVTENYLEIHLFWAGKGTFTIPNIGTCGPLISTISAKPGFIPTVSNRPPSKKKNRTGTVVGASVSLGLLSIIAGVVIFIIRKSRKHNTDDAELLSMDVKPYTFAYSELKSATQDFNPSNKLGEGGFGSVYKGNLADGREIAVKVLSIGSQHGKGQFVAEIIAISSVMHRNLVKLYGCCYEGDHRLLVYEYLPNGSLDHALFGGILHLDWSTRFEICIGVARGLAYLHEEASVRIVHRDVKASNILLDSKLLPKISDFGLARIYDDKKSHISTRVAGTIGYVAPEYAMRGHLTEKTDVYAFGIVALELVSGRKNSDMNVGNERKYLLEWAWNLHENRREVELIDQALTEFNMEEVKRVIGIALLCTYSSHSLRPQMSKVVAMLSGDTEVSEVTSKLGYLTDWRSDDTSSSSFSAFQMKETNASAYNSTSFVMPSDSGFKQMLGVKINEGR >A10g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7020307:7022480:-1 gene:A10g502550.1_BraROA transcript:A10g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTERPSAHAGRPSATQDVRGCPCVSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISACWPFLWTVRDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLSVMLTTHISMLALPVDCPCTDPCTDPCTDPWTDPSCWPTSVAVRVLIRGLIRVLNSYQHADHTYQHAGPSRGLSVMLTTHISMLAHVRGCPCTDPWTDPSCWPFPWTVRVLIRVLIRILNSYQHADHTYQHAGPSRGLSVY >A07p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12655018:12656485:-1 gene:A07p021630.1_BraROA transcript:A07p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKSTVCGNLISKKPNRKSGVSSAELVNRTGKTGVSPPNGKAVVFSDVPIKHSGGTGQMKNLELRLLTTLMLVLDVFLCLPEFKHWHGEVKANLAAACLIPFLCSKALSLLMMHSVEFLFMMTRDLELLSMSGALE >A02p046540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29042728:29045795:-1 gene:A02p046540.1_BraROA transcript:A02p046540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like kinase TMK3 [Source:Projected from Arabidopsis thaliana (AT2G01820) UniProtKB/Swiss-Prot;Acc:Q9SIT1] MTKSHMGIILCILLSSLNFSASQTSVDGPTMQALKSSLNLTKGVDWSNPNPCKWPAVQCDASNRVTRIQLNNKGIQGTLPPNLQTLTELTVLELFKNQISGPIPDLSGLTRLQTLNLHDNLFDSVPKNLFSGLTSLQAAYLEYNPLSPWEIPETLKEATSLQNLSLASCNVTGKIPDFFSSQTLPSLTRLQLSQNLLQGELPPSFGASSLQSLYLNGQKLNGSVSLLQNMTSLVEVDLQGNAFSGPIPDLSGLQSLRVFNVRENQLTGVVPPSLTGSKSLTTVNLTNNYFQGPTPQFGKSVGVDVITNTNRFCLETPGTPCDPRVSTLLSVAESLGYPVKLATSWKGNDPCNSWLGITCSGSNVTVVNLGRQGLTGTISPSFAKITSLETINLSDNYLTGSIPNELTTLPKLKTLDVSDNNIYGDVPKFGIGVNVVTTGNANIGKDGPSPPGVSPDGDGGSSKMSSKVKIIVPVVGGVVVVLCLVGLGVCLYAKKRARPAKVQSPSSHMVIHPDHSGDGDAIKLSVAASSVGGGGGGGTESSSSDIHVVESGNLVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMEPSAVSDKGLEEFKSEITVLTKMRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSQHLFHWKEEERKPLDWTRRLAVALDVARGVEYLHTLAHQSFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKYSIETRVAGTFGYLAPEYAVTGRVTTKVDIFSLGVILMELITGRKALDVTQPEDSVHLVTWFRRVAASKDKDKDAFKNAIDPNIEVDEETLASVQKVWDLAGHCCAREPYQRPDMGHIVNVLSSLTVQWTPSEADPDDLYGIDYDMPLPQAVKKWQANEGLSQTMDDSGSSSSAYGSRDNTQTSIPIRPSGFAASFTSVDGR >A01p054330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30506417:30510451:-1 gene:A01p054330.1_BraROA transcript:A01p054330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAREESSNHPYYGGGLGAGGKFRKPPARRSRKTPYDRPPTSVKSPRPGIGEDRGWLSKLVDPAQRVITYSAHRLFASVFRKRLVSGETPLQSPEQKQFPERDVMQEETEVGHRENTSALSMKNDVIRVQEANESGYPSKDGFTDLEKILKDKTFTRSEVDRLTSLLRSKAADSSTVNWDQRNEASVARYPPPHGRDMVHPDSGFMNTLVSTPRGSSRALDECIASPAQLAKAYMCSRPSEVTPSMLGSHGQAGREDYVSLNRTPFPSKSRTMSLVPKLSGQRPLENGFLTPRSRGRSAVYSMARTPYSRPQSTVKNGSLFQASGSTWEESLSGSRKGFQSGLKRRSSLLDTDIESVGPVRRIRQKSNLSSRSLALPVSESPLSVRATVGQNMTHTSRDVGDIPGSNFNLVPSTSRELASKILQQVNKMVSPKKKSPSRLSPSMLRGPALKSLQNPEAPKFLDNLPEKKANLPGSSHQKQEKIRETGARGFSGLKEKTGDAAGDTSKAGSSKDHETCVNGAYLPLTSSVEEHPPKKRAFRMIADEDFVEVDNDHGEPSIPFEVAEKQNALKVGKGVDISMRRGKKPLISSEVMASTSYIPNDDASQSTSNGSLETGRIQFSALPIEAVQQSNMPSEPTSKFIQGTEKSSISPAKLASEVEDISREEHTKAAAVFPSTFSSPAATDLLNQNTDQSADIKLEKPSSFAFGKPTELEKTVSNSASRIESTTSAESTVNGSMFSGGANAISELSSTNSFASSSGTSSTSPFGTNWQAPNSSPAFGSSFTTSSSQTFSFGGSSAATGSSTSAPIFLSSTAPAIPQQSVPGFFPPPNTNGFNNNQHMIMEDSMAEDTEQANKSSMVQQPTFGQQPVSMPQPNFSFGGASATPPPSMANPFQFGVQPIASTQQNSSLFQASPGLDFQGGGSFSLGSTGGGDKSGRRIFKAKKTNRKR >A03p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16326925:16328138:-1 gene:A03p039300.1_BraROA transcript:A03p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNHYSRDIHHNTLSLHPHQNDTASHKESLFEKSLTPSDVGKLNRLVIPKQHAEKYLPLNNGGGEVTSETTEKGMLLSFEDESGKCWKFRYSYWNSSQSYVLTKGWSKYVKDKHLDAGDVVFFQRHRFDFHRLFIGWRRRGEASSLSAVSQEARVKTTAYWSGLTTPYRQVEASTSSYPNIHQEYSQYGAVAETPTVVAGTSRTVRLFGVNLECHGDVVEPPPCSDGYNGQHIYY >A08p045730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25025141:25031657:1 gene:A08p045730.1_BraROA transcript:A08p045730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVENPKFALLREIHQEHIDEKVDISDHAAASRHNLRAKYTFLIQKVIKPPTEGTPDELNGGCKSLNLTHLLLASLGGLVAAAAFARESFLRRRKAHQGDSMGNKDQKIAPLIERKDSGRRSNLERFPHYVARQLGFEDPNECPQLCKLANAYLVKTKGYDENVYEYLVNEAEPDSLYVHLLEEFERCILTYFAFNWTQFSNLISQVLSDESDQKAPKLKDFVMAATRKQRFERVTKDLKVTRVFSTLVEEMKVINVGSSGESHCTEVMSPVAHNKRSPVLLLMGGGMGAGKSTVLKDILHEPFWSEAGDDAVLIEADAFKETDVIYRALSSRGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQMITMARNVHKHRYRMGAGYKVNEDGTTTEEYWRKEETEPNGKQQNLKPYRIELVGVVCDAYLAVARGIRRALMVKRAVRVKSQLKSHKSFANAFPKYCELVDNARLYCTNAVGGPPRLIAWKAGNSRLLVDPEDIECLKRVSNLNPDAESIYELYSDPNLLSQPGSVWTEIVIVPSRPEADVEPEVAAAGVPKKRTFKKFAFKGVDLDALLDMSTDDLVKLFPSRIRRRFSRGLTRKPMALIKKLRKAKREAPQGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGVGATHSSRFIPLK >A02p042510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26699489:26700886:-1 gene:A02p042510.1_BraROA transcript:A02p042510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRSVKIFLSEETMEEKKPNLDAPSLSVRRIPTKLENPSDSENTKKITTTIRRRKVKDSCQETEHGTVVRLLQDDKSFDHVVEPSLVPFVWEQTPGKPKDHHTLLQESDLIKALDMVSSTASFSVNCSTNGVSDEFENNGGRPSSVSKDDVNLEYRDLIMARFLPAAKAIALKQKKGSFRDQEEKMMKKKKKKRIIALQRVSMAINQDLNNDGHDHVEEAVHSSDPKKAMFGFLPQLCSKNSLDVLNPVLFRIKTCQNVAVSSSKIINPITQYSVYKTKPASSTIIVRSNKAMSKSQETSPIPRFSEKLSTTSRLQRTSSTQIKRQDTKFLSEGVKRMRNRNKNRSGNISVSQPPLPKTPSESWLCRTLPRSSTVSTVVPGQLPVLLSGQDTGLRKMMDQKSIKWETIVKTSYKHHDNVRYSEELTVVHPSRQHKP >A07p009500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5871197:5874839:1 gene:A07p009500.1_BraROA transcript:A07p009500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDDFRRNKLRKGRRSDAGDDMEQNGGFEEMEQTIDGNHDLLLGVNNKFFKQVALKIQNFSLFVKLLRKMKAVVSKLHFSSTEEVMVVRRRPHVVNGGGFVVTDCREKTIFKVDGCGVLGTRGELVLRDGDGADLLLIHKKGGMVQALSIHNKWRGYSYDYQGSPKPVFTLRDPKQSCFSITGSIRILVQPGNCYFDVRGSFPDRDCSIVDSTGSAIAQVKEWSGSKDIYSVVTKANVDKAFVIGVIAVLDYIYGESTSC >A10g503430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9011630:9012832:1 gene:A10g503430.1_BraROA transcript:A10g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLPPSAPMNQDLVFSLARRRWMFAPVLLNPLHPRDPPDPPDPPDPTVTSQSKPPSSSSLRDLHQTPPHSSPPISLPSISTSGFRASCFFHEIYYRGSRTETTQICLTGGGDFLSIVHVSPSSSTDMVLVCSGERNAPSVDGSSSSHGGLSFHFDSGETLIGSSVVKDRISFESPLTASHRNLISISKQLRLQPDYSKFHCLLSDAYSLTLNRKEYDDSLLKFLPVTTSWPRHGNVKVRASDPMKPYASSPNSIVLSASKMKLELEIHLVSWVSLAVFRAVCVRFNDKSRQLRLFDTIAVEIDSPASLSTRGESFLVFKPVLNSSKSLSLGYFNVVSDNLKLSRAVVSRIQVKIICGFLYFEQASPLNTSISCVTALLLLLFIVPSRLLRFVIVETF >A02g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16486558:16488064:1 gene:A02g505580.1_BraROA transcript:A02g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDMVDAMSEGFVTCLKEMKYLSERVEAVEKKVGITTKRKGTSSQNTGTATGSGTSSQNTTPPPKPTLDLGSESVNGTNAGTKSMPEDKDLEKEDARYQEKRDAALALFRAKSDRTRKLAASQKSPYTANSTAKVIIPNKKLYPGYNPFAKIDKKKLKDLADWLKMCPDYRTPLDKKPRTSRTWWYQTLRTSLSWLEDCHIDVLINVLRKRYYANPQYFRSERMCFLDHLFVQQWRFNFQDFKDSEPDQNGLGRRLPGGAWNYYAGIIPSFCQSNKVWRTDIDDIYAPVNYTDTH >A10g503830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9674910:9675405:1 gene:A10g503830.1_BraROA transcript:A10g503830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRTPASTFSNMRGLLRGNNKPDKRLPPRLFAQYRFPTGRLNIYSKPDILPFIQHVLRNTEELQYIKNSCFGKLFEFPARQCPVSCKLIHAFLTRQLL >A06p036260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19665434:19665888:-1 gene:A06p036260.1_BraROA transcript:A06p036260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTTLGSGGSFITVFAGLWSPGRDCSHNIILRRLLTVFFRLVSICVSGNWFSDRLKTIDDFFLKKRRSVTLYSDLNFREGRFDWSAFHRFGFVVDGAPSCLFAGTGGR >A09p066210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52638198:52639815:1 gene:A09p066210.1_BraROA transcript:A09p066210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILFLFFFFFHHSSSSRTTSESRIFIYGGCSPEKYTPNTPFESNRDTFLSSVVTSSSEASFNSFAVGNDSSSSSSAAIFGLYQCRDDLPSSDCSKCIQNSVDQISLLCSYSYGASLQLQGCFLRYETYDFLGKQDTSLRYKKCSSKRVENDYDFFKRRDDVLSDLESTQLGYKVSRSGLVEGYAQCVGDLSPSDCTACLAEAVGKLKNLCGSAVAAEVYLAQCYAHYWGSGYYDFSPDPTNGDHVGKSIAIIVGVIAGFAILVILLSLCRNSMH >A09p013900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7192503:7193648:1 gene:A09p013900.1_BraROA transcript:A09p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ASHR2 [Source:Projected from Arabidopsis thaliana (AT2G19640) UniProtKB/Swiss-Prot;Acc:Q9ZUM9] MNDGGAKSELLLQVTEINGRGRSLVAAQPLRGGQVILRESPLLLYSAFPFLSSPPPPYCDHCFRLLSQSSQRCQSCSLVSFCSPNCTSSHTPWLCESLRRLHQSSSAAFADQSPERQVQARFLLSAYNLAAASPSDFQILLSLQGNGDSTSDSGFLHSLLSAVCPPLPVPISPELTAALLAKDKVNGFGLMEPFSVTNEKRSVRAYGIYPKTSFMNHDCLPNACRFDYVDSGGSDGNTDIIIRMIHDVPQGREVCLSYFPVNMNYSGRQERLLEDYGFRCECDRCKVEASWSEDENEDMEEMDGEDDEEEEEMEEGEGCGDGVDDDDSSFPHAYFFARYMCEKENCFGTLAPLPPKGLDASRLLECNVCGSVKEDEVGGNA >A03p036870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15442930:15447088:-1 gene:A03p036870.1_BraROA transcript:A03p036870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSVHAFDLKMRIIFWNAMAEKQFGYTAEEAVGQNPINVMVDDQDAAFAMNIAQRCFNGESWTGEFPVRSKSGHRFSAVTTCSPFYDDAGSLVGIISLTSKSAPYLHPTISLAKLKAKQGEKSSSPVSSFVSKRGLDSKGAVLSKLGLDSHQPIQVAIASKITDLACKVSNKVMSKMRAGDSSGATLSEGVFGPALSDQRDDASSSGASIKRGGFIYSPFGVFRCDEEHKSHQINPCSGVNFESGSSDSKTSSNKGISLCSSPNSNNRSSSSSCKSISNSDMNKVDTNSDCLEYEILWDDLTVGEEIGQGSCGTVCRGLWFGSVVAVKVFSKVEYSEEAIQSFRQEVALMKRLRHPNVLLFMGAVTSPQRLCMVSELLPRGSLFQLLQRKTSKLDWRRRILMALDIARGMNYLHCFSPPIVHRDLKSSNLLVDRNLTVKVADFGLSRVKHETYLTTKSGKGTPQWMAPEVLRNESADEKSDIYSFGVVLWELATEKIPWETLNSMQVIGAVGFMNQRLEIPKDIDPLWISLMESCWHNDAKLRPTFQELTERLRELQRKYLNTTWSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSVLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSE >A08p009360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7402218:7403115:-1 gene:A08p009360.1_BraROA transcript:A08p009360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMASKQEYGIPYTPLPSSQPSQTVIVLTPYRRHRRPSFLRSLRCSILFTAAILLLSAAAYFLYPSDPEINVSRIQLNHIRVLDSFRPTLDLSFSLTIKVRNRDFFSLDYDSLVVSIGYRGRELGLVKSRGGHLRARDSSYINATLELDGLEVVHDVIYLIGDLAKGVIPFDTIAQVKGDLGLLLFQIPIQGKVSCEVFVNINNQKISHQDCHRK >A05p043610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26291614:26298025:-1 gene:A05p043610.1_BraROA transcript:A05p043610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFSNRGEEQHPDFKWGDKKAVGRTDKSVSFYESFTFEDIEYRLFDCAYFQIHGQCETSIGKLIRMYETSSGEKKVKVLWFFRPMDIRSYLRDYVPQWDELFLACGDDPGVYNINDVDTILGKCSVVCLSDDRRNPLPTRRELRNARYVFSRTFDTKRKIISEDFADAIAGIRVEKFFNQRIIDTDPLKRPNSSADPVKSFRSESRLEKNYDRDGKLTCRTSPVKKEMSADRVHVKKYPPVNTDITSRGLKTKAAPLGSPLRSGSSGDHKPAKRRKLVLNTPDSDCFPEPGEKKVTKNPPLVDKAPSQTSVIADITSRGLKTKTTPLGSPLGSVSSGDHKTSKTRKLVLNTPDSDCLPEPGEKKLTKNPPIVDKAPSQTIGRSSWYKRLNFEEELKRAIETERLVLFENLEPSYTSMEVENLCRQALKERVDAKMIPASLVSNPHIGRALVIFYTKKAADNAISRLTRECLMLDDQRPLVGSRDFPKEVGECGSFTGHQRLVDRALMSISKRNAVSTAHCTQPNHIVHELAVEWKALHAKSEGKWKRNKRRKLTSSSQEKLLKTSEDRSKMQVHCLSSIKRSHVRIWVIKIVSSHLKALVADVAAASPRRSIATTTARPVHFHRADSPASSSSSVTARCCSSESGVEIIAKKKVEDVMPIATGHEKEELEAELEGRRLLDIDFPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKSFECPVCTQYFVLEVVGPGGPPDGHGHRIGEKRFQIRSLIIFGIGRG >A07p042750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23127672:23128840:1 gene:A07p042750.1_BraROA transcript:A07p042750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable LRR receptor-like serine/threonine-protein kinase RPK1 [Source:Projected from Arabidopsis thaliana (AT1G69270) UniProtKB/Swiss-Prot;Acc:Q9ZRF9] MICLSLVLLPLITVVEGGWSSILNPPAKFPKVVRGTWDWTSLRHSGIKFKLIISAKDEVDGMSKTYEAIVMSGACASSFVGRFQGDQQFHAEILSLETVRHPNLVMLIGYHASEKEMFLIYNYLSGGNLEDFIKERSKPALEWKILHKIALNVARALAYLHEQCSPKVLHRDIKPSNILLDNNYNAYLSDFGLSTLLRTSRSHVTTGVA >A08g510380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23140337:23142658:-1 gene:A08g510380.1_BraROA transcript:A08g510380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p028460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11957958:11960413:-1 gene:A03p028460.1_BraROA transcript:A03p028460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERTSKTGGEVVINVPDKGEAAASHSTDTNRKSFSRSVYSKPNSRFAKQQSYRFDETVVEEIVGTTPRVGAATLNEVDEEETDENEEIYEKVKLHQVKRSGIKLRALLELAVFVAILCTLVVSLTVDKVNKHLIWGLEFWKWCVFVMVTLSGMFMTNWFMHLAVFIIERNYLLRKKVLYFVHGLKKNVQVFIWFSLVLVAWIFLFEEDNKHSRKTKKFLDLVTWTIVSLLVGSILFLMKTFALKVLASKFNVRNFFERIQESVFHQYVLQTLSGPPHVEEAERVGCKPKRGHLSFMSTEDGSVKGKKVLDMGKVHKMKQEKVSALAMRVLIEAVRASGLSTISSTLDECINRRGKHDKEITNEMEAVAAAYDIFKNVAQPNRNYINEDDLLRFMIKEEVDLVLPLIEGTETGKITRKAFTEWVIKVYTSRKALGHSLDDTKTAVKQVDKLIAGVLTIITFIIWLILLDIASTKFLLVFSSQFVGLAFMIGSTCKNIFESFVFVFVMHPYDVGDRCVVEGVMLLVEEIHLLTTVFLKIDNEKVFYPNAVLITKSISNFYRSPDMGDFIELSISFSTPAAKIATFKKKIGEYLVKNPHHWYPEPMLMVKAIENVNKLKMNLLVQHTINFQNFGEKNLRRTELFIAIKGVLEELEIDYTLLPQDVHLTSHK >A09p083850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60255927:60258752:1 gene:A09p083850.1_BraROA transcript:A09p083850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase 1 homolog [Source:Projected from Arabidopsis thaliana (AT1G02100) UniProtKB/Swiss-Prot;Acc:Q8VY08] MAESRSNRAAVQATNDDASASKLSCVKKGYMKDDYVHLFVKRPLRRSPIINRGYFSRWAAFRKLLAQFLESSNEPAQPKKQILSLGAGFDTTYFQLLVEEGQVVSDLYKLLPVDLRDIPKLRDVISFAGMDPSLPTFIIAECVLIYLDPDSSRAIVNWASKTFSTAVFFLYEQIHPDDAFGHQMIINLESRGCALLSIDASPTLLAKEKLFLDNGWQRAVAWDMLKVYGSFVDTQEKRRVAHDAGKFIIHLINLVFHPFYVMGISKGISSIYEHYCVTYAVNDAMGIFGDFGFTRGSPESMNISSAMSP >A09p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43546344:43547628:1 gene:A09p049130.1_BraROA transcript:A09p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGLLVLICMILAIGTCGGPLLTRLYYNNGGERIWFMSFISTAGCPVIFIPLVISFLRRRNLNNSENIEKTEIVLMETPMFMASIVIGLLIGLDNYCFAYGLAYLPVSTTSLIVGTQLGFNAIFSFFMVKQTFTPFSINAVVLLTAGTGILALRGGDGERSADVSHKEYVVGFLVTLTAAVLYAFILPFVELTYKKVRQEITFTLVLEMQLVMCIAASCFCIVGMLVEGDFKVIPIEAREFKVGGGSAFYYMLILMTGIVYQGLFLGAIGVVFCASSLASGVLISALLPVTEVLAVVCFREKFQVEKGVALLLSLWGFVSYFYGEFKSGKRIINKTQLQETELPPLPVSVSAVA >A06p008280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2855725:2860430:1 gene:A06p008280.1_BraROA transcript:A06p008280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERETKTNNRGLTTSDALHYLKTVRNIFHDNVGKYETFLEVMRDFKAQRVDTAGVIERIKDLFKGYNDLLLGFNTFLPKDHTITLSPEEEKPKNKVDYNDAISFVTKIKARFGGDEDAYKSFLDILNMYRKDKKSITEVYKEVTLLFKGHEDLLVEFVNFLPSCSESTSTKNAVPLRKRIKRERKLEYESEHSDQREDGDENLVACPAGNSLGNSLVKKEGQRAYLKAEDNGGIREESERDTDRTDKSAEAGSIDTGNQKSSPSASKYVGVPINELDLSECVQCTPSYRLLPKDYPVEIPRYRNALGKKILNDHLVSVTSGSEDYSFSHMRKNQYEESLFRCEDDRYEMDMLLGSVSSAIKHVEILLEKINNNTISTDTICIEKHLSPMNLRCIERLYGDNGLDVMDLMKKNLHSALPVILTRLKQKQEEWARCHSDFQKVWAEVYAKNHHKSLDHRSFYFKQQDAKNLSTKCLVAEIKDISEKKHQEDLLQAISVRTMPSFTPDLEFNYCDTQIHEDLYLLIKYYCEELCTTEQADKVMNLWTTFLEPMFGIVSRSQGNLAMDEELKSNNQELQDGCVAVKDSASGSNRKKHPRSPEKLKKDNPAVQGSSPGKDVSSNIVKTVKHDKQQDDEALTNELIQSSKLVSPRNDQITENVEIRKAKEVSVEVEREEGELSPTGTCEVANGPEGEDGSSAFSERFLETIKPVANHVPGPLKATETGSQNDSRVFYGNDSCYVLFRFHQMLYERMQSAKKQAEKKGKAPDNTTPDSYSRFMDALYNLLDGSSDNTKYEDECRSAFGAQSYVLFTLDKLVQKLVKQLHAVASDETDTKLVQLDAYENCRKKGRFFDLVYHENARAILHDANIYRIRYSSVETTLSIQLMNSHPEVTGTAMDPGFADYLQNDFLTSVNDEEKHGVFLKRNKEKLTGIDESSGMLVAMEGLNVINGIEAKMACSTSKVKYIANTSDLLYRSKQRKRNPMGQRLKRISEISKQRRISRFHIMLNCKTMALPSL >A07p019010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11321059:11322708:-1 gene:A07p019010.1_BraROA transcript:A07p019010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLALVSVCLFFVGHAHPQEISGNANVSALFAFGDSILDTGNNNNLTTLSKCNYFPYGRNFVGGKATGRFGNGRVFSDLIAEGLSLKPLLPAYHDLNLSNNDLPTGVCFASGGSGLDERTAKPQGVIWVPDQVKDFKEYVTKLLGVLGNQEKTNAIISNAVYLTSAGNNDLVFTFWTGRSQSTISAYTDLMVTWTENLLKSLYDMGARKFAVLGTVPLGCLPGIRKIDGDISKLCSVTENQWADTFNKKLSVMLNTLETKLPGAKFSYVDMYNSLLGLVNNPQASGFTEVADACYMPTTSPIPCPDASRYVFWDLAHPSEKAYQTIAPKIIEELKNKLA >A03p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5591035:5593686:1 gene:A03p014080.1_BraROA transcript:A03p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIEQRPKTKIVCTLGPASRSVEMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRQAMLNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKQGQEITISTDYDLQGDEETICMSYKKLAEDVNPGMVILCADGTISLLVLSCDTENGTVRCRCENSAMLGERKNVNLPGVVVDLPTLTEKDKEDIMKWGVPNQIDMIALSFVRKGSDLVQVRKLLGDHAKNILLMSKVENQEGVANFDDILVNSDAFMIARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICVEAESTLDYGDVFKRIMQYSPVPMSPLESLASSAVRTANSARATLIMVLTRGGSTARLVAKYRPGMPILSVVVPEMKTDFFDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQHGKEKQLCKTGDSVVALLRVGNASLIKILTVK >A09p009790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5019071:5022135:-1 gene:A09p009790.1_BraROA transcript:A09p009790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog H1A [Source:Projected from Arabidopsis thaliana (AT5G64990) UniProtKB/TrEMBL;Acc:F4KGG4] MATPNGVKSQSRMGPTVLFYSILLTLQYGAQPLISKRCIGKEVIVTSSVLTCEIVKVICALILMARDGSLKKLAKEWTLMGSLTASGLPAAIYALQNSLLQISYRSLDSLTFSILNQTKIFFTAFFTFIILRQKQSVQQIGALCLLIMAAVLLSVGEGSNKSSSGSVNPEQVLFYGIIPVLVASVLSGLASSLCQWASQVKKHSSYLMTVEMSIVGSLCLLVSTLKSPDGEAIKRHGFFHGWTALTMVPVISNALGGILVGLVTSHAGGVRKFAFEGKPPSSYCLVALPLVISSISLYQKYPYLDKKKKKAVGKTSIITCFMYGNFDTNYQATIGIDFLSKTMRHEDTTFHKQSFINASKWIEDVHAERGNQVIIALVGNKTDLVHRRQVSIEEGDTKARELGALFIETSAKAGFNIKPLFCKIASALQGTETQTWTRQEDLVDVNLKPMTNSSHSQQQQGNCSC >A06g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25944227:25944711:-1 gene:A06g509110.1_BraROA transcript:A06g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRQPQNLTNQKIVMQMMGARKESSLMMMWVFGGKHVEKVICAAVADGTVTPKVQSLIKQLLKFRQLPSLSLYGAPARLGTKTARK >A04p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21537043:21542235:1 gene:A04p037780.1_BraROA transcript:A04p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKNIHNQIESLNIFSSPLLLMAKPENDDVSPEKQTWGTWEELLLACAVHRHGTESWLSVSAEIQKRTRNLSSLTASACRHKYSDLKRRFTQEMTSPESEISSVPWLEKLRMIRVDELRREVERYDLSISSLRSKVKRLEEETSSDLDKNELRRESDRDGGELVPISPDPVDYSTVNVKREEEMAGAVDGGGEAKLTGDDSCRGSCEKESGVNSERVEPGRRIRLTESMAESEGEVNRGEEEGKETSDVQSSASLPRKGTSEVDNEDRSQPSISFVEMLLSHPCGYLFSRRLETQETPEYGKIIRQHVDFEMIRKRDEEGLYKSSMTTLFRDLLLLINNAKVFYHKGSSEFNSAEQLHQLVKKQMATTLQRELPPPKEKSLELVSFKEAVAVTSKPRISLPIVACGKRSSLASKALLMISPSGGDKKGKKTDQVVDDEKLVLLKDEETSGKDDESLVLRKMVRGKTSTAKKVVTRNVKNSGSSLNEVKTTDEEKKGNQSGSSKRKSVANFLKRMKGGGSSSDTVVETLMPSSEQKKNNIRKADAKKRATGENKTNEKASPTIMRRGRGKGRKQSASAREDRGSGDEEKIPAYRRRGRPHKPMRDDFEEEEEEEEEMVEKLEQEEDIDDTNESSVTSKKQENERKRKISNGDNADLKEEETELELGSKSSMDGSTKSISTGFRQNGSRRKSKPRRAAEAVVECNGFLRLDRPKMVPAATHE >A07g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26854042:26854351:1 gene:A07g509190.1_BraROA transcript:A07g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADSNPPSWFTGSNRSHNITKGPAQALLYLHRYKAHHHHLPTVSSLNHRKLFITHLFFHFHNLLSRSHGL >A10p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8898127:8902752:1 gene:A10p004560.1_BraROA transcript:A10p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGSGERSRVVARLSQKEKRDGLCRRTVSSSTGTNRSFHKKRPKVVVSESESSDEFMKPPARSVDRKTLGGKGKKDDRNGFVRRRNGESSGTKKLDVFEFDEYDGFDSANLMRKRFDNGTVGVSGRVSFPPRRFDNGVVGGSGSGREGVFVRREKPYLNGGSSMSLSSESDSDEAIRVQGRNGVLKVKVNNKTSTLPASTSHQEADIYERLPSSSGKGQKRENVVAKLSFRKPNNYSESEESDVERKAKIRKPIFRKLKKETDESLKPAAREERRGLRNGGTEKQRLREKIKGMLTAAGWTIDYRPRRNQTYLDTVYVNPSGIAFWSIIKAYDAFRQQLKEEDIDARSRKDVAAVVSVSEDIVNNLARKVKKTGTEASKKRGKDYSGSESEKDSYGEGVDSDTCEERFVKMNGKSIKRRRIEPIRDDLHSKSKRPSYYNDARPSSRSDSHYLDGRLSNKTGRCTLKVRSSEDKKNPAINGFNPYSGKRTLISWLIDCGVVQVREKVQYMNHQCTKVMLEGWVTRAGIHCACCSKILTISRFEIHAGSKSCQPFQNIYLESGSSLLHCQIRAWNMQKDAKNLGFHHVDADGDDPHDDACGICGDGGDLLCCDGCPSTYHQACLGIEVLPVGDWHCPNCTCKFCDAVVHSVGEDQNPSLLSCNMCGRKYHQSCMSEVEAHNVQSSASSFCGPKCSELFEKLKKYLGVKHEIEGGYSWSLIHRVDTDSKFNSQLSAQRIENNSKLAVGLAIMDECFLPIIDRRSGINLIRNVLYNCGSNFSRINYTGFYTAILERGDEIICAASLRFHGMQLAEMPFIGTRHIYRRQGMCRRLFDAIESAMRSLKVEKLVIPAIPDFLHAWTGNFGFSPLDDSVRKEMRSLNTLVFPGIDMLHKPLLHEEKKSKFAAVGDCVVVHKDAMGSEVETEKKSESASFAETCLNSNGHVADDDADCDKKTLVSDEKTSPICTPVEATMDTVSKPEGGESRRYIPGEESGISVSSCQFTLKSCSKQRDDTGSSCEDVNVEAVAKLLSLEFVQASTEVQIENNLSSSTSGLGSSDISSITQEGKTEQNSPNREATPSCKDSDRLGPGAKLAVSKADGLLL >A02p055870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33786748:33788392:1 gene:A02p055870.1_BraROA transcript:A02p055870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKPEVKEAAPAPEAVPESVSSEEEEKRDVAEEKQEAAKEEQPTVEEESQPPPPPPPPPFILYVDLHCVGCAKKIERSVLKIRGVEEVVMDMNENQVTIKGVLDPQAVCNKIKKKTKRMAKVLSPLPAAEGEPLPPTINSQVSGLTTVELNVNMHCQACADQLKKKILKMKGVQTTMTEYTTGKVIVTGTMDEEKLVDYVYRRTKKQARIVPQPDPEPEKSAAEEDKKEESGEGPEKPAEETGGEKEEEEKKEEEDGGGEETEVTEEMATAEEEGMKRMMYYYQPLYVIERVPPPQLFSDENPNACCIS >A04p011600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6643631:6644131:-1 gene:A04p011600.1_BraROA transcript:A04p011600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSATNAPSHIYPNSLALEGGNPNYVTMMQNEHNEHFSATPVEIKLQARIEALQNQVTKLHRTWENNTSTDNHELLSKVQVLKDTMNKHSKLLEQSLENLSQLAAENLILREENSPLHTKRNKQRRFRTWVRPMQSLGTPTEGGNATRYAPPLREELTIGINAIG >A06p019020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9215447:9216429:-1 gene:A06p019020.1_BraROA transcript:A06p019020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPQEPHFLKPLLPGFHNGVTIPLSFFSQHIQGKTNGKKWKLRSDASDQTWKVIQEGRRLTEGWKDFTTAHDLRIGGILIFKHEGDMVFHVTPFGPSCCEIQFTHPHSIKEEADVDDTHSFSFDYCFLAEVTASNINEDKLYLPVEATTCTALNKQCKEIILVNKEGNSWTGSLRYSEADDMYYIRKGWKKFCEENNCNIGDLFVFNVVRDRNTTPLMCVCPERKECAELLIKHLSRMNGDIASSSRVI >A10g504340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10645625:10646308:1 gene:A10g504340.1_BraROA transcript:A10g504340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DMGRMLRIWRGEWRKNDSQYWHFVPVQTDLSLSLYMDEGESFATVEGIVRTHYGVRSTTELVDLMAGRPWMVEFTLLVTLGAKSVAQYHFNRRSTFYIGSSSFVVDQTQDANAKASYERLVFGKRMATSEKVMTEIFGEEEMVVFYRVAMEMEFAEKENTRQMDEQA >A10p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:683280:689683:-1 gene:A10p001360.1_BraROA transcript:A10p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKLFNLHDNPYSVLKTMKNEKDQNVETKETSRIGEREREDEDEEEKRINTFFKLIKSYQEARKRRREELAEISGDVRKKTNVGEASGAVVPAFQPEDFSQCGTDVKPLMAVSDHKEGDVKVKEEEEEEAKKEEEEEEEKDHETDSNTQLLMRKLQIFDFETQEEVGSLYLEAQIGTTFGKPFSGLFQETEPRIVFFGLNYPGTSSIMHQLKTGDTLSETRVPVVDSIYRERIEDAKSFLYMVMDEGWTAKDDVYYAWAERDYKEFHVGDSLVFEYDPSINDVTQVSGSLEYEFCHLSSPKAVYNTGHDVVTLTEPGFHYFITSNQEQCVLGQKLEVLVVHDPSSPVPPPTTPSKTLPVGETYKVGDSEGWKVYDSDFYNKWSEEKQFHVGDSLIFEYADEVNDVYEISGDLEFMTCDPMSPVAVYKTGHDLVRLTEPGVHYFITSQSGYCEAGLKVRVIVGPQPKVVAYPSFPKKLIKSYQEARKRRREELAENSGDVKKKTNVGETSGAVVPAFQPEDFSQCRTDVKPLMAVSDHKEGDVKVKEEEEQADKEGEEEKVQTKVNFLQSLIVAEDGDNIWEAICRFVPRTRTENNVFRPRLYRKIINTAPTQNRRNPFKNKAHHWIEWGSFKFKNSSFSFFEIEEQCLHRKISSWKIYFQKAAGFVYVVDSTDRKGMEEAKSFLYMIDGNAPDNTAVLVYANKHEVPGAMSASEISNELDLPSLRQRNWQRNWHVQSSCALCGDGIHEGFDWLFKNIARM >A01p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11690792:11693674:-1 gene:A01p023660.1_BraROA transcript:A01p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSLTLPFLFLKQVLKRATTSNRNLPPSPRRLPVIGNLHQLSLHPHRSLRNLSHRYGPLMLLHFGRVPIIIVSSADIAHDVMKTHDLKFANRPKTKAVDIIMNGGRDLAFSSYGEYWRQMKSLCSIHLLSKKMVRSFENIREEEIVTMMKKFEKASSSSLLVNLSESLLDMTNNVICRIAMGRKYSLEENPMDFGNLLRTFMELLGAFPVGDYIRGLAWIDKIRGLDRKMKDVSRTFMEFLEGVVQEHVDEGEKKETLDFVDMLLRIQGDKTNGFELDRSDIRLIMLDIFIAGMTTTYTTLDWAMMRLIRHPECMKKLQDEIQTYSRHKFYVSEEQVESMKYLKAVIKEVLRLHPPGPLLVPRQLSEDVKLKGYDIAAGTQVLINAWAIHQDTTTWGPDAEEFKPDRHLDQPLDFSGQDFKFIPFGSGRRLCPGIGFAMALIEVTLANLVNRFNWKVEIRPLGDDDQYYLAEATGIEVCRKFPLFVFPSLASSTLLGCNENQCLG >A02p052690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32199455:32201673:-1 gene:A02p052690.1_BraROA transcript:A02p052690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSRLVLAVFITNSLWLLLLSSLIDANEANVNCLRTIYNQVKDPNGYLTSWVFGNQTAGYICKFTGVTCWHDDENRVLSIKLGGYGLTGEFPLGIKNCTDLTALDLSKNNFSGPLPSNITDSIPLVTILDLSYNQFSGPIPPSISNITFLNSLMLQHNQFTGTLPAELVLLGRLTSFSVAGNLLTGPIPAFNETTLKIGVDAFASNPGLCGKPLDACKGPKSSRGKVIVIAAVGGLSVAALVVGVVLFFYFRRLAVVRKKMRDDPEENRWARILKGQKGVKVFMFKKSVSKMKLSDLMKATEEFKKDNIIGKGRTGTMYKGVLEDGTPLMVKRLQDSQHSEKELDSEMKTLGSVKHRNLVPLLGYCIAKKERLLIYEYMPNGYLYDQLHPSDEDSYNPMDWPSRLKIAIGAAKGLAWLHHSCNPRIIHRNISSKCILLTADFEPKISDFGLARLMNPIDTHLSTFVNVEFGDFGYVAPEYSRTMVATPKGDVYSFGVVLLELVTGQKATGVTRDSEEEEDKEESCFKGNLVEWITKLSSESKLHEAIDRSLVGKGVDDEIFKVLKVACNCVLPEVAKQRPTMFEVYQFLRAIGESYNFTTEDDILVPSESGEADFIEELIVR >A07p038620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20540830:20543019:-1 gene:A07p038620.1_BraROA transcript:A07p038620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLKNPTIYNSPRLSLAAHSSTPVSYLPGISRFGQPGALPLRLSAVTPIRSSRRGLRVDESESLTLDSIRNSLIRQEDSIIFNLLERAQYRYNPDTYDEDAFTMEGFQGSLVEFMIRETEQLHAKVDRYKSPDEHPFFPQCLPEPVLPPIQYPQVLHHCADLININKKVWKMYFKQLLPRLVKPGDDGNSGSSALCDTMCLQARNFWHILSKRIHYGKFVAEAKFRENPSTYETAIREQDRTQLLRLLTYETVEEVIKKRVEIKARIFGQDITVNDPETGATDPSYKINPSLVAKLYGEGIMPLTKEVQIEYLLRRLD >A04p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15540765:15542050:-1 gene:A04p025670.1_BraROA transcript:A04p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGDSEAVECKCGMPLCICVVAPPKTSNPQLMRERERERESFLAYSSYLMYVPPFWNNRLHGLLLWLFLSQIPNQSLTLQLKVKVPLPAPLLVIKAVEIRRPDKPQKEYEVSGEGLREAIKNGDTAGVKKLLNEGVDANYHDKQGMSVLHLAVLFNQTEIALMLMEHGASLDYKNAQGETPLDCAPATLQYKMREKMKST >A03p064620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28118610:28121924:1 gene:A03p064620.1_BraROA transcript:A03p064620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSYSPFDLMSAIIKGEPVVVSDPANASAYESVAAELSSMLIENRQFAMIISTSIAVLIGCIVMLLWRRSGGSPKRVETLKPLVIKPPSEDEVDDGRKKVTIFFGTQTGTAEGFAKALGEEARARYEKTRFKIVDLDDYAADDDEYEEKLKKEDVAFFFLATYGDGEPTDNAARFYKWFTEGDDRGEWLKNLKYGVFGLGNRQYEHFNKVAKVVDDILVEQGAQRLVHVGLGDDDQCIEDDFTAWYLLDLREALWPELDTILREEGDTAVTPYTAAVLEYRVSIHKSEDALNEKNLANGNGHAVFDAQHPYRANVAVRKELHTPESDRSCTHLEFDIAGSGLTYETGDHVGVLNDNLNETVEEALRLLDMSPDTYFSLHSDKEDGTPISSSLPPTFPPCSLRTALTRYACLLSSPKKSALLALAAHASDPTEAERLRHLASPAGKDEYSKWVVESQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRFYSISSSPKIAETRIHVTCALVYEKMPTGRIHKGVCSTWMKSAVPYEKSENCCSAPIFVRQSNFKLPSDSKVPIIMIGPGTGLAPFRGFLQERLALVESGVELGPSVLFFGCRNRRMDFIYEEELQRFLESGALSELSVAFSREGPTKEYVQHKMMDKASDIWNMISEGAYVYVCGDAKGMARDVHRSLHTIAQEQGSMDSTKAESFVKNLQMSGRYLRDVW >A02p042420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:9236:9810:1 gene:A02p042420.1_BraROA transcript:A02p042420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTERSLGANKDITDDGVDMGVSSSGTHNVLKFKPMNLVRVVTIYDIATVYYSSSDQTGIGSRLSRRAQMLIRSSKTTQSPSVDETSGKDCEDRVTNIIYKEIFKDVQTTQVHEDT >A09g500180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:766032:766508:-1 gene:A09g500180.1_BraROA transcript:A09g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYNPIYTDVPEKDVFALHFLQTLSNLRTQNSFSSPDNKTSDRVKKIKKAAYVSMARAAGGANRLWSRSLLHQAAKRNNKNVRFLRRKRRVTWLMRRRSNRGDPIEDVAAERLRNLVPGGGGMETSKLMEETAHYIKCLSMQVKVMQCLVDGLSPK >A10p003060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1565096:1572235:-1 gene:A10p003060.1_BraROA transcript:A10p003060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKKPKRGLSGKSASSSPSSSDSTQSSGATKQASGKILAGSSPIKLDLAAAIADGSEPQASEQNSPEVIETPTKDSRSSLSIPAVAQASAGLETPSAQAAIAANPENETAVARSEPPHATATANSTPSPHADPPRGKSVPPRHDISLTGDDSIGQPPDPDKGKGIATSDHPPNKTASAKSPAIAKASSGVGLCRRQRLPFSSLSPFSFAPSASLLPCGDMYGSLVVVFLGGGLDLLRGERDSPVSTVLWRSDEPARVVVVESLLCSLSLFLSSEGSLRRGLELKPSLSTTARRLVVVVRVVSAQVRLRVRPDGACTELRWLCGYLFLCGLRVQSKISVAHCRLWL >A03p007380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3052492:3055313:-1 gene:A03p007380.1_BraROA transcript:A03p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEDASKVIHVKFLTKLDSPFKVPVTSVVIPSSVTRLGLSSILNSLLTLEKPEAFDFLIDGELIRMSLEQFLLAKGISAERTLEIEYIRAVAPRKEEKPSLHDDWVSAVDGSSPSFVLTGCYDGLGRIWSSPGSCTHILEGHTGAISSIAFANSEGAEGVTVATASKDRTLRLFKVDTAESGDSTTRVGAYKILRGHKASVASVASQKYGSKVSSGSWDCTINVWDTNESTSELSVPGKKRKGNNQAEEPQLEGEAETTFVGHTQCVSSVVWPEHDVVYSCSWDHSVRRWDVETGKDSLNLYSGKALNTVDVGGEGSALVAAGGSDPILRVWDPRKPGTSAPVFQFSSHSSWISACKWHKSSWFHLLSASYDGKIMLWDLRTAWPLSIIDTHKDKVLCADWWKGDSVVSGGADSNLRISSGISIS >A05p011600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4992875:5005778:-1 gene:A05p011600.1_BraROA transcript:A05p011600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEKILKEAKKLPWEDRLAHKNWKVRNEANVDLASVCDSITDPKDPRLRDFGHLFRKTVADSNAPVQEKALDALVAFLKAADADAGRYAKEVCDAIAAKCLTGRPKTVQKAQDALLLWVELEAVDVFLDTLEKAIKNKVAKAVVPAVDVMFLAISEFGSKIIPPKRILKMLPELFDHQDQNVRASAKGVTIELCRWIGKDPVKSILFEKMRDTMKKELEAELANVSGGAKPSRKIRSEQDKEPEAEASSDVVGNGPTEEPVADAPQEIDEYDLMDPVDILTPLEKSGFWEGVKKTKWLERKEAVAELTKLASMKKIAPGDFSEICRTLKKLITDVNLAVAVEAIQAIGNLARGLRTHFSASSRFMLPVLLEKLKEKKPTVAEALTQTLQAMYKAGCLNLVDIIEGKDVKTAVKNKVPLVRSLTLNWLTFCLETSNKALILKAHKEYVPLCMECLNDGTPDVRDASFSALAAIAKSVGMRPLEKSLEKLDDVRRKKLSEMIASSGGESASTSSVTVQSSVGGTATVGKPSRVLRDGMFDPNSEAPVVRKSAASMLSGKRPALSAPASKKAGTAKTGGSKKDAAVRNESSKSVEPPEDVEPAEMGLEEIENRLGSLVKTETISQLKSSVWKERLEATLSLKEEIEGLQELDKSVEILVRLLCAVPGWNDKNVQVQQQVIEIITYISSTAAKFPKKCVVLCITGASERVADIKTRASAMKCLTAFCEAVGPGFVFERLYKIMNGHKNPKVLSEGLLWMVSAVDDFGVSLLKLKDLIDFCKDVGLQSSTAATRNATIKLLGALHKFVGPDIKGFLNDVKPALISALDTEYEKNPFEGTVAPKRVVKTSVSTSTSGGGLDSLPREDISSKITPELLKGFESPDWKMRLESIEAVNKILEEANKRIQPTGTGELFGGLRGRLLDSNKNLVMQTLTTIGGVASAMGPTVEKASKGILSDVLKCLGDNKKHMRECTLAALDLWLGAVHLDKMIPYIIIALTDGKMGAEGRKDLFDWLTKQLAGLSDFVDAIHLLKPASTAMTDKSADVRKAAEGCISEIVRVSGQETLEKNIKNIQGPALALVLEKVRPGFVQEPFESSKATAGPVSKGVSKVSKSTSNGTLKQGNRSRALPTKGSRPDQITSVHDIAIQSQSLLNTKDSNKEDRERLVVRRIKFEELRPEQIQDLENDMMKYFREDLQKRLMSPDFKKQVDGLDILQKALPSLSKDIIEVLDILLRWFVLQLCKSNTTCLLKVLEVLPELFNTLKDEEYCLTEAEAAIFLPCLAEKLGHNIEKVREKMRELMKQIIQAYSVAKTYPYILEGLRSKNNRTRIECTDLIGYLLETCGTEISGLLKYLNMVASLTAERDGELRKAALNTMATGYKILGDDIWRYVGKLTDAQKSMLDDRFKWKVKEMEKRREGRPGDARAALRRSVRDNGPEVAEQSGDLSQRVPGPLFPRQNYGISEQIPERNPVPRTIAGVNGPADWNEALDIIMFGSPEQSVEGMKVVCHELAQASNDPEESAIDELVKDSDGLVSCLANKVAKTFDVSLMGASSRSCKYVLNTLMQTFQNKKLAHAVKEGTLESLITELLLWLLDERVPRMEDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPGTAEVYAVRNQKFSDLVVKCLIKLTKLLQSTIYEVELDRLLQSIHVYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMRPQPIILAYIDLNLETLAAARMLTSTGPVGQNHWTDSTANTPSPPPNSADVQLKQELGAIFKKIGDKQTSTIGLYDLYHITKSYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPSSLALPSPDIPSLDVKPLMNPKSDSYTEEIRGSNINPGTLDAIRERMRTMQLATSGSLESVSKPLMPTNDNNIPVDEQNIPPSHMGEETPHAHPHPHPQQVVLPMDEKALSGLQARMERLKGGSLEHM >A04p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22864855:22866583:-1 gene:A04p040490.1_BraROA transcript:A04p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVTLSPVRNEPPLLMPLARADSLPEETILVYLTLPGSVIPMRVLESDSIESVKLRIQSYRGFVVRNQKLVFGGRELARSGSNMREYGVSEGNVLHLVVKVSDLQVLDVKTACGKHCRFHVERGRNIGYVKKQVSKRKGGDFVDSEILYEGERLEDQSVIDDICRNGDSVLHLVLRRSAKVRAKPVDKSFELSIVAPQASVVPQRKEFSLEPLVVNPKAKLSLVVKDMVSSASDGLRSGNPPVRSREGTGGAYFMQASSGNKYVGVFKPIDEEPTAENNPHGLPLSPNGEGLKKGTKVGEGAFREVAAYLLDHPKSGEEGGFAGVPPTTMVECLHPGFNHPKGVKTKIGSLQMFTENDGSCEDMGPASFPVEEVHKISVLDIRLANADRHGGNILMSKDKEGKIVLVPIDHGYCLPESFEDCTFEWLYWSQARKPYSRETLDYIRSLNAEEDINLLKFHGWKMPLKTARTLRISTMLLKKGAERGLTAFEIGNMMCRETLSKKSLVEEMVEEAQEAVLPGTSEAAFLEALSDVMDYHLDEVVRSQEH >A05p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27139512:27144074:1 gene:A05p045360.1_BraROA transcript:A05p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSRTGGNRAMDDGDRKLIESIREIVGNHTDADIYAALKEADMNADEAVQKLIHQDPFHEVKRRRDRKKEVAVLVEPADEKKPLESVTSEVNVRTQPEHNARRGGYSRNVFPRNAAPRDDFHRNTAPRNELPRNAAPRNEFPRNAAQQHEFPGNPAPRNAFLRNAAPRNAFPRNPATGSKKEFRVVRDNRSNPNVGEELKYTSAHQSSGLNISKVMASQNQKGLTGGVGNRRSPGDQDFSKDCNAVADVRLRDSEIAPLHHPTRKELSDGKQTARSVTLPSTNSVIGVYPSSTDPVHVPSPVSRSSPVGAIKREVRGGGFGGKPSENVGKNPSASAGSLSGSSIRKIGTPNAHRSSSPNSKIDQVSQTTLRESVLPSGGEKNRPVLNRQRGNRGSQNARTQQVGGHTKGVSQNKEWKPKPIQKSVGHNPGVIGTPAKSQACRSDDISLNLESEAVKVQDKLSHVHISESQNVIIADHIRVPETDRCQLTFGSFVQEFSSSVNSEPAFQESCSLEELRETDRSSPVSSPETLTDGPGDKPIDILDDHVRVSESDSRVSVASEHQLPEEKEAHRSDNLDEYSEIQLLNRNGPHYTPLEFEEQQDPPELQKAPQAYGNHGSYDSPYENVRGQGLPSQQEVLSTQMVNNGPSSTIPMLQQQQQQQQQASMQQMYPQVQVAHFPNLMPYRQFVSYVPQMPMPGYSGNPAGYAHPSNGNSYVLMPGGGSHPGSNGVKYGIQQFKPVPTGGPTGFGTYNNNPNAYQINTPNVVGNAMGLEDPSRMKYKDGNIYVQNPQQQPETSDIWMQNPRDLSSLQSPPYYNVAGQTPHGAYLPSHTSHPSFNAAAQSPQMQFQGLFHPPQPGAMANQHHMGPGLGGNVGVVPSPPSQLGAYQQSQLGHPNWGANF >A04p000870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:430793:431685:-1 gene:A04p000870.1_BraROA transcript:A04p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRSSPSSSIESSCKSNPFGVSSSNTRNLSTDLRLGLSFGSSSGQYYNGGENHDEYGVVAADHKMIIEEEDQNECNSLGNFYVKVNMEGVPIGRKIDLFSLNGYHDLITTLDYMFNASILWAEEEGMCSEKSHVLTYADKEGDWIMVGDVPWEMFLSSVRRLKISRAYHY >A01p026390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19593713:19594406:-1 gene:A01p026390.1_BraROA transcript:A01p026390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISCFHSLRSDLSDPQLATLRPFRLQKAPPLCSLLNPDRNAFHSSKLLRLLLLALTSSFVFSFKSRSKRLLFLSDLPLKLYDKKKTAKTCFLAWIQINRIKRQRRYVATGLEPKFSRCVATELFRTSVRHQSMHSRQTFKCYLSKTVASSVYVFRYSKSSIKLRGLETAESSFFVERDRSKRFESEDGPKGPKTRLEAHPAIS >A06p009990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3573770:3574744:-1 gene:A06p009990.1_BraROA transcript:A06p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ESR1 [Source:Projected from Arabidopsis thaliana (AT1G12980) UniProtKB/Swiss-Prot;Acc:Q9SAD4] MEEALRKFSESTHSLIPGYEPDQYPLTRNFTNTKSSNRKPASKETRVTSLGTGNATTRYRGVRRRPWGRYAAEIRDPTSKERRWLGTFDTAEQAACAYDCAARAFRGSKARTNFTYPVAVAVPEHRFPFFPKKSLTSARSPVPLDHSTHEFYGTPAPQKINNDASYSSRKTAPFNSLNGSSSSYSYSQPKTASVSSSVYDYDTEFFPQESSDSGLLEEVVKEFLKKNRNHPPPPPPMIGDLESTGGDLSALSNVSDSFFQQTTEPITWTLEGHGNNNQGGGSGYFNGAIPAADSDFTYGSEALGYQEMLMYGGQLGCTRRKPWG >A09g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9043128:9044037:-1 gene:A09g502690.1_BraROA transcript:A09g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEETLASLPTSSPSARHQKSTVFVYCLCVLLSRITSDIFYLSSLMTYLLPEDVITRAVTAAREWLNAQEPIPKANRLGPIMERPLENSHTVQSDAAWKEDLGIAGLGWSIKKNAEKSSFGAHCHFVASPMVAEALALREAVFKCKELGIQRLRCETDSVQIVKAITSEKPTPAIYGIVSDIISLIPEFEMIQFKWIPRGKNKEADALAKQALLFETNVMNSTLRGF >SC158g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:182111:185689:-1 gene:SC158g500020.1_BraROA transcript:SC158g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERQFSAGTRKSIKTEQEEIDVLKPKKEKHCLKSEDAMTCRPPIGFRGVTERYAWQTLIGWKKTWRPSYEASRRRDLATKQRENLGRKTRASDYYALSLDVRGVGRAHGQALHDDAAPLGHDIKVIANWNGLVKSLDLFLILGRTGTMSNQGSGAGGSFGTSGQDGSRYANYHNFWDAPNSANQTGDSGPRPMEGVERAHSEENVSPVAWRLSVSPPNSQHVESEPFVGPQRPHSSHADSEGSTSIRINSRPAELATPTPAPAPAPAEGSRPADPTLSMVHNLFCKPTRRKGQFKRHGKKPKAQVTCYNCGQLGHYSRECTNSTTEKTDWKALVTCYSCGEKGHFVNECTVNRPGQGRGSSARTQPNRPTREHPAGSPASEGR >A02p025900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13603982:13604247:-1 gene:A02p025900.1_BraROA transcript:A02p025900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLQPKEGAARLCVEALKCDPTNRIDNIFFLHFYELVSHGAEAVSDWEGQLMKVMQRQGEKK >SC232g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000085.1:91747:106369:-1 gene:SC232g500040.1_BraROA transcript:SC232g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTAVGSKGASSSRSNDDYIKRSDLDAIFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERIEARNEEPCLYFPCIFETLCMYKASPLYSGRGPLYQHPSFYQKPLFSLFFLFVELIECWCVISNFWSLSAASCVTIRSTYLEKLESFDLFLQGLSHLFQSIILGSHQVVSEQLWKGSTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQVSRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKKKSETEQECSLFLLQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRITEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHQSDFRFKCVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKGGYTDESLAKLEMQQSNLGSCLAVNFVLSASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELDLLISYFDIGAVRGSYLSNQKELSNKLNCYGNYTHQGLTSNWNHVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFMSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPRKYNFGIRILLYDDFACVNLSCFNVSGLSNASGVRKVKWNSPFYLIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDVIMESTKEWNHEPDHRELVAVEDPTLEE >A03p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5896739:5897082:-1 gene:A03p014910.1_BraROA transcript:A03p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIMEVLSVYRSYATTFVREGKKEEREAVTESDCLQAMHLLVLKILMRNIMGSYSFYSNGSERSTPASSTNVLDNGLSMSFGVHV >A05p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6616108:6616901:1 gene:A05p015010.1_BraROA transcript:A05p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEQEPVLDIKKRLEQFLQIPTSSLTLFVSCWELLDGLDIDDYPIISHGTRIDLTVTPLFTSPSFTNPAVKKIHVTVKFPSKQFSIEVDKTETVSSLKDKIYIVENTPIKRMQLYFSGIELADDFRNLNEYGIGEFSEIVVFLKSINRAKDVAPVKKLCFLVQTSSSLFNGASIPVEIKDSCTISEMREGLQANKTLPRDEYIFVHKQRIMRENCSLRWHGVENGDTLFVFKGSISRGSY >A05p054920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32464460:32466914:-1 gene:A05p054920.1_BraROA transcript:A05p054920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNLLTSALRSQRRLALNRAARALSSVSALDSASLTSHSPSPTPPFLMPYDHSAEIVKEKLKRLENPDQRFLKYASPHPILASHNHILSSPETRVTTLPNGLRVATESNLSAKTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTERRTVRALEEEIEDIGGHLNAYTSREQTTYYAKVMDSDVNQALDVLADILQNSKFEEQRINRERDVILREMQEVEGQTDEVVLDHLHATAFQYTPLGRTILGPAQNIKSITRNDLQNYIKTHYTASRMVIAAAGAVKHEEVVEQVKKLFNKLSSDPTTTTQLVAKEPASFTGSEVRMIDDDLPLAQFAVAFEGASWTDPDSVALMVMQTMLGSWNKNVGGGKHMGSALTQRVAVNEIAESIMAFNTNYKDTGLFGVYAVAKADCLDDLSYAIMHEVTKLAFRVSDDDVTRARNQLKSSLLLHMDGTSPIAEDIGRQLLTYGRRIPTAELFARIDAVDASTVKRVANKYIYDKDIAISAIGPIQDLPDYNKFRRGTYWNRY >A03p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12768064:12769008:-1 gene:A03p030430.1_BraROA transcript:A03p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVVEEVKIEEAIKEQMKLEKEDDVVVEDVKDGDEDVDDDDNDDDNVDGAGDNESSKQSRSEKKSRKAMLKLGMKPVTDVSRVTIKRSKNVLFVISKPDVFKSPNSETYVIFGEAKIDDMSSQLQAQAAQRFKMPDVASMIPNSDAFEAAAVAQEEDEDDDVDETGVEAKDVELVMTQAGVSKAKATKALKANDGDIVSAIMELTT >A09p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14910678:14913101:1 gene:A09p026060.1_BraROA transcript:A09p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQSSSLRASPPNPLLKPSNRQSHQITNARHPTRRRTFISASAAVSAPKRETDPKKRVVITGMGLVSVFGNDVDAYYEKLLSGESGISLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNERRLDDCLKYCIVAGKKALESANLGGDKLNTIDKQRAGVLVGTGMGGLTVFSDGVQALIEKGHRRISPFFIPYAITNMGSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKQRDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAHHMTDPRADGLGVSSCIESCLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKSTAGIKINATKSMIGHCLGAAGGLEAIATVKAINTGWLHPSINQFNPEPAVDFDTVANEKKQHEVNVGNYIKFVWVRWTQLSCRLLCLQTLIPSRPFCIFFSNYYITTIIHQASSSLSFLVPRV >A04p024800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15034079:15041646:-1 gene:A04p024800.1_BraROA transcript:A04p024800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGMYKARKFSSGCFLREKFYVLGGRDDNDKHLTCGESYDETTDSWELIPDMLKDMTIIVHSQSPPLIAVVDDNLYMLETSLNELRVYDINTNIWKKVGVVHVSANAAFGWGIAFKSMGDRLLVIGTSHSWQRKTVVHSCRPSPDVKEQHWEEIKHWCIGAELPQFIHNCCELMSKEMAKINRNVLTVREDQAPKIGASLSQSEPRKISMVGIKPHIPDLNVKPCFDSNEEEKGEIGKAFQNLAGLKTHDACYVDHKLLYELKVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQERGLVKPYVILHSGAVSNWEMFDKDFKTFRRLPKIPSSDYCFFYSGKETVSVGTQLIIIGKERYEIVVFRYELENHKWFKGPSMITPRAMYGSASHGKTAFFAGGIKMDENGNHVVVQTVEKYNADTKRWTMINGMHKARKFSSGCFLRGKFYVLGGRDDNDKHLTCGESYDETTDSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLKTSLNELRVYDINTNIWKKVGVVPVSANAAFGWGIAFKSMGDRLLVIGTSHSWHRKRVVHSCCPSPDVEEQHWEEIRHWCVGAELPQFIHNCCEEKGEIGKAFQNLAVLKTHDACYVDHKLLYELEVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQERGLVKPYVILHSGAVSNWEMFDKDFKTFRRLPKIPSSDYCFFYSGKETVSVGTQLIVIGKERDEIVVFCYELENHKWFKGPSMITPRAMYGSASHGKTAFFAGGIKMDENGNHVVVQTVEKYNADTKRWTMINGMHKTRKFSSECFLRGKFYVLGGRDDNDKHLTCGESYDETTDSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLEISLNELRVYDINTNTWKKVGVVPVSANAAFGWGIAFKSMGDRLLVIGTSHSWHRKTVVHSCRPSPDVEEQHWEEIKHWCVGAELPQFIHNCCVMFA >A08p033670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20164046:20165628:-1 gene:A08p033670.1_BraROA transcript:A08p033670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKRSKTTCRSVSHKLFKDKAKNRVDDLQGMLLDLQFARKESSALDVTLLEEQVNLMLREWKSELNEPSPASSLQQQGGGTLGSFSSDICRLLQLCDEEDDATSKLAAPKLEPNDQNLEPYGPLADHCNDPPLVVGNNLDGTAHSDYNLQQEFEPNFNGGFNDCPGYGVEGPSDISAFIPIICPPPSAFLGPKCALWDCPRPAQGLDWFQDYCSSFHASLAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNAPELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMSEFGGLKRSYYMDPQPLHHFEWHLYEYEINNCDACALYRLELKLVVDGKKNSKGKVSTESVADLQKQMRRLTAEFPQENNNNKRCIKGRNKVNTKVATGNVQNTVEQTNEYGVGEEFNYLVGNLTDYYVN >A07g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12604488:12605803:1 gene:A07g505600.1_BraROA transcript:A07g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKELKSRIQYAMSWIRRQPPKVKAFLAVVSAMTVLVFLRVIVHDKEYLFLASEAVHATGISVLIYKLTKERTCAGLSLKTQELTALYLGVRLYCSFVMEFGLHTLLDSAAFFTTLWVIYMIRLKLRATYMEDKDNFVIYYVIVEPFTAHYVFALGIARFLNCAHWILEVLDTRGGLLTALGYGTYGLWPFMVILSEIIQTFILADFCYYYVQSLMGGQLVLRLPSGVV >A01g510150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27870597:27872387:-1 gene:A01g510150.1_BraROA transcript:A01g510150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASAHCASALFPSTLAAGEEPSLIPPRPPDPPDPASASPRLSLAHYPPLSPNNPTARALASPSSNTKARSITSPSSANQLPSPTDTEMDYVDPSSVSETRSDLPRSVTTVDSNPTTENFTTLPPKSSSPLHTNKASNLPSNPKTGDSNSPSPSFPEKQTEPLSAPFLASPPLAQRSKTQPTPPKPSLVERIRRFEDKTLSRLAPVTLSASGRPSVLIPDAVFQKGADLHKDFIVCCFNGRPPHYSQIQSQPTPKPGPTSNSTPSASTVSFSPPVTDSPSSSFVPPPISSKSPSPQTFKHLSLPVLPDFSSLQSPPNPRRRQSLKRSRSDPSLSPPNSSLLQSHVPPNPIQTSEPPPNSSLFLTLPSSSSSSFDPNPFSILATNSSFSKGEFPPTS >A06p016700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7473509:7477664:-1 gene:A06p016700.1_BraROA transcript:A06p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNGFHPNPAEGEKKAINSQLWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKQTDFIPNYPNLPSKLICLLHSVTLHADTETDEVYAQMTLQPVNKYDREALLASDMGLKINRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIVAKDLHDTTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFVRDEKSQLTLGIRRANRQTPTLSSSVISSDSMHIGILAAAAHANANSSPFTIFFNPRASPSEFVVPLAKYNKALYAQVSLGMRFRMMFETEDCGVRRYMGTVTGISDLDPVRWKGSQWRNLQVGWDESTAGDRPSRVSIWEIEPVITPFYICPPPFFRPKYPRQPGMPDDELDMENAFKRAMPWMGEEFGMKDAQSSMFPGLSLVQWMSMQQNNPLSAGAAATTQLPSSYNLPNNFAPNDHSKLLNFQSPNLSPANTQFNKPNMVSHISQQMQAQPAMVQSQQQLQQQVQMSHQQLQQQHHQHQQQGGYNSGSVNNGVSVVSNQVSCQNQSAGFTQSQLQQQSILSNGAKMTHQNIVSAGNKGSSQMTAQELQFQQQLELHNSTQLLRNQQEQSSMHSLQQNPQQLQMQQQQSSKPSPSQQLQMQLLQKLQQQQQSTPPPGSSSLQPQLSSLQQTQSHQLQQLLSSQNQQPLPQSNNNGFMQPPQIQASHHQQQGYMNNNKPIVRSQSGHIDGEAPSCSTSPSANNTGHDNVSPTNFLSRNQQQGQAVSVPASNPVQQGMVNLKSAGEQYKFKGAVTDQIDVTTAGTTYCPDVVVGPGQQQQQTFPLPSFGFDGDLQQSQHPRNNLAFAGNLEAVNPDALYSQKDFQNLVVPNYGNAPRDIETELSSASQSFGIPSIPFKSGGSNEIGGINDSGIMNGGIWPNQAQRMRTYTKVQKRGSVGRSIDVTRYSGYEELRNDLARMFGIEGQLEDPQISDWKLVYTDHENDILLVGDDPWEEFVNCVQNIKILSSAEVQQMSLDGDLAAIPTTNQACSETDSGNAWKVHYEDTSAAASFNR >A07p031840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17535736:17537181:1 gene:A07p031840.1_BraROA transcript:A07p031840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B6 [Source:Projected from Arabidopsis thaliana (AT3G61560) UniProtKB/Swiss-Prot;Acc:Q6DBN4] MAEESEKPVHTEESLMEKIAEKIHDHHDSSSSSDSDHEKPESQSALKAKIYRLFGREKPVHKVLGGGLPADVFLWRDKKLSAAVLGVATAIWVLFELVEYHFLSLVCHILIFSLAAMFLWSNAHAFMKKSPPKIPEIQVKEEHFLLIASALRNELNQAFVILRSIALGRDLKKFLMVVVGLWIISVVGNWFNFLTLVYICFVVLHTVPMLYEKHEDKVDPVAEKTLKELKKHYMVFDEKVLSKIPLASLKAKLG >A09g517350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51155158:51156895:1 gene:A09g517350.1_BraROA transcript:A09g517350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLGRRWTRLSKRNLKPTWKLLNGRGVSGGAETIAKERVDAVVIGAGVVGLAVARELSLRGREVLILDAASSFGTVTSSRNSEVIHAGIYYPPNSLKAKFCVRGRELLYRYCSEHGIVHRKIGKLVVATGSSEIPKLDLLMHLGTLNGVSGLRMLEGFEAMRMEPELRCVKALLSPESGIVDTHSFMLSLVGQAENSHATFSYNTVVLSGRVEEEKMHLFVAETGSVESRCEGVVAELELIPNLVVNSAGLGAQALAKRFHGLGHRFIPSSHYARGCYFTLSGAKSPPFDKLVYPIPEEGGLGVHVTVDLNGLVKFGPDVEWIECRDDTSSFLNKFDYGVNPQRAEKFYPEIRKYYPDLKDGSLEPGYSGIRPKLSGPKQHPVDFIIQGEETHGVPGLVNLFGIESPGLTSSLAIAEHIANKFL >A10p003280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1654558:1655685:1 gene:A10p003280.1_BraROA transcript:A10p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHNHNQWRMRLLSFKNATIALTLVNLLIFLFLLQGFFTSSSRRPVSAQLRYVKEAEEIRLKMQPLELIKRVREIEQESSAGQETEQEKDVKQNTAVDLSKRLKDFRSLNDASSLKALEEWRKRKMERARQRDLEKTGGLSSSKTS >A02p000170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:130044:132102:1 gene:A02p000170.1_BraROA transcript:A02p000170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lectin receptor kinase a4.1 [Source:Projected from Arabidopsis thaliana (AT5G01550) TAIR;Acc:AT5G01550] MGTGRAMVLLLLLLLFMLFLVLDVSVVGAQKTTTEFTFRGFTGNESWIRLAGAAMIKPDGLLRITDRTQTVTGTAFYNKPVRLLETNGNSTRVASFSTSFVFVIIPTSSSNGGFGFTFTLSPTPDRPEADAAQYLGLLNEDNDGNLTNHVFAVEFDTVQGFGDVSDRSGNHIGLNFNSLTSDVQEPVVYYDESGRKEDFLLQSGDPIQALLDYDGPTQTLNFTFDLAATCSETGRDRARRNSSAHYVMGWSFSSGVNLSLAKPLNLSELPPPPPNTAKKTGYDSGVLALIVALSGVTLILLALLFFFLMYKKRLQQGDILEDWEINHPHRRRYKDLYAATDGFNKNRIIGTGGFGTVFRGSLSSSPSDQIAVKKITPNSMQGVREFVAEIESLGRLRHKNLVNLQGWCKHKNDLLLIYDYIPNGSLDSLLYSRPRQSGAVLSWNARLQIAKGIASGLLYLHEEWEKIVIHRDVKPSNVLIDDDMNPRLGDFGLARLYERETLSHTTVVVGTIGYMAPELTRNGKSSTASDVFAFGVLLLEIVSGRRPTDSGTFFLADWVMELRETGDILPAVDPRLGSGYEAGEAKVALSVGLLCCHQRPASRPSMRRVLKYLNGEEDVPEIGDHWGYSDSSRSGLGSKVEGYDVSSDRANSSSVASFSVTRVSSSSVIMSGR >A08p010220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7825054:7825698:-1 gene:A08p010220.1_BraROA transcript:A08p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIRRIPSLPLVGLGPGTLTASICWNLWIVRNQLTFQKRDFSPEETLSKAIREAREWSLAQISPINPKLRPPSINQDPPPSTDVPCMYTDAAWNASIGCAGLGWIIDDTTSSSSSYSATAIFVKSPLFAETLAMRRAMTSAIDKGITSLLILSDSQILIKLLNTRGRKLEIAGLLNDIYLLSNAFNAIQFKFIPTEYNDRADSVAKQALYIMS >A02g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14981070:14982884:1 gene:A02g504600.1_BraROA transcript:A02g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKGEVLDSRLTNNLIMELERIHNEGVGVGALQRCESGFHGTVAMESTHLSGKEIVPANQDESGLQVSGETSLLSQNSDEFLAEKKDATLSDDDALVSDEDASISDFSEEEDISNNENYLQIEDVDALEANNENQKKHELCIGMDFSSDESTYKAYRKYGGNHGFDVRRQRTAKKNNKLVRMVYVCSKEGLRQEPKVKKSYARPTTKCGCKAHMSCYLQVDKIIKLFIYSSEGIIQAQLLSASLFIIFVSFVYSLLVSIFVLTPMLLLHSSVSCGSRLFFFFLLCIFFSATLLCSLFSATLICSLLGHFFLDPICL >A06p008250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2846719:2847612:-1 gene:A06p008250.1_BraROA transcript:A06p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKLSKFKLQLQSMIGEVRDLRERERSVTDHLNLINQKQKQTEEECSRKVLELESELASSRETQEALERKVSYLQNDYSLLENKQNELKNTIQNLLQSRESFLNAYQESFSEMRCSVEARDRKIAILHQKITSHLALFDSIEKEASAIKNVIQEVQGLVDQKEDWLD >A03p011710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4683049:4683923:-1 gene:A03p011710.1_BraROA transcript:A03p011710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLQQQDVFGNYNKAREAMGGSCLDHDQKKPSPATGAARPQPPELAVRCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNIPVGGGCRKNKRSATRSLRTTPEPASHDGKAFSGGTFGGYSNNDHIDLSLAFALLNKQPPGSSSQLGFGSSPQSDMETVFRTSQQKGNAGYAFGNGSSGLDMSMTDPNKVLWGFPWQMNGESFGMMNMGGGGHVDQLDSGREVWTNMNYINSGALM >A09p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39890338:39898220:1 gene:A09p045390.1_BraROA transcript:A09p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable zinc transporter 10 [Source:Projected from Arabidopsis thaliana (AT1G31260) UniProtKB/Swiss-Prot;Acc:Q8W245] MSTFSFIEICFKFPLYKDDKKYAITFKNAKMRKTQSPFIFSVTIAIFLLLSIFHFPVALSQSQNDCKPVSNNTCIDKNKALDLKLIAIFSILITSLIGVCLPFFARSVPAFQPEKSHFLIVKSFASGIILSTGFMHVLPDSFDMLSSPCLGDNPWHKFPFTGFVAMISAIFTLMVDSITTSVFTKSGRKDLRPEVTSAETPDQEIGHLPVHAAHHGHGHGLHHGHGHGLHHDVHGDNEKELGSSLQLLRYRVIAIAEYGWVKKGVMAFFFAVTTPFGVALGMALSKTYKENSPDSLITVGLLNASSAGLLIYMALVDLLAADFMGQKMQRSIKLQLKSYAAVLLGAGGMAVMAKWA >A09p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7164516:7166368:1 gene:A09p013820.1_BraROA transcript:A09p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNPLALLVGLTILLDPLSIMVDGLGVNWGTMATHKLPPKTVVQMLKDNNINKVKLFDADETTMGALAGSGLEVMVAIPNDQLKVMGSYDRAKDWVRKNVTRYNFNGGVNITFVAVGNEPFLKSYNGTFINLTFPALQNIQNALNEAGLGNSIKATVPLNADVYDSPVSDPVPSAGRFRPDILGQMTQIVDFLGKNNAPITINIYPFLSLYGNDDFPINYAFFDGAQPIDDHGVSYTNVFDANFDTLVSSLKAVGHGDMPIIVGEVGWPTEGDKHANNGEAYRFYNGLLPRLGTNKGTPLRPTYIEVYLFGLLDEDAKSIAPGAFERHWGLFRFDGQPKFPLDLSGQGQNKLLIGARNVTYLPNKWCMFNPNAKDLTKLAQNIDFACTFSDCTALGYGSSCNGLDANGNASYAFNMYFQVKNQDEEACIFQGLATVTTQNISQGQCDFPVQIVASSSSYVSCSLVWLVAGVLFVLMF >A07p008910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:136302:136544:1 gene:A07p008910.1_BraROA transcript:A07p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGPHQREPWARSLDPTPFQEMENFRQGKPSIFSNIEEFNLMKPTSRRLYKSDNSPQARDRLLQGLETRVRRLELGFL >A02p025400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12257791:12260069:1 gene:A02p025400.1_BraROA transcript:A02p025400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKEDMAIEKQVIVGEIPVSPFSRLFSMPGLDCFNIVTIGFKNEASPSAFIEGLKNTLLNHPRFSCILETGSGEHKPRWIPTNVNVKDHVVVPNIDPTIENPDQFLEDYTSNMVFSPIDVCKPLWEIHILNIKTSDAESLLVARFHHSLGDGMSLMSLLLACSRKTSDPGALPTLVAQKKSKVTNVVWWSLVSRLWLIIKLMFNTVVEVFKFLLILCSVRDNATPLMEKPRATLTPNKFIHRVISLDDVKVVKNAMNMTVNDVILGMVQAGLSRYLSQKYDSETNPKLKKSLENICLRGVVFFNLRSNKKIEDLAKMMEKGSTSRWGNSIGYVMIPLWMKSENDIFEYIRRSKTIMDRKKLSLEPLFSYTLFKLTVEVFGYKALRTLAMRIFGRSTVVLSNVAGPTEEISLFDHQISYVAASISGFPQALIVHITSYVNKAIINLGVDLDVIPDPHHLCDHIVEALQIMKSAVEEEISADLGV >A03p012810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5073220:5077338:-1 gene:A03p012810.1_BraROA transcript:A03p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPTLWLTKNDLLVKGKLGEEVTERLVVVIKRGDSVWISLVDPIALEINGEMDKSVKRMAKLIEEDADSFAKKAEMYYQKRPELLTLVDEFHRMYRSLAERYENITGELRKTSPLELLQSQGSGFSDVSSSDLTTEINRLGRPPSRRAPGFDYFLGSGGLPSDDSASVTDSELESDDSSVINCPTGYVSVGSDFQSLSKKVADLETELREAKERLRMRDACEDQIFMLKSHLARYLPSELDDEEAAAAASTQDMDVETLSEELRVTSLRLREAEKENSNMRREVEKLKSLQSLLDSAQKETAAWKSKSSADRREVVKVLDRVSMLKSSLAGRDHEIRDLKTALSDAEEKIFPEKAQVKAEISKLLEEKTHRDEQFKELEALVRYLEEEIRRVTNEKTEEEERMKGEIEILTMEKTEKERCIETLTKRVSEIESEMSRLGDEVKQKDSRRIEMEKELEEVAEEKREVIRQLCFSLDYSKDECKRLRDAFPGNQPIRPSSILAS >A09g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24962360:24968216:-1 gene:A09g508880.1_BraROA transcript:A09g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMKINIDRCTQVPSIDVETLDMRHFGSSGLEAQVQAKLRKCPDDFTLRERERERVLERRSLGISYSRIGLARTRRSMSSTDYRSTCMPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNRSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLYDQDGHLRNATGQKIDAQGTQKLLELLLPESTTQDSGKQDEEMLYRELLGQQKITVDFNGKIDSAYNNLNTKIETLGTQVRKLETQVIQTGETIKTQEAFAREAGADNGKHHVNAIIDNDFWQVVRHEKLEEGDFEIESSMSLGGSQCHRSTSSAKSTECNAVRILTHEEFAAKHPHPAEPKPNPLNSLPEPVQEDQETEGRRLRKRKEKIPKNLKREANDKEMDGLNKRILRIPIEKAFDESYFTHRLWMFFREKNVTEEDIRRMSHQVREKMRHMITLSKKCDPGKFAIPCVVKGVEFSHSMCDTGASISILPRIMADHLDFHVLDIELNWNSSLLRGRSFLATIGARKIVNSVDYGKELGFIGACHCGALYESEYSESIDTHTFTSIDSNESTVTDDRNNTSCDVAQPVDHFALPNHYYPHFAFQPPSKRGHDDYSIDSWADSGFQESFAVDTVITSANEEHTEEYDEDYWKERAIEMSFQDERFETHKFTNTFPTSFDEVHSTSVGTHPRPTKELFTSIDTHTGTSIDIRAAAKIPEQENIPSPTRFIDTCLKRFAPLKPPPHQSRHTSRKDEHSSVYINRKIHEEQSSQEHKDPDGNACAIDGRILQVSREDIANILQVANGPDNLFSQQHLSCQPKGQASIDGTTQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGSQEKRGEELKSLVEDTHQPLDRCYNELFRSMAEMRTKIESLRQQLGKEATTSASIDAPHAPSIDVSLPTAQIPAEPQFSYINTRINDVYYPLNNNVDWLTKIELLQQDLDTIRKKDQQPATSIDVCTITLLDAKISAMDDRLQTYEDMHDRFGNSSSIERL >A06p039750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21451782:21453663:-1 gene:A06p039750.1_BraROA transcript:A06p039750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE7 [Source:Projected from Arabidopsis thaliana (AT5G65630) UniProtKB/Swiss-Prot;Acc:Q7Y214] MAPAVLSTLNEPSFHGQCGAVFMRKFTKQSVAENSTTPLPPLFTPNPNPNPNFDSSKRFDDSSNYGGYATFNLSGYNSSQLRELKKRFTSELEQIRILRERIESGTFESQQVRVESKKQKPKKRCNPFSSEETPPKRVSNPESEKVLASMLNTCGQMLVKLMKHKWAWVFNTPVDVVGLGLHDYHLIVKKPMDLGTVKLNLEKGFYVSPLDFATDVRLTFRNAMAYNPKGQDVYFMAEKLLDQFDVMFNPAFKKFEAQLVKLTGSTSRSEPVVKQRQWSQSPVEANVRRGTEQISIAKKLDSVKPLQPTLSPPQVVEPPRERTPSPSPPPAVQPQPPLPQPVSEVEAAPDVSEVTKGRKGKLPKPKAKDPNKRLMTMEEKSKLGMNLQDLPPEKLGQLVQILRKRNGHLAQDGDEIELDIEAVDNETLWELDRFVTNYKKMASKIKRQGFIHNVSTPPAARHMASEAEMGSAEKRTRKGDAGEEDVDIGEDIPIEDYPSVEIERDGTAVAAAASSGSSSSGSSSSSSGSSSSDSGSGGSSSGSDSDADSVQSPFVEAKEA >A02p049600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30728332:30735621:1 gene:A02p049600.1_BraROA transcript:A02p049600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLSPPLSCPWVTSRDVVIKGLRRQGWETKRNRVSPVVTAMVVEPLSAVSSSAIQIHQWWEQNSSSLLLMSETGGGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVRKTFVVSEGIKKEPKQVAGEILSFFTRKNFNVTDRGETITFEGTMVPSRGQAALLTFCTCISLASVGLVLTITVPEFGNNWFFITILSPLAGAYYWKKASRKEEIKVKMMVGKKGKLDEIVVQGDDVQVEEMRKELLLSEKGMVYVKERIRRSCGSEPAITHFVIPKIFMSILPPTHIQGHESSPPPSNSSLNPSSEHGNSSLVSPPPSSRIGSLTLAGSSLPTSDFSSGSREPIAAAEEEHSHGSSKEITQIGSGKESFSPPNADRHDHLRYESRRNRSRGRNARSAASSSSHVQQQHNSTGPSNSPRGSSHHNPTGRRANMISGNHLLNFQYDPISPQQFRPPPPPQRRQMHRRRPYNKDLFLQANYKFVVLDTGDHSPDSMDPDKMLQWDNIICVRYSTPSPVQCPICLEYPLCPQITSCGHIFCFPCVLQYLLIGEDNHKAECFKRCPLCFVMISPRELYTVYIENVKQYSVGDPIEFILLTRKKDSFAPTRKNERDSDVPDPFSKFTFTQDVDLSVRQAVSELDGWIARADPGLVDDLEKHLYVNAALERLEERKLYWKEQRLSNCCKPSAKPRSHVPSSSPPDVSRAGYQAPSLAKGATISSSNDQDKSTEESSVGKSDEESQSSLEKSCDDGHSLVEKDAPLMPSSDNGSKRPLLHQNDSKDQKDNDDAYNFYQSVDGQHIILHSLNMKCLLHHYGSNDFLPTRVSGKIVEMETVTQSEAIRRRYRFLSHFSLTTTFQICEIDMRESLPPEAFAPFMDEIKKREKQRKERARKERKDKIKAEVAAAAELAPLMASCGQFYYGDDPSFSLDDFEALGNSAPVVSSSPPTIGERSSFSHVTRLGFAAGHDSPNLNNESTTNVQSSSRNSEVSNATTGARNTNTTSFASVTSRTLTTPVAIKEPGKRGKKQSRVLLSTTSGALSIKPTILPLSSPLFSPKLTILLPQTITNTKASRLSSLRPNTSSPTTSDPLTVDYDSLLSVFPAEACEVISGYACSADISPEVKLETKPISRPVTSENVDREYLEYNTPKTVFAAEACDDLGGEFCEPDYQKDTAASKKGTRSIVCLWIISTAVIALARI >A05g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16572935:16573734:1 gene:A05g505840.1_BraROA transcript:A05g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHGSVHGQSVCANGQARTSCVPRGPKSPEQSTGRASMLICVLTDDHGRPVCTNGRPRTDVLCVLTDTRTHTDSHGRPACADGRPLWADGRPVCTDGRPACADGHTDSHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTSCVPREPKSPEQSTERADMCTDGQPDVLCVLTDGHVRPVCADGHTRTSVCTEQTAHVGQNHPRTAKITREAKNAKINIF >A10g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1842459:1846173:1 gene:A10g500570.1_BraROA transcript:A10g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKNPELRPSTSSASALHSKGSSQAELLGETIHCNQNLNPQGEAAILLSKEGVLLANENSLSSVKPVHTYLHHRMPVDLSANITGRVTVRRPAVSKNSGVSYRSASLRVVKQATTIRRASLPVSHKPAKGTRDSLYTPNIGILHHLNSPDVSVNSPIIDTIKFALASYEEMPFTPVVRKKKNKGSSRGSYSPPPPEELQQPLDCSITKDKTRLLEERRAELPQVHLVTEFIARLLLDERYDELNVLLKPFGPGKCRLEKQRYGCLRATRRSMLSWRLNRRNIFPDKDIIKRRKLWLLDQLFKKHVYGVRAGGEKVYNVFHNQFLVALKRFQVDKQELVHKSANETVIRLLQCMSSEKTFPHHKIKELVMRKVLVPVDLRYLEIKKQSACSEEIIMKSKKLLRERERAVSSRGDTIKLDAEHSSTFYFMYCDMEVFSSHMNPSEAKVQNHLKIINDSFGARSSLCNFPKQDIDIEKFQQRDYSNLKFEVN >A09p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5721098:5723849:1 gene:A09p011350.1_BraROA transcript:A09p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monogalactosyldiacylglycerol synthase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G11810) UniProtKB/Swiss-Prot;Acc:Q9SI93] MMKVASPRAQDDSITQKVFRRVYSNFSFSTVDDDYNHNRRRRSRSGDYGKESLSKRGFEEKEQVMEMEQMGAERIKTVLILMSDTGGGHRASAEAIRDAFNIEFGDDYRIIIKDVWKEYTGWPLNDMERQYKFMVKHVGLWSVAFHGTSPKWIHRSYLSALAAYYAKQIEAGLMEYKPDIIISVHPLMQHIPLWVMKRQGLQKKVIFVTVITDLNTCHRTWFHHGVSRCYCPSKEVAKRALVDGLGDSQIRVFGLPVRPSFPRTIIGKDDLRKELEIDSNLPAVLLMGGGEGMGPVQKTAQTLGDSLYDSKERKPIGQLIVICGRNKTLASALASHEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALICGLPIILNDYIPGQEKGNVPYVVDNGAGIFTRSPKETAKTVAGWFSSKKNELYKMSENALKLAQPEAVFDIVKDIHHLSQQQQKRIQLYNDYSY >A06p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18952732:18954197:1 gene:A06p035070.1_BraROA transcript:A06p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKNNKKGRVNVKPIAKNNQANVDHVTGDKIPKSFVFSRTKLPGSVKQLQMDLRKLMLPYTALSLKEKKRNTLRDFLNVSGPMGVTHFLMLKKTASALSLRVARTPQGPTLTFKIHQYSLASDIAQSQTRPRCPPDLFKNPPLIVLSGFGTQELHLKLATIMFQNIFPAIDINTVKLSTCQRLVLLNYNKDTKLIDFRHYSIRLQPVGVSRKLRNFVQTHKAPDLRNLQDVSDFITKAGYGSESEGDEEAATVTLSSDLGRVNKGSTKSAVKLQEIGPRMTMQLVRVEEGLCSGGIIFSEDGNVDGKNKQDGDEEDDAAGEEESEEGEEGSEEDMDEDAED >A06g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28670402:28672841:1 gene:A06g509760.1_BraROA transcript:A06g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNETKDLNNYHFTSSYDHYNNISSQSIMNLVYLSGPSSYTANMISSQIGSDLQSSPRGACGLGFELSPSSTGFFNTSIDQENDFYNAYNYNTSHKSHEVVGGGGAIVESETKVSASPSSSEHHHGEDSGKSLMKREADDGGKDIDQLSDFIINTPRTFSHDDLFRAPYANMNVNANYQQQQSQEFHHESDFELLKEMFPSVFLKQEP >A09p050720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44508307:44510511:1 gene:A09p050720.1_BraROA transcript:A09p050720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENESSSFDYRSIREVACNAAAGATAGAIAATFVCPLDVIKTRLQVVGLPEAPASGKRGSVIITSLQGIVKKDGFRGMYRGLSPTIIALLPNWAVYFSVYGKLKDVLQSSDGKLSVGANMVAAAGAGAATSIATNPLWVVKTRLMTQGIRTDVVPYKSIMSAFSRICHEEGLRGLYSGILPSLAGISHVAIQFPAYEKIKQYMANIDNTSVDNLSPGSVAIASSIAKVLASVLTYPHEVIRAKLQEQGQMRNAETKYSGVVDCVKKVFRSEGIPGMYRGCATNLLRTTPSAVITFTTYEMMLRFFRQVVPPETNKSYNHNREEETKSLVSRQGAEEEESLRESQPQSNKINTSPIPLGSK >A08g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1755307:1757853:-1 gene:A08g500670.1_BraROA transcript:A08g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSEDFSVKEEEISLSYGFSLDKKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPASCNTQASDTFASTVPLNANPALLSTVQSEKQSFLYEGVSTVPLNDLPDFSTDSASCNIQASDTFASTVPLNANPVILSTVQSEKQSLLYEGVSTVPLNALPDFSPVHIGLSPNTRVAGDIKLSTSTYPENIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A02p001000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:496809:497667:1 gene:A02p001000.1_BraROA transcript:A02p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIMCLVPLFLIPLVNLLPRIFDLLMAKVYGWFGWEYRKPARVPPACPFKPTVTKVAAETISEGTETIAKPEDTTGGVKQD >A01p054370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:30496371:30497198:1 gene:A01p054370.1_BraROA transcript:A01p054370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSAYVAPKLPVRARIACRGYFEGMMNMLPAGTLGILGLGNSSTSFVSSLLSSYKIPFKVSLCLPSKPGNNPGSVYIGGSLKRKDLTGLLVSTPLISNRETKEHGEDYNYFIDVKSIEVNGKRLSFDHDLLKNKRGHWGRTKIYTLLEAYIYKALVRAFSEKTKKRKAVYPFTDCFSYKSFGEKSLLGKETPVISLVLGEGAKWDIYGPNSLVKVNKNVVCLAFQEADEFESLFPIEIGGYQMEDNLVEFDLEASKFSFTSSLLRHNTSCSPQ >A10g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3496243:3496631:1 gene:A10g501220.1_BraROA transcript:A10g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQETEICLLFIRIGEDEEDDLVDQERDPIRKQSKLEIETHFQIIMKIRRAEGFENAKS >A03p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17404455:17406052:1 gene:A03p041700.1_BraROA transcript:A03p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHQNMGSGSRSARGYEFGRTYVVRPKGKHQATIVWLHGLGDNGSSSSQLLESLPLPNVRIHENNTLGKIYPNVQIKWICPTAPSRPVSLLGGFPCTAWFDVGEISEDLHDDIEGLDASAAHIANLLSTEPTDVKVGIGGFSMGAAVALYSTTCYALGRYGTGHPYTINLRATVGLSGWLPGWRSLRSKIESCNEASRRAASIPIILAHGTSDDVVPYRFGEKSAHSLAMAGFRQVVFKPYEGLGHYTVPKEMEEVVHWLASRLGLEGSS >A02p034640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18831995:18834248:1 gene:A02p034640.1_BraROA transcript:A02p034640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PANSPSPVASPAPGPSNSDCSSIIYDMMDCLSYLTPESNDTKPTKVCCRGILSVLQYNPMCICVGLESSKTMGFAVNNTRARAMPTTCKLPIVAPHCAILDEATPAASTAVSPSAGTSMTSPSSVGSPTSSPSLAESPVMSAPSPSSSGTNHLSVSTLTLTILLASRDNSFERLLQRGCFMLLYVSAGLSIRSLVVYMRQIVRVRIVKEGVARLLV >A03p015610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6145024:6153982:-1 gene:A03p015610.1_BraROA transcript:A03p015610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKRKTKTCDKGSKRSWICQLPDVLISGILARVPTKIAVRTGVLSKTWINHWKNVRGLDLESFEFSDMDTFVSFVRSFFDSHRESTIDKIRLSVHYSDCHSLLTQWTDIAIRRRIQHLDVCYYGTCCDVTMPVSLYTCKTLVHLRLCWVVLANLEIDAPLLECLRTTAYLEKNFMIINLGCSTTLDIDMVFPDVTCTKLLICDTLTDIPRFRGLVTSSYILKNIFLHSEPGPLLQFRDLSRLHVKFSKSDLEMLPAILESCPKLQSLILELIKDPSYKKNREPKLMFSTVPPCLVSSLKVVELKRLIPRYEGEVELVRYFLKNSPILEKLRLDTYYTKKGMRDFLKEVVALPRCSSACEPSSVCESPERSCRRVRIQTRRSDYDFLAVPMVGRKRKAKTCDKGSQRSWICQLPDDLISDILLRVPTKHAVRTGVLSKTWINHWKSVRGLDLESFEFLDMDTFVSFVRSFFDSHRESMIDKIRLSVHYSDCKSLLTKWTDISIRRSVQHLDVCYYGPYSCDVTMPVKVVINAPLLECLKTRGYVSKNFKIINLGCSTTLEIYAVFPRLTCTKRFICDTLTDIPRFRGIVISSYILKNIFLHSEPGPLLQFRDLSRLHVKFSKSDLEMLPSILENCPKLQSLILELIKDPSYKKNREPKLMFSTVPPCLVSSLKVVELIRLIPKYEGEVELVRYFLKNSPILEKLRLDTYYTKKGMRDFLKEVVALPRCSSACEVIVL >A09g518390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55811116:55812347:-1 gene:A09g518390.1_BraROA transcript:A09g518390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGGLARLMARLDIGGHNLLKIDMLATPGRYLAYDDLDFSFPFEPNTRGIFLLRIHKRVIDFFSSLDVVKQITSITIEPGTEDKFNTSDS >A10g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4076636:4077588:1 gene:A10g501460.1_BraROA transcript:A10g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRIQHGEEENKNKRRRRIPNKITSNGVSIYEFVGTEIRTVDLRLNKETRKTLISQRSRISARANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRRIPNLKSSLSCSSPRTPYILAPRSHKNGDIPFFPIFTIIFKTFVFFRGNLTFILPCGPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRGF >A09p001780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1316831:1320018:-1 gene:A09p001780.1_BraROA transcript:A09p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:Projected from Arabidopsis thaliana (AT4G02320) UniProtKB/TrEMBL;Acc:A0A178V0A5] MIHLRKVPKYIVTLSLLLPVALLLIFLSTVVSSHSPTLHKTQHLTSSGNTTDLLVATLNQTISKVNLSSSNFSELQTSLGSNLTHRDRCAFGDCLELLDDTVLDLTAAVSELQSPYPVFNSVSMLLSAAMTNTRTCLDGFASSDDDEDENTYGNSKTYGLAESMRESLYNISRHVRESLAMLENIPGKLENDVGFPTWVDRKLLQDPTDETKIDLVVSRNGTGNFTTIGEAVSAAPNLSETRFVIYIKCGVYFENIEIPREKTTIMFLGDGIGQTVIKANRSGADGWTAFNSATVGVRGSGFIAKDISFVNDAGPTKHQAVALRSGSDLSAFYRCSFESYQDTIYVHSHKQFYRECNIYGTVDFIFGDAAAVFQNCSLYARRPNPNQRITYTAQGREDPRQPTGISIINCKILAAPDLVPVKTDFKAYLGRPWQLYSRTVIIKSFIDDLVDPAGWLQWKDDFALDTLYYGEYMNEGPGSNMTNRVKWPGFKRIETAVEATQFTVGPFIDGNKWLNSTGIPFTLDL >A01g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15842514:15843553:1 gene:A01g505260.1_BraROA transcript:A01g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADICSVHGSVHGKSTGRASMLICVLTWCISCPKSVHGQSTGRASMLICMLSSWEGKVQLLVLLGVLKHVTSCLTSSLSFVGANPHLKQLLMI >A09p019210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10164206:10171464:1 gene:A09p019210.1_BraROA transcript:A09p019210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFLFFLLISIFSTCGNADINTSSPLSIGQTLSSPNGVYELGFFSPNNTLNKYVGVWFKNITPQVVVWVANRDKPVTKTAANLTISSNGSLILLDGKQDVIWSTGEAFTSKKCHAELLDTGNLVVTDDVSGKTLWQSFGNLGNTMLPQSSVSYDIPRGKKHVLTSWKSNNDPSPGEYSLEFTPQVPPQGLIRRGSKPYWRSGPWAKTKFSGIPGIDASYVSPFTVVQDVEKGTASFSYSQLRNYKLSYVTLTSEGKMKILWSDGKNWTLHFAAPVSSCDLYGACGPFGLCLRTSTPKCVCMKGFVPKSDEEWRQRNWTSGCVRHTQLSCQANSSTKTQGKEADIFYHMKHVKTPDMYQFASFLNAEQCHQGCLGNCSCTAFAYISGIGCLVWNRELVDTVQFSSDGESLSLRLASSELVGSSRTMIIAGATASLSLLTILVFSAYTFWRYRAKQNVAPNFMFINTSQDARRNDLEPQDVSGINFFEMHTIRTATNNFSFSNKLGQGGFGPVYKGKLVDGKEIAVKRLSSSSGQGTEEFMNEITLISKLQHRNLVRLLGCCIKGEEKLLIYEFLENKSLDVFLFDSTLKFEIDWTKRFDIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDERMIPKISDFGLARMFQGTHFQDNTRRVVGTLGYMSPEYAWTGVFSEKSDIYAFGVLLLEIISGEKISRFNNGEEGNNLIAYAWECWCETKGVDFLDQDIADSCCPLQVSRCVQIGLICVQHQPVERPNTVELLSMLTTTLVLPSPKQPIFALHSRGEESTSNDVITVNGLTQSGIQGPINSSSPLSIRQTLSSPGGFYELGFFSPNNTGNQYIGIWFKKIVPRVIVWVANRDKPVTSSAANLTISRNGSLILVDEKQAVIWSTGEASFPSSRSHAELLDTGNLVLIDDVSRTTIWESFENLGNTMLPQSTLMYDLSHGKKRELTSWKSYSDPSLGNFSLEITPQVPLQGLIRRGSVPYWRTGPWAKTRFTGFPQFDESYVSPFSVVQDLATGTGSFSYSTLRNFNLSYLTLTPEGNMEIYWDQGQKWMHHLTEPEHSCDIYGTCGPFGLCVRSSTPRCICLKGFVPKKDVEWRKGNWTSGCVRRAQLSCQAKSSTKTQGRDTDIFYRMTNVKTPDLHQFASFLDADMCYQGCLGNCSCTAFAYISGIGCLVWNGKLVDTVQFMSNGETISIRLASSELAGSHRTKIIVATTACLSIFAILVFTAFMFWRYRAKQKEPTHVGINTLQNAWKNDFEPQDISGVNFFEMHTIRTATDNFSSSNKLGQGGFGPVYKGKLLDGKEIAAKRLSSSSDQGTGEFLNEIRLISKLQHRNLVRLLGYCIEGEEKLLIYEFMVNKSLDIFLFDSTLKLEIDWAKRFEIIQGIARGLLYLHRDSRLRVIHRDLKVSNILLDEKMNPKISDFGLARMFQGTQYQDNTRRVVGTLGYMSPEYAWAGLFSEKSDIYSLGVLMLEIISGKKISRFSFGDGSKGLLAYAWESWCETGGADLLDQDLTDSCNIYEVARCVQIGLLCVQHEASDRPNTLQVLSMITSTTELPTPKQPIFAAQTLNDVFTSESESKHIFSVNDLTQSVIQGR >A07p039460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20977385:20981049:-1 gene:A07p039460.1_BraROA transcript:A07p039460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSYIISCSRDLFRAFDSTRRPERNPVTYSCIYNHKFVEDMGIFSRSSISRKSKDGMKIIATAFLGVMFGFLIGISFPSLSITKVSLPTNFLPSNGISYIEEKGSTIATPDSHKSWSSSKSNDSSSSGPVDKSKACFHSFIYYIWVPSNPRGAERLPPGMIAAESDFYLRRLWGLPHEDLTSQPRYLVTFTVGINQKENIDACVKKFSENFTIVLFHYDGRVTEWDEFEWSKTAIHISVRKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDLEVHKITEEKPGWCSDPHLPPCAGFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWVVHQTVPSLGSQGEATDGKAPWQGVRDRCKKEWTMFQSRMANAEKDYSKSLQVEGSSNSTATTI >A06p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19640241:19641193:1 gene:A06p036190.1_BraROA transcript:A06p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIGSLVKVIFKNFDVIAGPIISLVYPLYTSVRAIESGAHGDDKQWLTYWALYSLINLFELTFYGLIKWIPIWPYAKLALISWLVLPGLSGAAYVYENYVRSFLLRPHSVNIWYVPAKKDEDDLPAAAGKFTPVNDSGEPTEKRVSSVDTSAKYVGHSAFDDTYVY >A05p027080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17053587:17056767:1 gene:A05p027080.1_BraROA transcript:A05p027080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSEAPNPVGSGENVPTGGAPLPSQPAFPQFSNNMSMSMLGNAPNISSLLNNHSFVNGSGAESDPLSSVGFSGLSSFNASMVSPSSSGQVQGHQFSNQLLAEQQRNKKMEPQNFQQQQQQQFPTVRGGVGPVKLEPGQVSNDQQQQKMLRNLGSSVKLEPQQLQAMRSMAQVKMEPQQHSEQSLFLQQQRQQQQFLQMPGQSSSQAQMNNIFQQQRLMQLQQQQQLLKSMPQQRPQLPQQSLPLRPPMKPVYEPGMGAQRLTQYMYRQQHRPEDNNIEFWRKFVAEYFAPNAKKRWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPRESQNSSGQIVLEYAKATQESVFEHLRVVRDGQLRIVFSPDLKIFSWEFCARRHEELIPRRLLIPQVSQLGSAAQKYQQAAQNATTDSALPELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPIESLAKFPRRTGPSSVLPGPSPQQPNEQLRQIANNDQSSGVNYAFNAASACTSSTSSIAGLIHQNSMKQRHQNAAYNPPNSPYGGNSVQMASPSSSGTMAPLSQQHNLTSFQSPTSSSNNNNNLSQNGMTCVNNHMGSTAIQQAAGDKANGSSSVQKILNEILMSNQAHNTLGGGSMVGSEGKGGSNVNSSDVLMMNGQVNNNSSNTNIGGGGGVGMGANGNNGLMNGRVGMLVRDPNVQPDLGNQLLGAVNGFNNFQRDWNA >A08p038870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22454325:22457468:1 gene:A08p038870.1_BraROA transcript:A08p038870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18670) TAIR;Acc:AT1G18670] MGCVSSKQTVSVTPAIDHSGVFKNNNACSGSGRIVVVEEPSPAVEKKLVSWRSKSGKKSSSKKKSGGSELGTESGRASSNCRSESLSFRLGNLSKYLEAEQVAAGWPSWLSNVAGEAIHGWVPFRSDAFEKLEKIGQGTYSSVFRARETETGRIVALKKIKFDNFEPESVRFMAREILILRRLDHPNVIKLQGLVTSKLSCNIHLVFEYMEHDLTGLLSSPDINFTTPQIKCYMKQLLSGLDHCHARGVMHRDIKGSNLLVNNEGVLKVADFGLANFCNASGSNKQPLTSRVVTLWYRPPELLLGATEYGASVDLWSVGCVFAELLLKKPVLQGRTEVEQLHKIFKLCGSPPADYWKKSKLPHAMLFKPQQHYDGCLRETLKDLSEADITLIDTLLSVEPHKRGTASAALVSQYFTSEPFACDPSSLPVYSPSKEIDAKHREDATRKKISGNGRRGTEVRKPTRKPPAFAKLPPPNCVQDARRPSQTLQKRYGHSVHNSIDSEASLYGKLQNPSSEHEKEEASSHVKHASQGDVPFSGPLQISVSSGFAWAKRRKDGSHNRSLSRGYIPNLLGPSPAFSESTDVESKINENEKEEKDSQDREAYEMLKLSMLKKWRQLERPDSFDASDECHSQELSLALYQREEKAAKLNHLGYEDNDEKIEFSGPLLSQSYGVDELLKRQERQIRQLVRKSLFQKGKKQGK >A03p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5882955:5885184:-1 gene:A03p014850.1_BraROA transcript:A03p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH protein interacting protein FIP2 [Source:Projected from Arabidopsis thaliana (AT5G55000) UniProtKB/Swiss-Prot;Acc:Q9SE95] MDTTSELSSSMVRLNIGGKKFCTTIDTLTNREPDSMLAAMFSGRHAMSNESKTGYVFIDRDGKHFRHILNWLRDGIAPTLSDPDCSELLREADYYQLLGLKEILKASRRETGEVEAELTRVDIIKCIQSERVRFRGVNLSGIDLSKLDLSLVDFSYACLRNDADAEGSIFHNAILRECEFTSANLRGALLAGTSLQSANLQDACLVDCSFCGADLRSAHLQNADLTNANLEGANLEGANLKGAKLSNANFKGANLQRAYLRHVNLREAHLEGANLGGANMTGAIR >A10p031710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18893665:18894963:-1 gene:A10p031710.1_BraROA transcript:A10p031710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQTQNKLMRTGVVSQDFHGYTSSFQRRATYGNYTNAAFQYPLAATSRIVATTTTTTTSPVFVQAPSEKGFSSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLGSGGAAGASSLLFVYSLDYARTRLANDSKAAKKGGERQFNGLVDVYKKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSLKPLLPADLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDKLQLLLLGKKYGSGSG >A03p038890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16205983:16207978:-1 gene:A03p038890.1_BraROA transcript:A03p038890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLCLIVFLAFVITQSYNDLEVEAASSDGFVSRKGVQFILNGEPFYANGFNAYWLMYEATDPNTRYKVTHALENAAGQGLAIARTWGFRDGGYRALQTNLGSYDEQTFQGLDFAIAEAKRVGIKMIIAFVNNFPDFGGKKQYMAWAKDKGQAVTSEDDFYTNALLKQAYMNHVKTVLNRVNTFTKVAYKDEPTIMAWELMNEPQCRADTSGKTLTAWISEMASYVKSLDSKHLLSTGSEGFYGQSTPQRMTSLNPVAANIVGSDFIANHNIDAIDFASVHSYPDTWFPKLDEKSLLDYLRKNLAGHIEDAQNVLKKPLILGEFGKPSNAPGYTQAQRDAVFTTAFDIIYASAQKGGAAAGALFWHLISDDMSNFRDAYSISLSEKSSTITIIGQQSRKMNLI >A09g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12606973:12608462:-1 gene:A09g504030.1_BraROA transcript:A09g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFHQLFAVCFQVDLTPSCQSVCHPPGAQSPVPSLRLCFSTMRVGTEIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKLRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYSPLCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSKCLLRVFRECKNWCRIDVRKGSIATQRPNFGSSLVVRSDRAGRALGRYVATELWLELGRYVATELCACLVAAYRSSLACLRSDFHTRACPRPIWIHVRCLRTIAVRKDIFTKITFRKNGYADFYGLSDIDSVVTDFDPNNASTWHLHFCIVLVCGVLACTG >A03p025010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10514260:10520352:-1 gene:A03p025010.1_BraROA transcript:A03p025010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFWCEFLVCSMANHSWLSGDWNHPNAYFRNSITSPESSEMRHHSGSTMYWSSEEQAILEDGLARYSSEPSFSRYAKIALELQNKKTIRDVATRCRWMHTKENNKRRKEDHNMSGRARVDNKEIVDMVVASNSATHMFASSHLLREEDGINSELLKQNQQLLSQISANLTNCNQISTNVTSLRLTENLTLFCKSRNNIRKLLTNKNEINKYLNVRGLRCVPEITRRFRGCDNVSYSRNKNGRVDALAKEARIRGFIFFHIDKIWLDQNAHRRIDGLKGKSGISYISLRPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSKYLYTLCVFDQEKADKLKQSLPPVCKTFEDEMMKPSFRRLVVFLTAPPAILCLAKSIRKAFEKIGGQLCVWPGLRHICLRKSLLYGVMWLFSMPLKTLRGARKTLKVTHFCSISNMPSSLKIELVPCSKDSYAYLLHDEDTGTVGVVDPSEAAPVIEALSRKNWNLTYILNTHHHDDRLGGNAELKARYGAKVIGSAVDKDRIPGIDILLKDSDKWMFAGHEVRVINTPGHTQGHISFYFPGSATIFTGDLIHSLSCGTLSEGTPEQMLSSLQKIVSLPDDTNIYCGRENTAGNIKFALSIEPKNETLHSYATRVAHLRSQGLPSIPTTVKVEKACNPFLRTSSKEIRRSLSIPDSANEAEALRCIHRARDRF >A01g503920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11846100:11848941:-1 gene:A01g503920.1_BraROA transcript:A01g503920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLGVVWTSWKSSDKAVWTSRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGSRLEVATYNSVVHETTEIRLTIKSSGGRLNYKSSARLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p011540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4341803:4343262:-1 gene:A05p011540.1_BraROA transcript:A05p011540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQVVTIHKIFSDANMPPEFDEIDEKEGSNDDSDGEDHRRFNLESPRLFTEASYCSDASRRVSLNEGQGSPERLSPLPRFLSVGRTFRLSLRGRVIAWNVKKSGELMGVDLILVDGKGGDNNPGHDIPGAGAVTTDPSQSVERGIHDNSNRPSNHVMVESLSGTVTEPSDIPVSSDMSSAESVEEVCAHSAKKPHHG >A06p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3545726:3547614:-1 gene:A06p009900.1_BraROA transcript:A06p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFLCFIFLLPIFFIFLKNLKPSSRWNLPPGPKKLPIIGNLHNLQGMLHLCLRDLSQTYGPVMLLKFGFVRMVVITSKEAAEEVLKTLDLECCSRPETVSSRTVSYNFKDIGFAPYGEEWKALRKLSVVEIFSTKKIQSFRYIREEENDLLVKKLSECASTRFPVNLKKTLFTLVASIVCRLAFGQDLHKCEFIDEDSIAELVQKSEMVLASSAFSDFFPGGTGWVLDKITGQNKKLNSVFSELDAFFQNILDDHLRPGRTVLDSPDVVDVMIDMMKKQERDGDSFKLTTDHLKGIISDIFLAGVNTSAMTLIWGMTELIRNPRVMKKVQKEIRTTLGDKKEKVTEEDVNKLHYFKLMVKELFRLHPAAPLLLPRETLSNIKIQGYDIPAKTQIMVNVYSIARDPKLWTNPDEFNPDRFLDMSVDYRGLNFELLPFGSGRRICPGMTMGVATVELGLLNLLYFFDWALPEGKTVKDIDLEEAGAIVIGKKVSLELVPLHPN >A03p063810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27752335:27755210:1 gene:A03p063810.1_BraROA transcript:A03p063810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g15720 [Source:Projected from Arabidopsis thaliana (AT4G15720) UniProtKB/Swiss-Prot;Acc:Q8VYH0] MEVTVQPITPYNNSLPPITKAYGTSCVLATTLSQLGLASPIHITLIPELVFKHFRSSGHGSLELTCVHAFPSLCDLVHVYDHGKGKARTWRSRASAFRRDGCEENEANYVTLLAVLHACSHSGLVAEGLGYVNSMVEKYGVVPDPRHYTCVVDMLGRFGRVDEAYELAKTIEVGAEQGALLWGALLSAGRLHGRVDIVCDASKRLIESNQQVTSAYVALSNAYAVAGGWEDSESLRLEMRRGGNVKERACSWIEIKDSVYAFHAGDLSCDESGEIVRFMKDLEKRMKERGHRGSSSMMTSSSVFVDVDEEAKEEIVSLHCERLALAFGLIHLPEGSTIRIMNNLRMCRDCHEAFKLISGIVEREIVVRDVNSLYGLVNLKAFYLPWAILALDLLGIIAGHLYYFLTVLHPLATGKNYLKTPKWVNKLVARWRTGALVAAREASGVGAAVGGGGGGDRAYSSARAPPESLNTAFRGRSYRLTD >A04p018270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10981371:10983621:1 gene:A04p018270.1_BraROA transcript:A04p018270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) UniProtKB/Swiss-Prot;Acc:O80396] MAALEELKKKLSPLFDAEKGFSSSSSLDPNDSYLLSDGGTVNLLSRSYGVYNFNELGLQKCTTSHVDESEGSETTYQCASHEMRVFGAIGSGASSVVQRAIHIPKHRLLALKRINIFEREKRQQLLTEIRTLCEAPCHEGLVDFHGAFYSPDSGQISIALEYMDGGSLADILKVTKKIPEPVLSSMFHKLLQGLSYLHEVRHLVHRDIKPANLLVNLKGEPKITDFGISSGLENSMAMCATFVGTVTYMSPERIRNDGYSYPADIWSLGLALFECGTGEFPYIANEGPVNLMLQILDDPSPTPSKQEFSPEFCSFIDACLQKDADARPTADQLLSHPFITKHEKESVDLAAFVRSIFDPTQRLKDIADMLTIHYYSLFDGYDDLWHHIRSLYTETSVFSYSGKQYTGSTEILSQLSNIRNTLAGDLPSEKLVHVVEKLQCKPHGVGGFMIRAIGSFIVGNQFLICGDGVQAEGLPSFKDLGFDLGTRRVGRFQEQFVVESGDLIGRYFIAKQELYITN >A01p014990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7258861:7260323:-1 gene:A01p014990.1_BraROA transcript:A01p014990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTREVVKTTGGIDGGLTVVRSNAPSEFHIAPKSETSNPRPTSVAPSLQPPQNSFAPTGPPPATEGFSTGQMKKKRGRPRKYGHDGAAVTLSPNPISSAAPTTSHVIDFSAAEKRGRVKPATERSFVRTKYQVENLGEWAPSSAGANFTPHIITVNAGEDVTKRIISFSQQGSLAICVLCANGVVSSVTLRQPDSCGGTLTYEGLYEILSLSGSFMPIDSDGTRSRTGGMTVSLASPDGRVVGGGVAGSLVADTPIQLVVGSFIAETNQQHQNPKNQNHSFTPSPIPTIRPTSSSLQMDTTSSF >A09g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10606782:10607584:-1 gene:A09g503320.1_BraROA transcript:A09g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALIFPLRSEMRQQRFVGILSLLYIVAFLAKNKSECLQEEWGVLEKSPEAALDVDQTIFVAVLW >A08g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6338983:6342907:1 gene:A08g503500.1_BraROA transcript:A08g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLRSMMRITGRKELQRLLCRMKDIQLILSTTRLHQRSTSSVDSHPHLAKRSSASIDTTAGTSIDIKAAALEKEKENIPIPNRFTNTYIRSFAPQITSHETKAEKMNAPTNQSEGTSRKSIRSKIPNSADKRLPSIDTPVSTSIDSLSKPKLSLSTKNNMSIDYDFLLPDEFGIFRDQDGHARAMDGRILQVSREDIADILQLANGANNFFMQQHSIPATIQLFQTNIQGPPQQELVHTTTGIGVHTCWPTINQQGCFYIARQAMEPESSDGNKKTDMESTETSLDMQGVRLEKLGDELKTLVDDTYQPLDRGYNELFRSMADMRTEIESMQHNLEKEAITSPSIDANKATSIDVKPQTSHLAEKKDEWEIAYINTRINDIYNPLNNNVNWLSTRIDLLQQELDTIRMNDPQPAISTDITNITSIDTSFAAIEDRLKSYKDMHDRFTSAIMRYLDTLSTQMMNVQKNIGKINDQHDFQKERSTSIHRFRRTSLDGKKPTEHLPYTAAEVDQITSKLYTAINTLEERLEKRCDDIYFPFDVKLSGLDSQAEWLQREVKTIQRQLASQHQISASIDRTHSKLIDSATPAMIDRHLVASIDTTSTPDDMQLIPNNMESMQEQLNELSEYAYSKIGWYQFSIEDILERLQNISNAVQKMDESWTRNDEATRSFIAAWSRMCRDDVDACFPTNLLIAKSTKMLKWINMSTIHTYLDWLKKPKLISNTKPDTNACLGAWYTWDQILQTGLEGQKEVNRAWWQPPLRLDSWKPVQSWSMILQWKQTLTQERNLEGEKLGTNFYLQFQILRDCMFNLLEDKQKGMFSSSEVSWSKVMIMEHLGA >A03p058090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25208354:25211348:-1 gene:A03p058090.1_BraROA transcript:A03p058090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSQGGGAYTVPSGTLCSKTRAKAVSGCVNVLRMKDCSFSRSLSPKSMLVERSKRGQHQLIVAASPPTDEASAAVAAEPLTREDLIAYLASGCKSKDKWRIGTEHEKFGFEVKTLRPMKYDQIAELLNSIAERFEWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWRREDIPIMPKGRYDIMRNYMPKVGSLGLDMMLRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGFLSMRSHIWTDTDKDRTGMLPFVFDDSFGFEQYVDYALDVPMYFAYRNKKYVDCTGMTFRQFLAGKLPCLPGELPTYNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEDVLQAVLDLIADWTPAEREMLRNKVPVTGLKTPFRDGLLKHVAEDVLKLAKDGLDRRGYKEAGFLNAVAEVVRTGVTPAENLLEMYNGEWGQSVDPVFQELLY >A08g505390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9051231:9053122:1 gene:A08g505390.1_BraROA transcript:A08g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFICSCLPFFRFQLPFSQYINISSDSMLHHHTRQIHHGERGMLTDSIRLLLPEDSDGATWFTIVLTTVTFTEILPSTKAIVSFKVPDNSSGKQSPYRSFEAEPIDRCNSHSRYTSHLVLTLDMTHPPKLSPSTMLETLQSTLNHYSPLLTQSIIECIYHYFISLNPGDYLNYKKSQLFLNFVIFLTSTLSSSFCELYLSLYPNIECFYLHQEPKQNNLRQNTLALYCLHEITM >A07g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24872969:24874256:1 gene:A07g508860.1_BraROA transcript:A07g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRNRSTKQRRRKFSCFLSHLSSSLSTQKRKKKNHISVAPAFWRRVSVRSKPGALLFSLILSFFSLLLSCDLHLLCFSCCSVPRVSLKTSSHVRSSVSGVDCEGWSNGAGSVYSQAEESAFRAVQGAPLTPWRASSAWRRVGVSPSVSSAVFGLSWSRRAMVVWCRFSVFSWASRSGGICSTCDLRASEVRLLEGVCSSCCRRVSKHAVVLREVLLRSGVGGVVHGISKAMLRPSSQSSSLFPSIRCRQAERVDLLLLGLTPVCVCSSFILATGCCFRRQELTSTRFSEEATFEGQTAESPHPSVAWSVEAALPSAVSLLSSWWCCFSTVFAGLGVSSASFSCDWIKSVFWRHRSLAQRRSPRASYFGNDMEVPGIRGNEENLTVPWSSFSVRTSIGS >A09p056390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47968313:47974062:-1 gene:A09p056390.1_BraROA transcript:A09p056390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQKHQLQQVRGDESSVKGVVDRVRVDQCGEIGASQAPSVPAPTVAVVVDEAAPVKRKRGRPPRAHGKTVSQTRPPPPPRKDEKEEDVCFICFDGGDLVLCDRRNCPKAYHPACIKRDEAFFRTAAKWNCGWHICGTCQKGSSYMCYTCTFSVCKRCIKDADYVIVRGNMGLCGTCIKPIMLIENISQGDNEAVKVDFDDKLSWEYLFKVYWLCLKEELSLTVEELTRANNPWKEVPYTVPKVESRNIHTLSKACSSGNSDSDVVANGTKRKRTSDSPTVPSKLDSKIPSNIPKKLPGDTNWATKELLEFLSVMRNGDTSVLSQFDVQGLLLDYIKKKNLRDPNQKSQVVCDQMLVKLFGRQRVGHFEMLKLLESHFLIQEKPKEEKPKNGESSHAVRSQIEEDSAYDPVVRDRKRKIRRKTDGGVQNENLDAYAAIDVHNINLIYLRRKFIETLLDDINKVHEKVVGTILRIKVSGSDQKLDIYRLVQVVGTSKASASYQLGTKTTDVMLEILNLDKREVISVDQLSDQNVTEDECKRLRQSIKCGLNKRLTVGDILKTAATLQVMRVNEVLEADMLKLGHLRDRASEQGQKLGRLESPEERQRLLQEVPEVHTDPSMDPSHPSAEDAVLGMRKPDKHIEVQSKSPKKKRDILNNLGNNPQKKYDAPVLRSRKAMHATNKEECSKVDNNSADIQQTGKDDEESEIWHYRDPAGKTQGPFSMVQLRKWKSCGHFPPYLRIWKEHENQDESVLLTDALAGRFDKATTLPSSSFHPQELKPSPHHSGRTGVDVNCLQKNLKPVSTSSTSSSSSIVNALPNDPKEKQVATLVPCSGKVEDGISVRPQPQVSCPASMSVIPGHVITPDVRENSGTDQSSAVRPDGKTLEDGANSGSVSINGSVHAPNLNQKIHFRDFPSPTPKSSPEDLEAQAAETIQSLSSCVLVKGPSGVTWSTTATTTVDAPTTTTTTSSVVVTGGQLPQVTQQSAVDLAAPSVKHIDLAADHATATHTSNNTHVAHSSGWPAIVADPDECDESVSDLLAEVEAMEQNGLPSSPTSTFHCDDDDDLMKGPEKDFFNPVLRMFLTHETCRMDVSQPSFLDYVSAGKSSTGTEAQDNTHFSHCGTAGPELLLAPPAPASTSQDLTLTTTALRLGSETTVEAGLVERPPKYASGVGLESSLRSPSSHDPARGNTERSPRGNGSQQRRSGGHSRDRQWWNNGHNNSLNNSHNNNRQWPYSSSHGYDHGSGSYTAHPPKGLKICKFYESGYCKKGAACSFWHP >A01p022750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11216818:11217308:-1 gene:A01p022750.1_BraROA transcript:A01p022750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAESMKSRKEQERERRRLKDRERRWYLSEDERERHLARCLKNYQLRKAESRDKSHRLSGPSNHRRCGDTNGEIGSTDWDNTAEPCETSGEDTKQVHYYECRGK >A06p010890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4025874:4029676:-1 gene:A06p010890.1_BraROA transcript:A06p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGARKKKSKQANSSETSSSSVNGGGDFDASVYLKRAHELKEEGNKKFQSKDFQGALDQYADALKLVPKSHRDRAVFHSNRAACLMQMKPIDYDKVISECSMALQVHPGFTRALLRRARALEAVGKYELAAQDVNLLLGADPNHKDATEMSRRLMMCGPGGPQSRPSPAALGASAALGGPVNGLGPCLPSRQVHKKVSSSSPVALPVVSSNSKVERPKMNPLVENGHEGKTQMAKIVLKPLNDSSSKGLMMKAEQSSSSQEKVTRWRPLKFVYDHDIRLGQMPVNCGFKVLREIVRSRFPSSKSVLIKYKDNDGDLVTVTCTAELRLAESAADGVLTKEPESDKSDSVGMLRLHVVDVSPEQEPPLLDEEVEEEEVEEEKPPVEEEDIRASLSETVSETEVSNEKSDKEKTPSSEDPEMKELEMDDWLFDFAQLFRSHVGIDPDAHVDLHELGMELCSEALEETVTSEEAQPLFEKAAAKFQEVAALAFFNWGNVHMCAARKRIPLEESGGKDKVAEQLQTAYEWVKERYTLAKEKYEHALSIKPDFYEGLLALGQQQFEMAKLHWSFALAQKMDLSVWDATETLALFDSAEEKMKAATEMWEKLEEQRMNDLKNPNKKEEVSKRRKKQGDGENGEASEAVTAAEAAEQAIAMRSQIHLFWGNMLFERSQVECKISVSGWEKNLDSAVERFKLAGASETDISTVVKNHCSNEAAAEGDDKKEFIELNLMVSLVLDFKRTLLGNAAPDWYAQVQQHAQARPFWLNPIMLRMKKVVNLYLEVLIQIISRENILIFDMGDVLIGGAPTGMGTQPKKICSQIGLCTFDVCGIESVMDKENAKSSNGVGDAACSACEMAVLCKRLPIPMGESAVDCAQLSTIPTVSLTIGGKLFDLAPEEVRLRT >A06p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15605773:15607157:-1 gene:A06p024200.1_BraROA transcript:A06p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEAEESGLRMTGTEHELKKLATSFLGLSFSVMLAHLPSDALSLVPRLTTEVSELKQRLEAAEEQVRQMKCRRVEDSKANARVVEIFTSRRNAWQEEERRLQNRIHEMEEEREEFMNRIHELEREVGERDEMLGFMSRRCIEEEEDDNSTERYHTLSSSPTAYPSSHQFWAEAHNTNPFQDVQYESVYHMKQFVPRRESPWKIDSEATGVSAKLRLLEEELLNLDKVCPSDTSKVLSLLSKQAKRYQALVGKIDDLCRRMQSSDPCDATLGPEFRTQSQTEFLLECFRFQQRASETGQKLVALQTEITRSNQGDQLNQAKMNTRRSLDLIKNNLKEVQRNLEIWLARIIGDLEGILARDGASCVREFYVSRYPFVQ >A08p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24492995:24494560:1 gene:A08p044230.1_BraROA transcript:A08p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGIIQMYIIIKRTETPFLFLVKKSISFSLFCLCSPSLFSLSSLILCSLHVSLWSSGFLSFVLPFYFFLIPHQVSPKNPSNFIKEGRNNKGYRRTMVFSSNWSQPTNSNHHQHQLHENGSLVSGHGLLSQQLPPCPPNPNPNNHYAAVASGLPARLGGSMAERARQAKAPPPEGALKCPRCDSINTKFCYYNNYNLTQPRYFCKACRRYWTRGGALRNVPVGGGCRKNSKKGKNGNSKSSSSSSKQSSSTVNDPSSNSGQLRTNYQFPFLPTLHNLTQLEGIGLNLTAANGNNQGHQIGSSLMNDLGFLHVGNGRNIAAPISGNIHDNNNNGASSLMSHFNLFDPTTGLHAFQNGGNIVNNVGISFSSTSMVDSRAYQAAPVKMEEQPGLVNLSRPVSGLTSPGNQTNQYLWNSSDFSGPSSNDHHQLL >A01g511190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31408934:31411223:-1 gene:A01g511190.1_BraROA transcript:A01g511190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVLALIILLASRCLSADIDSDKQALLEFASLVPHARKLNWNTTNPICTSWTGITCSKNNSRVTALRLPGSGLYGPLPDKTFEKLDALRIISLRSNNLQGNIPLTILSLPFIRSLYFHDNNFSGTIPHTLCPRLVNLDLSNNSLSGNIPASLRSLTQLTDLSLQNNSLTGPIPDLPPNLKYLNLSFNNLNGSVPSSLKSFPASSFQGNSLLCGSPLTPCPDNTTAPAKKVLSTAAIVGIAVGGSVLLFILLAVITLCCAKKRDDNGQDSTSTAPKAKTIRSDNKAEEFGSGVQEPEKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLREVAAGKREFEQKMEAVGRISPHVNVAPLRAYYFSKDEKLLVFDYYQGGNFSLLLHGAAKGISHIHSASGAKLLHGNIKSPNVLLTQDLNACVSDYSIAPLMSHHTLLPSRSLGYRAPEAIETRKHTHKSDVYSFGVLLLEMLTGKAAGKTAGHEELVDLPKWVQSVVREEWTGEVFDVELIKQQHNVEEEMVQTLQIAMACVSRHPDSRPSMEEVVNMMEEIRASTGSGPGSANRASSPEMIRSSDSPV >A03p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3003939:3004595:-1 gene:A03p007200.1_BraROA transcript:A03p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNSAASLCRRVSLRELITEVPAYGGSGISDGSSSGLSLVFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGENVIPGNIIVRQRGTRFHPGDYVGIGKDHTLFALKEGRVRFEKNKITGRKWIHVDPKGGHVLHPIYTRAAAAKSTNIETASS >A06p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000061.1:51367:62740:-1 gene:A06p030670.1_BraROA transcript:A06p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDMQRPVCRDAATPDVLKGKWTWRCLLAWLESMQQVPDIGDGFRARIAGPNLMVVIVVVCRSLLPQMPPASSIEDRGTAIPIEDRDRAIPKRLRLCGIGNPDSLSETSSLTPHILFHVTSRKDHSTRGTVGAGVDWTSFAKDSFSRYKNTWQRKVIRAFPCLIVARLTDRCIKWFGGVTWAVCSVHTGRPWVSTSTQRKSVTVHQHTQDVRGCLCMSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHRMSVAVRVCPPAHTGRPWLSICTHISTLVLGCSTLTLPVDCSGDFGPSGLSVQYTRDVRGCPSVHISPLCTHRMSVAVRLCPSAHTGRPWLSICTRTSTLVLGLSMLALPVDYSGDFGPCGLSVQYTQDVCGCPPAHTGRLWVSASTHRTFVQYVAVRQHTQDVRGCPSVHISARWSLDSARWPFPWTVWVILAHVGCPFSTHSTSVGVRQHTQDVRVCLSAHTGRPWLLISTHISTLVLGLSTVTLPVDCSGDFGPRGLSAQYTQDVRVCPSAHTGRPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLTLPVDCLGDFGSHGLSVQYTQDIHGCPPAHTGFPWLSVCVRVWPSAHTRRLWLSISTHISTLVLGLSTLAFPVDCLGDFGPRGLSVQYTPDIRGCLSAHTAHRTSVGVRQHTQDVRGCPSVHISARWSLDSARWPFPWTIWVILAHMGCLFSTHRSSVGVRQHTQVVRGCLWLSVSTHRTSVAVRLCSCVFVCVREHTHDVRGCTSVHISARWSLDSARWPFLWTVWVILAHVGCLLSTHRTSVGVRQHTQDIRVCPSAHRGRPWLSVCVRVCPSAHTARPWLSISTHISMLVLGLSTLTLPVDCSAHIGRPWLSICTHISTLVLGLSTLALPVDCSGDFGPRGLYVQYTQDICGCPSAHTGRTCVSVSTHRTPVAVCVCPCVSVSTHSTSVAVHQYTYQHVGPWTQHADPSRGLFGTHRTSLAVHQYTNQHGGPWTQHPGPSGGLFGTHRMSVGVRQQTQDVCVCPCVSVSSHRTSVVVHQYTYQQVCPWTQHAGPSPGLFGLFWPTWAVCSVHIGRLWVSISKHRTSVCVRQHTQDVCGCPCVYVCVRQHTQNVRGCPSVHISALWTSVSVRQHTQDVRGCPSVHSTLAFPVDCSGDFGPCGLSVQYTPDIRGCLSAHTAHRTSEGVRQHTQDVRSCPSVSISACWSLDSARWPFPWTMWVILAEVGCLFRTHRSSVGVRQHTQDVRGCLSLSVSTHRTSVAVRLCSCVSVCVREHTQDVRGCPSVHISARWSLDSARWPFPWTVRVILAHVGCLFSTHRTSAGVRQHTQDVRVCPSAHRGRLWLSVCVCACPSAHTSHPWLSISTHISTTHRTFVGVRQHTQDVRGCPCVSVCVCLCPSAHIGRLWLSISTHISMLVLGLSTLALPVDCLGDFGPRGLSVQYTQEVRGCPPAHTRLPWLSVCVRQLTQDVRGCPSVHISARWSLDSARWPFPWTISVILAHVGCLFSTHRTSVGVCQHTQDVRLCPSAHTGHPWLSVCICVCPSAHTGRPWLSISTHISTLVLGLSTLTLPWTVRVILAHVGCLFSTHRTSAGVRQHTQDVRVCPSAHRGRLWLSVCVCACPSAHTSHPWLSISTHISTLVLGLSTLTLPVDCLGDFGSHGLSVQYTQDIHGCPPAHTGFPWLSVCVRVWPSAHTRRLWLSISTHISTLVLGLSTLAFPVDCLGDFGPRGLSVQYTPDIRGCLSAHTAHRTSVGVRQHTQDVRGCPSVHISARWSLDSARWPFPWTIWVILAHMGCLFSTHRSSVGVRQHTQVVRGCLWLSVSTHRTSVAVRLCSCVFVCVREHTHDVRGCTSVHISARWSLDSARWPFLWTVWVILAHVGCLLSTHRTSVGVRQHTQDIRVCPSAHRGRPWLSVCVRVCPSAHTARPWLSISTHISMLVLGLSTLTLPVDCSAHIGRPWLSICTHISTLVLGLSTLALPVDCSGDFGPRGLYVQYTQDICGCPSAHTGRTCVSVSTHRTPVAVCVCPCVSVSTHSTSVAVHQYTYQHVGPWTQHADPSRGLFGTHRTSLAVHQYTNQHGGPWTQHPGPSGGLFGTHRMSVGVRQQTQDVRVCPCVSVSSHRTSVVVHQYTYQQVCPWTQHAGPSPGLFGLFWPTWAVCSVHIGRLWVSISKHRTSVCVRQHTQDGRPCGCPSVHSTLAFPVDCSGDFGPCGLSVQYTPDIRGCLSAHTAHRTSEGVRQHTQDSKHISMFLPVDYVGDFGRSTLCPPQDVRGCLSLSVSTHRTGLCVGISTLVLGLSTLALSVDCSGDFGPRRLSVQYTQDIRGCPSTHTGRPCVSVSTQRTSVAVCVCLCVSVSTHITSVAVHQYTYQHVGPWTKHADPSRGLFGLFWPTWAVCSVHIGRSWVSASTHRTSVAVHVCPYVSVCVLHTGSPWVSASTHKTSVAVRVCPSAHTGRPWLSISTHISTLVLGLSTLAIPVDYFGDFGTWAVCSVHTGRLWVSVSTHRMSVCVRHTHRTSVAVRVYLCVSVSTHRTSVAVRQHTQDVAVHQYSMDSARLSVDCSGDFGPRRLSVQYTQDIRGCPSTHTGRPCVSVSTQRTSVAVCVCLCVSVSTHITSVAVHQYTYQHVGPWTKHADPSRGLFGLFWPTWAVCSVHIGRSWVSASTHRTSVAVHVCPTLALPVDCLGDFGPRGLSVQYTQEVRGCPPAHTRLPWLSVCVRQLTQDVRGCPSVHISARWSLDSARWPFPWTISVILAHVGCLFSTHRTSVGVCQHTQDVRLCPSAHTGHPWLSVCICVCPSAHTGRPWLSISTHISTLVLGLSTLTLPMDCSGDFGPRGLSVQYTQDVRGCPPAQTGRPWLSVRVRVCPSVSVSTHRPSVAVHLYTYQHGGPWTQHAGPSSLLFG >A07g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4767571:4770191:1 gene:A07g502180.1_BraROA transcript:A07g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPCRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPIEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRFVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDWISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A04p006560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3516653:3517099:-1 gene:A04p006560.1_BraROA transcript:A04p006560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQTERWLDALEKDQQILGEKLDGIQAGLKDVLDQILRLTEMVAAIQVSTMSEVSQETSTDFFVIPIRKNQCVIKTVDGFKDQATEVSDIDTEGEVVIFKLQPQVSVEQQHKLVCANQQVLTDIPPHLDFDEDEDDFIVLEGRALE >A03p023800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10045342:10048083:-1 gene:A03p023800.1_BraROA transcript:A03p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKPEFTLEDDEDLEDSSKYENDSDTDQSDVGDLPGDDDNNDDTFISQVQWPQSFRETTDSYTIAASPIFGSLRSNPSFYRQSRSNLDVESKAPLLPERNEESDKASVTQSVWSHKGSFAEDLPIGGYGCSVTQTIFNGINVMAGVGLLSTPYTVKEAGWASMVILLMFAVICCYTATLMKDCFENKPGIITYPDIGEAAFGKIKLSYGFCNVLCFFFLQVLLYTELYSYCVEFIILEGDNLTGLFPGTSIDWLGIKIDSIHLFGIITALIILPTVWLKDLSIISYLSAGGVIATVLIAVSTFFLGTTGGIGFNHTGHAVKWNGIPFAIGIYGFCYSGHSVFPNIYQSMADKTKFNKAVITCFILCVLIYGGVAIMGYLMFGEATLSQITLNMPKGSLFSKVAQWTTVVSPFTKYALLMNPLARGIEELLPERISENIWCFLLLRTALVASSVCSAFLIPFFGLVMALIGSLLSILVAIIMPALCFMRIMGNKATRTQMILSSTIVAIGLVSGILGTYSSVAKIIRNY >A03p037530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15707131:15708588:1 gene:A03p037530.1_BraROA transcript:A03p037530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCGDQRSMVYCRSDSACLCLSCDRSVHSANALSKRHSRTLVCERCNAQPATVRCVEERVSLCQNCDWSGHNNNSTSSNDHKRQTISCYSGCPSSSELASIWSFCSELAGESACEQEMGMMNIDDDGQTKENCNEDKKDETNDFYGNLGMDEVDLALDNYEELFGTAFNTSVHLFGQGGVDSLFQKHQQAAAPEGGNLVQPAESNDDSFMSSKTEPIICYTSKPAHSNISFSGVTGESSAGDFQECGASSSVQLTGEPPWHPQTSQSVTRNNAVMRYKEKKKARKFDKRVRYASRKARADVRRRVKGRFVKAGEAYDYDPLTPTRSY >A02p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16683040:16685466:1 gene:A02p031810.1_BraROA transcript:A02p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKNWTQVAMSDDSLVADALLLLRHSEPTTPPKKAEASPIKLKWSVRQRRSKKGDQTRASPTTPLSLSGATSLSGGSTTVEGLGESSATVKPSETFRSKVFQTSAITTTLFKRSRKKKTLAELKDEEVMLLKESRGLKNELASMRELVEQQRARNNALKKMKAESQSALSCKREQGSSFLLPDLNMPLDTDTSP >A10p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20689344:20693185:-1 gene:A10p036280.1_BraROA transcript:A10p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein A [Source:Projected from Arabidopsis thaliana (AT5G07390) UniProtKB/Swiss-Prot;Acc:O81209] MQKLGFEDARHYYPSGTEKPYSDQSSATIKNTASTSRYHEEDQPYVEISLDIHDDYVSVYGLKSPDHIGGTGSLLRQGRPGKSNSVLKRVASSFSTELKRVASSSVSSSSARKPPRPPLAKFRRSKSRAEQALKGLKFITKTDGVAGWPGVEKRFHDITENTYGLLHRSRFGECIGINSKDFALALFDALRRRENVSGDSINKNQLQEFWKQITDQDFDSRLRLFFAMVDRDADGKLNEAEVKEIISLSASANELENIRRQADEYAALIMETLDPYHYGYIMIDNLEVLLLQAPVEDIRDGETKNLSKMLSHNLRVSQSRNLGERVYRGVKYLVLDNWKRVWVMALWIGVMSGLFAWKFMQYKKRSAYQVMGVCVCVAKGAAETLKLNMALVLLPVCRNTITWLRTKTILSSVVPFDDSLNFHKVIAIGISVGVAIHATSHLACDFPRLIAANEETYEPMVKYFGVQTKRYMDFVQSVEGVTGIAMVVLMTIAFTLATPWFRRNKLKLPGPLKKITGFNAFWYSHHLFVIVYSLLIVHGYYVYLIKEWSKKTTWMYLMVPVVLYLSERLIRAFRSGIEAVSILKVAVLPGNVLSLQMSRPSNFRYKSGQYMYLNCSEVSSLEWHPFSITSAPGDEYLSVHIRVLGDWTKQLRQLFSEVCKPRLPDENRPNRADPRLLNNIPNFPRILIDGPYGAPAQDYKNFEVVLLVGLGIGATPMISIVKDIINNIKGNGDIEEGRNSNRRQSPIHNMVTHPLSPARRSETFRTKRAYFYWVTREQGSFDWFKNVMDEVALTDRNKVIELHNYCTSVYEEGDARSALITMLQSLHHAKHGVDVVSGTRVMSHFARPNWRSVYKRIAVKHPKTRVGVFYCGAAGLVKELRHLALDFSHNTSTKFCFHKENF >A08g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21797609:21803427:1 gene:A08g510090.1_BraROA transcript:A08g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGVQSSPLVTTGQDLGLKKLPRQETETRGTEGEAEKETDMMLLTELEISALNKSQRIDELPEKTRDETVQQRKAREAKELDKEINEYADLAEHAMTQEMIDNDDLLEEHEEEIEDPPETEPHVREEDMEDERIEALSQMSPEPQISKQKSVSKMLSQKESRVDEVRGLNQDSTKPKSTQRITSQTAPVGTRRGIRNQELKGAAASKKLSSRGRLSPKAKQLKPPREQLIPPHTSIPSLWNCQTDASWINKDEKAGLGFVFMHAGTPMLYGARELPRVTSSLHAEAEGLIWAMQKILKTRNRSVQFELDCEQLVKLIQSEEDWPSMAAEIDEIKALSLAFLDISIIHIPRSSNVCADSLAKGGRSRGINPQHVDSSAPYWLASYAGQNRAT >A09g514360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42930722:42931197:1 gene:A09g514360.1_BraROA transcript:A09g514360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALRPIIPDNACILCITAAAGTELADAYSPDTVIASSLGKEESGPCLSPSVADHPLGPATDHRLGKLLPHQLANQTRAPPRADSSFCSSAYGVLAAVSSCCSPPKGRFLRVTHPSATGNTTSRPTCMC >A04p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22665630:22666681:-1 gene:A04p040140.1_BraROA transcript:A04p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTLDKCKACDKTVYVMDLLTLEGNTYHKSCFRCSHCNGTLQMTTYSSMDGVLYCKTHFEQLFKESGNFSKNFQTGKTEKSNDQMTRAPSKLSSFFSGTQDKCASCHKTVYPLEKVNMEGECYHKTCFKCAHSGCPLTHSSYASLNGVLYCKVHFNQLFLEKGSYNHVHQAAANHRRTASSGASTPPSDDHKPEDNAAIPEGEAGGEEEAVPEAAAGEETEPVAES >A05p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16185062:16186336:-1 gene:A05p031180.1_BraROA transcript:A05p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTTLTKESSVGPHVLLLPHTLSSSSTSIGDFEAPMTGNSCSFEMILSVIEAGDEDGTENDETDVYVGISDKETTEAGDED >A09p063350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51469406:51475435:-1 gene:A09p063350.1_BraROA transcript:A09p063350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin-3 [Source:Projected from Arabidopsis thaliana (AT3G57410) UniProtKB/Swiss-Prot;Acc:O81645] MSGPTKVLDPAFQGAGQKPGTEIWRIENFQPVLVPKSEHGKFYMGDTYIVLQTTQNKAGAYLFDIHFWIGKDTSQDEAGTAAVKTVELDAGLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKKLEEEEFETRLYTCKGKRAVHLKQVPFARSSLNHDDVFILDTKEKIYQFNGANSNIQERAKALAVVQYLKDKFHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIARKVASEDDIIPETTPPKLYSIADGQVESIDGNLSKSMLENNKCYLLDCGSEVFIWVGRVTQVEERKTAIQAAEDFVASENRPKATRITRVIQGYEPHSFKSNFDSWPSGSAAPANEEGRGKVAALLKQQGVGLKGLSKSTPVNEDIPPLLEGGGKLEVWYIDGKAKTPVYKDDVGKLYSGDCYLVLYAYHSGERKEDYFLCCWFGKNSIQEDQETAVRLASTMTNSLKGRPVQARIFEGKEPPQFVALFEHMVVLKGGLSSGYKNSVTEKGSTDETYMPESIALIQVSGTGVHNNKALQVEAVATSLNSYDCFLLQSGTSMFLWHGNQSTHEQQELAAKVAEFLKPGVTIKHAKEGTESSSFWFALGGKQNFTSKKVSPQTVRDPHLFSFSFNRGKFQVEEIHNFDQDDLLTEDMHLLDTHAEVFVWVGQCVDPKEKQTAFEIGQRYIDLAGSLEGFSPKVPLYKITEGNEPCFFTTYFLWDATKATVRLSVLEDMLWRRKDLTGSCGTCNAGARKFLPEEGSIITGYTPRCAVAHKNRCDDWKMADQSSSGNQGPRQRAAALAALTSAFNSPSGGTSSPNRDRPNGNQGGPRQRAEALAALSSAFNSSPSSNSPPQRPGLTSRSSQRAAALAALSQVLTNEKKKSPDTSPSAEAKDEKAFSEAEASEESAEAKEEEEVSPAADAIAVEAGTTGATFTYQQLQAKSDKPVKGIDFKRREAYLSEEEFKTVFGMEKEAFYKLPRWKQDLLKKKFNLF >A02p018880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8724045:8725725:-1 gene:A02p018880.1_BraROA transcript:A02p018880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g50990 [Source:Projected from Arabidopsis thaliana (AT5G50990) UniProtKB/Swiss-Prot;Acc:Q9FI49] MQRISLSISGIRRFSASNLVTLADHRLLKQVLESCKSQPNSKCVLLQAHAHILKLGHLTHPTLVASIVAAYRRCSLPHIARRLLTRFLSLSPSVSNTNIIIESLMINGECGLANKVLRKASDRNVITWNLMIGGYVRNLQYEEALRTLKDMISLSDIKPNKFSFASALAACARLGDLRRAKWVHWLMMRDGGVELNPILCTALVDVYAKCGDIKSSREVFYGVERGDVSVWNAMITGFATHGLAEEAMRVFSEMEAEHVSPDSITFLGLLTACSHCGLLEEGKGYFDLMRRQFSLQPKVEHYGAMVDLLGRGGKVKEAYELIESMPVEPDLVIWRSLLSSARTHKNPKLAETAIQNLSKARSGDYVLLSNIYSSTKKWESAQKVRELMKREGIRKAKGKSWVEFGGVIHRFKAGDASHVETKAIYKLLEELIQRTKSQGFVPDTDLVLMDVSEEEKEVNLNYHSEKLALAFGILKSSPGSEVRIQKNIRMCSDCHNWIKSVSRLLNRVIIVRDRIRFHRFEDGLCSCKDYW >A03p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15266612:15275928:-1 gene:A03p036470.1_BraROA transcript:A03p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSANGNGPAFEAKMTVYVFVCVLIAAVGGLIFGYDIGISGGVTAMDDFLKKFFPTVWERKQHAHENNYCKYDNQHLQLFTSSLYLAALVASFLASAVCSKLGRKPTMQFASVFFLIGVGLAAGADNIVMLIIGRILLGFGVGFGNQAVPLFLSEIAPAQLRGGLNIVFQLMVTIGILIANLVNYFTATVHPNGWRIALGGAAIPAVILLLGSLIICETPTSLIERNKNEEGRETLRKIRGVEDINDEYESIVHACEIASQVKDPYRKLLKPASRPPLIIGMLLQLFQQFSGINAIMFYAPVLFQTVGFGNNAALLSAVITGSINVLSTFVGIYLVDRTGRRFLLLQSSVHMLISQLIIGIILAKDLGITGTLGKAQAMVVVVFVCAYVMGFAWSWGPLGWLIPSETFPLETRSAGFAVAVSCNMLFTFVIAQAFLSMLCGMRSGIFFFFSAWIIVMGLFALFFIPETKGVAIDDVRERVWKPHWFWKRGNEAAMIEEIANDVLDKLLLTSSKDSENFVGIEDHVAKLSVLLQLDAEEVRMVGLWGSSGIGKTTIARVLFQRLSQHFRGSIFIDRAFVSKTMEIFKEANPDDYNMKLHLQRNFLSEILGKGDIKINHLSAVGERLKNQKVLIFIDDFDDQVVLEALVGQTQWFGSGSRIVVVTNDKHGLSVTVGLENLADKSLIHVREDYVKMHRLLEEMGRGIVRLEEPEKREFLVDAQDICDVLSQDTGTHKILGIKLNIDEIDELNVHENAFKGMRNLRFLEIHSKKRYVFGKEEVPIHLPENFDYLPPKLKILDWYEYPMRCLPSKFRPEKLVMLKMVNSKLEKLWEGIVSLICLKKMDMSGSKNLIEMPDLSKATNLETLNVGACYSLVTFPQISSTIVDVDIAGTSIEEIPSNLSLWFENLHTFKMHSQKKLSERVQLLTLLTPFMSPSLSFLDLSDNPGLVELPSSFKNLHNLSTLKIRNCVNLETLPTGINLGSLDLLYLSGCSRLRTFPDISTHIQNLDLSETAIEEIPCWIEKFSRLYSLRMKGCNNLEYVNLNISKLKYLFEVDFSDCKSLTGASWNNRPRESALSYFLRFYLCITKCLNLDQEALFQKKTYSVCLLRLSGEEVPSYFTHRTTGTSSSLTIPLLHSCLSQSFLRFRACIVFDSDKESYCYSIFRFKGSFRNCSDSYNQAQDFCAVTDAYEIYSIKKEGCLFVLDYQMSQIPLEMNFDRLDLKIHIFYCNDATIKGWGIRILEEDCSSADNRVGYPNILPHVFEADECNMRLVNVEANDAVTERSGMRRSKSTKLWEGVVHAVPLFLSEIAPAQLRGDSHELHRAQVLKPASRPPLIIGMLLQLFQQFSGINAIMFYAPVLFQTVGFGSNAALLSAVITGSINVLSTTGRRFLLLQSSVHMFISQLIIGIILAKDLGITGTLGKAQAMVVVVFVCAYVMGFAWSWGPLGWLIPSETFPLETRSAGFAVAVSCNMLFTFVIAQAFLSMLCGMRSGIFFFFSAWIIVMGLFALFFIPETKGVAIDDVRERV >A03p046000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19356701:19357303:-1 gene:A03p046000.1_BraROA transcript:A03p046000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKVNELNLKDTELRLGLPGTEQAKEEQEVSCVRSSKRQLQSDNEEESTPPTKTQIVGWPPVRSYRKNNNSVSYVKVSMDGAPYLRKIDLKTYKNYPELLKALENMFKFTIGEYSEREGYKGSGVLPTYEDKDGDWMLVGDVPWDMFSSSCKRLRIMKGSDALVLDSAL >A10p019930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13588075:13588940:-1 gene:A10p019930.1_BraROA transcript:A10p019930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDDHLMCDVEGNRLTAAAILGQDGSVWAQSANFPQLKPEEISGINKDCNEPGTLAPTGLFIGGTKYMVIQGEPNAVIRGKKGAGGVTIKKTTQAMVFGIYEEPMTPGQCNMVVERLGDYLIESGL >A04p002020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:979385:982801:-1 gene:A04p002020.1_BraROA transcript:A04p002020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRKKKKPSLMEELKEMKLLEEGEMVDIPDLEIEDLIEENSLSIIVRCLNPYVYKIGGLVKPLPPIWGLEDKARKREVGENRVQFTFASEGDLQHVLTKGPWFVNGWVVSLDQWTPNPPPEFLERLTFWVGLIELHAKNSNSLEYVRAQLTINTEELLHFRRIARFKSGVTIPTELEYEKLLKICYTCKRLTHDQSRCTQQINIVQEEERAVQRRSQEQSLRKKLSEKESKAKEALQKVPAKGVVIWNAPTETISRGGKSKAHNTPKEDKRKGKRVASNPQLEWRQRIKSVNLGAEEKSTSEDPIWPRSVFHRLGSNEKEQGSGGSKEKRNARGVEGDLRLRLSGDSTVERAEGQSSKSSRSPPSVFERLKCNVLSSSWERENAGGSQAQKKRRQSHSDERRLKKPRTGSHEKKEASPSVFQRLSGIHHDTAAEGNGPMIHSAYAVERTPIHFTHVPVYTSSTY >A01p052560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29494752:29497437:1 gene:A01p052560.1_BraROA transcript:A01p052560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic recombination protein SPO11-1 [Source:Projected from Arabidopsis thaliana (AT3G13170) UniProtKB/Swiss-Prot;Acc:Q9M4A2] MSVKGLCVTNNYVTEFSFFIASEVIKRHACSNFKLITASTSYPSLPLLLANYILSSEKEKNTKGEMEGNFQISETTNLLRKIKEFTRSIVEDLAEGKSPEISINRFRNYCNDPEADCFCSSDEPKGREILTLRKRSQTYRIDMLLRVLLIVQQLLQENRHGSKRDIYYMHPSAFKAQSVVDRAIADICILFQCSRYSLNVVSVCWKWVGTFDSDYSASIMRPVLVLRSTLCCRLVMGWLKFREAGRKFDCLSSLNTAFPVPVLVEEVEDIVSLAEYILVVEKETVFQRLANDMFCKTNRCIVVTGRGYPDVSTRRFLRLLMEKLQLPVHCLVDCDPYGFEILATYRFGSMQMAYDIESLRAPEMKWLGAFPSDSDIYGVPQQCLLPLTEEDKKRTEAMLLRCYLKREMPQWRLELETMLKRGVKFEIEALSVHSLSFLSEVYIPSKIRSEGSFH >A01g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21840954:21844001:1 gene:A01g507710.1_BraROA transcript:A01g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFKINLTSVTTLLILLQLCSIVSCIRSTLITRNNTIRDGDTLISEEEVFELGFFSPKDSTLRYVGIWYKNIQPQTIVWVANRERPLSDHNGAIKLADDGNLVVVDGKNNTVWSTNVPPKLNNTVAVLLKTGDLVLSSDSDRDTRFWESFINPTDTFLPGMRVRVNPSSGENHAFIPWKFETDPSPGRYSMGIDPFGAIEIVIWEGETRKWRSGPWNSAIFTGVPDMFHFTNYIHGFKLSSPPDPDGSVFLTYVPSNKDDLSRFRIRFDGIVEQLRWNRDARNWTSLQVKPSKECEKYNRCGNYSVCNDSKDFDSSKCSCIYGFEPAYQNQWNNGNFSGGCIRRVPLNCSDKVDGFRVLKGMKVPDFGSVVSLNQSGTCKDVCMRDCSCKAYEVVPGIGCMIWTRDLIDMEHFEHGGNSINIRLAASEIGGTKEISQFWIIIFSTIGALMFGLCCLCIWILWRFRKRVKDFLWKNRDSSVKPSRSRFQVLVGDQVDTPDFPTFSFNSVASATGDFSEENKLGQGGFDETKQRNLEWRKRWDIIGGIARGLLYLHRDSRLKIIHRDLKASNILLDKEINPKISDFGMARIFNYRQDQANTIRAWHLWSQGKTKELIDPTMMDNPDVNEAIRCIHVGMLCTQDSVIYRPNMGSVLLMLESQTSHLPRPRQPTFHSFLNFGEIVEGQNVATVNDITLTTVVGR >A01g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24362064:24362839:-1 gene:A01g509020.1_BraROA transcript:A01g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIFKNGIEEMAGRTLRCVALAFRTYELEKVRMVTGDNVQTARAIALECGILTSDADASEPNLGMGTCIRLNMKPHQNWKQLFSIKRRSSRSFLRVMQDMYMNLFFGDEVFKYFRSYDS >A10p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2516305:2517776:-1 gene:A10p017290.1_BraROA transcript:A10p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKLRLPSSTNGNGGGHVKSKDDDSPVTVADWGVQAIVSWVLAEVFGTQNLSIVAEEDTDSLSKSESLGLLDSVSNAVNEALSEAHKYGLPKPDKPLGSDDILKAIGRCNSTGGPKGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKVLLGVLGCPNYPVKKEGLRNGCNQSGAGSVSKGCVMYAKRGSGQAWMQPLIPGGYPESATLLKASKVDDPVLATVCEPVERANSNHLFTAGLANSMGVRKQPMRVYSMVKYAAIACGDAEVFMKFAQSSYKEKIWDHAAGVVIVEEAGGVVSDAGGRKLDFSKGVYLEGLDRGIIACSNTVLHDKIIGAVYASWESSSL >A03p054380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23347464:23349988:1 gene:A03p054380.1_BraROA transcript:A03p054380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIADSDLPPPPSSPPPPLTLDESIGVQSLALSSPNHVEEEVSLETLTVPSLVPVDYDEPFSDIGCLSDNYKRWTPNVSPGYDTDDESTSYQPLLSFIQDPPTMIDDEPTGVGVGLWNLGNSCFVNSVLQCLTHTLPLIESLCSYEPPEPCNCGNEWFCVRSAFKGHLEAALTTSRSSIAPYSFLNNLNYFSADFRRYQQEDAHEFLQAFLDKLERCCLEDPSSDSLSSQDVNLVQRIFGGRLVSQLRCCDCNSVSETFENSLGLSLEIEDVDNLQSALDSFTRVEKLDEPMTCDNCKEKVSKEKQLLLYKLPQVVTFHLKRFKNNGFFMEKIFNHVKFPLEIDLQPYMVRSQNNEVTAKYRLYAFVEHFGSLAYGHYSSYVRSAPKIWHQFDDKQVTRIDEDRVLSQDAYILFYAREGIPLFSTTFQEVHPLVEASLQNPSPNSVLDPTSGECSSEISYENAYKSNKLLCENSAGVIQHVKPEERYVSLSNEFDEDVMLQSTESCGEDDDNSNDSCTEKEVDSRLDIERAATGDDSVPYPMVQDQDSSQRQQDGTFLIQLEKLEATKNQEEEEEPCKQPLLISHVGESKENEIVHRRGPVKKPSPRERVLDQGISTTGSPPKKLKKA >A06p018500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8969724:8970901:-1 gene:A06p018500.1_BraROA transcript:A06p018500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFTVVNFLSKVSASGPDGAWRRLEGPPSSIVLLALMLPLHQSSYLRYAHSRGLWFDADECSAAEVLLLRWSPHLFVASASGMRGCLSPSASGRIRVASLGQRRFATTSPGSRSARDQVSWFSLFHRARVLFILVWCVSVDPSTTAAGCGFESVWCSSSVAGSRFKGCSMSMPSQWPHASSPHLEPLLRALVLRSVFAGVSDGFSSSSFSWSVLDEYKRVVVLMSLTVPASVRSSLTSQHYMGLVELLVVVCEAIVCRLGSDYGVRRCFDAIDELRTGVQLSLRVDSSRRQKIIGF >A01g504890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14497045:14497740:-1 gene:A01g504890.1_BraROA transcript:A01g504890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESVSSQTEIVEKIAVVKCRYEVTSTKIKKSLLKAEIITWDSLEHEVSMRPAYRSSKKVKDYDKLEAEVKKNRRMISLKETLL >A01g506080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17927847:17928435:-1 gene:A01g506080.1_BraROA transcript:A01g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVQAPKNRVSFIRILHLWRNYNKDSGNTIEMGTRIHASVGEQLIKQFEGKLTEGDAKVVELFKLYDAIGDYRTTAHPYKISFFQTNFVGTADEFPSEVPEKYFADARLRIKYFDYFDD >A03p021450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9130741:9132911:1 gene:A03p021450.1_BraROA transcript:A03p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 9 [Source:Projected from Arabidopsis thaliana (AT2G37650) UniProtKB/Swiss-Prot;Acc:O80933] MITDPAPADRTRLPDQPSSLTLFDGYNYSHGLSNNQNAFVTSAAGEEEDPADEFDFSDAVLGYISQILMEEDMDDRVCMLQESLDLEAAERSLYEAIGKKYPPSPERSSPYVENLDHVVPAGDRNGSGGGFMSGGMKPMSGGGFTLDFRNPQSRCSPVLSVPQSPYGVHESSNANREDQSVWLFRRGVEEGSERNEMIVNFREDSRSKVRRNPNSGEEERCSKLPAVFSETILRSDVVDKILVHVPGGESMKEFDALREVLKKGVGKKKASAPQEGKRRARGRGRGRGGGGVKKEVVDLRSLLIHCAQAVAADDSRCAGQLLKQIRQHSTPFGDGNQRLAHCFANGLEARLAGTGSQIYKGIVSKPRSAAAVLKAHQLFLACCPFRKLSYFITNKTIRDLVGNSPRVHVIDFGILYGFQWPTLIHRFSIYGKPKVRVTGIEFPQPGFRPTQRVEETGERLAAYAEQFGVPFEYKAIAKKWDAVQLEDLDIDRDEITVVNCLYRAENLHDESVKVESCRDAVLSLIGKINPDLFVFGIVNGAYNAPFFVTRFREALFHFSSIFDMLETIVPREDEERMFLEMEVFGREALNVIACEGWERVERPETYKQWHVRAMRSGLVQVPFDPDIMKTSLHKVNTFYHKDFVIDQDNRWLLQGWKGRTVMALSMKD >A09p053130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46214900:46219030:-1 gene:A09p053130.1_BraROA transcript:A09p053130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHVILSVTDWIRTTTSDAVGDVSFPRRLVAISLEEMGSEGPKAVTIHVTGFKRFLGVSENPTEKIANNLKSYVEKRGLPSGLSLGSCTVLETAGEGAKSQLYQVLESSVAVSEDNKNSNGTVVWLHLGVNSGAEKFAIERQAVNEAHFRCPDQLGWQPQRIPIVFEDGSIVKAKETTCSTESIFNLLKNKGFDVVQSDDAGRFVCNYVYYHSLRFAEQKGHKSLFVHVPLFSKIDEDTQMHRNGCSVRAFEIYAEMVSHGLRESASTFPSVLSVCSGDEWLCREGIQVHCRVIFLGFECNMFVRSALVGLYASLRLVDVALKLFDEMPERNLAVCNLMLRCFGESGDSKGLFGVYRRMEAEGVDENGLSYCYMIRGCSNDRLLCEGKQLHCLVVKSGWNVCNVFVANALVDLYSACGDLYGSKTSFEAVPEQDVISWNSIVSVFSDYGSVLESLGLFRKMQFWGKRPSVRSFMSFLSFCSRNNDTQSGKQIHCCVLKMGFDVSSLHVQSALIDMYGKCNDIESSVSVHQSLPCLTLECCNSLMTSMMHCGIAKDIIEMFGLMIDEGTGIDEVTLSTVLKALSLSEAATSHSFTLVHCCAIKSGYASDAAVSCSLIDAYSKSGQNEVSRKVFDELDSPNVFCWTSIINSYGRNGMGRDCVEMLKEMDRKNLVPDEVTILSVLSGCSHSGLVKEGEVIFNSLESKYGIVPGRKLYACMVDLLGRAGLVEKAEKLLLKARGDADCIAWSSLLQSCRVHGNEAIGRRAAEVLMDLEPDNFAVYIQVSKFYFEIGDFEISRRIREIAASRELMREIGYSSVTVRN >A05p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14751073:14754265:1 gene:A05p029540.1_BraROA transcript:A05p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQRLLVAEAHRQFREEAEGNAEDEGGQASGASRAEAPSQVVRPRRRAQRRARFDQSGHLPAPNSIRFDEIDCRPVIYHPVRHPNTIAYPEKFFESAQLIATHSHLRWPDLSREWIRRQQARIARGKVASSPKKKAGKATKPAKRGQSSSLEGSVPLEEAPSSVDASEVAAKKKKKKKDNKKRSREEASVEPPETSMAVGDDDVGRHDPTDSTRGSSEGRPEKKSKKTTAEDDGTPAPEVPSKSGGQATETGDGSRDESPLRTRALSPSARKKGVESGGSLPQKAGKGFPDPVEFLYDETTPLVLNPLQCAELTRQIRGGTKELPLIDDLDFKKEYIDAAMASKRSDGSINYLVEKYDKRFRAENKKASDKAVKEKEVLRVKFAELEDKLKFYRLAKKDALREKARLERLVASLEKEKTELEGERDAVVGTLVKERQRLRDSRIQQVTRERVKVQTAMADKSTRCFGRVKDYLDRLNALEKAKSLYGQASGTKKCLETSFRSTFSEADFTLSPLNLPSRFVSEELMGTLDPYGSNVGLIGHESASQLITSREAAEDPTDKPMIDITSALSKRITVTEGTAVEECSDKNDPEVGGNAIQEGTGDVAAEDPVLEENRSFPALIEEAALNPSASDPPAQIEGLNAHVAEETVELLFPVVSNKDDQDTVA >A02g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10305492:10308386:-1 gene:A02g503120.1_BraROA transcript:A02g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLKSSGLPGSRLDFQEVVWTSRKSSGFLGSRLDFSERFGKFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPRIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p062100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26977951:26978506:1 gene:A03p062100.1_BraROA transcript:A03p062100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WHGNGTACPHGAIPVRRVEAEAELSQGKYSPLISDTADRATRGHHASFFHFFRIVIRYVEDLPIYGTKAMLNVWNPVVELGDDFSLAQIWVTSGTYENKDNTLETGWQVYPNYF >A02p060510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36078965:36081655:1 gene:A02p060510.1_BraROA transcript:A02p060510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyisobutyryl-CoA hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G65940) UniProtKB/Swiss-Prot;Acc:Q9LKJ1] MASHSQVLVEEKSSVRILTLNRPKQLNALSFQMISRLLQLFLAYEEDPSVKLVILKGQGRAFCAGGDVSAVVRDIGQGNWRLGAKFFADEYMLNYVMATYTKPQVSILNGIVMGGGAGVSVHGPFRIATENTVFAMPETVLGLFPDVGASYFLSRLPGFFGEYVGLTGARLDGADMLACGLATHYLPSTRLTALEAELCRVDSSDPALVSTILDAYTQNPHLKQQSAYHRQVLDVIDRCFSGRTVEEIIAALEREATHGGDDWISSTIRALKKASPASLKISLRSIREGRLQGVGQCLSREYRMVCHVLKGDISKDFVEGCRAILIDKDKNPKWEPWRLEEMKDRMVEQYFKRVEEEEDLKFPARKNLPALAMAKL >A10p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20031917:20035219:-1 gene:A10p034460.1_BraROA transcript:A10p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLHTTLALCFISLLLLGANAVTEPELRSLLEFRKGIRDESSNQRISWSATSSLTDPSTCPENWPGISCDAETGSIVAINLDRLGLSGELKFSTLTGLTSLRNLTLSGNNFSGRVVPSLGAISSLQRLDLSDNGFYGPIPGRISDLWNLNYLNLSANKFAGGFPGGFRNLQQLRSLDLRGNEIWGDVGEIFAELKNVEFVDLSSNRFHGGFSALSNVSAISNTLRHLNLSHNALNGGFFSGESIGSFKSLEVVDLENNQINGELPSFGSQPGLKILKLARNELFGTVPEELLQSSIPLRELDLSQNGFTGSISEINSTTLTVLNLSSNGLSGDLPSSFKSCLVIDLSGNTFSGDVSVVGKWEATPEFLDLSSNSLSGALPNFTSAFSRLSVLSIRNNSVSGGLPSLWDDSGASQFSVIDLSSNKFSGLIPQSFFAFASLRSLNLSMNSLEGPIPFRGSRASELMAISSDPQMELLDLSTNSLTGALPGDIGTMERIRVLNVANNKLSGELPSELNKLTSLEFLDLSNNDFKGQIPEKLPSGMTRFNVSYNDLSGTIPENLRSYPHSSFYPGNSKLRLPGGTPLGSSNGVLAVHQKAHDHHSRLSIKIAIIVASVGAALMVLFVLFVYHRTQLKDFHVRNQATTRDAKFGRSSRPSFLNFTSNAEQQSTSLSFSNDHLLTANSRSLSGIPEPEITEQDLPAPSATTIPNLLDDYPATSGRKSSSGGSPLSSPRFSDQPVMLDVYSPDRLAGELFFLDVSLKLTAEELSRAPAEVLGRSSHGTLYKATLDNGHMLTVKWLRVGLVRHKKDFAKEAKKIGSLKHPNIVPLRAYYWGPREQERLLLSDYMGGESLAMHLYETTPRRYSPMSFIQRLKVAVEVAQCLLYLHDRAMPHGNLKPTNIILTSPENTVRITDYCIHRLMSSSGVAEQILNMSALGYSAPELASASKPVPTLKSDVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRRMDCIDRDIAGGEEFSKTMEDALAIAIKCIASVNERPNIRQVLDLLSSLSS >A02g512430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33711702:33717318:1 gene:A02g512430.1_BraROA transcript:A02g512430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSRFRGSNSGSFQNNRWWWWWSVALDRVLSFLVRVLASVQRVWSRFVSMGLGSLYRRRVKVFSVAILIYLDYKSVQQREKWIKKAKVPALWEKAHERNAKRVLNLIVELEGLWVKLGQYLSTRADVLPQAYISLLTQLQDSLPPRPLQEVRRTIERELGDSMEVLFTDFANEPLATASIAQVHRATLANGQDVVVKVQHNGIRAIILEDLKNAKAIVDWIAWAEPQYDFNPMIDEWCKEAPRELDFNIEAENTRTVSKNLGCKKTNGEGRSDNRVDVLIPDIIQSSESVLILEYMDGIRLNDVESLDAFGIDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKKLSHPLKQALAKMFLASAEGDQVALLSAFAEMGLKLRLDLPDQAMSVAGLFFRSSTPSNEALKTLKTLNDQRMKNIKVIQEKMQLTPKEVKRFNPVDAFPGDIVIFARVINLLRGLSSTMNVRIVYLDIMRPFAESVLLGSISRGPTVDASWIHDSPVQSDVEFKLRKLLVELGSIQKILGIQVGKHTLSVWLCRQVCAYKDGKVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGITAGMIHWLVDKRKLQLDQTVGDIWPGFASNGKDVIKVHHVLNHTSGLHSAFDPVGENPLLICDWDECLKRIANSSPETEPGSQQFYHYLTFGWLCGGILECKTHARLQVDANLVFINLEQYASGKKFQEILEESIVKPLKIDGELYIGIPPGVESRLATLTLDTEELSKLPSIASQPELPSTFQPEKILQMATSLPVLFNTLNVRRAIIPAANGHCSARALARYYASLTDGGLVPPPHSSLSQPPLGSHAHVPKFSSVNDTKKKRKGKEMMASTQKLKPKGHKEKRLYDEEQFMSTESLASLVSDTSNDHQDDDVRNIFSNPRIHDAFMGAGDYSGLVLPDGKFGLGFKRVTSQDGSLVGFGHSGMGGSTGFCDIENRFSIAITVNKMSLGGVTASIIRLVCSELNIPLPKDFSIANGMGLELEMGTPLIN >A03g501570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4732989:4734066:1 gene:A03g501570.1_BraROA transcript:A03g501570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWSPSLAISLGPGNSPFTETRLFVWQRRVTLCIFICQKKNETSNHIICNPSGSGRRRTLEKTANRSQNAILDLKALIIAGVNSSRRLIDVELVKTFVS >A02g504210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13927379:13929102:-1 gene:A02g504210.1_BraROA transcript:A02g504210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVPHSVHPAENEAWWVSHYGSLTPPKEKPFPVLVHRGIEEEDVSRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARMQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGNFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPSIDERIQGFWDSIPVSPDTEEVLIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9313672:9314934:1 gene:A01g502790.1_BraROA transcript:A01g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNGGDLYSLLRNLGCLEEDIVRVYIAEVVLALEYLHSEGVVHRDVKPDNLLIVHDGHIKLTDFGLSKVGLINSTDDLSGPGTSLLDEEESRLPASEHQLERRKKRSAEGTPDYLAPEILLGTGHAMFIFEIQSKLRSNNLRILQESASVVTVIGKTLDKSRECSSEVNDYPTS >SC178g500190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:382224:382685:1 gene:SC178g500190.1_BraROA transcript:SC178g500190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVIALIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A09p004110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2396987:2398646:-1 gene:A09p004110.1_BraROA transcript:A09p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFLMRSSCYSPAIGFLDHRRVLSPPQLSKPSFSVLSSLPPSKPPRYRTSSVHAVTTLNGHESTRKKRVDESESLTLEGIRNSLIRQEDSIIFGLLERAKYCYNEDTYDPTAFDMDGFKGSLVEYMVKGTETLHAKVGRFQSPDEHPYFPEDLPEPMLPPLQYPKVLHFAADSININKKIWNMYFRDLLPRLVRKGDDGNYGSTAVCDAICLQSLSKRIHYGKFVAEAKFQASPEAYESAIKAQDKDALMDMLTFATVEEAVKKRVEMKTRTYGQEVKVGMEEKEEEVDESHVYKISPILVGHLYGDWIMPLTKEVQVEYLLRRLD >A08p025720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16503661:16505634:-1 gene:A08p025720.1_BraROA transcript:A08p025720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPPTFSLFHHRFITGDKPVLTVDDEFLKKHTKVLLISDSSDKIWKVKLDGSRLAGGWEEFAKAHSFRAGDVVVFRHDGDEIFHNTKAGFSCILRARVTPYSLIKDRLDLSKDFTVVSFNEHNKPCEIDLVNEKGRKWTLRLSKNSTSGVFYIRQGWVNFCSANGLSQGDICKFKLSENGERPVLRLCPSSNSHEEEEECPEVDAVKTSSVGGCSKEKRKGKKKNTPSQFLTVKLTPNRLQTGQLYISSVFVNESGIKKSGEITLMNKDGRKWPSYLQMTGQCGSEWFYLRHGWREMCKANGVKVNDSFVLELIWEDDNPVFKFCSKSENKGNGNGRLRKKRACETPIVETERRKRGRPRVSNTNSNNLRRTQQESLSVSDQVASVKLSILDTLNTVRQFRADIEAREKNLEASSLEVDALGERILGISQILNNNLV >A03p058120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25227570:25230499:-1 gene:A03p058120.1_BraROA transcript:A03p058120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich RLK (RECEPTOR-like protein kinase) 5 [Source:Projected from Arabidopsis thaliana (AT4G23130) TAIR;Acc:AT4G23130] MSAYTSLNYTYLLTFFIAILRVSAQLQDPTYAGHSCSDRISTNNVYLSNLKSLLTSFSNSHASLFSKGFNFLAKGQDARTVFRIFLCRGDLSPEVCRECVMFASNNTESRCLRGKELLIQYDECMLGYSDRNIFMDGVKTRSTPTIVTWNTQSVPDIPAYRPDRFKDAMFSLMNKSSVEAADSKEKRFATLYAFVQCIPDLSPEGCLNCLQQSMMEFNFSRVGGRVVFPSCNSRYEIYPFYNESLVATPSPSLPVTATPSPPGKVKNSLVIILATCVPVSVFVLFLVAVSSYQVTKKVKKTDNTTAADDEGDDITTAGSLQFDFKVIEAATDKFSICNKLGEGGFGKVYKGTLPNGLRVAVKRLSKTSGQGEKEFKNEVVLVAKLQHRNLVKLLGYCFEREEKILVYEFVPNKSLDYFLFDSRMQSQLDWSRRYKIIGGIARGILYLHQDSRLTIIHRDLKAGNILLDADMNPKVADFGMARIFEMDQTEANTRRVVGTYGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGRKNSSLHEMDGSLGNLTWRVWNNGLPLELVDSSFRESYQSNEIIRCIHIALLCVQEDTEDRPTMSAIVQMLTTSSISLAVPRPPGFFFRSKKDQACSSVDKSSLFSIDQASITSLAPR >A03p055870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24126306:24128131:1 gene:A03p055870.1_BraROA transcript:A03p055870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PTAC9 [Source:Projected from Arabidopsis thaliana (AT4G20010) UniProtKB/TrEMBL;Acc:A0A178UX75] MNLISKSLTRIECSPLFHPQIPSGNRITPQRIRFHPAAAAAVSGSGGKKQRAKAPPEIVTAKKEKEPGRPNEIAYEREAANWVNLIGFVDQPVQFEASSDGKFWAGTVISQRSASGSSAFWIPIIFEGDLAKTADRYISKDDLIHVSGKLFIDSPPPNMTYAQSNVQVLVQNLSFVQPASPPLVISSSSKKEENSVKKRSASPPVVVASSESDIKKQPGRAKKNIVMDEASDSWNHLIENPKDWWDHRENKANGLVKPRHPDFKSKDSSLSLWLNKAPAWVLPKLQGLEFDVLVPKGRGVKQLKGEESWKELVENPDKWWDNRIDKRNAKAPDFKHKETGEALWLNESPTWVLSKLPPVKKRQEITVS >A03p001900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:936770:939774:1 gene:A03p001900.1_BraROA transcript:A03p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAT6 [Source:Projected from Arabidopsis thaliana (AT5G04770) UniProtKB/TrEMBL;Acc:A0A178UKC8] MEVQSSNNGGHSSFSSLRVYLNSLSSTPSRLARRAVSVSTSSDEMSRVRAVSGEHMRRTLRWYDLIGLGIGGMVGAGVFVTTGRASRLYAGPSIVVSYAIAGLCALLSAFCYTEFAVHLPVAGGAFSYIRITFGEFPAFFTGANLVLDYVMSNAAVSRSFTAYLGTAFGISTHKWRFVVSALPGGFNEIDPVAVVVVLAITVIICCSTRESSKVNMIMTAFHIAFIVFVIVMGFLRGDSKNLTSPAKPEHPSGFFPFGAAGVFNGAAMVYLSYIGYDAVSTMAEEVKNPVKDIPVGVSGSVAIVTVLYCLMAVSMSMLLPYDLIDPEAPFSAAFRGSNGWEWVTKVVGIGASFGILTSLLVAMLGQARYMCVIGRSRVVPVWFAKIHHRTSTPVNASSFLGIFTAVLALFTDLNILLNLVSIGTLFVFYMVANALIFKRYVPVGPTKPWPTLTFLTLFSTISLVFTLIWQLAPQGKVKAFMLGASAVVAIAIVLIFHYLVPQANKPELWGVPFMPWTPCVSIFLNIFLLGSLDAPSYVRFGFFSGLIVLVYLFYGVHASSDAEANGSFGVKEDGQVLKELTEV >A08g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13264905:13265685:1 gene:A08g507520.1_BraROA transcript:A08g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPILILNFLRPILSLKESTRKELSLGGDSIVKDSTDGLTTALRWILSCRPDLPINLLQKLFRLRQVRKEMPMSCDGDDCLKEADGTDCLKVAAKESLNVGDRIYLPLSVGNDVPPPAKKAIYGSDRAKLRPCLIYIGELVDEMYSVKALSL >A09p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2019145:2022478:1 gene:A09p003490.1_BraROA transcript:A09p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVTFSCNNFFPVHKQMAPRISLALFLSLNLLFFTYTSAQGSCPKDSLQISLCANVLNVVELTLGNPSVPPCCSLIHGLVDLEAAACLCSALKVDILGITSVNLPIFLNVLLNVCGRPTPTSYHCVY >A01p012580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6173126:6174949:-1 gene:A01p012580.1_BraROA transcript:A01p012580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g20090 [Source:Projected from Arabidopsis thaliana (AT4G20090) UniProtKB/Swiss-Prot;Acc:O49436] MEQPEVDEPPISHQMFKSAPKMGSFKLGDSTLSSAIESHAAKLDFASVENLLTRIRLENRTVREHTFIAIFKAYGKAHLPEKAVDLFHRMVSEFHCKRTVKSFNSVLNVVIKEGLYHRGLEFHDYVVSSNMNICPNGLSFNLVIKALCKLGFVDRAVEVFRGMPERKCLPDVYTYCTLMDGLCKEERIDEAVLLLDEMQSEGISPSSVTYNVLINGLCKRGDLTRVTKLVDNMFLKGCVPNEVTYNTLIHGLCLKGKLDKAVSLLERMVSSKCVPNDVTYGTLVSGLVKQRRAVDAVRVLVSMEGRGYCLNQHVYSVLISGLFKEGRAEEAMSLWKKMGERDCKPNIVVYSALVDGLCREGKPEEAREILNGMISNGCLPNAYTYSSLMRGFFKAGLSEEAIQVWGEMGCSRNEVCYSVLIDGLCGVGKVNEAMMVWSEMLTTGIKPDTVAYSSMIKGLCGIGSIDAALRLYHEMICQEEPKSQPDVVTYNILLDGLCVHKDVSRAVDLLNCMLDRGCDPDVITCNVFLKSLREKSGACEEGRRFLEELVVRLLKRQRVSGACKVVEVMLSKYLAPKGSTWGMIVPEICKPKKISAAIDKCWRNLCT >A01g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5807518:5808280:-1 gene:A01g501550.1_BraROA transcript:A01g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRFEERKIYHIRYFNLLSNNQRYMLTVQPYIININETTIITQIEENIPPIPSCIFRPQSYPQLISLASATNFLPDVVGQYASSKEIQNGTSNYLEQQGRKFQGAKSHIYQEKLNCNHHKYHSTGNYHLQPHLNRAFTSTPTLISYNASKRGINCYFKLDS >A09p053810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46576471:46578156:-1 gene:A09p053810.1_BraROA transcript:A09p053810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTYTKVFVGGLAWETDKDTMKKHFEQFGEILEAVVITDKASGRSKGYGFVTFKEAEAARRACVDGTPVIDGRRANCNLASLGLQRSKPSTPNHGGGRINNMRVMMSSTMQTGFGPPPTFTHYPHLPLNLFGYSPYSSDFSPFPTNLYGVYGCNSAGQYGLYGNGNGVSGGLTAAAASAAPFYPCGGGQGGVQFSQPQPFYHHFSAYDNPHHYSPATISLQQGVTGFPLQPPLIPYL >A09p080100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58776718:58778577:1 gene:A09p080100.1_BraROA transcript:A09p080100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTGEPGSSMHGVTGREQTFAFSVASPIVPTDKTAKFDLPVDSEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSGAGGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGVTQLLMPIVYEIIRRCGATAFTAWRLAFFVPGWLHIIMGVLVLNLGQDLPDGNRSALEKKGEVAKDKFGKIMWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAACFGMANFFARPAGGYASDLAAKYFGMRGRLWALWIIQTAGGLFCVWLGRANTLVTAVVAMVLFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLIFFSTSRFTTEQGLTWMGVMIVACTLPVTLCHFPQWGSMFLPPSTDPVKGTEEHYYASEWNEQEKQKNLHQGSLRFAENAKSEGGPRSRSATPPQNTPNNA >A07p033700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18420431:18422227:1 gene:A07p033700.1_BraROA transcript:A07p033700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEESTVTNVNLMSLLQVQKIQHLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYNRLYKEPIPVTQLVRETATVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGFEGEISSKNIEIGKIGADKVFRVLTPAEIDDYLAEVE >A05g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19760732:19761126:1 gene:A05g506980.1_BraROA transcript:A05g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPLANKIEVDCWRISQRIDEIMEIDMGVEVTDTTGQYTRTKSPLQAEAEGLLWAMQVILKFGHKEMVFQSTVNNWLYSFKRRKTGLLWTRS >A02p052020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31767537:31767948:1 gene:A02p052020.1_BraROA transcript:A02p052020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKALQTRLPPISRKKKRRGDIRAYISRGGTLHVVEGSIRCEIQQEDSNSRRIQVNDKHVIFFVECDGSGVKFVHAAAKTVSVSDVLDRTMLTRLSFFPELKARKRSQSLCLRSK >A03p032530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13769319:13770344:1 gene:A03p032530.1_BraROA transcript:A03p032530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASIPARNSALIAMIADEDTVVGFLMAGVGNVDIRRKTNYLIVDSKTTVRQIEDAFKEFSSRDDIAIILISQYVANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVSQR >A02g501730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5523285:5523674:-1 gene:A02g501730.1_BraROA transcript:A02g501730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFLSSRHRDASDLGVSLWEVAPRGVALRGALERSHDVAAEPRSHALLVQ >A02p028460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14385246:14385597:1 gene:A02p028460.1_BraROA transcript:A02p028460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIIAPLFAALVLLAAFEAPTMVEAQKLCERPSGTWSGVCGNNNACKNQCINLEKARHGSCNYVFPAHKCICYFPC >A06g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5739036:5740656:1 gene:A06g501510.1_BraROA transcript:A06g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPIPPSPPPPQLWPRRQRNARGRLSCVGSLEREEGPQTTTRRLETVKFLRLLGVEFPLNRFLSLDFRFEKQAYSFCWIPSLRRPRLFFNSTAEFDGNRNLLHEITRLSPKAKTNSSLALLSQDVQSNIAPASSEPYGRTTIRGTKAATSSQARPHGAALQHWELRCFSYIFFLLCDLILARFPPSSIQGTSNWVGRFHLTETCSNEHGFSHSNYQKKKKKLLSQIYTAGKHSLIGQKLIGSPFGNLEYMDGAFLPAELSMNLFKISEKVVVDATNKGNIARLINH >A03g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14820742:14821716:-1 gene:A03g504190.1_BraROA transcript:A03g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHLSIVTDVPREKKYHLKPRLLRKKDDKLEGDAALNKFFREIYLNSDEDMRSARANHLLQCFGILIESFAVLHNQQLESIGTTLSIDWKDVGAKKIESTLHDDLALKT >A08p013160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11710138:11711997:1 gene:A08p013160.1_BraROA transcript:A08p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLPRPFISLRTSQSFNLSPRVFSLRLSCSSSSDGSTGNQQVSSNPEKRSFAAATGDMFIGVASRLLKRSNQRTPPSDEGDRIGTVIEDEVDPEMIWEQRVKDVEAEKERRVITSPGFSFSAAGLLFPYHLGVAQLLIEKGYIKDTTPLAGASAGAVVCAVITSGSSMWEALEATKLLADDCRRNGTAFRLGAVLRESMEKLLPDDIHIRSNGRVRVAVTQVLWKPRGLLVDQFDSKSDLINAVHTSSFIPGYLAPRPVTMFRNRVCVDGGLTLFMPPTAAAKTVRVCAFSASSFKLKGIGISPDCNPLNRATARQLLKWALEPAEDEVLDRLFELGYADAATWSEMNPVDELVYDDTPAAQAIQAS >SC132g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:167319:173315:1 gene:SC132g500140.1_BraROA transcript:SC132g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKVAKKGSSSTSAYEELIVPKMEFVPHSVHPAENEAWWVAHYGLMTPPKEKSFPVLTHRGVEKEDASRSTDDFLATMRSFYHIPDAVEFRVPYPGVCANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDTIEVRDLLRNGPFFWTSFTPKRVWKALRFVHPGPASVADTGSDSEPDNQSPAAAPPAVPESSSWKGKDIDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPAKESARPKIVAEGALTCSAAIEASHREAMIYRFKAEKAERDLARVQGEILEREAQLTRDHARAVRKAERKGKREIVKVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYAFEDEMSLMKSGMNEHARAEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDRPADAFGLDGCICIYRDWPLVALNHLPRYAVIYMTNVSFRVFLNRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMVRIEHLLRVNWKTASVFVGANRRTGCKVLVVAFGQFIMIFMIFGPDEAADKSLNVSRRVLKFCFMPRVFLLGGRCRDVRLDHVDRGWVNAIFRMFRLSCSVGFGLVSSFDVRCALLAEDRSIFVRLPFTAAEVVICLNLCSMRKHSRDCDFFFFRHWFFERGAFPSRSAPGPSWMSVDILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFFARVLTGRSFPRESCSIEWGGEVEPFPTDFGGSAGTDSLGPCRIHELILFFRPFLIGGEHLFELLERRGVGLRVGRGHVRYWSVEIGAAASIKRSLHVIRVRQTVGAEIHTVDFRLNKETRKTLISQRTRISANYHTSSNQNTRITTINSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSSET >A04p037350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21325987:21326805:-1 gene:A04p037350.1_BraROA transcript:A04p037350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich and transmembrane domain-containing protein A [Source:Projected from Arabidopsis thaliana (AT2G41420) UniProtKB/Swiss-Prot;Acc:Q8S8M0] MSQYNQPPVGVPPPQGYPPEGYPKDAYPPQGYPPQGYPPQGYPQQGYPPPYAPQYPPPPQHQQQQQGSPGFLEGCQLDLDFVDNPTDFLQLSAVAVSWMLASDSELLI >A05g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22149856:22153009:-1 gene:A05g507730.1_BraROA transcript:A05g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEQEERYEDLRERNEAPDMYGSRRNYATRHNPRRNESEFMHRERTPEPRCRQEQRTAWRSDPLIVLVQGLLDSLDHRTGESSERRQSSPPDYLKMVTLIKKFGTVRYPGGTDPFETSTWLRNLEKNIRAIPCPNNFKKDYPLNNNVDWLSTKIELLQQDLDTICKKDQQPATSIDVYTITSLDAKISAMDDRLQTYEDMHDRFANSFSIDRLQGPWIDGKNPVELLPYTAAEVDKITSKICTAIDTMEERLDKCCDDIYFPFDKRIGGLDSHTKWLQKEVKAIQRQLAAQHQISTSIDRKRAKSLDGKSPRSTDEHLIASIDTESTPASEQLIHKTIESVHEELTEILAYAYDNIGWHQVSIDNVQDRLHNISNVLKKMDDKWTRNGEASRSSLHLGPECAEMKWMLVFQQAVVYPPNSQSLPQSSLMTITKR >A03p018570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7576243:7576976:1 gene:A03p018570.1_BraROA transcript:A03p018570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIGGILVCLVIAGLDVGAAVLGIQGEASQNQVKHMKLWLFECREPSQDAFRLGLGAAAILVMAHVLINLVGGCLCICSQDEFQRSSSTKQISMVCLVLTWIVFAVGFGALVIGAMSNSKSRSSCGFTHHHYLSIGGLLCFLHALFCVAYYVSATAAKDEAAK >A03p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10210204:10212501:1 gene:A03p024150.1_BraROA transcript:A03p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSLCSLVQSCHSTSFQKRIMMNHLRVETEDTFSSLLELAANNDVEGVRLSIDRDPSCVDEPGLWYGRQKGSKSIMVNDLRTPLMVAATYGSLDVIKAILPLTNVNRACGNDQTTALHCAASGGAVNAVQVVKLLLAAGADLDALDGDGQRAGDVIVVPPKLEGVKVMLQELLLSSSASHSSPTEFKKEYPVLDPSLPDIKNCIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFKRGDCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCARRVCFFAHTPDELRPLYSSTGSAVHSPRSGAEFAAGLSPMSPLSPSSSAMSWPHPSVPALHLPGSNLQSSRLRASLNARDVLSDYEQEQLLNEFSNSLSWSGRMKTLPHSNLEDLFSPEGGSSSPRFTDSAVFSPTHKSAVFNQFQQQQQQQQSMLSSPKSVDHSLFSGGGRMSPRNVVEPISPMSSRVSMLAQQQQHRFRSLSSRELRSNTSPVVGSPVNNSSWWGSSNGEPDWGMSSEEALGNLRSSSSLFDGDDEPDVSWVQSLVKESTPNEAKENSKKPTTVEVVTDNAGLEAWIEQMQLDQLIPQHN >A06p019480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9544666:9548723:-1 gene:A06p019480.1_BraROA transcript:A06p019480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSPSPVEPRHRLSSQTDESSKRRSLRNRDAKDLEKGGLHGSFHYRNWTAKFSTLKVVLLLIVLGTVYTLYRSPAVHIADHPSNNNSSFVNRWMIESSAIDPRYVSTAEINWDHMSDVVEKLTGKGGYQGVGFINLNDDEVAQWKDLIPDCDHVALHLGHMASNITWESLYPEWIDEEEQFEVPTCPSLPWIQVPGKPRLDLVVVKLPCNKAGKWSRDVVRLHLQLAAARVAASSKGLHDVHVLFITDCFPIPNLFIGKELVVRQGNLWLFKPNLHQLRQKVQLPIGSCALTVPLKAKDTFYSASAKREAYATILHSANFYVCGAITAAQSIRMAGSTRDLVILVDDSITEHHRSGLAAAGWKIYSIQRIRNPKAEAEAYNEWNYSKFRLWQLTEYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVMVVEPSNSTFQLLMDHINEIVSYNGGDQGYLNEVYTWWHRIPKHMNFLKHFWEGDEPEIKQMKTRLFGTDPPILYVLHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWRVHDAMPENLQKFCLLRSKQKAQLEWDRMQAEKGNYTDGHWKIKIKDKRLETCYEDFCYWESMLWHWGDKNWTDNSTNTLSPPPALKTPLSSLAMESEKPHPQIKVGRTRQSEATYLPVDLVSDILLRLPAKSIVKFRCISKVWSSITTLPSFISSFAARSSPRLLILSEKNGKLFGFSIPQNQNSDNKPQLVESYALTYPGNCSVFWNVSVHGMICSSVSLTLEVWNPTTRRTVILPHPKRGWSNMSCFLGFDPIDGTYKVLSMPRYPCSKGDNRPRVLTLTGDHKESWRVIQGITEHVPYCPGQHIINGVIYYVASLKSHSDYILVSFHVRSEKMSMIEVPWNYLSVPKIFPVLYEGKLACVSSKDNDVTKVDDIEIWILEDAEKHEWSYKKIHLPFSRYDPISHACLDMKGVNDAGELIYVPLDLVNPVRLLYYDLNTNVYRRVVVEGLADDQFRRRNGIGDNNILRTLAVYPNHIETLMSL >A03p045620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19168590:19169610:-1 gene:A03p045620.1_BraROA transcript:A03p045620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTEEEIKRLYRIQKTLMQMLKDRGYFIADSEITMSLSQFVRKYGDNMKREDLVTLKAKRNDSSDQLYIFFPDEAKVGVKTMKVYTNRMKSENVYRAILVVQQNLTPFARTCISEISSKFHLEVFQEAEMLVNIKEHVLVPEHQVLTTEEKKTLLERYTVKETQLPRIQVTDPIARYFGLKRGQVVKIIRPSETAGRYVTYRYVV >A04p013200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4263077:4265134:-1 gene:A04p013200.1_BraROA transcript:A04p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYREEALKAKQFAERRFAEKDFTGARSYALRARSLFPDLEGLSQMLTTYDVYIASQSRRNGEIDYYAVLGLKPSAGKREVKAQYKKMAVLLHPDKNKCLGASGAFQIISEAWAFLSNEFKKSTFYYKRKKLIDSMEDQNNNNNTEYVPGTAGFDCCPPVSERLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGAFIAVETGPGPVSASVQYAPPSHTTSNGYGSHGYDFVSGMPTNSTYFLGQYPAHGYEYDWNSYVGTSPGSLESNRMSSVSSGYPSKLANGVVCRGRKNVKEGSIRTSSAATDLSPPNWSADPSVTKAPRPEKKRNVSLGSSGNGFVENTESKTTKLDGNKEPESKHLGQSHGKTRRWSAPATGLDTRKLLISKAKTHIQQRLEMMRLASVAAEAMATEDAAPLDEVKASNKVGDGVFGIGRHVSSGHQSVRKINGPITVPDSDFHDFDSNRSEECFEARQIWAIYDEDDGMPRLYCVVREVLSVQPFKIDIAYLSSKTDIEFGTMKWVQYGFTKSCGHFRIRNTDIVEHVNIFSHLLKDKKTGRGGCVRIFPKTGEIWAVYKNWSPDWNNSTPDEVRHQYEMVEILDEYSEQFGVCIASLVKVEGYKTVYCRREESTKWIPRKEMLRFSHQVPSWFLKEETSSVPGNCWDLDPAAIPEELLGTGAGTD >A02g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17294107:17295523:-1 gene:A02g505950.1_BraROA transcript:A02g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKVVVVGGTGYLGQHLLQAFAEDRYDVAFTHHSCPLPGLLLDAFPHFPSFHVDLKTGLGFHSISHHFGQRLSGLKSTICFQPDVVVNCAALSVPRVCEQDPDSALSINVPSSLVNWLSSSFQTKHKTLLIHLSTDQVYEGVKSFYKEEDETLAVNVYGKSKVAAELLIRDKCQNFAILRSSIIFGPQTLSPLPKNLPVQWMDSVLQKGDTVDFFHDEYRCPIYVTDIVIIILRLIDRWVLSDDKQMRLVLNAGGPDRLSRVQMAEVVAQVRGHDLSLIKHVSASSVDRGVVSPADISMDITKLIQTLDISPSSFKDGVRLTLQSESRSHILS >A01p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8593289:8594621:-1 gene:A01p017600.1_BraROA transcript:A01p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPVSFDVTDYNPEGDDWSNDLIPHRDSMSRPSSPPIHQNPEMKVPEDATEYVTITLDIVGNNVVVEENAEPMVRRRQESRKKSVVNRRTLDEPSRSKFDSSEALKGFTMFISMTDAGWIAVERRFDKMTAKTGGLLDPLNFGECLGINSKEFALGLFYTLASQRDISCEGVSKSELLELWCQINDQCFDSRLRMFFDMADKDGDGRLSEEEVKQFIRLTASANTQSTTQNMVDKYAAMIMKELDPYDFGYIMMESLKELLLDVETLSENKITSTDQETKKPTTKRWYKVIRDIPSSSLIPCLKKTSSTKKT >A05p037280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21172931:21175989:1 gene:A05p037280.1_BraROA transcript:A05p037280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYKLNHTDSLGTGGDANGLRSNQEKGFSNLSSLAQSEKAVQEFLIQQTPMQANDDHLIEFSEALRTVAKALRGSSEGKALAQAEAAEWKRRYELERCKNLELLLKAPSNGVCADESNSNGMMDHSAKSPRLHVQENGKSGMHSLERICAHEVLQDCEPNSPNGCNNKLKRKASFKLSWGCKGQANDQHKKEIVSFESGNITTPDRSSKQISLTWESSPQTVIIFTKPNSTSVRVLSVEMVRWLRDHKGLNVYVEPRVKAELLSESSSFDFVQTWEDDKEISLLHPKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSMGSLGFMTPFHSEQYRDCLESVLRGPLSITLRHRLQCHIIRDKARHDYETEETMLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPDHVTVRVQVPFNSRGSAWVSFDGKGRKQLEAGDALVCSMAPWPVSTACQVESTHDFLRSIHEGLHWNQRKIQSSDGPQ >A08g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15586045:15586620:-1 gene:A08g508500.1_BraROA transcript:A08g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELDSLAGFFQILCLFLSDSDKMLTTRIWIEDRTGDLYEFCCKDADDDGNTVIVAVVDRGVGDVLDIVPIDDSVTKLTARCEVCGHKGFFTVRKTCDTRTEFIRWDNNQIVIKASK >A03p019500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7955661:7957038:-1 gene:A03p019500.1_BraROA transcript:A03p019500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKSEDDYDYLFKVVLTGDSGVGKSNLLSRFTKNDFSHDSRSTIGVEFATRSIQVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRNHTDANIVIMLVGNKSDLRHLRAIPTEEVKAFAERENTFFMETSALEALNVESAFTEVLTQIYRVVSKNALEGGADPTTALPKGQVINVGSRDDVSAVKKAGCCST >A06g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14336650:14342898:-1 gene:A06g504720.1_BraROA transcript:A06g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISAIDRHQGMPRQMKINIDRCTQVPSIDVETLDTRHFGSSRLKTQGQAKLRKCPGECMPSGTRSNKEKDLLFSDDPAHLECTIRRGQRSASLDATTSSSIDTHNQPSTDTRPSSSIDPNRLTTINTTPRTSIDTVSSKMVNIIILTQDENGNLYDQDGHLRNATGQKIDAQGTVVPDANATGAAQPEFTAKHPHPPSPFYDKINRPVKPTIDRQNSNESTVTDDRNNTSLDVDQPVDHFAPPNHCYLHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSSNEEHTEEYDEDYWKERAIEMSLQDESFETHKFTNTFPTSIAEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENISSPTRFIDTYIKRFAPLKPPPHTRADTKAKKMNTLPSKATGKSMKSNHLKNTSSAEITLPSIHAFVSTSIDTTLNPNLYISKLNDNANIDYGFLTPDEFGIFKDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTETNQDLSRQPKDQTSIDGTTETSNDRVTPTSIDRDDPTSIDRRYEFGNRAFDMYGARKFTWERRDDYGVYKDECGHTRGVAGEMIHVTKDDIRKLLERASLFEESHICLPEHATCFTLTRLAPKLYTKDEINEMVFGICGAQERLGEELKSLVEDTHQPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSPSIDAPNAPSIDVSLPTAQIPAEPQCSAQHKDEWEVSYIDPRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKVSPMNERLRTYEDMHDRFISPANSSSIDRLRGPWNNFKNPEESLPYTAAEVDEITSKIYTAIDNMEERLDKHCDDIYFPFDNRISGLDSHA >A06g502780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9063393:9063840:1 gene:A06g502780.1_BraROA transcript:A06g502780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAFEYIVKNGGLRKEEDYPYSMEEGTCETQKDDSEMVTISGHQDVPRNDETSLLKALAHQPLSVAIDASSREFQFYKGVNLDHGVAAVGYGSSKGSDYIIVKNSWGPK >A05p025770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14016220:14017412:1 gene:A05p025770.1_BraROA transcript:A05p025770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGSHWCRPTPRDEHRMMEWDEHRSTSDVQHRSTESVASCEVVMIMTHEEFTATHPHPPKPFIANIDRHNECSTTECTQKPHLNLSETPTDNISEQSEEALEPMQVDQATAVRTLRKRKEKVPKHLKRGANDKKMESFRKRILRITLDKLFEDAYITHSSSRLPCPGHQAQRNSSQLLGRAVMATVGEVWDMQTIKLFLTLIDPTVYYDPVRVVKQQTGYKEIGDNPGFIAVCHCDHETGEESEIGALIKLNWRYR >A08g500800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2105822:2106088:1 gene:A08g500800.1_BraROA transcript:A08g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMSSSLAIKESPPSFILCFVLCNLFPSSPCFIFSVATRSDRRNPPRRSLHRSPLHLRRDSSPLDHLSGAAEIFVAGSSFSDSGSPCL >A10g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11913886:11918271:1 gene:A10g504840.1_BraROA transcript:A10g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNMQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEHDKLVNTLTKQLETLTARTQAIRPRGTTKICGKRLDFATLLDRTGLARERPSGQNPSEKSPVEKGNPENLPPPAKDSEDNEAKHIDLDPSDVSNDTNEDVDRHPRRTRSRSAREGSPFKKPMTEEEEVAYWNEQEELAERQTELTRSKRRQISKGRITMRSVRIKAGPRATHGLAIKGMTKTPSASSTNPEDTPRPIAKSWEQDWPRSYSLESFRNAPGEDKVKSSINANASDVEARHKSEAHATTQPEHPENSTTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPVKVPGQRSAERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGTFTHPTLHQAYFLFKHIVIGSRPPKTSDRTAALAKVTHRGKGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRFEAVDHGFSMARLNGRAQQAQALQNRLACYKHIHRTITKKFSKN >A02p017800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8081663:8084236:-1 gene:A02p017800.1_BraROA transcript:A02p017800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMETSQAGMGNNTVMCLLTDPEGTNLGSAMYIPQTAGPLQLTQLVNRFLNNEEMLPYSFYVSDEELLVPVGTYLENNNVSVETVLTIVYQQQAVFRIRPVNRCSQTIAGHAEAVLCVSFSPDGKQLASGSGDTTVRLWDLYTETPLFTCKGHRNWVLSIAWSPDGKHLVSGSKSGEICCWNPKKGELDGNPLTGHKKWITGISWEPVHLSSPCRRFVTSSKDGDARIWDVTLKKTLICLSGHTLAVTCVKWGGDGIIYTGSQDCTIKMWETTQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGRQYPPNEEMKKALERYNQAKGDSPERLVSGSDDFTMFLWEPSVSKQPKKRLTGHQQLVNHVYFSPDGKWIASASFDRSVRLWNGVTGQFVTAFRGHVGPVYQVSWSADSRLLLSGSKDSTLKIWEIRTRTLKQDLPGHADEVFAVDWSPDGEKVVSGGKDKVLKLWKG >A02p013590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5941140:5942518:1 gene:A02p013590.1_BraROA transcript:A02p013590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENVERKGEFINQNNNDFFLDSMSMLSSLPPCWDSSLPPPHPPPPHALTESGGPTIGSQDGLQGQGTVSTTSAPVARQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSMSRLGGAGAVGPRLNGLTSEVGGRLNALSGPCNGLNGKGNATGSSNESLRSTENRVAKLMEEDMGSAMQYLQGKGLCLMPISLATAMSSSSNNSRGALFNPKSNVLAAEEANVAAVAPPEDSSPMDDVSASKG >A02p057450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34546621:34552010:1 gene:A02p057450.1_BraROA transcript:A02p057450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor GTPase-activating protein AGD1 [Source:Projected from Arabidopsis thaliana (AT5G61980) UniProtKB/Swiss-Prot;Acc:Q9FIT8] MHFAKLDDSPMFRQQMQSMEESAELLRMRCLRFYKGCRKYTEGLGEGYDSDIGFANALESFGGGHNDPLCVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNVDVQEVKEARKRFDKASIIYDQAREKYLSLRKSTRLDVAATIEEDLHSARTTFEQARFHLVSALSNAEAKKRFEFLEAVSGTMDAHLRFFKQGYELLHQMEPFINQVLAYAHQSKECANYEMASLNEKMQEYQRQVDRETRNSCGSPTGDGMRHNSRNSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFILDSRGMLYYYRKPWNWSSNGSRSVVHRNMTSENSPGLLSRWLSSHYHGGVHDEKPVARHTVNLLTSTIKVDADQTDLRFCFRIISPTKVYTLQAENAQDQMDWIEKITGVIASLLSFQTPERAIMHLSTVDGDTFSASDSGSLADPYDFEQAEGRDSIVENPMTGGNRSRFSGCLQQHDMMKTEKPIDVLTRVLGNEKCADCGAPEPDWASLNLGVLICIECSGIHRNLGVHISKVRSLTLDVKVWEPSVLTLFQSLGNVYVNSVWEELLNSESRSSSASRSSGTPKADRPRKFLVRKPGFSDPISVKELFIHAKYSERIFVRKATDSQHFQAVFQEIWENVRANDKKSVYKHIVCSEADVNALRGQASYTVSLPLSKMMQLDAKEETLEAKFKSIDKEFQEKPEGTSRRDSESMVREETSNECSLLHLACLSADIGEEIQMLWIKTVTHRSNMLQRQTLMTVN >A05p020250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9545490:9547484:1 gene:A05p020250.1_BraROA transcript:A05p020250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIFFLAVQILLLAVVSSTGDDGENFARTIDRKLLGLHKKEKLTHFKVYWHDILSGPNPTSIMIQPPVKNTSYFGAISMIDNALTARVPMNSTVLGQAQGFYAGAAQKELGFLMAMNFAFKTGKYNGSTITILGRNTALSEVREMPIVGGSGLFRFARGYVEARTKWINLKNGDATVEYSCTLKHEAKRCRDELQCNIVVIQRSQAKVGFPTKDAVKECALPTEPELASEKNKTGR >A05p020860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9862894:9863154:1 gene:A05p020860.1_BraROA transcript:A05p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLFCCFISCTKIRPSSPQPKVVETGKKIPASPPLSKVATTEKKKPRPSPRLPVKASGRCKRYGKNAGGVGGCGGGCGSGGWGGG >A05p020200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9480334:9480792:-1 gene:A05p020200.1_BraROA transcript:A05p020200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITFSCLFLSLLSLSTALSSLDLLSSGDVHDLLPRYGFPKGLLPDNVKSYTLSDGGDFTVDLNTPCYVDFPGQTVFYDKKIAGKLSFGSVKDVEGIKAKELFIWASITAMKSDPSSGDIVFSVGFVSKSLPASMFNNVPSCSKKLSLES >A09p022750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12525559:12528043:1 gene:A09p022750.1_BraROA transcript:A09p022750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 15 [Source:Projected from Arabidopsis thaliana (AT5G42340) UniProtKB/Swiss-Prot;Acc:Q681N2] MVVMDLDEEATREGENIEEVVNAEESIDDEAIDIHEEEVGDVKDDLVGEIITTIEFLDQISDYRRTQQKECFNLVRRLKILIPFMHEIRGFEFPSPESCMHFLNRLRKVILAARKLLETCNNGSKIFLALDSELIMTRFHSIYEKLNRVLVKTPFDELRISDEVKDEVGSLCKQLKKARRRTDTQDIELAVDMMVMFSKTDPRNSDSAIIERLAKKLELQTIEDLKTETIAIKALVQEKGGLSIETKQHIIELLNKFKKLQGVEATDVLYEPVINKSTSLILPHEFLCPITLEIMQDPVIIATGQEGIQKWFDAGHKTCPKTGQTLDHLSLAPNYALKNIILQWCDKNNFKIPEKETSLHTENDSEEQKDEVSLLVEALSSSQLEEQRASVKQMRLLAKENPENRVLIANAGAIPLLVQLLSYPDSGIQENAVTTLLNLSIDETNKKLISDEGAIPDIIEILQNGTREARENSAAALFSLSMLDENKVTIGLSDGIPPLVELLQHGTSRGKKDALTALFNLSLNSANKGRAIDAGIVQPLLQLLKDRNLGMIDEALSILLLLVSHPEGRQAIGQLSFIETLVDFIRQGTPKNKECAASVLLELGSNNSSFILAALQFGVYEYLVEITSSGTNRAQRKANALIQLISKSEQI >A07p052300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27620162:27621888:1 gene:A07p052300.1_BraROA transcript:A07p052300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEMLSRRTMSTDMPVMAQIRKLMAELTNPMSLAQGVVHWQPPQKALDKVKDVVLDPTVSSYGPDEGIPELRQALQKKLREENKLTKSAVMVTAGANQVAFVNLVLILSDPGDSVVMFQPYYFNAYMAFQMTGVTNIIVGPAHPDTLYPDADWLERTLSESKPTPKVVTVVNPGNPSGTYVPEPLLKRISKICKDAGCWLIVDNTYEYFMYDGLKHCCVEGDHIVNVFSFSKTYGMMGWRLGYIAYSENLKGFARELVKIQDNIPICASIISQRLAVYALEEGTGWITERVKGLVKNREIVKEALEPLGMENVKGGEGAIYLWAKLPEEHGDDFKAVRWLAHRHGVVVIPGSASGSPGHVRVSFGGLKEEEMRAAAERLRKGLEELVHLGMVE >A01p050700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:28531210:28532043:-1 gene:A01p050700.1_BraROA transcript:A01p050700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNVLSSSPSHSNCGHWYVRHGICLTCKQKPSLVEESRRFDYLFPGLRLSQEAVSFTKRLTTLISLHTHKKLHLVLDLDNTLVHSVRVSNLSEAEKYLIEGEKPGLKLYQSRIIKVRPFVKDFLREANTLFNMYVYTKGDLLYGKEIAKMIDPDKTYFGDRVITRRESPHTKTLDHVLADERGIVIVDDTVEVWPHHMRNLLKITRYFYFKHNGIDKVSSYAERKRDESKSRGALANLLKYLKDIHNGFFSCEVQEELDSKDVRLLINGPFKPHGC >A03p037180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15564987:15567847:-1 gene:A03p037180.1_BraROA transcript:A03p037180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRTEPEYGSVQLDNFKTLSPAVIFINPNLLTSSSSSLCFAPSGRQKKMPKRSKKSKSKRVTLKQKHKVIRKVKEHHKKKAKDAKKLNHNRRPRAEKDPGIPNDWPFKEQELKALEARRARALEEIEQKKAARKERAKKRKLGLVEDEDTKTEEGYGEGKKGDDSIRVVNVRDNSERAFYKELVKVIELSDVILEVLDARDPLGTRCTDMERMVMQAGPNKHLVLLLNKIDLVPREAAEKWLKYLREEYPAVAFKCSTQEQRSNLGWKSSKASKPSNILQTSDCLGADTLIKLLKNYSRSHELKKSITVGIIGLPNVGKSSLINSLKRAHVVNVGATPGLTRSLQEVHLDKNVKLLDCPGVVMLKSSANDASIALRNCKRIEKLEDPVSPVKEILKLCTPQMLVTLYKIPSFEAVDDFLYKVATVRGKLKKGGLVDTEAAARIVLHDWNEGKIPFYTMPPKRDQGEHAESKIVNELAKEFNIDEVYSGESSFIGSLKTVNDFNPVEIPSNAPLNFDETMIEDESKTRTKEEEADEPMEAEEEEETGKTKSETSKQNKKLYAAESMLNTKKQKAEKNMRKKAKKAAGGGEDSMDGDYDFKVDYAKNKATDMDEGEGFQIEAKVPMAELVDLTEE >A03p038780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16171669:16175492:-1 gene:A03p038780.1_BraROA transcript:A03p038780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESWKLLRSVCILSFLLGSFCVYQSLHAVVAAQEQQDTQPSVTLQVNASNVAGRLIPDTLFGIFFEEINHAGAGGLWAELVSNRGFEAGGQNTPSNIWPWSIVGDQSSVYVATDRSSPFERNKIALRMDVICDGNGCPSGGVGVYNPGYWGMNIEEGKKYKVAFYVRSSGDVDLSVSLTSSNGSLTLASEKITASASDVSKWIKKEVVLEAKGSDHGARLQLTTTKKGSLWIDQVSAMPVDTYKGHGFRNDLYQMMVDIKPRFIRFPGGCFVEGEWLSNAFRWKETVGPWEERPGHFGDVWKYWTDDGLGHFEFFQLAEDIGAAPIWVFNNGISHNDEVETASIMPFVQEALDGIEFARGDANSTWGSVRAAMGRQEPFELNYVAIGNEDCGKTYYRGNYIVFYDAIKKAYPDIKIISNCDGSSSPLDHPADYYDFHIYTSASSLFSMYHQFDGTSRKGPKAFVSEYAVTGKDAGTGSLLAALAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFNSSHLYGTPSYWVQRFFAESSGSTLLSSELKGNSSSIVASAISWKNSSQDYIRIKAVNFGDSSVNLKVLVTGLDPNVMKVSGSTRTVLTSTNVMDENSFTQPEKVVPQETLLEMAEEDLTVVLPPHSFSSFDLLKEPAKIRKPVSDSSYQKTSTM >A07p002320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3920644:3922814:1 gene:A07p002320.1_BraROA transcript:A07p002320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVLSKVFWVVAATVFAAATVGYAQQVPCYFIFGDSVFDSGNNNNLQTKAKVNFLPYGIDFAKGPTGRFTNGRTITDFIGELSGFKDFIPPFARASLENAHIGMNYASGAGGLREETSEHLGGRISLRNQILNHKKVIKKVNVPLHRLEQCLYAISIGSNDYVNNYFMSKPYNTSRRFKPNQYAHSLILLYHTHLKSLHHLGERKVALFSISKIGCTPKMIRSHGGGKGCAREVNTAVAIFNKNLEDLVQDFNKNVHGAKFTYVDIFSGGDPLAFKVLGFKIRHKACCTLSPGEELCAPNKPVCGNRSEYVFWDDIHSSEATNMMMARSSFDGPLGSPYSIASLLKQ >A01p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9657009:9660593:-1 gene:A01p019700.1_BraROA transcript:A01p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVKALCHRLVKSRKATTCALPSDPSSTSASSDHRSRCLSLIVNLRRRGLVDSAREVTRRVIDGCSSTSEAALVADFAVTNGIELDSRCCGALIRKLTEMGQPESAETFYNQRVLKNGVVPDSSVLDSMVLCLVKLRRFDEARSCLDRVLANGYVPSDNACSLVVDELCNQGHYLEGYLYFEQVKARGGGLRLWCCKRLFKGLCGYGHLDEAVGMLDTLCEMTRMPLPVNLYKSLFYGFCTRGCAAEAEALFDHMEADGYFVDKVMYTCLMREYCKGNDMTMAMRLYSRMVEKGCEVDTYIFNTLIHGFVKLGVLDKGRVMFSQMMKKGVRLNVFTYHIMIGSYCKEGNVDYALRLFENIAVGVEDLSRNVHCYTNLISAFHKKGGLDQALGLLMRMLDNGVVPDHITYFVLLKMLPKYHELKYAMVILQAIVDNGCGVISPAVIDDGLENIEVKVESLLEEVAGKNAKLAAKGLAVVTTALCSQRNFTAALSRIKKMVNLGCTPLPFSYNSVIKCLFQEGLIEDLGSLVNLIQEWGFVPDPDTYLIVVNELCKNNDRDAAFAVVDVMEEIGLRPTVAIYSSIIGSLGRQGRVVEAEETFARMLESGIQPDEIAYMIMINAYARNARINEANELVEEVVKLFVRPSSFTYTVLISGFVKTGMIEKGCEYLDKMVEDGLSPNVVLYTSLIGHFLKKGDFKFSFTLFGLMGENEVKHDHVAYITLLSGLWRAMARKRRVFVEPGKEELLRRLLQTNPVASIPSSLCNYRSKSFAMEVIGKVKTSLVPNLYLHNAIITGYCAAGRLDEAYNHLHSMQKEGVVPNQVTFTILMKAHIKAGDVESAIALFEDSDCEPDQVMYSTLLKGLCKSERPRDALALMLEMQKDGVYPNRDSYETLLQCLCHSGLTMEAVNVVKDMAALEFCLKEIDFLSLDRIPLRGSSLLRQPKAIVVSREASDERLQLVSEDCDSSKVSFLIRRFLKRGSKDSLGEVYKSPDAVQTLDKMISTLEMELAAAGAAQESIINRSPVSDDIKAP >A01p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7440322:7441099:1 gene:A01p015280.1_BraROA transcript:A01p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTIHVTSSWVWRNYILMLWFKSRAHKIPLHSFELEENMCALHGAGAEMKMFRLLPPQLLKSMAQKLCLLSVNLKKTLVFSLAFCRTINVSCYKLNSFHKSIIGSHCCCRLGE >A08p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14420319:14423673:1 gene:A08p021580.1_BraROA transcript:A08p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSGLLGLKPQGKSAPMAPSSSSPARNKSDSSPLFDDLSGGDDLLFSDSRSQTKPSDFDYDAMFKDPKPVYDKPVYDEEDVFESLKTPPGGSQSARFDDLFSSHTVHRKNNSSPFDDLIGNLSKPESEKRDERGSSAFDDLIPGFGRASSPPAKRPTSDASHSQKPPYRTSSNLAEDPFVVLESASTPREPPPSGGYTDPLDDIGLFNSRKTDHSFADVDPLDSLGKSGPDVSNRDKSHLRPGNGSGSQSPVESSHTGSYHGKKVSFDEVLEPQNTSVPHATAPPYENKSLNSDGSFDLSDDVWLTVSEIPLFTLPTSAPPPSRPPPPRPTRPMKKKANEPHSHVRTSARASVNSPTAASQMDDLDDFSMGKNHTAANGEDSDGYSTAVASAAAMKDAMDKAEAKFRQAKERREKDNLKASRSMEGDPVDSYDSRERELREKQVRLDRERAEREAEMEKAQEREREERERKRVEKERERLLARQAVERATREARERAASEAHAKAQRAAVGKANTDARERAERAAVQRAHAEARERAAAGAREKAERAAAEARERELSVAREKEAKVKAERAAVERAAAEARARATAEARARVAAQAKAKQQENNNDLDSFFDSVSRPSSAPRQRTNPLDPFQDTWNKGGSFESSRASSRVPSGAAENLRKTSSATNIVDDLSSIFGASATQSGGFQDVEGETEERRRARLERHQRTQERAAQALAEKNDRDLRAQREQAEKNRIGETLDVEIKRWGAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAASVKKFYRKATLCIHPDKVQQKGANLQQKYIAEKVFDMLKEAWNKFNSEELF >A09p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35132178:35139074:-1 gene:A09p043260.1_BraROA transcript:A09p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSRLLFALVLNLIIVLKVARAGAESKVHIVYLSEKQHDDPKHVTESHHQMLSSLLGSEVEAHDSMVHSYRHGFSGFAAKLTESQAKKIADSPDVVHVIPDSFYELATTRTWDYLGLSVGNPKNLLNDTNMGDQVIIGFIDSGVWPESESFNDNGVGPVPSHWKGECQSGENFMSTNCNRKLIGAKYFINGFLAENEGFNSTGSRDCISARDFIGHGTHVASIAGGSFVPNVSYKGLAGGNLRGGAPRARIAIYKACWYVDQLGAVACSSSDILKAMDEAMHDGVDVLSLSLGAQVPLFPETDLRDRIATGAFHAVAKGIIVVCAGGNSGPAAQTVLNTAPWVITVAATTLDRSFPTPITLGNNNVILGQALYTGPEVGFTSLVYPENSGHSNVTFSGVCERLNLNPNGTMRGKVVLCFTTATLFTAVSRAASYVKAAGGVGVIIARNPGYNLTPCRDDFPCVAIDYELGTDILLYIRSTGSPVVKIQPSRTMVGQPVGTKVATFSSRGPNSISPAILKPDIGAPGVSILAATSPDSNSSAGGFDILAGTSMAAPVISGVVALLKAMHPDWSPAAIKSAIVHIVYLGEKQHDDPKHVTEYHHQMLSSLLGSKEDAHDSMVYSYRHGFSGFAARLTKSQAKKLADSPEVVHVMPDGYYELATTRTWDYLGLSAAHPKNLLNDTNMGEHVIIGVIDTGVWPESESFSDNGVGPIPKRWKGGCEPGEDFKSTNCNRKLIGAKYYINGFLAENDGFNSTKSPDYISARDFNGHGTHVASIAGGSYIPDVSYKGLAGGTLRGGAPRARIAMYKACWYLEELEGVTCSFSDIMKAMDDAIHDGVDVLSLSLGSRVPLFSETDMRDGIATGAFHAVANGITVVCAGGNAGPSAQTVVNTAPWILTVAATTLDRSFATPITLGNNKVILGQAMYTGPELGFTSLVYPEDSGNSNDTFTGECESLNLNSNRTMAGKIVLCFTTTRGYTTVSRAASFVKRAGGLGLIIARNPGHTLNPCKDDFPCVAVDYELRTDILFYIRSNGSPVVKIQPSRTMVGQPVGSKVATFSSRGPNSISPAILKPDIAAPGVSILAATSPNATFNAGGFVMLSGTSMATPAISGVVALLKSLHPDWSPAAFRSAIVTTAWRTDPFGEQLPAEGSSRKVADPFDYGGGLVNPEKAAEPGLIYDMGPKDYILYLCSVGYNDSSISQLVGKGTVCTDPKPSVLDMNLPSITIPNLKDEVILTRTVTNVGPVHSVYKVVVEPPLGVRVVVTPKKLVFNSKTKSVSFTVRVSTTHKINTGYYFGSLVWSDSVRKVTIPVSVRTQILQNYYDEN >A03g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27769830:27772689:-1 gene:A03g507910.1_BraROA transcript:A03g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVFWTSRKSSGLPGSRLDFQEVVWTSSRKSFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKFWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSIVHETTEIRLKCKLSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILGFFSSLCRESERYVVSIKSFKLVVHGGCGIDDNGNLVIT >A01g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11129629:11130857:-1 gene:A01g503610.1_BraROA transcript:A01g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHELERPREVARHIHPVGSPERRTEVARISHPSRSDLPKRHPEVARVSMARRHEAKPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDSNHNASSELATQLLILRHFSPERLKVDSLIDHLPSLVRYLITQGLIPMPMSSLFP >A01p042160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22721747:22723259:-1 gene:A01p042160.1_BraROA transcript:A01p042160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPHSQSSMGRYSRESSSTRFSGSLKPGSRKVNDGSKRKGHGGEKQWKECAMIEEEGLLDDGERDRGMPRGYYVLAFIVGFFILFGLFSLILYGAAKPQKPKITVKSITFETLKIQAGQDAGGVGTDMITMNATLTPREDYFQRAKPYKDHPTTARQINALTTLLTLLTLMELTSGFIGSRIPLMDQLYVGINGFPRQLYCFIPLPLALNLKTRDWIRGDHWRSLSC >A03p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22359843:22362681:1 gene:A03p047570.1_BraROA transcript:A03p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHSKRSLSSLLLRSTSHLRGDAISSSSCSSSFSNLLNPLKILQDGVGRSGIRTLHSLSMLLRNGHLCCSKGTFLDQERVLFSIFRCFSDASGSGGDTPPPRLDRNFLAKLWVSDMKKLKEREKRFGKASTKHRVYEQSSLAETHYEPTLQQPPVSQSMSGPLKPKTLDEAKIATLLARSNLLITRDIEWANLVLGFEQENRYAVVDVCYPEAPVGSIREQSHVIARQLLRTRRPFVASITDALGNELFRVRRPFWWITSSIYAEIDGEEIGVVHRRWHLWRRIYDLYLGNQQFAVVENPGFWNWTFTVKDADGEVLAQIDRDWRGFGFEILTDAGQYVIRFGKSDAAFKSGPAKMIEELLVKRPLTLSERAVVVALAISLDNDYFSRHGGWGIPFMAVGE >A03p002030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:980290:980736:1 gene:A03p002030.1_BraROA transcript:A03p002030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWRSPYAFIAVSVIVMFLIIGSEARSGLNDECPGVCHSGIVPDCDTLCISLGFTGGFCKGLTCCCNPKSPKILNIPPP >A03p028610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12024720:12029549:-1 gene:A03p028610.1_BraROA transcript:A03p028610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G26560) UniProtKB/Swiss-Prot;Acc:O48723] MQMESPKSPLQPPTYGNLVTILSIDGGGIRGIIPATILAFLESELQKLDGNEARLADYFDVVAGTSTGGLVTAMLTAPNKEGRPLFAASEIKEFYLEHCPKIFPQNHFPFSATKNLVKSLTGPKYDGEYLHQLIHAKLGDTRLHQTLTNVVIPTFDIKHLQPTIFSSYEVKKNPLKNATLADITISTSAAPTYLPAHFFKTHDSAGNVKEYNLIDGGVAANNPALVAIGEVTKEITRGSSDFFPIRPNDYGRFLVLSLGTGTRKSEEKFNANEVAGWGMLSWLTHDNSTPLIDAFMQASSDMVDFHLSAVFRALHSEANYIRIQDDTLNGDAASVDISTVENLDILAKTGDELLKKPVSRVNLESGCNENAYEVTNEKALIKLAGILSKEKMIRESRSPHKNAPNSK >A09p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18980604:18982103:1 gene:A09p031420.1_BraROA transcript:A09p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFQKPPETLSYPQQMVITGTGLIWSRYSTVITPQNWNLFSVSLAMAATGIYQLTRKVKHDYASETDHVVARE >A03p052350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20100113:20107226:-1 gene:A03p052350.1_BraROA transcript:A03p052350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPQIAGDENGNVGDEEVRSQWAAIERLPTFERITTALFWNRDEQGKRNERRVMDVSKLEDLDRHLFIDDLIRHVEDDNLRLLQKIKNRIDEVGLELPTIEVRFSDLFVEAECEVVYGKPIPTLWNAIASRISRVMCLKKEKSISILNGVSGIIRPKRMTLLLGPPSCGKTSLLLALAGRLDHSLKTTGNVSYNGHLLSEFVPEKTSNYVSQNDLHIPEITVRETLDFSGCFQGTGSRLETMKEISRREKLKGIIPDPDIDAYMKATSIEGSKTNLQTDYILKILGLSFCADTRVGDTSRPGISGGQKRRLTTGEMIVGPIKTLFMDEISNGLDSSTTFQIVSCLQHYALLSEGTIVVSLLQPAPETFELFEDVILMGEGKIIYIGPRDCICRFFEDCGFKCPTRKSVAEFLQEVISRKDQEQYWCHIDKPYSYVSIDSFIERFKKSELGLQQQEELSKTHDKSQAQKDSLCSRKYSLSNWEMLKACSRRELLLMKRNSFVYVFKSGLLICIGSITMTVYLRTGSKRDPVHANYLMGSLFFSIFKMLADGIPELTLTISRLSVFYKQKELYLYPAWAYALPSAILKIPISVLEAFMWTSLTYYVIGYSPDIVRFFRQFLIFFALHLSCISMFRAIAATFRDFVLATTMGSVSIVLLSLFGGFVLRKPSMPAWLQWGFWLSPLSYAEIGLTSNEFFSTRWSQTTSGNRTLGEEVLDARGLNFGDQSYWGAFGALIAFTFFFNIVFVLALTFLTTSNRSRAIVSSDDDNAQSSGNHTKSSSKIATQSKNALPFKPLTFTFQDVRYFVQTPQGKKVQLLSNVTGAFKPGVLTALMGVSGAGKTTLMDVLSGRKSRGDIEGDIQVGGYRKVQETFARVSGYCEQFDIHSPNLTIRESLEYSAWLRLPSSINSETKRAIVREVLETIELEEIKDSLVGLPGVSGLTTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNITETGRTVVCTIHQPGTDIFEAFDELVLMKNGGKIIYHGPLGQHSSNVIEYFMRIPGVPKMKENTNPATWLLDITSRSSEDKIGVDLAQIYKESSLFKENNIVIEQMRGTSSGTEELTSLTRYAQTGWGQFKACLWKQHLSYWRNPSYNLTRILFMCLTSLICGVLFWQKANKINTQQDLFNVLGSMYTVVLFTGINNCSTVLFCIATERNVFYRERFAQMYNSWAYSLAQVLVEIPYSLVQSILCMAILYPMVGYHFSVYKVFWSFYAVFCSLLIFNYFGMLLVVITPNIHVAFTLRSGFYSMVNLFAGYVMPKPSIPKWWIWMYYLSPTSWVLNGLLTSQYGDMEKEIVAFGETKKVSDFVEDYFGFRYDSLALVAIILIAFPILLASLFAFFIDKLNFQKK >A09p019690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10458203:10458799:-1 gene:A09p019690.1_BraROA transcript:A09p019690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTKRKIKIEEIEKKTVKSVAFTKRRNGLFRKAAELCLLSSSAQIAILATPPSSNSHAAFYSFGHSSVDHVVSSLLNDRCPLPTEQENNSRLGFWWEDEGFNRSENIDELKEATDAVSRMLNNLRLRLDALKSNQSGGALVIHQEEVLHICDTETNNNEEMTNQITRLEGASGILVENVEDSLPNDGIFGDAKIDTL >A04p006040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3136945:3138488:-1 gene:A04p006040.1_BraROA transcript:A04p006040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEDAVSLDQNSSGGGEDSSLRRNRHSSPLPPHLSSKVLTLPTVLTLGRVAAVPILVATFYVDCWWGRTATTSIFIAAAITDWLDGYIARKMRLGSAFGAFLDPVADKVGEEDPLPLAYLHFMPMKRFLSFLYLIYLFDVQLMVAATLILLCTKPMDAIVLGPVPWLVTVPSIAIIGREITMSAVREWAASQNGKLSQAVAVNSLGKWKTATQMIALTILLASRDSSFERLLPSGIGLLYVSAGLSVWSLVVYMRQIMRVLLKKK >A06p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:205330:212571:1 gene:A06p029680.1_BraROA transcript:A06p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHGLQGATPSSCLRDLVPYPRTNSRSVLKKIFKSVPNSSVYNKVNQFSSGVVQTMTSSVQIKSNPFIIDEHKARLRKDMWRAASALPTASCCPFPPKKKAASSFVCLSAHTGRQWLSISTHISTLVLGLSTLTLPVICSGDFGPRGLSVQYTQDICGCPPAHAGRPWVSVSTHMTSEAVRVCPSTHTGRPWLSISTQISTLVLGLSTLALHVDCSGDFAHVGCLFSIHRTFDGVRQHTQDVRCCPCVSVCVRQHTQDVRGCLPALTGRLWLSMAVRQHTQDVCGCPCVSVCVRQHTQDVCGCPSVHITARWSLDSARWPFLWTVWVIFGPRGLSVPYTGRLWVSASTHMTSVAVCQYTQDVRGCLCVSVCVCVCPSAHTTSVGVRQHTQDVRVCPSAHTGRLWLSVCVRVFTSAHTGRPWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYAQDVRGCLTAHTGRPWLCVCVRVCPSVSVSTHRTSVAVHQYTYQQVVSWTQHAGPSRELFGSFMAHGGCLFSTHRTSVGVRQHTQDVCGCLWLSVSTHRMSVAVLVCPCVSVSTHRTSVGVRQHKQDVPLCPSAHTRRPCLSVCVCLCRSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQFTQDVRGCPQAHIGRPWLSISTHRTTSVGVRQHTQDVRVCPSAHIGHPWLSVCVRVSPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCLPAHTGRDTGRPWLSISTHIRTLVLGLSTLALPRDCLAHTGRPWLSISTYISTLVHGLSTLALPVDCWGDLGPLGCLLVHTDPWGLPAHTGRLWLSIRTHKDVLAVHQYTYQHAGPWTQHAVHRTSVGVRQHTQGVRAVCGQPSAHTGRPWLSECVRVCLCVSVSTHRTSVCDVCGCPSAHIGRPCVTSVAGRVCPSAQKGRLWQPISTHISTLVLGLSTLALPMDCYGDFGPRGLFVQYTQDVRGCPPAHTGHPWLSVADRQQTQDVCGCPCVSVCVCVCPSSHKGRLWLPISTHISTLVLGLSTLTLPVDSSGDFNPRGLSVQYTQDVRGCPSAHTERPCVSVSTHRTSVAVRVCPCVSISTHRTSVAVHQYTNQHVGPWTQHAGPSCGLFGTHRTSVAVWVIFGPRGLSVQYTLDVCGCPPAHTGRLWLFVAVRQHTQDVSGCPCMSVYVRVCPSAHTGLPWLSISTQISTLVLGRRTLTLPVDCSGDFGPHGLSVQYKQDVHCVRQYTQDVRGCPCVSVSTHMTSVGVRVCPSAHMGRPWLFLCVRVCPSAHTGRLWMSISTHISTLVLGLSTLTLPVDCSGDFGPLGLSVQYTQDVRWCPPAHTRRPWLSVAVRQHTGRPWLSVCVRVCPCVSAAHTRRPPSVNQAVVYGLLIRKIVGWASSRVLACYGLREIAFEGFDENARTGVVLTFGKVQSLHSDRTLARARSLRNDRAGRALGRYVATERDDRSVAT >A08p040940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23215465:23216157:1 gene:A08p040940.1_BraROA transcript:A08p040940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCGVQQNAFEVMRRSRDAVVCPKPRRAGVLNHHHLARSLRWQVSHQMELCESNSRSDILDFILAKGGGCEQDPTPELFFTGSPPSRVSNPLTKDSLFQDELLAVAPPPPSTPRATKPPPPSSPRNGGGCVRATTNFGNNPAVRVVGFNCLDMDRRNSVPTLA >A10p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17885519:17898874:-1 gene:A10p029080.1_BraROA transcript:A10p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORC3 [Source:Projected from Arabidopsis thaliana (AT5G16690) UniProtKB/TrEMBL;Acc:A0A178UNG9] MAPSTTVVDPPPSSTTDSFNSNAAAAENDIRPFFVLHKASSGSKSTATVKAKRRIESPSPKLAKKSEIESVEEEDGQFFSTLRLRVFETVWSKIENTIQDVLRDSNSKVFSGVHDWICESFESVRSSGALSLSEAVRSYPVLSQPSSKQLFTALVLTRNLEMVDDLVTFEDLGLHLKSQGCHVAKLSSMDFSAKNGVGGCLRGLLRQFVMPTIDVADVTILASWYRENGNQKNPVVIIVDDTERCSAPVLSELILILSEWAVKVPVFLIMGVSTAHDAPRKILSANALQRLCATRFTLSSPAERMDAVLEAVFLKPCSGFTVSHKVALCMRSYFLCQDGTLTSFVKTLKIACLQHFSLEPLSIMLEHFCQDNQLPGEGTGLLTEATMKHAFDLPSVTRNKITRSTCEMLPQFLLDLQRMPNPWNIVVLCIYEAGKFDKLRLLDIFCETLDPEARYLKYFSPSAILNSQSHVSGRNSVIRRVLRKLRDLSPAQLTSLLKSWESLTAEFSEINEKVMELHPFMRNVEAAGQRPGLPTSPKKHASRSHSKLEKELKAMTDKISAVIEFMLREYMKPVETVPFNEILCFKNVDKLQSALLGDPRGRIQSDLLESHSILNCSCCSQRGTTLLPSMHDTSILYTLAQEHADVINLHDWYQSFKTILIPRNSKAKSKSKTTSKSKKRKERCEEPEPPAEASIQARFCRAVMELQIAGLIRMPSKRRPDFVQRLTTSYPLSTKSRWIVDEKGQRVKLACVNWPAHLQPAVAEGLSKQPLDSISKKIVSMGFNCVRLTWPLDLMTNDTLARNVTVKQSFERLNLFDDVLGIQTHNPKILNLPIFNAFQEVVSNLGQNGVMVILDNHLTTPGWCCSDNDLDAFFEYPNFDPAVWAKGLSKMASLFRNVTNVVGMSLRNEPRGTRDYPNLWFKYMPKGGEAVHAANPEVLVILSGIDYDTNLSFLRDRFFNVSFTDKLVFEQHWYSFSDGRDSWEKHNSNDFCAKIIEKVTHNGGFLIGRGFPLFLTEFGANLRSGDVSGNRYMNCLVAWAAENDLDWAVWALTGDYYLRTGQKHMVETFGVLAPNWKDVANSTYLQKLSGIQLPVRGPGLQSKKLLFHPTSGLCVTSNLSNNSPTLRLEQCRKAEPSTFNPSEGFLWSNKLCVEAPDAVGQKVKLGVGTKCSKLGQTSATHMHLSFKTTSNGSLLCLDVDERDNSIVANPCKCLTMDASCDPASQWFKPTITQKCPYKHYSQLASGSCWCLATQPQTRPTWVFDNQTAGFICKFSGVTCWHDDENRVLSIKVNGFGLKGEFPVGIKQCSDLVGLELSRNNFSGVLPSNIGSLVPSLTTLDVSDNQFSGEIPVSLSNITFLNTLTLQNNSFTGPLPPELVSLPRLTKFSVAFNQLTGPVPNFNLKFGRENFASNEGLCGQPMDPCVDPEEDIIRLGKIGAAVGAALFAPLGASISLCLFFFLFISWIPKLITSYPLSTKSRWIVDEKGQRVKLACVNWPAHLQPAVAEGLNKQPLDSISKKIVSMGFNCVRLTWPLDLMTNDTLARNVTVKQSFERLNLLDDVLGIQTHNPKILNLPIFNAFQEVVSNLGQNGVMVILDNHLTTPGWCCSDNDLDAFFEYPNFDPVIWTKGLSKMASLFRNVTNVVGMSLRNEPRGTRDYPNLWFKYMPKGGEAVHAANPEVLVILSGIDYDTNLSFLRDRFFNVSFTDKLVFEQHWYSFSDGRDSWVKHNSNDFCAKIIEKVTHNGGFLIGRGFPLFLTEFGANLRSGDVSGNRYMNCLVAWAAENDLDWAVWALTGDYYLRTGQKHMVETFGVLAPNWKDVANSTYLQKLSGIQLPVRGPGLQSKKLLFHPTTGLCVTSNLSNNSPTLRLEQCRKAEPSTFNPSEGILWSNKMCVEAPDAVGQKVKLGAGTKCSKLGQTSATHMHLSFKTNNGSLICLDVDELDNSIVANPCKCLTMDASCDPASQWFKVL >A09p006750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3544538:3545302:-1 gene:A09p006750.1_BraROA transcript:A09p006750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSREKQLPSDPSCMASSTAFPCQDSLNQRQEQSFKDEEADVNDSTQELNLLDCIDTSIDVNQSYNGSITPTVHKLFSCNYCQRSFYSSQALGGHQNAHKRERTLAKRAQRMGASASAFGHPYGFSPVSFHGQYNNRSLEIQAHSMSHNISSYNGFGDHYGHINWSRLPLDQQPAIGKLSSVDHFHNHHQHHHQMMMMMDPSVTTRSNNMARSSSNIGRNLVGSLTLEQWQGDEGLFSTIQEEKQNLDLSLKL >A09p025970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14959102:14961871:-1 gene:A09p025970.1_BraROA transcript:A09p025970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTCLGADEAWDLFREKVGEVTLESHPDIPALARIVVERCRGSPLAVSVIGVAMAGKSLVQEWRYAIDALTLSAAKFSGMEDEILPVLKFSYDSLKDERVKLCFQYCALFRKGEHIWKDHLVEYWVDEGIIDGKEDRYRAEEECYDIIRYLVRACLLADDKHGNTVSMPSLVRELALWVASNFGKEKENFIVKPNEKLGEVPNVKDWGQVSRMSLSENKIKKISCSPVCPKLRTLLLGYNNLDKISSGFFMCMPNLVVLDLAANIGLRELPDGISRLISLQYLDLSHTDIKQLPLASLKELKRLQHLNLEFTGFLKEIAGISSLSNLQVLKLYASFDLDINLVEELQLLKHLKVLTVSGGDAYVWEQLMSNPRLASCTRNMYFYECEAGETGISIAATSSRLEELTIYESNIREIKIDQSSPKTMCNFQYLVKVEISECQGLQDLTWLLFAPNLGWLDVRESPQIEEIISKDKAAKFMNGEATMMPFLKLKHLDLAYLDQLSSIYWSPLSFPCLMKISIFGCPNLWKLPLGSSSANGCNLVIHGEEEWIEELQWDEEGTKEQFTLKGRKSEPVAAYPQNQSELEMLRKRVVDLEEENRSIKQRLERIERFLWQQQQLQQARAHQQPTTGMLPPQTVIHQQRQSQRTLPDMPSKEQVVEGVSEQFEKSKKLKAMCFKEWIAGGPDYTFLKYEKPSQTTFYINFMK >A05p011530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4963353:4963967:-1 gene:A05p011530.1_BraROA transcript:A05p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKLMDHSSCRRRYQDPPSKATARTLNNNSNNPKKKRVVKEDLDDEKIVSKHPTYRGVRMRQWGKWVSEIREPKKKSRIWLGTFSTAEMAARAHDVAALAIKGGSAHLNFPELACQLPRPASADPKDIQAAAAAAAAAVAADMEVETSTPSPVSSEPSSPATTALADDAFSDLPDLLLDVNHNNDGFWDSFPYEEPFFSGTY >A08p044780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24689871:24691143:-1 gene:A08p044780.1_BraROA transcript:A08p044780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNALRNTNLTLVIFICSILIASRLCSGDSFVYDPSKTLRERFKKWLQTHSILYGGKEEWMLRFGIYQSNLQLIDYINSLHLPFKLTDNRFADMTNAEFKAHFLGLNTSTLRLNTDQRPVCDAVGNVPASVDWRKEGGCWAFAVVAAIEGINKIKTGNLVSLSEQQLIDCDVSAFNKGCSGGLLTTAYEYLIPNGGLVTEADYPYTAIQGTCDQEKSQNKVVTIQGYTKVEQNEESLQAATAQQPVSVGIDAGGFIFQLYSSGVFTGFCGNHLNHGVTVVGYGEEDGQKYWIVKNSWGTGWGEQGYVRMERGYSEETGKCGIAMLASYPTK >A05g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10123311:10125975:1 gene:A05g503440.1_BraROA transcript:A05g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTISVKINCFFGGVFKKDDEDGKLNYVNGLLEQFEVDGDAVYDEVMKKMVKVVSKGKIWYKLPYEDISEKKDLSENGEVNKRKMNANGRWYKELDVFIEEAEPDDVTATEAEQHVVDGDEIDGDTQVEQHVILGDENDAEAEQEAVDGEELDAPAEQEVEEEESEDEYQASNESENEDDFDRNFQEGLEMFRNENYEDEIYPDTENSSDDEEEQAERMAKRGELDGVFSLRQTFHTGEDFKKQVIKYILKTRRNVVYDRWEKTKIGAKCSDKGCKCKLIKSPAIAEVMLEKIRKEPEMSAPMIREEFRDKFNILISPEQAKIARRIVLDKLQAECNEHFARIKDYEMELLQGLRPVNGPKFWKLSGAERIEAPPYKRPPGRPKGKARIKGILESPKKNPTKVSRKGRIGHCSLCGGERHNSRKCPHEPEESRAKRRRANTEQQAQEQEAEDVSSTAPRATQT >A03p045240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18907436:18907789:-1 gene:A03p045240.1_BraROA transcript:A03p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGHNNDLSGKEKDNLETERPVESFEEGKGSNSKEEERESSTERESNVVRIKVVVTKEELRQILGHTKGINSIQHLVHVLKDSGRNISRAYEEDKELSDESWSPTLESIPENHY >A07p040600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:21521726:21522028:-1 gene:A07p040600.1_BraROA transcript:A07p040600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML23 [Source:Projected from Arabidopsis thaliana (AT1G66400) UniProtKB/Swiss-Prot;Acc:Q9C8Y1] MMKEFDLDGNGYIDMDEFVALFQIEDGGDIRDLKEAFDLYDLDGNGRISVNELHSVMKNLGEKCSLEDCKRIISKVDADGDGCVNFEEFKKMMMNGRATA >A02p043090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27020494:27021072:-1 gene:A02p043090.1_BraROA transcript:A02p043090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLSRIRKPLVCKSILVVRWSSSMPIYPYMLLDYMLKLASSGKDSSDGRISIGKNSRSEQKHIVIKDLALVNEVLGISGDRTSDVRLLLPFQPTRSDSLNVLPSPLPTGPVIQNIAMTSYLDLEDEDWVLAVKLYGSKIKLFRRSYKPTWID >A09p009920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5093549:5094016:-1 gene:A09p009920.1_BraROA transcript:A09p009920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLKNPQVQPSENTTRCNTDAAWNKASKAAGLACIFKNNTGIEVHRASWNQDFVTSPLMAEAMAVRLALLTAASLDIFDLQVRSDNQTVLRAITRKQQIKEIYDILADINRLSLSFASLSFVFTPCSENAEADGLAKSSLRLFLSISGPPIG >A07p003230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3395275:3396242:-1 gene:A07p003230.1_BraROA transcript:A07p003230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYQTNPNFSMFFSLENDDQNNQDYDSYNSLSSSTSVDCTLSLGTPSTRLDDHRRYSSVSSNMSGDYFYHGGSAKTTSYKKGGGDHNLPRRCASCDTTSTPLWRNGPKGPKSLCNACGIRFKKEERRAAARNSITSVGGSSAAEIPAGGNYYNHHHHYPSSSPSWAHQNTQRVQYFSPAPEMEYPFVDDATAASFLSWN >A06p057020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29652815:29654461:-1 gene:A06p057020.1_BraROA transcript:A06p057020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative cyclin-A3-1 [Source:Projected from Arabidopsis thaliana (AT5G43080) UniProtKB/Swiss-Prot;Acc:Q9FMH5] MALCVFPFSPPPLHHSLPTNLDLYRIMSDDKENFVRMTRAATKRKAAMADEDRLSKKKKKRVVLGELPNLCNKQKQTTKPLRVPKKQSKKTSKSDIDTRSDDPQMCAPYVTGIFVYLRQLEVKEKSRPLIDYIEKVQRDVTPNMRGVLVDWLVEVAEEYKLLSDTLYLAVSYIDRFLSLRTVNRQKLQLLGVSAMLIASKYEEITPPNVEDFCYITDNTYTKQEIVKMEADILLALQFELGNPTTNTFLRRFTRVAQEDFNMSHLQMEFLCSYLSELSMLDYSSLKFLPSVVAASAVYLARFIIRPKQHPWSVMLEEYTRYKAGDLRECVCMIHDLYLSRKGGALQAVREKYKQHKFKCVATMPVSPELPLTFFEDVSI >A07g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21976367:21978416:-1 gene:A07g508010.1_BraROA transcript:A07g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFPDLSLIMAGKIGAKKGASGSKVGPSEPEPTDATPVAAKQALAGGSSQRKDSRKKKKNAEARRESNEEENVEQNDAGGSSKKGNRKRKARDPPADDVPKKKKMKKKDFSLPRPSSVCEEELQALVPETVPVVGTSDNDEDETVAFHLRRREKRTIDEGSHGAFAGDQGISKTPRKSSVSEGRRDRLLDESPARIAEGSETRVSGRPKETPEDGFKFEFNRDLPLAFHLEDCGRLLQLIKGGPDQLPPVKDLVFKDEYEHAASSSIKVVFICFYPLFFCKEYSSNFSLLCPSRVKIREGEEVRKKAEEALRVAVRDKNDAIAREKALRKLFDETRTADAADLQLCKRSMKDLESEPAQTAVSSNQRTDQDIDPAKQASAGAVVPKDEAVPTIVLTDSPAKASKNASSSTSSSEDPEKEDGVPAGCPTEVPTANADLPAPTKFGRVSGPGEGDDGGSKDPAVVDEYNLLSVVTFSFSSL >A08p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23078023:23079693:-1 gene:A08p040510.1_BraROA transcript:A08p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQGSTLSADVMSLVDTLPVLAKTLIAGGVAGAVAKTAVAPLERIKILLQTRTNDFRTLGVSQSLKKVLQCDGPLGFYKGNGASVIRIIPYAALHYMTYEVYRDWILENNLPLGSGPVVDLVAGSAAGGTAVLCTYPLDLARTKLAYQVSDASQSFRGGANGVYRQHAYSGIKEVLSMAYKEAGPRGLYRGIGPTLIGILPYAGLKFYIYEELKRHVPEEHQNSVRMHLPCGALAGLFGQTLTYPLDVVRRQMQVENLQPMTSDGSSKRYKNTFDGLNTIVRTQGWRQLFAGLSINYIKIVPSVAIGFTVYESMKSWLRIPPRERSKPA >A04p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:684976:686481:1 gene:A04p001460.1_BraROA transcript:A04p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREAMGSYFIQRGMPGPGPPPPPSQTQQPFQGSQGFHHFSNPNYQTQGGGSTGFVSPPLQMESSPVDSSAVAAPPPTSGETSLKRKRGRPRKYGQDGSVSLALSPSVGSSMSPNSNKRGRGRPPGSGKKQRLASIGDLMPSSSGMSFTPHVIVVSVGEDIASKVLSFSQQGPRAICVLSVIGAVSTATLIQPAPSHGAITYEGRFELVSLSLSYLNSNDNDYSNRTGNLAVSLASSDGRVIGGGIGGPLIAASHVQVIVGSFLWAVPKGKIKKRDEDVQDTDALENNNDNTAAPASPPVPQSLVQTPVGMWSTGSRSMDMHHAHMDIDLMRG >A04p037040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21181878:21183916:-1 gene:A04p037040.1_BraROA transcript:A04p037040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGFPIDADFELTFDGMEDLYLPAEDETFLLPDNGSSQEQFGDFTPESEGSAIFGDTDKNIHRNSESPKDSDDRCSGDERTLDQLSSQGSDNRGSDVSEAVDQKVKVEEASATKRKKEDDTSDESRSSKYMRSGEESAVVTGEEEEEEDEKKKRARLMRNRESAQLSRQRKKHYVEELEEKVKSMHSCITDLNGKISYFMAENAALRQQLGPPPPPMGMYPPIWMHCPPYMVKQQGGGHVPLIPIPRLKPQNPVKAKSKKSEAKTKKVASITFLGLVFCLFLFGALAPVVNVSYGGISSGAFYGSYRSNYVTDQVYNQHRNRVLDTSRSNERDSVPPGNGSEPLVASLFVPRNDKLVKIDGNLIINSILASEKALASESNERKADGVTPKEHSLALPLPDVGMIEDMAKHLVRTKAEKQKALSSGFADTLKDQIKTKAASGEMQQWFREGVAGPMFSSGMCTEVFQFDVSSTSGAIIPASAPTNVSSEHNKNATDTQRRKNRRTLRGLAIPLPGSEHQRNSSSKEIKPVSSMVVSVLVDPREGGDGDIDGMIGGPKSLSRVFVVVLQDSAKYVTYSCVLPRPGAPHLMTT >A06p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4753103:4753762:-1 gene:A06p003310.1_BraROA transcript:A06p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRFSFLVCLLVIINTVCAREFVVGGSKGWTIPSDDQVYNQWAEKSRFQISDSLHLYDGIILMVKSNAVFIYQPNQDSVLQVTRDAYDSCNTDEPTAKFTDGHTSFNLDRSGPYYFISGNKDNCHKNQKLVIIVMADRSNTKTKATSSPPPSPSVESSPSPTYTGTFEITPAPSQNIPGNSASLFASSVLPSAFIVTMFLSLFS >A09p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19233122:19233831:1 gene:A09p031780.1_BraROA transcript:A09p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVQTSLHRREEDADDTLTLILDALSRIQRTCLRSLMRFDGNTPEYSPYLKQETEHTGYEEGEAIDDIPSNRQESCRRGLTEARPPHSHRTSRGKREQIAKRKRRGDGLRRPRAPPPVAGANRDRGSWRLEDKSTEDIIIGEQASWIHGSFDGGNGFGGEW >A03p021440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9111169:9112212:-1 gene:A03p021440.1_BraROA transcript:A03p021440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERQRWTGEEDALLRAYVRQFGPREWHLVSDRMNKPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQRLVIRLQEKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREEKESNKRVEPIDESKYDRILESFAEKLVKERSVSVPMASSNGPPSNHVIPPWLATPNNGNSVSVRPPSVTLTLSPSSVAAASPQPPIPWLQQPERGETHVLGSMIPSCSGGNSESVFMSELMECCRELEEGHRAWAEHKKEAAWRLRRLELQLESEKTSRQREKMEEIEAKMKALREEQKMAMEKIEGEYREQLVGLRRDAEAKEQKLADQWSSKHIRLTKFLEQHMGCRQRLLDRP >A09p069750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54277328:54278532:1 gene:A09p069750.1_BraROA transcript:A09p069750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPTPATYGVSKDEETGKLTFTGVTPEKSMEVHQVTTKSGNKVVATFWKHPFARFTLLYSHGNAADLGQMVDLFIELRAHLRVNIMSYDYSGYGASTGKPSESNTYHDIEAVYNCLRSEYEIQQEEMILYGQSVGSGPTLYLASRLKRLRGVVLHSAILSGIRVLYPVKMTFWFDIYKNLDRIRHVACPVLVIHGTKDEVVNMSHGKRLWELSKDKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKLALKNPPTKELDDEPRNNETKHNCCLSFKKK >A07p005150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2328344:2330173:-1 gene:A07p005150.1_BraROA transcript:A07p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCWSSSSKSPSTATTTPTTTGNISSGPFKSTTETSRSNISSTSGFSAGSGGDACPEGQILPIPNLRIFSLAELRAATRNFKSENVLGEGGFGKVFKGWLEEKGPGSHSTGTVIAVKKLNSESFQGFEEWQCEVNFLGRVSHPNLVKLLGYCLEGDELLLVYEYMQKGSLENHLFRKGSVVQPLSWEIRLRIAIGAAKGLAFLHASEKQVIYRDFKASNILLDSSYNAKLSDFGLAKLGPSASQSHITTRVMGTHGYAAPEYVATGHLYVKSDNYGFGVVLAEILTGLHALDPTRPTGQHNLTDWIKPHLSERRKLRRIMDPRLEGKYPFKSAFRVAQLSLKCLEPEPKNRPSMTEVVESLELIVAANEKPLERRTTRASPTVSNQRSHYRREHLSTFQPRHTVARGH >A08p001460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:866397:869363:1 gene:A08p001460.1_BraROA transcript:A08p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKEYEFLSEIGLSSSHNLGNYVGGKWLGNGPLVSTLNPANNQPIAQVVEASLEDYEIGLKACEEAAKTWMQVPAPKRGDIVRQIGDALRSKLDYLGRLLSLEMGKILAEGIGEVQEVIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAEVLEKNHLPGAIFTAMCGGAEIGEAIAKDTRIPLVSFTGSSKVGLTVQQTVSARSGKTLLELSGNNAIIVMDDADIQLAARSVLFAAVGTAGQRCTTCRRLLLHESVYDKVLEQLLTSYKQVKIGDPLEKGTLLGPLHTPESKKNFEKGIEVIKSQASSTSGGKVLTGGKAVEGEGNFVEPTIIEISSDAAVVKEELFAPVLYALKFKTFEEAVAINNSVPQGLSSSIFTRSPDNIFKWIGPMGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >A05p014030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6133245:6133608:-1 gene:A05p014030.1_BraROA transcript:A05p014030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNYSHHMISSTASVLVAEANALKAGLEAVVAKGIKEVICYSDSKSFINLITGNKSIKYPTSGNSMQRSG >A06p047040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25040425:25042606:1 gene:A06p047040.1_BraROA transcript:A06p047040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVYYIHNFKGQKGGVLFRCYPGPWQVLRRTRNKCICVHQQETMPSLKEKAKQKMVSGSGICSKRVVVDARHHMLGRLASIVAKELLNGQKVVIVRCEEICLSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARMKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELETKRKERSQVVYERKKQLNKLRAKAEKVAEEKLGAQLEILAPVKY >A08g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13103788:13106779:1 gene:A08g507420.1_BraROA transcript:A08g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFLPATTLRLTPFSSLRFLSFFPSSYPLFSPLRRPISEGFPANARRRCFCTAVTESAGSGEGKKVDNSYENRFGSRVGEFRRKLKIADVKGGADQGLGRVGQSLSIMGWVRTLRSQSSVTFIEVDSLIPVYLPPINDGSCLSNLQCVMNPEAEGYDQVESGSVLTGASISVQGTIVASQGTKQKVELKVEKIIVVRPLLLLLLDLLELKVILSLTLILYQVGKCDSSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQESGFVWVASPIITASDCEGAGEQFCVTTLIPSSHETTDSSIDAIPKRKGGLVDWSQDFFGKPAYLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYVLDNCKEDMEFFDTWIEKGIIHRLSEVVEKEFLQLGYTDAIELLLKANKKFEYPVKWGLDLQSEHERYITEEAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDMLVPRIGELIGGSQREERLEVLEARLDELKLDKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDVIPFPRSPGSADF >A09p059900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49877216:49878936:-1 gene:A09p059900.1_BraROA transcript:A09p059900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRITASPRPCSGRRVVAKKRTRPDGFVNSVKKLQRREISSRKDRAFSISTAQERFRNMRLVEQYDTHDPKGHSLVALPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNRRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYILRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSPGIMLLIFKRATSHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNAQLMEVSRAEFMTPSAFIFLYENQLFLTFRNRNVSVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADTEDQWIEGNGKIE >A07p034590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18765463:18772594:-1 gene:A07p034590.1_BraROA transcript:A07p034590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CELLULOSE SYNTHASE INTERACTIVE 3 [Source:Projected from Arabidopsis thaliana (AT1G77460) UniProtKB/Swiss-Prot;Acc:F4I718] MQMLKTFLPVALEETLSSSQSREGDVKMEMDDPEKAMATVAQLIEQLHTKTSSPQDKELTTARLLGIAKSRKEARRLIGSYPQAMPLFISMLRNGTTLAKVNVASILCVLCKDKDLRLKVLLGGCIPPLLSVLKSGTIDTRKAAAEAIYEVSSAGVSDDHIGMKIFITEGVVPTLWDQLSLKGKQDRVVEGYVTGALRNLCGVDDGYWRVVLEGSGVDVVVSLLSSDNPNSQANAASLLARLVLSFCDIIQKILNSGVVKSLVQLLEQINDTKVRASAADALEALSSRSDEAKKCVKDAGGVNALIGAIVAPSKECMQGENGQALQEHATGALANVFGGMSHLIIYLGEVSQSPRLTEPIGDVIGALAYALMIFKQPESSEKIFDPRVIESILVKLLKPLDTKLIQERILEAMASLYGNASLSCCLDDAEAKRVLIALITMASADVRENLIAFLSRLCHDKVGIWEAVGKREGIQLFISFLGLSSEQHQEYAVEMLEILTAQIDDSKWAVTAAGGIPPLVQLLETGSQKAKEDASRILWNLCCHSEEIRDCVERAGGIPAFLWLLKTGGLNSQETSAKTLLKLVRTADPATINQLLALLLGDDPASKVHVIQVLGHVLSKASQEDIVHKGCAANKALRSLVQSLTSSREETKEHTASVLADLFSSRQDICDHLATDDIINPWIKLLTSNSQNVAKQVARALDALSRPVKKNSNKKKAYIAEGDLKALIKLAKDSSIESAENAVSALANLLSDPDIAAEALAEDVVSAFTRILADGSSEGKRNASRALHQLLKNFPVCDVLKGSAQCRFAILSLVDSLKSVDMDSPDAFDVLEVVAVLARAKNGVNFSYPPLTALSEVPSSLETLVQCLSEGHTLVQDKAIEILSRLCCDQQFLISELIVSRPKSTGVLADRIVNASSLEVRVGGTALLLCATKEKKQLITEALEQSGFSKLLLRALVDMIKDNSKCYSIETEVLTPKGFMEKNVFQDTGGFYFPDPAKILGGTVALWLLCLLTSVDAKSKLIVVEAGGLEVLFAKLARHTSSPQAEFEDTEGIWISALLLAIMFQDDNVSLSSTTMRIIPTLALLLRSDELIDRYFAAHAMASLVCTKNRGINLTIANSGAISGIINLLGYVESEILNLVALANEFSLVKEPDQVILQHLFEIEDVRLGSTARKSIPLLVDLLRPIPYRPGAPQFAVQTLIRIADGSDTNKLLMAEAGAVEALTKYLSLSPQDSMERTISELLRVLFSNHELRQNEVAVSSLNQLIAVLRLGSRSARYSAAGALNELFDAENIRNSEIAQQAAQPLMDMLGTVSETEQEVALSALIKLSSGNTSNTALLIDVEGSLLENVNKILSSASASEELKINAAKLCSVVFLNKNVRASPSASGCMKPLITLMQSERNAAVEAAVCAIKILLDDEQQLEIAAGHDIQELLVGLVSGTNYRIIEASLSALIKLGKDRVPRKLDMVEAGIIDRCLELLPGASSSLCSSVAELFRILTNSGVIAKRPDVTKTVEPLFMVLLRSDLTLWGQHSALQALVNILEKQQSLEAFSFTPSEAIVPLISFLESSSQAIQQLGAELLSHFLTMEDFQKDITTQTAVVPLVRLAGIGILSLQETAVKALEKISASWPKSVLDAGGIFELSKVILQEDPQPPLELWESAAFVLCNVLQYDAECFFRVELPVLVKLLFSTIESTVMLALKALMIHEKNDASSTVQMAELGAINALLDLLRSHQCEEESGSLLEVIFNNPKVRELKLCKYAIAPLSQYLLDPQTRSEPGRLLAALALGDLSQHEGLSRSSGSVSACRALISVLEEQPTEEMKVVAICALQNFVMNSRTNRRAVAEAGGILLIQELLLSSNVEVSGQAALMVKFLFSNHTLQEYVSNELIRSLTAALERGLWSTSTVNIEVLRTLNVIFSNFPKLRASEAATFCIPHLVGALKSGVEDVQGLVLDILYLLRHSWTNMAIDVAKSQAMIAAEAIPVLQMLMKTCPPMFHDKADSLLHCLPGCLTVNVMRANNLKQSMATTNAFCQLTIGNCPPRQTKVVSNRTSPEWKESFTWAFDVPPKGQKLHIICKSKSTFGKTTLGRVTIQIDKVVIEGVYNGSLSLNHDNSKDASSRSLDIEIAWSNRTTDDTL >A06p039680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21405707:21408169:1 gene:A06p039680.1_BraROA transcript:A06p039680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDDGRGFDLARRLEASGVWRTWLGDSIYLSFNHYLSSPSSWETFMRVDESKSRAQIQLQLRVRALLFDKATASLFLRSNSISASSASSDATSVAVSKLNHNYLQLHGDDVYYTLENASPEGGFQRDGGLRHNQSLPKSLSKPSFNSGRRGSESDFSNLAQRSRYEELPDTWYTQFISRCGFKYGMSVGGQESDKRTPEGMSTYLRVVDSHKRKRAPFLEDRSTGSSGHMGRSNIHPGSGFDGSSSDDDILLPETMFRMNCVPETALSPFAKTQDDDVKTEFYGVLDTLPQVTTRSHVMIERLGMMPEYLRMEERGVLRRKKAEKVGFSDEQAAQVSRKVVARMLLTMGFEGATEVSVDVFSQLVSRHICKLGRILKVLTDSYKKECSATQLIKMFLNTTGYSNLGSLAELVKDGTRMIHHPQNQKQPQVLQQQLHMQQQAPQRLPQQIQRQMHPQMQQMVNPQSLQQQQQLLERMRKRQVTSPRPDMGTVRAQPVKVEGFEQLMGGDSSLKHETEDKLRSPPTK >A09g516410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48520991:48523627:1 gene:A09g516410.1_BraROA transcript:A09g516410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAEGMATRSRVLSEKKGYRVKDSIFSSLFEIKGFSVSEAISCRSPEPEIFSINSSSESLMDPEAEIRDTKRRNEHIDMLSYVCDSEHGIPTRCSCGGSIIHEVRGKEEYDTLPGKRFFTCINYEADGFHYRQPWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEAEVKALTVEVDRLTGKVYNLTVQVGREEDVRPPGVKASKAAKRKKHGNEAAFDQIETILAAKNMLSKQKILDRSRVQGGGCVDGCRSLFLYVSNQVTGGYVRWNHGRV >A04p004400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2194124:2195700:-1 gene:A04p004400.1_BraROA transcript:A04p004400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRMEKYEAAPVELLASKISVWWDITSCPVPEGYDPRLVLLQAYSSTGIVLKHDPFIESSYSEGGVASFIAVELFGWKLWNLPPASVVFISDPQNISRLTLRMHTINMRGYNILHILKDTPELPIRFWGWKSFLKDARMGKKIQEDELDDFNVEPVGIVCRGTLKIKAPNNAILCKDSASRSYVGSALVSEALAVRNALRAAAALGLTTLNLFSDSLVLISTLLSGSDLNEIVGLLVDLRNLASLFNHLSFSHVSPTCNVMADSLAKSALARLMADNALFRA >A07p010950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6843147:6844930:-1 gene:A07p010950.1_BraROA transcript:A07p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVTMIKDDEPQEEEEEISDKFLCCVCLELLYKPIVLSCGHLSCFWCVHRSMSGLRVSHCPICRDPYLHFPTICLQLHFLLKKLYPIAHNKREAQLLNDEQARACFSPQIDEPKAKLQSLSVSDECSDPEPLEDAKTVNVHENDLPKNGISKDDLLCSACKELLVRPVVLNCGHVYCEGCVVDMMDQESEKIKCKECHVCDPRRFPKVCLALEQFLEENFPEEYISRRSGIQKTLAHDSKGNFQKYYKEGTSSSDENNNNSLPRWANPACNVHIGVGCDSCGVYPIEGERYRCIDCKEKMGYDLCKDCYETPSKVPGRFNQQHTPDHRFERASVRQLLLNLNSIGILVGGPMVHEGRDESEEGPPDSDVSTSSSPE >A02p034330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18638998:18641494:-1 gene:A02p034330.1_BraROA transcript:A02p034330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFYNQVSSVFSLYTTFSAITMLLRTILNEVVPKGIREYITVKLVDYFSSYFQSDFTFVIEQHWGEYVENQTFRAAQVYLPTRLAGLSTGKLLVGSNNLKNPTAQPKLGIPVDTKIMDEFERIHLEWTLHNVKSKGRREKRYFHLTCKKEFREKIMAGYFAYVTKSAKEIMRHRENLQIFTYNKEDSDWESAIFEHHTTFETLAIEPDLKTTLIDDLDAFSKGKDFFRSVGRAWKRGYLLYGPPGTGKSSMVAAIANHMKYNIYDLQIQSVKDDGELREILTSTTNRSILLIEDIDCGSDASRKRHTKEKEEDDDSPVRLVAAGFGGSGSVSGGCSVNEDSCSFGRCRCRRFLRQRNEQELTQNVDAAAAAGTCGNQTNSPDESKKGKNKYEDGISLSGLLNFVDGLWSSCGEEKIIIFTTNHKEMLDPALLRPGRMDVHILMDYCTPLVFNKLVSLYLKIDGHILCDSIEKLVLDVNTTPAEITQQLMASKDADIALKGVIEFLETKKNKKEDDTKVEKRKKEKKRGKETKRLFLFVEEDDRWSAPRKYGGSISTTKNLGGGGYPIQESRQSRRQRDVGGSPQLRISVSLSDGESRWLNGENLGGSPLRRESSVDAKCNR >A02p051450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31573861:31575111:-1 gene:A02p051450.1_BraROA transcript:A02p051450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTKDDDEVDEFNDYDPTPYSGGYDITVTYGRSVPPSDETCYPLSSRSGDAFEYQRPVFSSNHEPSAYGDQALNTEYSSYARPKTRPGGHGGVHVEGEYGGRKPDSGYGGRTDVEYGRTPPELEHSHTKYSGKDPGDEKNMKKDKKKDGNVSDDDEKKKKKKEKDQYKHHNDHHDDGYDEEKKKKKEKDHHDDDEKKKKKDYHDDGYDEKKKKDKDHHHDDDEKKKKKKDNDQHDDYDEKKKKKKDYDDDDEKKKKKEKDQHDDYDEKKKKKMDYDDDDEKKKKKKKDDHDEKKKKKEKDHHDSDDEKKNKKKDKHHKGHD >A03p009240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3707531:3708748:1 gene:A03p009240.1_BraROA transcript:A03p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPILVPLLLILIFLGSVSAISPLKTDTLKPGQQLRDWEQLISAGEAFTLRFFTPRESSTFLLGSGAGLRYICIWSQTDPVWVGNPTEPVSDSSGSLSIDTNGALKITRANASPIMVSPHLSLAGNVSATLLDSGNFVIRDAGRGGGGGPGRILWQSFDHPTNILLPGMKIGFNLKTKKEISVTSWTSNQVPTPGAFRLGLDPSGANQLLVWRHSEIYWSSGILTNNGSSQLTLELSRRYNIDYEFKFHSDKRMNYFSYSVKKTKGSVSSSWFLDTLGQITVTTSFRSNTCAGGTSESSGPCKKYLKSSSAICIAEKPTACRKGTEYFEPRRGYMMDNAYYGDGLSSGISDCHGSCWRNCSCIAFQSLPRGRCQYWGKGSTFVPYDNFNGGLVLIVYVLDSEK >A02g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10086399:10087475:1 gene:A02g503060.1_BraROA transcript:A02g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEHPSRSRPSDRPARSLRSDRAIVPLGRYVATKLEPKLGRYVATERSFRSVAPDRARAKARSLRSDRAIVLLGRYVATELSQARSLRSDRAIIPLGRYVATERSSRSRPSNRPARSLHSDRAQAKARSLRSDRAIVPLGRYVATELEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVYVSRYSNSSIKLRGLETAEKLVVNVSSRKTAQRDLKHDSRPILRFFLTKSP >A03p069500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30542421:30546346:1 gene:A03p069500.1_BraROA transcript:A03p069500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKNLSPSDEKTGSTESPKPESAASGSSPSSANNPPALNFNAFDFSNMAGILNDPSIRELAEQIAKDPAFNQLAEQLQRSIPDAAQGGAGEGGFPNIDPQQYMSTMQQVMHNPEFQTMAERLGKALVQDPQMSPFLEAFSNPETAEHFTERMARMKEDPELKPILDEIDAGGPSAMMKYWNDKDVLKKLGEAMGMPVTGLPGQTASAEPEAAAEEGEEEEEEESIVHQTASLGDVEGLKNALESGGNKDEEDSEGRTALHFACGYGELKCAQVLIDAGASVNAVDKNKNTPLHYAAGYGRKECVSLLLENGAAVTLQNQDEKTPIDVAKLNNQVEVFPMNCLNRSRLLIKSLISRPPSLASAFSSSAAMNGLETHNTKLCIVGSGPAAHTAAIYAARAELNPLLFEGWMANDIAPGGQLTTTTDVENFPGFPDGILGADLTDNFRRQSERFGAKIFTETVTKVDFSSKPFKLFTDSRAVIADAVILATGAVAKRLTFPGSGDGSGEGTGGFWNRGISACAVCDGAAPIFRGKPLAVIGGGDSAMEEASFLTKYGSKVSIIHRRDAFRASKIMQQRVFANPKIDVVWNSTVVEAYGDEERGGVLGGLKVKDVVTGEVRDLEVSGLFFAIGHEPATRFLDGAVELDSDGYVVTKAGTTETSVAGVFAAGDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIGSQQVGVEYGCCSKL >A08p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12142732:12143344:1 gene:A08p017410.1_BraROA transcript:A08p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKLYENTVIDDIILERCEQHCPLARGTPRHPEEDKQKNCNSRWSQDEGGEFLCDVWVARLQRPLEIAITVG >SC221g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000076.1:10288:15837:1 gene:SC221g500010.1_BraROA transcript:SC221g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGARLIYPALGQAVKPYALVGVITTLSPRFSKLFTSSCFKMDSGMKMKVAVVFKGNNYLVWSRMVKTAVGSKGLWGHITSGTAPKPSLVAYMGAPNSRNNDQDFIRKADIDALIRMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEAKPPSDAEGLERIRPFMDKVGARLIYPALGQAVKPYACVTF >A07p026030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14902775:14904802:1 gene:A07p026030.1_BraROA transcript:A07p026030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 62 [Source:Projected from Arabidopsis thaliana (AT5G39580) UniProtKB/Swiss-Prot;Acc:Q9FKA4] MCLVGSLFSFIVFLSCIIAVCGQGTRIGFYSTTCPNAETIVRTTVTSHFGSDPKIAPGLLRMHFHDCFVQGCDGSVLISGPNTERTAGANLNLRGFEVIDDAKTQLEAACPGVVSCADILTLAARDSIALTKGQSWQVPTGRRDGRISLATNVNNLPSPSDSVVAQQRKFAAFRLNTRDLVALVGGHTIGTAACGFFTNRIFNTTGNRADPTMDQTFVPDLQRLCPLNGDASARVDLDFGSGNTFDTSFFNNLSRGRGILQSDHLLWTNPTTRTIVQEFLASKDSFNAQFARSMVRMSNINVKTGANGEIRRVCSTVN >A09p031360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18945733:18947457:-1 gene:A09p031360.1_BraROA transcript:A09p031360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEEEKHMDNKLNSSSQQMEPAEVKECTTDHNSVVDDGSGSHSSSGQRKKLSTTPPSHKTRGGGGVNYTVPKPFSLSAEKPAASSVCARAGVDSHNSSPGNAASRNSSSGSRGSQPNSPMPARKTIDHKKHHDDEDTFSVASSSATSVRTIKPKVTIGVAPTFSSTSRLERRKEFYKKLEEKQKALEEEKRENEKRLKEEQEVVTKQLRKNMAYKANPVPTFYYEPPPQKPPLKKFPLTRPKSPNLNRRKSCSDTVNSSHQEVKGKHCVRHRHSVDGRKDGSNPRRTPDTKKSTRETPTKSEEVYGKNKSGNEGEAGENCN >A10p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1130541:1131827:1 gene:A10p002170.1_BraROA transcript:A10p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine-containing phosphotransfer factor 5 [Source:Projected from Arabidopsis thaliana (AT1G03430) TAIR;Acc:AT1G03430] MNTVVAKLQRQFQDYLVSLYQQGFLDNQFTELRKLQDEGTPDFVAEVVSLFFDDCSKLINSMSRSLERPENVDFKQVDSGVHQLKGSSSSVGARRVKNVCISFKECCDVQNREGCLRCLQQVDYEYKMLKTKLQDLFNLEQQIVQAGGAIPQHFPSYTILRPDIRPCLDA >A06g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27432809:27433243:-1 gene:A06g509510.1_BraROA transcript:A06g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKDHHSASHLFLAFYPLEGLSDYHSAAELLLGSFDSGKAWNVKKSGELMGVDLILVDGKEQAKLQICGRPCFAPIRRGP >A10p017360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2486536:2487090:1 gene:A10p017360.1_BraROA transcript:A10p017360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNCELCDGVARMFCESDQASLCWNCDGKVHGANFLVAKHTRCLLCSVCQSPTPWKASGLRFGPTVSVCESCLARKNKTLNLKKEISSNDNHNDDDDDDDCEEGDEEEAENQVVPGDVAPVMSSSSSVSSGEESVSGDGGLVVKRTRGGLDLDCFDGCDTSSTSSSSTLVLITAKKRRYLSR >A02g512160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32898514:32898973:1 gene:A02g512160.1_BraROA transcript:A02g512160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYNHNGIYRNATRIESFRLVSPLLLIVTATFLLPPHPKTLGFSTLRVSPSLSKLRGHPLISRFRYLIFDLTLLSSPRSLSS >A01g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2091965:2095207:1 gene:A01g500470.1_BraROA transcript:A01g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQVDAIVNLAATTKFDERYDIALGINTLGVLNVLNFAKRCAKINIFVQVSTAYVCGEKSGLIMETPYRMGETLNGTTGLDINHEKKLVEEKLDQLRVTEASPETITQTMKDMGLTRARTYGWPNTYVFTKAMGEMIVGAKRGNLPLVLIRPTIITSTIKEPFPGWTEGIRTIDSLGVGYGKGRLTCFLGDLNAVSDVMPADMVVNSMLVSMAVQAGKQKETIYHVGSSLRNPLKNKKLPEIAYHCFTTRPWTNKEGKLVRVRNIEILSSMASFHRYMAIHYLIPLKTEAETEMFYFDPTVINWDDYFVDIHVPGLVKYVF >A04p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15296590:15302768:1 gene:A04p025250.1_BraROA transcript:A04p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAIMRFRKGSRVEVLTIKESPYGAWRTAEILSGNGHTYNVRYYSFGFAKDETLEERVARKMIRPCPPLIDVYRWESGELVEVLDHVFWKPATVLKELSGRCYAVRLLGSTEVELTVHKVNLRGRQSWRDERWGLIEKVSCSVKSSTLTGSYVNQKKLKPRERSVVSIRLVKRPSPCESAESCTGTPKKMRLTEEAAATCCQMVRVRTKRFSDDACSVGSCSPIRYDESTSFLDGGSSQDADSYTSDAESSKGCRQEVRRSCRPELSTYRSTLGKLFAQGPLNWDQEASLTDLRLSLNISNDEHLMEIRNLTYFPAMWNQLLHIVLFQEGSYSDLYRQQSGRKNSAADLASRDLYSDALGLPTRFVGSTLFTASSTKCRRTESRALYSSSPTQKTLCFGVRINAINQVSPLRPHHCMLTPSSAMAQRYRMWLPKSKLLTRNMKGEKAEAHTSLRIYNEADALSKFPQQQDAFAQRQASKTQHEAVYQRTAPRYLRTASNNEQCGFEFVPSRHLLPKSQGNGSWLHAFNMDIYYGMENLLGIICCQRVREPASPLKELLETPSFQIKIHVANVDGKQPQYLQLYIFHTINELDNGRKAFAKGSTIHKYDFRHIIGNSGEGVQGREVN >A09p059290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49646376:49648442:-1 gene:A09p059290.1_BraROA transcript:A09p059290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARKLRTLQSQPDNKVCVDCAQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNERLNSFLAQYGIAKETDIVSKYNSNAAAVYRNRIQALAEGKPWSDPPVVKEAVGGMHKKKPPLGNGGNGGWDSWGNDDSYRSSTDMRRNQSENDFRGLGGGGGGAPVKSKSSEDIYTRSQLEASAAGKECFFARRMAENESKPEGLPPSQGGKYVGFGSGSGPVPRSNQQDDVYSVVSQGFGRLSMVAASAASVVQTGTKEFTSKVKEGGYDHKVSETVNVVASKTTEIGQRTWGIMKGVMAIASQKVGEYTKEGTTTTSWNQRNESESNGYYQNFGNGSKAANPSVEGKGSQSSSSGYYNNSQKSNSWDDWGENESKKKEAVASKGSSASNDDDGWTGWDDPKDDVYYQSAGDKKSAGHNGKLDTAWTGGGFQ >A10p028330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17625996:17627200:1 gene:A10p028330.1_BraROA transcript:A10p028330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEX19-2 [Source:Projected from Arabidopsis thaliana (AT5G17550) UniProtKB/TrEMBL;Acc:A0A178UP73] MAKETHTDDLDELLDSALDDFKDLNLTQRNEGVNKEEGGKEEMESLPSGIQGLGMGLPDMRTKKKGKSKVAKEDHVAEALDKLREQTRETVKGLGSLSSKQLLPPGSSDDAMVEDWIKQFEDLTGSQDLESIVDTMMQQLLSKDILHEPMKEIGARYPKWLEEHESTLSKEDFERYSRQYELIKELNLVYEHEPNNSTKIMEIMQKMQECGQPPSDIVQEMDPGFDFASLGQMSPDMLESSPNCCVM >A09p060310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50054631:50061246:1 gene:A09p060310.1_BraROA transcript:A09p060310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIQSPPGRILQLEMENFKSYKGHQLVGPFKDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGSQLKDLIYAFDDREKEQRGRKAFVRLVYLLDDGVELRFTRTITSSGGSEYRIDNRVVNWEEYNAKLRSIGILVKARNFLVFQGDVESVASKNSKELTGLLEQISGSDELKKEYEELEEKKAIAEEKAALIYQKKKTIGAEKKLKKAQKEEAEKHLKLQDELKALKREHFLWQLYNIENDIEKANEDVDAEKNNRKDVMAKLEKFEHEAGKRKIEQAKYLKEIAQREKKIAERSSKLGKYQPELLRLKEEIARIKSKIESSRKEVDKRKKEKGKHSKEIEQMQKSIKDLNEKMNELNERRQDSSGKLPMLDSQLQEYFRIKEEAGMKTIKLRDEKEVLDRQYHTDLEALRNLEENYQQLINRENDLDEQIERMKSRQKEIEDSSSEYKNETTSLKKQLRSLQEKHRDARNASENLKTRIAELEDQLSDLTAERYENERDSRLTQAVESLKRLFQGVHGRMTDLCRPNRKKYNLAVTVAMGRFMDAVVVEDENTGKDCIKYLKEQRLPPMTFIPLQSVRVKPVLERLRNLDGTAKLAFDVIQYPLQISFQFMFLDLNHFTFDPELEKAVLFAVGNTLVCDDLDEAKRLSWTGERFKVVTVDGILLTKAGTMTGGTSGGMEAKSNKWDDKKIEGLMKKKEEYELELEKVGSIRDMQIKESEISGKISGLEKKIQYAEIEKKSIKDKLPHLEQEKRNITEESRRINVELSKSRAEVDKRNTEIRKLEKRINEIVDRIYRDFSQSVGVANIREYEEKQLKEAQEVAEERLNLSNQLAKLKYQVEYEQNRDVGSRIRKLESSISSLETDLEKIQKRKSELKELTEKATNEINNWKKEMGECKQKSEEYEKEILDWKKQASQATTSITKLNRQINSKETQIEQLISQKQEIAEQCELERIALPVLSDAAEEEDDSDGPQYDFSELDRAHLQERRPSARDKMDAEFRQKIESKSSEIERTAPNLRALDQYEAIQEKEKQVSQEFEAARKEEKQV >A05p023990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11629882:11630153:-1 gene:A05p023990.1_BraROA transcript:A05p023990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHELLSFTVRTCMYNFESPELLFLVVPPPKPLFVFVPSPELRRRFHHLSSDAGGFYS >A01g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18566995:18567752:-1 gene:A01g506370.1_BraROA transcript:A01g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDKKAQKDYYNMVDFVANAQQGIPKMCPCGSITKETVNEDDTYDYLLGKRYFICKDFEGCTVINLNDGLHFRQPWVTAIHEEVERLKERYHERAKFLRECQKTSDSLKGSSEKYRKEEHRLFITDNVLDDLDH >A06p048050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25514725:25519139:1 gene:A06p048050.1_BraROA transcript:A06p048050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSNWKPNQHGGDSLASNNANDWRSQLAPDMRKKVILAIVEKLKIYYPTRHPNAIKNTAFSFEGKIYAAAKDKDDYMRTIKGNIMNFDRKLQSSNVQSGSSVNGTNPPAPAAQALNQGQSVPTSLPYTQTPTSQQWLHQNNNNIQSNLNILESSGLPTQVSSAAQNLNIQMGEGVHSNLLPGSQRQIHGREHHLPQQPQSSNYFQNQMDQQLLKEEVQPSYMQQQQSLLKQPIQQQLPHQTSLSNIQQSFPKPSALSSLPSSQFLSRQNQFPTQRVHSSHHQQQMHVPSQEQKRQEREQLTSHLMNDKDTQQNHLTPQQNNGEKQAAFRASSSQQNNIASFQERPLQNNNIQQRLYSHSNNASALPSQQKQYNVHGSSCLAAQGQEVGQSQTMIQQQYQPQHTMQQPQNRILQQPLDDTQRFQASGSLLQTQQNQPYQLQRTSPANTFTSQDSTGQTVNASGGGDWQEETYQKIKALKEKYILVVSALYQKLSNKLREIDAHPQQKIQHGHMEKLRASKATLKLVLVFLNVSRNAITESHREKFNIYEEQLLRFVKHNQTVTRRPMQQQQQQQQQVHLPPSQTHQTAVQSQSGHQVFHVPQSSALSNLTTSHTAMPHSSQTRPKMEPKEETNIMTLPASNPQPSMFQQKQFHHLSMQQRQQQQPQKNHQQLQMPKNEMNDVRMSQRVNNKAGLRQQNISPNQRHLAKPLASPQLVDQQILPPTFNKNGTSSQSGGSPFVAPSSNLGDPENPISVESPSSHDYQLQPAAQEHPPEPNAERPIDRLIKAVSEQFQSSSPESLAQSINEMSSVISLTDRLAGCVQSIGGSRARVPQDLSERTRLRLQRGETNPTNKRFKRSITTQPIDITSETERYKQFSSLESEVDSTASSGSKANKIEAGLALLQEIVEVNRRLVETMVSICSEDVGPSEVTTGTIVMCSYAPVALCDTFQALYKSGHVSQIQPLRLLVPENYPHSPILIENIPFDSSVNKHEDLSARTRSRFGLSMKEFSEPMSLTEIAQAWDACARATMAEYAERHGGGTFSSKHGHWEPVLRAS >A06p043410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23378581:23382042:-1 gene:A06p043410.1_BraROA transcript:A06p043410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 714A1 [Source:Projected from Arabidopsis thaliana (AT5G24910) UniProtKB/Swiss-Prot;Acc:Q93Z79] MESLMMMETAKTIWWIIVIGALGLGFRIYGKAMTEQWRMRRRLTMQGVKGPPPSLFRGNVPEMQRIQSQTMINKHHSGDNIIAHDYTSSLFPYLDHWRKQYGRVYTYSTGMKQHLYANHPEVVKELNQANTLNLGKVSYVTKRLKSILGRGVITSNGPHWAHQRRIIAPEFFLDKVKGMVGLVVESAMPMLSKWEEMVKSREGETFCDIRVDEDLRAVSADVISRACFGSSFSKGKEIFSKLRCLQKAITHNNILFSLNGFTDIVFGTKKHGSGNIEELEKHIESLIWETVKEREKECVGDHKKDLMQLILEGAMSSCDGSLADKASSYKSFVVDNCKSIYFAGHETSAVAVSWCLMLLALNPSWQTRIRDEVLRFCTNGIPDIDSISSLKTVTMVIQETLRLYPPAAFVSREALEDTKLGNLVVPKGVCIWTLIPTLHRDPEIWGADANEFRPERFSEGVSKACKYPQSFVPFGLGTRLCLGKNFGMMELKVLVSLIVSRFSFTLSPTYQHSPVFRMLVEPQHGVVIRVIPN >A03g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24618482:24624054:1 gene:A03g507000.1_BraROA transcript:A03g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERRHEVARVLITPNKRPTKVAPDPERPVGATAQSRSRFHHSETRERARSDLPERHHEVARISHPSRSDLPNRHPEVARVSMARRHEAKPGATSQSDPLRSLPKAGATCRGRSAPIICSISILLKGLL >A09g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19376177:19382631:1 gene:A09g506550.1_BraROA transcript:A09g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVLETRNQTLEVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQVVPANGNSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETVLGTEENSEQSASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPKKEHGDKGESRLFFDEDPSTDPTKFRGNSRVKQKVQKKRVKGDPTMTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGEAAVKGLLSRVLKLNIAVVPGDHLMIEHPEFSWSGWRTRMVAKSEPPVALRTIMCYLLLRHITISVFKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAVFGRRNQTLELETCDLILCKGRRIHLSHHREEPPEPLFYFIYTCLHQVNHLVPDSRFNLFIKSVIAKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSTLVFNRT >A08p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17235954:17236715:1 gene:A08p027190.1_BraROA transcript:A08p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSATEEEDKSGPETCLYVKVSMEGAPYLRKIDLKTYKSYVELSSALEKMFSCFTLGQFGSHGGCGRDGLNESRVTDLLRGSEYVVAYEDKDSDWMLVGDVPWESVKLLLSFSMQDVYMFGCSCQRLRIMKSSEAIWLRLVFLQFFSKSLFYADHSEGNGEMQKQELVRIIHIM >A04p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15083576:15085929:-1 gene:A04p024940.1_BraROA transcript:A04p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:Projected from Arabidopsis thaliana (AT2G25090) UniProtKB/TrEMBL;Acc:A0A178W078] MEESKTVLFDKYTVGKLLGTGNFAKVYHGTEISTGDEVAIKVIKKDLVLKRRGMTEQIEREIAVMRLLRHPNVVELREMMATKTKIFFIMEYVDGGELFERLDKDGKLPEDLARKYFQQLISAVDFCHSRGVYHRDIKPENLLLDGHGDLKVTDFGLSALMMPEGLGGRRGSSDDLLHTRCGTPAYVAPEVLRNKGYDGAMADIWSCGIVLYALLAGFLPFIDENVMTLYTKIFKAEIDFPPWFSTESQELISKLLLSDPEQRITMSEIKQFPWFRKNFTPPEAFSIDETLPSPPPEPPSKKNKKHLNVDEEDGGSSPRSFNAFQLISSMSSGFDLSSLFEIKRKPKRMFTSKLPAITVKERLEEAAPEMNMRVKHVKDCKMKLQSRTEGRKGRLSVTAEVFEVAPEVSVVEFCKTSGDTLEYTLFCEDDVRPALKDIVWSWQGDDDDEHVNTHDNDNLIN >A09p051830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45571443:45574706:1 gene:A09p051830.1_BraROA transcript:A09p051830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFIAQMIPEWQQAYVDYSCLKSILQEIKNAQKRSGAVTRKQPVHRNFSGLTKRSSRVATSEELENQDIVVSEMIGDDGFDRYETSITRVAEAGREPELVFFKTLDLEFDKVNHFYKSKVEEMVKEALVLNKQMDALIAFRIKVDQPSSSWICSETVSVNVNALGTTEHRKTLADVMGIIVSNHGDSTRGNVPEALSLLERIRLNKDQETPLSRIRNVLKLSSHEELKFTRENLKKIEERLKDVFIKFYRKLRHLNNYSFLNTLAISKIMKKYDKIASRNAAKTYMETVDKSYLTSSDEIRKLMVRVESIFVEYFASSNRSKAMNLLRPKVKKEKHRTTFSSGFFVGCSVSLVIALALLIHARNIMGADGKNIYMETMFPLYSLFGFVVLHMIMYASNIYFWKRYRVNYPFIFGFKEGTELGYRHVLLLSFGLNTLALAAVIMNLDMEMDPNTNDYKTITELLPLLIVGLVILITICPFNIFYRSSRFFFIMVVFRCIAAPLYKVNLPDFFLADQFTSQVQALRSLEFYICYYGCGDFRLRQNTCRSSHVYSTFYFIVAVIPYWSRFLQCVRRLVEEKDSTEGYNALKYFLTIVAVCLRTAYSLNRGNSWRNAAWFFSALATFYGTYWDIVFDWGLLHRSSKSWLRDKLLVPHKSVYYVAMVVNVVLRLAWLQTVLDFNIPFLHREIMVALLAILEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYDEE >SC117g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:197938:204107:-1 gene:SC117g500050.1_BraROA transcript:SC117g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRGQPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELVAS >A03p030220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12678220:12681944:1 gene:A03p030220.1_BraROA transcript:A03p030220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPDITLRERSTSSKLINRRLRMSGKRFHHGNTGLQVRGYDNFKQNRQKCRGREAKQYMLKKIQLKENSRIASQSSGGGDPSHVYDSHHGLSEAGCPKQVLAFQNSLASSHDTLLVHCKSGDDDLGVQLVKFGDPVYSIRFGDNVFIGTYWDCFIQHGPNMEYFLNFRAYTSGPSRRCGQLHTWIAKEDGIYFSENGKPEEKTFDWTKV >A01g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11543424:11544394:-1 gene:A01g503790.1_BraROA transcript:A01g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSLGLKMSELSHCVRKKRIELQGLMRVKARKEIVEPQMLLLEQWITLEEEYSTSLSETSKALLNASLRLPLDVDIKVETKELGEVLAVASKSMEGIVQSIGHFLPKTQEIESLLSELARVSSREKVSVEDCGVALLKTHSSHVEDCNLRSQLIQQHHKQCFGKVTVFKKADETDAVEANKNKGNAFRR >A06p020050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9827075:9827702:-1 gene:A06p020050.1_BraROA transcript:A06p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLTSSSQLLELSLYSSIITKPFNVAAINFDVVSQHQLLHVSSPGTIHLVLSAFAIFGIKPPSCLCSVVAGPPLSLTRSGEKIARATSMEEYRLFALMFL >A09g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17456303:17456965:-1 gene:A09g505480.1_BraROA transcript:A09g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSSRQFPPPTRASPLSSSFVSVLSLLLLAYISSLMYYSEPADRTTHQSLSLWHRLSSTDALGQIL >A03p004910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2051060:2051971:1 gene:A03p004910.1_BraROA transcript:A03p004910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKQRHHRSSTGDTKSKPLRSASSSATPEWIAEAINGGSLRRVDPETGTDGWASPPGDVFSLRSDSYLTKKQKSPAGDYLLSPAGMDWLKSTSKLENVLARPDNRVTHALRKAQSRGQSLKSFIFAVNLQIPGKDHHSAVFYFAAEEPIPSGSLLHRFVNGDDSFRNQRFKIVNRIVKGPWVVKAAVGNYGACLLGKALTCNYHRGDNYFEIDVDICSSAIATAILRLALGYVTSVTIDMGFLAEAQTEEELPERLIGAVRVCQMEMSSAFVVDAPPPQQLASQPCRTLSLAKVNHDEDED >A04p026130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15816859:15820132:1 gene:A04p026130.1_BraROA transcript:A04p026130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 7 [Source:Projected from Arabidopsis thaliana (AT2G27240) UniProtKB/Swiss-Prot;Acc:Q9XIN1] MEKVRDLVREGKRVAKEDPRRVVHSFKVGLVLSLVSSFYYYQPLYDSFGVNAMWAVMTVVVVFEFSVGATLGKGLNRVAATLFAGALGIGAHHLASLSGSTGEPILLAIFVFIQAALSTFVRFFPRVKARYDYSLLIFILTFALISVSGFREEQVLVLTHKRISTVIIGGLSCVLISIFVCPVWAGQDLHSLLASNVEKLSFFLLEFGDKYCETVKDGDTKEVDKRKNDFDNYKSVLNSKSNEESLANFAKWEPGHGQFRFRHPWKQYLSIGGLIRQCAYRIDALNSYLNADIQVSIDIRKKLEEPFRRMSFESGKAMKEMSVSLTKMIKPSSSDLHVRNAQSACKSLTSLLHSGILKEVESQELISLLTAISLLIDIINLTENILESLHELASAARFKDKTESPIFAEKPNSKSIVCGWATKGQDDHVVTIVNDDCCNGNTSEKGNRSNKVLIHEKHEDCVHEKHEDDDAHVHSSCDSCGHTSLRVIVECR >A01p053890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29783662:29785968:-1 gene:A01p053890.1_BraROA transcript:A01p053890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAAWEPEYHRVFVELCVEQKLRGNKPGTQHLFEPFQERTGARFNKKQLKNHWDTMCKQWKIWRRLVQCSDMKWDPEANTFRATAEDWANYLQVNPDAGTFRSSPPLYLKKLEIIFEGSNVGDDEGGSSKCKRKRRSRHHHPEQEVDTGYDDDEHDMQIASNFSTHHDMKIESDFSTTHSKGYWSPATHELFLDLLVQETYKGNRPNTHYPKESWRLMLETINQNTGKKYTRPQLKNHWDCTRKAWKIWCQLIGAPIMKWDPNTCTFGASEEDWRIYLEENPRATQFRRKQVPCADKLAIIFKGVIEPGKADFRPYRKKLRDHSESPQLLHDPTPLSTLYINEPVTGSEDGDDDDDDEDADDDDDNDDYDGEPTHTPHRRRLGFAESRLQDVEIGTPVYNSLEAKRMKESPLASAKQCEYTIGECIERLDSMEEVEPGSDLYMFALDLFLRKEYREIFLQLKKASLRISWLLRLQAGPPTHAA >A03p066500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29389814:29391786:1 gene:A03p066500.1_BraROA transcript:A03p066500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-ascorbate peroxidase 6 [Source:Projected from Arabidopsis thaliana (AT4G32320) UniProtKB/Swiss-Prot;Acc:Q8GY91] MTTTTTASLFSRCHSSSSLNFKCELESPAKTRLFSPATGRHVVRSLKACRIRCSSSDDPGSRHVFVSSRRQMAVLLSTVQLLSHWLPPDGKAADMYPLMKDEIRRVVTKGKAAGVLRLVFHDAGTFELDDNTGGINGSIVYELERPENAEKAKIKVDEIQPVSWADMIAVGGSQAVSMCGGPTIPVVLGRLDSMQPDPEDKLPPESLNASGLKECFQRKGFSTQELVALSGAHTIGSKGFGDPFTFDNAYYKILLEKPWRSTTSKMTSMVGLPSDHALVEDDECLRWVKEYAEDQDKFFRDFTNAYIKLVNSGAKWKTL >A01p021360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10385531:10387195:-1 gene:A01p021360.1_BraROA transcript:A01p021360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 5 [Source:Projected from Arabidopsis thaliana (AT4G28320) UniProtKB/Swiss-Prot;Acc:Q9M0H6] MVPARNGSAIPVLGFLICAAFIYLSFRDLWLNHKWRTEIGFVKRNGTQFVVDGKALYVNGWNSYWFMDHAVNEHSRYLVSEMLETGAKMGLTVCRTWAFNDGGYNALQISPGRFDERVFKALDHVIAEAKKHDVRLLLCLVNNLQAYGGKSQYVQWAWQEGVGLSSSNDSFFFDPSIRKYFKNYLTALLTRKNSVTGIEYRNDPTIFAWELINEPRCTSDVSGDTLQDWIDEMTSFIKSIDDKHLLTVGLEGFYGPNSPKRLTVNPEMWPSELGTDFVRNSNSSNIDFASVHIYPDHWFHNQTFEDKLKFVVQWMQSHIEDGMKELKKPVLFTEFGLSNLNKDYEPSQRERFYRTIFDVVYKSAKRRKAGAGTLVWQLFMEGMEGFNDEFGIVPHEQDPMYRLMVEQSCRLGKVTGRREEHKLRKLCSHKH >A02p028830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14508022:14508861:1 gene:A02p028830.1_BraROA transcript:A02p028830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDEHTGVLTFRAKYLPRGCFCKFETKVWYATENKDLFQDEKQECGFDTLSVDELFKGNMPDWLPYDYVTSKLQYYEMKESDVEQGKEWLHLYAKLALYTKMQMDPYMFEQSKPFELGKVIVQTRGVVGSMEKVTVDNAVFYVSFETGFGVVCKCVIRRTRDGMPAHLSEAKCLEGCRSPSLFS >A08p012020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11270515:11270697:-1 gene:A08p012020.1_BraROA transcript:A08p012020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNTKVKIAVRNNAGKTTPAASAPMANAYANATVLEKIENLAATFQYRKLTKRARDFSV >A05p048200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28256117:28258518:1 gene:A05p048200.1_BraROA transcript:A05p048200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSCARIFLLVFLSLLYLAEANIRHYKFNVVMKNMTKLCSTKPIVTINGKFPGPTLYAREDDNVIVNLTNSVSYNVTIHWHGVKQLRTGWSDGPAYITQCPVQPGGNFIYNFTLTGQRGTLLWHAHISWLRATVHGAIVILPKRGVPYPFPRPHTDKVIVFGEWWKSDVEDVIKQSTQSGLPPNLSDAHTINGLTGPITGCNNSHGYTIHVETGKSYLLRIINAAVNDELFFKIAQHNLTVVEVDASYTKPYNTETLFLGPGQTANAVLTANHPTGNFLMTISPFMDTVVPVDNATATAFLRYKNTTTTDSLTMSKTPPINATSIAQNFSDSLRSLNSLKYPTNVPLKIDHSLFFAIGVGVNPCATCINGTKTIADINNVTFVMPTMALLQAHYFNKSQGVFTDDFPGRPLTPFDYTGGNNSIPLSNLQTKNGTQVYRLEFNATVQIVIQGTSVIAPESHPTHLHGSNFFVVGKGLGNFDPLMDPKKFNLVDPVERNTVSVPTAGWTAIRFIADNPGVWFFHCHLEVHTSWGLKMAFLVEDGKDSNEKLPPPPSDLPKC >A03p057940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25094779:25104590:-1 gene:A03p057940.1_BraROA transcript:A03p057940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDDHHRLLSLIESSDAIFTSFSDYLRPFTSPQPTSRSLGKQFLPFLNKSISLFPKRLSATANPQSRESAGDLFRAYELCLDCLESFSAQLACKPHTLQLQRLRMIYCLDAWGFHESVIAQAFKVLEKLRGGEAGSKLLPEVKEGEAELAMVLVEAVAAVFKGVAMSKQVDDEPYRTVLLMVEEVRDWFRVLDAKAYEKLNRVLVTNLGKCALSLVREAERFDGGLVRSFCDATVKEHYKFELSKDRIFKFARDVLSVLCGFKDRRMSMAIDISVSVLRSLTCQFKVESNDDIEDFVVLVAYCAHKFQSAGDMYCIQVSKKLNELAANFSEALPQLNLILRLYSSGLSIMVYDSRESKVKDATDDWKIQALLDDETRWQNLVSLLGMVDQTDLGNQTDLSLVGGHKCYISRTDDSCSGINMKNWWLQYVDALKFLCQPLATLINSVKRKIVLETGMSCASAHLSTIHDAFLQFCDGCLFLQRCTSEKGGREGRETDNNKALLNVATAAFIVSLRTQQKLEISAHVVEHVIDSPWIGSQELKYILASLYNVAVVLYRNKELKKACEALKLCSKASWRCVELDCQIFVNQSSSSDSDPSEDAIMDFVGETCNRSAFYLDVLQQCSRCKIRQTIVHILGNWLSAEHLMRRLPGPAAIVKQWVKIERGCHTNLDAVDSCTTLYSLLSSSKKKSNRAIGKILQQEILAYDEMFSLNLKLGQQTRIEIADILLKDVYVTEDMHIERARILIWKARMTRASGNEHLADCIRSLSEAISILSEVPHGPNKEGPSSSHQLPIAYCLRAFCTQEAEPNSKKVFQDISTSLNLWLRIPSLDDSEDSLPTENIVPLLYSMIDLMSVKGCTELHHHIYQLIFRLFKRKHVKLEVCLGLMWESRRLSHALCPSPISDAFILSLSKNCGDKSTCIDFWIDCLKDSKARLIGFQQNFHDSQNDFLRSSKKDKGPFHSDITIDDITDAASDLISNASLSGQSSFTAAYLYYDLSERLISFGKLSEALSYAKEAYRIRTLLFQEKFKYTAEKQFEKYNDAGKISEIRSYSITDFEVYRSLATDFWPCGNFSWDINHCYLSRWNVLQCYLESTLQVGIVNELIGNGLEAESLLSWGKAISSSQSLFPFVVAFSSALGNFYLKKQSLDLAEKEIQNAKEILVTNQREFSCVKCKLKLEVTLDKQLGDISRKQMDRISQADGFLHAESLFSASLGKICCSAWKSCIRSDGEDIAGGTAIDKNGGEVLGYKSRKTKLSVNKEPTESKGSRRGRRAKASQTCVSKDHDLISEPTSRLTRSMRQSRKEQCQNCVPEVVSNVSDCSGAERVLLDTENAVHGFCICYKGKCTQCLSIDVMESGSLNSLVSLKWELCHRRLASSILVNLGKCLADSGRVHLAHEALLHSISVLFKSNRSSHKQPSVSELLVFIGKEAKGDVFAIDRAIILYNLCWLSLRNYHCRESRSICCDLSHIPFTKLVSWLTLAFILSREVPIVFQKVSRLLASLYMLSSSSDEFSFECDGKELSTSHWVSYFHQASLGTHISYQFISNLSRGHKPQCLSDKVCTEATCSSCMVPEEVDLPRLAPERTQDLVQFAKEFFSNLPSSTIICISLLGGALNELLQELMQIRSPVCAWVLVSRLTLKSQPVATLLPVDSVIEDMSDDDSATISCTEATQVKNLERRWLCPWGSTVVDDVAPAFKSILEESHISSGSPVEDTREHRNSWWKKRKTLDHHLKKFLRNLEASWLGPWRCLLLGDLSNFKLPDSVQKKLVKDLKSKCKMEVNEMLLKVLLGGGIENFEGEACVAQLSLSNGCYVGRGGYLYEEDSCKTPTAAANTSESRHGLALQLIREAATKLEQHDGCDNREPIILVLDPEVQMLPWENIPILRKQEVYRMPSVGSIFAVLKKRSLQGEPARSQAASFPLIDPLDSFYLLNPGGDLSETQVEFERSQYLSSREIEKLENCCATFLMGCSSGSLWLKGCYIPQGIPLSYLLAGSPAIVANLWDVTDRDIDRFGKALLEAWLRERSDSPSPFSSEGGCSQCESLTNELAAMNLKGNTTKRTRKPSSRNKPAQSNADGSGKMECNHNHGRKIGSFIAAAREVCTLPYLIGAAPVCYGVPTGITRKKGIEALLPSSSSC >A07p016660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10258343:10260186:1 gene:A07p016660.1_BraROA transcript:A07p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPLYHDRPFDNGGAPFSPSSISSRTSKHNSSQFLSFLTATTDPKPSRRGPWRRPFYQFLAFFLIGFLLGMTPFGQIDDVNGTDRSNFEIKPPNNMKREEVGVDGVSFVAEEKKKEDFDLAVPRKLVIVVTPTYNRAMQGYYLNRVAQTLRLVEPPVLWIVVEGNAASFETSEILRKTGVMYRHLVCKRNMTSIKDRGVHQRNTALEHIELHKLDGIVYFADDDNVYSLELFESLREIRRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWNPKRWKRPFPHPTRQLDTVKEGFQETTFIEQVVADESDMEGVPPACSRILNWHLHLDALDVPYPQGWVMQKNLEAVITVR >A04p041360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23291110:23291990:1 gene:A04p041360.1_BraROA transcript:A04p041360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g48140/F11L15.4 [Source:Projected from Arabidopsis thaliana (AT2G48140) UniProtKB/TrEMBL;Acc:Q94AX3] MEGITLIIVIMSSLILGGKGQQIISTPCTSSMISTFTPCLNFITGSSGGSVTPTAGCCDSLKSLTSTGMNCACLILTANVPLPTGFINRTLSLALPRACKMTGVPVQCQAAGTPLPAPGPVPFLLAPPPPMSAFSPGSSKAAATAPGLAPDAPLDGPMGPTATPGIRPVVQPLQPTSLAQYSTSPFLPLLFFLFTLLTLLNL >A03p014390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5722552:5723184:1 gene:A03p014390.1_BraROA transcript:A03p014390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLLSPLPSLTGQLTLTGRSSSSLPLNSNPHFPKPSPSRERAATLVLRSKGDDSVDASDRLISAVCYFYPFFDGIQYGKFIITQYQPFQILIQPLFPAIKAFKSFPFNGFLIFITLYFVVVRNQNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPTDGFGLDVVMSLDSTVFLFLLVSLIYGFSACLFGLVPRLPIVADAADRQVL >A09g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20417941:20418850:1 gene:A09g506960.1_BraROA transcript:A09g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQISYARTRIQRFSEIDNANGECYHFRSRVPFKSFDECLQENRSIFSGTVPPIEGYSRRHHSHETEIGYQSLCLRGFVVQASLDPRNRLLEDAFKDGALIRGYVYRFSPAVINQLMITPPVKHSFPWKDVVLKQAITHLTGGECSGWKGFNLNALLNPFQAMYRVCERNWIPGPDTDLMIRKRLRLLYAVAKRKEIDFGQLVYEQVINMARVTDLDTSLIFPNLIYQLFVLQREVPLLPRDEESIGRGLPIYDSESEGSEGSGPRGRRRLC >A10p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1321424:1321933:1 gene:A10p002520.1_BraROA transcript:A10p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTVQKTKPVFVKVDELKPGKSGYNLTVRVVESNPVTPATRKNGSLTRPFQTPRIAECLIGDDTGCILFTARNDQVMIVLLGFANVVDVMKTGATVTLRNAKIDMFKDTMRMAVDKWGLIQVTDPVSFEVNRQNNLSLVEYELVTVPVQ >A09p060740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50287468:50292573:-1 gene:A09p060740.1_BraROA transcript:A09p060740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVAAASKPPNPLVAFEHKRDAYGFPVRPQHVQRYREYADIYKEEEEERSDRWSSFLQDHHADSTDEPSAKESSENSHAQFSENGKGKEDDKLGSKDVTPDDANEEEGGPEAEKSVHRVQLWTEVRPSLRSIEELMSVRVKKKVDLSKGEQEDPKGKSSPSFDDAKSSKGASENDSEDEFYDVERSDVQDGSSSDGTSVSGITVAGDATSFSVSTCPWKEELEVLIRGGVPMALRGELWQAFVGVKKRRSKDYYHNLLAEDSSGNSIYQEDTQHVDGKGSNTESLAVVEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALTGIIDEYFNGYYSEDMIESQVDQLVLEELVRERFPKLVHHLDYLGVQVAWVTGPWFLSIFMNMLPWESGQVLNIGTKLSCLKLELFLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLTGSTFDSSQLVLTACMGYQNVHEIRLQELRSKHRPAVIAALEERSKGLQAFRDSKGIASKLYSFKQDPKSVLVDSSKVSLTNGSLSRSESGSSNADDVLVSLTGDGEVDPVQDLQAQVLWLKAEVCKLLEEKRSALLRAEELEIALMEIVKQDNRRQLSAKVEELEQELAEVQRHLSDKQEQEGAMLQVLMRVEQEQKVTEDARRFAEQDAEAQRYAAQVLQEKYEEAVAALAEMEKRAVMAESMLEATLQYQSGQLKAQPSPRSGNQSPSTKNINDQLPEPPQSRISLLARPFGLGWRDKNKNTTPEKTAEHVIEEKPKAEEKETHSEAKDVKLHDTS >A07p023390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13563922:13566229:1 gene:A07p023390.1_BraROA transcript:A07p023390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ethanolamine kinase [Source:Projected from Arabidopsis thaliana (AT2G26830) UniProtKB/Swiss-Prot;Acc:O81024] MGAAKNNNWAILEGEGGGSSGGNDASSSSSQIPYSSSVVDTSLPLPLMIPRIIELCKDLFSNWRELDDSLFSVERVSGGITNLLLKVSVKEEDKESSITVRLYGPNTDYVINRQRELQAIKYLSAAGFGAKLLGGFGNGMVQSFINARTLAPSDMRQPKIAAEIAKELGKFHKVNIPGPKEPQLWVDILKFFEKASTLVFEEPDRQKLYETISFEELYKEIIELREFTGLLNAPVVFAHNDLLSGNLMLNEEEERLYLIDFEYGSYNYRGFDIGNHFNEYAGYDCDYTFYPTKEEQYHFIKHYLQPDKPDEVSAREVESVFIETDAYKLASHLYWAVWAIIQARMSPIEFDYLGYFFLRYNEYKKQKPLTFSLVTSYLSASV >A09p080920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59070464:59078814:1 gene:A09p080920.1_BraROA transcript:A09p080920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELMRTHKSHRAPKSGRTMKKKSENDKKKRGISDNKQQNPKAFSFTSAAKAKILKLHAAEKEQKRIHLPSIDRNYGDPPPFVVVVQGPPGVGKSLVIKSLVKHFTHQNVPEVRGPITIVQGKKNRIQFVECPNDINGMVDCAKVADLAILLIDGSYGFEMETFEFLNIMQVHGFPKVMGVLTHLDKVNDVKKLRKTKKRLEHRFWTEIYKGAKLFYLSGLIHGKYSKLEVDKLSCFISLSLTKFHPLKWRTSHPYVLADRMEDVSPPEKVQMDKKCERNISLYGYLRGCNLKKGMKVHIAGVGDYSLAGVTVLPDPCPLPSSAKKKGLRDREKLFYAPMSGIGDLLYDKYAVYININDHLVQYSKNDDENGDSTNKGKGRDVGEDLVKSLQNTKYSVDEKLGKTFINLFGKKSERKLEEESSESGDEAEDDEMDVESSDNKMKQKTEIHGGRLRRKAIFKDEVGESDAMGSDQDDVEGEEDIESDGDEAEDDEEDSVSDSEDLDEDDVHEAEDRVLGNISKWKEPLKEKGREKKPNLMQIVYGAPGPSATTPLISETHAISDDDEESDAEDFFKPKGEQSKNLGGAINVGYVNADDCSRFVNYGNLKNWKEKEDCEIIRNRFTTGDWSEAALRNQNSVPGDEGGDFEDLEAENVESGTNQNEDSEVVERRHQKLALRAKFDANNPIYSEAKELGYVDKKVHNVPCEMVEFFDPCHPVLIGGIGYGEDNAGYMQARLKKHRWHKKVLKTRDPIIVSIGWRRYQTVPVYAIEDRNDRHRMLKYTPEHMHCLATFWGPLVPPNTGFVAFQNLSSNQAGFRITATSVVLEYNHQARIAKKIKLVGHPCKIKKKTAFIKDMFTSDLEIARFEGSSVRTVSGIRGQVKKAGKNMLDNNAQEGIARCTFEDQIKMSDIVFLKAWPTVEVPQFYNPLTTALQPREKTWTGMRTFWELRRQHNISIPMNKDSLYKPIERKIKKFNSLVISKKLQEELPFASKPKNKPGRKRPSLDARRAVVMEPGERRALAIVQQLKLMNKVKIQDSTEEEHLKSSQQQSDAANHKVPKPAAVAKHEVQKEEPLPVEVPPLSLDEVKEKTENFGSKALIGEGSYGRVYYATLNDGVAVALKKLDVSPEAESDTEFLSQVSMVSRLKHENLVQLLGFCVDGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLDWTTRVKIAVEAARGLEYLHEKSQPAVIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCIDPKLKADYPPKAVAKLAAVAALCVQYESEFRPNMSIVVKALQPLLKLAAPAPPSPAPES >A10p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15550312:15551503:1 gene:A10p023910.1_BraROA transcript:A10p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKGRQRITMAKMENESNLQVTFSKRRSGLFKKASELNTLCGADIAIVVFSPGGKVYSFGHPSVEIVLNRFKNINQPTLNQNNNMRLNEARPNDAIRVMNDFLTQVMNDLELAKKKNEELKKMRKNSKMPVNWWEDPVEELDLAHAKEFKSLLEKLKSYVTEEASKHFQAIFPQPNFYGGSSSGAPFRDGGYISPNLDPSERRMFNMNAYYNQNMYPPNYPLPYGNNNYAGGFVPEYNLNYMHGFNQYRNQNQNLSFKEEGISENECHQDGPPPHL >A04p001060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:514518:514917:1 gene:A04p001060.1_BraROA transcript:A04p001060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWRQGCGNKRTSISKGMRSTKSSTLEVPDFELPTMSYGCGVEKGYETDGSTLDFLRFCNIHRETRLSTTHACDSPLMAKATVLSALQSAVLLDVSNIKIHSDNQILIRVIITKQ >A05p018330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8389017:8391267:1 gene:A05p018330.1_BraROA transcript:A05p018330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-2 [Source:Projected from Arabidopsis thaliana (AT2G29130) UniProtKB/Swiss-Prot;Acc:O81081] MAMWGLHYLLVAFLITITYGINAESAGITRHYTFHIQLKNITRLCKTKSIVAVNGKFPGPKVTAREGDNLQIKVVNHVSNNISIHWHGIRQLRSGWADGPSYVTQCPIQTGQSYVYNFTIIGQRGTLWWHAHIQWMRATVYGPLIILPKLHQHYPFPKPYKQVPIIFGEWFNADPQAVVQQALQTGAGPNASDAHTFNGLPGPLYNCSTKDTYKLVVKPGKTYLLRLINAALDDELFFTIANHTLTVVEADASYVKPFQTNIVLLGPGQTTNVLLKTKPIYPNATFYMLARPYFTAQGTIDNTTVAGILKYHHKPTSNHFNSSKNLPVINPSLPPINSTSYAANFTKMFRSLANSRFPANVPKIVDKKFFFTVGLGTNPCPKNQTCQGPTNTTKFAAAINNVTFILPNTTSLLQSYFSGMSKKVFTTNFPSAPVFPFNYTGVPPNNTMVSGGTKVVVLKYNTTVELVLQGTSILGIEAHPIHLHGYNFYVVGQGFGNFDPTRDPKQYNLVDPVERNTINVPSGGWVAIRFLADNPGVWFMHCHIEIHLSWGLTMAWVVLDGDLPNQKLPPPPSDFPTC >A10p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4176295:4177698:-1 gene:A10p014450.1_BraROA transcript:A10p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorbitol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G51970) UniProtKB/Swiss-Prot;Acc:Q9FJ95] MGKGGMSQGEGSKVEEENMAAWLVGLNTLKIQPFPLPSLGPHDVRVRMKAVGICGSDVHYLKTMRCADFIVKEPMVIGHECAGIIEEVGEEVKHLVVGDRVALEPGISCWRCNLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPENVSLEEGAMCEPLSVGVHACRRAEVGPETNVLVMGAGPIGLVTMLAARAFGVPKIVIVDVDDNRLSVAKQLDREVDVVGVFRYKNTWPLCLEFLTSGKIDVKPLITHRFGFSQKEVEDAFETSARGSNAIKVMFNL >A08g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19691808:19692418:1 gene:A08g509590.1_BraROA transcript:A08g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRSSHNTQGWRRCKRLSMILRTYVYMCTLHTMLIWFAVELYIDFTLVRRVLMFATDCATYGWFWRRCKRLSVIHREDKEDGWGEFDSEYFDHKVANMVELLKDEHKFRNT >A07p045720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24775811:24777136:-1 gene:A07p045720.1_BraROA transcript:A07p045720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRSLWQASVNATKKALTWELEEMVPPAEKCIFKFSSKEDLKRWHLYSDSEYGGLSSASLEIKDGGNGSDCTGVFSGNLSTDMSEGSKWSITRSGFCGMRSKKFDGFIDLDGYDSIALRLKGDGRCYTSTAFFFLN >A05p003490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1286354:1288709:1 gene:A05p003490.1_BraROA transcript:A05p003490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYASNTRKQSTFLDRCVSKGKYCSLVVKSALNGVSDEILAAITYQIVPADTQYAEIPLAAVTSTHQKKGFGKLVYEELMKRLHNVGIRTIYCWADKESEGFWVKQGFTTLAEVDQKGKAKRLHIKSNIRKALCFPGDSTLMLSHLKKEPSVNPLAGDSVKLGESFGESVYVDCLSTIRSPIDSTTTGKEQEKVIPDQDTTADSDSTPSLKRSWEEASLSSLQSKRIRANRNNDTKIAKEDLATSSKQSKDSSSFQVDSTKNHLPTICKRNNGENYRILLMDIGDENKRAWLTEVIRKLGGDVTVDGNMSTHIVTSKVRKTLNFCTALCSGAWIVSPSWLKESFRQGRFANEASHILHDEDYQLKYETDLKSTVLRAKARPNSLLKGYDVCVGPHVQLPVETSSAIIKSAGGNVIRGVDKVNDATKTIYIGCEEDTAEALRAAKKRVWTFSSEGLMKCVLTQQLELQVTQFVESL >A10g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8328217:8332866:-1 gene:A10g503190.1_BraROA transcript:A10g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANYSGYDIEFFSRSVRQTTYLGSRLAADDLPGSRLAADDLPGSRLAADDLPGSRLVNAEMMRQLHAVYGEWLLRDGCWNFVVDHFKGARMLFLSEGSTHADLVAMAQEDYNLDMNTESVELTYSLQQMAPDLPHIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDKDHVGDEAEEGDEADVSDEDEEGDEAEEGDEAEEGHEAEEGHEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCCVSGCQWKVRASVKHGTKTFWVTKYLATHICSIPDRIAQRKRCTPKYIGRLFIDRVGIIDGDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVETRTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQLSRPEDLLVLIIVLVFPFMAHRKLSKYIQRHLLPDGLPVFFVKRGGKFHPKSMTFNVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERALNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMPVLEVHRCIDIIHIHPPNLLKFAKRRYHAWMIIPRPTRESSS >A09g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29254439:29254944:1 gene:A09g510080.1_BraROA transcript:A09g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A07g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6506485:6508214:1 gene:A07g503280.1_BraROA transcript:A07g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKPSKSNAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVKASAYAHELPQYGLTVGLTNYAAAYCTGLLLARRVLKMLEMDEEYEGNLEATGEDFSVEPTESRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFNKENKQLDAEIHRNYIYGGHVSNYMKMLNEDEPEKFQTHFSQYLKKGVDAETMEELYKKVHAAIRADPNPKKTAKPAPKAHKRYNLKKLTYEERKNKLIERVKALNGAAGGDDDDEDDEE >A08p031870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19408899:19410908:-1 gene:A08p031870.1_BraROA transcript:A08p031870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKFREQVARQQQAVLRQFGGGGYGGSDNVITDEEELHRHQKLEKLYISTRSAKVYFNFLLLELYFSLATVLLKCTSSSSQHFQRDIVRGVEGSIVTGSKQVEIGTKLCEDSRRYGSGNTCTSGNTLTRASLSFANARALMEKERGNLLKALGTQVAEPLRAMVIGAPLVDARHLAQRYERMRQETESQTIEVSKRQAKVRENPGNPELVMKLEYAEAKLQDLKSNMTILGKEAASAMAAVEDQQQTQTLQRLITLVEAERIYHQRILEIIERLEGEMRVEQQRIEGPQTPQVENITSSPPPPSYEEVSNGVHASQMHNGTSDAMGYFLGEVMFPYQSHSEFELSLSVGDYVVIREVTSSGWAEGECKGKAGWFPYDYIERRDRVLATKVIEVI >A01p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3236931:3239452:-1 gene:A01p006390.1_BraROA transcript:A01p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQAIRLNLSSYSSLSPCQTSVNQKQKTLVTFLTSCRKGKRRSLLTVKSVLNNTRPSFNDNGTADEPSKILLDKLFARTQEQTNENSVYPPYSSLGGLESDLQAALMALLKREEDLQDAERKVLSEKKKLNKAKEGLEKRERVILQASLKHESLQEELKRANVELASQAREIEELKHKLRERDEELVAVQASLTFKERELDRMRVEISIKTKEASVASFEFENKSQLLIQANEIVERQEDEIEALQRALKEKEEELEIATAAKKLEQEKLRETEANLKKQTEEWLVAQEEVSKLQEETAKRLGEANETMEDFRRVRKLLTDVRFELVSSREVLLSSREQMGEKEVLLEKQLEELEEQRRSVLSYMQSLRDARGEVESERVKLRVAEAKNFALEREISIQKELLEELREELKKEKSLLEQAMHDVSTIQDELDKKTNEFQVSQTLLQEKESSLVEAKLEIQHLKSEQASLELLLQEKDEELTEARNQLEVVNREVTELKMLMRTREDQLTQATELLKEKDVHLNRIEDELGSSKIQASEAEMVVERIAELTSRLVQDQMQQQPLEKQPYGDYGMENKRLVMELNFTRENLRLKEMEVLAAQRALTLKDEEINVLMGRLEAKEREVKKLKEETVNDGEDLKMLYALAQERIEGRTMGDLAIEKLQLEAAQLEVEAATSALQKLAEMSTELLTQADMSVEVDSDFAVVPENENSSADCIAEVKSEVGRLWSLTEKLLENAGIVDGTTSTCINGL >A10g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2763750:2764932:1 gene:A10g500910.1_BraROA transcript:A10g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWEDGFCGGGGRSEDSARCPFGYTIMEKGGLMGKDVKLLLINVTNGYSKNHLNLNPILLITGRIPPEWTDQFESGIPTIAVIQAGHGLLQLGSCKIIQEDLHFALRMRKMVELIGYQSGLYLSQLFSSNGTAATPSSSSVPNQQLQSQASKTNYLHQQDMVSFKKAMLPPVEEQEEDIKWPNGLSSFNALTGRAEEASRHQEQNQMNIKKQNDLLSLESHHYQQERTLRHQRQPRSISNH >A08p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10281692:10282740:-1 gene:A08p015750.1_BraROA transcript:A08p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase protein 15 [Source:Projected from Arabidopsis thaliana (AT4G14130) UniProtKB/Swiss-Prot;Acc:Q38911] MGQSSSFTTVMVAVLLVMMFGSAYSGNFFNEFDLTWGDHRGKIFNGGNMLSLSLDRVSGSGFKSKKEYLFGRIDMQLKLVAGNSAGTVTTYYLSSQGATHDEIDFEFLGNETGKPYVLHTNVFAQGKGNREQQFYLWFDPTKNFHTYSIVWRPQHIIFLVDNLPIRVFNNAEKLGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRGFNAAACTVSSGCDPKFKTSLSDSELQTANELNAYGRRRLRWVQKYFMIYNYCSDLKRFPRGFPPECRRSRV >A02p004000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1525069:1526242:1 gene:A02p004000.1_BraROA transcript:A02p004000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLGRDQYVYMAKLAEQAERYEEMVNFMEKLVTGATPSSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVALVKDYRSKVETELSSVCEGILKLLEENLIPSAAASESKVFYLKMKGDYHRYMAEFKAGEERKAAAEDTMVAYKAAQDIAAADMAPTHPIRLGLALNFSVFYYEILNSSDKACDMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVSKLSSSHLSVNRNLVNRGADGRGMRSLRKGECYEPCFRHQFRSQHPP >A09g516090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47640167:47641037:1 gene:A09g516090.1_BraROA transcript:A09g516090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMMIIPAWNIAVSDDDYSRKVPSFSGFLNYMESGSKTSVRNWKVLDHRDSDHVQHSCSGLLMLATVNVHRLTTYVTRCNQNFRLPDSTSLDEMTELPYQVECTPPYQLDQSCRVIKYHFS >A10p021110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:14216941:14217234:-1 gene:A10p021110.1_BraROA transcript:A10p021110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGIPQWDWRGSVGLLMIMFTAPFVSSRLMAEFLAVRAAITSALFRGLDSILVLSDLQVLIKTTNKKEMNLEIFGVLRDIYSVFSTFKSIAFKFIL >A09p024980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13901383:13905699:-1 gene:A09p024980.1_BraROA transcript:A09p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSTHHISLLPYKFTVTINIIKFHSITIKLNPCPLPPHCYISLVRSSSPPPQVLNMVWFRAGSNVTKLAVRRILNQGTSYATRTRSLPSQTRSFHSSLHRPNPQSTTAPIPRAVPLSKLTDSFLDGTSSVYLEELQRAWESDPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMNLLLLVRAYQVNGHMKANLDPLGLEQREIPEDLDLALYGFTEADLDREFFLGVWQMSGFMSENRPVQTLRSILARLQQAYCGSIGFEYMHIADRDKCNWLREKIETPTPWRYNRERREVILDRLAWSTQFENFLATKWTTAKRFGLEGGESLIPGMKEMFDRAADLGVESIVIGMSHRGRLNVLGNVVRKPLRQIFSEFSGGIRPVDEVGYTGTGDVKYHLGTSYDRPTRGGKKIHLSLVANPSHLEAADSVVVGKTRAKQYYSKDMDRTKNLGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALSAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIKNHPSTLQIYHKKLLECGEISQQDIDRIQEKVNTILSEEFVASKDYLSKKRDWLSTNWAGFKSPEQISRVRNTGVKPEILKTVGKAISSLPENFKPHRAVKKVYEQRAQMIETGEGIDWALAEALAFATLVVEGNHVRLSGQDVERGTFSHRHSVLHDQETGDEYCPLDHLVMNQDPEMFTVSNSSLSEFGVLGFELGYSMESPNSLVLWEAQFGDFANGAQVIFDQFISSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERYLQMSDDNPYVIPDMEPTMRKQIQECNWQIVNATTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDDERKKVGASDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEAMNMGAFSYITPRLWTAMRSLGRGDMEDIKYVGRGPSAATATGFYTFHVKEQAELVQKAIGKEPIS >A04p036010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20676772:20679094:1 gene:A04p036010.1_BraROA transcript:A04p036010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLENSVTVISTNKVFPDKKSTLADLKLSVSDLPMLSCHYIQKGCLFTRPHLTPHELLSHLEHSLSLTLSHFPPLAGRLSTSEDGHVFLSCNDAGADIVFAEAKSVRVGDVMAGVDVPGVVKEFFSYDRAVSYEGHNRPILAVQVTELNDGVFIGCSVNHAVVDGTSLWNFVNTFAEVSRGAENATRQPDFTRESVLISPAVLKVPRGGPKVTFDENAPLRERIFSFTREQILELKAMVNGERNGVEVLGKQSNDKLNGKLTEMLESLLDRNDAVSKTESKREISSFQSLCALLWRAITRARNLPSAKTTTFRMAVNVRHRLSPKLNPEYFGNAIQSVPTFATAGEVLSRDIRWTADQLNRSVAAHGDERIREVVADWEANPRCFPLGNADGASVTMGSSPRFPMYENDFGWGKPVAVRSGRANKFDGKISAFPGRDGNGSVDLEVVIAPETMAGIECDGEFMREKESTIFGNDITKKMQKKSLSFLSSSHIIWKPNTQFKKRWAYPTP >A08g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9506742:9507343:1 gene:A08g505670.1_BraROA transcript:A08g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQKKSTLIDAHTRTSIDASIQASINAYLAPFEDRLPSFTYRLNGVYYPLRDRVDSVNTRLDALQQEHKMHASEVARERLKNQWTGGDETIRSFIGTWFLLNKDEMDTCIQPRGHFDHY >A02p032760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17197531:17199345:1 gene:A02p032760.1_BraROA transcript:A02p032760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIHKDQKTVSFDKCISSSSRCSFMAIVIFLIFLSYFLYSFSFISVLKSPSKVSNSLLVPVMQVQLGSGQNHTEDKTELNHIVFGIAAFSKLWKHRREYVKTWWKPNGEMKGAVWLDKPTVNDSLSSALPEIRISSDTSSFKYRYRRGHRSAIRITRIVSDTVRMLKGTESERNVRWIVMGDDDTVFFPENLVRVLRKYDHKQFYYIGAPSESHLQNLQQFSYGMAYGGGGFAISYPLAKALEKMQDRCIESYADLYGSDDRIHACMAELGVPLTREVGFHQFDVYGNLLGLLSVHPQAPIVSIHHLDVVEPIFPKMDRVKAVERLMISAKLDSASLVQQSICYDRTHQWTMSISWGYTVQITRTYMPARMMEVPTRTFSDWHKRHDFNNLAFNTRPITYTDCQRPRVFYMSRTLGDSSSSTTITEYLRHNELNPQCEWGIPDPSDINRIFVHKECNPDRWNKAPRRDCCRLLPATKKGTMVIKVAPCENDEIAAYSDK >A09p007760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4057382:4063655:-1 gene:A09p007760.1_BraROA transcript:A09p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQLTRGNGLYKEAAMREIDVNSKKYRVDPRVESLFSSIIILLLVSFSCFISSGALTSNKGNITIKWDLMNWTPDGYIAVVTAYNNQKQRSIPSPGWKMSWRWAKKEVIWSMAGAQTTEQGDCSMFKGNIPKSCVRKPTVIDLLPGTPYNQQISNCCKGGVLKPGLASSFQISVGAAGTSNSTVRMAVNFMFTAPKQQYICGPTKNVMRTKFITSDSKRTTSALMTWNIACAVVTMFNFQKYRHIPSPGWTLGWKWAKKEVIWSMVGAQTTEQGDCSKYKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPGNAASSFQISVGAAGTTNKTVRVPRNFTLMGPGPGYTCGPAKVVRPTKFVTTDTRRTTQAMMTWNITCTYSQFLAQRTPTCCVSLSSFYNETIVGCPTCACGCQNNKTESGACLDPDTPHLASVVSPPTKKGTILPPLVQCTRHLCPIRVHWHVKQNYKEYWRVKITITNFNYRLNYSQWNMVAQHPNFDNITQIFSFNYKSLTPYAGLNDTAMLWGVKFYNDFLSEAGPLGNVQSEILFRKDQATFSFEKGWAFPRRIYFNGDNCVMPLPDTYPFLPNGGFRPEVSVFASVLLPVLLVFFFSA >A06p015760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7050223:7051048:-1 gene:A06p015760.1_BraROA transcript:A06p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFITVLISLVPVFLQPGLAQGQSPPASCASLLLALAPCGPFVQGFVQFPAQPCCSSLSQIYSQQPTCLCLFLNNNSTLSSAFPINQTLALKLPQLCSIPANSSVCSSGASTASPPSTNSTGSQVSMGAKNNSVAAATPVAQVAPKPSNMMGLGDGLRSSGPTFKIQVTIFVIAAILAGTLFLV >A02p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2620689:2623873:1 gene:A02p006220.1_BraROA transcript:A02p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASTIRYAPEDPNLPKPWKGLVDSRTGYLYFWNPETNVTQYERPPGLAPPKPSPAPVSSSVQAQQQPSSGYSSGKQEDKYDRDNDGAKNDSGSRFSEASRSGPMNSSNAANGPGNASSGGSSAVVPPPPAAGVEKMSPEAYCRRHEITVTGGQVPPPLMSFEATGFPPELLRELYGAGFSAPSPIQAQSWPVAMQNRDIVAVAKTGSGKTLGYLIPGFMHLQRVRNDSRMGPTILVLSPTRELATQIQAEALKFGKSSRISCACLYGGAPKGPQLKEIERGVDIVIATPGRLNDILEMKRISLHQVSYLVLDEADRMLDMGFEPQIRKIVNEVPTKRQTLMYTATWPKEVRKIASDLLSSPAQVNIGNVDELVANKSITQTIEVIPPMEKQRRLEQILRSQEPGSKIIIFCSTKRMCDTLARNLTRTFGANAIHGDKSQQERDDVLNQFRSGRTPVLVATDVAARGLDVKDIRVVVNYDFPNGVEDYVHRIGRTGRAGATGLAYTFFGDQDAKHASDLIKILEGASQKVPQEVRELATRGGGMNKFRRWGTPSGGGGGGGGGYGDSGYGGRGGGRGDSGYGGRGDSGYGGRGGSGYGGRGDSGYGGRGDSGYGGRGGSGYGGRGGGDSRDSSGRGSGWGRERSRSPERFNRAGAAGPSTSSPPRSFHEAMMMRQK >A08p031600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19289051:19290304:-1 gene:A08p031600.1_BraROA transcript:A08p031600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKTDQKAALDIASWLFNVVTSVGIILVNKALMATYGFSFATTLTGLHFGTTTLLTTFLTWLGYIQPSQLPWPDLLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCLLEVVLDNVRYSRDTKLSILLVLAGVAVCTVTDVSVNLNGFLAAAIAVWSTALQQYYVHYLQRKYSLGSFNLLAHTAPVQAASLLLVGPFLDYWLTNQRVDAFNFSFVSLFFLILSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLVLGFTFFGKEGLNMQVVLGMLIAILGMIWYGNASSKPGGKERRSPSIPITKAQKLNGLSETSESDGKV >A04g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16424213:16424774:-1 gene:A04g507020.1_BraROA transcript:A04g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMVCIAFV >A06p021790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10841706:10842715:-1 gene:A06p021790.1_BraROA transcript:A06p021790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKHNTSMPKLLSVNDCKVLLALSEYIVVFTSQLQAHTRLYKVVIFKNIPSLFHSNFSLKDQANIFLLRSSNKDFAVSKDSAGNVTEDNIKDAISWYKQALGFNVEAGHGVKFTFTNIDAKRPTREFSFTVHYGNDIYSSANTFRKFATGNFQQITHILILTCYRLGNEGRAFNRNRIKEKTKAEM >A07g503490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6822416:6822700:1 gene:A07g503490.1_BraROA transcript:A07g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLINEIFSRLPAKSVARFSCFIPMDLMLDRSYFKELFFIRSSARPRLLFSVKQDGYDDLCLFSSPQPCDLYEKSSSLVITVDFHMKLPKDIRI >A04p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2959788:2966661:1 gene:A04p005610.1_BraROA transcript:A04p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 20 [Source:Projected from Arabidopsis thaliana (AT3G55320) UniProtKB/Swiss-Prot;Acc:Q9M3B9] MMISRGLFGWSPPHMQPLTPVSEVSEPPESPSPYPDPSETGGTATAAQAEDDDEMEEEPDEAEPPPAAVPFSQLFACADCFDWVLMILGSVAAAAHGSALIVYLHYFAKIVEVLAFSGARSEDQYDRLVELSLTIVYIAGGVFVSGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFISGLVIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAAGIAEQAISYIRTLYAFTNETLAKHAYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWIGRFFVTSGRANGGEILAALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISPSSSVANQEGAVLASVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRDATLDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLVMTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERIVQEALDLLMLGRSTIIIARQLSLIKNADYIAVMEEGQLVEMGTHDELINLGGLYAELLKCEEATKLPRRMPVRNYKESAVFQIERDSSAGCGVQEPSSPKMIKSPSLQRGNGVFRPQDLCFNTEESPNDHNPAAPEKLGENGLPLDDADKEPTMKRQDSFEMRLPELPKIDVHGPQHKSNDSDPESPVSPLLTSDPKNERSHSQTFSRPLSSSDDTKANGKDAQHKESPSFWRLAQLSFPEWLYALLGSVGAAVFGSFNPLLAYVMALVVTEYYKSKGGHLREQVDKWCLILAAMGIVTVFANFLQHFYFGIMGEKTTERVRRMMFSAMLRNEVGWFDHEENSPDTLSMRLANDATFVRAAFSNRLSIFIQDSFAVIVALLIGLLLGWRLALVALATLPILSLSAIAQKLWLSGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRMQLQRILRQSFLHGMAIGFAFGFSQFLLFACNALLLWCTALSVKRGYMKLPTAITEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDRVPTIEPDDNSALKPPNVYGSIELKNIDFCYPSRPEVLVLSNFSLKVNGGQTLAVVGVSGSGKSTIISLVERYYDPVAGQVLLDGRDLKLYNLRWLRSHMGLVQQEPIIFSTTIRDNIIYARHKASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVELTPGQKQRIAIARVVLKNAPIILIDEGSSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDALAAKNGLYVRLMLPHFGKGLRQHRLI >A10p018330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12830593:12834545:-1 gene:A10p018330.1_BraROA transcript:A10p018330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 1 beta [Source:Projected from Arabidopsis thaliana (AT5G55310) UniProtKB/Swiss-Prot;Acc:Q9FJ79] MATEAFVKPVVPNGHDGYDDDDEDEMPLVFKRSSNNNNTSSSSSNRPRPNSNGQKSSSIGSTKSPPPSRSPLTSPNRSASSGRSSLMKPSLPSSSSVQRSTVKSPPVGDGRSLVAKERNGLGKAPPVSKSDDEDSEDDKPLSARLKGDTKQASSSGRGSSLQPVQRSNVRPQGVNDNSRKRDYDERVQTKTNVGASSSSKAVNNDQKRPLVNNINRNGLKPKIEGNGSQAPAKRPLEKGNSSNQSSVKRPKLSEPARPMKTEQGSRNAATAPDSKGNNLEASKPLRANQATDKEDNSDGDDHVPIASRMRQDSSNKKPSSVKPNASMIASSSRTIAKKPNKWVKDSKYSKSTRSLPSGDGQKKWKTLEHNGVIFPPPYKRHGVKILYQGKPVDLTPEQEEVATMFAVMRETEYYNKKMFRDNFWNDWRKILGKNHVIKNLDDCDFSPIYEWYMQEKEIKKQMSAEEKRILKEEKLAQEEKYMWAVLDGVREKVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPCDITINIGKEAPVPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSALKGLSDKEKYEKARKLKDDDEADTVGCCTLKVGNVDCIPPNKLKFDFLGKDSIQYVNTVEVEPPVYKAIGQFQAGKSKTDDLFDELDTSKLNAHLKELMPALTAKVFRTYNASITLDDMLSKETRDGDVPEKVVVYQQANKEVAIICNHQRTVSKSHGAQVEKLALKIEELREQIKELDIDLDRAKKGRTPLVGSDGKRKRNLTPEALEKKIMQTNAKIEKMERDMQTKEDMKTVALGTSKINYMDPRITVAWCKRHDVPIEKIFNKSLLAKFAWAMDVDPEFRF >A02g504120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13664015:13664248:-1 gene:A02g504120.1_BraROA transcript:A02g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVMSMVWIMMILAVVIVIEGEAKSEIECSKICRDHCKRSSPASECAACRTECYKSPPVAMRGRNRRMVPEVHIQQ >A01p048310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27213466:27214588:-1 gene:A01p048310.1_BraROA transcript:A01p048310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILSYVVAAEVAIAVILTLPYPMLLKKRIVSLVSLVLQPAASIVAFAGFQLLDIYWKNEHRLECSSEVCTATERDRYEKSIYKAQRNVVLCAAGILLYWCIYRICKYNKDLEHLEELEKRYKAE >A03p074370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32381552:32383249:1 gene:A03p074370.1_BraROA transcript:A03p074370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFEPAPGPAYPIFQQASRDELVRVWARSGMGSVYPAGRAGIRTDIITLTLELWPTNETKKWRSLPASFPASTKAISSGLFSYSIPTIQERWNQTWLALTHPPACTANACASCGSGLGSTSSDRIPRGHVPPRSALTVYRGGAGQGGSKV >A05p019980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9361963:9363005:-1 gene:A05p019980.1_BraROA transcript:A05p019980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTNDNSTYSQFQLVPTYGSSSDNKSDADSSGTTTYLDLIANSDLFRDKLHDLPQDSGRIVKDPNVGGEILDLHQLFIEVINRGGIEKMIRDCKCNEVIGTFNLKTEVKNAAYVLRKTYLNMLSELEHMYFFKEPMPSKLQPCTFLKGFTDGKFGNRYFVTMKTGSHEGLNTEMSESVYPIDAATAAANGDEAGTESEANESL >A09p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000070.1:73810:74948:1 gene:A09p040730.1_BraROA transcript:A09p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPHSFLFSLVGGPARPHHPLIDPFSSPVPSWRNVLEDDSEAVPMAPMRRFRSCFFDDGPRSETREGNLANIRRKYLIHPSVGMRSPTEFERAPNGGAGEVAVYEAYLEAGFRGAIPSLLGEVSSFFGFSPSQLTPLTWRTIMALQALGKLHGSSLFDLVMAPLWSRNLRGVSEEIIPLGMVGTVDVSHLVSFFGEAVVKLVMGNPRRFRWVTFLVSREALRHSCVWGNAVRSPVSVIYDEYQKVKMRKRRLSYTPPPRLARAALSAGGLSSISSTSAENMPNQDLLVDAHRRLTSEALLLRGHSKI >A09p049710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43899094:43901464:-1 gene:A09p049710.1_BraROA transcript:A09p049710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQVVLAPPPPCVLRDPAWMMFRFATLLHQCLHCTKKGSSKETSLASHVIGLLALTVGLGDQAQEILEESVTPLSQALKSGREVLKITSILECLAVITFVGGTNPEQTETSMQIIWQMIHPKLGSNVVATKPSPAVITTVVSSWVFLLTTVDRWTLSPNLWQEIVTYLSSLLEKDDRSVRIAAGEALALIFESGTLEKFAAEAKESANNGSVKEGSVSQEALIHMHGLKSKVVNQVRDLSVEAGGKGSAKKDLNSQRNLFKDLVEFLEDGYAPETSTKVGGDYLLTTTWYQMIQLNFLKHFLGGGFIKHMQENEFLHDVFSFTPKKVGGRGSGMSSEEKRMFKSPNSALNKARTQFLNKQRMLAKNMNDGHYAATVENE >SC289g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000136.1:21415:23737:1 gene:SC289g500010.1_BraROA transcript:SC289g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHARLVLSNQFVAYPTLFLLTDHVLVIGLAVEECILAKPAHLGTSPFTSMKPKLTSTLTWLTTTKDQACSDTISVTPDPGRLGRGRCLTSPQLLVSKHNCQLAPRSNLNLDQVIQSEVLLP >A07p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13794895:13795310:-1 gene:A07p023890.1_BraROA transcript:A07p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGYYFWVQVSLAASNRIGKEVIETEHGPSQITVYGNSFIAGITSNETSDQSEIVAEADDRTEAVLTWHSSILRRLSRRGKVGEVFRDRRSDLYKVLLTMWTVTPDRF >A10g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21439935:21441377:1 gene:A10g507050.1_BraROA transcript:A10g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast 34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05000) UniProtKB/Swiss-Prot;Acc:Q38906] MAALQMSREWIGIQQFPPATQSKLLEILNKFKEEDVSSLTVLVMGKGGVGKSSTVNSVIGEKAAAVSTFQSEGLRPSLVSRSRSGFTLNIIDTPGLIEGGYVNDQAVNLIKRFLLNMTIDVLLYVDRLDVYRVDDLDKQVVTAITDAFGKEIWKKSALVLSHAQFSPPDGLNYDLFVSRRSDALLKLIRASAQLKKQDIQDSSIPVILVENSGRCHKNESDEKILPDGSSWIPNLYKTITDISFNGNKSIHVDKKLVEGPNPNARGKRLIPLIFAFQYLLVMKPLVRAIKSDVSRESKPAWEMRESGSASRRS >A06p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10510044:10512113:1 gene:A06p021230.1_BraROA transcript:A06p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDTHNTWAFVFGLMGNVISFSVFLSPVPTFYRVWKKKTTEGFQSLPYVVALFSATLWLYYATQKKDVFLLVTINSFGCFIETIYISIFLAYAPKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTRSVEYMPFSLSLTLTISAVVWLLYGLALKDIYVAFPNVIGFALGALQMILYVVYKYCKTPPHLEEKEVEAAKLPEVTLDMLKLGTVSSPETITAVRQANKCTCGNDRRAEIEDGENAKNGKQSSSATAT >A09g501780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6490635:6491029:1 gene:A09g501780.1_BraROA transcript:A09g501780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQEMLKKEGLETGTNYEIHHFHEHWFKSLPSLLFQWAPKKLSISHETESAFTRGTAIGAISEAVSSIEIFESLLCLMDVIVSLISGRLVRERIGPVT >A02p055360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33545045:33547124:-1 gene:A02p055360.1_BraROA transcript:A02p055360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHNHLSQDLSFNHFTDQDGPPPPPPQQQQQQQHFHDASPPNWLNTALLRSDNNNNFLNLQTSAANTTAATTSDSPSSAAANQWLPRSSSILQRAENNNSSGAAVVIDEGGAETMIGGENKNDGGGGAAAAAEGVVSWQNARQKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSALGAGQGLVGDDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQQVESDANMFDGGGGLDVLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVLKNKRKKYTVTVAEESVSRRKNKVYGVNLGAGLDGIRSSV >A04p019330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11556728:11557688:1 gene:A04p019330.1_BraROA transcript:A04p019330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGANKLTKAQGGPSLKSVLRHDHLKNLALWSTSGDTPIPSLATFFGRRLAADGEASDIPHDPDLFSCQRCETVLQPGFNCNVRIEKVSANKKKHMRRYKKKKPNMFLPQNNVVYYCNLCSHRNLKRGTTKGQMKDMYPPKPKTTRPRPKIDKEMMVVVPQGIQSSNTLPCSPGRRVENDQMLENSSAVENTPKPMLTLEREKRIRKSKSKKPIEPESVPEKKATVGGGGGGSNKRKRKAWTRMKELSETTTTNKSSSSVNFKIPFLL >A04g506500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:14475629:14475844:1 gene:A04g506500.1_BraROA transcript:A04g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAESVKAQDITQAQQELAQVIKGVNGSLNQDQKTTIRQKSEKKGTDCAKEQRALVLCNQFDTLLSVASG >A03g506690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24032195:24033084:1 gene:A03g506690.1_BraROA transcript:A03g506690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLKLSYMSDIKAYKTETWIQVKSKVSDGYQLRAGRMSDPISIHCKSKIYINFRSRLNTDLKETEQIIQSKTMLQSGVKQSSHVLRKDGAKSTPKLRQIALIFSSQESDVSNVSDHAQDLFREAVSEKANIESMMARIRKMCESKGKLKKKHRVHRLSQKLLVKVII >A03p011980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4786597:4788468:1 gene:A03p011980.1_BraROA transcript:A03p011980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHLFRSSPPQEKQPAHQTLAESAVQECMSKINTVISKWTSPSPSSDAHLFSTNSRREAEEFAEAVRHLHITMHRLAAVNPSSEKLVYAQNLMQSAMNLLESEFHRVLKENRQYLDPECVSVRSYRSSRFASTPTSVSDSEDETFYEENAAEEHRFSGGDSDAMDDLKMIADCMISTGYAKECVKVYKTVRRSIVDETLHGLAVERFTLHQVQKMDWDTLESKIRTWLPAVKLAVRSLFFGEMLLADHVFSSSLNIVESSFTDITQEGALTLFTFPENAAKIKKLSPEKMFRFLDMYESLASLFVEIESIFFFDSAAAVRAQVINSLAKLGDAARLMMTDFETAIQKETSRTPVVGGGVHPLTRYVMNYLSFLADYDESIAVIFENWQLTSPSPSPESFAGDDARPEELYSSPVSVRVAWVILLTLCKIDGKAQPYKDVALSYLFLANNLQYVVVKVRSSPGLKVLLGEDWVVRHEGKVKQYAEKFEKLAWGKVLTSLPENPKEEIAPEEARELFGRFNDEFEAAYRKQMSWVVPDPKLRDWIKISLSGKLVPVCSEFCVVNRFGLGGDGFNVRYTPEDIGNYLSDLYFGSRGSGSVSTKGSGSGSGTGSSTTVKSRGGRSH >A03p057370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24873225:24876083:1 gene:A03p057370.1_BraROA transcript:A03p057370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNPCAHGLNLRSWMYAFFINLLDVVYNMCVVIQPEQKRLISRNIGDAEFTVFFPERNKYNYTMVSQYNLYRLFYFIIGIKYKSYKAQVMRTGELRYWVAKGSGHGQVKPGGVLEPRPQFLKSVLILGGRLPRGALADSHKQASASASDRLRRIEQHACTLPIPIIRSIILRIFSHILNWGPILRGHIPSREGGGGGGDLGKGTGGARGGEVGGDTGGTLCGGTAGGSSGGETGGARGVEVGGILGGDIGDVCGGAVGGRLGGDTGGTSVGEVGGASGGDLGGAFGGNVGGLPDGDISGASGGEVGGVLGGDIGGARGGAVDGVIGGDNGGVCGETVGGILGGDMGGDRGGEVGGVCGEIVGGILGGDIGGVLGGDIGGVLGRDTGGRETGGILGRGTSGRETGDILGRDTGGRDTGGIFGRDTGGRDTGGNLGIEIGGRDIGGVLGRDTGGRDIGGVLGRDTGGRDTGGILGRDTGGRDTGGVLGRDTGGRDTGGALGRDTGGRDTGGIFGRDTGGILGRDTGGRETGGRDIGGNLGRDTGGRDTGGILGRDTGGRDTGGIFGRDTGGVLGRDTGGSETGGRDTGGILGRDTGGIVGGFLGGYTGGALRGEVDGVLGGETGGVCGGIIGEFFGGDAGGKRGEEVGDVFGGETGGASGEIIGGLLGGDTGGARGGEVGGIFGGDIDGVCGAAVGELFGGDTGDVLGGEVGGVFGGDTGDARGGDIGGVLDGDTRGVRDGIVGGDTGVPRGGEFGGILVGNTGCVCGGTVGTLLGGDIGGVRGREVGGILGGETGDARGGEVGAALGFGGGGGGARGRVGLLASVWPERKMVSAKKRAKAVGEYFGSIFFMVFCPQRRTVV >A03p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3799551:3811679:1 gene:A03p009470.1_BraROA transcript:A03p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLFYKSQKRLGVSSSSVRGGEERVVIREEDRISSLPDPLLSHILGFLTIDEAVWTSVLSSRWRHLWKWVPRLELDSSYFPSDKVCVDFIDEFLAFQGNSYLREFKLTIDHDVFYSDVSLYQPCLGRVDMRKIERFQVENRIEKLSVDNIATPLTLSACEALLSLKLHFVRLNEFESLSLPCLNTMYLEDVVMPSDAAAEALISSSPVLEVLKISLSRDDVMVALRVCSASLKSFTLKGAERFYVRGHSSVLIDAPKLEYLSLMDYYQFRSFKITSVAESFKVDIDVDFMLRYSFHDMKPPPKFHDVTRLHATMSLNASPELLPVVLKSCPNLKHFTLVLVIDDDPDAGSSSTRLSTVLPRCLVSSLESVEMENPVTEIATELKLARYFMKNSTTLKKLVLRLKDCTLKPCVLEQLVKSSRCYGLSQFDVIPVVPTPNPWPEGLGVSSSSVREEEEERVVIRGEDMISSLPEPLLCDILSFLTTEQAVQTSVLSSRWRHVWRWVPRLELDSSDFTDNQACGYFIDKFLAFQGKNYLREFKLTIDHDIFGGDSSLYEPCLSKLDMRRKLERFQVESTFGPVSFDDDFPTPLTLSVCEALVSLKLHYVRLNDDLKSLSLPCLKIMFLEDVVMPCDAAAEALISSSPVLEVLKISRSRDDAVVALRVCSASLKSFTLKGAEVLCPRGNYSVLIDAPKLEYLSLMDYYHFRSFEIISAAESFKVDIDVEFAEKNNFMLELIIFYTFINNFSGVKDMTMSWRTLQFIYEIHRMRTLPKFHDLTRLRATVCLKASPELLPMLLESCPNLKHLTLELFIDHPVASITGLSTVMLHPCLLSSLESVEIQSPVTEEANELDLARCFMCNSTTLKKLVIRLHQSSIGEKHKPCVLEQLVEDSRRYGLSQFEVLPVVPTLNPLPEGLGAYSSSSVREGGKDLISSLPEPLLVHILSFLTTEHAVWTSVLSSRWRHLWKWVPRLELDSFDFTNDKVCVDFIHKFLAFQGKYYLREFKLTIDHDEFDRDSEVSLYEPCLGRVDMRKLERFQVQNRFGRGAFDDFRTRLTLSACEALVCLKLHFVSLNEFESLSLPCLKIMFLEDVVLPNDAAAEALISSSPVLEVLKISLSRDDFVVALRELFFDYPVRWLSEFSTLLPRCLVSSLESVEMESPVTEVATELDLARYFMKNSTTLKKLVLRLDQSSGEQHKPGVLEQLKKYSRRFGSSQFEVLPVVLTPNPLPPGSGVSSRSAREGEERAVISGEEEDRISSLPDPLLCHILCFLTTEQAVWTSVLSSRWRYLWKWVPRLELDSFDFTNDKVCVDFIDEFLAFQGKSYLREFKLSIDHDVSNSNLSLYGPCLGKVVDLRKLERFQVENEFEHGGIVYIRFTLSASEALVSLKLHSVWLNDFKSLSLPCLKIMFLEDVGLPNDAAAEELISCSPVLQVLKICLCKYDSVVALRVCSPSLKSFTLKRVEPRYSRGHSVVIDAPKLGYLSLTDYYHFSSFEITSVADSFKVDIDVEFELMSDYLMEMKIVYNLLKNFSGVTEMTISWKTLEFIYSFHQTNPVPKFHDLTCLRATMCLNASPKLLPIVLESCPNLKHLKLELFLDPVRRLSERSTVLSRCLVSSLESVEMESPVTEIAIELVLARYFMRNSTTLKKLLNPLDRVVMDTPGLEYLNLINYQYTSFQIVSLSESVKFDIDVVLSITCNFLTFVSHARDMTISRRTIEFIYYHLEINRRSKFHGLARLRATIFLNSSPEILPVILEACPNLKHLTLELVHDFLVTEGTSRLLNLVPPPLISSLESVDIESPITDKRNWSWLDTF >A02g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20549314:20550414:-1 gene:A02g507250.1_BraROA transcript:A02g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAIKEFRIAILFISKNYTATVSFLDELAMVIECKEKQSLIRCWCYQSYTKWILQGIGNGNNNLEKVKGWRVLATNSDELAFLEFLISHLFNSYGYVSHCLRPYALRVALTSRVNKPAPSEAYSNIKTYRFILLIPIEILTMGIEVVKAFLGNIAGIFMDREKRIRSVKPRPAESFVDVQKDKYLTGNYLSPFVLSSDFDRFCQCKMQTQTWTAGLAHKGLLRGGIGPPFGKSAKLRASRDGFKAGRVKSGMGLTCGILKAATLSPHFCFRLKH >A08p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24562647:24565064:-1 gene:A08p044430.1_BraROA transcript:A08p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINTKDIGTLVWKILRITTKNIYTCVRKYPVISGVSASTFLLYTFLPRLFYFIICSSPFIACSVFYLRKHLRSKPSKIETINTANALPPFSPEGSERGTRRADLKHQRSVRRNARRKVEEVGKDWDSSQASEDERDQVILTTLYGEFPNPQKFKKDKAFLATQEFSFEPNLDEEKRDKAFLASQEYSSEPNLNEKNLLDLGNSEMERNKRLEHLITRRRTRRQVLLAAERSLMDMEVPPVCVGRNYFGLDHDENYMIDGLQMPESAPSVLLPTKNPFDLPYGPQEEKPNLSGDSFHQEFSEANPNPRESFFSHHESFCRRNFPPSEVDSRLEQWKKSTDGLLRPQQGSDDDGLVGKKQKDVTIAETKDMETEHMTETVVSDLNTLLAPQEMAITDTNVLDQVDSSETSVKPNGDQPVGNALKGVIRRNTVTRSTSLAPERQIYMEHFGYSTVKGHKVTKSGESDLQVEFSEVGSPPTTVDWNHSDDDDEKSLFVNESDTGKETVFSGEVNEAKENSLVDGAAESQMLPVEKLDQDFNMSSQESDAAKQFEEEERSKAIQATVPHTNEVILEEPPEHLTNSVDEMKMSYESDEPGPSERRANQEMQEIVEPEASVVNQVTSDESDTSPTSVLPDISSPLGQTLTVTSEDLELSSASQQGAVIHDQELSLSSLDGDRNSIETEVSPM >A09p066900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52923860:52927881:1 gene:A09p066900.1_BraROA transcript:A09p066900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNESVDWQFSGSDEGKAASEASLSTYTSKLFALCDPQGKPILPPRGETAETSHTAERAVVKAVLCGTGNAYAPSIGLPAAKRAVADYLNRDLPQKLSPDDVFMTVGCKQAIELAVDTLAKPNANILLPKPGYPSNLIRSIFKHLEVRNYEFLREKNYEIDLDSVRAAADENTFAIFIINPHNPNGNTYSEAHLKQLAVLARELGIMVVSDEVFRWSVFGCNPFVPMGKFSSIVPVVTLGSISKGWSVPGWRTGWIALHDLDGVFKSKNVLAAIKQFLDLNSKPPSVIQAAIPTILEKTGKEFFQRRQCFLKAATEFAYYKLKSIPSLTCYMKPEACTFFWTELNLSCFVDIEDDEDFCEKLAIEENLVLLPGIAFTLKNWVRHSIDMHIPTLEDAFDRLKSFCDRHSISGETPFKIVNGVNEAPFKDVNDLSHWSRKLYREIMIPFTLQPSLGFVFLLVKTDLRSLLTCQQLTESLLGMPSDKTRRSRFMFRQMLRETSRKGLLTNPQLDLHPYGGDIQRALIRLLGCSGASSPGALPVVFIGGKLVGAMDRVMASHVNGSLVPLLKDAGALWL >A08p006840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3965622:3967614:-1 gene:A08p006840.1_BraROA transcript:A08p006840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDLIHLIYHHFGNQEVISICSFLRLHRLCWRKLKIRTDQTLSSLYPSPHTSSALLMLMSETALLELVGPLQTSETVVLSPTLESVTVQIAPPQTFCSTTHDGSRVVPASIEVNNQSLVSGPSQLQKLPIPEGKLPTHPSDDLAQAPEKFVPSLGLWAKLLFFKPRVTPPKPSTRDYDPTIVGNQLAALWPTLKDKILNKQPKVKYPSRTIQPPIEKLPPPEIKADGSLHFTWTARSCAQSRNLYRADTQTYRLDCTPEISIPFRLCTENKDKYITGKFHKCSLPPGGLVHAVVNKICRRICMINCKKLGDSSFMFHIPHQPTHSQRGVCHINDCLLFVLPWTPEASFKVSEISTLPIWATLKNILDCCFSKLGIRHVASGLGEPILTHKPRLDPTSLGEAKVLVEIELDRNFSKIISLDDKQGGNIFLVNIEYTWIPSRCERCGNLGHKEKRCLVPSSTVQVSAFTSPSTDTSYVVPLVDIDTIPQLKDNPQKNIKEIEGLLSELETTPALQPTFQPENTTASPNFVPIFSTLVYSQYTPVAAPIMDSCPSTVINTEVRETLVVDPLTTKPITFGFESPSQVKGLGDVEEVVTEASSSINLIKGGRETKLPIKYQEMEWKTI >A02p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31142508:31147212:1 gene:A02p050480.1_BraROA transcript:A02p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNHAAAMRTDPEIEISSDEALPVGAEASGPSLVGPSSGDDDGDMSGVGDGGDDADGESDELVGPSDELVGPSDELLGPSDELVGPSDELLGPPELESGLGAGVLAEEDFGALAGAPPPEDGEALGVAEEFFLVVVGAEAVGGEVGAEAVDFGDPAAVGFGDPAAAGCLGDAAAAGEGVGELLLALALGAPAGVGGSAAKTAVMAKTATARDRSVMVLVIFICECVIFLNALKREHVVMVISTFKRQLSEHVSCVQLQCLPKAMPKRGAEAPPSSETQRVKPADSFGDYRSQVSQLLSQEEKISLRDREATMSHSNTAIGAGMSHLKREDLNVLLRQCVRDLTPEVNEMHLRACSMKRFSDKAAKCDVPADSEDDVTNLLSNPDIVKKLTSQYSNVLLHELDDMQQQLENILDDVVATCRPMSRGEKLDLRKAIMELPGGNRDRIAGIVEEHCRTSGKEFSDEVIANLDQSEDNTMLWRLHFYVGAVKNAQKLAR >A09p057560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48497453:48498940:-1 gene:A09p057560.1_BraROA transcript:A09p057560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAKIDWKRIDSRFEEDVFYEHLRAPKYFDFLAPNHLDTVDDDAWFCRPGTSLSILSHLFISLMLVTDLVSVSFQDCNHPKRPEDFFLTPTSSKHPSLRDKNQTPGSSTEQKQRRRGHDESENQNPNLSTPPRSWRAALKSSSAKKMSKETPKLKSTQSARNLFSGRDIFGHISEFCYELKRLATRVTEREDTTGKIEVKETRHHQPYSVHELELKKERKPLLEVSKEKVHESNNTFKENRRRKKRVDDAENIPVCLNGETVVKMKGEECRRIKRVDDAENILTPLKLGNVKNKGHERLLQQIRTNPPSPQCFSDNRTASLKALVTKPTEKGMVEEVVKRKEEEEQSRDSNNKEGRGLDVLWFLKPCSMAN >A08g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2102097:2104004:1 gene:A08g500790.1_BraROA transcript:A08g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLENAKGVDGKGTLGFIYLCVGDVKFVKNQNASLLSVVGVMNLAGHEVARYAGIPFVDSLPLPHLPKRSQLISFIKRTKNLPKCLQMLLLRNAFDALRLGRSSQFVVARLLRFWDSENMKNMYYRTSYSLKETNVAVIGILTHKVTITLYAQVKSQEQKLAVPEPALIKDLDSSRKEPAILMTIVITEKDTKKFELGFSRWW >A08p010430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8574290:8576755:1 gene:A08p010430.1_BraROA transcript:A08p010430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPANDRSSSSSHFGRMKALFVLSLPVSTDLHRTIFFFFSPLKTKPLDSIMDLDRSKTKSLKELTAIWAYWDHYESFSLHRLPLLCYSIVERKWIHAYCVCSRMGDVHSLPKTLSLKNGCNINKAFITEHTLPFHSWQEVKREDPVSQVVFPCDRRSQEETVGWPCEEEDKRLFPCERRSQAETVVSPCDKRQFATCVS >A06g505580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16084052:16085606:-1 gene:A06g505580.1_BraROA transcript:A06g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFYHIPDAVEFRVPCRRECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLFLSVHHYEALLRLQLVRRTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRMDAASVEESCIPLLRRLPNDRPFIKPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPTLDADTGSESEPDDQNPVEAPTDVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A10p015970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3339970:3341917:1 gene:A10p015970.1_BraROA transcript:A10p015970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPCH [Source:Projected from Arabidopsis thaliana (AT5G53210) UniProtKB/TrEMBL;Acc:A0A178UF96] MQEIIPDFLEECEFVDTSLAGDDLFAILESLEGAGEISSTAASTPKDGTTSSKELVKNQNHETSSPKKKRKRLETGKEEEEDDDDGEGEEEEEEDEDNKQDGQQKMSHVNVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVEYISELQQVLQFLEAKKQRKTYAEVLSPRLVPSPRPSPNVLSPRKPPLSPRISHHHLLLPPISPRTPLPTSPYGAHPPQLPLIPQPPRSYCLGDPPPYSPASSSSSPSVSSNHESSLINELVANSKSALADVEVKFSGANVLLKTVSHKIPGQVMKIIAALQDLSLEILQVNINTVEETMLYSFTIKIGVECQLSAEELAQQIQQTFC >A01p005030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2157165:2160663:1 gene:A01p005030.1_BraROA transcript:A01p005030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 13 [Source:Projected from Arabidopsis thaliana (AT4G33530) UniProtKB/Swiss-Prot;Acc:Q8LPL8] MFSVEEGSSGGDGGSEMDDEITGDGSTSSLSRWVFDEKNDYDEDYDDDDDGYDERQHGDVDSDEEDDNVEQRLIRTSPAVDSFDVDALEIPGAQKNDIEDSSLGRKLVLALQTLGVVFGDIGTSPLYTFSVMFNRSPINDKEDVIGALSLVIYTLLLLPLVKYVFFVLWANDDGEGGTFALYSLICRHANVSLIPNQLPSDARISGFGLKVPSPELERSLIIKEKLEASMVLKKLLLILVLAGTAMVIADAVVTPAMSVMSAIGGLKVGVGAIKQDQVLVISVSFLVILFSVQKYGASKLGLALGPALLLWFFCLAGIGIYNLAKYDSSVFRAFNPAHIYFFFKRNSVNAWYALGGCVLCATGSEAMFADLSYFSVHSIQLTFTLLVLPCLLLGYLGQAAYLSENFSHAENAFFSSVPSFIFWPVFLISNIASLIASRAMTTATFTCIKQSIALGCFPRLKIIHTSKKFIGQIYIPVLNWFLLVVCLIVICSISNIFMIGNAYGIAELGIMMTTTILVTLIMLLIWQTNIIVVCLFAIVALGVELMFFSSVLSSVADGSWIILVFAAIMFFIMYVWNYGSKLKYETEVQKKLPMDLLRELGSNLGTIRAPGIGLLYNELAKGVPAIFGHFLTTLPAIHSMVIFVCIKYVPVPSVPQSERFLFRRVCPRSYHLFRCVARYGYKDVRKENHQAFEQILIESLEKFIRKEAQERSLESDGDNNTDSEDDTTLSRVLIAPNGSVYSLGVPLLAEHLESYMRPSEKRSSMDFGAGPSNETPALDAEQSLEKELSFIHKAKESGVVYLLGHGDIRATKDSWFLKKLVINYMYAFLRKNSRRGITNLSVPHSHLMQVGMTYMV >A01p001700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:748360:750316:-1 gene:A01p001700.1_BraROA transcript:A01p001700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP91A2 [Source:Projected from Arabidopsis thaliana (AT4G37430) UniProtKB/TrEMBL;Acc:A0A178UXA9] MFYFILLPLLVLLAYKFLFSKTERFNLPPGPPSRPFVGHLHLMKPPIHRLLQRYSDKYGPIFSLRFGSRRVVVITSPSLAQEAFTGQNDVILSSRPLQLTAKYVAYNHTTVGTAPYGDHWRNLRRICANEILSNNRITNFLHIRKDEIRRMLTRLSRATTHSDGASRFTHVELEPLLSDLTFNNIVRMVTGKTYYGDDVYNKEEAELFKKLVYDIAVYSGANHTADYLPVLKLFGNKFEKEVKALGKSMDDILQRLLDECRRDKDGNTMVNHLLFLQQQEPEYYTDVIIKGLMMAMMLAGTETSAVTLEWAMTNLVRHPEVLEKARAEIDEKIGKDRLIDEPDVAVLPYLQNVVSETFRLFPVAPFLIPRRPTEDMKIGGYDVPRDTTVLVNAWAIQRDPEFWDEPERFNPDRFDNGCGSEYYAYKLMPFGNGRRICPGAGLGRRIVTLALGSLIQCFEWESVKGEEIDMSESAGLGMRKMDPLRAMCRPRPIMSKLLI >A10p020760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14054734:14059624:-1 gene:A10p020760.1_BraROA transcript:A10p020760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGSLEDIKNENVDLESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIVCLLILDLCNARADLRKRVHSAIDKYAERGLRSLAVARQTVPERTKESSGGPWEFVGVLPLFDPPRHDSADTIRRALDLGVNVKMITGDQLAIAKETGRRLGMGSNMYPSASLLGNHKDANLAAIPVEELIEKADGFAGVFPEHKYEIVKKLQDLKHICGMTGDGVNDAPALKRADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVVPSPTPDSWKLKEIFATGIVLGGYMALMTVVFFWAAYRTDFFPRTFHVRDLRGNEHEMMSALYLQVSIVSQALIFVTRSRGWSFLERPGWLLLIAFWIAQAIATGVAVFANWEFARIKGIGLGWAGVIWLYSIVFYIPLDVLKFAIRYILSGTAWNNLIDNKTAFTTKQNYGIEERSAQWALAQRSLHGLQNQETANVFPEKGGYRELSEIAEQAKRRAEISRLRELHTLKGHVESVVKLKGLDIETAGHYTV >A07p050730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27033025:27033957:-1 gene:A07p050730.1_BraROA transcript:A07p050730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRIFTLSFLLSLLSANPDVIDSRSRPNLAPGQVGKFPPSCNRIECPSYELVNSGNGYEIRRYNTTVWISTEPIKDASLVKATRTSFSQLFGYIQGNNDYHQKIEMTAPVIAQVSPSNGPLCESSFTVSFYVPKQNQPDPAPAKNLHVQRWDTRYVAVKQFGGYVSDDSVGEEAAELEESLKGTVWGKAIAKSREAGGLGSTSAYTVAQYNSPFEFLGRVNEIWLPFQMDK >A09p081580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59318198:59320359:-1 gene:A09p081580.1_BraROA transcript:A09p081580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENSEVHVVKGALEDVKTVDVSVKDVKGEMTKEDKVITKEEEDTTSDGGFIKVEKEGIDTKDGEKAEKQVPIERSSSTPQRELYELQEKVKALELELSQELEKNKAAGLRESEAMEKLKSAEERLEKQARETDEANRRSKEIKYLHKHSDLSIQKAMKELNIIDTEAKSLTEKSKHLEKRIRLYEDKLAEASEDLYQSSLENELLSDANNQLKIKIQELEGFLVSEKERFNQRDIEAKDLAVKLQSHENLIEEHKKKVLEVSEVADTRKMELENALQKLKTFEDTIKELEKENESLAEVNLKLNQELADHGSETSGFQAMFSALEAEKNQTAKELQASKEAVEKLTNKLTSERERLRSQVKSLAEENSQVNEIHHSTKNELINIQEHLGVEKSKLDAMASEIKKLTSVASEKSVLESKFDEVEKQLKNAEAQLKEEVEKVAELTSKLHEHETKTSEQDSVDEEAMKLHKSNLQETETMGKGEVEVKPRDIDFSFPTPKQRKSKEESDHASSASHSSSSSGNVTTTQKAETSHFMTLKIVLGVALVSVIIGVILGKKY >A01g503640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11204239:11204487:1 gene:A01g503640.1_BraROA transcript:A01g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHINKYTFLFLKCTYSSRHKLAPQVYLLPFSPRYKLAPQVYLTPAQPLASTWSLPLRMPPGSLSDTHCLSNYFNDYVKT >A08p017880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12439844:12440734:-1 gene:A08p017880.1_BraROA transcript:A08p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSLDLNIISARNLVNVNLITRMDVYGVITIQGDATQNEKKVKTAVDRSGGCNPTWNHAVEFSVDERLARDSRLTLAMRLTCRRVLGNKNIGGVNVLLLELLKSCTPSIKGDVNGQEMTFVTYQVKSPSGKRKGYLTFAYRFNKTPIKPEIPAVLNRSSVGTEGFSPTSYPPPSAPSEIEHLPSVPPERSTECRQVDSDHRKHLLVAGSSFDPLPVSYGGAGSSPYDEFGYAYHHRSPPQSSNAYFAPPETRHQGYGPYGSATPSPPKGIGLGLLGGLIMGDIIVSDVVNCFDL >A08g502880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5758215:5758766:-1 gene:A08g502880.1_BraROA transcript:A08g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAERRGVCHFLDVLSVPWGLNMPSELLKACYGEGNPSTLYIKGVQFFYSLDLHEEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGILFESVDRIGKLVRSVKWAWGLWHGDYFRDHKVLFICFLCHRSTDANVQILCSDNVTACGTLMSLRMITCVTAVSGSKSSACSYVILNR >A01p010920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5325110:5327393:1 gene:A01p010920.1_BraROA transcript:A01p010920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRKKRKSNHNDNNNQRRRISNDNNETTNKEDLVIYRILCPVGIIGGVIGKSGKVINAIRDTTKAKVKVFDQSPGCTQRVITIYSSVKEKVDVTETETEPLCCAQDALLRVHDTIVSCVESAAGGEKNGNKKEECRLLVPSSQASAVIGKAGAVIKSIRRRTGASVEISGEHESVKKALYAVSATMYKTNPREQIPLDSTTVQETPASVVIPTEVSSYVYQRAGVPTFVNASEFQGYAETTSPAVSHGFGGSSGSKELVLKVLCPVSSIGRVIGREGSTINGMREASGSRIEVNKANHGDDECVIIVTATESPDDMKSMAVEAILLLQEKINDDDEETVKMQLIVPSKAIGCVIGKSGSVITQIRKRTNASIRISKGNNDDLVEVSGEVSSVRDALIQIVLRLREDVLEDRGSVSARNPPSRSDHSGFTLPPFVSSVPEYASVDFDQRRETGESSLGMVSSDRFYGYESSFPARDHGLVSVGPYSYGGLYQSSALEILVPASAVSKVIGKGGGNLENIRRISGAMVEVSDSKTSHGDRIALVSGTPEQMRSAENLFQAFIMST >A05p042940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25956871:25958290:-1 gene:A05p042940.1_BraROA transcript:A05p042940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL esterase/lipase APG [Source:Projected from Arabidopsis thaliana (AT3G16370) UniProtKB/Swiss-Prot;Acc:Q9LU14] MDHRMTSCLLLLLVSTYSVLQISFAQDAPTSLFPGMIIFGDSVVDVGNNNYLPTLFRADYPPYGRDFAGHKPTGRFCNGKLATDITAETLGFTKYPPAYLSPEASGKNLLIGANFASAASGYDDKAALLNHAIPLYQQVEYYKEYKSKLIKVAGSKQADTIIKGSIYLLSAGSSDFVQNYYVNPLVNKFYTADQYGSMLIDNFSTFIKQVYAVGARKIGVTSLPPTGCLPAARTLFGYHEKGCVSRLNTDAQQFNKKLNAAASKLQKQYSGLKIVVFDIFTPLYDLVQSPAKSAANEILATSLIGQGFSLIG >A04p016760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10292227:10292808:1 gene:A04p016760.1_BraROA transcript:A04p016760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATVLDESSVPSPRSTWRMDGQLRYDIAMNTIIIILITDAVLHMTLRAHKIPLADNVEGILCTFIVAYVSVITINGGYWLTASEDEGCLLGIYIMGRVGHTLGFCILLCLLYSISHLAMYVALPCLLWLVPAMFAPCCPCLWRGESTWWNFVKQPQPTVDIV >A10g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5709665:5715629:1 gene:A10g502160.1_BraROA transcript:A10g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVALDGRWNSWNKRDRKQCNLRSNPSDCSECMTSRHTRRNAQGELVTLSNQELARLEKTNRQQQGQTDTTMGDHANQEQLTAQLQQMQQQMFQMQQTIQAQQDAAEQAALARQEQQARTLKVVPEKEHGDKGDSGLFSDEDPSTDPTKRSSDDRTPRIFMERLLHSGVENQNGREIRTTSGTQNDHVLPPSSSHHHISLQKKKKNEINVMEKGKKEKKHGATGKVEQEVGTKSGVALDGRWTSWNKRGRKQCNLPPLALIYLLFLFFFIFFASLQRGIIPKNSIVGFAYCKGRRISTLLRRILNPISFILLIQ >A09p065350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52277669:52281294:-1 gene:A09p065350.1_BraROA transcript:A09p065350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVLIILLLTLSISLSSAQTYNILSYGAKPDGKTDSTKALAAVWAKACASVKAVTISIPKGRFLLRSIVFDGAKCKRKSVTFRIQGTLVAPSDYRVIGNGNYWIFFQHLDGLSVYGGVLDAQGASLWSCKKSGKNCPSGATSIGFQSSSNVVISGLTSLNSQMFHVVINGCRNVNIQGVKVSADGNSPNTDGIHVQSSSIVSILNSKISTGDDCVSIGPGTNGLWIENVACGPGHGISIGSLGKESVEAGVQNVTVKTATFTGTENGVRIKSWARPSNGFAKNIRFQHCVMNNVQNPIVIDQNYCPGNENCPNQVSGIKISDVMFFDIHGTSATQVGVKFDCSSKKPCTGIRLQDVKLTYRNKPAMADCSHAGGTEAGFQQPNS >A05p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20163241:20165667:-1 gene:A05p035610.1_BraROA transcript:A05p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQALRSIDAFPRAEDHLLQKTQSGAVVSIVGLLIMVILFLSELSYYLNTLTVHQMSVDLKRGETLPIHVNMTFPSLPCDVLSMDAIDMSGKHEVDLDTNIWKLRLNSHGHIIGTEYISDLVEKEHDHSSHKHDEHKNETDALNLLGFDEAAETMIKKVKQALADGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGSKNVNVSHMIHDLSFGPKYPGIHNPLDGTNRILHDTSGTFKYYIKIVPTEYRYLSKDILTTNQYSVTEYYTPMNEFDRTWPAVYFLYDLSPITVTIKEERRSFLHLITRLCAVLGGTFALTGMLDRWMFRIIKSFTKKPSTRSIHK >A05g508420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24510971:24514670:-1 gene:A05g508420.1_BraROA transcript:A05g508420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTEPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTHKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNNTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPEELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A07p011170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8895746:8896955:1 gene:A07p011170.1_BraROA transcript:A07p011170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRKLSPESDPNALHKVLDEVSCPVCMDHPHNAVLLLCTSHDKGCRSYICDTSYRHSNCLDRFKKLHSEPPTDPNPEPNSASRANINEPQSTFHRVPGNQVAVRDSESLRRSGVGEETTNLKCPLCRGTVLGFKVVEEVRSYLDMKNRSCSRESCSFTGNYQDLRRHARRIHPTSRPSDTDPTRERAWRRLENQREYGDIVSAIRSAMPGAVVVGDYVIENGDRFPGEREAGNGGGGNSSSDIWTTFVLFQMIGSLENNGGSSGSGSGGGASRSHRSRAWRNTHRRSSSDRRYLWGENLLGLQDEDNDDDDDGEGLRLQNETEEDEGLVVQDQAETIRDKAIVLVLSLGSEPDRCKGKGFAEIPREP >A09p079540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58518673:58519494:-1 gene:A09p079540.1_BraROA transcript:A09p079540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPSQSWSPNPSSKLRDPDLTTRSRKDLATALLAVLGNEKASREIINISGEKYVTFDGLARACAKAGGFPEPEIVHYNPKEFDFGKTKAFPFRDQKAKHALGWKPEFDLVEGLTDSYNLDFGRGTFRKEADFTTDDMILSKKLVTQS >A02g507000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19984276:19986275:-1 gene:A02g507000.1_BraROA transcript:A02g507000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNPRVSVDSIPKHKEWKTQSTANHRSTETRFHRSTLSQIDGERGQPSIDGDTFPSSDVDIAQIDDNALSDTDNYLDEGTNCSDPYSVLHVDSFIQAYDTAVKSRTGRERFNIRQALTGHRKTKLEFYGKINMVYGELMEKADSLGELIRKLEGQVAEIATAIKRDAGCLPGRTDLNPRRQVRAVMLRSGKNLAADTRNNTDAKEKAIDLELEEDTEIEDEIDRQYGTNVDRSETPTIDRQPEKPVDRRISDRTDDYMRLMDASIKVANVEENEVSEVVIDRYLQDTVDRQPPSQSN >A07p030320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16901231:16910523:1 gene:A07p030320.1_BraROA transcript:A07p030320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWADVADSEKAASRAKPAYVPPHLRNRQPDPVAPSPQNDRPGYGGQPSRWAPGGGVGGGGGGYRNDVGRPGQGYGGRGSGGGGGGWNNRGGGWDREVNPFGDDADLEPAVQENTGINFDAYEDIPIETSGGDVPPPVNTFADIDLGEALNLNIRRCKYVRPTPVQRHAIPILLAERDLMACAQTGSGKTAAFCFPIISGIMRDQHLQRPRGSRTVYPLAVILSPTRELASQIHDEAKKFAYQTGVKVVVAYGGTPINQQLRELERGVDILVATPGRLNDLLERARVSMQMIKFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGMRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIAQRVEYVHEADKKSHLMDLLHAQRETQDKQSLTLVFVETKRSADALENWLCMNEFPATSIHGDRTQQEREVALRSFKTGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGVATAFFNEKNAQLARQLAELMQEANQEVPEWLTRYASRASFGGGKKRGGGRFGGRDFRREGSFGSGGGRGNDYYGGGGYGGGGYSGAPSGGGYGGAPSGGYGGGVTKTLLRVRSRDEEELGALI >A09p058080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49067785:49068997:-1 gene:A09p058080.1_BraROA transcript:A09p058080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRVNMTCVNHETGVVDPKKFGLLANWQREYTMENILVQLKKEMAASHNRKLVQPPEGTCF >A06p038750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20831256:20838455:1 gene:A06p038750.1_BraROA transcript:A06p038750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDYKPSEEFEVSKLQSLLDFDVTTGAKELWLIQCPTTRLCSPLEKALSPPAFLFPVSSSGAQPLLHRRSAFSPPGHLSLSAGKLLSLSNSGQLLAFLSRWFSQILVVKKISRRVSLVRFPKPEELLETMKVKTKRKLAGAAVTSSSVRNSNPAQSSRRKSGQSSLRHSTSNSQKSVFPSSSTKTLMSSSKRKHSEPSSSKHHSSATTVPGSSDRSDKSKKKDKAIEKEITNLSRNKSGASSEVSKLDTSSLVASDVNTPEIFDVQTRNDLEYVKIPRDSKVKTPETPKAKEAEDQEVSFSENWEVKFPEELEATKTSEVFKVADQSKLQQVSKVSAPKLSQVKVKKEPDVPEVLDDRSVTEVLEVHAPSEVSGIKVS >A01g505480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16322033:16324902:1 gene:A01g505480.1_BraROA transcript:A01g505480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARYTNQPRSPQPTSDVLPPMPPSDFLLQPLMAEAAAMDIFFRSSTVVLLFCVLPITLLLCFSPAVTNMLHIMEEADRRKHRWFCLHGGGFEGTNDFNNTAVTQIVYRSLSNSIAALNQELATKQNFASKTSVSGSALVHVLLLCSSRESPRLLGCSQTSFPDCIAS >A03p035900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15042244:15042569:-1 gene:A03p035900.1_BraROA transcript:A03p035900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative defensin-like protein 184 [Source:Projected from Arabidopsis thaliana (AT3G04945) UniProtKB/Swiss-Prot;Acc:P82732] MKISFSSILLILIVIFITSSGNNKMVGEAKKCFAGWTCEGEDECREKCIADHKGDGICDLFTAFPVPKQCLCQYDC >A02p007730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3254247:3257192:1 gene:A02p007730.1_BraROA transcript:A02p007730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKVVMCGVLSLLGLLSALTAFAAEATKVKKSQANVTTSGSLTRCSYHRSPAYNLGFASAVFLMMAQIIASVGSGCFCCRKGPAPSRSKFTFVIAFLVLLSGAALNDEHAEEAAFPDAYFCYTVGTGVFSTGSVLSLVTIALGIVYYLCLNSSNQNVGVTRTVANQGGGIAMGQPQIPERGEDPVTAACPQRVLLCFLEKEIEFEIVHVDLDTLEQKKPEHLLRQPFGQVPAIEDGDFKLFESRAIARYYATKYSNQGTNLLGKSLEHRAIVDQWADVETHYFNVLAFPIVLNLVIKPRLGEECDAVLVEELKAKLGVVLDIYENRLASNRFLAGDEFTMADLTHMPAMGYLMRTDVNQMVKARVNMNRWWEELTARPAWKKLMKMAGFEA >A03p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4228448:4235589:1 gene:A03p010520.1_BraROA transcript:A03p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENFVFFSYLNLIFNLSDVASSEHTRLSVYGFSGDSDSIIADIKQPRDSRMKRGALKRSARHISVVLVVLVCATVGIWTWDSNPTMAFLPRESQILKLETEENAEKITTAQNTETKDSYTSATPFLNKEQTKEDHTDNKDTEQEQKQVEEVSVSETSQGKPPTIEEKHLERVEHEVIESEPKHQTTPISEEKNLEQVEHEVIVKQEVAAGEAEPKTTHIEKTTSDPDNKTLAADEAKKDNGSTSTAPITNQGCNYAKGKWVVDKHRPLYSGRRCKPWLASMWACRLMQRKDFAFERLRWQPKDCSMENFEASKFLKRMQNKTLAFVGDSLGRQQFQSMMCMITGGKQRLNVHDVGPEFGFITPRGGARPGGWAYRFQETNTTVLYHWSSTLCDIQPIKISNPLTEHAMHLDRPPAFLRNYLHKIDVLVMNTGHHWNRGKLNGNRWVMHVNGVPNANRKLAALGDAKNFTIHSTVSWVSSQLTNHPGLKAFYRSLSPRHFVGGEWNTGGSCNNTTPMSIGKEVLQEESSDYSAGHAVKGTGVKLLDVTALSGVRDEGHISRFSVSASRGGQDCLHWCLPEMAATQLTASPVTVSARSLASLEGLRASSAKFGTLKPGTFKQSQFRSLVVRAASVVAPKYTSIKPLGDRVLVKIKEAEEKTMGGILLPSTAQSKPQGGEVVAVGEGRTIGKNKIDITVPTGAQIIYSKYAGTEVEFNDEKHLILKEDDIVGLLETEDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTETTKEKPSIGTVIAVGPGTLDEEGKVQPLSISTGSTVLYSKYAGNDFKGKDGSNYIALRASDVMAILS >A01p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5650464:5652586:-1 gene:A01p011680.1_BraROA transcript:A01p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNFNPILVRFPLQELSKSQKISSFSFLESPSCLRAKRFGLCIRAKFSETQAGEVKGSYGAIVKRKEVKKVGKNEHHLWKKNDSAGSGQKALNLVRMLSGLPNDKEAVYGALNKWVAWEVEFPIVAAAKALQILRKRSQWHRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDERADEAESLWNMILHTHTRSIPRRLFARMIALYAHHDLQHKVIEVFADMEELKVRPDEDTARRVARAFRELGQEEKQELILRRSILKQLRCVCTQTSSHRDIYCFFNHQSYGLEHGSIGEPIAPCYFIFSNSFVDNNQLQSLARADSATGKPPSMS >A05p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11002954:11004775:1 gene:A05p022820.1_BraROA transcript:A05p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQIVAAILTITMFVMLGQMLHRDYFDAVQEKVEGDAHDIEFHGSKVAVVEDGLVRVSEGTKGPWMHDSHDLKPCWSISSSDEAVSSKGYVTFSLTNGPEYHISQITDAVMVAKHLGATLVLPDIRGSKPGDEMNFEDIYDVDKFVKSLESVVKVVRKLPSHVSLRDIAIVKVPTRVAEDYIKEHIDPIFKSKGNIRVTTYFPSVNLRKTSLDGETDPVSCLAMFGSLELQPGVSDLVESMIQRLKKSGGRFVAIDLRVEILEKKNCRETGAVGSKTCYNAQEIALFLRKLGFESDTTIYLTQPRWESSLNILKDIFPKTFTKEEIMPASKKSKYLELENSEYENVIDFYISSRSDVFVPAIPGLFYANTVGKRIALGKPQVLVPAEISGTSGLSTDYISPYISKKNHLAYSCFC >A07p037090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19844989:19845687:-1 gene:A07p037090.1_BraROA transcript:A07p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRFTKNMFTSVTRNFHSFKKVARLWDKKKKIALVSFQSVSKGYYGECGKRGENNYKVGSVNLCSNISGQVLTSLFMSPPKPGDDSYDSYMIERDELKELCISFPRINLPRKAIEAAEAAKTAPDCASEVPCSRRLRRR >A05p052860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30512154:30513255:-1 gene:A05p052860.1_BraROA transcript:A05p052860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDESIEQKSAARKEALRALRAAKELSESKEEGEGDDSAAVEQDGPPMKFRNYVPQAKELQDSKVVPPELPKFEDPVAALPPAVEKKEDPFVNIAPKKPNWDLRRDVQKKLDKLERQTQKAMYKLMEEHEKERETVEADENAIES >A06g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21670413:21670809:1 gene:A06g507750.1_BraROA transcript:A06g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTIGAHRGAGDSSSPQDSSAVTEPTSQPDILISATTDHPGATSTQLAAMTISDHTANTSTLVATTSDKPSMLATLDHQANTSTLVATTSEKPSIPTSSSYDLTSSSRHHV >A10p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16082453:16085244:1 gene:A10p024940.1_BraROA transcript:A10p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLIRTDLPKKRKRGESRVFRLKTFGETGHPAEMNQFSFRDNLGKLLEFGHFESSGLMGSWSFQLEVHRWRNHMICNKKYHFVIPSKETMAAFLKLEGGICASPEKESLSHLVELQGHVLHGFFHSNGFGHLLSINGIESGSDLTGHQVMELWDRLCSGLKARKIGLNDASHTKGMELRLLHGVAKGEPWFGRWGYRFGSGTYGVTQKIYEKALESVRNVPLCLLNNHLTSLNRETPILLSRYQTLSTEPLITLSDLFMFMLHLHSRLPRDSYMNNSRNQIISIDSTNCRWSQKRIQMAIKVVIESLKRVECRWISRQEVRDAARNYIGDTGLLDFVLKSLGNQVVGNYLVRRSLNPVKKVLEYCLEDISNLLPSSNHELTTLQNQYQMGKTTTATNGHNKITRGQVMKDMVYFYRHILMDYKGVLGPIGILNQIGMASRAILDAKYFIKEYHYMRDTSMKTIQLDGGGKLGIFCTIAWKSHHHNNDIKMPPQECIVVSKSATMSELCREAERVFREIYWELRDVVVDNQREMTTRVDEMALNGNKGLVLDGNVGVMMNIEVMKYYDDEDSKKKDKRIECECGAKEDDGERMVCCDICEVWQHTRCVGVQHNEEVPRIFLCQSCDQHLIPLSFLP >A02p060430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36046300:36050551:-1 gene:A02p060430.1_BraROA transcript:A02p060430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQNRPGAQATDEYGNPIQQLDEYGNPIGGGGYGTAGGGLGATGGGGYGTAGGGYGGGATGGTYGTGGRHHGQQQLHEESGGGRGLGGMLHRSGSGSSSSSEDDGQGGRRKKKGITDKIKEKLPGHHDQSSGQSQGMGMGTTTGYDAGGYGGERHEKKGMMEKIKEKLPGGGGHH >A07p009560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5904359:5905238:-1 gene:A07p009560.1_BraROA transcript:A07p009560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKRKLIDITGPVGTCHLGVARNARVSDAVLHSTWNVQGHRSRYFHALYDRIQAGQVPLDSHGSDMVLWRHSENDNKSCFSSSKTWEQIRNRKATVFWSDRMRAWGVQQSCVLCVEIDETWDHVFFACPYSFTVWERVTNRLSGARTDPDWTSTLQFVSVNSLQIMDKILLKMAFQSCIYHLWKERNERWHHTGFQTVDQLYRIIDKAVRNRITSLRYKADHKLTSLMW >A08p000410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:235727:237340:-1 gene:A08p000410.1_BraROA transcript:A08p000410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine kinase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55810) TAIR;Acc:AT1G55810] MASKLAVDAIETSTKVHFSGFHLDSARSNHIAASAEEQEEEQQHGQPFVIGVAGGAASGKTTVCDMIMQQLHDQRAVVVNQDSFYHNVNEKELARVHDYNFDHPDAFDTEQLLCSMEKLRKGQAVDIPNYDFKSYKNNVFPPRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDADADVRLARRIKRDTVEKGRDIATVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLYTWDAHSNQRL >A05p004110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1581366:1582373:-1 gene:A05p004110.1_BraROA transcript:A05p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMKSLGKGSYGSVDLMRFTKPDGTEPCYHAVKSSYAHDYESLRREFRILSKLRNCPRIVQTLGPMSSGVNDYGVRVFKMSMEYATGGSLASFMETRTLSDSMIRDFTLMILQGLVSVHSHGYVHCDLKPENLLVFPRHVGGLQSTYELKISDFGMSTKAGEESEFWEFDSPFLGTPLYMSPESVQEGVAEKALDLWSLGCVVLEMYTGEPTWPFADSEDLMPELLNGNAPEIPQSLPWDARQFLKTCFARNPDERGSAEELLKHPFLRNVSYQKKVIVKRQSVVVLESKDSTKKPLRVKIIPPKPVQFKKSSHRPLRLKIIPPKPPGCKLVPV >A06g501350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4992844:4994222:-1 gene:A06g501350.1_BraROA transcript:A06g501350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEALLNLLASDDFKRCFIDDDLEESSSIPPARFDFLIRTLNFMIPFSQASEIFGIGLVSKGWLSEHYGQDDGGDYAEEEAVKEDKVKIPHGEPVTLETFVAWRERFEAELALERAKLMAESALTRRQWFESGRARGAVLTADQESEEEDDEDIAFEDDEEDMLEHYLAEKSDASAPIARA >A03p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9159855:9170367:1 gene:A03p021510.1_BraROA transcript:A03p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAIRFFELNTGAKIPSVGLGTWQASPGLVGDAVAAAVKIGYRHIDCAQIYGNEKEIGSVLKKLFEDNVVKREELFITSKLWCTDHDPQDVPEALKRTLQDLQLDYVDLYLIHWPVRMKKGSVGAKPENLMPVDIPSTWKAMEALYDSGKARAIGVSNFSTKKLATLLELARVPPAVNQVECHPSWQQTKLREFCKSKGVHLTAYSPLGSPGTTWLKSDVLKNPILNTVAEKLGKSPAQVALRWGLQMGNSVLPKSTNEGRIRANFEVFDWSIPDDLFAKFSEIEEARLLNASFFVHETLSPYKRVLLDLIPRTFCLWTFLAHGKQWKHSMIRARHDAIGVSNFSTKKLATLLELSRVPPAVNQVECHPSWRQAKLREFCKSKGVHLRTTWFKSDVLKNPILNTVAEKLGKSPAQVALRWGLQMGNSVLPKSTNEGRIRENLDVFDWSIPDDLFAKFSDIEQARLLDVSFFVHETLGSYRSLEELWDGEIFPNKAELVSKLKSVTVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRESVKHGPKTFWVTKYSKRMKNQKG >A01g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8771886:8774577:-1 gene:A01g502560.1_BraROA transcript:A01g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILCLLNSLSSVKPVHTYLHHRMPVDLSANDTGVSYRSSQPKSGGLKKAATIRRSSLPVSHKPAKGTRDSLYTPNIGILHHLNSPDVSVNSPIIDTIKFPLASYEEMPFTPVMRKKKNKGSSRGSYSPPPPEELQQPLDCSITKDKFTLEPERETKSGGDFSDQNATAGGASSRASSGERYDELNVLLKPFGPGKCRLEKQRYGCLRATRVLTTKFLHPNDYVVPRRSMLIWRLNRRNIFSDKDIIKRGKLWLLDQLCKKHVYEVRAGGEKVYNVFHNQFLVALKRLQVDKQELVHKSANETVAEHSSTFYFMYCDMEVFSSHMNPSEANAKVQNHLKIINDSLGARSLLCNFPKQDIDIEKFQQRDYSNLKFEVN >A10p039070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21716874:21718664:1 gene:A10p039070.1_BraROA transcript:A10p039070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 84A4 [Source:Projected from Arabidopsis thaliana (AT5G04330) UniProtKB/Swiss-Prot;Acc:F4JW83] MYTLMTLILLVPLLLFLFRHLLTRRLRLRKPYPPGPKGLPIIGNILMMNQFNHRGLAKLSRTYGGLLHLRLGLSHLFVVSSPQIARQVLQVQDHVFSNRPTTIAIRYLTYGQSDLAFCNYGPFWRRMRKLYVMMLFSRKRAESWASVDEEVHKAVRSVAANVGKPLNVCKVAFSLTRDITFRAAFGSSSSSSNEGRLDEFLEIIQEFSKLFGEFNVADYVPSWLSWIDPQGINKRVEKARKSLDCFIESIINDHLDKKKTEKNVNVDEETDMVDQLLAFYKEEVKVKDSETKINLDNIKGIIMDVMFGGTETVALAIEWVLTELLRSPENMKRVQDELATVVGLERWSVEDTHLEKLTFLKCVLKETLRLHPPFPLLLHETVEEAEVSGYFIPKGSRVMVNTYALGRDPASWSDPEIFNPSRFLDPGAPDLKGNSFEFIPFGSGRRSCPGMQLGMYAFELAVAHLLHCFTWRLPDGVKFGDVDTIEGPGLTVAKANSLVAVPIKRLLCPMVLESHNV >A01p039970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16098919:16101240:1 gene:A01p039970.1_BraROA transcript:A01p039970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAGLSHITATATALLPCFYNGTILRRSSLRLRNGGSREPKLRLRCVSPSEFDFSPPPIDHDLLDTISVGGGIVSEDGVVESFDNDDEALDAFDNGVVVVDLSHFGRIRVSGDDRLHFLHNQTTANFECLNEGQGCDTVFVTPTARTIDIAHAWIMKNAIMLMVSPTTCQSIIEMLNKYIFFADKVEIKDITKQTCLFALAGPNCNQIMSKLNLGDLIGQPYGKHQHYTFDGMPITVGVGSLISDEGFTMLMSPAGAVSVWKTLLSEGAVPMGSEAWEKLRVLQGRPAPERELSKEFNVLEAGLWNSISLNKGCYKGQETIARLITYDGIKQRLCGLELSAQAEPGSTITFDGKKVGKLTSYTRGRNGSSHFGLGYIKKQAASVGTTITIREDISGIVAEVPYLSRQHPPTNPTS >A01g511540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33768542:33770873:1 gene:A01g511540.1_BraROA transcript:A01g511540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATSVIAWGSGEDGQLGIGSNEEKEWACVVEALEPYSVRSVVSGSRNSLAICDDGTMFTWGWNQKGTLGHPPETKTENIPSRVKALANVKITQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPLKDEMGRPVRRDIVIPKRCAPKLTVRQVAAGGTHSVVLTRDGHVWTWGQPWPPGDIKQIFVPVRVQGLENVRLIAVGAFHNLALEEDGRLMAWGNNEYGQLGTGDTQPTPHPVPVQGLDGLTLVDIAAGGWHSTALTDEGEVYGWGRGEHGRLGFGDNDKSSKMVPQKVNLLADEDIIQVSCGGTHSVALTRDGRIFSFGRGDHGRLGYGRKVTTGQPLELPIKIPPPEGSLNHADEEEEGKWLATSIACGGRHTLAIVEWKSDDI >A09p007950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4133044:4134975:1 gene:A09p007950.1_BraROA transcript:A09p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLHRPSTHIYKLQPFTFRSFSQPQSPSKSPPLFPSFSSSLASAILKCRSPEEAIKLFYTSPRLNPSNSNDLRSHSALIHVLTEARRYTHARCLIKCLIETLKRRPYRVFNALEDVNSSKFSNGVFSLLIMEFVEMGLFEEALFVSREMRTSPDVKACLTVLNGLVRRRRFDSVWVDYESMVSRGLVPDVYVYSVLFQCCFKQGLSSKLKTLLDEMTSKEIKANVYIYTIYIRDLWRESKMEEAEKVFDLMKKKHNVVPNLFTYSAMIGGYCKAGKLGQAYTLYKEIVVAELLPNVVVFGALVDGFCKANELVAARSLLVHMVKFGVDPNLHVYNCLIHGECRSGRLSEAMGLCSEMERLSLSPDVFTYTILINGLCSKDRLTEGDRLFQKMKSERIFPSSVTYNSLIHGYCREDNMEKALELCSEMTANGVEPDIVTFSTLIDGYCKLRNMKAAMGLYSEMSIKGIVPDVVTYTALIDGQFKEANVKEALKLYSDMLEAGVHPNEHTFACLVDGFWKEGRVSDAIEFYLKNNHACWNHVGFTCLIQGLCQNGYVLRATRLFSDLRSGGITPDLQSYVSMLNGHLQEKRVIDTMMLHCDMIKTGVLPNLVVNQFLGMVYQENGYLRSACFLTNLNPLETIS >A02p045060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28339048:28342083:-1 gene:A02p045060.1_BraROA transcript:A02p045060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEVGSVSDSFSVASLKAYLSEFIATLIFVFAGVGSAIAFGKLTSDAALDPAGLVAIAVAHAFALFVGVSIAANISGGHLNPAVTLGLAVGGNITLITGFLYWIAQCLGSIVACLLLVYVTNGESVPTHGVGAGLGALEGIVMEIVVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDLSQIWIYWVGPLVGGGLAGLIYGDVFIGSYQEVETREIR >A02p009490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4009097:4013351:-1 gene:A02p009490.1_BraROA transcript:A02p009490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERTNSSTPKGRGVNFSAPRSFFLKFSCKCKETFFPDDPFKPISQEPNGLMKTKKTLQYFVPIFEWLPKYNLQKLRYDVLAGITITSLAVPQGISYANLAGIPPIIGLYSSFVPPFVYAVLGSSHTLAVGTVAACSLLIAETFGEELLKTDPNLYLHLIFTSAFVTGVFQFALGFFRLGMLVDFLSHSTITGFMGGTAIIILLQQLKGVFGIVHFTHKTDVVSVLHSVFSHRDEWKWQSSLAGVCFLIFLQSTRYIKKIKPKLFWVSAMGPMVVVLVGCLVAFLVKGTEHGIKTVGPLKKGLNPPSIQYLTFDAKYLPLVLKAGIVTGLIALAEGIAIGRSFAVMKNEQTDGNKEMIAFGLMNIIGSFTSCYLTTGPFSKTAVNYNAGTKTPMSNIVMGFCMMLVLLFLAPVFSYTPLVALAAIIMSAMLGLIDYEEMYHLFKVDKFDFLVCMSAFFGVSFISMDYGLIISVGFSVLRALLYVARPSTCKLGRIPNSVMFRDIEQYPGAEEMLGYVILQMGSPIFFANSTYVRERILRWIRDEPEGVEFLLLDLSGVSSVDMTGMETLLEVRRILVSKGIKMVIINPRFEVLEKMMLSHFVEKIGKEYVFLSIDDAVQACRFNLSTTAKPEP >A09p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7323245:7329937:-1 gene:A09p014260.1_BraROA transcript:A09p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTVKAGSFVWVKDPEEAWLDGEVIEVNGDDIKVQCTSGKTVVVKVSDTHPKDMEVPPSGVTDMTTLAYLHEPGVLQNLKSRYHIDEIYTYTGDILIAVNPFKQLANLYNDHMIEHYKGAPFGSLMPHPFAVADAAYRQMINEGVSQSILVSGESGAGKTETAKTLMKYLAKMGGRAVKDLSARRSVEDQVLESNPVLEAFGNAKTVRNNNSSRFGKFDIRKLKLEDPTTYRYLNQSHCIKLEGMDDSKEYTKTREAMGIVGISVEEQEAIFRVLAAILHLGNIEFTNGEETDSSVPKDNKSLKTAAELFMCDEQALEDSLCKRVMVTPEETISRCLDPESAAFSRDALAKFVYARLFDCFEQFCINLTNEKLQHHFNEHVLKMEQDEYKKEEIAWNHIEFPDNREVLQLIEKKPGGIIALLDEACMFPRSTHETFSQKLYETFKQNKHFSKPKLARTDFTVCHYAGDVTYQTQQFLEKNKDYVVAEHQALLGASRCTFIAGLFPSLVEDASKQTKFSSVASQFKQQLALLMERLSTTEPRYIRCVKPNNLLKPSIFENQNVLQQLRCGGMMEAIEICRAGYPTRKHFDEFLDRFSVLASSTLEKSFDEKAACKKLLEAVGLRGYQIGKTKVLLMAGQMAELDARRTEVLGRAARIIQRKFRSYLLLKAAINMQAVCRGQLARHIFEDLRRKEAAALKIQRALRIYLERRSYIEAVVTVQSGLRGMAARDVLGRKIKATLAIQIHCRRYLAESHYKKLKKAAITTQSAWRARLARRELRELKMAAKETGALQAAKSKLEKQVEDLTLRLQLEKRMRVDVEESKTQENAKLQLALKEIQLQFKETEVSLLKEVEAAKKTAEIVPPVVKEEIPIVVDTELVEKLKSENDNLKSMVSSLEQKIDETEKKFKEKVKQALEAEDKIVNLKTAMHKLQETLEDVINENHVLKQSALSTPVKTASGRFFPSTPVKNLQTGHHPSEENQLTGTMFTTPARIQESRGGSHIDPQNNEEDNGNLAYWLSNTSTLLFMLQQSLRSGGTGVTPLRKSPSLVRWMTKVETPFVLSFYLALMMSVCRLQKLKQSLTVF >A01p045360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25646595:25647632:-1 gene:A01p045360.1_BraROA transcript:A01p045360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRVFFDMTVDGKPAGRIVMELFADTTPRTAENFRALCTGEKGIGKFGKPLHYKGSIIHEVFPGYTLCGGDIIGGWEEPVANLGRGEFFDDENFIKMHTGPGILTMWDCRPNTNGSQTQFMICLRKIVEFDGECVLFGQVVEGLDMIENIEKEILTRAWKAR >A05g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25798676:25799726:1 gene:A05g508750.1_BraROA transcript:A05g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEANNVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRMSDRKGKGIYKHNLGAQSIATLGDRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPPSAPPPYVDLEVLTAQLKDKDDRISALKTHMAAQQAGYETQKRLNEQMMEMMRRMYPNEVFPNIQDP >A01p001370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:525185:528771:1 gene:A01p001370.1_BraROA transcript:A01p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVVVVAATAATVAAAVIIGRWMRRKERRRKQTQRILRKFARECATPVSKLWTVADAMVADMAASLAATTAAESRGSLNMLVSFAGSLPSGDEKGLHYGANLRGKELLLLRGTLGGNEEPISDIYKQEISIPEDVLNGSFKELCDFISLDLVKFLGMNPGEETEDVKNLGFTLTRYVEEIRSGSISAIHRKSLADGDDDKVLKEFVNDMNESLDRHGLKIRMNMALVDDTIGILAGGRYYHKDTVAAVTLGMGTNAAYIEQAQEVLRWKSTIPNEPQEIVISTEWGDFRSCHLPLTEFDAGLDAESLNPGSHVFEKMVSGGYLGDIVRRVLLRMSEESALFGDILPPKLKTPYILGSPDMAAMHQDISEDRDIVNKKLKEVFGIMDSTLAAREVVVEVCDVVAERAARVAGAGIVGMIKKLGRLEKKMSIVIVEGGLYDHYRVFRNYLHSSVWEMLGDELSDHVVIEHSHGGSGAGALFFAACGNGQPLTLHLSLTVPFTTLPEREREIRRMATAIIRSALSRAAITAAPKTSLAPKRRFSSSAGLDDACKLRTYPYMHIRNKEFPWGPDGLFEVKHNEGH >A09p057260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48361112:48364199:-1 gene:A09p057260.1_BraROA transcript:A09p057260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQHNLFTTMRSLNLAEGCKGAQVYAPPPPPQPNNNATGGVGDKFLQHLQDHLRVNSIRSKSSRTYPQANVVVSPESLLPFGLPLTDLLEPQIDPSLKFPDLVDKMAQVYRRIDNSDQSERSGAYLEQCAVFKGLSDPKLFRRSLRSSRQHAIDVHAKVVLSSWLRFERREDELIGTTSMDCCGRILECPKATLVTGYDPETVYDPCLCSGGASDEVQECSTSEEALDYDMSFCIGDEEVRCVRYKIASLSRPFKAMLYGGFNEMKRGTINFTHNGISVEGMRAAEIFSRTKRLDDFPPHVVLELLKLANRFCCDEMKSSCDEHLAHLVGTLDEAMLLIEYGLEESAYLLVAACLQVFLRELPSSMHNPNVIKIFCSVEGRERLASLGHASFKLYFFLSQIAMEDDMKSNTTVMILERLVECAVESWEKQLACHQLGVVMLERKEYKDAQRWFNTAVEAGHLYSLVGVARSKFKRDHRYSAYKIINSLISDHVPTGWMHQERSLYCSGKEKLLDLDTATELDPTLTFPYKFRAVALVEENQFGAAISELNKILGFKASPDCLEMRAWISIGKEDYEGALKDIRALLTLEPNFMMFNGKIHADHMVELLRPLAQQRSQADCWMQLFDHWSTVDDIGSLAVVHDMLANDPGNSLLRFRQSLLLLRLNCQKAAMRCLRLARNHSKLKHERLVYEGWILYDTGHREEALAKAEESISTQRSFEAFFLKAYALADSTLDPKSAEYVIQLLEEALRCPSDALRKGQALNNLGSVYVDCEKLDLAGDCYTNALNIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKAQNNASAYEKRSEYCDREMAQSDLSLATQLDPLRTYPYRYRAAVLMDDHKENEAIEELSRAISFKPDLQLLHLRAAFYDSMGEGASAIKDCEAALCIDPGHTDTLELYHKAREANTTDQK >A03p074270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32299361:32303109:-1 gene:A03p074270.1_BraROA transcript:A03p074270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVSYRRFGRARSLCSDRTERTLARYVATELGSSSVATSVKSGLLALLKVERDKIGAAPYDGCPRTLFEGIKPFVVRLGVKVLMTSFPVRPLWSSFYVAVIRRVAADGILYGCRRKTTSCHLFSVVCKLAGFLKILEYWQRDKFWDLVSGCLILCLEMLETNALGLGQDLGLLLVLEGAMTNSTNVSRFSFILIPYRFKVRDRFSTYTTCMRYYPCVGCTRVISTRWLIFAKNIFLEDVSAYDYLVFHEGVFIEEGNFVEELIFRRLRRLAMLKICESCLGLLMECYRKDSHDTFVSGTNWSTRGGSSHHREEGVPFNVHDATSILEFSSSQMFSILFRDSLGTTETERNALKFFKRNWLSKSGKGFNTLANRRVSVRYWYRKLLNRYFEGLCTFLLLRFRMVNNPVRFKDDAWIFFLSLGSELDMRGDHFSIFKEFRSRSTRSQVGHYVAADRVARSLDT >A06g502880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9404449:9404751:1 gene:A06g502880.1_BraROA transcript:A06g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHDNLIIGALDDMELVDHPVNGMLEEEVDGEDLLELDLMEMEGNQSQPRPIEDKGQSSNKKSKGIKKLGVKCDAPLDINNRKFEVLRRGSPSKCSAST >A04p034330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19904238:19906816:1 gene:A04p034330.1_BraROA transcript:A04p034330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHGLIRDILEKLPVKSLLRFKSVSTQWKSTIESAYFKKKQLLYSQLQDPDILITNRFEGVDKEHVTRMFTIGSSDLIKLPNVCPVPMPIPLENKKSSFCYTYSVCGCDGMICYYNYFTCIYLVNPNTRWLRSVPQAGHQEAALKVMNKIYGTWTEDNDGILCNLGFGKDKFTGRYKLVWLYNSFEFTLSNTTVCEVYDFNNTNTWRYVTASPVRIFDEQAPVHLDGSLYWFTDEYITDTKVLSFDIHTEKFHMIAEAPFFEVGEKEIIMCTLNNRLCVSQKEWPKQEIWSLNNSDMTWEKIYSLDLQTDYDWFTDYLPPPCTYSPDVVPCAIPVAVLKNKKKTLVLYYPRAKNPNLLMVNKRSSNKTASQVISPRNAIDVRTTLTSICHPKAIFRQFYETRIIRTQRLTMTCITHTVIRFSSSAP >A09p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19469547:19472633:-1 gene:A09p032140.1_BraROA transcript:A09p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSFLDTLFRRRKKKYRDSISRNFFEYDFDTIRAATNEFSDLIGRGRCCSLYKGNLQSGQEIAVKILCNRYYREFLNEVNLLPKLRHKNLIHLLGFFSKNDQHFLVYEFMPNSSLDHFIFDPCRASQLSWDVCRNIVVGVARGLHYLHEESGLQIVHLDIKPSNILLDEDFQPKITGFEVARLIQDGQNEAKSTRLFDAAGYIDLHFHQTALRCWTRGEAINMIDEVMIKEEREDSVSEILRYIHIALLCVDLNTRPSLDEVLHWFSYFSTPLPEPRIGDQSLVEGETIDNMSLEERETNWLLSPSPGYGSPMSPVSPR >A08p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10737077:10737855:-1 gene:A08p016520.1_BraROA transcript:A08p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLPTMYIATQTRSRGQLVGIDMSQLMRGETELYEYRILEYNHGRRSLKLLFRWSISNMDFGGRRANKKRGRVDDLLMANKRRGQFS >A08p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17682333:17683624:1 gene:A08p028140.1_BraROA transcript:A08p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLIFDLSCSLMTGLGDMEEEIGNGASLFNDHGRDDSDFQADGLDPPILYRSEWGDDPNYDIRLCGRIGLQCYNLQKGTNFKFKSWEICRDQMTSSDDSFITLEATDPATGSVLSFQTLLSDFGPRRSLGVRLLWINLASRIEPIQTSGNERLDDNWDKNKLHDFYKGPMPKWFSDEALESNSRKYYVVPESEMHDNDWLQLLMEVAFFSKTDRGFDGDLPLELNKAVVETFEDEPLDKLKADNAIFYLSYKCCADPSSTDLAGDHLGIVRKTMDGKPGHMSLEVALTKEQEKR >A01p057660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32658987:32666195:-1 gene:A01p057660.1_BraROA transcript:A01p057660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKRSKKRDERNVNDPFKRLPNDINVVILMKLPPRSIARLRFASKHLSSIILDKEFTKLYMTPSSTQPRHLISVHRGGSNIQMQGFHSLSQDHPSFSNHDKVSYKLDPNLPYEFTPPVRGLICGRDGTKMIVGNPSTGQFVSLPKVKTRRQEILSVFGYDPVNDVYKVSCMTVVTKRSNMSRGGGDVVPWEDIMSEEHQVITVGAKQKWRMVECKHPHRHYSGHQGICRDGVMYYLASYKQKRSLMSFNLSSEDFNVTKLPEDQMLQQYGSLTNHTGKITISSHAYNSNLDLWVLEDANKEVWTKVAAVIPPVSDLLGWLHTILFRFWGILRTGEMIFAPLLSRNPFFFICYDPKDKKVREIAINGIGDDSDTIQIYLVLATGEMIFTPIAPHNPFFVICYDPKDNKVRDIVINGIGDDSDTIQVFFDHVESCMVLWKNHRTMKRARRKKTIEINQQCLTKKEDENDPFWIIPLDLIVEILLKVPTKSIASLVFVSKKWLSLIRSKDFINLYLARSSPRILLAVFGTNVEEQFLQTCSQVDPSSDRHRLNITPHKDHVAAFSPPIRGLFCRLMDLKVIISNPSTGQFLTLPRVKTTRRGIISFFGYDPVNDVYKVLCMTILQGRQRRGSKVVSEEHQVYTLGAQRKWRMIECKHPHLPHPCAITKGICINGIIFNAIKLPEDIPCVVNYTGKVAITSWPTRNGEVHLWILEDANKQEWSKVSIVVPSWIDLIDIHHGYRFRGTLSTGELIFSPWTLPINPLYFISYNLKENIAKKVVVEELEEPDASREVYFDHVESPMFCQIMLHEDVRLVKEFCNYSGMIALTKAELKRVMKRVKRSEKPDERNVNDPFKKLPNDMNVVILMKLPPGSIARLHFASKHLSSIILDKKFTELYMTRSSTQPRHLVSVHGGREYVKMQRFHSISQEYPLSSNHDKVSCKLDLYGPHLTYEFTPPVRGLICGRNGTKVKVGNPSTGQFVFLPRVKTIKKNILSVFGYDPVNDVYKVLCMTVVSKRSNISRGVAPCDAMSEEHQVITVGAKQKWRMIECKYPHRHYSGSQGICRDGVMYYLASYKEKSSLMSFDLSSEHFNVTKLPEDDTLQQCGELVNHAGKITIATLQCFGPVDLWVLEYVNKEIWSKAVVVMPPLADRFGMSYKFAFRGILGNGEMILTPITTPNPFFFLCYNPEGGEFRKIVIDDIVHYSDDTIQVFFDHAESYMGFIFVLVKDRTMKKAWRKETTGTNQQCLTMKEDEKDPFSIIPLNLIVEILMKIPTKSCSQPSLGFKKMAIHNPPQRFYQPVHVSILDSDASSLHNLPHARERAVLTNLLPG >A04g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6326408:6331311:1 gene:A04g502770.1_BraROA transcript:A04g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAWERLVGATPSSRSDPPIRATLPEQQGGVARVFITRRRENESGATSRSDTARSLPKPGATCRSDELRSLRALMLLELMISQGPFATRRQIIFVLRKTTKNLWKVISLNQLISLLLKFCVLIYFSVFLYMINLKSNMSLRGIMEISEKDMASGNRLSRGEKGKDIATPSSPARDADGSPLDEFDIIRLLVADAHRQFHEEAKENVGDEGGEASGSEAPSQVVRPIRRAQRRALRHPNTIAYPENHLRWPDLSREWIRRQQARIAREVRPAPADGDVNSEPPSQSSPKKKTSKAKKQAKKGQSSSLEGSVPLEEAPSSADASEVAAKKKKKKKDSKKRSREEASVEPPETSMAVGNDNAGRHDPTDYTRGSKKTTVEDDGTPDPEAPSKIGGQATETGDGSRDESPLSKRALSSSARKKGVESGGSLPQMAGKGFPDRVEFLYDETTPLVLNPLRCAELTRQIRGGTRELPPIDDLYFKKEYIDAAMASKWSDGSMNYLVEKYDSTLKQTMVQLGASEKLARTRLSVIKRMRSENKKASDKAAKEKEVLRVKFAVEDKLKSDRLAKKDALREKARLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRIQEVTRERVKVQTAMADKSTRCFGRVKDYVDRLNALENAKSLYGQASGTKKCLEVIRDSGTEIPQDMIDIFSEQEKLHEAEVAKLRLDPFSEADFALSPLNLPSRFVSEELMGMLDPYGSNVGLIGHESASQLITSCEAAEDRTDEPMIDITSALSKRIAVPEGTAVEECSDKNDSEVGGNAIQEETGNVAAEDPVLVSSSEEREDDEGGDQEENRSSPALIEEAALNPSASDPPAQIEGLNAQVAEETVESLDPVVSNKNDQDTVA >A05p034120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19191466:19195434:1 gene:A05p034120.1_BraROA transcript:A05p034120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLEDIGVSALINLFGAFLFLIAFAVLRIQPINDRVYFPKWYLSGDRHSPSRSDGNLVGKFVNLNFKTYFTFLNWMPQAMKMSESEIIRHAGFDSAVFLRIYTLGLKIFVPAMVLALAVLVPVNVSSGTLFFLKKELVVSDIDKLSISNVQPESSKFFFHIGVEYLFTLWACFMLYREYNTVALMRLQYLASQRRRPEQFTVVVRNVPDMPGHSVPDTVDQFFKTNHPEHYLCHQAVYNANKYAKLVKQRERLQDWYDYYVLKHQRNPHKKKPTCRTGFLGLWGKKVDSIEYYQQQIKDFDHTMALERQKVLKDSKLMLPVAFVSFDSRWGAAVCAQTQQSKNPTLWLTSSAPEPRDIYWQNLSIPFISLTIRKLIIGVSVFALVFFYMIPIAFVQSLANLQGLDRVAPFLRPVTRLDFVKSFLQGFLPGLALKIFLWILPTVLLIMSKIEGYIALSTLERRAAAKYYYFMLVNVFLGSIIAGTAFEQLDSFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFIVKTDRDRERAMDPGFVDFKETLPSLQLYFLLGIVYAVVTPILLPFICVFFAFAYLVYRHQIINVYNQQYESCGAFWPHVHGRIIASLLISQLLLMGLLTSKKAANSTPLLIILPILTLSFHKYCKHRFEPAFGKYPLEDAMAKDKLEKETEPELNMKADLADAYLHPIFLSFDEELDEEDERHQKETTEVRVDKHETQSSSPVTELGTPSHYQQHEVYNPTSPSSHYASAYEQSSSQYEYHYETHRYEEHGGYRYNN >A08p010450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8587586:8588575:-1 gene:A08p010450.1_BraROA transcript:A08p010450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTSLSLSRNTQQLHPSSGFSLKPIGRRANVSFGLNPSKKIQLSAPRGKRILTIQSAYRDDDSSGSTGLFVGGFILGGLIVGALGCVYAPQISKAIAGADRKDLMRKLPKFIYDEEKALEKTRKVLADKIAQLNSAIDDVSSQLKSEDTPNGAALSTDEVEATA >A02p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13475506:13483130:1 gene:A02p027790.1_BraROA transcript:A02p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPVRCPAARESAYRRRSSPLSFLVLLFASSSASLLYRFVSGSGLAYIYGFVLRSRGVSDPTVVRPSMETARSGVDWGFDGGVGFSGLVSRSCFPFQISLCWSWCSRFGVEVSPLLRLVVIRGSVEVVIGLAWWFSRSVAASWRLGLTKRTQVSSSEFLGGESRTAVCSRCLWLVDVFLGDPSSFGSPYLVFVLGLLGCGDGLILWRFWSHWFLCSRLPLLTLEVGSFMSLSIVLWFSKATASVTSSVSLLASVSRLARDISQMGQDYSYSQPSSSDEYDITALIQAEVELYGDEAESNYHIAEPLQYLPQPECDEGIPTTCYCGGDPVVSISSTAKDPGRRYFTCPNVDDGDCHIWKWLDVAITEEMRELQTQIKQLKDQGFECEQKVVKLQKTMCALSKKKPGLITNGFAMEEELQRSKSECLKPQECPYNKCAYLRICIAVRVTYYTSLTMDKNTSYVNLMFSQSQSSVDLDSPEPFWFGSQVKEKLSKHKLLERLLGKKEPLTEMETSLKLKLMSEMLGSFVTRLVSLSRVVCLLSSRTCEGTDPPMKLPRTTTTMGELFWGYFFVIIGSVSFFGFVFAVIASTLLPLFQKGLKNDRYYYCFLVPLTIPVITVAVYFHWLSIKLFKHA >A09p081630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59346959:59352414:1 gene:A09p081630.1_BraROA transcript:A09p081630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTQSPSESEPEVHSGEDFVHIEEHSKPAGDFSLSDSIVNVEKEDAVEEEEEEEEHKEDSDSVASVGGDDGEGECSSGKVELPEELAKSVVILTCESNGESGSCDVYLIGTAHVSKESCREVKEIISFLKPEAVFVELCSSRVSILQPQSLKIPTMSDMIESWKQKQNTFGILYGWFLAKASFLNLQLEVFPGTEFRVAYEEALKYGGSVILGDRPVQLKEMDNVDMVTLVIQEMSKEFPSLMETLVHERDQYMASSLLRVASEHNSVVAVIGRGHINGIKKNWKQPITMKDLMEIPSDNSVFTVKRIVSSVAIAVAGTAIFTGILLSRRRLLGITSLTSTSSMSMEPTQSPPSETEVHSSEDFVHGEEDSKPTGDMSSSESIVNVEKEDLLDDDAVVEEAHDDSDSVVSGGDAPAAGDDGDGECSPEVLELLEELVKSGAMLTCDSTAETGSCYVLLIGTSHVSEESCRVVKAVISYLKPEAVLVELCSSRVSLLQPQTLKIASEFGVFPGSEFRVAYEEACKYGGKVMLVDRPIQITLKRTWAKMPLWHKVKFVYTLMFQDVFLPSSEEHGKRPQEMETADSMTLLIEELSKEFPSLIETLVYERDRYMATALLDIASGCNLVVAVIGYGHINGIKKNWKQPVSIEDLMEIPGDGSVFTVKRIVSSVAIAVAGTAIFTGILLARRR >A09p026270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14712782:14715161:-1 gene:A09p026270.1_BraROA transcript:A09p026270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRTYRDDVGGNMVDAFRTHIMQTKELGNCPVRQIGGCSFVYMRISNVYIVIVVSSNANVACGFKFVVEAVALFKSYFGGAFDEDAIKNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPKDKPVPNATLQVTGAVGWRREGLSYKKNEVFLDIVESVNLLMSSKGNVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESEMKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVVKIPVPKQTAKTNFQVTSGRAKYNPSIDCLVWKIRKFPGQTESTLSAEIELISTMGEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >A03p029440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12389578:12390556:1 gene:A03p029440.1_BraROA transcript:A03p029440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVGGPLLSIGDLLADLVEEPVVSAPPNPQNSSKLETDAISEPLDLTGLFQDNYDKLNRAFAGSDHSWTSLTLELCTSLETANKLVHATTTNARFLSEKVRELEKIVKQGDSAVAAARSVHATVNQKGLPS >A09g515180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45237252:45239886:-1 gene:A09g515180.1_BraROA transcript:A09g515180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTTSRKSSRRLSGSRLDFLEVVWTSWNSSGLHGSLLTKSPFQNRSECFGRRLPKSSRLLPFQSSGLPESRLDFLEVVWTSWKSSGHPGSRLDFLEVVWTSWKSSDKVFFHIKLFQKFEFPGRLTFQSSHDLTFSRLRKYISKSIAKITSALTRRLPAHIRLLQEHRILNESDPPRIVSFFDSMNHKKCRIKILEWRKKKGKSILGALRVSNWLFMVVRVLMTMAIL >A07p039610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21045994:21048473:-1 gene:A07p039610.1_BraROA transcript:A07p039610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNEIVSVAVGFYKRIQALMSSDQSPPVETPLLHQEQPPPDEEDDDLGQTLRRLEMFLTLLGFSQSSTRSLVLSWIVFLTIGLVLPVTVLELGHCEGCERYQNKSFELNIVVSQACLAGVSLLCVSHNLRKYGIRELLFVDQLSGRMGRLKDHYMQQISNSVKLLGLWSLICVVLKAVREIIRMLYVPHDQPWLSVFILLSMILSWTYLSTIFLAASSMFHLVCNLQVIHFEDYAKLLDEESEISFFIGEHTNLRRYLSKISHRFRIFLVLQFLVVTASQFTTLFQTTAYSGRITYINGGDFAVSAVVQVVGIILCLHAATKISHRAQAIASVASKWHALISCSSTDSTQIRASPSGVHLEATTNPPISFRISNSESDMESMDHYMRMPACNNSHFPSYMSMSSYHRRQAFVLYLQMNPGGITIFGWTVDRHLINTIFFIELSLVTFVLGRTVVFTSE >A06p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6311152:6311984:1 gene:A06p013980.1_BraROA transcript:A06p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADVSSLVRLLSRYNDDRTTVKDSAQQGSSVALMTRDLLGSGSCRGGGGGDQSLELDLDLKVPNGWEKRLDLKSGKVYLQQQNSTTSSHHRRAEQSNQTFRKFQDLNFPSKSPVRPLLSLFDDTSLELKLVPSSSSSPASSSSSSLCVSSASSSFQSVCTLDKVKSALERAGKVSSGTLKKRKSPEEEDVCDQTASTAGSSPVAVGCPGCLSYVLVMKNNPKCPRCHSFVALPAVKRPKIDLNI >A07p044010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24056581:24059113:1 gene:A07p044010.1_BraROA transcript:A07p044010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLENMKNISLSDSVINLDHGDPTAYEEYWRKIGDRCTVTIRGCDLMSYFSDVNNMCWFLEPELAEAIKELHDAVGNAATEDRYIVVGTGSTQLCQAAVHALSSLAGTQPVSVVAAAPYYSTYVEETTYVRSGMYKWEGDAWGFDKKGPYIELVTSPNNPDGTIRETVVNRPDDDEGKVIHDFAYYWPHYTPITRRQDHDIMLFTFSKITGHAGSRIGWALVKDKEVAKKMVEYIIVNSIGVSKESQIRTAKILKVLKETCKSEAENFFEYGHEMMKNRWEKLRGVVKESDVFSLPKYPEAYCYFFGKTLGSYPAFAWLGTKEETDLVNELRRHKVMSRAGERCGSDKKHVRVSMLSREDVFNVFLERLANMKLIKSIDL >A02p060380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36036779:36038256:1 gene:A02p060380.1_BraROA transcript:A02p060380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 3 [Source:Projected from Arabidopsis thaliana (AT5G66510) TAIR;Acc:AT5G66510] MGRALYSVGFWIRETGQALDRLGCRLQGKNHFREQLSRHRTLMNVFDKAPLVDKDAFVAPSASIIGNVHLGPGSSIWYGCVLRGDANTITVGAGTNIQDNSLVHVAKSNLNGKVLPTLIGDNVTIRNSAVLLGCTLEDESYIGASATVLDGAHVEKHAIVESGALVRHNTRIPSGEVWGGNPARFLRKVTEEERAFFSTSAVDYSNLAQVHAAENTKNLEETDFNKLLYKKKARDAEYDSPLLNDDLTLSENHLPKAP >A02p018290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8409242:8411732:1 gene:A02p018290.1_BraROA transcript:A02p018290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDATFAPTFARYDYIIIGGGTSGCALAATLSQNATVLVLERGGSPYENPTATDIQNFATTLSNTSPKSWSQLFVSEDGVYNTRARVLGGGSVLNAGFYTRAGDDYVKEAEWKSDEVEAAYEWVENKIAFEPPVMGWQTALKDGLLEAGEFPYNGFTYNHTYGTKIGGTIFDNVGQRHTAANLLEYANPDTVAVYLHANVHKIVFTTKGRPRPKAYGVIFQDENGVLHKAELAKNAMNEVILSAGAIGSPQLLMLSGVGPMAHLAAHGIKPVVLDHPMVGQGMADNPMNAIFIPSPTPVEVSLIQAVGITKFDSYIEGASGVIFSYSWTRNYFDGVLNYLNEIQTSHTTTSTPLSTQSITDFFKSIDPLFNATTQAGVILQKVAGPVSRGHLELRNTNPYDNPSVRFNYYQEPEDLKKCVEGITTIIKVINSKAFSKFKYPEATIPGLLDLILNVPTNLRPRHVTSVFNLKQFCIDTVMTIWHYHGGCQIGRVVDKNYKVLGIDGLRVIDGSTFLKSPGTNPQATVMMLGRYMGQKILKEREAFLEKKEEEA >A09p049480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43748087:43763416:1 gene:A09p049480.1_BraROA transcript:A09p049480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRILVQHAKLYRSCTLRTLWSSANQTLQHRLTEALDQNAQIIPVLQQWRQQGNQIDPSHVRVIIKKLRDSDQSLQALQVSEWMLDNVVESNGVAPDSVNVDNVSKLYASVSDVRAMEKLLTAWEENCGNWSTLEWLITLDMAKACLKDGSQEKAIKLLTSTEKLVDPKTFKQAYELLTKLYGDAEKKEEVLRIWNLCNKSTEESCDNNDYLTVIRTLLKLDAITEAEEFYKVWEYSPLEFDYRIPTMLASGYRERGMVDEAEKLIMKSLIKNIRMKRPINPLLDEWGGRMRVSELKCLIKNLHDSNQFSKALQVSEWMDEKRACNIYAEDYAARLHMVEVVLGLEEAEKFFKNIPENMKDYTVYATLLSSYAKSDKHLGKAKVTFEKMRELGFLMKPSPFNSMLSFPSQRNMVGEFLREMEENNVSPDSLIVNKVLRIYAADSNVEAMEKFMKKWSGEEGIKLERETMAAVAKAYAKAGSMEKAIEMYGGVAGSEGEVYRLWNEFKKNEELEDDWWCRLFNKNEKLEGDMYKTVITSLLKLDNVEGAEKVYGEWKPVGPNLDLSIPGLLISRFCAEGNELKVGELINSIRGKRNEMHARMVRDYIARVVTYVAIGVFFFLVSEWMDEKRACNIYAEDYAVRLHMVDVVLGLEEAEKFFKNIPENMKDYTVYATLLSSYTKSDKHLGKAKATFEKMRELGLLMKPSPFNSMFSFHSQRNMVEEFLREMEENNVAPDSLMVNKVLRIYAADSNVEAMETFMKKWSGEEGIKLERETMAAVAKAYSKAGSIEQAIEMYGGLEGSKKEVYRLWNECKKKEKEKLEDGWLSLGEWKPVGPNLDLRIPATCHH >A03p014060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5578660:5580387:-1 gene:A03p014060.1_BraROA transcript:A03p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKNTTQVNGDASSSIVRATIVQASTVYNDTPKTIEKAEKLIAEAASNGSELVVFPEGFIGGYPRGFRFGIAVGIHNEEGRDDFRKYHASAIHVPGPEVDKLAELARKNNVYLVMGAIEKDGYTLYCTALFFNSEGRFLGKHRKVMPTSLERCIWGFGDGSTIPVYDTPIGKLGAAICWENRMPLYRTALYGKGVELYCAPTADGSKEWQSSMMHIAMEGGCFVLSACQFCQRKDFPAHVDHLFTDWYDDQHDEAIVSQGGSVIISPLGKVLAGPNFESEGLITADLDLGDIARAKLYFDVVGHYSKPDVFNLTVNEHPKKPVTFVSKTVKAEDDTEAKEK >A03p042570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17802499:17804380:1 gene:A03p042570.1_BraROA transcript:A03p042570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKLIHILAFSFCNLTGNTKSTCMAKAKSVQAKYMCLILNTFPSHINRKLIDCCLFAYSLVFFLVSAYLHMASLGDHDEIIKSVSDAPPTHYMVKIESFSLLTKHAIERYETESFEAGGYKWKLVLYPNGNKSKNMKEHVSVYLALADSTSLGPGWEVSAVFRLYLLDQNKDSYLILQGKERRFHMFKREWGFDKFIPTATFFNASNGYLMEDTCMFGADVFVSKERRSGRGECLSMIKDATSSKHIWKIENFSKLDKESYDSNAFFAGDRKWKIRLYPSGTNHGTGTHLSIYLILADPETVSDGTKIFTEFTVRIYDQLQGRHIAGKVTKWFSGSSLENGWVKYVSMVYFTQPSSGLLLKDVCLVEADVCVHGITSALLSLISQNI >A09g515810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46725403:46726251:-1 gene:A09g515810.1_BraROA transcript:A09g515810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFPFFFSPSQERETRETSQRIDSRLLLRGFRFELLIVISFVGVVSIFSNKHSVRLLRRTNPFLEKLLGSSNIYNI >A06p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3134756:3138478:1 gene:A06p008950.1_BraROA transcript:A06p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G11130) UniProtKB/TrEMBL;Acc:C0LGE3] MIFTSRQVFFVLSVLALTTMPFSAGVTNLRDVSAINNLYITLGAPSLHRWLAFGGDPCGEKWQGVVCDSSNITEISIRGMKVGGSLSDTLADFSSIQVMDFSDNHISGTIPQALPSTIRNLSLSSNRFTGNIPFTLSFLTELSELSLGNNVLSGEIPDYFQQLTKLTKLDLSANILEGRLPPSMGDLAALKILYLQDNKLIGTLDVIEDLSLTDLNVANNLFSGPIPPNLLKVPNFKKDGTPFNTSIITPPPPAADPPPATHHAPPLPRVPPVSNVPPAPFAPLLPPPPPLVWSPPSDNVGGDPWNSGSGQPTLQISPPSGSGSGKFWSTQRIILVVSSVAIIVLVSGLCVTLWRCCRGKKYNRYGADARKDLQRPYFNKPPSQPTPTLGKVSREPMVKPYDGYGGGDRKYGYPMPPPRPEESRRAIPPASYYNKDVQKPLQQPPRRFQSNDDSAASKRAAHFPPGLNSSSSATVFTVASLQQYTNGFSEELIIGEGSLGNVYRAVFPHGKYLAVKKLSNTINKTQSDGEFLNLVSNVLKLKRGNILEFLGYCNEYGQRLLVYEYCPNGSLQDALHLDRKLHKKLTWNVRINIALGASKALQFLHEVCQPPVVHQNFKSSKVLLDEKLSVRVADSGLAYMLPPRPTSQVAGYAAPEVEYGSYTCQSDVYSLGVVMLELLTGRRPFDRTRPRGHQTLAQWAIPRLHDIDALTRMVDPSLHGAYPKKSLSRFADIISRSLQMEPGFRPPVSEIVQDLQHMI >A04p040540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22880017:22882080:1 gene:A04p040540.1_BraROA transcript:A04p040540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-6 [Source:Projected from Arabidopsis thaliana (AT2G46570) UniProtKB/Swiss-Prot;Acc:Q9ZPY2] MTSSSVSSLLRLSFCLFILQVMNIGTCHAATRIYHFKVQTMRLTRLCQTKEIVTINGKFPGPAITAQEDDNIVVNVINMTPYNATIHWHGIKQKLSCWYDGPSYITQCPIQSGQSFTYNFTVAQQKGTFFWHAHFSWLRATVYGPLIVYPKANVPYPFKKPFKEHTILLGEYWLKNVVELEKHVLESGGPPPPADAFTINGQPGPNYNCSSKDVYEIEIAPRKTYLLRLINAGINMESFFTIANHRLTIVEVDGEYTKPFTTERVMLVPGQTMNVLLTADQPIGRYSIAMGPYESAKNVKFQHTSAIASLRYFGAFPNSVASPAKLPVFNDNIAVKTVMDGLRSLKTVDVPEDVDAHLFITVGVNVNKCNSENPNNKCQGPRQGRLAASMNNISFNEPKVSILEAYYKKLEGYFTLDFPTVPEKSYDFVNGAPNDIANDTQAANGTRAMVFEYGSRIQIIFQNTGTLTTENHPIHLHGHSFYVIGYGTGNYDHRTARFNLEDPPYLNTIGVPVGGWAAIRFVADNPGLWLLHCHFDIHQTWGMSTMFIVKNGKTVVESLPQPPADLPQC >A06p000910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:61749:64333:-1 gene:A06p000910.1_BraROA transcript:A06p000910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRLKQQQQQQQQAMMQQALMQQHHSLYHPSLMAPPPPPQMEPLPSGNLPPGFDPTTCRSVYAGNIHTQVTEVLLQEIFASTGPVESCKLIRKDKSSYGFVHYFDRRSAGLAIMSLNGRHLFGQPIKVNWAYATGQREDTSSHFNIFVGDLSPDVTDAALFESFSAFNTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINEMNGKWLSSRQIRCNWATKGATFGEDKHSSDGKSVVELTNGSEDGREISITNEEAPENNPQYTTVYVGNLAPEVTQLDLHRLFHTLGAGVIEEVRVQRDKGFGFVRYNTHDEAALAIQMGNSQPFLFNRQIKCSWGNKPTPIGTASNPLPPPAPVAVPGLSPMDLLAYERQLALAKMHPQAQHSLRHVNAAGASAAMYEGGFQNVAAAHQQLMYYQ >A06p000610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:218856:219580:1 gene:A06p000610.1_BraROA transcript:A06p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYVLHGRELTVVFAEENRKKPIEMRARERGGVRRRSPPRYSRSPPRRRGRSRSRSGDYYSPPRRHHPRSISPRGERYDRGRSYSRSPAYNGSRGRSVTPARGKSRSISRSPRSTSRSPRGGGISPSPRRSISRSPSPRRSISRSPRGSRSPSPRRSRSYTPEQARSRSPPRGEQYEDRSPSQ >A06p045390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24327011:24331125:-1 gene:A06p045390.1_BraROA transcript:A06p045390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 7, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G27600) UniProtKB/Swiss-Prot;Acc:Q8LKS5] MEFSSPAQRRLQTLRSHVDSSQADDQPSLFLNATASASPFSNEDSYSIVLPEKLDTGIWNVHRSAKSPTKLFSRFPNHPEIGTLHDNFVHAVETYPDNKYLGTRARPDGTIGEYTWMTYAETASARQAIGSGLIYNGINHGACVGLYFVNRPEWLAVDHACAAYSFISVPLYDTLGPDAVKFVVNHATLQAIFCVPQTLNTLLSFLSEIPTIRLVVVVGGADENLPSLPPGSGVKIVSYQKLLSQGRSSVHPFSPPKPEDIATICYTSGTTGTPKGAMLSHENLISNVAGSSLSINFLPDDIYISYLPLAHIYERSNQIMGVYGGVSIGFYQGDIMKLMDDLAVLRPTVFCSVPRLYNRVYDGITSAVKSSGVTKRRLFQLAYNSKKKAILNGRCPSPLWDKLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCSVREGYGMTETSCVISSMDEGDNLSGHVGSPNPACEVKLVDVPEMNYTSEDQPYPRGEICVRGPIIFKGYYKDEEQTKEIMDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYTKCRFVSQCFIHGDSFNSSLVAIVAVDPDVMKDWAASEGIKYENLAQLCKDPKVRKAVLAEMDIVGREAQLRGFEFAKAVTLVPEPFTLENGLLTPTFKVKRPQAKAYFAEAISKMYAEIASSDPVPSKL >A03p032500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13746495:13759833:1 gene:A03p032500.1_BraROA transcript:A03p032500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGTLLKDFKDKVGLADGTTAGDASRDLIPPPSSPPSPSSSSSYAASPQRDLTLLSPTSRERYNLELEFRRYWEEFSSSTSEQEKEAALTMTVNTFCTIAKQHANIDQLVTMLVEIHVFSFVIGRAFVTDIEKLKISSKTRSLDVEKVIKFFSQVTEEGVSHGENLLTAVEVLVSGNIDKQSLLDSGILGCLIHTLNALLMYSVASEGEKSVSLEEKVEESVIHIMKALASHPYAAQSLIEDDSLRLLFKMVANGSVVAFSQYKEGLVSSRNIQLQRHAMQILGQLLVNDNGSTASYIRKHYLANHIDLIFKLSEVKALLMAIKDFDPDCGDSAYTMGIVDLLLECVELSYRPENGGVRLKEDIRNAHGYHFLVQFALVLSSMPKNMVSASSHLSQHQNSGLDDSELQSPHSVNSRQNDEFGSQSFSPSLSRLLDVLVTLAQTGPIELSGGSTSLLPQTNPTGYDETWEQGSGKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFSSHMENYKMCQELRTVPLLVLNMGEFPSSLQEIILKILEYAVTVVNCVPEQELLSLCFLLQQPINSELKHTILSFFVKLTSFDVQYKKVLCEVGVLEVLQDELRQHKLLMGPDLYSGVSNHLNRLPSSPSFKQHLDNKDAIISSPKLIESGSGMLPIFEVESTITVGWECMVSLLKKSEANQEAFRSANGVAVILPLLISDDHRTGVLRILSCLITEDANQVHHEELHAVIDVLKSGVVTGISGHQYKLHHEAICDTMGSLWRILAVNGSAQRVFGESTGFSLLLTTLHTSPEEECRDESHLMVHIKLFKHVLRLMTSAVCENAVNRMKLHNVIISQTFYDIFVESGLMCVDLERQVIQLLLELALEVLLPPFLTSESMASAEMAESEKASFLVKTPSGQFSPDKQRIYNAGAIRVLIRSLLFFSPKIQLEFLNLLERLARATPFNQENLTSAGCVELLLEIIHPFLPGSSPFLSHVVKIVEVLGAYRLSPSELKMLCRYGLQMRVKNSGQAIVGMMEKLILMEDTGLEHVSLAPFVEMDMKKTGHASVQVSLGERSWPPAAGYSFVCWFQSRNFFATQGKAAGEYEAGGSSKTQVLSGQQSEQNVFRIFSIGASNESPFYAELYFQEDDILTLATSSSNSLSFSGLEIEEGKWHHLAVVHSKPNALAGLFQASIAYVYIDGKLRHMGKLGYSPSPVGKSLQVTIGTPATCARVSDLTWKIRSCYLFEEVLSSGCIGFMYILGRGYKGLFQDAYLLRFMPNQACGGDSMAILDSLVTDMLSSSNSQKFEESNRQGDSKADGSGIVWDLERLGILSYQLAGKKLIFSFDGTCSEFMHAAGNFILLNLVDPLSAAASPIGGIPRFGRLVGNASICRQNVIGNTIRHVGGMAVVIALVEAAESRDMLHMALSLLACALHQNSQNVKDMETYKGYHLLALFLRPKMALFDMQCLEVFFQISACEAFFSEPKKLERGQSTISMSPTKNIPENNYEDISLSKFQYETSSVGSHGYMDDFSGPKDSFSQLSELEIGDIPVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLGFLENLVSMLRYRSHNLTILRRINLVEQLLVTLQRGDVEVLVLEKIVVLLGCILEDRFLTSELENVVRFVIMTFNPPEIKSRNSPRRESMGKHVIVRNLLLEMLIDLQVTIKAEELLEQWHKMVSSKLITYFLDEAVHPTSMRWIMTLLGVCLTSSPNFSLKFVTNGGYQGLTRVLQSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALVPNDGSRVELKFLDLLDSVVAMAKSTFDRLIMQSMIAHHSGNLSQASASFVAKLVEGNVDMTGELQGDALMHKTYAARLMGGEASAPATATSIIRFMVDLAKMCPPFSAVCRSAEFLQHCADLYFSCVRAAHAVKMAKQLSIKTEEQCISGGDDSSAQGVFSNLPQDLDQSTKTSISAGSYPQEQVSVSSEGMPLPSDYVVADKVEKILTTPSGASAKSFQDREYVKKLDGDRVSPVSASSELEFRDCKGKSSQIQPTDSQSSESFSMLESPVVSEKSSRKGPFTSSTSPLPSHISVSEFDASSDHKSGSQGLSAAHTLFKISPKLLLETDESGYGGGPCSAGASAVLDFMAEICADLMTEQMKAVQTLESILEMVPLYVDPECVLVFQGLCLSRVMNYLERRLVRDDEEDDKKLDKRKWSANLDAFCWMIVDRVYMGAFPLPTGVLRTLEFLLSILQLANKDGRVEEFTSSGKGLLSIGRATKQLDAYVHSILKNTNRAILYCFLPSFLTTIGEKNLLSRLGLLVESNKRQPSDEESGIDISTVLQLLVANKNIILCPSNLDTDLNCCLCVNLISLLHDQRKNVQNMASNIVKHMLVHRRSASEDLLVRKPHRGQTLDVLHGGFDRLLTGNLPEFSKWLESSEETVKKVLDHGAAVMWTQYIAGSAKFPDVRMKGMDGRRTREMGRKSRDISKLDVKRWEQLNERRHALDIVRDTMSTELRIVRQNKYGSILHAESEWQNHLQQLIHERGIFPLRVSQGSGDLEWQLCPIEGPYRMRKKLERCSLKVDSIRKLLEGKLELEEIELPKPKNEDGIVIFDKDCEPDFLLSELYSESFFEEADALKDIPSARNGWDNDGGSSSSETSLHNALDFSAKSSGTISVLISENTDEKSETGSPRGSSSGNMHETRDVEEESEKELNDDGEYLIRPYLEHLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDDHGCICEKECEDELSVIDQALGVKKNVTEGLEFQSKSSPLWSTNMKTGAVGARAWAYGGGAWGKEKVRVTGNLPHPWRRWKLDSIHEILKRDYELRPVAVELFSMDGCTDLLVFHKKEREEVFRNLIAMNLPRNRMLDTTISGSGKQESKEGSRLFKLMAKSFTKRWQSGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWILADYDSESLDLSDPMCFRKFDKPMGCQTPEGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLYYLIRLPPFSAENQKLQGGHFDHADRLFNSIKETWLSASGKGNTSDVKELIPEFFYMPEFLENRFNLDFGEKQSGEKVGNVFLPPWARGSVREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEKAVNVFYHYTYEGNVDVDAVTDPAMKASILAQINHFGQTPKQLFQKPHVKRRTDRKIPLHPLKHSTHLVPRDIRKCSSSINQIITFHDKLLVAASNCFLKPRGYRKYIRWGFPDRSLRFMSYDQDKLLSTHENLHEGNQIECAGVSHDGRVVVTGADDGLLSVWRVSKDGPRGSRRLRLEKSLCAHTAKVTCLRVSQPYMMIVSGSDDCTVIIWDLSSYNFVRQLPEFPVAVSAIYINDLSGEIITAAGTLLAVWSINGDCLAVVNTSSQSPSDFIVSVTGSTFSDWLETKWYVTGHQSGSLKVWQMVHCTDPVGAEIKSASNRTGWTNLVPEYKLLPHKELDCHKQPITALHLTADLKQLLSGDSGGRLISWTVPDQILKASLKNALIAQNLKHHHLEARIGI >A06p018280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8887427:8889894:1 gene:A06p018280.1_BraROA transcript:A06p018280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIGYGLKYQARCISDVKADTDYTSFLTGTLSFKEENEVHLLRLSSGGSELICEGLFTHPNEIWDLASCPFDQRIFSTVFSTGESFGAAIWQIPELYGQLNSPQLERIASLDAHVADSLSLSLGDSVLWWPSGRCDKLISMDEQNIFLWSLDCSNKSAEVLSKDSAGMLHSLSGGAWDPHDVNAVAATGESSVQFWDLRTMKKVNSIERAHVRGVDYNPKREHILITAEDESGIHVWDLRKAKAPVQELPGHTHWTWAVKCNPEYDGLILSAGTDSAVNLWYASASSTNNKAPESPVESTRKRVNLLLNSYTDYEDSVYGLAWSSREPWVFASLSYDGRVVIESVKPFLPRL >A10g501010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2936064:2936243:1 gene:A10g501010.1_BraROA transcript:A10g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIHPKRQPKPRKNKSSPSVGSSSQPLQTAQSSYAFPAASSAPQQSVPSNQPAKHVML >A06g505990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17087859:17088581:1 gene:A06g505990.1_BraROA transcript:A06g505990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDSGCFNAGKCRVLLHGELALPCSPCFGDARGSWRTRPVFLSSFLIQSQIFVVEWSQILLVPLRLGVLSLRRLFQSRLLHLQLWLLVVWVFSRECWRGSVGKASFSWWLGELFSLIVEHLSIQGAWTEQRFPLSSFEVPGCWSYRLAGEAAAISVVWVQNWRVPGGGL >A01p055920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31652880:31657769:-1 gene:A01p055920.1_BraROA transcript:A01p055920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLYSSVSFILFTLIALQCPPLTVSPFNKLRRPAAQLYHSTTSPSTPASFNASKLGLPRTTSSETVENTWSFILSAPDSSVFIGIGFSTNGKMIGSSAVVGWLPPNGGQGHTKQYFLGGQSPGEVMPDQGDLVIVNGSLKIESVSSRLYMSFQLTVEMPRKNILYAKGPAGFFPSSPGFRLREHQSMTTTTINYVTGKQETSPFLKTNLCPKEDAWANEHVWLGNTNHHRCHSGTTYGANHRLLLWLPFVIHIAIQMTGFLLGLIGIICGLFLENQTNANNVSTHKALGITILVMGFLQVLALFARPDKESKYRKYWNWYHHNIGRVMIILTISNIFYGIHLGKAGTSWNVGYGSAIGVLALAAIGLEMNLYSSVSFIFFTLIALQCPPLTIQQTTDSCSSTLPLNDLTFNSSLLQCVEAWTPQNYILRYARTLENTWSLILSAPDSNVFIGIGFSTNGQMIGSSAVVGWLPPGSGGGGQAKQYFLGGQSPGEVTPDQGDLVIVNGSLKIESVSSRLYMSFQLTAELPRQSILYAKGPAGFFPSSPGFRLREHQSMTTTTINYNTGSQSVVKGSPHSKLRKTHGLMNMIGWGILIIIGAIVARHMKQWEPTWFYSHIAVQIIGFLLGLTGIICGLILENRTNASNVSTHKALGITILVMGGLQVLALLARPDKESKYRKYWNWYHHNIGRALIILAISNIFYGIHLAKAGSSWNAGYGSAVGVLALAATGLE >A08g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:962524:968305:-1 gene:A08g500420.1_BraROA transcript:A08g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVTVVKSIPISDKVATNVRANFAKRNRFLLHHIDILHICILKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPSESDTMKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKAARDLSPGDTKNRPWSDFLERDLQVAPRYFAAENHDFLRTFLQFILDPPGRLSFDLNTQKLSGEVHLLDFDCYVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHIGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A01g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20727023:20728089:1 gene:A01g507090.1_BraROA transcript:A01g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEASQNYVQKKRKKSKPKLRFGDAPFTIRFESCSHYVIIPAVRSLASQKLTEACAQTGSYVVIVFPKQKKSVLQANFSFCNLIMNYQTFCEVIDDNNSSAQGRLNTARGLAAFQVVWMGGVVNSTRSNRFSSGLNTTHVAAFFYSSTAGAYHEPWVSTTAVTRYVISNSIS >A02g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23134266:23134808:1 gene:A02g508570.1_BraROA transcript:A02g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPYQEMKDMKKHKKHYDMLGYICDAQYGIPTRCPCGGEIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEDDGMHFRQPWAFGVEDEVRRLRMEVNDMAEEIAKLKRIITSTSRP >A05g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21106978:21112008:-1 gene:A05g507440.1_BraROA transcript:A05g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLKFSTEVHDFHHAGQTDRTVPNASGWELWLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGIAFGRTNPEIGHRYSILDCTVRTARTTGLELLQNSRPDDRIPRTESRLSRPVLHSKKNGRGRFQFDRMDFKLGRATSFPASLDCHDRVLALSAGHAEVSILLLLDRCVTSGNDRSLVVSLGHPQPFVSPFIPSVLFTYGSSSYLSNPVECSFLRVLQVVSEPLFRFTMFGLQRKSNKEKHPRLSVSQTSFKYALNTFDEFVDVQEKPIWWSKEHVNTSKDVADPKRQHFQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAQVAEPSFSISKKTQGESENCFEEFKDFSDSSPIFDETDEEPIESLMSCEESCDLPYLESEFINDNEQANVELTVLQPEHPSSLVLSQQVFEEEPLDVPHQCPCLDIWISLEEVPEPIFDEEDEPDPVFDEEATSIISTFMESHLCFDSGTTTAPSSPAPFLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKDFCVSKSVFDNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSSDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSLVCKGNSFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFELSIQERQVKPLNESIGRAQQPQIWRSFVVQTGYLGARDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEVWNRMKSFTDEEVMNFPNRRFFSPSICEYQISKGDSCPRKNRPEPKPILHEPKVFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKCILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKFLRPKHSFDFVHDDNFSNLALSLSFHNSFSPWPDFEINKSIFGNQLTCLMLAHVLDDYPKCLDHVFGVLRIEKPFDYSFTRFDVVSLVALNKQDKHDQFLRRASTNGRQSTLDLRTNPFEEGGNDRPRSTDQYMEPNQHEDQDVLKFSTAVHDFHHTGQTDRTVPNASGWELWLEPWPDDRFHCTRLCLHRPVFHLMKNSRDGNAFGRTNPEIGHRYSILDCTVRTARTTGLELLQNSRPDDRIPRTESRLSRPVLHSKKNGRGRFQFDRMDFKLGRATSFPASLDCPDRVLALSAGHAEGSFLGEVISKFRSFFCWTGASHPATIEVW >A03p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18928626:18929992:1 gene:A03p045270.1_BraROA transcript:A03p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSCVKIARTNNLRNLVNRRVLILRRFTRILLSRIVPCAPGKSQSYLLLSRAATPSPSVSRSLPPPVPHVDGEVARRTSVHDHDSSNRSESDLVSLKISLLGDPEIGKTCFLAKYVGGEKQVEMRELEKGIHCTDKTLSMGGARISYSIWELQGAEKSRDLVPTACKDSVAILFMFDLTSRCTLNNVISWYQQARKSNQTAIPVMVGTKFDEFIQLPIDLQWTIASQARTYAKALNATLFFSSASYNINVNKIFKFVTAKLFDLPWTVERNLTIGEPIIDY >A10p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3900049:3903710:-1 gene:A10p014960.1_BraROA transcript:A10p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIPYSFIEKEDSQVEGFSPELALVTVGGGNELEEKLVVRPTSETIVNHPWANVTRWEMRTKPFIRTLEFLWQEGHTAHATPEEAEKEAKQMIEIYTRFAFEQTAIPVIAGRKSKLETFAGADTTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFHDEDGERQHVWQTSLAVSTRFVGGIIMTHGDDTGLMLPPKIAPILVVTVPIWKKETEKTGVLTAASSVKEVLQAARGVPIRIEIGPRDVSSNCVVVSRRNIPGKAGKVFGISMEPSTLVSYGKEKLDEIQSSLLEKAVTFRDINIVDVKSYEELKVAISSGKWARGPWSASDSDEQRLGISPGIMSKEGDTTFQISYGGKFVSDGGDISYMGGETHIFQTKAQALFRGLKNDFPCLWMVSKSGTNYCMIP >A10p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1542852:1544797:-1 gene:A10p002970.1_BraROA transcript:A10p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLLVHVLLFFFFLTASVAGSLSICNCDDEDSFFTFEAILQSQKAGDFLIAVAYFSIPIELLYFVSRTNVPSPYNWVVCEFIAFIVLCGMTHLLAGFTYGPHWAWVTTAVTVFKMLTGIVSFLTAISLVTLLPLLLKAKVREFMLSKKTRELGREVGIIMKQTETSLHVRMLTSKIRTSLDRHTILYTTLVELSKTLGLKNCAVWIPNEIKTEMNLTHELRPGHQDDNAGGGRGGYGGGFSIPITESDVVRIKRSEEVNMLNSGSALASVTSRGKPDGQTVGIRVPMLRVCNFKGGTPEAIHMCYAILVCVLPSRSWTYQELEIVKVVADQVAVAISHAVILEESQLMREKLAEQNRALQVARENALRANQAKAAFEEMMGDAMRRPVRSILGLLPVIARDGGLQENQKVIVDAMGRTSELLLHLVSNAGDITRPGETHCFSLRSVAKETACLARCFCVGNGFGFSTEVDRSLPDCVVGDARKVFQVVLHMLGGLVNRKIKGNVTFLVSPESSEVDSQEAVWRQCYSKEYIKVKFGFEVETSSVPSFNSSEDIVKLMQGNIRVVEDGSGLVKSLSVAFRFQLRGSMLSQGGGYSGETFKTATPPSTSNDHWRQEEIR >A06p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14907605:14909036:-1 gene:A06p025240.1_BraROA transcript:A06p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLTTLERASYYRINKITAVFLGGQLSNHFSFLNDEDLFASLHNMSYSANDACLDSEKPGFRGGGGGDGYWFPLAGSS >A04p017070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10502588:10506237:1 gene:A04p017070.1_BraROA transcript:A04p017070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARVLRRAYTSRARVLSSTRSFHTCREPHCRSLALPATRFFSDSPVRIHLPWNDYTLGFGKVRCFSSTVDNNDENKLESNIEDCEEEEESLLDSDSESDGYDEEGVVNELGDVDDSAVSDVFSNNNSPESSEAARALNAAYHDPAALYKELRGSEVRSNLQRSEWDTLHEIFGYFAQSGWAANQALAIYIGKSFFPTAVAKFRDFFFETCNLEVVQGLVRVGATDDAVKFLFPVFVEFCIEEFPDEIKRFKSVVDSADLTKPATWFPFARAMKRKIVYHCGPTNSGKTYNALQRFMEAGNGLYCSPLRLLAMEVFDKVNALGVYCSLLTGQEKKHVPFARHVSCTVEMVSTDELYEVAVIDEIQMMADPSRGHAWTKALLGLKADEIHLCGDPSVLEIVRKMCSETGDELVEEHYERFKPLVVEGKTLLGDLKNVKSGDCVVAFSRREIFEVKMAIEKHTKHRCCVIYGALPPETRRQQANLFNDQENEYDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKVVPVPASQVKQIAGRAGRRGSVYPDGLTTTLHLEDLTYLIECLQQPFDEVRKVGLFPFFEQIEVFAAKVPDMAFSKLLEHFGKHCRLDGSYFLCRHDHVKKVANMLEKVQGLSLEDRFNFCFAPVNIRNPKAMYHLYRMASTYSQDMPVNVAMGMPKSSARNDTELLDLESRHQVLSMYLWLSNQFEEKNFPFVEKVEAMATNIAELLGESLTKANWKMESKEEVMKGQKKEDGGGYERPASLIKLVLASSSSLRSLSSLFCDSTAAMVQVRFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYTLSRIRNAARELLTLDEKNPRRIFQGEALLRRMNKNGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVKVESQKHIDFSLTSPFGGGRPGRVKRRNERAGAKKAGGGGGDEDDEE >A06p043240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23316460:23318044:-1 gene:A06p043240.1_BraROA transcript:A06p043240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCPVCNVTLPLPQIESHVNGHFEDEIDPQIANDHYLALQLASSSSDPPPTLKEAPFLDPFNKIVPFLQNDDVVCSQTKSPFYRVENGGLISLLKTCLESKTKPLECSTSLLSGYVDHFQSTNEDKGWGCGWRNIQMQCSHLLSRRDQEVKRVLFGGSNFVPDIPSLQWWLELAWRSGFDVSGGLHFDKRIYGCKKWIGTTECAALLRSFGLRARVVDFAPEKSRSMYLLVPGSAVAPKRRAYGPMDRYVVIKGGSGVGKRGDSHGSSSSSRISKGAVLMEWVWNYFLDNRLDVSSGVHITNKGPLYFQHEGHSRTIVGIQRRLQGTTFTPQYNLLILDPADFTRGIEKALVDKRGWEGYLKRGAHPLTCPEYQKDYDEIQMLYVDNGIAVGEELEQLKTIDSHFVEF >A03g502140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7126798:7127724:1 gene:A03g502140.1_BraROA transcript:A03g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKFKISDMIPNAWLHKLKDMTKQSKPKHKPSSCSSNTCNKKKPSSDSPLLHHSSTFRFSNSLVPNNPHRNSPRNSLYTKRKSKRKTLYKSSLKPVTPFASESSTQSLFPALESSPEYFLFSFYGKEDGESVDPSNFKIDRKDKAFTKYKVKESHSTEKTCPASNLIKKPIRGHLSVKINKEKEYDECRAEKRYQNQVSSGRKSSSGINLRRVTSPRIQLSGTRTSTSRSKSKQVVLESFAVVKRSVDPKKDFRESMVEMIDENNIRASKDLEDLLACYLSLNPKEYHDLIIHVFEQIWRQFTKTK >A10g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9661629:9662824:1 gene:A10g503810.1_BraROA transcript:A10g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPHQRFERGSWTKVHRFKSVIRLLRVNPYDTGSSHASKRQWPRIIASNARKIRVYFSGGDFSCDAKAGDFFDLTDVRLSQMDPAEERRETKRQKEFINMQGYVADSEYGIPTRCLCGGRIIDEVRGKDDYDTLPGKRFFTSKNYEADGFHYRQPWVIGVQEHIKRLTKRVEEVELLIKWVPEVNNQIERLEAEVKALNRVVDNLSVQVADLEKLCYD >A07p051990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27509355:27510427:-1 gene:A07p051990.1_BraROA transcript:A07p051990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGRGSWVGLATRLRHYRIRRLCTKGENGGKKPESSVVAQHSEAESNVVVSRYEETYKKLDKLDFVTAAKILFTEPPKKNKFGLDWHIVQFIIVCLPSLAVYLVAQYARRKMKIMDAELGEKKRKEDEKKEKEEAEKKALEEEAATKSQDELMEMKQRLGKIEETIKEIVLEAKKPSGNAPTKTQGEQSTKPPPKEESKPNNVEQKGNVHKPVENQTNVDSPRPQ >A09p061790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50838982:50842251:1 gene:A09p061790.1_BraROA transcript:A09p061790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWRRKFPLFETGITMKQRKNSNLSIFAVVFSVFLFGIFLYNEDVKSIADFPFSSSKPSNVQESQEEAKPTTEITSLPIQEPVKNSDPAQEPVKKPEPDQDSVRETEPVQEEVSKAEEGKKIELFAVTEEEDDGGDVELPPEECDLFTGEWVFDNETHPLYKEDHCEFLTAQVTCMRNGRRDSLYQNWRWQPRDCSLPKFKAKLLLEKLRNKRMMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKTGSLSVFRVEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGVNWKGVDFLVFNTYIWWMNTFDMKVLRGSFDKGDTEYEEIQRPVAYQRVLRTWGDWVEQNIDPLHTTVFFASMSPLHIKSLDWENPDGIKCALETTPILNMSMPFSVGTDYRLFSVAENVTRSLKVPVYFLNITRLSEFRKDAHTSVHTIRQGKMLTPEQQADPNTYADCIHWCLPGLPDTWNEFLYTRIISRS >A02p015880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7014704:7017939:-1 gene:A02p015880.1_BraROA transcript:A02p015880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNHEHVSTSTTINDRVINGCILQKKTTKEFIHLQTFQVASNSLSFTVTYQKNLEIRHGDLEGHKFKIASQQTFATTICSHAWNGDLSAVSLPKAVQATQTSTHEFAVDSLIVRHEFTKTHLWLGMSLQKLSFH >A06p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24354217:24355545:-1 gene:A06p045470.1_BraROA transcript:A06p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFAVTILAFMCAIASFSDNFSNQTPSAQIQILNINWFQKQPHGNDEVSLTLNITADLQSLFTWNTKQVFAFVAAEYKTSKNSLNQVSLWDAIIPEKEHAKFWIQISNKYRFIDQGYNLRGKDFNLTLHWHVMPKTGKMFADKIVMSGYRLPDAYR >A03p012030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4798918:4801770:-1 gene:A03p012030.1_BraROA transcript:A03p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWTQISTSLNVNNSNRYVPPQVALKNAATPTNASAPLTIEWSSEKADDQYYLYSHFAEIQDLQTNETREFNMVWNENLYYGPLIPNKLQLTTIRSQSPTTCKGGKCSFQLIRTNRSTLPPLLNAFEIYTVIQFPQSETDESDVAAVKDIEATYGLSRLNWQGDPCVPQQFRWDGLNCSNTDMSTPPRITTLNLSSSGLAGTIAAVVQNLTQLETLDLSNNNLTGGVPDFLVNMKSLLVINLSRNNLTGSIPQALQREGLKLFVEGNPRLCLSDSCAKPQKNKKIVPVVASVASAAIVIVVLVVLFVLKKGKSAILQGLHLLPRTSTMNATFAEKNSRRFTYSEVIKMTNNFQRVLGKGGFGMVYHGFVNGSEQVAVKVLSQSSTQGYKEFKAEVDLLLRVHHTHLVSLVGYCYEGDNLALVYEFLSNGDLKQHLSGKGGRSIINWSTRLQIALEAASGLEYLHIGCTPPMVHRDIKTANILLDENLKAKLADFGLSRSFQGGGESHDTAVAGTLGYLDPEYNHSGRLGEKSDVYSFGIVLLEMITNQPVINQTSNNSHITQCVGFKLNRGDIAEIMDPNLHKDYDFNSAWRALELAMSCANPSSSKRPSMSQVINEIKECLVCAKSGIGKNLELEPQEIMSSDTSMVPMAR >A08p040440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23042745:23044186:1 gene:A08p040440.1_BraROA transcript:A08p040440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLTSILFDLVFQMLQIALYLSCGATACYLWGRRIKGKADSFNSITRVADIKCLGNIYKCNEHSLYSDDLLKEKASNLLVVLSGKVASATPFNCKHDDDSSSGDVFEAKFEMEYETKKDDDGGLIHKSHNFLLQINETPWYLEDGTGMVKVVRAELADGYVDTMKPQFDMLSMSEIFQRFENPQEGSKVLLSFSVSSPPRPLGWNLETNNVICRCALDTGTSLTIVGEAARDEAGTLSIQNPKEQSFMIFSGEGSFDKMVANLKSNSEFYFFYSKIFGTIAFAIVVFKGVSFIRRVLRERAENADSDDEETP >A01p050070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28126306:28130108:-1 gene:A01p050070.1_BraROA transcript:A01p050070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGTNRVEDMASPNNGTTAPATANARETIMEIHSVCLPPKKTAFQKLKKRFGDVFFPDDPLERFRNQTWRNRVILGLQSLFPIFTWGSQYDLKLLRSDVVSGLTIASLAIPQGISYAKLANLPPIVGLCKYQSSSPNWTINSSFVPPLIYSVLGSSKHLAVGPVSIASLVMGSMLSESVSPTQDSILYLKLAFTSTFFAGLFQASLGLLRLGFVIDFLSKPTLIGFTAGAAVIVSLQQLKGLLGIVHFTGKMQFIPVMSSVFSHRSEWSWETILMGLGFLAILLTTRHISMRKPKLFWISAASPLASVVISTLLVFLIRNKTHAISFIGHLPKGLNPPSSNMLYFSGTHLALAIKTGSFSRSAVNYNAGAKTAVSNIVMASAVLVTLLFLMPLFYYTPNLILAAIILTAVIGLIDYQAAYKLWKVDKFDFFTCMCSFFGVLLVSVPLGLAIAVGVSVLKILLHVTRPNTLEFGNIQGTQIYQSVKRYREASRIPGFLILAVESPIYFANSTYLQERILRWTREEEARIKENNGSTLKCIILDMTAVSSIDTNGIEALFELRRRLEKQSLQLVLVNPVGSVMEKLHKSKIIESLGLSGLYLTVGEAVADLSSTWKAHGQP >A06g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16384285:16385037:1 gene:A06g505700.1_BraROA transcript:A06g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTQKHEVSQYLRSAHANRHAEAHVSRCMNTRHAEGQVDVEVSSGMAREPETEHVDAHVSPRMRPEACMTTHRRSDTPRTLQNVARERERERERERERKKERKKETDKLPGSSERRPEVKEGSFRVLISPDKFIQDIEVGFWDLTSQYQDEDLDGIKGFGQHPESKSQTTSLNHCESRLIVPDIGDGFRGRIAGPVQVSVCGSEA >A08p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9577971:9580477:-1 gene:A08p014320.1_BraROA transcript:A08p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, peroxisomal [Source:Projected from Arabidopsis thaliana (AT1G31910) UniProtKB/Swiss-Prot;Acc:Q9C6T1] MAVVASAPGKVLMTGGYLILERPNAGLVLSTNARFYAIVKPINDEVKPESWAWKWTDVRLTSPQLSRESMYKLSLNHLTLQCLDITILGSNDFYSYRNQIESLGLPLTPESLRTLAPFESITFNSGESNGANCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSDPCKEGKFGCSDLDVIHMIAQTSHCLAQGKVGSGFDVSCAVYGSQRYVRFSPEVLSFAKVAVTGLPLHEVIGGILKGKWDHERTEFCLPPLMNLFLGEPGSGGSSTPSMVGAVKKWQMSDPEKARENWQKLSDANLELETKLNSLSKLAKEHWDVYLGVIKSCSVLTSEKWVLHATEPINEAIIRELLGAREAMLRIRILMRQMGEAAGVPIEPESQTQLLDSTMNAEGVLVAGVPGAGGFDAIFAITLGDSGSKLTQAWSSHNVLALLVKEDPHGICLESGDPRTTGITSGVSSIHIE >A01p012080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5891703:5892684:1 gene:A01p012080.1_BraROA transcript:A01p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAPDHQPLRVCGTLVAASVTLFSCEIVDDNGEGETVVIRPSPNDEDSNKNVRTKTAEAWDKNTHLRKMPKPFYILLNLSNIFLLSL >A01p046140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000150.1:18101:18897:1 gene:A01p046140.1_BraROA transcript:A01p046140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGHLQVLVFLYALLLFSAESRKTQLFDTESSADDGAEHENYGDKVDARDIPLLYLETKIQNAPVGSPQRQEAQKNLLEEINHRKQIDQNIIEILRLSLKKTDVLDLLTSTRTTGQPVVDDWDCYKTLVKSFKNQCGAKMAYDMKYAGALANICNMGVDVKKSVAAIEEACAH >A04p017330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10622030:10622358:1 gene:A04p017330.1_BraROA transcript:A04p017330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVAAYLLVKLGGNESPSAGDLKKILESVGAEIDQKMAALSSGGPTVAVAAGGGGAAAPAAEPAAAEKKKEEEKEESEDDGGMMSLFD >A08p005920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3405774:3406533:-1 gene:A08p005920.1_BraROA transcript:A08p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPESSRVTARESEHLPYEVCIHLVLNRLLILVQLVKISLYVVLTFTILEVIHIKEYISVELFFEILELEPDKLEILKVENMIIKEKRVTDATIEIIYVRLIKHRAIPDETIKKDWSSYYGLVKNQGPRDICWAIVAVELITAIRWIKNRGKGILSIPTKSSWSLFVQGGEYLMRNCTTFATS >A01p000900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:363696:364896:-1 gene:A01p000900.1_BraROA transcript:A01p000900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHTFSNEIVFFDLETNVPNKTGQHFHILEFGAIIVCPRTLEEVESFTTLIQPKDLSVASLRSSRSDGITRDKVADAPSFEDVAEKIYGLLNGRIWAGHNIRRFDCVRIKEAFAEIGKAAPEPSGIIDSLGLLSDKFGKRAGNMKMASLAAYFGLGVQKHRSLDDVRMNLEVLKHCATVLFLESTLPNQLEGKWQSSSKIMTRSRSSYQTAQRAMPYSKGSLVKMTQNVKNLLSKAQGNQALQSLIKHSHSLLR >A01p012230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5969420:5970152:1 gene:A01p012230.1_BraROA transcript:A01p012230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYASPEKSPFTIFCEYSALKHSTIQLAHSFDTKLQELRHFNRKTTTSKDELRASIRCIGRCIDSFEESFTEHAVVIDGKVDRPVVNFSEDLTNDQLRSNAKLLLKYFKKRTLRYFYDAFFPDPLDLHIDAVPKCDFIRSHLENFESLIDRVMMEAYACKTSSEDE >A03p046330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19534124:19535634:-1 gene:A03p046330.1_BraROA transcript:A03p046330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAIRSLPLDGGEYHGPLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVEAVTELGGPEKATPKTLMRTMGVKGLTLYHLKSHLQKFRQGRQACKESTDNSNKDASCVGESQDTGSSSPSSLKLAAQEQNESYQVTEALRAQMEVQRRLHEQLEVQRRLQVRIEAQGKYLQSILEKACKAFDEQAAMFTGLETAREELSELAIKVSNSSQGATVPYFDATKMMMTMPSLSELEVAAVDHKSNITTTNCSVESSLTSNTNGSSVSAASMKKRHRGGDNVGYEGSWTVPGSTMG >A09p077680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57637888:57639454:1 gene:A09p077680.1_BraROA transcript:A09p077680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFILRSVATTTATVVAASAILNAIAFSSSSFSSPTNPKPQSLNFSRPSPRGLGLSRSFASSPMATVPASDSNLSQEDGVMPQLLTEFMVDMKCEGCVNAVKSKLEPIEGIGKVDVDLANQVVRILGSSPVKTMSQALEQTGRKARLIGQGVPQDFLVSAAVAEFKGSDIFGVVRFAQVSMELARIEANFTGLSPGNHSWSINEYGDLTNGAASTGSLYNPFEDHTITEPLGDLGTLEADQSGEAFYSGKKEKLKVADLIGRAVVVYKTEDKKSGPGLTAAVIARSAGVGENYKKLCTCDGTVIWEATNSDFVTSK >A09p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1690247:1691531:-1 gene:A09p002500.1_BraROA transcript:A09p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWVCSYVTRNVATSDHPPQHPFSLSQHFGSPASFRRASVKLPLTSTPKFRPLKLQKFQSLSSTHEGEEDSESVVQTLKIPDEWLLPSKAIEESEWLRVTLHKWLDDEYCPEPTNVEISNVAAKSLYTSLLEKETDMGTILLKMAQDLTSISYQESFHGAFTSANAAINLIVERLES >A05g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20326239:20326801:-1 gene:A05g507180.1_BraROA transcript:A05g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRRVLNPPFTSANLSLSTIQSLSRFLSTIGLEERHQHAWLRGGCRVWDSDQMPLWGTIINEVSRNLKYPTDFDTLPERKYFTCKNYENDGFHFRQPWVFGVQEEVEMLRKRVDAMAAEIAELKYNLTRLNPTTP >A01g511310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31520391:31522939:-1 gene:A01g511310.1_BraROA transcript:A01g511310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHDGSITTFLGLQLLLLFFCFLSPASFSDAIPSTLDGPFTPVTITLDTSLRGKAVDLPDTDPRVQRYVTGFQPEQISLALSSNYDSIWVSWITGEFQIVMNVKPLDPTSIASIVQFGTLGDSLIHTATGSSLVYNQLYPFEGLLNYTSGIIHHVRITGLQPSTVYYYRCGDPSHGMSKIHHFKTMPVSSPTSYPSRIAIVGDTGLTYNTTATISHLVQNSPDLVLLVGDVSYANLYLTNGTSSDCYSCNFSNTPIHETYQPRWDYWGRFMENLTSTVPLMVVEGNHELELQAGNKTFEAYSSRFAFPYVESGSTSKFYYSFNAGGIHFVMLGAYIDFDRSGEQYEWLKMDLAKFNRSVTPWLVVTWHPPWYSTYTAHYKEAECMKVAMEELLYSYGTDIVFNGHVHAYERSNRVYNYQLDPCGPVHIVIGDGGNREKMAIEHTDEPGKCPDPLSTPDAAMGGQFCPSNSTTTGAFCWDQQPPYSALRESSFGHGILEMKNATWALWTWHRNQDTNSQVGDQIYIVRQPDLCPPQNVTT >A07p014460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9024762:9032084:-1 gene:A07p014460.1_BraROA transcript:A07p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLVFQFWVFKKMGFEALTWYCKPVAEGFWEKAADAAFGSYTPCAIDSLVMLVSHFVLLGLCFYRIWTIFRNTKAQMYVLRNKCYNCVLGMLACYCVVEPVLRLVLGVSLFDMDGETGLLPPFEVASLAVEASAWFSMLVLIGLETKQYVKEFRWYVRFGVVYVLVADAVLLDLVLPLKNSVNRTALHLFISSRCSQALFGILLLVYIPELDPYPGYHILNNEPLDNVEYEALRGGENICPERHASIFSRIYFGWITPLMQLGYRKPITEKDVWQLDKWDQTETLFTRFQRCWTEESQRRKPWLLRALNSSLGGRFWLGGIFKIGNDLSQFVGPVVLSHLLRSMQEGDPAWVGYVYAFLIFVGVTLGVLCEAQYYQNVWRVGFRLRSTLVAAIFHKSLRLTHEARKNFASGKVTNMITTDANALQQISQQLHGLWSAPFRIIVSMILLYQQLGVASLFGSLILFLLIPLQTLIISKMRKLTKEGLQWTDKRVGIMNEILAAMDTVKCYAWEKSFESRIQGIRNEELSWFRKGQLLSAFNSFILNSIPVVVTVVSFGVYVLLGGDLTPARAFTSLSLFAVLRFPLNMLPNLLSQVVNANVSLQRIEELLLSEERILAENPPLQPGAPAISIKNGYFSWDSKATKPTLSNINLEIPVGSLVAIVGGTGEGKTSLVSAMLGELSRTETSSVIIRGSVAYVPQVSWIFNATVRDNILFGSGFEAERYWRAIDATALQHDLDLLPGRDHTEIGERGVNISGGQKQRVSMARAVYSSADVYIFDDPLSALDAHVAQQVFDSCMKDELKGKTRVLVTNQLHFLPLMDRIILVSEGMIKEEGTFEELSKNGSLFQKLMENAGKMDTTQEMNKNDQKSSKPSHTLTVDASERNVGSTKQGRRGRSVLVKQEERETGIISWNVLMRYKKAVGGLWVVMIIFACYLTTEVLRVSSSTWLSFWTDQSTSKSYSPGFYIIVYALLGFGQVAVTFTNSFWLITSSLRAAKKLHDAMLNSIMRAPMLFFHTNPTGRVINRFSKDIGDIDRNVANLMNMFMNQLWQLLSTFALIGTVSTISLWAIMPLLILFYAAYLYYQNTSREVKRLDSVTRSPIYAQFGEALNGLSSIRAYKAYERMAKINGKSMDNNIRFTLANTSSNRWLTIRLETLGGVMIWLTATFAVLRNGNAENQAGFASTMGLLLSYTLNITSLLSGVLRQASRAENSLNSVERVGNYIDLPSEAADVIENNRPVSGWPSRGSIKFEDVYLRYRTGLPPVLHGLSFSVSPSEKVGVVGRTGAGKSSMLNALFRIVEVGKGRIMIDDYDVAKFGLMDLRKVLSIIPQSPVLFSGTVRFNIDPFSEHNDSDLWEALQRAHIKDVIARNPFGLDAEVSEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDSLIQKTIREEFKSCTMLVIAHRLNTIIDCDKILVLSSGQVLEYDSPQELLSRDTSAFFRMVHSTGPANAQYLCNLVSARRVNGMGLGG >A10g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10000810:10003203:-1 gene:A10g504030.1_BraROA transcript:A10g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSFLRKGDLIIGIDEKKFNDEESAENVSFLERAFARLEAWFQWLYTSQKGKKEGSFYWRGGDSTTDQELNPRTVASGMDDYPRASHPSDGEMHVDLRCWIYLAADCMKFITTFLQRKKTEEDYSSIVHQLSDFDDLNKMHYDRDHKTYLDFGNHTEKLVRLVIEFGRGIRVTDEEPELKKVPHVGYASLFPFMSKIIPPHSEILEQQLDLISSNELACSDYGLLSLAKTRIKQIHSFVIIKSSMQLFVHDTERSDEPTTMLERSHMDEYELHDSCLPQTLLFSGRTVQRDKARDIYVKLRNNLISNVVGEYDKTRYIWERYDQTKGTGEGGRNFTGWSALILLIMTEDYPRL >A02p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10632159:10632700:1 gene:A02p022360.1_BraROA transcript:A02p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKIVEQEVVASPRSKLSITRESSLASVASLSMPLIQEIVLSADIKCSDCQEKISDIMSRMIETYSILVSVLEKKVTLTCTYSGDRRVSKSYGEAFLCKMSTFKRRMLHSSRKQLNVE >A10g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14358668:14364817:1 gene:A10g505640.1_BraROA transcript:A10g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVNPVTSARQSPLVLQKALEIEPSLTSEIVPPPPPRPEPRSTSPLPIPAEDNHRDRDVETSPDKEDQPKSGSDAPSRTAFVPRRSARVAHAPPPSVTPAASRRRRETDEYMIFGWIGATGLYFGFLFGLRGSTQMFSSRCVMSQFVSCLRSVISSFGHDSEIIQEWICDVSASTLFQAIVPRVFVTGDYVVRPWRRNSVCRLETSTLGVVYAAAQLSRPVVPRLQRSINRLRACVTEHIFSGVFGLLVGSECAPRYDHCLISGALSGSMDFGFSIPTPHWVTHLLLNPHLLPCRVSGVVYMGYYAMRYCWWHAVLQIGGDGCHT >A06p022050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12473160:12475377:1 gene:A06p022050.1_BraROA transcript:A06p022050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQVKPLPFGRLQLLLRNITPTVPRAQSMVSASFKHHHFLGLSSYDIYIYESVRHMRNLRSWSTRRRVSKSPGVSMPVASAEDLPAVSWDSWKPEKNTVAPSLSDVIWPAAGAFAAMAILGRIDHILNPKGISMSVAPLGAVSAILFTTPSAPAARKYNIFMAQIGCAAIGVLAFSILGPGWLSRSTALAASIAFMVIARANHPPAASLPLMFIDGAKLQKLSFWYVLLPGAAACTLLCFLQEIVCYLKENLKF >A10p018250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12784280:12784940:-1 gene:A10p018250.1_BraROA transcript:A10p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGSRLSRTSSRYSGPAATAVFSGRVRKWKKKWVRVSTSPVGVFRASKSNGRSYNNSSSNSPHHLLLHKWTPLPSDANGSGETEEPPKRRFRYAPVKFFSWKNLFRERVGAKDSEVEAEETDEFDNDSPLPKAVGLDMNLTDSDQTKEAKTRHLKLGLCLNSEGTEEE >A01p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1104607:1105588:1 gene:A01p002410.1_BraROA transcript:A01p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSMFFSSENDVIHHHSSPYASVDCTLSLELPPLASATMTMTKAGGGGGNNLGARRCANCDTTSTPLWRNGPSGPKSLCNACGIRFKKEERRATAAGNSTSGGGLTAFGVPASDHHGGADYYYNNNSNNNHYYASSSPWTHHQQQNTQRVPHYSPANNNEYSFVDDVRESHDITTDPFLSWRLNVADRTRLVHDCTM >SC184g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:26654:29098:1 gene:SC184g500030.1_BraROA transcript:SC184g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKKWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQEN >A07p042090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22676168:22688126:-1 gene:A07p042090.1_BraROA transcript:A07p042090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKEQNSSLSSSSPSLVAKLLNAQYHQFLNLLSYSLILCCGIIIGILLHSSLQDISSISSPNIQRISQLFLVSSIPSPPHPSPSPPPPSPPPPSQPEHIGLEQFLRPPKKIMHDMEEEELLWRASMTPKIKNYPFRRTPKVAFMFLTKGHLPLAPLWERFFRGHEGLFSIYVHSYPSYNQTDPEGSVFHGRQIPSKRVDWGYVNMVEAEQRLLANALLDISNERFVLLSESCIPLFNFTTVYSYLTKSIQTHVQSYDELGGVGRGRYSPEMKPRIQLRHWRKGSQWFELDRAMALEIISDKIYWPLFYRYCHHGCYTDEHYIPTLLNIKSSLGRRNSNRTLTWVDWSKGGPHPNRFIRYEVTEEFLVKLRSGGEENRQCYHNGEKTNICYLFARKFLPTALGRLLRLAPTRVDWANANMVDAERRLLANALLDINNERFLLLSESCIPLFNFTTIYSYLINSTQTHVDSYDLPFGRVRYNRRMYPHIHLHHWRKGSQWFELDRPMALETVSDTFYWPIFRAYCRCPDEHYFPTLLNLTPSLGSRNSNRTLTWTDWGKRRAHPRSFGGPEVNVEFLKWLRRSDKEYCEHKGVDKTRPCFLFARKFSSNALDKLLRFASTVMYF >A09p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5199032:5199961:1 gene:A09p010190.1_BraROA transcript:A09p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVLIHHPAENKVERIYWSVKASDVMRSNPGHYVAVVVTSPTLKNDKGSPLKQLKLLRPDDTLVIGHVYRLVSFEEVLNEFATKKCVKLGKLLKEGGGLELNKKKKKPRKKTDQEMGRVNPNPNMDPDQKKDGANVNGEVDGDGVMRTSHGGGRGRGGGGWRPALHSIPEFGSS >A09p009860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5054822:5055259:-1 gene:A09p009860.1_BraROA transcript:A09p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRKHKHQGNRGVCPSCLRDKLSRLPNTTTSYYVINRSTSSSTTVSSSPPSPVKELHRRAGSMSMSFAVREALSGQLVEGLKKSRSMAHVPRDSYIVRSSKKTTEKLKPTTVKKTGFWKKLLHLKGKGGGADVGGLVASRQRVY >A02p055580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33666393:33668115:1 gene:A02p055580.1_BraROA transcript:A02p055580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIMNVLLLLFSVVLVRSDQTTTPLKSFKISGNVTYDCINIYKQPGLDHPLLKNHTIQMKPSRTELNSQTGNSITQKNKIKCPDGTIPVLRNTKDFLTSANLFPENYVHPQSVDSPGTHIAGVRSHAGPYRGIQAWVYGVDLKIEKDQASYSQIYLGSGVNNKINYISAGFMINPGYFGDGRVWSYGFWKGKDGKGCYNTACSGFVQVSQTIPIVQPIDISPTEPSFLRPFIHQDKNTGNWWLTYLGPDKPNGDLGYWPKELFDHFDNGANMVGVGGVVKASPSGSSPPMGNGKFPDGGRRTSAIFANIDVLNSNYEQSKISSFPIEILVDSPQCYGLRVGTVKWYRRTRLGYFFNYGGPGGNSCGV >A05p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:859033:860124:1 gene:A05p002510.1_BraROA transcript:A05p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEKLVINELEQGRELAQRLMSNLKDTSSIESSKNLISEILSIYQNAISMLDDKKVLKRSREIDDKDSKNVIKKRQVFEKKTEKVSFFVGAGQEKGSIDDGYCWRKYGQKEIHGSINPRGYFRCTHRFTQNCLAVKQVQKSDRDPSIFEVKYVGSHTCNNTTTSPKTPNFSISMFQEGNRVAVTEQQEDIKPTKTEEAMMSLEDLESTKNIFRTFSFSNYEIENAGGGWKGNLFHENQLSPAATTSGSGITSEVATAPASVENSETADSYFSSLDNIIDFGPDWLLSCDVLNW >A09p029990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17839053:17843016:-1 gene:A09p029990.1_BraROA transcript:A09p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNQNRAPRSPIARREPLSSIPIDRRRKVETTQGGTARRQAPSTVNRQDVTANSDVGSVEECSKVEFTKDEVLALLNERAKAGKFDTKGKIEQMTDIIRRLKVCVKWFQQVDETHVQEKENLRSSLESAEKRFCEKELDAKTKEEELQANIAEMKEKIASLQEKFSKEELSKLDAIENHKREKESRVAAEKLGDSLRGELDKANEEITAGKHKEMTLKDINTRLQEYNTSLQQYNSKLQTDLEAVREAQTRAEKEKSSILENLTTLRGHSKSLQDQLASSRVTQDEAVKQKESLLTEVKNLRSELQQVRDDRDRQVAQSQKLADEILKYKESVGKSSHELDILIAKSGSLEETCALQKERIKMLEQELTFAKEKLKMVDASMSLTMTEFEDQKQRMRELQDRLADTERQLLEGEVLRKKLHNTILELKGNIRVFCRVRPLLPDDGGRQEASVIAYPTASESLGRGIDVVQSGNKHPFTFDKVFDHGASQEEVFFEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAPEQKGLIPRSLEQIFKTSQALSAQGWKYKMQVSMLEIYNESIRDLLTTNRTIAIESVRGDSNTTGRQYAITHDVNGNTHVSDLTIIDVCSIGQISSLLQQAAQSRSVGKTHMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGATGERLKETQAINKSLSALSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPTSTGESLCSLRFAARVNACEIGIPRRQTSSKLIDNRLSYG >A08p011920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11235960:11236271:-1 gene:A08p011920.1_BraROA transcript:A08p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGEHTAAASISVDSRMFRVLEGWVDEVLAEVARLRDVCKNQEDLICRLKLSMKVKVNLQKRRSSRKRRKVEAANKCCTSGTTPGTGHLNLEAGEEGAMER >A06g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25722967:25728306:1 gene:A06g509050.1_BraROA transcript:A06g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFSEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEIPRRLPRMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQD >A02p003170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1309772:1310121:-1 gene:A02p003170.1_BraROA transcript:A02p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMKVGNAKLEEIDMVQEVTKQIEGYTICALGDAAAWPVQGLIRHFRPELERRIRERAERELMQGSYYYSPWK >A02g505450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16374982:16375716:-1 gene:A02g505450.1_BraROA transcript:A02g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKKMVKRDVAIASSSHAEDESLRPHLADEGSEATPPRNYLPITNLLPEVTEELDEFNTAHEKALSDESGDQQVSSVQEEVPIAPDATTSTDPAPPASQSTPLEAMPSESLRSENRNQVTDPRLLLCPDEKIDSGDKAEEQSQDRSEAGAEDVVSLGSSAEEISEKRSRKKRVMKKLGLGPSKRRKTSKSRASKSTSKAPLTDELSPPVLAHNTSVSSRVRSRKASERSAAVKVSPVTKRAH >A09p062740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51191555:51192442:-1 gene:A09p062740.1_BraROA transcript:A09p062740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWIHGNSRLPLALSVVELLVDMDCQGCEKKVRRAISKLDGVDTVEIDVDQQKVTVTGYVDREDVLSMVKRTGRAAEFWPFPYNGYYGDYYTYPSQYLEQPIQKINHAENTISYNGKYDSYDESTITGYNYPRPTQKVDENALHLFSDDNVHACAVM >A09g515220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45294309:45295419:-1 gene:A09g515220.1_BraROA transcript:A09g515220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGMKSKKHELLGRSNKGVGNYNHYGICPNYPYFLSQPPVALIYHILCFSLSFYDILDRKNHWRLLCFWIVKGEGSISLTIEKITLNPLYISFIYMKSYSELISVFSLVMTE >A02p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1101935:1102818:1 gene:A02p002630.1_BraROA transcript:A02p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQRNYGKRPSRAGESEKKETEDEENIFPFFSDRSQYDTRAMVSALTQVIGNQSSTHDDNQHHSDEYNQQDSTQPISPKQDQGDLRKRHYRGVRQRPWGKWAAEIRDPQKGARVWLGTFETAEAAAIAYDEAALKFKGSKAKLNFPERAQLASNSNSTITGLPNYFSSNNQVYYSNPQPTPYDNQYYYNQYLQQGGYSNDALSYDMAGGETGGLMYNHQTLSTTTSSSSGGSSRPQEDYTRYLHFGDSSPYSGF >A01p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8786998:8789950:-1 gene:A01p018040.1_BraROA transcript:A01p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 48 [Source:Projected from Arabidopsis thaliana (AT4G25440) UniProtKB/Swiss-Prot;Acc:Q9FNZ2] MDLDMNGGNTRVFQRLSGVSNQKVCFHWRAGRCNRYPCPFLHRDSPPPAPFPGSSSSTNNKRIADDDDSGFAGSSHRRGPGSGNNTWGRFGGNRTVTKTEKLCKFWADGNCPYGDKCKYLHCWSQGDSFSLLTQLDGHQKVVTGIALPSGSDKLYTASKDETLRIWDCASGQCTGVLNPGGEVGCMISEGPWLLVGMPNLVKAWNIQTNVDLSLTGPVGQVYSLVVGTDLLFAGTQDGSILVWRYNTATNCFDPAASLTGHTLAVVSLYVGANRLYSGSMDNSIKVWSLDNLQCVQTLTEHTSVVMSLICWDQFLLSCSLDNTVKIWAATQGGNLEVTYTHKEEYGVLALCGVHDAEAKPVLLCSCNDNSLHLYDLPSFTERGKILAKQEIRSIQIGPGGIFFTGDGTGQVKAYGPHKGNRAACPIRETRRQGIEPRVEIWNIRETRVARLDLIATETQDRRDFSPTTLSSPELKYRQASDENLTVLHRSIFTAERSI >A04g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13588932:13590926:1 gene:A04g506350.1_BraROA transcript:A04g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLERHNLQSKNLEKLDQPSLELQLVENSDNSRLSKEIADKSHQLRQMRGEELQGLNIEELQQLEKALEAGLTRVIETKSEKIMSEISDLQRKGMKLMDENKRLRQHGTQLTEENERLGKQIYNNMHERYGGVESEKTAVYEEGQSSESITNAGNSTGAPVDSESSDTSLRLGLPYGG >A03p024100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10197644:10198825:1 gene:A03p024100.1_BraROA transcript:A03p024100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein N-terminal glutamine amidohydrolase [Source:Projected from Arabidopsis thaliana (AT2G41760) UniProtKB/Swiss-Prot;Acc:O22944] MSSVIISELPAMDATRFQHTPYYCEENVYLLCKTLCENGVADETGSDLFVVFISNERKQASMFGLCLHYTLFHRKKESDSEPLVWDLDSTLPFPSPLASYVTETIQPSFQLFAEYQRFFRVVHAPLFFKHFASDRRHMKAPDGSWIARPPPYQPIVAQDGVLHNLSEYTAMSATDTLSSLDPDTVREAISQKLGVFVSHSQLQDLFTKLP >A06g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8118677:8139191:1 gene:A06g502420.1_BraROA transcript:A06g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKTVTTNLTFKTSEEENKSFSEDLAEERIQTSDESSKQVVTQRLNVRPARSLHSDRAIVPLGRYVATELKPRLGRYVATERSSRSRPSDRSARSLRSDRARTKARSLRSDRALVLLGRYVATELEPKLGRYVATERSSRSRPSDHPARSLRSDRARAKARSLRSERARSLRSDRAIVPLGRCVATELEPKLGRYVATERSSRSVATCDRARAKARSLHSDRARAKKPRKTRSKRVESEDGPKGPKTRLEAHPTIFLNQKPVNHSMVRAWPMRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLISLFKRKSTVRISVPTQGTRRLPEVPLKLSYRNLELRHFRRVRVLDSRQHSLGFGPSALKLHQLPGKTTVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTFIYPPARVTTLTGWGANCWGQKRFFLTKKLGGRKNRDVRRKLETGRYAATERPSRSVATDRTQAKARSLRSDRTIVPLGRYVATELGQARSLRSDRAIVPLGRYVATERSSRSVRSYVVRPRSPLGRYVVTELELGRYVATERSFRSVYVATELELGRYVATELGQLGLRSDRARQARSRSEAIVGSLLVGRYVATESSRCYVATELEPKLGRYVATERSPARSLRTRPSSSQARRYVATERSSRSRPSDRPARSLRSDRAQAKARSLHSDRALVSLAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTIHPRITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRRDRAIVPLGRYVATELEPKLGRYIATERSSRPRPSDRPTRSLRSDRARAKLGRYVATERSSRSRPSNRPARSLRSDRALVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMPSPEDRSKLISADKYGSFEDNYEDRENGISPFLCYDGLRAEDANFGSHSLALEGGGVTDYSYSWPQNT >A10g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7777611:7778030:-1 gene:A10g502790.1_BraROA transcript:A10g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATVTRDRRYHGTRDRLRRQGRWRWDFGLTWLFAVDAGFNYLFRLHFCDSTAKAAALPRFSIYIGNKTTLET >A08p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1369113:1369471:-1 gene:A08p002410.1_BraROA transcript:A08p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKQHGREINGWALVHLEIQEKKDSWWQSFIISQNYYWEYQFHDKIFLKWKLQTQVKYVAASAKKRRDCLRKRHRKQPRYINPKDWETILVN >A09p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21323216:21324146:-1 gene:A09p035520.1_BraROA transcript:A09p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLSSAAAPLSLFHKTDGEKLSLTPKKTIHQKFGVKASYMIEQIHVSSQNTCLCWYRLQLPEFSVVSNVFKKKQDSEQSTAEWLSRRVSSADHPLGSHLRETLQRDGERHGLVPLSVITAFDTKINNCYK >A09p055240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47301113:47303192:1 gene:A09p055240.1_BraROA transcript:A09p055240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLISSSSSSSSYASLGRFLLLSCAIFISRAPSALSLNGSSFDPHLDPYPLEEEISLPTVMSKARVYTDVNVIRPKEYWDYESLNVEWGEQDDYEVVRKVGRGKYSEVFEGINMNSNEKCVIKILKPVKKKKIRREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKAIDFLDKLLRYDHQDRLTAKEAMAHPYFAQVKAAESSRMRTQ >A10p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17607182:17608573:1 gene:A10p028240.1_BraROA transcript:A10p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSVGSLALRSPKYLLNPASTSDEKKNSCSQCSCEIKLRGFPVQTTSIPLMPSLDAAPDHHSVSTSFYLEESDLRALLTPGCFYNPNAHLEISVFAGKKSSSHCGVGAKRQQIGVFKLEVGPEWGEGKPVILFNGWIGIGKNKRDGGAQLHLRVKLDPDPRYVFQFEDVTTLSPQIVQLRGSVKQPIFSCKFSRDRVSQVDPLNGYWSSSGDGTELGSERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWHVVRPDPCRPNSWQPWGKLEAWRERGIRDSVCCRFHILSNGQEVGDVLMSEILISAEKGGEFLIDMDKQMLTVAATPIPSPQSSGDYSGLGQCVSGGGFVMSSRVQGEGKSSKPVVQLAMRHVTCVEDAAIFMALAAAVDLSILACKPFRRTSRRRFRHYSW >A02p041770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26159310:26160286:1 gene:A02p041770.1_BraROA transcript:A02p041770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNSRGITNHQVFVSFRGEELRCGFVSHLVEALQRHGINVFIDKLESVGQDLSNLFARIEESTIALVIFSRRYTESRWCLDELVKIKERAAQGLLKVIPIFFKVEPVTVKQLRGAFGDKFRDREWEYRCDKPRTGRWKEALASVSCKTGLTFDRKTNESTFVRIIVKEIEKMLQPQGQGKFAVLPFSGGGQDQMDISRDSVLVHQNSYIANQIVLVSNTYMDIEYQNSVLRAQLVALRDRLQSLNSVIKMSEGVMADVFLFTANTGLC >A01g510200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28007953:28008698:1 gene:A01g510200.1_BraROA transcript:A01g510200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSAESLDMTYLLEAECELYKDEDDSRILHQLSKQKVLERLLGKKEPLTEMETSLQLKLMAE >A05p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20719173:20721295:1 gene:A05p036550.1_BraROA transcript:A05p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGPSKSSRTRNKERDTTRGSPPPQPQQQTVRAEEILLHIPRCRVHLIDESEAVELASGDFNLVKVSDNGVTLAMIVRIGHDLQWPVIKDEPVVKLDARDYLFTLPVKDGDPLSYGVTFSSDERDVAVANSLKLLDEFLKENSCFSYSASSKVDNGIDWKEFAPRIEDYNNVVAKAIAGGTGHIIRGLFSCSNAYTNQVHKGGEVMITKADQEKSGASQRNGGYNSGNSSSTEKKNGINANLQRCFVGSMQYIFRVRKLSKATEQLSKTMLNGAGVVSGSVMVPVMKSKPGKAFFSMVPGEVLLASLDALNKILDAAEAAERQALTATSRAATRMVSERFGENAGEATEDVLATAGHAAGTAWNVFQIRKTFYPSSSLKSGIVRNAPRK >A01p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6484238:6485638:-1 gene:A01p013250.1_BraROA transcript:A01p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adrenodoxin-like protein 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G21090) UniProtKB/Swiss-Prot;Acc:Q8S904] MLFHRLSRLGSRIVKQLPRERHFSVCGMRVLQRSYGHYLQSSAAVLQRQSGTCQQAFISNNHYLCTSFSTASGNSGEETEKINVIFVDKDGEEIHIKVPVGMNILEAAHENDIELEGACECSLACSTCHVIVMDTEYYNKLEEPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRLAIPSATRNFAVDGFVPKPH >A09g514970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44578313:44579432:-1 gene:A09g514970.1_BraROA transcript:A09g514970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARGSSIRKEQASIATEEQIQAQQHQTEKERAELERETICIKAMAEAEGRVHEAILTEEQNRRMLLDKINGEKEKWLAAINTTFGHIEVKALLTDRNMLIMTVGGATALAAGVYTTRVTWGYINRILGQPSLIRESSMVRFPWAGSEFQLKNKVSKFGKAASAKGENPLDNVVLYPSLKERIEHLARATANTKSLQAPFRNMMFYGPPGTGKTMVAREIARKLGLDYSMMTGGDVAPPGAQAVTKIHDIFDWAKKSNKALLLFIDEADAFLCE >A03p034590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14585687:14586928:1 gene:A03p034590.1_BraROA transcript:A03p034590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGQTRTRREGESEEAVATTKRPATISSSSSWMKSKDPRIVRVSRAFGGKDRHSKVCTLRGLRDRRVRLSVPTAIQLYDLQERLGLDQPSKAVDWLLNVAKEEIDELPPLPVSPETFTLFNHHQSFLNLGQRPGQDPTQLGFKINGCVEESTTTSREENNNERGEKDVSFANNHHIGSYGTYHNMEHHHQQHSSFQADYHQHQVHSLVPFPSQFLVCPMTTLSTTTTTTQSLFPSSSSAGSWTMETTDPRRMVSHFQMPLMGSSSSSASQNISTLYSLLHGSSSNNGSSNRMSSVQLNQTSRGSDNPM >A06p009660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:311714:312121:-1 gene:A06p009660.1_BraROA transcript:A06p009660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFCFSLSFYAILDRRKPLETLEFEDLLIVKGEGSISLVIEKIILNPILILLNCMMFYSVFVSVISLSMSE >A08g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13451379:13453055:1 gene:A08g507600.1_BraROA transcript:A08g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGGDVTIPMTPSEFKDRLIFGPSPRDSSQYFHSLSQKHSPSSSAAAAADTFPDSSPLDPLLPPQQHHGHPLHRSKTAPAMAIIDDLRHPMHQQTELEPSSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPDKRRSYIIDVKKGRMRIRLKVALALGVVVLCIALGVGIMHFIEKIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLTVARAFLYLAEARVGKRNRERAKRVLCETMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLVDLLDSSSGD >A08p034430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20500774:20502743:-1 gene:A08p034430.1_BraROA transcript:A08p034430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQHPRKRSRQHFEAEETRHISLIKSPRCETAKWYFSKEEIERFSPSRKDGIDLAKESFLRSSYCTFIQRLGMKLHVSQVIIGCAMVMCHRFYMRQSHAKNNWQTIATSSLFLACKAEDEPCQLSSVVVASYEIIYEWDPSASIRIHQTEYYHEFKEFILAGERLLLDTSAFQLLDVELPYKPLAAALNRVNAWPDLATASWNFVHDWLRTTLCLQYRPHVIATATVHLASTFQNGKVGSRRDWWLDFGVTTKLLKEVIQEMCMLIEVDRRRTMPPPPPPPPRREVAWAIPAPLKPPVHMSRGYPFHSYPLQSYRQPGIW >A03g505060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17993069:17994082:1 gene:A03g505060.1_BraROA transcript:A03g505060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSREFRGIYVVFELMESDLHQVTKANDDLTPEHYQFFLYQLLRANAFYRDLKPKNILANSDCKLKICDFGLAHIRVSSSDAARVSSKWRTDELHWYVDRFKKQFAHLEEHYDKNERSALQQRHASLPSAGESTFTGCTSI >A09g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18425834:18427776:1 gene:A09g506090.1_BraROA transcript:A09g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLYSKNFATKLNIFFIMRINPTTSDPAVSIREKNNLGRIAQIIGPVLDVAFPPGKMPNIYNALVVKGRDTLGQEINVTCEVQQLLGNNRVRAVAMSATEGLKRGMDVVDMGNPLSVPVGGATLGRIFNVLGEPVDNLGPVDTLTTSPIHKSAPAFIDLDTTLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINELNLADSKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERITSTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPRIVGEEHYETAQQVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFNLILSGEFDSLPEQAFYLVGNIDEATAKATNLEMEKVKEIILSTNSGQIGVLPNHAPIATAVDIEKNSDIDPQEAQQTLEIAEANLRKAEGKRQTIEANLALRRARTRVEALNTI >A05p045860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27369825:27371027:-1 gene:A05p045860.1_BraROA transcript:A05p045860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDLYPDAPFDPKSERNIFITLKESEIGLSNTITMSKELLEANIFIYLPRKDVTGLIQNNEPILLDVFDYDTKITTTHIIRKDGDNDFKFHGWNMVLQGKHFRTGDTIVFWWDIFHIRLNFKQFMFSFNHLAATDIRGSAARPVVESALTAEALAVSEALKPVSMAAIGSLDRNGRPASDRSYFP >A03g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29306010:29306946:1 gene:A03g508660.1_BraROA transcript:A03g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQHHNPVTVEKIDGKFAVPFFFATPPSFSFFLLSLSSILLLSIYTDMLFSSGFSGSPVICNGNDGRPSSRWRRSKVSGSDLGAYGSSGMESVAASPGAFLTVLQTLCRWFAIHWFVWVPLLCLLQVHDVEAIVEVYSVARSVSLHSSAFSAFGSGELLLFADRQGILGCPVVKPPWRLESLTFVVALAFSSSLPTWYVAGFCRFPTVCFHTVKVMSLVRLAVVDSPGVGSVVCADAELGHLFRLMRLQPPCPRRTLIIRLL >A02g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10251626:10252880:-1 gene:A02g503100.1_BraROA transcript:A02g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLHKYYDDAACVLRKMCLDAKAPHLSSALPPTLPWKFNKHKVKLNVTYEKLLNLMLKYNGGKPRAEAKLKSAVQLQDLLDATRMFVPRTRPGRESDSDPEDLEHAEKLCQVKTVVEEVL >A01p015550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7596605:7597383:-1 gene:A01p015550.1_BraROA transcript:A01p015550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCCCFPILPESSRTIDEHVPLSRAPPSSVVPTGEVDINLASNLYTSHLQPPLPVSVAPRNLQTPSKPPTTQTNSSEGSHVITTNSVPEKVSEKETWPVDDFTDIDLKKKNREAIDECPICLEEYDFDNPKLLTKCGHDFHLACILEWMERSEACPVCDKELVLLTES >A06p003420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4701470:4701928:-1 gene:A06p003420.1_BraROA transcript:A06p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKNIILAIFIIAITIIVLISTVSVSNSETETHMQTQTLVTKEPLRVSRFLAQNEKRGHRNPNAADHCNKNEEICKSQGSSNSTMACCSNKCVDVAYDNDNCGACNNQCKFTQTCCGGECVYLAYDKRHCGECNHRCLVGDVCVYGLCNYA >A06g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12424953:12425586:1 gene:A06g504040.1_BraROA transcript:A06g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFMEVSGRLHRSRLEDFLEVVWKISWKSSSALYFRRLTVWCFQVKEIRVELKSFSSGKKVRTLYNKKLPNEEKSDIKTYQICYERERHGRRFRQTTSRKSRRPPGSRLAHYILDD >A04p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:199237:201048:1 gene:A04p000390.1_BraROA transcript:A04p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWTGLCCIGAAALYLLSRRTARDVDFLKSVTRVDQLKDLESAVLPSIVAVSGTVGSETPIKCEHSGILSVILQETAEQQFLKRNWKFSWVQDTALMLPVCRVIVEGARSGIGFALTVGGEVFEKPEASSLVRGTLDFLRGLEMLGIRRIERVLPVGTRLTVVGQTIKDGVGDVRIQKPDQGPFYVSPIPLDHLISKVGKWSRRFKKASMGLAVVGVILISKPVIKYILVRTGDFLERRQQRLLKKRVVDAAAKRKKLAAPKREERVTSKGLENGKSRDGDEHDRCVVCLERKCDAAFVPCGHMCCCLTCALKLLGKPCPLCRKRGIRILKIYRN >A07p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7264447:7265872:-1 gene:A07p013820.1_BraROA transcript:A07p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSGGLGLMREYRKGNWTLNETMVLIEAKKMDDERRMRRSIGLPAPEQSQDSRSSSGNKPAELRWKWIEDYCWRKGCMRSQNQCNDKWDNLMRDYKKVREYERGRLESSFASGSSSSAAVETGSYWKMEKSERKERNLPSNMLPQTYQALFDVVESKTHPSSTAATAITAAVAAAAAETGSGNGSGGGLHIPKVIQQQGLGFVPQHQMIQPPVLLPLPRPPPPPSQPLQPRPLLLPPPPQPSFHAQPILPTKDSSSDSDTNEHSDTSPAKRRRTMPTTAAGTSGGGGGGNAEMEEGESVVAAALSRSASVIANAIRESEERQDRRHKEVMSLKERRLKIEETNVEMNREGMSGLVEAINKLANSMFALASSTSRHNNQHQGGPS >A03p023230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9782796:9786783:-1 gene:A03p023230.1_BraROA transcript:A03p023230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALRTKLLLVGSAVCAGSGAAFIASSEDPSRTLKLCTNIPPRLFRNTVTAASIAFDYEYSLSGLAEGSSEKAKVKHEVHLRSAQKLQELCFKNGGIYIKLGQHIGQLDYLVPEEYVHTMRDSMLNKCPVSSYEQVCEVFKKEVGETPDKVFAEFDPVPIASASLAQVHVARTHGGEKVAVKVQHAHMTDTAAADTAAVGVIVNTLHRIFPSFDYRWLLDEMSESLPKELDFLVEAKNSEKCLDNFRKMSPHIAEYVYAPTVYWNLSSSKLLTMEFMDGAQVNDVAKIKKLGIQPYEVSKLVSQTFAEMMFKHGFVHCDPHAANLIVRPSGKRNIYGKQKPQLVLLDHGLYKELDFDTRFNYASLWKALVFSDANAIKQHSAKLGAGEDLYVLFAGILTMRPWKQVINTSADHLVIKGTTEDRSELQMYASQYFPQISELLRRLPRVILLMLKTNDCLRSVNNELVKGSSLESFLIVGKVSSEAVLEAKWSQKKSLVQWLKVWLEGFSVEARLWVMQFALWVLQVRKALTLYPVAIIFFSFQSVKDTQQSNPSLLHTRDIPVTISTALNLPQTANHTVSVDHFYLFGLSESLHLWITLLVMEDIDAVQKPVVVDPPLHNEAPPSTAQRRPVVVAADAAPPAEFHLIQRIQRALVELRELRNQFNAILREIELEVGGGGGGGGEEEGAESSVEDPEDDSDS >A09p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13152846:13153286:1 gene:A09p023910.1_BraROA transcript:A09p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRFQYGVTGGEAKKKALAYIYKCKGVRDVSLENCILIVRGEGLDEDKMRRKMDKILSSNPGCLSCFSSSG >A02p060470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36065605:36066543:-1 gene:A02p060470.1_BraROA transcript:A02p060470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPDSSKREEVVTVDVSQAKILLQSDHQYLDVRTEEEFRRGHCFVPKILNVPYMLNSPQGRVKNQDFLDQVSSLLNPTYDILVGCQSGARSLNATTELVAAGFKKVRNVGGGYLAWVDHNFPIIKEQQQSAN >A05p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24177602:24180341:1 gene:A05p039550.1_BraROA transcript:A05p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPIGSHFAPPHKLTKRHAVATPSPISLSTRLPQNVSFSKLSGITNSRLSRHSVLVRAEDKIRVSSLEEPSQPLDESELEDLLDASGSCDPICSVDEPSSSYFEANYQPKTDIVKALAILAAALTGTAAINHSWVAANQDVAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVVRGIGAPSTEIAVAELQHATAEVSEIVFFILGAMTIVEIVDAHQGFKLVTDNITTRKPKTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPQSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTIQGLFIPSAISLAVPLALMSLSSEVNEKGQDSSDVLASEKMAPRGKLVFGVGLGALVFVPVFKALTGLPPYMGILLGLGVLWVLTDAIHYGESERQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILREIANYLDANIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKIDFFWYFRKVSGFAFAGYAAGIAAYLAIQSVHFSIPTTVAQIPFLTGS >A10p014990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3865113:3865393:1 gene:A10p014990.1_BraROA transcript:A10p014990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHALRTMYRKLVRLSYIAPSSPAPCSVEHVADSKNRVAEEIALSVSRDHRYQSYVALGGPRWLMELLEFEARRAGT >A07g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17376360:17377047:-1 gene:A07g506870.1_BraROA transcript:A07g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDFDGLDGVAVKEIQSLRVDFSSLLVCSQWWHVEVGDPSLSPCEELQAPGGAMVLSLFPDPYRATSESNKELSPFDDWSLKHVSLAENGVATSIAISVTLVHCYQFYLAIRVSAG >SC184g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:152169:154644:1 gene:SC184g500060.1_BraROA transcript:SC184g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVKRSWKQEECLVKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVFLSVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQVKGEPLMERAADGGQTASKEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQEFIQQDVDIKETINKEVKTEERWAKWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHS >A10p016730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2816014:2819100:-1 gene:A10p016730.1_BraROA transcript:A10p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFNKCRRFVIVLLLATLSSFSFRLCFGQDKITFTTPINDSNTLLSKSGVFRFGFFTPVNSTTRLRYVGIWYDKIPKQTVVWVANKDTPINDASGVVSFSEDGNLVVTDGRNRLLWSTNVTVPPVAPNAAWVQLMDTGNLALQDNQNNGEILWESFKHPYNSFLPTITLGTNKRTGENLKLTSWRSYQDPSAGNYTAGLAPLTFPELTFPELLIWKNNVPVWRSGPWNGQVFIGLPDVDSLLFLDGFNLINDNQGTFSMSFANDSFMYHFNLDPDGVIYQRDWSTSLRDWRIGAMFPSTYCDAYGICGPNGSCSSREDPPCECVKGFVPRNSTEWNARNWSNGCVRKGQLRCERQSNGGGKGDVFVRLQKMKVPVNAVQSDANEQDCPKQCKDNCSCTAYAFDRGIGCMLWSGNLVDMQSSLRTGIDLYIRLPHSELSEVEAHSNRAVIIIAPVLGVAFLAAVCVLLACRKFKKRPDTSAELMFKRMEALTSGNETASNQVKLKELPLFEFQVLATATDSFSLRNKLGQGGFGPVYKGKLSEGQEVAVKRLSQASGQGLEELMNEVVVISKLQHRNLVKLLGCCIEGEERLLVYEYMPNKSLDAYLFDPLKQKILDWKTRFNIMEGICRGLLYLHRDSRLKIIHRDLKVSNILLDDNLNPKISDFGLARVFRANEDEANTRRVVGTYGYMSPEYAMEGLISEKSDVFSLGVIFLEILSGRKNSHKEENNLNLLAYAWKLWNEGEAASLADPTIFDKSFEKEITRCVQIGLLCAQEAANDRPNVSTVIWMLTTENTNLQEPKQRALIARRGASDQGSLSINDLSLTAVTGR >A02p011970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5211413:5211865:1 gene:A02p011970.1_BraROA transcript:A02p011970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGVPFRSILAHSLWITLVGILLFTLSTTKRHNFGYIKFFSISGTLLITLPWMIQLLVTSAVILLYKTRGYNLMWIVRSPKPSNENHLTNVVTISSSSSPLPSGQALKKGDTGEIEIKIVIPKSPMSTHLEIEGSNSSKLLTNVSHVA >A10p015600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3523733:3529268:1 gene:A10p015600.1_BraROA transcript:A10p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDTEERTSTKTKKKMDLEGLSVICSDLGEPEEDGDGRRIGYSKSDYCLDNLKDLLRFLRRDDPQSREVFKQVCAWNIVSKDLIPIIEHYQDEHSLVLNAVKVLVFLTMPVESDSDDVPQQIEYLWGLKSAFTVSNIVAVVVSLLETPLENLELDKFNEEDWKLVQLVLTLFRNLLAIHDISPLQKAGESTCYFLSLRDQFLELLSRENVMDIFIVITQTIECNNLLRHDNLLLLEIYHYILLGQDMELIALAPEKLDQGKKAAVESLKKLMEAEEAKRKLARLNNANQRHSQFGGTFTRVTMGGTKAVLTGIPSNEESTLLKPHISGGAREKIVWEHGSIPVTNDNVLKLLHDFINQFMSGGYNVLMQSVCEDIEKEHPSIQNCDIVTFFQVAQAVTSFQFHKSLASTPARDTEETPELSTNQNTGGNFSKSDICAPIAATINDRMFSLVISKWRTAFDGLKETKDFKFLSAAGSLVKIMLCLLDQVIKLRPEDSREAFTVRILLYKLFYDQTDQGMCQFILNLVRSFDTHKQPKSELGDLVESIHIIVGLMENLQGRGTLRVSKKSRKARKKKPMGNKEATLHEPSENHPSTSNQDGTAKTIPVVDSTVPIEDGPPNNPGDSNLGTEADETPQTHGPKSNHVVDDMSCGTDDSSDGEDQTATDEVDFKVSTFISAFANNSIIQNMCWLLKFYKSNTKQTNHHVISILRRITEDLELSPMLYQLSLLITFHKILDEQKACPSKDYENIVTFLTDLVRNMLKKMKSQPLLFVEILFPKSRKECHYINAEYMLHELGHLRKQMGNQEKASGTQENVSSSDKGWARRNIADALGDDEADVVISYDQGFQNEDDHIVENESAGPSKRKRRLVLDADMETKIRDLYERFKDDKDCSRLIAETLDPDGGISAAQVTNKLKQLGLETRKRLRRGANSMEASKGSNGNDDPDHVEAASDQQPSHSRKRVSSFSKEQETLIKELYEKFKDERRCCYLIANELGSENTYTTGQVSRKLKQLGLRLPRGKKSEAGMKLQDDHDDSSADESDNETLLAFKKRKSMTRRKLEKHTRPSNEISPEGSEDKTERNETSPDVIATSKEEDNGHDYITGKSRESDTDVHISENGPSASSPEDQNLFSDHELEDDELADSGEDSEAGGASLTQSPLSRRKLKMVLDLDDEDD >A03g504700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16739483:16742348:-1 gene:A03g504700.1_BraROA transcript:A03g504700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGRISQAGKYNDILSSGTDFMELIGAHQEALAVVGSVDTNSTSEKSDLGVEDGVVRDAIGFDVKQESQDVKNDKPDTGETQRQLVQEEEREKGSVALDVYWKYITLAYGGALVPFIVLAQVLFQFLQIGSNYWMAWATPLSKDVEAPVNISTLMIVYVALAVGSSLCILVRATLLVTAGYKTATELFHKMHHCIFRSPMSFFDSTPSGRIMNRASTDQSAVDLIIPYEFGAVAITVIQLIGITGVMSQRYYIAAARELSRLVGVCKAPLIQHFSETISGSTTIRSFNQESRFCGDNMRLSDAFSRPKFYLAGAMEWLCFRLDMLSSLTFAFSLVFLISIPTGVIDPSLAGLAVTYGLNLNTLQAWLIWTLCNLENKIISVERMLQYASVPSEPPLVIESNRPEQSWPSLGEVDIHDLQVRYAPHMPLVLRGITCTFKGGLSTGIVGRTGSGKSTLIQTLFRIVEPSAGEIKIDGVNILNIGLHDLRLRLSIIPQDPTMFEGTVRSNLDPLEEYTDDQIWEALDKCQLGDEVRKKEQKLDSSVSENGENWSMGQRQLVCLGRVLLKRSKILVLDEATASVDTATDNLIQKTLREHFSDCTVITIAHRISSVIDSDMVLLLSNGIIEEYDSPVKLLENKSSSFAKLVAEYTSRSSSSFE >A01p020580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10088749:10090674:1 gene:A01p020580.1_BraROA transcript:A01p020580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLLRWNPPFTHTRLRQNRTTLFSSKPPQRESISFRFQIAVKYKQSDSGPCQNPFDRIAIQIKKTLDSLKKPAIAAVLLGLLLFHDPNSALAASGGRIGGNSFSSRRSSSSSSSSYSVPRTLDPSSSSRYSAPYYGPSPFSGGLYVGPAVGFGFGGGFSSFSPILVGLAAFIVVSGFLSDRRSQGGSTLTATQKTSVIKLQVGLLGLGRTLQQDFNRLAENADTSTSEGLAYVLTEATLALLRHPDYCISCYSSVDVRRSIEDGEKRFNQLSIEERGKFDEETLVNVNSIKRQSSKIRTASGFSNEYIVVTILVAAEGTHKLQPINGTADMKEALQKIGSIPRNKIMAVEVLWTPQNEKDTLSERELLEDYPLLRPL >A09p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18924060:18924749:-1 gene:A09p031300.1_BraROA transcript:A09p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein 3 [Source:Projected from Arabidopsis thaliana (AT4G04080) UniProtKB/Swiss-Prot;Acc:O81433] MRMISNVGTGVVGAPACGDLMKLQVKFDGSGKIVDARFKTFGCGSAIPASSLATEWVKGKSMEEVLTIKNSQIAKHLFLPPVKLHCSMLAEDAIKAAVKDYQKKQGEANGETFEA >SC259g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000109.1:45991:46515:1 gene:SC259g500040.1_BraROA transcript:SC259g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLKDSELVGLSADVGIVLLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09p025250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14037853:14044565:-1 gene:A09p025250.1_BraROA transcript:A09p025250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFRTADSILHLIDSVIRKRIASYRLENPALSSSLLQLLVYFQVMAACNKVKVSFDRSDETIRCSLVPHLSAAFGRKGISVLTDKHDEFCKCIASVFILSKNYVSIKESVDDFFKTSQRRHDKGHVVATVFYGVNRSELKENFAKALFEHITSYQASQWCSAEEIITLPGHEKINKQSDCEFVEKIATDVYEKIFPKERIGIYSRMLQDISNLLCSQQWGVKSIGFWGMPGIGKTELAKAVFDQMASDYEVTCFLQNFHETFETKGLYSLLQEYFKNLTNQNIPKKVLLVLDDVRSHLYAESLLAELPSFSLGSLIIITSRDDQVLSQYQVNQTYKVEGLNKLEAMQLFSLCAFEKDVKETNLLKDNSMKVIEYANGNPLALRVYGKEMSSHEQTSQKETLFLKLKQDPPHQIMEVVKSSYYALNDNEKNILVYIAFCFTGKHVEDVSKLLQDLGFFPEIGIDRLVANSLVTISENKLEMHNMIQGVVKKIGRCSEDSNTSFKCVLGTTDIEAISLDASNLNPDVELSLFRSMYNLRYLSIYYSNPGKHGKALESFSLPYGLRFFHWETYPLKSLPQDFDPSNLVELNMPYSQLQTLWGGTKNLKMLKRINLRHSQKLLEVNELSEARNLEQIDLCGCKNLQSIPSIRNLHKLRVVDLPSCTKIKSFPEFPSNVELKLEGSPIKTMYPPVPLIRVKSLCEFLDNPTKPLNFERHGPYILDILRPGSFLNSQKRIKNPDMVETPPTFKDIMCEKAILMSQWALDKAFE >A07p001240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:234908:236536:1 gene:A07p001240.1_BraROA transcript:A07p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSLQQTKATKSCFHLKGSAFAHVSTGFSRGSLLVSSRLKSIEIGMLGKLESVRDYSSFDSQRFGKRSSGGNRGRSGLVSKAYREQRSDGNGRGDSIWVKPVEERRGNGSSSSWEKKRDGNGSVSSDRRSRFGGETRKRRGRRDDREGGESEKATGNSIWVANDKPVKEQVPRANRSSWEKQDETVSYAQEDDEGGETEQIEEEPNDARWPEIRNRFNRYDVRRDEGRGGNAAYQNWNRQESWGRRTWQEATESSVPRMVGEVVYGVSPVLAALSAGRRELYALYVQEGLDLSSNNKKKKDKKGFERVLKLSEKLGLDIKETSKHDLNMVADNRPHQGLVLDASPLELVKVKELDKVSSEEEEKYSLWVALDEVTDPQNLGAIIRSAYFFGATGVVVCAKNSAPLSAVVSKASAGSLEVMELRYCKNMMQFLEASAENGWRVVGGSVSHKAVALNEVSPGRPTILVLGNEGTGLRPLVERSCTDLVRISGNMASDVAASTESEDGEVEGFRSFLAVESLNVSVAAGLFLHHLIGNKASV >A10p008650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8348963:8350701:1 gene:A10p008650.1_BraROA transcript:A10p008650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRLPYASISASAFPPLSILFRSPRTAAVSFCSASEFVPKDDSKRSPLKPGLYLVGTPIGNLEDITLRAIRVLRSANVILSEDTRHSGKLLQYYNIKAHLLSYHKFNEAQREQAVLNRLKQGEIVALISDAGTPGISDPGSQLAKMCAKENIDVIPIPGACAVVAALSASGLDTDEFTFVGFLPKHSGTRKERLVVSSNETRTQIFYVPPHKLSQFLDETTPYFGESRRCVIAREITKLHEEFWRGSLGEAKQEFLIRQPKGEITLLIEGKEETKAENPTESQLEQELAVLISDGHSLSTAVKTVAERTSMRKKEVYSLALKKFGKQIQVEDEAAE >A02g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23336265:23338455:1 gene:A02g508670.1_BraROA transcript:A02g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNKWAAIGDFNRVKNLTHRFFLCVVGHNNKARSARESRKVILTALLRPFPMATKRNFKAFSVAAASSPMNGCKSRKLTEKILKEAYAQQKEVEDEENAPMSAFSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDAITSSLEGKHIAATGAAFVSVTDYYRKLGEFMSLYTNGKMPKALNHLTRLENWESLLKLTQPESWSPNAMYKATNMFASSSKAERFYELFLLPRVREDIRIHKKLHFCLYQSLKKALFKPKGFFCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPEKFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVAAHFLRFFKETKVMPVIWHQTLLAFVQRYKHELRKEDKKSLTSLLEKQNHELITPEIVRELASSRNRGETVDNSHSASTINNKPIKEDWFDMPQVPMEED >A10g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:143473:144041:-1 gene:A10g500050.1_BraROA transcript:A10g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTMFRTTPALSAKKRKAKRNITSDVCQIFIYKEEFESLPGSPHSLFDFSFPYSLRISKSLPFSQRLSMGSSQITAHEMDMTTTKTTIATRSVVKFEDGYSVETVFDGSKLGIEPYAVEVLPNGELLVLDYENSNIYKISSSLSLCKYLNVLALTEST >A07p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18154965:18159287:-1 gene:A07p033190.1_BraROA transcript:A07p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKDSSWDDIKNEGIDLEKIPIEEVFTQLRCTREGLTSDEGHTRLEIFGPNKLEEKKANTLNKPFFILFESKVLKFLGFMWNPLSWVMELAAIMAIALANGGGRPPDWQDFVGITVLLIINSTISFIEENNAGNAAAALMAEDAKKRAHDIIDKFADRGLRSLAVGRQTVSEKDKNSPGEPWQFLGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESISSLPVDELIEMADGFAGVFPEHKYEIVKRLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRVVLGFMLLALIWKYDFSPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGTYLAVMTAKFGVRSISGNPHELTSAIYLQVSIISQALIFVTRSRSWSYAERPGFWLIAAFFIAQLVATIIAVYANWDFARIRGTGWGWAGVIWLYSIVTYIPLDILKFIIRYALSGRAWDNVIENKTAFTSKKDYGKGEREAQWAQAQRTLHGLQPAQPSEMFNDKSTYRELSEIADQAKRRAEVARQGSSNRLVHNILLRERHTLKGHVESVVKQKGLDIEAIQQHYTL >A03p071890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31729106:31731550:1 gene:A03p071890.1_BraROA transcript:A03p071890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFCDNDDNNHGNTTNLLGFSLSSNMLKMGGGGGEEALYSSSSSAATSSSVPPQLVVGDNSNNYGVCYGSNSAAGGMYSQMSVMPLRSDGSLCLMEALNRSSHSNQHHHTQVSSPKMEDFFGTHHSNTSNKEAMDLSLDSLFYNTTHEPNNNTNFQEFFSFPQARNHHEEETRSYQNDPGLTHGGGSFNVGVYGEFQQSLSLSMSPGSQSSCITGTHHHQNQNNQAQNHHQISEALGVETSVGFETTTMAAAAKKKRGQEEVVVVGQKHIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQGGYDMEEKAARAYDLAALKYWGPSTHTNFSVENYQKEIEDMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGTNAVTNFDITRYDVDRIMASNTLLSGELARRNINSIVVRNNNNEENAVNAVVDGGLNKEVSSPERELSFPAIFTLPQVGQKMFGANMVGNMSSWTTNPSAELKAVSHTLPQMPVFAAWADS >A05p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:470676:472241:1 gene:A05p001870.1_BraROA transcript:A05p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCEEDDMHKGAADYGGHNTAKHFGGNDGRHNASEAAQKGAPAVKVQPIEVPTIPVNELKEATEDFGSSSLIGEGSYGRVYYGMLANEQPAAIKKLDSNKQPDNEFLAQVSMVSRLKHDNFVQLLGYCVDGNSRILAYEFAKNGSLHDILHGRKGVKGAQPGPVLSWYQRVKIAVGAARGLEYLHEKANPHIIHRDIKSSNVLLFEDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHRLPRGQQSLVTWATPKLSEDKVKQCVDARLGGDYPPKAVAKLAAVAALCVQYEADFRPNMSIVVKALQPLLNARAAAPGDGLH >A04p040620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22901881:22903595:-1 gene:A04p040620.1_BraROA transcript:A04p040620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELESSLVFALLSKCTVPSQTNLAFSLLAIVFVWLAISLFFWTYPGGPAWGKFLSRRLANKTGTVIPGPRGFPFVGSMSLMSSTLAHRRIADVAERFGAKRLLAFSLGETRVIVTCNPDVAKEILNSPVFADRPVKESAYSLMFNRAIGFAPHGVYWRTLRRIASNHLFSPKQIKRAETQRRVIASQMVGLLEKQSTNGVCFVRELLKTASLNNMMCSVFGQEYELDQDHSELRELVEEGYDLLGTLNWTDHLPWLSEFDPQRIRTRCSALVPKVNRFVSRIVSEHRNQTGDSPRDFVDVLLSLHGSDHLSDPDIIAVLWEMIFRGTDTVAVLIEWILARIVLHPDIQSTVQSELDLVVGKSRAVDESDLASLPYLTAVVKEVLRMHPPGPLLSWARLAITDTIVDGRFIPAGTTAMVNMWAIAHDPHVWVDPLEFRPERFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVTFWTATLLHEFEWGVSDGNGVDLSEKLRLSCEMANPLAAKLRRRRT >A06g507800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21742699:21743985:-1 gene:A06g507800.1_BraROA transcript:A06g507800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTNTEEQSPATSVVLTTLTATILRRASRKPQQQHPSFMSSPNLTIKSIFQSEKPQTLFRRGLPPPPPPSQTLPEKSSGATKKKEDAVTEASRLKTSMPELEKKLNKLEIYCHNLKSGLDECSSNNKKQSIPVRFNDGIIQPFLVSVSESRSSIKALSRAFASQTRSCVPSKTSDRCESNYASFNVLMELTWDEVLSRGTKHFSEEFSRFCDRKNECHVASMLCWNRAWPEPLLQAFFGASKSVWLVHLLANSLNPGLQIFRVERDDRFDPVYKEETGGDRYKSVVRAMLSARWFASIAAATRKKRWKTVWSISICSPLGV >A03p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3353508:3354887:1 gene:A03p008320.1_BraROA transcript:A03p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G16880) UniProtKB/Swiss-Prot;Acc:Q9LFL3] MNSDNLMDKVTAFGERLKIGGSEVSNKITAGVSSMSLKMKELFQGPNPTDKIVEDATSENLEEPDWDMNLEICDMINQETINSVDLIRGIKKRIMMTKQPRVQYLALVLLETCVKNCEKAFSEVAAERVLDEMVRVVDDPQTVVNNRNKALMLIEAWGESTSELRYLPVFEETYKSLKARGIRFPGRDNESLAPIFTPARSALAPEVNADPPQHAREPEHVQYNAPVRSFTAEQTKEAFDIARNSIELLSTVLSSSPQHDALQDDLTTTLVQQCRQSQTTVQRIIETAGEDEALLFEALNVNDELVKTLSKYEEMNRPSAPLTAPEPAMIPVSEEPDDSPLHGKEESLVRKSSSTRASIHGGGGSGDDMMDDLDEMIFGKKSGGHGGDSSTDKQQSSSKNDDLIRF >A01p057750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32734399:32736681:-1 gene:A01p057750.1_BraROA transcript:A01p057750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKKEEIASDSSEQVVNPWEVSAKDGGKIDYDKLIDQFGCQRLDESLIDRVERLTSRQPHVFLRRGVFFAHRDFDLVLDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDVTKTFIFSDFDYVGGAFYKNMVKVAKCVTLNKAMGIFGFSGEDHIGKLSFPPVQDPYFRMTRDVAPRLGYSKPALIESTFFPALQASGENGKMSASDPNSAIYVTDTAKDIKNKINRYAFSGGQDSIEKHREIGANLEVDIPVKYLSFFLEDDAELEHIKKEYGEGRMLTGEVKKRLTEVLTGMVERHRMARAAVTEEMVDAFMAVRPLPNMFE >A05g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7578931:7585132:-1 gene:A05g502390.1_BraROA transcript:A05g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRDVTPGPHETQLRFRLIHFWEAWNPLKKTLIGIEMLLIDEEGSVIQGFISPSRIERHLSKMKPGSLYKLNNFYGSSNKTMYRVSDHAVTVSFSWNSELSVLEDSPTPFEEDRFRFHSFEEFQAGCDSKGDLYDVLGHMKLVNGQCLTGTPVLDEVDIARARHVLVHVQSYDGPVVKLYLWDQAARDFCKKFKSYERTPTVLLVTTVNTKSLGGTLALTTMSSSRVFMDCDVQPTVDYFSWLGSNPQSAELVNAEVVTKRETLTIGEIFSYIRDGSNKEAFFECTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQAVFVLLGDAGFELTGKHAAELVSSYFEANGDQGVTQEVPFPEALISTIGQKHNFCVKVTQHNLDGKSRSLTVTKILPMESPPVTEASGGNYNPTTLEEGFETGTKVCEASKISGDSAEGSKSNGDMDEMGKAKRLKRGVIPLSCCNQASYFPSLINARLNVLWKEWSSHLFHPLRKLQGQLLEGRVTGDIQPNDSKNLTEGDSYEFSRFYVIHNSRQRKLTQLSYYIQIGQRTTALNVTLDGPMFPVHSLSPQKYTNLLRLASTPTYLPDVVGQIVIIQKIKLDHPELNIDATIGLRLNRSTIVKLILCDQQAADFSILQSKKNRKFKVMIITSVIPKLIQGKLILHSSPATVFYFNKSIDYIKHFKRRIRDYAKTCSTE >A04p033100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19271794:19274438:-1 gene:A04p033100.1_BraROA transcript:A04p033100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFHGNPSEISAGPDGGLQTLILMNPTTYVQYTQQDDDSNNNNNNANNNNSFVFLDSHAPPQNASQQFVGIPLSGHEAASITAADNISVLHGYPPRVQYSLYNSHQVDPTHQQAACETPRAQRGLSLSLSSQQQQQQQQHQTLHHVGFGSGPGEDIRVGPGSTGSGVTNGIANLVSSKYLKAAQELLDEVVNADSNDINIKSQLFSSKKGTSGTDNKAVGESSTGAGEGSGGGGEASGKRTVELGTAERQEIQMKKAKLSSMLHEVEQRYRQYHQQMQMVISSFEQAAGIGSAKSYTSLALKTISRQFRCLKEAIAGQIKAANKSLGEEDSVSGVGRLEGSRLKFVDHHLRQQRALQQLGMIQHPSNNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEMKEQGKNMGSMEKTPNMDQNNEDSASKSTSNQEKSPMGGGGADNYHMNPNHNGDLEGVTGMQGSPKRLRTSEETMMQPINADFSSNEKLTMKILEERQGLRSDGGYPFMGNFGQYQMDEMSRFEVAVSDQELLAQRYSGNNNGVSLTLGLPHCDSLSSTHHQGFMQTHHGIPIGRRVKIGEADDYGAAALNGGGGSAATVHSSATAAAAYNGMNIQNQKRYVAQLLPDFVA >A01p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1439327:1443541:1 gene:A01p003200.1_BraROA transcript:A01p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLASFFGCFVPKSGSKISSSDGSNSKVMSLEKPKSKSKSPRAPMIVSYFPVGSNLSRLLRITHVFFNTPMFMSLLDFFFGCFIPKSGSKRTSTDGSSNSKVLALDKPKSNSKCLRAPIIVSHFPVRSNLSLLLKTRGKKAGKKAAKDPNKPKRPPSAFFVFLEGFRKEFNLANPDNKSVGAVGKAAGAKWKSMTDEDKAPYVAKAETKKTEYTKTMQKYNMKLANGTSTAGDDDSDKSKSEVNDEAEGASEEEEDDD >A08g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5157662:5158180:-1 gene:A08g502390.1_BraROA transcript:A08g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSWTSTAQFSGMRWVWKDSMGKVQLMETRNLTRRETPLHSELEALRWAMESMIQYSNCQRFGTDSKDRIAMMEPPQTWPNFSTELEIIQTLRLCFSDFRINYFPRTHNAIADSGKRLFYYSNLRWSGKPDRDRTTNKT >A06p012270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5615236:5617347:-1 gene:A06p012270.1_BraROA transcript:A06p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGRREIRESTNNDLDAMNDHGGAYDLSLEELMDEYASRPPQVAEWLWCIEYVAKFVKDIRCILDLMNMGYQYSDDYGRRINEVLSLRVLEFMFDPSKNDSSGVGVGVASASEERVEFDLSLSNADVLRAILREIPASELRAGMPELSKFNVLPFIAYKNMCLPQCALEKLRDLSLMENETSAAPEIEANDPVFGGDGPVHMDACEEEPIDEQQVHIGLDKVTLTDDEDEAMHTNGKDEVIVIDEDTENDQDIDGEHISNGYTQGRLNTAGPGYTTGNTFLTSSRRWPENARVKCTKDGTCLVGGSDDDVESEMVKDPALAKKNKNSYTNLPRAENVCWKCGKEGTLLKCSRNECASKVHKECLNCAVNFDEDGNFHCPVCWYDGVVAEYLESQKLMSSAKRRLMNFMPLLSTRSKRLRSIKAI >A09p080340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58865045:58867077:1 gene:A09p080340.1_BraROA transcript:A09p080340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIIALVRQRDCFVQAIRRTPGVSYSPASDHQPNFKASNFLTTLISSTKSSESDGDEEEPNKCLSLRIEKLPKGVTVGSALQSWMGDGFPVHGGDVYHAINRLRKLGRNKRALELMEWIIRERPYRPGELEYSYLLEFTVKIHGISQGETLFTRVPQEFQNELLYNNLVIACLDQGVMRLALGYMKKMRELGHRTSHLVYNRLIIRNSAPGRRKLIAKDLALMKADKAVPHVSTYHILMKLEANEHNVDGVLKAFEGMKKAGVEANEVSYCILAMAHAVARLYTVAEAYTEEIERTITGDNWSTLDVLMILYGRLGKEKEVERAWNVVKGFHHVRSKSYLLATEAFGRVGNLERAEEVWLEMKSVRGGVKETEQFNSLMSVYCKRGLIEKAISVFREMTGDGCKPNSITYRHLALGCAKANLMKEALKNIEMGSSVKTSRSVRSSTPWLETTLSIVECFAEKGDVENSEKLFEELNGAKYNRYVFVYNALFKGYVKGRVYDPNLFKRMVLGGARPDAESYSLLKLVEQFKP >A07g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14879073:14879398:-1 gene:A07g506270.1_BraROA transcript:A07g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSMKLAFFIFIAISSVMSITETGANRLLQDEASQTVLLHHEASSQEAINPNKIHCKKGCHIKCVPNPFIVECFCQC >A08p043740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24314751:24315774:-1 gene:A08p043740.1_BraROA transcript:A08p043740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSFTKHNTTQQQQNSKHTMTCSQNNNNNDNKYQICEEIGRGRFGTVTRVYAPATGDFFACKTIDKSSLTDALDRACIDTEPKLMALLSYHPNIVQIHDLVDTDSTLSIYMELVDPSVSVYDRLVSSGTFSESQTASFAKQILQGLSHCHRYGVVHRDIKPENILLDLRNDAVKICDFGSGAWLGEGETTEGVVGTPYYVAPEVLMGCSYGEKVDLWSAGVVFYTMLAGAPPFYGETAEEIFEAVLRGNLRFPPSVFRGVSSMAKDFLRKLMCKDASRRLSAEQALRHPWIQRAGEAEERFI >A04p019640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11793023:11795494:1 gene:A04p019640.1_BraROA transcript:A04p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVTGGEEERGERQKVERLVEKLKNYTTTKNNNNGDGPSTPVHVSFSGSSKLFSPSDVVSSRKLAAAFWEFHHYYCYQEEDRSFFSPPPAAAEMHRRQRHGKAVVKENGLDLSQFLRDPSPDHQPESAGSLRRQIGQVLMKHHQSLERNNHALQPVSPASYGSSLEVAPYNKAVTPSSSLEFRGRQSREPQYNLKTSTELLKVLNRIWSLEEQHAANISLIKSLKTELAHSRVRIKELLRYQQADRHELNGVVKQLAEENLSRKNKEVERIQSVRKELEDERKLRKRSESMQRKLARELSEVKSSLSECVKEVERGNKSKKMVEILCDEFAKGIKSYEEEFHGLKHKNGAGREERDQMVLHIAESWLDERMQMRLEGGGEDVLDKLGVEIETFLQAKRRSSLESVPFNALSAPPRDVECEEDSGASDSNCFELKKPVESRVNETETNKDDEKPKGMLGSPSSFQVKFEDQMAWAMSSNGKKKTQTIKEEDDDAEPEKNKQENETTNKNDVMGEIIRTHRILLSETGEASCSSYPSSWRQASPVRQWTSRTVTGELLGSSETPAAIGIAQGVKDNTLKTKLAKSSKSRLRLFKG >A09g516300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48096575:48098564:1 gene:A09g516300.1_BraROA transcript:A09g516300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERMKRKKGTSVRGDQGVTVYGGCNKKKTDTKLIGHVNQMRSIIPKASVAKTEKLSRKDVTHRDESVTHESINGSLIYLTTRRSDLGLTTGIYTPWLAISRVSHQLVVKEISNHVKGILKLKLHYSFDTNMMMAETCDVNWMCYWDENNLKMCHKASLKVESVSSWIEELVTATRLRNSYRYIHIPLNGGQQISVVFLHDHATHVNPGKYPLCEPVSFIRICNQVESGYVVTSRGRI >A01p018280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8880887:8882793:-1 gene:A01p018280.1_BraROA transcript:A01p018280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTALTFKPLHRAFSSSSNLRLHHPTSLTGLPSSLLRFRGLSVCYVVSDRRQSSPIDNDESPEKTSSLDTNAIDAEYLALRLAEKLERKKSERFTYLIAAVMSSFGITSMAIMAVYYRFSWQMEGGEIPMSEMFGTFALSVGAAVGMEFWARWAHKALWHASLWNMHESHHKPREGPFELNDVFAIVNAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYLRKVAAAHQELEEVGGDEELEKEISRRIKLYKKGSSS >A01p007170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3576463:3578573:-1 gene:A01p007170.1_BraROA transcript:A01p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLDAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVSANLIRMLAYNNKNMLQTGLIVGGWDKYEGGKIYGIPLGGTVVEQPFAIGGSGSSYLYGFFDQAWKENMTKEEAEQLVVKAVSLAIARDGASGGVVRTVIINSEGVTRNFYPGDKLQLWHEELEPQNSLLDILNAASPEPMAM >A03p016940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6763235:6765628:-1 gene:A03p016940.1_BraROA transcript:A03p016940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQYQYQYQYQNNPFERRPILKSSKASPSVRWIKDWVPQDIIATGAKCYLRKWVTEETVKRLKEKEKEPSTTDPDHPEPTSEILFLCSYDGCGKIFFDVSALRKHSHIHGERQYVCDYPGCDKKFMDSSKLKRHWLIHTGARDFVCTYQGCGKAFSLDFNLRSHMKTHSQENYHICPYSGCGKRYAYEYKLKNHVAAYHEKNGAGETPTYTPPAEKASRTPKTPSATVYGSASLERPYACPYEGCGKDYIHEYKLKLHLKREHLPEENNNNTPTKHDLEEGSDQDFYRKHASNGKSQTHRQQSRAKPDMRTPPAKVLKKGSTSSPAKERIAKKPWQVRETFEGEDSEETEEDRENVDDGWSSAPLTHSRALLGGKTSL >A05p001720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:401072:407825:1 gene:A05p001720.1_BraROA transcript:A05p001720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFEGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSVIGGQPLLILGVAEPTVIMYTFMFNFAKARPELGRDLFLAWSGWVCVWTALMLFVMAICGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPERENQKLMEFLPSWRFANGMFALVLSFGLLLTGLRSRKARSWRYGTGWLRSLIADYGVPLMVLVWTGVSYIPSGDVPKGIPRRLLSPNPWSPGAYGNWTVAKEMLDVPVVYIIGAFIPASMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGVPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARKSIKTNASLGQLYNNMQEAYHHMQTPLVYQQPQGLKELKESTIQATTFTGNLNAPVDETLFDIEKEIDDLLPVEVKEQRVSNFLQSTMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKTIAMFTIFQTVYLLICFGLTWIPIAGVMFPLMIMFLIPVRQYILPRFFKGAHLQDLDAAEYEEAPALPFNLAAETEIGSTTSYPGDSEILDEVITRSRGEFRHTSSPKVTSSSSTPLNNRSLSQVFSPRVGELRFGQMSPRVVGNSPKPRLKELKEATIQATTFTGNLNAPVDETLFDIEKEVDDLLPVEVKDQRVRNFLRSVSTMVGGCVAAMPILKMILTSVLWGYFAFMAIESLPGNQFWERILFLFTAPSRRFKVPEDYHATFVEALPFRTIAMFTPNGVMFPLMIMFLIPVRQYILPRFFKRAHLQDLDAAECEEAPALPFNLAALEMEIGSRTSYPGDSEILNEVIIRSRGEFRHTSSPKVTSSSSPVNNRSLSQVFSPQIGELRSGQMSPRVVEYSPNPASCERSPLNQSSSK >A03p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14110804:14111247:1 gene:A03p033310.1_BraROA transcript:A03p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWILDIYIIIQWCGWIWMDSLEKVQLIGTRNYPRREFALHSEVETLRWRWRVYVPTFMSKIIHISRAQNRISDTFFRPVKSFYKNLCFTGYSIIFYYRDHLKSEK >A03p065750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29074122:29078353:-1 gene:A03p065750.1_BraROA transcript:A03p065750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWSGKRAIEGRPDTKKGSGVVLRDRFNNSICSDEKKSMKFTRFVGSSYKKEKAVWSSTSSRSSPNGKEVIGTSSKIPVSISSSSSVKNEKQPQVAIDSSESSKGSEDEIETEILEEEPRVHNKLKVVESSDLPSSSRAKKGFRQRFGLSKQEFRPGPSSQSTNRGCSPLLSGFGLEKRLSGKVDTISKRRVYGESSSSSSARGKKIVSELPSEERRLVFNPRGGSVSDSRRARHCILNDASGGSQRSVNRGDSRIRFTNQGSGSRNGLSSITTREMSQSETSNNLNSPVSLELLSGFPDFGSLSSQDSFRNYNLDGISEELLALEERIGTVSTALTEEAISKSLKTSIYQMKPSNDHKEDAKCSICQEEYTIGDEVGRLHCEHAYHLKCVQEWLRMKSWCPICKTIADTSSSSKYTELETRWSGQVTTKLPKSAAAGHRCALSHQIIEDVEKNITDEVLCYANVFNLVRDRVPMMDLVNAQESTFHKLSSKRVIEQLLMQETFAREEGDRLIDIIKERVSVPTSNEGMHNGLTNGICHGSKKMVGGEEISKSKATEDGAGSPVDVAKSYMRARLPWGSPSANNSIQSFGHHHQQGHHFLIVLEPYPLPYSSGTLSSSKEQWLSQSYTTEANQAVEDTNTAPQSGTGLDGSNGDTTNAMLALGADMDNWELLHPKRCI >A09g511810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34936972:34937998:-1 gene:A09g511810.1_BraROA transcript:A09g511810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYIFGGHHQGSNSRLPSPFGICEIQTARNSLIFEGKTFSPEEISLKGLILTKEWTEAQGKSSEIKLLPSALRSSSNRSSRSTYTSRLITCWIFSRPSLAVSSQGSSIQTFVNSPRMVETVAFSLTIQRPSELKPANRSLKKSLESYTLDLLWVCDYLFLSSPSIVNSLADGVAKKALRSFLLV >A03p052830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22658171:22661976:1 gene:A03p052830.1_BraROA transcript:A03p052830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEYRNPRSSVPHHHHQHQHQMRTTVPPPPSPHPSPPVPSHAESDQSTSELRALDCNLTSLCDHIQSEGFGSGSFSDVVVKAMGSTYHLHRLILSRSSYFRTMLQGPWKEASSPVVNLLVDDKNVNGDAISVALAYLYGHHPKLDDDNAFRVLAAASFLDLQDLCAICTDFIISELRTSNFLAYQVFAESQDYGIHGERVKNACWGYLCQSGAVELREMLPKLSAQTLCALLTSDELWVPSEEKRFELALYAFIANGAPSNSEHSSCFERGTSFPVDSVVSKGKNVVDEFSFRSLDRKLGRLDLEDDLRDASDDVSVPLAEGATDFQRGVFGSNLVFQQSANPQTSFGRVCTSVVEKTEGSGVAIKGPSEEAYHLSNDSWLSGADSRNSPVSAIANDWRNGGVSALTWGGRVVGTKQVTGCIKGKWGLTEEEYNAFVNTFEGGSLLYCHMSFEVLLNARKQLEELGFPCKAVNDGLWLQMLLSQRVQEVAANTCKKCCLISIACACKQGFGLSHGAPFNNYYCQDNVQNNNMMGGIETMYVTESSQAEENGIFKPVRISVRGQHIDGLAGIGCEATFVPPPAWPPTPFVYSRVPINRNGQPPLASDGSEGRIGQSEENLKDGLTALVGLSQGTSGVGNYTRGDLNEGGRSSGSTVGMSEPKEHSVGIERENASCTISLDTRTPLCHFPPFRFGVEFEDVHRLANGHVEHSPEFFYAGSLWKISIQAFNDEDPQGRRTIGLFLHRRKAEILDSLRKVHVYIDPRDKVTARYQLVCPSKREVMLFGDFKQRGTLLPKAPKGWGWRTALPFDELSELLQNGALRVAAVVQLV >A03p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13478232:13481795:-1 gene:A03p031860.1_BraROA transcript:A03p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLKVESTSLPYKYNLGLERQRDHHRMASSSSSSLVPSSSSLKSLSLKSSNLTSLKLAKCYFITDYGFSQAVVKLPLLEDLEVSYCSLTKVSMKAVGKPCPNLKTLKLNIFGDMFPGNKSDDEALAIAETMLGLRHLQLFGSKLSNTGLKAILDNCPNLEHLDLRQCFNVNLFGDMKKRCSERIKVKMASSSLPLPSSSERLSLSFRSPPSPSLTPEMKDGEYSNWSELPTELTSSILQRLGPIEVLENAQKVCTSWRRVSKDPAMWRKIVMHKVEGLGCNLDIMCRHAVDRSQGGLVEIEIWDFGTDSLINYIADSSSGLRSLKLAKSFQVTDDGLTEAVVKLPYLEELEVSNTSILSAKSLKVLGQSCPNLKTFKLNQRGFLRPRIQSDDDALAIAETMHGLRHLQLFGNILSDVGLNAILDNCPNLEHLDLRQCFNVNLLGDLEKRCDERIKVFRRPNDSTYDYPYDATAIDIFSDEVVFRLMPDANYYPDLMAGSDYSDYDPTDDYDF >A01p048770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26661943:26663490:-1 gene:A01p048770.1_BraROA transcript:A01p048770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMITDLPFDLEKKILTLVPKESRPQWQTTCKRWYALRQDLLAKKHLAQTGREFILLLNTSVFSTTINLQGVHNNVDPTMEFGGKLGSLQDSNDLQIDSIFYCKGLVLCTMVGKQRLLVCNPSNRETRYVKPRSNGCSEYALGYKGSKSSCVNSYKILRYCRYFDMQMRRTVSEFELYDFMSDSWRVLDVDEHDSYISACGVSVKGNTYWVAKRYGNQFILSFDFTREKFGFLPLPYESAGPGVSVDYGHKDTAVLSVVREEQLSVLHQYLHLFSFEMKIWVSNMIGTKKVSWGKFLVVDVVLLNVVSFVVDEEHKVAVCCCTDKDDGDEEGTSIFVIGENIQRHVYDEEVTIDASWPHLMNYVPSPVHVVRKSTRKSKRKRIARRHQPEEGTSARSVEETKVTPKAKPKAYRSF >A10g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4716247:4721796:1 gene:A10g501720.1_BraROA transcript:A10g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIGIYRYYNLQHLNSGPACMPCGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSPIDTHNQPSTDTRPSSSIDPNRSTTIEITPRTSIDTVSSKIVNVIILTQDENGNLYDQDGHLSMSLGGSQWCRPMSMNSHQSTDHDEDRWTDYSSHRSTSSAKSTECNAVRILTHEEFAAKHPNQPTPFYDKIDRSVDPTINRQSESVVDRHNTPPIDRQAPLTYRVRLPSIDIDYINALNHHLNQPIQEDQETERRRLRKRKEKIPKNLKREANDKEMDSFTKRILRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMRHRITLSKKSDPGKFAIPCVVKRKIINSVDYGKELGFIGACHCGAEYETESSESIDTHTFPLINSNESTVTDERNNTSLDVDQPVDHFALPNHCCQHFAFQPPSKRGRDDYSIDSWADSGFHESFAVDNVITSPNEEYTEEYDEDYWKERAIEISLQDKRFETHKFTNTFPTSFDEVHSTSVDTYPRPAKQPLTSIDTHTETSIDIHAAAKIQEQENIPSPTRFTDTYLKRFAPLKPPPHTRADTQAEKMNTLSSTSTGKSMKSNHLKNTSSAEIILPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNACAIDGRILQVSREDIANILQVANGPDNLFSQQHLSCQPKGQASIDGTTQTSIDRITPTSTDKDDQTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGEKLEEELKSLVEDTHQPLDRSYNELFRNMVEMTEIESLRQQLEKEATNSASIDAPHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVSYINTRINDVYYPLNNNVDWLSTKIELLQQDVDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLQAYEDMHDRFANSFSIDRLRGPWIDGKNPVELLSYTAAEVDKITSKIYTAIDNMEEQLDKRCDGIYFPFDNRIGGLDSHAEWLQKEVKAIQRQLAAQHQISASTDKKRAKSPMVSRRDRPMNT >A06p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3840380:3841844:1 gene:A06p010600.1_BraROA transcript:A06p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMILFPICLMLFSYKQVTNLSRRQRMNSFSLVPPGFRFHPTDEELVDYYLRKKVASNRIEIDFIKDIDLYKIEPWDLQELCKIGHEEQSDWYFFSHKDKKYPTGTRTNRATKVGFWKATGRDKAIYLRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLAAVRRMGDYDSSPSHWYGDQLSFMASELETNGPRRILPNQQQHHQYEHQQQLPYGLNASAYILNNPNLQCKQELELQYNHLVQHDLLHESPLSVIQLPQLESPNIQQANCSNSLPYGTSNNDNNSSEIANLQQSNLAHEEQINQGNQSFSSLYMNSGNEQAMDQVTDWRVLDKFVASQLSNEDAATTSASLQNNAKDTSNVECQVDEEKDQKRVSDMGDEYAASTSSSCQIDLWK >A03p029190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12275751:12276941:-1 gene:A03p029190.1_BraROA transcript:A03p029190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G50370) UniProtKB/Swiss-Prot;Acc:Q9FK35] MAKSSVDMEDIQTVDLMSELLRRMKCASKPDKRLVFIGPPGSGKGTQSPVIKDEFCLCHLSTGDMLRAAVAAKSPLGVKAKEAMDKGELVSDDLVVGIMDEAMNRPKCQKGFILDGFPRTVTQAEKLDEMLNRRGAQIDKVLNFAIDDSVLEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDNADVLRSRLDAFHKQTQPVIDYYAKKGNLVNIPAEKAPEEVTKVVKKVVSA >A05p012510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5446452:5451506:-1 gene:A05p012510.1_BraROA transcript:A05p012510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maternal effect embryo arrest 22 [Source:Projected from Arabidopsis thaliana (AT2G34780) UniProtKB/TrEMBL;Acc:F4IIV5] MAANAPPELASGNPCCIAWQGKYLGMKKRRDACKEAIEILQKAMGAANDEKTNLQIKLSEMSDSMDTKENYSVGKASLEKEVSDLKSEKLSLQQRLERNIQEKSEEIKILRDQASSREKEISELKNLLKKETSRADNSEEEREQVCKELNKAKALLVKYEDIKPDVPELKEEINLVKSLLVSERQKAESERKKAESERKKADQYLSELEVLRASANKTSSDLLTSTSNLETLKKQLESEKQKTLKERKRADMESAKAKEQMKLAEGLSKKFEIIRVRNEELKKEAELQTARSKVKFAENSAKLEEKMRLLEMNKKTAMDWKSRVDDLTRQLQESQLVTEGLKKQVHELSLSRKSTRSVSPHEARDLEKAEMRLLKKELKFQKKREKHFNEVADFEKYRREFQAEELGRLKREFGGFTNRMNLLGEYFSRDVEGTAALAKVEGRRKPPKNCSGENNSDARCHLGANPGSQDQACKFSAQLIAKAGRGVSESVSDPISQLDSPTGGSRELLTSGVVSSATSFSEGELLASQGREQFAFTTSAEIAKDIPNIQPTKSSMFHKVDTGKNGKLCFVAENCVQSGQKDRHEVVNEHSRKRKRLSEAMESRKHLSSDDKKKNLQIREKLGALQSMVAETGYKPLREKETLVSCQKKTVMQNSIEFNRLTKTRGNKAEITRVAGKTMCLSTAKGHDAATLFLKEDDATDYMKLLELDQPEDEIYYKIARESLMSPDLPQVNFLGDEIMNEDKNPTTALDLVASSEAYSLNTENASVTVKMPPESPTSDGHILKHFVVFSNTEDQNSIIKIFHAANSCAQRCPSVATAQWAVPAILFSLKMEDNLLARERVCVFLSLLLHNFSMVSLMNIGNTLDYDSCACLDSFSKHISSVMADTEAGGILTEFLEELLSLLKDLLSEQRVLYSAKSSETTESGFSIHVTLNGENVALFSRVALTDHLVAGSAILAAICTAVDRFGLIREVSFELLHRHSHEKTPVPLTILHVLAYIAGEKMMSSSDHNISIAVLKSIVMFLENRHFGTVEGSSKLHPGKNKCPFSDKSSSLEAMGSMLMEIVQEFAHSNTVHQSLIEFRPAHKGFQCVLGRDQSVTLYDILSLVDLIACYTAWDWTSANIVSPLLKTLGMPLPTNVSVAITSLLGQLSSIGVDAGGYENEGISNLREKLSSFLQCETTLEAGFGVQIATVSSLLKTLQLDLAIVFQGETTKLPDCGDQSSSVPANMVAKWFSLLSDEQRAFATEFLQTCC >A03p025780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10856923:10858334:1 gene:A03p025780.1_BraROA transcript:A03p025780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHIYIALLALFAVSLKCCYCQNETLAAGWGNAGVTWYGEPEGAGSTGGACGYGMVVANPPLYAMVSAGGPSLFNNGQGCGTCYQIVCTGTAACSGRPITVTITDECPGGPCASEPFHFDLSGKAIGALAKPGQAGSLRAAGVLRVSYRRAPCLYRGTNIAFHVDAGATPFYMAFVVEYENGDGDLASVEIQPAGGGFIAMQEMRSALWKVNSGSALRGPFNIRLTSRESRKVVVAQGVIPANWRADQTYRSIINF >A03p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11622992:11627017:1 gene:A03p027770.1_BraROA transcript:A03p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRTQSSSSSSSSVWQHQYMKLHFFSRIRSLFKSKASSRKRDLQSSTQISRTQQYSEKVVAPPEILSKPPEDENEEVVLQRTVKKLHFGTFEEKEKAAIEIEKLSREDKNIRKLMAELGVLQVLVSMVASDISGHQRSAVMALIQLSHGTHTNKALMVNAGICSKLPKNVEVLDQSTRYGFAELLLSLSSLTSLQLPVASSQILPFLMETMNSDTTEMKCKEICLATINNLCLVLENAGPLVTNGAVKTLLSLILVKDLSEKALASVGQLVVTQMGKKAMEECSTVPKSLIEILTWEDKPKCQEYTAYILMVLAHQSWSQREKMAKAGIVPVLLEVTLLGSPLVQKRAVKLLQWFKDERNVRMGPHSGPQTSRVSSGIGSPMSPRSGEEGKNVMKNLVKQSLYKNMEMITRRGNVDLEREACRLKSLIISTSSKSLTY >A01p039480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14295908:14297096:-1 gene:A01p039480.1_BraROA transcript:A01p039480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLARCCVRRTNHYEARRIHIFLPFKVSRMTKENRTQGSSHNCGPFTTRPRPFGIEEEAVVDADAGDGETFDEEAEERDHVEIEHLVGEFVNEPSVRHNQIPDSDDEEEKGLKKKPAEVTHIKRGDGKLYKPLCVCLTFKVANFGLFMLSLSLFNEYDNKTQQS >A03g503000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9245946:9246245:-1 gene:A03g503000.1_BraROA transcript:A03g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGEATGINVLAQPENFSTNLDETEEATQIGEVGNASNISEDNATLGVLGEKEESKEQQDHLSSALSSEEENAESLQTEDPNIWWFRRTGAQVLCLRK >A07g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20960:21871:1 gene:A07g500010.1_BraROA transcript:A07g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWSNDLRFKKVLRQRLQIESRFLTSLLIAYKKRIVGWKYIAIGQAVIRLVSVRRRNKLNLDV >A08p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15341882:15343301:-1 gene:A08p023320.1_BraROA transcript:A08p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEMSFWVQPRCLLLLAALTIFLVFALSHTRKEEEKQVTEDCQVTHRVFLDIDIEGQRLGRIVIGLYGNVVPKTVENFRALCTGEKGQASSGKPLHYKGTQFHRIVSGFVVQGGDIIHGDGKGSESTYGGTFPDENFKAKHSHAGVVAMGNTGPDSNGSQFFITTIKATWLEGEHVVFGKVIQGMDNVFAIEGGAGTYSGKPRKRVVIADSGEIPKDKWDEE >A06g506880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19069748:19073817:1 gene:A06g506880.1_BraROA transcript:A06g506880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLISVTAWGHIFSDHIFSDNIFSNCLKMDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADKYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVISQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVNIDTGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQAIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAETPAAGSEGMTHQQIEKSLKDISDAINIFFGTCLKELKLLAYRMEAVEKKVGITNIGSSSDDHQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETAFVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLALKKKPRRCRSRFYQVLRTPLEWLTDHQMDAFINILRQRYQNHPEHFRSDRMCFLDHVFSRQWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHISPEELNEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGIEFPTAFDKKHGKTIREKMALDIFRELPKCREWENQDNDENLATYD >A01g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21227435:21227752:-1 gene:A01g507320.1_BraROA transcript:A01g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSGGSERCLHRSFAQPSRSKKSDRNQKYVDDSSPETVLLRHFIYDNLTMTAQIDFISFYELGGLTIGGGFTSSFKAH >A08g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5478299:5478982:-1 gene:A08g502560.1_BraROA transcript:A08g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNDGLDANERWISDITRISSPRWPRRIQHQKAVIETAMLNKKDGKRDLKVGENRKEVDLAQFLLEDIMRMASNSISRQT >A09p074950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56660624:56661890:1 gene:A09p074950.1_BraROA transcript:A09p074950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSSWDALRKQARKIEAQLDEQMHSYRRLVSTKALIKSDGAESDLEAGIDLLLRQLQQVNAQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFYRHRSSLRAKQEHASLLEDFREFDRTRLDLEDGDGSTEQALLKENVGINRNAAQMDGVISQAQATLGTLVFQRSTFGGINSKLSNVTSRLPTVNTILSAIKRKKSMDTIILSLVAAVCTFLIFIYWLTK >A03p035170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14760786:14764421:1 gene:A03p035170.1_BraROA transcript:A03p035170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLITGNSIPLSEVYWSLVNKADKKFSKIRDLPFYERSRYENYFFKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGHYMRTSDAGYLAESYVFYEAILTREYFKDGLFQDLNIANKQLRFLARFLMVCLVLGRREMVHQLVEQFRRLIDECKRTFQENDFKEWKVVAQEIVRFLKSDTAFMNIRPLRYSLVLDPNLDASTPRASRSLRLTDAILSSYHYNEVKYSELTLDSFRMLQCLEWEPSGSLYQSAGPKMGPNAPTGASRVNSQNVTDPTLPPNPRKAVLYRPSITNFLALLATICEELPSNGVVLIYLSASGKIGQTSLSPLGGRSVTSVEENILRDFESHTIKQDGDPSVQTKPSGERPGQSLRQISEDAVEHGLSFGSHGLTGPSCIYPSDLVPFTRKPLFIIIDSDNSTAFKNICGAEKGEPAALLLSPSHTPPLISADFSRQPSGSLFTIFLTAPVQAFCLLIGISGSDIETDVFTKGERVLSSSMNEWASTLAASDTLHPVWSQILKDPFLRRLLLRFIFCRAVLALYTPVFNNKQNQPECFPSLPEFLLPAAPAIQAAVLQMANVFGGASKFTLPQDITML >SC184g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:171365:182818:1 gene:SC184g500070.1_BraROA transcript:SC184g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRECYLGDLRRRDQTVEWIREERARLEQATQGWCVRDPRFKFSSQGKMEQGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGLWGHITTGEAPKLITQGGDQEEVSNEAALQVFSGCVFGLVGVITSLSPRFSKLFTSSCFKMDSGMKMKVAVVFKGNNYLVWSRMVKTAVGSKGLWGHITSGTAPKPSLVAYMGAPSSRNNDQDFIRKADIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEVSEQQDGAEAMDGLGGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNGTSQDPRNHATTPPEVGSPSHLDHEGGEEHEEPMQEANQDEGGVENEGEESIGSDGHGHDHGVTQTPSQDEVQSNSYQNESRKNL >A08p023620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15556531:15557745:-1 gene:A08p023620.1_BraROA transcript:A08p023620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMMMNGATEAELLLNCTNMSFLQLQRDHLRYHHHPGFFSNFSTINGGESDGFLATTGLNIPDIYREKTTESDAILSMSPENITTSATFVSENLKKRKLDDVVTETKVCDEKRMMMRNKAKKEENNFSNDSSKVTKGSQKRDYIHVRARRGQATDSHSIAERARREKISERMKYLQALVPGCDKITSKAGKLDEIINYVLSLQTQVEFLSMKLAALNSRLDFHIDEMVNSVYPHEIVSTGYLHFNPMQQVITSSDPPLQCFNNGQAPSMWEPDVQSLYSSLGV >A04g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8873268:8873831:1 gene:A04g504290.1_BraROA transcript:A04g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVVRVIVIQKTLNMLRSFAKLKQFLKKSFSHSREPFGMAQGGNFSGIYKKVQLKPLKWDSEGEEERPVEALMILRYGGALTRHGRSVDRSVSVRMIRIFGFSVLCSYIPFGFY >A06p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:314122:315093:1 gene:A06p029100.1_BraROA transcript:A06p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEMAWELYVCMKPKWDVVLDLVFTILVMVSDWLKESGKRSLDGSDCPCLKWMDKMESCVFHGQKVLYLLTSYFPASNRSRPAPLLSIPNSHKLR >A05p049850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28911383:28913013:1 gene:A05p049850.1_BraROA transcript:A05p049850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGVGFSLPVAVVILVIGFIYFSTVFTFIDRWFSLTSSPGIANAAAFTALALMCVYSYSIAVFRDPGRVPLSYMPDVEDQQSPVHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHTNYKVFFVFVVYAVTACVYSLVLLVGSLTVESQDEDEEMGSYLRTIYVVSSFVLISLSIALGVLLVWHIYLSLQNKTTIEYHEGVRAMWLAEKGGQVYKHPYDIGAYENLTLILGPNVLSWLCPTSRHIGSGLRFRTAFDSVPVSSETKP >A10g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3469601:3476384:1 gene:A10g501210.1_BraROA transcript:A10g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEISSESPRYIPRKFRGTWGFKLKTTFYGLNNTSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A03p053530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22956828:22959846:1 gene:A03p053530.1_BraROA transcript:A03p053530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQVNQYESFVNHPDINFDNVAHGDQVLGFCWMMDRVSPLPDYLLLKILSYLPTNEVRITSVLSKRWRSLWMLLPKLEYCCGNFNTETYTRTFPTSLTNLFYYIRHHSSFEIASNRSLHELNVVYGFLSRLSLPRRTYETLVVMHLSYVFLNVTLVPDSFKVLKTLHLLSMQYKGNDNESFTRLLSKCHVLEDLLIEYHDATQTFTGEVPSLQSLSVICSPSQYFCLNTPSLKHLKIEACLMKLCNIQKMPHIVTADLKILIQTDLLDLPGSLTSVKHLSLCLIAPKGQVPHEPTEIFHQLHHLCFWTCYRDWPSYLKWIIKASPKPLS >A02p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:589594:592149:-1 gene:A02p001280.1_BraROA transcript:A02p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELETSVYRPNVAVYDSPDGVEIRGRYDQVFAKILTRDALGFVAELQREFRGHVRYAMECRREAKRRYNSGAVPGFDPSTKFIRDGEWVCASVPPAVADRRVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNLKDAVDGTITFNDKARNKVYKLNDLVAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFFHNYAKFRQTQGSGFGPFFYLPKMEHSREAKIWNSVFERAEKMAGIERGSIRATVLIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVLVGMGQHFMRSYSDLLIRTCHKRGVHAMGGMAAQIPIRDDPKANEMALDLVKKDKLREVRAGHDGTWAAHPGLIPICMDAFSHMGNNPNQIKSMKRDDASAITEEDLLQIPRGVRTLEGLRLNTRVGIQYLAAWLTGSGSVPLYNLMEDAATAEISRVQNWQWIRYGVELDGDGLGVRVSKELFGRVVEEEMERIEKEVGKDKFKKGMYKEACKMFTKQCTAAELDDFLTLAVYDHIVAHYPINASRL >A09p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21262746:21263994:1 gene:A09p035450.1_BraROA transcript:A09p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LALASEIKPFPTNVNVRKGKTKEEKLKAFVKFYSLDCEGEGESFTVMAAPRNLTGDGGGKLVVKDEESAAASSSKRTKSERFPLSRWEFVVFFTVFLVFTTGLLCIYLTMPAAEYGKLKLPRTISDLRLLKDNLGSYASEYPARFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGFVLVVLNATAGACSCFFLSKLVGRPLVCWLWPDKLRFFQAEIGKRRDKLLNYMLFLRITPTLPNLFINLSSPIVDIPFHVFFLATLVGLMPASYITVRAGLALGDLKSVKDLYDFKTLSVLFLIGSISIFPALLKRKRVYE >A03g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3866396:3867964:1 gene:A03g501340.1_BraROA transcript:A03g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVSSDCCHKGLAFFWEAHDVKKGDEPMGVDMVLVEDKVFRIPIAISRNLFFSKIICILYMVSFHGCSLRLIIHSMSVHRLNTLLREGSVSEKKKIFSKLVSHMRARLSLETFLGLIAALWRDLLDSFIPTEIDMLSQFRHMHLLPLIGYCNEEHQDDHGEICVGAARGDHYLHTVSARAIIHRDLKSDK >A01p013110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6410353:6413883:-1 gene:A01p013110.1_BraROA transcript:A01p013110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKQALSPKEIIHHKFGVKASYRIEQVHVSSQSSCLYRCHLQLPEFSVVSNVFKSKQDAELSAAELALEKLGIHPQGDDDDDDITVEQAWDDIVERIKYIFSDEFLSSDHHPLGSHLRATLLRDGERHGSLSVSVIAAFDAKINSRCKVINPSVDKDPILAMSYVMRAAAKLSDYIVASPHLASLRRKNPYPPAVIEALATHGESIKVEAVYIQCATSGEEVVDLITLDISSGRYYLDIIADKLGLKDSSQLIISRTFGKTPSGYECRVYSAIPKLNPSDKSLKAYGKRPVDDEEDQSSRFKNPWNAKASSACGQDIHGDAIVAALGYSWRSNDLEHDDVSLKSFYRICCGMSPNGIYKFSRQALIAATLPFSFTTKSSWRGPLPREMLSIFCRQQQLAEPVFTISTSPVKPLSETLRSLKKLKDSESNDGNNQCVNEYAGSYDSFNHYNSKDEEELPVLESGYRCGVKILSKSLQDLVLDCSPRNFYEKESHAIQNAALKALTWFGSLFDDLDADPEQPRCYMKGHMNWMFTRNIMIKGKFPSSKRYEEAAYDESKTMDMDRKPKRVQTIPNGSLVSISYSVSVEVEADFWGRSGKCLRELIESNEEIEFEVGVGSMNPHLESVVTQMSVGQYACFVTNMPAEGLVLADANDTARTRSLLSKLAAGLEYSVHLLGVKGPTEKRVESVFFKPPLWKQRVGYAVKLIKESSASTLVDFGCGSGSLLESLLEVPTSLQTIVGVDISQKALDRAAKMLDSKLNKGACNLKTIRLYDGSILEFDSRLHGIDIATCLEVIEHMEEDEAFQFGKTVLTLFRPKLLIVSTPNYEYNKILHKSSLYHSKDRSMSQRSKFRSHDHKFEWTRAQFSHWASKLAKSHNYNVEFSGVGGSGDVDPGFASQIAIFKRKSFTQVKKVSMQPYKVIWEWTRAQGDKKN >A07p011380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8749241:8754673:1 gene:A07p011380.1_BraROA transcript:A07p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFADGEEPVGVRVLTYQSSRSINTILNALNEDEIRYLRESSFGKLVEMAEKPAFLVDLLDIYYRDNLRWKKKHEAWFRFAGKPLPSSLDFLAMKMLKEHAELLGDIEECLSYPWGRLAFDMLMTSLKNRDEISLSQNTIPLKEFALALQLVIVEAVPALTEVVQDACSSSESESEDDETEYPVSKAKKKTLNHAHAREVDRKAEDMFKGGATKSDVEKMWEESKNVGKKKQIRLKETQSRGADEDKLASVVLALLKPEIKRIDDNVSAGIASMKELVSSSLQYKDDVIATRLNRQYVEDMFYSTTYGIRFFQTSYGLGEDENANTIGNVLKNLSHYSTPPGSPNLVPVSGALCHKENSSLPRGGRLGEDNHQLTPLAREDIEETDAVSHHDKSTLPSGSPLLRTNNQVTSGSHQSCPDKDAPAPHEDDQMGENESELSVETQHDTIISRKRQTYADSPTIFTNRLPVVVNSIIPQDPQRPVNESVLVWTDELFDIKVENLMKLIYQDFVFAKDMFKGGATKSNVEKIWEESKNVVVLALLKPEIKRIDGNVSAGIASMKELVSSSLQYKDDVIATRLNRQYVEDMFYSTTYGIRFFQTSYGLGVDENANTIGNVLKNLSHYSTPPGSPNLVPVSGALCHKENSSLPRGGQLDEDNHQLTPLAREDIEETDAVSHHDKSTFLRVHLFFVPTTKSLQDPTSLVPSYKLHFEQPSFSLGLTQDKDAPAPREDDQMGENESELSVETQHDTIISRIRQTYADNPTIFTNRLPVVDILMRIVRSTFDNQVMGKVDASAAFMESRFASLLCRNHPKFKIQKNKSAFLFSKKIVDAVMNSCQSFTPATRFYLPFCIGKQHWIGLCLDFSASKLYVFDCNAGLNADSTLQRIQGVAQNQNPGDAAITTSLLIQTHSLFGTDPCLGITPSVIADEAHRAVVMVYEFHAKL >A02p028290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14319389:14320551:-1 gene:A02p028290.1_BraROA transcript:A02p028290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLKLTNAELLVYIHPSKSGNKLKAICRELSSLLFQYDEIFDGVLLAFDVTVKSKGAKILAGLNPYFGVKRNGEDCFVSSSHKRHVLKVGTMLRLQVESFDEEVMHIAGSLLPANTGCVKWLEKQSEEALHMDRDDHKRRKIA >A01p046530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26167417:26173890:1 gene:A01p046530.1_BraROA transcript:A01p046530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTRAIKRNQRWGLVLQQAKYLVRPAIRDYTVSRSYGLTNHLTSNANLTRGSLFRLFSLHGGTSIASRSSLRLSKNIQLRRFSSEGDDKHVSLNKGSDIGDGKTGKEKTSCGVGHLDSHAQLGEQDQIEWLSNEKLASECKKKESPLLTKRERFKNEFLRRVQPWEKIQLSWETFPYYIHDHTKNILVECVSSHIRQRNATSMYGARLDSSSGRILLQGLPGTELYQERLVRALARDVQVPLLVLDSSVLAPYDFSDEYNEDSESDGENAEAEADEGTTESEAEEDSGANSEEDSEAKTDGSDNEEARLEVTEEDIKKIVPKLEELGELVAEELHEAGGACEAAAVEHSDKARRPARKGDRVKYVGTSKKGDAKHRPLTTGQRGEIFEVNGNRVAVVFDNEGDTSSEGSEKKPKKQSQKPNIHWIDVKDLKHDLDMQAEDGYTALKALNEVLQSTQPLIVYFPDSSRWLSRAVPKAKRNEFVDKVEEMFDKVTGPVVLICGRNKIETASKGREKFTMILPNFGRIAKLPLPLKHLTEGLSGRKSSDDNEIYKLFTNVMNLLAPKEEDVLAVFNKQLVEDRRIVVSRSNLNEILKALEENELLCPDLYQVNTDDVILTKQRAEKVVGWARNHYLSSCSKPLIKEDRLILPRESIEISVKRLKAQEDISRKPSHSLKFDDVGALEHVKKTLNELVILPMRRPELFTRGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSSLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSHEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDADNRLKILKIFLTPENLETGFEFEKLAKETEGYSGSDLKNLCIAAAYRPVQELLQEENKGSGANGAAPDLRPLSLDDFIQSKTKVSPSVSYDATTMNELRKWNEQYGEGGSRTKSPFGF >A08g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6306491:6312190:1 gene:A08g503450.1_BraROA transcript:A08g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLQHRNSGPASNIISNQTIIVDRSQSFDNDRYYTAYVDRYRVVKNGQKIDAQGILEEGDFKIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRPVESTINRQGESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLASPPEPKPNPLNSSPEPVQENKETEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKQMITLTKKSDPVKFAIPCVVKGVEFPHSMCDTGASVSILPMIMADQLGLTIESSTKPFTFVDLSEKRSGGIIRDLEVQIGNALVPVDFHVLDIELNWNSSLLLGSSFLPTVGAVINYVDYGKELGFIGACHCGAEYESEYKIEYSESIDTPTFPSIDSNASMVTDDRNNTSLDVMHPLDHFASPNHCYQHFAFQPPSKRAHDDYSIGSWTDSGFHESFAVDTVITSPNEEHSEEYDEDYWKERAIKMSLQDERLETHKFTNTFPTSFNAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIPSPTRDPDGNARATDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNSHAGVATTKINPDLSRQPKGQASIDGTTETLIDRITPTSIDRDEQTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGVAGEMIPVTKDNIRKILESHICLPEHATSFTPTRLAPELYTKEEIDEMVFGICGAQEKLGEDLKTLVDNTHQPLDRGYNELFRCMAEMRTEIESLPSIDAPRETSIDVSLPTAQIPAEPQCSTQHRDEWKVSYIDTRINDVYCPLNNNVDWLRTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPAKSASIDRLRGPWIDGKKPVKLLPYTAAQVDKITSKIYTALDTMEERPDKRCDDIYIPFDNKISGLDNHAEWLQKEVKAIKRQLAAQHQISASIDKTLAKSIDGNSPRSTNEYIIASIDAESTPIGEQLIHKTVESMQKELTELSAYAYDNIGWHQVSIDNIQERLQNISKVLEKMDDKWTRND >A06g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14962025:14966357:-1 gene:A06g505080.1_BraROA transcript:A06g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEDEVKPSVNANASDVEARHKSEAHATTQQEHPENSRQGIQSHQRPVPEIQIRPSWPKRNPNSKGHIRPKTKITDPSLIIQSQSPRTTKHQTHPQDDPLPRHHQPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A07p047190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25377068:25380614:-1 gene:A07p047190.1_BraROA transcript:A07p047190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKFTHKTNETIAAAHELAVNAGHAQITPLHLAGALISDPSGIFPQSISSAGGENAAQSAERVINQALKKLPSQSPPPDDVPASSSLIKAIRRAQAAQKSRGDTHLAVDQLVIGLLEDSQIKDLLQEVGVGAAKVKSEVEKLRGKEGKKVESASGDTNFQALKTYGRDLVEEAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVKGDVPNSLTDVRLISLDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGKTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVVDTISILRGLKEKYEGHHGVRIQDRALINAAQLSARYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEIELHALEREKDKASKARLVEVRKELDDLRDKLQPLTMKYRKEKERIDEIRRLKQKREELMFALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTSDENMMLTENVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLADRLHKRVVGQDQAVSAVAEAILRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYCVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNSVIIMTSNLGAEHLLSGLTGKVSMQVARECVMQEVRRHFRPELLNRLDEIVVFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDYILAESYDPVYGARPIRRWMEKKVVTELSKMVVREEIDENSTVYIDAGSGGSDLVYRVEKNGGLVNATTGKKSDVLIHIANGPKKSDAAQAVKKMRIEEVDDDEEMVED >A07g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6179242:6179614:1 gene:A07g503040.1_BraROA transcript:A07g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSKLHFVVLLIIISFILNIQSARILDDSSSDCEFKGPCQKKTDCYERCGVGKPPFKIALCEPYGNSRVCCCI >A01p022210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10948603:10949148:1 gene:A01p022210.1_BraROA transcript:A01p022210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF039 [Source:Projected from Arabidopsis thaliana (AT4G16750) UniProtKB/Swiss-Prot;Acc:Q9SUK8] MQDSSLPRSERNLRSPVPERTGKNTKSKNEQKSVSKHPNFRGVRMRQWGKWVSEIREPRKKSRIWLGTFSTPEMAARAHDVAALAIKGGSAHLNFPELACHLPRPASADPKDIQAAAAAAAVEWKAPESPSSTGTSSMTSSSVADDAFSDLPDLLVDTNDHKVDGFWDSFPYEEPFFMGNY >A04p000400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:202470:202892:1 gene:A04p000400.1_BraROA transcript:A04p000400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRETNDENKGICCRLIRYVVVKLMSGQKEEKSVKKKLIKKGSNSDITIHFKEREDNAANAINTSSRSERERVIMLVNGGSDVKSVASEASFVRPHAAVVVPKTEDVRKRSQPKPVSIDIDKKSDAFIQSRLEMMRKRL >A05g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8317947:8318593:1 gene:A05g502630.1_BraROA transcript:A05g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISLVWTSWKSSGLPESRLDFMEFCLMSYLLIYLFRSHLNVFGYASFSDLEDIWDNLPTTSRKSSRRLPFQSSGLPESRLDLLKVVWKSSRLPRSRLDFL >A05g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20919342:20920228:1 gene:A05g507390.1_BraROA transcript:A05g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A07p033940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18513460:18514818:1 gene:A07p033940.1_BraROA transcript:A07p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLEILLATALAIATVSVVIAQVPPEKQFRVLNEPSYAPYITEYDAGYRFLSSENQSFFTLPFQLMFYNTTPSAYVLALRVGSRRDLDYTRWIWDANRNNPVGDNSTLSLGRDGNLVLAELDGQIKWQTNTANKGVTGFKILPNGNMVLHDKNDKFIWQSFDYPTDTLLVGQSLKLNGVNKLVSRTSDVDGSDGPYSMVLGNKGLTMYVNKTGQPLAYGGWPSEDYRGTVTFTVRREFDNLTEPSAYELLLEPAPQQTTTNPGNNRRLLQSRPLGILSKVNYNCTISYLRLGSDGSLKAFSYFPAATYLEWEETFAFFSRNFVRPCGLPSFCGEFGYCSRGMCVGCPTPKGLFAWSEKCSAPKTTEFCGGKNKGKTVKYYKIVGVEHFNGPYVNDGQGPVSVNECKAKCDRDCKCLGYFYKEKDKKCLVAPLLGTLIKDANTSSIGYIKY >A02p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31034857:31035971:1 gene:A02p050240.1_BraROA transcript:A02p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKVLRTTIFNSVSRIIFHMIFVSHESNHLVGKRLAERVTHTTTRENSNEQWGITVLIEVFKLFFKRVGLVFRTESLTIALPTSPDK >A07p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12601860:12603202:-1 gene:A07p021510.1_BraROA transcript:A07p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSVSRESSDRKNWDKIFKNLVKWLQMKQDQIESLLKDRKSIEDKLKTKHENWISDVRNYEEQLSLMKREIETMEMMQFFETSKSNLLSVLKEKNHSLCNLKLDEAVDELKDFKAWFDFLTLNTSKDNGDTEAAESLEVKIRKLKLEYEKLASEKKCEVSDLRRENGFALSQFKCIQSGFTDKLKRKDEEIAQANAKVSTLLSCQEQLQSSNLEKDEIISSLKAKVAEMEGEFAKKDEEISKLSRDVESLKKSRSFTPVLTRCTTRGKGSNGSSNVGSEVTRKKEKCAASPPNDKEIRSSKRKRVTVSETPKLFTSTFKLPKLKSPSSGAK >A08g503320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6150978:6151798:-1 gene:A08g503320.1_BraROA transcript:A08g503320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTESWSLFEFKSAPLYGVTSICGRPPEMEYDVSMISRFLQSTKISLIDGCFSPQSTAHSFGVYDGHGGLQTKRNNENEDKHRECLDEL >A06p045860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24567922:24570722:1 gene:A06p045860.1_BraROA transcript:A06p045860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESLSPPVHQESRKQALLKKKRGGWRAIKYIIANESFEKLASMSLIGNLSVYLTTKYNLGGVFLVNIINIWFGSCNFLSIPGAFVSDAYLGRFWTLLLGSIASLLGMGVMALTAAIPRLRPEACNDPTNCLNPPAKWQLAVLFSSLGLLAIGAGAIRPCNIAFGADQFDTGTKKGKAQLETFFNWWYFSFTVALVIALTGVVYIQTNISWVIGFVIPTACLALSVTTFVIGQHTYICQKPKGSVFADMVKVIAASSKKRKLKSGEGVSFYLGPSTDGSSTTLIQNRQRLGFFDKAAVITDPNELNDEGKTKNNWRLCSLQQVKNLKCVTAVLPVWVTGIACFMLTDQQNIYGILQAIQMEKTFGHNFNVPAGWMNLVSMITLAIWISLYECVILPIARQITGRKQRLTMKQRIQIGIVMGIACMIVAGFLEKDRRASALKNGSFVSPVSIVMLLPQFVLAGLTEAFSAVALMEFLTVKMPEHMRAVAGAIFFLSSSVASYICTLLINVIDKVTREEGHSWLGDKDLNKNRLENYFFIIGGIQVVNLIYFRFFASRFVTEKEKDNRDF >A05p003390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1241822:1243877:-1 gene:A05p003390.1_BraROA transcript:A05p003390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRKFRTWAVVTAVIAVLVVFVGPYIIGPESIVGSKNVLTKATMIPLPVDGPESLDWDPQGEGPYVGVTDGSILKWRGADLSWVKFAYSTPDRGNCSRHKVEPACGRPLGLSFEKKSGDLYFCDGYLGVMKVGPNGGLAEKVVDEVEGQKIMFANQMDIDEEDDAIYFNDSSDTYHFGDVFYAFLCGEKTGRAIRYDKKTKEAKVIMDGLHFPNGLAISKDGSFVLSCEVPTQLVHRYWVKGPKAGTRDIFAKLPGYADNIRRTETGDFWVALHSKKTPFSRLSLMHPWIGKFFMKTLNMDLLVFLFEGGKPHAGAVKLCGKTGEVMEVLEDSEGKNMKFVSEVQERDGKLWFGSVFLPSVWVLDRQ >A09g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21574797:21575976:1 gene:A09g507450.1_BraROA transcript:A09g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVETLLVIINSLLSAVLFFQYMRFSEDILPLQHCKTVVMVLGMETEEDVLNLNEDADYLSGDELMDENEDDDEALAVEDTLMSRAETRKKRGGKRRHCRCWKHFAIIGEKYPDGTNDVECKFCKLSYCLNL >SC178g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:266980:267504:1 gene:SC178g500140.1_BraROA transcript:SC178g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A04p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2823193:2825252:-1 gene:A04p005390.1_BraROA transcript:A04p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRYSPPYYSPPRRGYGGRGRSPPPPPPSRRGYGGGGRKGSSHGSLLVRNIPLDCRPEELRVPFERFGPVRDVYIPRDYYSGEPRGFAFVEFVDAYDAGEAQRSMNRRIFGGREITVVVASESRKRPEEMRVKTRTRSREPSGSRGRSHGRSRSRSISRSRSPRRPSDSRSRRRSRSYSPAPRRRGADYSASPRRRQEERPRSPLRSPPRGEGDAKYSRRSYSPGYEGAAAGDRDANGDNETREKPDYEPEERRRGGREVSRSPSGSRSRSVEASPR >A03g504800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17251346:17251748:1 gene:A03g504800.1_BraROA transcript:A03g504800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTGADVIGLDWTIDIADGRRRLGSDVSVQGNVDPAYLFSPPPAITEEIHRVVKSAGPKGHIPNLGDGVLVGTPEEAVAHFFETARSLDYQTLFPNHVAAEMSELVV >A09g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1779535:1780677:-1 gene:A09g500390.1_BraROA transcript:A09g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSQTCKVSTLFLPNSTDWDLRKIREICPDAEEQILQIKTSKKGAEDKLCWMGTKDDLRETWNLIRSKPCLPPTGISRCHLAPWIMWEVWTARNKLMFSNLILKTEDSLSRAIMMAREWQDGQVTTEKTKRMSRPHPQATFQTTLKTDAAWNATSRRAGMGWTLTQNDETASFAAIENNVSSPLLAEGLALREALLKICNRGIVSLSIQSDSKTLINRSPAPELYGVVADILCISAAFESVSFRWIPREENTNADLLAKQVLSVNEAFMAST >A05g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27258509:27258879:1 gene:A05g509050.1_BraROA transcript:A05g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVYRHLSCPQFIQDQNGSGWLSKKKPERLQQQRFRATTCVVVLSFLGARRVKLCAFSSEDDDDGANVLSNEDSRGC >A09p018250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9528355:9533803:-1 gene:A09p018250.1_BraROA transcript:A09p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSPLRSHHVAVIGAGAAGLVAARELRREGHSVVVFERQKQVGGTWIYTDHVEPDPLSVDPTRPVVHSSVYATLRTNLPRECMGYIDFPFVARSGGDPRRFPSHGEVLAYLQEFAKEFAIEEVIRFETAVERVAPAAEGGNGKWRVESTEKEKRVRRDEVYDAVVVCNGHYIEPRLADIPGISSWPGKEMHSHNYRTPEPFKDQVVVLIGNSASAIDISRDIAGHAKEVHVAGRSNPADTFIKQPGYSNLWMHSMIEHVNKDGSVVFQNGQTILVDVIMHCTGYKYHFPFLETNGSVTVDDNRVGPLYKHVFPPELAPWLSFIGIPWQVVPFPLFELQSKWIAGVLSGRIMLPSKEDMMEDIKSLYATLEAQGIQKRYTHRMGIAQFEYNDWLATQCGCPGTEEWRKEMYLTTGVRKRTTPETSHHVADIGAGAAGLVAARELRREGHSVVVFERQKQVGGTWIYTDHVETDPLSVDPNRTVVHSSVYASLRTNLPRECMGYLDFPLVVRSGDPRRFPSHGEVLAYLQDFAKEFAIEEVIRFETAVERVAPATEGGNGKWRVESTETEKRVRRDEVYDAVVVCSGHYIEPRLADIPGISSWPGKEMHSHNYRIPEPFKHQVVVLIGNSASAVDISRDIAGHAKEVHVAGRSNAADTFIKQPGYSNLWMHSMIDRVHEDGSVDFQNGKTILVDVIMHCTGYKYHYPFLETNGSVTVDDNRVGPLYKDVFPPALAPYLSFIGIPSQEDMMKDIKYFYATLEAQGIPKRYTRRTQFEYNDWLASQCGCSETEQWRKEMYLTTGVRKKAHPETYRDEWEDHHLVSQAYQDFSLYTLTCNM >A05p051110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29798533:29800636:1 gene:A05p051110.1_BraROA transcript:A05p051110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNNNNGDDDKRYKKIFVGGLAWSVTTDVLRSFFQENCGEVLEANVVSETLPDGNLKSKGYGFVTFRDVAAATRACRPPYPDIEGRRTNINLAYVNAKNNPNQTGLLQQAGPSHQYQHGWFNQVAWQQYPQFCTNPQFPQVYWDSYRGAYFQIPHHPCSSNMNWHQTHSLRPPGMSQPPTEPRFEELPADTNQEAVSTPDGVRNDNNEEVDTETDSGVDQQNGKDQDGEISGQDNGIKLDVKDQEGEINGQNNGIKQDVKDQEGEINGQDNGIKQDVKDEEGNIVSGEDENTKQGAGVTNQFCSAINVTLQIETGREEKSENTPQENGFDHEEKTQHMEVGLITKETDKNA >A07p032790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17939460:17943203:1 gene:A07p032790.1_BraROA transcript:A07p032790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G79840) UniProtKB/TrEMBL;Acc:F4HQC0] MSMAVEMSSKQPTKDFFSSPALSLSLAGIFRNASSGSTNPEEDFLGRRVADDEDRTVEMSSENSGPTRSRSEDNLEGEDDQEEEEDGAGNKGNKRKRKKYHRHTTDQIRHMEASECEFDEVACRLFKETPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKAELEKLREENKAMRESFSKANSSSCLNCGGGGGGGSPDDLLLENTKLKAELDKLRAALGRTPYPLQASCSDDQQRRVGSLELYTGVFALEKSRIVEIANRATLELQKMATSGEPLWLRSLETGREILNYDEYLKEFPQDQTSSFHGRKTIEASRDVGIVFMDAHKLAQSFMDVEQWKEMFACLISKAVTVDVIRQGEGPSRIDGAIQLMFGEMQLLTPVVPTREVYFVRSCRQLSPEKWAIVDVSVSMEEDNNAEKEGSLLRCRKRPSGCIIEDTSNGHSKVTWVEHLDLSASTVQPLFRSFVNTGLAFGAKHWVATLQLHCERLVFFMATNVPTKDSLGVTTLAGRKSVLKMAQRMTQSFYRAIAASSYHQWNKITTKTGQDMRVSSRKNLHDPGEPTGVIVCASSSLWLPVSPTLLFDFFRDETRRHEWDALSNGAHVQSIVSLSKGQDRGNSVSIQTVKSREKSTWVLQDSCTNSYESVVVYAPVDINTTQMVIAGHDPSNIQILPCGFSIIPDGVESRQLVISSAQEADRNTQGGSLLTMALQTLVNQSPAAKLNMESVESVTNLVSVTLHNIKRCLQIEDC >A03p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2629532:2632010:1 gene:A03p006190.1_BraROA transcript:A03p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKFDPTNSKACLSILEDVTTNAKQVQDSVLEAILSRNAQTEYLKGFLNGQLDKQSFKNNLPVVTYEDYRPYIDRIANGESSDLICDQPIIVLLVSSGTSGGVPKLIPMTAEELEQRMLFSSLHRPLISKQIEGISEGKSLSFFFVTREGKTASGLMVRTMVTCVIKMAGSANKDVWDQVQISPHGLYTCDDTTQSMYCQLLCGLLQRENVSRLGAPFASSFLKVIKFLEDHWKELCSNITTGRVSDWITDPQCLSGVGKFLGAPNPELASLIEKECGKTSWEAIVRRLWPNAKCIEAVVTGSMAQYIPMMDFYCGGLPLVSSFYASSECFLGLNLNTLRKPSDAAYTIIPSMAYFEFIEVEKDHQETSHDPTKNIVDLVDVKVGHDYEPVITTFSGLYRYRLGDVLRVTGFYNNAPEFQVAGRKKVVLSIDMDKTYEEDLLKAVTNAKLLLEPHDLMLIDFTSRVDSSSFPGHYVLYWELGSKVKDAKLEPDAEVMEECCFTMEESLDSIYRKGRKNDKNIGPLEIKVVKSGAFDELMNFFVSRGSSVSQYKTPRSVTNEEALKVLEASVVSKFVSKKTPSWELHELHSSR >A07g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10197647:10198471:-1 gene:A07g504830.1_BraROA transcript:A07g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDTKGCGITQRYYQTSIVAGCDAPYGKYELQFGEFDRLDMGIDEASNWTYGRYMRYCPKMYSNDLTQDPCVRIRFRLDCSSSNGKQDGEDKVQYSEIDHLAMVPAKAPIRMHLGQSGQSDQYGEIMNLG >A09p059880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49865101:49867041:-1 gene:A09p059880.1_BraROA transcript:A09p059880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSEKDAAAYLDARPRYPIDWYKKIAERTQDHKFAWDVGTGNGQAAIGLVEHYENVVATDINEAQLKRAIKHSRISYHHTPKNMSEDEMVALIGGENSMDLIVAAQAVHFFDLTTFYNVAKRVLRKDGGLIAIWVYNDIIISPEIDPIMKSLVDSTLPFRTPIMNLAFDSYKTLPFPFESIGMGSEGEPVRLDIPHKLSLKGFIGFLKSWQPAMKAKEQGVELVDEDLITKFEEAWGDENQVKDVYYKAHMIVGKIPEMRSESDKVSEDSNKDNLLQTDVGRKQERRQPSDDENRQSKKQNTSEDEAC >A08p040520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23081991:23083579:1 gene:A08p040520.1_BraROA transcript:A08p040520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQNPIHRTVEEVFSDFKGRRAGLLKALTTDGQKFFLQCDPEKENLCLYGLPNETWEVNLPVDEVPPELPEPALGINFARDGMPEKDWITLVAVHSDSWLISVAFYFGARFGFGKNERKRLFQMINELPTIFELITGNAKQSKDQSANHNSSRSKSSGVKPRQSESHTKASKMSPPPREDDESGEDEEDDEQGAVCGACGDNYDDFWICCDACEKWFHGKCVKITPAKAEHIKHYKCPTCSTNKKMRA >A06p003400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4714714:4715258:1 gene:A06p003400.1_BraROA transcript:A06p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FATTY ACID EXPORT 5 [Source:Projected from Arabidopsis thaliana (AT1G50740) UniProtKB/Swiss-Prot;Acc:Q9C6T7] MHDFCFTIPYGMLLMVGGSMGYFKKGSIASLAGGAGTGFLVLLAGFITLKAFEKKKKSPVFAVVLQTVIASGLTFVMGQRYLKTQKIMPAGLVSGISGLMTCFYLYKIATGGNHIPKTTTKAE >A06g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3996178:3997719:-1 gene:A06g501110.1_BraROA transcript:A06g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLVLKIVNHDPCEAYLSKPNLLRRRVWRFHSLQSPFLLCIYHHNQSRSLSSVLQSFYSEEDLKQWPHSFKFRLKVSLAIYGDLTLVSRVRNINGKPFSFSFALCSDPVGKRGRITTRSLFKTWVDHIVKSLHIFKTEKSQL >A04p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7027885:7032951:-1 gene:A04p010780.1_BraROA transcript:A04p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGESCPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVYARYCRLRGYNAIYICGTDEYGTATETKALEENCTPKEICDKYHAIHKEVYDWFDISFDKFGRTSTPEQTEVCQAIFKKLFENNFLSENTMQQLYCNTCQKFLADRLVEGSCPFPECNYDSARGDQCEKCGKLLNPTELKDPRCKVCQTTPRIRDTDHLFIELPLLKDRLEKYIDDTSVTGSWSQNAIQTTKAWLKEGLRQRCITRDLKWGVPVPHEKYKEKVFYVWFDAPIGYVSITSCYTSEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTQLGTGENWTLMKTISVTEYLNYETGKFSKSKGVGVFGNDVKDTNIPVEVWRYYLLTNRPEVSDTLFTWGDLQAKLTGELLNNLGNFVNRVLSFIAKPEPSGYGSVIPDAPGAESHPLTQSLAEKVGKFVKEYVEAMEKVKLKQGLKIAMAISNEGNAYLQEAQFWKLFKEDKPSCAIVIRTAAGLVYLLAQLLEPFMPSFSREVFKQLNLPLHFSLSDEGIASRLWEMLPPGHRIGTPQPLFKELKDEEVQQYKDKFAGNQADRRARDVEAANMAEQLKKTKLSDAKKQKASKGAGTSKPQPAATLEITMARLDIRVGKILKAEKHPNADSLYVEQIDVGGGEIRTVVSGLVKYIPLEEMQNRMVCVLCNLKPSKMRDIMSQAMVLAASSSDGSKVELVEPPESATIGERVRFAGHEGEPDVVLNPKKKVWETLLVDLNTNENLVACYKDIPFTTDAGVCKVSSISNGTIR >A10g501110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3213416:3213643:1 gene:A10g501110.1_BraROA transcript:A10g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGQDEEPLPSTGTRKDATELRRRRRRTTEETNLQKDSNRRAHTGGSTNRRKSVDAYNRSYLFNYLACEDPASL >A06p043930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23632626:23635843:1 gene:A06p043930.1_BraROA transcript:A06p043930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable boron transporter 6 [Source:Projected from Arabidopsis thaliana (AT5G25430) UniProtKB/Swiss-Prot;Acc:Q3E954] MESEGGPFKGILRDIEGRRKCYKQDWILGFKTGLRILAPTCYIFFASSLPVVAFGEQLSKHTGGSLSAVETLASTSLCGIIHAIFGGQPLLILGVAEPTIMMYTYLYSFCISRPDLGRELYLAWVAWVCVWTAIFLILLSIFNACTIISRFTRIAGELFGMLIAVLFLQEAIKGLVSEFQASKSEHHDKSGESDFLWLYTNGLLAVIFSLGLLITALKSRRAKSWKYGFGWLRSFIGDYGVPLMVLFWTALSYTVPSKVPESVPRRLFCPLPWETASLYHWTVIKDMGKVPVMYIFAGIIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDIFLLGIMTLICGLLGLPPSNGVIPQAPMHTKKGMKMKASKSEIYGRMQTVFIEMETSSPQVCSVANDLKDLKEVVMRPDEGGDTKGKFDPDVHIEANLPVRVNEQRVSNLLQSVLVGLTLLAVPVIKMIPSSVLWGYFAYMAIDSLPGNQFWERLLLLFIPQSRLFKVLEGVHASFVELVPYKVIVMFTLFQLVYFLLCYGMTWVPVAGIFFPALFFLLISIREHLLPKLFDPQHLQVLDAADYEEIVAAPIQHSSFAYRKLGSSRHLSEGEEEDEFHDAEILDEMTTSRGEIRIRTISLKEPRLESEERRVTFEPH >A09g517570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52497346:52498176:-1 gene:A09g517570.1_BraROA transcript:A09g517570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAEMQPSSSIRQMGYTSSKGVDLASAKRLLPVSNFYNANVAFALGRLQSNNSGSSNCNY >A06p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1200709:1201583:1 gene:A06p004550.1_BraROA transcript:A06p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGKEKVTWMKLTTKPLDKEENFSKVKRALCSLTQIRDQRFDDNETVTIKVVCCSPEKVADKLCKKGGGAIKKIEYGIENPMAPKPKAPEKPKEAEKPKPAPAPAPAPAPAPAPAPAPAPAPAPAPARAPAPAPAASSSQTMINNSEPGHPMYGGSYNGYYQEYRSYPETQWGQPMYNGYPSRPIYDSYGGGGWYGDETGSSCTIM >A01p000770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:303868:304740:-1 gene:A01p000770.1_BraROA transcript:A01p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGNSSSMQSSSGGGGGGEEYDSRAGDQSISAFFDHHNHHVVSLPRPQQNHINPLHFDHNNSLLQQNYFNSNRNGTFLPVNQQSDPVTQPELRTFTTTSSVPPNNVGVTKKTKKRSRASRRAPTTVLKTDTSNFRAMVQEFTGIPSPPLFNNNSVVNTTRLKTFLGLSSSSPNSYYNSSNNNILLQPFAQKLLPTSPLLSGSQIQQYQNPNNSFEDMNLQSLLQTQISNPRSNIQFGLGMLQSQSITPQTTTTVANGNKTTGENGGYGVSDHDHNNDNTWLCSSSDQRT >A02g512640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34499482:34502989:-1 gene:A02g512640.1_BraROA transcript:A02g512640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSEDVSSGPGATASVSGAASSSSALATTNDAVDYYLKSRGYNGLFSQIELSFSATNLRDRDVLSKSDPMVVVYKKEKDETLSEVFRSEVVLNSLAPKWIKKFTLAYHFETVQTLLFRLYDVDTQYQNSREEMLKLDDQQFLGEATCALSEIVTKSTRTITLELKRKEGFAAQSQPHHGKLIVHAEECLASKITTEIVFRCSSLESKDLFSKSDPFLVVSKIVEHGTPIPVSKTEVMKNNLNPIWKPLFLSVQQVGSKDSPLIIECSDFNSNGKHSLIGKVQKSLADLEKLHLAGQGINLSLPTGAGQNKVLKSQLFVEKFTETVQHTFLEYLASGFELSFMVAIDFTASNGNPRLPDSLHYVDPSGHLNAYQRAIVELGEVLQFYDSDKRFPAWGFGARPIDGPVDGIQGILTSYTSALFNVSLAGPTLFGPVINSAAMTASQSLAQGSRRYYVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADFKEMEILDADRGDRLESSTGRLASRDIVQFVALRDVQHGEISVVQALLAELPSQFLTYMRIRNMKPIPLM >A01p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1175712:1179013:1 gene:A01p002610.1_BraROA transcript:A01p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSSLSSILSNPQGCSLCFKASTRRTLSLAFVSSKLNHSSSSSSALLPRCYRLTQNSIKRKKGFSLDLSRSFSVSQTKFDGPSLHQFISKAQTSLTAPETESECTTQDSDIASPSKGRIYHETYGCQMNINDMEIVLSIMNNSGYKEVVTDPESAEVIFINTCAIRDNAEQRVWQRLNYFWFLKRQWKANVAEGRAKSVKPPKVVVLGCMAERLKDKILDSDKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADITPVRISQNAITAFVSVMRGCNNMCAFCIVPFTRGRERSRPVESIVREVKELWESGVKEVTLLGQNVNSYNDDSSDPEPSGGGGGGAKWEYSEGFSSRCKVKNMGLRFADLLDRLSLEFPEMRFRFTSPHPKDYPDDLLYLMRDRHNICNLIHLPAQSGNSRILERMKRGYTREAYLDLVKKIRSIIPDVAITSDFITGFCGETEEEHQETLSLVRAVGYDMAYMFAYSMREKTHAHRQYTDDVPEEVKQRRLTELIQAFRDTTGPCYDSQVGSTQLVLVEGPNKRAPETELIGKTDKGHRVSFVRKPLFDKDKGHGDMKRSPEVGDFVEVKIERSTRASLYGEALAISKMSLFHDVGGVDAVVASCAS >A07g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3744259:3749532:-1 gene:A07g501820.1_BraROA transcript:A07g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEMKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLAFFRRISPNPPLSHSFRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21016560:21018039:1 gene:A06g507490.1_BraROA transcript:A06g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSKIIDRYKIQQADDLQTLDLEEITRNYLPHKKLLELVQSNLEEANVDGVSVDSLNSLEEQLETALSVTRATKTKLMMEFLKTRREKEKLLIEENLVLASKIWKQTFLVTRDETSMLPEYRFGNNPPETLSLLK >A03p015800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6279150:6283840:1 gene:A03p015800.1_BraROA transcript:A03p015800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCRVSVATLPFRAARSPPASLQTGAHRVTYGRSHQFSRLISFSSISALSATTPSSNLVSLLESEIESSVINEDAPDNDELPEWFPFQMIDRPTERVLHLTRKFGDETILVLIDRPKVPQDDAELVFGISMGVYVSKDDDGLRLKFGVKAFVDEIVIDSVAVQQRPESKWSYQGPDIDDLDENLQRSFHKFLEIRGINPTITDFLADYLANKDKREHLRWLKDVKSFKMSLFCRVSVASTLPFRAASSPPASLQTGTQRVTFGGSHQCSRLISFSSISAVRAITPSSNLLSLLESEIKSSVVDEDAPDSEELPEWCTFQIIDLPTERIVRLIRKFDDETIIVYIDPSAHLFDEQPKLPQDDEELLVGIPMVVDVSKDDDGLCLEFGVNAFADEIVIDSLVVQQPHEPKYPYQGPDFDDLDENLQRAFHKFLEIRGINLTLTNFLADYMVSKDKRSHLQWLKDVKSFVLTSENSQ >A03p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1508424:1509831:1 gene:A03p003440.1_BraROA transcript:A03p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKPCCVGEGLKKGAWTIEEDKKLISYLHEHGEGGWRDIPQKAGLKRCGKSCRLRWANYLKPDIKRGEFSYEEEQIIIMLHASRGNKWSVIARHLPKRTDNEIKNYWNTNLRKRLIDQGNNPSTHKPLASTPEPATPKTSDLQDDSNPSNLDEQSHSGSMSPESLPLSSNSCNVLEISNSDETPRNYGPLSTKKPSSTSELLNKVATRAASMGNIISASMEGTLIPSTTLSPPCLNDGLSETSHFQMDEFDIDMNFDFNNSEHDFSEFLEQFSNNAAEEADNIIGYDQDLLFSDVSSTRVDEDGMTNIPGWSNYLLDDSEFSYDTNQD >A05g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2373623:2375345:-1 gene:A05g500610.1_BraROA transcript:A05g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLRSGSSQCLWTSTLFIALLSMPMTHGANYGEALTKSLLYFEAQRSGKLPPNQRVNWRGDSALRDGSDAHVDLTGGYYDAGDNMKFGFSMAFTTTMLAWSSVEMESELKTYKEHDNVLAAIKWATDYLINAHPEPNVLYGQVGDGNSDHACWMRPEDMTTPRPSYRIDAQHPGADLAGETAAAMAAASLAFAQYDAAYSVKLIGHAKDLFEFAKAYPGLYQSSITNAGGFYPSSGYQDELFWAAAWLHRATDDQTYLDYLMEEYGTGGQRTIFAWDDKFVGAQVLMAKLALERGGNTGDKLQDFKNMAEYFICNCVQMGSNNVKVTPGGLLWFLPWNNLQYTTTASFVLAAYSKYLKAGNTPINCPSGTFQAADLLYHARTQVDYILGSNPKSMSYMVGFGTNYPKSPHHRGASIVSIKQNSTAVTCNDGMNNWYNNPAPNPNVLTGALVGGPDANDAYGDARTNFQQSEPVTVTVAPFVGVLAAVA >A05g510170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31661819:31668478:-1 gene:A05g510170.1_BraROA transcript:A05g510170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGARVWRRLGRFLQIWQAPPQGTRVRVYVNADEPLQFERRAGFANGDVIRVTLKYEDLHRHCFTCKRISHEEGTCPELSETQKEKNRLARIEQKVKEEKATREVFSFPSRYGHGSVRSPDRNRLPVKQYGRYEPRDHQGAYARRGERSPQDLRGRIIGRREAESKNVWNRLEKNSVSNDPRDRARYHPYHRGKEYDYSKREDERTRSRVLSGHSNWNNKDKMVQLSVHPLDSQSVSRYSPRRRTSPDSQRTLTVNYVGQRDRGFNRDLPRVSPLRDNREWRPVRQSQGGDKTSLGEVTESGEKEKEAERRRIEKGKGIAIEPPSNQERTSHGRGKLIIREPAINNNPERVQRSTGVVIAGQSETRVLEVSGRVQGGNVDDGIGKEKEQTKSTEGAETSAHVPEQGPEEDEEYMDDEAFEKMVEFYTDPDPGLDEEMLNVDDLMEEEQELERKEKERESLIKERELQQNTEGRETRGERGEEDRRGQQTRKAVGKRSPSVAATNLSRAGATVAGETNNRNQEGSLQREGKRKKVPKSPEIKDASWTQEGAKMGLGFVVLEGDRKCLMGLQNWTKAPSPLHAEAEGLVWAMKAMIRQGKRTMHFETDCAQLVSVIQNSEDWPAMASVVEDINIESLLFECFSIAYIPRGMNQRADCLAKAARARIEPLDCICVETPVWLAHVASLLE >A02p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1629051:1630229:-1 gene:A02p003740.1_BraROA transcript:A02p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQVSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRHQLTEFELCVLGNLCPETAEEAVAMVPSLKTKGRAHSDEAIEKMLNDLSLVKRFE >A10p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1301583:1303746:1 gene:A10p002480.1_BraROA transcript:A10p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING 1B [Source:Projected from Arabidopsis thaliana (AT1G03770) UniProtKB/TrEMBL;Acc:F4I2H4] MPALNNFSAAEKEDDQLGRTTRAEEKEEDPENMDVMEEEGSKERSPSSTSEEQSESEFIEGIDLEDIRKYVQCPICLGIIRKTRTFMECLHRFCQECIDKSMRFGNHECPACRKHVPSRRSLRPDPKFDAFIAAIFGNVDSNEEQDLAFDEDELARNKQFQATIAQVSQRQSEALVKSSGKDAGVLPRSQPSGSGSRRRRRNSRNMVHDTSQAAHDDDGDNNRVNASSSAKILPRKRNRRSATRSTAHPSSSSCPSNNDNNCANNVTEEAHHRDSRGIAHGFAWGKGGRRSNARQANNNQGASSSKSVRNARLNRLVDYLSTLESNSV >A06p009110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3194300:3200395:-1 gene:A06p009110.1_BraROA transcript:A06p009110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFVIFFCSFLQTCISNDTIMRRQSLRDGDVILSEGGRFAFGFFSLGTSNLRYVGIWYAQISEQTVVWVANRDRPINDTSGLIKFSSRGNLCIYASVNTTEPLWSTNVSDSISEPTLVARLSNTGSLVLLDTLTGRGYWESFDHPTDTFLPFMKLGFTRNDGLDRVLTSWRSPNDPGSGNRTLRMDRRGFPELILHKGTIQWWRTGPWTGLRWSGVPEMNRGYIFNNSFVNNQDEVSFTYGVTDASVITRLKVNERGTIQRFTWIARDNRWNEFWSVPKEECDYYAHCGVNGFCDPISSETFECTCLPGFEPKITRHWFLRDYTGGCSRKNKTSLCREKDGFVKLTHAKIPDTENASVDMSVTLKECKQKCLGNCSCVGYASAYHDGEGGERGCLTWHGDMLDTRTYLNSGQDFYIRVDKEELARWDSNGSSRKTRVVLVLISLSAAVMLLMVIVFCFVRKRRKLNSLRRSSTTFSFDFEDSLKFEDDRELPLFDLNTIAAATDNFSSHNKLGAGRVTKRYGGSSEEVIEKLGPRNGRVQERSQVDIEAAASKPREDLRVLRRAGREDVDIRIWDLWEKGEAKDIIDDLMDQESYNESEVVKCIHIGLLCVQENASDRVDMSSVLIMLGQNAIDLPNPKLPAFTSVRRRGRENVALPIAEPGSSVNDITFTDVQGPNPPCPSLLLREKSSVAVANTWNSKSGSSSSLTWLEAASSPLLTSSILFNNCSTLLSFFGSPKAMI >A02p018420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8497534:8498499:-1 gene:A02p018420.1_BraROA transcript:A02p018420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMEPKETETPPQPRKQPRQHPSVPFVWEERPGLPKKNWQPSLATFVPSAPPLPPPIPVPVKLVTSVPFCWEKTPGEVLLKLPQPPSETSKTPPLPPPVPVPVKLVTSVPFCWEETPGKPAPSSANDPPKLPQPPSKTVTAPSLPPPVPVPVKLVTSVPFHWEETPGQPYPCFVDFNPPDPLDQPLYGCEAEPSSDIYDDASSDCYNQNRGTSSMPTSPAYDTDDSTSSYMTGASSLVGASFLEELFPRLPQEKVEAAVSHHVQVTTASNDIKFGFPVRTQYTLRELIMMSRRRSYMREHNPSMVEGREWRSHQRRLKLL >A02p053080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32338483:32339372:1 gene:A02p053080.1_BraROA transcript:A02p053080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPSQLLPSELIDRCIGSKIRVIMKGDKELVGVLKGFDVYVNMVLEDVTEYEITAEGSRVTKLDQILLNGNNIAILVPGGAPEDVE >A02p034780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18907914:18909608:-1 gene:A02p034780.1_BraROA transcript:A02p034780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein CPR1 [Source:Projected from Arabidopsis thaliana (AT4G12560) UniProtKB/Swiss-Prot;Acc:Q9SU30] PHGRSPPTTQHILFSLLPHQTSPDAQSKSSDMTTVPMDIVNDLFLRLPAKSLVRFRALSKPCYHLINSPDFISSHLTRVLQTNDHLMILLRGALHLYTVDLDSLDTLSDVEHPMKRGGPTEVFGSCNGLIGLSNSPTDLAIFNPSTRQIHRLPPSPVDLPEGSSTRGYVFYGLGYDSVNDDYKVVRMVQFKRDPDDELGSSFPYEVKVFSFKMNSWKRIESVLPPIQLLFYFYYHLLYRRGYGVLAGNSLHWVLPRRPGLIAFNIIVRFDLALEVFDFVRFPEPVANGDVDIQMDIGVLDGCLCLMCNYDHKYVDVWMMKEYNVRGSWCKVFTVHKPKSVKTFAFMRPLVYSKDRDKVLLEINNTKLVWFDLETRKLSTLRIKDCPSSYSAELVVSSLVLGCKGDLDNIKIRKEQRDKEARESKMLQNRMISCQKDSSWSYKQKQENRQRRAGRNRKEE >A05p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1591916:1593387:-1 gene:A05p004160.1_BraROA transcript:A05p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPNMTPHWPDLSSQNLTATASTAVQNPSWVDEFLDFSACRRGNHRRSISDSIAFLEAPFVRGHGSTQNNHFDKFDDEQFMSMFKDDNDLHNNPSHNNNNAGTTGSSSNTSTLSDHNSFNGDKEPPSDHNMKHKNDEVHSQCKTEPDDGTASNNNSGDSSVTRILDPKRVKRILANRQSAQRSRVRKLQYITELERSVTSLQAEVSVLSPRVAFLDHQRLLLNVDNSSLKQRIAALAQDKIFKDAHQEALKREIERLREVYQQQSLKKMENGNHSQATGTGANSAVHIKPSNEKEELFNV >A08g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6353730:6357645:-1 gene:A08g503540.1_BraROA transcript:A08g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSLARLSALFFGFLLLLATYSWVPRLHSGLLSGTGVQKTLGPDPKLRVDHSSAKPHHPLDPLTVQEIERVRTILLDHEPGFGSGSATIHSMALDEPDKTLVVKWKKGNRLPSRRAEVLALSVGQSHVIVVDLDSGRVVSDVVNPTSGYPILTMDDLIVASQVALKSIEFNRSVEARGVKLSDLVALPTFTGWFGPEEEGRRILKVQCFTSQDTPNYFMRPIEGLYATVDMDKLEVIKIVDKGMVPIPKAAGTEYRYNVQNKPVHMDRINPISIEQPEGPSFLVEDGHLVKWANWVFHVKADHRAGIIISQATVRDSETGEPRSVMYKGFPSELFVPYMDPGELWYYKSYLDAGEIGLGPAAMPLVPLNDCPRNAYYIDGVFALPDGKAIVQPNMICLFERYAGDVSWRHSEILIPNADVCPFTTRKQIRESRPKVTLVARMATSVGNYDYTFDWEFQSDGLIRVTVAASGMLMVKGTPYENVDDLGDKEDDSGPLISENVIGVVHDHFITFHLDMDIDGPMNNSFVKVHLEKQRVQSGKSPRKSYLKVKKYVAKTEKDAQIKLSLYDPYEFHIVNPNRKSRLGNPAGYRIIPGGNAVSLLDHDDPPQIRGAFSNNQIWVTRYNRSEQFAGGVLVYQSHGDDTLQVWSDRDRSIENNDIVLWYTLGFHHIPCQEDYPVMPTVAASFELKPANFFESNPVIGVAPIFEKDLPVCRPFASS >A06p019730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9648354:9651171:1 gene:A06p019730.1_BraROA transcript:A06p019730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTERYGIKPQGKSAPMAASKRSHNTSDWKSYASSSSSNKVSGNGDDIFFTSSSTTTTMNGASSGDFDVFGGMNNSNSKSSSSAHADDLFGAMPTAPNNDDDDIFGSFSSSTNQYPPVDDLLAGFGALKSQTFNLNNSSGFDELIPGFGFGAGATSHSKTTASNFVDTDPFVVLESSMSGAHSSSGIFVDPLDAFAASFSSQGQKPSNNTTHSSTKLKPPPKPTQKVNRAKSSVMSSVDELDDFAMGGTMRRSASASDAASKYREAQETKQFGVDDLDSFFSSGPRSSSVPKSRTATEAPRKPAVNVPKKTTNGVSSAKKPPAPAANLVDDFSALFGEDPPIFKEFEEIPGETEERRKARWDREQRTKSRVAQAVADMNNRDHQSRIEQEQRTRISETADAEIKRWATGKEGNMRALLSSLQIVLWPGCGWEAVSLTDLITSSAVKKVYRKATLYVHPDKVQQKGATLEQKYIAEKVFDILKEAWNKFNKEELS >A04p003400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1673262:1675107:1 gene:A04p003400.1_BraROA transcript:A04p003400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLSSNDVEAEVHKPKFTGLVSTMKSNFFTELPQKLRSHIDPEDPFDIDVSKAVDLKRDEKDYYERQIATLKSFEEVESFVARSQDYVIDEKIQEEDRAERAAQEIAMQISNWANIFLLSLKTYATIKSGSIAIAASTLDSLLDLMAGGILWFTHISMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLVAAEKLITNEPSETMSHDQLIWLYSIMLTATAIKLVLWIYCRSSRNNIVRAYAKDHYFDVVTNVLGLVAAVLGNAYYWWIDPSGAIVLAIYTIVNWSGTVMENAVSLIGQAAPPEVLQKLTYLVLRQGADNIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKLEELPEVERAFVHLDFECSHKPEHSVLSTIPNDL >A05p041770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25320368:25321564:-1 gene:A05p041770.1_BraROA transcript:A05p041770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWLILSYVVAAEVAIAVILTLPYPMLVKKRVVSLVSLILQPAASIVAFAGFQLLDLYWKNEHRLMCSSEVCTATERDRYEKSIYKAQRNVVLCAAGILLYWCIFRICKYNKDLEHLEELEKRCKTE >A06p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23276569:23277656:-1 gene:A06p043270.1_BraROA transcript:A06p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKWYLDVILVPLALVMMLCYHIYLSFMVRTHPFSTVLGINSRGRRIWISSMIKENQKMNILTVQTLRNVVMGATLMATTCILLCAGLAAVISSTYSIKKPLNDAVYGAHGDIAIAIKYLTILTIFIFSFFSHSLSIRFLNQVAMLVNIPNLYPNPSGDLFLTSEYVCELFDKGFFLSTVGNRLFYAGFSLMLWIFGPILVFLSVLMMVLVLYHVDFVSLGNNKEKQRIVDCPRASDPPNGGGDA >A10p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4504260:4506518:-1 gene:A10p013870.1_BraROA transcript:A10p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFAWNMHGFNMPRKHENSIANYEYHHLGRIWFCWSDQVIVTQLNKTSQIISCAVQNHVTGEQFICSVIYASNYLVDRQTLSADIRATQAAYGHLNMPWIFIDLSATGALFTWWNKHERDPIGKNLDRALVDRDWLRVFPYSQAHFKAGVISNHARCYVRIADRPAVLCDRQNEALVHPSIDSFRIAAEALDRWNHLVAIEEKFYQQKSCVNWLGSPLAGRCMNVKNHRDGNCGCRLDLISAASILRHRDSCVPKPPCFSSHHRRIRDNLAVKRQNLLTPPLLQPLLVLMDLHLPPIITLR >A03p045730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19225025:19225716:-1 gene:A03p045730.1_BraROA transcript:A03p045730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGMGLMLLTIFMAVMSSTRVSAQSSCTSVLISMAPCLNYITGNTTSPSQQCCSQLSSVVQSSPDCLCQALNGGRSQLGLNINQTQALALPRACNVQTPSISRCNGGGSNADSPADSPKSSGPGNGSKTVPVGEGDGSSSDGSSIKFSYPLLAFLIAASYMAVFLKY >A05p011890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5198954:5200270:-1 gene:A05p011890.1_BraROA transcript:A05p011890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 20 [Source:Projected from Arabidopsis thaliana (AT2G35380) UniProtKB/Swiss-Prot;Acc:Q9SLH7] MKMHVTTQGNILKKSKTLSTHICTHTYRETHYTGHNFPMEMKLWVSLIVVSAITTSALGEFGGALVKGFYKESCPLAEEIVKHNVEVAVLKDPRMAASLLRLQFHDCFVLGCDASVLLDTHGDILSEKQATPNVNSLRGFEVIDYIKYLLEEACPLTVSCSDIITMAARDSVFLRGGPWWEVYLGRRDSLKASFAGANQYIPAPNTSLEGLIINFKQQGLDIQDLIALSGAHTIGKARCVSFKQRINQPNMLQTFYVDEFKRHSTFRRILRSQCKDSSRDNELSPLDIQTPAYFDNHYYINLLKGRGLLISDNVLVSEDHEGEVFRKVWEYAVDQELFFVDFVESMLKMANINVLTGIEGEIRESCRFVNI >A05p042810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25857229:25858673:1 gene:A05p042810.1_BraROA transcript:A05p042810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVRWFKGIFGMKKSKEKEYRVSGDGRGEAGESLIHRKVLQADNVWLRTYLAETDKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNAKTGGHSGGNVVYGTTMERWAAVKIQSVFKGYLARKALRALKGLVKLQALVRGYLVRKRAAETLYSMQALIRAQTSVRSQRINRNNMLLPRHSLERFDDSRSEIHSKRMSISVEKHINNNTYDETSPKIVEIDTYKTKSRSRRMNVAVSEFGDEDFEWSFRGEKCKFPTAQNTPRFSSSAAMNNNYYYTPPSPAKSVCRDACYKPSYPGLMTPSYMANTQSFRAKARSHSAPRQRPDRKRLSLDEIMAARSSVSGVRMVQPQPQLLQQQQEKRSSCNYDRQFPQEPVGFRFYN >A09g510120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:29273665:29274714:1 gene:A09g510120.1_BraROA transcript:A09g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIWSDEPDDPQLVRLVEDIHAGRYVKGFWEVQRDEQGKGNEKKKKKKTKGVSSEAEPSTKKQKKEAAETRKGSSKEEAVLDKATLTNLVSALQNISAKFDAYDFDRNWPVMEEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQQKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNETDFISVSPAKITKDGKDANVPAYGRGFRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKRERGEETEEKCG >A05p014920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6559864:6562854:1 gene:A05p014920.1_BraROA transcript:A05p014920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FAR1-RELATED SEQUENCE 2 [Source:Projected from Arabidopsis thaliana (AT2G32250) UniProtKB/Swiss-Prot;Acc:Q3EBQ3] MEFESKEAAYYFYREYARSVGFGITIKASRRSKRSGKFIDVKIACSRFGAKREPTAAVINPRSCPKTGCKAGLHMKRKEDEKWVIVSFVKEHNHEICPDDFYASIPEMVNPLDDGFTECFRDCVDGAWTDEQFERSWSEMVDKFELNENEWLHSLFRDRRKWVPRYFHGLSFAGLSGVERSGSVVSHFDKYMNSEATFSGFFEEYTKFLQYRYDVEAKDDNDSQSKEPTLRSSLAFEKQLSLIYTDAAFKKFQAEVLGVVSCQLQKKREDETTAIFRVEDFEKRRSFFVSVKKELLDVCCSCYLFEYQGFLCKHAMIVLQNSDVSCIPSQYILKRWSKKGNNREENHEEAAAVDNRMSRFDDLCKRFVKLGEVASLSDEAYKTALQFLEKNLKKCFSLNNSPKFPSEPGLGNEGMLDSASKLSKKKKIQKKRKAYNGPEDVTNGSEELRQEPEQVSSRAPTFENCYIPQAADMEATELGSRAAPLGIYYSSQQTIGFSSVSSVQDGYYGHPATIQAMGNLHSVHGRMNQYETQQSIQGAFQGQTGFRGSAIRGCYDMEETLQDMVDDGVSTVSGLWSEPSG >A01p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8371027:8371853:1 gene:A01p016910.1_BraROA transcript:A01p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIC2 [Source:Projected from Arabidopsis thaliana (AT4G24570) UniProtKB/TrEMBL;Acc:A0A178URN9] MGVKSFVEGGIASVVAGCSTHPLDLIKVRLQLHGEASAVTLLRPALAFHNSPPAFLETTHSVPKVGPISLGINLVKTEGAAALFSGVSATLLRQTLYSTTRMGLYEVLKNKWTDPESGKLSLTRKIAAGLVGGGIGAAVGNPADVAMVRMQADGRLPVSERRNYAGVGDAIKRMAKQEGVVSLWRGSALTINRAMIVTAAQLASYDQFKEGMVESGAMKDGLGTHVVASFAAGIVAAVASNPVDVIKTRVMNMKVDARGDG >A02p015280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6801088:6804165:-1 gene:A02p015280.1_BraROA transcript:A02p015280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G55930) UniProtKB/Swiss-Prot;Acc:Q9FG72] MTSVFHEPKPSEDNPHESKVVIHGEEEEENDSPIEEVRLTVPITDDPTLPVLTFRTWFLGLLSCILLAFVNQFFSFRSNQLWVSSVAAQIVTLPLGKLMAATLPTKKFGFPGTNWSWSFNPGPFNVKEHVLISIFANTGAGGAYATGIITIVKAFYHRQLSVAAAMLLTQTTQLLGYGWAGIFRKFLVESPYMWWPSNLVQVSLFRALHEKEELQKGQQTRLKFFLVVFGISFAYYIIPGYLFPSISAISFVCWIWKSSVTAQILGSGLKGLGIGSFGLDWSTVAGFLGSPLAVPFFAIANFFAGFFIFLYIVLPIFYWSNAYDAQKFPFYTSKTFDQTGHSYNITRILNEKDFDINLDAYNDYSKLYLSVMFALLYGLSFGSLFATISHVALYDGKFIWGMWKKATTATKDKFGDVHSRLMKKNYQAVPQWWFIAVLIISFALALYACEGFDKQLQLPWWGLVLACAIAMFFTLPIGVIQATTNQQMGLNVITELIIGYLYPGRPLANVSFKTYGYISMSQALYFVGDFKLGHYMKIPPRSMFIVQLVATVVSSSVCFGTTWWLISSVENICNTDLLPVGSPWTCPGDEVFYNASIIWGVIGPRRMFTKEGIYPGMNWFFLIGILAPVPFWYLSKKFPEKKWLKLIHIPLIFSAVSPMPQAKAVHYWSWTIVGVVFNYYIFRRFKSWWARHNYILSAALDAGTAIMGVLIFFAFQNNDISIPDWWGLENSDHCPLAHCPTAKGVVIEGCPVF >A07p049370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26230366:26235147:1 gene:A07p049370.1_BraROA transcript:A07p049370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQLEKSVETNPDDPSLQFELGLYLWEHGGDSERAAEHFVLSAKLNPDNADAFKYLGHYYSRVTLDHNRAAKCYQRAVLLNVNDSESGEALCDLLDGQGKEILEVAVCHNASEKSPKAFWAFCRLGYIQLHQKKWSEAVQSLQHAIRGYPTVSDLWEALGLAYQRLGMFTAAIKAYGRAIELDETKIFALVESANIFLMLGSYRKSVELFEQALKISPQNISVLYGLASGLLSWSKECIDLGAFGWAASLLEDARKAAKESTELASNMSSIWKLHGDIQRALHLSPWQANLYTDIAITCDLVSSLTDVSETSSSWKLPEKMALGALLLECDNSEFWVALGCMSDNSALKLHALIRALHLDVSLAVAWAFMGQIFRESDEMKLAKQAFDCARSIDPTLALPWAGSADTYARESTSDEAFESCLRAAQISPLAEYQVGLAWLALLQGSISSPQIFACIDQAVQRTPDYPESHNLHGLVCEARHNYHTAIASYRLALAAMSVCPDSSVKSHAGKISINLVRSLSKAGRFKESVKECANLKSKGLLDAGGLQIYAFSLWKIGENDSALSVVRELAGRISTMEKTSIAFPISFICSLLYCISGLDSAITSIQKMPKDFFQSSKISFIVSAIHSLDQSDRLQTIVASTRSYISSQEEIAAMHYLIALSKLVSLLILLKTGAGEFLGFEKGIAHLRKALHMYPHSNLLRNLLGYILLAGEGTKEVCAASRCCIINVSECGNKEGLKSALEVLGGGSVACNVIGNTAPRFSFPTCHCQSLNAPVVVVELQRFLHQEPWNSDVRYLLILNLMQKAREQRFPRQLCSAIERLISVALSDEASEYQKFQLLLCASEMSMQKGNTEESIDYARKASSLSLPRSYLFLSHLQLCRVYASKGSTRNMQEEYRACLELKTDSNIGWICLKLIESQFDLEADANLLEMMSLQESPNQKNHSWKEWMAVYSLALGLVSFGKKDFTSAEEFLAQACSLGDKESCLLLCHGAVCMELARQSNDSHFLSLAVTSLSKVQASSLTPLPIVYALLAQAHGSLGSKEKWKKNLRLEWFCWPPEMRPAEVYFQMHILARESEDSSGIENCQTPEKWVLRAIHTNPSCMRYWNVLDKLVQYPIS >A03g505470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19415099:19416492:-1 gene:A03g505470.1_BraROA transcript:A03g505470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQVKSLDALFKTFDCGSVSRVHPLSLNGDESSQNLIFHNPIDKTNQNIKRSTVNLASELTRRWIITSSGCRLKVHHSVDTMRVARKVSGDQCMFEKHRMYNRAT >A09p079330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58423742:58424410:1 gene:A09p079330.1_BraROA transcript:A09p079330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGVRARTRDLFARGFRKKGTIPLSTYLRTFKVGDYVDVKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQIGNRIIKKRLHVRVEHVQQSRCAEEFKLRIKKNDELKAAAKARGETISTKRQPKGPKPGFMVEGMTLETVTPIPYDVVNDLKGGY >A04p025090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15171594:15173813:-1 gene:A04p025090.1_BraROA transcript:A04p025090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline O-arabinosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G25260) UniProtKB/Swiss-Prot;Acc:Q494Q2] MGFRGKFFFPMLMTLSLFLIIRYNYIVSGDPPLQQDLPGRRSFSSRDDVISSVKTPPKKTKRLFHTAVTATDSVYSTWQCRIMYYWYNRFRDEPGSEMGGYTRILHSGRPDGLMNEIPTFVANPLPSGVDQGYVVLNRPWAFVQWLQQAHIEEDYILMAEPDHIIVKPIPNLARGNLGAAFPFSYIEPKKYEAVLRKFFPKDNGLISKIDPIGNSPVIVSKNALMKIAPTWMNVSLAMKNDPQTDKAFGWVLEMYAYAVSSALHGVSNILHKDFMIQPPWDTESKKTYIIHYTYGCDFDMKGKMMVGKIGEWRFDKRSYGDKPPPRKLTLPPQGVPESVVTLVSMVNEATANIPNWES >A02p032600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17131110:17133350:1 gene:A02p032600.1_BraROA transcript:A02p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLAPPSSLISLPRHKVSSLRSPSLLLQSQRRSSALMTTTASRGSVAVTAATTSSAEAPREGIAEFYNETSGLWEEIWGDHMHHGFYDPDSSVQLSDSGHREAQIRMIEESLRFAGVTEEEKKIKIVVDVGCGIGGSSRYIASKFGAECIGITLSPVQAKRANDLATAQSLSHKVSFQVADALDQPFEDGIFDLVWSMESGEHMPDKAKFVKELVRVTAPGGRIIIVTWCHRNLSQGEESLQPWEQNLLDRICKTFYLPAWCSTTDYVELLQSLSLQDIKYADWSENVAPFWPAVIRTALTWKGLVSLLRSGMKSIKGALTMPLMIEGYKKGVIKFGIITCQKPL >A04p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:395448:399822:1 gene:A04p000790.1_BraROA transcript:A04p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVFRQSLPVVLDIDGVSSAVISPLSSPKLGIRRSTASVSGGLSTSPVGLKKEDDCDSSVPVYVPTIRSGSYADIGPKRFMEDEHICVDDLSSQVSCLSQLPNPSAFYAVFDGHGGSEAATYVKQNAIRLFFEDDKFPQTSKVNNSVYVEEVKSSLRNAFLQADLALAEDCSISSSSGTTALAALIFGRLLMVANAGDCRAVLCRKGRAIEMSHDHRPINLLERRRVEESGGVFEDGYLNGELSVTRALGDWDMKRTPRGSSKSPLISEPEIKQTTLTEDDEFLVMACDGIWDVLTSQEAISIVKRGLNRHDDPGRCARELVMEALRLNTFDNLTAVVVSFVTGERVVPLEKKRCFGLTPEAFRSLRKRHVIRQLGKSSKETAPRVQFSDAGLIFSLRSIATSDLLLHHLSVPVTDSSILDSLCFPSSPSPSIIAAPLTANASGNCQTSLPCNLKVDVLYFGLICKEVAKVVPAQTLADQELTETMQKLLIVMQRLDDKIGLMLESDGELFNIRWGFFSRAGLWDKSHLMRQIEKYADIYTHQESPTSSTTHPSCISAHKSSMSSKLISGDSDFFANLVCFHFSSLCSQSISAIEFPSRLWDPFIISCNSG >A10p003760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1872487:1874770:-1 gene:A10p003760.1_BraROA transcript:A10p003760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDPNSSASATATPPLVTASETPAPPANNLRPTPSQPPHAPPPPSLPYRAIAPVQHANPLQQTHNNLPNRRPNSPRQLHHHHQDPSTVLYPFAAHPPPGRGGFSVRPVRMSSPLVAGAVPPSVTVAGGNQSGYPGLPYNHRLAESMMQLMRARNPQIQHQVTRPGSGSPVGSGPMRGVPQFLQPRVAPPPTSILDTGRNKNARRRDALVLVRGRKVRITDEASLYSLSRSWLRNGAHEGMQSQRSDTMKALPKPLPLDVMEAAVPNDPAEKPTDEDKEDEESVKDLTEKDLLKGHIDRAKKVRARLREERSRKIARYKGRLALLLPQSGEQCMKND >A08p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22671942:22672417:1 gene:A08p039390.1_BraROA transcript:A08p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSIFVDELKNYFCVNGDIIENQIMYDHHTGKSRGAEPKKTGGDNSFSSYGASGKYDQEDCYGGKANVDNIMYSGNGDYIGLGAYDVYISLNSYRCIYVYSK >A08g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5496172:5498322:1 gene:A08g502580.1_BraROA transcript:A08g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSHAGQKLLLPLLVSFFHEEKCSAVCAAWLAEACHQLSNLSFVFCGRKPSSEATPYDIKYPLLSSGRPETDRKPEKSSERKRERREKNLLKKLVERFRKNQGRESWRFGVLKLRITHVLQPLILIGKDCSDQSDPCGDFKSKIFQKPSVISLSSSIVFLSQSHGFKILLYTHSLESFRITVNCSCDTEQDHEDTMMGSHRGGRVTACSVRCSILEYLMEMVVIFIFPLGSVSLGGFPGDRKYSENLDRPSRNIDRVISDHLRSGMSHSGSLIMAIKSLQSVLKL >A04p026950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16205450:16208939:1 gene:A04p026950.1_BraROA transcript:A04p026950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEHSHQHTTRKRFFFLFLKNKTLCWEIRGERGMEIEPKFKRICVFCGSSAGNKTSYRDAAIELGAELVSRNIDLVYGGGSIGLMGLISQAVYNGGRHVIGVIPKTLMPKEITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVEGYYNSLLSFIDNAVEEGFISPTARHIIVSAPSAKELVKKLEEYVPRHEKVASKKSWEIEQIGLSPTCEISR >A08g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19984465:19986144:1 gene:A08g509640.1_BraROA transcript:A08g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKIPPAVESSWKDVSGGGCGFAMATSESGKLITCGSTDDLGQIYVTSGKHCETPEPFPLPPEVCVQKAEAGWDHCVAVTESHEVYTWGWKECIPTGRVFGQVEGDSCEMNTSFSAEQARQLRLYGNYVCSFLEMAMGSLIFYTYISDTSVLISAFIF >A04p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18485530:18486275:-1 gene:A04p031300.1_BraROA transcript:A04p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRFDDFVLSTLPSMFPQLITAFIAACVSVTLPFAKFGTPGKDTVLLRVCSVDLEECFWSQAWALSLGAVVPSIQSFVVLHQHRCLVCSCLVCEVLGHYEAAIPLAKTKIHEAKKIA >A01p059870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34071996:34072918:-1 gene:A01p059870.1_BraROA transcript:A01p059870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSQVHLLEKSFETENKLEPERKTQLANKLGLQPRQVAVWFQNRRARWKTKQLERDFNLLKSSYDQLLSNYDSILKDNHLLRSEVTSLVEKLQAKEDTTNKPPGQVPEANPQQQLHPVNMNQIEPPIKTEDRLSSGSTVLDEDDAPQLLDSCNSYFPIIAPIHHSEGHNNRNGSVNDRSCFVDVFVPTTSPPHGHGESLGFWGWT >A06g509590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27835831:27837244:1 gene:A06g509590.1_BraROA transcript:A06g509590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSQRRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDLSQRPSEVAPEAQSDVLERLAEVAARRLSARIHVFSRAFLSFYDAPTRRQIIFVFRKTTNNLWKGISLNELIMSTCILSALISLRTGSTIIYTTTFVLGALKTPNINVTGQP >A09p027860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16826590:16828016:-1 gene:A09p027860.1_BraROA transcript:A09p027860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYAMTSSLPHHFEIHWFSIINSSVTVLLLPGFLATILMRVLKNDFMKYAQDEEAADDQEETGWKYINGDVFRFPKYKSVFAASLGSGTQLFTFTIFIFMLSLVGVFYPYNRGALFTALVLIYALTSGITASSFYCQLEGKNWFQAPVRTTKYPREIPQLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFPMRWINWFIHLRVLLILLLREIGHGFMQTSFFSGYMACICYGFFLVLGTVGFRASLVSSATFTG >A01p006300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3215051:3216934:-1 gene:A01p006300.1_BraROA transcript:A01p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSIRKAIGVVKDQTSISIAKVASNIAPDLEVAIVKATSHDDDQSTEKYIREILSLTSLSRGYVHACVTSVARRLGKTRDWIVALKALMLVHRLLNDGDPLFQEEILYATRRGTRILNMSDFRDEAHSSSWDHSAFVRTYASYLDQRLELALFERKGKNGSSSSHQSNDDFRSPPPQRGYDYENGYGMPKRSRSFGDVNEIGGGGRDVVTVTPLRDMPPEKIFGKMGHLQRLLDRFLSSRPTGLAKSSRMILVAMYPIVKESFRLYADICEVLAVLLDKFFEMEYTDCVKAFDAYASAAKQIDELIAFYHWCKDTGVARSSEYPEVQRITSKLLETLEEFVRDRAKRGKSPERKEIEAPPAPAPEEEAEVDMNEIKALPPPENYTPPPPPPPKPEVKPEQPQVTNDLVDLREDGVSVDDQGNKFALALFAGPASSNGKWEAFSSDNSEVTSAWQNPAAEQGKADWELALVETASNLEHQKAAMGGGLDPLLLNGMYDQGAVRQHVSTSELTGGSSSSVALPLPSKTNSHILALPAPDGTVQKVNQDPFAASLTIPPPSYVQMAEMDKKQHLLTQEQQLWQQYQQEGMRGQASLAKMSPAPNGMPYGMPPVNGMGPPPMGYYYNNPY >A05g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:248747:249439:-1 gene:A05g500060.1_BraROA transcript:A05g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIASYRSYADFLAGSFPSSSSAGLGSPAAQGTSVPQPQPASIIEDRLLNELLVAPGRELLPKLSPNGEPNTSWRRNRNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPTISVFYNPNRNNRF >SC174g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000045.1:10719:12585:1 gene:SC174g500010.1_BraROA transcript:SC174g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVAGISTLVLIASRLAKSHDELCGSYYVSVSTHRTSVCVCQHTQNVHGSLCVFVCVRVCPSAHKGCPWLSISTHIITLVLGLSTLTLPVDCSGRLWLSVSTHRTSVAVCVCPCVSVCVRQHTQDVRGCLCVSVSTHRTFVAVRVCPSAHTGRSWLSVSVRVCSSAHTELPWLSISTHISTLVLGLSTLALPVDCSSDFGPHGLSVQYTERLWVSVRTHMTSVCVRQHTKDVSGCPCVSVSTHRTSVAVCVCPSAHTGRSWLSVCVNQHTQDVRGCPCVFVTLTLPVDCSGDFGPRGLSVQYTQDVCGCPSAHTDVRGCPPAHIGRLWLSVCVRVYPCTHRTSVAVHQYTYQHAGPWIQHAGPSLHTGHPWVSASTHRTSVAIRVCPSAHTRHPWLSISTNISMLVLGLSTLTLTVDCSSDFGPRGLSVQFTHDVRGCPSAHTRRLWLSVCVRVWPCVSVSTHRMSVAVHQYTYQHAGRLWVSVSTHKTSVCVCQHTQDVHGCPCVTVCVRQHTQDVRGCPSVHISARWSLDSAR >A04p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1427145:1429574:1 gene:A04p002950.1_BraROA transcript:A04p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSSSLPNHKFRIKLLFFLILNLFHLHTLVFANSSNSKFSKFSRHPNSESSSTRRTKYSNDGFLNSVQHSLDQALLAHSLAFRFTLSHRTSQTLMLDPVNDCHELLDDTLDMLSRIVVNPKDHANDDVHTWLSAALTNQETCKQSLSEKTSLHKDGFTMDSVVSNLTGLLTNSLEMFVSGKPTRRETGGRKLLSGQDFPTWVSLSDRRLLEASVEELRPHAVVAADGSGTHMSVGEALASLEKGTGGRSVIHLTAGTYKENLNIPTKQKNVMLVGDGKGKTVIVGSRSNKGGYNTYQTATVAAMGDGFIARDITFVNSAGPNAEQAVALRVGSDRSVVYRCSIDAYQDTLYTLSKRQFYRETDITGTVDFIFGNSAVVFQSCNIASRKGSSDQNYVTAQGRSDPNQNTGIAIHNCRITGSTRTYLGRPWKEYSRTVVMQSFLDGSVHPSGWFPWSSSFALKTLYYGEFGNSGPGSSVSGRVSWPGYHPALTLTEAQGFTVSGFIGGTSWLPSTGVVFDSGLL >A01g509450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25536810:25537828:-1 gene:A01g509450.1_BraROA transcript:A01g509450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEVFQIWKTSGTTYLLVVRKSSGLLGSLLKKSSGLPGSRLDYQEVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELSKSLLAKSSELPGSRLDFLKVVWSFLLKWNPSLSL >A03p018290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7431826:7433190:1 gene:A03p018290.1_BraROA transcript:A03p018290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKDYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGINGPKTPLPDVVIIHAPKEEDVNSAPAQVAAPAALAPEAPLTAVDYPEMIPVA >A01g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3530351:3531178:1 gene:A01g500910.1_BraROA transcript:A01g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPLLQQQICPRMKHQTTTNLNSPVSLERFSGFPELGLSGSLSGQDSFHKLDRIEQYIELNYEELLIMDTGLLLAELSVYDQHHDMRLDIGNLSYEELLALEDRIGTHLSEETFKLWVYNLFFGSQEEYTIGDEVGRLHCEHTYHLKCVQEWLRMKSWCPICKTPAETSSSK >A02g510120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27073564:27075126:1 gene:A02g510120.1_BraROA transcript:A02g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRSAHMADLKGKGICYEEDDEPIQLTDQGDSPTIRDYKLSLIGKVLNPKKQSVVKLIQTMPAQRPLTFKRKIASPEGDEVWIQIHYERLFKYCKTCRMLTHEEALCPTKVTPLAVQGERSDVFSRVQLPANVESRQSLLRDKERESRYGRDGYGRRDRRSRSPLRERRADGGFMNDARVAYSRRDVSGDRNARQQGGKVRKLASEYTRQSSRYTPYGKQRPTVWRAKERSPAREVYNADRSGSLAHGMHMRFTGEPSVHHSDDELVHKSSGKRIASQIVTPARHDNDDNVTKRPRVSPRLLTFSPMEKALPVDAQIIGALNDMEIVDPINTEEELHDQEMLAEIQEDDMLGEDLMDMEAGSTSNVQQVERVGDVNARVKLRTSSSYKSGGRSGFPLGLQNKKAGFFRRGSPL >A06g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4251491:4256210:1 gene:A06g501160.1_BraROA transcript:A06g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWQQAYMDYGSLKKSLKEIIGFKLGQPADALPTRGGANNHHGGGLHRRMTLYKTFSGLLSIQGRQRRGHSHDVEEGLQMMATTGPILVETNADGSYETTFLMVNEKGGEYELVFFRRLDEEFNKVSKFYKEEVDKVSKEEKELNKQMEALIAFRAKVENQEGNGWRLQERGVEFTRLTSNIATSAAAIYASSPAGAKSKEVGSQAHMETIEEGESSRAGKLKDDEEAEDNGAREEPNEVNKSRTRAARPAPLDILDGVRINNTIETPRSTFRGILKVSKPTELVYNRENLKKAEQMLIEAFSVFYQKLRLLKSYSFLNVKAVSKILKKYDKITSRDATKPYMKMVDSSYLGSSDEVVRLMEHVEATFIKHFANANRTKGMNILRPKAKRERHRLTFSTGFSAGCIFSLIVALAAIIRTRNFLQEEGQAQYMDTMFPLYSLFGFIVLHC >A09g515500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45830954:45834792:-1 gene:A09g515500.1_BraROA transcript:A09g515500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLANQEEGVQDNWNWAKIFTEQEVMNFTTQRFLSPSICEYPTLEGDLSSSKEQPEAKHIIGVKRSLSDFQKAQDQEKWPRNYEVMIQSPKRVKPVLHLPQLEANRFNQLQTRHWRPGDHFNQSGDILGVQKEFCKCIPCISNHWIRRILIYSNMPYLEQTDINVQQLFSLQIRHEIRTYQASSKVPRKLSYPLKSSRFKKNQVSHLEPKSHKRLQRLVSDFTSSVKSTLNHQVNRKFIQFYQSCSLYKISVFKIQREIICCLIDFVSLLGSTILSIRGLPPLPPPCAYNILVSELKFLNQEAMTEEEHGQPMKKRLSQHLAAIQELNDKIAQLGKRNKPQGRRPPHGETRFGDEAGYVEPKPPDPSWITKHQTSYTHEYSNYSYHDYNSADDVNIYSFSGSSWSSEYLTWERTMDDWFTYYGVPKKERLAHAQWRRENFQLSGKAYSWWKRVDKTHGKSPEEVVTNWEDLKDVMIRKYVSSLPSPEVRERYPRRFSSHGYKEAKRRVPKEGHRSLFHQDQIRPDKRSTVFYDQYQPYEVPKSMEKNLFSPDTLARHKEKSDKPILQGKAKVSPILDKFVYKSSPTGMSHLSLSKNVKTGPEEGLNHENNFHGFYTQEGVQDN >A02p008980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3696288:3697349:-1 gene:A02p008980.1_BraROA transcript:A02p008980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELRFSTTNNNPMGLRLIDFESLDQVLNEVQCTVVSAVANHSFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPFIHLARTLSLTLRACRYSRGSFIFPKAQPFPYTSFKDEVVIVEESLPNSLRYRKASVMTPSNNNNPSRAWHVFTASADVEPDEPLVVVEVCMTELDRVNARSFFRRKGDGKNSDSAGKEMTRLSGIDTINANAFICDFAFDPCGYSMNGVDGDRYSTIHVTPEDGFSYASFECGLSLYDDGHGDISEVLTRAIDVFRPDCVSVATTYGGEDYSHEVTKRVERMLAKKLGLTCRSRLVDEFPGSGTVVFQSFTPRRQYSSPEGGEQ >A10p034740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:20143321:20144733:1 gene:A10p034740.1_BraROA transcript:A10p034740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFSYNSYPDSAESSPRSRDVDFDNPPPWEDQQQPQQTHSYKVKFMCSYGGKIQPRPHDNQLTYVNGDTKILSVDRGIRFPALASKLSAVVGGDGGGGEISFKYQLPGEDLDALISVTNEDDLEHMMHEYDRLLRMSSKPARMRLFLFPLSSPVSTGGGGFGSEGSTKSDRETLNNTITNRPEPEKSVTAPASNADFLFGSEKVVVAPPAPASPTQQIIQDPQMFVNQQVITPEQHPVDIQRQIHEFQRIQIRDHEQQQQMQQQQLQQEAMYRRKSEDGRYFTPTYAQNPPPPPTTVSQTNQQPPVGYWQGNNNVPGNNIYTTTSQNLPEQQVYMIPAQSQAPGTLYHSVMRPPTQGNQGYFPPVQQRVQQHHPDPYMEQQNNQQGYNVVQPPQPTYSGGPMGLHETQPYSQMGNPVYYTVAGDGMMVPPQPHQPQYQGMGQPVSGMTGPDGKVVVNTAPKVSQSSDSV >A10p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13628039:13629922:-1 gene:A10p020070.1_BraROA transcript:A10p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGDGSFFAVVPTVYKREEYKRTKHDAVFSKWKILIGSNDWEDFKNGKDGVARYRVQNLPRRSCPGLYELGVAVIGHDQARKLDSDDVLAAYLGQAESVRSRLQSYGRSGAHLRRVDNNNLNDCEAIESPDKKTVTAGLFEDIFFKDGSVVYRWAPMGSKREAEATEGMLLSTFDYAWNKGSNGERRQLDLLMQLGDGEFMRSRKSGISRVLFPFLRNQVGIRIKGEKHVLEEERKRSSDVVEEKTKSFGFLASNIKLSRSRPQPVSDKLDEIDGSVCGVILGNGGRCNRSPVKGRKRCEEHKGQRVCRVSPVKQHPESSVGQDYNHEDSDVTCGVILPNMEPCSKRPVPGRKRCEDHKGMRINAFLFLLNRTDREKTVKTEKSDPEPPTGNIAEEEALSRFCEATTKSGVPCTRSSPEGSKRCWQHKEKSSDDSLPVKAHPVAGTQVICGVKVSNGLVCERSPVKGRKRCEEHKGMRVIIPS >A01p011850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5739929:5742447:1 gene:A01p011850.1_BraROA transcript:A01p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGEGSGIRLSKRFAGGKVTGTSLEVDYKTKSGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTNAQHDRRAEEVAREFAQEQEFFKQAALISKKEREKIEMMKAVSFMYIRPPGYDPESAKAAECADEKHQGQGSSSQDPMADDSVGSMPAEPVAGSDHAGQERKKPRPKDVFGRALPTEEEFEVLKNAPRLETGVAGRAKPFAVELRNVKCLRCGNFGHQSGDRECPLKDTVMPNEEQRLKRDDPLTTIIAHTDPGEPLKWELKQKPGLSPPRGGFDPEDPNQQIVAEDIFDEYGGFLEGSLPLELLRSISSDKKKKSKKNKNYKKHSSRTVEETDESSTGSEDSREKRRSKKKRKEVKQKSKKQYDSDSLSSEDSDSDRYRSSKRRHNKHLDSSETLKSEVHHQGNSHRENHPEDERHQKRKKMVDIPYASSDDSDYYRNHSSRKKRSEDDYKSHQRERKQVRNNDRVSEKSQRHDNLDSGKLHRAEKKQRYDERRHGYVDEKRQRSDKKPRYDDHDSGRHDRSVKGKEKHVYDASDDPGEFPDRYRSTKKAESGSVSRKKKQKHEVSSEEEETAKHRYKH >A06p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15635817:15639866:1 gene:A06p024140.1_BraROA transcript:A06p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFLLMQQLRDLLFNRRRSGGKEESKSGVGRILAILQILCSDYIGFVSVSFDPVGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEEVFFSIGRDIKQRLSDTDSRAEPATIRISQTDQAAGAAQATQKSACCGS >A07p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16113727:16115480:1 gene:A07p028520.1_BraROA transcript:A07p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TONNEAU 1a [Source:Projected from Arabidopsis thaliana (AT3G55000) UniProtKB/Swiss-Prot;Acc:Q9FQ25] MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASRLLCALVCEYLEWAQLDHTLKVYQPECNLQKDSWKSELSDFSSNHGYELNRNGDSAPLLLDVLEGFLKFESMTQNMGGNSRRESETESSSSLDSRNPPRRSSASDNLPPLRRTGSGSQGSDRRGGSNYRKDEINWRHGNQDAHEEVMRASAALENLQLDRKTRNMTSSWRNVRDGANEEDGRD >A05p038970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23881643:23883649:-1 gene:A05p038970.1_BraROA transcript:A05p038970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMENDNDHAAKVAVTAEQASKVSDTAVRLPENRPTGVVSESGGGSDKGEEAVETAVDVDESGSNTVGELPPRSSSARVPFTNLSQIDADLALARTLQEQERAYMMLTMNSEVSDYGSWETGSYVYEEDEDDDEDEYETDDDPQGDAPNVNAHEDDQEDESRNADEEEVGYSDDEAFARAIQEAEEREMADRLSALTGLANRVEDLEEDDHTSQDAWDEMDPDELSYEELLALGDIVGTESRGLSADTIASLPSKRYKDGDNQNGTNESCVICRLDYEDDDDLILLPCKHSYHSECINNWLKINKVRLS >A09p067470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53234325:53236700:1 gene:A09p067470.1_BraROA transcript:A09p067470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTGPHVTSEAGVFWDLDECGIPDEMTAAQSLEIIRQTLSDGGHRGPVSIRAYGDTTGLDFQSSDIKLNHFQAGEKREKMTKVLEDIVAWSGENPEPSVGMLMIGDLGDAEDDIIEVLDLLKIRKNYRFIIVTLPPPPPTVVIVMADLPRERETSSSFILKKTLKFQPLLSKRKNKTMASYSEPYVSNGTGVFWDMDECKIPEGRTEADLVPFIRQMLLGVGLRGPVSIRIYGDLTGLDFQASSEADHVKLSHFHAGEKREKMTKILEDIVAWSGENPEPSLGVLVLGHLGAADDADITEVTELLKTQKNYHFVFATPGSPPPTVVRFLRAIPRGGL >A02g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20719380:20720254:1 gene:A02g507310.1_BraROA transcript:A02g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNWSCDMEQGYEDTMMGSHHGGRVTACSVRYSIFEYLMAIMILLIYVGGAGLDTLSNQYAGDLTLGQEGTSLASVRVPYDISPCLDELTIGYSFFGLKSLEYYLIGALVFFGFWSKAIISILRISGRPSRNIDRVISGHLRSGVSQRQ >A09p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1543834:1544499:1 gene:A09p002170.1_BraROA transcript:A09p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSISTVPLSPSRLQTKTRISTLSTRTNLHSFNLNPTRTVSKVRGLREENDSRFVDENGAVDDMEGYLGNLSLEYDSVWDTKPSWCQPWTIMVTGLSIVACSWVILHSVLVSSLAVGLVGAWWYIFLYSYPKSYSEMIAERRKRVANGFEDIYGKNKTS >A09p023200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12755354:12757142:-1 gene:A09p023200.1_BraROA transcript:A09p023200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPTGRLFQVEYAMEAVKQGSAAIGLRSRSHVVLACVNKAQSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRSEAINHSFTYESPLPVGRLVVHLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFESFGDASREDLIKDAILAVRETLQGETLKSSLCTVSVLGVGEPFHFLDQETIQKVIDTFEKVPEDEEGEAGEGEGEAEAAPAEHGDGGAGDQDVAPMEM >A05p005680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24346458:24348719:-1 gene:A05p005680.1_BraROA transcript:A05p005680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTGFTAALMLIGVIIYPCVCVKEFSDHQEIKIQTLLKRLNKHALISVKSVDGDIIDCVPIHSQPAFDHPLLRNHTIQMRPSFIPEITSTYTKKCTKATQAWHKSGICPENTVPIRRIMKEDILRSKSIESFGKKTTSSIPENDPSKDHEYAVMNSMQGKYFGTKFAVNMWKPEVQVPNEFSLAQTWLVSGVGTTRNTTEAGLQVYPGKYGDNNLRLFVFWTANGYQGTGCYNNDCAGFVQRSNKIAVGGTYNTVSQYDGDQYELSVLIWKDGENWWLQIGEELVGYWPGQLFNSLGNGGTIVQWGGEIVNKETDGKHTGTDMGSGHFAEEGYKKASYFRNLMTIDETNTLREPQGVYPTTGHDNCYNIKAGDGGTSWGVNFFYGGPGLNERCP >A01g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27660187:27663358:1 gene:A01g510080.1_BraROA transcript:A01g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLKSRGNRFNDGVFRGLSGVYVGDNGVNVTFLKLVYEHTSGETIEVMHGVEIGNVEEFEFSYPEEYVTSLEWTCGVHLTLRRLIFRTSNGRTSRAFGNDQGVFPEIPVLVESNRDEAPAVVGFRGRYDHHGIIELKAYFGPPPPKKLREIGGLGGEEWDDGKHEHVKTIHIGRGVSGLTMLQVDYKDGTTLVQGDRHGMVTLSEDTFEIPYETDHLVTVEVYRNKVGREDECISALRFKTRNGLVSEMYGVASGEMHSLTGHKARHKVVGFFGRSGVKSLHSIGVRVSYPPIPEFQGEWVEVHQIGEIPVPRCSHAMAVVGKKIYSFGSELPSGQSGDNDMLVFDYGTFKWSRSPARGDVPDLSLLGSGLVAIGSTLYVFGGQDGSGMHRRDFHSFDTTRSEWTQLPTNEIGPRSFHSMAAIGKKVFVFGGVSTTGLQKTLYVYETDSKVWLELPSPGYSFPEREGAGLHVVGGKLWVLYGFNGGMLDDVHTFDPDQHMWTQVETSGEKPCPRRGFASAVVTGNRILMFGGQSATEPDNVVGGTFCLNTETLKWEEIDMFNGWSGPSPRAWCASTSFSSAGGIDGMLVFGGKLQTSALCNGLFYYEVVGFEFGDMFQLVHYLFSSVI >A08g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1835424:1838247:1 gene:A08g500690.1_BraROA transcript:A08g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKAHYSLAILVILFVVSNCQNACNPECKAKEPFNCDNSLTFNRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYYTHRYPERVPDRSSGDVACDSYDLYKEDVKLLKRLKVQAYRLSIAWSRVLPKGRLTGGVDENGIAYYNNLINELKANGIEPFVTIFHWDVPQTLEDEYGGFLSPRIVEDFKNYAELLFQRFGDRVKFWITLNQPYSLSSKGYGDGSYPPGRCTGCEFGGDSGTEPYIVTHHQLLAHAEAVSLYRKRYQKFQGGKIGTTLIGRWFAPLNETSDLDQAAARRAFQFFVGWFLDPLVYGEYPTIMRELVGDRLPKFTPQESDLVKGSLDFLGLNYYVTQYASDASPPPQTHPSVLTDPRVTLGYYRNGVPIGVEASISPSFVYYPPGFRQILNHIKDNYQNPLTYITENGVADYGNLTVSNALADNGRIQNHCSHLSCLKCSIEDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKDSGKWYSRFVAK >A10p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22059437:22061209:-1 gene:A10p039900.1_BraROA transcript:A10p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDLKVEKPPSGCLPLLGRMKCFLFQRDEQRSPKPLSPLSDHRSGFTNNGSTSGSGTSTVSSSTGRTSLPVRENNLREFTIADLKSATKNFSRSVMIGEGGFGCVYWGTIKSSQDPSKKIEVAVKQLGKRGLQGHKEWVTEVNFLGVVEHPNLVKLLGHCAEDDERGIQRLLVYEYMPNQSVEFHLAPRSPTVLTWDLRLRIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEDWKAKLSDFGLARLGPEPGSTHVSTGVVGTMGYAAPEYIQTGRLTSKSDVWGYGVFIYELITGRRPLDKNRPKGEQKLLEWVRPYLTDTKKFRLIIDPRLEGKYLIKPVQRLAVVANLCLARNPKTRPKMSEVLEMVTKIVETSSPRSGGKKQLLPLRSLSRDEEEKSNKVVDGGEGGWLDKLWNPKNVRAC >A03p034080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14414959:14417916:-1 gene:A03p034080.1_BraROA transcript:A03p034080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLSSKNRRGSSSSSSSIQVDYLIHIHDIKPWPPSQSLRSLRSVVIQWENGERNSGTTDAVAPSLGSVIGEGKIEFNHSFKLPLTLLKDASASARNKGCDVVFFKNVLELNLYEARREKTHQLLATATVDLAEYGIVKESLSLTAPMNSKRSYRNTTQPVLHLSIQPIRAASSSSRNGSKDGGESVSALMNEEYDKEAEIASITDDDISSHSSLTVVSSSTLESNAAFSVPAEEEEHERKVNKGSESAASQGDQIPSSVDDLSSVFNLPVDVPDSAPNTCVSDELKDCAKLVNGETKSIMPLHNSVNASSPETTSQQDLVSDEEERESRRVEKPRKVKSVRSSLEISRSNSERKEAKVFPRSNTTLESKVKDLESRVKKLEGELCEAAAIEAALYSVVAEHASSSAKVHAPARRLLRLYLHACRGDNHLLSTRRANAAKSVVSGLVTVAKACGNDVPRLTYWLSNTIILRAIISDNHSEELPVSAGPGPKTSKTQRQGSSSLTWKDSSLSKKNTGGWDDPGTFITALEKVEAWIFSRVVESIWWQTLTPRMQSSAANGSSASSKKNKFGRSPSSVNQEQGDFSLELWKKAFREAHERLCPLRASGHECGCLPLPARLIMEQCVARLDVAMFNAILRDSDENFPTDPLSDPIADSRVLPIPCSITSFGSGALLKNSIGNWSRWLTDLFGIDDDEENSSYVGTSFKTFILLKALSDLMMLPKDMLLNTRVRKEVCPMFGAPLIKRVLNHFVPDEFCPDPVPVAVLEALESEEGEEKAMITSYPCTAPPPVYSPPSGTSISTIIGDFGQPQAPKLCRIRSSVTRKAYTSDDELDELSSPLAVVLQQPDSNKVNNGCADETVRYQLLRECWTNGD >A01p030580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13899530:13901597:-1 gene:A01p030580.1_BraROA transcript:A01p030580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin-3 [Source:Projected from Arabidopsis thaliana (AT3G45600) UniProtKB/Swiss-Prot;Acc:Q9M1E7] MRSSNHLIGMVNFLTFLLSIPILGGGIWLSSRANSTDCLRFLQWPLIVIGISIMVVSLAGFAGACYRNKFLMWLYLVAMLLIIAALIGFIIFAYAVTDKGSGRTVLNRGYLDYYLQDYSGWLKDRVSDDGYWGKISSCIRDSGACRKIGRSFNGVPESADMFFQRSLSPVESGCCKPPSDCGYAYGNETFWTQGGGLVGANPDCMLWNNEQSMLCYQCSACKAGVLGSLKKSWRKVSVINIVVLIILVIFYVIAYAAYRNVKRIDNDEPAGEARMTKSHPSHFHL >A01p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19789942:19791294:-1 gene:A01p026020.1_BraROA transcript:A01p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVLAAAARDYKKEVLANEKAQSSNHVNEEVDLDELMDDPELEKLHADRIAALKREVEKREAFKRQGHGEYREVSEGDFLGEVTRSEKVISHFYHKECYRCKIMDKHLKTLAPRHVDTKFIKVDAENAPFFVTKLAIKTLPCVLLFSKGIAIDRLVGFQDLDTKDDFSTTKLENVLIKKGMLSKKKKEQDDEDAEYQESIRRSVRSSENLDSDSE >A01p028080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18557988:18559104:1 gene:A01p028080.1_BraROA transcript:A01p028080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVKAATLGMPFSIFSSSFYIPPCLPCFRDLLSRRLPIPRSSGYNSSLSQNLATAPSFGCTCLSSPSSFFQNDGYKEEAQLAGIHSMICVGGSEEIHVSDSLMEVLREETGLPWSVSGDTKPSSRSDVASVDVVCMNSINLLGSDGRDHPLKKVKIANMDLSSSSSSGGAGARPFHWQFYKAKDCPITEDPDSVAHLVRHFKSVGSPLPSLWNMMECDTYVKMAFAHAKAYNEFGATVEQMLKDVPCDDELKKLKKVVRELKLSLNLAHDRECANYAPMDDAEKLGNQATSLEAR >A04g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10185089:10187033:1 gene:A04g504720.1_BraROA transcript:A04g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLLSPRDICNLSFCCKSLYDIANSENVWLDQCEIVKVIPSSEIVKWRTGVSSYKSLCRFLVEVMKPLVGVWVYHEPDLGNVVYVMPGFLSVVGCRIIPQEVGPLGIQEGRIMWSPVFEIICGFDGSTKFFLHGRDRKHSCLYPGLVTVIEKSCNVLSLEVEPRLEKTSSEASRQGLFSKLSCTDKRNLVELVTNHVGLHVSEPLSVKLFPTRREDEGMLLERRTMLLKMHKFGENWKHMNLEEDGLFYNPKQIDINEMWEKNRCVYFEYIATDEEREIRYLNRQAFSSGDTFGLSLKGSYTDVFSHLGWPNINGDDFSLYKLPVNNPMNDQEEYAGLWGGTFGWPPGRCDEDETGNAFYLLMLSYEKSEKNNDTPSLEPFPLDADGRDFEHSYTGRGISDGYGFRYPGSKPGSLYVISDDHFAFVWHETKHVFTLKRVNLEEILKKGLGLVHGLVNKMPQEHTYMVRATISLFPLHKPNFLLHSTLCYSTLCLCAAQQ >A01p048880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27500727:27504467:1 gene:A01p048880.1_BraROA transcript:A01p048880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 33 [Source:Projected from Arabidopsis thaliana (AT3G17180) UniProtKB/Swiss-Prot;Acc:Q9LSM9] THQAKGKQARQQKSVMDLTHPRRKLNFLLIISLLLLSLRHQDYNVEAQNSDKVVNLPEQPSNPKISHFSGYVNVNQENTRALFFWFFEALSEDPTRPLVLWLNGGPGCSSIGYGAASELGPFRVVENGTSLRFNQYSWVQEANMLFLESPVGVGFSYTNTSSDLDNLNDSFVADDAYNFMVAWFARYPQYKSRDFFIAGESYAGHYAPQLAEQIYDRNKVKPKESFINLKGFIVGNPLTDDEYDNKGILEYAWSHAVISDNLYDTAKRNCDFKSSNWSEPCNVAMNTMFQKYKEIDIYNIYAPKCTTSNSSAASFLGVFNKSPAMKDWFKRVRWFEGYDPCYSDYAEKYFNRVDVRTSLHATTRNVSRWKVCNDSILQTYQFTVSSMLPTYSKLIKAGLKIWVYSGDADGRVPVIGSRYCVEALGLRVKSEWRSWFHNHQVGGRITEYEGGLTFVTVRGAGHLVPLNKPEEALALFSSFLNGQELPSRP >A09p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18942403:18943744:-1 gene:A09p031350.1_BraROA transcript:A09p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tyrosine-protein phosphatase DSP4 [Source:Projected from Arabidopsis thaliana (AT4G03960) UniProtKB/Swiss-Prot;Acc:Q940L5] MTLESYNGDVQTVPQSENSPNDTEKERELFVPPLNFAMVDNGIFRSGFPEPVSFSFLQSLRLRSIIYLCPEPYPEVNVEFAKSNGIQVFQFGIERCKEPFVNIPDQVIREALQVLLDTENHPVLIHCKSGKHRTGCLVGCVRKIQRWCLSSIFDEYQRFAASKARISDQRFMELFDISNLRHSPLSFSCSNRC >A03p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15135229:15137212:1 gene:A03p036160.1_BraROA transcript:A03p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDELQETELSYDQKKEIAKWFLLNAPAGEINYVAKDLKEVLSDEEVYNEAAMEAFPVYNKSHMICLEMPSRAGDVIISSYSEITENEYLDPRTAQVAIVDHVKQICTKVRPAYDEELPSSYIEEFRSALDAEIQRYVSESYPKGVSTVNCVKGKYADGPGTDFELVVIITARKLSPQNFCNGSWRSVWNIDFQDESQVLDIKGKVQVGAHYFEEGNVELDAKKEFQDSTIFQSADDCAIAIANIIRHHETEYLGALEVAYSKLPDNTFKDLRRKLPVTRTLFPWQNTLQFSLTREVEKELGLGK >A06p003350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4730258:4733073:-1 gene:A06p003350.1_BraROA transcript:A06p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIQAKALEEKLKSQLRLLELEHAVFERMVYKNKNQHRRCSYFQYLLKVRRDLRLLRTANMVEILRPCFHVIAGTGTKQKLHVLESLKLKKSPSILDRLRGALHLLSQMTEPILKAASGISVLLACSFFIGSSMTFLALLARLRVLIQQILLDAVSVFNSVTSTSLKKQSVKIAQDGVEVFREFYPKDEECFTLLDCVWKTDKYVLLETLQNCESSKPIEENVSEDVTTRDSLVQYQTSVSSLGEDLSPLPEAENDGVTVTESLTPITETASSNTNNALQLGVSENQGDATTRDCSIQYETSISPLGEDLSRSPEADNGGVTVTTIVQTISAKTNNVLQPEVSEKSEDAGTSRDCSVQYQRFVSPLGEDMSPPLPEADKDGGATATKSSTPIAEAEPEDLKKPSEDESTKPPSPEKINTNTTKPSCRATKVAFLPVKRPLAAITPNPIEEPPRKKQETGEKDKKEAEEDGFYNLLIRGTHKDSLF >A02p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26956334:26960729:-1 gene:A02p042960.1_BraROA transcript:A02p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKHRIYLTKRDQREREEMSLQEEGDDYTKDGTVDLRGNPVRRSQRGRWKACSFVIVYEMFERMAYYGISSNLVIFMTTKLHQGTVQSSNNVTNWVGTIFLTPILGAYVADAHLGRYLTFVISSAICFLGMLVLTLSVSIPGMKPPECSTTSAEDCEQASVLQLALFFGALYILAFGTGGTKPNISTIGADQFDEWDPKEKMQKISFFNWWMFGIFFGTLFANTILIYVQDNVGWGWGYGLPTLGLAISISVFLLGTPFYRHKLPTGSPFLTMARVIVASFRKAKAPTPLDPTRFHEPSSLEYERKGTFPIHSTQSLRFLDRASLKTGTTGQWDLCTTTEVEETKQMLNMLPTMGITFVPSAMIAQINTLFVKQGTTLNARIVGNFSIPPASLSAFGTVSFLVSIVIYDRVLVKIAQKLTGNPRGITLLQRMGIGLIFYIIVMTVASLTERYRLKVAAEHGLIHQTGVKLPLTIFVLLPQFVLMGIADAFLVVAKLEFFYDQAPESMKSLGTSYSLTSLGIGNFLSSFLLSTVSKITIKRGRGWILNNLNESRLDYYYLFFALLNFVNFALFLGVVKFYVYRAEATHSVNVKEEESKVVGIKEDE >A06p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19200207:19200514:-1 gene:A06p035500.1_BraROA transcript:A06p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMFDFCRDQKVGPSEALKSYPYQMRTGKLQIPEMEQLANASRGLAPDMNNGFFSTEVDESMGFPWKA >A01g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12169482:12170297:1 gene:A01g504030.1_BraROA transcript:A01g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLRLHIQTHQIEVVCWLIHKYLIGVNVVLVLLCSFNITDGWVKGSLQNLKFLGMLVIRIAVGICRDLRKKSLLPFDVLLTTYDIALVDQDFLSQVPWYLMKLKYSRIPTVYVTYLEKFIEL >A08p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18025143:18026480:1 gene:A08p029040.1_BraROA transcript:A08p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVTSSFKPLAMADSSSSTIFSHPSLSIISPRCSFLTNLPLSFSRVSLSLKAKTNLKKSPFVSFVAQTSDWEGEEGGDVSASVAVEKNEPEATFSEGEGDVSEGGDFPEPPEEAKLFVGNLAYDVDSQALAMLFEQAGTVEIAEVIYNRETDQSRGFGFVTMSTVEEAETAVEKFNRYDLNGRLLTVNKAAPRGSRPERQPRVYEPAFRVYVGNLPWDVDNGRLEQVFSEHGKVVEARVVYDRETGRSRGFGFVTMSNETELNDAIAALDGQNMEGRAIRVNVAEERPRRGF >A04p013300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4336376:4336771:-1 gene:A04p013300.1_BraROA transcript:A04p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHMPFCMLSSHARRHIHVQLPFSMSGVHASRHTDLCMSVCMRRLQVLRHFVLLCVELHGTASCTSTPPCCYETQQIKCLTPRPGPLDHATSSFSVDLRDFGSSGEFSSGDQSRIFFHSQSDELHILNRL >A04p025070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15165315:15165858:-1 gene:A04p025070.1_BraROA transcript:A04p025070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTMHLKFMSLPLERPTRIKLSRGWITKAREIYSTSRQLCGVRGNIKAPAEALFWQPRKSLTFILTFESEQEHNTVITLTRKYDFDCNIYGIYLTGLLISAGYTAWAR >A01p043440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24892212:24893746:-1 gene:A01p043440.1_BraROA transcript:A01p043440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTQLQQQELTQEQIMEFKEAFCLFDKDGDGCITADELATVIRSLDQNPTEQELQDMINEIDSDGNGTIEFSEFLNLMANKIQETDADEELKEAFKVFDKDQNGYISASELRHVMINLGEKLTDEEVAQMIKEADLDGDGQVNYDEFVRMMMTSG >A03p062770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27245976:27247271:1 gene:A03p062770.1_BraROA transcript:A03p062770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNSDDIMKFLDGMASSDDVLFGFLDEGNHSPEDYPDSGSFAGGEESDMDNDAAGCNSEESKTFWNEQEQLLQATLYRTSSVETRIRQATKEALKEVRSKGLQCVCRRPVTGGCRSCLRGEVASRLRDAGYDCVICKSKWRSNHEIPAGEHEYLEIVDKSGSKKGEIRVVIELSFRAEFEMARGSDEYKRLIGILPEVYVGKTERLKSLIKILCTAAKKCMKDRKMHMGPWRKHKYMQAKWHGTCERKSVMLVDTETEEDKSVMPKPRVSMLNYGIYGNLSSGMGRPAAVAVV >A10p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16988132:16991009:1 gene:A10p026920.1_BraROA transcript:A10p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATENRDKEEEEVKTDDGSPKIKPRPIVQLGIFLISHSPVFSVVFSAAGVMALLLLPLLAKNTYISENALMPGSARSMLSHRDVSDGSKLVNDIKSFRLNHEGQGVEVQRLIGNYMLEMGAEVSYQKFQPKGNHFHPLHFFSGPASYTNLGNVSCAAYGVNVAGIIRAPRGDGKESIVLVTPYDFLNGGDYEALSLGIASSLFSLLARVTWLSKDIIWLVADSRYGDYRPVAAWLTEYHTPSFEVSDFSKCDELNISDSFRRAGTVAAALVVKVDGRSERFEDTLSIYAEASNGQMPNLDLINVVNYLAVHRQGFYVKVEKFVSLLSSSWLKTVGEIFEAVGKVARSLNPDWKFGIPAADYLEGSATLASSLYSQALGIPTGPHGAFRDYQVDAITLKVSPRFPPDNKGRQHEFFLRGAQLLEGTIRSVNNLLEKFHQSFFLYLLTSPGKFISVGVYMIAFALLVAPLPMVAASLYIDGCTTQNPAENLKSWKWLDAAKQVFALHLLGFIVTLLPYFICQVPGEQSPTNRSIIWATTSSSLLLITFVTIPGCSPFSSRLHGTNWAVLKSVTISAAFIGLCLMSIINFATAEIGALLLVPMCLMVRPIRPDLRSGRVKSLLRALCSIALVTIGFPVMFFAISKGLIGEGLVGLSLGGEFWTWLESLWAWKSATYLYIGMVHLPCWLLCLCILFHPS >A02p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13196794:13198700:1 gene:A02p027200.1_BraROA transcript:A02p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRQIWSEPMMRGVPPSPRDSHSCTTVGDNLFVYGGTDGKYYLNDLHVLDTSSHTWKCLEVRGEEPDAREAHSATLVGKHIFVFGGRGKVPGLDDEVYFNDLYILNTETITWQRAVTTGTRPFARDGHTCSTWNNKIIVVGGEHFEGEYLSDVHILDTDTFAWKQLKTSWQQLTPRAGHITVAIERNLFVFGGFRDPQSLYNDLYVLDVETGVWSKIVAMEDRPPARFSAAAVCLGPYKAGSFFFFGGCNKNLKPLDDIYYFHTDGVVEARSAQTRGRLPLRKLKCKEQQKEAVEQGKTVFQARVTENSPLGYYTIETIIDGKVLRGVLLSNRHHSSVQTADPSSTSRQVCWKRSGMLDADCDHRAKTQRTLSKDTAGSSQQAGPVDPSDDAYKKANVEPLRNEMSTDVGAGGDSSPQKQDEERVAAEDAEPK >A06p052950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27958827:27959431:-1 gene:A06p052950.1_BraROA transcript:A06p052950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREREFSVSHVMRRSIPSFVFPGGVRPLRNLKRTRHSKRSSEQIVSSTGSSSSTITSCESKVSAHVENSEDGSPSSSAGSICSAPKKDCCTKGAGESHHPVDKIATPQASHSQESEEVEDNFGLGKQVVKQIPSKYAISATTSSKDAFSNGAVEELENVPMHQPVVTHKASVQ >A02g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24378340:24379872:-1 gene:A02g509120.1_BraROA transcript:A02g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLATTLDDFVPSSSSVPCCVEDQKIMLRTALFQVSGRVKLKDKTGSLSRTVFCLDIKESGRISQIIVTVLNLKCTGILLYTHSPESSRITVNFSCDTEQDHEDTMMGSHPGGRVTACSVSVRSWNI >A07p040580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21503990:21505655:1 gene:A07p040580.1_BraROA transcript:A07p040580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSQGLKKGAWTAEEDNLLRQCIDKYGEGKWHQVPLRAGLNRCRKSCRLRWLNYLKPSIKRGKLNSDEVDLLIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHLSKKHEPGCKTQMKKRNIPCSYTTPAQKIDVFKPRPRSFTVNSGCSHNNGMPEAGIVPLCLGHNDTNNVSENIITCNKDDDKSELVSHLMDGQNRWWESLLDESQDPAALFPETTAIKKGATSAFDVEQLWSLLDGETGT >A05p040500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24779648:24782144:1 gene:A05p040500.1_BraROA transcript:A05p040500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKGYPRGFRADSIRSETGRGSFRSDSMQFDEPHELPQENSTNLVLFKHIDSGNLDATKAFLDRNPEALTASLTSNGDRPIHKAVLSGHIKIVEEIVKRIHDPVQELVIKNDDGYTALAYAATGGIVRIAECLVKKCPRLVSVRNAKEHIPIVLASLYGHKDLVRYLYSHTLLSDLDPCDELDEHKGKNGAMLVTNCIVDGLYGIALDLIQRYPQLAYTRDSDNDTAIIALAQSPCAFPSGTNLAFWQRWVYSCIHIEKINNPHDGLNDYHHQYKKPQDHNWIQEKLLKYLRFFFPHIRKVYKLKLGHAQAKEILNCICQEIPNFDASQQKNAGLNQALFKAVENGIVEYIEEVMKHYPDIVWFKDNYGLNLFFYAVSHRQEKIFSLIYKMGAKKNILATAWDKLHNNMLHHAAYKAPVSRLNLIPGAALQMQRELQWFKEVERLVQPKHRKMVNLKQKKTPQALFKDQHKELVDQGEKWMKDTATSCTVVAALITTMMFSSAFTVPDSYNGQGRLFMIFLISDAISLFTSCMSLLMFLGILKSRYREEDFLRSLPTKLIVGLATLFLSMTTMMVSFVVTLMTLVRGKTPWVSAQFMVLAVVPIGMFGVLQFPVLLEIFCSTYFPKVFDKPRESRRVSKLCWRK >A06p051990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27513414:27515772:1 gene:A06p051990.1_BraROA transcript:A06p051990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRKKKKDDSKKKERHIVTWSQEEDDILRKQITLHGTDNWAIIASNFTDKSTRQCRRRWYTYLNSDFKRGGWSPEEDTLLCEAQRVFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKYEAMAKDNSLASCVINSNNKRMLLPDCGISTPPKAETHSPLAKKMRRSDVPDVTVFGSYGDRSHIKVNSGVNQHLYGNRSHIKVVNQQIRPPFLVLAHNEEKEEEKNQTNSAKESEGEDKGNHEVFLKKDDPKATCLMQQAELLSSLAQKVNADNTDQSMENAWKVLQDFFNKSKENDLFRYGLPDIDFQLEEFKDLVDDLRSSNEDSQASWRQPDLHDSPASSDYSSGSTTIPHSSGDQTQQPMSGSQTETQEQNAGELLPDNVQVDLLSTCHEIVPMSVEEEFNSPVQVTPLFRSLAAGIPSPQFSESERNFVLKTLGVESPCPSANLSQPPPCKRVLLDSL >A04p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20066359:20068914:-1 gene:A04p034750.1_BraROA transcript:A04p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTKSKSAERVGKGKVTPMQVAFLVDRYLCDNRFFETRSVFRSEASSLISKSPLREVPCSLIPLDDILNEYISLKEQKVIVDQEKARLDQEKTRVQNLLQGMQDVMNVYNSTAASAPPPPAITSSAAPTAIQVAASTSQPSNSIVVSPLGGTVHHSAPNLMAASLPGNKRVGNFTAPSSTQSVTRKRKSPEVSLGAPPPPSVSSKGMKKIPRAAAKGTNYLTFQPSPNTQTPVNNNSVANDSSDLTSTVAKRLCYGPSDMSPPTTNSACPKTPQKQVSPQQEVTPPTNCTILTKERITVSPNKQIGSYTVERSRIVSSFSPVKSSKRDHVKGRLNFDDDDEEATMHSDAPASGDLVSSSYSSGSGSGSEAEVDLFDIDFLNENFPFSEVLGDFDLGCEGITDSCLPQPSDFHMETASGSSPGSVNENLVPDQVVSEFTSTVTEMIQEKDMNTQGSDSMTTVKSITKCLRILSPGTCSFSLIWYMIYNHMPRVADKEVQIEGFRESFKH >A04p040840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23035305:23038789:-1 gene:A04p040840.1_BraROA transcript:A04p040840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDRRKNVYEEEMSENFFRAREEAFPAKMEHGGYNTSTPDVFVLFEAASKLTFVGLVRSFGMLFSGGVLKKLSAFSAFSISLSSEIIWIEFHDFLQILSVKNIKYHKTCGENLRNQDVLNEDRISDLPEALLMQILSSLATKNVIATSVLSKGWRSLWKKVPSIKFDSWYHKTEPHRFSEIVYKSLLSYNSPVLDSFYLVDMCVSEVSDDITIWIGIAFARHVRKLVLKLLLDEKYYGNLIRFPSVFCNCNNTLDTLEISKLFLLDFPSRVGLKSLKKLHLSCVNFRDDESVCNLLCGCASLEDLVVQRRGSYDGVITFTIAVPSLQRLTIVDTFRGTCRGGYVINAPSLKYLNIKGVGLLSFWLHRTHRTESYGFCLIENAPELVEAKITGFTDINLHNENIFVSLTSAKRLSFDFSPFKIKRPTGITFYQLVSLELNTSKSEWSKLLARMLDSSPKLQILKLVNIAYLYHDNKVSTFPLAGDWEQRPKCVPECLLFHLETLMWTGYIWDRKDDREVATYILKNARHLKKATFYTEPIQLEYFQTLEEKHEMLNELASVVRASSSCHLVFESSN >A08p022130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14709364:14715377:-1 gene:A08p022130.1_BraROA transcript:A08p022130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEQDQPYIDHDEEEITQEDAWTVISAYFEEKGLVRQQLDSFDQFIQNTMQEIVDESSDIEIRSASQHNPGHQSDFAETTYNISFGQIYLSKPMMTEADGEMATLFPKAARLRNLTYSAPLCVDVSKRVIKKGHDGVEVTETQDFTQVFIGKVPIMLRSTYCTLNGNSEKDLTELGECPFDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYSYVAEVRSMAENQNRPPSTMFVRMLSGSKGGSSGQFIRCTLPYIKKETPIIIVFRALGFVADKDILERICYEFGDTQMMELLRPSLEEAFVIQSQQVALDYIGKRGAPVGTPKEKRIKYAKDILQKEMLPHEGVGESCESHKAYVFGYIINRLLRCALGRKPEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNPLFAINAKTITSGLKYALATGNWGQANATGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLSKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYVTVGSAASPILAILSDLGTENLEESSPSVIPKATKIFVNGEWVGIHRDPDMLVKTLRLLRRSNGINTEVSVVRDIRLKELRIYTDYGRCSRPLFIVDNQRLLIKKNDIYALQQRVSAEEDGWHQLLANGYIEYIDTEEEETTMISMTINDLVHSRLCPDEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLIKEDFGRPDRGNTLGMRHGSYEKLDDDGLAPPGTRVSGEDVIIGKTTPISQDEAQRQTSRYTRRDHSISLRHSETGMVDQFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGVTPDIIVNPHAIPSRMTIGQLIECIMGKVAAQMGKEGDATPFTDVTVDNISKALHECGYQMRGFERMYNGHTGRPLPAMIFIGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAASFLKERLFDQSDAYRVHVCETCGLIAIANLKNNTFECRGCKNTTDIVQVHIPYACKLLFQELMSMAIAPRMLTTDVKSAKGRK >A10p034830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20172895:20177712:-1 gene:A10p034830.1_BraROA transcript:A10p034830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer envelope protein 64, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G09420) UniProtKB/Swiss-Prot;Acc:F4KCL7] MSKSLTFIQTNASNPKTWVVIGVTVAGIVILAETRKRRIKALREEHFGAFLDRFELLPFPPPPPPAAKQTLSGLTFSISDAFDIKDYITGFGSPQWKKTHEAAEKTAVVVTTLLRNGATCVAKTVMDEMGFGITGENMHYGTPINPLMPSNVPGGCSSGSAVSVAAELVDFALGIDTTGGVRVPASFCGILAFRPSQGTVSSVGVLPNSQSLETAGWFARDPSVLCQVGHALLNLSAVTHKRQRSLIFADDLFELSDVPKQKSVHVVRKAIENLPGYQAPKHMNVGQYVASNVPSLAEFCEKPGKSEDSASTLKALASVMLSIQRHEFKTNHEEWSQTCKSFLGPRFSNDVVAALKSRNENIKSLYRVKTEMRATIQSLLKEDGILVIPTVADPPPKLNTKNKNAMNEFLDRNYALASIASMSGCCQVTIPLGKHGDSPISVSFLAYYGGDKFLLDTILDVYASLQDQADIAASLAPVTDSNGIEPSEVMKEKGNAAYKGRQWNKAVSCYTEAIKLNGANATYFCNRAAAYLELGRFQQAEEDCTEAILIDKKNVKAYLRRGTARESLIRYKESAADFKHALVLEPQNKTAKIAEKRLRKLMKKIRTIQNNMVDYLRLLRCFSFTASRDWLFRQSFANAGLRSVTTNLSHTNSIASTTSMHCWIPKSPNRSKPNLLLVHGFGANAMWQYGEHLRSFTGRFNVYVPDLLFFGLSSTSEPNRTESFQAQCLSRLMEAHGVHTMNIVGISYGGFVGYSLAAQFPEKVEKLVLCCAGVCLEEKDMEDGLFKVPNLEEATEILIPQTPEKLKELIIFSFVKPIRGVPSFFLWDFIDVMCTEFVEEKRDLIKSILKERRLSDLPRIKQKTLIIWGEEDQIFPLELGYRLKRYIGENAEMVVIKKAGHAVNLEKSKEFLKHLKSFLIDSL >A09g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3637235:3637486:-1 gene:A09g501050.1_BraROA transcript:A09g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVEDHRRVRRFEAETVRSRRCATRVDPRAQMLPRVQQPAFLMRVSSRRRSGSYGLGRGPF >A09p066250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52650295:52651145:-1 gene:A09p066250.1_BraROA transcript:A09p066250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLHSKGKGISASALPYKRSPPSWLKTTSQDVDESICKFAKKGLTPSQIGVILRDSHGIPQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >A06p019320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9479104:9480330:-1 gene:A06p019320.1_BraROA transcript:A06p019320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNRIQVSNTKKPLFFYVNLAKIEITLGKSEKFDELMAAANEEKEEQQKLRSRAEHSLLLISDAFVFIHTQTQVLSPPLFSSHSHTLSRCRTFSFHLSC >A06p051870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27454597:27455582:-1 gene:A06p051870.1_BraROA transcript:A06p051870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHASLCLISLLLILPSIFAASSSEDFDFFYFVQQWPGSYCDTQRSCCFPTSGKPAADFGIHGLWPNYKDGTYPSNCDNTKPFDSSSISDLISSMQKSWPTLACPSGSGETFWEHEWEKHGTCSESVIDQHEYFQTALNLKQKADLLGALTKAGINPDGKSYSIESIRDSIKESTGFTPWIQCNTDESGNSQLYQVYLCVDRSGSGLIECPVFPRGKCGDEINFPSF >A08p038540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:22302910:22303887:-1 gene:A08p038540.1_BraROA transcript:A08p038540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQELAYDYSPRFRVYKNGQIQRLVAETFVPPSLTPQNGVVSKDAIYSPEKNLSLRIYLPHQTLETNKQNKKKKKLPLLIYFHGGAFIMETAFSPAYNTFLTSTVSSAGCIAFSVDYRRAPEHPIPIPYEDSWDAVKWIFTHVAGSGPEDLVNDHADFRRVFVAGDSAGANIAHHIAIRAGEENGSIKISGMTLFHPFFVSKAILEEQEDGVRRYMEGIWEVASQNSEKGVEDPWINVVGSDLSGLKCGRVLVMVAGKDVLAREGRVYAEKLEECGWGGRVEVVETEDEDHVFHIRNPGSDNARLLVQRFAEFLRQVCSDKDV >A05g508900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26660327:26662283:-1 gene:A05g508900.1_BraROA transcript:A05g508900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNIEKMASIDAQLRQLVPAKVQELYELSAEYEGKRDPKKLEELGSVLTSLDPGDSIVISKAFSHMLNLANLAEEVQIAYRRRIKKLKKGDFADESSATTESDIEETFKRLVGVLGKSPEEIFDALKNQTVDLVLTAHPTQLVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDESLQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETI >A02g507170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20445928:20446206:-1 gene:A02g507170.1_BraROA transcript:A02g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKNVTTHDLEIKPCSSPGWIKHKLSQGNGNVSKPATDRFECDDRNTDEPSSVTTQRPNLQTARSLRSDRARAKLGPYVATGLEPSSVAT >A01p009090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4359477:4359828:1 gene:A01p009090.1_BraROA transcript:A01p009090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSCSFIFLFMFVFSVFAVAQETKRYQLCTIVIEEQNYCEIADCGIECRIEYNGVGKCLTNSKAGGRLSCFCTYNC >A06g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10298514:10298978:-1 gene:A06g503360.1_BraROA transcript:A06g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLICTSAKWPQENEWMTAPTAIGDIDGLEALDFVVDGVRVAELSTIVDMTGSYIPRDPYSAMDGG >A05p050730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29491470:29493495:1 gene:A05p050730.1_BraROA transcript:A05p050730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH62 [Source:Projected from Arabidopsis thaliana (AT3G07340) UniProtKB/Swiss-Prot;Acc:Q9SRT2] MDNELFMNAGVLSHPSEMTSLSSSSPPAMLNWASMETHPLEQSISRNVPRDCFFGEKSTLSSLVSPPSEPNFSGGGAGENYVIRELVGNLGNIGDIYGAPASFGNGSGSCYAAPMMSSPPLDAFSGDSRFAERAARFSCLGSRSFNGRRTNGPVAETASINGEMTRVSSTSALKPPAGESSGESSRKRKAKSKEHSPSTASPSPNLSKEVEGKEDSDSKRSRKSQENGENTKSLDPYKDFIHVRARRGQATDSHSLAERVRREKISERMKLLQELVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNTRLDFNMDALMSKDIFPSSNNQQVPQLESSSHILLADHHSHTLQLNPNDSSNNIINPMETSESRSFTTRLPTLAHFTDSISQFSTFSEDDLHSIIHMGLAQNQIREPNQVHSTSFQGPSNQVPSHMKAEL >A07g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4124298:4127140:-1 gene:A07g501950.1_BraROA transcript:A07g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSETDHEEVAAKMTSEVGEGFEDEGLVSNSTLEKVAAAKKYIEDHYNKRMRHIQQRKERRLVLEQKIASLDVSEKEQLELLEDLQRKETEYTRLMRNKLCVDDFDLLSIIGRGAFGEVRLCREKKTGNIYAMKKLKKSEMLSRGQVEHVRSERNLLAEVASDCIVKLYYSFQDPEYLYLIMEYLSGGDVMTLLMREETLTETVARFYIAQSILAIESIHKHNYVHRDIKPDNLLLDKHGHMKLSDFGLCKPLDCRNISAMNVNEPLNDENTNESIDDDENCSIGRRGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVSWRTQLVFPDDARLTPEARDLICSLLCDSDHRLGSHGAGAEQIKAHPWFKDVEWEKLYEMDAAFKPVVNGELDTQNFMKFDEVDCPKPTRTGSGPSWKVSITPQNINFVGYTYRNFDAVRSSRHSLDIKGSLSPPRSSTDSTRSDSAIDYALLSTVDASQQ >A03p013590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5341756:5342551:1 gene:A03p013590.1_BraROA transcript:A03p013590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSISELPEDLILKILPLLPFYKESVAKHLLTKQWEDPWKLVPDVMFDDGDESYESFVTFMSFVYGSLLFKKSQILERFHLMLNQKYAASDINFLVKLADNRSVRKLRIQTFGNTLELPSCLSTCVTLKSLVLHQVRIKVVPPCFRFPSLKSLHLFSVKFSGYESLKTTSTHLEIMEWRQYEGTEQERHMAAYVLANATCLKTATFSTRCGDKHHNVLRKLKNLNRGSKTCQLLFD >A04p031620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18604557:18605068:1 gene:A04p031620.1_BraROA transcript:A04p031620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRSRAEGKRSLREMSEEEEEDEEDEETFEGEEDEDTSEEEAVEKKQKGKATTSSSSGTGACQVERCTADMNRAKQYHKRHRVCEFHAKAPLVRISGLYQRFCQQCSRFHELSEFDDTKRSCRRRLAGHNERRRRNTSQ >A08g507130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12422296:12422955:-1 gene:A08g507130.1_BraROA transcript:A08g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMIIQNRKPKSSKRDTPFLTRLSRARKYTIADVRRCIRSNQKEVTDKECRNVFRHARLRGLANHPLRTLRSYADEMKVCLSYGNREAHYIEGVKHLFALHDRTKGMRHLKLSAKRNYKKGKYLYALLKLLAGDHDEGMNLLDVHKWRSNTYVVDKLWKQVKRSLHEVPIIKNNFYGMNMILIMPPRACELDKLDNRCSKCFYYKEMAKFMELVHRG >A09p032020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19396149:19396548:1 gene:A09p032020.1_BraROA transcript:A09p032020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRRLPSEKFAYSKAFKCFQNKENLQNNVRALSNKNSFQKVRALSNKRLPNKEKQTWRLTKTLRFVMKKRHGRRLCHKTPRKSSSALYVRRLLKKLPWKSFKV >A01g511230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31461474:31464230:-1 gene:A01g511230.1_BraROA transcript:A01g511230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHADDADDYSRPTGETYHAEKALPSGDFYTGQWRDNLPHGHGKYLWTDGCMYVGEWHRGKTMGKGRFSWPSGATYEGDFKNGYMDGKGTYIDSSGDLYRGSWVMNLRHGQGTKSYVNGDCYDGEWRRGLQDGHGRYQWKNENHYIGQWKNGMMNGNGTMIWSNGNRYDGSWEDSAPKGNGTFRWSDGSFYVGVWSKDPKEQNGTYYPSTSTGNFDWQPQQVFYVDLSECVVCTCQRIPVLPSQKMPVWYGSSSEQSSSGNRTKSSERPRRRSVDGRVSNAEMELRNNGSGYLQVDDTESNRSPLGPLRIQPAKKQGQTISKGHKNYDLMLNLQLGIRHSVGRPAPATSLDLKASAFDPKEKLWTKFPSEGSKYTPPHQSCEFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAETKVLIRMLPAYYNHVRACENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCTGHSIHRRFDLKGSSHGRLTTKPESEIDPNTTLKDLDLNFLFRLQKNWFQEFCRQVDKDCEFLEQERIMDYSLLVGLHFRESSCNNSATPTSGAITPTGDNRPSRGEMDRFLLDASKLASMQLGINMPARVERTVRRSDAENQLVGEPTGEFYDVILYFGVIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSRRFRDFIFRIFVEDT >A04p034210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19858158:19859843:-1 gene:A04p034210.1_BraROA transcript:A04p034210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIMNKPVNYFMVDAFTESAFKGNAAAVCILEEDHERDDSWLQSLAAEFNVSETCFVTPISGHDGRFRLRWFTPSLEMDLCGHGTLASAYSLFSNGLVDSDKVEFVTQSGILTTKRVPDTSVKGGSFLVEMNFPVIPTCELYNSSDIKSMFSKALNGATIVDVRGTTKSTTDKFVSEKIVIELSSWEAVTELKPNMDEISKCPGKLIIVTAAAPEGSVYDFCSRFFSPRLGVDEDPVCGSAHCPLAHYWSLKMNKCDFFAYSASRRSGSLKVRYDKENQRVFLTGKAVTVMKGSILA >A04g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11688006:11688696:1 gene:A04g505490.1_BraROA transcript:A04g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQWDYKCVWLPDKEVPPEFTHRAKGNSITIPKRTFSAFSRVMACLLLSPLKELTVPSKNLYLLRCKDVGEEGEMKISSSFHGPNREVRFLNCIKLDEEARRPIIQESAYKFVCFQGQQVPAEFTHKTTGNSVVTITKGTLSIKPTTTFRLWPQDYS >A03p007210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3008491:3009363:1 gene:A03p007210.1_BraROA transcript:A03p007210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein 4 [Source:Projected from Arabidopsis thaliana (AT5G15230) UniProtKB/Swiss-Prot;Acc:P46690] MAKSYGALFLLALIVFSLLQTMVMASSGSRVKYNPKRYGPGSLKRSQCPKECDRRCSQTQYHNACILFCNKCCRKCLCVPPGYYGNKQVCSCYNNWKTQEGGPKCP >A10p004230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2080425:2085534:-1 gene:A10p004230.1_BraROA transcript:A10p004230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTSAHEVRWYVFGDDDTIFIPKNLARTLSKYDHRSWYYIGAASEIYESNRVFGNDMAFGGGGIALSSSLANVLAKIFDSCIERYPYLYGGDSRIHACVLELGVGLSHEPGFHQFDLNGNALGILTSHSTRPLVSLHHMSHLDPLFPNSTTFSAVQHLFSAVELDPLRILQLSVCYDRRYSWTISVSWGYTVQIESRHMFVRDVLRTQQTFRPWQNFGGLASVYTFNTREFNPDPCKRPVTFFMEYVSSHPGDGTIKSVYKQAYENCTYDPTSSPRKIEEIRVFSTRLDPHIRQLKAPRRQCCDILHNSTKGKVMEIAIRECKEDEFIYMQP >A09p057720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48551486:48553778:-1 gene:A09p057720.1_BraROA transcript:A09p057720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDKLDHHRCNDSDAQDPTSMTIEFLRARLLAERAVSKSARAKLDGLADKVAELEEQLKIVSLQRKKAEQATADVLAILAENGFNDVSDGYDSTSNQESYSQTSSVSGKSLSWKGRRREAAASSDKAKEPRNRRQRGFESAYIWRPRHRQGRSCRQIKRSESRTVSEDHKGDGNAVVDTEVVPNASEEASRTVVDVAVMKGDESLQNVLEKRDSMDINLERALAKRAQVIGSFEDMEETQKQWEKNFTDNKSSALDSCDVGNHSDVTDESNGEKTQTQLQGSTLAANEADQGSPDHSVTSSSDKCCNSCGSKAMEQDASSAEDKGKNIPESTKSETSHSQSSQGISQHSSSAIQPNSRGSSFRSNATTFQKVDYPLVPASKEKSDNCETVLTALQQAKLSLQEKVNSLHTRKPEYQSESSYPSTPGSYALSIEAAPGSKSSLPASNTGSMVEFPVGCAGLFRVPTDFSPDASTRNSILASSSSQKALISHTPDTSPPLSMDERPLTTPYIGGLKLYAGFREDTQESRHYKATPSVSGSVISGFGGNQLSSSTSLNLDRQVSTYTHMTPTRSLYPDSVLRSREMYSTPYYTRAVGFPPSGGSGPGDGLFR >A01p003650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1591329:1593743:1 gene:A01p003650.1_BraROA transcript:A01p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g35130, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G35130) UniProtKB/Swiss-Prot;Acc:O49619] MSATSLARCSPIYYSCDACKCVSSSQNHQTNENRNLVTNRRSSKPNRLILHNRSKNPNDPSLTRALREFADSGLMEDALHLFDEMNKADVFVWNVMIKGFTTCGLHHEALRFYSRMVLTGIKADSFTYPFVIKSAAGASSLLEGKTIQAAVIKLGFDSDVYVCNSLISFYMKLGWAMDAEKVFDEMPERDIVSWNSIVSGFLAVGDCVRSLVMFKEMMRFGFEPDRFSIMSALGACSHVEDCLRMGKEIHCYAIRRGIEDVMVLTSVLDMYNRYSEVSYAERVFNGMSNRNIVAWNVMIGCYARNGRGVDAFLCFQKMSEGPDVITLINLLPACEEILEGRAVHGYATRRGFLPHVVLETALIDMYGEGGKVKSAEAVFDRMGEKNLVSWNSMIAAYVQNGENYSALKLFRELWDLDSSSLVPDSTTIASILPAYAESLSLSEGRQLHAYVVKARYSSNTIVLNSLVHMYAMCGDLGDARKCFDQVVFKDVVSWNSIIMAYGVHGFGRISVCLFSEMITTSGVSPNKSTFASLLAACSISGMIDEGWDYFNSMKREYGIDPGIEHYVYMVDLIGRTGNLSSAKRFIEDMPFVPTARVWGSLLKASRNHNDITVAEFAAEQVLKMEHDNTGCYVLLLNMYSEAGRWEDVDRIKLLMKSQGVSKTTSCSTVVTRGRTHVFTNGDRSHVETNKIYEVLDIVSRMVEEEEEEEEESYVHCVSKMRPETLLKKKRNSPRRHSVRLATCLGLISTETGRAVVVRNNTRICRRCHEFLEKASKVTRREIVVGDSKIFHHFSNGCCSCGNYW >A08p038340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22222133:22224136:-1 gene:A08p038340.1_BraROA transcript:A08p038340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA CA1 [Source:Projected from Arabidopsis thaliana (AT1G19580) UniProtKB/TrEMBL;Acc:A0A178WHZ1] MGTLGRAFYSVGFWIRETGQALDRLGCRLQGKNCFREQLSRHRTLMNVFDKAPIVDKEAFVAPSASVIGNVQIGRGSSIWYGCVLRGDVNTVSVGSGTNIQDNSLVHVAKSNLSGKVPPTIIGDNVTIGHSAVLHGCTIEDEAFIGMGATLLDGVVVEKHALVAAGALVRQNTRIPSGEVWGGNPAKFLRKLKSKEIDFIPVSAENYSNLAKAHAAENAKPLNAIEFEKVLRKRYAAKDEEYDSMLGIVRETPPELKLPNKNESKPSVL >A09g509630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28173074:28183191:1 gene:A09g509630.1_BraROA transcript:A09g509630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETKAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFHSRSVSRVLPRDVNSAGVLKVSNINIEAWFKNLLSFDIINKLNGKKYRFESSRRIFFEKMLVRMTVWSSMKVFYRGRKFRRRTNLQASASSRDAEDLLFFRMPRFLLEMFAGLKIFRDVARDVSYSSRSFVTNFSLHAAYYGESCLELPMEYYRSLFQYDLVAELDLANHREESAPFNVHDATYILEFSSSQMFTMLFRDFLGTTETERNALPEKASILWLIVEFQFDIGTKKRLNRYFEGLCTFPLLMFGMIDNSGRFIDDAWTVIWLFPRSQLDMRGDRFSTFGEFRSDRGHDITGRYVATGGLTGRYVASGSRTRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQVAM >A04p003550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1757973:1765781:1 gene:A04p003550.1_BraROA transcript:A04p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGRTSNTVQSNRPTKNGRRDQKPQKKNGATRASEQERLKSLEAKEESKVSESVSLTTTQADDNTVNGSSESHPESESSEVAKKQETVNGLVKDFDDDKRADLLVEEVQEDASDNGISSGSEKEASEDEEALKQKVESLETRIEKLEDELREVAALEISLYSVVPDHSSSAHKLHTPARRVSRLYIHACKHWSQEKRATVARNTVSGLILAAKSCGNDVSRLTFWLSNIITLREITSHAFTQTSKSNGSETFTAALKKVEFWIFSRIVESVWWQVFTPHMQSPENDGKTNEKLKGDQEQGSFSISLWQNAFKAALSRLCPTRGEGHECGCLPILSKMVMEKCIARVDVAMFNAILRESEHQIPTDPVSDPILDSKVLPITAGDLSFGSGAQLKNAIGNWCRCLAEDSVEEDEKYFSLLNELSDLLMLPKDMLMDLSVREEVCPSISLPLIKRILCNFTPDEFCPDHVPGAVLEELNAESVAEQKLSGVSFPYASSPVTYVPPSSINVGDISRMSRNASVIQRKGYTSDEELDELDSPLTFVIDKVYVSLSSGHNGKVKQQDEQVGEVVENARYELLRESISDQKLSGVTFPYAAPPVTYIPPTSTNVAKKISEVGGDMSRNASVIQRKGYTSDEELDELDSPLTFVIDKFYVSLNSGHNGKVKQQDEQVGEVVENEKDKARKCFLENGSMFLEQLIADCNGISNPIRMFSSDQISKATNQFDPMCSLPHISPYFTWYKGVIEGRSYLIKRFIHPVVGEEERAYSDIAFSSNSLVLVSIFLFRALNIRGSVGSEDGPLLPWNVHLKIAKEVATAITYLHTAFPRIILHRDIKAANVFLDKNWKASLTDFSSAVTLPEGYVDSYYLATGIVTEYSDVFSFGILMLVLLLGRPQLLPDGHSDFRTSVLDYVKDLRERGEPVEFGGDMRPGQMNMLLDLALRYCEERNEDRPKMISKPQRKLYRLSLTHNGDGILEKEEGEGQGEKVVSKKWKHVSCATYSRQ >A07p043280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23650041:23651838:1 gene:A07p043280.1_BraROA transcript:A07p043280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL18 [Source:Projected from Arabidopsis thaliana (AT1G69790) UniProtKB/Swiss-Prot;Acc:Q5XF79] MGNCLDSPARVDNRESSFGGSSRISPKPSQPSRLSSLIIPSYSNRSFTSSWSVHTPRSEGELLPSPTLKAFTFNELKTATRNFKPNNLIGEGGFGYVYKGWIGEQSLSPSKPGSGMVVAVKKLKSEGFQGHKEWLTEVHYLGRLHHINLVKLIGFCLEGEKRLLVYEYMPKGSLENHLFRRGADPIPWKTRMKVAISAARGLAFLHEAKVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVTTQVMGTQGYAAPEYIATGRLTAKSDVYSFGVVLLELLSGRPTVDKSKVGVEQNLVDWAIPYLVDRRKVFRIMDTKLGGRYPHKGACAAANIALRCLNTEAKLRPEMSDVLSTLQQLETLTKTGSTPNAIMSPSSVSENRGRSLRSR >A10g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8299738:8301644:-1 gene:A10g503160.1_BraROA transcript:A10g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPFSPSCDFNQCVLVWLRAEGLVFKSLESPKFFSLGFYRLDLSLSLVFPSQSHGIKSHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMVGDLTLGREGTSLASVRVPYDISPCPDELTIGYCFVGLKSLELYPIGALVFFGCWSKAIRKSVTSCLPVVLLNSTGGCQFFRERYGTELIHRIHLRTRELSMSRWVLIVIRFREIQLHMITQMHLFYFLLMLIQFMMSRTRTGAIQS >A02p058530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35087771:35089616:-1 gene:A02p058530.1_BraROA transcript:A02p058530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDPEREYSFLTRDDLKVKMNKQIDDLSDIFLLSKPAATVLLMNLHWDSQKVSERLSEDKEKLLMRSGLMSSNYGDSFFSVEKNLAMLTLEAKDMHNEHVLRSFLGQNKGLTIKQCPSPGCGYFIEFHRDIGFEEYGLNVVCLCGHTFCGRCRLETHGPVTCNNASDWLRDLGKLSESLSVSWIESNTKPCPHCQFPLEVGSRSRLFRFVECLYCSGRFCSECMQTVESHNTADGYYGACVAPLLPQLVNEPEVVVSCVDRWEASDVAMVEAKSELESFDESHFTSQEYIRNMREGLMLIVQCRQFLKWSCVYDHVHTEYQASKREYLRFLQDFASTLVQSYSGTLKEETVKAISATTHEETICPKWKLANATSSIGNFFFHFSKTLQDGIDDVKVKSYDNFAGPYWLCDRCTSGNTWLDMRCKMCCASATPVEKKLRDLSI >A09p083710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60199316:60202530:1 gene:A09p083710.1_BraROA transcript:A09p083710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSEEGAKVQVFVDWLQVNGAELRGCTINSSPKGFGIIFAEAQGSSDDDDDDVLVVVPLELAITPMRVLQEPLLGPECRSMFELGHVDDRFLIILFLTFERLRPLSSWKPYLDMLPTRFGNPLWFSDDDFLELKGTNLYDATLLQKKKLLSLYHDKVEPLLKKLLLLDGVSESNVSFEHFLWANSVFWSRALNLPLPHSFVFPQNQEDVGEIQDQAPGATSVGSGDTVWVEGLVPGIDFCNHDLKPFATWEVDGTGSVSKVPSSMYLLSGCPILFLFAQNPIPNKEITISYGNKGNEELLYLYGFVIENNPDDYLMVHYPVEAIPSIPFSDSKGQLLEAQKAQLRCLLPKSLLDHGFFPQTTSKVRESDEKGTAARSCNFSWSGQRKTPSYVNKLVFPEDFMTGLRTIAMQEDEIYKFSAMLEELVESRPDEQPSETEVRMAVWEACGDSGALQLLVDLLNTKMMKLEENSGSEEQDAGLLEEACVLEIHEQPRELEEEEEGKRMMSRNKWSSVVYRRGQKQLTRLFLKEAEHALHLSLSSDHC >A02g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:458553:460212:1 gene:A02g500130.1_BraROA transcript:A02g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLFPHADKKDEQKSPKPVSPLSDRAGLTKTGSDGSGTSTVSSSNPTLPTRENSLREFTVADLKFVTRNFSRSVMIGEGGFGCVYWGTIRSLQDPSKKIEVAVKQLGKRGLQGHKEWVTEVNFLGVVEHQNLVKLLGHCAEDNEREIQRLLVYEYMPNQSVEFHLAPSSPTVLTWDLRLRIAQDAARGLTYLHEEMDFQIIFRDFKSSNILLDEDWKAKLSDFGLARLGPTPGSTHVSTGKSSPICRAEPSSSMQMERLNIVVGTLGYAAPEYIQTGRLTSKSDVWGYGVFMYELITGRRPLDKNMPKGEQKLLEWVRPYLTDTKRFRLIIDPRLEGKYSIKYVQKLAVVANLCLSRNSKARPKMSEVLEMVTKIVEDSSSRNGGKKRQLVPLRSLGASRAEEEKQKKVVLDGGEGGWLDKLWNPKNVRAC >A02p038670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:21084296:21084910:1 gene:A02p038670.1_BraROA transcript:A02p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVLSEGEPPTKKQKKVKTQNESEADAAGNESEADAAGKGSSEKEGSKELELENKATLTTIVNTLDIISRKFDHVDSRLEAYELDRNIPLMDQKTIDDRVNALLEERLKDLGIGKIPENHDNPSPPLSNPSPPLSKASPVVRTHQKSVNSPALVDATPRPKKNLAKELEKESGVKRDLDEEFGSVDKDTDMRPLDFLVISPA >A05p019650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9202207:9203914:1 gene:A05p019650.1_BraROA transcript:A05p019650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative proteasome subunit alpha type-4-B [Source:Projected from Arabidopsis thaliana (AT4G15165) UniProtKB/Swiss-Prot;Acc:F4JJE5] MFSSRFITSPPSDLSSTVLMCLNYHERNGRIFRGIKHQLRVFVMMVDRQMRDMMLSLTPAPNDARFFLRGETTLYSKGAQYRGGCACVSETSMWALLGIHVLGRKLRSPVNNSPCLPCIERAEEVVSEIKESRTQKKSERKVSSGRLYQVEYAMEAIGNAGSAIGILAKDGVVLIGEKKVTSKLLQTSTSAEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTFMYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNH >A04g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11899811:11907403:-1 gene:A04g505640.1_BraROA transcript:A04g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHWTCHILDPADLKPKSKPNYENTLTKRVFCYSFTLRERERVLKRRSLAWLEPVDRCPQLTIGRRRSNKAKDLLFSDDPAHLERTIRRGQHSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNRSTTIDITPCTSIDTVSSKMVNFIILTQDENGNLYDQDGHLRNATVVRHEKLEEGDFKIGSSMSLGGSQWCRPMSMNSYRSTDHDEDRWTDNSSHRSTSSAKSTECNAVRILTHEEFASKHPHPPSPFYDKIDRSVEPTIDRQSKSDVDRHNTPPIDRQAPMTYRVRLPSIDNDYINALKPPPKPLANPPEPKPNPLNSSPEPVHEDQETEGRRLRKRKEKLPKNLKREANEKEMDGFTKIVLRIPIKKAFDEAYFTNRLWMFFRETKFAIPCVVKGVEFPHSMCDTGASDERFETHKFTNTFPTSLDEVHSTSVDTHPRPAKQPLTSIDTHTGTSINICAAAKIQEQDNIPSPTRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIHTDPNKHVGVAATKINPDQSCQPKGHASIDGTTKTSIDRVTPTSIDKDDPTSIDRRYKFGHRTFDMYGARKFTWERRDEYGVYRDECGHARGIAGEMIPVTKDDIRKLLERASLFEEDHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGDELKSLVEDTHQPLDRGYNELFRCMVEMRTKIESLRQQLEKEAKTSASINAPHAPSINVSLPTAQIPAKPQCSAEHKDEWEVSYIKTRINDVYYPLNNNVDWLNTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKILAMDNRLQTYEDMHDRFANSFSIDRLRGPWIDGKNLVELLPYTAAEVDKITSKIYTTIDTMEERLDKRCDDIYFPFDNRISGLDSHAEWLQKEVKALQRQLAAQHQISASIDRKRAKSLDGKSPRSTDEHLIASIDIESTPDGEQLIHKTIKSMHEELTELSTYTYNNISWHQVSINNVQDRLQNISNVLEKMDDKWTRNDDATRRLGGGNLQGLLSLRILGYKSKRSEQSLVATTIKF >A10g502800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7783148:7783339:-1 gene:A10g502800.1_BraROA transcript:A10g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKKAYYRPKAPVAKRAVRVHDSSLPEMRGVLNCDLTVTDRIGDDTSGDRNLSDISGERHL >A09g502730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9179957:9184133:1 gene:A09g502730.1_BraROA transcript:A09g502730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFHGRKAVRIYIRHRGRTRRNEAEIICWMDVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTREYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLGMVGLRCTVFYCDWHDNTPDRDVRTNAFGVTSVNSRRKLQYYDPFIFASQADQVCYIKYPQVRNIDDPWVTVTRLNPRGRVQGSSKLEDPLQPSTSGNLIDLTDFGEEAAVHVEDEPVIGKFHQDPDSSEIPRKFRGKIGFLGIDLNFFEIFRRNSEEDRGFFGNSIISLEFPRNIPRKFRGTRGNSDGQFRRMPHFIRSNQIFFPISLFLSAKLSLLSREFRRFLPSLSTISGESALILLNFMSNDDQTRPRQRRGRGGTGSQSRGSSNHIQDSASPHSSYHTSPSPLPAHAPPAPAAASAPAPPGPPGVMSVAELVRQPGRDHLPYLTPFNRSGNGISAWINRMMYSALDKGHPTFTDFPTDKQHLWFRQFAQEFNWNSDDTFFTYHHFVHKVMDNYRKQIYEWKKKWEINKVPKSMNDTVWKELCAHWDKEETKETSSTKSINRRSDRKGKGVFKHNLGAQSIATLGDRMAEENDGEPVNDLALMKRAYTYKKTGQIDDGLVRDVVTLVQTQVQDEVSQLLTEDDDSTASTNLSRIRINEISVPKKKGRLVGLGRRSRSAAPFSAPPPFVDPEVLTAQLKDNDDRISVLETQMAAQQAGYEAQKRLNEQMMEMMKRMYPNEVFPNVQDP >A01p009850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4779592:4782912:1 gene:A01p009850.1_BraROA transcript:A01p009850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKDIGSRLPPGFRFHPSDEELVCHYLCNKIRAKYDQGDVEDDDADEALNGATDLVEIDLHTCEPWQLPDVAKLNAKEWYFFSFRDRKYATGYRTNRATISGYWKATGKDRTVMDPRTSQLVGMRKTLVFYRNRAPNGIKTTWIMHEFRLECPNMPPKEDWVLCRVFNKGRDSTLQDTNNEHQTQRFEVNDAPDLNYNNQSQPLLLSPPSNTIDPPHHHDQWEQLIKQPSRSTDHPYHHNCQHQTVACGWEQMMIGSMSSSSSHGPDHESLLNLLYADNKNSVNITDDNYGHNYGKILLSSDITSLDHDKTCMASSSDGGMVSDLHMECGGLSFETENLLAFQ >A10g502970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7939099:7939738:1 gene:A10g502970.1_BraROA transcript:A10g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEPMLNLESVLLDAHCCLNETYLALTLGMLFLFSKDIEIGQLMVTKIYVCSVPNINEKLASHKRVFLLYSLHGPSKPGENVPSAKSYLLEAIVKDCCEVKTDESPSSMLF >A08g507250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12632338:12633357:1 gene:A08g507250.1_BraROA transcript:A08g507250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPPIALSRVGSGRVRSNLGRFLDCTTRSTPFVQTPILGKARGVPWERLYDIATFVDEYSDHDDPVTVIDEKRTYNVKAPARHPIYENFRVKTFKALLTSATSDEQLTALGGFLYQLQPVRTRIRRNKQAGPIQQRYKAATGYLPLIFEGSSPGAGKFGYLRIR >A05p044760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26862002:26868485:-1 gene:A05p044760.1_BraROA transcript:A05p044760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMITIDFLNCFILIFLCFFSLLCYSLFFKKPKDGFDFPPSPPSLPIIGHLHLLLSVLPHKAFQKISSRYGPLLHLRIFKVPFVLASSASVAYELLRTHDVNVSSRGFNTLENSLFIGHETFVGADFGDYYKFMKKVLVMNLFGTQALGLRYMYFRPVRVKRFNRHTDMYINMLYNVNKIRKHILFIVTFVGADFGDKKVLVMNLFGTQALERSRGIRADELERFYARLLDKARKKESVEIGKEAMVLTNYIMSKLLIGRSCSEEDGEAGKVRDSVTKTMGLFKKVFFSNMLGKPLNEKEIRRVSSGFNELLERLLREHEDKHQDTDMMDVLLAVYKDGNADYKITRNHIKLMIVELFLGGTDTAARLIQWAMAEILSEPNILERLRQEIDSVVGKTRLIQETDLPRLPYLQAVVKETLRLHPVGRFHIPERTTLVVNIYAVMRDPDLWQDPDEFKPERFLASSSSEQEDERKKVLKFIPFGSGRRGCPGENLGYIFLETGVGMMVQCSDWTISGDKTVSMEETLEGLSLTMAHPLICTLLPRTEFKSEDSKLLT >A03p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10695114:10702963:1 gene:A03p025430.1_BraROA transcript:A03p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLASPPCLRLTPTTRRHLHPRQPSSACFTHGGIKSSSLSFSSSASGILFNLLALVSLQSSILFEMLKSSLLGFASTLSVVEKELRSSFVTDAVRHVTGSLMRGEGLRFAIVVARFNEVVTKLLLEGAIETFKKYSVREEDIQVIWVPGSFEIGVVAQRLGKSGNFHAVLCIGAVIRGDTTHYDAVANSAASGVLSAGINSGVPCIFGVLTCEDMDQALNRSGGKAGNKGAETALTAVRLKPTSDFALILSSVFDLEEGMSTSENKVEIVDRAHKEEEKEGEGGFLDKVKDFIHDIGEKIEGAIGFGKPTADVSAIHIPKINLERADIVVDVLVKNPNPVPIPLIDIDYLIESDGRKLVSGLIPDAGTIKAHGEETVKIPLTLIYDDIKSTYNDINPGMIIPYRIKVDLIVDVPVLGRLTLPLEKRGEIPIPKKPDVDIEKIKFQKFSLEETVAILHVRLENLNDFDLGVNDLDCEVWLSDVSIGKAEISDSIKLDKNGSGLINVPITFRPKDFGSALWDMIRGKGTGYTIKGNVDVDTPFGGMKLPIIKEGGETRLKKEDDDDDDESKSIPMSALVALCRARAASSLFSSLVRPAFRSLSTGFGDVQNKTLVAEMEEKMLHMDINSMIGSSMPLGMMRIGTIIHNIEMNPGQGAKLVRAAGTNAKILKEPASGKCLIKLPSGDTRWINARCRATIGTVSNPSHGVKKLYKAGQSRWLGIRPKVRGVAMNPCDHPHGGGEGKSKSSGSRGRTSVSPWGKPCKGGYKSASVKKKKKRLAAREAKM >A09p063810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51653936:51654461:-1 gene:A09p063810.1_BraROA transcript:A09p063810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVQLVVLLMACFLLFTSQSKAYTINECNYRGKCNTAADCKVPCEDPKFPPGTIVLVNYHQKITTSNVVSHENEQCGTLT >A02p023040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11043705:11044961:-1 gene:A02p023040.1_BraROA transcript:A02p023040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVILPLCLVLSMITYSNAAYCVCKDGNEQVLQKAIDYACGAGADCTQIQLNGACYQPNTIKNHCDVAVNSYYQKKSSSGATCDFNGAAVISSSPPSTASSCLSGSSSSGTPSTGTPKSETPSTGTPTSGFPSTGTPSTGNPTSGMPNTVTPSTSTGMPTSSSSSVLPGTTLGPVGSGGLGDPNSGVKLSDRTNTVFFLLAGVVMLVMMG >A07p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13869118:13869882:1 gene:A07p024050.1_BraROA transcript:A07p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRQMLEQPQSPFIQRTISINGSPMVDDREEELSRSALALYKEKEDEIERRKMEVRDRVQKKLGLAEEATRRLAEIREELEALTDPMRKEVSAIRKRVDAINRELKPLGQSCQRKEREFKEALEAYNEKNKEKAMFVSKLVELVTESEKLRMTKLDELSKSIDVSLR >A06p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:725286:726814:-1 gene:A06p001650.1_BraROA transcript:A06p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSSPLHLRARVGLSMEMKYPFQSRTRSVWCFPSAVYWNLKEPIIFKTSSSFRQLELKAAAAVRSFYNVFENDNKEEKLSLLKAMEKDIECDRNMKEEERRRKIGLANKGKVPWNKGRKHTEDTRRRIKERTIEALRNPKVRDKMSEHQQPHSDETKEKIRASVKQVWVERSRSKRLKEKFTSLWSENIAEAASKGGSGEVELDWYSYEKSKQEISSEQHQLAKEKARTKEQTKMRTEEAKTEKMRRVVERKKERQERDQREVKTRKPKQNKENATIASRSKLKNKLTKIHKKKTSLAKVAIVKDSVVSVAAKLENLDLELIKKERTRGEISLADQIQAAKSLRGNDILSRVGLFAMKSMDFD >A08g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12124195:12125847:1 gene:A08g507040.1_BraROA transcript:A08g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGLLLGKEIERMNGEGTRKRIKISIPHFDNSDLIKSYSQTLIGHVDLDYGKMRVVLDGRNELCFDSMVDFKGGEYYEGEEVLVTLKYEKLFGHCSLCASLCHTMEVCPLNPNPVKPSENKDLGVGKHEERARSYKGVVINGDNGQSEKDKEWRRPQGKGKGKVHEGQEAKWERVPDRGSKRSSTYHPHNKIEEGSSRHRGARWETTRRQEEEARSRYNPAPRREHTPLRRLGEDSREEGEFRRSGNVKEAPLQVVSQPAAAGLEQMTVPVSESAKITTDTTGVENGLDLVDAMLADGLHTLDQFGSNEGLEWAGEEEEIGDEELQEDGLDALMVSMEQPLTIAESEAKDTITEDETIQIGDNGHQSNERGTEEVQVETKTGTRKPAGLPNIGINTKKFGQVLLSPRKRAVLKHGNRKGAGIKQPEEKGSLHPKQLMKN >A03p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:325118:328792:1 gene:A03p000500.1_BraROA transcript:A03p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal domain (Ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) UniProtKB/TrEMBL;Acc:F4K802] MNRLGQKSVVYHGELRLGELDVKQVSSASGNNEFRFPNDEIRIHHVSPAGERCPPLAILQTIASFSVRCKLESSAPVKPPELMRLHAVCFHELKTAVVLLGETEIHLVAMPSKEKKFPCFWCFSLPLGLYDSCLRMLNTRCLSIVFDLDETLIVAHTMKSFEDRIEALKVWISREVDPVRIHGMSAELKRFMDDRMLLKQYIDNDYAFDNGVLLKAQREEVRPTSDGLEKVYRPVIRLPEKNTVLTRINPEIRDTSVLVKLRPAWEELRSYLTANTRKRFEVYVCTMAERDYALEMWRLLDPEAHLISLKELRDRIVCVKPGQNSSLASFIPVTLVLVRSLYFASSLVPDAKKSLLNVFKGGICHPKMAMVIDDRVKVWEDKDQPRVHVVPAYLPYYAPQAEASLPVPILCVARNVACNVRGYFFKEFDESLMSSISLVYHEDDVETLPPSPDVSNYVIIEDPGFASNGNITAPPVIEGMCGGEVERRLNQASAADSSTPATSNAEQKSETPKPQIAVIPNNASTTTAAALLPSHKPSLLGAPKRDGFTFSDGGRPLMMRPGVDIRNQNFNQPPLLSRIPMQPPSSSMHPQGGWLVDDENRAPFPGRPAGGYSNQLPHGIQGSAPVTNPSHLRSEELCVDDDLKRQNASRQPTEGGIPQSQLVSNGGEHHADSGKSNGGQSHLFVSALQEIGRRCGSKVEFRTVMSTNRELQFSVEVLFTGEKIGIGMAKTKKEAHQQAAENALRSLAGKNYHWVDFSSFLNCGSVITI >A04p029780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17776155:17778695:1 gene:A04p029780.1_BraROA transcript:A04p029780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSEKGLIVSFGEMLIDFVPTESGVSLAEAPGFLKAPGGAPANVAIAVSRLGGRSAFVGKLGDDEFGHMLAGILRENGVADQGINFDTGARTALAFVTLRADGDREFMFYRNPSADMLLRPDELDLDLIRSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGAILSYDPNLREPLWPSNEEAKTQIMSIWDKAEIIKVSDVELEFLTGSNKVDDETAMSLWHPNLKLLLVTLGEKGCRYYAKTFRGSVDPFHVNAVDTTGAGDSFVGALLNKIAEDQSILEDEERLRKVLRFANACGAITTTKKGAIPALPTDAEVLSFLEGK >A04p016780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10271521:10274651:-1 gene:A04p016780.1_BraROA transcript:A04p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNWLQPDAVSVPVSKMLIVPVKREDGCSIELSVGVKRRLRKLHRSTSGWRFKSKTRVKRTTPATISEKARMSKKEMTTYDEEIESTNKSSEEEIQSIPPEVKEGKETEESMETKEDKESDKNKEKGVSEKTKASKSPGTPRRNMAKLMGAAPLAFGLVHKPTTSDDASQQRTSGGSGSANKSESEMVPLNSPVSEKPRPSLADSVTCFGAPKSARPSSVDNTPPDEKALASSKSAGPSSADKICPDARDARDAPDARDARDAPDARDAPDARDAPDARDARDAPDARDAPDARDAPDAPDALDVPDALDVTAEDGVLEEPSLETVIAQLKDAVVKLTDAINTKFAKDPLEALYKEREELTKFVLAIGGLTTVASFSSPPGGLDANGYIRFRDTTCYTVYLLLSNIGFMATYFNVAQLYKPVEEQTNKAETMRRRLDTGWVVLWFVIAAFFGTFTAGFWLVYPKEAEGSSVHSIWPRWFVLGMSILKVVGALLWVFGRKLISYCF >A05p011830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5173673:5175727:1 gene:A05p011830.1_BraROA transcript:A05p011830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNIFEDMAAAATLRLTIPLAFYGHPLTSSLRFPASKSIGNETSSFRTVKMAATVGSDPETTSSTSRVIDSHLHIWASPQEAVTYPYFPGQEPTLTGDVEFLLKNMGDARVDGALIVQPINHKFDHSLVTSVLKKYPSKFVGCCLANPAEDGSGIKELESLVLESNYRAVRFNPYLWPSGQKMTNAVGKAMFSKAGELGVPVGFMCMKGLDLHIAEIEELCTEFPNTTVLLDHAGFCKVPENGEAKDTYSQLMELSRFPQVYVKFSALFRISRTGFPYQDLTPLLSQVVSNFGANRVMWGSDFPFVVLECGYKEAKEAVTIIAKQASLSSSDMDWIMGKTLMQLFPGQWVLP >A02p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26009213:26011997:-1 gene:A02p041200.1_BraROA transcript:A02p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEMVSTKMFECVPSDRSKKEKDLKVIARDQSENFRVFPVDDSGSKQDGNEVLECSSRTSVSETEEAQQIISSPETNSNAFYNLVETENPSRNEIIMGASSLVQIWEARSRPSSPTRNHFFIDSLFFESLNDEARNNGEEVDWCLQLNISDSCEKERESRCSPPLVRIRGRQAFEDFLMMILRERKKYLKWIAGLTAVSKFSPRGCERLQYMLRIRSFERCIAMQERHLFKSSKRSSRGSGVMNNLRYKKNIGQPKIANEAVLSEDTSNKNGLKKHETERKYTEEGEGSGETTEKGIVMGSVETNNTLSIAEKVNLWDSKERSNMGKAKNEGKAARSGIDVEVTEVIDIETDGYRLNPQDVTSMISLEKRKEEENASRVSRERHTDEMFSKDDGETSKDKKLEASETLCLVLESPRFLNGWVKHDMEGEDEYEDYSGESVNYDWVSHISRPRSYWDDLRKERELEIIKKLSKKDDIFQKLIKERTVFSFLTSDFRKNIEKILISRPQKGLEVKGNHVDGEASEECSAEYQEKENETESVDLESVIVCDGFSQDSAMKTWSFEDHEPYLKDHENTSSETQMVCGLMEEVKKMQREMLELKGFVKSCVDFQKFKSASVSDSVQGNCSVCFEKPIDSLLYRCGHMCTCLKCGHELLWSTKKCPICMAPIIDVVRAFLDS >A06p047030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25038965:25040310:1 gene:A06p047030.1_BraROA transcript:A06p047030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSFSIASTVSPASLAGTLVSNSKTVLGGSGKYWKSNDGTKTNRNLKYRVCSVSGGSNTSVENVPFPRDYFELINQAKQAVEMALKDEKQLMEIEFPTSGLASVPGDGEGATEMTESMNMIHQFCDRLISPEKARTTRIFFPEANEVKFAKKNVFEGTYFKLDYLTKPSLFEDFGFFERVKMADRVKPEDELFLVAYPYFNVNEMLVVEELYKEAVVNTERKLIIFNGELDRIRSGCILFLLLIKWN >A01p037920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15052579:15054458:1 gene:A01p037920.1_BraROA transcript:A01p037920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTLSFPHSISISSISHLPSPRTLSPPRCCNSIPSQDMEAKPSQGLYPLHRCKTIHLVRHAQGVHNVEGEKNHDAYLSEDLFDAHLTPLGWQQVDNLRKHVKASGISNRIELVVVSPLLRTLQTAVGTFGGEGYIDGVDAPPLMKAGAGDSDRPAISSLNRPPFIAVESCREHLVETDEDVLWKPEVREEDKDLAARGVKFMNWLSTRKEKEIAVVTHSGFLYHTLNSFGNDCDPAVKSEISSHFANCELRSVVLVDKCMNGSDPPVTNYPGKIPSGEDLPSDIADEK >A03p002200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1035873:1037685:1 gene:A03p002200.1_BraROA transcript:A03p002200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPQSASLDRWRDYFRRGGSDIFEIIDHAIMVAATDCPNKFKSRRDRIAELLFSCRVTRCTGCHHHSELSLPGEEEAVDGSKESKVNSSRGDNNQIIVGCYVCDDDDDEAEALSDAIEEFSVVSKEVVRIKEIFLNKDDEPHSVIVEALRKLKLMSLDVDVLKSTEIGKAVNGLRKHGSDNIRQLAKTLIAEWKELVDQWVNTTKEIAGGAEGTPESANLSVVDEEEEEVFPSLPYGVDIFTPEANGFEMLNGDFFDSLDFDGNPCNSGEYNTSREDQRRPQKRRPEGTQMRIQKPSPADGTRRPLIQRMKNEVGSVHKSESPMIQRRKPPQEKHKGLDADAKFEFAKRKLQESYQQHDKAKKQRTIQVLETIPKQGSAAQKPQLKRPGMNNRNWANGRK >A06p049830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26271847:26273068:-1 gene:A06p049830.1_BraROA transcript:A06p049830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVITRLICRRIHGTSDVTVPKLSGFSIVSPKYVEVEYADGTKFKFSSEYLRVNSPAADGKIRSIGGDKVISGRRYVGIMSAEPVGNYGCLMTCIDDRTGIYPWDYFYELGSNKFSLMRNYIKTLQKHRLSREPPPPRRK >A08p033680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20169304:20170543:-1 gene:A08p033680.1_BraROA transcript:A08p033680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQDSIDKLQSTFHKWERVSPGMGDQVHVTKELLANCGSIEWQCKLESFSMAIEAVIADRKVDELEKAVAVAAKDPALYGIDDAELERRRRWTSNARTQVRNVKTGVLAGKGSAGAGNASEVRRELMRMPNSNEASRYDQYGGRDDDGFVQSESDRQMLLIKQQDEELDELSKSVERIGGVGLTIHDELVAQERIIDELGTEMDSTKNRLEFVQKKVGMVMKKAGAKGQMMMICFLLVLFIILFVLVFLT >A08p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14171628:14174290:1 gene:A08p021050.1_BraROA transcript:A08p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-T1-4 [Source:Projected from Arabidopsis thaliana (AT4G19600) UniProtKB/Swiss-Prot;Acc:Q8GYM6] MAGVLGGECSYNESGVSSYSRNSNENQEEGSRWYFGRKEIEENSPSRLDGIDLKKETYLRKSYCTFLQDLGMRLKVPQITIATSIIFCHRFFIRQSHARNDRRTIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPATAQKIKQKEVYEQQKELILSGEKIVLSTLGFDFNVYHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEDVSNQMLELYEQNRVPASQGSEVESSVGGGSGHHVGSKPISAQRPSHEHSKSDSHGGSSKATENQRNENGSGEAGSVITEHTENQPADKSRPGVEEPAKDKTERTGARLPDDSAAHDKSTSDVPVSQSPKDLKLLRDKVKAKLEAKKLQGERTRKKDLIDEDDLIERELEDVELAVDDDKDSQKKNSKTNHMGSEQGELPDGNKLVGNAEEGEMLDDVSLTVPSRKRKMESPCEKQLGEGKRQHNDSSEKLEEGDKTSRGGSSSHNSHGD >A03p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9565782:9572811:-1 gene:A03p022680.1_BraROA transcript:A03p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts UPF2 [Source:Projected from Arabidopsis thaliana (AT2G39260) UniProtKB/Swiss-Prot;Acc:F4IUX6] MDHHQEDSEKQDDEKEALARLEEIKKSIEAKMALRQSNLNPERPDSAYLRTLDSSIKRNTAVIKKLKQINEEQREGLMDDLRSVNLSKFVSEAVTAICEAKLKSSDIQAAVQICSLLHQRYKEFSPSLTQGLLKVFLPGKSADDVDADRNSKAMKKRSTLKLLLELYYVGVIEDSNIFISIIKDLTSAEHMKDRDTTQTNLTLLAGFARQGRVFLGLPISGQDEDFFKGLGVTAEQKKNFKKAFNTYYDALAELLQSEHKSLQQMEKENAKLVNAKGELSEDSASSYEKLRKSYDHLYRNISSLAEALDMQPPVMPEDGTTRLTAGDEASSSAAVKDTSVPEPVWDDEETKTFYECLPDLRAFVPAVLLGEVEPAKTKNKSSESSSEVVESQQTAEDTTEFPADSGNMADVSTTEQPKEKDDVDKEKAKGAKKEKGKEKDSDKKLENEKEKGKSLDVANFERLLQRLPGCVSRDLIDQLTVEYCYLNSKTNRKKLVKALFNVPRTSLELLAYYSRMIATLATCMKDIPSTIVQMLEEEFNYLVHKKDQMNIESKIRNIRFIGELCKFKIVPSGLVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTLRMTNMLDILMRLKNVKNLDPRQSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKDSITNVLKQLRKLPWSECEQYILKCFMKVHKGKYGQIHLIASLTSGLSRHHEEFAVAIVDEVLEEIRVGLELNEYGAQQKRLAHMRFLGELYNYEHVDSSVIFETLYLTLSYGHGTSEQEVLDPPEDFFRVRMVIILLETCGHYFDRGSSKKRLDQFLVHFQRYTLSKGHLPLDIEFDLQDLFANLRPNMTRYTTIDEINAAILQLEEREHASAADKVSVERHSDTKRPGMFSNGKSNEKNIGENGEAPKEESDSDSGSGSAVRDGQNEELDDGNHERGSDSDDGDDYEDGVGPGSDDDNEFRVRQKVVTVDPEEQADFDQELKALLQASSHLESIEQRKLELRGRPALNMTIPMSVFEGSGKDHHHFGRVTGDNGEEVGDEENGEPREVQVKVLVKRGNKQQTKQMLIPSDCSLVQSTKQKEAADLEEKQDIKRLVLEYNERDEEEANGLGTQASNWIPGGSRGNTRSTGEGSGKGGGSRHRFVYHQGGGGSYYSRRK >A03g504250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14870635:14870869:1 gene:A03g504250.1_BraROA transcript:A03g504250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRNFLGIFRGNSEEHMFGVSKHQFFLPYIISYTNAMHTIEKSLNRNPKSKTLNRRKSLGIFRGFSEEV >A02p026850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13032721:13032927:-1 gene:A02p026850.1_BraROA transcript:A02p026850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKLWDEAIQLKEQPQLPSQPTPPNSISDIIGEDTMLYCIADASWKTSNELAGIRWSLHSKEGTLLI >A07g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21809895:21811030:-1 gene:A07g507880.1_BraROA transcript:A07g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSSIFRHYLLYTRKKKRNHFRPNAKKKKSSRRKAISKRLDTKMPNSHKPDFLKPLLPDFHSGVSLLNFGFSDLKTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFYVTPFGPSCCEIQYTHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEENSCTVSLRFSEADSMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A06p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27116952:27117520:1 gene:A06p051560.1_BraROA transcript:A06p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEDNKYEVLEKAGKDLEAMRVQQFSRLVKEFQGNDFWKLWGVIPQEYLRYTTHSSLICNNFVHEYVEAATFVSYVCPEHSLLSMSSISLESADQYPLGCLDSLDLTGELMRMAIARISNGDIKFPQWICQFVREIHRNSC >A09p061820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50849291:50850976:-1 gene:A09p061820.1_BraROA transcript:A09p061820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQSPPSVSQSSSIRESTPLENEPPELSSPPMNLRTRDPSDPPRWKERKLQRHHQTTTTPSHSTAQEERAREPQQKLRFNRSQQSMSISSKLHSTSLWKSFLRRCTCRVLLLIFEFVINQTLCFSYVHLCTSSEIPRAIAVVGTMYGLVMIGNTIQVVQSPPMQSGIFKSSLFFLSFITLSLHSLLNKRENEVVSVVNTILVVYSRCKWSLVKNMCALKRNRGS >A09p004770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2656121:2656899:1 gene:A09p004770.1_BraROA transcript:A09p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGKVYTLAEVSQHTTNQDCWIVIDGKVYDVTKFLDDHPGGDEVILTSTGKDATDDFEDVGHSSTAKAMLDEYYVGDIDTATVPAKTKFVPPPSPKQTESNQSKSSDFLVKILQFLVPLLILGLALGVRSYTKTPSSS >A09g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21258625:21259365:-1 gene:A09g507240.1_BraROA transcript:A09g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRGKFPNHQNLIPLLLLRITFASPLSRRARSHPTPPPPSVGIYIHILLLRFISLISQKLCFEEDLNMENVYYSEMKDAGFFDPDWE >A01p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16232071:16234014:1 gene:A01p036980.1_BraROA transcript:A01p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRVEWNQSHRVSDIVSAIMANNPGRPIPDQQGPEEVDAWFTSHPLHAPGALHFTEMNATVISYGVQTNSSSATKRGRTEDPTFKFIVPLQIAAEREIARFLIGDPKFGWSFGFKEFAQPAVAKDVTISPLNLMGPVFFLAFSMFSFVLQLGSLATKKELKLCQAMTVMGVYDTAYWLSWLIWDGILTFVSSLFLVLFGMMFQFDFFLKNSFFVIFLLFLLFQFNMISLAFMLSSFISKSSSATTVGFLVFLIGFITQSSTHSLSRRVIWSFFPPNTFSAGFQLLIEATSVPGSPGISWSKRDVCSKMDDATCFLTMNKIYIWLVGTFVSGLFWLYTLTISSPMPLPSYWTGKEGNKVEEGSICSCFGSVPPVEHLTPDDQDVLEEETLGKKQAMDGTVVPNIASWSCKDVSWNDKAWLL >A07g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1450581:1451377:-1 gene:A07g500770.1_BraROA transcript:A07g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWFCGKVGHKKVECFAREKSRNMAKKVNKTFTKPKRVEEVSLAKSGLLDEIKDETSEDRCSSVRSDLQEDQEASSVESGHRVVCDTKGKRALGADGEGLMVKETTHEGSLVLNRCWSKGSSTGVSDRYAVLVIPLGRMVMFWSLVCISHGGEKHGVVHISWAGEKHIWYESFQVRNVVATWLLNQKSVVLDRHTKLKGGD >A08g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6576846:6577948:1 gene:A08g503930.1_BraROA transcript:A08g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKKPREALVEASEDGLLVKSQDPEFLLRECTGFSCRRPASSPSARNTGIVDDFGVPGRTNPRSAEFQPAWVFANGMFGLVFCLNSRKARSWRFGTGKKPIVIKTVILAKYHVHKDDETEPLGKMCVHGCHIIKICLKSSLSTILCP >A04p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15758554:15764345:1 gene:A04p026050.1_BraROA transcript:A04p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL3 [Source:Projected from Arabidopsis thaliana (AT2G27210) UniProtKB/Swiss-Prot;Acc:Q9SHS7] MDSDSSMAPEENDQDPASLNHQPPPQDSSSESPAQTGSESATAFTPTPAAASAQQQPPPVAGPRCAPPYSVVNAILEKKEDGPGPRCGHTLTAVPAVGEERSANYIGPRLILFGGATALEGNSGGTGTPTSAGSAGGIRLAGATADVHCYDVLTNKWSRLTPHGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPTCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSARYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTKSVVTSPRTGRYNADAAGGDASGELTRRCRHAAAAVGDLIFIYGGLLGGVLLDDLLVAEDLAAAETTSAASHAAAAAATNSPPGRSAGRYGFSDERTGELPESAPDAVVLGSPVAPPVNGDMYTDISTENAMLPGTRRTSKGVEYLVEASAAEAEAISATLAAAKARQVNGEVEQPDRDRGAEATPSGKPSSSLIKPDSAVSTSVIPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVGYGTPESATAARKLLDRQQSINSVPKKVVSHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPTVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETITLLLALKVEYQHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFRPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVELNANRPPTPTRGRPQTPDDRGSLAWI >A03g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8092913:8095709:1 gene:A03g502560.1_BraROA transcript:A03g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTRGISRISSKFLRNRSFSQSLLSSHRLLAIIPELGHSCSDSTSTHKGYVCRGSTYSFKSPLFGGFTHHHHLYHQSSSVVEEELDPFSLVADELSLLSNKLRAMVVAEVPKLASAAEYFFKRGILLLMATALNVRVPEALAAESADVVSSELRVRQRGIAEITEMIHVASLLHDDVLDDADTRRGVGSLNFVMGNKISVLAGDFLLSRACVALAALKNTEVVSLLATVVEHLVTGETMQMTSTTDQRHSMDYYMQKTYYKTASLISNSCKAVALLAGQSAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILFAMEEFPQLRNVVGQLEKDPTNVDIALEYLGKSNGIQRTRELAMEHANLAAAAIGSLPETDDEDVKRSRRALIDLTHRVITRNK >A02p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13841402:13842350:1 gene:A02p026330.1_BraROA transcript:A02p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARRAYGFGRADEATHPDSIRATLAEFLSTFVFVFAAEGSILSLDKLYWDHAAHAGTNTPGGLVLVALAHAFALFAAVSAAANVSGGHANPAVTFGALIGGRLSAIRAIYYWIAQLLGAILACLLLRLATNGMRPVGFRLASGVGAVNGLVLEIILTFGLVYVVYSTIIDPKRGSFGVIGPLAIGLIVGANILVGGPFSGASMNPARTFGPALVGWRWDDHWIYWVGPFIGGALAALIYEYMVIPTEPPTQHTHHQPLAPEDY >A03p066280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29299795:29300992:-1 gene:A03p066280.1_BraROA transcript:A03p066280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATMRTSMALLLRTLSSKARTFHPHSPSLSWARCNPLLPAPQSRDITTSRRSYAAIASAQSPFRKWGEIRSFLIVVLTLKLMQPATEFKSFSVDDRPGEQCIVMKGNFGEDETIKMEATMFDGFMTVPRTGLDASGSDVRLHVSLLVDISKADGSEDMEFLCSVWPNRIEIQNLYMLRRDKITGQPYMGPKFGSLKYDFQTAIKEFLRVRGIDSELCFFLHEYMMNKDRIELIQWLRKLNSFIAK >A07p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12472743:12473659:1 gene:A07p021330.1_BraROA transcript:A07p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLAGLALLAALVTAVDAFRPSGLTNGHATFYGGSDASGTMGGACGYGDLYSAGYGTMTAALSTALFNNGASCGECYRITCDYAADSRWCKKGASVVITATNFCPPNFALPNNDGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVVFQRVSCYKRGGVRFRINGRDYFELVNISNVGGAGSIQSVSIKGSKTGWLAMSRNWGANWQSNAYLDGQSLSFSITTTDGATRVFLNVVPSSWSFGQTYSSRVQF >A09p017280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8951059:8951847:-1 gene:A09p017280.1_BraROA transcript:A09p017280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFSESIRLCSHLQTLVIGGSVIPYQFFTPPQRETLILEQSGADIERIPDCIKDLHRLERLFIIGCPKLATLPELPRSLITLGVWNCESLETLVPFPLDSQIQNLGFPDCLKLSPEARTVITQLRSWQICLPGRYIPREFNHRAEGNILAICSNAAWFKICVVVSPKQKIGDEDVDGLLMCRIRINGCPTENFIFRFFFKIQSEHLFIFHSTLRRGDRQLDQYSEILFEFSASSPDIKIIECGVQIQTDRSGYRSCESLT >A08g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5029402:5030888:1 gene:A08g502240.1_BraROA transcript:A08g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKILGQPICHVIPHNASIQDGTSIVRLHGYADLVPSHVSGSARGRVRHGYGRRGLKWGRFDRKIHTFIMAKQTAIFTGEASSPLLFRHVSPGSGDSTMQFRLLHHWEARKNVKGGPGIVFGIEMLMIDEEMLLATLSWLMASLSMSVRF >A07p019750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11749516:11754611:1 gene:A07p019750.1_BraROA transcript:A07p019750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A1 [Source:Projected from Arabidopsis thaliana (AT1G22400) UniProtKB/Swiss-Prot;Acc:Q9SK82] MASPVVYNGEKPHVICVPYPAQGHINPMLKVAKLLHARGFHVTFVNTVYNHNRLIRFRGPHAVEGLPSFRFESIPDGLPETDVDVTQDITALCDSTMKNCLTPFKELLQRINAGDDVPPVSCIVADGCMSFTLDAAEELGVPEVLFWTTGASAFMIYLHFYLFIEKGLAPIKAEDYLTNEYLDTVIDFIPSMKNLKFKDIPSFIRTTNPDDIMLKFALRETERSKRASAIILNTFDDIDHDVIQSMNSILPPVYPVGPLHLLANREIEEGSELGKMGSNLWKEETGCLDWLDTKAPNSVVYVNFGSITVITAKQLTEFAWGLAGSGKEFLWVIRPDLVAGDEAMVPPEFLTETADRRMLASWCPQEKVLSHPAIGGFLTHCGWNSTLESLCGGVPMICWPFFADQQTNCKNCCDEWEVGMETGGDVRREEIEKVIRELIDGEKGKKMREKADEWGRLAEAATEHERGSSVVNFEKLRRTKKITLILADEKEKMESHAIDNRQKPHVAFVPYPAQGHINPMLKLAKLLHAKDIHVTFVNTVYNHNRLLRSRGPNALDGLPSFRFETIPDGLPETDVDATQDITALCESTMKNCLAPFEELLWRINARDDVPPVSCIISDDCMSFTLDAAEKLCIPHVLFWSTSACGLMAYLHFYHFIEKGLCPVKDESFLTKEYLDTPIDWIPSMKNLKRKDVPSFIRTTDRDDIMLKFTLHEVERAKRTSAIILNTFDDLEHDVIRSIQPIFSPVYSIGPLHLLANRVIEDSGEVGRMGSNLWKEEIECLAWLDTKAPDSVVYVNFGSITVTSVKQLAEFALGLAGSGKEFLWVIRPDLVAGEAAVVPPEFLVETRDRGMMASWCPQEKVLSHPAVGGFLTHCGWNSMLESLCGGVPMICWPFFAEQPTNCKFCCDEWGVGMEINENVKREEIETVVKELMDGENGRKMREKAREWRRLAEKATENKYGSSVINFETVVSKVVLRQRSEE >A10p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9016535:9017763:1 gene:A10p004800.1_BraROA transcript:A10p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQARFWGGYSFVIQGMKCTKSEPGILFDHHNTMLYTLVTNMSSNTMFNLIEISMNQRAYACSHYYYRWIISTTCPTPRLSVEVNGDETPSVEIGTVHDDSDDFEEHLHDYVVDVGSDGDGVDIGVSRDFGEYHHDDDESVHTLAVHAPYEDDQGPGPSQRRPIGDYIIMFQMIHTHYPGLMSSLCLPEDDLLTFDQKSKATTKMLKQIMGLIKAESDRAEREMEMIGVKEIGDQVPESGGRKQIEDGKLKPFKEVVVTIIHQYFSSNDIPELIRSIEDLGAPEYKAIFVKTKSSGQATRKPVHRNPVGKGASASR >A05p018870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8817683:8818186:1 gene:A05p018870.1_BraROA transcript:A05p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSSISNTRKFFQKTIDNVKSFFSNNATYHKLPKTPNNISINDHHHQNPNNIISSSSTSSIHKQLQPKSRDYVTKNRVLTQPPRRGDRDETLFSKPKVELVLLKLQEMEAIMNADIINDEEHVLDVREFLDCYSRLRCAAYIDVVEKFFMEVYSDLFSPQPLEHN >A03p023710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10008965:10011167:-1 gene:A03p023710.1_BraROA transcript:A03p023710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRMSESAKPYFAMVCLQFGYAGMNLVTKAVLDRGMSHYVLVSYRNAFATAAIAPFAFLSERKVRSKITFPIFMHIFVLALLGPVIDQNLYYIGLKLTSPTFSSAVSNIVPAITFILATLFRMEKVEMRKARCQVKVVGTLVTLVGSILVILYKGPFINFFRSHLSTTTSSPLAGNYLKATAFLLVASLSWASFFILQASTLKKYSAHLSLSTMVCFMGTLQSLALTFVMEHNPSALSIGLDMNLLASAYAGIMSSSIAYYVQGLMMQRKGPVFVTAFNPLVFVIVSIMSFFVLEQGIYLGGVLGVVVLTVGVYAVLWGKHVDDISEELYREDNTVLEAVKCCSRNSGLSIMPKIKEPDEDVETGKFQATEKESSLAVMVFCSENVNNDSRF >A09g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7598548:7599328:1 gene:A09g502220.1_BraROA transcript:A09g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRITYRSLLSWFAERGGTSGQENSSRPQTSRYCLHHPTYGGNDEEETPKDNGEGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDAPVPGDLRDVLKRKFESENEGGPKHRDLRTMLDARKSRRISTSDANNKEGPISDLRDKLNAGACDLRVKLNRSKPTDLRRQLERVK >A08p030030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18425217:18427096:-1 gene:A08p030030.1_BraROA transcript:A08p030030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFMLSILLLSIATLINGGITSKYVRQAQPANEMSLETFPSPAGHNAPEQVHLTQGDHNGRGMIISWVTPLNLDGSDVVTYWIASNGSDIKRRKKKASTSSYKFYDYSSGFLHHATIKNLEYDTKYMYEVGTDKSVRQFSFTTPPKVGPDVPYTFGIIGDLGQTYASNETLYHYMSNPKGQTILFPGDLAYQDNHPNHDQRKWDTWGRFMEPCAAYQPIIYAAGNHEIDFVPNIGERHAFRPYIHRYHNAFKTSGSISPLWYSVRRGPAHIIVLSSYSGYGKYTPQYVWLEQELKNVNREETPWLIVMVHSPWYNSVNYHYMEGESMRVMFESWFVNSKVDLVLAGHVHAYERSERVSNIKYNITNGLSTPVKDPNAPIYITIGDGGNIEGIANSFTDPQPSYSAYRESSFGHALLEIKNKTHAQYTWHRNQDNEPVAADSLMMHNRYFFPKEEIASD >A02p047760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29774295:29776569:1 gene:A02p047760.1_BraROA transcript:A02p047760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCERIANLALAGLTVAPLVVNVNPNLNVVLTACLTVYVGCFRSVKDSPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIVALSATLLPAISRFLPKPWNDNLIVWRFPYFKSVEVEFTKSQVIAGIPGTFFCAWYAWKKHWLANNILGLSFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDALRPYSMLGLGDIVIPGIFVALALRFDVSRRSKPQYFTSAFVGYVAGLVLTIVVMNWFQAAQPALLYIVPAVIGFLASHCIWNGDIKPLMAFDESSKSTEEESKSSEGEVNKSHAE >A06g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16389911:16390372:1 gene:A06g505720.1_BraROA transcript:A06g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVEGNSGLLLDIDVNNETETTNSQCSALSHTSFWPQKSVRKKKPTQVINRGNLSTCYRVVNGPLESISSDLALDTLAEGLVVSCVGGTQCISSLEGM >A10p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1497888:1501016:-1 gene:A10p002880.1_BraROA transcript:A10p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 2 [Source:Projected from Arabidopsis thaliana (AT1G04220) UniProtKB/Swiss-Prot;Acc:Q5XEP9] MNENHIQSANNNNSPVTTVDVTNQKLPNFLLSVRLKYVKLGYHYLISNAVYIILLPVLFAATSSSFKLSDLTLLCNHLLHFHLLPSTLFATLLIFLTTLYFTTRPRKVFLLDFACYKPDPSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMSEARKEAETVMFGAIDAVLEKTGVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQANSYALVVSTENITLNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRRRSKYQLIHTVRTHKGADDNAFNCVYQREDNNDNNKIGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFMTLVARKVFKVKKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWRALRSIDPSKEEKTNPWIDEIHEFPVQVPRVSLVSSSSESR >A01g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2907936:2908612:1 gene:A01g500790.1_BraROA transcript:A01g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRHGNTNPVGQRNSNVHNETSSRNASRFKWTYEQEKTLIELYDQAISMNDYTLKDPTVLGREHMVDNFNRAFNLNINYAFFKNKLDDFKKAYKKWKFLMTSTGITVNPETSMIYASDEWWEARESGCKITRSFKRQPPPFWDVVVRCFVLHDVYSQPQQSSRQ >A04p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11180102:11182332:-1 gene:A04p018620.1_BraROA transcript:A04p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFARVVRARSFCTASAARQPESTEPSSSSSFTFDKENEKPILVKAPNARRNNDSDSVTMPTSFMTGSIVGKRFYKKVTTREADDGNGWTVMLDYRTLKTPSKRPLKLPSLALAKAIAAEWEYQLAEGIRPFTMPLMKLACTALERVPLTRPKIIEHLLRKLHQDLVFFRAPEDNDLTSDVHEVQVERIDPLLEWVESEFGIKPKVYSSIFGGKQDDKLVKAVEGLLKKTNDGELASIDALEASAHSVVIALGIYCGKLQIDDAIKLIRLEEDLQVDKWGLVEGGHDIDIADLKVQISAATVFLALSRQN >A09p078130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57914521:57916343:-1 gene:A09p078130.1_BraROA transcript:A09p078130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFLISCLSFFFLSKSFSLPPWASETKTLLSFHLSKNLLFTNTLHPTTKPDPSSSPLLDQMTILDLPDLALDRILDLLPPSGLSSMAMVCSSLRERCVSDHLWEKHLVNKWGKVLGPAAHREWKRYLSSSSRHLDSSPRNQTGNHPLGFDTIISCLRYISSVLRDGDRQRKALPVDSTMSFYISLETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDTHTDTFQARYPPHGRRAVGVEKGVTWERLRAAPLEASPHHLHVSESLNQLKPGDHIEIQWRRNKEFPYGWWYGILGHLESCDGDLNHCHCHLSETVVLEFNQYTVGSRWRRTMINKRDHREEGNEEDGFYGGIRKLSCKEEIAMWTRLWPSSNLE >A05p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20257250:20260706:-1 gene:A05p035800.1_BraROA transcript:A05p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNSLRRLARTTQVTLQSRYAVSSQYMPGSRIFTTEASPEKLGSKGHDMLAPFTAGWQSADLDPLIIAKSEGSYVYDDHGKKYLDSLAGLWCTALGGNEPRLVSAAVGQLNTLPFYHSFWNRTTKPSLDLAKDLLEMFTAKKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPEKKKFIARKKSYHGSTLISASLSGLPALHQNFDLPAPFVLHTDCPHYWRFHLPGETEEEFSTRLAKNLEDLIIKEGPETVSNLRKLRSSFSNVDVGSSNLTQMSSYQIGAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADERNIPEHVAKVSPRFQDGLKAFAKSSPIIGEIRGTGLILGTEFTDNKSPNEPFPPEWGVGAYFGAECQKRGMLVRVAGDGIMMSPPLIISPEEIDELIDIYGKALKATEERVKELKAQQKK >A04p010590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7126737:7126901:-1 gene:A04p010590.1_BraROA transcript:A04p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNTKDKIAVRNNAGKTTPSATVPMANAYANATVPEKIKNLVVISPSKPKGQR >A02p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9244040:9245948:-1 gene:A02p019870.1_BraROA transcript:A02p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTVHPSSSSCLTSEADEKYANVNWEELGFTLIPTDYSPVRLVAAGFGVSGSGSGSGSVSGGGSVNEDSCSFRRCRCRRFLRQRNEQELTQNVDAAAAAGTYGNQTNSPYMYVAKCKQGESFSEGKIVPYGDISISPSAGILNYGQGLFEGLKAYRTQMGRITLFRPEQNALRMQMGADRLCMTPPSVDQFVEAVKQTVIANKKWVPPPGKGTLYIRPLLIGSGCGPWNSFST >A03g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11431851:11432577:1 gene:A03g503440.1_BraROA transcript:A03g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSIVFADLGSKKHKTLPPTTINRRKLRRLTLQRRMKIPYRMVLALKRRNPRKFCLACDSTAWRPSSVTTTGMPEMSEVLDSWWNDEERSVSAGRCKNKTLASHNNCNVSIIPARAMLCRRRLQKNR >A09g503850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12110038:12112105:1 gene:A09g503850.1_BraROA transcript:A09g503850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLTSNVFMVIAVELSLLHSISKLSLLHRQISQAHTLSQTLSSLQVSDPLKFKETYFVTGLLNMASLESW >A09g516790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49387864:49391211:-1 gene:A09g516790.1_BraROA transcript:A09g516790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSREISSEQQFHVDFCRVRLLTTGRRGVFCEGAIETERKHKGEEELSLSLKISEKSTFPGKNVHHQMDLMTDLLGKPSMDTISWFVPLFEVFIDTAFLRCQGVAQAVLNCRTTPGKLYVGVGDPNADHKCWERPEDMDTPHTVYSVSPSNPGSDVAAETAAALAAASMVFKEVDSAYSLLLLATAKNVMQFAIQYRGSYSDSLSSSVCPFYCSYSGYKDELMWGAAWLLKATDDSNYKNVIQSLGGGDHPDIFNWDNKYAGAYVLLSQQALVNNDNTFDQYKQEAESFICKILPNTPSSSTSYTPGGLMYNYNLPQRNLQHVMAITFLLTTYAKTIRGCTAAAMADGERATVYDSVETLFRPVTLERKK >A02p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20592468:20596035:-1 gene:A02p036030.1_BraROA transcript:A02p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKLATLIFLVSVCIASCAMAMDMSVVSSDDNHHVIAAPGCRNSGFDAEASLIFESWMVEHGKVYESVAEKERRLTIFEDNLRFITNRNAENLGYRLGLTRNRYKTSAGDVLPKSVDWRKEGAVTKVKDQGHCRSCWAFSTVGAVEGLNKIVTGQLVTLSEQDLINFTNGGLGTNNDYPYKAVNGVCDAGLKENNKNVMIDGYESLPSNDELSLMKAVAHQPVTAVIDSSSREFQLYESGVFDGTCGTNLNHGVVVVGYGTENDRDYWIVRNSWGKTWGEAGYMKMARNIANPRGLCGIAMRAAYPLKNSVSDDIRSMA >A09g511000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33508883:33509595:1 gene:A09g511000.1_BraROA transcript:A09g511000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEINRRAPLFIENEGARLVSEWAPSAKSCALSATCIPSPFLIGPKSPWPHGWTSQPATDPDLDHQSETRTLLSYSSYLSLCSYKVKSKFSYVRNLLSWPWNACLYVLRLASTFPRTMAVPTILFRIWDATLAFSEYVND >A09p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4866800:4870954:1 gene:A09p009430.1_BraROA transcript:A09p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTQVTSPRGLSTMADLDPEIAKTQEERRKIEAELASLTSVNFDRDLYGGNDRDSYVTSIAPNDEEDSNLDTTGSLVAQRLASYTAPKSILNDVARAHVEDDDDGGFKPRQTIAEREGDYRNRRLNRVLSPDRVDPFAMGEKTPDPSVRTYNDHMRETAVQREREETMRLIAKKKKEAEEAAKEQKDSGAPAASSKRRNRWDHAEEDGGGGKKAKASDSDWDVADSAPGIGKWDATPGRAGDATPSAGRRGRNRWDETPTPGRVTDSDATPGGGVTPGATPSGVTWDATPKGSATPTPKRQRSRWDETPATMGSATPMGGMTPTAAYTPGVTPFGGIDMATPTPSQLNLRGAMTPEQYNLARWEKDIEERNRPLSDEELDAMFPTEGYKVLDPPASYVPVRTPARKAMGTPTPMTTPGYVIPEEHRGQQFDVPQELPDGLPFMKPEDYQYFAALLNEENEEELSPDEQKERKIMKLLLKVKNGTPAQRKTALRQLTDKARELGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRILYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEPDYIRSDILPEFFKHFWVRRMALEKRNYKQLVETTVEIANKVGVADIVARVVEDLKDESEPYRRMVMETIDKVITNLGASDIDSRLEELLIDGILYAFQEQTTDDTNVMLNGFGAVVNGLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCGEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNFIWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDTLVAAYPVLEDEQNNVYSRPELTMFV >A01g511480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33230089:33233916:1 gene:A01g511480.1_BraROA transcript:A01g511480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWISEGISWAWFGLLGLVSVLKKNPDEADTSMLRASISSSSPFVLHRNRIFDPQPSNQFPTTSPSINLSFMSSFRGNQISIFSPHSMAIKPNGKSPVTSDHDDKIMLFRDVTPGPHETQLRFRLIHFWEAWNPLKKTLIGIEMLLIDEQGSVIQGFLSPSRIERHLSKMKPGSLYKLNNFYGSSNKTMYRVSDHAVTVSFSWNSELSVLEDSPTPFDEDRFRFHSFEEFQAGCDSKGDLYDVLGHMKLVNGQCLTGTPVLDEVDIARARHVLVHVQSYDGPVVKLYLWDQAARDFCKKFKSYERTPTVLLVTTVNTKSLGGTLALTTMSSSRVFMDCDVQPTVDYFSWLGSNPQSAELVNAEVVTKRETLTIGEIFSYIRDGSNKEAFFECTATIDDVVHGSTWYYISCSGCHTKATKGPTSLMCSKCGKVNISGVPQYRAQISVYDNSEQAVFVLLGDAGFELTGKHAAELVSSYFEANGDQGVTQEVPFPEALISTIGQKHNFCVKVTQHNLDGKSRSLTVTKILPMESPPVTEASGGNYNPTTLEEGFETGTKVCEASKISGDSAEGSKSNGDMDEMGKAKRLKRGVIPLSCCNQASYFPSLINARLNVLWKVRNI >A01p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2937321:2941497:-1 gene:A01p005640.1_BraROA transcript:A01p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear poly(a) polymerase [Source:Projected from Arabidopsis thaliana (AT4G32850) TAIR;Acc:AT4G32850] MMVGTQSVNSPKSYGITKPLSLAGPSAADFKRNLELEKFLVDEGLYESEEDTMRREEVLGRIDQIVKHWVKQLTQQRGYTDQMVEDANAVIFTFGSYRLGVHGPEADIDTLCVGPSYVNREEDFFMILHDMLAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISRLIVPQDLDISNSSVLCDVDEPTVRSLNGCRVADQILKLVPNFEHFRTTLRCLKYWAKRRGVYSNVRSYLLEVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGFPVWDPRKNHRDRYHLMPIITPAYPCMNSSYNVSQSTLRVMTEQFQFGNNILQEIELNKQHWSSLFEQYMFFEAYKNYLQVDIVAADAEDLLAWKGWVESRFRQLTLKIERDTNGMLMCHPQPNEYVDASREFLHCAFFMGLQRAEGVGGQECQQFDIRGTVEEFRQEVNMYMFWKPGMDVYVSHVRRRQLPSFVFPVGYRRPRPSRHQNQPGGRTGEDGTVSNSVVERHVKRKNDNEMMDARPEKPEKRASLSPHSLDIVSPDNSAITTGCTPPLCNLRRSPSEGIEAANLNTDSPELANLARDECNSGSEQALEVDSMALVQKCSDPAEAFGKCVTPDSSDVVATESCQEENLDRDLRSVSISVVDEVERESKSRGGTRITGEIAESVQLSRSCGQNRDYEGFEFAATKPDPFVGKGNLYSQSGIPEDLQSNSLVSGMEKAEDGARSDSLQKSQIRQNHDAIDVITKTQRSTCGGQTTECKNLW >A01p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8919760:8922104:1 gene:A01p018390.1_BraROA transcript:A01p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding protein 60 D [Source:Projected from Arabidopsis thaliana (AT4G25800) UniProtKB/Swiss-Prot;Acc:Q0WVV6] MKRSLDKSNDEKQPERKRPALASVIVEAMKVDSLQKLCSSLEPILRRVVSEEVERALAKFIPSRLAERELSVYTLKLLITYYSSESSPKRITGPDGRNLQLRFRTRLSLPLFTGGRVEGEQGASIHVVLIDANTGRHVAFGPEASLKLEVVVLEGDFNKEDWSREEFESHVVKERHGKRPLLTGDLCVVLKEGVGALGEMVFTDNSSWIRSRKFRLALRVASGGCDDGVRVREAKTEAFTVKDHRGELYKKHYPPGLSDEVWRLEKIGKDGAFHKKLTAEGIVNVEDFLRKLVKDSTKLRAALGSGMSNKMWDVLVEHAKTCVLSGKLYIYYPEDSRTVGVVFNNIYELSGLISGDQYLSADSLDDSQKVYVDGLVKKAYDNWNQVIEYEGKTPLNLNQPERVEIEPVTVPANYSVLPPSPIPPSQFPGFSFEGYNVLQQDQFMGIHQHQTQTQTNLENQNVTGMALGTTLQSTCGYQDMGSSSVHQANLDPFEEWSHQHENDLLSRSHEMLESEDMQQLLQLCSMGGGNGENGYGFPSFMQNTPMVHEDRARSGKAVVGWLKIKAAMKWGIFIRKKAAEKRRAQIVELD >A03g506280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22406788:22407127:1 gene:A03g506280.1_BraROA transcript:A03g506280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKKILLAFVVSIFLIVSSVHCSDRILGAGINKELKQCFPKQPCGKTCEEYCVGHINDEWGIRTSCESGACCCIKDGAV >A09g509660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28213781:28214355:-1 gene:A09g509660.1_BraROA transcript:A09g509660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVYDPRGVVGEVKPKNVVIQNRKALGDIGNLVNIPTGKDIARKAEFVVITSLEEDEKSCKPHITKSWKSSKARLQVVDSKML >A02g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24795327:24796980:1 gene:A02g509300.1_BraROA transcript:A02g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLITEFFLTKNFAEEKQDIRRELERVRYAATGLEPKLGRYVATKLSQTRSLRSDRASVLATGLEPKFDRYVATELFRNVDTTQVHAFSSNLRCYLPKTVANSVHVFRYSKSSIKLCGLKPRKVHSLSKEIVVNALSRKTAQRDLKHDSRPTLRFLNQKPVNRGTIYAWRARKDKCQVSADKYEILRIITKIGKIEYLHLCYDGLRAEE >A07p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17493533:17495018:-1 gene:A07p031700.1_BraROA transcript:A07p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQREAMALSGSGYYYIQRGMPGSAPPQTQPSFYGSQGFQQFSNPSSPFGSTGFVYPPLQVETSQVDSLTPVALPPSGETFVKRKRGRPRKYGQDGSVTLALSPSVSSSSIMSPNSNKRGRGRPPASGKKQRLSSIGGLMPWGTSFTPHVIVVSVGEDIASKVMSFSQQSPRAICVLSVTGAVSTATILQRSLSHGAIKYEVIIGSYIWAIPKGKIKKRDEDVQETDALDDNIAATSPDVPQQSHNLVQTPVGMWSTGSRSMDMHHAHMDIDLMRG >A10p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13817973:13818888:-1 gene:A10p020380.1_BraROA transcript:A10p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFRPSVSLDLRPKVSCTNHLPAIERFEFQKNKNLRKDRLNGSLKANQAQGSAEGISVVQEKEINNPTDYGVVGVHHVGLLCENLERSMEFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSNLKEILDKAGIEYTTSRSGRPAIFTRDPDANALEFTQV >A08p046330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:25234244:25234720:-1 gene:A08p046330.1_BraROA transcript:A08p046330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLCFFTLLLLSQSVALNIAEKMQTECEMCLECENPCDQPPPPPPPQEILCPPPLPPPPPPEILCPPPLPPPPPPPLEIFCPPPPSPPPPPPPPSPPPPCTHCPLPLPPPQPPICDECVHNKPRPPIIITAAAASRKVSASISFLTALALLVSSLLH >A07g506670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16558879:16564435:1 gene:A07g506670.1_BraROA transcript:A07g506670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSECSSGRDFLGNYNHYGICPSYPYFLSQPPHREQYHDSGLCMTSRHTRINAQGELATFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNKRQSQSNQQAVPANGNRQPDELKGLGMMMQQLLQGQQVQAKALNQVTTDMDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESFKRQQETLPGRTDKNHRTEHCNAIEQPFAETAPGAEERAEQSVSSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHLMGDGSAGTKEAENNAIWWFSRRQS >A09g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:982618:984535:-1 gene:A09g500290.1_BraROA transcript:A09g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTKSQLTTGISPVAVYFNDISPRPDESQLWFQNKVGQVVRSGNHSKDPQYHSLDHFFDSKPLNVDPKNIYDSLQRLHLDPTWVIGSMRLNSYIYMKFMVGFSANAKAANVYKKKAESPTKTWIRHVIVTGISFTDSFFVLFISYVARQTLFAELILKPSVEKGKAAKQAGGEASETSIIYVTELPNAHGFISGLQQAYDTMVGERGLQLSGRK >A02p058700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35183403:35184091:-1 gene:A02p058700.1_BraROA transcript:A02p058700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLTCSYAISGASSSELNQKVGLVNSSVGFGQKKQTVPVIKAAQRVGGGDDVNGRRSVMMFLAATLFSTAAVSASANASVFDEYLEKSKANKKRLATNGANFARAFTVQFGSCKFPENFTGCQDLAKQKKVLFISEDLALECEGKDKFKCGSNVFWKW >A10p021530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14446578:14447603:-1 gene:A10p021530.1_BraROA transcript:A10p021530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional bis(5'-adenosyl)-triphosphatase/adenylylsulfatase FHIT [Source:Projected from Arabidopsis thaliana (AT5G58240) UniProtKB/Swiss-Prot;Acc:F4KEV7] MGQAQKGSWACEAHEEERGKGVTVTQNGDGGGAEMLKLQVSGKAILSTVRCRRQARVSGKAILSTMSTSSSFVFGPYKIDPREVFYATPLSYAMVNLRPLLPGHVLVCPRRLMPRFTDLTADETSDLWLTAQKVGSRIETFHNATSLTLAIQDGPQAGQSVPHVHIHVLPRKGGDFEKNDEIYDAIDEKEKELKQKLDLDKDRVDRSIEEMADEASQYRSLFDC >A06p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20895885:20896252:-1 gene:A06p038880.1_BraROA transcript:A06p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVSHKSLLLALLLIFFICSPAQARNIGGIVRKRTLMVVEKDQENRNSWQYGGGNDGDGLVDMDYNSANKKRPIHNRK >A02p014600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6485504:6488541:1 gene:A02p014600.1_BraROA transcript:A02p014600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFLTDDPNTRKQLIGSLAHSFGCIYVSLWSYYFPRPSNYLISFDGYYNEASNEPSTSTGSLARRLFHEYRQSVIPLQNGHIPSMAFMNNLPYLEIQTQDIQRLASNDAQRLFYQEARIQTVIFMGCRSGEIELGLTYDAANMKVEASLRDWFPEDFSRKTSPVNSDYLRPPPPPSSSSSSLRSLDSPQNASEYSSLLFPLIPKPSTTTDAVNVPLHTLLAPVTTAETTTNMIHQQQQEPLFRNREREEEVMTQAILAVLSMSSSPLSPQRKGKATAFKRYYCVATGGGGSGRAPQPPSVRRQSMMKRAISFYNRLNINWRERFPRGNATGGGSDGIGGSGGGRGPTATQLHHMISERKRREKLNESFQALRSLLPPGTKKDKASVLTIARDHLTSLQGDISKLLERNRELEAKIAGEREMETFLQDNERFNVRIIHIPESTSRERVLDLRIAHRGDNIGADDLIIRLLEFLKQINNVSLVSIDGKTRAREDGVTSVVLLSLRLKIEGECDESAFQEAIKRVVADLAH >A07p023160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13449895:13450914:-1 gene:A07p023160.1_BraROA transcript:A07p023160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASDSTLLGAQENRGGGDVITTISQRSEKVDPILDNLKSLTVSSPILKSAPPRESSLTDILVRKALSSSSSKDTVDPQILVELFSIYREWQDSKAQDITTRQEEIENKIEVADALATKLLQRFNYSVSAMRTTSHHLSQVHGLQVELGELKGRLTEVINNCDSLCKRINSEGPESLRSTVTPFVLAPPDSVSTLSSKQEA >A01p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6011749:6014008:-1 gene:A01p012340.1_BraROA transcript:A01p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQEVSCGDDELPLNHVGDEEDFRSCCGDEEVWLKESDDTAKVADEEENKDELVDEFSVKMFFKGVSLSERGDLSSGYSGIGVVLERSGGSELFQVQKKLDFYAEESVANYLALIDGLTVALQNNLGSVVAVTDSELLYNQASLFQLITCEENLEVPLLVALRERVLEKTSTLDGFVLKLAPFSDLDQALSLAQVAVGICNLDVDKPAENCSICCEDRLSEMMLTLKCTHKFCSHCMKTYVEGKVNSSEVPIRCPQLQCKHHLSSTECKTFLPVTSFTSFEEANLRSTNNGKVYCPYPNCSFLLDPRECLSSASASSSSSSMSENSCCVKCPLCERFVCVDCGVPWHDSMSCEEFQILPVDERYPDDITLHRLARYKRWKRCQQCRIMIELAQGCNHMTCRCGHEFCYCCGAEYREGQQTCTCAFWDDEEEDEENSESENTIQELEQWPWDTFSAIPTVMDAYSEQERSQLALIQRFLAGGGFSLSDHHTSYQSPPPPPPPCTTESSYVEAAMKDLHQLPWLERFVAVISDDYYEEFNIQ >A05p030440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15724374:15724720:-1 gene:A05p030440.1_BraROA transcript:A05p030440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESRSKQLFEPKSPATPATAKGSGNLYEGKEWSTVSPSKAAKQPVKETVDDEISSPSLFSSMSGMEDGFDDGDDEEVNCVEKPLEEDRG >A02g511630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31126143:31127670:1 gene:A02g511630.1_BraROA transcript:A02g511630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKISFHIRYGRTISRLVYRCSQNFRLSDSLLTIWFSDSTNVHNLSDVISELTAVRSTVSDIPQGKDRVMTTIKIDGFWGDSRVVVANSIKPKMVEGVFLSGIKTHAYYHAGFQRPRNTHVPSFLRGYAKVEPLTIAELNEFVIISEPQVHEEAPPNGLILYLRELKYLGLLRVSLLDSISGEMTKLHNVRAYEAGNLIVGTSLSGIAIKKPQASKSLKVMKKLRVVMQMEYLLFQK >A04p029170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17458949:17461830:-1 gene:A04p029170.1_BraROA transcript:A04p029170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKdZeta [Source:Projected from Arabidopsis thaliana (AT2G30980) UniProtKB/TrEMBL;Acc:A0A178W1X9] MTSLSLGPQPPATAQPPQLRDGDASRRRSDMDTDKDMSAAVIEGNDAVTGHIISTTIGGKNGEPKQTISYMAERVVGQGSFGIVFQAKCLETGESVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYTSSSQRMPIFYVKLYTYQIFRGLAYIHSVPGVCHRDVKPQNLLVDPLTHQCKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTSSIDIWSAGCVLAELLLGQPLFPGENSVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRYTALEACAHPFFNELREPNARLPNGRPLPALFNFKQELAGASPELINRLIPEHIRRHMSGGFPSQPGH >A02g509230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:24713420:24713734:1 gene:A02g509230.1_BraROA transcript:A02g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRSFEEARSAEETLMSVPKMENAKCRATMEASEKGQMMAELEGSKQKCKKEGNHTRKTVNAFVHNDVMYKRYYIKEIEEVTNRFTRNIKVGQGGYGPVTHP >A05p039540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24175378:24176586:1 gene:A05p039540.1_BraROA transcript:A05p039540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNHNVLFFRYFVPFFFDDMIFCEKMILVCLFSVVKKKRTGSGNGQNMDHNQKPSKKCKKNQDRSSVGIAKVRKERLGERIAALQQLVSPYGKTDAASVLHEAMGYIKFLQDQIQVLCSPYLINYSLDGGAVTGDVMPGKKVRDLRSRGLCLVPVSSTVHVENSNGADLWSPATASMGHTMSPSQ >A04p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20633914:20640704:-1 gene:A04p036080.1_BraROA transcript:A04p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEISKSRRFWPSLWRGIKTVFVLFTMFLSFLLVSAPIFLAVADALLPSALLHRLSAPANLSSHLTNYDFRHSLIDIPLISIVRSAVILCVYGLCDGPKLSRGPYLMITMICSVSSLIYVSLKAAFVFGEPTNGDGGGSYFKAAEVALFLCSSVLAIGHIVVAYRTSCRERRKLLVFKIDIEAKHSQLPPNSFGNKIELDEYTQLSGTNPGVCVDCSKPPELEMGRLFVVHLEGKIYSCKHCKTHLALYEDIISKSFHCKHGKAYLFNKVSNVTIGETEDRMMMTGKHTVADIFCVSCGSIVGWRYETAHEKSQKYKEGKSVLERFKISGPDGSNYWVSSHGRHIGGRRDPNRRRLSVSSHFARLLHRIKDRDRRPTTSLWLLTEIPPPSPPKPTIKTEDESRGPHGVSSHFCRLLRQIKDQNRRPTTSLWLLTEIPPPSPPKPTIETEDESGDPHGVSSHFSHLLRQIKDRNRRPTTSLWLLTEIPPPSCECRRLCPC >A05p052060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30172278:30174385:-1 gene:A05p052060.1_BraROA transcript:A05p052060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGFCLIFLRRDATQTGSIVPTTVSTLFPSSISMTHLLVTIMLLAAHSAFAETNMLQDLCVADLKGSKVNGYPCKDPSQVTPEDFYYMGLANAADTSNTSMGSAVTAGNVEKIPGLNMMGTSMSRIDYAPGGLNPPHLHPRASEAIFVLEGSLFVGFLTTSGKLISKHVKKGDVFAFPRALLHFQQNPNKTPASVIAAFDSQNPGTQSVGPSLFGANPPIPDDLLAKAFSLGTNEIQNIKGKFQKK >A10p029250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17980474:17982668:1 gene:A10p029250.1_BraROA transcript:A10p029250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFPCFNSQRNRNLSCKNGTNEHDDHDFRPPVATTKHIEERETEQTPLKTFNFRELAMATKNFRQECLIGEGGFGRVYKGTLQSTGQFQAQVLSLAKLQHPNLVKLIGYCADGDQRLLVYEYFSSVSLQDHLYEQKPSHKHMDWITRMKIAFGAAEGLDYLHDKVNPPVIYRDLKASNVLLDDEFYPRLCDFGLQILSPGAGDSLFLSSRVMDTYGYSAPEYTRGDEVTIKSDVYSFGVVLLELITGRRAIDTTKPNDEQNLVAWAQPIFRDPTRYPDMADPLLRRKFSERGLNQAVAITSMCLQDEPSARPLISDVMVALSFLSMSTDGIPTAVPVSSFRDKSMSIALSRHGSCSVVPFSLPRKEEDDASSVSSSDSEDEEEQEEESRSMKKQDEETNGNDSEDESDSNSEKDQEEEQDSPQLEKARSSSSSSDSGSERRRSIEETNATAQSLKIKYGYSSEEEDNERLSSKSSFKSNGESLLSLRFDSERNHNDSSNNTSMRVNSLAHDDDDDDDDDNEEEEEENHETRLEHIHSSKSEVQSIYSDDNTCEGSGDSSLRRIEPEEEDHDSSDRE >A03p060990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26465202:26466606:1 gene:A03p060990.1_BraROA transcript:A03p060990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSITALFFLFCFLVPSALAQLRFGFYGRSCPRAESIVANVVANRFRRDRSITAALLRMQFHDCFVRGCDASLLIDPRPGRPSEKSTGPNASVRGYEIIDEAKRQLEAACPRTVSCADIVTLATRDSVALAGGPRFSVPTGRRDGLRSSPNDVNLPGPTIPVSASIQAFAAKGMNTNDMVTLIGGGHSVGSIHCSLFQDRLNDPAMDRTLNARLRNTCRSPNDPSVFLDQRTPFIVDNAIYGEIRRQRGVMRIDQNMGLDRSTRRIVSSFAQSNALFRKRFAEAMVKMGRIGVLTGRSGEIRRNCRVFNNGR >SC183g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000052.1:77745:81467:1 gene:SC183g500010.1_BraROA transcript:SC183g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGRGQHADMCGQIADMSSVCGSVHGSVHGHTRTVHGKGQHADMSSVHGSVHGKGQRADMCTDMYTDQYTDQYTNQSTGRASMLILCTRISTLELNELSDTEDGAGLVAGRNGPFSAQRKIHNKFNLGRFYTKFDQDFADVPEVPFAFSDHIQHPAKEILPILGFLSWYQSHFGWMFGLLKKSKPQQDVYFPFKTVFEKDQLIFDKKQFASNEFDFVQKQKKRQNRCDDEKWVRSGDRPFTKAKRSNCVVPDQSELHTYASLEKMLHKAIHVVRQLKKKETNNTSSAPKQQSNSSSLSNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHRVGHYANKCQKQKSLVTLEKVETEPEKEDILPIFDDYAHEPKEGSGGEQNCGHQEEPSSIHKPDRTQGEQRSDYGSFAYNPFPFNVSDLRTNLFEERGNDVPWIVDPGQDGAQLDPTKVSPSDEATMDEPEAKFGRAGRSDTYLGELVELNQSDTYIYELDELSELSDTRLELNELSDTEDGAGLVAGRNGPFSAQRKIHNKFNLGRFYTKFDQDFADVPEVPFAFSDHIQHPAKEILPILGFFTACADGRPVCADGRPVCTDGHTDTHGQPRTSCVC >A05p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2065721:2068394:-1 gene:A05p005310.1_BraROA transcript:A05p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALDVKLRLSRFEFSRPKSKNAVVAGGLTAFVFGVYFYTMKAVGGTDELQMAIDKFEDQKQVETDPKGSGNDVERFLPFSPSSDLEMEIMPRENENALSAPTPMELGPGPYGKQRTSSLEAPIMLLTGHPSAVYTMKFNPSGTLIASGSHDREIFLWRVHGDCKNFMVLKGHKNAILDLHWTSDGSMIVSASPDKTVRAWDVETGKQVKKMAEHSSFVNSCCPTRRGLPLIISGSDDGTAKLWDLRQRGAIQTFPDKYQITAVSFSDAADKIFTGGVDNDVKVWDLRKGEATMTLQGHQDTITGMSLSPDGSYLLTNGMDNKLCVWDMRPYAPQNRCVKVFEGHQHNFEKNLLKCSWSPDGTKVTAGSADRMVHIWDTTTRRILYKLPGHKGSVNECVFHPTEPIIGSCSSDKDIYLGEI >A01p004660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1994230:2002053:1 gene:A01p004660.1_BraROA transcript:A01p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQENQTKMSRNPHHELHVMFFPYMAYGHMIPTLDMAKLFSSKGVKSTIITTPLNSKIFQKPIDVFKNQNPSLEIIDIHIFEFPCVQLGLPEGCENVDFFTSSNNPGRENIAFKFLSSTRFFKDQLEKLLETTRPDCLIADMFFPWSTQVAEKCHVPRLVFHGTGYFSLCANYCMKVHKPQNKVASSSEPFVIPDLPGDIEITREQIINSESEMAKFLLDVRESETKSTGVIVNSFYELEPEYADFFKRFVAKRAWQIGPLSVTNRGFEEKAERGKKASVDESECIKWLDSKEKDSVIYISFGSVACFKNKQLVEIAAGLEASGASFIWVVRKSTGDDDKEEWLPEGFEERVKGRGMIIRGWAPQLFSSRGAKSTIITTPLNAKILQKPINTFKSLNPGLKIEIQIIDFPCVQLGLPEGCENPDFFTSNNNPDERQTMMLKFFASMRFFKDQLEKILETTRPSCLIADMFFPWATEAAEKFNVPRLVFHGTGYFSLCSEHCIRRMHKPKMISEPFKVPDLPGDITMTQGQMRDLDEETDMGKFLIEVIESEVKSSGVVVNSFYELEPEYADFYKGSGVTRAWHIGPLSVQNRGFEEKAKRGKKASIDEVECLKWLNSKKQDSVVYVSFGSVAYIKNEQLIEVAAGLEACGASFIWVVRKRGESTGGEEWLPEGFEERVKGRGLIIRGWAPQVMILDHQATGVFVTHCGWNSVLEGVAAGLPMVTWPVGAEQFYNEILVTQVLRTGVSVGTKKHASMGDFIGRESVEKAVREVLVGEEAEERRGRAKKLAEMAKAAVEEGGSSYNDLSNFIEEFST >A06p001680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:733480:736223:-1 gene:A06p001680.1_BraROA transcript:A06p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSLISLLFLLFLTSSAYARLVSVRPSSTDLIISDGINGGNLALVSAEEKEEACEQTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSELLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQTQVSVGMGLLAGSTVMLLTVIWGTCTVVGKCDLRDNIAVNNQDTKGFHLKDSGVTVDVWTSYAARIMAISVIPFIIVQLPQMLDSTSGRHLAVLVALILSVVMLISYCVYQVFQPWIQRRRLAFAKHKHVISGILKHLKQHALGRLLDDEGQPDEHVIRKLFETIDANKDGHLSAAELKALIIGISFEDIDFDKDDAVGKVLQDFDKTLDEQVDQEEFVRGIKHWLIQAMGAAGPSGPDAGPRTMKFLDHFHVQTKREHALLGDNENGENDEESGEVADPKWITIKAALLLLLGAAIAAAFADPLVDTVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELCGGVTMNNILCLSVFLAIVYLRGLTWNFSSEVLVILIVCLVMGGFASFRTTYPLWTCFIAYLLYPFSLGLVYILDYWFGWS >A06g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16047163:16054332:-1 gene:A06g505530.1_BraROA transcript:A06g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVTKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSLFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDIFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLITNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREETEKFKWDFLKGRTHTVKDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEADICMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVILFDGSCFVSLNNSKEQIYFHHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNPSEGDGDKKGKAKAVACKKNEAAGPSEDGVGKMAKEMEVKQGKSVKPSQDDHAKKGKPDVGKKKKANAQPVDMLPFLQREEKRPIRPRNPPIPVTPEVILPIDPFVTPEFPRFSRLAHWMDIRGIYRVPFYINGKEIENEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVGYAYESVRKPHKKKQTLLEGCVGELVKGLTHPKKVWLEDVDVIYGFIEDKLSYHYIGVEIQLMDNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEEISPFEVKFAEGLPKTKFPYKCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKCTKA >A06p045240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24270842:24272696:1 gene:A06p045240.1_BraROA transcript:A06p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSMEGMVEKGVLDDIIRRLLEGRGGKQVQLSESEIRQLCFNARQIFLSQPNLLELHAPIRICGDIHGQYQDLLRLFEYGGYPPSANFLFLGDYVDRGKQSLETICLLLAYKIRYPSKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDDKILCMHGGLSPELDNLNQIREIQRPTEIPDSGLLCDLLWSDPDQKIEGWADSDRGISCTFGADKVAEFLDKNDLDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEIMKPATASSSGHPLKKVPKMGKS >A05g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19275822:19276168:1 gene:A05g506840.1_BraROA transcript:A05g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSRIYRKVQLKPLKWDGEGEE >A03p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12744061:12746724:1 gene:A03p030390.1_BraROA transcript:A03p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium transporter HKT1 [Source:Projected from Arabidopsis thaliana (AT4G10310) UniProtKB/Swiss-Prot;Acc:Q84TI7] MDRVAGRKFCKIRSKLYKNRSCFLNFIYFLSFSFLGFLALKVSKPRATSRLHDLDIFFTSVSAITVSSMSTVDMEVFSNTQLIIITILMFIGGPIFTSFFNIYLSHFTKFVFPHSKIKHLIGSFKADHTIEDRHLDQENINDRHEIPSQINEKASKCLYLVVIGYHLVTNIAGSMLLLVYVSFVKTARDVLRSKEISTLTFSIFTTVSTFATCGFVPTNENMIIFRKNSGLLWLLIPLVLMGNTLFPCFLRLLIWGLSKTTKREEFGYILKNRKKMGYSHLLSVRLCVFLGLTVLGFILIQLFLFCTFAWSSESLAGMNWYEKLVGSLFQVVNSRHTGETIVDLSTLSPAILIVFILMMYLPPYTLFMPFTIKKKNKKEEENDSGYEKGGKKSGLLVSQLSFLVICIFLISITERQKLRRDPLNFNVLNITLEVVSAYGNVGFTTGYSCERRLNVSDGGCEDAGYGFAGRWSSSGKFILIIVMFYGRLKQFTAKSGRAWILYPSSS >A05p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21325869:21326815:-1 gene:A05p037520.1_BraROA transcript:A05p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTHCEKVTRVPSLFTPSHFKFTIFWYMKVNNSLHKKLGTAYTPPMFMVFLSDQNHLFHRFFLVRRLSFSESGVGENTIRTSIRYAPQDFALVTGLNCGESGRFHSEAQEKAIG >A07g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24282908:24285519:1 gene:A07g508760.1_BraROA transcript:A07g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLVFSVLFAFGFVSLPCSVALSRDSSSSAAAAQDPLKLILGSPNFGSWKGAISAESLAPGPSADISDYLVLAAHRTKRPDILRAFKPYLGGWNITNNHYWASVGFTGAPGFILAAVWILSFGSLLVVYHCFKWRVCEKAKGSSYNSRRICLILLIVFTSAAAVGCILLSVGQNKFHTEALDTLKFVVNQSDYTVGILRNVTQYLSLAKTINVTSISVSSDVLGEIEKLNVNLNAAAETIQEKTRDNAAKIKRVFYAVRSALITVATVMLILSFLGLLLSVLRHQHAIHIFVVSGWILVAVTFVLCGVFLILNNAISDTCVAMKEWVDHPHAETALSSILPCVDEQTTNKTLTQSKVVINSIVTVVNTFVYAYANTNPSPGQSSYYNQSGPPMPPLCSPFDSNMEERECGSWELSIGNASSVWESYLCEVTESDVCTTVGRVTPDSYKQLVAAVDQTYALEHYTPPLLSFRDCNFVRDTFESITSDYCPPLERNLRVVNAGLGMISVGVLLCLVLWVFYANRPQREEVFAGPHRPRVKDHHSSGNGLDNNGHSGDETKRYAEVV >A03p033020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13984843:13986881:1 gene:A03p033020.1_BraROA transcript:A03p033020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKISIFQSLMFLFNRFILRRYRNPKPKYQKGPSFLLLSDLSRDTLIFNLEGALLKSDSLFPYFMLVAFEAGGVMRSFILFILYPLISLMSHEMGVKVMVFVSFFGIKKDGLRAGRAVLPKYFLEDVGLEMFKVLRKAGKRIGVSDDLPQVMIEGFLKDYLETEVVVGREMKVVGGYYIGIMEDKTKHDLDFDELVRKERLNTGHVIGITSFNTSLHRYLVSQFCQEIYFVKKSDKRNWQTLPRNQYPKPLIFHDGRLAIKPTLMNALVLFMWGPFAVAAAAARLFVSLCIPYSFSIPILGFSGCRLTVEIDDVSSQKLNSSQRKGCLFACNHRTLLDPLYIGFALKTQNITTVTYSLSRVSELLAPIKTVRLTRDRVSDGKAMKKLLSEGDLVVCPEGTTCREPHLLRFSPLFTEISDRIIPVAVTSPATFFYGTTASGLKAFDPLFFLMDPYPTYTVQFLDPVSGVSCQDPDGKLKFEVANHVQGVIGKALDFECTNLTRKDKYLILAGNNGVVKKN >A07p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18246374:18247025:-1 gene:A07p033380.1_BraROA transcript:A07p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALTIGGNGFSGLSGSSFSSSSSSFRLKNSRRKDTKMLNRTGVVCSSSSVMDPYKTLKIRPDSSEYEVKQAFRQLAKKYHPDVCRGSNCGVQFQTINEAYNIVLKQIKNQMEGTEEFQPFDVYDEGFNGMNDPDCDTWEEWMGWEGAGTRDYSSHVNPYA >A07g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23150206:23151869:-1 gene:A07g508440.1_BraROA transcript:A07g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNVDFNAFTASPAAAASSDVEEAKTKKKVRRKKTTKKDKEVEEGLVTYDEASDVDEPLTVEATDADSEGEEIDLSKHESEDISHTYGWPPLVCCFGSAQHAFVPSGRPANRLLDYERQERMKDAVWAPEKYIRAPGGCAGGVAIALASLGGNVAFMGKLGGDDFGQAMLYYLNVCKVQTRSVKIDSKRVTACSTMKISKRGRLKSTCVKPCAEDSLSKSEINVDVLKEAKMFYFTTHSVLDKKMMSTTLQAIKISKQLGNVIFYDLNLPLPLWQSREETKSLIQEVWDLADVIEVTKQELEFLCGIEATEEFDTKNNDSSKFVHYEPETVEPLWHENLKVLFVTNGTSKIHYYTKEHNGAVLGMEDVPITPFTRDMSASGDGIVAGLIRMLTVQPDLMNDKGYLERTARYAIECGVVDQWLLAQTRGYPPKDDMEEDEDDDDEDEEMESDPNGIRSITEREYRTSKPYDEPDGPYVMKPEEEREYRKLELVGSVGEDDDSS >A06g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10204966:10205324:-1 gene:A06g503280.1_BraROA transcript:A06g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKTIDGRCATVHIAGGGNAKVRSSPRHYGWRTISDGDGVSIFGGNHVWVDQCSLSNCEDWFIDAIIGPTAITLSNNYMTHDDKVMVLGHSDT >A10p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9680958:9682603:-1 gene:A10p006340.1_BraROA transcript:A10p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATIADQSYLFLNRIHDRRFDEESLRILELSLVATNAKSFSDLRSRLRDFMRSESSVIFSELAGESVVAKLSVLEFFARAFALIGDTESCLAMKYEALTLREIKSTSCLWLRVSHSEWTNFAVQSMEHGFPSIATKASENALLGLKRDSLIEPTSEEYSETLDAAASVRRLRDSAASLTSSHSVQAQGAEYLRSKELRILSRQTRPMKNLDSTGSNLFREGINKRNERMLQHLRSTQMIRDLEPHSRCI >A10p035640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20408874:20410365:1 gene:A10p035640.1_BraROA transcript:A10p035640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRPFKAQGRKPTHDFLSLCSHPTLQPDPKPTPPPSSQGSHLKTHDFLQPLECVGAKEETSRIDTTRIASEKPPPPAPPPPLQHVLPGGIGTYTISPIPYFHNQQRIPKPELSPPMMFTAAVQAGGGNERNVVDENSNSNSSSYAAAASGFTLWDESGSGKKGQTRKENNAGERASIRADGAATMGQCPVVERRSQSLTNTPLSGFSSRSSSQGSGLKSQSFMDMLRSAKGTSQDDDLDDEEEDFVMKKESSSTSQIHRGLNSLRYHQRYIF >A02p045240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28407803:28409526:-1 gene:A02p045240.1_BraROA transcript:A02p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRLAKSYGFIRLLMNPRLRFPADGFSAFRSCSTATRIRSMSASSSSTNLMAGEDANSGVTVLPAVEDKYGGVMTEISHPMDPSAFSALLQSSLSTWTTQRHRKSFEWQSVDVTLFLKAIVFPMRARETMCYFATTLTIALGPEVTCMIAACLQTFLYTQGKKGVWIKLPRQLIGLAEAAVKEGFWFHHAEKDYLMLVYWIPIEGDTIPSNASHRVGIGAFVINHNKEVLVVQEKTGRFQGQGIWKFPTGVVNEGEYIHDGSVREVKEETGVDTEFVQVLAFRQTHKAFFEKSDLFFVCMLKPLSLEINAQETEIEAAQWMPWEEYTKQPFVQNHELLRYMTAICSAKANGDYEGFTPLRVSAPDQQGNLYFNTRDLHSRH >A07p048810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26017253:26019165:-1 gene:A07p048810.1_BraROA transcript:A07p048810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEAKSKKAGGPPRLCCICNERRPVLKRPKTLQQICRECFYEVFEEEIHQAIVNNGLFKSGERVAIGASGGKDSTVLAYVLSELNRRYSYGLDLFLLSIDEGITGYRDDSLETVKRNELQYGLPLQILSYKDLYGWTMDDIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRKYCETLTLSSHTYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERLRPRAILDIIKSGEDFRIATTTKMPEQGTCERCGYISSQKWCKACVLLDGLNRGLPKMGIGRARGGGVNGGDHKKETKAGSTVKTLESKQCGSLDF >A07g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9008656:9009148:1 gene:A07g504470.1_BraROA transcript:A07g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSEFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKEYLQFVLKFCYFMVYDHLYKDSSMVCIAFV >A01p057490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32506728:32507991:-1 gene:A01p057490.1_BraROA transcript:A01p057490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDNKLLPKRIILVRHGESEGNLDTSAYTTTPDHKIQLTESGLLQAQEAGARLRSLLSSNPSSPEWRVCFYVSPYDRTRSTLREIGRSFSRRRVIGVREECRIREQDFGNFQVKERMRATKKVRERFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDMNRLHMNPTHELNFVIVSHGLTSRVFLMKWFKWTVEQFEALNNPGNSEIRVMELGQGGDYSLAIHHTEEELERWGLSPEMIADQKWRVNAHKGEWKEDCKWYFGDFFDHMADSDHESETDATEEDKLNLLTNSEDNNEELCNGQCS >A09p000260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:144636:146063:-1 gene:A09p000260.1_BraROA transcript:A09p000260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMIRLLSRNNSSSFNNLLTRCLHGTSSDETVSTYSRLLDRYNFHPPPPASEDPQKKPPKPQYRPPSSLEGVKKMHSSLPFDFRFSYTESSSSVRPIGLREPKYSPFGPDRLNREWTGVCAPAVEPKVETVEGGGGEDPKLEEKRRKEREKIQGPPLTEAERKFLVELCQRNKTKRQINLGRDGLTHNMLNDIYNHWKHAEAVRVKCLGVPTLDMKNVIFHLEDKTYGQVVSKHCGTLVLYRGRNYDPKKRPKIPLMLWKPHEPVYPRLIKTTIDGLSTEETKAMRKKGLAVPPLTKLAKNGYYGSLVPMVRDAFLVSDLVRIDCLGLEKKDFKKIGAKLRDLVPCILVTFDKEQVVIWRGKDYKPAEDEAEYPPSFIHHQGL >A05p051760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31550019:31551561:1 gene:A05p051760.1_BraROA transcript:A05p051760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase LUL4 [Source:Projected from Arabidopsis thaliana (AT3G06140) UniProtKB/Swiss-Prot;Acc:Q8LA32] MGISFSTNRRRQSNRRHLLHHHPPPPYYYLDPPPPPQPFPPHYDYNYSTYHIPPPLPPPPQINSCSYGHPYHYYPQHPQYLTTAQPNWWGPTMRPGFVCPPQPQTQMQPLPPPYVENQNAKKVRNDVNVHRDTVRLEVDDLVPGHHLVSFDFDAIFHGSFTITFFAKEEPNCTFIPQFPEVYPPTRFSFQKGPGQRFLQPSGTGTDLSFFALDDLSKPLEEDVYPLVISAETLISPNPISEQSSVHKQVTQAVLEKDSDGSFKVKVVKQILWIEGVRYELRELYGSTTQGASSGLEDGGSGKECVICMTEAKDTAVLPCRHLCMCSDCAKELRLQSNKCPICRHPIEELLEINVNSSDEQHFT >A06g501520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5853348:5855463:-1 gene:A06g501520.1_BraROA transcript:A06g501520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLEGQNNYERRELPSDRRNEKKYKDKELNQQYLRLEMSDYNKERSPKAFNDRVDRHGNSFGNRIATKQTRVPPPVKATTDRADTTQSWRSRTHTQEVENQGYVSPPYTNRRDVRRERNYTRTPFPQRGLSEWRIKPTNLHSVMEQSEQIQDENQRTQRDLPAHKSLHQTQGEKQAEDQVLKELDEATRLYLSCPDPTEAAARKQRVMNGDAKGQREETAAFILNSRSLHSTIPPGMSQEIPNNSKQTKEQIMEDLQEVTKQYLSCTDPIEAVARKQRVLTGDASGLMEETAASILAASEPPSRPLSPWERGIRSVSPQAHDNPLNTLFLADHTVVLSPQGGDDKEEDTGLDFYYYEVSPLQPPVSPTARKTRPQTVKSIIISPSLEGEEEHRVPDQQENLTALEREETLQEFQNKVKKTRNLSRGKSSRSSPILLGPSLKKRKLAQLHNSPTGGRNIGE >A07p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8747350:8747791:-1 gene:A07p011390.1_BraROA transcript:A07p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYNTTRCYSTQCGGFVQTNITIAFEAAITRTSAFEGPQFDIMIPIWKNWWLRLGCNMVLVRMRRRSSMPKQYWHDTIVHMGSVKISEKDFRKAAYVCDI >A06p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1802453:1803923:1 gene:A06p005600.1_BraROA transcript:A06p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKERRNLLVSTVMVLFFFNTNHYQVMSCPDRTTNCTDQDKKLLEFPLNLEYLEAEFFLFGALGFGLDKVAPNLTMGGPSPIGAQKAKLDPLTRDIVLQFAWQEVGHLRAIKKTVTGFARPLLDLSIKSFAKVMDDAFGRKLVPPFNPYANSYNYLIASYLVPYVGLTGYVGANPKLQCPDSRKLVAGLLGVESGQDAVIRTMLYARATHMVHPYGITVAAFTDRISRLRNKLGKMGVKDEGLVVPKAMGAEGQVAGNVLVGDELSLAFDRTPEEILRIVYGSGNERVPGGFYPKGADGEIAKSYLV >A03p004600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1929322:1930999:1 gene:A03p004600.1_BraROA transcript:A03p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPLAKFKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTLRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWVEDVRTERGNDVIIVLVGNKTDLVDKRQVSIEEGDNKARDFGVIFIETSAKAGFNIKPLFRKISAALPGMDTLSSAKQGDMVDVNLKSSNHSSQAEQQSGGCSC >A03p015550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6128464:6129287:1 gene:A03p015550.1_BraROA transcript:A03p015550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSILLNFYISLHKFPPLITNTHNLLLRSGRFSKTMMELMKSLCFSFIIVASFTTLFSVADARRFYVGGGNGDWAINPHESYNTWSARNRFQVNDTLYFKYAKGSDSVQLVTKADFEGWNIKNPLEKFDNGEAEVTLNGTGAFYFVSGDQDHCTKGQKLIVSVLAMRNHPNISPAIAPTTAQPPKAHSPVSPISPAKAPSTAQPPKSPSPVSHISPATPPSTSQPPQSSVSPAPEKTVWLSSSWDLK >A06p029280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16108182:16109877:1 gene:A06p029280.1_BraROA transcript:A06p029280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGISGNAQPTIFNREAIRDQRGEVVREIEFVTHSVDPAEADAHWVALCNVEEPPPEPPRTFMEVLKGFSQGDRGWKSYFFYVRLDQASVAVECLPSFRRLWAVHNPIPPFPEDLCIVRNLLRGGPLFWGYFSPERVRAAVDTHRSRFSSSIDDDMGVFFEDTSLPAVYATGQSSGRRPPDAEDDAEPTVEDPIFGGISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFVLRFLPDSYRFKRAFVLVLDVLKIKRVIELRLFKTAGVFVGADRRTGCKVLVVTFGQFVRIIFEF >A03p000580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:373553:374701:-1 gene:A03p000580.1_BraROA transcript:A03p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTKKASSSSSLTSELFGSKVNPLPSSSSGTFRSIFAPPSKVMGRESMQMQQDTVTAGWNEKSSKIGDVNRQREEQDNLGSVYQDQRVQPCHLSSSIYYGGPDVYFQSQDSSSNSTENKKEGGEDDSGSASRGNWWKGSLYY >A09p056490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48037663:48039176:1 gene:A09p056490.1_BraROA transcript:A09p056490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTLTELAGETKLNSKFVRDEDERPKVAYNEFSTEIPVISLAGIDDVGGKRGEICRQIVEACENWGVFQVVDHGVDTSLVADMTRLARDFFALPPEEKLKFDMSGGKKGGFIVSSHLQGESVQDWREIVTYFSYPVRNRDYSRWPTKPEGWVKVTEEYSERLMGLACKLLEVLSEAMGLEKEALTNACVDMDQKIVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDDGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNSSRLSIATFQNPAPEATVYPLKVREGEKPILEEPITFAEMYRRKMSRDIELARLKKLAKEEHDHKEAAKPLDQIIA >A05p012680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5550571:5552722:1 gene:A05p012680.1_BraROA transcript:A05p012680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G34640) UniProtKB/Swiss-Prot;Acc:F4IHY7] MASISTTSWLYRDKVCTESRKLGACILQRQVKCGFPIKRLHAGITSKDVLLRHCVKCKKEDENDASEGSSKKDGQGYEYVSVERAPYHSYMDSTSGKMEPASGARASIPGEDYWPEGTSSQVRAARAPQPAGESSSFPSYGKNPGSRRKKNRKAVEGNVSVETYDEVSDSEDSSEEEESDLSNGFVVYDNEVEEEESGFELDKKLGRPHPFIDPTKKKQIETTLTSDESWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGKEPTLTETSLYRARRHLFKEERLQAERERLAKEGPMAFYSEWVKAWKRDTSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIKRDPLAMRMKEDQIKQIWGGDPVYPTINYIQAPDAVMDFRGPDFHEPTPNMLSHLKENGKVISREVHETLLAKEKTEQIEVPDIDDAMAQAVDIGENDDEEEDAEEAEKDEKVARNWSVLKSTPELRNSKPKPKKEGRMSVDEAVDDSENLTDFLMDFDEETDP >A09p065150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52197900:52201133:1 gene:A09p065150.1_BraROA transcript:A09p065150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKKLQFVLTSFRIDIVNFITIIELELWGIAMELFYEVLPPSCFTKYSNKVYLWSLKDRLCLCEVEDLSDVDIWGLQQEGSSVKWEKFLSDSAFSKECFEHPYWMTGVLTCYQIYEDASTALCTKDLAALL >A08p045160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24812344:24816031:-1 gene:A08p045160.1_BraROA transcript:A08p045160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVGLVIGLAVGITIIIGFVKAENYRSKLRAELANTVAAFARMTVEDSRKLLPAEFYPSWVLTWLNHHLTKIWPYVDEAASELIRASVEPVLEQYRPAVVASLTFSKLTLGTVAPQFTGVSIVEGDENGMTMELDMNWDGNPNIVLGIKTLVGVSLPVQVKNIGFTGVFRLIFRPLVDEFPCFGAVSVSLREKKKLDFTLKVVGGDISAIPGLSDAIEETIRDAVEDSITWPVRKVIPILPGDYSDLELKPVGMLEVKLVQAKNLTNKDLVGKSDPFAKMFIRPLREKTQRSKTINNDLNPIWNEHFEFVVEDASTQHLVVRIYDDEGVQASELIGCAQIRLCELEPGKVKDVWLKLVKDLEIQRDNKNRGEVHLELLYVPFGAGSNGIVNPFASSSMTSLERVLKNDTTDEENASSRKRKDVIVRGVLSVTVISAEEIPIQDMMGKADPYVVLSMKKSGAKSKTRVVNDSLNPVWNQTFDFVVEDGLHDMLVLEVWDHDTFGKDYIGRCILTLTRVIMEEEYKDWFALDESKAGKLQLHLKWMPQSIYRDS >A09p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9398666:9400080:1 gene:A09p018030.1_BraROA transcript:A09p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKNSASGDLLSKKPNGKDAVSSASSTKPSVPPTKSSAAPVKPSAAPVKPSAAPTKLSVLPMNSSAASMKPSAAPMNPSVALIPHTVAPMESTGQSGVSGYTSTKKRNGKAVFGSDVSSDKNDGVVMFRNVTFGPQEGELRFRLIHFWEARNAITKTFIGLEMLLIDEEGTVIQGFIPPSRIETYLRHMIPGSTYRLNNYFGSKTKKVYQVADPDVTIAFSWNSVLSVLTDSSIRFPEDRFRFHGYEEFEAVYGLKGDLFDYIDHIRLVNEQTLTEDLVLDEDEIASMRRILIHVQTYDGPVMKLYLWDKAATDLCEKFKSLRKPPSVILVTTVNPKIFEGALSLSCLSSSRVFFDMDVH >A09p016790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8785428:8787368:1 gene:A09p016790.1_BraROA transcript:A09p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARFQNTAFAAKSSPNSFKILGRSPQVQESEAAADTTLRLDSLSSPLSNSIGTKRKWKDQEADPLLSLRLGHSSSSSDSKGSSATASMSLSSAKEIEEASSMDLDLDFTLHLGKEKPASNHKPANLKMKELQVPSPEFDLELSLSCQSEITAVQQQANQFPTLGDMLRATNEGSTSRGWRPGFASSPALQALSSKETSSFLAHAPKKIIIPSAPHVLDLSSSSATTTTTTTPISSGTCTSVLSQQLKPQHKSSSSSKLCQVEGCQKGARGASGRCISHGGGRRCQRHGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEDCTRAARGRSGLCIRHGGGKRCQRENCTKSAEGLSGLCISHGGGRRCQSNGCTKGAQGSTMFCKAHGGGKRCTHPGCTKGAEGSTPFCKGHGGGKRCAFQEGHPCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKSEGCGKSAQGSTDFCKAHGGGKRCAWGHPETEYAGQSSSGPCTSFARGKTGLCALHTSLVEDNRVHGGMTVTSESQEPRVSSSEEEFSGSQDMNMDRMKARSAVGSPETDIDLNENETGPGLAPEGRVHGGSLIMAMLAGREGGSGSGSSNLPKRWV >A03p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2172287:2175942:1 gene:A03p005200.1_BraROA transcript:A03p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAASSRYPSPSQPSGKSEVTDLKSQLRQLAGSRAPGVDDSKRDLFKKVISYMTIGIDVSSVFGEMVMCSATSDIVLKKMCYLYVGNYAKGNPDLSLLTINFLQRDCKDEDPMIRGLALRSLCSLRVPNLVEYLLGPLGSGLKDNNSYVRTIAVTGVLKLYHISASTCIDAEFPATLKSLMLHDSDAQVVANCLAALQEIWSLEASHSEEACREKESLLSKPLIYYFLNRIKEFNEWAQCLILELAVKYVPSDSNDIFDIMNLLEDRLQHANGAVVLATVKVFLQLTLSMTDVHQQVYERIKSPLLTLVSSGSPEQSYAILSHLHLLVVRAPFIFASDYKHFYCQYNEPSYVKKLKLEMLTAIANESNTYEIVTELCEYAANVDIEIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAETLVLVKDLLRKHPQWSHDCISVVGGISSKNIQEPKAKAALIWMLGEYAQDMSDAPYILENLIENWEEEHSADVRLHLLTAAMKCFFKRAPETQKALGIALAAGIADFHQDVHDRALFYYRVLQHDVHVAERVVSPPQQAVSAFADTQSSEIKDRIFDEFNSLSVIYQKPSYMFTDKEHRGPFEFSEELGSTSITPEVSSDIVPAQQFEANDKDLLLSTDEKDDNKGLSSNNGSAYTAPYESSNISSQMQELAISGPAASATTTQSSFDFDDLLGLGLSAAPAPTPSPPLLKLNPRASLDPRAFQQKWRQLPISLTQEYSVNPQGIAALTVPQSLIKHMQSHSIHCIASGGQSPNFKFFFFAQKEAEPSDYLTECIINSSSAKAQIKVKADDQSTSQAFATVFETALSKFGMP >A04p000890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:437231:439805:-1 gene:A04p000890.1_BraROA transcript:A04p000890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVLLLSLLLWIVCSLCSAAAVVRSGSSDGDTEIAISLLEKKLMAPEVAMVPLTLIQGAGSKGAVCLDGTLPGYHLDRGFGSGANNWLIQLEGGGWCNNHRTCVYRKTSRRGSSNFMEKTLPFTGILSNKPLENPDFFNWNRIKLRYCDGASFAGDSQDESSQIFYRGQRIWQAAMEEFLSLGMQKANQALLSGCSAGGLASILHCDEFRELLPSSTKVKCLSDAGMFLDAVDVSGGHSLRNMFQGVVTVQNLQKGLSSTCTNHLDPTSCFFPQNLVSDIKTPMFLLNTAYDSWQIQESLAPPTADPGGIWKACKSDHSQCNSSQIQFFQDFRNQMIYAVNSFSKSDQNGLFINSCFAHCQTERQDTWFAQDSPQLNGKRVAETVGDWYFDRAKNIKAIDCAYPCDKTCHNLIFDVNQTGVDRSVDPKAAEISTSSSSSSSRFCFPLHRASLVSLVLTTIILGFLAQ >A04p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3399414:3403233:1 gene:A04p006400.1_BraROA transcript:A04p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSSHLNAANRSRSSQTPSPSHSASASSSLHKRKLAASEDHAPPSTSFPPSSNDDLESLSAARGADSGSDPDESEYAVHDGYEEDFAPEPDHDSSIRTFTAARLDVNGSSRNAKIKTEEISTVELQAGTGTAGAIAPKDEAAKILTCGAYIAREEALRREEEAGRLKFVCYSNDGVDDQMMCLIGLKNIFARQLPNMPKEYIVRLLMDRKHKSVMVLRKNEVEGGIPLVVGGITYRPYHRQRFGEIAFCAISADEQVKGYGTRLMNHLKEHARDVDGLTHFLTYADNNAVGYFLKQSFTKEIYLEKDVWHGYIKDYDGVLLMECRIDPKLKYTNLSSMISKQRKAIDEMIRELSNCQNVYQVAEFQKKEGGSSKNIRVEDIPGLRDAGWTPDQWGHTRYTLFSGSGDSVTKQKQLNALIRGLLKTMQDHSDAWPFKEPVDPLDVPDYYEFIKDPIDLKTIGKRVESEQYYMTLDMFVADTRRMFNNCRTYNSPDTVYYRCATKLQAHFFSKVQAALQSGAKSQ >A03p019160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7811799:7815423:1 gene:A03p019160.1_BraROA transcript:A03p019160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNVKWSELNADVLQSILERLSIINYLKARSVCKNWHVVCKQISSIQDKFPWIIIFPRRRPKSSTCQVFNPQEGKLYTLRNLGNDFSTHQCIATSGSWLLMLDLRSNLYVLNVFTRERIDLPPLESHQGRLQVNRLQNNTFTFMINQSSAPARNVLNRTKAVLWVDEKTKGYLVVWSIGLSYIMYTKNGIDFWREIPIKEGPENLHGCQDIVYKDNNLYILTGLNRIRILDCSQELPRALLDNVDDDPFRDDQRRRGKIGVTVSGEVLMVKNRLKQVFNILKMNSDGTSWDEVESLGEESWITDLGVTVPGVDGSRPNSIYYRDRSLYCGDLSVQVLEMILEVDKNGHARWFIPSLRELLCTDLLRSILENLNTKDFHRARTVCSSWYSVSTTCTRRLCPWRILLRKSSTLLYDPEQVKTIETEHPGTDFSNSCVVASCSNWLLIIDSYLDLYLLNVFTCERINLPSMKSLLFGQVITFNVRSSLTSSSTPACLWMNERTGDYVAAWSYKEHYLFLYKKGDATWRNHKGARCRSMAYKDNKLYVYTSDGYIKILDLSGDSVKEIVEGNPCPNHRFQFVSRPGEHVWRTKVAVTNSGEVLIVLSLRGLQEKRLFCIFKMNVESGNWERVDSLGGEVLIFGLGVTVRSPIKEIDGQGIKSDSICFIDYDLWPGADYFYHTRQIKSGIFDLATRTITWPKTSDPSVLKSFWFVPGYA >A09p048750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43291543:43292305:1 gene:A09p048750.1_BraROA transcript:A09p048750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSLPSKSTIGVEKAIKNQKDIALIVSKHLFSTKAKHSNSVFSPALINSALTLAASGPDGSSVSNEIFSFLRSSSTDELNAVFSKLVSVVFADHSAHGGPKITSVNGVWIEQTLPIDSSFKDLFENVFKAAFDRVDFLTNAEQVRIELIKWAEDHTNGLIKDLLPPGSVSRQTGCVFGNALFFKGAWEVPFDKSYTKDTEFQLLSGTSVSMPFNGVVS >A10g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6142941:6149512:1 gene:A10g502280.1_BraROA transcript:A10g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSEDRYSTEKASSVQSSILYDCDAEALSNSIRPSQSYSPTIKWRCCTRLVQFHGFRSVEVLLDTPPGSPKNCPEARGGSVRVQITLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVLSDQPAASRLEHLQVPVIFKDSFIAGGWTIWITGDRILPIERRNTKSPGPRFPLLEARSWQEAKSNLVTDRNQANGPRSSRWIVAWVQNVVSTVQQDECLQKLESMVDSEDRYSTEKASSVQSSILYDCDAEALSNSIRPSQSYSPTIKWRCCTRLVQFHGFRSVEVLLDTPPGSPKNCPEARGGSVRVQITLSRPVNFFMVKPRLCPRQDQSIPVQSRRPLGFGQVLSDQPAASRLEHCELVPVIFKDSFIAGGWTIWITLLVLRVLGHIGRTTGTMVLTLSPKFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPV >A06p009930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3557236:3558789:-1 gene:A06p009930.1_BraROA transcript:A06p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQTLGAVRLAYRSRIAHLVKSGMIDNAVQVFDEMRHSSYRVFSVDYNRFIGVLVKDSRFELAEAIYRDMTPMGFSLIPFTYSRFISGLCKVKNFDLIDALMRDMETLGYVPDIWAFNIYLDLLCRERKVGFAVQTFCCMVRRGREPDVVSYTILINGLFRAGKVTDAVEIWNEMIRRGIRPDNRACAALVVGLCHARKVDLAYEMVADEIKSARVKLSTVVYNALISGFCRAGRIEKAEALKSFMSKSGCEPDVVTYNVLLNFYYDNNMLKKAEGVMSEMVKSGIQPDAYSYNQLLKRHCRVSHPDKCYSFMAKEMEPRGLCDVVSYSTLIETFCRASNTRKAYKIFEEMREKGVVMNVVTYTSLIKAFLREGNSSVAKKLLDQMTELGLSPDRIFYTTILEHLCKSGNLDKAYGVFKDMIEHGIAPDVISYNALISALCRSCRVTEAMKLFEDMQSKECCPDELTFKFIIGGLIRENKVSAAYKIWDQMMDKGFTLDRDVSDTLIKASCSVSADA >A02g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18067348:18068231:-1 gene:A02g506360.1_BraROA transcript:A02g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A05p018580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8621464:8622969:1 gene:A05p018580.1_BraROA transcript:A05p018580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGITEQDIEKAESTNKDVEKANSSRKPKVTEQDIDEAESSNKHEISYQDDDEKFAEILAKMNMLIHIGAESPKFQRKGEGSCSIFKIPQSLKKNHHKGYEPEIVSIGPYHHGKEHLQMLEEHKHRYLKLFLGEAKDGVDTNTLGRKILQMETAIRNSYSEKLVVIKPEFLKMMLLDGCFILMLFFFISRHVLSSKKSPNDRILTTPWILSTIRSDLLLLENQVPLILLNTLLKESNISEKVNLVTLAFKFFNLSVAEKTKSQNLEAEHLLDLIRKNFIYGTSQSPEKGPSNSHYDDSRLILSANRLRLQGIKFKASHYQPSSKKTSGYVPRRSSREETILDIKLNGNELHIPPIVFDGFISSVLLNCVAFEQLSTKCSNNITSYVVFMGCLMNDEADATYLSEKGIIQNYVGNGSDVSQFFKFICKDVAFDMSNSYLKEEFEGINNYTSNRWNVECARFKHLHFDSPWTVLSSFAVLAAILLSILQTIFGGLSYLHHCK >A07p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10481992:10490333:1 gene:A07p017290.1_BraROA transcript:A07p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLYLGNLSAIKPSHYGAFKDLRKEINYLDSAILLYFYILLSYGSKISFILNPKTIESKNRVSLSAYNLRKRNGRSTIVKAAASRVDGAEPKSNEEPPKTVVAAVPRNPLESKEAKEKLLLEQRMKMKLANKRQKYVHDMNTTTLGSRQKLSIMIEAQGNLCRDGLGTCEDCDQKCKTKHGPSSESSCDRSVGVALCTCFYQCTPPTPPSPPTPPTCNSGTTLCTEQCSDNCCDTNCAKLHAGGHGICNSIGNTRLCLCQYPC >A01p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:21135:24755:-1 gene:A01p036210.1_BraROA transcript:A01p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPPRDWWGVWENAAAVAFKNGRLRIEAPVRLSHAESWREGAVIHCKGYRLHPREPDAECTRAGGSTGTQQEKGRVGPLELCRTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPNDGGSSWGKKDDGGSSWGKKDDGEMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRSITGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSEWEEEPASSTGSGRVAGPKPEGEQKSPKRSPAENSRRLEALAVDSLSLSSCRLSPPSLSSLRLFSLSLSSPRFLLSLSAASLSSHREQPRVVVVAAWCHRSQIPFLLPPILRSRSRSRLRKSLRVKEKGNDKEKGNDEKREIKEKGKLNE >A08p029660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18331021:18332545:-1 gene:A08p029660.1_BraROA transcript:A08p029660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRATALPKTLQNLRLFSPAATSALALDHALESQLDYLPGFPRPDAKHAETILAVPRSDSGKNISAKERKAGRVPSIIFEQEDGQHGGNKRLVSVQTNQIRKLVTHLGYSFFLARLFDVEVRSEIGSDEVIEKVRALPRSIHLHSGTDAPLNVTFIRAPPGTLLKVDIPLVFIGDDVSPGLKKGASLNTIKRTVKFLCPAEIIPPYIEVDLSLLDVGQKLVAGDLKVHPALKLIRPKDEPIVKIAGGRVSDQQKDQQKKDQPKKEQSKK >A08g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6741224:6742763:1 gene:A08g504140.1_BraROA transcript:A08g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDIILFDDKSTLMPATVMSTVSTPSGTTVSRRVLCSLLADSRMSQSSHHLSLYPRSPSSSRDLAHHGVSIVGYFHTNKRIDDVQLCGDHFSRYFPSSPILLVKFCILCTQLEALSNGKNRRHLMQLSVKDVSNNWKMVGTDVGNKLFLKEPSSNVILLDCISSES >A02p017240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7753734:7755571:1 gene:A02p017240.1_BraROA transcript:A02p017240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGRLGQSGSAHPSQKDPATSLPEVQKKTKTKLILFTLAVLVVAVVCFGIFAGIRSIGSDRHEPKLNRKPTQEISRTCSKAQYTSLCIDTLLDFPGSSTADEQQLLHISFNATLLSFSKALYSSSKISYTQMPPRVRSAYDSCLELLDDSVDALSRALSSVVISSSGNDESHSDVMTWLSSAMTNHDTCTDGFEGVGNGEVKDQVVGAVKGLSEMVSNCLALFSGNVNDVNGVPVANRKLLGVEETEEFPSWLKRGDRELLGTPATAIQADITVSKDGNGTVKTIAEAIKKAPEQSSRRFVIYVKSGSYEEDNLKVGRKKTNLMFIGDGKGKTVITGGKSIADDLTTFHTATFAATGAGFIVKDITFENYAGPSKHQAVALRVGGDHAVVYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVILQSCNIYARKPMPQQKITITAQNRKDPNQNTGISIHACKLLATADLEASKGSFPTYLGRPWKLYARVVYMMSDMGDHINPRGWLEWNGPFALDTLYYGEYMNRGVGAGIGQRVKWPGYHVITSTVEASKFTVAQFISGSTWLPSTGVAFFSGLSE >A03p062030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26944729:26946598:-1 gene:A03p062030.1_BraROA transcript:A03p062030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRTNSLCFFLFTVLLSFSTFFTDARRFEVGGSGAWVPNPPENYGSWAGKSRFVVHDTLYFSYAKGMDSVLEVNKADYDGCNTKNPIKKVDDGASEISLERSGPFYFISGNEDNCKKGQKLTVVVLSVRTPAPPQAASPGNSPPGSIPPKSSSHVSPATSPPAHTPPKSSSPVSPATSPPAPTPPKSSSPVSPSSAPVTSPPGSMPPKSSSHVSPATSPPAPMPPKSTSPVSPVISPPGTMPPKSSSSPVSPATSPPAHTPPKSSSPVSPSSSPVTSPPGSMPPKSSSAKSPATSPPGSMPPKSSSHVSPATSPPAPMPPKSSSPGSPSSAPMTSPPGSMPPKSSFPGSPSSSPPGSMSPKSSSPGSPSSSPPGSMSPKSSSPGSPSSSPVTSPPGSMPPKSSSAGSPATSPPAPPSYAPGSSPPGSMSPKSSSPVSPATSPVTSPPAPPSYAPGYSPSGSMAPKSSSAGSPSSSPAGSPSSVSYSPSDSPSAYSPSEMGPSGDAPSGSAMGPSGDAPSASAMGPSGDAPSGSAMGPSGGAPSGSTTGPSASGDITSPAEAPAEEKSSANGVAVMSVTTVLSLVIAMFMSA >A08p018090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12566265:12567912:1 gene:A08p018090.1_BraROA transcript:A08p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRIKKSVNGGAPAQTNPDDRRSSVEASSQGAGKQRAVVKSADMKEDMQKEAIDIAITAFEKNSVEKDIAENIKKEFDKKHGATWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >A03p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7334368:7336064:1 gene:A03p017950.1_BraROA transcript:A03p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSGTLQITSNYIPGYGVSDSRSFSNSVVSRRTIVVLPFSPSLVHIENGGGYAPKRISFVCRASSSGHRRNNPDFSRNNRNGFRGNRNRRNEDRDGLDGGGGLEDSEMLSSRNGPVFSLSSSPKFQATSSPGPREKEIVDLFRKVQAQLRARAAAKKEDKKTEEASKGQGGKETETVDSLLKLLRKHSGEQSKKNVSNFDSEKQLQGDGDDDDDERQVHSSDNFDSRNRDHNATRFTRPASSFRRNSPVPRHKPQSSYSSEAIFDEASSYSVTWTQKKDQVVEPEPEYEPGLALLESEPELKPESFYDEEDEDHDVLVDELSNDDDESVNAEEETEKNEDLSALKLIELRAIAKSRGLKGISKMKKAELLNLLCSNKA >A07p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23362131:23363761:-1 gene:A07p043170.1_BraROA transcript:A07p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NWREHPPSSYSLKVHNFSQLEKSTTVSDDKYQSRPFSSADAISLFNTNQETDRLPKRQQNNNRGFCGTPFLCLQQKRKQVLYYSRSATSDYSLSFSLPTFVFQLAITFIFLDLEVRRFNALKTVWGLQQFLPLDTFNDLKNGYIFEGGHCEFGVDVIVASPLTNWEILSFDEKLSKPNDTLKEDEKIFVQANLRLNVWFKVQNASWGWNKFMSLAELRKTYLDNKEHALSVEMEFKKLTFVVCGTQKLHKYNIPIYIPQLFNYFEQHVPLTINLAWNGVTSLIVSPTMIVG >A07g501770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3648665:3648964:-1 gene:A07g501770.1_BraROA transcript:A07g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATFDRSERDQTVRVQPDQRRLETEQTLTLCRFNEPEQRNRAKSEKTARLNHSNDLYDKDRINQKNGDSVTVVSRRKHGGDGSKMDPEAEIVGSPVRE >A02p048850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30344699:30345463:-1 gene:A02p048850.1_BraROA transcript:A02p048850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGMGRTNEASAFQVTANQWDLTRVLGGSSGSSAAAVEARLCMVSLGSDTGESVRHPASLCGVVGLKPTYGLMHLDRITHVRPVMCLVLCIEAASHFEALGCVLTEVSLPFFSLGVPAFYVLASSESSSNLSRYDGIS >A02p053580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32592042:32593658:1 gene:A02p053580.1_BraROA transcript:A02p053580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDEDKPKYVSKTRRFAPGRARKSKPEPTPVHPSETDAPSESVSKKKTLMEEEEEEDVVVREIDVSTIHLLVPTLRLARDYYYFHYYNFANRFKCFDFSTMSQLYVLQYPLRPSWRAYEMDERCQEVRVNPSTSEVELDLSMDVNSTNYDSKSASELHMTKQTLTTAWKPPPTLDYAVGVLSGDKLHLNPVHAVPQLRQSMKYLSSKRKAEAPEESARTSKKQNKRVQASKDHKPVPEEVKDKWNWVSLTYHGLESEFHSRYLTKMMASEKLYYRLQHEPERLYQLIVPWGKQQKLQKNSL >A07p026830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15312491:15315932:-1 gene:A07p026830.1_BraROA transcript:A07p026830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASTAVRPVAGTGLPEKAAAALVNSFRLASVTQRLAFHIQTGAKSDVKEFQSCCISLAKGIDFAIANNEIPKKVEDLPSLLKQVCRHRDDVYTKTAVLVLMISIKHACKLGWFSDSEAQELISLADQMKNGFGNPENTIPVIQSPGGTLSQIMERFYPLVKLGHVLVSLEVKSGYTMLAHDFHISKNMPHSPQEKIRLFVVQTENIDTSACIINPPEVSFILNGKVVEKRVNISMDSGPQLPTNVTAILKYGTNLLQVMGNSNGHYIIVIAFTGLAQLPEKPVLKEYVQSGVVEASPDSDIIEGPSRVSLRCPISRSRIKLPVKGQLCKHLQCFDFWNYVNINMRNPSWRCPHCNQPVSYPEIRLDQNMILKDAGRNAADVVIHAGGTWKVAMENNGNEEPVRDAIIHDLEDPNSLLNAGPVVLDLTGDDEDDADIELFGSNTKAVDQKPHLSDAQGQSNNNNTSKDASVDDYCSMFNFSDVISLDEVMLDHLNTGTGQDYLNLSQVPMPRDPAQVPAPFSQAPSPRERSATTSTVFPSSRVHASPVTPAGTYLSRTSSQMSSLTTSSQSRVHPVQVTSQSLGNGSSLAQSPRIPRVLGPQPNSYFARSLNSNHLTTQTQRPSSPPVLSVSRTSDLMDVDSATPDTSNWRPRMRGSITPGSYSPALDHMIIRPTQQSQTRLQVSQPGQTPPVQTSQALPPFSTTPPPTFTRPSGPTAPWGT >A03g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2788218:2789659:-1 gene:A03g500910.1_BraROA transcript:A03g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYGLGYDSSSHYKILRFIDYPPNFVEFKIYDFNSDSWRILDLPPRPDNWNIELGERGLSLKGSTYWFASEALNFDAICLDILPAFEAFPDDTLSLSSSSSSVGGEYPRQQLVVLFQSTDTLEMEIWISNTIEKPNALSWNSKVFLSANIPELFFRKSVSFFIDKEKKVAVVFDKNARDGTFTREIAYIFFGGGGVDDDGSSLKQQVVKESKYPFCYSHACYYVPSLVQPAPAQWRGQAVRPPRAQARVPPGKPPRAVASRVIQLLSVLQWIYSTRKSMSLYMINITLIDVALNHPLGNYSSI >A09g517720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53271492:53272992:-1 gene:A09g517720.1_BraROA transcript:A09g517720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDTNVCVSLFDSLALAFHSKLDGNGRDPRFVLATGINPKTVAVNHQCKFLTSHCKLSKLRCVGIVLEPPCPRRVDGISIDPEPNWNFDSLLSEIESVEKKLNVFPKFPQPFTQTTLRMGRRGGGFVMHVSEDEIESDVDEESDEEEEEKDHSQICTKGKHFACDELYLSKMGLAESAPYEVMNDDRTEVKEDIKSQVSVAETEMLQEIETFRSAIARTEKYKETRKEVEHKLDLQYQRKV >A09p068060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53485798:53486727:1 gene:A09p068060.1_BraROA transcript:A09p068060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRSGGDSSPGPDEPGSSGTHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLVGQMLYFVLTTGSGQQTLGEEYCDIIQDLMGSLLHLLDALCSYCIRMQFPISPRGLAATQAFTFDEDDQSPRIVDLSSSASSVLTRFKDRVQRLWHRAIRRWLVALPVARERLKEMKGQNHSW >A08p029210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18102466:18103118:1 gene:A08p029210.1_BraROA transcript:A08p029210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVNYFVIFNTAQLFELSSPVMVKLLIQRMPVRLHGKLKKVVLAYSGGLDTSVIVTWLKENNGCEVVCFTADVGQGIEELDGLEQKAKASGASQLVVKDLTEEFAKDYIFPCLRAGAIYKRKYLVGTVPVLKI >A07p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6822857:6823536:1 gene:A07p010900.1_BraROA transcript:A07p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLILTLGTGEDSWKQIYCPLINLSMYGLHKGICINGVCFDIRSEEFKFIYIDFIRGRDRMINYKGKLGMITLEYDYNPWDWDPNNSNGMLRTQTRNGRHMSTHFGRRINVLAAVTFPLYGRIKLLLGTATGDIVLCMEDVSKLLYVFYLDIERKTLQRVEFRTANNEAFEKCSSKVILSVDHVEDPNFINMET >A09g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19595908:19597306:-1 gene:A09g506650.1_BraROA transcript:A09g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYKSRGVVSVVKDVSHIPEIKASDVLNAYKRMCIEWLEKALESSSTGSTPMGCLDNNFFFPQQQLNLSFTYYRKRQDMLKMTEVIMEHGSRYIILNVSLVFAFKFVV >A08p011960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11243561:11245802:1 gene:A08p011960.1_BraROA transcript:A08p011960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G36370) UniProtKB/Swiss-Prot;Acc:Q84WV0] MDLSGSDSNVSLGIGCSHASSSSMAPTPRIPIADDSINLQVDPSFRSSPVTFPPIPLQLLEQKVAAVEEPKKDGDEKEDEHFRILGHHMCLKRQRDCPLLLAQSKHPRRTIAGDTDLESRRAAVRAWGDQPLHLADPDIHEMMEKEKQRQVKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNQYIDQIENLCIARALAAFGLEPDKWGVNVQPYSCTSANFAVYTALLLPGERIMGLDSPSGGHMSHGYCTPAGKKISATSIFFESFPYKVNPQTGYIDYDKLEDKALDYRPKILICGGSSYPRDWDFSRVRQIADKCGAVLMCDMAHISGLVATKECSNPFDHCDIVTSTTHKGLRGPRGGIIFYRRGPKIRKQAHHASHCDSSTHYDLEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYVQQMKKNAQALASALLRRKCRLVTGGTDNHLLLWDLTPLGLTGKVYEKVCEMCHITLNKTAIFGDNGTISPGGVRIGTPAMTTRGCVESDFETIADFLMKAAQITSALQREHGKSHKEFVKSLCSNKDICDLRNRVEAFALQYEMPAASQI >A05p033600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17302000:17304821:1 gene:A05p033600.1_BraROA transcript:A05p033600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQRRLEGDSKEFFTFSHGRGFLVIPRGVSCQRVYFPAAIGINLKDFQNILGDLQKSLENILFSKKSSKKICVFLGFGISALLISNQGSCSLRTCRANSLFLLLMDENLAEVLKGMSLGEDKSIIIPEDDDFCAIERGGRSILGRLLNPEQHHNDHVDKLMPLMAPTIPPGFEPPPSIVAPEVFEQMRLYMECVDPEERRIREAKMRKTLQELSTDPIAQRSCLRLERAPVISADINRDRGRVFDFSRVGTDAILNVAESSSYGSQRRVDLLEDGEIRRTMGTVSTKRVGENTNLEQRQREDAQNEPRYPRKEVRHNDARLGGSQLVNERDRGFVMGAETSNGSERSSRSRNTSSSRSSWTRHNQNKRRVTQRWSTQPAVCDIIKKHWRPVERSDGRDVTSVISSCRKDLAQWKRSDAGNSKKQIMQLRLQLEGEEKK >A07g509050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26448941:26449369:1 gene:A07g509050.1_BraROA transcript:A07g509050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFALGPRGRINVKFPRINTEVLKIIVKIGKNGISPFLCYDVLRAEDDLGNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCCNVHTQIRNKTYFALFSISYFYR >A02p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2282270:2284031:-1 gene:A02p005260.1_BraROA transcript:A02p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMVNHHLLAIIFGILGNAISFLVFLAPVPTFYRIYKNKSTESFQSLPYQVSLFSCMLWLYYALTKQDAFLLITINSFGCVVETIYIAMFFTYATKEKKMAAIKLFLTMNVAFFSLIIMVTHFAVKRPSLQVSVIGWICVAISVSVFAAPLMIVARVIKTKSVEFMPFTLSFFLTISAVMWFAYGAFLHDICIAIPNVVGFILGLVQMVLYGVYRNSGEKLDIGKKNNSSSEQLKTIVVMSPLGLSEMHPVDVTVTEPVIPLSYTVHHEDSSKITKEEEPSTEAGQSHVETAPSRI >A07g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25577724:25578309:1 gene:A07g508920.1_BraROA transcript:A07g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDWIELKFKFADGLKIIKRLYCGKKIDDRNSENIPKTLNDVKLINAGKILDNNRTLAESTLPIGELPGMVITMHVVLRPPTLDKKSRKCFFAFLLC >A09p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10585862:10587667:1 gene:A09p019870.1_BraROA transcript:A09p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLSRPRDIVKRSTKKYLDEPLYHRLFKDGGSEVSVRQQLNHFLKGTKHVFKWEVGDTIKKLRSRGLYYPALKLSEVMEHRGMNKTVSDQAIHLDLVAKARGIAAGESYFVDLPETSKTELTYASLLNCYCKELMTEKAEGLLSKMKELNITVSSMSYNSLMTLYTKTGQAERVPGMIQEMKAEDVMPDSYTYNVWMRALAATEDVSGVERVIEEMNRDGRVAPDWTTYSNMASIYVDAGLSEKAEKALQELEMKNTERDFKAYQFLITLYGRLGKLTEVYRIWRSLRLAMPKTSNVAYLNMIQVLVNLKDVPGAETLFKEWQANCSTYDIRVVNVMIGAYAREGLIEKANELKEKSPRRGGKLNAKTWELFMDYFVKRGETAQALECITKAVSIGKGDGGKWLPSEDTVRAVMSQFEEKKDVNGAESLLEILKKGTDDVGAETFASLIRTYAAAGKSHPAMRQRLKMEKVKVDKATEKLLDELCQDE >A07g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3710686:3713557:-1 gene:A07g501800.1_BraROA transcript:A07g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFETRNPGSSEFPRSNDDQTRPRQRRSRGGMWSQSRGSSCHVEDSVSPHSSYHTSPSPLPAPAAPAPDAAPAPAPPGPPGVMSVAQFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAVPKSMNDTVWKELCEHWDKEETKEPSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAALSAPPPYVDPEVLTAQLKDKDDRISALETQMEAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14120256:14120628:1 gene:A09g504630.1_BraROA transcript:A09g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYSLSSYEGFETEGNISRHKGDLSNCRIIRCVLWMTGGYRSEASPYMLSLRLNLRNSRFWNHNRTSR >A05p034890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19720024:19727918:1 gene:A05p034890.1_BraROA transcript:A05p034890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDGRKIERRFPLLRGNILSIKTSKWGGENKQVWLGHKCMTSRHTRKNTQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMTLMQQQMQQMQQTIQAQQDAAEHAALAQQEQQAQTKIDELTAKVDQLLKNNHGYVFNMEQATSGQIQNQNQRQPQSNQQAVPASGNSQPDELNSLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGYMFTELNNKYDNLAIHIRKIDVQLAQTAESVKRQQETLPRKTDKNPRTEHCNAIEQPFAETVLGSEENIEQSASSGVTGPSVPSETSLVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFVKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFIFPCSIAGTTFKDALCYSEKLKVVPEKEHGDKDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHILLVLPPIGPGNCPLAPWILWAIWTARNQKLAIRDAKEWAAAQLPISQGAKRFNPQQNRRSSDVICKSDAAWKKEVQAAGLAWSLYSNQLERISSHNQPVAFVISSLLAEGLAIRSAMKHAIDLRMRHMVFETDSLQLVAAIADKTNFSDLHGVLSDIYLLSIFFDPVSFRFCRRESLCFEDFTTKKTLSDFVVTQTA >A01p055050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31378786:31379446:1 gene:A01p055050.1_BraROA transcript:A01p055050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRDKSKSDLQNQLQDLKAELALLRVAKVTGGAPNKLSKIKVVRKSIAQVLTVTSQKQKSALREAYKNKKFIPLDLRPKKTRAIRRRLTKHQLSLKTEREKKKEMYFPIRKYAIKV >A02p058470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35071648:35074023:1 gene:A02p058470.1_BraROA transcript:A02p058470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELVSSATSDKLAEMDWTKNIEICELAARDERQAKDVVKAIKKRLGSKNPNTQLYAVQLLEMLMNNIGETIHKLVIDTGVLPTLVKIVKKKTDLPVRERIFLLLDATQTSLGGASGRYPQYYSAYYVLVNAGVKFPQRSSSTPQVVVTAQTVPRSTLNEQLASARKERTAAPPAPAQQRESQTTSSSSSILQKAGAALEVLKEVLDAVDSQNPEGARDEFTLDLVEQCAFQKERVMHLVMTSRQVSISLGLDVHLQRILNKHEDLLSGRITVPGRSTTSNGYHSTSNGHQKPSSSISIEDDDEEEEPEQLFRRLRKGKARAMPEDEEEEASPPPQVLLGSAIHSERLNRPLIRPLPSEESSGDGDSHSQSSSSSPVVIPPPPAKHVERQKFFKEKKVDGAASGLPGHMRGLSLHSQDGSSSRSGSVDFSD >A09p047020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40841847:40844173:-1 gene:A09p047020.1_BraROA transcript:A09p047020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIEKVQKSMISPTKLRMKLMGPHNNKKKEGSSNNSSRTSPVRLQVSDDTEFSKNSLLASKSDSDEDDHVAASTTDIEVAKLPNAPVLDLTESSNQGGMRETDQPRPHQLKKADLSITLRPQEDENLDYDSNASSSSFEFHGGVRGGERSTQSHASRAYPSRQVPSKWSDAEKWILSRQNMMMRKNGQGNRMPVRVVPDNTGYEHTKSRMDPCQSSQAEGFETFPNLVPTAPHPILTKASSHDNTTAGPAIRSVCMRDMGTEMTPIPSQEPSRSVTPVDATTPLRSPSSSLPSTPRGGKQVESSVSQDPSKNTRRDLSEEEMKARTRREIVALGVQLGKTNIAAWASKEEEENNNNNNVDAEETQRVEFDKRASAWEEAEKSKHNARSKREEIRIQAWESQEKAKLEAEMRRIEAKVQQMKAEAEAKIVKKIAMAKQRSEEKRTAAEARKARDAEKAVAEAEYIRETGQIPASSYKICCGWLS >A07p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14674501:14676473:1 gene:A07p025500.1_BraROA transcript:A07p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQILPRVLVVSRRTVRKNKFVDFVGEYHLDLIVRYGCVPVIVPRVTGVHMLLDSFKPIHGVLLCEGEDIDPSLYESEISSLSPEELQEIRDTHASDTAIDKEKDSIELGLAKLCLEQNIPYLGICRGSQILNVACGGTLYLDLEKELTNKLPEERRTKHIDYDNYDGHRHVVRIVEKSPLHSWFKDSLDGENMEILVNSYHHQGVKRLAQRFVPMAFASDGLMEGFYDPDAYNPEEGKFIMGLQFHPERMRSDDLDEFDYPGCPAAYQEFAKAVIAYQKKLNSTMSVPKTLELDREMENKRKILVRSFSLARNMYAKGAPGKNPSKGSELEVGAEFLERQNGLETLVWLLAALKVGGYMMSVVVVMGQGDPRPGQLVYARAVWTYFKNSNTALSAEQETRLKEMGATVRNGGSYMKKMKVDEEKQKMARNMMNKMNTEQLSELMAFYHLMGNICGEVLERKLHGNGNGNECFKDL >A09p056940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48223437:48225208:-1 gene:A09p056940.1_BraROA transcript:A09p056940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPETEVSPMVNNLEAGDDNMTHLSSSGKPPRNLSAMRHCNSTAWLIDSEGEERFGLKSPEGQNSTWEPVFRSGSWSDKGPKRSMEDEFICVDDLKDHIGSSTGAFYGVFDGHGGVDAALFTKKNILKLLTEDKHFPSNTKKATRSAFVKTDHALADAPSLDKSSGTTALTALILDKTMLIANAGDSRAVLGRRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGTKGSLCPLSCEPELEEIVLAEEDEYLIMGCDGLWDVMSSQCAVTMVRRELMQHNDPEKCSQALVKEALQRNSCDNLTVVVVCFSPEPPPRIEIPKSHKRRSISAEGLDLLKGVLNDL >A06p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6003834:6009026:-1 gene:A06p013200.1_BraROA transcript:A06p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRPKAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFRDKLQFPTLRNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGPPNGARAPSPVNNPLLGGIPKAGGFPPLGAHGPFQPTASPVPTPLAGWMSSPSSVPHPAVSAGPIALGGPSISAALKHPRTPPTALDYPSADSEHVSKRTRPMGISDEVSLGVNMLPMSFPGQAHGHSPAFKAPDDLPKTVARTLTQGSSPMSMDFHPIKQTLLLVGTNVGDIGLWEVGSRERLVQKTFKVWDISKCSMPLQAALVKEPVVSVNRVIWSPDGSLFGVAYSRHIVQLYSYHGGEDMRQHLEIDAHVGGVNDISFSTPNKQLCVITCGDDKTIKVWDAATGVKRHTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQLLTAIDGDGGLQASPRIRFNKEGSLLAVSGNENVIKVMANSDGLRLLHTFENVSSESSKPAISSLAAAATSAGLTDRPANVVSIQGMNGDSRNMVDVKPVITEEPNDKSKIWKLTEVSEPSQCRSLRLPENLRVAKISRLIFTNSGNAILALASNAIHLLWKWQRNDRNATGKATASLPPQQWQPASGILMTNDAAENNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQRSKVLPLPQGRPNTAPSDTRVQFHQDQAHFLVVHETQLAIYETTKLECMKQWPVRESSAPITHATFSCDSQLVYASFMDATICVFSSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQEPNMFAVGLSDGGVHIFEPVESEGKWGVAPPAENGSASGAAAAPSVGASASDQPQR >A10p021710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14509300:14512058:-1 gene:A10p021710.1_BraROA transcript:A10p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRHFPSNDRILMPIHIGFWPEDPPPTGQVSTRDLSSRLPVVCRHIHSKQPFGMFRGENAMNYAFSTFLIEAILIIFFIKTTCFLLRPLRQPRIVCEIIGGMMIGPSMLGGSRNFNYYLFPPISNYICENLGLLGFVYFFFITAAKTDVSAIAKSPRKHKYIAVIGIVVPLVCTLATGMAMRDKMDKNMRKFSSVGSIAFALAFSSFPVIYTVLRDMNLLNSEVGKFAMSVALIGDMAAIVALIFFEALNQVEEGGATAIVWYLISVVIFNAFMSLVVARALEWVVDQTPEGKLVDQNYIVMILMGVLVACFITDMFGLSMGMGPILLGFIVPQGPPLGSTLAIRSETFIHEFLMPFSFGLVGLKTNVNLITNDIWEQKLSPLVYMTVVGFISKFLAVFSAAVFFKIPTRDSLTLGLMLNLRGQIDTLLYLHWIDKNIIGLPGFAILVLHALVVTGIATPLISFLYDPDRPYRISKYRTIQHTPPSTEVGLVLAVADHEALSGLFTFLDLANPTTTSPFCIYAIQLVELMGRASPVFIDHEEEEEEEEDDEEEVEEEEDEGARRNQVQTAFRLYQEKRDECVTLRAYTAHAPKRLMYHNICQLALANKTAFILLPYQQERLDDDAPTELRNSGMLSVNADVLAHTPCSVCIYYDKGRRRNARSQETHRFVVLFLGGADNREALHLADRMMVNPDITLTVIRFLSFNHEGEDEREKKLDDGVVTWFWVKNEEKERVSYREVVVKNGAETLAAIQALNDNDYDLWITGKREGINPKIIEGLAEWSENHQLGVIGDTVAKSVFASEGSVLVVQQQVRNQKGGGFLNGKFDYKKLVSYWSCNNC >A06p046710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24961450:24963298:1 gene:A06p046710.1_BraROA transcript:A06p046710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEAVDDPGQIKRALIDASAGAISGGVSRTVTSPLDVIKIRFQVQLEPTSSWSVVRGNLSGASKYTGMVQATKDIFREEGFRGFWRGNVPALLMVMPYTSIQFTVLHKLKSFASGSTKTEDHIHLSPYLSFVSGALAGCAATLGSYPFDLLRTILASQGEPKVYPTMRSAFFDIIKSRGIRGLYNGLTPTLVEIVPYAGLQFGTYDMFKRWMMDWNRRMLSSNSPINVDTNLSSFQLFVCGLGAGTSAKLVCHPLDVVKKRFQIEGLQRHPRYGARVERRAYRNMLDGLKQILMSEGWHGLYKGIVPSTVKAAPAGAVTFVAYEFTSDWLESISW >A08p029780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18360269:18360761:-1 gene:A08p029780.1_BraROA transcript:A08p029780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGENVSFAEHEVLKGKHETLLREHGTSISTIELLEKKLKEALLVEQKGEYWEKKYLELLKKLETVENNIKDLMYSEVAGEDSGAAALVVIVLSDDDEGDGSESSGGDSDSENQENLSFYL >A05p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1069571:1071578:-1 gene:A05p003020.1_BraROA transcript:A05p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSNAKSNQFPPPLSNPTPTPFRGPYHRRAHSEVQFRLPEDLDLSEPFGGFDDLGSEDDIFCTYMDIEKLGGSGSDNPFPGENVGRGEEGGSSSSRPRHRHSLSVDGGSSSLESIEAKKAMAPDKLAELWVVDPKRAKRIIANRQSAARSKERKARYIMELERKVQTLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQVMEQQAKLRDGMCKHVPFPPFMLFKMILLYAALNEQLKKEVERLKFATGEVSHADAYNLGMAHMQYSQQQQQPPQQQSFFQHHHHQQQQTDAQNLQQMTHQFHLFQPNNNNNNQNLNIMHQATSNASGQSHSFAEAMNEDPLGRLQGLDISSCGRGSNFGRSDTVSESSSTM >A09p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6306953:6307935:1 gene:A09p012340.1_BraROA transcript:A09p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREIELPGFRFHPTEEELTDYYLKNMVNGKFSKVEVIGFLNIYRHDPWDLPRLSKIGEREWYFFVPRERKHGNGGRPSRTTEKGYWKATGSDRKIISLSEPKRVIGLKKTLVFYRGRAPGGSKTDWVMNEYRMPDNCTLPKDVVLCKIYRKATSLKVLEQRAEMESKMNQTSPNSPLSSSETISYVGKEENLMMTSFPFPQASAMQEANNNFMLQGHEEKQREAEPKESSSSLKLPCGVLPLPELQLPKQGLEWGQDQFLSISPWLQNLTPIVNLLNF >A05p020110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9427806:9428042:1 gene:A05p020110.1_BraROA transcript:A05p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNSAVVVAVADVSIDTWQLICRVPPSQRINTRELVDMVFCYPLHELGRFLLCLWSFVSIPPSDSFYSNTYEPISTF >A03p013160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5154207:5159115:-1 gene:A03p013160.1_BraROA transcript:A03p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSAWPWGNYGNLKYLLYAPLAAQVVYSLAYEEDYSRAFWCLNVLIICGLKGLVHVLWSTYNNMLFLTRTLRINPKGVDFKQIDHEWDWDNYILLQAILASMICYMSTPSMLIISTIPLWNMKGLIVSLVLHVTFSEPLYYFLHRYVHRNNYLFTRYHSFHHSSPVPNPMTANNATLLESLILCVVAGVPLIGSFLLGVGSISLIYGYAITFDFLRCLGHCNVAIFSHKVFETLPILRYLIYTPTYHSLHHQNMETNFCLFMPIFDVLGSTLNPNSWELQRKIRIAAGEPKREPEFVFLAHGVDVMSAMHAPFLFRSFASMPYTTRFFLLLMWPGTFMVMLVAWLWSKAFLCSFYTLRNHLCQTWLVPRLGFHYFLPFAKQGINNLIEDAILRADKLGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRGVRVLMLTLSTERFQKIQKEAPAEFQNYLVQVTKYNAAQHCKYTMERGVVHACHAGGLVHMLEGWEHHEVGAIDVDRIDIVWEAAMRNGLSSNQNFKNKNQTLIGVLKC >A07p041300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22334108:22335312:1 gene:A07p041300.1_BraROA transcript:A07p041300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSQEPDNQTKSCKARGSDLRVHFKNTRETAHAIRKLPLLKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEESVKKEPETQLAAKSKKSTA >A01g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16520374:16521003:1 gene:A01g505570.1_BraROA transcript:A01g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHCMVIPGAWASVGSLWEFVIDKKNMSWIVPVRSSMSLRELQNNMAKEFFTFTLHWTNDTTGYTDKRRRRFLLFQHFSTNSSMNLFITFDTFAMTIVSVYTAGRHNPVMHHNPVMYTTTCTPLYNCTCRGVHDWVMATSLNAEMAVY >A07p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16847578:16852930:-1 gene:A07p030160.1_BraROA transcript:A07p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLYIAVAVWLVSTTCLFNAVRAQNGTRATTDPDEARALNKIFETWKITATEAWNMSGELCSGAAIDDSISIDNLFYNPLIKCDCSFVGSTICRIIALRARGMNVTGPIPQELWSLVYISNLNLNQNFLSGSLSPGIGNLTRMQWMTFGANALSGPLPKEIGLLTDLRSLAIDMNNFSGSLPPEIGNCTRLVKIYIGSSGLTGELPSSFVNLVNLEEAWINDIQLTGPIPAFIGNWTSLTTLRILGTNLSGPIPSTFANLVSLTELRLGEISNSRSSLQFIRNMKSLSVLVLRNNNLTGTIPSNIADYLQLRQLDLSFNNLTGQIPPSLFNSSQLTHLFLGNNKLSGSLPIQKSSSLSNLDVSYNDLTGNLPSWVRLPNLQLNLIANHFTVGGSDRRVFPGLDCLQKSFPCNRGKGVYFNFSVNCGGPDIRSSIGALYEKDEGELGPASSFVSKKQRWAVSNVGLFTGSNSNQYRALSDTPFANTSDSELFQSARLSASSLRYYGLGLENGGYSVTLQFGEIQIQGSGTWTSLGRRLFDIYVQGKLVEKDFDVHRTANGSTSRVIQRVYKANVSENYVEIHLFWAGKGTCCIPAQGTYGPLVSAISATPDFIPTVANKLPPESKKKIGIIAGAIVGAGMISILVIAIILIIRRKRKRAADEEVLHSLDIRPYTFSYSELRGATQDFNSSNKLGEGGFGPVFKGKLNDGREIAVKQLSVASRQGKGQFVAEIATISAVQHRNLVKLYGCCIEGNQRMLVYEYLSNHSLDQALFEENSLQLGWSDRFEICLGVAKGLAYMHEESSPRIVHRDVKASNILLDSDLIPKLSDFGLAKLYDDKKTHISTRVAGTIGYLSPEYVMLGHLTEKTDVFAFGIVALEIVSGRPNSSPEMDEEKQYLLEWAWSLHQENRDMEVVDAGLTGYDEEEVKRVIGVAFLCTQTDHAVRPAMSRVVGMLTGNVEITEANAKPGYVSERTFENAMSFMSGGSTSSSLVLPPDTPKNPSK >A02g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17250601:17252735:-1 gene:A02g505910.1_BraROA transcript:A02g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKHLIRSWSDISAQVTPPTCAIDSQECRRISGPTRRSNKGGWTPEQDKLLMNGVWNYKGKNWKKIVCSREKRQEEGNVRECIIPRRSLVTIHSRRLMSSVNTVGSSVNTVGLKFLILTSTKDLGEKRMRSLNGPKYPSNSLVALARNVVKGGIYNHLNPTIEKTPWTREEELILVKAQRDQGNKWAEIAKLLPGRTENNIKNHWNCSLKRRSEHLVTSSPLSGYGPCGYESSFFNQSNMMEIKKAAKSPQRDSLELTLEPMNWRNTSSSINSLKGDEESKISSSLESDWLRRNSKVEITITPSSDDHHHKNSSSAGQEVREVIGRWKMAASTFENTPSIISRRRSPASRRKQENDSPFHRCPRTLLSSSKPLERRLEFDFI >A02g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23085178:23087261:-1 gene:A02g508550.1_BraROA transcript:A02g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEESDDFGAFWRYLEKAPGMTIEHDHRLTLKRNNRSMFTSRIEDPRLIAACHCGAEYETDYSASIETHTATSIDSAHKKSIDIPVEELVNSNLGDWENDYYNPTMVAHTTDTLHTEEYDEDYEEEHAIEYKAILDEEDRLLHHSSWKRNAPSIDRNNDHHHESYAVETTIHEPRAYNLFIQQHNSPSHQQRVTNEFYDTAGGVDDRFKQNYRQHTQPRFHWEKKDEYGVYRDDHGHARDVDRHIIRVFKDDVISLLERALMDEHIFLCLPEHARLFTQTKLVPEIYTKDEINEMFYGAQENNEGDFQMKLDGVYYPLNDSISWLTTCMEEMRQDIAKIQTQRAAEATATASIDRHNPTSIDDDLTHSNPIKSQLDSYTRAKIDKLVEGIYKTLETTEERIDRRCADIYFPMDLTMKAPASIDRRNNKSTDNHRRTSVDEATNRGRLVPKVKSDMSNTHNHVEEISDDVYATLMRHHLLGSKTDTTKLTSKYPYKTA >A09p077170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57276289:57277498:1 gene:A09p077170.1_BraROA transcript:A09p077170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPIWLFSSHVREGLIRRARRFYVVVTQSISHSEKVEKEGQFKVKALEINETGERGIFLASKLTPLLSETFVASCRRSLDKKLEQCKCPAELRRMGKLKMRKLFFVTWLKAGVEPDDVAYAALINGCCKNKCEDKAYNLIHEIVEKGMTPLEPCMDLYVKLIGEMVGNGMMAPSKACMDLHVLIHEMVEKGMTPPKACMATYVILRQEMVAPSEPCMDLHVLIHEMVEKGMTPLPEACKELFVVLIEDMVENEVKPPHEACKHLYVIFYSGDGGKGDDARQSLQRGICYIY >A09p067230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53086368:53087659:1 gene:A09p067230.1_BraROA transcript:A09p067230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLHCLSTLHLLPRTTHRPKTLNSLKPASKAQPPKIPELPSIPNALQLLKSSSLPLALTALPFLIDPQDAAAAGGQFGILEGRSFALIHPIVMGGLFVYTLYAGYLGWQWRRVRTIQSEINELKKQVKPTPVSPDGSTVVDSSSPPSATELEIRRLTEERKELVKGSYRDKHFDAGSVLLGFGVLESVFGGLNTYLRTGKLFPGPHLYAGAGITVLWAAAAALVPAMQKGNETARNLHIVLNGLNVLLFIWQIPTGFDIVLKVFEFTKWP >A01p039620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14212819:14215307:-1 gene:A01p039620.1_BraROA transcript:A01p039620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMDNYCVPSSSSSMTASSGFHLTLNSPVGLKHEAAAAAAALAVDWSLEEQYTLEKGLSMFKDEPQVTKYVKIASTLPDKSVRDVAMRCKWMTQKRRKGEEHSATNVNYRKVVDLPPKLNMFSTVPQQNATYVMNPMCQSARVPFEGASDAVMELLRQNAQAFSQISSNLSAFKPQDNISLFYLARNNISSILNDMKQMPGIISRMSPLPVSINNDLVGMLMTSTRRNILICLSAELLYHSFEYPSEAGTKKLMGE >A07p010710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6720738:6721928:-1 gene:A07p010710.1_BraROA transcript:A07p010710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFALSSSPEENQNMKIIGFSSLLLSDFQLFCSFIISHPFYFSYVLFFSPYLFKILSFLSPLFVTTTLLLLALLSTLHLHDTFPDSESLETQPGFLVSFCSKLGSVLEPKFDVNNEDVNELEAYKMVVEACSMECAFEDESVEVTFVDKFCSHEVSITVSKSLTDEKQVEIQPLKLEDHMDLEKEEKCEEELVEEQKVKLESDVVLDNGEGQFWKEPTKEESKAQKVDLVGDCNDLPRLSDFLGEEKKKEEEDVSLKSFGSMRKEKEWRRTLACKLFEERHNADVGQGMDQLWETYETETEKKQTEEEKKKEKKMKTKKSMTMMKTKSIEKKEVAVEEEDDDDVIDQQQLCCLQALKFSTGKMHLGIARPNLVKLSKAFKGFGRFYNANKHPKKG >A10p020810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14082622:14084303:-1 gene:A10p020810.1_BraROA transcript:A10p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(DL)-glycerol-3-phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G57440) UniProtKB/Swiss-Prot;Acc:Q8VZP1] MSTPATAGRGSISHVIFDMDGLLLDTEKFYTEVQEIILARFNKTFDWSLKAKMMGRKAIEAARIFVDESGISDSLSAEDFLVEREAMLQELFPTSELMPGASRLIKHLHAKNIPICIATGTHTRHFDLKTQRHKELFSLMHHVVRGDDPEVKQGKPAPDGFLAASRRFKDGPVDPQKVLVFEDAPSGVLAAKNAGMNVVMVPDPRLDISHQDVADQIMTSLLDFKPEEWGLPPFEDSN >A02p049050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30470984:30472229:-1 gene:A02p049050.1_BraROA transcript:A02p049050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVMVIIDESNSSYDLLVWVLTNLKDVIDSSKVVIFAKQPQNSFTPPTALSSSVGFAQIFYPFSANAELMRLAQEKNTKIALGILEKAKKLCGNHGVKAETFTDVGDVKDIIHKTIQERKINLIAISDQQNLTLKKCLPTTECSLVVVK >A04p039020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22092500:22101429:-1 gene:A04p039020.1_BraROA transcript:A04p039020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPVAVDGLLLLPLTLIFLDFILISSLANPTPITSLNVLTYGAKPDGSKDSTKAFLAAWEAACASPNPTTIIVPKGRFLVGNLVFQGNKCTNAPISFRIAGSIIAPEDFRVIASSLQWIMFDGVTNVSIYGGVLDAQGSSLWKCKNSGGKKCPTGAKTLLFTDSNNISIYGLTSINSQKFHIVIDQSNNVKIDGVKVSADADSPNTDGIHIGKSHSVNITNSRIGTGDDCISIGPGSTHVSIQGIQCGPGHGISIGSLGRSEDEQGVENVIVSNVDFMSTDNGVRIKTWGKDSKSFVRNIVFQHIKMKMVKNPIIINQHYCLDKPCPKKESGVEISNVRYEDIQGTSNTEVAVMLDCSKDKPCTDIVMGNVNLVLQMVNGSAQASCNNANGLANDVVVPLTFSEKKNTKMIHKTSCLLLLPLTLIFLDFILISSLAKPTPITSLNVLTYGAKPDGLKDSTKAFLAAWQVACASPNPTTIIVPKGRFLVRNLVFQGNKCTNAPISFRIAGSIIAPEDFRVIASSLQWIMFDGVTNVSIYGGVLDAQGSSLWKCKNSGGKKCPTGAKTLLFTDSNNISIYGLTSINSQKFHIVIDQSNNVKIDGVKVSADADSPNTDGIHIENSHSVNITNSRIGTGDDCISIGPGSTHVSIQGIQCGPGHGISIGSLGRSEDEQGVENVIVSNVDFMSTDNGVRIKTWGKDSKSFVRNIVFQHIKMKMVKNPIIINQHYCLDKPCPKKESGVEISNVRYEDIQGTSNTEVAVMLDCSKDKPCTDIVMGNVNLVLQMVNGSAQASCNNANGLANDVVVPLTFSEKKNTKMIHKTSCLLLLPLTLIFLDFILISSLAKPTPITSLNVLTYGAKPDGLKDSTKAFLAAWQVACASPNPTTIIVPKGRFLVRNLVFQGNKCTNAPISFRIAGSIIAPEDFRVIASSLQWIMFDGVTNVSIYGGVLDAQGSSLWKCKNSGGKKCPTGAKTLLFTDSNNISIYGLTSINSQKFHIVIDQSNNVKIDGVKVSADADSPNTDGIHIGKSHSVNITNSRIGTGDDCISIGPGSTHVSIQGIQCGPGHGISIGSLGRSEDEQGVENVLVSNVDFTSTDNGVRIKTWGKYSKSFVRNIVFQHIKMKMVKNPIIINQHYCLDKPCPKKESGVEISNVRYEDIQGTSNTEVAVMLDCSREKPCTDIVMRNVNLVLQRINGSAQASCNNANGLANDVVVPFTPCLKRDMLLI >A01p000760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:299365:301071:-1 gene:A01p000760.1_BraROA transcript:A01p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic NDH subunit of lumenal location 4, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G39710) UniProtKB/Swiss-Prot;Acc:Q9SCY3] MRQLGVLHSQKIKDQTLPLPTDKEEENEESSDPTMAISTLTLTSSHYTSSFRPTICSSSSSSSSSFSCLCSSSSSTDGQQKQRSLTGAKKNVFGVGLGLLAATILSITPLDADATRIEYYATVGDPLCEYSYAKSGLGFCDIAVGFGDKAPRGVLVNVHYTARFADGTLFDSTYKRARPLTMRIGVIRGLDQGILGGEGVPPMRAENNVLFTGGKRKLQIPPKLAYGPEPAGCFSGDCNIPGNATLLYDINFVEIYPGSNTR >A09p021060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11233850:11234479:1 gene:A09p021060.1_BraROA transcript:A09p021060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVCRGLNRSSFVVDGDCAWLPMEWWRDSWRDGGDGSRLLGLKSLSDLVFHFLDLRRKLRDGGPWRGETAPPPSIYLPLGRRSGQPEERSLVTMHGRALFPSAGDGLVVVSVAMGRDMIAGGGGIKPECAFGDGTNGHGLNSDF >A03g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27034962:27036309:1 gene:A03g507640.1_BraROA transcript:A03g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDTVGTKIRTVDFRLNKETRKTLIPQRSRISARANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRRIPNLKSSPSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPPSVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFEGFDENARTGVVLTFGKRPSGTSARSLRSDRAGRSLGRYVATELWLELGRYVATERDDRSRPSGMIARSLCSDRALARARSLRSDRALARARSLRSDRAG >A01p015250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7421335:7423480:-1 gene:A01p015250.1_BraROA transcript:A01p015250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITSFDHHLPMSKRRLKSLSLRDYLLDDLSSSCSSNGFKSLPRLLDAEIKRSGILHRKRRSNCGLAFSHAVKKASTALLGAVKLLPFPSSSVKPPSPSRKKGMFSWSFSRNLWKKLSHREVNNVDDGEIERRTEGREQKIQRGRSLTFGEFLKESLDQPSNASFSGEATLSNSTGCDSTSVGSELFMNSEVTQSSGESETWKQNDAVGDGMEETRNRVVVMMSGDSVGSLVSDRSSVNDNREKLLRKSRRFETLVRLVPVDLEKRIEQYVERQDYDSHPMVQTEENQSENRATRLFALLKSRLTEEPSQLLASQKVDSLLLDFFREDGNTDTRDEHKLVKIVEEWLMRRQEEEYMFMSWEVEEKREIYVKEMKWGFINGDEQDYVVEELGNGFVTSLIDELILDLSL >A03g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19053462:19054122:-1 gene:A03g505280.1_BraROA transcript:A03g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVHCLTHISKNESSTHEITWRMFSTQLRSSSKKNQIKRSSDEGVMKFANQETFSSREFGPYGSSSPHLGPYREGTTWFRQTWTLAEEWLALDRGYIKSNSASLDDPFNPYQF >A01p010550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5151381:5153941:-1 gene:A01p010550.1_BraROA transcript:A01p010550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKTVNISSPDDPSVPNKPESVTEDQVVDQENQVPCFKEFEFSELEKVTNGFSPSCIVSEGGEKAPNVVYRGKLEGNRLVAIKRFSKQSWPDAHQFVAEATGVGKLRFNRLVNLIGCCAEGNERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVADFIAQALDHCNVENRKIYHDLNAYRILFDEEGDPRLSTFGLMKNSSNGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTILIDLLSGKHIPPNHALDMIRGKNALLLMDSSLEGQFENEDATKLVDLASKCLQNEAKDRPDTKFLLSSVAPLQKQKEVASHVLMGLPKNTVILPTLLSPLGKACSRMDLEAVHEILLKTGYRDDEGKENELSFQEWTQPVQEMLNIKKLGDAAFRDKDFKNAIECYSELVVMMTTVPSATVFARRSFSYLMTEQVELALRDAMQAQVCIPEWPTAFYMQALALSKLGMESDANDMLNDGAAFDAKRQQQQSSWRC >A10p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:335759:337295:-1 gene:A10p000620.1_BraROA transcript:A10p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease family protein 2 [Source:Projected from Arabidopsis thaliana (AT1G01300) UniProtKB/Swiss-Prot;Acc:Q9LNJ3] MQHAPPDLPLPSLIRRWLLHRRRFRHRNADVPTKPRLFVGAAGLLGLGKGRLSFPGQTGRRFNQKFSYCLVDRSASSKPSSVVFGNAAVSRTAKFTPLLSNPKLDTFYYVELLGISVGGSRVPGVAASLFKLDPIGNGGVIIDSGTSVTRLVRPAYIAMRDAFRVGAKSLKRAPDYSLFDTCFDLSHQNEVKVPTVVLHFRGADVSLPATNYLIPVDTNGKFCFAFAGTMSGLSIIGNIQQQGFRVVYDLMGSRVGFAPRGFKNEDPARR >A01p019220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9307647:9308483:-1 gene:A01p019220.1_BraROA transcript:A01p019220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQKAHFENQILELYNKISNLKSLKPSKNVDTLFQQLMTTCLPTETNIDVKKLCRKVQDIRTNLIKLRSEAIGYSEQHFSTVLGSLKDNPLTHLDLYPYYTNYLKLSKVEFDLLMLHTSHVPTKIAFVGSGSLPFTSIILAKFHLPNTTFHNFDIDPQANSLASQLVSRDPDLSCRITFHTMDIINATEILRDYEVVFLASLVGVDKEAKVKVIEHLEKHMAPGALLMLRSAKGLRAFLYIDVDPCDLRSFEVLETYHPSLSDGFVNSVMVARKLSD >A02p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2555928:2556676:1 gene:A02p006020.1_BraROA transcript:A02p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFKSKRFGIRFGFGKRTNNKGTQQDQQQKGFGNNNNSSSSNYEIKWELRPGGMLVQKRQESIGEDLISIRVSTFAHFHDLSIEATSTFGELKMVLALLTGLEPKQQRLLFKGKEREDDEYLHMVGVGEKDKVLLLEDPAFKEKKLLNNISTSCPTIIV >A05p017230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7744307:7746431:1 gene:A05p017230.1_BraROA transcript:A05p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRERFSEYHEIVKKVKKDPTFEKTTDHAVMGIRRHVAVPPGTTLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGESQRGDAANSLVYEANLRLRDPIYGCMGAISALQHHIQSLQSELTTVRTEILRHKYREAATITSLQNNNNNNFNNTTTSVSDHAALAASILLPPPPPPPPPPRPPRLLSSQPAPPPTPPASLPSPSMVVSSSSSNSSVTNSIYNPPPSSTAGYSNSLSSDNNVHYFD >A06p008890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3101288:3104850:-1 gene:A06p008890.1_BraROA transcript:A06p008890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTCKAEPVNWQASLRSCMTKQDVKRSPVSPSSSIKTSRSSLVSQKTMLQPVRGQASVTSSKTKQDVKPSLVSPSSSINASRSPLMSQKTSLQPVKGKTSPTSNKTKQDVKPSLVSPSSSIKVSRSPLVSQTTSRRVASSAKDIKDSHKEITKNSSSSKGPGNFILTVELRKKIITFRGIIDLPLLTGHLSIPNLVTRTMEDLHELCPDIVDSSRLLDTKHAADTDKLLDQFYNALKSIGDSWIDDHEWIIKSKYRKSNSLKKNLSDRLFEKVIAALDGLNKGMNERLNMTEINSDEEKKTVTKQPITTRTVQTPPSKVGDVAISVSNFPRNVRMQALVKLSPIDVKRLSIQKSMCQEEAHRNDDDDNDNESVKEKQKSVTEKIEKAKEAILVEQDTVKTCSKGSEKSETMPESLALSTPHSVNAAPRPPQPLPVAAPKGPAAPPPPPLVAAAPLPPPLVAAAPLPPTLVVAAPLPPPPGAATLLPPPPLPVAPGKGLGAPPPPLPVAPGKGPGAPPFPMAPENGTGAPPPPPPLRLGAKKATSKLKRSRHLGELFRFLRGKIEGKDPKTRSRGGAGGSKAGIGSAPAGGKQGMAEALAEITKKSAYFQQIEADVEMYMKAINELKKEISSFKSKDMAELQKFHLYIESVLEKLTDETKVLARCEEFPESKLEAIRMAAALYSNLQCMIKVLKNWKIESPAEQLFDRTERYFAKIRKEIDTLEQTKVEEEKKFKKHNIHFDFGLLIQIKELMVDISSGCMELALKEKREAKIASESRGGKQCKIKNKTVGWAKTLWRAFQFAFGVYTFAGGQDDRADKITRELGNEIELILNNE >A06g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4688501:4690659:1 gene:A06g501280.1_BraROA transcript:A06g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQATMMQATIYANRLSRFRSKLAAGTMYTISGYDVARCAQNFRLTDSPLMIRINDSTAFDELAEPVSPLPEEGSRFRDQSELIGLANTSTQLPDIVGEIIAVKSNVSDTPGEKSRLMATIKLDKDSTVTLSLFESQAEAFHKRLEDMHGDPRVVVATSINPKMIGGGLFLNATSGTHVYFDKETKPGEDYFYKLVARDNRVPSAAPLLKGYAKVETLTISELISFVASAQPQDIDFVCTGRVVRLDVDKGWCYVACAKCSKKLQRTVSALECVRCSNPNAVGVLRYRLELAIADSTAEGTFVCFDGVMTKLHNLRASEAGQMLAVQGGNPEDMIVPPFINDMEGKTLTFQVRVSAFNFTAHHQTFTITRILKEHERIPAPDFVGGNDEDDNNLGGGGHVPVRNDSGEGSSGPDKKADGPPADTAVEKSSRSSTSAAKKARVV >A07p038850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20661761:20665348:1 gene:A07p038850.1_BraROA transcript:A07p038850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFTKQFEGQLVPEWKDAFVDYAQLKKDLKKIHLLTNGVEEEHTETSLIKTINSSLGKLSLFGNKERERPRAIKVHRKLASSISNSDVYETELLETITDDTDAVKEFFMCMDTQLNKVNQFYKTKEKEFLERGESLKKQMEILIEVKDAFNQKQANGEPTQESKEDDSISCTISSEEDSIKSRTEETELQEYCLEDLDNNGKEALESPRSEESIKINNEDSKLRRVSGRVFSCQGRNLNINIPLTNPSRKFSAISYFIKEDLINQSSSKRRGPDGVNKLRISKKTLNHAEKMIKGALTELYKGLNYLKTYRNLNMLAFMNILKKFDKVTGKQILPIYLKVVKSSYFNSSDKVINVSDEVEEWFIKHFAGENRRKAMKYLKPHHRKESHSVTFFIGLFTGCFVALLAGYIIVAHLTGMYRKQSENTVYMETAYPVLSMFGLLFLHLFLYGCNIFMWRKARINYSFIFELGSENELKFRDVFLICTASMSVIVGAMFVHLLLLAKGYSFGQVQVIPGLLLLVFFLILICPLSIFYKSSRYRLISVIRNIVFSPLYKVVMLDFFMADQLCSQVPMLRNLEYIACYYITGSYATQDYGYCMRVKYYRDLAYAASFLPYYWRAMQSLPQCARRWFDEGETSHLVNLGKYVSAMLAAGTKVAYEKEGSIGWLCLVVVMSSIATVYQLYWDFVKDWGLLQHNSNNPWLRNQLMLRQKSIYYFSMIFNIVLRLAWLQTVLHSSFEHVNYRVTGLFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFREVDEED >A02p030580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15601075:15601984:1 gene:A02p030580.1_BraROA transcript:A02p030580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCSSSAVILPSSSSMKTSGCNRRSPFLGFSLNAISKPPVRVATSANTKRGVQVKCEAEQATTTSLVPANQRWMFDEEEANGPDIWNTTWYPKASDHVNTDKPWYVVDATDKILGRLASTIANHIRGKNLASYTPSVDMGAFVIVVNAEKVAVSGKKRNQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQKPLDLPIRDKRIQLQK >A08g500450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1061679:1061870:1 gene:A08g500450.1_BraROA transcript:A08g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKKDGGLVVVKPTKTKKRRNEPVDVSGCADLCCCFGGGGGGGDCGGGGCGGGGCGGGGG >A01p038490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14615719:14616322:1 gene:A01p038490.1_BraROA transcript:A01p038490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGFQLSVTARGVRWVCFPSICCCSVTCGGSGAVCALCTGLVSRLLAIVEVWWLGSQVVVVLLGFSYWFSVAGACEELLSRSSRLSLESSVAARGGPRDSIRISSVFLIGLSLCTDADDAFPVVRFVWASTIFCEAFIGNPAIYQARAPAFTAVFSPSTVARCLLLPLPSVWMLP >A05p022840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11006321:11009108:-1 gene:A05p022840.1_BraROA transcript:A05p022840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSHRLFSRLFHSPVKGYSRATNVGGSLHVLLQFDDTNNGAVETHRSFSSLIRSTWQLRGFLSSRGGLGGVRCSVSLDRDTPLIDSYSSHRNLFTRAKQVKRIEINDQHSQRAVTTALWCNFLVFSLKFGVWWTSSSHVIMAEVVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGAGATIVNGVQNLWTSQPPPNMEYAAVVIGGSFLIEGASLLVAIQSVKKGAAQEGMTIRDYIWRGHDPTSVAVMTEDGAAVAGLAIAAASLVAVKMTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAMDDQDMRKILHFLKNDSVVDALYDCKSEVIGPGSFRFKAEIDFNGQVVVQNYLKRSKREEWAKMFREAAKKGDDPAMLNIMSNYGEEVVTALGSEVIRLEKQIRELVPGIQHVDIEAHNPMDQSL >A05p006790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2741777:2744510:-1 gene:A05p006790.1_BraROA transcript:A05p006790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFRGIIDSFSSIFTVESNHDRSVSSSSSSASSMNVIDGAPVTNERVAYKLKGYFDLAKEEIAKGVRAEEWGLRDDALLHYRNAQRIMSEATSTPSPSYISSNEKEKVRSYREKISKWQSQVSERLQALGKRAGVGMSENKRTVPSPSSASVSSNRRVSTQKTSLPRGGVGMARSTRDATTTNPKPAKESGIGYDDKLEEMINTTIVDRSPSVKWDDVAGLDGAKQALLEMVILPAKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEAEKLVKTLFQVAISRQPSVIFMDEIDSIMSTRSTNENEASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDSNVRKLLFKTKLKCQPHSLSGGDIDKIVRETEGKFSIRNTDGYSGSDLQALCEEAAMMPIRELGADILTIQANKVRPLRYDDFRKSMAVIRPSLSKSKWEELERWNSEFGSN >SC204g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000063.1:42453:51120:1 gene:SC204g500010.1_BraROA transcript:SC204g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVRQHTEDVCGFPWLSVSTHKTSVAVRVCPCVSVSTHMTSVAVHQYTYQHAGPWTQHAGPSRGMFGTSVAVHQYTYQHVGPWTQHADPSRGYTHISTLVLGLSTLALPVDCLGDFGPRAQSFQYTQDVRGCPPAHTGRLLLSVAVRQHTQDVRGCPCMSVCVRVCPCGFVSTHRTSVCVHQHKQDVCDCLSAHTGHSWLSTSTHISTLVLGLSTMTLPVDCLGDFGPHGLPVQYTQDVRGCPQHTHDVRGCLSAHTGRPWLSVAVRVCPLAHIGRLWLSISTHISTLVLGLSTLALPVDCSGDFGPRRLSVQYTQDVRGTHTTFVCVRQHTEDVRVCPSAHTGRPCVSVCVRQHTQDVRGCPSVHISARWTSVCVRQRTHRTFVAVRVCPCVSVSTDMTSMALGLNMLTLPVVCSGDFGPRGLSVQFTHNVCGCPPAHTGRPWLSVCSCVSVCVRQHTQDVCGCPCVRVCPSVSVSTHRTSVAVPQYTYQHIGPWTQHADPIPWAVWSVHTGRQWVSVSTHRTSVCVCQHTQDVPGCSCVSISTHRTSMAVHQYTYQHVGPWTQHADPSRGLFGRFWPPWAVWSVHTGRLWVSTSTHRTSVAVRVCPSVAVSTHMTSVAVHQYTHQHVGPRTQHAGPSHGLFGTHMMYVAVRVCLWVSASTHRTFVALSGCPTHRKFVAVHQYTYHPAGPCTQHAGPSCDRTSVAVPVCPSAHIGRSWLMSVGVRQHTHDVCVCPSVSVSVRLCPSVAVPQYTYQLVGPWTLHTGIPVDCLGDFVPSGLCVQYTQDVHGCPPSHTGRPGLFVAVRQHTQDIRLCPCVSVSTHMTFAGVGQHTQDARVCPTLVLGLCTLALPVDCLGDFGPRRLSVQYTQDVRGCPPAHTGRPWQSVCVRVGHSWLSTSTHISTLVLGLSTMTLPVDCLGDFGPHGLPVQYTQDVRGCPQHTHDVRGCLSAHTGRPWLSVAVRVCPLAHIGRLWLSISTHISTLVLGLSTLALPVDCSGDFGPRRLSVQYTQDVRGTHTTFVCVRQHTEDVRVCPSAHTGRPSVSVCVRQHTQDVRGCPSVHISARWTSVCVRQRTHRTFVAVRVCPCVSVSTDMTSMALGLNMLTLPVVCSGDFGPRGLSVQFTHNVCGCPPAHTGRPWLSVCSCVSVCVRQHTQDVCGCPCVRVCPSVSVSTHRTSLAVPQYTYQHIGPWTQHADPIPWAVWSVHTGRQWVSVSTHRTSVCVCQHTQDVPGCSCVSISTHRTSMAVHQYTYQHVGPWTQHADPSRGLFGRFWPPWAVWSVHTGRLWVSTSTHRTSVAVRVCPSVAVSTHMTSVAVHQYTHQHVGPRTQHAGPSHGLFGTHMMYVAVRVCLWVSASTHRTFVALCGCPTHRKFVAVHQYTYHPAGPCTQHAGPSCDRTSVAVPVCPSAHIGRSWLMSVGVRQHTHDVCVCPSVSVSVRLCPSVAVPQYTYQLVGPWTLHTGIPVDCLGDFVPSGLCVQYTQDVHGCPPSHTGRPGLFVAVRQHTQDIRLCPCVSVSTHRTFAGVGQHTQDARVCPTLVLGLCTLALPVDCLGDFGPRRLSVQYTQDVRGCPPAHTGRPWQSVCVRVCPSAQTGRTWLSISTHISTLVLGLSTLVLGLSTLDVCGCPSADTGRPCVSVSTHRTFVAYTQDVHGCPPAHTGRLWLSVCVRQHTQDIRGCPSAHTGHPWLSVCVRVCPLAHTLAHPVDCLGDFGPRGQSVQYTKDVRGCLLAHTGRRWLSVAVRQHTQDIRGCLCVPVCVRHDAQDVCACHSAHTGRPSVHISARWSLDSARWPFLWIVWVILVHVGCLFSTHSTSVGARQHTQDVRGSHTERLCVSINTHKTSVAVRVCPSEHTGHPWLSISTHISTLVLGLSTLTVTPPILNRIVGTAMVRGNMQASLRTSRQAFHGRERSSASSMKLGSVHTSSVPTKSAPLAGLLAHSVEAAESQLISARLTVRALGRWPWAKSRRPGAWVGLVTDPKPNQKGRRDASGRKGATLGRWCPFASKSVKYSEKTVERKKERKREFRPRERPIVVVLCSGDSDRLRTNSGEEREIKTRRRAWRTQTWFSRYVMGRNSIRPNGRSMRPHRGSARFLSPIRLSLSISIRFSSLLSG >A06p002960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4902351:4906824:-1 gene:A06p002960.1_BraROA transcript:A06p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 13 [Source:Projected from Arabidopsis thaliana (AT1G51460) UniProtKB/Swiss-Prot;Acc:Q9C8J8] MATTPEAEEVEHGSDKAAMFLAWEDLTVVIPNFGQGPTKRLLNGVNGCGEPNRILAIMGPSGSGKSTLLDALAGRLAGNVVMSGKVLVNGKKRRLDFGTSAYVTQEDVLLGTLTVRESIAYSAHLRHPSKLSRKQITDIVEATITDMGLQECSDRTIGNWHMRGISGGEKKRLSIALEVLTKPSLLFLDEPTSGLDSASAFFVVQILRNIASNGKTVISSIHQPSGEVFALFDDLLLLSGGETVYFGEAKFATKFFGEAGFPCPSRRNPSDHFLRCVNSDFDGITATVVESRRIHDSSFSLYQETSNTLDPFDNMPTAEIRTRLVRKFKCSEYAVASRARIQDITSIKGLVTERNNASQTSWWKQLRVLTQRSFINMSRDLGYYWIRIGVYILLSICVGSVFFNVGRNHTNVMNTAACGGFMAGFMTIMSIGGFQSFIEEMKVFSRERLNGHYGVAVYTVSNFLSSLPFIILMCLGTTSITNYMVKFQPSASHFFYSCLDLISAIATVESCMMMIASMVPNFLMGVIIGAGYIGVMILSAGFFRLFPDLPMVFWRYPVSYINYGAWALQGAFKNEMIGVEYNSPLPLVPKMKGELILETVLGINPAQSKWLDLGVVLMILVAYRLLFFAILKFREKVFPLIHMLYTKRTLSHIQKRPSFRRMSPFPSKRHNVHHALSSQEGLNSPLH >A05p017390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7836688:7838066:-1 gene:A05p017390.1_BraROA transcript:A05p017390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAAATTASKFPKPDLLPIPQPPDFHPTVLIPSQNDKLRFWHLMVAGSIAGSVEHMAMFPVDTIKTHMQTIRSCPIKPVGITQAFRSIIKTEGPSALYRGIWAMGLGAGPAHAVYFSFYEVSKKYLSGGNPNNSLAHAVSGVFATVASDAVFTPMDMVKQRLQIGKGMYGGVWDCVKRVMREEGFGAFYASYRTTVLMNAPFTAVHFATYEAVKRGLREISPEFVGRGEEEEGLLVYATAGAAGGGLAAVLTTPLDVVKTQLQCQGVCGCDRFKSSSIGEVFRTIIKKDGYRGLARGWLPRMLFHAPAAAICWSTYETVKSFFHDVNGAAV >A07p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16499572:16505304:-1 gene:A07p029450.1_BraROA transcript:A07p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At3g57150 (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G57150) UniProtKB/TrEMBL;Acc:C0SVF3] MTEAEEVPSDTGDYMIKPQSFTPAIDTSQWPLLLKNYDRLNVRTGHYTPISSGHSPLKRPLIDYIRYGVINLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSAVPDVGKVARALESLTGAVFQRPPLISAVKRQLRIRTIYQSKLLEYDADRHLVVFWVSCEAGTYIRTMCVHLGLLLGVGGHMQELRRVRSGILGENDNMVTMHDVMDAQWMYDNYKDESYLRRVIMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIDVGTEVVLMTTKGEAIAVGIAEMTTSVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLIADGKLDKHGKPNEKTPVEWSRNVVLPTGGDAMIAGAAAAPEKVVAVVEKVEAENGEVSKRKHKRDESGDSPAPVSTKKAKTKDVEGGEGEEKVKSEKKEKKKKKDKEEEKEEVATPKSEKKKKKSKETDEAASAEKSEKKKKKKSKEVDEAASAEKNTMDISNEASVDPFSIGPTGIIGRTIAFRVLLCKSTTTLRHKLFRFLVCFYGGARSFLSPCVSWLHPRNPQGILAMVTTMAFMLNRYTSLKAKAEMAYRRKFWRNMMTAALTHEEWSHAAKMLDKETPKMNETDLFDVELVRNKLEELKHRRHENSLRDIIFCMRADLVRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLRMVCDTDTEELSLEEKLSFMHETRHAFGRTALLLSGGASLGAFHLGVVKTLVEHKLLPRIIAGSSVGSVMCAVVGTRSWPELQSFFEGSWHALQFFDQMGGIFTIAKRVMTQGAVHEIRHLQWKLRNLTNNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRTGEIVPYHPIFNPEETSGASVRRWRDGSLEMDLPMIQLKELFNVNHFIVSQANPHIAPFLRMKEFVRACGGRFAAKLAQLMEMEVKHRCNQVLELGLPLREVAKLFAQEWEGDVTIVMPATISQYLKIIQNPSNVEIQKAANQGRRCTWEKLAVIKANFGIELALDECVAVLNHMRRIKRTARRAAAAASASVSVSSSSQHPLPVGPTRFSASRRIPSWNCIARENSAGSVDDDVLAEAALLYQQLVVHRGGNCNSSHDGDSPEAGDWTRSGGPLERTTSAQMFMDYVQNLDGADPGVDLNGDASSRSITVAEGDYLQTGRTHNGFVLNLVRGESLRRNNQDVEDRQNVDEAPECVQLDSPEKDIDRGSSASEDGDAEVETVVDTNLSQHHE >A09p008140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4207766:4209205:1 gene:A09p008140.1_BraROA transcript:A09p008140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFPGFGSWINQNSQQPLKAESKRSENVDSEEDDFNYPDKVYYDLKEAQKQADLWHEAEKKHPWHNASPKIKVTHENGFYHMNIELTVGLPPYFLYNFLIDPGSGTFRDLRKRRNLMENKSRKILFENGPRQMMRMEKSVACNVFGVYIPISMNLIVDENRKDLMTQYKKEKVRFMKAFEGNYKVEPIFVDKERLCKKRIPMTQEEYKKCSGGQGKLASKLTIDQYYQPYPPFNLPPLSWFIRGMTIKTSKSLLAQVQDTSIAMRTATPMPEETTSNKHEKL >A04p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10724110:10726522:1 gene:A04p017680.1_BraROA transcript:A04p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHIALICIVMISLFALRRDAGTVSKIEHPSCIHTECTIYSLHQDCWCCNKLIDRYKSVCWREKDTPSAKDLCFAFCPKDLIFLLFLFLFSIRFCHHPSPNSIKKESMELQVRLRQLIIFFFLFLTVLEHASSSRILRIAKPDRHDIAASARWLVSQNIWGVLSTLSIEHDGAPFGNVVSYSDGLPGKGKGIPYFYLTMLDPTTRNALKDSRASFAISESPVGTCKRDPMDPTCSKLTLTGKLLQLDEGSEEEKVAKKALFTKHPEMMDWPEGHEFSVFKLEIMNIFLINWYGGAKSITVDEYLRYKETKVASFL >A01p012870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6312963:6313760:-1 gene:A01p012870.1_BraROA transcript:A01p012870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSKSSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKINEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAGAAVALAGPGMGRAAGRGVPTGPLVQAQPGLAGPVRGVGGPSPGMMQPQISRPPVMRPPGQMLPPPPAFGGGPPPMGRGVPQGPPPSYGMRPQFAGPPPHGMGGPPGGPPPPPYGQRPMGPPPGGMMRGPPPPHGMQGPPRPGMQPPPGGFAPQRPGPPPPNPHNQQQ >A09p029560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17653070:17654154:1 gene:A09p029560.1_BraROA transcript:A09p029560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitotic spindle checkpoint protein MAD2 [Source:Projected from Arabidopsis thaliana (AT3G25980) UniProtKB/Swiss-Prot;Acc:Q9LU93] MASKTAAAKDIITLHGSAAIVSEFFCYAANSILYNRAVYPEESFAKVKKYGLPMLLTQDESVKSFLSNLTSQISEWLEAGKLQRVVLVIMSKATGEVLERWNFRIETDSEVVEKGVSREKSDKEIMREIQAIMRQVASSITYLPCLDEPCVFDVLAYTDTDVAVPFTWVESDPKLIANPQMVKLHAFDTKIHKVDTLVSYKNDEWDEEE >A03g505690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19985167:19986174:-1 gene:A03g505690.1_BraROA transcript:A03g505690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAVSDLKPFKTMWKIRVKIISLWKQYSAAGGLTIEMVLIDSNDVKINTSVKKDLAHQFDSFLTQGSSKILLNFSLNPSYGSYRTTIHPYIIGFLWITRVKSCDDLPEALTGYEPVNYMDILDGTLSTDYLVDVIGQIVELTPIEVVSANGKETHKLTVELRNEKDERLSMVLWGNFATDVSDAIQGRGDNAIICVLRFQKSMLSERGLQTRSSKDPFHGIL >A09p045910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40184536:40185194:-1 gene:A09p045910.1_BraROA transcript:A09p045910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVQLKKRGYIWAISAGFNAALAAISAKFFSSLVIKYGLVVLCNVVMWGCYVNSLRALSSLQATVTNFAANFLSSGFAGLFLFHESLSFRWFAGALSITIGVVILSKSSVDKKVSTD >A03g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26260350:26260867:1 gene:A03g507410.1_BraROA transcript:A03g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRACGVLGAPPWWCGVDGVALLASVSLTFRHLPVIWLSLRRICAQPVSLLYMSCVLNGCNGPGFGGLEAAFSGYQLARRHRWLWTLPRAAGEAILERLAVLMIGSIGSCKFSVLQGLRALFSPVGRGNRELSPFIPLL >A06g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17041379:17042802:-1 gene:A06g505970.1_BraROA transcript:A06g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNWPFRRRRLNRFLEQEVQWIPFKTTVQITHVTCDIVDQTPEGEAPQKKGIRIPDLQRQTYRSHNPFPSAQPKINSKRLDLFNLYREVVVTRGGFHVGNGINWKGQVFSKDGGTTLKNRMTGVGNTLKKHYETYLVEYDSSTAGDWVNCGEWAQFRCDRRPGLGAFKDYVKADGLERVPKL >A09p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21406033:21407061:1 gene:A09p035850.1_BraROA transcript:A09p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQKKTLNPFTHSLSTVHTDLLQILRRATIDIRLARMTLGLLSAIGRSFRRKRASSLDILSPKRAPRDFYKGKNCKSTGFHTKKGGYVVQPDKLPNYVIPDLTGFKLKPYVSQCPVEVNKTTGSTEASK >A07p026470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15125249:15126602:-1 gene:A07p026470.1_BraROA transcript:A07p026470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAVLFHSHSFAAPLSRHETHQDNTMHALSQSVSFGRFMTENLEWGKWSSFSHKKYVDEAEKYSQPGSVAQKKAFFDAHYKKIAEAKKAKAASDDSKQEEQQPESVAVLLNTLETLTKDEVKEEEESGETELVLGGEEAVLSIEKDEEEPERTSVVVVLEQEDTVVDNSVIADDLKALLEVLDENHIEDAELLKKSSSVGEKEEERKSVTKISPVSMDKSEAPKKAMELVVSQKISEKPATHSSMKKNKFSFLKLLMGNTKTQDQNPKRKTDKKPNKMFLCLCFNPEMVRETEGPTKTQRKNL >A07g501080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2129455:2129921:-1 gene:A07g501080.1_BraROA transcript:A07g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETEKKHKEARSYGRGRELRIPRRYEVEDTGGFTWLCRHRNALAKMERTEKQNRRRRRSEARTTETEASGWRRFAREAYGGGRTVDEESGNGGCVEREETRFT >A04g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8453898:8457838:-1 gene:A04g504040.1_BraROA transcript:A04g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQLRMVKDQFFESDQKVAKLEKIVVALTKKKSMVKYGFAKGVCLLVLVILVVVIGWKSFGGFKQQCLTSRWASQSNTDHHLNMNNRTGFVNLMYSQSSVDLESPEPAWFGSQGPDEYGFHPVQPTVKSSKRKQVVNLDTEDEVPEHEARPVGVKAAKAAGKRKKSGKEEEISQLEAIMEMKGKLSKQKILERSAVGHVTRVTGGAVGHVTGVTGVFGVGKFFYLPVGATSSTPLHKQCQETILVNKEGNSWNVSLRYSESSGKYYITRGWRKFCLDNRCEIGDLFVFNVVGDGKTTPLMCVCPERKEYSEILSKYLTRKSRESSLGGDS >A05p020030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9405041:9405329:1 gene:A05p020030.1_BraROA transcript:A05p020030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLALSLVALILLLLSAFNNTTMGRTLLREGVPASLKIDVSKSVSPPARKKSPKPPGPGQQHH >A09g518270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55701851:55703367:-1 gene:A09g518270.1_BraROA transcript:A09g518270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETMRRIVLVPVPAQGHVTPMMQLGRALNLKGFSITVVQVQFDLVSSSYSQQFPGFQFVTLPKSLPKYEVEGLGQIEFMMMLNKTSEASFKDCIGQLFLQHGNDIDCIIYDEFMYFCGYVAQECKLPSVIFSTQSATNHVSRCVFSKLDSDKFLIDMKDHEKQEKVVENMYPLRYKDLLPSGFGPVEPVLKIRVEVVNKRTASAVIFNTTSCLESLSVSWLKQELGIEVYALGPLHVTPSPPSSLPEEDKGCIEWLNKQKSRSVIYISVGTVAQMETKEILEMAWGLCNSNQPFLWVIRPGSILGSEGIEALPNEVSKIISERGYIVERAPQIEVLRHPAVGGFWSHCGWNSTLESIVEGVPLICRPFQSEQNVNAAYIVSVWEAGIQLEGEVERGKVERAVKRLIVDEEGTGMRERALVLKEKLKASLRSGGSSYNALDEFVNYLKTK >A07p030150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16835585:16846659:-1 gene:A07p030150.1_BraROA transcript:A07p030150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSRRRNVGSEQERKLSGLLSNVSKPTVSLSPLETLFTNFVIQFDSLSTSMSMGEQVEKRFTWVLKNFSDLQDEPSYSRPFLFAGCNWHIIAYPKGYDKCRHLSLYLGIVNPESLPSGWRRELKFRLTLVNKVWRSDTKVLEGQRWFDASSSVWGFKEFMPLSTVRYRDNKFLVGDKIVIVAELHLFPLVVETVKIIQPLSCNEGSQVADGKSQNAASSQEKVVDFDVSQDVDASKEGVDDDDGASEEGSDDDGTYQEGSDDDDVSEEGQNDDDASSLVSEDGREKGSLEDGSLTVGNCAMECNNVASETEVSDVDYDDAPIEDPGDDDVIENDQGDDNTSSLSSGVSDRDVGSLNKFEDASQGVEDGDKQCNKVASVTEASNDFPEEVQPVEETKDANGFQVFSSQVESVSHIFRRHPDIALGFRPKNRQIRRAYMCELLSLIDFLCQSPEKLSEDDLSNADDTLADLIDVGFKLDWLKIKLNEVSEKKQKEKGSEGRLRTMEEQLLKLKLMFLDLETQLHKEKEEALAARAPFSFNDVVSKIFSTNLAVPSKNAHTPSNTGREMKAILIPVGYVIMTISIQLVPVLSFSPPRSMEKKVDKKFTWVIKDFSSLQSEKIFSDKFVVSGCKWYISAYPKGYKVDNFLSLYLEVPDYGSLPSDWRRHARYRLIVVNQRSEKLSRQLEVQHWFDVKSNSWGFPSMLSLDEINSKDGGFLVNGELKIAIEIDLLEIIGKVEGNGFHLLSSQVESVSRMFEKHPETASEVHLRNPNIRTGYMNLLLSLIDTLRQSPQELPKDEAHYALQSLTDAGFKLDWLEKKISQVSEMKENAKDGEIHRQEIEKELKDLKQKCSDVEAQLEKEKSKALAAKTLFFLTPMAKQVGNKFAWVIKDFSSLREKCYSPPVQIGDCKWRLSIYPKGLFNKEQDLSLFLEVADVKSLPFGWKRLVKFRLTIAKEVSEVPSPLLSLFEQGPSVLKKSHRWFDQNNSEWGFPYMIPLAKIHDKNEGFLVNDQLMIVAEVDVLQVVVTSEKPEETNPLNQVESVSSIQDCVVEFRARNQHLKTACTNVLLSLTQTLCQTPQELSVDDLVEAGKTLAYMKDSGLEVEWLVKKLNEVKAKKQEQSVQKIVLRGPQVLGFSVSSPRSMEKKFDKKFTWVIKDFSSLQSVNILSDTFVVRGCKWQLNAYPKGNKGQNFLSLFLEVACCGSLPSGWRRHARFRLIVVNQRSETLSRQLEKANGWGFQSMLSLDEINAKDSGFLVNGELKIVVEIELLEVIGKVDVNGFHFLSSQVEFVSRMFEKHPETASEVHLKNPNLRTGYMSLLLSLIDTLCQSPHKLPKDYLGEAYYALESLTDAGFKLDWLEKKISQVSEKKEREKDGEIRRLEIEKELKNLKEKCSDLEAQLEKEKSEASISSS >A09g504690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:14233293:14233472:1 gene:A09g504690.1_BraROA transcript:A09g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHDVVQLVRADVSTIALGIAASTASIILSAGTKRKRFAMPNTRIMIHQPLGGASGKL >A09p060090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49966050:49977816:-1 gene:A09p060090.1_BraROA transcript:A09p060090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKISKVDKRITEPRMKKKRMKLESLTSLNNLDDGCLMHILSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVDPFVKDLSLPGVFHSIESAVSAARPGDTILIGAGGNHHVSNIQIKKPLCLIGSGEIPDETTLVCSRGSDSALELLSTCKLANLTVRAELGCCLLHRSGRLTIDGCVLQCETNPLDHLSCPILSTAGRDEEEDNLSLVEVKETVVEKIKGNSVTVLQTRIEGGAKAVATSGDLVLQRVRVMYSKDYLYFWFDLPLLRVYNLAPTSTRFIITSTVANPPSLFSWPQHHQPPPSSTPFSAVASTVPDHSSTVSAAVISTPDYMLGLRNTLFLNQPPSQITQPSPSPVNFTAADVNNKSSRNSNVEEKVCKDCGNRAKKECLFERCRTCCKSRGYNCATHVKSTWVPSSHHRSVSRSSSSSSDRNKKLKLDSSDKPKSVLIVPTTTSRQETSFKERLPGKIEAPAVFKRTRVTAVSSNEQAEIGYQANVTISGHVFKGFLHYYGVDHNKSFPSLSNK >A07p032640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17878626:17879324:1 gene:A07p032640.1_BraROA transcript:A07p032640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFLVAVLLLALSSSSFTRGQRIIQIPPPRPLCASQYALANYACSQETYAQQECCKWLKQMDNECVCDLLVRLPPLLAKPAHNYTVFVDESCIVTYTCGGRLMS >A08p024230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15792811:15794374:-1 gene:A08p024230.1_BraROA transcript:A08p024230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALARPLERCLGSRASGDGLLWQSELKPHAGGDYSIAVVQANSSLEDQSQVFTSSSATYVGVYDGHGGPEASRFVNRHLFPYIQKFAKEHGGLSADVIKKAFKETEEDFCGMVKRSLPMKPQMATVGSCCLFGAISNGTLYVANLGDSRAVLGSVVAGDDSSNSSNKGAAAERLSTDHNVAVEEVRKEVKELNPDDSQIVMYIRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQHGNHIPLRRPAMTAEPSIIVRKLKPQDLFLIFASDGLWEHLSDEAAVEIVLKHPRTGIARKLVRAALEEAARKREMRYGDIKKIAKGVRRHFHDDISVVVVYLDQHKTTSSSNDRLIQKGGITAPPDIYSLRSDEAEQRRLLNVLY >A09p062190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50989433:50991115:1 gene:A09p062190.1_BraROA transcript:A09p062190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLSPKTLSSSSPFPLHKSQIPRRKPPNPSRFNRRCNPISVKSEAQIERGLEFDPGATFFRHESARGRDLGVLSASLYKRSNGSLRVLDAMCGCGIRSLRYLVEADADFVAANDANDENRRVITENLSKIERGEGDERRWVVTHMLANKAMIERYMVGDFFDLIDIDSFGSDSAFLRDAFNALKLGGLLYLTSTDGYSSGGHRPYNSLAAYGAFIRPMPFGNEIGLRMLIGGAVREAALLGYHVTPLFSYYSYHGPVFRVLLRVHRGKLHEDRNYGFVTFCQLCGHSDTVRWDELGLLGCPCSDTKASSSLIVSGPMWLGPLHDGSYVTEMLDLAKEWGWVSEGTGMDLEKLLSIMIEESDPRLPPGYFKMDEMASRAKMNSPSLKKMMSALVKEGYAVSRSHIISNGLKTDCPMSHFIKIAKDELQS >A03p009890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3937465:3944382:1 gene:A03p009890.1_BraROA transcript:A03p009890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIANVSVEGDIGMSERRTSNEGEVVINVSEGSRDQSAAPSKVAESDAGTVKPDPLIIPPPESYKFSGSTHKPPKVPTEGLTRRKSLARSVYSKPNSRFGQQQSYRYDKSTIVEENGGTLREHFGAASFSRNSFNRASPNNNSNRSVRSNAAMSKVAEEETDENEEIYEKVKLHRVKRGGMKPLALLEFLLFLVILCTLVVSLTIDKVKEHSIWGLEVWKWCVLVMVTFSGMLVTNWFMHLAVFIIEKNYLLRKKVLYFVHGLKKNVQVFIWFSLVLVAWVFLFDHDEKRSRKATKFLDFITWTIVSLLVGSIIFLVKTFALKILASKFNVRNFFERIQESVFHQYVLQTLSGPPLIEEAESVGRVPSTGHLSFTSTKNGTVKGKKVLDMGKVHKMKQEKVSAWTMRVLIEAVGASGLSTISNTLDECSNQKEKADKEITNEMEAVAAAYDIFNNVAQPDHNYIEEDDLLRFMIKEEVDLVLPLIEGGETGKITRNAFTDWVINVYTSRKSLGHSLNDTKTAVRQVDKLITGVLSVITFIIWLVLLDIATTKFLVVFSSQFVGLAFMIGSTCKNIFESFVFVFVMHPYDVGDRCVVDGVLLLVEEIDLLTTVFLKLDNEKVFYPNAILISKPISNMYRSPDMGDSIEFSIAFSTPAAKIATLKEKVAEFLVQNPQNWYPEPLLMVKAIENVNKLNLNLLVTHTMNFQNFGEKNLRRTGLIIALKRILEELEIDYTLLSQEVHLTEMAERKITNGGDIVINVPEKEVSKDPAASPSSNVAASPKPNTVTTKLEPLSIPAPEIYKLSGSVHKPPKTPSPNNKGLTRRRSVYSKSNSRFGQQQSYRYDKTIVEENGGTTPKEHFGAASFSRASFNRASQSNRSNRSISSALSKVSEDEADENEEIYKKVKLHQGKRSVMNPLALLELLIFLAILCLLVVSLTIDTVKEHCIWGLEVWKWCVLVMVTLSGMFVTNWFMHIVVFIIERNYLLRKKVLYFVHGLKKNVQVFIWFSLVLVAWVFLFDDDDTRSRKTKKFLDKITWTIVSLLVGSIIFLVKTFALKVLASKFNVRNFFERIQESVFHQYILQTLSGPPLIEEAEKVGREPSTGHLSFTSTNGTVKEKKVLDMGKVHKMKQEKVSAWTMRVLMEAVGASGLSTISNTLDEVTHRKEKTDKEITNEMEAVAAAYDIFNNVAQPNSSYIEEDDLLRFMIKEEVDLVLPLIDGGETGKITRNAFTEWVINVYTSRKALGHSLNDTKTAVRQVDKLITGVMSVITFIVWLVLLDIATTKFLLVFSTQFVGLAFMIGSTCKNIFESFVFVFVMHPYDVGDRCVIDGVILLVEEIHLLTTVFLKIDNEKIFYPNATLISKPISNFYRSPDMGDSVEFSIAFSTPAAKIATLKEKVAEYLVQNPHNWYPEPLLMVKAIENVNKLNLNVLFQHTINFQNFAEKNLRRTEMVITLKRILEELEIDYTLLPQQVHLTGQK >A09p070750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54797035:54799545:1 gene:A09p070750.1_BraROA transcript:A09p070750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYITLDSLHPPLTQTMAAMIVDFQNCSIFILLCFFTFLCYSVFFFFKKTNDLGPSPPSLPIIGHLHHFLSGLPHKAFQKISTKYGPLLHLHIFSFPIVLVSSPTMAHEIFTTHDLNISSRNTPAIDESLLFGPSGFTVAPYGDYVKFIKKLLATKLLRPRAIEKSRGVRAEELKQFYLKVQDKALKKESVEIGKETMKFTNNMICRMSIGRSFSEENGEVETLRELIIKSFALSKQILFVNVLRRPLEMLGLMSLFKKDIMDVSRGFDELLERVLAEHEEKREEDQDMDMMDLLLEACRDENAEYKITRNQIKSLFVEIFLGGTDTSAHTTQWTMAELVNNPNILGRLRDEIDLVVGKERLIQETDLPNLPYLQAVVKEGLRLHPPAPLLVRMFDKKCVIKDFFKVPEKTTLVVNVYGVMRDPDSWEDPNEFKPERFLTSKQEEEKVLKYLPFAAGRRGCPATNVGYIFVGISIGMMVQCFDWSIKEKVSMEEVYAGMSLSMAHPPKCTPVSRLSL >A03p000570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:366190:368497:-1 gene:A03p000570.1_BraROA transcript:A03p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTNLRDQNTSEIPVRRSKRWSHTRKEERVSESLEHDKDDEVVKYMSKLPGYLQGEDEESNVLNVGVLDWGRLEKWKQRGGRGKGGERSGKRESKVSATSTTLGTVPNGGSSSSHQYHHHRCKVDDQVHASSLLGKVKAASRGLQHSSHRVRSTLEPELASTSRDAFNKQEIATCSYNKSSSRKDRRSTSGLLPEMGNSNGSLGPKGNLVIRDKKESDKRAQEEARESGKQCAEKLVGEEKTLGDSNDNLKVTRDVSSRSLHFSDGISSSLGLRSQVPPPSCPLSFDLDRDSEDLPLGVDLSCKKERFCGLRSHSKPASSRIFDQEMGEDESKKKRDPSPSKRFSFSFGSLSRSFTVKEDSSAGGQPLTSSSNDAIKSDSMRCDGSACPPQSSNPEEKHCGSSRVSPLRRLLDPLLKSKGSENVLPSKEERSTSTIPKPTNMDEKKQDTSRRTRALFQLTTRNGIPLFQFVVDDDNLNNNSRRSILGATMKNSDSSFKDNSVQYCSFYSVDEVKKKRSGSWLIHGHKEKQQRGFVYTVIGQMRLCNNNSMSSDITEKNVSCIIREAVLFDETEEQVKGRKEVAAVVIKKKPAEENLNALEETTVIIPGGVHSFPVKGAPTPLVSRWRTGGLCDCGGWDVGCKLHVLSNKTLLHELDQSFKLFDQEESDQDSVPVLAMTELKTGMYRVVFGSFLSPLQAFFVCVTVITCASEEETVSKTTGRSSSPFAPPLSPVGRV >A01p035720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:737260:737493:1 gene:A01p035720.1_BraROA transcript:A01p035720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGHDEEEHVDAPPVVNINPLQLEAMIAEIRRKLSRQNEQMYADVPPQNVIQAVGVSAEDERAGAVGPGAEGAGPA >A08p015290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10081059:10082381:1 gene:A08p015290.1_BraROA transcript:A08p015290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFSVLLALSVLSSPFLLNANAHSKLGFSADLIHRDSPKSPFYNPTETSAQRLRNAVHRSVNRVVHFNEKDASVNSPQTEITSDGGEYLMNVSLGTPPFPIMAIADTGSDLLWTQCKPCDDCYTQDDPLFDPKASSTYKDVSCSSSQCTALESQATCSTENNTCSYTLSYGDKSYTKGNVAADTVTLGSTDNRPMQLKNIVIGCGHNNAGTFSKKGSGIIGLGGGSVSLISQLGDSIDGKFSYCLVPLSSENDKTSKINFGTNAVVSGNGSVSTPLITKSQATFYYLTLESVSVGSKNIKYPSVSDSYGSSGKGNIIIDSGTTLTMFSTDFYSELEDAVASSIDAERMNDPQNVLSLCYSATAKLNVPVITMHFDGADVKLDSSNAFIQISEDLVCFAFRGDDQLAIYGNIAQMNFLVGYDTISKTVSFKPADCAKM >A03p017630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7184266:7185684:1 gene:A03p017630.1_BraROA transcript:A03p017630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKARMSSSSFPSSSSSSSSSSSAASSLAAKAIRASSAHRDSSLSSAYSSPPVPTPPKEVVRKPYEYTSMKSLNEPKRSFWGSLASKAKALLDDEDPPPQTPTTRIDHHNTPSSTTKEAGRKTENPSLQRSLDAITSSFNYIGTAVEEGITAVETRTAGIIQETRKKIKKKPNPPEIQADLEIQLKASRDVAMAMAAKAKLLLRELKMVKSDLAFAKQRCAQLEEENKVLREDHNGENSQTDNDDLVRLQLETLLAEKARLAHENSIYTRENLYLRGVVEYHQLTMQDVVYFDEKTEEVTEVYPINVTSVSSSSNISNTHPNPQALEFK >A09p024700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13749611:13754237:1 gene:A09p024700.1_BraROA transcript:A09p024700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVNRDQKSYIQFSKLLPSQWGDHFLNISNTDSDFDVLAREMEVLKPKVKKNIFTFSSRDKEAMKRSILSIQVLDSLGLAYIFEKEIVETLKHAFEKIDELITDENDLYTVSVMFRVFRTYGHNMLSDVFDRYKKNDGKFKESLLEDVKGMLSFYEAVHFRTTTDHILDEALSFTLDYLEPLATDNRASPPHMLKHIQNALYIPQHQKGQVLVAREYLSFYEEEKDHDDTILKLAKLNFKFLQLHYIQELKIITKWWRGLDYTENLPPGFRERTLECWFTGLMLYFEPQFSLGRIMLAKFFLMFTFLDDACDTSGSIPEVESMVNCLERWDPDYMENLQGHMKTALKFAMYVYKEYEEILKSQGRSFVLEKMIEELKIAGRANLDLIKWARAGQMPSFDEYVEAGGAEIGSYATMAWSIMGLGKISKKEDFDWLLSRPKYVRYLASKARLMDDIMDFEEDMNKGYTANALNYYMKQHGVTKEEASRGLHKMIGDINKIVNEECLKTTNISRPVLSQVVNFGRMLHILYTSDDVYNHREGKLKDYITALLVDPIHL >A02g507090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20249104:20250109:-1 gene:A02g507090.1_BraROA transcript:A02g507090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERSKQVVTQRPSVRLARSLRSDQARANLGRYRYVATALSLARSLRSDRASVLLGRYIATELAERGRYVATECPSCLRPSVRLARLLRSDRAPVPLGRYVATGLEPKFGRCVATKLFRTSIRHQSMHSRQTFKCYLPKTVASSVHVFRYSKSSIKNRANRKTAQRDLKHDSRPILRFLNQKPVNHNTVYAWSTRKDKCQVSADKYGSFEDNFRAATQLGLAVLGSLELGISPAALEPRLIPC >A07p047180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25375577:25376755:1 gene:A07p047180.1_BraROA transcript:A07p047180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSPTNPPRNSLLLPSVLVILVGVIAALTYQSILKPPPPKLCGSPGGPPITAPRIKLRDGRHLAYKEHGVPREKARRKVIFIHGSHSCRHDAVYATRLSPGLMEELSMYLVSFDRPGYGESDPDPNRTPKSLALDVEELADQLHLGEKFYLIGYSMGGQATWGCLKYIPHRLAGATLVAPVVNYWWKSLPLNVSTQGYSLQPKRDQWAVRVAHYAPWLIHWWNTQRWFPGSSVANRDGASFSQPDRDIVLKLGSSRKPHLAEVRPQGLHESINRDMIVGFGSWEFDPIELENPFLNNQRGSVHLWHGDDDMLVPVIPQRYIAEKLPWIHYHEIPGSGHFFHYNGGVVDDIVKTLFKTDTEN >A09p008800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4530139:4531674:-1 gene:A09p008800.1_BraROA transcript:A09p008800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRLSSTLFAASISFKNPKSSYSDRSRSCRFSVRSCVSDSPNANKLVLEVKERLAKDCTSLPIGKNGRDDEEMILWFLKDRRFSVDEAIGKLTKAIKWRHEFKVNELSEDSVKAAAETGKAYVHGFLDVKGRPVVILLDPIEDEKLCVFLLEKALSKLPAGQHKILGIFDLRGFGSQNADLKFLTFLFDVFYYYYPSRLDEVLFVDAPFIFQPIWQFTKPVVKSYASLVKFCSVETVRKEYFTEETIPSNFRS >A09g501620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5955950:5956468:-1 gene:A09g501620.1_BraROA transcript:A09g501620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRKQSIVYAVRDYPPLKESSVACSATTTDGARSLTMLWDNDALRPWTLLPRWIEPRSATAPTPALKPTGLPHPWFLVTS >A03g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26474911:26475851:1 gene:A03g507480.1_BraROA transcript:A03g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNEFIPYTDNTMTQNDFVPIQINRNETRTVVRSTFVTSGNTNYANLFSPSPPFTSSYRASQVYSSTLTFNSSRMAYQPRNNMVSAVRMLLATPTIPNMYHHATVPTNNMVTSQNGHDRVITSGQTIRNHSPNVFGNATPTISNMYDDVMVPTNNMVTSQNGHERVITSDPTINPPNVFNNNRETFYPEPIDYTKVDTNDKGGENKYQTHGIPNENYGSYMCPKCHSQFDISPIISAARMGLVNSSNETKDQENEKGPCARTKKRYREQSHPEVNGKSRKIESEDKVPEESCGSKTN >A06g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29210144:29210696:1 gene:A06g509830.1_BraROA transcript:A06g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLKYLFRFQRCRYRLYEAELSQEPLREHPLGMKPRAASKQAPEIRPCSRSSGLGASPNLPPGSEPITLKYLQSNKKRLKKMLNEPNLKIMTAMSEFLEKSKIMSSAIFLAFP >A01p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21074034:21076187:1 gene:A01p029830.1_BraROA transcript:A01p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVVSSPALTHGNMGSDQSSFALIGLENRRIEVLLASYSVVVGLLVELHHRCRSWVSFELCGESVWLGFYRVAWKTSLVSKLFSGARLQVKPALMWGDNSSIDFGASFFRWSMMVTSARFSFFVPSFLHQSALVFCCYALVLGDQEIRRSGFLSVVEFGWCLFTGLQLGSPWCGFWLCSLYLGLPCGDLCSNSFLEKEKHYLRWLAHPVMFAKKIGSKLLDVQMFVTVRIIGAVLQAASFKDSCGLVSWIVCEALHRKVVNSLCIFYMYGSDTFLYIICKG >A08p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1611693:1612622:-1 gene:A08p002930.1_BraROA transcript:A08p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVYLWDQASHESHNRFNESLATLAVVLVTSVNTKCFGGTVLRYLSMTMSLRQLLCSLANGDIGANHEMPTPQCLVEISSSNLTVKRHTIIVTKVVCPAVLPPFVVAESQPDAIDEESTCSWIN >A09p053900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46616683:46618568:1 gene:A09p053900.1_BraROA transcript:A09p053900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTLTLRSSSLPFTFTSSSRRFHFLKPCSSSLKQTKKQQQSLRRSPPPSSAPPPKSLRWFFNPKSSDDDDAKSPKAESDEGSGGDAAIKGTILAGVLLIGTVGGFAGVGYVYRDQINSFLTQFSTFIEGYGPAGYALFIAVYAGLEASFFIFHILAIPALPLTMSAGLLFGSLVGTIIVSISGTMAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGSWAYVSAGAFGRAIIQEESAVGLPGGNGQLLTLGLGLLVTALAATYVTGLAKDAIKDIDDE >SC117g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:380441:397292:1 gene:SC117g500150.1_BraROA transcript:SC117g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFKKLLPLILRGEIRPADGDRDGEFRNEPAEVDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEELSSLLVCNIQRPRALERCVISDLTMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRHEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEELSSLLVCNIQRPRALESKTSTHSFEDSIRKAISQAFRDVEKQLKQSKTISPSLEVQNQALSSTVSELKDAEPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTREVELSRHQLGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQDFHYETNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKTGAYSSILIILGECSARSRTSWGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDYQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRGQTVLSTRIFEKRGYSNDQSIKNGSLAKLEMQQSNLGSCLAANFDIGAVQGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHDQSFSNKRVMGSTRRVILCLLCLNFSEYRTSQSYIWRPGEHAKSHVQIMEALKHAKTKNKREEDKRFKPPDLSQERHQDRLRALVWCVISNLLPRSIKEPFRSLLCHHSFHIP >A06p003550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4619007:4619342:-1 gene:A06p003550.1_BraROA transcript:A06p003550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLYAGASSRTTSSSMSSTVESSSGQRSSAAKPLSTTAKRYPRTPPVVPEDCHSDCGSSSSVIDDGDDDIASSSRRREPPPFKFDLNFPPLDDVGLINGVDGICTDLRL >A01p045310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25626877:25630097:1 gene:A01p045310.1_BraROA transcript:A01p045310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLLFQKKKEKKTWIDAYLTQLRLFLYKSAVLSKQIHKLLLLRVTALTFLPLTSSIIENSMSSNYKLNHTDSLGNGDANSLRSNPENAFSDLTSLAQSEKAVEELLIQQTPMQASDDHLIEFSEALRTVAKALRGSAEGKALAQAEAAEWKRRYELERSKNLDFLRQAPLNEEANSMEMDHIAKSPRLHVPENGKSTRYSLERICAHEVLQDCEPNSPIGSNNKLKRKASFKLSWGCKGQANDQHKKDIVSFESGNITTADRSSKQISLTWETSPQNVLIFTKPKSTSVQVLSVEMVRWLREQKGLNVYVEPRVKAELLSESSSFDFVQTWEDDKEISLLHPKIDLVITLGGDGTVLWAASMFKGPVPPIVPFSMGSLGFMTPFHSEQYRECLEAVLKGPLSITLRHRLQCHIIRDKARHDYETEENMLVLNEVTIDRGITSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPDHVTVRVQVPFNSRSSAWVSFDGKGRKQLEAGDALVCSMAPWPVSTACQVESTNDFLRSIHDGLHWNLRKTQSSDGPRET >A08p000590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:359378:359744:-1 gene:A08p000590.1_BraROA transcript:A08p000590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRWWDPGDLGVRDAFSHREGFGLEVWRFALILRWFGLKRDKGIREKLRNYGILGDLLAISILRKMVSQRREEEMVALHVLEEPGERNQDHGNKKVI >A01p049860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27998821:28001982:1 gene:A01p049860.1_BraROA transcript:A01p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQPRITRPKGGLLTMPFIIANEGFEKVASYGLLQNMILYLMSDYGLGLVKGQNVLFMWVAATNFMPLVGAFLSDSYLGRFLTISIASLSSFLGMMVLWLTAMLPQVKPSPCVASTVTKCSSTEATSSQLALLYFAFALISIGSGGIRPCSLAFGADQLDNKENPKNERVLESFFGWYYASSSVAVLIAFTVIVYIQDHLGWRIGFGVPAILMLLASIVFVFASPLYVKRKATKSLFTGLAQVAFAAYVNRNLVLPVHNDSYGCYYHLNDSELKAPSDKLRFLNKACVIRNREEDIGADGLALNPWRLCTTDQVEELKALVKVIPVWSTGIMMSINVSQNSFQLLQANSMDRRLSDHSTFKIPPGSFGMFTIIALIAWVVLYDRAILPLASKIRGKPVRINVKIRMGLGLFISFLAMAVSATLEHYRRRTAISQGLANNANATVNISAMWLVPQYVLHGLAEALTGIGQTEFFYTEFPKSMSSVAAALFGLGMAVANILASVILNVVKNSSKKGGESWIEDNINKGHYDYYYWVLAILSFVNVIYYIVCSWSYGPTMDQLRNDKVNGVRGEEQEEAVKLN >A05p053990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31686634:31688684:-1 gene:A05p053990.1_BraROA transcript:A05p053990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase SUVR3 [Source:Projected from Arabidopsis thaliana (AT3G03750) UniProtKB/Swiss-Prot;Acc:Q9SRV2] MEKQSLPKKPRRLGESQLTLPVTDNQFLRCADLILPWLNAPELAAVSLTCKASSFISRSITLRRSLDAARSLENLPVPFLNAVDSKRYADFAYTPFQIPASSSPQPRQRWGGGASDAPASQFEMNVVGESMCGCECERCEEGYCQCLALAAGAEIVNECGSGCGCGSDCPNRVTQKGVLVRLKIVRDEKKGWCLCSDQLIKKDQFICEYAGELLTTAEARRRQTIYDKLRSTQQSFSSALLVIREHLPSGQACLRINIDATRIGNVARFINHSCDGGNLSTVLLRSSGALLPRLCFFAARDIAAGEELSFSYGDVRLTPGESAENKLNCSCGSSCCFGTLPCENT >A08g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10792448:10792837:1 gene:A08g506310.1_BraROA transcript:A08g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVASRGVALRGALERPHDVAAEPRSHALLVQ >A09p012400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6339239:6341653:1 gene:A09p012400.1_BraROA transcript:A09p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCRGSFKDKTYEGNNNNLPEENSITITHVSSVHSPTTEQDFPKEDNNNNNNNKSPVLVLPVKEPFMRRNMDNQAYYVLGHKTPNIRDLYTLSRKLGQGQFGTTYLCTEVATGVDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMEVCAGGELFDRIIQRGHYTERKAAELTKIVVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFKDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDIDFESDPWPVISDSAKDLIRKMLCSNPSERLSAHEVMRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLRAMFEAMDTDNSGAITFDELKAGLRRYGSTLKDTEIQDLMEAADVDNSGTIDYSEFIAATIHLNKLDREEHLVSAFQYFDKDGSGYITIDELQQSCVEHGMTDVFLEDVIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNISMRDA >A01p008700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4217145:4220256:1 gene:A01p008700.1_BraROA transcript:A01p008700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat-containing protein MSI5 [Source:Projected from Arabidopsis thaliana (AT4G29730) UniProtKB/Swiss-Prot;Acc:Q9SU78] MESEVAAKARREAETPATYIGLKTRARTLRLSNGSVSASSQQQRSTEKKKKRKSHKPTVDNKYSQWKTLVPILYDSLSNQSLVWPCLSCRWGPQFEQALAKNQQRLYLTEQTDGSVPNTLVVATCEAVKKQLNGKTCSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDTETQPDRHAVLGAPHSRPDLILTGHQNNAEFALAMFPTEPFVLSGGKDKSVVLWSIQDHITTAGSNKTGGRSVGPRGVYHGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARTGSGPAMKVEKAHDADLHCVDWNPHDNNLILTGSADNTVRLFDRRNLTSNGVGSPIYKFEGHNASVLSVQWSPDKSSVFGSSAEDGLLNIWDYDKLGKKSARAPKSPPGLFFQHAGHRDNVVDFHWNVLEPWTIVSVSGNCESNGGGGTLQIWRMSELIYRPEDEVLAELENFKSQVFSCASRP >A09g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14420123:14421066:-1 gene:A09g504770.1_BraROA transcript:A09g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELALSCVLLLLTPCLSLPHSTVALPRNFTSVTCQDLGGVGSLNTTCTLNSNHRFDSDTYVYGTGNLIILSHVLVDCPVKGCTIAFNVSGTIHVSQSAKIVAGSVVLSAINLTMESNSSIHTTALAGPPPSQTSGTPVGGDGAGGGYGGRGASCVKSNVSAYWGGDVYSWSSLDDPWSYGSEGGVKLNAGGKGGGRVRIVLKDTMLLNGSVSAEGGDGGEAGGGGSGGSICIRAVKLKGYGKISASGGRGWGGGGGGRISLDCYSIQEDVRVLVH >A06p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11677694:11679915:-1 gene:A06p023570.1_BraROA transcript:A06p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLLLRTLPLLCNGFLSQCHQVNIAAGKVVPQRQSIRHRLLVTTSVSKILIIEVKKLETGILQDIEPIALFAKDLLHSEIHSYHQYSKDKIGCGHDFIMVRENACYLSIMSTNIKNNSSGGIVDRHPQFRHSRCLFLVRTDGGWIVFSYQKCLRDYVRDRYPSHAERFIREYFKRASR >A09p021540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11620522:11621892:1 gene:A09p021540.1_BraROA transcript:A09p021540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKGTQSVKDLMHPGKNALLPPKIPFPTVSAPYSEYIPLGSRHHGHKLNDEKPHHHHHHQRTSSESDLVEEPPFWLDDLLNEPESPVRKCGHRRSSSDSYAYLDMANAKNISLTLQNDFSYRNTGSSTQRGGVHELDWNQNAAFYSDSNFLNQTNRQRDSLVAASGPRPSWQPFTRESVGGKHMGSSSYMPQEAPETKNYAKTLSHDAKKFSPEQKHSNAQPVTCDADNTRRAKQQFAQRSRVRKLQYISELERTVQALQAEGSKVSADLDFLNQRNLILSMENKALKQRLESIAQEKLIKQMEQELLEKEIGRLRALYQQQQQQQTQQPPASHKRASSKDLDSQFSSLSLNSKDSNSSRDSVSVTSQFHF >A03g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31870623:31873386:-1 gene:A03g509950.1_BraROA transcript:A03g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWRNDPIRLCSTLARVMSVDAPRFEHRPAWIMDTAQGGDLVSQLDPTEVLPSDHAEHTDRVIPSVHSVLTDNILPSERADQTVRTIPSDHPDCTARVVHRIDPHTSRIELSLEPRPRDGIYRPTSLLSRPSRQDKTDTRARIHLGCEESKDGRRFFLIALFVRPACPERLRESQAVQDLSHDSTHLGQADHPNVQSVRVERLAGVWIIPRVSLSFPPWTSTTRMNIDSSLRNSLVSCRVILQVSKSSLVGHDVTFQTTIEGGSIIRTYRSGIRATQPWLGGGREILLAEEKSSLRVRYSLRFDVQEFCDKFEKEMLKALKDVSQIHTKSTSTRAHVAEPSLFISETSKEPIESLMICEENCDLPSLESDLMIDNQQTIVELTILQPEHPSGLVLSAQVFEEEPLNYPHQGPRLDNRKPLDDDLGPIFDEEDEPGPVFDEEATSITSIIKESHICFDLGRTPAPLSSDLQEHCENLDIINSLHDMFVKISSHDVIRFGLNKVKDFCVLKSVFENMINSFKVFKPDELLQNVNGINSRIILSFDQFLEHSKDFHHLEKSFDLNLQQTDFCARKSFDSFVFKGNDFDLSSSRHALITGDLFASSYALDEILIKKLLRNHLELKLIFVILL >A04p041270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23256039:23257472:-1 gene:A04p041270.1_BraROA transcript:A04p041270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSLIQEMRGELGSISRKGFGFGRSRSQRVVQDSCVVPVDAFKQSCWATMPPELLRDVLVRIEASEDTWPSRKNVVACAGVCRNWRALVKEIVKVPQLSSKLTFPISLKQPGPRASSSLLQCYIIRNRTNQTYHLYLALNQASASNDDGKFLLAAKRFRRPTCTDYIISLNSDDVSRGSSSYIGKLRSNFLGTKFTVYDAQPTNPGAQVTRTRSTRLLSLKQVSPRVPSGNYPVSHISYELNVLGSRGPRRMQCVMDAIPASAVEPAGTAPTQTELVHTNLDMFPSFSFFRSKSVRSESLPQPAQKDGLLVLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVAAPENGPAGPEHENVILQFGKVGKDVFTMDFQYPISAFQAFTICLSSFDTKIACE >A07p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10339942:10341761:-1 gene:A07p016910.1_BraROA transcript:A07p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGPDLCVPLIVFSLSLSLLSLLTRGMEEEFAMLRQLIGQLQELLHNSSPPPPSPPSSSSSSPSFVVLHNPQHQNRCCCLPLFDDTSSSDVSCDTLMAAGKRPRYLKMLDTAKRSRKQKNQVKSCTEAGDGIMDQELWQEFPQDLFESVLSRLPVATLFQFRSVCRKWNALIDSDSFSKSCTDLPQTIPWFYTITHENVNSGQVYDPSSKKWRHPVIPALPKKSIVLPMASAGGLVCFLDIGHRNFYVSNPLTKSFRELPARSFKVWSRVAVGMTLNGNSTSDGYKVLWVGCEGEYEVYDSLRNVWTKRGTIPSNVKLPVLLNFKSQPVAINCTLYFMLTDPEGILSYDMVSGRWKQYIIPCPPHLSDHTLAECGERLMLVGLVSKNAATCVCVWELQKMTLLWKEVDRMPNVWCLEFYGKHVRMNCLGNKGCLMLLSLRSRQMNRLITYNAVTREWAKVPGCTVPRGRKRLWIACGTAFQPSPTARA >A10p007780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10991045:10991545:1 gene:A10p007780.1_BraROA transcript:A10p007780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAETINTTVSHPQPESEDSTTLSATADQTSDETSKAADLKKEESVAETKPGSISLRIWPPTQKTRDAVLNRLIETLSTESILSKRYGTLNSDEASAVAKSIEEEAYGVASSAVSDDDDGIKILEVYSKEISKRMLETVKDRSAATAGNGNNEAVEDATDAAKE >A09p017590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9110824:9111078:1 gene:A09p017590.1_BraROA transcript:A09p017590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLWNTRLSFTIVVIVIFAGLHFSSGDRKLPSTTAMEEFQERFRRLRFHSERMPPTVSPGEKYKQIYGVSIKKIPGGPNPLHNK >A03g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3471647:3474535:1 gene:A03g501140.1_BraROA transcript:A03g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLEEVVWTSWKSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVIWKSSELPKSLLKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p024480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15838676:15843894:1 gene:A10p024480.1_BraROA transcript:A10p024480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVRLTAAILVYLLPLTVLSYGFPAALKLERAVPANHEMEISQLKARDRARHGRLLQSLGGVIDFPVDGTFDPFVVGLYYTKLRLGSPPRDFYVQIDTGSDVLWVSCASCNGCPQTSGLQIQLNYFDPGSSVTATPISCSDQRCSWGIQSSDSGCSVQNNLCAYTFQYGDGSGTSGFYVSDVLQFDMIVGSSLVPNSTAQVVFGCSTSQTGDLVKSDRAVDGIFGFGQQGMSVISQLASQGVAPRVFSHCLKGENGGGGILVLGEIVAPNLVFTPLVPSQPHYNVNLLSISVNGQALPINPSVFSTSNGQGTIIDTGTTLAYLSEEAYVPLVEAITKAVSQSVRPVVSKGNQCYVIASSVAEVFPPVSLNFAGGASMFLNPQDYLIQQNNVGGTSVWCIGFQRIQNQGITILGDLVLKDKIFVYDLVGQRIGWANYDCSMSVNVSATSSSGRSEYVNAGQFSDNAAPQKPSLNMVGKTLMLLLMCYLWSLESNYLPTGEATQKKCLTSLFLIQAMADERDGGRLSDASDYSSEDEGTEDYRRGGYHAVRVGDTFKNGSYVIQSKLGWGHFSTVWLAWDTLKSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDAEDKKCVVKLLDHFKHAGPNGQHVCMVFEYLGDNLLSVIKYSDYRGVPLNMVKELCFHILVGLDYLHRELSIIHTDIKPENILLCSTINPEADARRTGAPLVLPTAKDKAVAEKEKPKSYTYSADMTKNQKKKIRKKANKKVVVEEEGSEESERVSNSEAKPNGNSTVEGSEGSSERAKEAENVGEKSRGNRRGSRATRQKLLADVDRKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGENYERDEDHLALMMELLGVMPRKIALGGRYSRDYFNRQGELRHIRRLRFWPLSKVLMEKYEFSLEDAVAMQDFITPILEFAPEKRPTAAQCLTHPWLNPVPRSLKSLPSPQKPKEEDSTDENKAKEKDEREAMEVGVGNIAIDGSEEKVSARAGRQSARDLRT >A01g510990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30751891:30753203:1 gene:A01g510990.1_BraROA transcript:A01g510990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKNTEVLIVLAHFDWSVYYPKRLVESTLCLCLLRLSLIDALTSAVYSSDHHNPEVIWAQRSDKVYLTVALPDAKDISVKCEPQGLFTFSALGAQGKLFEFSLELYGKVVPEERYKLEPYIKVDWNKWCDEDEEVNSETASDDESAFVDEDCESSDDDGLLKFQQP >A09p009310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4803248:4803853:1 gene:A09p009310.1_BraROA transcript:A09p009310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTKGKQKIEMKKVESYKARRTTFYKRKAGILKKMNEIIAECGVEASFLVFPESGYPRTFAHPSMEDAVDRVKCSLGHEPSGKDDASIGSLVEAHKKLKNEELAKKLCDLHEELKMAEEKEKKMVEKKKIKELKNEWPNTLNEGVNKDELKRVHQAFVELSYSLSGKALQRLGKDGDGSSSALAERGYCDGGEAGAGEQT >A03p017280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6949649:6953543:-1 gene:A03p017280.1_BraROA transcript:A03p017280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLHILLPLYIKKLQTSKYIEPFLHHIPLPTLSFFLNYRERILLQISLSPRMVVAMDQRTNVNGDAGARKEEGFDPSAQPPFKIGDIRAAIPKHCWVKSPLRSMSYVARDICAVAALAIAAVYFDSWFLCPLYWVAQGTLFWAIFVLGHDCGHGSFSDIPLLNSVVGHILHSFILVPYHGWRISHRTHHQNHGHVENDESWVPLPERLYKNLPHSTRMLRYTVPLPMLAYPIYLWYRSPGKEGSHFNPYSGLFAPSERKLIATSTTCWSIMLAILICLSFLVGPVTVLKVYGVPYIIFVMWLDAVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTIDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLVDATKAAKHVLGRYYREPKTSGAIPIHLVESLVASIKKDHYVSDTGDIVFYETDPDLYVYASVKSKIN >A01p041500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22299542:22301573:-1 gene:A01p041500.1_BraROA transcript:A01p041500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAEFEMGLFLVLQFMFMLSLCSSEELLPEISSPDTSPQPFLPFIAPSPMVPFINTTIPKLSGLCSLNFTASEGLIQTTSHNCWTVFAPLLANVMCCPQLDATLTITLGKASKETGLLALNRTQSKHCVSDLEKILVGKGASSKLRSICSLYSSNLTASSCPVINVDEFESAVDTSKLLLACEKVDPVKECCEQACQNAILDAATNITLKASEPLTDNSVRINDCKNVVQRWLATKLEPSQAKETLRGLANCKINRVCPLVFPDMRDISGNCSNELSNQMGCCGAMESYVSHLQKQALITNLQALDCATSLGTKLQKLNITKNVFSVCHISLKDFSLQESGCLLPSLPSDAIFDQDTGISFTCDLNDNIPAPWPSSSSSSASTCKKPVTIPALPAAASSQPGLYNEGVTRLVIFVLSLVLVILLS >A03p002260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1055834:1058786:-1 gene:A03p002260.1_BraROA transcript:A03p002260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDPSLWKKTKEKLTGSDDGEATAIEKMKMKTKTRLGLRLAMAVSAFRGARLPFLHQSQLPVARGSSKKMIGGIKSKSFVISAQYSQTQDLFTSRLQSRIENLPKLVEDIVQTSINTGPRGALRLVQGVQAFVGVGGEWLNDISKLTRVSGGLPTEMQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQNCFDKAPPVPFEEIRKILQEELGRPIESVYEYVDPTPLASASIAQVHGARLRGSQEDVVIKILKPGIEDFLVADLNFIYVVARIFEFLSPEFSRTSLVGIVKDIRESMLEEVDFNKEAQNIESFKRYLESMGLSGQASAPRVYKHCSSRRVLTMERLYGVPLTDLDSIRSLVSSPENSLITALNVWFGSLLSCESFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWEAMEVFLASIATEEYESMASALIQMGATNRDVDAKAFARDLEKMFSSMQASNLYSFKIWKVNLFLQELDTEIVVATARRPNSDRTAVAANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPNLNMLQDQRISVVSNRRMNRYKDSFN >A07g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15841793:15842079:1 gene:A07g506520.1_BraROA transcript:A07g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMIKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAHSPSVVF >A09g518170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55497785:55498742:-1 gene:A09g518170.1_BraROA transcript:A09g518170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVSGLWVPLFKSALGLLIFCLLCFASTQSGDTDKAKKIREAAIFTISFVACDSPFGNQLLWSIFKALSTFCAYQTLSFSSKAFRALIYIESLEVIAPSFFRSLYFLISVTKMTYSTAPAASAAVPYSTFNSLRLGRNINKNGEFMGITILLLDELDSVIYGFIPAISKQNRKTE >A09p007610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3963993:3964322:-1 gene:A09p007610.1_BraROA transcript:A09p007610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSPFILGKRVRADEDTPSGFWAVPARQEFGQVWSFAAGATQEMLLQQQQQQAAGLFVHQQQQQQQAAMGEASAARVGNYLPGHLNLLASLSSGAPGSGRGEDDDQR >A05p009600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4015148:4016043:-1 gene:A05p009600.1_BraROA transcript:A05p009600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQQRASTVHEDRYHRRKDELVKRDMLDPPSYLRRRRTQDIRGKALSFWCFGLETLLGARFIHTVIGKMKTNSDSATRKSESVLFGVETNEEVAAIVQTRNRVQKQSITSVILPSGAHTLPKDGNTTPLTLIKRWESGGSCDCGDLDIGCKLRVLSNDHRTKSQTFSSFQLFDHQEKTEPAFKMVTRDNELHSAEFGSTVFILEAFFISLAVRNYQNWCEEEEEVVLKRQTSNKYASNPPVSPIGR >A06p047870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25363587:25365819:1 gene:A06p047870.1_BraROA transcript:A06p047870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLRTNFIRRPYRFSALKPVGPPTVTASTAAVPDILSFGQQEPEPPLHHPKPNEAHHDIDLSDQARLFSSMPTSALLRSTAVLHAAAIGPMVDVGSWIMSSKLMDTALTRGMVLGLVKSTFYDHFCAGEDAAAAAERVRSVYEASGLKGMLVYGVEHADDAATCDENMQHFLRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEYKSQNFKLSWKLRSFPVFSDSSPLYHTNSEPEPLTAEEERELEAAHVRIQEICRKCQESNVPLLVDAEDTILQPAIDYMAYSSAIIFNTDKDRPIVYNTIQAYLRDAGERLHLAVQEAEKEGVPMGFKLVRGAYMSSEARLADSLGHKSPIHDTIQNTHACYNDCMTFLMEKASNGSGFGVVLATHNADSGGLASKKASELNIDKKNGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPYGPVETAIPYLLRRAYENRGMMATGANDRQLMRMELKRRLIAGIA >A03p038350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16005518:16011810:1 gene:A03p038350.1_BraROA transcript:A03p038350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTASDLPAMYSLLANSMSGDESVRRPAEAALSQSESRPGFCSCLMEVIASKDLVSHVDVRLMASVYFKNSINRHWKSRRNASSGISNEEKIHLRQKLLSHLREENYKIAEMLAVLISKIARFDYPREWPDLFSVLAQQLHSADVLASHRIFMILFRTLKELSTKRLTADQRTFAEISSQLFDFSWHLWQTDVQTILRGFSTMAQSYSSISAEQHHDELFLTCQRWFLCLKIVRQLTISGFQSDAKSIQEIKPVKEVSPLLLNAVQSFLPYYSSFQNRDTKFWEFVKKACVKLMKVLAAIQSRHPYSFGDKCVLPVVVDFCLNKITDPKQASLPFEEFFIQCMVMVKSVLECKEYKPSLTGRVMEENGVTFEQRKKNASNAVSGIVSSLLPNERIVLLCNILVRRYFVLTASDLEEWYQNPESFHHEQDMIQWSEKLRPCAEALYMVLFENYNQLLGPIVVSILQEAMNNCPPSVTEITPALLLKDAAYAATAYVYYELSNYLNFRDWFNGALSLELSNDHPNRRIIHRKVAMILGHWVSEIKDDTKRAVYCSLITLLQDNDLAVKLAASRSLCLHVEDANFSEQYFIDLLPICWESCFKMVEEVQEFDSKVQILNLISVLLGHVSEVIPYAQKLVQFFQKVWEESYGESLLQIQLLVALRSFVIALAYQSPICYSILLPILQKGIDINSPDALNLLEDSMALWETTLSYAPMMVPQLLACFPYMVDIIEKSFDHLQVAVSIMESYIILDGGEFLNMHASSVAKILDLIIGNVNDKGLLSILPVIDILVQCFPAEVPPLINSCLQKLVIICLSGGDDRDPSKTAVKTSSAAILARILVVNTTYLAQLTSNSSLSVLLQQAGVTIEDSVLFCLTDIWLDKVDNASPMQKKTFGLALSIILTLRMPQVLDKLDQILSTCTSVILGGDRDLTEDESSGDMSSSRSQGEGTPPSKELRKSQIKVSDPIYQMSLEKSVRENLQTCSTLHGDAFNSAISRIHPSELAQVKQALKLP >A02p035510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19393826:19394290:1 gene:A02p035510.1_BraROA transcript:A02p035510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRITCGPVLITRLREGTELTGTSEGGELSRDNMRNAGRAFVMLCGECRVQLMLVGFCQRRPGILKTHCFGLVAGDKCHDIAGHFSYAGSGCHRMRA >A05p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2559835:2566188:1 gene:A05p006410.1_BraROA transcript:A05p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFPPSPSPNLLQRLFSSSNKRSSSSPTAALLSGDFHLRRFSSGNAARAAKGEKEPWWKESMNKVRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWKDYKVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRVAFINKLDRMGADPWKVLSQARAKLRHHSAAVQMPIGLEENFKGLIDLVHVKALFFHGSSGENVVAGDIPADMEELVAEKRRELIETVSEVDDVLAEKFLNDEPVTAAELEEAIRRATIAQKFVPVFMGSAFKNKGVQPLLDGVVSYLPCPTEVNNYALDQDNEEAKVTLTGSPDGPLVALAFKLEEGRFGQLTYLRIYEGVIKKGDFIINVNTKKRIKVPRLVRMHSNDMEDIQEAHAGEIVAVFGIDCASGHTFTDGSVKYTMTSMSVPEPVMSLAVQPVSKDSGGQFSKALQRFQKEDPTFRVGLDPDSNQTIISGMGELHLDIYVERMRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGAGQYGRVTGYVEPLPSDSKEKFEFENMIVGQAIPSGFIPAIEKGFKEAANSGSLIGHPVENIRIVLTDGASHAVDSSELAFKMAAIYAFRLCYTAAKPVILEPVMLVELKVPTEFQGTVAGDLNKRKGIIVGNDQEGDDSVIQAHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSAVSNDVQTQLVNAHNATKPTDYSTLFIRFNIIDHTMHHHPYNRKPITVFSFFFLIYHIPQTIEARNPSQFTIKPSRHNVNIPEIKRHLHRFGYLQRNNNNVSFEQALSRYQKNLGLPITGKPDSDTLSHVRLPRCGFPDDVDSKTPPFHTEQKYVYFPGRPRWTRDDNIPLQLTYAFSQENLTPYLTPTQIRRVFKLAFAKWASVIPVSFVETEDYDIADIKIGFFAGDHGDGEPFDGVLGVLAHTFSPENGRLHLDKAETWAVDFHEEKSTVAVDLESVAVHEIGHVLGLGHSSAKDAAMYPTLKPRSKKVDLNVDDVVGVQSLYGTNPNFNLSGLLASETSTNLAADGKLVRSEGVIYSTLSTLLVLGFFNL >A07g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7643524:7645246:1 gene:A07g503890.1_BraROA transcript:A07g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESFSPPDATLSSFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRKFCAYQTLSFSSNAFRALIYIESFELLQPLQPPLPPFPTPPSTLSAFEGPLSPLLVGSSDSRIPGTLTRMESLWASQYSSLMNWGQISLEEEPLATMLPLCFNPTAGFCHRPPLSLKREEKWSAAFWKMVTWSLQNEDGTRDEEDEEKCYLEYPNVEDVDN >A09p021220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11364794:11369524:-1 gene:A09p021220.1_BraROA transcript:A09p021220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGENKNRFFRIITMPLKVLGKARDLYMRSITGCAARTHYSSAGAVSVPFPRSRSTSSAFSSTTSSRRMSSDFTFDDDYSELVRAASVRSLGHKNEIDMIRHQQLQQRQENLMKRFTKLRNSMENSRNNNGEVRVSMEKWVRLEGMFSLPSLPERVVFYVEGPSPGINLLIQSVTIHLESEPEIVPQSGKAFAAATERTQNWNGIQQEITGKIERKLCKQQTRSGYSVKRKFLLNSSASRVVIYIQGPPRGTDILLNSLTVKHAEKIPLSPRPPLENPAFGVNILTNIQLTDGTTNGWFPLGNCTLSVAEGSPRILPPMARDSLGPHEPLSGRYMLVTNRTQTWMGPAQMITDKLKLFLTYQISVWVKLGSSNNSPQNVNVALGIDSQWVNGGQVEIKDDKWHEIGGSFRVEKQPSKALVYIQGPSSGVDLMVAGLQIFPVDRLARIKHLRRQSDKIRRSDVILKFSGVDASKLSGATVKIRQTRNSFPVGTCISRSSIDNEDFVDFFLKNFNWAVFGNELKWYWTEPEQGKLNYQDADDMLNLCSSNNIETRGHCIFWEVQATVQQWIQNMNQNDLNTAVQNRLTGLLNRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRVNMFKTAHQLDPSATLFVNDYHIEDGCDPKSCPEKYIEHILDLQEKGAPVGGIGIQGHIDSPVGPIVCSALDRLGILGLPIWFTELDVSSINEHIRGDDLEVGSFWTSCEGDVNEAGKRLLAVKKDWLSHANGHIDQNGAFAFRGYHGNYAVEVITSSSQKVLKTFVVEKGDSAQVITVDLQDIKPFCLIREDALNFRVSYLLTSDFLWNIRRIEELLPEMALQIKCIRPRQYIMEDMYIWQPLQSGVYYVNSGTFGGGHIRQTQSFSLFYFQEGNTFRGGPFASRYLEELNLSKMWGCENRNTYLLQLSVFRRGWNLIQRSMAVPIAASIRLRIRYRPGKTIDLSASSWSHL >A02p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5206118:5208217:-1 gene:A02p011930.1_BraROA transcript:A02p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAILSSLLLLILIAASATAEDIGFDESNPIRMVSDGLREAEESIVQILGQSRHALSFARFTHRYGKRYEKAEEIKLRFSIFKESLDLIRSTNKKGLSYKLGLNQFADMTWEEFQMSKLGAAQNCSATLKGSHKLTEEALPETKDWREDGIVSPVKDQGKCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKSNGGLDTEEAYPYTGKDGTCKYSSENVGVQVLDSVNITLGAEDELKHAVGLVRPVSIAFQVIHSFRLYDGGVYTDKDCKSSPTDVNHAVLAVGYGIEGGVPYWLIKNSWGAGWGDKGYFKMEMGKNMCGIATCASYPVVA >A01p052450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29454268:29454747:1 gene:A01p052450.1_BraROA transcript:A01p052450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILVNSAANSLRFSPGTSLPHPKPTRSHNGTARFPTGANSFRASAQTLNAEPAVTESVRRRASSLYELLKVNETASLPEIKTAYRSLAKVYHPDASESDGRDFMEIHKAYATLADPTTRAIYDSTLGARRRRVQVGAMGRAGRAYSTTRRWETDQCW >A05p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7643632:7646441:1 gene:A05p017080.1_BraROA transcript:A05p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANNPINMNSMSSSLQRTGQWVFSQDIPTDVVVEVGEANFSLHKFMLVAKSNYIRKLIMESKDSDVTRIDLSDIPGGPEMFEKAAKFCYGVNFEITVQNVAALHCAAEFLQMTDKYCDNNLAGRTQDFLSQVALSSLSGAVVVLKSCEILLPISRDLGIVRRCVDVVGAKACNEAMFPCRIPPNWWTEELCILDVDFFTDVVASMKQRGLKPSSLASAIIIYTERSLRDLVRDHSGRGVKFSDPENNDSEERSQQRDLVESIVSLLPSDKGLFPINFLCSLLRCAVFLEASLASKNELEKRISVVLEHVTVDDLLIPSFTYDGERLLDLDSVRRIISTFVEKEKNVGVFNGGDFNKGLCSASLQRVARTVDSYLAEIATYGELTISKFNAIANLVPKSARKSDDDLYRAIDIFLKAHHNLDEIEREKVCSSMDPLKLSYEARLHASQNKRLPVNIVLHTLYYDQLKLRSGVEDKEGAVVVLPEAVATRGQVKADASLAKENEALRSELMKMKMYVSDLQKSGGAAGASSSNAPPSNKKSSKSTFFSSVSKKLGKLNPFRHGSKDTSNIDEDLAGVDITKPRRRRFSIS >A01p055850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31673448:31677997:-1 gene:A01p055850.1_BraROA transcript:A01p055850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGGARVSIPAKTRKTIQTIKEITAGNYSEEEIHAMLLECNMNPDEATQRLLLQDPFLEVKKKRDKRKENLSNKDSVEPQWRSGGQGRGGRGGRVNFSSRHSSHDGAGSKNSFRKENGPKQVTDPSASTSQETKTKDNAVSSHSAVMDKTSDVHHPSNRPARSGQGKATGSSVPSNSIESSKNRVALGVNEQKSAGSLPLPRPSSSEVRFTPKSVGEQTVHEQHMGESKFHNRARGVVKTAVNDAYVPRPASSHSNSTGSRPSSNYSNRSHQTVAQRGKEWKPKPVNHNTTQGSVASATAETLVIPTEASEKSAEDVVPSAEGTSRLERQLEDLQIQRQHVIIPNHILVPEAERTKFSFGSFDAGFSITSSSVAFPETEKISVPLSQNSPEVEESFEEEDLSHPTVISTEKEEDNNVYSESPSQVPNDMAGEGITATDAAAPEYDVSKQENMLESEGNQNSFDHVPSNITGLAPPAPGSQLPQFENADPQARDALRIPNFVVQQPFDTASYYAQFYRPGPDSDGRVSPFAASKFNGNVTGLPPHSSQTMQEGGNNLVMSTASPTPLATQAAGLMQSSLPVTQQPVPVFRPPGLHMSHYPPNYMPYGHYFSPFYPPLPTMHPFLSNGAFPQQPQASGVYHTPPPPGAAAPGGKYTLPHYKPGTNAGNLAHVGMPGGYGPSYGSFPAGYNPSSAASAGNSNSNEDLSTLQLKENNGYNTTGQQSEALPVWIAGPGRDVPSSFYGLQHHGQHVTYAPAQAGHVAFPGMYHPGQAVTAPGGVHHPLLQQSQGGGVAGGEMVAPGPNVFQQPQQAQMNWPSNY >SC307g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000152.1:2632:16038:-1 gene:SC307g500010.1_BraROA transcript:SC307g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIRDLWEIRVFLISLFKRKSTVRISVPTDDSSLRTSFAEERIEISDESSKQVVTQRPNVRPARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARRYVATERSSRSVATDRAIPRRYGTASPKLGRYVASDRPAGRYVVTELSQARSLRSDRAIVPRSRYVATELSQARRYVATERSSRSRPSSRPKLGRYVATGAPLPLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNWQKSKSVNRPWSYCDSIRFSRLRVARTRNLADSSRAQAYLVQRTRRLPEVPLKLSYRNLELRHFRRVRILDSRQHSLGFGPSPLKLHQLPGKTTGLRATFADHPIDQRYELRTTETRSQSEPILSRLGDELVSLGKKDDRQHKPALPPEKLEPEPEKWPHPSRRRNPSYHLGRKTCVKKMNDKSSLLIKVWVRNVASTTFISRIIYPPARVTTLTGWGANCWGQKRFFLTNKLRGRKNRDIRRKLETGRYAATERLGRYVALGRYVATELAARSLRSDRAIVPLGRYVATELEPSSVATDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPMRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPYIRVLWETRVFLVSLFKRKSTVRISVPTEQIQEDLKDLTSDRAETLRIILEGLGINMNQGGRGTTSVPDHQTSNLDHEGGNETRPQNREETSREESSGSHDQA >A08p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18057014:18059822:-1 gene:A08p029100.1_BraROA transcript:A08p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISQFFVVSQRGDNIVFRDYRAEVPKGSTETFFRKVKFWKEDGNAEAPPIFNVDGVNYFHVKVVGLYFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTSSTEVLKSYVFNEPILIAPARLQPIDPAAIFTQGSKRMPGTAVTKSVVANDPGGRRREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLNIGRGGASVYDYRSSSGSGVILDDCNFHESVRLDSFDSDRTLSLVPPDGEFPVMNYRMTQEFKPPFHVNTLIEEAGRLKAEVIIKIRAEFPSDVVANTITIQMPLPNYTSRASFELELGAAGQKTDFKETSKMLEWSNITKEAGPVSMTFTIPMYNVSKLQVKYLQIAKNSSSQNPYRWVRYVTQANSYVARI >A06p019060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9244869:9246243:-1 gene:A06p019060.1_BraROA transcript:A06p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGESEKKASGVSNVGAWAMNVTSSVGIIMANKQLMSSSGFAFTFATTLTGFHFALTALVGLVSKATGLSASKHVPLWELLWFSLVANISIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWVLHNKHYSREVKASVLVVVVGVGICTVTDVKVNAKGFICASTAVFSTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLIFGPFVDYFLTGRFISTYKMSYGAILCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLLFDSEMTVKNVAGMIIAVVGMVIYSWAVELEKQRKPKVTQHGKNSMTEDELRLLKEGIEHMNLEDMELGGNKS >A01p039810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14123620:14129028:1 gene:A01p039810.1_BraROA transcript:A01p039810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAIGVSLSVSSRIRRGGGGELDVERVLVTASPTTLKLNQTRRVVAGRFLRMKKRFHGTVAITKAEVDDGEEKRTSRNMRVGLICGGPSAERGISLNSVRSVLDHIQGNGISVSCYYIDPNLNAYAISSAQVYSNTPADFDFKLESLAQAFASLSELAEHLVSAVDIVFPVIHGRFGEDGGIQELLESHNIPFVGTGSVDCRRAFDKYEASLALKEHGFMSVPNYLVQFTQGTEVDESEIAQWFTDNQLDLEVGKVVVKPANSGSSIGVKVAFGVKDSIKKATELILEGIDKRVVVEAFIENAYEFTAIVLDVGSASDCHPVVLLPSEVELKFHGSGDTKENAIFDYRRKYLPTQQVTYHTPPRFPIHVIKSIREEASLLFKKLSLRDFARFDGWYLTSTSNLASSASQTLTRSGDIIFTDINLISGMEQTSLFFQQASKVGFSHSNILRTIIYRASSRYPKLSWYNYDESSQGSTTMETPGDVQKVFVLFGGDTSERQVSVISGTNIWMNLQRFGDLKVTPCLLSSSLSNSMGPFPDKTESDLDNREVWLLPYSVVLRHTVEEVLAACMEAIDPDRARFTSLLQQQVKEEIMDALKNQSWFAGFDITDEVPMRFSLKDWVKLAKESEAIVFLSAHGGIGEDGTMQALLEDEEVPYTGSGVHASRICMDKAMASKALSHLSEFGVQTISNEVKRTDDIIHESIPAIWDELITKFKCLTLCVKPARDGCSTGVARLCSSEDLAVYVQALRDCLPRIQANSFSKTHGMIEMPNPTPELLIFEPFIETDEVIVSSKSSEKLSWKGSRRWVEITVGVIGKHGSMRSLSPSLTVKESGDILSLEEKFQGGTGINLTPPPTTIMSKEALERCKRGIELIAKTLGLEGFSRIDAFVHVETGDGTNKDVMQVMAIEVNTVPGMTPSTVLIQQALAEQPPMYPPQFFRTLLNLAAQRVK >A08p007170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4152733:4152984:-1 gene:A08p007170.1_BraROA transcript:A08p007170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLIVLFTILLVHMSLIISGVDCRALRTEQMNGCDQMAAVDGGGFSFFSAAGAANSSRIPLMRSLAFRLASGPSKRGRGH >A05g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6476916:6479696:-1 gene:A05g501920.1_BraROA transcript:A05g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLKGVIPTSLSNCSRLVELVLTSNNLENGLPSELGSLSSLESLFLSKNNLSGRFSTSLGNLTSLKQLSIAYNNMEGEVPKTIGRLSQLINLQISMNNLSGFFPPEIYNLSSVRYLSIGANHFSGSLRPDFGYMLATLRELQMPMNSFSGDLPKTISNISTLQLLEVSQNHFTGSIPVSFGTLQNIQYLGLSQNSFGGNSLGGDLEFLKSLVNCTKLQMLDVGYNRLGGELPIHVANLSKDITKIYMGGNLISGSIPHEIGNLINLQAFAMERNLLTGGIPASLGKISGLIFLALSSNRMSGEIPSDLGNITRLEKLHLFQNSFEGSIPPSLGNCRSLLYLWIGYNRLNGTIPQEIMQLESLVQLFVNINQLTGPFPKDVARLKQVVQLSVADNRLSGNIPETIGSCLYMENLYLGGNAFDGAIPDIRNLRGLTLFNLSNNNFSGNIPEYLANFSSLESLDLSGNNFQGAVPTKGVLQHPEKFSVSGNKNLCGGIPELKLKPCPRNVVVSRTTRRHSSNKKKIFISVGVSVGVVASLLLLALNRMTMAQALPELVSLRERFLRTNMRKM >A01p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6342828:6344449:-1 gene:A01p012930.1_BraROA transcript:A01p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLFIIFFLTILPLSVLSATVLEDLANLTPPPDFTNTITQNCIRNPLLRYCNNTSSSSPMDIVEIFRSTIVASHLCNESKNPNCVESFPKIRIHSRPKTAALYLSFDFFWKYCPLTVVEIDLVNNSLNSEFPTNVLSCAQIRTLDLSYNQLSGFVPVQNLTGLANLTRLNLSYNRFSEDNKISDSEFFKRFNASSFVHSGVLPDAKRYKVKVLVLLIVFPITVILLCFCLGWMCLKRPDYLPRTCRRSHKFTSAMIDAATDEFSDQKLVSKSGGVDIYRGTLRDGTEAKIEVYTEKVSKEKRREFAEECEAVFKLRHKNLVRVLGWCNGRSLRALVTEWTYGESLETWLNSSLACSWRRRLRVVMGVVDGLFYVSEHWPEVTLGLSTSSVLLSDKDQEPLISQFKIGDGNNSSTNIFNFGLFLLEMITNLRPDEAQEDSDRRYLEYIRVHYPDNLERVIDEKMKVEERTLEKVKQGITLGLMCTDKPPLKQPSLTQIYDLVVSLYESSSRHH >A06p057360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29842951:29847135:-1 gene:A06p057360.1_BraROA transcript:A06p057360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLTFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHNSQPLFVSGGDDYKIKVWNHKTHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTVSPADDLMRFTQMNSDLFGGVDAIVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAVHPEINLLAAGHDNGMIVFKLERERPAFAVSGDSLFYAKDRFLRFYEYSTQKDAQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDSGSYELYIIPKDSVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSSLPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSNDMENVALLSKHTIIIASKKLLLQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAITINATEYIFKLALLRKRYDHVMSMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERVRFNLALESGNISVAVASATEINEKEQWYRLGVEALRQGNAGIVEFAYQQTKNFERLSFLYLITGKLDKLSKLMKIAEVKNNVMGQFHNALYLGDVKERVKILENAGHLPLAYITASVHGLTDTAERLATELGDNVPSLPEGKTPSLLMPPSPVMCGGDWPLLRVMKGIFEGGLESAARGGAVDEDEEDVGGDWGEGLDMVDVDGMENRDIEAILAEAEGAEEDNDEEGGWGELEGLDLPPELDTPKASANARSSVFVTPTQGMPVSHIWSQKSSLAAEQAAAGSFDTAMRLLNRQLGIKNFAPLKSMFIDLFSGSHSYLRAFSSSPVVPLAIERGWSESNSPNVRGPPALVYDFSQQEEKLKSGYKATTSGKLTEALRLFLSILHTIPLVVVESRSEVDEVKELVIIVKEYVLGLKMELKRRETKDDPVRQQELAAYFTHCNLQLPHLRLALFSAMGVCYKSKNLATAYNFAKRFLETNPVESQAKTARQIVQAAERNMTDTTELNYDFRNPFVICGSTYVPIYRGQKDVSCPYCTARFVPSQEGNICGVCDLAVIGADASGLICSQSQVR >A02p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17289257:17290656:-1 gene:A02p032860.1_BraROA transcript:A02p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKVVVVGGTGYLGQHLLQAFAEDRYDVAFTHHSCPLPGLLLDAFPHFPSFHVDLKTGLGFHSISHHFGQRLSGLKSTICFQPDVVVNCAALSVPRVCEQDPDSALSINVPSSLVNWLSSSFQTKHKTLLIHLSTDQVYEGVKSFYKEEDETLAVNVYGKSKVAAELLIRDKCQNFVILRSSIIFGPQTLSPLPKILPVQWMDSVLQKGDTVDFFHDEYRCPIYVTDLVIIILRLIDRWVLSDDKQMRLVLNAGGPDRLSRVQMAEVVAQVRGHDLSLIKHVSASSVDRGVVSPADISMDITKLIQTLDISPTSFKDGVRLTLQSESRSHILS >A04p025850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15641239:15643670:1 gene:A04p025850.1_BraROA transcript:A04p025850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase PINOID 2 [Source:Projected from Arabidopsis thaliana (AT2G26700) UniProtKB/Swiss-Prot;Acc:Q64FQ2] MINSTDKTVFIPKTLQMANSTKSYKDHESDYETSTAGPDSSRRTSWLSSSFTASPSCSSSISHLSNHHDLNTYNHQSKPHKANQIAWEAMARLRRRCGRAVGLEHFRLLKRLGSGDIGSVYLCQIRGSPEVAFYAMKVVDKEAVAVKKKLGRAEMEKKILGMLDHPFCPTLYAAFEASHYSFLVMEYCPGGDLYTARLRQQSKRFGISSTRFYAAETLVALEYLHMMGIVYRDLKPENVLIRGDGHVMLSDFDLSFKCNVVPQLLNHNDYDRQVHDYDDDDDDFSICSTPSCTTTPLHPVISCFSPASSRRRRRKNVITTTIHETAACTSGSVKSNDVSRTFSRQPSSCSRVSSGLRDLSGGCPSIFAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTFGVFMYEMIFGTTPFKGDNNEKTLVNILKAPLTFPKVVVNSQKEYDNMVSAQDLITKLLVKNPKKRLGSLKGSIEIKRHEFFEGVNWALIRSIKPPWVPKEETNHKIKSDNRSVNYFLPPRFMMTRKERDEPYHVSNHFDYF >A03p042040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17579214:17583444:1 gene:A03p042040.1_BraROA transcript:A03p042040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFIDKKKYVVVYRQHMTHAPTRIVSFRRSDTLLGRFSYQDGKPEMMVLDDVNLNVRDNILLLDAGLVGHCHGLFCLYFEDMTFGVWNPSLRELRRVQTRHVSNWAEIGFGYDHSSQDYKIVLVLDMRGSHSKALVLTSGESRMIDVPCLENTVVLIRMRLPGTLVGENIYWQVCDDKVKVTDKVLSFDLVSETFNYCPGPSNCGKAFPQVIEGLRGGESIGRESKLVAYNLEEKSLTNVETSLSLYTYGSTLLTYVETLVPIPGSFIERRAFFQEEEEDLVNAHCKQVEDTINIINLVLVLSFNASIIPFESYQEKNLLVEGHQPGNQLDVYISRQNTIVSQKAAGIPTAEPSCSFPVVSLVKLITQTKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLKNVPRRFKTGLREGTEAKPRNKAASALHDKSRLVLLNLWLVLMFLPLVVTLLLVKALHWLAQKRVEYIETTPSVSKLSHVRIVSVMSFHSSCGYSLYVQFYMPLGSIAVGLGKGLYCGTVKSEFVENC >A03p068880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30176985:30183034:1 gene:A03p068880.1_BraROA transcript:A03p068880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGTFLRYPDDIYPLLKMKRAIEKAEKQIPPEPHWAFCYSMLHKVSRSFSLVIQQLGTELRNAVCVFYLVLRALDTVGGTKEYKVLMDQLHHVSAAFLELEKGYQEAIEEITKRMGAGMAKFICQEVETVDDYDEYCHYVAGLVGLGLSKLFLASGSEVLTPDWEHISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRKIWGKYAGKLEDLKYEKNSSNAVQCLNEMVTNALTHIEDCLKYMAALRDPSIFRFCAIPQIMAIGTLALCYNNVQVFRGVVKLRRGLTAKVIDRTKTMADVYGAFYDFSCMLKTKVDKNEPNASKTLKQLEDVQQLCRDNGVLHKRKSYVNDKGQSNNLYIVMLVILLAIVFAYLRANGVVSDEHLSTILRHPDEIYPLLKLKLAITKAQKQIPLDPHLTSQLGTKLRDAVLVSLPLSLEDDTSIPTEIKVPILIAFHRHIYDRLIAKVFDHTKTMDDVCGAFYDFSCMLETKVDKKDPNAMKTLNRLETIKKVCREYGGDIHQRKNKRISKGRKGGKKKIVDPFSKKDWYDIKAPSIFKQRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQGDEDHSYRKIRLRAEDVQGRNVLTQFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDSYTLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMSEIMVKEASSCDLKELVAKFIPESIGRDIEKATQNIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTAEDVGVKVDRPEETVAEEPTEIIGA >A02p023100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11072339:11074251:-1 gene:A02p023100.1_BraROA transcript:A02p023100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRAVTALLLRHNQKLNSPKPSSPRLWKHQATGFRRSQASCLLDLRCFSAFPSPISIYNNDSDSGSSDVYQNYDFGTKEEEDKGKIPVKAYFLSTSIDLKGMQADNLCYVVPPTSRSTNSIALKFSDSSSGFPSLDERGSVSSCRFMVVFQYGSAVLFNVDDNDVESYLDIVRRHASGLLTEIRKDDYAVKEKPLLTEEMRGGPDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDKLVEEFAGINRGMEKTGTFTMHRKKLFQLVGKANSNLADVILRVGLFDRSEIAWREARYAQIYEYLREEYEVTKRFGNLDFKLKFVEHNIHFLQEVIQNRKSDLLEWCIIFLLTIENVLSIYEIVRESTGVSLL >A08g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17526543:17528070:1 gene:A08g509080.1_BraROA transcript:A08g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKISFHIRYGRTISRLVHRCSQNFRLSDSLLTIWFSDSTSLDPSQYQARIFQIWLLKDYVHNLSDVISELTAVRSTVSDIPQGKDRVMTTIKIDGFWGDSRVVVANSIKPKMVEGVFLSGIKTHAYYHAGFQRPRNTHVPSFLRGYAKVEPLTIAELNEFVIISEPQVHEEAPPNGLILYLRELKYLGLLRVSLLDSISGEMTKLHNVRAYEAGNLIVGTSLSGIAIKKPQASKSLKVMKKLRVVMQMEYLLFQK >A04p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15480505:15483268:-1 gene:A04p025560.1_BraROA transcript:A04p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative protease Do-like 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G65630) UniProtKB/Swiss-Prot;Acc:Q9SHZ1] MYRSVCTMSRFYCNSSGLVPRFLVVCNNSAPKTATLRYVSSSNLRCSSKTSTPAPSGTAKDKITPVVDNFLFSSIFQGWMNGLFQQEKEQPVVNEANNTTPSVTHQEKEESSVDEAKNTTPSVTHEEKEESVVDVAKKTTPSVNHKEKEESSVDEAKKTTPPSAIDLALNSVVKVFTVSSKPRLFQPWQISMQNECSGSGFLISGKKIITNAHVVDNHTSVKVQKHGSATMYKAKVRMIGHECDLAILEVDNDEFWEGMKFLELGDVPKLQEEVHLVGYPCGGDSISVTKGVVSRVELKEYSHSSTELLTIQIDAAINSGNSGGPVFLGNKVAGVAFEGLFWSDGIGYMIPTPVVKHFLDCVEEKHVSFGSMNISYQTMGNAQIRDYFKMSKDMTGILVNEINPLSDAYNFLKKDDVILAIDGVPIGNDSKVPFLNQDTVDFKHLVSMKKPSETALIKVLREGKECEFNVGLKPVQPLVPLHNFDKIRSYYIYGGFLFVPLSQPYIDGSDMCECSSKKMPKKASEQIVIISQILEDDINAGYASFEDLQVKKVNGIEVDNLKHLCQVIEECSTGFLRLDLENEKVLILNNKLARKANSTILKELKIPSAMSDDLQPRQVNRSRLVSPRHSKKNN >A01p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7405534:7408600:-1 gene:A01p015230.1_BraROA transcript:A01p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERSIQEWQGYYINYKLMKKKVNQYGRQLQGGNLERRQVLKDFSKMLDNQIEKVALFMLEQQGLLASRLQRLRESHDALQEEPEISHIAYLKDGYRAVGHDLLKLLFFVEMNAIGVRKILKKFDKRFGYRFTNYYVKTRANHPYSELQQVYKHVGLGAVVGAVSRNLHELQNNQGSYLSIYDQPVLPLQDPVVDSIRAAVDRLTHSTNFLNFMAQHAFIMQQELPSPQDEEEAVEENRRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQLFSSVYFSAWSNKSYFKPLIFSSIVLFIGNLLYALAFDFNSIAVLLIGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTRFKIYKLTFNQNTLPGWVMAVAWLMYLVWLAISFREPVLEPEESHSTSKDSNNSEAVQDGNLESGIKQPLLITSEEIEEQVEDEDDSEEASEESRAPVNSIGAAYRLLTPSVKVLLLIYFMLKYAMEILLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVIGSYISNMFEDRQILLVSEIMVCVGILFSFNVLVPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADVTITVAGYFGRDMLLNVTLLPSLVTCVVSIVATCFTYNSLY >A07g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8027735:8028674:-1 gene:A07g504080.1_BraROA transcript:A07g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFTSWSQEPCCVVDAFAFHSESKPLWMRGWSFVGIVQLWIWDNFGSSDALEQQVRRFLFLGYMSFNGFSALFLRYDFGWQVVPMVFSVAEFAVKGLFSEAGSNFDGLSWFVSGFSCMIIWWSRQSVVLWPISWLDEINFCMETIWHVLIVRFTGGIMLVIVYME >A04p026300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15886538:15888103:1 gene:A04p026300.1_BraROA transcript:A04p026300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIARVRRRGKPELSRFGSSASVSRVVSELSRCFSTENNMADQANNQQAMDIGPPTNICHVAHVTYDRFDGFLGLPSEFEPDVPKKAPSASATVFGVSTESMQLSYDSRGNCVPIILSLLQRRLYDQGGLKVEGIFRITGDNSEEEFVREELNKGVVPEGIDVHCLSGLIKAWFRELPRGILDSLPSEQVMQCESEEDFVKVVRLLPQAEASLLNWAVNLMADIVEYEDVNKMTTRNLALVFAPNMSKMADPLTALMYAVQVMHLLKNLTEKTLRERKNASSQIVPCDDSEVKVSDVVEEYNQEEEDDKGVDDVNKEEEIIKMEDEVKPSNGLDFDKEKKQKT >A07p038250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20362780:20363913:1 gene:A07p038250.1_BraROA transcript:A07p038250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASIKKMDFFHKAKAVRMRNVHEKYLTADEDEETVTQDRNGSDKRARWTVEPVRGSFEVIRLRSCYGNYLTASNERFLLGATGLKVVLSKPSRLNASVEWEPVREGSKIKLKTRHGNLLRANGGLPPWRNSVTHDSPHSSDSFLWDVDIVEILVETAIPAPAVATTPPPHRRPSSPPPHRRPSSPPVSRTSSDTSEENLTESPPKSEGRIIYYHIADEEGHVEDESAVGYALTFKGNSVEQLTQVLREETSMDDVVVCTRNPLNGKLFPLRLQLPPNNGKMHVVLVPSNTS >A07p045950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24881918:24885689:1 gene:A07p045950.1_BraROA transcript:A07p045950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKVLQGGTGVPNVKWYGTEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHQKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSNNQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLKGSLPWQGLKAGNKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQISTPPPRPHGAGVGPSSGLPPAIASAERPSGGEEVRPSGWLLGNPRRSSGHIFNSGSSAKQKAPVSSDPAISKDVVLSSSSFLRATGSSRRATVSSSREPAVPGTDSEPSKPQNVEAGSSSNPKIHGGRSSPIVSSDNNKLSSPSRGNTSVMKNYESNLKGIESLHF >A06p024690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15358807:15359286:1 gene:A06p024690.1_BraROA transcript:A06p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDAYIKMAAANAKVVEASNELVAMMEGHLLDHPSREEVEAGKIIIRELRIKRARFEGGNAAHEMAVLAASNKGLEASRDRDIRKASWTACRTLPENYGGFLKAPKKKWEK >A04p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:583034:584217:1 gene:A04p001170.1_BraROA transcript:A04p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSKQVSIFMFLFCFPLLNASEAKRCYSSSCGNVDVRFPFWLFPKQSSTRGHTGLNILCTDRHNTALKLPDTGLFLVRDIDYEKQLIRLNDPNNRIARRLLSFDASGSPLSPLHLLNHAIFTCPNEDIKSFSPYTPIICLGNSTSSFFATRFDLASSMPSSCQVFKTLLLPVSSLVAVHLNDQDLWLKWDSPSGRKLIYTTLEVNTTLELICLSVMTLLFGITTCVVYVTFSFEWLPTQIRRSLARHATRKPPRGRVRSAIVGAYKK >A03g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26187137:26189421:1 gene:A03g507380.1_BraROA transcript:A03g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTFPDLFEVSILREGEIISKNVVDSGLSLISSVSSMALGSHEVSILVAGFPRKVSTIAIAIGGLVSFFVFGLVLDPISSSVSGVFYGNATPQQVEIPSSLSNHTVQGLYTSTNDIYTSDKNITDKNLTSQSSSGSTDLVSSDISPPDLEKPLMQEEKGDTVSLDTSTTEKTDLGSGEGETNVSKADGGDTPSVSSSSHDASETTSAEETECDLYQGSWFYDPSGPLYTNNSCPVLTQMQNCQGNGRPDKGYENWRWKPSQCDLPRFDAKKFLELMRCKTLAYIGDSVETPENRGNKKMQRWFFNSTSVTIVRIWSSWLVHQFNEKFDYAPEGVIKLNLDVPDERIIEALPSFDVVVLSSGHWFAKQSVYISKGEIVGGQLWWPDKSKPMKVNNVEAFGISVETILNIVRTWSPDHYEGGAWNTGGSCTGKEEPILPGKLVKNGFTEIMHEKQATGFNRAVDGVEDSSKVKLKLMDITEAFGYRHDGHPGPYRSLDPNKITKRGPDGRPPPQDCLHWCMPGPVDIWNEMVLELIRRDFEGKKMRDSSS >A01g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2989578:2990604:1 gene:A01g500810.1_BraROA transcript:A01g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGAGDAFSRRRWLLAATSSSTCISLLSFRSSSRVLCCSRSRYLSIGAPMVSSRPKVSSTPLFYGDSRSVNLCRSSTRRLTLYRPPHRGLRSVSSNRRSVYFILPLSMSLRLEDPHRRSPSISSQAHSQTTTSDVLTGVSGIPHKTRFLDPKLFQFRVMGSPSLISLTTVTANHRASSASLFQQGHDSTFLKLGLQILNLGLTSDGFNGVFTEADLHTTPYFSCAKSLLSNHLPVGSPGSPSPPLASVLVKKRTVLSNTSLLKSVSLPNIKWKCLSISITVLLSCGAVRSGPEDAADFVSTILRSTD >A09g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20113245:20114630:1 gene:A09g506820.1_BraROA transcript:A09g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLQTYKTERNLTHQSEEKKKPQRRLLLFPYLQRHLSLLSSRCDPSRSQIAEVDIQLGGKDFVFQLALCMTSPMEGAGKSVVLNSLIGHPVLMAKPSGLERSSKPFKVVRFQLKNKIHELKKLLMILNSNQKEKSQEERDGLNTAEEEVEAKIASVSVHHPQVDVVVVRGGPT >A04p000820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:409999:411399:-1 gene:A04p000820.1_BraROA transcript:A04p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRNRDRLSTLPEHLLVPIISNLPFEEAVRTSALSKPWKNLYHGTTNVSFKESEFVKRTVSSSEESSNEARAAFVRYVVNWASRFSGDVIQSFELCLSQPFGFEAEIKHLIEFAVSKQVKTLVLDLSELSCDASNQARLAAPFQLPECVYNQTTIESLKLSACGFDPSRLANPCAFKSVCFGYTELGRIMTLLSKSPLLESLTVRNCWNVNLESITGDQNRLRELVFENCVFATEYTLLDLPNIKVFKYIGSFHYFQFLAVNRRMEEAYLAYGAETGDEIGQFFCDVLYDLLSARKLTVCPFLIKAIKDSDDPVRLKAPMETRHLVINTGLVPDEFVGIRLMINSCPELEILTLQMFPSVPVARYTPGFNPMSYWAYQISHKCLKKTLKVVQVRNFTGSMYELILLRYLIRSARVLERVDLYLPVGASESEKSSVRAIISTLGDEGVASSKVLRIRQLTGRINC >A04g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6778504:6779865:1 gene:A04g503080.1_BraROA transcript:A04g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVTVRSSLNRSLTFSPQGSAIMTENDQIIGALNDLVEPFDGAMMECDDHADDLLGQDLMDLEAMGQSSGVAESSRGYKGSDKDTKRVKSGLKGSASLGIQTKKTEFLRRCSPRMRSTNFESMEETE >A02p007690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3242422:3243841:1 gene:A02p007690.1_BraROA transcript:A02p007690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVSGATVSSFFTKTSSASNPSPKLHSSSPLFPQKTVFQGVSLEDSKKSVSEIFAVSDRKIGGLNLSRRFEVKARTAASKTIEVEVDKPLGLTLGQKQGGGVVITGVEGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVARATHICLDCGFIYTLPKPFDEQPDTYVCPQCIAPKKRFARYDVNTGKAIGGGLPPIGVIVGLLAGLGAVGALLVYGLQ >A03p013820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5460820:5462073:1 gene:A03p013820.1_BraROA transcript:A03p013820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGELQHQSPSKDKQPTDTQSAEAAAAIAAAAADAEAAGLWTQIKAEARRDAEAEPALASYLYSTILSHSSLERSISFHLGNKLCSSTLLSTLLYDLFLNTFTSDPSLRNATVADLRAARVRDPACISFSHCLLNYKGFLAIQAHRISHKLWTQTRKPLALALNSRISEVFAVDIHPAAKIGKGILLDHATGVVIGETAVIGNNVSILHHVTLGGTGKACGDRHPKIGDGCLIGAGATILGNVKIGCGAKVGAGSVVLIDIPPRATAVGNPARLVGGKEKPTIHDEECPGESMDHTSFISEWSDYII >A07g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11271072:11273592:-1 gene:A07g505220.1_BraROA transcript:A07g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHLPLCSIPNPKKVLVIGGGGGGVLPEVARHASVEQIDMCEIDKMVVDVSKQFFPNVAIRFEDPRVNLVIGDGVAFLKNAAEGSYDAVIVDSSDPIGPAKELFEKPFFQSVARALRPGGVVCTQAESLWLHMDIIEDIVSNCRDIFKGPHVDFKNPVNPIDDSSSKSNGPLKFYNAEIHSAAFCLPSFAKKLIE >A07p043750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23897724:23902062:-1 gene:A07p043750.1_BraROA transcript:A07p043750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRLRLYLCLILTVWFLCIICSVHVVRAQNRTGASTHPNEARALNSIFAKWKIHAPREWNISGELCSGAAIDDGITIDSRAYNPLIKCDCNFVNSTICRITALKVYAIDVAGTIPEELWTLEYLTNLNLGQNYLTGPLSRAIGNLTRMEWLTFGINALSGPIPKEIGLLRELKSLAVSSNNFSGSIPAEIGSCTKLQQIYIDSSGLSGEIPLSFANLVELQVVWMMDLEVTGRIPDFIGNWTKLVSLRILGTGLSGPIPSSFSNLASLTELRLGDISSGSSSLEFIKTMKSLSILVLRNSNLEGTIPSNIGEYSSLLQVFLGNNKLIGSLPTQKSQSLKNIDVSYNNLSGSLPSWVSLPNLKLNLVANNFTLKGLNKRVLPGLKCMQKNFPCNRGKGIYSDFSINCGGPEIRSVSGALFEKEDEDLGPASFFVSASQRWAASSVGLFAGSSNNIYIATSQSQFVNTLDSELFQSARLSASSLRYYGLGLENGGYTVTIQFAEIQMIGSNTWRGVARRRFDIYVQGKLVEKDFDVRGTAGGSNLRAVQREYKANVSENYLEVHLFWAGKGTCCIPIQGAYGPLVSAVSATPDFTPTVVNIPPSKGKNRTGTIVSV >A10p029040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17876497:17884891:-1 gene:A10p029040.1_BraROA transcript:A10p029040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT5G16715) UniProtKB/Swiss-Prot;Acc:F4KE63] MISLRFQPSTAGVLSAPVGRAGLIKGFGPFCQRVLLTMEEKHVPYDMKMVDLSNKPEWFLKINAEGKVPVVKFDEKWVPDSDVITHALEDKYPEPPLATPPEKASVGSKIFSTFIGFLKSKDPKDGTEQVLLDELSTFNDYLKENGPYINGEKISAADLSLAPKLYHMKIALGHFKNWSVPDSLPFLKSYMENVFSRESFKNTEAQTEDVIAGWRPKTGFSLPTTTTFLSPCSPHRLNTLFFTHRRRRLVSPSCFSKRRFSLCVAAASGSDVFTSPETSKSFDFASEEKIYKWWESQGYFKPSFDKGGGKPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMRGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRVELGRDEFTKRVWEWKEKYGGTITNQIKRLGASCDWSRERFTLDEQLSRAVVEAFVKLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGFLYHIKYRVAGSPDFLTIATTRPETLFGDVAIAVHPEDDRYAKYVGQTAIVPMTYGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNDVAGLFCGLDRFAVREKLWADLEETGLAVKKEPHTSRVPRSQRGGEVIEPLVSKQWFVHMDPLAEKALLAVEKKELTIIPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYVVGKDCEEDYIVAKNAEEALEKAHEKYGKDVEIYQDPDVLDTWFSSSLWPFSTLGWPDVSAEDFKNFYPTNMLETGHDILFFWVARMVMMGIEFTGTVPFTHVYLHGLIRDSEGRKMSKSLGNVIDPLDTIKDFGTDALRFSIALGTAGQDLNLSTEKLTANKAFTNKLWNAGKFVLQSLPSLSDTSAWENLLALKLDKEETLLSLPLPECWAVSKLHILIDSVTTSYEKLFFGDVGRETYEFFWSDFADWYIEASKSRLYGSGGNSDSLVSQAVLLYVFENILKLLHPFMPFVTEDLWQALPYRKEALIVSPWPQNSLPRNVESIKRFENLQALTRAIRNVRAEYSVEPVKRISASVVGSAEVVGYISKEKEVLALLSRLDLNNVHFTNTPPGDANLSVHVVASEGLEAYLPLAAMVDISSEVPRISKRLSKMQTEYDALITRLNSPKFLEKAPEEVVRGVKEKAEEAEEKIKLTKARLDFLKSTSLVSQ >A04p029030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17396301:17397751:-1 gene:A04p029030.1_BraROA transcript:A04p029030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKYDRATEVKAFDEMKLGVKGLVDAGISEIPRIFHHPHLTSTAPTPVLLPSSTMKIPTIDLGGGVFDSTVTRDRVILKIKEAVERYGFFQAINHGIPVEVMDKMKDRVCGFHEQDSDVRKKLYTRDNTKKVTYNSNFDLYSSPSANWRDTLSCFMSPDVPRREDLPEICGEIMLEYSKRVMELGELIFELLSEALGLDPNHLKEMDCTKGLLMLSHYYPPCPEPDLTFGTSQHSDRSFLTVLLQDNIGGLQVLQDGCWVDVPPVPGALLINLGDFLQLLTNDKFLSVEHRVLANRGEPRVSVASFFVHPLPSFRVYGPMKELLSEQNPPKYRDTTVTEYTRHYMARGLDGNSVLHQFKI >A03p053080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22780173:22782829:-1 gene:A03p053080.1_BraROA transcript:A03p053080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKTKREESLLKKRREGLQPTQLPQFDPSSLASAAAAGASSSVEKRLESLPSMVGGVWSEDRSLQLEATTQFRKLLSIERSPPIEEVIEAGVVPRFVEFLKREDYPQLQFEAAWALTNIASGTSDHTKVVIEHGAVPIFVLLLASQSDDVREQAVWALGNVAGDSPRCRDLVLGQGALLPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVRPALPALERLIHSTDEEVLTDACWALSYLSDGTNDKIQSVIEAGVVPRLVELLLHPSPSVLIPALRSIGNIVTGDDTQTQCVISHGALLSLLSLLTHNHKKSIKKEACWTISNITAGNRDQIQAVCEAGVICPLVNLLQNAEFDIKKEAAWAVSNATSGGSPDQIKYMVEQGVVKPLCDLLICPDPRIITVCLEGLENILKVGEAEKVTGNTGDVNFYAQLIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEEDETLPHGDGSAQGFQFGGNDAAAPPGGFNFQ >A09p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:437167:438592:1 gene:A09p000620.1_BraROA transcript:A09p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIPIEMMVQEFKKNHTNSHLFKIDNFSLLTKYEIDCIESSVFDLCGRKWKILVNYDEDEEHVSIFFENQDPLDVELEYQVYVVSQLKAVWYPKANVKWDFSASSKPIAKGITNLMSLDDLKSKGFLIEDCCMFGASLPDQKTERPGTAECFSLIEKPLNNKVTWMMTKFSSFDAEKAHQSNEFIVGNRKWRIEVHPRGHEEAKGESCSVYLVGEGYINNAPKTKTFAKSKLRALDQVNRNHLEATDSYWVDEEYDDTNGFMEFMPLSKLCEPYLVKDKFYVGVEFEVISVAKYV >A06g509000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25629502:25629886:-1 gene:A06g509000.1_BraROA transcript:A06g509000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSIT >A08g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21674360:21680310:-1 gene:A08g510050.1_BraROA transcript:A08g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFSPKGDLVSANQSGVNFQKENILAAIWINFKNFQNFFENQGKSLGNIPFYGRSSKKICVYLGFSSAPSSSSLFSLHISQSFRKKFLILLVMDENLAEVMKGRIEYVNVGYERIRKKCFHCLRLSHEKQKCPLLQGSRNKAKGIASHQYGSDIGTSGVRQHHNNLADKIMPLLAPSIPPGFEPHASLVAPEVFEQMRLYMNCSDPEERIIREAKMKKTLNELSQDPIAQRSCLRLESAPKVAPLTSEGRGRIFDFSRVQSDQILDGAESSSKGTDIHKRQRSKDPTERHQRNEVVETNGLMTSSSHRQDSVFNRTSDQFGTAPANLDDPKVLSKSPTLSGGFTVGVGDVQRGERGSRSKSSHKSKTSWTRRNQNKRRCTMAQDAWSIVGLQPLPSQIQLSLVELLNMYLQKMSDETVPILQRQAIPWILWTIWKNRNMLLYADTQESLIIQIQKAVEEASLWKELNILQPTPTSVNGLNEETKKWDPPLPGYVKCNIHANWRNAKLHSGVSFIVRDQSGNVLHHARDANTFSPNRATAELRCLIWTLQSLKDLGYQDVVIGSDFRELVEAIKKPLEWPLFRMFLQKIDTFCGMFRSVAFELESVSSNQTAREIAKSVLRDGRFQSYLALGGPAWLHQRILRDALLISS >A05g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19833125:19833678:-1 gene:A05g507020.1_BraROA transcript:A05g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNKLPLSFHSSVSGTAFKMTERDRLLPSSAMDSEHQIQRFITDLKRLEEQARDGPFDPNALAKCCRIKMRPCTDVLYGQLHFLSLSQVEKTCLFDSNPCLEAKRNVFLALKIGVE >A05g505830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16571162:16571820:-1 gene:A05g505830.1_BraROA transcript:A05g505830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNFKSIDLSNPDEPDDEPHIKLKLLTRRIPHRKPFFDPISDAPTLAETIHGADLSSWNPNPSQQDFLSKFKSSRVPARQHSISSSHKAFLTTSASCSEQSQLTFTSQADRVPNQPARKGSNSRPDRRQRPSSSRPRFISPSWRSGFYNLQDKGNPNYENMNRTWLFCKD >A06p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26135827:26136722:-1 gene:A06p049460.1_BraROA transcript:A06p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIQHSSFAGQTALKPANDLLRKVGASNGGGRVVMRRTVKSTPQSIWYGPDRPKYLGPFSENTPSYLTGEYPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCTFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGLIEGYRIGGGPLGEGLDPLYPGGAFDPLNLAEDPEAFSELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >A07p045260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24578858:24580382:1 gene:A07p045260.1_BraROA transcript:A07p045260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIMHMPPGFRFHPTEEELVGYYLDRKINSMKSALDVIVEIDLYKMEPWDIQARCTLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNSVIGMRKTLVYYKGRAPNGRKSDWIMHEYRLQNSELAPVQEEGWVVCRAFRKPIPNQRPLGYEPWQNQLYHVDSSNNYSSSATMNSSHHIGASSSSHNLNQMLMSNNHYNGNNVSSSMHQYGNIELPQLDSPSLSPSLGTNKDQNEGFEQEEEKSFNYVDWRTLDSLLETQVTHPQNPNALMSSFETQSYNPAQNFPSMHQNYSHEVETNIRDSLGCFPDS >A09g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22179975:22182058:-1 gene:A09g507670.1_BraROA transcript:A09g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRFDEASTSVQHRDPWPRDDKTPIRTIEPFADPKKAVISKECINRALTDDWDDYDSLFYNAWLGVSIEPTLFINPYFLRKLRIDKDIDEMITQLGLSTMPTHAYDLHVDLVRQFMATVEFTYNTSKTRVAGDGTLTFFARGIRYRISIPELCRIYDRFSLLDLGSQLSFIDLEKESIDGPIGTSFDTPFKQSIDATVVTSIDGSSSKHCERVLSLTVGYLN >A07g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1546149:1547898:-1 gene:A07g500860.1_BraROA transcript:A07g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCMIIAGEWKTSGDGSWNFSIDKHQMSRILTFSPSMTLLELQNNVLDEFFPKTQTRPEASLSYWPPNSKELATGISTPPVMLAHDGSVCFFYRHFEVQKGMNLFVTFNHQSDPVNTSQVAENLFPFTIPDQPITKTHPPPPPPSYSTVILVLVLLHPDFPRHLKSLPSNTTNNPHSTPSKIRRFSLIDETVLCSDDMLEEMFKADSDNIPDSWRTEAEEEEVISPDSPLPPGFEEVQPRGYDHEFWDPLIAKHLGGSDAEQVFAGIDVPKTAPYNVDSNYAHTETSPVVPPTSQKPDPEDPTSHHHSFTHVYPNPMDTSTPQSVPSTTTPHRRYPHGQPATPSAGAQRQTNPHGQSNFTPSGVHKSATPHDQRAGSG >A03p012440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4941284:4942600:1 gene:A03p012440.1_BraROA transcript:A03p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTQRRRRRHSSVCHRHPTSKPTAGLCAACLRERLSTIEALSSDQVPEFRRVRDASAAEEEQGRASRRLLEEEETEDGEEKKTMKEFIDLESKTHQIKKNNVTSVLTRTLKKLSLKLPMSGNNQETSLRRSSCDVDPRLSLEAGGIHFEEARASWDGCLTGKTYPKLVVPLSAVAEEEKDPGGTAQTRDYYLDSRRRRSFDRSTRHGMLKVDELKGELSPEAVGLFHGAKLLVTERELRDSNWYSIEPESVELASKGVGFVAAGEVKKSGKKWPKGWNLWGLIQRKTDAVKKENKTDAMEGPFVESLLKLRRVVKGESNGDVSEKLMRSYSVSARKSCDGMFHGASNAAGFQGGRSSCDGLFHGSVTGVETVRRRRNSCDDGFFHSIEGKADHLLQRDAKLESYSPDNLRNNMVRFYLTPLKSQTTSNSGKSRLIH >A10p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:239226:242681:-1 gene:A10p000430.1_BraROA transcript:A10p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTKFFMMVVFLGTIMLWIMMPTRTYKNKWQPYMRLKFGASTYFGATGTTLFMYMFPMILVACLGCVYLHFKKRKSPHHIDREIKGGVWSALRKPMLVKGPLGIVSVTEIMFLAMFVALLLWSFITYLRNKFATITPQSAAADGQYLWQAKLESAALRIGFIGNICLAFLFLPVARGSSLLPAVGLTSESSIKYHIWLGHMVIAIFTAHGLCYIIYWVSVHEISQMLMWDTKDISNLAGEISLVAGLVMWATTYPAVRRRFFEVFFYTHYLYIVFMLFFVFHVGISYTFISFPGFYIFMVDRFLRFLQSRDNIRLLSARILPSDTIELTFSKNPRLVYSPTSILFVNIPSISRLQWHPFTITSSSNLEAEKLSVVIKSEGKWSTKLYQRLSSSHQIDRLAVSIEGPYGPASTDFFRHEALVMVSGGSGITPFISVIRDMIATSQNQKCKIPKITLICAFKNSSEISMLHLVLPLSGLQTELSSDINIKFEAFITREKEPRSEATTEQIKTLWFKPSLSDQPISAILGPNSWLWLGGILSSSFLIFMIIIGIISRYYIYPIDHNTYKIYSWTSESIIYILVICVSIMATSSAAMFWNKKKYGNNVETKQVQNVELPSTTSSPTSCGYNSMREIESSPQESLVQRTSLHYGERPNLTKLLLGVEGSSVGVLVCGPKKMRQKVAKICSTGLAKNLHFESISFSW >A04g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8347797:8349777:1 gene:A04g503940.1_BraROA transcript:A04g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYQNEVRKEETSKKKRRLSAKKRKCQRRLVFAIITDIELVAIYTWRRRPCFSFSDPFLPVSIFTKVDKAKELDGGGDNKTVVWLEDVGALMVTGLQWRRKKTIMTSRLS >A09p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4608007:4624426:-1 gene:A09p009010.1_BraROA transcript:A09p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARKHSRGMQAVERDDFGYPRGTDSEMEEEAPVRKRSRFSLNGGDRFGVPKEVLSLSNMLRSEREHLVHRLRMELEQVRELRRKVECFSSDRVMLSPYSDLHSCSDGSRRMPTQGKKRRPLRNDKQRSKKGPTSARLDVTTGSTVASLMKECQTLVDRLWSHKLGFPFRIPVDPVLLNIPDYFTVIKHPMDLGTIRSRLRNGEYSSPLDFAADVRLTFSNSMAYNPPGNQYHKMARDLSTYFESRWKTIEKKIPVMEPPVTYLTSSASLESEVPYNVPPPRKNTASVNESKLRVEPAKLVMTDDEKKKLSQDLDALEEFPQNIVDLLKEQIGNDDLSGEVEIEIDIETLSDETLFMVRKLLDDYLKDRKKSQEKSEHCEMEIAHDSGFSNSTLQPSKGDLLIDEDVDIIGGNDPPVSSHPPHKIEKDDACRNNECSSSSSSSRESGSSSSDSDSCSSSRSETDFTKASNPTSTEDKLEPGVDINGEKIVVNDSLNESCQVEHDVREKSTSMAALNVLAEEETAPLERQDSPGKRQRAALLKNRFADTIMKAREKTLTKGEKGDPEKLRIEREKFEKRLREENLRLQAEAKAVEEARRKAKAEAAEKARREREQEREAARQALQKLEKTVEIDEGRRFMEDLEMLRATGAEGDQLPTFMEEMSPKCSPDMLGSFKMEGNSNPLEQLGLYMKMDEDEDDEEDEPHFRQGAVDEQTLDRKERLTLSPHGVEREDQLDNGNKKPASQKAQDNGNQEDEKSINQKEGEEQIENGPVSLHGEEGEDRVGSGSEGREEVVSEKAQDNGNHEDEKLINQNEGTEQLEIVPEQENGVEDKEDEEADVMDKRDEEADVVDMVGKETEVVDMEVQENEVGGMGEQDNGIEDKGDEEAEMYNKDEEAGIVDMEGKETEVVDMVENEIGGMGEQDNGIEDKGDEEVEVVDNKDEEAGIVDMGEEETEGVDMVKEVETEGVDMREQEETEAVDNVEKETEVVVMGKKENEIGGIREEETEVVHKREEETEVVENGEEETEVVDMGDEETEVVQTREEEEVVGKGQEVSESLHKRDEENGEAEGNKMAVVGQWTLCVASPSPRLTPAICSSTSSPTTVNLRAELAAFRPQFRLFSRTSPSRRRLRASTSSAESGIFLPHLVASMAWEGVGVVASARKMIGKTDPLQAEPGTIRGDLAVQTGRNIVHGSDSPENGKREIALWFKEGELCEWDSVLAKWLRE >A07p033930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:18510446:18511765:1 gene:A07p033930.1_BraROA transcript:A07p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSTSVLCLTLSFFLIGAQAKVPVDEQFRVVNEGGYTDYSPIEYNPDVRGFQPFNDNFRLCFYNTTPNAYTLALRIGNRAQESTLRWVWEANRGSPVKENATLTFGEDGNLVLAEADGRMVWQTNTANKGAVGIKILENGNMVIYDKNGKFIWQSFDSPTDTLLVGQSLKLSGRNKLVSRRSPSVNTNGPYSLVMEAKKLVLYYTTNKTPKPIAYYNYEFFSKITQLQSITFKAVEDIFDSTWGLHMEGVDSGSKFNVSTFLSIPKHNATLSFIRLESDGNVRVWSYSTAATATAWDVTYTAFTNDDTDGNDECRIPEHCLNFGLCKKGQCNACPSDKGLLGWDETCKTPSLASCDPKTFHYFKIEGADSFMTKYNGGSSATEKACGDKCTRDCKCLGFFYNRKSSRCWLGYELKTLTRTGDSSLVAYVKAPNANKK >A05p040240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24653174:24655855:-1 gene:A05p040240.1_BraROA transcript:A05p040240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOW protein: PPR containing-like protein [Source:Projected from Arabidopsis thaliana (AT3G18840) UniProtKB/TrEMBL;Acc:A0A178VAZ2] MNSVKHGFLHHSRSIKAGLALTTISSNHLVNIYSRHGLLQEARKVFDEMPERNVYSWNAMISAYVKSNDLKEANKLFRTVNSKRDLVTYNTLLSGFAKTDGCESEAIEMFGEMRRKEEDGNWVDDFTLTIMLKLSAKLTNAFYGEQLHGVMVKTGNDASKFAVSSLVHMYSKCGKFKEVCNVFDGSCVEVIDGVAKNAMVAAYCREGDIDRALSIFWRNPELNNTVSWNTLIWGYAENGFDEEALKMAVSMEESGLKWDEHTTAAVLNVVSSLKSLKIGKEVHGRVVKIGSYSNKFISNGIVDVYCKCGDMKYAESVHLLYGFGDLYSTSSMIVGYSSQGKMVEAKRLFDSFSEKNLVVWSAMFLGYLKVCQPDYVLELAREFIAKENKVTDSSVMVSILGACSLQASIEPGKEIHGHSLRTGILMDKKLVTAFVDMYSKCGNVEYAAKVFDSSFERDTVMYNAMISGYAHHGHDENSFQLFEDMTEAGLKPDEITFIALLSACRHRGLVLEGEKYFKSMTEVCNISPEVGHYTCMIDLYGKTNRLDEAIELMKGIDESEEDAVILGAFLNACNLNKSTELVKEVEEKLLDIDGCNGSRYIHLANSYASSGRWDEMRQIRNRMRGKELGKFYGCSWAYIDNQLHMFRSSDSSHFRTEAIYSMLHFLLHQPEDSEARNLSFLIQSGCIKLPPLMESWKGMLSLNLAHPYKVINQATQYNGVKTTTETTSHTNTEQQRQQKQYKNNGFIKENQNTKRNLRKGNLS >A10p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13626702:13627718:-1 gene:A10p020060.1_BraROA transcript:A10p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEELQHSPGSQSNDTQPSESEAAAEIFAAAADAEAAGLWTQIKAEARHDAEEEPALASYLYSTILSHSSLERSISFHLGNKLCSSTLLSTLLYDLFLNTFTSDPSLRNATVADLRAARVRDPACISFSQCLLNYKGFLAIQAHRVSHKLWTQSRKPLALALHSRVSDVFAVDIHPAARIGKGILLDHATGVVIGETAVIGNNVSILHHVTLGGTGKACGDRHPKIGDGCLIGAGATILGNVKIGAGAKVGAGSVVLIDVPPRATAVGNPARLVGGKEKPTMHDEECPGESMDHTSFISEWSDYII >A06g507130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19684483:19685048:-1 gene:A06g507130.1_BraROA transcript:A06g507130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPGGSISAPVTPPLSSPTARGMNQINNSFFVSSTPPSPTRQQTVPGSEWFAGIQLALSVPASPTFSLVLVSEDQLASAGGGGGSRMWTPGQSGTCSLAITQTEDVPMSEAVAPPPEFAFGSNANGLAKAWEGERGYMKRVVLMISSSLLETQALGVIEKPVPIKPFFNMSLQFYFPM >A04p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21472486:21474821:1 gene:A04p037630.1_BraROA transcript:A04p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNVTDPYCFTLAALFSLTLVLSLAIRYLRKPKGDQLPPGPTRWPIIGNLLQMVKNRPTHLWIHRVMKDMQTEIACFRFAGVHVITVTSSDIAREVLREKDEALADRADSYSSNLISHGYKDIIFSPYGESWKLMKKVMVTKLMSPSTLNKTLGDRTLEADNIVTYVFNLCRLQSTIKLVNVRDVALTYSHAVMMRMMFGQRHFEEPAEDGGLGRKEREHMDAIYQAIDCFFSSNISNYLSFLRGWNIDGEEAKLREAVDIINRCNDPIIHERMHLWRNKNGKETEEDWLDTLITLKDDQGMPLFTLDEIRAQCKNINVATIDNTMNNVEWTIAEMLNHPEIMEKATNELDMIVGKDRLVQESDIPQLNYIKACSRESFRLHPANAFMPPHGAIENTTLAGYFIPKGSQIFVSRLGLGRNPKIWDEPEAFKPERHLYDRARDPMGVTLMEPDMRFVIFSTGRRACAGTKIGASMTIMLLARLLQGFEWTRPPGTSQIELVSAESNLFMAKPLVASVKPRLAPHLYPKMQI >A09p070650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54745803:54746655:1 gene:A09p070650.1_BraROA transcript:A09p070650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCNILIFAALLLSFMITASVARPYSTLQSKDTETEKKCETNECLMKSTLDAHLDYIYTQSHPKLHTAVKEIETLCETEECLMKATSNAHVDYIYTQKSPPQKPIHN >A09p047130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40928741:40933263:1 gene:A09p047130.1_BraROA transcript:A09p047130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAFRAGRCGDAMEQFGDMSIQVGRSRSSVERKALSNPQMTKAMKINEANAGFYALVVTLRNMVLPLGTDHPGFHKRASKFPDEQWDPMFTVSLAQKNHHPQQFQTKGPANVPPDGIRFPKSCVFNTPLYEQ >A08g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8619982:8620394:1 gene:A08g505190.1_BraROA transcript:A08g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQQLHFFELRAGRSRNVKKGGDLMGMDLILLDGKGFLRRLWSLLIQPHQHGGGGN >A01p036610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16324899:16327531:-1 gene:A01p036610.1_BraROA transcript:A01p036610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPTSSDSDEEVMFFKDVSLGPHETQLRFRLIHFWEAPNPVKKTLIGLEMLLIDEQGTVIQGFIPPRRIKKYLPDMKRGSVYKLINFYGLKNKPVYRVADHVATVSFTWNSEMLVLHEIPISFDGDRFRFHSYEDFEANCDLKGDLYDVVGHMKLVDGQTLLACPSLNDVKIATARHIMIHVQSHDGPVMKLYLWDHAATDFCKKFNSCKSIPTVLLVTTVNTKRLGGTLALTSMSSTRVFMDCDVQPTRDYFSWLSSNPEIANQVRADVITKRETLTISDIFSYMTQESAKDAFFECTATIDDVVHGSSWYYIACSECHSKSTKGPSSLICTKKRCGKVNTAGVPQYRAKISVYDKSEQDFFVLLGDAGHELTGMHASELVNSYFEANKSEGSDHVVPVPEALISTIGQTHKFCVKVTYHNFSGNTRAITVTKILPLDTPPPTEASVGNDIAATS >A02p015710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6943355:6945981:-1 gene:A02p015710.1_BraROA transcript:A02p015710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trigger factor-like protein TIG, Chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G55220) UniProtKB/Swiss-Prot;Acc:Q8S9L5] MELCVISSINPFHSSSTRRLGSRRLFQSDSLFLSRDGCSLLRFDGRLKKPVKPLELSCAAKKIGSGGGGVRRSAASTKAPPAVEPSVKEDKLPAELQVKETQAPNSSVKLSVDVPAVVCEDCYQRVLTEFMKMAKVPGFRPGKRVPENIIVGFVGRPYVLRATVESILKRTLPHAMESVTGKALKDSIQIVSSFPEMEKAYSQLKTLSYEVVVDVVPELKWNPENGYKDMKVVVELGDEIDAKKACERQLRQKYKSLGALKIVTDRGLEVGDLAVIDISATTIDEDGSTGEAIPDAESKGFHFDTEEGNRLLPGFLDSILGIKAGESKSFSLVFPESWKQETLRGQRAQFTVDCKELFYRDLPTLDDSLADKLLPGCTTLKEVEETLTKRCQEMEEEAKEQATDNAILEQIRKMVEVEIPQSLFEEQGRQFYGARLLEIQGNMKLTEDQLASLSSQKSVNEFLETQRESITNIIKQNLAVGDIFKRENLEFSTDELVKEVENSVTEFKKHKQEYDEERVKDQVQEILEGAKVLEWLKERAEIQYITR >A07g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21866130:21866504:-1 gene:A07g507920.1_BraROA transcript:A07g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPVIPCVKDEYSILDMHEKYCFQGLSIEKWKLNQQLFQLLDDLSVECRKFGDVLKSKVHIISKDMKDA >A10p038220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21407383:21409601:1 gene:A10p038220.1_BraROA transcript:A10p038220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNENLPPNVIKQLAKELKSLDESPPDGIKVVVNDEDFSQICADIEGPVGTPYENGLFRMKLALSHDFPHSPPKGYFMTKIFHPNVASNGEICVNTLKKDWNPSLGLRHVLSVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHAKPKPKFKTGAISESTTALNVGQPNNETPAAIPSSVADINRVITATEQIANVPVAAAAGSASVATTTQKREAGLAKVQADKKKNMKLRKAKEANQDICAMKTLYLTNQEEYINETGFPGFYTQQEHTANWFYTKRSNGLGDMPFTSQTIYTASELVLFKESNSLLKECATQTHVWKPGDHSLHLRPFGVLIPCTKPHWISQILQHPHLPFLEPICFKSQRLKLPKAPRIFPKLSRYKQLNTFPILAEILSFKPNG >A02p020380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9544281:9546737:1 gene:A02p020380.1_BraROA transcript:A02p020380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSLKDLSRILPRVLVVSRRTLRKNKFVDFVGEYHLDLIVEYGAVPVIVPRVAGVDKLLESFKPIHGVLLCEGEDIDPSFYESEISSLSPEELDEIRKTHASDAAIDKEKDSIEFALAKLCLEQNIPYLGICRGSQVLNVACGGSLYQDLEKEVTTKLPEEHRRKHIDYDDYDGYRHEVKIVENSPLHKWFKDSLDEENMEILVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDMYNPKEGKFLMGLQFHPERMRKNGLEEFDFPGCPGAYQEFAKAVIAYQKKVNSSLSVPKKLELNPEMENKRKILVRSFSLARSMYTRSYSMKNQSTESELDVGAEFLESNTALSMDQEMKLREMGATMRNGGSFTQKLRPDEDKQRKAMNIMKKMNVERLSELIAFYSLMGKISSEVLERKLNGSLDDHSLTSQ >A06p055120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28852590:28858177:-1 gene:A06p055120.1_BraROA transcript:A06p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVRFDKITARLKKLSYGLSVDHCDPVLVSQKVCAGVYKGVTTTQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETVKDMYNHVNERSGLDSPLIADDVFEIIMKNATRLDSEIIYDRDFEYDYFGFKTLEKSYLLKVHGKVVERPQHMLMRVSVGIHKQDIDSAVQTYHLMSQRWFTHASPTLFNSGTPRAQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGESNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLELRKNHGKEEHRARDLFYGLWIPDLFMERVQSDGQWSLFCPNEAPGLADCWGADFERLYTKYENEGKAKKVVQAQQLWYEILTSQVETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKDVPLDSHPSKIVGSLGSKNRYFDFDKLAEVTATVTVNLNKIIDVNHYPMETAKTSNLRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKGIFETIYYHALKSSSEIATKEGTYETYQGSPVSKGILQPDMWNVIPSDRWDWAALRDMISKNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWSPTLKNKIIHENGSVINVPEIPDDLKAIYRTVWEIKQRTVVDMAVDRGCFIDQSQSLNIHMDKPNFAKLTSLHFHTWKKGLKTGMYYLRSRAAADAIKFTVDTAMLKEKLNVTEEDEGTKEEDNETKMAQMVCSLTNPEECLSCGKEMNSLRSLSYSSIEALSHQTPTKLSSLSPLYSYNQRRWLKPVDSAQTRLENRTRDNRLDKLIVHIRKLNIILEITKLMGNKKRGPFVSLQLMSRWKNIVGLNVSVGAFVGKYPHAFEIFTHPCRRNLCCRVSEKLRDLIEEEESVVREFEVDAVRRVKKLLLMSRKGVLNVHALRLMRKELGLPEDFRDSVLAKYSTEFRLVDLETLELVDRDDDDESLCVAKVEEWREVEYREKWLSEFETNYAFPINLPTGFKIEKGFREELRNWQRVPYVKPYERKEISRSVERFEKRVVAVIHELLSLTVEKMVEVERLAHFRKDLGIEVNLREVILKHPGIFYVSTKGSTQTLFLREAYSKGCLIEPNRIYSVRRKMLELVLLEKRHSKELLQTCEEERDVEVRYDEDWEGERDGDWVLPILEK >A09p050660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44467123:44469755:1 gene:A09p050660.1_BraROA transcript:A09p050660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSLLLLLLLTLNFNGELNALNDEGYALLALKQSISRDPDGSLTNWDSENQTPCSWNGVTCDDHSLVVSLSIPKKKLSGHLPSSLGSLSNLRHLNLRSNDLTGTLPLELFRAQRLQSLVLYGNSLSGSIPKEVGDLKLLQSLDLSRNGLDGPIPDSILECKRLRSLGLSQNNLTGSVPSGFGRALGLLQKLDLSYNNFTGLIPDDLGNLSRLQGTLDLSHNSFSGSIPASLGSLPEKVYVDLAHNNLSGPIPQTGALVNRGPTAFLGNPRLCGPPLKDPCLPEDSPTSHPFVPQGESKKGGLSKSAVIAIVVCDVIGICIVGFLFSCCYLKLCSRNNTVDEEGHVLEKECKEKKGGSSFCFRRDGSESPSSENLETQHDLVLLDKHMALDLDELLKASAFVLGKGGNGIVYKVVLEDGVTVAVRRLGEGGSQRCKEFQTEVEAIGKLRHPNIVSLKAYYWSVEEKLLIYDYIPNGSLANALHGNPSMVSFKPLAWDVRLKIMRGIARGLLYLHEFSPKKYVHGSLKLSNILLGQDMEPHISDFGLMHLSSIAGTLETNTNDHPPSNKSASSIGPLANLSSFYQAPEAMKATVKPSQKWDVYSFGVILLEMITGRLPIVFVGKMEMEIVKWIQMCIDEKKEMSDILDPYLVPEDTEIEEEVIAVLKVAMACVSISPEKRPAMKHVADALNQIFLQ >A10p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11884811:11887078:-1 gene:A10p011320.1_BraROA transcript:A10p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQPLADLMLSIDAVIGAISAGNTVVLKASELAPASSSLLAKLLEQYLDPCAVRVVEGAVTETTLLLEQKWNKIFYTGSSRIGRIIMTAAVKHLTPVSLELGGKSLVVIDSDTNLDVKKYSPFPSGITAYNIRQMGLQQWTGVHFSRLYPDDKRICSKSGKLKNLRYILDAPLCLDSLFSLLKIDALKQELEAFYGNKSRESKDMSRIVNLNQFDRLSKMLEEKEVSDKIIYGGQKNRDNLNISPTILLDVPLDSLIMSEEIFGPLLPILMFTLPTLPFGGVGESGIGSYSFDAFSHKKSVLFKSFLGDSVIRYPPYSRKMLRLLKALVNSNLVDTFKVLLDLS >A09p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25258264:25259439:1 gene:A09p037630.1_BraROA transcript:A09p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFLLAIFLVGALALQDTRSREEERLTFIDKRLEVVYPVIQGFKSLITLDPFKVTQTWIGSEICSYRGFHCDNPPDNKTAVTVASIDFNGFQLFAPSIEGFIDQLPDLALFHANSNNFGGTVPSKIVNLKYLYELDISNNKFTGQFPTAVVGMPGLSFLDIRFNSFSGSIPPQIFGQNLDVLFINDNTFTASLPEIPGDSHILFLTLADNKFNGPLPRSILRSMTTLTEKVEQLNFAGNLLFGAIPDAVCMFLRENLVNFSLSDNYFTHVGPWCKILVERGVLDVRNNCIPFFPGQRSIEECAEFFVKPNYYCPHAWFHSFLPCRYSHISSSSASDFMPMVAPSP >A05p049190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28690946:28692347:1 gene:A05p049190.1_BraROA transcript:A05p049190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) UniProtKB/TrEMBL;Acc:A0A1I9LQV7] MMKRSSSLLIFTVLSLQLISAVGWELSIPSTTTARSSLRVREGSRFKIAIFADLHFGEDSWTDWGPRQDANSVNVMSKVLDAETPDFVVYLGDVVTANNIAIQNASLFWDKAISPTRDRNIPWTSLFGNHDDASFVWPLDWFSSSGIPPIICPSVSNSSSWSSDDGCGFRGTTRVELIQEELKAANALSYSTIGPKELWPSVSNYVIPVESSDDSKPAVALMYFLDSGGGSYPEVISNAQVEWFKTKSNTLNPDLSIPELIFWHIPSKEYKKVAPRLWITRPCVGSINKERVDAQEAENGMMRVLEKRSSVKAVFVGHNHGLDWCCPYKDKLWLCFARHTGYGGYGNWPRGSRILEITEVPFRIKSWIRMEDGTVHSEVNLTSD >A10g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19748684:19748991:1 gene:A10g506740.1_BraROA transcript:A10g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKDRSGHIDSMHKVTLEVKGETQMMNLSEKLKAGGISHKLWMEQSENIPTCIATKPYPKSQVSTFFKKLKLCK >A02p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1950295:1951293:-1 gene:A02p004490.1_BraROA transcript:A02p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIICELSDDLLVKILSLIENMKEVVGTSLVSKRWRSLWKFVPRLDASSSDLINNFLMLSKAPVLETLHLILDENSYEPEENERWVSIAAARQVRDLELLRYGSRRNSLLSCPRSLFTCKGLVVLRLQQVAICDITSTVFLLTPKTLSLVCVRFVSGDELKNCGMFRECVPQWDLKHKWVLKFNGRNLVVFLNIWCLVSKVLSGLGTRGTVSEKEAVIHILDKSKHLKKMTLYRKITNLREKYRTLIDSKSMMSCGSTCRLEFVHSTIPLPYK >A02p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8761263:8765745:-1 gene:A02p018960.1_BraROA transcript:A02p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPVAVGEQTLSPSGYCCSYMSLVPLSQICQIFIVMGCNGSRLGGAAAARTDEGGVVPLPAGIRPLLRRRLEEMKKRSHASVLKGNQTLSKKELLRHGSSEVDDGEEMEEKHDSLKLSAKVAPVPDHHVEEKKEVIYEKISSRDGVKEVKKEEEIVKKQDEDNHHHGVVDDVAMNFNKEGDNGSNHNEHDKVINDKKHEDDSVDHDEGRMSNFDERMICPGSPSFRVYCIDVTSDDDEEEEKDAEDRRKSMESESVLIESKEDESIVKKEKRERKGKRFGIALPRKYLANVTAGCMGNHTHARLMQEKSSQ >A10p017220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2560945:2562067:1 gene:A10p017220.1_BraROA transcript:A10p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLTMPILLPTSSFKTTATVIIAGVFSLAAAVSFTVPSVSHVMASCFLIFYDNTVFLVKPPYLYLVTNCIIVSIVATSKLTQKASARIDDPEFSDVVTPETFEPVPSDIDTGYLNVAHVVSYAGVEENDAPVEDVSEVNSDDNVIVDSQQDKHAETEKPKPSSASPTDEPKPSSGSPEPEEPKPSSGSPEPEEPKPKSDSPAVSNVKPLRKPPRFSQQRSLKNIEEAGGGGSKKSKGSRREDTLETTWKKITEERSTPLKKHLTKSDTWQERSHVQKKEKMTKSDNLHEAEETLKLKREPSPGQEELNRRVEAFIKKFNEEMRLQRLESLAKYNEMVNGGTRL >A10g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:678454:679277:1 gene:A10g500200.1_BraROA transcript:A10g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFEKPQEEEDHIEDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDLDSLVLINECLDLICETRKLDELRIEKLATDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTFEAL >A08g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:836218:837292:-1 gene:A08g500350.1_BraROA transcript:A08g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKSPVCNTCGEETGVESNGEFFVACHDCSFPICKACLEYEFKEENVLDDVETKTSKHQSTVATHISNTPQDSGIHARHISTVSTIDSELNDEYRNPIWKNRVDSWKDKKSKKKKKHAKATKAEDPDAQVPPQQHMEDISLNAEAASATDVLSVVIPIPRTKITSYRIVIIMRLTILALFFHYRITHPVDSAYVLLLTSVICEIWFAFSWVLDQFPKWSPINRT >A03p069570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:30571022:30571492:1 gene:A03p069570.1_BraROA transcript:A03p069570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKDIVTRRAVAATIKLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVKITAYKDNSFEFTVKSPSVSWYIKKAAGVDKGSTRPGHLTVTTLSVRHVYEIAKVKQTDPFCQYMPLESICKSIIGTANSMGIKIVQDLE >A02p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5742069:5744995:1 gene:A02p013080.1_BraROA transcript:A02p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKSQQQKRKKKRSSAAPSGGGAAAAASDGNKKDVERESEKVEKQNIESLMEAFCSVSVEEATAAYREAGGDLNRAADVLSNLVDDDPSTISVASGSSGQETGSTSEYGAGSSSSCGEDLTRERWFKGGKQNRVVAATGMVSSVIAKDYLKPNPVRKKEFPLAERSSYEVCGNGKKAGDREKAEQFLSSMLGDDCELSMAVVRDVLCQCGYDVDMALNVLLDMSSSSPDDSLSGRCSGIGLSDSQLAESSFDIDTSESEPSFWGGYSPRDYSKALMSSADPFATSQGSSADPFATSYGSSDSEPCDPQKVLESLFNIPRSPKHEPKAMNWRNVAKKMQSLGCIDGSSSSGEGYQPNTLGSELLMYVVLPNETLSNFAMVSLGKTSEVLTLKDDGYHELRKGANDQWNVTKSYYQKAAEAYSKGGRAHAAYLSEKGRTASKLAQRADERASKDIFVARNKGIENVITIDLHGQHVKQAMKLLKMHLLLGSYVPSIQTLRVITGCGSHGFGKSKVKQSVTNLLEREGVRYCEENKGTLLIKLEGCSREFSFLDTESDSE >A10p006610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9793835:9795126:1 gene:A10p006610.1_BraROA transcript:A10p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPARFAIRSLNDVASRQPWRPLVPRSFSANANEDRSSLDDWMFGGNTGNDEKSSSFFQHLGKAEKDKREYTGLGRSYGNGSRGSVNRDEIFDPSSDGVDGKLKEAALIYNIDDDDEGGGGGGGGGKDGYSFRPDVNSWGANHFPRDLGYRKQMQRPRQNNKAEITTEEVLKKADFRNVRFLAQFITEAGILVKRKQTGISAKAQRKIAREIKTARAFGLMPFTTMGTKAFTFGKTMENRDQDFEYEVVDDDDEYDNPAE >A04p000530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:309207:310257:1 gene:A04p000530.1_BraROA transcript:A04p000530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNVSEDETTMEQHETVDEVLARHRQEIKQLQNKETELKKAAAKGSKAEQKAKKKQVEEDISKLSTKLKEKQLKELASQGFSSTSSSSGNISKDETNEKKGDIDTLVRAIAGVSVTAQPEHSKPSKSVKRREKRAKEEADREQRIKEEQSNVTSDRMVENQKLEKKLKPLGLTVSEIKPDGHCLYRAVESQLANLSGCASPYTYQKLREMAAAYMRDHKTDFIPFFLSETESESESAEERFEKYCREVESTAAWGGQLELGALTHCLRKHIMVFSGSFPDVEMGKEYKSGNGSSLMLSYHRHAFGLGEHYNSVVLVKNMTG >A05g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12479909:12480190:1 gene:A05g504560.1_BraROA transcript:A05g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLKAIQEESTRKGQGGLSVTAEVFEIIPLLNVVELRKSHGDSSLYNQLCERLSNELDT >A08g510250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22527612:22528149:-1 gene:A08g510250.1_BraROA transcript:A08g510250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKVSYVRLTFVTIIMVSLNLLTKSSGVKTQNMLNTMSQICSGTNSNMVVKFVFNMPYFYSILPFEGCTNSIHRLRVVGTALSDQTC >A10g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22119457:22122406:1 gene:A10g507220.1_BraROA transcript:A10g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVIWKSSELPKSLLAKSSELPGSLDDLQLSRHRLVLQLKKKTSRFYYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A05p047510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27963757:27966318:-1 gene:A05p047510.1_BraROA transcript:A05p047510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFLTSSSSSIAAPNKLFRRDWCTLVRDKRRLSPTWCRVGGGGGDGRSIKPERSIMVSSLLKDRGQVLIREQSSPAMDAETLVLSPNENGRYIEVNGVKTLLPPFSGAAKVGSKEGLGIVSFLQGKKFLITGSTGFLAKVLIEKVLRMAPDVGKIYLLIKAKNKEAAIERLKKEVLDSELFNTLRETHGASYMSFMLDKLVPVTGNICDSNIGLQVDSAEEIAKEVDVIINSAANTTFNERYDVALDINTRGPGNLMGFAKKCKKLKLFLQVSTAYVNGQRQGRIMEKPFSMGDCIATENFLEGNKKALDVAKELKLALDAAREGTQDQEEAQKMKDLGLERARSYGWQDTYVFTKAMGEMMINSTRGDVPVVIIRPSVIESTYKDPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPKGVLDVVPADMVVNATLAAIAKHGVVNTDQEPEINVYQIASSAINPLVFEDLAELLYNHYKSTPCMDSKGVPIMVPLMKLFDSVDDFSDHLWKDAQERSGLMNDVSSVDSKMLQKIKFICKKSVEQAKHLATIYEPYTFYGGRFDNSNTQRLLEYMSEAEKNEFGFDVGNINWKDYITNVHIPGLRKHVLKGRA >A08p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22625793:22627650:1 gene:A08p039300.1_BraROA transcript:A08p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWSSSKAGSNLERLIGGITPKPPSFSLSQSSESDLNSLWTQESKDETEYFKLSDLWDCFDELSAYGLGSKVDLNNGESVMQYYVPYLSAIQIYTSKAPAISRNQSEVVDCESECWSDDSETEKFSRSMSSGSSKVWDSVSDDSAYELDGISSPMQDKLGYVEFQYFESAKPNLRVPLTTKVNELAEKYPGLMTLRSVDLSPASWMAIAWYPIYHIPSRMTDQDLTTCFLSYHTLSSALQGDLVEGDNENNKTMEEETLPCDDEPVVTKRLPLAPFGLVTYKLHGGLWGNQESCDQERLIYLGSAAESWMKQLNVHDHHDYTFFSMNKSL >A07p052410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27650866:27651603:-1 gene:A07p052410.1_BraROA transcript:A07p052410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLLVDNKFSHGQDKNLTVRKTSLFFAGDGFTVYDCKGTLVFRVDSYGGPNNRDTDEVVLMDAHGRCLLTLRRKRPSLRRRWEGYLGERTDGQKPIFGVRRSSMIGRNSVTVEVYAEYECNEYLIEGNFGQRSCTVVEAETRRKVAEIRRKVDASTNVMLGKDVFSLNVKAGFDGAFAMGLVLVLDQIYGDDYVEVGEEQVHPYAEDHY >A03p042370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17750036:17753479:-1 gene:A03p042370.1_BraROA transcript:A03p042370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16950) UniProtKB/Swiss-Prot;Acc:A8MS68] MQSAVALPFSQTPLTRPNRVLGSTKSIFSTPRSLQFCGLRREAFCSSPSTHLTLRSDRVRIPSTRFKVSAAATTNGAPPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVSAAGYDRQGVADHANNLATKIRNNLTNSMKALGVDILTGFGSVLGPQKVKYGKDNIITAKNIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVMIELIDAKTKEHKDTLEVDAALIATGRAPFTNGLGLENVNVVTQRGFIPVDERMRVIDGNGKLVPNLYCIGDANGKLMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKGEKEGFKVSVAKTSFKANTKALAENEGEGIAKMIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDLKLAVHAHPTLSEVLDELFKAAKVEGHATTKTGDAKKKLNMNEDGPRGPSDDEKQPSLSKDLIVRSTNLSSFVEDIYARVMSLVSRVFV >A01p005010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2148836:2155568:-1 gene:A01p005010.1_BraROA transcript:A01p005010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASSSVISSFLCHSRSKEPIFSSKVSSLVLTGRRAFGSIRAAQVSSYGNSRRRTQNVEGDIYVDSTCIDCDTCRWMVPEVFTRVDNMSAVIKQPTCKEERLNALQALLSCPTGSIRTETPPTDIGEAQETFPLALDKDKLPGVFHCGFHSKKSFGATSYLILHHEGNILVDSPRYIEKLAGKIEKMGGVRYMFLTHRDDVADHKKWADRFKCTRILHSEDVQPSTTDVELKLEGSGPWRLYEDVELIHTPGHTEGSVCLFHKPLKALFTGDHLTMYESGMNIIEMYNHCSLPLQLESVESLIKLDFNWVIPGHGRRVQFKDGEEKAKKLEALVQKHREKQLLTRHKKRLWFLRLCWSNAASTSTLSSLSQNECSSDALSLVVPDSNYGLMASSIFHDLSSSSTPLLNLQTQQSIFGYKDKVKDFEKTQLRIPVSFRKKGINLQMMASGKTPGLTQEANDCTHEATIDRENNTDVFDDMKQRFLAFKKLKYMDNLEHYKKLADAQAPKFLVIACADSRVCPSAVLGFQPGEAFTVRNIANLVPPYESGPTETKAALQFSVNTLEVENILVIGHSRCGGIQALMGMEEVDSRSFIHNWVIVGKKAKESTKAVASNLHFDHQCQHCEKTSINHSLERLLGYPWIEEKVRKGSLSLHGGYYDFVNCTFEKWTVDYEGSRGSGIAVKNRCVLKISFRNAIPLIERAEWRWLALLEGKKVNRVLETEGILGNQNREIEENRVLDCSENRVLETEGKAWGCLGNLALGLGVEENRVLGIEGMVLDLLGNRVLETEENRV >A04p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2303290:2305005:-1 gene:A04p004590.1_BraROA transcript:A04p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNEVRIHMCKARNFNYYLQTPLGFPPRRGTSHHRGPPPAAKSSIDALPTVKIVQKHLKSSDSHCPVCKEEFELKSEAKQMPCKHVYHSDCIVPWLVQHNTCPVCRKELPSRGSSLSAQSEQNRSTNRRRNLFSSVWPFRSSSSSSTQNRRETNNTANTEEGQYTRYQHHHQHQQQQANMGYSGWPFDY >A03p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1452720:1463157:-1 gene:A03p003370.1_BraROA transcript:A03p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKKKHPKHSKPTLRGFLTAVLATHAAVFLLVLAGLSLSGTAVAFIATMPLFVVFSPVLVPAGITTGLLAMGLAASGGSGGYHRWGCISSLGTRRYNPWRLSRGISRNHAAFCHFQSDSRASNYSHRFSSYGFHGLRLHRCHGYHHLHVALQEEIQNETAQTQSQREGRMFSFLFPVLEVIKVVMASVASVVFLGFGGVTLACSAVALAVSTPLFIIFSPILVPATIATTLLATGLGAGTTLGVTGMGLLMRLIKHPGKEGAASALAAQPSFLSLLEMPNFIKSKMLERLIHIPGVGKKSEGRGESKGKKGKSEHGRGKHEDEGKSKGRKGKSGGKDKKKGKGSRKGSSDDEKSISEEIQKETAQTHSQREGRMFSFLIPLLEFIKVVIASVASVVFLGFAGITLACSAVVFAVSTPLFIIFSPILVPATIATTLLATGLGTFALFGVTAVGLIIWLLKHRMGVKPANNPPPAGAPPTGSPPAAPEAPAAPAAPEAPAAPAAPEAPEAPAAAPPAPPAPAAPPAAPAPPAPAAPPAPAAPPAPPSFLSLFEMPSFIKSKLIEALINIPGFGKKSNDRGKSKGGKKSKGKGKSNGRGKHEGEGKSKSRKSKSRGKDKEKSKGKGIFGRSSREGSSDDESS >A03p046090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19412129:19413365:1 gene:A03p046090.1_BraROA transcript:A03p046090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQRKVNSLGRKVATFPHVETIGRTLFASSFFLSAWHDYMELRSNWEGSEDYWRPKFGYSGDQIKHLMAVSIMVKTLGGLIFICGSFFGAFFLLLHQGIATTIHHGFYNQRIDTEEFGLLYIKFKRILNETIYEGAQNLYNSNFDEQHIKQTISKFRELADHAVTNPALFGRDKFFRRLLRFIKALAVVGALLFFVTMKHKLNNSKKESKVKTD >A02g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20999702:20999951:1 gene:A02g507500.1_BraROA transcript:A02g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLNYVEREHESSQMKLTIEDLLIKTHILTHRLRRRRRTVDIKRKVFFYNYSITYRI >A09g514790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44091264:44092425:-1 gene:A09g514790.1_BraROA transcript:A09g514790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTLDRYQKCNYGAPEPNVPSREALAVELNSQQEYLKLKERYDALQRTQRNLLGEDLGPLSTKELESLERQLDSSLKQIRALRTQFILDQLNDLQSKERMLAETNKTLRLRLADGYQMPLQLNPNPEDHHHVDYGRHQQHEHSHQAFFQPLECEPILQMGYQGQQDHGMGAGPSVNNYMLGWLPYDTNSI >A04p033320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19396479:19396897:1 gene:A04p033320.1_BraROA transcript:A04p033320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQLKPSYKPRREKKTQTSISAYRYISGGMEVIKRLGSIQTDNTDRPIHEVKILRTKVID >A02g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9633385:9642962:1 gene:A02g502900.1_BraROA transcript:A02g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRAIIGFSKPTFYQVLLCIISLLYYLPCASSQEELGWCGELFQCGNITAGFPFWGGKRPDHCGHTWLELHCNNNNSTSLIISDQEYSVLDVNQTSYSLTLARTDLLGPFCSAMFNTTTLPPDIVELSPTFKNLTVSYLCDPYPSSNFTCHDKGIVIGTVSQDSRQSYYCNDSFIVNVPMSFFPEEREFNLNQLERVLREGFEVKVEIDEITCQECSSSGGICSFNGTTQVCCKRNSPSGVFCEPKRQPTAELHDRCSTPFICGYHSGLLYPFWIPGREECGHPDFKLTCIGGLAEVNIASVKFRILEANYTTRIIRLARSDLNGHLCPQNPSHAPLDQTFSLFSPDTELLTLYYGCGDFTFLTPAYHPAYIRDLGCDHDDEIVGSYFVTRNLSSHVLDGVRDLLRVLGVWCANVSVPASGPALKILQKFQTSDNLKKVVQEGVELGVDQECYMCIDSGGACGYNQTTRGFVCYCNNGPHSYICSSGKRNHGLSVLVAGVLVLLLILIPILRKRKASHDNRKQNLNTLIPLKHYSYAQVKRITNSFAEVVGEGGFGTVYRGTLSDGSMVAVKVLKDTKCNGEDFINEVVSISRTSHVNIVSLLGFCSEGSKRAIVYELLENGSLDRFISTNNSMGMDWMAMHGIALGVARGLEYLHYGCKTRIVHFDIKPQNVLLDEDLCPKVSDFGLAKLCKKKESTMSLLDMRGTIGYIAPEMISRVYGSVSHKSDVYSYGMLVLEMIGARNKTSAENYASDTSSMYFPEWIYKDLEKGDDERLVGNGISNDEEEIAKKMTLVGLWCIQSSPSDRPPMNRVVEMMEGNLDSLEVPPKPVWQIPTVPLPETSWISEESSSITN >A09g514140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42605153:42608467:-1 gene:A09g514140.1_BraROA transcript:A09g514140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIMARPDELYGKLKGLIHEVLDREKAMGLDVAFIKHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWTPKDEPKTYYGGEAPRKLQLHQAYLEELQNPQLKQDLRNMIDQSLTDVMEKPQQTSAPRPASRDYELCCYQKEPVEKKEETAEKQGVREQAFESEPTTLCEADNLDNHLKQEDRTSVICGHVPGQNQSEEGVLNGSPKAHELAVTTVVKGGDIIESFSCDLLTTPPEWINIRLVEYLRDVKGLQQVVFEPGGSFSNQLRSTKQKLVVVKKMPKLENEYGDHYTRPPDPMQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRQHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNRHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSSLVGPGTRWIEPKKELFEKGLYRPEKWKDKPAWFMIGPI >A10p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22364366:22366100:1 gene:A10p040660.1_BraROA transcript:A10p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRSDTTVEISGLRFTYPGIDGHPPPGSKPLIEDFSLKLNSSERCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTGLTSSGDLCYLGGEWRRDVAFAGFEVPIQMDISAEKMIFGVAGIDPKRRAELIKVLDIDISWRLHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLAFLRKECQERGATIIYATHIFDGLEDWPTHIVYVANGKLQLAMPMEKVKETSKKSLMRTVESWLRKERDEERKTRKERKANGLPEFETRAEESRVTGDPARMLNNGWAAGRLHSTIAGAEDHFVLSSNRVLR >A02p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6816966:6817337:1 gene:A02p015330.1_BraROA transcript:A02p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKFTGYDVGFRLSLMLLYGRYRQLDLHGLSSAILSSSIENISFHLMNKCRTSLEFSFTILFVTVNVWMFDSRFSYFNHAPKIHVLCVFLLY >A03p008800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3528720:3535183:-1 gene:A03p008800.1_BraROA transcript:A03p008800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFVFVVDRLKELIKCNRYQVAPAELEALLLAHPEIADAAKSLSKLNIDFAQPKQQVYSKLLRPSFVTVRAMSESQTALKNQPQSSASSGKKQALISSSDKNASTLENAGVSVTSKVETLTHFPEMASFILYLLQLDGRVKSLHPNIHGGILAGRDVDHHMEALNEHGIEKCPFLQCLHFSIILNGHRGRVETMNSSTRLLSLLSPPPLFLLRALRLSNLRRLHRLASPHPSVISPNLRSLSLPVSPPPFIHTTPLGRFHTHRVRLSASDSAPSYHHQLPEWGELLQSLSKAGYFSDSALTSESQKEFFPEFPDELIPPSLACLALARDRPELLAMVSRRDVEVVVENGKPFLFREGPDSLKRMSLYLTSGDILDVDKASTVDLMRLLLSCVVDFASSEGRKHHEGENVKSSVRNLLREIAKMSFRTPESNVHGTRQHQFSGGNGQGLGSFQKNNEMKRGDWICSRCSGMNFARNVKCFQCDEARPKRQLTGSEWECPQCDFYNYGRNIACLRCDCKRPGDVSLNSANSAKDPELERRLVENEEKAQRWFSKVAQGGSDANSVDTDEDFPEIMPLRKGVNRYVVNTRKTPLERRLSNAAETDGTKTNRNLNEILGSTSSFASRSDDKNASSQIVNSGFVPFVPLPSDMFAKKPDKEESLTANNQMAGVSEDKSSASLVGKETDEPERDEKESEEKPARWLKRITELHSVSDLQEETSPEKMPMRKGENQFVVSRKKDRSLTSPANKRRISVETKDSDFVPFVPFPPDYFAKHKQPKETTTTTTDSIPVPVQEETGEPSRNNPEPMAGKMRNGKSLEGSLVKEPDLLDMSEEAKAERWFKRVAEIKNISELSQIPDEDFPSIMPMRKGVNRFVISKRKTPLERRLASQRQQINPPPITDHDPARRGDTT >A04p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21638736:21646107:-1 gene:A04p037990.1_BraROA transcript:A04p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQKPSFRFEIDNYSEKPSIQIQSKTFVAGGCEWYLNAFPKGGYLAVHDHFSLFLQVANRTMLPTGWKRKVSFYFTLLNQSDKELFRSNIVRCQVLDVKGPSWGFEKLCPLSKLQENGVLEKDRLIIEVYINLIEAVDGESGDVSGKEETMDINGFKVLASQVTLVRKIFAEHPDIALGLKSKNQVLKTAYMNVLIGLINTLNKPSHNHSETELTKAGSELSELEEVGFKLDWLKSKLEEVILERKNEDVYGNKVIQLEERVKNLEQMENKMKRKIVECFLERKKAKDDGSRVQTLEERVYYLEAVDSSSRLDCLTLKSKSGGVSLEKMKKVDDADASRVQQLEENLKNLEEIVSDLKVKLDEGKEKTSCDGFLLVGDDEDMEKLSEKAFSWEIDNFFERNGVIRSDPFTSGGCEWLLCVHPKGKLVDDHLSLFLHAVNPVSLLPGWRRRASYHFVLLNQSGKVLSTTVEELRFFCAEAEGRGSHRMLPLTKLQEEGFLEDNKLTIEVYIKVVKVVAEGNLTGNEMVDFRGFHVLNRQAVSVSNIFVLHPDVAVDIRSGIKEVKTAYMNILLGLVETLDKAPQSLSDTELTNAESELSELEEAGFKLDWLKPKLEEFSLKRKQATSSPRVSPFECIDFLSLPRRKYQTIN >A04p007750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8689276:8690762:-1 gene:A04p007750.1_BraROA transcript:A04p007750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein-sorting-associated protein 37 homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G53120) UniProtKB/Swiss-Prot;Acc:Q9SCP9] MFNFWGSKEQQGQSRPPPEVSSSQQQQQQQQPWYSPSLVSSPSSSSRPQTSGQIPAHVSPGEAAGIITFLKDKSVDELRKLLSDKDAYQQFLLSLDQVKVQNNIKEELRRETLQLARENLEKEPQIMELRNQCKIIRTTELAAAQEKLNELERQKEEILRLYSPGSLLNKLQEAMNEVDEESEALQEKFLEKEIDTAAFVQKYKKLRTIYHRRALIHLAAKTSTIG >A09g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22916388:22922521:-1 gene:A09g508040.1_BraROA transcript:A09g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRFGDVSLTEPVADLAHEELEESDSEEELDETNTTIGYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILGLVYTKPISTSEENQVKEALKIFNCSIFNTTYGAASHGLRSSQSSDSEEELDETNTTIGYKELDGSSIGFNSARDPFSFSNGPITRSKTRQLKEAILGLVYTKPISTSEENQVKEALKIFNCSIFNTT >A09g510550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31983983:31985358:-1 gene:A09g510550.1_BraROA transcript:A09g510550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTACLSKTRSRPKAGSSKGVRWAVEPDSIGRSHLDSIRLDGLVVGDDPDLFVCSVYLLWTIYLILSQGVELRMVLVKPRSCEGSVSERLCNVWLDDARDELVIVYETVKKLCIGSHVSKSKRTAGQSSQVAVDGTNLSGLQTDPAVANTGDVLPTDQANLTGTQQEGQGHQESDVELESSNANRDGDQQDKVADGTANVTATLSKEDLLNAMKVMGTQVAAMPQLFTPLVNSSVGQATHVATTTPNTN >A04p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16755675:16756857:-1 gene:A04p027790.1_BraROA transcript:A04p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVSAIFDGKLSILVNNVAVVHTKPTTEYDANDFSFQISTNLESAYHLSQLSHPLLKASGFGSIVMISSVGGVVSMCCGSIYSLAKGALNQLAKNLACEWARDGIRINSVAPNFVNKTTMAKPFFKDADYEKSLLNRTPLGRAGEPNEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYQPKA >A08g510610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24542590:24542981:1 gene:A08g510610.1_BraROA transcript:A08g510610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRWSLRGMTALVTGGTKGIGYAIVEELAGFGARVHTCARDQTLLDECLSEWKEKGFQVTGSVCDVSSRAQRDELMKTVSSLFSGKLNILVS >A10p040020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22126650:22127747:1 gene:A10p040020.1_BraROA transcript:A10p040020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIFCCCGGRRRRSNVPPEATETTPPQPHPPKIPVNQAVSSAATSYYPPGTMSKPYDHPMPPPRDVVDENPVTIRNDTNLKKETLSLEPDPVNPGRLLVAFTFDALVSGRITVVFFAKEVPEFQLTATKADTLQPITFDFEKGLDQKFIQPSGTGVDLSAFEDSELFKEAETDIFPLAINLEAAPEGGKSSRCMQITQVTYVKEEDEIKPSVIKQFISVNGTRYELQDIYGIGDAVDENARKECVICLSEPRDVLVLPCRHMCMCVGCAKELRFQTNLCPVCRQPVERLLKIPLKY >A01p053780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29848283:29849804:-1 gene:A01p053780.1_BraROA transcript:A01p053780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-B1-3 [Source:Projected from Arabidopsis thaliana (AT3G11520) UniProtKB/Swiss-Prot;Acc:Q39069] MATRRVRGDPVENRRALGDIGNIASLPGAEEAGKLNRPLTRNFRAQLLENANKKEVAKNQEGVRAVQRKARAAVVVKPTQPHEVIVISPDTNEVAKAKKNVTYSSVLNARSKALDIDSADKDNDLAAVEYVEDMYSFYKEVENESKPQMYMQTQTEINEKMRSILVDWLVDVHVKFDLSPETLYLTINIIDRFLSLKPVPRRELQLVGVSALLIASKYEEIWPPQVNDLVYVTDNSYQSKQILVMEKTILGNLEWYLTVPTQYVFLARFIKAAVPDPEMESMVHFLAELGLMHYDALKFCPSMLAASAVYAARCFLSKTPAWTETLTFHTGYSEHELMDCSKLLAFIHSRVGESKLRAVFKKYSKAERCAVALVSSPAKSPLLSSSASCSLEKS >A08p041770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23545190:23548936:1 gene:A08p041770.1_BraROA transcript:A08p041770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYTRTVAVSLVVTFLLFISASAERNDGTVRVGLKKLKSDPQIHLSARKSAAIHYGTGAIAGFFSNDAVTVGDLVVKDQEFIEATKEPGLTFVVAKFDGILGLGFQEISVGNAAPVWYNMLKQGLIKEPVFSFWLNRNAEDEEGGELVFGGVDPKHFKGQHTYVPVTQKGYWQFDMGDVLIGGSPTGYCESGCSAIADSGTSLLAGPTTIITMINHAIGASGVASQQCKTVVDQYGQTILDLLLSETQPKKICSQIGLCTFDGKRGVSMGIESVVDKENAKLSNGVGDAGCSACEMAVVWIQSQLRQNMTQERILDYVNELCERIPSPMGESAVDCAQLSTMPTVSLTIGGKVFDLAPHEYILKVGEGAAAQCISGFIALDVAPPRGPLWILGDVFMGKYHTVFDFGKAQVNSNKKNHPCIAESRQIDKGLMILEEMKEWEYCDVSLNIITYNTVGLRKACRFDMCYKEMVQCGMEPDLLSYTAVIDSLGRSGNTKEALIDCLKKPGEFQRALQLSDELKNTSTLGLAGPQDVKRHLTSHTR >A08p009620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7551836:7552908:-1 gene:A08p009620.1_BraROA transcript:A08p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRRRRLLGLCCGPNGYVTPLPFLTAEEMITGIPNPNGVAAYGSGPKEEKTPIEEGTRSQRQRTPSKYIMHFMSDSSAISPDDSGSISLKMEQDLCDSDQPLKRRKRHRRKQVKNQEPCLMRGVYYKNMKWQAAIKVEKRQIHLGTFSSQEEAARLYDRAAFMCGREPNFELSEEDKRDLKQQSWEGFLACTRRKITNKKPKRRMKPEEL >A04p015210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9479335:9479694:-1 gene:A04p015210.1_BraROA transcript:A04p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLNAKKTYDYLCLSTPRVVQILIHLSIPSRIHCTVPQQSIYQTHILHICSGSKILMDCINNRSQCVEIQSVLSDIIALCTDFEYISFMCISRSFNLEADSLAKRSLQDLVNSGSGG >A08p018870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12944172:12950813:1 gene:A08p018870.1_BraROA transcript:A08p018870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tricyclene synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G16740) UniProtKB/Swiss-Prot;Acc:A4FVP2] MKTQSQPLEARRSANYRPALWKHENLLLLGNKYAVYKEDKIERAKLLKQEVSRMLDETEGLLEQLELVDNLQRLGISYHFEREIKKILTNVHVRHVRHRKRVDRKRSEDLYATALMFRLLRQHGLNIAQDVFYCFFGDGLDDEDIKSVLSLYEASYLSTRFDTKLKETIYYTTTRLKKFVEMKNNETTSYVRKMVIRALEMPYHRRVRRLEARWYIDVYGERHDTNPNLLELAKLDFNFVQVIHQDELKSLSSWWSNTGLTKILDFVRDRITESYFSSVGVIYEPEFAYHRQMLTKVFMLITTIDDIYDIYGTLEELQLFTAIVEKWDVNRLEELPKYMKLCFLCLINEINQIGYIILRDKGFNAIPYLKKSWADMCTTFLKEAKWYKRGYKPKLEEYMENGWLSSSVPTILLHLLCLFPDQNLDILVSYHHHVIRNSATILRLANDLATSSKELARGDNVKSVQCHMHETGSPEAESRAYIREMIGVAWEDLNLERKSCWLHQGFVEAAANLGRVAQCIYQYGDGYGSPEKAKTVDHVRSLLVYPVP >A08g510600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24519604:24520853:1 gene:A08g510600.1_BraROA transcript:A08g510600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRFTSTSSSSPIEEQRNRFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSLFGGGGGHPFEGSNSRGRRQRRGEDVVHPLKVSLEDLYLGTTKKLSLSRKALCSKCNGLKGFDVWSFDDLRWMPGIWNEGFRRTMKTLPTLKAVPEVGLALKRKAMTSMKRSD >A06p022510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12231641:12233858:1 gene:A06p022510.1_BraROA transcript:A06p022510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDTRVVVYGSTAPRPISQRQRKLIKESLVGEELKRITEVREVWLSREVKRIGREGWIPREKERRQGANRVSLIPMRNSGKASIMGEATLFLKDVFEQIESLRKEHFFSLCDHIEEELKEETSMFHPRFSDYKSEIEARVNQSKPELNTSPATEYHHHYQQHPELASQCLGLPIFQGAGFQQSAATTSGTAVLVLPTQPDGQTQDTSDLGGRVQTHGTSNGKHTNRNKRGRESILSATEWVRKTEMANSLGCFFPSSPPPTCYLQSPNKSPILTDFFFPISDQSLSSLGQKIPVFHTCKSKSFQIQATDGNQTTKSNSILCPNCEGKGAVACSQCKGGGVNLIDHFNGQFKVGDSCWLCSGKNLILCGECNGAGFLGGFLSTQDQ >A06g504120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12783348:12792858:-1 gene:A06g504120.1_BraROA transcript:A06g504120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFVEPSRYRVAVDMIAWGRKRLLLNKIEEDIQLMLSKGLELKSFLGDVPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTTPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKPAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDVTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHTRGKAMQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRS >A07p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20046805:20048696:-1 gene:A07p037460.1_BraROA transcript:A07p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLSKRIFTFLMLISLVALALNLLANVEAQNKKKPRRDVPIVKGLSWSFYQKACPKVEKIIRKELKKVFKRDIGLAAAILRIHFHDCFVQGCEGSVLLDGSASGPGEQSSIPNLTLRQAAFVVINNLRALVHKQCGQVVSCSDILALAARDSVVLSGGPDYDVPLGRRDSLAFASQNTTLNNLPPPFAKASQLITDFANRNLNITDLVALSGGHTIGIAHCPSFTGRLYPNQDPTMNKFFANSLKRTCPTANSSNTQVNDIRSPDVFDNKYYVDLMNRQGLFTSDQDLFVDKRTRGIVESFAIDQRLFFDHFAVAMIKMGQMSVLTGSQGEIRSNCSARNTGSFMSVLEEGILEEALSMI >A09p069360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54052551:54053197:-1 gene:A09p069360.1_BraROA transcript:A09p069360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL14 [Source:Projected from Arabidopsis thaliana (AT2G25060) UniProtKB/TrEMBL;Acc:A0A178VWH0] MAFFSSLVAIILSFIFLCSLAAANEVTVGGKSGDWKIPPSSSYSFTEWAQKARFKVGDFIVFRYEAGKDSVLQVTQEAYKSCNTTNPLANYTDGETKVKLDRSGPFYFISGVDGHCEKGQKLSLVVVSPRHEAISPAPSPVEFEDGPSVAPAPTSGSARLGGGFAAVLGLVLGLWAWF >A05p013330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5808955:5811222:-1 gene:A05p013330.1_BraROA transcript:A05p013330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNDPSLNSIILWFAAVTSLLTISVIFIFLFMCLLKRRRFVDVLPETEDDHHHRRREPPGQGLSASVIAAFPTFSYKPDNNDPESNNQEIECPVCLGLIPKNVVIKVLPNCKHMFDEECIGRWLESHVTCPVCRRMAEPMASTGDKIKEMGFNDPSLNSIILWFAAVTSPLIISVIFIFLCMCFLKRRRFVDALPETEDDHHHRIETPCQGLSASVIAAFPTFSYKPDNNDTESNNQEIECPVCLRLIPKNVVIKVLPNCKHMFDEDCIGRWLETHVTCPVCRRMAEPMTSTGDKVLESIV >A05p044320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26615267:26617256:-1 gene:A05p044320.1_BraROA transcript:A05p044320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKTVMIPIAHGTEPLEAVAMITVLRRGGADVTVASVEDKVGVDACHNIKMVADTILSDITDSIFDLIVLPSCFADISIDYMIGKKGGLPGGETLKNCKPLENMVKKQDTDGRLNAAICCAPALALGTWGLLEGKTATGYPVFMEKLAATCATASESRVEIDGRIVTSRGPGTTIEFSITLIEQLFGKDKADEVSSGLLVRPNPGEEFTFTELNQTNWSFQDTPQILVPIAEDSEEIEAIALVDILRRAKANVVIAAVGNSLEVVGSRKAKLVADVLLDEVAEKSFDLIVLPGGLNGAPRLASCEKLVNMLKKQAEANKPYGGICASPVYVFEPHGLLKGKKATTHPCVSNKLSDQSHIEHRVVVDGNVITSRAPGTAMEFSLAIVEKFYGREKALQLAKATLV >A07p032180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17709145:17712160:-1 gene:A07p032180.1_BraROA transcript:A07p032180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNTWRDISGCSSVRKLAKSESKGLQAVTVSLSIRSLLFASAFFSSSAICLNRLTYLKKEERVEMAGASGKKEKVDKAGPSGGGKKKKDVKKETGLGLSVKKDENFGEWYSEVCKHEMIEYYDISGCYILRPWSMAIWEIMQTFFDAEIKKMKVKNCYFPLFVSPGVLEKEKDHIEGFAPEVAWVTKSGKSDLEVPIAIRPTSETVMYPYYSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEYLAVPVVKGMKSENEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFEIRYEDEEGKREMVWQNSWAYSTRTIGVMIMTHGDDKGLILPPKVASVQVVVIPVPYKDANTQGIFDACTATVSALSEAGIRAEEDLRDNYSPGWKYSNWEMKGVPLRIEIGPRDLENDQVRTVRRDNGVKEDIPRGSLVEHVKELLEKIQQNMYEVAKQKREACVQEIRTWDEFISALNLKKLILAPWCDEEEVERDVKARTKGETGAAKTLCSPFEQPELPEGTLCFASGKPAKKWTYWGRSY >A09g511080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33635730:33644903:1 gene:A09g511080.1_BraROA transcript:A09g511080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVSNDTTSLNTKENQTTIINFSETILPLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSVHWLSPQISVVVFSEEKESAKEKGRSEAVLLSIVAHLEKLDRKFDSRLTEYDTKFGDFSQGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGSKLNSKVVRDKAAGGLGKRNNLAADLERNEAELKKMQKQEEAELKRKKKQEEAELKKKQKKEEAELKKKKKQEEADLKKKKKQEEADSKKDIPTSKRTRSAVTLDIAQPNLKPYPKIGKYLISQPIRLHKTVPIRLHKAAVKVDKNSGNNASLMAIASTLDKLSRKFDLMDAQFKKPLVDQKSIDDMVKVAVEERLKVMGIGKNPQNKENLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETPGKDMGPRNNLSNELDKERGMKKTLAKEFGTHAEDEGANVLDFLYVSPAKATKAEDLRRRSTRNRTIKDEDKDDKKKAVQAEAVLKKKEKAAAKRKAAPSMKQKQCALKKPKQAELMNEKQAELKNQEQAELMNEELAELKNQEADNEKRKNITTPRANVKKMQG >A02g501610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4837717:4839972:-1 gene:A02g501610.1_BraROA transcript:A02g501610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDLNDDGQNDLMDEDIEDHEVIEISEANRGTPPRHAQSRRRARLWLKFTIIGGQRPDGDEKTEEEKEEEEKEKEKEEESGESTDLTRDSGEKA >A07g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9651600:9653443:1 gene:A07g504690.1_BraROA transcript:A07g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGSPRSPRPEPADKQEKTGRRSLDSSTGNDLFIGRRIFGSEVTKAYHGSKYDQSYGSRNKFSYSWKRQQSWLRRNFKSILLMISITSFIFFMDSIMVSIFHSDRSTVVQDISRLSNVTLHKNGSSQDASPVQMYSRLLNLASNSLAKNVFKPDAPNFGEVRSSNSSQWKPCADNNNKTAGLEMSREMNNGYIMVSANGGLNQQRVAICNAVAVAALLNATLVLPRFLYSNVWKDPSQFGDIYQEERFIEYLKDEVHVVKDLPQHLKSIDNKNLSLVTDAELVKEAKPVDYIEHVLPLLKKYGMVHLFGYGNRLGFDPLPFDVQRLRCKCNFHALKFASKIQEAGSLLVKRIRRFETKRSRLDEALLGESMAKDSATGDQEPLKYLALHLRFEEDMVAYSLCDFGGGETERKELQAYREDHFPLLLKRLEKSKYRNFPLGCPLKGTKCS >A08g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2787299:2789171:1 gene:A08g501150.1_BraROA transcript:A08g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAHPEAILIMGPEVAAARVDSETTRPSEETEVRTWEGSTGKHHFIGNWWNERDDWVALGNLTAYPEEGIRFRGLSIPECQKVLPAAQSGGEHCPRVPSKEQVEALSKDMANRAAVPDYVYNAIDALPSTAHPMTQFASGVMALQV >A03p050210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21561620:21565061:-1 gene:A03p050210.1_BraROA transcript:A03p050210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUS1 [Source:Projected from Arabidopsis thaliana (AT5G61250) UniProtKB/TrEMBL;Acc:A0A384LHC0] MRDSSSQINRSRRASQTNLRMSYNVCLLVFLSCLLLLGVTFATNMEQTTIVIDGTRQIAEIDENFVCATLDWWPPEKCNYDQCPWGYASLINLNLSSPLLAKAIQAFKTLRIRIGGSLQDQVVYDVGDLKTPCTQFKKTDDGLFGFSEGCLYMERWDELNRFFHATGAIVTFGLNALYGREKLRGNAWGGEWHHTNTQDFMNYTVSKGYAVDSWEFGNELSGSGIGASVSVELYGNDLIVLKDVIRNVYKSSQTKPLLLAPGGFYEEKWYSELFRLSGPGVLDVMTHHIYNLGPGNDPKLVSRILDPKYLSGSVTGLFKNVERTIQEHGPWASAWVGEAGGAFNSGGREVSETFINSFWYLDQFGMSSMHNTKVYCRQALVGGFYGLLETETFVPNPDYYSALLWHRLMGKGVLGVQTNASEYLRTYVHCSKGRAGITILLINLSKQTTFTVGVSNGVKVVLQAESTKRRSFLETIKRKVSWVGKKASDGYLNREEYHLSPKDGDLRSKIMMLNGDPLEPTVTGDIPKLEPVRHGVKSPVYINPLSISFIVLPTFDAPACS >A10g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1316363:1317912:-1 gene:A10g500440.1_BraROA transcript:A10g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHGLEVTVLQVTGWMFRLFQVTGYVSFYTAGHSCTMSMYFSIVVTLNLIHGCTKPDHGYAML >A07p032600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17852895:17854130:1 gene:A07p032600.1_BraROA transcript:A07p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNEVNLNECKRIVPLNTWVLISNFKLAYTLLRRPDGSFNRHLAEFLDRKVPPNSFPLDGVFSFDHLDSSTNLLTRIYLPAPLDPSRYGAVDLTEPLSTTEIVPVLVFFHGGSFTHSSANSAIYDTLCRRLVTICGVVVVSVDYRRSPEHRYPCAYDDGWNALKWVKSRIWLRSGKDSDVYVYLAGDSSGGNIAHNVAVRATNEGVKVLGNILLHPMFGGVERTQSEKRLDGKYFVTVQDRDWYWRAFLPQGEDRDHPACNPFGPRGQCLEGVKFPKSLVVVAGLDLVQDWQLAYVDGLKKTGQDVNLLYLKQATIGFYFLPNNDHFRCLMDELKKFVHSIEDDSLSKSCPILLTP >A08p019170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13110236:13111526:1 gene:A08p019170.1_BraROA transcript:A08p019170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSPVATGSSESLSAQSPSSQVDMARKQPAEPSTPLVPPEEASVSASPVTQQIPASQNGESAILSVKAPPMADPETLAPKTPLIQSCRKKLLQGFPIASQSLKLLLIPLSEKALEKGSTSNTEVQITRPKKASHEQSDGSSHFTE >A10g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3345503:3346069:-1 gene:A10g501180.1_BraROA transcript:A10g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGSWKIVGAEIIKRALSYPLKLTAKNAGVNGSVVSEKVVRCCLGHAASVAKTFFMSNCVVVDGSLDNNIRTHGSSSLNLFYFVLLQDMDTEIDQPDLLLKMRDK >A09p046860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40739970:40741498:-1 gene:A09p046860.1_BraROA transcript:A09p046860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAKATLPNEIPYCTNIELDDDDDDDEMADGTQTNVPTAVASAPTAPSGQIAQEEAPPIFWDVGINVGDYATQGASALPPEERADNQMMLSDGLANEQLAVECEPNVSLPTQNQNVVGENGGMDSNVANNTVINFATQDVGFEDGGGSSAGSTDVSFVRGRHQVSMPTNMVANENSPVIPDPNRG >A01g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5794003:5794626:1 gene:A01g501540.1_BraROA transcript:A01g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAERSHSKKQKDYVNMLSYTCDSEYGIPRRCACGGRIIDEVRVKQEYDTQPGKRFFTCANYEADGFHYRQPWVIGVQEQIESLTKRLEEAEQLLNLIPSLKNQIETLEAQASGLTRQVDRLTAEVYNLTVQVADLEKLCFE >A09g501150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3760381:3760629:-1 gene:A09g501150.1_BraROA transcript:A09g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFDVGKRARITKSRLQRVQSSYRRDVTDAGKYGSTVLHKQKPPLRLTSPSSRVHAARRLREPKNLLYYLGNLDSIFTLK >A10p028700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17767148:17769508:1 gene:A10p028700.1_BraROA transcript:A10p028700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLFFVLLCLFQISKLDFCLAGSVHMVNNNGHERHRFISVTDFGAVCDGKTDDTQAFLKAWEAVCNGFSNKSFLVPLGKTCLLKPLTFAGPCTSSSITFVIRGNIVAPGYTWNVGNFPAWISFDSINGLVVKGGGVKGGGTLDSRGSVWWGSEHAQDKESPTVSPFSRSLHYPRNHIGLTGSKNISISGLNLTAPGDSPNTDGIDISRCIGVDIRDSVISTGDDCIAINSGSSYINITGLFCGPGHGISVGSLGRNGEFASVEEVRVKNCTLSNTMNGVRIKTYQNGLGYARKISFEDIRMVDSKNPIIIEQNYLNRGKIEEVSFENSNYQNRGGKNRFYKTESGNGRGVRVSDVRYSRIYGSSASDDAVTFNCNADLGCEDIVMDHVDIVSAKAGHAVSASCKNVRGSYFSSPVSCIKKH >A05g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19687970:19689898:-1 gene:A05g506970.1_BraROA transcript:A05g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEYTDEIPRNIPMKYRGNHISSEFLLIYIVPRNFLGIFRGNSEEHMFGEISRKNKCSSEFPRHSPRLLRRFRALLLGFPFLRKSLGIFRGNSDGYLSGRRNFLGIFSFNRANKPPNISRKLKLKILRKFRRKISEVYPSEYSDDIFLGIFRGLSDELVVLGISSEFRRKFPRDFRGKMNFRGVISEDFFSSVCRRNSVIPTTYRRFFPSVCRCFLVVIISNVMSP >A05p041160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25037361:25038442:-1 gene:A05p041160.1_BraROA transcript:A05p041160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEESLDLVLVPAGLAVMVGYHVWLGYTIIHRPKLTAISLNAESRRLWVFAMMDDPMKNGVLAVQTIRNNIMASTVLATTAITLCSIIGVFVSSTSASESTASPMIYGNKSPLLATIKNFSILICFLMAFLCNVQSIRYYAHVSFLVTVPVSRGEKEHCEYVARNLNRALYFWFLGLRAFYFSFPLFLWNFGPIPMFVCCCMMSSILYFLDTTTSFTRRLHSQSFRETAESMDGEIESVVHAL >A03p007470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3085879:3087592:1 gene:A03p007470.1_BraROA transcript:A03p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPANTVGIPVNPTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPTKKIHVPEGYDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSTPLFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVKLSKEATTVQQCYIELSKMVKEKLSPLDPYFDKLADAMVTWIDAWDELNPPAAAAGNGKA >A09p056540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48046133:48047791:1 gene:A09p056540.1_BraROA transcript:A09p056540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLAVEKKSTPKLQDTRSARKIVSLDNHIALACAGLKADARVLINKARIECQSHRLTLEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTRIPALYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVALMTREEGTLKQLEEAEIDAIVAEIEAEKAAAEAAKKAPSRET >A09p075040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56691522:56696787:1 gene:A09p075040.1_BraROA transcript:A09p075040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFGIYRVGEQASASLNGYVSLAGHLMDNNNWRPDLPNGDPSMDSGDWRSQLPPDSRQKIVNKIMETLKKHLPHSGTEGVNELRRIAARFEEKIFSGAVNQSDYLRKISMKMLTMDSKSQNATGSSSSIPAANNVSSMDIKPNIQGHLLPGTLPNNQSQAPPQPLLSQPMQSNTASGMTGSTALTNNTNVTSVVNQNPSMQNVAGMLQDSSGQHGLSSNMFSGSSQRQMLGRPNAMSSQQQQQQQQPQSAQFLYQQQLQQQLLKQNFQSGNVQHIQQQQQPNLLQPNQMQQSGISTSTSAVSSSPLQGLHTNQQSSPQQSMLRQHQSSLLRQHPQSQQASGIHQQQTSLPQQQPISPLQQQQVQMMRQQAASGSGIQQKQMMGQNLVGDMQQQHQQRLLNQQNNILNMQQQKQQVPSQQQLMSQQNSLQTTHQQPLGTSHSNVTGLQQQQQQLLSNSSLQTNQQSVQHMLSQPTVGLQRAHQAGHGVFSSQGQQSQNQMIPLQSHHQQLGLQQQQPNLLQQDVQQRLQSSGQVTGSLLPPQSVVDQQRQQQLYQSQRTLPEMPSSSLDSTAQTESANGVDWQEEVYQKIQTMKEAYLPDLSEINQRVGAKLQQDASLPQQQRSEQFEKLKQFKNMLDRMIQFLSVPKINIMPALKDKVANYEKQIINFLNNHRPRRPLQQGQMQQQSGQNGQEQSHDSQANTQMQSMSMAGSVPRAQQSSLANMQNNVLSSRPGVSAPQQSIPASSLESGKGNAQVTMGSMQQNISQQVNNSSASAQSASPQMTQQHSSPQVDQKILMSSVNKMGTPLQPAHSPFVVPSPSTSLAPSPMQVESEKQPGAMGNTARQQQSVVQSIAIGTPGISASPLLQELTSPDGNNLNQSAAELPIERLIRVVKSISPQSLSSGVSDIRSVVSMVDRIAGSAPGNGSRTSVGEDLVAMTKCRLQARNLMTQEGMTASKKMKRNTTAMPLSVSSLGGSVGDNYKQFACSETSDLESTATSVGKKARTEKEHALLEEIKEINQRLIDTVVEISDDEDAADVSEGAIASKGCEGTTVRFSFKAVSLSPALKAHLSSTQMSPIQPLRLLVPCSYPRGSPSLLDNLPVETSKEKEDLSSKAMARFNILLRSLSQPMSLKDIAKTWEACARTVICEYAQQFGGGTFSSKYGTWEKFVAAS >SC186g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000055.1:27241:33733:-1 gene:SC186g500020.1_BraROA transcript:SC186g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGISQEGDKAMCIVRDSGTDRRSVPSTVRPLHTPKSSGSAQIKMCNVRASQVAQTPGKDFRNKHKLQLTPVQLSSLSPKRQPLKNQECRDKGLVPLHIITRTFSVLSLRGSLNAYDPWSVTSLCKGSYKGSSAGVILGSLRVKVN >A07p028150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15961024:15964974:1 gene:A07p028150.1_BraROA transcript:A07p028150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLTTCTSSSTSSSTSSSCILPNQPETPRPKRAKRAKKSSPPCDVKPQNPTSPASARRSSIYRGVTRHRWTGRFEAHLWDKSSWNSIQNKKGKQGAYDSEEAAAHTYDLAALKYWGPDTILNFPVETYTKELDEMQRGTKEEYLASLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAEAYDMAAIEYRGANAVTNFDISNYIDRLKKKGVFPFRVDQATHQEAVLAEAKQEAKEEVKEHVEEEHQEAREETTEQKQEVEAVTCGIDASGIMEMERSSDSNELAWNFCMMDSGFAPFLTDQNLSNENPIEYPELFNEMMGFEDNDIDFMFEEAKNECLSLENLDCCDVVVVGRESPTSLSSSPLSCFSTDSASSTTITTTTTTSVSCNYSV >A07p031470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17398871:17399267:-1 gene:A07p031470.1_BraROA transcript:A07p031470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRSSESFPDTRTPTMRSASCHTKRNVKTQTHLRVLNLTRRGRLLREKKEMELRNMKLFLKNQSIIRENEALKKRALALHEENNVLFSLLHPELSPVPSSFL >A04g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22800999:22803179:-1 gene:A04g508570.1_BraROA transcript:A04g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAGVLGEWKMNKGSWKFMVNTTAGGKLFTFREGLKFDDMVQMVHEDFGISRLGNELELSYALPESMLRDMPKDTPPVFVNNDRQLDSMCEMSKSMPLRLCVSVKNGNVGNHDQGFNREDTAIYGKGHGKKKKNVDSQDSNQEPEVEVSNFHDAKVIEKGQWFKNKSELSWSIRMLSIERKFRIVVSKSDKKLLVVKCADTSCNWMVRAAKTNPTCEFFWVTKYIDKHTCFSRNIAGPRASSKVISKLLLENFGNSESIRPIDELHDVKEIPPHIVAYKCLPPDVKRGAGRPVKRRYECFGEQATARKKARKQACSRCHRTGHNRARCDFGN >A05p015170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6709070:6710194:-1 gene:A05p015170.1_BraROA transcript:A05p015170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 17 [Source:Projected from Arabidopsis thaliana (AT2G32510) UniProtKB/Swiss-Prot;Acc:O80888] MEWTRGRILGRGSTATVCAATRHNSNEILAVKSSELHRSEFLQREAEILSSLSSPYVIGYRGSETKRESNGVDTYNLLMEYAPYGTLTDAAAKNGGGLEEAMIVKYTREMLRGLEYIHSRGVAHCDVKGSNVVVGEKGEAKIVDFGCAKRVDPEVESEPVVGTPAFMAPEVARGEKQGRESDIWAVGCTVIEMATGSPPWTEASSGESPVSVLYRVGYSGEAPELPCLLTEEAKDFLEKCFKREAKERWTATQLLNHPFLITKPNTEPVSGLVSSSPTSVIDQTFWTSGGEEEETEELQEDSRNLDRLNLWACHSERIGRLRCVGGLDGSRLDMEGGGWITVRVSCEGTMIGGSHEENILEVGGDCKWIWVSFV >A08p031730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19355724:19357334:1 gene:A08p031730.1_BraROA transcript:A08p031730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHQGSSRLIRDLREELKNMSREREEAEKTEIDKKESEKEVDTVEYWKKKASRLEKSLEESRGSKLRLVESLKTMETLSRQDQDLRYMFIFNQFPNLKEEDILGKTDSEIFNGNGVKEFEGFKREVLEKGKASKREITFDTDLFGSKTFMIFVETVYSKTGKKVGINYIGMEVTDQVRKREKMANLGEDNAVRKAMESELGKTIHITEEMMNAKKILAKMSGELRSPLLGIVSMAERFSSITKLDEEQRRLLIEMISSAGLALERINEFLDLSEVEILGGQFPLTSQVSARSVLHYYLYKVDHTT >A05p041800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25330034:25333922:-1 gene:A05p041800.1_BraROA transcript:A05p041800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASSSSIDSILEFLRKNRFMRAEAALISELSNSNSSSNGVKSKVFEGRDKKQVSDELVVKEIQCGSATETHHHHQMNDVSVQTQSPSSLWEDRFTFSESLVDTELDLPPWNHADSEVYSIDPSITSFVNPRSSKPSSHDKVPSQVCQYDHGKASQSLEDDSMAAIQEGFITTSWPRSEERTDHWKDCSVTTVYPLSKGSTSTKDIGVPVLDKRQGKKKVGASSGSKAVIKEQEDDDVETDLYLGKSLSGYEVKNLSGFAFSLAHDGTREDLPRLPHVRIKSEDKSMNYTSEEKHERDVLDEKLINAENGFLIGSYLDVPIGQEIHSSGGRIAGGGNWLSVSQGLAEDASDLIFGFGNGLGDLAEHSNEYWNSDEYDDDDDVGYIRQPIEDEAWFLGHEVDYPSEHEPGSVPDTQDKSQTKNDDEQSFAEEDSYFSGEQYVLAKGVEPVTTKEPDLAARYDGELMDATELSLMRAEPVWQGLVTHENDLVLLNKGDNGGRFCSKDIRAEDDRNAAVRSIGVGISDDVDDNGSVAPDYFPGEGSEWDLELLPHRQVGATSTSVKPPPGKGASIKSFTNGGFSFPSPVPDGQTSHEDSTNHVWSNPAVRNENYGPKGLTESNSMVDRNGEKVESSRSSSPSAVSHSSYTGREHCKEEDEEETSPAPEEDPGTSFEDEDAIVVQEQVRQIKAQEQEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEHLGSAAFSKAIQAHDLHTGIDVCVKIIKNNKDFFDQSLDEIKLLKYVNQHDPADKYHLLRLYDYFYFREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSITIQCLEALNFLHGLGLIHCDLKPENILIKSYSRCEIKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCSGNVLFQNDSPATLLARVIGIIGSIDQQMLAKGPDTCKYFTKNHLLYERNQESNNLEYLIPKKSSLRRRLPMGDQGFIDFVAHLLQVDPKKRPSASEALKHPWLTHPYEPISP >A02p008740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3600152:3602513:-1 gene:A02p008740.1_BraROA transcript:A02p008740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMVRLKASLWLLVFSIALLKGSFGSESSKEAYVTLLYGDEFLLGVRVLGKSIRDTGSDKDMVALVSDGVSDYSKKLLKADGWKVEKISLLANPNQVHPTRFWGVYTKLKIFNMTGYKKVVYLDADTIVVKNIDDLFKCSKFCANLKHSERLNSGVMVVEPSQALFNDMMRKVKTLSSYTGGDQGFLNSYYPDFPNARVFDPSLSPEELKKRPVPDMERLSTLYNADVGLYMLANKWMVDDSKLHVIHYTLGPLKPWDWWTAWLVKPVDAWHSIRVKLEETLPGTGGGKNQKDEFVVKLLFLLPLCALLFCVYRSIQVHEGSLCNQIRYLYYRIRSNGRRSVSTFSTMNPSYQLHGGSTQSKVPQHLGAVSVLFCFTALLISVGTSFVIVPRQIMPWTGLILVYEWTFTIFFLLFGCFLLLVHQHGKKLSVHTDSSSLDDSRKGHQRGSVSCDVTTLYYGLGMMFLAIAAVSLPYILGITALFLRLGLMVGVAIILAAFMTHASEQLAVRWFLRGLEDRGEASRSKSLCFMC >A09p083750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60213041:60219676:-1 gene:A09p083750.1_BraROA transcript:A09p083750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brefeldin A-inhibited guanine nucleotide-exchange protein 3 [Source:Projected from Arabidopsis thaliana (AT1G01960) UniProtKB/Swiss-Prot;Acc:Q9LPC5] MASTEAADSRLARVVIPSLEKIIKNASWRKHSKLAHECKSLILRLRSPDDSSPLADSDSGSSLPGPLHDGASAEYSLAESEIILSPLINASSTGVLKIVDPALDCLQKLIAHGYLRGEADPTGAPDALLLSKLIETICKCHELDDEALELLLLKTLLTAVTSISLRIHGDSLLQIVRTCYGIYLGSRNAVNQATAKASLVQMSVIVFRRMEADSSTVPIQPIVVAELMEPTGRSSESDPSTTQSVQGFITKIMQDIDGVFNSSANSKGTFGAHDGAFETSLPGTANPTDLLDSTDKDMLDAKYWEISMYKSALEGRKGELADGEVEKDDDSEVQIGNKLRRDAFLVFRALCKLSMKTPPKEDPELMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASNLMIIFQLSCSILLSLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPDFQQKMIVLRFLEKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGMVTTLLPPQETAMKLEALKCLVAVLRSMGDWVNKQLRLPDPYSAKMLEIDDRNLEEGGHPVENGKGDGGHGGFERSESQSELSSGTSDALAIEQRRAYKLELQEGISIFNQKPKKGIEFLIKANKVGDSPEEIAAFLKDASGLNKTLVGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLRGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVILLNTDAHNPMVKSKMTADGFIRNNRGIDDGKDLPEEYLRALYERISRNEIKMKDDGLGPQQKQPANSSRLLGLDTILNIVVPRRGDDLYMETSDDLIRHMQERFKEKARKSESVYYAASDVVILRFMVEVCWAPMLAAFSVPLDQSDDAVITTLCLEGFHHAIHVTSVMSLKTHRDAFVTSLAKFTSLHSPADIKQKNIEAIKAIVKLAEEEGNYLQDAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQTESGNSPMAKSNSVPAVKERTPGKLQYAASAVIRGSYDGSGVAGKASNTVTSEQMNNLISNLNLLEQVGDMSRIFTRSQRLNSEAIIDFVKALCKVSMDELRSPSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVAIGCSDNLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSGAVEIRELIIRCVSQMVLSRVNSVKSGWKSMFMIFTTAAHDAHKNIVFLSFEMVEKIIRDYFPHITETETTTFTDCVNCLVAFTNSKFEKDISLQAISFLQYCARKLAEGSVGSSLRRNPPSSPQGGKGGNHDSGKFLESDEDLYSWFPLLAGLSELSFDPRAEIRKVALKVLFDTLRNHGDHFSLSLWERVFESVLFRIFDYVRHDDVDPPGEHSADNGEVDQESWLYETCSLALQLVVDLFVNFYKTVNPLLKKVLMLFVSLIKRPHQSLAGAGIASLVRLMRDVGHQFSDEQWDEVVSCIKEAADATSPDFSFVTSEDLTQDVVSNEDETSENTNDALRRRNRQLHAAVADAKSKASIQIFVIQAVTDIYIMYRTSLAAKHMLMLYDAMHCIASNAHKINADTVLRAKLQELGSSPESQEAPLLRLENESFQTCMTFLDNLITDQPLGYEEAEIESHLIRLCREVLEFYVEISCSKEQSSRWAVPSGSGKRKELTARAPLVVAAIQTLGNMGESLFKKNLPELFPLIATLISCEHGSGGEVQVALSDMLQRSMGPLLLHSCS >A07p031990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17630252:17631597:1 gene:A07p031990.1_BraROA transcript:A07p031990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLTSSNPSFSCFSKSLSLYPSSPLSFVKLPPSIPNNNTISLCCKPSSNPQPDSANAKLNPLRAIIRTLKGLVSSQSRQWTARFRAYRDDTAAFSEHFFAGEDLKRSGGLGIALLSVTASAKVKISPFVATLSANPTFVSAVFAWFFAQTSKMVINFFIERKWDLSLLFASGGMPSSHSALCMALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNLIIRDLFEGHPISQRKLKELLGHTPSQVLAGALVGIVIACYCCQGHLVSA >A01p053620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29969240:29972296:-1 gene:A01p053620.1_BraROA transcript:A01p053620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT3G11710) UniProtKB/TrEMBL;Acc:A0A178VAG3] MEGSADQTTQAISKLSMDSTTSSTADGAGAPSKNENRRSALKKELKMKQREEERRRKEEEKAKQAPKATSQKAVAADDEDMDPTQYFENRLKYLAAEKAKGENPYPHKFAVTMSIPEYIEKYGGLSNGDHVEDAQVSLAGRIMSKRSSSSKLFFYDLHGEDYKVQVMADASKSGLDEAEFSKLHANTKRGDIVGVTGFPGKTKRGELSIFPLSFILLSHCLHMMPRKAENVSAKKPENWIPGEPRNPDAYVLKDQESRYRQRYLDLMLNVEVRQIFKTRANIISYVRRFLDNQRFLEVETPMMNMIAGGAAARPFVTHHNDLDMRLYMRIAPELFLKQLIVGGLERVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMKMTEDMLSGMVKELTGGYKIKYHANGYDKEPIEIDFTPPFRRIEMIGELEKVANLNIPKDLASEEANKYLIEACAKFDVKCPPPQTTARLLDKLVGEFLEVSCVNPTFIINHPEIMSPLAKWHRSNNVLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDETFCGALEYGLAPTGGWGLGIDRLAMLFTDSQNIKEVILFPAMRPQDDPAAAKASLQAENKGE >A10g500280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1008863:1010023:1 gene:A10g500280.1_BraROA transcript:A10g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSDLSRYLIGEILSKVPITCVGKVRCTCKRWNASSRHRIVGKTVARQFMGFMMMDFKVCSIRIDLNGIVKDEEGSGPVSIKLIDNLNKIEIIKVFHCDGLLLCTTRDNTRLLVWNPYLGQMKWIEPKKAYHRLDRYALGYDTNKKSYKILRFVDDAYWPTPLFEFEIYDTNSNLWRALDVTPDWDIEYFRPGLTVKGNTYFFAKQKIILDEGEADGDVDDFLVSFDFTKERFGPHLHVPFHSRLDEDTVVLSSVGEEKLAALYQCMDRNMMEIWVTTKTEPHVVSWSNLYFLAVDKMVPLFSGSFFIDEEKKLALAFTLDTLGRYKRAYIIGEDGYFKQVDLGEAVVIPDDDGYPYCFPLVCSYVPSLVQINQGLVLSGKREE >A01p027250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19060523:19061688:-1 gene:A01p027250.1_BraROA transcript:A01p027250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQAGSTRRVGEGGSFPFAGGASHSKSRSSPLLSICLLLLGACLLIWYAYSGPGIFKSVKEVSKVSGDYSCTSQVQRAVSLLKKAYGDGMRKVLHVGPETCSVVSTLLKEDDTEAWGVEPYDIEDADSHCKSLVSKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVASDGVVLFAGLPGQQKAKVAELSKFGRPAKMRSASWWNRFFVQTSLEENEGPNKKFEQAASKGSYKPACQVFHLKPLH >A09p014600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7664188:7664793:1 gene:A09p014600.1_BraROA transcript:A09p014600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATKFLVMILFIGVLCTDVGARKLDKVSNELMDQKSFMFPPMPSTLPIPVVPPVPLPEPISGLGEAVSATKEEGVENGVGMLSKDTKLGISIPKTAATNGLGTELILIVKSSARKVKTANDNAGSGPGGPGSRATGRTVVETSGTVIVDGPNPSAYSTSIADRQAGAGAEAGPDGAKSNGTSTGYAYTNAGGSTSNSNP >A09p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9867927:9868617:-1 gene:A09p018690.1_BraROA transcript:A09p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICDPFRRPWPINTCGRVELVRKNRGPLFVGLAGVIPTFHFHRGEVERRVWRLKMERKNRTATGIGIEKEDLRLRPRPSRNHIL >A02p006660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2837280:2838621:1 gene:A02p006660.1_BraROA transcript:A02p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCYDKMGLKKGPWTSEEDQKLLAYIDEHGHGSWRSLPEKAGLHRCGKSCRLRWTNYLRPDIKRGKFNLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKPKNETPLSSLGGLSKNAATLSHMAQWESARLEAEARLARESKLLHYQTKVSSSHHDHLNIISSDKQKQQQLESPTSTVSFSEGKTEFVGSSSTCLNMIKETENDWISSTIHEFEGVEEGLTGLLLGGGGSLGLSFSADKNETAGESSGGGGGECNDYYEDNKNYLESIFSFVDPSPSDSTPMF >A06g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25172718:25175017:1 gene:A06g508880.1_BraROA transcript:A06g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRLVFTIFLGNCLCLLLLSSFIDASEADVNCLRNIKSQLTDPNEYLSSWVFSNESAGFICKFSGVSCWHDDENRVLSIKLSGFGLGGEFPVAIKNCTDLTGLDLSRNNFSGPLPTDISSLIPSVTTLDLSQNSFSGEIPVSVSNITFLNALMLQGNQFTGTLPPQLGQLGRLKKFSVANNRLSGPIPVFNESILKVGPGDFAGNAGLCGKPLDPCEGANSSRVKVVVIAAVGGLVAAALVVGVVLFFYFRRLALKSVSKMKLSDLMKATEEFKKDNIIGKGRTGTMYKGVLEDGTHLMVKRLQDSQHSEKELDSEMKTLGSVKHRNLVPLLGYCIAKKERLLIYEYMPNGYLYDQLHPSDEESFKPMDWPTRLKIALGAAKGLAWLHHSCNPRIIHRNISSKCILLTADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDFGYVAPEYSRTMVATPKGDVYSFGVVLLELVTGQKATSVTTGPEEEAEEEEGFKGNLVEWVTMLSRESKLQEAIDKSLLGKDVNDEIFKVLKVACNCVLPEVAKQRPTMFEVYQFLRAIGESYNFTTEDDILVPSESGEGDFIEELIVR >A02g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19022986:19054513:1 gene:A02g506790.1_BraROA transcript:A02g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGMEQKLKLKVMKRVLILMIKLWSQMINKKELNQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEVDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEECQKMGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGSCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGVKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQDQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRKAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDHDGSNESGAQSITTWEVI >A09g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:733958:735265:-1 gene:A09g500110.1_BraROA transcript:A09g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRAFSSPDLVPSDSGSVTSPTRTTEHQSHETSGLEGISTNVKLLLKLVQDHNEANTKQRDEWKAQRVNTMMAILDDLKTRILKAQQQSSSSGKKELRRCNTELKPRQDLNRSPTKPPLNDPDDVQKLRKELSASMAARKSLQMMCSSLGKEKEIMAIELSRKAYELTEMEELISDLKAQNEKLLKKVQNCAVEHKKEDGDGKGGGGDKDMPLQGRNKELSEQLLKSIDGYRSLKRRYKEVQEENGIMRQVLKDSAEEVNAGAQRLMELHEKATREDELDLEKEISELEKLFQEIGLKISNHSQIK >A09p000930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:560466:562140:-1 gene:A09p000930.1_BraROA transcript:A09p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter 1 member 2 [Source:Projected from Arabidopsis thaliana (AT1G64780) UniProtKB/Swiss-Prot;Acc:Q9ZPJ8] MDIAATTCSAKDLAVLLSSSNSTSSLAAATFLCSQFSNISNKLSDTTYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGAISYYLFGFAFAFGTPSNGFIGRHHSYFALSSYPERPGADFSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPTVSHWFWSTDGWASASRSDNNLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRLGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTILKGYEKSRPYYGQWSAVGRTAVTTTLAGCTSALTTLFSKRLLAGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAIVCGFVASWVLIGFNFLAKKLKYDDPLEAAQLHGGCGAWGVIFTGLFATKRYVNEVYSGDGDRPYGLLMGGGGKLLAAQFVQIVVIIGWVSVTMGPLFYGLHKMNLLRISREDEMAGMDMTRHGGFAYAYNDEEDVSVKPWGKVGPTSQSSTPTPQPLTA >A01p018650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9055872:9056234:-1 gene:A01p018650.1_BraROA transcript:A01p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLSLGKIDDIAMAGGRYRLRNLVPRNSSGSTFLGKPDPSASTSGTSSDFFDEINLNA >A08p031690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19338234:19339495:1 gene:A08p031690.1_BraROA transcript:A08p031690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoflavone reductase homolog PCBER1 [Source:Projected from Arabidopsis thaliana (AT4G39230) UniProtKB/Swiss-Prot;Acc:Q9T030] MASKSSILFIGGTGYIGKYIVEASARSGHPTLVLVRNSTLTSPSRSTTIDNFKNLGVRFLLGDLNDHTSLVNSIKQADVVISTVGHSLLGQQDKILSAIKEAGNVKRFFPSEFGNDVDRAQSVEPAKSAYATKAMFRRKIEEEGIPYTIVSCNFFAGYFLPTLAQPGATSPPRDKVIIMGDGTPKAVFNKEEDIGTYTIKAVDDPRTLNKILYVRPPMNTYSFNDLVSLWEKKIGKTLERIHVPEEQILKQITEASPPLNVLLSLCHCVFVKGGQTNFEIEPSFGVEASELYPDVKYTTVDEILDNYV >A04g501190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3247424:3247885:-1 gene:A04g501190.1_BraROA transcript:A04g501190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRIVNATATMGEIVIDTLAENIVRKGTVRETLWMIVIITKVETVAGRGLSIEKERRGTGQGHALARAAALNVSQGRIVVDSKMVGDCRSHVYAITTMHKTLTLGA >A04p018550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11130206:11131121:1 gene:A04p018550.1_BraROA transcript:A04p018550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKMVFHHMVLIFHSIKDLDLDMQIFQIWKTSRLEDFQTTSRKSSDGIFSHMVLIFHLDMYFVCFIKVCLSNFPLIFFVLNSFEHFGRPMGILLEILLKYNVLEDFQEVFQTTSRKSLTGSSSISSGV >A09p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20034506:20044166:-1 gene:A09p033120.1_BraROA transcript:A09p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 39 [Source:Projected from Arabidopsis thaliana (AT4G11290) UniProtKB/Swiss-Prot;Acc:Q9SUT2] MTDPKPISLVYGRERAGVDVRMVQVFSRNLSRTMANTPSLVNRMPPKNARVARPAAANLRATRRVTRSASQASSEAESRRGGAPENENPVEMPNVANAALLAELQRYRDAYGGQLPNVELRNSCNVRDYRDVHELIEKAAEQESGLEEEWKQNQNSQNRGAKRPRDAQPAAEPAPLRPACERCGRFHAGECRMGACFACGERGHIARDCPKERQARRRRCYCCGQEGHQAWECPTLQGGNAEGAQPQQQRGQAAGARAYAVEGREGAEPIAGSVAVGGVTAFTLFDTGATHSFVSPRLTREWDFKGNFNTMGSATRETASEALPEQNPAAASASSRRRSRSPSRRNRAPSRCLLDSISRSDCFPNLDPDLLLQKAKVWPRGSGSRCPYGASVFSRLVSFSEAQLKLGFYDKTCPNAEKIVQAVVNQHIRNVPSLAAGLIRMHFHDCFVRGCDGSILINATSSNQQVEKVAPPNLTVRGFDFIDLVKTVLERKCPGVVSCADIITLATRDSVAAIGGPTWNVPTGRRDGRISNATEALNNIPPPFGNFTTLITLFGNQGLDVKDLVLLSGAHTIGVSHCSSFSNRLFNFTGVGDQDPSLDSEYADNLKSRKCLSIADNTTQVEMDPGSRNTFDLSYFKLVLKRRGLFESDAALTKDPTALGQVRGFAGGSLQDFFVEFGKSMEKMGRIGVKTGSDGEIRRTCSV >A04p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11065267:11067165:1 gene:A04p018390.1_BraROA transcript:A04p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYATETVVDEENHEVKPSEDVEDDDDKSQPQSGGGIDSAGKIFVGGLARETTSAEFVKHFEKYGEITDSVIMKDRKTGQPRGFGFVTYADSSVVDKLIQDNHIINGKQVEIKRTIPRGSMSSSNEIKTKKIFVGGIPSTVDDDEFKEFFMQFGELKEHQIMRDHATGRSRGFGFVTYESEEMVDLLLAKGNRIELSGTQVEIKKAEPKKPNSVTTPSRRFGDSRSNFGGGYGDGYGGGPGGGYGGPDGPYKSGGGYGGGRSGGYGGYGGEFGGYGGGGYGGGVGPYRGGEPPLGYSGRYGGGGGGGYNRGGYGMGGGGGGGYGGGPGDMYGGPYGEPAGGYGGPSGSYGSGYGSGGYGGGGYRGSSGGYEMGGTGVGGGGGYPGGGGGASGGGSFYGGGGGGGGSRGGYGGGSGRYHPYGR >A07p024060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13871175:13871687:-1 gene:A07p024060.1_BraROA transcript:A07p024060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSIASRSSTSLRSILRTASRRSLISPRPRISVPNPNSSTSSPLRQTRIEASSLPRFLRRELSTHQPFHSVVAAACLVSKLPSDLTSYEGRFANYVSPI >A08p005390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3115486:3115779:-1 gene:A08p005390.1_BraROA transcript:A08p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVMWGSSLALAAALLLVTVANIPVAEGVTCSPTELTSCSSAFMSASPPSATCCAKLREQKPCLCGYLRNPALSQYVNSPNAKKVASSCNVATPKC >SC179g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:744374:746230:-1 gene:SC179g500360.1_BraROA transcript:SC179g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQEFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDTTPTSDHGGGERSEPETTQESSGASGTHDQDVEVSEQEDGAEASQLGEEEAVEVSTSVPQESGEESQ >A04p030120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17891818:17900698:1 gene:A04p030120.1_BraROA transcript:A04p030120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H(+) antiporter 21 [Source:Projected from Arabidopsis thaliana (AT2G31910) UniProtKB/Swiss-Prot;Acc:Q9SKA9] MAEPPTSDEPNITYSLSTSRYGNIVCYNQTNEALVGDYSGWQSAKSIETALPFFVMQLLISNLSYGLMYSLTRPLHLPPFVAQILCGLLFSPTVLGRNSIVLEKLFPYRYTMFMETFANLALVYNMFLLGVGMDLRMIRIKQVKPMVIAIVGVVVALLAGSGLYYFPGNGDPDTILAGCLYWSVALSCTNFPDLARILADLKLLRSDMGHTAMSASMITDLCTWVLLVLGNACFNKQGVWNDLMPCALVSTIAFVFFCIYVIHPGIVWAFANTVKGGHVGENHVWFTLVGVVFCALITDAFGVNSITGAFLFGLSIPHDHIIRNMIEEKLHDFLSGFLMPLFYVICGFRVDLDYLLQNTTVGVLVFVISSCFMVKILSTVICSLFLRMPLRDGFAVGALMNTKGTMALVVLNAGRDSKALDVILYTHMTFAFLVMSIVVQPLLTFTYTPNKKLTFYKYRTVQKLKGEEEFRVLTCVHVLGNVPGITNLLHISNPTKKSPLNIYAIYLVELTGRTEASLLIMHDESKPKVNFSDRVRAESEQIAELFEGMEVNSDAVSVQTLTAVSPYATMHEDICTLAQDKQVSLILLPYHKNLTPDGRLGEGNYGHEGVNQNVLRNAPCSVGVLVDRGMTTVRSEASSFNAETTKKEIAMLFIGGRDDREALAYAWRMVGQEMVKLTVVRFVPGRDALVSAGKLSVEYAKEAQVDEECIYEFNFKTMNDSSVTCIEKVVNDGQEVIEAIREMEDNHSYDLYIVGRGYKVETPVTAGLTDWSSNSDLGTIGDTLASLNFTLHASVLVIQQYSATNRRTSENHQEHVKGGAKTANEAKMNSHEEEDDGDEHTSRYGKVVCYSHTDLIAEEHHNGWDSAKPIHAAFPFFIKQLLVANFVYRVIYYMSRPLHLPPFVAQLLCGLLFSPTLLGGEIFVLDSIFPYKYTMVLETFANLALVYNIFLLGLGMDLKMIKIKQRKPIVIAIVGLVVALLAGYALYYLHGNGDDDKIISGCLYWSVALSCTNFPDLARILADLKLLRSDMGRTAMSASIITDLCTWVLLLLGLVMFNKQGVSNDMMLFALVSTIGFVFFSIYVIRPGVAWAFANTVKGGHVGENHVWFTLVGVVFCSLITEAIGVTSIPGAFLFGLSIPHDHVIRDMIEEKLHDYLSGILMPLFYIICGLRLNLDYLLQNTTVGVLVFVISSCFMVKILSTVICSLFLRMPLRDGFAVGALMNTKGTMALVILNAGRDSKALDVIMYTHMTVVFLVMSILVQPLLTLTYTPKKKMSFYKYRTVQKLQGEVEFRVLTCAHVLANVSGLTSLLQVSNPTKRSPINVFAIHLVELTGRTTASLLIMNDETKPKANFSDRVRAESEQIAEMFEDMDVNNDAMLVQTLTAVSPYATMHEDICSLAEDKRASLILLPYHKNLTSDGRLGEGNDAHEDINHNVLSYAPCSVGILVDRGMTVIRSESSSFHGETTDKEIAMLFIGGRDDREALAYAWRMVGQEMIKLTVVRFVPSREALFAAGEDAAEYVKEAQVDEEYIYQFNFKTMNDSSVTYTEKVVVDGPETIAAIREMEDNHSFDLYIVGRGVANEAKPLMNSHGEEEDDENEQYQMGMRK >A03p010080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4024178:4026976:1 gene:A03p010080.1_BraROA transcript:A03p010080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDLLANDLRWSADQLHRNVVAHDDATVRWGIADWESNLRLFPLGNADGDSITMGSSPRFPMYDNDFGWGKPLAVRSGGANKFDGKISAFPGKEKLAVEERNVFAGRENVGDDDMFGGSGDKISSGVVAGRSETERRRSATVDFFSGYTMHLEIISLHHYLLLDRKGSEKGTAKTSKTLVKGFVHDNDDEVMKITKVLKNKRGGDPLFSEIHMSRLDQAGMTYTPWLSHCQGTMPDGGRGRDGKDHFSNSSGDHSFQVKEELEALTKTRDVLHDEVLVMEERLEVKEDMCSELQKNFKRLEDLLNNEKKLTSQRRKELAKLHKSYSRVRECSDNLKGCEQELQSLVNSAAREGVAGADEGLENGCVVQGVA >A04g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8837149:8838034:1 gene:A04g504260.1_BraROA transcript:A04g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIEKLLRDVDGLYWIRAHWYMILFSTPQRDAPPVQLSPKYPDPPPSAPTTTATNDPTAAFREQPKKLRADFVKAAKQVENDVTGQELQKQFEAAVVKAVIEVMNLISTHRASLAVAHGNG >A08g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2820189:2823686:1 gene:A08g501170.1_BraROA transcript:A08g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRKKVKTLARGRRERRRRRKGISRRKMSSERMVSGFVKSDGSVQVLETNGLILLQSQSFVVMGGYSSTAVYKEWKLSKSQGRYVIFAKSDQGSGLVSNCLLVSLFVEGRCSCGFRMFGRMAVGGIYESQAVQILLKLSRFKFEVKLQKRMVSTGFGTRHIWYFEELKDHNGACSSKDCFYTKVSRLLHLMNFFSASKVIKQMGFCGISPDVFSHKQTSRLIRRMPGVIKKEAEEAGTCYVSRRMRQEKIQELIALEEYEWKRQLVVEQDESTAGGSHSRWSSVMFQTVFSARRVKESQASVSRFKLNMLWSDGVKGVQLVVRVVKRISAVLVWFLHRKHGVKRHRGRVTVGIHRDQGEQFKVELFESITKLNKFKKFSKSSYKEINSKVCWYCHKRVQEGLSYWKMEFLVTSGTGRGVCIPRSGKVRRLAKHRFFATAKVSQELSQLSRLELGLQGSKEQWQGSLQVIARQMLGGNKFQEAFEAERMKLRSIFFSGDCKEMQGDTEDEQVCSITEGKLKRR >A06p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1517247:1519700:1 gene:A06p005200.1_BraROA transcript:A06p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASFYHEVFGDSQPEDAGTITVTATILGDDDDFDTSFTTSSLPIQDFFGLDDQNENYVCQIIRELMCFLLEADIDADTIRDTMTKLLGYVLIVTCFSSSGYSPGCDLSVELDLSPYYLHDDDDSQSQIEEAAQVLFDEIANNTRFRPASKVAVKSLIRKIYKKKNSLEECTICLEEFKNGGTVVPLPCGHEFDEECIVKWLETSHVCPLCLAMRINFDHEIDNNPQPEEAGTITVTATIFGDDDEFDTPFTTRSHSIQDVFGCDEDEDSDCQIVRELKCFLLVEANIVDDVIGDAMRKLVAYIDGVACFTSNSGYSLECALSVQMDLFPDSRSQIEEAIQVSFDETSNNTRFIPASKVVVKTLIRKVYKKKIEKENINKKTKKKKISLEECTICLEEFKNGGRVVPLACGHVFDEECIVKWLETSHVCPLCRYELPCEDQMN >A06p018190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8857458:8857713:-1 gene:A06p018190.1_BraROA transcript:A06p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKFGVLMFKEIRERSENLIGQNFQLGLRYRGLLLRENFLGSLQDPKIKYMRRLLVDFL >A07p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16985408:16988015:-1 gene:A07p030500.1_BraROA transcript:A07p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISARRLAVLTAHLAVSYPVGSIQVLPPAIEPWCTSSALPPHEQSLKGSLTIVDERTGRKYQVPVSEDGTVKAVDLKKITTTGKDDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFIEVSYLLMYGNLPSQSQLADWEFTISQHSAVPQGVLEIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALRGQDIYKSKQVRDKQIVRILGKAPTVAAAAYLRMAGRPPVLPSGNLSYAENFLYMLDSMGNTSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAVAGAVGALYGPLHGGANEAVLKMLAEIGSVENIPEFIEGVKSRKRKMSGFGHRVYKNYDPRAKVIKKLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAVPRMAGYLSHWRESLDDPDTKIMRPQQAYTGVWLRHYEPVRERTLSSDSDKLGQVSISNASRRRLSGSAL >A01p058470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33328105:33329646:-1 gene:A01p058470.1_BraROA transcript:A01p058470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDAHCHLQDKRVIDKAPELISAALAVGVTNFAVNGTSEQKDWDLVKEMGEMYPSVIPCFGIHPWFIADRSPHWLNTLRKLFETTPTAAVGEIGLDKSPLAAGIDYSDQLAVFRPQVELAKELNKPVAVHCIDAFDDLLEIMRSVGPFPAGVILHSFNGTAEVVPKLAELGAYFSLSGWFTYIDEKIAKNTLKSIPSDRFLLETDSPDGLPKSDESSEPTLNEPANIVAVLEYVSNLSNIKKEDLAELTYGNTVRLFSYPGSKLL >A03p052450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20048584:20050129:-1 gene:A03p052450.1_BraROA transcript:A03p052450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQIFGKLPKKTSKSSSHPNGEGGGVNPYHTPPPTSNRPNGVYEPLPSFRDVPASEKPTLFIKKLTMCCVLFDFTDPSKNLREKETKRQTLLDLVDYIATVPTKFTDAAMQEIAKLAAINLFRTFPTTANHESKVLETLDGNDDEELALEPAWPHLQLVYELLLRFVASSMTDAKLAKRYVDHSFVLKLLELFDSVDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVFETERHNGIAELLEILGSIINGFALPLKEEHKLFLVRALMPLHRPKCAAAYHQQLCYCIVQFVEKDSKLADTVVRGLLRYWPVTNSSKEVMFLGELEEVLEGTQAAEFQRCMVPLFRRIARCLNSSHFQVAERALFLWNNDHIRNLITQNLKVIMPIVFPAMERNMCSHWNQTVKNLTLNVRKVLAETDQALFDECLAKFQEDEASKAEVAAKREATWKLLEDLAASKSVSCEAVMVTRFTSSVTITTSGKASGS >A06p056290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29320444:29322751:-1 gene:A06p056290.1_BraROA transcript:A06p056290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein NUTCRACKER [Source:Projected from Arabidopsis thaliana (AT5G44160) UniProtKB/Swiss-Prot;Acc:Q9FFH3] MTGEVLKTVSSGSAAFAPSSPTLDHDESLINPPLVKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPENTCVHHDPARALGDLTGIKKHFCRKHGEKKWTCEKCAKRYAVHSDYKAHSKTCGTREYRCDCGTIFSRRDSFITHRAFCDALAEETAKINAASHLNGLSAAGTVGMNLNYQYLMGTLNPPLQPFVPQPPTNQNHHHHFLPPPPSSSSSLSLWMGQDIAPTSQTQPQDYEWVFANAKAASGCINNNNHNDHITQTANASSTTTTLSVPSLFSSDQTQSPNANVNMSATALLQKAAEIGANSTTATSTNPSAFLKSFPLKSSDQTTTSYDDGEKFFALFGSNNNIGVVSNSHDQETENVRNDVTVASAMDELQNYPWKRRKVDGGGEGGGGGQTRDFLGVGVQTLCHPSSINGWI >A09p059230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49612444:49618282:-1 gene:A09p059230.1_BraROA transcript:A09p059230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKCGSVFLVAFCCLLLQSADSRTDLSEVTALRVIKRSLIDPMRNLSNWEKGDPCNSNWTGITCSERSHDDGHFHVRELQLMRLNLSGELAPEVGQLSYLEILNVMWNNITGRIPLEIGKISSLKLLLLNGNKLTGSLPPELGNLRNLNRLQVDENNITGSVPPAFGNMTSLKHLHLNNNTLTGEIPVELSKLNNLAHLILDNNNLTGSLPQELARLPSLTILQMDNNNFDGSEIPEAYGRLSRLVRLSLRNCGLQGSIPDLSSIKNLSYLDLSWNKLTGTISESKLSDNMTTIELSYNNLTGSIPQSFSELDSLQLLSLENNSLSGSVPTATWENKSFEDNKLQVDLRNNNFSDATGNLRTPENVTLYLRGNPICKSTSIPIVKQLFQYICGEKKQTSTKPEHTPCNNVSCPYEKVLVSPGICFCAAPLLIDYRLKSRSFFFFTPYIEHQFMEYITTSLQLDTQQLAIDKVVDENKLRLRMNIKLIPKGKTIFNVSEVIRIRGRFTSWTFPRNDFFGPCELIDFPLEGPYADLLAGESGISTVGWGLIVAASIVAATVISVSATLLYVKKRHGNLHGLTRKRVFRSISREIEGVKKFSFTELSDATNGFDSSAVIGRGSYGKVYKGVLTNKTVVAIKRGEETSLQSEKEFLNEIDLLSRLHHRNLVSLVGYSSDIGEQMLVYEYMPNGNVPNATETLSFNMRAQVALGSAKGILYLHAEANPPVIHRDIKTSNILLDSQLHAKVADFGLSRLAPNFGEGDCEPAHVSTVVRGTPGYLDPEYFMTRQLTVKSDVYSFGVVLLELLTGMHPFFEGTHIIREVRMAHECGTVQSMADNRMGQSSPDKVVKLAELALRCCEDRPEMRPSMSKVVKDLESICQSVKETDMFSETTTLLYTKTSSSSSSSSPVPSSFSGSNLDSGFFESVKPR >A10g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10892991:10895366:1 gene:A10g504400.1_BraROA transcript:A10g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLVIIITLAFKRHNTTPSTIIITFSITFAFKLHHNSTTMTEYNKLSEVSCNPKVRAWRFRVKIHRIYLFYSYVTNSGPFYTYVLADEDGSKMEMTVYGDYDRFRGFEKEEGSWVEIFLVEIKRSYPGFQATNSRFKLTATRYTQVRIIDPLNNWLFMDLKNIHAIPHMSHREQNYPIDTMGVVFNTEAHFDDPGRTRMVFYIRDNIDSQIKCVATGEHAYAFWDGLENMRGGQVIVALKMWRVWKFWNYFGPPDLWLETEGGISDFRFNPRLSEVEDFRQTLLNIDPYVQKYGVEGLVRKDTPMIPAIWVCLTFGDELDDAHPAPTLTRYPRSSYSSPTTGRGR >A03p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16734458:16737563:-1 gene:A03p040150.1_BraROA transcript:A03p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSVLGRSVIGAKASLLKKCNQRSKLSARLHCPVMLSPSKNRSLSFRFRPISEFSYRSSSSFMLFSSSQHHEGSQQSSDSGEKDLDSIKVLLKRGIVVGAVVSGVFLYGCRKVLASAGVVEAGYEVFGQSLVLFKNALPKIYQVLTVLREQGLILAALLSLSAFFSMAETSITTLWPWKVRELADKEPENGVFRMLRSDVTRFLTTILIGTTVVNIAATALVTEAATAIFGEAGVSAATGLMTVAILLLTEITPKSVAVHNAQEVARLVVRPVAWLSLILYPVGRIVTYLSMGILKILGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASGSLVDFHSMWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDYVQKGDLLESTSVGDMAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRDEGVYDVDANTSIDQLSEELNMKMPEGIQYETVSGFVCEAFGYIPKTGESVKVVLEKENWEEEGEEEEGKQERQEQKEKHQIYRVEILAGNARKVSAVRFERVNDMDQVSEAKDVRSMVPKFVRKWSSEEDDGNSSYQEKPWSSEEDDGNSSYQDNKPENDASDEHVLADK >A04g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7689531:7690074:-1 gene:A04g503550.1_BraROA transcript:A04g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNFLEARSYKTSSLAWRSIVQTQKLIQRGARWLTGVWRWGTCLCMEGSLVNDEQNSTPTGPGTSLFPDLLLKDLFIAGTKLWDVQKIQNLVQQEDVSRILTLTIRPSWFGAHDVQNSLISKLWKLKIPPKLKIFWWKILHNGL >A06p015240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6825897:6835607:1 gene:A06p015240.1_BraROA transcript:A06p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTPIEATPFSYLIPSFADDDNHHMENIPSPTSQVVTPLQTVDDETSYTTPPPIPQASPLQPANEENYNTPTPYQPLLLATPLSFISPSDESNTAAVDPNMGPIKRGRGRPKGSKNSKPSKKKMETSHPNNEVVVSGHNDETHNTSFSPHPPLMATDLQAIVPYDDSKHDSLADDDAAPSSDPLKRGRGRPKGSKSVKTPVKKLKPHNPDDKIFCPSFDSMITEEEKENGNEDLVDSVRMRFNAVCRRLGHISCEKAVVTTAFSRFTNLGVRTNKKKRIGPVPGVQPGDIFYFWGEMCLVGLHTQMPAGIDYLLAKDGEAEGLTTSVVTSVGHYNDKTDELHTLVYTGQGGTCKDGKPRNQDLTRGNLALVTSQKRGNEIRVIRGVEDPGDKKGKVYIYDGLYVVTHYWIEKGTTGFDEFKFNLVRKQDQPSGFATWKLAEELMKCGSSNRSRKGFVFEDIALGLEALPVPIVNEIDENDKEWPLDFDYRASSESLSMMIVPNHQSTGCNNTCQGGQSCGDPTCLCIQRNGGELPYDNRILLYRKPMIYECGESCSCPADCKNRLSQSGLKLRLEVFKTESCGWGLRSWEPIRAGTFICELVGTAKRRDEIEEDDEYVFDTSRVYKRFRWNYEPELVGEDCWDEVSEVYKLRSEILVSARAFGNVSRFMNHSCLANVMWQPVEFEKDGQPLVRIAFFAKRHIPPLTELRYDYGMSYDTGEVDEGGSRVFTGGTMNPNLWLKTVGTKPLKFINFGWPTFGSYCVFAKWYIPPLAELRYDYGMSYDNGDVDEDGSMGFRGKRVCLCGSENYRGSFERNYEPEVVGEDCWDEVSEVYKLRSEILVSARSIGNVRRFMNHSCSANAMWQPVKFEKDGLPSVRIAFFAKRHIPLLTELRYDYGMSYDTGEVDEDGSRAF >A08p010570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8679803:8684715:1 gene:A08p010570.1_BraROA transcript:A08p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKQSGLVYLHGDLELQIIEARYLPNMDLHFERIRRVFNTLNLFDKHSSSPKGSHRRTRNNIITSDPYVTVCLAGATVARTRVISNSQNPVWSEHFKIPLAHPVSQIEFYVKDNDVFGADLIGIATVSTAKIKLGETINGWFPIIGSPKPDSAVRLEMRFVPYEKNPLYNHGITSTGVANCYFPVRTGGHVTLYQDAHVHDNMPEIELEDGVLYQHERCWEDICHSILEAHHMVYVIGWSIFHKVKLVRDQSRKLPNGGDLSLGDLLKYKSQEGVRVLLLVWDDRTSHSKFFINTTGVMQTHDEETRKFFRRSSVTCVLSPRYASSKLSIFKQQVVGTVFSHHQKCVIVDTQASGNNRKIAAFIGGLDLCDGRYDTPEHRLFKGLDTVFQGDYHNPTFSGGTKAPRQPWHDLHCKIEGPAAYDILINFEQRWKKATKWSEIGQKFKRVTRWHDDSLIKLERISWILSPSTAVPTNDTLWVSKDDDKQNWHVQVFRSIDSGSLKGFPKDVHKAHAQNLVCAKNLVIEKSIQTAYIQAIRSAQHFIYIENQYFIGSSFVWPNYKEAGADNLVPIELALKIATKIRARERFAVYIVIPMWPEGDPSSAPVQEILYWQGQTMQMMYEIIAREIKHMDLENVNPQDYLNFYCLGNREELPSDQNCVSSSGEMVPASQKWGRFMIYVHAKGMVVDDEYVLLGSANINQRSMAGSRDTEIAMGAYQNHQTWGHRNKHPRGQVYGYRMSLWAEHMGKIDDIFKEPETLECVKRVNMISEDNWKKYTDDSFVPLQGHLLKYPLSVDHTGKVIPLSGFNSFPDVGGKILGTRTNLPDVLTT >A05p017020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7610774:7611840:-1 gene:A05p017020.1_BraROA transcript:A05p017020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPRDKNKRFLETRVSHEGVWPSSLHISLFLFCATLSTSLSQLKLIRLSSSPILDPSVFLVDLPFSLFTSLETGGVRFEGLWRCRRWGHGLTVDTEAFERAVVEISKLGGSGGGQLNVPPGWCRSLWKLNPWSKPVITGRKLDLQYRGKVIGISCNTQVLRASVSRTHGFLLISPNVPC >A06p033190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17804737:17805989:-1 gene:A06p033190.1_BraROA transcript:A06p033190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLSLLEFERIRSRNASVGVERIRSGDVSEALTEVLREETRLPRAPVSGEGKDLGGEKVVVSTSSNSPNGSEGRDRPLKKAKVAGADHRLGVLGDNAVVKLFHWQFSHFKDCPITEDLDSITHLVSHFKPAGCPLPSLRNMTERDTYIKMSVAHAKMRFQDVPRSDELYEIKKVIRELNLCLKMTQDRERAKAAQLAAAEKLGNQAASLEARLRVVSNERKSALEQVSLLEAKIESSASKFADDLRRGTHDAKKALADNYLDVLRSPKEKKKKAAANLVDLGSELDVMVVSDFSVGKLDLPQICEDLPEEFFVRVPSEVNEPGDETKRAGDHFEDGEDDAEE >A03p001230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:631723:633539:-1 gene:A03p001230.1_BraROA transcript:A03p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSQKKEETATKPEGEKKPVNVTVMKLDMHCEGCGKKIKRLLKHYKGVEDVKIDYKDNKMTVVGNVDAEAVRDKVAQRIKRKVEIVSPKKEAPPPPPSGGEKKVSDEKPAQKKPADEKPAGDKKEEKKKEEGAKTAPPPAPPKESTVVLKTKLHCEGCQHKIKRIVNKIKGVNSVAIDSAKDLVIVKGIIDVKQLTPYLNEKLKRNVEVVPPKKEEGATVAAAAAASASAGSEKKDKDAGEKKESKDVGEKKDGGEKKESKDVGEKKDGGGDKKKEVSPAGGGDGGATVDVKKSEYGGYGYPPQPMYYYPPGQMYGQHYMMQGQSSQSYVQEPYTNQGYVQESYTNQGYGQGYGQEAPQPYMNHQGYADPYAHMRAPQMFSDENPEGCSVM >A09p052270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45843300:45844157:1 gene:A09p052270.1_BraROA transcript:A09p052270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNDTVKLIGSWSSPYALRARVALHLKSVKYEYLDEPDVLNSKSELLLKSNPIYKKVPVLIHGDVSICESLNIVQYVDEAWSSGPSILPSHPYERANARFWALFVDDKIFGSLDAVGGAKDDEGRMAAAGKLMECLATLEEAFQKSSKGLGFFGGENIGFLDIACGTILGPVSVIEAFSGVKFLREETTPGLIQWAEKFRAHEAVKPYMPTVEEFIAFAKKKFGVE >A01g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9756402:9757866:1 gene:A01g503010.1_BraROA transcript:A01g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEIDFVLQMPPRTRNPKALKASRGAATPSHSANVPSSYPWPNKAEGQPININDPLLLDYNCEGWDKESTARYNRLLAAEILPTRFAHAETLAVLGLESDVFETLDVMGLAPLCYQAQVLYPDLVRQVLATAQITYQNPTAPTYENCYFSFMADGKFCSISLHDLNELLEIADTPREVSVDKKFAPANAFWDLIATGKFTSRKAYQSQIRNPTLRIIAKIVSNILFAKEHTSKVKNGELQVLYTGLEDEIRRDRVIPIQTVKTNPGFLPITMFSERKDSMVRTEDKRDRCGSVLTPLFKRFNIDLDSYTDGITLYCKLPLPGLTDFTTLENIVFLPNAEHLCDDPRAPIPDENAAKDDVEDMAPPADVAYDLEDLTDVTDDHAYRCWMMDSQKKNNSLMKRILRALTGGCIRSQVEQTTQGTRRLGKEPAGTSTREVRLPRNRRTAGHSSSGDSD >A10g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17987904:17989590:1 gene:A10g506340.1_BraROA transcript:A10g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYADFLAGSFPSSSSAGLGSPAAQGTSVPQPQPASISRIGYRTSCWFRRRNHNAICKSILKCFHSLLELPYPTYAHVPLEIQKMWLRSFAQDWNWDPAFTNDVRTPFNLQARKQYTSNVTEWKKKWRLKKDKPICLNQDVWDGFKAYWQLDATAHNAATNSVNRRSKRGGKGEAVHNGGAKTREEREIEMTAERGGVPPDWLELMRDMHTNKQTGEVQDPVARELLATLSKLKEDKEAQLQQSHQLSANDGSTASNMLSREEINQLVLENVPIKKGRRYGIGRTSEAISTSSSQLSVSSSSIVQYMERMKTELDEERSKRQAIEEQLRSVTAFISNLYPEQFSATQTQPDSATQSPDDRCF >A02g503440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11546498:11558442:-1 gene:A02g503440.1_BraROA transcript:A02g503440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEAQPSSFQVKSTQLAGLLAYSAEAAGSQLDGLFEFWADGPGPGQWRAMRSGHVVHESLGSCGQALGVVTRSWLGLDVPIREGLPGIMNSFSFSLILYEIKDTRPKQRDPPMRNENPSQKGRIRDQNHKGTIRFMANRKTYSWTEIGAKDQGWLAERQNDQLVRAIAAVSADRLDQKGTSRQRLRVAKGHELPKVVRYQRMQVTKSYEIPMVASIKGYEDQRVPMTVHRDTRLRVAEDHVVIQEVRDRKGTNGLRLKVYERNRTEKGTSGSMITRTPNALCWAWTHMMEIGCPTGVGPNLIDECIGWYEQIIYVVWVKSQGRSGQMKTHQFQDLMSFVSPEDGLGTIAYKAKGFRIVHEPRKAICMSQERPYANPQSKRNLSQWRTDELISSIDVAKLDYYLTQLRQLGVSSSQLDGLFEFWANGSGPSQWQAMRSGHVVHESLGSCGQAVGLADRLDQKGTSRQRLRVAKGHELPKVVRYQRMQVTKRYEIPRVASIKGYEDQRVPMTKGCIVIPRMQCIYYVSVSTHRTSVCVCQHTQNVRGCLCVFVCVRVCPSAHKGCLWLSMSTHIITLVLGLSTLTLPVDYSGRLWLSVSTHRTSVAVCVCPCVSVCVRQHTQDIRGCPCVSVSTHRTFVAFRVCPSAHAGRSWMSVSVRVCSSAHTGLPWLSNSTHISTLVLGLSTLALPVDCLGDFGPHGLSVQYTERLWVSVRTHMTSVCVRQHTKDVSGCPCVSVSTHRTSVAVCVCPSSHTGRSWLSVCVNQHTQDVRGCPCVFVTLTLPVDSSGDFGPRGLSVQYTQDVCGCPSAHTDVCGCPPAHIGRLWLSVCVRVYPYPHTGRLWLSISTHISMLVHGFSTLAHPVDCLGDFGQRGLSVQYTQDNRGCPPAHTGRLWPSVSIRQHTQDICGCPSVQISALHTGHPWVSASTHRTSVAIRVCPSAHTRHPWLSISTNISMLVLGLSTLTLTVDCSSDFGPRGLSVQFTHDVRGCPSAHTRRPWLSVCVRVWSRVSVSTHRMSVAVHQYTYQHAGTWTQHAGPSRGLFGTSVGVRQHTQDVRVCLSAHTGRPWLSVCDRVCPSAHTGRPWLSISTHISTLVPALSTLTLPVDCLGDFGPCGLIVQYTQDVRGSPLAHTGRLWLSVAVCQHTQDVCGCPCVSVCVRQHTQDVCGCPSVHISTLVLPVDCFGDYGPRGQSFQYTQDVCGCPPAHTRRPWLSVSTHRTSVAVRVCPCVSVCVRQHTHDVRGCPSVHISARWSLDSARWPVPWTVWVILAHVGCLLSTHMTCVGDRQHTQDVRGCLSSHTERTWVSVCVCGCPPAHTGRPWLSVAVRQHTQDIRLCLSAHTERPCVFVCVRVCPCVSVSPHRTYVAVHKYTYQHAGPWTQHAVPSRGLFGTSVGVRQHTQDVCSYPCVVGTGRRVERMDGRTDGWSFCGSALPWMDALITLEPDLVVGPLRIMGTSVVGTTTPWLSVSTHKTSVAVHQYKYQHVGPWTQHADPSRGLFGCFLAHVGCLFSTHRTYVAVQQHTQDVCGCPCVSVCGRVCPSAHTGRLWLSISTHISMLVLPVDCSGRPWVSASTHRTSVAVCQHTQDVCGCPCVSVNTHRTSVAVNQYTYQHAGPLTQHAGPSCGLFW >A08p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20977828:20979856:-1 gene:A08p035610.1_BraROA transcript:A08p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methylesterase 13, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G26360) UniProtKB/Swiss-Prot;Acc:F4IE65] MGNSFTCISHEEEQRPKKSSAGRGKGGGSNTRKYMRRLSLSCSGSSSTSSSRKGVIKPKKKIRERHHQDHHGHDKNSHIIQEQTLAATNLLFNQTPRNSNYVVPPNFRQSTSSSGGSGPVSAVQSPKKSTCGFVRTSSSRRKSSVNPVIKPNQELKKVEVSETKRFVLVHGGGFGAWCWYRTITLLEKHGFQVDAVDLTGSGISSTDTNTITSLAHYSKPLLHFLESLKPNEKVILVGHDFGGACMSYAMEIFPTKISKAVYISAVMLANGQSTLDLFNQPLGSNDLIQQAHIFLYANGKKNPPTAVDYDRSLLRDFIFHKSPPKDLALSSVSIRPFPFAPVVEKLHVSEKNYGSIRRFYITTMEDCAIPVPLQEAMIKLNPPEQVFQLKGADHAPFFSRPQSLNRILVEIAKLPFKKSS >A04p028380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17071797:17072861:1 gene:A04p028380.1_BraROA transcript:A04p028380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSNDLRPIPQPPDFHPAVIVPSQPHGLRFWQLMVAGSIAGSVEHMAMFPVDTIKTHMQAIRPCPIKPVGISQAFRSIIKTEGPSALYRGIWAMGLGAGPAHAVYFSFYEVSKKYLSGGDPNNSAAHAVSGVCATVASDAVFTPMDMVKQRLQIGNGTYKGVWDCVGRVMKEEGFGAFYASYRTTVLMNAPFTAVHFATYEAVKRGLREFSPERVGGGEEEEGWLIYATAGAAAGGLAAVVTTPLDVVKTQLQCQGVCGCDRFKSGSISDVFRTILKKDGYRGLARGWLPRMLFHAPAAAICWSTYETVKSFFQDVNGDSNTA >A02p045680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28600114:28607375:1 gene:A02p045680.1_BraROA transcript:A02p045680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDQILGEGAVADLSVWENKITSGITLVMATLIWFLFEIKETEPVPFLCSILLLLILLLFIWVKRPPTPEELQQEDSPVRALFSEIEGLLLMLYEIAYGEDIKTFIWAILYVAIIYTIGSYINLLTIFYICLVCLLTIPVLYLQYQEVVDNFIGEGHEEEEEVVLGHVWIAMFGLKKPLERLSKHHKPSSSASASKSNPFDSVDESDGNKKHTLKPSNKISPQPSLPTTKKNHGFNPFDDVDDEEVVEKRLKPSFKNHFRESGGVENQTVQELESYAVYKSEETTKTVPGISSARDKISLSSKQGEKITRTHHKAVDIDHDLTRGEKLLGSLGGIFSRTWKPKKTRSITGPVITRGESRKRRVNNLETREKLGLNHLPKPDSRTNEPLPESADAYQKIEMEKAKQDDGLADLSDLLGELKNMAIDMGTEID >A05p036460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20655957:20668413:-1 gene:A05p036460.1_BraROA transcript:A05p036460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSQNDGAYQPLLQPQLSPATESDNSELERVLSDVETPLFARLRKATMIESKLLFKLAAPAVIVYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGGRKYDMLGIYLQRSAVLLTLTGVFLTFIYVFSKPFLLFLGESPEIASAASLFVYGLIPQIFAYAMNFPIQKFLQAQSIVAPSAYIATATLFVHLLLSWLAVYKLGMGLLGASLVLSLSWWIIVVAQFVYIVTSDRCRETWRGFSVQAFSGLPSFFKLSAASAVMLCLETWYFQILVLLAGLLENPELALDSLSICMTISGWVFMISVGFNAAISVRVSNELGAGNPKSAAFSVIIVNIYSLITCVILAIIIMVCRDYLSYAFTEGEKVSAAVSDLCPLLAVTLILNGIQPVLSGVAVGCGWQTFVAKVNVGCYYIIGIPLGALFGFYFKYDAKGIWTGMICGTLIQTVILAWVTFRTDWTKEVEEASKRLDIWSNKKAEICSSDDLLGKSSGCRRLTFQSSGCRRLTWKSSGQRRVQTTSKKSRRLPGSSDDFARRLLGSSDDFQTTLQEVQTTLQEVQTTFRKSRRLPDDFQMTSRRLTIKEIRVGLESFSLGKKHKNLPKRSEKSRRLPRSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTSRKSRRLPGSPDDFVRRLPMKSRRLPDD >A02p018350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8457377:8458776:1 gene:A02p018350.1_BraROA transcript:A02p018350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIICTTTSPAEKEQEPKQSFENDQTPPIVFNPSLLNLQSQIPNQFIWPDEEKPSIDIPVLNVPFIDLSSQDSTLEASRLIAEACTKHGFFLVVNHGVSESLISDAHRLMGSFFDMPLAGKQRAQRKLGESSGYASSFTGRFSTKLPWKETLSFRFSNENNGSRTVQDYFSCTLGQEFEQFGNVYQEYCEAMSSLSLKIMELLGLSLGVNRDYFRGFFEENDSIMRLNHYPPCQTPDLTLGTGPHCDPSSLTILHQDHVNGLQVFVDNQWRSIPPNPKAFVVNIGDTFMALSNGIFKSCLHRAVVNRESARKSMTFFLCPKRDKVVKPPSEILDKIPRRYPDFTWPMFLEFTQKHYRADENTLDSFSNWVITNKNLI >A09g518710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56949078:56949533:1 gene:A09g518710.1_BraROA transcript:A09g518710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLENLTPTRHRRRADTRCGIDDALASDQHREPTDGSPGSPELTYSTPSPPPTVFDRGEPKYGEYHSTDRDLIRHQSSQSPRGRLLREPSPLTLDELRSSSDVVTTKPHQATSTTLKEKKPTKP >A01g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8205406:8205928:1 gene:A01g502370.1_BraROA transcript:A01g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIPSDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDAMMLIVTYEGDHNHALVLETHHDKTL >A03p053230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22846894:22847475:1 gene:A03p053230.1_BraROA transcript:A03p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLCSKGGGSIKTIEIVQPPKPPQPQPQPQAQPPPQKPKEAPKAAEKPKEGEKPKQPEKPKEAEKPKEAEKPKQAEKPKEADKPAAPKPAPAPAQAQAPAPAPKQAGPPPQAMPMMSHGQPVAMCYGPYYDGFGGGPAFSGYGMPPQPQPYQSYGRPVYDSWGGGPPPSYRQCNLNRCDYFSEENPQSCSIM >A08p001330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:790016:790581:1 gene:A08p001330.1_BraROA transcript:A08p001330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENIEEQKKGKYVLIRDGEGNELGGLFYKPLPCFGFGIGWLSFLLGFFFPFAWYFATFLYLTNYYRRDPRERSGLAASAIAALIFTVALLITVLVLLFSGR >A06p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4101146:4101921:1 gene:A06p011150.1_BraROA transcript:A06p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATE1 [Source:Projected from Arabidopsis thaliana (AT1G13690) UniProtKB/TrEMBL;Acc:A0A178WKP3] MAAVQQQQAMQKNTLYVGGLADEVNESILHAAFIPFGDIKDVKTPLDQANQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAHPLWADADTWFERQQQEKEILKIQAENKAAMEAAEELHRKKLAQDRQGEMEEDTEVKDDPMARAEAEALSHDNP >A01p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20555114:20556519:1 gene:A01p024890.1_BraROA transcript:A01p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSKWIRNFLTGKKERSKEKIIQSECGGFTSPVPGIPKEKRRWSFRRSSAARPPACASTLKVSSPSAQPSLPPPPQPFEVANVDTDHDENKGAEIAMAVEEFAAVKIQAYYRSHLARKALRALKGLVKLQALVRGHLVRKQATATLRWMQALITLQAKAREQRIRMIGNSTPKSTNQRTPIHYNENDQNIENVEMEIQSKFYSPAPSITDMSPRHFEDCNSFSRAQRSPQCFGFKEYYNGDTLSSYGYPLFPNYMANTQSSKAKARSQSAPKQRPYEMYEKHTSARRRSNVEAPRNGVLKAVRVHRASSQLGKESHYEYYPWIATKLDRSNISLMESECGSTSTVMTSTNYGRHVDVQGNVQQV >A01p010570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5159440:5162264:-1 gene:A01p010570.1_BraROA transcript:A01p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRGRRPEKFWPSIVMNKWLNIKPKVYDFSEDEVDTETESEDDVCSVKDVADSCCVTDEHSHGSRRGREADHGNKVSDGGLRGYQRKHRRGKSETLRAQYINTKDIRVTVATWNVAGKRPSDDLEIDDWLTTDSPSDIYIIGFQEVVPLNAGNVLGAEDRGPIPKWESIIRRTLNKPEKESFYDQSSPSNTNVLLHRSHSAPSSPVLGQQTNSIIADVMVENLASDQSLDLATDEFIDAATALPSLEPVGNPDVDWPERALDENPQIVGSEGKLRRVLSSNAMLGFKLPENPTGVSRFSLDARNLKRSRSFETLKLSWSDIKEENDNNSSSSSSEAAKTMSDEDSSDGESTSDEEEGDKIGNTYGLPEDLVEECRKVKDSQKYVRIVSKQMVGIYVSVWIRRRLRRHVNNLKVSQVGVGLMGYMGNKGSVSISMTLYQSRMCFVCSHLTSGQKDGAEQRRNADVYEIIRRTRFASVLDTDQPRTIPCHDQVFWFGDLNYRLNMSDSEVRKLVSQKRWDELKNSDQLIRELRRGHVFDGWREGPIKFPPTYKYEFDSDRYAGENVREGEKKRAPAWCDRILWLGKGIRQECYKRSEIRMSDHRPVTSIFNVGVEVFNQRKLQRALHVNNAAACAVHPEPSFLF >A04p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1151381:1154225:1 gene:A04p002400.1_BraROA transcript:A04p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNLYLPYKYGRLSSAKSNSVQVMHVRSLTMKSVVRCLLVVLTVMVTNIVEPIAGKVKNQQIELRLKQLNKQALKSLESSNGEIIDCISIVSQPAFDHPMLKNHTIQMTPSSYPHEVLTKENNAPTPSNDEEQPEITVQPWQLVGECPENTIPIKRITKEDLLREDNIKNHGNKSNISQPHQFYHPKDITNYSVHEYAIASVDGGPFRGTKAQINVWKPRVQEVGESSISQISIIGGKFDAGLNSIQAGSHVHPALYGDDNPHFFIYWTRDNYQNTGCYNLRCPGFVQINKKLTPGYLLTPISTYNGPQVKFTIKMWKDPKTGNWWLQLNDQELIGYWPKEIFTNLADEGASVIEWGGEVVNKKKDGQHTTTEMGSGHFPSEGFGKASSFEVIKIIDMNNGLIDPVKVKTVVSRPACYDIKTGYDKTYEVFFYYGGPGRNPNCS >A09p017460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9041588:9042343:-1 gene:A09p017460.1_BraROA transcript:A09p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPQHIHTFCDNLSENFSQTSQYKSNRETLLSSLRDRSPLGTYYNATVGLSPDTVHGMFLCRGDITKQSCSNCVKTATLEITKNNCTYIKDAIIFYQECMVRYSNVSFLRIVENGPWAAMYSNVSFPDSFLFPFRETLSDKVEKLIILTASKSSLSSLTPYYVRDRNKVNEFDRSYTLDTMVQCSPDLDPANCSVCLRLAVQGVSSCCNNARIAQFFLPKCFLKYDTIGLPTTQSPSGSFSVHAKKGEQA >A10p004610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8926208:8927494:-1 gene:A10p004610.1_BraROA transcript:A10p004610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSTTTTTTTTTMVSTEEDSERRDSSNCYFPDCRKDANCTCEICLDSLNATLDLMPLSVQRSSLTKLSYASSTFKPTVESTPTSFDPDVVVTTPASVSRPISRVISQPKKKVVKKPKEKIEKERKTLTLVVVMKVVLAIGLVLCLELVFGWVVKGVLKPEFSEEIVRVSGERSQAANDLDGKMRISEDELKGIAKNGKFSSCVDSDSRWRFNQDGSMLNSKCVLYKSVIEEVSIWGWPLQTAGLFSTGFSSSSITVLSGRVTEWSEGKFGYLIHEANTSWRRTKWSTSVLQLDPNTWVLEYRLSSVMESSSLLSMAVDLLTRVMVQAAKDVNREVFWMFSATGRLYSEVVSEASTTMTPT >A03p014810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5864918:5867242:-1 gene:A03p014810.1_BraROA transcript:A03p014810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNITTSITIFLIFLGLLRVDSFPGLETATGTLASIPAVYVLGDSLVDAGNNNYLAISISKANYPHNGVDFPGSIATGRFCNGKNAADTIAEKFGLPLPPPYLSLKGIFKEEERKAAALSGVNFASGGAGIFNSSDQQLRQSIPLSYQVNNWLSIHKELMSQLDPSEAQIHLSKSLFFVVIGSNDIFDYFGSFKLRQKTNPQQYTQSMADKLKEQLKRLHDTGARRLLIVGVAQIGCTPGQRAKNSMHECDEEANTWGSLYNKALVKMLQQLKEELGSSMTYSYFDKFNSLHDIVTNPARYGFADVKSACCGRGELNAQLPCSLVSNLCSDRTKYLFWDLYGHPTEAAARTIVDLMLSDDSQYSSPLTLTQLVSS >SC273g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000120.1:61523:63039:-1 gene:SC273g500040.1_BraROA transcript:SC273g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWLVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLMEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGIKQEARRKGETSSGHKKKLKGDLTVKQLALIQVVHCLTSDQKWSLQVVDSLLHYSVPTGSKKLIPSIKISLSLTEDDDDDPVMS >A03p064690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28187425:28198167:-1 gene:A03p064690.1_BraROA transcript:A03p064690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT4G30290) UniProtKB/TrEMBL;Acc:A0A178UU22] MKSSCGTRFAFLVLFLFAVQSVCVNAGTGSFHKDVKIHWGDGRGKIHDNEGKLLSLSLDKSSGSGFQSNDEFLYGKAEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTKGSGDKEQQFHLWFDPTREFHTYCITWNPERIIFTVDNVPIREFKNSESIGIPFPKIQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVEGCVWANGKSSCAANSPWYTQKLDQRGMNRVKWAQRNYMVYNYCTDKKRFPKGVPAKMKLSCGTRFTFLVLFLFAAQSVAVYAGSFHKDVQIHWGDGRGKVRDRDGKLLSLSLDKSSGSGFQSHQEFLYGKAEVQMKLVPGNSAGTVTTFYLKSPGTTWDEIDFEFLGNISGHPYTLHTNVYTRGSGDKEQQFHLWFDPTKNFHTYCITWNPQRIIFTVDGIPIREFKNSESMGVPFPKKQPMRLYASLWEAEHWATRGGLEKTDWSKAPFTAFYRNYNVEGCVWANGKSSCPANAQWFTQKVDLEGEKKMKWAQSKYMIYNYCTDKRSSLLSSFFLTFLTKLNNTHTDVHTTMSPFKIFFFAALLAAVFSFSAADFNSDVNVAWGNGRGKILNNGQLLTLSLDRSSGSGFQSKTEYLFGKIDMQIKLVPGNSAGTVTTFYLKSEGSTWDEIDFEFLGNMSGDPYTLHTNVYTQGKGDKEQQFYLWFDPTANFHTYSILWNPQRIILTVDGTPIREFKNSESIGVLFPKSKPMRMYASLWNADDWATRGGLVKTDWSKAPFMASYRNIKIEGCVHINGRSSCSPSSSWYTQQMDATSQARLRWVQKNYMIYNYCTDRKRFPQGIPRECAPSA >A06g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9347025:9349944:-1 gene:A06g502830.1_BraROA transcript:A06g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREEISPSSDRSIDQVALPPVRSDSKFGKGKGTVSGSSLPIDRSASRSHQSPSCDSDSRELSQEDVDGDCDIEDEGEILSAGASGDPVIERGVEGDSLVKGVRRDRAIEVDGILDGGAKDRSIGSTVKTCRLTPFSYRRDGAIGQLLDLPSKFARPSVVQGQNWGDVMPTHPQSWASLDRQRISRQQKRIAKVDWSPDVPCVTTTAKRMKLPLMGHIPKAYPSYSELLRTQLKGESFSSMTASEGNGAESQGAPAGGEAAIDERDIKALVPTTKETDVSTADAPDVSLKKKKKKKKNKSSDKVAIGSGDGKDLGEKDQVEGDHPTREVGGSNDPAETGLVGSFGVKRKEQADGNSLGPGGKVQKRLRSPSPLPLQEISLPASRLLPWGGSSPPSDRFLLASSERWTFGHDKDASFVSDPDACAELVRRIRGGVHLMPETPELAFPDGFAAFAQADMEAVVRKNQLILDYELSLRKMASDFAKAEAALVSKDAEIERSKRVALDKAKDMIAERNRYHREHKQDAERIKGLEGELESARGKIARLEAEKAEEAEKAKMTMEHVRQVHRRELTSEMSCIRAAATDRFDKFRRYIVDRDKREEKLALHSQAFGTLDGLGMLEEWGMPIPKKLKDILSTNEAKFKEELEGVIVEDITEQDLTVSSLPRLDRLQGSNQFGSIVEVADPAAASSSAYEALI >A07g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4816151:4817983:-1 gene:A07g502220.1_BraROA transcript:A07g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVFERAARNSIQDLFRLKASSRSMKALAERRGVYHFLDVLSVPWGLTMPSELLKACYDEGNPSTLYIKGVQFFYSLDLYEEGLSLMKRAADAGYERAVIGKLVRSVKWGWGLWHGDYFRDHKRQCDCLWHIDVTKDDNLCNRCFWIKELGLFLRDFELISLLRDTRKWVGENIEKLMATEFDHAHNNGLDLHRSG >A08p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21247923:21249760:-1 gene:A08p036110.1_BraROA transcript:A08p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHERFPASSPVSLSPAFSSSPSSHSQSPPDLKQRVIACLNKLADRDTLSLAAAELDSIARNLTHDSFASFLNCIHNTDSSSKSPVRKHSVAVLSLMSRHHGESLSPHLSKMVSTVIRRLRDPDSSVRSACAAATADISAHVTRQPFSSVAKPLIETLIQEGDSNVQTGAAVCLAASVEAAADPEPEQLRKSLPKIGKLLKSEAFKAKAALLSAVGSIITAGGAGSKPVLDWLVPVLIDFLISEDWAARKSAAEGLSRVAAAEEELAWEYKKACTAALESRRFDKVKSVRETMNRALSQWNEVANDVDEALLSPCTSSNIDVGFKSSRLKKSTPMIKRSTHRSYVASRQQKENLPKRNVTVSVASREEEKVKSGGADTIIKHTISEKSKEDKKVHVFGCGLRSGSRVAPCSEDGDDSCDSVVKNGKDGVDEIRKDSEELSLIREQLAMIENQQSSLLDLLQKFMGSSQSGIQSLESRVSGLEMALDEISCDLAVSNGRVPKTSSCGGESCSKLPGAEFLSHKYWRKAEERPMQTRSTASETAAHENSLDQGMQKRGSVFQKRSGRNQFQDSMHTTLQKPTT >A04p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17196323:17199566:-1 gene:A04p028650.1_BraROA transcript:A04p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVEKSLIAVFVAVVLATVVSKLRGKKLKLPPGPIPIPIFGNWLQVGDDLNHRKLVDYAKKFGDLFLFKMGQRNIVVVSSPDLTKEVLHTQGVEFGSRHRNMVYDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQNHEGWEFEAASVVEDVKKNPDAATKGIVVRKRLQLMVYNNMFGIMFGKRFESEDDPLFLRLKFLNGERGRLTQSFEYNYGDFIPILRPFLRGYLKICQDVKDRRFALFKKYFVDDRKQVATAKPTGGGGLKCAIDHILEAQNKGEINDDNVLYIVENINVAAIETTLTSMEWGIAELVNHPNIQSKLRNEIDSVLGPGVHATEPELHKLPYLQAVIKETIRRRMAVPLLVPHMNLKDAKIAGYDIPAESRILINAWWLANNPDSWNSPEEFRPERFLEEEAHVEANGNDFRYVPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQSKIDTSEKSGQFSLQILNQSTIVMKPRAF >A07g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23424874:23427681:1 gene:A07g508550.1_BraROA transcript:A07g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPYVFFFFPSTEPRFSKSLFHLLPQNRKRYQIKSRFGLAASSLSVSTLAVLDLPTRMRTVTKAHKIVIWLALPVLVLQCSSATLIHYLTMTGDPSKGKVSVIYVTSDFCQWWYLVGSYGKRSLWNGNSLLHFTLLCLRLSLMSLVEAQCLLQLLLGTMNCLGHWRMLLKHFRRLCFRLRRESLGVHVLSKNHVLTKGVYYPAVEKALEMIKHKSSSLSKGVFARNSRIIMDSDINPIAWLAKLQSEGHDPYQLCLQPPGASAFIGNTIWCLHEALAAARPRAASTARDMKIECGLLIVPKKNLEFFCTRECKRKVKLKINLIALHLSNLLSTINLYFDTLRSVLTHVLLPYFFLSVYMRQKTVRKLARLHHLYSQLVGKNTLKARYRNSEAHYVRGIQNYFRNNNTYKAYNIYTCTTIEVYNNNIYEYGIMIYSISLHEVKVTRLSVYIVTYLNVKATITWHPYTTGIRCDTCICYKEIKNFVFMI >A05p026090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:13850009:13850278:-1 gene:A05p026090.1_BraROA transcript:A05p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTPSLYKYRALDPDLHTEFLRGTSAKIVRDKPQKEKREPTIQRENRREAWLFVPGETGSWEARPEERDTRKGEGQGEGDGAWGENA >A03p015310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6077365:6079474:-1 gene:A03p015310.1_BraROA transcript:A03p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAETQITPVQVTDDEAALFAMQLASASVLPMVLKSALDLDLLEIMAKNSSPMSPSEIASKLQTKNPEAPVMLDRILRLLTSYSILTCSNRTIPGGDSVERIYGLGPVCKYLTKNEDGVSIAALCLMNQDKVLMESWYHLKDAILDGGIPFNKAYGMSAFEYHGKDLRFNTVFNNGMSNHSTITMKKILETYKGFEGLTSLVDVGGGIGATLKMIVSKYPDLKGINFDLPHVIEEATSHPGIDHVGGDMFVSVPKGDAIFMKWICHDWSDEHCVKFLKNCYEALPEDGKVILAECILPETPDSSLSTKQVVHVDCIMLAHNPGGKERTEKEFEALAKGSGFKGINVACNAFGVYVIELLKKM >A05p054520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32041547:32043159:-1 gene:A05p054520.1_BraROA transcript:A05p054520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 8 [Source:Projected from Arabidopsis thaliana (AT3G02960) UniProtKB/Swiss-Prot;Acc:Q9M8T7] MCPLFVIFAYIYYENLLIIFSGLKNKQNGEADNKSKNQKNGDSNKSDTKNQKNGDADKSDKKNQCKEIVLKVYMHCEGCASQVSHCLRGYDGVEQIKTEVGENKVVVSGKFDDPVKILRRVQKKFSKNAELISPKPNPNQDQKKEQQQKKESTPQIKTAILKMNIHCEGCSMVVVRGVMDPPKLVEEIKKKLKRHAELVSQNTEKGKGNNDKESNNNNKGNKKNEDSDGNTIFSYPPQYSAQHNYPSQIFSDENVHSCSIM >A10p007690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10960754:10961152:1 gene:A10p007690.1_BraROA transcript:A10p007690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAIPLSLCGSLALGFAASLVGSLPEGLPFERRHRRVKALSRAVGGASVWFGRAIASRFEGAYLSIARGNQLFISAWS >A10p032150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19081061:19081653:1 gene:A10p032150.1_BraROA transcript:A10p032150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRYKGSVFITFIVLSVFLLQCPLAHSSSTKLFWLAETEGMNAMKKEHEIDVGSASEAEERKVPTGADPLHHHHIPFASP >A05p035660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20213062:20216186:-1 gene:A05p035660.1_BraROA transcript:A05p035660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPLKPKIIFIPYPAQGHVTPMLHLASAFLSRGFSPVVMTPGSIHRRISTTNEDLGITFLALSDGLERPDALPSDFFSIERSMENIMPSQLERFLLDEDAGVACVVVDLLASWAIKVADRCGVPVAGFWPVMLAAYRMIESIPELVRTGIVSRKGCPRQPEKPLLLPEQPLLYAGDLLWLIGTPTAQKRRFKFWQRTLERVKSLRWILVNSFKDEYEREFINKDNNNQNPKILYVGPSHNQAATSDTTLTKNPSFWEEDRSCPGWLQEQKPNSVIYISFGSWVSPIGESKIRKLALALEASGRPFIWALNRVWQEGLPPGFVHRVKNQGRIVPWAPQIEVLKNDSVGCYLTHCGWNSTMEAVASCRRLVCYPVAGDQFVNSRYIVDVWKIGVRISGFGEKVVEDGLRKVMEDEEMGERLKKLRDKAMGNEARLCLDNSFTIFKDDICGYSI >A01p055790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31693227:31697588:1 gene:A01p055790.1_BraROA transcript:A01p055790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSKRSVSTLLRSGDRSLRVATAAGTSIHRSSPSSTRGEAESRWYSSLTNGKCRRSESLAHLNMKANWFMGYRNESSAAASDSSSQAPPPPPVEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTDPELSKDAADLDIRIYADKENGVITLTDSGIGMTRQELVDCLGTIAQSGTAKFLTALKVLLDNKDAGGDNNLIGQFGVGFYSAFLVADRVTVSTKSPKSDKQYVWEGEEGSSSYTIKEETDPQLIIPRGTRITLHLKPDYKGFADPERVQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDDDPAESKQDNQDDQAERKKKTKKVVEIYWDWELTNETQPIWLRNSKEVTTEEYNEFYRKTFNEYLDPLASSHFTTEGEVEFRSILYVPPVSPMGKDDVVNQKTKNIRLYVKRVFISDDFDGELFPRYLSFIKGVVDSHELPLNVSREILQESRIVRIMKKRLVKKAFDMILGISLSENREDYEKFWDNFGKHLKLGCIEDRENHKRLAPLLRFFSSQSENDMISLDEYVENMKAEQKAIYYVASDSITSAKNAPFLEKLLEKELEVLYLVEPIDEVAIQSLKSYKDKDFIDISKEDLDLGKCDKNEEKEAAAKKEFGQTCDWIKKRLGDKVASVQISSRLSSSPCVLVSGKFGWSANMERLMKAQSAGDTTSLEFMKGRRVFEINPDHSIIKNINAAYKSNPNDEDAMKAIDLMYDAALVSSGFTPENPAELGGKIYEMMDTALSGKWSSPEAQPQQHMAQSHNAELLEAEVVEPVEVDGKK >A02g502220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7145036:7145288:-1 gene:A02g502220.1_BraROA transcript:A02g502220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASVHMIRIGVRFTQKWWRKNCSGGSASAAVAARRIVAMAMKEVAVMTVIMLF >A08p043600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24248261:24249046:-1 gene:A08p043600.1_BraROA transcript:A08p043600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEHGQSRAMNYGTSPYQTGSVGPTAQSGQMAFHQHHQQQQLTQQLQVFWQNQFKEIENTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGSIPRGTVPEASAAGYPYGYLPSGTAPVGNPGMVMGNPGAGYPPNPYMGQPMWQHQGPGQPDQVLVLSK >A03p071480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26017033:26021005:1 gene:A03p071480.1_BraROA transcript:A03p071480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTNSEITMLNNLKPYKNTWKVEVKVLRSWSQQSNYSGEDTFEFLLEDRMGTEMYCTCKRIFLARVKNLQVGQWKFLENFSVYPAIGMYRLSGHNFKISITQCSIVTNSSLTTCEVPSIDHNKESSSEDLPTSGSKRKEGDTDLNDMNSTSKKLYEWRLTLKLLHSWKQSTSFSGDTLECVLVDQTGAKIQASCKRSQMNRVQRYLPVGEWKVVDTVKIIGAGGQYRPTKQQYKMTILGDTSITPSDYRNDNQFLDLANYPEIVNGKLKPNFLIDIMGQVTDLGAVATVQAKGNDTKRVHFRLRDLSGQEVACCLWGKYAEQIETHMEETNDETLICLIRFAKISEFRGEVHITNAFDASLVCLNPTMEEAIDFRQKMSSDPLALAIFDQSNEKKIITKVAANWDDVDVRCISENLQSFEVDSFKIICSIESIDTDWGWFYFGCTRHNRRLTKIGRKSSGKMIQSEKPQFYCDVCRGPCNNYEPKFKPHLIVKDDTETCRLMLLDTVGRTIIGSKAVELWDGSFDEIEDPEILPQPIRDLVGKSFCFGLAITSDNVTNGSDTFKVSEVWSGDYIQRIESLSEPVSLIETVSSTLSGGELPGIDHINENSSEDFSTPSNKRKEDECDQMDMTSTSKKLCTKIVKKEKAKD >A03p059350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25807570:25809438:1 gene:A03p059350.1_BraROA transcript:A03p059350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEISKSAGDSSAATAAATNAAKSKWKILRPNSLRWIPTSTDNTIAAEKRLLSILKTPYVQEQVNIGSGPPGSKVRWFRSSSNESRYINTVTFDAKEGSPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKSKNLSNFILLGHSFGGYVAAKYALKHPEHVQHLVLVGSAGFTAESDPKSEWLTKFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPGLVNRYTSARFGAHSEGTELTDEESRLLTDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKVPTTFIYGMNDWMNYQGAVEARKHMKVPCEIIRVPQGGHFVFIDNPSGFHSAVLYACRKHLSQDQDSSHQEQLPDGLRLV >A08g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18687748:18688737:-1 gene:A08g509430.1_BraROA transcript:A08g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCSRHRKEKDGGTETTEVEDLKEGLSERLFATDRFPSERVNMYSTVDLLLAVRDALNDTSEMGEHESGGGGDAEYPLYVHSEIDAAKKRRAREWRGSFVDAEKYAAMGAHVEELGT >A07p038740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20596028:20599220:-1 gene:A07p038740.1_BraROA transcript:A07p038740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLCCLRVPEQGNESRNVPRITAFSHPIMNNFPLKYEQLSRNLERREFSSVANKEEDVCPTCFYEYAEDNPKTVLQCGHIFHLACIYEWMERSTACPFCSKTMLFLEDEITEQVD >A09p072530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55569837:55570404:-1 gene:A09p072530.1_BraROA transcript:A09p072530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQCYINEKGEKVYTTKKESPLGTATESAHPARFSPDDKYSKERVLLKKRFGLLPTQGAPVKY >A10p012600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12276331:12279961:1 gene:A10p012600.1_BraROA transcript:A10p012600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTITEEELVSPYLILFKSLCLIPFFHYLFFLFLAILLFLFLFLEIHFPRSDPISLTFNPNSDLCQFIVSKCRLLHGRPHLQTAFLSLFGNSPPFCYKRNLFQATDGGTIALDWLMHSDVVEGISQVVNGSTPGNDRNPIAIVVPGLTSDSTAAYIKHLAFRLAKEGWNVVVSNHRGLGGISLTSDCVYNAGWTEDLRKVIDHIHSQFPDAPLFAVGTSIGANVLVKYLGEDGTDTPLVGATAVCSPWDLLICDRFINRKLVQKLYDRVLTVGLQGYAQLHHSIISRIADWEGIKKSRSVREFDNYATRLVAKFETTDAYYRHSSSAQFVGNVGVPLLCISALDDPVCTREAIPWDECRGNKNIVLATTTHGGHLAYYEGITATSMWWVRAVQEYFEVLLSSPYADQRQKTQIIPEPLESSIDQGPYIVETGEDGLVAAAAPSEVETTRANAEEEDSAQIGKTSYHLHKDNTRQGYNSLIGPLMNRVDQLSRYSRKSVWLLAYIAIVSTWPLLGPALLLSIKKRFRRLIKK >A02p022800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10919816:10920914:-1 gene:A02p022800.1_BraROA transcript:A02p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSNCFFLVSLVVLLLFFLGSTLTMASTKDIDSICNDSFVHNHNKTLCLQTLTAYPPAVSATNMVNLVKVTVDLVRTQAKKRAGFVAGLEKEPTFNKTMCYESYISIVENFRSARLEIEDNDAQTASYDIMVSFDQTKIVKDQVGKNTDKASKRLMEMTLVMEDFIAIAVGAINRI >A09p040700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22996958:22998800:-1 gene:A09p040700.1_BraROA transcript:A09p040700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA 1 [Source:Projected from Arabidopsis thaliana (AT1G34790) UniProtKB/Swiss-Prot;Acc:Q8VWG3] MFSSLSNHCSPHSMDCEIYSSSSSENPRDHVQSLDLFPNITQNPNNNNTLIEPLPLIDRINLNSSLNLKPRPSYVGEGDDEVEDEEDVVVDVSLHIGLPGSGNSSNGKEIVTYDAGKDIENEVSGKAYWIPTVDQIIIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCVEGCRNHIDHPRSKPLKDFRTLQTHYKRKHGQKPYACRICGKLLAVKGDWRTHEKNCGKRWVCVCGSDFKHKRSLKDHVKAFGPGHGSYPTDLFDEHGSYSSVSETLF >A07p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9149061:9151129:-1 gene:A07p014700.1_BraROA transcript:A07p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSRPVALDNHISVIPAYKPAPVLTSHSIPVVDLTHPEAKTLIVKACEEFGFFKVVNHGVCPDLMTRLEQEAVGFFALPQSLKNQAGPPEPYGYGSKRIGPNGDVGWIEYILLNANPELSSPKTSAVFSQSPLIFREAVEEYMKEVKEVSCKVLEMITEGLGIEPRDSLSKMVRDEKSDSCLRLNHYPTAEEEAEKMVKLGFGEHTDPQIISVLRSNNAAGLQICMKDGSWVAVPPDHSSFFINVGDALQVMTNGRFKSLKHRVLADTRKSRVSMIYFGGPTLSQKITPLPSLVPKQEDWLYKEFTWSQYKSSAYKSKLGDYRLGLFERQPLLTHRSNV >A03p005950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2482981:2483184:1 gene:A03p005950.1_BraROA transcript:A03p005950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLKVMLFLIAYFTCSVAMVPYRGCNVIGLAPGDGFGKHQTSEFKEKIYSGRKLVSGPSRSSCGH >A10g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5089538:5091044:-1 gene:A10g501910.1_BraROA transcript:A10g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKASHLDVPEHLKPPICIEEAAGFHKRVKRIHDPMKILVRFSIYEVEFPIPPDKSVYQGSYTVIFDEPLHELRRPRPIGTINTTSVLLETPLEILELLRCKTAQIDQKFSNGWRLSHAFQFDRVEVNQDTESKVMIVLLKSGLSDARREDVEKEEVSNDTQERRSTLLQIRKPTIFFNSFKNCFHLSYLSRALVSRVELIGCSDLVQIRCLKSVCGRDFDDGGARE >A10p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17751261:17755509:1 gene:A10p028660.1_BraROA transcript:A10p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYPKRNNKLIPLAFLLIHSIAILIFTRGFLLTRTELPFHSTCSDASLSPCLSNNHSNSNHNQTKCWTKPVVDRVVIIVLDALRIDFLAPSAFFPEAKPWMDKLTVLQNLAFGNESSAKIFKAFADPPTTSLQRLKGLTTGGLPTFVDVGNSFGAPAIVEDNFINQLVLNGKRLVMMGDDTWTQLFPNQFQQSYPFPSFNVKDLDTVDNGCIEHLFPTLYKDDWDVLIAHFLGVDHAGHIFGVDSMPMINKLEQYNTVIERVVDILESQAGPGGVHENTMLIVMGDHGQTLNGDHGGGTAEEVETTMFVMSTKKHTTSVPPEFDTSSCKQDPDGKQMCISSIEQLDFAATLSALLGISFPFGSIGHVNPELYALGSSSFNLDNTKQPAVKEWMQSYVNVLCVNAWQVKRYIDVYSNSSVVGFSSDDMSRISDQYSAAEHHWSNSVKHLLMDNDGNEDSTNTSALKAQIAAYLKFFSSVAELARSKWTEFNLSLMITGFGILVISLILQSLAIFHGDNKPLAVGSGLSTGAAFTLFIVLVRACSFLSNSYILEEGKVANFLLATTGLIKLRYSVMRKAMRKEAFIFLAMVSVLRVSIDIGLTKQAATSQFMSSSPTWMLGLAPDHPALTYAIEIAPILSVAALICVLYIAVAKTHSEGMRKYVTVGSMLSYLLIALLWASESKIFGFDGFLQVIGGRNVIPQTVYVIGLVQLFLLASCHMFCSGKDKNWGSRTVALVSACSSPVMLLSGKQGSMLALAYLLAGYCIMRLEGVERRTKSDGQNSKLDPVCVVQWSLLSVCMFFASGHWCAFDGLRYGAAFVGFDEFVLIRQAILLTIETFGFSIILSVFGLPLLIPTHGEKRRQLFQMYMLYGVISATTVTATILCVTIHRRHLMVWGLFAPKFVFDVVGLILTDVLICLASAFCL >A07p032900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18006485:18007722:-1 gene:A07p032900.1_BraROA transcript:A07p032900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVYCGSKRSYFDDTPSPPPSSKRFRCYSPSNSPSWSSSSPSSSLDQLRAAFPHLELTIRKVLVEALEEHGSDLNAAMKSLYALVSAEEEKRAQESAADKETGTFTASGDDWVALLVREVTQSSGQDDAKFRAERVLESLEKTLRARAHEEAGKKFQEESVAVQQQVEALMKDNTVLKRAVAIQHERQKALEDANQQLEFFKQLIPQYQEKVRNLEVNNYALKLQLEQMEHGSSMMPQRFNPDVF >A04p004530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2268890:2270346:-1 gene:A04p004530.1_BraROA transcript:A04p004530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPD6 [Source:Projected from Arabidopsis thaliana (AT3G56650) UniProtKB/TrEMBL;Acc:A0A384KSV2] MATASLVPTSNIFHVSPSVRTRASVIVASSHQQQPRRRDLLLKTAVVIPAILNLKEAPISEAREVEVGSFLPPSESDPSFVLFKAKPSDTPALRAGNVQPYQFVLPPNWKQLRIANILSGNYCQPKCAEPWIEVKFENEKQGKVQVVASPLIRLTNKPNATIEDLGEPERVIASLGPFVTGNSYDSDELVDTSIEKIGDQTYYKYVLETPFALTGSHNLAKATAKGNTVVLFVVSATEKQWQSSQKTLQAILDSFQL >A07p030910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17157182:17160844:-1 gene:A07p030910.1_BraROA transcript:A07p030910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGVEFLVPSTWEIEVAVAASAFLIVSYWLFAYKVDGDEEANGGVGFDRDSGGDAIFDKDKIGQLRGDTQTNAPYIIKVELLAAKNLIGANLNGTSDPYAIVNCGSEKRFSSMVPGSRNPMWGEEFNFPTDELPVKINVTIHDWDIIWKSTVLGSVTISVEREGQTGPVWHSLDSPSGQVCLNINAIKLPVNASRAITGYAGACRRRVSLDQQGPTIVHQKPGPLQTIFDLLPDEVVEHSYSCALERSFLYHGRIYVSAWHICFHSNVFSKQMKVVVPLGDIDEIRRSQHALINPAITIILRMGAGGHGVPPLGTPDGRVRYKFASFWNRNHTQKALQRAVNNYHAMLEVEKKERAESALRAHSSSVRGGGKVQVKAPEDTAAVPVKFQAFVKEEVLVGIYNDVFPGTPEQFLNLLLADDSTYTNEYRSARKDKNLNIEPWHTAEEYDGQVREIKFRSICNSPMCPPDTAVTEWQHVVLSPDKKMLVFETVQQPHDVPFGSYFEVHCRWRLEAKEETSSVIDIRVGVHFKKWCLMQSKIKAGAIDEYKKEVEVMLEVASSYLKAHSSSTSRGDIDNNTASLPSIPEDISS >A06g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18248281:18251070:1 gene:A06g506540.1_BraROA transcript:A06g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKETKVTEKDIRRMFHQVREKMKHMITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASVINSVDYGKELGFIGACHCGAEYETEYSESIDTPSFPSIDSNESTVTDDSNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERSIEMSLQDERLETHKFTNTFPTSFDAVHSKSVDTHPRPAKQPLTSINTRKGTSIDIRAAVKIQEQKNIPSPTRDPDGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVTTTEINPDLSRLQKVQASIDGTTETSIDRVTPTSIDMDEPTSIDRRYECGNRAFDMYGARKFTWEQRDEYGVYRDERGHARGVAGEMIPVTKDNIRKILERASLFEESHICLPEHAVSFTLTRLTPELYTKEEIDEMVFVICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTEIESLRHQLEKEATTSALIDTPRATSIDVSLPTTQIPAEPQCLTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLPSQLLHVQKDIENITNQSFLQTKSASIDRLRGPWIDDKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWL >A04p023670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14352866:14357542:-1 gene:A04p023670.1_BraROA transcript:A04p023670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLATTTVPHHRHHHKTLDNSLDSMSQDYHHHQGIFSFSSGFHRPSSSHQEEVEESAVSGAQIPAYETAGMLSEMFTYPGGGNGGSGEILDHSTKQLLEQQNRHNNNNSTLHMLLPNHHHQGYGYPNEQQFTWPSSSDHHQSQGDMIGTVHVEGGKGLSLSLSSSLEAAAAAAKAEEYRSIYCAAVDGTSSSSNASVHHHQFNQLKTLLLDNSSSHQHQVMGHFGSSSSSPMAASSSIGGIYTLRNSKYTKPAQELLEEFCSVGRGHHFKKNKLSRNNSNPNTSGCGGGGGGGGSSSTAGAANDTPPLSPADRIEHQRRKVKLLSMLEEVDRRYNHYCEQMQMVVNSFDQVMGYGAAVPYTTLAQKAMSRHFRCLKDAVAVQLKRSCELLGDKESAGAASSGLTKGETPRLRLLEQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLNPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEREEEELGENKKEDYQHQRRHQQTNNKDTKPNESNFTLVQTITAQTPTTTMMTSTPHENDPSSLPPSTSVANAPSLGVSGAFTVSTCQQDVSDFHVEDGVIRFGTKQAGDVSLTLGLRHTGNMPDNKNPSFSVRDFGDS >A04p036350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20531594:20542111:-1 gene:A04p036350.1_BraROA transcript:A04p036350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLWFAKHKDNNITAGDSCTQLLHFVIEYLDEHITAVEGSHNKVALIATEVITSLVFKTSKGRTSPTFGPNLFGVVNGTKFKFEDEGKKIVGFHGRSDNAIDALGVYFALESLTTPFPIYKLEAQGGQVGSVWDDGCFQGVRTVRVCQDDCRVTYLEFEYVKALRLETRHHGVKGETQSEFVVNFPSEDIKLVEVTYDNPKIFHNTVITSLKFETTTGRTLTFGYDAGKKFVLGGLRLVGFHGKEGEAIDALGAYFEHIPIPIPPPVIGDSWGDYGIYDGVKKIKIGLYEEGIAFVKFVYIKGNGLVTGDDHGKITSLGAEKTTIDLYLVHHLEDCVKFKTNKRETPLYELDSGKKYSFEEKCHKITEFHGRATADVIYNIKLVEVTYDNPKLFRNTVITSLKFETTTGRTATFGYEAGKKFVLGGPRLVGFYGKEGDAIDALGAYFEQIPAPTPPPVIGDSWGDYGIYDGVKKIKIGLYEEGIAFVKFVYIKGNGLVTGDDHGKITSLGAEEIVLEDGEYLTGIEGYYRPIPGAPFGKIVSIKFKTNKRETPLYGLDSGEKYSFEEKGHKITGFHGRATTDVIYSIEAISRPV >A03p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16270452:16271915:1 gene:A03p039150.1_BraROA transcript:A03p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:Projected from Arabidopsis thaliana (AT3G11400) UniProtKB/TrEMBL;Acc:F4J6A1] MTTDPQQKTSKFRWGEMDEDDDLDFLLPPKQVIGPDENGLKTVIEYKFNEEGNKVKITTKSRVRKLASARLNKRAMERRSWPKFGDAANEDAGSRLTMVSTEEILLERPRAPGTKADDTKAAGDNLSQLGKGGAVLMVCRTCGKKGDHWTSKCPYKDLAAPTDVFVDKPPTGETSTASAAPGSGKAAYVPPSMRAGADRSSGGSDMRRRNDENSVRVTNLSEDTREPDLMELFHPFGAVTRVYVAIDQKTGVSRGFGFVNFVSREDAQRAINKLNGYGYDNLILRVEWATPRPT >A06g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4375373:4375743:1 gene:A06g501230.1_BraROA transcript:A06g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLANKIEAECWRIAQRIDEIMEIDMRVEVTDTTVPPTDIHAPNHHCKRKLKVFYGNASDNEVWTQRDGLSIDCEQLVILIQKEED >A09g510680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32952065:32954326:-1 gene:A09g510680.1_BraROA transcript:A09g510680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VIP5 [Source:Projected from Arabidopsis thaliana (AT1G61040) UniProtKB/TrEMBL;Acc:A0A178WDP6] MVDLDDLLLEAAGRTNAAATRPRHSHSSSSRRREGSYSDGGSDSDEDSGYPASRKPSGSQVPLKKRLEAEREVRAEGDGTCDREGDSSEESDFGDDLYKNEEDRQKLAGMTEFQREMILAERADKKGDKNFTEKLRSKRENEKTTTPVSKKDTQPLPASRGVRSSARSADRAAAKDDALNELRAKRMKQQDPAALRKLRDASKGSRDFSSVKRKPLASWKLSSSSDSDRVGIGRSKSGPIYRLCMVKNVDAADPGKAYKLETKTTHKYLNVFWGNETSAARWQMAIISDGHPVEEEYSNGSERLREQMVVCLQSKMPMNVAAEKDRLRKELEIAESRNDQAGVERIKAKIKQLDASRNKKGVDKKALKLFEMNKKNRAENFKNASEVKSITASLKAGEAGYDPFSRRWTRSSNYYNDKNSGKDGGENEAAVAAAVESTGADEGGENGVEATEAALEAAAEAGKLIDTRAPIGQGAEHNHMHNFELPLSLEALQKYGGPQGVQKAFMARKQMTEATVGCRVVENDGKRHGLTLTVSKGLRAQEEDYWREAGVGYGKGKLKKWNLNKRRRPSTSRGGFDGSGILIKDGDLLSLVEALPLQRREKSEVVYISVEDHLLFFMLCCC >A01p042900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25170537:25171225:1 gene:A01p042900.1_BraROA transcript:A01p042900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTQVGGASMRHVRFSDAATMVSSATRNEGVISLAHLRDKKAPVSDVRNNQTRLMLPKDDVVAHILDYLTDEERLMIEDANNRGLRIRVYDSDTESLHQLTLKKWHSSGSYVFISN >A03g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16296743:16297830:1 gene:A03g504540.1_BraROA transcript:A03g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTTRYIVYELMPNVSLKSYLHGSGSSRGSATTITWPMSMKIALDIARGLEYLHEDFGLAVVNGPKKKNLKLSGKVGQLTEKSEVFAFGKKTVEKLGPGECETIITWAMLYLTDRTKLTNVIDPLLRHDGLETSLPGSSSGGFVRAARTEL >A01p031290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13300007:13307379:-1 gene:A01p031290.1_BraROA transcript:A01p031290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMRGLSVFISDIRNCQNKEAERLRVDKELGNIRTCFKNDKGLTPYKKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINAVRNDIIGRNETYQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDVVNADGWADRMAQLLDERDLGVLTSSMSLLVSLVSNDYEGYSSCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPSTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALSLVMHLNAEKEMMSQCVALLGKFISVREPNIRYLGLENMTRMLMITDAQDIIKKHQPQIITSLKDPDISIRRRALDLLYGMCDVSNAKGIVEELLQYLSTAEFSMREELSLKAAILAEKFAPDLSWYVDVILQLIDNAGDFVSDDIWFRVVQFVTNNEDLQPYAASKVREYMDKIAIHETMVKVSAYILGEYGHLLARRPGCSARELFGILHEKLPTVSSPTIPLLLSTYAKLLMHAQPPDIELQKQIWNVFKKYESSIDVEIQQRAVEYFELSKKGAALMDVLAEMPKFPERQSSLIKKVEDVEDTADQSAIKLRAQQLPSNALVLADPQPVNGTPPPLKVPSISGIKLDHESASQTQSQPNGDLSKVQSQIPSADLLGEMVDPLAIEAPPVDMGSHQRVYREEDGIPDEVDGTAIVPIGDQANKVEPIGNITERFNALCLKDSGVLYEDPYIQIGVKAEWRGHHGRLVLFLGNKVTSPLTSVQALILPPAHLKLELSLVPDTIPPRAQVQCPLEVMNIRPSRDVAVLDFSYKFGTSMVSAKLRLPAVLNKFLQPLQLTSEEFFPQWRSLSGPPLKLQEVVRGVRPLSLSEMENLFNSFRVTICPGLDPNPNNLVASTTFYSENTRPMLCLARIETDPADRTQLRLTVASGDSTLTFELKEFIKEQLIAIPMGSRALVPAVPVPAAPLAQPPSPAVDANDPGAMLAGLL >A01p022920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11291024:11302449:1 gene:A01p022920.1_BraROA transcript:A01p022920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide exchange factor SPIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G16340) UniProtKB/Swiss-Prot;Acc:Q8SAB7] MENNNLRFRKIPRQPLSLPKLEPLLDGNLEQWPHLNQLVQCYGTEWIKDANKYGHYDTTRPDTFQTQIFEGPDTDTETEFRLASARSGTLDEDVASVSGTPFTDSGSPKHFGLPPLPAYEPAFDWENERSMICGQRTPESPAASYSSGLKISVRVLSLAFQSGLVEPFYGSISLYNQERKEKLSEDFYFHISPTEMQDAKPSSENRGVFYLDAPSASVCLLIQLEKTATEEGGVTTSVYSRKEPVHLTEREKQKLQVWSRIMPYRESFAWAVVPLFDNSITTNTGESASPSSPLAPSMTASSSHDGVFEPMAKITSDGKQGSSGGSSVVVEISNLNKVKENYSEESIQDPKRKVHKPVKGVLRLEIEKHRNGHGDVEDLSENGSIRNESLDLTDRLGDLTLMKCPSAGSGGPHNSGSKWSTEDVSKNLTSSSGNVDNCYYAFDFCSTTRNEPFLHLFHCLYVYPVAVTLSRKRNPFIRVELRKDDTDVRKQPLEAIYPREPGVSLQKWAHTQVAVGARAASYHDEIKVSLPATWTPSHHLLFTFFHVDLQTKLEAPRPVVIGYASLPLSTYIHSRSDISLPVMRELVPHYLQETTKERLDYLEDGKSIFKLRLRLCSSLYPTNERVRDFCLEYDRHTLRTSPPWGSELLQAINSLKHVDSTALLQFLYPILNMLLHLIGNGGETLQVAAFRAMVDILTRVQQVSFDDADRNRFLVTYVDYSFDDFGGNHPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLSMAWFFLELIVKSMALEQARLFDHNLPSGEDVPPMQLKESVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLYIIEPCQVYELVSLYMDKFSGVCQSILHECKLTFLQIISDHDLFVEMPGRDPSDRNYLSSILIQELFLSLDHDELPLRAKGARILVILLCKHEFDARYQKAEDKLYIAQLYFPFVGQILDEMPVFYNLNATEKREVLIGVLQIVRNLDDTSLVKAWQQSIARTRLYFKLMEECLILFEHKKAADSILGGNNSRGPVNEGAGSPKYSERLSPAINNYLSEASRQEVRLEGTPDNGYLWQRVNSQLASPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENVSATVSLQVLEITEKFSSMAASHNIATDYGKLDCITTILTSFFSRNQSLAFWKAFFPIFNRIFDLHGATLMARENDRFLKQIAFHLLRLAVYRNDSVRKRAVIGLQILVKSSLYFMQTARLRALLTITLSELMSDVQVTHMKTDNTLEESGEARRLQLSLSEMADEAKSVTLLRECGLPDDTLLVIPEKFTENRWSWAQVKQLSDSLVLALDASLGHALLGSVMAMDRYAAAESFYKLGMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVARNDGVWSKDHVSALRKICPMVSGEFTTEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRKAYGQLAKCHTLLTNIYESILDQESNPIPFIDATYYRVGFYGEKFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDSNHILHIIPDSRQVKAEELQAGACYLQITAVDAVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTTALRNELEEPRSSDGDHLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >A05g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5661559:5661994:1 gene:A05g501590.1_BraROA transcript:A05g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCYLIRLLCYYVLCYCYLLCPIAGRSRGFGFVTFKDEKSMRDAIDEMNGKELDGRTITVNESQSRGSGGGGGRGGGEYGGRGGGGMTLLAFLIY >A02p002310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:961616:962209:-1 gene:A02p002310.1_BraROA transcript:A02p002310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative RING-H2 finger protein ATL71 [Source:Projected from Arabidopsis thaliana (AT5G06490) UniProtKB/Swiss-Prot;Acc:Q9FG21] MAAMNTTPDSDRYIPATDRIGGFAYGLGVSIGILLLITTITLASYYCTRTHISASPTTTPRTRRRQRQVNVTSLPGEERFHLDGDDQNDTVVVEILGLNDEEIKSFPKLPYEEARVSYSLQKDSTATSCCSICLADYKKTDMIRVLPDCNHLFHDKCVDPWLKLHPTCPVCRTSPLPSPAMTPVADDVTFSRRPMDV >A09p061470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50653452:50655219:-1 gene:A09p061470.1_BraROA transcript:A09p061470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKTSKKSSRRLQRSLPDDFKEVFHTTSKKSSTRFQKSLPHDFLEVFQKTSNGVFFHIKWSLNLRLPCKSSTAKRLIWRSSGRTDLEKTNFIVSTSEITCLALRSLLQAPIISNKSDSPRIVSFNGSINHKILESKS >A05g510400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32093940:32094794:1 gene:A05g510400.1_BraROA transcript:A05g510400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLFGQIKAGNPQRVLLCFLEKGIEFEVIHVDLNTFEQKKPEYLLRQPFGQVPAIEDGDLKLFESRAISRYYATKYADQGTDLLGKTLEQRAIVEQWMEVEANYFNVVVLPLVINIVFTPKFDVALVEELKVKLDKVLDVYENQLAMNRYLAGAEFSLADLTHMPGMRYIMNEAGLGSMITSRENVNRWWNEMSARPAWKKLMEMAAY >A09g510970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33454145:33459308:1 gene:A09g510970.1_BraROA transcript:A09g510970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNHHDEDRWTDYSSHRSTSTAESTECNAVRILTHEEFTAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNLLNSSPESVQEEQEAEGRRLRKRKEKIPKNLKREANDKEMDEYESEYETEYSESIDTPTFPSIDSNESTVTDDHNNTSLDVMHPVDHFAPPNHCSWADSDFHESFAVDTVITSPNEEHTEEYDEDYWKECAIEMSLQDERLETHKFTNTFPTSFDAVHSKSVDTHPRRAKQPLISIDTHTGTSIDIRTAAKIQEQDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSCQPKGQASIDGTTETSIDRVTPTSIDRDNPTSIDRRYEFGNRAFGKYGARKFTWEQRDEYGVYRDEFGHARGVTEHATSFTLTRLAPELYTNDEINEMVFGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSTSIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYFPLNNNVDWLSTKIELLQQDLDTIRKKQKTASIDRLRGPWIDGKKLVELLPYTTAEVDKTTSKIYTVLDTMEERLEKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLATQHQISASIGQDTSEID >A02p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12939931:12942477:1 gene:A02p024140.1_BraROA transcript:A02p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSKHLYLPCLLTLFLALLRPTNGDARTRAVRITCSPQLERNETVYISNFVATWDKVLQQVQTNGFGVASTGSGPSSNYGLAQCYGDLSLNDCFLCYSEARANLPQCYPHNGGRIFLDGCFMRAENYSFFDEFKGPEDDVVCGGTTTRMRREEESFGEAVRLVVRNAVAAAPGNGGYARGASANAFVLANCWRSLSPESCKQCLEDASASVVSKCLPWSEGRAIHTGCFLRYSDHDFLSKIPRNRRSRGSVVVIVVSVLSSVFLFIVGIAIGVNISKHRTIEKKRRGPNDVEKMAKILTNSSLNFKYTTLEKATGSFDSANMLGQGGFGAVFKGVLPDGRDIAVKRLFFNNRHRAGDFYNEVNIISTVEHKNLVRLLGCSCSGPESILVYEHIQNKSLDRFIFDVNRGKTLDWQRRFVIIVGTAEGLVYLHEQSTVRIIHRDIKASNILLDSKFQAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYVVHGQLTEKVDVYSFGVLVLEIVTGKQITKSEMSEYLVTEAWKHFQSGDLEEIFDPNLNWKNHKDSIIIKKEIVRVVQMGLLCTQEIASLRPSMSKVLQMLKNKKEVLPLPSNPPFLDEKVMELTHVSNSTPPAYTSHATISQNSLYCR >A03p029180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12272944:12275408:1 gene:A03p029180.1_BraROA transcript:A03p029180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSLVSDFLSFLNASPTAFHAVDESKRRLRHAGYEQLSERDDWKLEAGKKYFFTRNYSTIVAFAVGKKYEAGNGFHIIGAHTDSPCLKLKPVSKVTKGGCLEVGVQTYGGGLWYTWFDRDLTVAGRVIVKEDKAGSVSYSHRLVRIEDPIMRIPTLAIHLDRNVNSEGFKPNTQTHIVPVLATAIKAELNKVPAEGGEEDGGKKCTEASSKSKHHPLLMEIVANALCCKPDEICEFELQVCDTQPSILGGAAKEFIFSGRLDNLCMSFCSLKALIDATSSGSDLEEESGVRMVALFDHEEVGSNSAQGAGSPVMIDAMSHITSCFSSDAKVLKKAIQKSLLVSADMAHALHPNFMDKHEENHQPKMHGGLVIKHNANQRYATNAVTSFVFREIAEKHNLPVQDFVVRNDMGCGSTIGPILASSVGIRTVDVGAPQLSMHSIREMCAADDVKHSYEHFKAFFQEFTHLDAKLTVDV >A04g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16159313:16163594:-1 gene:A04g506960.1_BraROA transcript:A04g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A06g508480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23919813:23920139:1 gene:A06g508480.1_BraROA transcript:A06g508480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLSHSETEAKLCREFLETENPSRRALSPLRHRALSPLHHLSLLSLRDLSPLSLLLAGAVWWWWWWLQLIGGGGQISQPSCFLVPDLFRSPLPCLLFPDPDPDLG >A01p055870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31670771:31672315:1 gene:A01p055870.1_BraROA transcript:A01p055870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMCDFCGEQRSMVYCRSDAACLCLSCDRSIHSANALSKRHSRTLVCERCNAQPATVRCVEERVSLCQNCDWSGHNNNNNNSNSLSSHHKRQSISCYSGCPSSLELASLWSFCSDLSGSVCEQEMGMMNIDDHGQTNQNCNEDKKDVIVGSSSRLETSYAAHADSSFAKDIGVCEDDFYDNLGMDEVDLALENYEELFGTAFNSSGHLFGQCGIDNLFQKHQAAPEGGNPVQPAESNDSFMSSKTEPIICYTSKPAHSNISFSGVTGESCAGDFQECGASSSMQLLGDPPWYPQTSQDNNAFSHSVTRNNAVMRYKEKKKARKFDKTVRYASRKARADVRRRVKGRFIKAGEAYDYDPLTPTRSY >A02g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3508884:3511636:1 gene:A02g501090.1_BraROA transcript:A02g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSMLSAKKILGRSVTATVATRKSAAPKGFLAVYVGESQKKRYVVPVTYLNHPSFQALLSKSEEEFGFVHPMGGLTIPCPEDTFITILGRSVTATASTSKRATMAAPPKGFLAVYVGESQKKRYVVPVSYLSQPSFQALLSKAEEEFGFDHPMGGLTIPCPEDTFITVTSRLQ >A04g507440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18221690:18223997:1 gene:A04g507440.1_BraROA transcript:A04g507440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKTISVLYLVLSIILVFEGIAISTEDVSSQENSSENVISYDAMRANHAWGCSPKYPQDLPSNVVESHPQLQNPLKTMEINIRVEEAYHIMNVQTKQMIMAVGQRNRIPQGFQGDEEVEMVYLHFDVASRPSLSCDGLVCIPVPGWVNVFNPSTGEFRRFPSGPYPVMRRYANHSKVYDKTLRAVLYKHSRQ >A06p054330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28498485:28499752:1 gene:A06p054330.1_BraROA transcript:A06p054330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGKGRSRKINGGGGGRQDSTQAVASGIFQINTGVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASETDHRSGVNPSKKIADAKLAKDFQAVLKEFQKAQQTAAERETAYAPLVPPSAHPSSYTASEADKIPEQRAQVMESKRQELVLLDNEIAFNEAVIEEREQGIQEIHTQIGEVNEIFKDLAVLVNDQGVMIDDIGTHIDNSRAATAQGKSQLAQASKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >A07p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23667830:23672824:-1 gene:A07p043300.1_BraROA transcript:A07p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEKISSCVHPSDGIVESDKEVELDATKAKLEKVREENERLKLLLSTVLTDYKSLQMHVSNVIQPQHEASMELDTKSHDNFGVDISLRLGRSDLNVSKNVDEIDKISLDKISDEISEGSDKKRSALGLGFRIQSCEDPDTDPTMKLDYLSKDVKNTKADNKCISSRKDIKTARNEDHQEALEVHEQPGLRKTRVCVKAPCEDPSINDGCQWRKYGQKTAKANPLPRAYYRCSMSSNCPVRKQVQRCGEDDTSAYMTTYEGTHDHPLPMEATHMAAGTSAAASLLQSGSSSSPSLSYYFPFHHVSFSTTNAHPTVTLDLTRPNYDPNQLPTHSSLSFSSSSSDRPSPTNSHTLSFNCCGVIDLVFLHQGDMAESEKSHMDKEIRSSVSSCETYFEKVQSRKNLPKSLQDTLNSAFAGIPVSSFPQVPGGRVIEIPAETSVSEAVKILSDSKILSAPVINKDHETSLDWKERYSGIIDYSSIILWVLESAELAAIALSATSATAAGVGAGAVGALGVAALGVTGPVAAAGLAAAAVGAAVAGGVAADRGIGKDAVTAADSLGKDFYQVILQEEPFKSTTVGTILKSFRYAPFLPVSTESSMLSVLLLLSKYRLRNVPVIKPGEPDIKNYITQSAVVHGLEGCKGRDWFDHISAFPISDLGLPFMSPNEVISIGSEELILEAFKRMRDSNIGGLPVVEGANKKVVGNISMRDIRYLLLQPEIFSNFRQLTVKTFATKIATAGEKYGSASLAITCRPDSTFGSVINSLASRSVHRVYVVDGDEDELYGVITLRDVISCFVSEPPNYFENCLGFSVKEVLNR >A04g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10706747:10708462:-1 gene:A04g504960.1_BraROA transcript:A04g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVSLEPVQTVQVKGEDRKKVQFRLVDSSGKDISCCLWGKYAEQLESYVECEQPLICLIRFAKISFYRGEVQITNAFDASIVYLDPTLKETLQFKEKLMEDNLPLSLIEKRNGKKEVENCKIICSIEAIDTDWSWFYFGHKGCKHRAIKTGKIVPERSNNDNKQLWHCGKCQANITEVVPVFKLHLIVRDDTETCKLMLLNTVGMTIVGHEAVDLWDGSNDEIEDQDQLPEPIRDLVGKSFCFGISVSSDNVTNEAETFKVLEVWSGNEILQVESQSEPNSMIGTSSSTMSSCDVLFLEDASHNESEECKTPFTKRKEEDADLPDKTSTSKKLCRASIKVEKEKEE >A04g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7054041:7054542:1 gene:A04g503220.1_BraROA transcript:A04g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIDISIDIIRQMMLILTLSPTHEPQDRCFGANGSWTSIAHFSGYRWVWMDSDENIQLIGTRNFARRELALHSKVEALRWTMENMLQHSTCQSFGTDCNELIAIIKKPQVWSSFATELERIETL >A09g517440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51716309:51716827:1 gene:A09g517440.1_BraROA transcript:A09g517440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLLLLLKVVQVRLRRMELRQNLGFIAAEYEDNRLLLWSGSRNVALEIISFGLIMYRVLILAWNMKKIVLKHHGRSKTQELNVVSTM >A03p024660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10397053:10399584:1 gene:A03p024660.1_BraROA transcript:A03p024660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRYRSETTSRNLAIDLIPKEEDLHRIIISLAVISLLFMLLSLSVCTKRKTREQVRGKRRFCSFWAWNFNFHKSGLDLLLLQLLIRPEAFQIWLINKLFPCLQRSDMEHQGWSFEENYNLFNNRRFIRPQDELVELLWRDGQVVQQSQTHRDQTQAQVQAQKQDQETLRSYTFLEDQETVSWIQYPLDEDPFESEDFTSPFFSTIDPVQRPASETVKHEAGPVPPDQVMPPPKFRLTGSSSGVRELGKEQYSVMTVGPSHCGSNQSQTDLDVSLTHDPSKPIDERLYSNENSSSGGSSGCSLGKNNKEIACGRSITADRKRKHIMDTDESVSQSDAIGNNKSNQRSGSTRRSRAAEVHNLSERRRRDRINERMKALQELIPNCIKTDKASILEEAIDYLKSLQLQLQVMWMGSGMAGAAATPIMFPGVQPPPPFIRQLQSPVLLPRFPVMDGSAIQNNPGLVCQNPVQNQVFPDRFGRYVGLFPRMQGTSQPMEMMTFGSPAGQESQRTSAPKTTDGPR >A02g500350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1228054:1228887:-1 gene:A02g500350.1_BraROA transcript:A02g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLPSSQLLLRSRNQHNVQPFLLPRSSPKTPFFVSSSPSLRQHSTSASASKNPPETFTAVATTDNKKQPEKKHLSEEEEAEEDMLWIQEKALNLVEFTGTVAQAIPGPRVGSTKLPWMLAVPLTYAVTTLVTAAVKTVNNFTSPKAQPKKLEIFGVTDEDAEKLRIHALAEAGDLDSLEKMVGV >A08p004040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2346356:2347462:1 gene:A08p004040.1_BraROA transcript:A08p004040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLEIVRLCASPVSISRHKSPVKLESRKRVFRLADSRSWGRLGRCVRVHSSALNNGDNQSKGEEPPESLFMKELKRRGMTPTSLLQDYEVDVDEIKTGGKDTRNSSSKTTATTTPAFDQSLLNQRERSLALNSEGLEGLIPRARILLTIGGTFFLGFWPLIVLTLGAFSALYLYFGADFIHDGSRTPVSPPPYIDPYTLLEDERISGINPRLN >A07p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19315175:19315938:-1 gene:A07p035810.1_BraROA transcript:A07p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSKRSHLMIRKLSEMLVPGRRSATKPEDSSASPRSPLDMKFPSPVNSKRYSSGGIGLGIVAALEDGNIGSNRYDPVCYSGRFRCPEIDLSDEEYTYVTSPGGPTKVYYSDDGFELFENGSEYDDRRKHKPLVNPVEPPVIKREVFRESTEFLSACCLCKKRLQGKDIYMYKGEMGFCSAECRSVQIMQDEQNEKCKSQVSGNADVLSSPCAGEQSFSAGIFIF >A04p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14933136:14945262:1 gene:A04p024620.1_BraROA transcript:A04p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTTEKETSSVMSDSSLLSDSLSLSPSENTVSVVPDWSLLPEELLHVISKNLDDCFDVVHARAVCTLWRSILPFPSYLSRPSYSLPTLDNKGSWTLEKIPLFLFRPRRAIAAESAASEYFLGRIGRDESEELPSPNQCSVKVEIPGSDPRLMNMLDCQIFPLGHQYRMIGCDAKEYRGVAALPLNKEGGGHFVVLLNCTGVLMVLRSNEMRWRRFQTLSTATCDDLVIFRGRFYSLFVNGDVFGFDPYFLELTPLVRLELLNSASSTSLVPSGDDELFLVEQIIPLNGNALDFDRLTLRVCMLDVEAGQWVVVKDIGDRVFIIGDLGTVSCSAVELPDGCGNVTYSYKYEDGLNCWRYSREKRVTILSRSPVVALRVERMFPLPNMEEPAAKKKRSSALLPDWSQLPKELLEIITDNVNCFDIVHARSVCTSWRSTFPFPSCLLRSNYSLPTFDKLSLETNEEGSYILGKIPYFLFRVPALTAESPSEYFFGGIGRDDSEELPCPIQCSVKVKIQGSDPTFMKMNDCQIFPLGHQYRMFGWELKDYRGVAFLPLYKEGRGGEFVVLLNYYYGKLMVLTSVEMKWKRFEKLSETLCSNVVTFRGRFYVSFLSRRTVVGIDPHSLEVTDLMPLPQSGLNFLVPSGDDELFLVEVMVPSGDFDFNRFTCNVSRLDEDAGKWVKVSDLGDRVLVIARLGNVSFSAKELPDGCGVSGNSIVFTNWPQDVTLFYKYGPYQGSAEELPDGYGVNGNIKTVWRTSRENSGVILNTFPVVALRVER >A08p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23031898:23034276:1 gene:A08p040400.1_BraROA transcript:A08p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPPGSVAPGNWIPDGRDGFISWIRGEFAAANAIIDSLCQHLVAIGDQNEYEGVISAIQQRQSSWSPVLYMQHFFPIADVSYALEQAAWKRQQKTMMPPRHYNSDQIGKFGGRRSGNGFNKHHNHGGGGGYRGGETMVRNGLDCHKTEAKAVAVVEEKRDGSEKLKSDSKDKEESESTGAETQAETVKHSCYSASKDSNLSSEQKQDEKDKECPASMAKTFVVQEMYEAKMVNVVEGLKLYDSMVDAKEVSQLISLANNLRNAGRRGQLQSDAFVGYKRPNRGHGREMIQLGLPIADTRPDDETIKGKIEPIPSFLSDIIERLVTNQIIPVKPDACIIDFFNEGDHSQPHMFPPWFGRPVGILSLSECDLTFGRVIVSDQPGDYKGSLKLSLTPGTVLVVEGKSADLAKFAIHSIRKQRILITFTKSQPGNGLSWGPPPLSRSPNHHNRQPKHYPVVIPTTTGVLPTPSVQPVFIAPSPPLPPPMPFPGVGVVPGATSWPLLPHARHQAPPQPRMPIPGTGVFLPPGSAQEQVVQGSSNGNNSAEGKVEMKMKEGACNGKAAGECGGSSSGGDGKQVN >SC163g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:99328:101593:-1 gene:SC163g500070.1_BraROA transcript:SC163g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFALSFKYPQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLLSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVYGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEIRESSPYTSASNEFLHQVGFELRVELVLCGSYSSVAHVRTRPYTRPIFAPWTIFSQTLPNPSSDQSKSLLDLSSQDNYFRTLLKLD >A03p004560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1916232:1917296:1 gene:A03p004560.1_BraROA transcript:A03p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEELEPLTPVEEEEEEVISYDDLKRRMWKERNLMEKLKQQKRHSNDVVSLASHRAEASRRKKMARSQDSVLKYMMKIMEVCKAKGFVYGIVPDKGKPITGSSDSLRRWWKETVQFDQTAPNAVSDYLTIAAAAAAEMIEKEPSSLLHMLQELQDTTLGSLLSALMQHCVPPQRRFPLEKGIAPPWWPTGTELWWGEQGEAHEHGVPPYRKPHDLRKSWKVSVLAAVIKHMSPDLGRVRRLATQSKCLQDKMMAKETDTWSRVLNQEEALLNIKDLKISDQDQEASGSKTKRKCDFMEPSKRPRSDVTQEPRQITGDMISMLVTSPSIGTNTTSEDDYSVSSSAMGKPDDYA >A04p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4610505:4613016:-1 gene:A04p013730.1_BraROA transcript:A04p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKRNGKSPVSSDSDEKVVFFNDVSLGPRESQLRFRLIHFWEARNPVKKTVIGLEMLLIDEQGTVVQGFIPPGRIKKFLPDMKRGSVYKLINFYGSKNKPMYRVSDHVATISFTWNSELIRLHDIPIHFDEDRFRFHSYEDFKANCDLRGDLYDVLGHMKLVNGQTLTERPTLDELEIATTRHVLVHVQSHDGPVMKLYLWDQAATEFCQKFKTFENTPTVILVTTVNPKRTLALTSMSSTRVFMDNDVQPTIEYFTWLGCNPDTANQVSAEVVTKRETLSIADIFSYIKQDSAKDAFFECTATIDDVVHGSAWYYIACSGCHSTKGPTSMVCTNTKCEKVNTTGVAQYRAKISVYDYSEQAVFVLLGDAGRSLTGKHASELLSSYFEANGDKGAEDEVPVPESLISIIGQTHRFCVKVTDHNFSGNTRAITVTKVLSQDIPPHTEASVGNNNAASPKVMMLTRDEVSEPSKSRGDCANEESKRGYDTADPEKAKRPRCEN >A09g515170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45221717:45225714:-1 gene:A09g515170.1_BraROA transcript:A09g515170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRGHHHHSRLLTARRERRGRERERRRRGEEREREKRHGEERERGERRRGRERRSRRLGIPVSGDSLQGFASRFLMREKEVEACFYRGRVQVPHRPKRHGRACGRKPLSLLVHSACVFLRAHDALTSHHPRTLGPTQLKRTIPPLFLLLPVKSPALVGPPPSSSGSDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYRMLWPRISAVFERYGRRVSFLSTLSREVRETGSEIYDTTRPPPPLAAAHSEERETRPGEREKEARRGEREREKRRGEERERGERRRGRERRSRRLGLPVSGDSLQGFASRFLMREKEVEACFYRGRGGENPRIF >A02p056640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34127258:34128626:-1 gene:A02p056640.1_BraROA transcript:A02p056640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSFNRLGREAMETDAEEIIEMAARTSKDHKTASPLPPRQSGLTFAIGGDIYLIKWATPHKDAGQGCFIKSQADAGTVLAFYPGVIYSPAFYRYIPGYPKVDSHNSYLITRYDGTVINAQPWVSVENVENYGLTAGSNGLDASVLKTLVLVATRELCDEELLLNYRLTNSKRRPD >A07p026400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15094368:15095122:1 gene:A07p026400.1_BraROA transcript:A07p026400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGEVALARPISRVHCRQRYNLKLEAGKTKLGAILEMSIVEEGRGSRKGCVRTRVYLSIPVIEGRGLLTLYMNVLVSVDHYHNIRRGIKIDQDHRIIKADQYDGVWDSDSIVVDHEDGWKHVARIYMDDDKTLRYTFPRADIAMHQIHFEPASESAVRSLKISRIEENICCAICLEDLLVGSAASTLPCQHHFHTGCILEWLKNSRFCPTCRLVLPAEERIFSNI >A07p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13672284:13673106:-1 gene:A07p023540.1_BraROA transcript:A07p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVQNGQNSDHSQESSEPDCLEIPEFDFKYLQLNNYQSVLLRNAPMGNNMMKQCFFQPNNMYNLIGSPYMSPNRKRVREPETDFLDTGGYATNVQSGQLWNYPFVEGNAATYSSPSEKLELPSFQCCDPLGDWETQHSNPMLAVESDSTLVESPLTDCPSSGLLESVVYGSSGEKQATNSTDPDSPLLLQSSLFGHIELTPAFANNTETDMVPFGPTSSSERLTSSLESSDWIRQLLGEDRD >A07p034230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18636472:18637086:-1 gene:A07p034230.1_BraROA transcript:A07p034230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRQRPPINRITSLSEIKFDLNLPGESEVSSTQQSPIQNTMVEPYVSNGQLVAAAVDQRLLAMVSPRGNLRRHSGDFSDAGHFLRSCSLCERLLVPGRDIYMYRGDTAFCSLDCRQQQMAQDEWKEKGKTGKESTVATTGKGGRASTAV >A06p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22197698:22199097:-1 gene:A06p041200.1_BraROA transcript:A06p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELTHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQNVKGVFDAAIRVVLQPPKQKKKKSKAQKACSIL >A02p016490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7414093:7418630:1 gene:A02p016490.1_BraROA transcript:A02p016490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQEFTRASRVSGASSSVGYYSDEDYKDEEEEEEEEEEEMEETEKDEEEEEPRVRVTCGGRRNGSPGSYNKWMMLGRILDPRSKLVQEWNRVFLLVCATGLFVDPLFLYTLSVNDACMCLLVDGWLALTVTALRSMTDLLHLWNILIQFKIARWWPYRGGDSDGDINKGDGTRVRMRGAPPYVKKNGFFFDLFVILPLPQVVLWVVIPSLLKRGSVTLVVSILLLTFLFQYLPKIYHSIRHLRQNATLSGYIFGTVWWGFALNMVAYFVAAHAAGACWYLLGVQRSAKCLKEQCENTMGCDLRMLSCKEPVYYGTTEMVLDRARLAWARNHQARSVCLDIDTDYTYGAYQWTIQLVSNESRLEKVLFPIFWGLMTLSTFGNLESTTEWSEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMHLKMRNIEWWMKKRHLPLGFRQRVRNYERQRWAAMRGVDECEMVQNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETIQKEGDAVQRMLFVVRGHLQSSQLLRDGVKSCCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLETTEAFGLDAQDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAVQLAWRRYKHRLTLTSLSFIRPRRPLSRCASLGEDKLRLYTAILTSPKPNPDDFDDY >A04p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19862449:19863502:1 gene:A04p034220.1_BraROA transcript:A04p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVPSKLLLLLTPSLTPYRSGLSSNRWRGAGFEISASSPTRCSDGSKFSSHAGSDESQESDGDETGYINQTGDDESALESLPLKSSDDEENDENLTTTTPVILIPAIKGSREKHGLSLRKTSVTWADDVYDPPPSIASHTRHKKPQQQKSKSKDSSHRKTGKKGQKNKDSSSSRSGKNKKQASRKQHSCEKFDWVTQMPIVAASS >A06p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9608526:9609970:1 gene:A06p019610.1_BraROA transcript:A06p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTDVSSESEDANPSNMQMTFPSNAGASDDDDCDNKIDDQYLKLFDSLMDDGNSYLRDNPLRCIRYGVDNGGYDIREFKGKRKSREDLNTGGRATKKNVAVSMPPRRVQASKKKTREFVLKRRESPVNDKSVDATSHARRSSQHNVEAREKEIREAEMVPDEHYRSYLTSLVDKWKSSRTNPEKEVRVKCEKDVMSLSDSDSIEIGDRPFLDEEDSPFVPSKSYKVVDLEEESDDEGDQCNSWFRKEIMNVLKQPYSEKEFKELEHEASVCRWLIKSKELLDGRDFTYTTNQKKPSYLDQYPEFKRMFEKALYGENRHRALNLLRGFIFYLTKVARHDAFKPWLDYECLKITCF >A06p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7699171:7699909:1 gene:A06p017180.1_BraROA transcript:A06p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSGWDSPTKDPNSVRRCKSLTREEIDTFWKTKKKTEEGHVQAVSNLVVQEVAESQGIEDPYENQSKTTGWWKRSNWAFLNEPREEEGRPNNYVPQFQVAHIAKIAGQ >A07p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8623669:8624287:-1 gene:A07p011580.1_BraROA transcript:A07p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVLCGALFLLWNGSGLGRIGLALGGATVLSLFLDPYGATFKICGIDLLDTDIQLVSSQAATVDNRGTKLLETVHSMYLSISMVLLRALLSALRGFIATYLIWRLGSPTG >A02p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6580967:6588199:-1 gene:A02p014800.1_BraROA transcript:A02p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSINELPDDLILKIFSLLPLFKENVATHLLSKRWENPWKLVSDVMFDDDDESYESLVTFLSSVYGSLLSKDHQVLERLHLKLSRNYLDSDISFWVNIAVNRSVRKLRIHMSGKMLKLPSCLSTCVTLKSLILPAVNINVVPPRFHLLSLKSLHLLSVNSSGDETIPSLLQVCPVLVYLVVNQTKDDNVMFKVVPPWFCLPSLKSLHLLSVKFSGDEALAKLLEGCEVLDNLFVKRTQDDNVMIFNINVPSLKSYLLKTLKGNAHTLRRIMDTFSNFLKFEHMPKVIKANIQVICGQSEKFIRSLTSIQHLSLCSLTSEFNCRLHTLVALSSPVLSIYILELCTCSAGWANLLASILNDSPRPRSLKLKSQHSARYNDPMNLWNEPTVNPECLSTHLEILEWRQYEGTEQERNVAAYILASATCLKMATFSTRCRNKYHRMLKQLKKLNRRGKKVAAYILANATCLNVGTFSSRCRNKYMDSFSSLCCAKFVRALKLVKTNKNMVKERLNELPDELIVTILSKLPTFTESVATRLISKRYEDPWNLAPDVTLKDNDEESFMTYLERLRLKLTRKHSASDIEFWVQTAVIRNVRKLRFDLPCGTLELPSCLSTCTTLKSLILRGVLMESVPSGFRLPSLRSLHLFSVNFSIGDSVANLLKICPHLEYLVLNDTRYDVDHVDDEFAPLRFCLSSLKSLHLCSVIFSGNNSVTRLLRSCPVLETLVINQTKCAYKMFEVVFPPRSCLSSLKTLHLLSVNFSSDESVVKLLENCKALENLVITRTRDDNMWLFNITVPTLKSLSISNAKVKRADAPGFVINAPSLERLNIKDTVSNFLMFGYMPEVTTANIEAVCDQSENFVGSLTFMQHLSLCSPTTKTPYTSGTVFFFLEHLELCTCSARWAKLLGSILNDAPRLQSIKLKSKCSARFKRPMELWTEPTVAPECLLKHLEILEWREYDGTEQERKVAAYILGNATCLKMATFSTRCGDKCTELKKMSRVSEICQLVFE >A01p018590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9006604:9012485:1 gene:A01p018590.1_BraROA transcript:A01p018590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSDPATKKEKQKATPKVSLLKLFSFADFYDCVLMTLGSIGACIHGASVPVFFIFFGKLINIIGLAYLYPHLASHKVAKYSLDFVYLSVAILFSSWLEVACWMHTGERQAAKMRRAYLRSMLSQDISLFDTEASTGEVISAITSDILVVQDALSEKVGNFLHYISRFIAGFAIGFSSVWQISLVTLSIVPLIALAGGIYAFVATGLIARVRKSYIKAGEIAEEVIGNVRTVQAFTGEERAVKLYREALQNTFKYGRKAGLTKGLGLGSLHCVLFLSWALLVWFTSVVVHKDIADGGKSFTTMLNVVIAGLSLGQAAPDISAFVRAKAAAYPIFKMIERDTAAKTSAKTGRRLGKVDGHIQFKNVTFSYPSRPDVVIFDKLNISIPAGKIVALVGGSGSGKSTVISLIERFYEPNSGAVWLDGNDIKDLDIKWLRGQIGLVNQEPALFATTIRENIMYGKDDATNEELGRAAKLSEAISFINNLPERFETQVGERGIQLSGGQKQRIAISRAIVKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTVRNADIIAVVHEGKIVEFGNHENLIANPHGAYSALLRLQEAASLQRNPSLTRTLSRQQSVKYSGDLSRTRTSFCSDRDSVTRQDGAEPTKKTKVTVGRLYSMIRPDWMYGLCGTICAFIAGSQMPLFALGVSHSLVSYYEKDWVDTQKEVKKIAILFCCASAITLIVYTIEHICFGTMGERLTLRVREKMFSAILRNEIGWFDEVDNTSSMLASRLESDATLLKTIVVDRSTILLQNLGLVVTSFVISFMLNWRLTLVVVATYPLVISGHISEKLFMQGYGGNLSKAYLKANMLAGESVSNIRTVAAFCAEDKILELYSRELLEPSKRSFRRGQTAGLFYGISQFFIFSSYGLALWYGSTLMDKGLSNFKSVMKTFMVLIVTALAMGETLALAPDLLKGNQMVASVFEILDRKTQIVGETSEELTNVEGMIELKGVHFSYPSRPDVVIFKDFDLIVRSGKSMALVGQSGSGKSSVISLILRFYDPTAGKVMIEGKDIKKLDLKALRKHIGLVQQEPALFATTIYENILYGNERATQSEVIEAATLANAHSFITSLPQGYSTKVGERGVQMSGGQRQRIAIARAILRNPEILLLDEATSALDIESERVVQQALDRLMTNRTTVVVAHRLSTIQNADTISVLHGGKIVEQGSHRRLVQNKTGPYFKLISLQQQQHP >A10p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2358813:2361493:-1 gene:A10p017600.1_BraROA transcript:A10p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G15870) UniProtKB/Swiss-Prot;Acc:O23651] MESITNVVPTYKSQLSLCSRNEMISACKLFSLPLISFPGKSPKLFPLKAFPSQTGEVQESERLFKKLPPSKWGDHFLSAHVDASEMDTLEREIEAVKPVVSGMIMSSQCLESTKKRILMIYLLVSLGLMYLFEDEIEECLKEGFGKIEDMLAGENDLYTVSTIFWVFRTYGYNISSGTDVFKRFKGGNGNFKECLIEDAKGMVSLYEAAHLGTTADYILDEALSFTTIKLESLAETGAISCHISTRIRNALCMPQHFNAEMVFTREYISFYEQEEYHNKMLLKFAKLNFKFSQLNWIQELKTFTKWWKQYDFAKLPPYFRDRMHECYLYGLMIYFEPRYSRGRTAVFKLSTVWTMIDDTCDRYGSVLEVADLVHCVERWAPDCADSLPEYMKTVFKFAWNVFKECESEGISEEGLSFNVQGLLEEFRIYLRANLCFAEWAHTDVVPTFDEYLEIGGVEVSMQVSIAGSLLGLGKTAREEGYKWLKSRPKYVEAQAKRGRLMNDMPGFEDDMSRGYNANAINYYMKQYGVTEEEAFSEIQNMVKALDKILNEEFLKESATVPRKILKLAANFGKIIVFSYRTGEKYTNPDGIFKEHITSLFVNLIDL >A09p054010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46687464:46688887:1 gene:A09p054010.1_BraROA transcript:A09p054010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNMLLHTLSSSSPPIHRLYLHHPQILPSSSSVSLKFHPKTISLQIHGRTLAIRSAELAARGLPSLKKLPSKGSTFLLGQSLLMVSAYPNMASASESVKHEPVYEVGELFELGIQLSYLLLLLGLLGVGTFYVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAANKFLLQAIQKWDGDDQDLAQVYNALGVSYVREDKLDKGIAQFEMAVKIQPGYVTAWNNLGDAYEKKKELPLALKAFEEVLLFDPNNKVARPRRDALKDRVKLYKGVVAVKSKKR >A02p032530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17034290:17036484:-1 gene:A02p032530.1_BraROA transcript:A02p032530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRRTVSKKQRTIHYQCLWCDRSGKYKRSLFSKISNFSTWSNLTLVLLWIVMIFLIYYTKNMSHETQVLDPFSILGLEPGVSDSDIKKAYRRLSIQYHPDKNPDPGRNAFLGNKYFVESISKAYQALTDPLSRENFDKYGHPDGGQGFQMGIALPRFLLDIDGASGGILFLRIVAKLWKFFTKAAEYMEIPVRRTDDEPLQKAVPLSARKSSGVSSEGIAPFLRLPHFSDTVVKKIARKKVKSFQELQEISLADRSELLTQVATDVRTLRSEEGIQEGDIVTLQAWVTLKRPNGIVGALPHAPYLPFHKEENYWVLLADSFSNNVWFSQKVSFMDEGGAITAASKTISDTGAGVKETSDAVREATEEVKSGSALVMGKLPEMLVPIRNRGATH >A07g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10883337:10885011:-1 gene:A07g505040.1_BraROA transcript:A07g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKLRRVNQEACGVVETMWTQDAEQAWEQVYTVEKEARDKLGEQVYALRNKAGHLSYWCDPEGEDVHDCSMQKTIMLKDGRYGHWKMRMKLLVRGINDAAWIAVKTGWEEPTIFTAEGKKPKPKEPRQRVVREVVQEVARRMLCNQAGGEVVTFWSKECISRGGEEHGDGRPDVDGAYLVGEKSISIVCQRVNLEACGVVETMWTQDAELAWDKLEEQVYTVEKKARDKLGEQVYALRNKYISRKGKCTY >A09p017200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8907051:8907557:1 gene:A09p017200.1_BraROA transcript:A09p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRIFLHLLSFLGLLRKLISTIFWLIGLPDFLEPEPVSSSWPEPPPTSSHQDSHLFSAALLAGDILPVVRFSDLNLPESECCAVCLYDFENHDEIRRLTNCRHIFHKGCLDRWMMDYNQITCPLCRTQFIPDDLQVAFNHKLWAESSEVSELLVESF >A06p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6661768:6663962:-1 gene:A06p014910.1_BraROA transcript:A06p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKSKWTEDEEDALLGGVRKHGPGKWKNILRDPEFAAVLSLRSNIDLKDKWRNLSVPADIQGSKDKVRTPKIKAAAFQLAAVAAAATTPSPSSVSSPVAPPLPRSGSSDLSIGDSCNMLIDAKNAPRYDGMIFEALSALKDANGSDVTTIFNFIEQKMYEVPEKRVLGSRLRRLAAQGKLEKVSQLKSGTQNLYRMNNNSFLTMRTPVPARPKEANAKPRQTANNQGLTVSQEKIDLSSGTAAFKLYELDGKLEVLSGAVDDRARMTELAERAEIMLLLAEELHERCCRGEIVELN >A07p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8734909:8735521:-1 gene:A07p011420.1_BraROA transcript:A07p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYVWYVSTEKESRDLLPHKGEDEGLRDKEETNKTSFTTVALCVNANSYKKKNKRRPHKRGIEDGFHHQNNQHRQFEMAVEEEKCGGNQYRQLEAGDICSGVTN >A08p023850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15664595:15665435:-1 gene:A08p023850.1_BraROA transcript:A08p023850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPRIMIHIPSTSRVSPEMKDVVGESLETNVDSPKLGFALFSSLHLHEKDPQDKKVIICDEKLKKIFEGKDRDGFLEIAKLIGPHFL >A02p018900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8729451:8731055:-1 gene:A02p018900.1_BraROA transcript:A02p018900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMANCGAVEEDTTETESSVVLMAATTTPTTPTTKRAVVKEDDAHHPYAFHVSGPRNVASPNWRDLISSSWKDPNYKRTVMACFIQAAYLLELDRQENRNALAPKWWIPFKYKLSQTLIDERDGSIFGAVLEWDRAAAMSDLVVIRPSGAPKAVLALRGTILKSLTMRRDIEDDLRFLAWESLKGSVRFSVALEALQSVAKRYGSSNVCIVGHSLGAGFALQVGKALAKEGLFVDAHLFNPPSVSLAMSLRNIGEKAGFAWRRLMSMLPQKNEPLILNGDEAEKGPPSPGSGSGFRNWVPSFYGQNQKSSVDLRKWVPHLYVNDSDYICCHYTEQDGVNEKREVNNKENNSPVVNTIPQAAAKLFVMSKGKQKFLEAHGLEQWWSDNLELQSAIHSSRLISQQLKSLYSIK >A06p014270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6431202:6432838:1 gene:A06p014270.1_BraROA transcript:A06p014270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYPSHQLGNGLFVSGRPEQPKERPPTMSSVAMPYTGGDIKKSGELGKMFEIPTDGSKSRKSGPIPGAPSRSGSFAQSGQGAPNASTTRMSGSLASAAGSLSMKKTNSGPLSKHGEPLKKSSGPQSRQNSGPIPVVLPATGLITSGPLNSSGAPRKVSGPLDSSGSLKTHMPSVVVHNPAVTTLGPPDDFSSLKSFPKPVLWLIVLIFIMGFLAGGFILGAVHNPMLLIVVAIFFAVVATLFIWNVCWGRRGVVDFVARYPDADLRTAKNGQYVKVTGVVTCGNVPLESSYQRVPRCVYTSTCLYEYRGWGSKPANSSHRLFTWGLRSSERHVVDFYISDFQSGLRALVKTGNGAKVTPLVDDSAVVDFKHGNEQMSPDFVHWLKKKNLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNDNMLMIVPPSEPLAAGWQWRRCTFPTTLEGVVLRCEDSSNVDAIPV >A09p027420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15489071:15491172:-1 gene:A09p027420.1_BraROA transcript:A09p027420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFFDIKGAWKRLLCAKQVISLKLVFKLTFKCVFLDDLHGSRPSLRLTWRKSSIRRLTWKSSIRRLTQKFHHTIYTKVVLDFIQRFWSNLAYLGRLPYSIRKSDPDLKRLPRRLPRRLLINLPKSDPDMKNITHKVHIQVEDENHCFQIKEIRVGLKSFSLEKRYKLYATEDYQMKKNQT >A07p011800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8492248:8492535:1 gene:A07p011800.1_BraROA transcript:A07p011800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRIIVRSVRPAGCSTRTSCVPAWVKSSDSLRILVLEKCLREKSIMGSNTEARTSVWSSGHDVRTKRAKREKLRSSSDSSRPKWLLARLSVLAC >A07g507480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20219056:20220190:-1 gene:A07g507480.1_BraROA transcript:A07g507480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASPGVKFVPEEDNFLQRHVAFFDRNKDGIVYPSETYQGFRAIGCGYLLSAFASMFINMGLSSKTRPGKGFTFSFPIEVKNIHLAKHGSDSGVYDKDGRFVASKFEEIFAKHSKTHPDALTGEELKQLLNANKEPNDRKGAIAGYTEWKMLHYLCKDKNGLLHKETVRAAYDGSLFEQLEKQTASKEHP >A05g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26681964:26685368:1 gene:A05g508920.1_BraROA transcript:A05g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADIGHMLETGQTIPKDKRLRLALIMIVDGVLIAHKQVAKPTLHYVRMVDNLEDFFNFPWGRESFLKTITCMKPPTDAENPVAALAQILQQTTYRLTGFPLALQLVAFKAIPLLAAKIPAPHYTLKLLDLEEGHLPPHGSIHLEDFLTVEVNPQLSVTSLIPLSPNAPSWGGETYDERVCYMENLIACGNKFKPTDWPGGDTSNPEFVFSPAVQTVHRKQTVPKKHALKVNKAPTKGPSSSRKQRRISNYFKSDAAAGAPTNEWLAAKIREHDSLLAQLQSDNRRLKLKLKKRHRKPGCKLTSMTPTLRKGKSKFTKDQDMSPQETNNGQRPASSPTQPDKNTANDENQQVTTSSQPSGHDQLSAGYVENSTQSQDPQSPALTNSREATIAQMLQEIISFRQPKQGASSEQATKVLPPPCRPQEPIHSFSRSPTPTPISPVESQYNAQRFSAGINKTTTDDADFIISSVIGSIEPAETTSSPQHKQSTHTKTKLQITHKTPTKPSQTHELQVLSAPPRLYPSTQSALLISSKPTRINEVRKPAPMKTPSQLGFTAHAATVNAFASQATSNHTTTSSQSVQANSGTLLEATSDLICVSDSSPSKPKPDHSPSVQEQELARLLKNSPTVPPTMLFPPIQPQLWEFFLETLHNHKDILHINPYTTAFTNSSLRELATPGQWTDSTQMEVLMYMTGVSHKNVLAKENSLFVTPWLTSYIQKKWRQFNAA >A09p013120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6688061:6700109:1 gene:A09p013120.1_BraROA transcript:A09p013120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGLVSPESLSFSYADMSVPERLKWLQQHQQQEVLSLSSNDSPELLQILQFHGSNNDELLQSTFSHFQMVGSGFETNYNMGFGHSHEAMDGCISRTSSCQIHPPDAMGVMLKNSEENRAISLKNKRKSEDKTREEEKTEKKIKVEAERESNISNKEASSDTSKETSKGASQIQKLDYIHVRARRGQATDRHSLAERARREKISKKMKYLQDLVPGCNTVTGKAGVLDEIINYVQSLQRQVEFLSMKLAVINPELELAVEYLSVKQFQAYFTNFPVVIASKPSVMVDVPLFPLDQQGSLNLSVSNPNQTSIDALGNSVTKSLCFSTKIRSIEATNLTSQKITNQTISALAPVIGDIGGSAGVRGNVTRPRNREKDHLNPIHRVGVYARLARAKPESNSMAASRLCSAAAIAAAFTSASMSPNRAYADSRFRFPFFSSSSSTPSESQTDQPSPETKPETDERKGSGFDPESLERGAKALREINKSPHSKQVFDLMRKQEKTRLAELAADKSHNEAVQARKDIERQQKLAEDQRNLLQQQAQAKAQNLRYEDELARKRLQTDHEAQRRHNVELVKMQEESSTRKEQARIATEEQIQAQQRQTEKERAELERETIRVKAMAEAEGRAHEAKLTEEQNRRMLLDRINGEREKWLAAINTTFSHIEGGVKALLTDRSKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSMGRFPWAGSVSQYKNKISKFGKAASAKGENPLDNVVLHPSLKKRIEHLARATANTKSHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSNKGLLLFIDEADAFLCERNSTYMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEDERFKLIKLYLNKYLTGEDKKDTNTKWSHLFKKQSQKITVEGDLTDQVIREAAKKTEGFSGREIAKLVAGVQAAVYGRPDCVLDSQLFEEIVDYKIQEHHQRARLATEGDQSFLYDMMDRRSGFGTAGTYLAEGNAGETETVQDAKDKTASWAGCVCDKIVTQDMAYKEPGHVRDFSYDKASDAKDMAYDKAGNAKEMAYERARHLPMT >A04p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15657735:15664035:1 gene:A04p025870.1_BraROA transcript:A04p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC1 [Source:Projected from Arabidopsis thaliana (AT2G26910) UniProtKB/TrEMBL;Acc:A0A178VNA5] MWNSTENAFSRSSSLKDEVEEEEELRWVALQRLPTYSRIRRGIFRDDIGEHKEIRIGNLEASEQRILLDRLVNSVDHDPQLFFARVRKRFDAVDLKFPKIEVRFQNLMVESFVHVGSRALPTIPNFIINMAEAFLRNIRLYGGERSKLTILDNVSGIIRPSRLTLLLGPPSSGKTTLLLSLAGRLGNSLQTSGKITYNGYNLKEIVAPRTSAYVSQQDWHVAEMTVRQNLEFAGRCQGVGFKYDMLVELARREKLAGIVPDEDLDIFMKSLALGGQETSLVVEYIMKILGLDTCADTLVGDEMIKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTHQIIMYMRHSTHALEGTTVISLLQPSPETYELFDDVILMSEGQIIYQGPRDEVLDFFSSLGFSCPERKNVADFLQEVTSKKDQQQYWSVPFRPYRYVPPGKFAEAFRSFPTGKKLAKKLDVPFDKRFNHSAALSTSQYGVKRRDLLKINFSWQKQLMKQNAFIYVFKFVQLLLVALITMTVFCRTTMHHNTIDDGNIYLGSLYFSMVIILFNGFTEVPMLVAKLPVLYKHRDLHFYPSWAYTLPSWLLSIPTSIIESATWVAVTYYTIGYDPHFSRFLQQFLLYFLLHQMSLSLFRVMGSLGRHMIVANTFGSFAMLVVMTLGGFIISRDSIPTWWIWGYWISPLMYAQNAASVNEFLSPSWQKVEENGAGNHTSDSLGVALLRERSLFTESYWYWIGVGALLGYTILFNLLFTVFLAYLNPLGKLQAVVSREELDDRDRKRKGDEFVVELREYLQHSGSIHGKYFKNRGMVLPFQPLSLSFSNINYYVDVPLGLKEQGIQEDRLQLLVNITGAFKPGVLTALVGVSGAGKTTLMDVLAGRKTGGTIEGDVYISGFPKRQETFARISGYCEQNDVHSPCLTVFESLLFSACLRLPSDIDSETQKAFVHEVMELVELTSLSGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGQRSCDLIKYFECIEGVKKIKAGHNPAAWMLDVTSSTEEERLGLDFAEIYRNSNLCRRNKELVEGLSKPSNISKELEFPTRYSQSFYSQFVACLWKQNLSYWRNPQYTAVRFFYTVVISLMLGTICWKFGSKRDTQQELFNSMGSMYAAVLFIGITNATAAQPVVSIERFVSYRERAAGMYSALPFAFAQVFIEFPYVLAQSTIYSSIFYAMASFEWSAVKFLWYLFFMYFSIMYFTFYGMMTTAITPNHNVASIIAAPFYMLWNLFSGFMIPYKRIPLWWRWYYWANPVAWTLYGLLVSQYGDDEREVKLSDGVHKVMVKQLLEEVMGYKHDFLGVSAIMVVAFCVFFSVVFAFSIKTFNFQRR >A08p030260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18538336:18540136:1 gene:A08p030260.1_BraROA transcript:A08p030260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein k [Source:Projected from Arabidopsis thaliana (AT4G36720) UniProtKB/Swiss-Prot;Acc:Q6NLY8] MNGFASQIPSMALLGSGFTSEVGLRVLLSPLSSNIVLRTACCSIGIGLPVYSTFKAIENRDQDQQQKWLIYWAAFGSFSLVEVFTDKLISWFPLYYHAKFAFLVWLQLPTIDGAKQIYNNHLRPFLIRHQARVDRLVDGVYEEMVKVVRSHQGEIRFVRSMIASILGSANEVTPPSQQQGEISNSSPEPEVSTAIVRDSESESDHEE >A02p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1307043:1307953:-1 gene:A02p003160.1_BraROA transcript:A02p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEVSDGSVWSREDDIAFERAIASYTDESDEKWEKIAADVPGKSVEQIKEHYEVLVEDVSRIESGCVPLPAYNSPQGGSGDGDDEGGSTKKGGNSHVGESKAKSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKERRRTSIHDITSVGDADVSTPQGPITGQNNNNGSAAVVVAGGGNKSAKQGVSQPPPGPPVYGTPSIGQPVVGTPVSLPTPPHMAFAAPVPGSVVPQMPYTTMPRTPTAHR >A05p014990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6608638:6609437:1 gene:A05p014990.1_BraROA transcript:A05p014990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRDDADEFLQNFFEQLESLSLSEEAEVAETTDPWNINALLEPLPSQFQKQAIPQQAPTYDAARFLKNLDQLLGPDTVYTEDQSVQFGSNKRTIAVNPTQQLFSSNLNQCYRAETSTRSINPTFHTGRSPSQVLFTVPTESIHFTHVGSSVDTCVSSDSNQHQPRKRQRR >A02p038340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21911732:21913156:-1 gene:A02p038340.1_BraROA transcript:A02p038340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTGLAARTISSSVAVHPRLAHTTAMMTTIPSSEPPSPRFGGLPTPSFAGGVAGIVFFSAAAASSLGQEVHAKEMSHKFNPKEVVLYQYEACPFCNKVKAFLDFNKIPYKIVEVNPLFKKEIKWSDYKKVPILTVDGEQLVDSSVIIDSLFQRMHPGISKSEDDEETIWRKWVDNHLVHILSPNIYRSTSEALESFDYITTHGNFSFTERLVAKYAGATAMYFVSKKLKKKYNITDERAALYDAAETWVDALNGRPFLGGSRPNLADLAVFGVLRPIRYLRSGKDMVDNTRIGEWFSRMENTVGEPSGIKE >A06p048120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25535449:25540702:1 gene:A06p048120.1_BraROA transcript:A06p048120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITVTKISRVNPATNSSHDTTNSLVLPLTFFDLRWVKFHPTERVIFYKLDKDNSSRESFHSLILPKLELSLSAVLRHYLPLAGRLRWDPQDPKPHIVVVPNDSVKLIVAESDADFSIISWKGLRPETEIRSLVPEFPVACDSPSVLALQVTLFPNQGFCIGVAAHHSVMDGKTVVRFIKSWAHLCNHETMSLPESLTPFLDRTVINVPASLDAKILEILLYFSEEKEDVRSLKLPPMEKISPEVVRITLELTPENIEKLRERAKKESTRSQLHLSMFVVANAYLWTCLVKTRGGEVDRPVRFMYAADFRNRLDQPVPETYFGNCVFPLGCYGYKAGGFMGEDGFVNSVEIISDSVKGIASNNIEALCELYVDGTKRVKPGTQSGSVAGSNRFGLYTADFGWGKPVNSEIVSIDRNEAFSMSERRDGPGGVEIGLCLKKCEMNLSPMALNVTKISQISPLADSSRENPYILPLTFFDLRWLNFMPNEQVIFYKLHDSSHDSFYSVILPRLEQSLSIVLGYYLPLAGHLTWNPQDPKPCIVVLPNDTVSLIVAESEDADFSSVSGKGLRPANEIRPLVPELPVSGDSPSLLALQVTLFPNQGFSIGIVSHHVFVDAITALMFIKSWAHICKHGATTLPEDITPVLDRTAINVSAGLEERMLELVPHLSQDKDNARTLKLPPTKEVSAEVYRLKLDLTLENVEKLKERAKNESKRSHLELHLSTYVVVNAYIWTCLVKARGGDVERPVAYEYAPDFRNRLGPSVRGTYSGACVFPICCLGYKAKHFLGEDGFINAVEIISDSVKRLGSQGIEVFFDMYADKTKNIEKGTDLWAASGSNRFSIYGSDFGWGRPVNSEVVSYDQSQIFCMSEMRDGTAGVEIGLCLKKDEMDILVSLFKNGLENNE >A10p025770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16487156:16489610:1 gene:A10p025770.1_BraROA transcript:A10p025770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 14 [Source:Projected from Arabidopsis thaliana (AT5G20700) UniProtKB/Swiss-Prot;Acc:Q8GYX2] MLSKRTHPMIGKISELLVGVNRSAAAATPFFDVLMTSPKSPLDFKILPQISQRNSSKRFYDDNLGGSVGLGIVAALENSTTRLITRSEPNQPNRSDPVQFMSHERSTDEEEDEEMFIMDEEDYTLVTCHHAPSGSCSTRVYDRDGFECFASKINEDRRERLFVVDVGTESPENSPEFKGLGFLSSCYLCRKKLHGQDIFIYRGEKAFCSSECRSSHIANVERKERCRSKFSTSPYTAGQIFSSGVLVFHLDYLRYKQPQSAATPASAAPNQHCFLSPDR >A06p042340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22750884:22755589:-1 gene:A06p042340.1_BraROA transcript:A06p042340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTRLLDLTAAMVLLVCVALSPPLVSGDPGQRVSMTLVRGAAALGAFCLDGSLPAYHLDRGFGAGSDNWLLQFEGGGWCNDIASCKDRSKTHRGSTRFMTKTAVFTGILSNNASLNPDFYNWNKVRLRYCDGASFAGDRQVGNGTSMLYFRGQRIWNAIILDLLPKGLAKAEKALLTGCSAGGLSTFLHCDNFTSYLPKTAYVKCMSDAGFFLDAIDVASNRTMRSLYTQLVSLQGVQKNLDPNCTHAFYPEPSLCFFPQYALRFIKTPMFILNSAYDVFQFHHGLVPPSADPTGRWNRCKLNVTACNPHQLDALQGFRTDMLEALMNFFRNSTRGGMFINSCFDHCQSALQETWLSPTSPRIHNKTISKTVGDWYFGRGEDVKQIDCPYPCDKTCHNLIPASTTDSLVNLDI >A07g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7370756:7371662:1 gene:A07g503730.1_BraROA transcript:A07g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLRIMIHIPSTTGKLHKFWPVKCLSTYLLKVSQRRVIVSWRLRRFVLCRFVFDPSPHMRYKRWISTYKKVHPLRIIGIVFEDGQHGREIDFSSRRSSTVTIHRPGRIYIRDANT >A06g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16702607:16712784:-1 gene:A06g505800.1_BraROA transcript:A06g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKSGMVFLKSGMIFLKFGMIFLKCGMIFLKSSMIQVKSGTQRWLSIAMDVMFVASSSKTGPGLSSSSKIGSRSSSKDILVSRKVALHPKPVERKQDYSDAPVQEKEDHNFSHKKTSFQLALHHIHHRWVSDLPLDFLLMNKDGSATGARVDVLFLWVWLTSLRTGPSASYVFRKVKDPCLSKIWLIKVHELIKRVDQSISTVAGTCSMGQSSQKRESGMIFLKSGMIILKSGMILLKSGMIQVKSGLAISLGLSSWPGGTGISSVSSSIWSRIWTKLQSGRSRVEPIDGPLDLSDLIVWHDLSQVWHDHSQVWHDPSQVWHDPSQVWLGHQSWLVELAGRDGLLFGQIEHLVEDLDEAPIQTFTGRADRRPCGSV >A06p048480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25664920:25667018:1 gene:A06p048480.1_BraROA transcript:A06p048480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVISALLLHVLASSTCVQGFYRRGGHHPGGHGGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTETAALSTALFDNGLSCGACFELKCVNDPQWCIQGRSIVVTATNFCPPGGACDPPNHHFDLSQPIYEHIALYKSGIIPVMYRRVRCNRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSMRGSRSKWQLMSRNWGQNWQSNSYLNGQSLSFVVTTSDRRSVVSYNVAPPSWSFGQTYTGGQFRY >A01p014420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7011836:7016662:-1 gene:A01p014420.1_BraROA transcript:A01p014420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium channel AKT2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) UniProtKB/Swiss-Prot;Acc:Q38898] SIKKVTVDKSGASEDIAFLCLDIILEKVSPESLELCLERRIRDTFIPFLPRVNIMDLKYSASHCNLSPDVMKHHRGKERDEEYDASSLSLNNLSKLILPPLGVASYNQNQIISSGWVISPMDSRYRWWESFMVLLVAYSAWVYPFEVAFLNSSPKRNLCIADNIVDMFFAVDIVLTFFVAYIDRRTQLLVREPKQIAVRYLSTWFLMDVASTIPFDAIGYLVTGTGKLNLTCNILGLLRFWRLRRVKHLFTRLEKDIRFSYFCIRCIRLLCVTLFLVHCAGCIYYLLADRYPHGKTWIDAIPSIRDKSLSIKYIAAIYWSITTMTTVGYGDLHASNTTEMVFITVYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIQAASNFVNRNRLPPRLKDQILAYMCLRFKAESLNQQHVIDQLPKSIHKSICQHLFLPSVEKVYLFKGVSREILLLLVSKMKAEYIPPREDVIMQNEAPDDVYIIVSGEVEIIDSEMERDSVLGTLRCGDIFGEVGALCCRPQSYTFQTKSLSQLLRLKTSFLIETMQIKQQDNAVMLKNFLQHHKKLSDLDVGDLKAQQQNGEDDDGSPNIASNLITVVSTGNAALLDEILKAKLSPDITDSKGKTPLHIAASKGYEDCVLVLLKHGCNIHIRDVSGNTALWEAISKKHHSIFRILYHFAAISDPHVAGDLLCEAVRQNNVEVIKDLLNQGINVDTKDHHGFTALKVALSENQMDMVNLLNTNGADMVTNELTSLEKLSVVEKEKERVSIFRGHPLERKERSSYEAGMLILLPPSLDDLKKIAEEKLGFDGSEMMVTNEDGAEIDSIEVIRDNDKLYFVEKIII >A02g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12262639:12264012:-1 gene:A02g503640.1_BraROA transcript:A02g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGSCWVVLPSSNKKKQGTTDNTRRYASRSSSSTFLHHRFCVSLPLFLYYTLLGIISSSPYAPSIIPSSNDTLIFNFFFLRCCCGSISRPPTTLHRWKADNSETATDDTDPAVYSETIVECSWDQDEKVWVRESGWINQRQMISTLRERSAHSFYMLARFLWICQTWAVQGSTLNNVTVVVVLDPSALINHFVGFIFTYLPILFFLKLTHSFNKYVVVYLSLWDDAFRGHLNSTDVTLSVMVLTTVYPKIFVGNLYLNSTQLRPPSSTLTPPSPPLKHSQRGL >A09p025110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13981910:13983162:1 gene:A09p025110.1_BraROA transcript:A09p025110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSNAAGSSGRRRRANTPKAKPVSRSKKTKRYPDGIYERLDQFVKTLCDHFGVPLPNIHNKGKRKVGEDDHGYSGSPKSVENQYKKHRPNRKSRNVNSTVPKPGQEMHSYPLRSADGLEGTIGNTQPGFGRETHEKNENSAICEFNPKDASTASTYLIKKSSTLTIQEIAAIKKKTFPYSFAANARVSELQEMLKSIGEGQRRVYLEQRRYLSARVDHLTEHIICLPPHFKPHHECMLRVYKTELGKRTPLGGDDGASSSGN >A03p062260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27049677:27050565:-1 gene:A03p062260.1_BraROA transcript:A03p062260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECESDNQERFCATPKLPLFSIPLSRTSCETPGLATPPVNIAGSVPFIWEEAPGKPRVFDENKPPASKQNTVRCLELPPRLVLQAAVNEPSPTTVLDGPYAVPRRSLSLTRRSEKDTECRFDFSRSTNGRCCDGGDTTVKISRVRRKGSLLNLSHSKSQFLARVYRGFKQVIPWRRRQDNLPRMSSSNI >A01p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16048401:16051049:1 gene:A01p040040.1_BraROA transcript:A01p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 3-I [Source:Projected from Arabidopsis thaliana (AT1G61010) UniProtKB/Swiss-Prot;Acc:Q9C952] MASSSTSLKRREQQPMSREGDQLIVTPLGAGNEVGRSCVYMSFRDKTILFDCGINPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEHDINKSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTSGVQLHQSRHIREKRFTDVIHSTVAQGGRVLIPAFALGRAQELLLILDEYWANHPDLHNIPIYYASPLAKKCMAVYQTYILSMNDRIRNQFANSNPFVFKHISALNSIDDFRDVGPSVVMASPGGLQSGFSRQLFDIWCSDKRNACIIPGYMVEGTLAKTIINEPKEVTLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMMRLKQKLFTEFPDGNTRIMNPKNCESVEMYFNSEKMAKTIGRLAEKTPDVGDSVSGILVKKGFTYQIMAPDDLHVFSQLSTATVTQRITIPFSGAFGVITHRLGKIFESVESSTDEETGLPALKVHERVTVKQESEKHISLQWSSDPISDMVSDSIVALVLNISREVPKIVAEEEVAVKSEEENGKKVEKVIYALLVSLFGDVKLGENGKLVITVDGNVAHLDKESGDVEGEHEGLKERVRVAFHRIQSAVKPIPLSAE >A09p043230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35115929:35116644:-1 gene:A09p043230.1_BraROA transcript:A09p043230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPQKIQISATLKQQLIVWENITHQDKVQPGSAADGSSQANDIDGKRKIKLARNSLQENNRKSNDDPKVSIQSYGNEIDAWSEGIILIILLCGVPLFLGC >A05g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23833294:23835161:1 gene:A05g508180.1_BraROA transcript:A05g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTNSKITMLNNLKPYKTTWKVEVKILRSWTQHSNYSGEDTFEFILEDRMGSEIYCTCKRIFLARVKNLQVGQWRFLENFSVYPTTGMYRLSGHKFKISITKSSIVTNSSLTTCEVHTFSPSALQQECIDQLIILTKWASCKNTTITKSTLRNDNLFLSLVDFQSVLHGSLKPCFLTDLMGQVINLGELKTIHCSCKPRMKPEFTLEYIK >A09p070570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54717253:54717943:1 gene:A09p070570.1_BraROA transcript:A09p070570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNAQKSKMAREKNLEKARQAGKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKADVVACFPHLKK >A08p032610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19708710:19709396:-1 gene:A08p032610.1_BraROA transcript:A08p032610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVMTTLPQFNGLRASKISAAPVQGLATVVPMRRKGNGALGAKCDFIGSSTNLIMVTSTTLMLFAGRFGLAPSANRKATAGLKLEARDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAI >A03g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17513453:17521872:-1 gene:A03g504910.1_BraROA transcript:A03g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKKKFAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTITKSFEVTELPVRSAKFIPRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRSIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLISGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRLENTLNYALERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIKSIGASYEVTDGERLPLAVKDLGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKIFNKNFQERKSIRPTFSAEKIFGGSLLAMCSSDFICFYDWAECRLIQRIDVTVKNLYWAESGDLVAIASDTSFYILKFNRDLVSSHFASGRQTDEEGVEDAFEVLHENDERVRTGIWVGDCFIYNNSSSKLNYCVGGEVTTMYHLDRPMYLLGYIANQSRVYLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDKANEILPTIPKEQHNNVAHFLESRGMIEDALEIATDPDYRFELAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYATDLSGLLLLYSSLGDAEGMSKLASLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVARSVEANAVEARGVYAAAENYATQADQPFITLVEAFRNLQVEAEEPFENGDGDHEVAEENGDAENEGGEEEENEEEVNQEEGVVDEDSTDGSAVLVNRSEGEEEWENAQQSPSKKRGALKEQSRDNPGLDDDDDQDTTALESGTFKTASEEAVATRRIVRGVGCKSGTVITEEAKGDNGNSNTDKGSDCGVDKSEAVNGGETAEDETKTTIETQKAKDDEGSDCGVDKDSAGGQTENEGTDKNGDNSGFFTSLELLPSSHQRKRFQWKQEKRTREQPSQLLIQYCSNTLKEDGKSVGKDKSS >A05p017470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7886634:7887131:-1 gene:A05p017470.1_BraROA transcript:A05p017470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVTYGSCRPDPKPSTASAPPLSRYESQKRRDWNTFLQYLRNHKPPLTLTLCSGAHVLEFLKYLDQFGKTKVHVTTCFFFGHSDPTSPCACPHKQAWGSLDSLIGRLRAAYEENGGRPETNPFAARAVRIYLREVKGSQAKARGITYQKKRRQPTVTTVRLDVV >A03p017040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6830531:6831945:1 gene:A03p017040.1_BraROA transcript:A03p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKEKRDKRLQQVSLLRTIPYSDHQRWWTSETVAVVTGANRGIGFEMVKQLAGHGLTVILTSRDENVGVEAAKVLQEGGFNVDFHRLDILDTSSIQDFCQWIKEKYGFIDVLINNAGVNYNVGTHNSVEYSHMVISTNYNGTKNIIKAMIPLMRQASPGARIVNVTSRLGRLKGRHSKLENEAVRAKLMDVDSLTEEIIDETVSEFLKQVEEGTWESGGWPHSFTDYSVSKMAVNAYTRVLAKELSERPDGEKIYANCFCPGWVKTAMTGYAGNISAEDGADTGVWLALLPDQAITGKFFAERREISF >A03g501890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5995449:5996138:-1 gene:A03g501890.1_BraROA transcript:A03g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTFFTLQQEMVSTISGESFASGPRLFFVTLHQLSNADTTIVYVTSSHSCPAETLQGSDHSLSFGLFAESSIVKFSIKATTPPKICFSFDIVLFDCRNVSMIYCARVWTRQICSLLCHCCASITLRRLKHRRFFTESAFRSPTPFLVAGTTVQEYRLAIFTRYYITVASLLHYAVSSIDSSSHSRYCDPVTGSVILYGGSQTYCSQNSLVGFFNVDFDFFAFLRTRAL >A08p004540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2656000:2658449:-1 gene:A08p004540.1_BraROA transcript:A08p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:Projected from Arabidopsis thaliana (AT1G49570) UniProtKB/TrEMBL;Acc:A0A178WK78] MMVKSGVWRAFKDDSRIAASLLRLHFHDCFVNGCDGSILLDDSEDFKGEKNALPNRNSVRGFDVIEDIKTDIESACPLTVSCADIVALAAREAVVLTGGPYWPVPLGRRDSLTASEQAANTNLPSPFEPLENITAKFVSLGLDFKDVVVLSGAHTIGFAQCFVFKHRLFNFKGSGQPDPNLAASSDLLSKLTDTCPNVDSSNSNLAALDAASVVKFDNAYYVNLMNNVGLLDSDQILMSDSNAAALVKSYSENPYLFSRDFAVSMVKMGKIGVMTGSDGVIRAKCGFPG >A02p008770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3608736:3610674:1 gene:A02p008770.1_BraROA transcript:A02p008770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGMEELTEDEKRALRGSKFAPLTSLPSSSRSQLPRLAHPGGPLKTNKAAALAKFLERKLQDPNGLSSIDPDLIELAVKNAKDTVISSGASSSGRRIQHVAKFEDVEISSDDDDKMENTKLTKKKKKKNAKKKKVEKKKKKNKKHKQQIIVDDDAKLKRPNKKLKL >A02p001470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:659727:660605:1 gene:A02p001470.1_BraROA transcript:A02p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQGAVKRRLAVLVGCNYPNTKNELHGCVNDVLAMKETLLTRFGFKQEDMEVLTDEPDSKLKPTGANIKAALRRMVDKAQTGSENVLFFHYSGHGTRIPSVKPARPFKKDEAIVPCDFNLITDVDFRELVNQLPEGTSFTMISDSCHSGGLIDKEKEQIGPFSGVRSPAIETTATTITSRALPYKEVLDHLSSLTGISTSDVGTHLLELFGGDAGLKFRLPAMDLMYLLENMKAREKHVDSGILLSGCQADETSADVVSGGGKAYGAFSNAIQTAEGKRRCLEEQGTSDHG >A01p022090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10785356:10788290:-1 gene:A01p022090.1_BraROA transcript:A01p022090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDSQAKSSHDGEKHWMYLKPVRLYDMLLCDSPSKQRFLRRNLNYKNQEKGETRSASAGMVLFNFKDFDNKVQKTQVTKNCSCPFCKMLCGNFKGLQLHLNSFHELLEFEFMTSEENQTVNVSVRLDAFKTKDQRNIREKFGDLSFCSKPFKRTQVGGRNIPKRLNVTILPMDPPFLADDTETGTSLLNNGNPVAMMNVPDIGQSSGSGARESEGPAAKTRKLSEGTSEARRTSLLQTRQFYHSRTLQMIDDLEDVSPTEKDFMHLWKSFVRYQRVITDGQVPWACEAFSKFHKKEFIESKPLHSCWRMFMIKLLEYGLIDAVTINKCNLIIEDSEDDHSVNTNNNNSVDYGNNSVDYGIDLNRNDTMDVDHIGVDLGIGLGRNTAMDVNNNNVDRGIDLNLTMNVNPSNVDRGIDLNRNDVMDADEDVNDSSYLSLSLSL >A05g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24203577:24206396:-1 gene:A05g508310.1_BraROA transcript:A05g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCGERAASLEVLDRFNGLILATRPLRVTPSDTGSHHVSPSYWRRGVDVTRWKGERFISLICIENSRVSSSRRIRVRRRSLSLFLDFCPSIRRMDPSDERRDTKRKQEYIDHLYNVADSAYGMPTRCPCGGRIIDEVQVKEEYDTRPGKRFFSCINYEADGLHYRQPWVIGVQEEMVRLHKRVEEADEMIKCVPILSKQIESLEAQVKRLTLLLDKLTGDIYNLTVQAAALEKVKGCPSVVTGVWEIKSDVD >A03p015820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6290213:6290820:1 gene:A03p015820.1_BraROA transcript:A03p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKDGANSFTNISVEEHFSVSQSTSGGQFVGPTEEISTAADALIGRSATLTEALKAAAINVGHKPVETTDLAAIKELEARATGGKIERGDSVTSMANEAVARNKKIGKEDDKKIRLRDIVAEIDVRVTRDRSVTSEDAEAVVQAELNHSPYNHVIPGGVAESVAAAYKLNRSPSM >A09g513440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:40927013:40927273:1 gene:A09g513440.1_BraROA transcript:A09g513440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGDGTTRNRSLLCCSSNAIRSPEAQTMPRRSDIERPSPELQFVTPLSTKLHASIAFYSLYLALFSGEEHKEVSRCGPNGLSRG >A03g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25019435:25021069:1 gene:A03g507150.1_BraROA transcript:A03g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDMWAVLRFGFSRFAPGKFRSVAWGFCSGVSARRFLCCWQVGSSASLSSSSVSAFCEVVFVVERSPGFLPFPCLASRIGRGFALGSREVIDFFYRFVHGGPMAVWLSSFCDSMSATSSRMVGLSSMAFSNFRFGGCSLFVVVSHLLCPAVSASSEVASSQSFFVGHSSLSSSPAFSDEAQWRPELGSLPSGQDVDLKCRTLLFSIAGSGVRYGWCLFAACFVRIRCSGLQVVRVLDLCFPWRLVMFARPWLCWCLAAERIIGQANFYRVPTLDFTVASTSAASRLFRLGEASGLKFTYLLKMLSTIPFKNNRTLHGLLHEFLITAGSERTCSSPTSLTRITVLNKNKGFVWDS >A01p024980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20358913:20362306:-1 gene:A01p024980.1_BraROA transcript:A01p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPMVPTWRPDPVYRPPETPLEPMEFLARSWSVSALEVSKALTPSNPQILLSKTEEEPISGDGGDTEENGLVSGNTFSFACSETSQMVMDRILSHSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPPESDDIKQFCRANNTSLNNINSQFRSTATTPGPITATATQSKTVGRWLKDRREKKKEETRAHNAQIHAAVSVAGVAAAVAAIAAATAASSSSGKDEQMAKTDMAVASAATLVAAQCVEAAELMGAEREHLASVVSSAVNVRSAGDIMTLTAGAATALRGVATLKARAMKEVWNIASVIPMDKGLTSTGGCSNVHNGSNGSSSSSHSGELMQQENFLGTCSREWLARGCELLKRTRKGDLHWKIVSVYINKMNQVTLKMKSKHVGKTFTKKKKNIVLEVIKNVPAWPGRHLLEGGDDLRYFGLKTVLRGDVEFECKSQREYDMWTQGVSRLLVVAAERRFRM >A02p008540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3518864:3519828:-1 gene:A02p008540.1_BraROA transcript:A02p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGGGSFRGRGGRDGGGRGGGGRFNRGGGRFGGGGGGGWRDEGPPDQVVVIRYDKVSFFRWVITEVATFVHASEGDAVTKLCQEKIPHFNAPIYLQNKTQIGKVDEIFGPINESLFSVKMMEGIVATSYSEGDKFYINPLKLMPLAKFLPQPKGQSTGVRGRGRGTSRGRGGFSTRGASRGRGGFSSFRGAPRGRGGFSRGRGRGGY >A10p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:409100:412823:-1 gene:A10p000850.1_BraROA transcript:A10p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANATEIEIAGGEEGDKFEVGKIYDVKLTTGIEFKGIVLAYDPDPHLVIFHERTIPETGDSMNTRMVNENFISTLTYIGKCKDPLASKERWIDLSGLEEKEAIAIREIESIGVGVTAEAQKVFNDLSKTKIESIGVGVAAEAPKSFDAFSKTYPIEQDLVVEILPVPVMRARDIIVAANMALKKKIFAQKISRATNGAYFLMDEKMRKVAVFKPEEEEDGCSRKGEKANREVAAYLLDFPKDSEEDGFGGVPPTTMVRCMDFKGDREKRWAKGSLQQFIPNKKWYVHGERSDAIFSIQDFQKMTLLDIRYGNTDRNQDNILNKEGKLIPIDHGECFPTEFDRYRLDWTVWEMAEIPYLTEMVEYVKTLDVDKDLEILRTNGIELGGRAVERVLRREIKSIGVGVTAEAQKIFDAFSKTLPVQWVNKDILVSGKVRIRSPYHDDCVTGGTATARNQIKAVLKKVRQELQLGTGGN >A03p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4857508:4858334:-1 gene:A03p012190.1_BraROA transcript:A03p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTDEVNPPPDNSAQQSESKSRKRSAPLDNWLPDGWRVEDKVRTSGAKAGSVDKYYYEPVTGRRFRSRTEVLYYLEHGTSKKGSKKSDFNPDHLEGERRKKSSRKAKEQPPPPPPLRPLNFDFENAPEKVNWSMPNSGDEAWAPFVGDDKVQDSVRRDWCTAFTAVTTKNPSKLSL >A01g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16404688:16405378:1 gene:A01g505490.1_BraROA transcript:A01g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTDGVDLWLESLCRFSKIIADSAAAHSLSFCPLAQRSVDKTTGRLSPREDYFKSFELSEQLVYNQLISISLIHLFFFVGLVSLEAAAATSFSLGSRLILRDIGSNVIADQKDNAVELNAINFDLVFEDTSAKFAVLEFFAHWLVFSLESIWRKKVLIFRLDMLPSPEIYQEIV >A05g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11239996:11241350:1 gene:A05g504010.1_BraROA transcript:A05g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPALSVVCGEKKEEPDTKAVGSSAAGDDVSSPVDDVQKKIRHAERFGVSVKLTEEEKRNSRAEMEGENVLINHMTGKEYRLKAIGDVGPVVDAGDLAMVESCVNWEDLGSQIENFDCSEFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTSDEVMAEFNKAKCGVLIGSAMGGMKVFNDAIVSAEDLLQEDESFLCTFRHNKHGFCYAFYKFVLGSFYYLSYKFVS >A04p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19642744:19644158:1 gene:A04p033810.1_BraROA transcript:A04p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPDEDATLKDYIEKQGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNLRHGDFTEEEDKIICSLFASIGSRWSVIAAHLHGRTDNDIKNYWNTKLKKKIIATMALPPPHHLLAIASSSSSPSSSSQYNMINSFPYYNPSACTNELITPNQGMMTMMDQQQHLLYQEDMGNLGNSPSSNKLIMSHQEDSPKQSASKGIMLLSDVRSGSSSTTSTVARVKSKHHDYHHEEEVRSMEDYGMDEIKQLISSNCTSSSSNSLWFDENKTEDKFMMYY >A01p042420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25539181:25545590:1 gene:A01p042420.1_BraROA transcript:A01p042420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GENKKSYLCTHRGHVKKVFIFNGFVHRLEVTHLWWILSPNRDSPSFVDLIVSQFGFEILRFSLRVLRKGFDECEKLVRVEMVGPTLFHRRKHSWPPEEFISKATLQLLDFDSAAPPSHAWRRRLNCHANILKEFTITFREAIKMVRLGIRLWSYVREEASHGRKAPIDPFTKDNCRPSASQGVPLGGMGSGSISRGFRGEFKQWQITPGTCDPSPMMSNQFSIFISRENVHKKYASVLAPGQHGSLGKSRDKGISSWGWNLNGQHSTYHALFPRAWTIYDGEPDPELKISCRQISPFIPNNYRDSSLPAAVFVYTLVNTGKERAKVSLVFTWAVSWHLIHFYYIMSRRADVYQLCILQNSIGGTSHMSGGHVNEPFIGEDGVSGVLLHHKTCMGNPPVTFAICACETQNVNVTVLPCFGLSEDSFFTAKDMWETMEKDGKFDQENFNSGPSMPSSPGDTICAAVSASAWVEAHGKCTVSFALSWPSPKVKFSKGSTYDRRYTKFYGTSRRAAVDLVHDALTHYKRWEEEIEAWQNPILRDDRLPEWYKFTLFNELYFLVAGGTVWIDSASLHANGNGQRQQSDLRNLDGKANGIDGKEQQNNLNNGDRNGIESNGLFVDTSKADDEDDVGRFLYLEGVEYVMWNTYDVHFYASYALLMLFPKIELNIQRDFAKAVLSEDTRKVKFLAEGNWGIRKVRGAVPHDLGMHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDHQFGIDVWPAVRAAMEYMEQFDRDNDDLIENDGFPDQTYDTWTVHGVSAYCGCLWLAALQAAAAMALQVGDKFFAELCKNKFLNAKAALEAKLWNGTYLNYDSGASSNSKSIQTDQLAGQWYTASSGLPPVFEEFKIRSTLQKIFDFNVMKTRGGRMGAVNGMHPDGKVDETCMQSREIWTGVTYAAAATMILSGMEEQGFTTAEGIFTAGWSEEGFGYWFQTPEGWTMDGHYRSLIYMRPLAIWGMQWALTLPKAILDGPKINMVDRVHMSPRSRRFSHNVKVVKHKAKCFGNCTLSCSC >A07p024180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13926762:13932233:-1 gene:A07p024180.1_BraROA transcript:A07p024180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTSPGETMIRTGCKRELQFMLKSQSEICGGHSLGRTRGSKASTTRTNAKRLTTRVLLRSGIKKMREDAAMSDSPVVEEEEKSDVVDAVREAVKERSVVVEREIVLACPSSFSELAKLTSRSCLVKLKSGLGYEMPARRLTRSMFKPEADEDHMNLGREAKDTCVAEGSESEAKDHRNSEKDAKVSVDAACGREEEESHEQNSVVSIGLSLTSGLRRCGVKKGVNDTVDRPLRRLTRSLVKQDSPDLESNTGSSDLGNVDVNADDVGMDGFQNPLVTTPNKRGRPRKFIRSFPAKLKELFDSGMLEGLTVYYLRCAKMREAGARGLKGVIKGSGVLCFCGACKGAQVVSPAVYEHHASSTNKRSPEYILLESGFTLRDVMNACKETSFDTLEEKLRAVVGPDLNKSSLCFSCQGPLVEPCETKSLFFCKACLERKEPDLSISPSKATGVRRGSSKPTLVPKTIIDRSTPSPRQSNRRENPTQKSPEPSGTIPNESKSSSIKSSSQRKLTRKDLRLHKLVFEDDILPDGTEVGYFVAGKVRSAWQMAFLQLVTVTLSTPTISFIMQKMLVGYKKGFGIHCSCCNKVVSPSAFEAHAGCASRRKPFQHIYTTNGVSLHELSVALSMDQKFSIRENDDICRICQDGGELLCCDTCPRSYHIVCAGLSSLPSERWSCKYCVNMIEREKFVDSNLNAVAAGRVRGVDAIAQIATRCIRIVSSLVSELPSVCVLCRGHSFCRLGFNSRTVILCDQCEKEFHVGCLKDHNIADLKELPKDKWFCSLGCKKINTSLGDLIVQGEEKLSNNFLNFIRKKQNINEESCPDDNTTPNIRCRIISGKLASSDDTKEFLKKALLILHERFDPICESGTRGDLIPAMVYGKKAKGHDFSGMYCTMLTVDEVIVSVGIFRVLGSELAELPLVATTRDYQGQGYFQCLFDCIERLLGSLNVKQLVLPAADEAKSIWTNKFGFTKMTEEEVKECRKDYSVMVFHGTSMLRKMVPGTGVADGSKPEKDSMEE >A08p027720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17451743:17453419:-1 gene:A08p027720.1_BraROA transcript:A08p027720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKELGLQKTVVSLKEQLARTTLRNLRAQGHTYIELREDGKRFVFFCTLCLAPCYSDAILLGHLNGNLHKERLSCAKITLLGENPWPFNDGVLFFDSSTGEEEEKSLVCGGGEAGPLQRCCSDDGDRFAIVAYDESRMNGANRDEIAETADDLLISGLLIKERTLDVEAKFIGFGRIAARLFETKGRATWIDKLWCEWLGKEGSSDEEKASVPEHDFAVVSFSYFYNLGRLGLLDHPSRLLTSESGNGEENGRKRKKSFSDPEDTSDSLCSQDDSSEEVSSARGSRALLADYDDHLVNRRVIKNRTVRRELRKQQRIFSERICEVCKQKMLPGKDAAAILNTKTGKLVCGSRNLLGAFHLFHVSCVVHWFLFCESEIIGNKMVSGKGKKRCTKHQSGVKWNGLVSDVSWQIFSVFCPECQGTGINIEGDVIERDTFPLSQTWRFGVKVSEGRKAWVKNPEKLENCSTGFHFPQQNEESVKNQEERVQNMKLVRFYRVEL >A08p004920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2870087:2870320:-1 gene:A08p004920.1_BraROA transcript:A08p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSSSSAREVELQKEAKRHEVALDELSCLSSSRSVYQKNGNLFFLTTAKKVKIDAEKQLDHAKSELAKIRSQTR >A08p013510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8129973:8130305:-1 gene:A08p013510.1_BraROA transcript:A08p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRQRNTHGPDAPTNSPVRRVGLSKPSNSPNGRVGQTMPSNSPNGRVGSRKLSNSPVRLVGPNMQSTRPFGELDQSACLHPVLVATPFRIRSNLLLLHLDRSHRWKFAI >A09p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20879225:20880174:1 gene:A09p034490.1_BraROA transcript:A09p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELLDWELVHGSDTESTDSTASEKKTGSSNGIDDGMILSHHFDQLDVCESSDACIRNELGLSGFEDAQAESEAVAYVARECLSETDHLQVADGRRHVESQLGVEEDPSNSEGNQLVSGIVHGEEEIGSDSEAVEESGGDAVVVRCGDDSSKGRETVWWKMPLVLVKYCAFRIGPVWSVSMAAAVMGFLLFGRRLYNIKNKPQRIHLKVAFDYKVKVSQVMSQAARLNEGFTEVRRVPVIRPALPAPGAWPVLSLR >A03p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14480379:14481282:1 gene:A03p034260.1_BraROA transcript:A03p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGRSGKERITSQKEEEGTVRKGPWTMEEDLILFNYILNHGEGLWNSVAKASGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLIIQLQAKLGNKWSKIAKHLPGRTDNEIKNFWRTKIQRHMKLSSSKTMNSRHCLGSSQSSVMTTTDQGSSSKASHMAESLKSTETMTTSYNVMEQCNDSYWSVEDLWPVQLFNDDHHQHQLL >A07p044820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24381660:24391166:-1 gene:A07p044820.1_BraROA transcript:A07p044820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINMKTFTQALARTAAVIEKTVQTTVQEVTGPKPLQDYELLDQIGSAGPGLAWKLYAAKARDTTRSQQYPTVCVWMLDKRALSEARVRAGLSKAAEDAFLDLIRADAGKLVRLRHPGVVHVVQALDENKNAMALVTEPLFASAANALGNVENVANVPKDLKSMEMSLLEVKHGLLQISETLNFLHNNAQLIHRAISPENVLITSAGSWKLAGFGFAISAAQAGNLDNRQSFHYSEYDVEDSMLPVQPSLNYTGPELVRSKSPSAGASSDIFSFGCLAYHLVARKPLLDCNNNVKMYLNTLNYITNESFSSIPSDLVSDLQRMLSMNETYRPTALDFTGSSFFRSDARLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVLQPIILPMVLTIAQSQDRNDFELITLPALVPVLSTASGDTLLLLVKHAELITNKTDSEHLVSHVLPLLLRAYNDNDVRIQEEVLKRSTSVAKQLDGQVVKEAILPRVHGLALKTTVAAVRVNALLCLAELVQTLDKPAVIEILQTIQRCTAVDRSAPTLMCTLAIANAILKQYGVEFTAEHVLTLIMPLLTAQQLNVQQFAKYMLFVKDILRKIEEKRGVTVNDSGIPEAKSHSAANGVQFQSSNQTPEKVASAAKSSPAWDEDWGSPSKDSSVRNHASSHQVTNDQLNKSSNQSQPLPNKSTAPTTCSPVDIEWPPRQSSSLTAPVIADETQINTGTSSTPGFDELDPFANWPPRPNNGTSVASTGLNNGTASANNDNWAFSNASLSSLQPPQQRQGKGVSSFSSGSNNNQKPADISSIFSSSKTGQPAMKLAPPPSTAMGRGRGRGRGGTSKPNGSQTSLLDLL >A06p048490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:25669943:25670509:-1 gene:A06p048490.1_BraROA transcript:A06p048490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLLSECKQSSSLFFFALFNLFHISLGRWVSSARIFLSGFLPLLQHHQRVRNQDQTVITNTEEQEEENQYDYLSREDAEMVMRSLGLSPDQESDDLQERYSSKEMSSLFEEKEASLEEVKQAFDVFDENRDGFIDAKELQRVLTILGFKEGSYLENCSVMIRSLDGNKDGRIDFNGFVKFMESSFC >A03p025320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10663065:10671736:-1 gene:A03p025320.1_BraROA transcript:A03p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVLFVLFFISSCSAQSYNVLSFGAKPDGKTDATRAFMAVWETACASSRPVTIVVPKGRFLLRSLNFDGSKCKPKPVTFRIDGSLVAPADYRVIGNEDYWIFFQHLDGVTVYGGVFDAQGTSLWDCKKSGKNCPSGATTIGFQSSSNVVVSGLTSLNSQMFHVVINGCNNVKLQGVKVLAAGDSPNTDGIHVQSSSTVSIFNTKISTGDDCVSIGPGTNGLWIENVACGPGHGISIGSLGKDSVEEGVQNVTVKTVTFTGTDNGVRIKSWARPSSGFARDIRFQHCVMNNVGNPILIDQNYCPRNENCPRQVSGIKISDVLFVDIHGTSATEVGVKLDCSPKKPCTGIRLEDVKLTYRNKPAASACQYPSPSRNHLSLKIKHTKMVYKTSCLLLPLALVFLDFIITSSLAKPIPTTFINVLTYGAKPDGSTDSTKAFLAAWQVACASVNPTTIIVPKGRFLVGNLVFQGKKCTDTPISIRIAGSLIAPEDYRIVASSEQWIWFESVTDVSIYGGILDAQGSSLWNCKNNGGSNCPTGAKTLLFSGSNNININGLTSINSQKFHIVINSCNNVNIDGVKVSADANSPNTDGIHIQSSHLVSITNSRIGTGDDCISIGPGSTHVSIQGIQCGPGHGISIGSLGIAEEEQGVENVTVSNVDFTATSNGVRIKTWAKNSKSFARNIVFQHINMKMVKNPIIIDQHYCFYKPCPKQESGVEVSNVRYEDIHGTSSTDVAVKLDCSKEKPCTGIVMDNVNLALQLVNQPAQASCSNANGLANDVVIPFTPCLKRDILMT >A10p008110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11143830:11145361:-1 gene:A10p008110.1_BraROA transcript:A10p008110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGHNIKSTIPHIESSLHLLTFPFLLPPFSVPRGRTFCSSKSKMHAKTDSEVTSIAASSPARSPRQPVYYVQSPSRDSHDGEKTATSFHSTPVLSPMGSPPHSQSSMGRHSRESSSTRFSGSLKPGSRKVNDGSKRKGHGGEKQWKECAMIEEEGLLDDGERDHGVPRRCYVLAFIVGFFILFGLFSLILYGAAKPQKPKITVKSITFETLKIQAGQDAGGVGTDMITMNATLRMLYRNTGTFFGVHVTSTPVDLSFSQMKIGSGSIMKFYQSRKSQRTVLVHVIGEKIPLYGSGATLIPPAPPAPLPKPKKKKKGAPVVIPDPPAPPAPVPMKLSFVVRSRAYVLGRLVKPKFLKKIECDINFEHKNLNKHIPITKNCTVTTV >A06p018390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8938628:8938828:-1 gene:A06p018390.1_BraROA transcript:A06p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVPIPTLLSLVVHVWLVPISLTLVALLKLVAGLVVMMMHGTVVGLVVMMHVWNCPSVGCDADA >A09g519320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59914731:59917592:1 gene:A09g519320.1_BraROA transcript:A09g519320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMLISSYTRNQILCFIALIITLTSLTESRYHHHKEKHKHNSHNHHSSKPEPPSSSISQPPTPPPGPDDSPSPSLPPSPSDDPEEDNNGVYDVRKFGAVGDGVADDTEAFKTAWDSSCSNGNDTVSVLLVPYGYTFMIQSTIFTGPCHSYQLFQVDGTIVTPDGPESWPNNISKRQWLVFYRVNGMALKGAGVIDGRGQKWWDLPCKPHRVHKTDDLLGRAVSSDCCHKGLAFFWEAHDVKKGDEPMGVDMVLVEDKTFPGNLSRVCYLPTLISLIKLIAALWRDLLDSFIPTEIDMLSQFRHMHLLPLIGYCNEEHQDDHGEICVGAARGDHYLHTVSARAIIHRDLKSDK >A03p022560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9475236:9476595:-1 gene:A03p022560.1_BraROA transcript:A03p022560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT2G39060) UniProtKB/TrEMBL;Acc:A0A178VR23] MVFIKVHQLAFLFGLLGNIVSFGVFLSPVPTFYGIYKKKSSKGFQSIPYICALASATLLLFYGIMKTHAYLIISINTFGCFIEISYLFLYIIYAPREARIFTLKLILICNIGGLGLLILLVDLLIPKQHRVSTVGWVCAAYSLAVFASPLSVMRKVIRTKSVEYMPLLLSLSLTLNAVMWFFYGLLIEDKFIAMPNILGFLFGIAQMILYMMYHDSKKTDLPKLTSTENQPTNITNLNEVAIVAVELSDARAENVEGSVRPMTPNSSTTA >A06p011020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3989893:3990409:-1 gene:A06p011020.1_BraROA transcript:A06p011020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTKSKALIIFFTLVLLLSMASSVLPREDGFAPPKLSPSSTQEKERSRKGDGDGVDQCKSSDSEEECLVKKTVAAHTDYIYTQDLKSSP >A06g507610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21250268:21250645:1 gene:A06g507610.1_BraROA transcript:A06g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNELGPWPIYRIQQPIRFRLVAARVSLRMAPDACTATPRAPHVLQHGQDSCRAPPLLPDVRLHDWNSCKAPQHHTHGWPHASVACVETPRAWSIHLVLLHVKLHVQLPCTVTPPPCVDTELIM >A03p015240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6044869:6046581:1 gene:A03p015240.1_BraROA transcript:A03p015240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 49 [Source:Projected from Arabidopsis thaliana (AT5G54230) UniProtKB/TrEMBL;Acc:Q9SPG6] SFYFFLASSTNNISKEMGKSSSSEESEVKKGPWTPEEDEKLVSYIQAHGPGKWRTLPKNAGLKRCGKSCRLRWTNYLRPDIKRGEFSLQEEETIIQLHRLLGNKWSAIAIHLPGRTDNEIKNYWNTHIKKKLLRMGIDPVTHCPRINLLQLSSFLTSSLFKSMSQPMNIPFGLANSSINPELLHQLNTSLSNVQTESYQPHQANQQLQNDHQTSFTGLLNSTPPVQWQNNGECLENYLNYTGSGDQSINQVPLTGNYSSAINDGENYKVGWNFNSSMLPGTSSSSSTPLNSSSTAFINAGSEDDKESYGSDMLMFHHHHPDHDNNALNLS >A09g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25490084:25495859:-1 gene:A09g509130.1_BraROA transcript:A09g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNKDREIEKNSGWNLLLSLSTRSTDGLKDRYGSGSCWTCVSLKIGQGMGWIEGLHKEQWIGSLICQTAALNSFSQLKDLGHGTDRRTDGRMVVPRFSLWLGWMVPAGSSLVGDCT >A08p005740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3300308:3303924:1 gene:A08p005740.1_BraROA transcript:A08p005740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAKKFINNPNDVVTEFIEGLVETYPGLQYLDGLPEVKVVLRADVSAADYDKVAVISGGGSGHEPAQAGYVGEGMLTAAICGDVFASPPVDSIIAGIRAVTGPMGCLLVVTNYTGDRLNFGLAAELAKTEGFKVETVIVRDDCALPPPRGISGRRGLAGTVLVHKVAGAAAAAGLSLEEVAAEAKHASEMVGTMGVALTVCSLPGQATSDRLGPEKMELGLGVHGEPGAAVVDIQPVDVVVSHVLQQILSPETNYVPITRGNSVVLMVNGLGGTPLMELMIAAGKAVPKLQLEFGLAVDRVYTGSFMSSLDMAGFSISIMKADQSILERLDAPTKGPSWPVGTDGNRPPSKIPVPLPPFQQNKNEESLGRPQELSQQGRILEAAIKAAATVVISLKDSLNEWDGKVGDGDCGSTMCRGATAILEDMKNYYPLNDAAETVNEIGSSIRRVMGGTSGIIYSLLCKAAYAELKANAQSEVTPKNWSDALKSSISAVSKYGGASAGYRTMLDALIPASKVLEEKLSVGEDPVSAFVLSAEAATAGAESTIQMQAQAGRSSYVSAEILASIPYPGAMAAAAWYSAAARAVKEQSQGL >A03p017220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6920143:6920670:-1 gene:A03p017220.1_BraROA transcript:A03p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METWRKMKSFGHKSSSSTASITKSKSWNGSAHLENANNKESTGKIKKKSPPPPPHGCFTVYVGPTKERVVVKTKLLNHPLFKNLLEEAEAEYGYRRDGPIVLPCEVDFFYKVLANMKFNGDEYDEEDDDDDCMINPPICGLGSPYRCAGLESMGVRRSGSYKLLRSSSLFKLSRF >A02p005570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2419923:2423800:-1 gene:A02p005570.1_BraROA transcript:A02p005570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNQQPQISMPTWRCRDFNAAIPIKKRKYFVLPEESPLEKNTKLNEQGDDTRSFLDLNSSDDAHVHQTLIGSLIPSVPSLSVGKVADKTESIGSLVVNQTRVKAEEPNIPIPSSPLAGSVVPFSSKLNVEQTVLKTHDIVSKGECQTEASACNPENNSPYLENKEPSALDLSVSKGVCAPHVTDSIPTCTSSGNLSGVNRSNWDLNTTMDAWEDGLDRKTRVKTTGSFFDSSCPDIETSSCGDTTVIAKPVSEKLKESVEFKYPTVTSTQFDRQVVPTCSLSLGLRSYPPIEKSPSLSATTSEARVACTSVSRPIMAADNVNSVNLRTVKSEVVEESAQASPINRMKEEVVGSLNPVDSRSIKAEPNNFIQSKVFNRKDGTLNLPHRPMMQSNEILDILASFAPNQKDTYIPHPSGVSNAPMSMNGMTRSPGQSSDLGVVHMANARSGHGEENLNASDVNVSVTEDKTLDDCKTSPGANELSTSGEEKVILSGKELREKLYSYEFEPECGNDLTRVLKKQVEKRNLYDDEKVQRSPAMFAEGNRHAECGGSETEQRDEKESQAALLSNTGVSTLSGGKVDNPETVDNISPASYKAEMSTIDNDPPPAESSEGSQSRFKTLDASDSFVPLRMERERLSDFSLEQRKYLSRGSDDDSYKFSRERYHGKAMRSPRLNFMPDRRRFSDNTESNLQDRDTKNFESNNYGNTRRGGGFMSSSYRGRRSANDEATPFPHSFTRRTHGFKEDASAFHGFRDGEKFTRGGQSNDTEPMFMSQPRPYQGRDSFARGRANFSNNHKRDFPGYRSRSPVRSRERSAGPSSSFRNRSQEDFNGHTEFSHRRSPSGYRMGRVSSPEHSGYQREMVGRRHDSPPPYSHRPSNAGRGRGFARGRGYARGRGYGRDGTYFRKPYDRVVHRNWNNVDPRERVDYSDDFFEGPVHSERFGGDGNVERRQFGYGHDGASSFRQSYSRDGCALTNVEDGSDDMRYGQDPDIEMVKEQGIDGKDKTSAENASGRSKNMEEEETSKYSEIWQRGELGGDGFGRSTACSHSGGLSRSRT >A06p044620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23965211:23966915:1 gene:A06p044620.1_BraROA transcript:A06p044620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKMSLGFLSLAFLFITCSSAEFLIQQVTEGRGTENNSSYNLQANLGVTRVLREERPSSKIVTIAGYSVIKERTEVYESSVFEAAGYKWRLVMYMNGNKNDGGNGYISLYVRMEETESLPYGWEVNVDLKLFVHNPKQHKYLAVTDGAVKRFSAAKKEWGFGQLIALSTFQNANQGYIVQDTCSFGAEILIVKPAEKQEKVTFISNPPNNVFTWKILRFSTLEDKFYYSDDFIVGDRYWRLGFNPKGDGDGRPHALPIYLYAQGFRPNAVATNTWGAVNLRLKNQRGSNHRQLYSAAWYPIRSGYGVGVNNIILMKDLKDTSKGYLVNDAIVFEAEMVKVSVTNIVPV >A02p052960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32287377:32289073:-1 gene:A02p052960.1_BraROA transcript:A02p052960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHYKQLMEQEEVDVVHPLDKERGISVEDFKLIKFHMSNHMIKLAQHIKVRQRVVATAVTYMRRVYTRKSMVEFEPRLVALACMYLASKAEESIVQARNIIFYIRKLYPDEYKYELKDVLGMEMKVLEALNYYLVVFHPYRSLSEFLQDAAINDVNMNQITWGIVNDTYTMDLILVHPPYRIALACIYIASVHTEKDITAWFEDLHEDMNLVKNIAMEILDFYENYRSITEEKVNSAFSKLALKP >A07g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22624788:22626926:1 gene:A07g508180.1_BraROA transcript:A07g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLPDSFTTSTKSSLIKEEERLADASLQAESLVNTMAFLMVLKCALELGVIDTIASVEEGVWLSSSEIAFRLPTKPTNPEAPVLLDRMLVLLASHSLLKYRMAGTGANGRTGKMERVYAAEPVCMFFLNRGDGSGSLASLFMVALSESFFKSWAHLKDVILKGEDAFTSAHGMKLFEYTASNEPFSELFNRAMSEYSTLTMTKVLEVYRGFEDVNTLVDVGGGIGTLIALVTSTYPHIKGINFDIPSVLAHPPIYRGVEHVSGDMFIEIPKGDAILLKCVLHDWTNEDCVRILKNCWRSLSERGKVIIVDMITPIKPEINDISSNIVFGMDMTMLTQCSGGKERSFSQIETLASESGFLRCEIICHANSHFVIELYK >A09p008720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4497860:4498162:-1 gene:A09p008720.1_BraROA transcript:A09p008720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMISTKEFQNALMVLRIVLLVLFGILPDIQAARRKAILKEEKLRGREKPSDNLKNCVCVSGTDRCPVYHEDLKSLEKALDNSKCKHIRAIFNSFEPNP >A09g518300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55710616:55711249:-1 gene:A09g518300.1_BraROA transcript:A09g518300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKGRKEEIVTREYTINLHRRLHSCTFKKKAPNAIKEIRKFALKAMGTKDVRVDVKLNKQIWSRGIRGPPRRVRVRVARKRNDDEDAKEEFYSLVTVAAIPAEGLSGLGTKVIDEDE >A07p040110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21304851:21310090:1 gene:A07p040110.1_BraROA transcript:A07p040110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase 3 [Source:Projected from Arabidopsis thaliana (AT1G65590) UniProtKB/Swiss-Prot;Acc:Q8L7S6] MRGIATKISGLAPLFVLFIAGAVSSFEDIEKLRIWPLPAEVSHGGRRMYLSEDFKLVTEGSKYEDPSGILKEGFDRMLAVVRLSHVVSGHRNSSSSGGSALLEGLHVIISSSTDELEYGADESYKLVVASREKPYAQLEAKSVYGALHGLQTFSQLCHFNMKKKVIEILMTPWNITDQPRFSYRGLLIDTSRHYLPLPIMKNVIDSMTYTKLNVLHWHIVDTQSFPLEIPSYPKLWNGAYSPTQRYTFEDAAEIVNYAGRRGIHVLAEIDVPGHALSWGKGYPSLWPSKNCQEPLDVSSDFTFKVIDGILSDFSKIFKFKFIHLGGDEVNTTCWSTTPRISKWLKKHRKTEAEAYEYFVLRAQKIASSHGYEIINWEETFNNFGNKLNPKTVVHNWLGTGVVGRVTAAGLRCIMSNQDKWYLDHIDTPWQMFYANEPFEMIKDEKRQSLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAERLWTPYTKLAENPDKVTTRLAHFRCLLNERGVAAAPLVGGGRVAPFEPGSCLAQ >A10p018710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2921354:2921794:-1 gene:A10p018710.1_BraROA transcript:A10p018710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVATLAEFGMNLKDTVIEDIIDKTFEEADTKHDGKIDKEDWRILVHRHPSLLKNMTLLYLKDITTKFPSFAFHSQVEDT >A05p018810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8785696:8786977:1 gene:A05p018810.1_BraROA transcript:A05p018810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDVNEALAAAEVPIESTTEKQPHKLERKWCFWFDNQSKPKQGAAWGASLRKASTFDTVEDFWGLHETIFIPSKLTPNADIHLFKAGVEPKWEDPECAHGGKWTFVVTNNRKQALDKAWLETLMALIGEQFDEADEICGVVASVRLKQDKLSLWTRTKSNEAVLMGIGKKWKALLDVTDKITFTNHDDSRRSRFTV >A01p051010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28636542:28638594:-1 gene:A01p051010.1_BraROA transcript:A01p051010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDKAISYGSALVLSLLLLLLPLLHEAEGCDMFTGRWVEDASYPLYDPSTCPFIRREFACKRNGRPDLDYPTFRWQPQGCKLARFNGVEFLEKNKGKKIMFVGDSLSLNQWQSLTCMLHSSVPNSPYNITTQGTITTFTFQEYGVELKLDRNVYLVDIVRKKIGRVLKLDSINDGHNWSEMDTLIFNTWHWWSRRGPSQPWDYIQLGSNVTKDMNRVKAFKIALGTWGKWVDTVVDTQKTRVFFQGISPSHYKGALWGEPTARSCAKQNEPLLGTSYPGGLPAEVGVLKRALGKISKPVTLLDITMLSLLRKDGHPSIYGLGGRTGNDCSHWCLSGVPDTWNEILYNYMA >A09p043710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35569525:35570317:1 gene:A09p043710.1_BraROA transcript:A09p043710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMWASSTANALKLSSSASRSHLLPAFSISRCFSSVLEGLKYANSHEWVKHEGSVATIGISDHAQDHLGEVVFVELPEEKSSVTKEKNFGAVESVKATSEIISPISGEVIEVNTKLADSPGLINSSPYEDGWMIKVKPSNPAELESLMGPKEYTKFCEEEDAAH >A05g509670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29236179:29237775:-1 gene:A05g509670.1_BraROA transcript:A05g509670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKAIVIPLLLVLCAAALGAPAYEGFLRNGNFEESPKKTDMKKTVLLGKTALPEWVTTGFVEYIAGGPQPGGMYFPVAHGVHAVRLGNEAKISQKLKVKPGSLYALTFGASRTCAQDEVLRVSVPPQSGDLPLQTLYNSFGGDVYAWAFVAKTSVVTVTFHNPGVQEDPACGPLLDAVAIKELVHPMYTKGNLVKNGGFEEGPHRLVNSTQGVLLPPKQEDLTSPLPGWIIESLKAVKFIDSKYFNVPFGQAAIELVAGRESAIAQVIRTSPGQTYSLSFAVGDAKNDCHGSMMVEAFAARDTLKVPHTSVGGGHFKMASFKFKAIGARTRITFFSGYYHSKKMDMGSLCGPVIDQIVVSRVA >A10g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1712406:1714044:1 gene:A10g500530.1_BraROA transcript:A10g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSAVSEDRKLDDLEIASVGVLYSGYQSSSRGKDRFPYPVGYKAVRAHNGSTYYMEIEEALEKKKRGMMQEVLVQKLTTPLPTDSKFAAALASQPTHNSAERNLEATVYPQVNVYVLKNRVTTLHQGYGSLSSLGSKTLTMQLRLFT >A01g501120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4215463:4215651:-1 gene:A01g501120.1_BraROA transcript:A01g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETKGGPYGDLTGAKFWQVICREHGYDPTVRDDERTVKMRKVTKSDIEMEKKIKQDKKRRS >A10p039190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21749405:21750368:1 gene:A10p039190.1_BraROA transcript:A10p039190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04260) UniProtKB/Swiss-Prot;Acc:Q8VZT6] MSEIVNLSPSLRSLNPKISPLVPPRQLSSSFYQQPRLKFLSFPGKSILATGRVRAIDARRQEVDDSPVSVELGPISSESHFDEVMEEAQRVGESVVIVWMAAWCRKCIYLRPKLEKLAAEFYPRLRFYHVDVNAVPYKLVSRAGVTNETFVWNTLTQKMPTIQLWRDNQKQAEVIGGHKAHFVVNEVREMIENDSIT >A06p052050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27536894:27537469:-1 gene:A06p052050.1_BraROA transcript:A06p052050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTKEKGVQNKLLNVDESVYIPITKAEFAVLISAFNFILPHLIGWQAFASSIKPEDSNRLNNASSPKYGGDYEWSR >A02p002180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:907135:908630:-1 gene:A02p002180.1_BraROA transcript:A02p002180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ORANGE-LIKE, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06130) UniProtKB/Swiss-Prot;Acc:Q8VYD8] MMTTSFSSPPPPPSSTSRSLLLFPSSHLKLPPRLLLHGRSTLSCSAAGTNNGPPPAGDSVPKQVPFCPFSSFINFCIIEGSETVQDFVQMQLQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIKSVKSISEDENTVLEATEMPEIPSSIPFLPNVTPKTLKQLYLTSVALISGIIFFGGLIAPKLELKVGLGGTSYEDFIRSLHLPLQLSQVDPIVASFSGGAVGVISTLMLIEVNNVKQQEKKRCKYCLGTGYLPCAKCSASGVCLSIDPIKRTRASSLLLQAPTTERCLNCSGAGKVMCPTCLCTGMVTASEHDPRFDPFD >A07p031870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17556979:17559301:1 gene:A07p031870.1_BraROA transcript:A07p031870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGWDPGERKRKEEENWTTEIRRPHELPRFEVDLRMEAETSWTTYPYSYITTHVPEAESYSDHNDDDETKVQTFSMDSLLPNDLLERILTFLPIASIFRAGTVCKRWNEIVSSQRFLSNFSNNNSAPQSPWYFMFTSTDDPSGYAYDPVIKKWYGFDLPCIESSNWFVASSCGLVCFMDNDCRNKIYVSNPITKQWRRLTEPPGHRSTDYAALSASVNRAKQSYSVSVVKSKQVQGDFFQWELSVHLYSSETMTWTTRLTDVLAGWRGGDESVICGNVLYFLIYSTGGSDHRHGLIALNLSTISSSNGVLMRSFIPMPCSLTCGRLMNLKEKLVVVGGIGKHDRPDIIKGIGVWCLNGGGREWQEVARMPQRFFQGFGELDDVFASSGSDDMVYVQSYGSPALLTFDMKLKCWKWSQKCPVSKKFPLQLFTGFCFEPRLEIAP >A05p013710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5971534:5973943:1 gene:A05p013710.1_BraROA transcript:A05p013710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 46 [Source:Projected from Arabidopsis thaliana (AT2G33530) UniProtKB/Swiss-Prot;Acc:Q8VY01] MSHLQCLTMVTCLILLQALTLVSSTVMSRADRITSLPGQPKVVFQQYSGYVSIDEKKQRALFYYLAEAETKPISKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGSVLVRNQHSWNHEANMLYLETPVGVGFSYATESSSYEGVNDKITAKDNLVFLQKWFKRFPQYLNRSLFITGESYAGHYVPQLAQLMIQYNKKHHLFNLKGIALGNPVLEFSTDFNSRAEYFWSHGLISDPTYKMFTSYCNYSRFVSEYYRGEVSSMCSKVMSQVSTETSRFVDKYDVTLDVCIPSVLSQSKVVSPQQVGETVDVCVEDETVNYLNRRDVQKALHARLVGTRKWAVCSNVLDYELLDVEVPTINIVGSLIKAGVPVLVYSGDQDSVIPLTGSRTLVKRLAQQLELSTTVPYRVWFAGQQVGGWTQVYGNVLAFATVRGAAHEVPFSQPERSLVLFKAFLGGNPLPEEF >A03p005090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2125456:2125905:1 gene:A03p005090.1_BraROA transcript:A03p005090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRTSSLSHPINISLCNFYIMSQEPKNQQLLSTLLKITKSRGTALYMVHEQEIDDESEEEEKDVEEHFCGENDNDSELSSKDGKDTLMEEM >A04p001160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:580779:582637:1 gene:A04p001160.1_BraROA transcript:A04p001160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSLRTDSELKQTFESLPLNKLSTSEIVSEQETMKTRSSDVQVAANRGGKRKAPEGDEHGRGKRRSVKSDEQKSSPQIDRRLAPKRTILRGIHGCVSPRCSASTHRSSFSWYEQDAWTYISRFLDGKSLVMLGATSKWFNKMVMEDDAIWRFACLRDLRVPKLYPASSSWIKIYASAFDGRSHSYLFHQQEKHIDWMRIGAFTLDSGVSLLTEKLSSPLRVPREGTIERMLESSGGCIVKDIKSGIWIADLQLVRCPVCDLSTCDGTMQTLDARHVELFLNEGYKNGTWEYNLIASHKLQKDAVAACGAIFDLKHLKSSSSGILNLKSWTGAPDDSQPKAMIAPHAVALHTRLQENEGIIVKYQTMKAGTDGDIVSIRISQQLL >A03p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5954295:5955092:-1 gene:A03p015030.1_BraROA transcript:A03p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPSSPCLVAVFVAVWLQFFSISASKKSIDAICHHVTDKRFCIKTLTAYPPAASATNTFQTVSAAIHVAESYAEKCRKFTEKTAKENPKMKEQFMACQDAYHRIVMSLRSAAGELKVSPDTSNYDVMVCTDQTTMVKDLVGKNRDVASNTIMKMTLMMNKLIAIAAAATDLLFPTEP >A02g511740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31688992:31695472:1 gene:A02g511740.1_BraROA transcript:A02g511740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGAITGLELHWMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVVLIYHIFCFSLSFYAILDRRKPLEIFSKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRKNAQGELVTFTNQELARVERTNRQQPRQTDTTMGDHANQDDLAAAMTLMQQQMQQMQQTIQAQQDAAEHAALAHQEQQAQTKIDELTAKVDQLLKNNRGYVFNMEQATSGQIQNQNHRQPQSNQQAVPANGNSQPDELNSLEENTEQSAISGVTGPSVPSETPLVRVYFPKIRPLLQFFKNCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFIFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRVTVPTKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLIDPSSRLALN >A05g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13943178:13951937:-1 gene:A05g505020.1_BraROA transcript:A05g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLSFRAATQLGLAVSGLLELEISPTALEPRLIPCFNAQTHIQNKIYLETRVLLLSNLNRNRQCKFRFPQFGARRREGTDQSNSPPHHAQPAMSTDDTNNVQTPLNGGSGTDLHTPEEDVSAANAPTNTAALEEFKKMFATYEMSSPRTTRERPSGQNPSRKSPVKKGNPESPPPPAKDSEDNEVEHVDLYPSDVSNDIEEDVDKHPRRTRSRSARESSPFDKPMTEEEEILYWNAQEELAEKQTELTCTGFFSTKNLLAREGYYKDEPLREFISRFKLAMSRVSGISDKVAIDALRKTFWYKSKFRKWITLDKPRTIQDALHKATEYIIIEEITKVLSQKHKSTRPSSKDVDPKTKKKNSRNDKYVHHEGEDLQGAHNYAISSDQGCTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGAQLAAKLLAGELSEVTSTSKLNTSLKPTLQLDRNIWKRALTRPRFKVKFPGQRSSKRIRGTFHLLAITGNLGHGLYGIRRNRDGIPQSLDPPVDRRNERLSLSACVFQRLPTEPRHSRTVLHQLDDLPLTLPFRLTNGPRMVTSELRIALQHLALHASQIPLCFRHFKAIDHGLPMARLKGRSKQVQTLQNQLTSFKREKGYIRQSPNFLKTNPVDDTRPFYAASIRTKKKNFFHELKLEINPLTTDMKFRGTSLYLSRFKVVDGKGETMLVAQNQDSNKVLDAKGVQLAYRHLKTIQHTNVNFGNREPQAAAHYECFVTSKVTLRGTTSALSLTRNPKFHRIRNLVEQPHDPKKFARLTVWIENGYDEVNVQISAKYKYVFPQQIVLGQENVTTYVLEIKLCSNSIWIKHKLSEAYPNQLRICSSMTTEYDKPSSVITQLPHMHTIRSLRSDRTRAKHASRSVAM >A05g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15947326:15949458:-1 gene:A05g505680.1_BraROA transcript:A05g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPAHLERTIRKDQRSTSIDAAAFTSTDSRNHPSTDSRPSSSTDLPRSTSIDPTPRTSIDPQSRNMVAIVILRMETYMTRMVICVMQHHEKLGEGDFEVESSMSFSRSQWCLPMSMDAHRSTYQNEDRSTDYFKHRSTLSAESTVECSAKKTLTHPPLSTSKSIDRMSKPSIDKERPTSIDPPPLTSHRSTNTSHPRVRLPSIDSNRINTLRSPPKPLANPPEPTTNPSDTTPEPMQVDEATEGRV >A08g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3706371:3712863:1 gene:A08g501390.1_BraROA transcript:A08g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQTDRPATVLLLAAVHRPNQGRAVYRIDPRMDGMELRLDPRPISRTDRTGSSLSRTTRQSQTDGQARSNLGRAEQGTGRDFSLLARLERTDRIDELIDPFDQFMHFDHPNPSKAQILHLSEDLGRLWSKMVQETDKTEQKDRPATVLLLAAVQPAEGSL >A02p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2866636:2875853:-1 gene:A02p006740.1_BraROA transcript:A02p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sister chromatid cohesion protein SCC2 [Source:Projected from Arabidopsis thaliana (AT5G15540) UniProtKB/Swiss-Prot;Acc:A5HEI1] MSNPTSSSGLGSTSSLTQFGIGLANTAQSDVASHLPLPSLPIFCGAAQPGELKLFDEVAEGSGYRSLNRAEILSQSRRIAKMLEETDVSYLDLRNEARELNCNSEEPFQLYDQVLRCNPGAFEYAIPGPTCDPVCTSEEPQQRTSEPSVPVKIQRQADHHLPRSIQPEPVKRVLRSKHVENHSWHPEPLINQSPRDDIATHDSRPETITMNDSASKKSTGKKKRKDGAGPGASSVQPDSSVLQESIVNSFCEMLEDFCGKAEVPGDDRNEAEWSSMPVDEVRVLVTELMTIRSKMLLHMVPVDILSRLLHTLDHQIHRAEGLSINSEHLTFPGAKSFQSDSDSLALVLGALESIHASLAVMANSDMPKQLYKEEVIERILEFSRHQMMAVMSAYDPSYRSANKPADNVAFEGDDDDDLDPDMGSASKRRRTGKSGKVKKSAVNRISGTVNTALQKLCTILGLLKELLLVERLSDSCILQLLKTSVTTFMVENIQLLQLKAISLIGGIYNSYPQHRTYVIDELSQLLWKLPSSKRVLRAYHLPDEEQKQIQMVTALLIQLVHNSTSLPETLRQASSANAILENPVDVGYLTKCHEAATETCCLFWTRVLGRLASLKAQDASEIKVMTENIVNDLLTALNLPEYPSVSLILEVLCVILLHNAGLKSKDVSARSMAIDLLGIIATRLKQDAVLCSEDRFWTLLESESEGRVDQVGTKDCALCLGKRAGNLLICQICPRRFHAGCLGLKEVDIPSRNWHCPFCVCKRQLLVLQSYCKTDTKSGKVESEEDPNMITQTEVVQQMLLNYLQDAGSADDAHTFICWFHLCLSYKDVPKSQEKFKYYIARLKAKSIIRNSGATTSFLTRDAIKKITLALGRNSSFSRGFDKILHMLLASLRENSPIIRAKAMRAVSTIVEADPEVLCDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGSKYFEKVAERIKDTGVSVRKRAIKIIRDMCTSNPNFSEFTSACAEILSRISDDESSIQDLVCKTFYEFWFEEPPGHHTQFTSDASSIPVEVEKKTKQMVGLLRTTPNHQLLVTIIKRALALDFFPQATKAAGINPVALASVRRRCELMCKCLLEKILQVEEMSREGEVQVLPYVLLLHAFCLVDPGLCTPSSDPTKFVITLQPYLKSQVDSRIGAQLLESIIFIIDSVLPLIRKLPISVTEDLEQDLKHMIVRHSFLTVVHACVRCLCSVSKLMGKGVSVVEHLLQFFFKRLESQGADNNQIAGRSLFCLGLLIRHGNSLISTSGSRSFNLSGCLNLFKRHLRMEDFALKVRSLQALGFILIARPEYMLEEDIGKIIENTLSDEANGRMKMQGLQNMYDYLIDAEKQLGSDKPVDITVNPVEQGGHTVPVAAGAGDTNICGGIVQLYWDKILGRCLDCDDQIRQIALKIVEVVLRQGLVHPITCVPYLIALETDPLEANQKLAHHLLMNMHEKYPAFFESRLGDGLQMSFIFMQSISQVYSESNQNPQQKGSGKNDHTSSSLTQARLGVSRIYKLIRGNRISRNKFMTSIVRKFDNPTWSGSVISFLMYCTETLALLPFTTPDEPLYLVYSINRVIQVRAGAIESNLKALLHKDSAKTQHGNGTYQQDSTPANIHMMDLNTRIQEEHTHWNSYGHSTPIDLNGVVYQDPRDQFTSYQTHYGEANVHKMTSSDPPELSTDDLQKIKVDCLSAIALQLLLKLKRYLKVTYSLNDERCQAYSPTEPLKPGDPLSRQNVALDLSDTRTELPSTYQDLVLRYQEFKNAMREDTLDYTIYSSNVKRKRPTPRKSSRSAKKAVAYNDDDDGDEDDDDRGWNGGGGRGMTARRLNYSTRNSNRR >A02g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22639335:22640336:-1 gene:A02g508260.1_BraROA transcript:A02g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMVTAPPSYIRPPPDPPPLPCKPPPLEACSLIISPEPPDSTAALIRLLAPLHILEPSVSSLVPVVAVTPLSFFAMTKGLTRSVFVSFGVRVSTTCRFQSSPTFQIEPWFLFVETSLCSEGIFSVSSCNKPYMDEYYLVLGISCVKMNHLPLNEDVALSLNILLPLVKDVTSSLPLPQYEDLTLPQYEDVTLFYLLLVPQYEVRIRTFVLSALVSMVAEIDAFRNGGFGWYIHGSCAGLSKLQVFSDSNVFFSALHSGMGLNGIAGCLLDITNLATPLPSLAVAFAMYVFFRLCFTFTLF >A03p034640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14604822:14606860:1 gene:A03p034640.1_BraROA transcript:A03p034640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPANTVGIPLNHAALLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIAVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSSPLFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQSAKLSKEAVTVQQCYIELSKMVKEKLSAIDPYFDKLADAMVTWIEAWDELNPATKA >A03p065430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28879520:28883564:1 gene:A03p065430.1_BraROA transcript:A03p065430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFFSQKKKECLEEKSSDLYMWVMININFKCVLFVLFFLVDLGKARVLIIINPHRRMRSTSPSGKKIQVYVFKVGIVAISKITDEKIDFRFSLFITLIATTSGKGSEKQEHTTMKTYMASKDAMSFDLLKRKLMLRLDTFEIRILKTNEEDKLHGWSYIPVGGSLPNTEQKNLAFGVAASMVHPATAPRSSKDGSKDASGTKIGLDLSKKKLG >A03p060710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26342706:26344708:1 gene:A03p060710.1_BraROA transcript:A03p060710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAQLQRTHAHHHQAEEPIKIHHPEEEGHHEKGPSKVLKKVKEKAKKIKNVLTKHGHGHGHEHDRGGEQHIPDDHDLDEEDTEDYDVGQQVHGGAPARGKAHHVSDPMKEEIVPPGTKAFPVVSSSHTKVSEPNRGFEPTRAPNASQALPHPVRPSGVSEKQEKRGAAPTMTPHNTPVSLLSSTEDVTSTFAPGEQRKVHVERDRVLEEDPSAPGKGMSNYQSKVTDPTGKAGGEVGAAQTIAALARLTGTGGDDQLGHGRDLPERRHGLERELPAKRHDVDVKSGTALGKDLPTGTQARHNPERFEQQRGDETHQHDQSGYTDKIASVTSVVADKAAAAKNVVASKLGYSGEGENVGAETPSSGEGYGTKVASVVTPVYEKVKETGANVMTKLPFSGGTEETQQGQDKGVSAKEYVAEKLTPGEEDKALSEVVAEKLHLGGDTPKKGTVTQSKEVEERLGGFPDPKSEGAIKHGERYAEEGEGGMVDKLRGAVTSWISGTTEEVTQKSTESVQDSSQSVGATIGNMGFSGSGAEVAGQRSGEKRGSVPVQKKFQEN >A06p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19456966:19458409:1 gene:A06p035850.1_BraROA transcript:A06p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIPRLHSVSLNPSTTASASLIENAPRIFQSLGNRAFSGKPGSDGSGGNENGWDIATGGSFGDRSDDLDWDHKSMWSTGLSKEHFDGVSVGRQSNENPSSDSGDVMSRLGPREVAMVNEMNEYDDMIKEIEKENRHSRVFVDGIKQKMMEMSVLLKQVKEPGARGSYLKDSEKTEMYRLHKENPEVYTVERLAKDYRIMRQRVHAILFLKEDEEEEERKLGRPLDDSVERLLDEYPEFFVSHDREFHVASLSYKPDFKVMPEGWDGTIKDMDEVHYEISKKEDDILYEEFLRRFEFNKLKWKGEVKCHKYSRRRSSDGWKITVEKLGPQGKRGNGGGWKFVSLPDGSSRPLNEVEKMYVKREAPRRRRKILP >A05g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11491656:11495203:1 gene:A05g504160.1_BraROA transcript:A05g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTTPREETPHEKTPRGAIPPTHLPLVVSPGPSTGPANVESSREDLTLISERETAEPSVTGGNKKRSAPDSSASAASQARTESDGPPKKKKKNERKKKKSVEEQSEPAEGAENREIVIEKGSVEPTGRELGESSLQEGGIVGEVARLEDTTVAPALDPTTLSTSLVVNEDPLVPVLGTGVVVLTSDLGVDETGTEPVNLLELSDSSTEEEGGEQLEETESGFVGNPQNEEGAVDGTDSLPVLPANVTREASDQLAAQVVEGGSDRVED >A09p068380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53600789:53602036:1 gene:A09p068380.1_BraROA transcript:A09p068380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKVTLDIFTKLEQKWLSPRKTRILSIDGGGTTAIVAGASILHLEDQIRLQTGDPHAQISDFFDIVAGTGIGGILAALLVADDGSGKPKFTARDAVRFISEKNSELFEIRHTGVFRRNRRCSAGSMDRALEAAFRREDGKVLTMKDTCKPLLVPCYDLRSSAPFVFSRAGASESPSFDFELWKVCRATSATPSLFKPVNVVSVDGKTSCLAVDGGLVMNNPSAAAVTHVLHNKRDFPSVNGVDDLLVLSLGNGSSSSPGGKLRRNGDCSTSCVVDIVTDGVSDTVDQMLGNAFCWNRTDYVRIQVSGLTRGGEGIVGPRKTAEELLKERGVETAPFGGKRLLSETNGERIESFVQRLVASGKSTSLPPSPCKESAVNPLADGR >A10p002920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1522561:1523950:1 gene:A10p002920.1_BraROA transcript:A10p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNIDLNLQETELCLGLPGGMTGTKRGFSETVDLKLNLNNEPESKEVSKTHDVVISVSKEKNTCPKDPTKPPAKAQVVGWPPVRSYRKNVMGSCQKSNGVTETAVFVKVSMDGAPYLRKVDLKMYKSYDELSNALSNMFGSFTMGKNGGEEGMIDFMNERKVRDLVNSWDYVPSYEDKDGDWMLVGDVPWPMFVDTCKRLRLMKGSDAIGLAPRAMGKCKSRT >A06p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2190285:2192124:-1 gene:A06p006520.1_BraROA transcript:A06p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRIVDLRSDTVTRPTDAMREAMASAEVDDDVLGYDPTARRLEEEMAKMMGKEAALFVPSGTMGNLICVMVHCDVRGSEVILGDTCHIHVYENGGISTIGGVHPKTIKNEEDGTMDLVAIEAAIRDPKGSTFYPSTRLICLENTHANSGGRCLSAEYTDRVGEIAKRHGLKLHVDGARIFNASVALGVPVHRLVKAADSVSVCLSKGLGAPIGSVIVGSQSFIEKAKTLRKTLGGGMRQIGVLCAAALVALQENLPKLQSDHKKTKLLAEGLNKMKGIRVNVAAMETNMIFMDMEDGSRLTAEKLRKSLTEHGILVIPESSSRIRMVIHHQITTSDVHYTLSCLQQAVQTIQEPCQN >A01p017220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8517462:8518860:1 gene:A01p017220.1_BraROA transcript:A01p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MFHLLSAHIQCFVCISSKAINHFASSEHHKNIKQFLWKHGPSMDCVDDFKISDADVAKWVRKCTALGNKDASSCTGQLSRTSSDIHNKFEFERTEKETHFFNPNNLNDVKPLLYNTNEYQISHSGVTHYGSHLNVDASQLPPGVIGMTSNSSSHSGDSSGNVHSGAPPPWLTPNDGNIFNQSEITRVQEKIPVKTTSKLNPHRVGAAWAERRKIEMEMEKRGEAVNSNTDADWLPNFGRVWQSGTRKDSRKEFEKEKRKLVVTAESISMESEERKLVKTESISVESEEPVQIQPYVSKRARAMKSGE >A01p008970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4307489:4309030:1 gene:A01p008970.1_BraROA transcript:A01p008970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPGQLVWEIVKRNNCFLVKQFGRGNAKVQFSKESNNLCNLNSYKHSGLANKKTVTIQPADKDQGVVLGTTKTKKQNKPKLSVNKSVLKKEFPRMAKAVANQVVDNYYRPDLKKAALARLSVISKGLRVAKSGPKRRNRQA >A08g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11725201:11737575:-1 gene:A08g506740.1_BraROA transcript:A08g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAITAGATSPRRTRRVALITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGRSRSLERRAEVAARFISTRICDFSRAFWSFHYARFYFLNLCFNTLGANKRGIIFVLRKTTKNLWKVISLNQLISLLLRILSKLDHPRSNPYIHEFSFPIVKKFQYGRQTSDALERSGARKEKKRQRNKLENVCQFNKHGSWTRKTTPFSHNCHEKSYSDNQRNYGIITSETSSKTIDQPQQPSSWISTTHEEQPFVAPTTIRKSREPPLKTQERQASTDALTKTNTMVLSRSRAWERGLTATPQGRSSWERGFESDTPRSLAFSSSDQEKSPQSEVSERGRKVAPAGSDIMGATPRSRSRFRRNGPQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDLGATFPSDTLTSLPNRFSLFLTTHSPFPFIQNKPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTTHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVDAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDLRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKEYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKGGIAGCSSADFAFANTSNPQPPPPPDALGSPSLLCSFSVSGGTHPLNLPLPATNPHPLLLLTVSTRLTRLRASHGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMGAASPERRHRVDLITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGRSRSLERLVGATG >A02p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18229789:18230857:1 gene:A02p033940.1_BraROA transcript:A02p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPASS-like H3K4 histone methylase component WDR5B [Source:Projected from Arabidopsis thaliana (AT4G02730) UniProtKB/Swiss-Prot;Acc:Q9SY00] MPSGGNGVGNGAGTSGGSQTFKPYRHLKTLEGHTAAISCVKYSNDGSLLASASLDKSMILWSATNYSLIHRYEGHSSGVSDLAWSSDSHYTCSASDDCTLRIWDARAPYECLKVLRGHTNFVFCVNFNPPSNLIVSGSFDETIRIWEVKTGRCVRVIKEAHSMPITSVHFNRDGSLIVSGSHDGSCKIWDAKEGTCLKTLIDDKSPAVSFAKFSPNGKFILVATLDSTLKLSNYASGKFIKVYTGHTNKVFCITSAFSVTNGKYIVSGSEDNCVYLWDLQHKTILQRLQGHTDTVISVTCHPLHNQIASSANHLDRTIRIWKQEDA >A05p001120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:89615:90051:-1 gene:A05p001120.1_BraROA transcript:A05p001120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C13 [Source:Projected from Arabidopsis thaliana (AT2G47880) UniProtKB/Swiss-Prot;Acc:O82255] MDKVMRMSSERGVVIITKSSCCLCYAVQILFRDLRVQPTVHEIDTDPDCREIEKALLRIGCSTAVPAVFVGGKLVGSTNEVMSLHLSGSLVPLDQTLSVSPLLANWTNQRSFVTIKTLIARSS >A07p029080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16351556:16352517:1 gene:A07p029080.1_BraROA transcript:A07p029080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEAALAVTPSDSPTIFDKIISREIPHHVVYEDDKVLAFRDIRPQGPIHILVIPKVKDGLTGLSKAEERHIDILGRLLYIAKLVAKQEGLDDGFRIVVNDGPQGCQAVYHIHVHVIGGRQMNWPPG >A01p044120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000051.1:1025:2577:-1 gene:A01p044120.1_BraROA transcript:A01p044120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLNHGDQILAVRYEALTCPVFLYIKTELIQTQKDPSQTTTAKRSSDDGDEIERRWRRDRATTAKRSSYDGDSEEIKQRRRRDRATTVTKSSDDGDEIECIPRSRRDRVMTVKSSKNHKLTTAILTMVTLTKTTRKRSSLSLVMTSLWKEHPVTTNSNHTIRFKISSQKMWSTPHDSPLHDSPLHDSRLHDSPLHDSPLHDSHLHDSLQLDSNEFCTTLKLPGRVYEAVETPDNPKVIIHYSKIDYIEKVEDILGKEEFSLIENSQIGSILKLVKRNRVQFSEELFHFLMQRRVLTQGEDL >A09g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16478977:16479496:1 gene:A09g505300.1_BraROA transcript:A09g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPHITLKLLTRRIHQRKPFVDPISDAPTLAETIHGADLSSWNPNPSQQDFLSSPKVFLILDVLSSLFKTKPVHVPSETARNLDQLAFAKQTARVRGLSVPSWWSGSTLQT >A07p005360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2195150:2195713:1 gene:A07p005360.1_BraROA transcript:A07p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLLMMISLCLVDVSFFAVDNNWRTVSVDFISLELTLDASHVYGGDKKFLVESCGEMLLVDMYLSMEEVDGDPGFAEEVYEHQAVLMNERTVKFKKAFKFMEREKSWVEVKDLGDRMLFLGDDCTFSASASDLLPLRGRSSVFFSEDELGGMQGRDLGVFDFRNGAPTSEVYQPVLASTLLGYFS >A06p021540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10679823:10680367:-1 gene:A06p021540.1_BraROA transcript:A06p021540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNLASLPPSMLHKILSKVNVVRTFRLKCYQLSNPEAIYLRGMYEYFIFHLLDERREKNHLAGERGCLLAKYIDDMMNLAFSVDHRGLVHNYPDFTREYGNQMYHMITSWAFFGHWDYGKPEMFMSLLENRSQRLL >A09p003120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1838510:1841006:1 gene:A09p003120.1_BraROA transcript:A09p003120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPYGLSSVRNHSLLLKTSHLCVPRSALGRFSPKESPLFKKNSALFLSPQKHTSLPLKLVHPLASFSSYAEGDGDQQIQNSHDSDEKGNAEAVGDFSGMANAFHISSKTARAITIVIAFSALSLPLFMKSLGQGLALKTKLLSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTIRQAVVTAAVLEFSGALLMGTHVTSTMQKGILMANVFQGKDMLLFAGLLSSLAAAGTWLQVASYFGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLAKVASSWVISPLMGALVSFLVYKCIRRFVYSAPNPGQAAATAAPVAVFIGVASISFAAFPLSKIFPIALSQALACGAAGAIIFDRIIRDKLGHLLAKTKPQDTPQNHPKSIGFLSEIAGPTDTQLEIVYGVFGYMQVLSACFMSFAHGGSDVSNAIGPLAAALSILQRGAAGGGGDIVIPMDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVKEIVASWLVTIPVGGTLAVIYTWVFTKLLSFVL >A09g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22276409:22277175:1 gene:A09g507740.1_BraROA transcript:A09g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRPNNKNPRIHETPPPCGQTLRLRRRPRFETTPGNQPSIHPDPPEFTDSTPSPPQTSSTQVKPKAERINRLFEISSIAIHYNHREEGFTLHLSGTGTGVDGARGTSASRRQNQIAEQGTSLRLPQHDRAFTPETDSPHAALFQSSGKAEERGDESKAKIEGCNGSLRSSGDGTHAHAPAGHRTRL >A01p020300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9960167:9970831:-1 gene:A01p020300.1_BraROA transcript:A01p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKITQRLFIVASSNSEKRWIFPLAMVSLMFICITAESFNMGLFSSMRSINSLIFSSNLSTTNETTIKLPESKLNQSSSHPPPVQSSPRFGYLVSGSKGDLESLWRVLRALYHPRNQYVVHLDLESPAEERLELAKRVSEDPIFHEVGNVFMITKANLVTYRGPTMVANTLHACAILLRQSKDWDWFINLSASDYPLVTQDEYCIWGWDNLPRTLLMYYTNFLSTPEGYFHTVICNAPEYSSTVVNSDLHYISWDKRPQQHPKMLNISDTKKMIASGAVFARKFTHNDLALDKIDTELLGRGNGSFTPGGWCAGKPNCSKVGDPSKINPGLGADRISGLVSRLISPSKLTRRQCR >A01p056570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32107626:32108350:-1 gene:A01p056570.1_BraROA transcript:A01p056570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPVVVQRYSSFFKNERRDDILLLRITSISCVSFGESSLAPPCTGNRMASFENVSPTTSHRFHDLLTFLQHLRQPGSDTNCWDCEISCPTLSYLISTILSTLGLRGWSAWIYFWFSSPKASYIRVEHLFIY >A02g505920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17255751:17256272:-1 gene:A02g505920.1_BraROA transcript:A02g505920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKRLQSTSTYKHSEYTQISSLSVNRANQYRRVTIDFVQLTEQPTEQAARALEQAVESDGASGRAAEQAAREAEQAAGAIEQATGRSRERERERERDQKQLIQFKLSHGSFCKWGMEVAVEEADMTA >A06p013370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6039686:6050740:-1 gene:A06p013370.1_BraROA transcript:A06p013370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERMIQFLSVSKRNIKPALKDKVASYERQIKRFVNMHMPRKPVQQGQLPQPQMQPVKQQSSQNGNLAINRGDWRALHPPGSRQKNVNTLLETLKKHVPYSGEEGIEELMRIAVSFEELIFNTAKNQISSEDDRSSTIVNGDGPREAFLSVLVMNVSELWWIRMNRWLVIRWCFKARATSKLQRLSEHLMDNNNWRLSIPNGESAAINNGEWRKQLPPDSRQKIVNKIMEILSRHLPQSGPEGINELMRIAARFEEKIFSGAVNQTDYLRKISMKMLAMETKSQNAAGSSATTPAANNTTSMDSIPANQGQLLPGTLPNNQSQAPQPLMSQTIQSNTASGMAGSTGLPSSIPPVSSIGNDNVTSVVNQNSNMQNVAGVLQDSSGQHGLSSNMLSGSHRQMLRRPHTMSSQQQQLLRQNFRSGNFSNPNSVLPSQIHPGSTTSATQPSAVSSAPLQGLHTNQQSSPQVSAQSSLLRQHPQSQQASVIHQQQTSLPQQSISPQQQAQLMRQQASNSSVIQQRQMMGQHVVGAMQQQHQQRLLNQQNNTMNMQQQQNQHPPAQQQFISQQNSLHQQQPLGIQSNVAGLQQPQQQLLSSQTNQQSVHMLSQPTAALQRTHQAGHGLFPSQGQQSQNQPSQQQMMPLQSHHQQLQQPNLLQQDVQQRLQSSGQVTGSLLPPQNVVDQQRQLYQSQRTLPEMPSSSVDSTAQTENANGVDWQEEIKIMKDAYLPDVTEIYQRVIAKLQQIGNTEEESSIFWGRRNRRAHENCCQLRGVDFQHRKKSESAAMNNGEWRNQLPPDSRQKIANKMNT >A04p013050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4165897:4166490:1 gene:A04p013050.1_BraROA transcript:A04p013050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYIFRALNVYFNNLTEVNLDLELTRRWRITSSSCGREVALLGCYNASCKNTYAKLMPGCRWDNATNTLRLMKYSNIIELKSKHFHGLPMKLVLCCSGLTDE >A04g507320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17942256:17942855:-1 gene:A04g507320.1_BraROA transcript:A04g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKETDQENSKESSSKMEIDSCTLKAVSSSPSEKIHLRPMTLSDIDDFMVWATDINVTRFCTWEPYTSREAGIAYINSFVLPHPWLRAICLDDDRAIGSISVTPVDSIRGEIGYVIGSKYWGKGIATEAVRLVAAEIFKEMPEMERLEALVDVDNIGSQKVLEKVGFVREGVMRKFMYLKGNVRDMVMFSFLPSDSLL >A06p012980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5884761:5892031:-1 gene:A06p012980.1_BraROA transcript:A06p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEISSKRPFRGIIADFKGRALCYKQDWITGLRSGFGILAPTTYIFFASALPVIAFGEQLSRDTDGALSTVETLASTALCGVIHSILGGQPLLILGVAEPTVLMYVYLYNFAKGRPELGKELYLAWVAWVCVWTAMLLFLMAILNAADIINRFTRVAGELFGMLISVLFIQQAIKGMVGEFSMPKEGDSKLEKYKFEWLYTNGLLGLIFTFGLLYTALKSRKARSWRYGTGWYRSFIADYGVPLMVVVWTALSFTTPSKLPTGVPRRLFSPLPWDSASLEHWTVIKDMGKVSPGYIFAAFIPALMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVKTAKESIRKRATSSEVYENMQEVFIEMDKSPIAQPNRTVILELQDLKEAVMKSNEDEGERDEESGFDPEKHLDAYLPVRVNEQRVSNFLQSLLVAGAVLAMPAIKLMPTSVLWGYFAYMAIDSLPGNQFFERMMLLFVLPSRRFKVLEGAHASFVEKVPYKSMAAFTILQIVYFGICYGVTWIPVAGIMFPVPFFLLIAIRQYILPKLFNPSHLRELDAAEYEEIPGTPRDPLELSFRATDTTKGVPENDAEILDELTTRRGELKVRTLSLNEDKGNQIYPKEKVQAEVEHTNFVTDPQAMNYRFQNLLGAPYRGGNAVVTQNTQLISPVGNRVSVTDLTKHHTVTLELSTSTNICRLAASPDGTFLMAVDENSRCLFINVPRRAVLHRMTFKGKVGALKFSPDGRFIAVGVGKLVEIWESPGFSRAAFAFQRVRTFASSDDKVVSLEWSLDSEYLLVGTKDLAARLFCVRKLKGVLNKPYLFLGHRDSVVGCFFGVDKMTNKVNRAFTVARDGYMFSWGYSGKDAEAEESLSPDTPERANESDIDIKKRKEYEGRGREDEDEEEEYMHRGKWVLLRKDGFNQGSAKVTACDYHQGLDMVVVGFSNGVFGLYQMPDFICIHLLSISREKLTTAVFNQRGNWLTFGCARLGQLLVWEWRSESYILKQQGHYFDVNCVTYSSDSQFLATGADDNKVKVWNVASGSCFITFTEHTNAVTALHFMADNHSLLSASLDGTVRAWDFRRYKNYKTYTTPTPRQFVSLTADPSGDVVCAGTLDSFEIFVWSKKTGQIKDILNGHEAPVHGLMFSPLTQILASSSWDNTVRLWDVFASKGTVETFQHNHDVLTVTFRPDGKQLASCTLDGQIHFWDTVDGVLMYTIEGRRDIAGGRNMTDRRSAANSSSGKCFTTLCYSADGSYILAAGNSRYICMYDISDQVLLRRFQISHNLSYDGVLDFLNSKKMTEAGPMDLIEDDNSDEEDGIDKQSRGNLGYDLPGSKPNRGRPIIRTKSLSIAPTGRSFAAATTEGVLIFSVDESFIFDPTDLDIDVTPEAVEDALKKDEVVRALALSMRLNEDSFIKKCIFAVTPADIKEVAISVPQKYLERLMEALVDLLENCPHLEFLLHWCQEICKAHGSSIQRNYRNLLPSLKSLQKAITRAHQDLADMCSSNEYTLRYLCSVPNNH >A01p036590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16331927:16339238:1 gene:A01p036590.1_BraROA transcript:A01p036590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLTTALALSFLYLFTDTSSHFSSGGFDMKDFNKDRVKTVVVSHRGTGDFRTIQAAIDSIPSNNNNWIKIYLKHGTYNEKIVIEKQMIVMQGNDASKVTIQYNDAGLANSSGPFRLNAEYFVAINITFKNTYNNIKQTVPYKDIKVAPSAILMADKASFYGCRFISVQDTLADLLGRHYFHKCYIEGAVDFIWGRGQSIYQNCVINVKGVTSKKMVNNREMLAGFITAQGRESEQDTSGFVFNKCVIKGTGKAFLGRAYRGYSRVVFYGTHMSNVVVPQGWDAWHYKGQDDKFTFVEVNSTGKGANKKGRVRWEKNLSAKEVDFLLNPQTFVDNDGWMATLPSALVSLYYNHLQSLSFRI >A08p026000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16640292:16647049:-1 gene:A08p026000.1_BraROA transcript:A08p026000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGNEPEVPNPTAENEQLALAAETETENSNGGGDNPKEEEGLIEKAQKLMEHITNGANNPNPTVLHALSHLLESQESLFIKENGFYSNGRGSHISGKLCKLIKENDEFFELISSTFLSENTYSTAVKAASARLLMNWLLTWTHPYIFDDAVTENFKKWVLEEAVKFPGEHSGSSEASDSEMLKTYSTGLLAHSLTSRGQLVEDVLTSGLSAKLMHYLRVRVIGEASTSRRDALHTTEAKHVSLKTKEDGRSRVRRVVDTAEGDHVLEADAGRETDVLSEGELEIDGRDRCNVPAVFDGKMKPGDGNTGRDDPSRNRLSRSKSRARGKVNEGATDTDSLLASPTSGRLGVRDRDQSKNLDVRNAEDGTKWLGKMKSGIMEIEREKNDECFQDCVIGTKNITDVVKRAVGAAETEARAANAPDEAVKAAGDAAAELVKTAALEACFYFDFLNEPLLQEFKSSGSEEAAVAAARRAATTVIDAAEVSRNHTCVTSDQAAGTSSVETDAIVDVGEVSLPDIESLAQLQEKYCIQCLEILGEYVEVLGPVLHEKGVDVCITLLERTSQLGDSFTLSPLLPDVMKLICALAAHRKFAAMFVDRGGLQKLLAVPRVTETFYGLSSCLYTIGSLQGIMERVCALPSDLIHQVVKLAIELLDCSQDQARKNSALFFAAAFVFRAILDAFDAHNSLQKLLAILKDAASVRTGANSDRSAPEVMTSSEKQMAFHTCFALRQYFRAHLLLLVESIRPSRSGRGGVPKVPNIRAAHKPLDISNEAVDAVFLQLQKDRKLGPTFVRTQWPAVNSFLASSGHVTMLELCQTPPVDRYLHDLLQYAFGVLHIVTSIPDGRKAIVTAALSNNRAGIAVILDATNISNSIVDPEIIQPALNVLINLVCPPPSLSNKPLLAQNHQPVPDQATARPSTDVPADNAPPTPVAPASSGLVGDRRIFLGAGTGSAGLAAKLEQVYRQAREAVRGNDGIKILLKLLQPRIYVNPPATPDCLRALACRVLLGLARDDTIAQILTKLEVGRSLSELIRDSGGQSSGTDQVRWQAELTQVALELIGIVTNSGHANTLTASDAATPTLRRIERAAIAAATPITYDSKELLLLIHEHLQASGLGETASALLKEAQLNPLPSLAPPSSIAYSATQEMSAPVAQVQWPSGRASGGFFNSKPEVCAHDEDPNSKCNAALSAKKKHLASSTQETSTPVAQQQWPSGRTNCGFFPSKPKVNAHEEDPSSRGNAAPSAKKKQLAFSPSFGSQSRKQSLCQDAQPQSTQRINSSSNSDPACGDTSEAVAEKNDLDADAQFKTPTFPRKRKLSELRETEMSTSSKRINLGELGPRTPACPTSASLRRSSTIAEASGFQTPASALDVNQSGSSRLGQMTPASQLRLPSDPQPSERLSLDSLVVQYLKHQHRQCRAPITTLPPVSLLHPHVCPEPKRLLEAPLNITDRLGTRELQSYYSGVHGNRRDRQFVFSRFKSWRSYRDETALFTSISLLGGTNHLAVGSHAGEIKIFDASSGNMLESVSGHQAPVTLVQSYVSGDTQLLLSSSYSDVQLWDASDITVGSKHSFDGCKAAKFSNSGSLIAALSSEGPTKDVLLYNVETGSLSEKFTDPDTSSRTSPYTLVHFNPCDSLILWNGHLWDRRVPNSCKRFDQFTDYGGGGFHPSRNEVIINSEVWDLRNMNTRLIRSVPSLDQTAITFNSRGDVIYAMLRRNIEDVMSAVNTRRAKHPLFAAFRTLDAVNYSDIATIPVDRCLLDFATEPTDSFLGLITMEDQDDMFSSARMYEIGRRRPTDDDSDPDDDGETEDEDEDDEDDEDDLDRILGLAGDDSDSGDDDMSSDDNEDNSASDFDDDDGGMFFDGGIMEIVSEGDDEDDNGDSDGEDSDDDGDSISSGEEDFLNSIH >A09p004650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2610243:2611025:1 gene:A09p004650.1_BraROA transcript:A09p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVLQLLLLLLITTVTISIPVFAENDSIYDVLRAHALPMGLLPKGVKEFNVDVETGQFSVFLNQSCKAKYESEIHYEANITGTIGYGSIGGLTGVSAQDLFLWFPVKGIRVDIPSSGVIYFDVGVVRKRYPMSLFETPRDCVAVVEKVWTLVLPSLNLLSAFVQCICFPSVLQLLNVNVSTVLCE >A02p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16673754:16674788:-1 gene:A02p031780.1_BraROA transcript:A02p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease 3-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G80650) UniProtKB/Swiss-Prot;Acc:Q9M8N2] MEEEDQKTTSRRRSIIISLKNIPPLDPSSIPPTPSSLKPRTMMVPGTVPKQRYQEMRLKEDNVKSSFSNIQIDPNSTRSVSTTQENHPVLNPVEDSKSISKDETKKGSAKSLLHEMCISKRWKPPVYDCCNVDGPCHMRLFTYKVVVEIRDSSGTTVLECFGDPKHKKKAAAEHAAEGALWYLDHAKPNQTKAASVTHHHLLR >A09p067390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53185019:53188309:-1 gene:A09p067390.1_BraROA transcript:A09p067390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTARLVGDYELGPRLGSGSFAVVWLATHRSSGLKVAIKEIDKKKLSPKVRDNLLKEISILSTIDHPNIIRFYEAIETGDRIFLVLEYCSGGDLAEYINVHGKVSQPVAKHFMRQLALGLQVLQEKHCIHRDLKPQNLLLSSKEVTPLLKIGDFGFARSLTPESMAETFCGSPLYMAPEIIRNQKYDAKADLWSAGAILFQLVTGKPPFDGTNHIQLFQNIVRDTELKFPEDALNEIHPDCVDLCRSLLRRDPIERLTFREFFSHRFLQEPRQMPDVTTSRGKSLLPSAQTSSTNRSKASSENVYKHGSSSSASTSNVLMQHDVSCEKTRKDNEGQCSSNQLGVIDSLELIEREYVLVNRPSEGSSECFDASLQDSVEAQRPLSDVLGPPPASGSSYLLTEVQRLTIVHPPTKLQVLHQYAEALTEVAREMDNAGQVKESFAVTLVVLAAWRKALEICDSWMVSVGEDKVNTTAPGTSNSPAVAKTWVTQEFVTAFNQAETSSTRLNQTSAASATHMPDAMETIYEKALAYGKSGGAEEYLNNKESAARLYKKAILLLSFIIEEAATLPLNPPFSLTPDDKKRILYYISNLQHRRSHL >A09p014550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7631262:7632684:1 gene:A09p014550.1_BraROA transcript:A09p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSVGISLSFFLWALLISPSVSQQTCKSQTFSGDKTYPHCLDLPQLKAFLHYSYNEPNTTLAVVFSAPPSKPGGWIAWAINPQATGMAGAQTLVAYKDPSKGVAVVKTLNISSYSTIIPSKLAFDVWDMKAEEVSGDGGGTLRIFARIKVPSDLAAKGKVNQVWQVGPGVSPEGMIAKHDFDAANLYSKGPLDLSGNNNGGGGGGEGDSRVKKRNIHGILNAVSWGILFPVGAIVARYMRIFESADPAWFYIHVSCQFSAYVIGVAGWAIGLKLGRESEGIRFASHGNIGIALFSLATIQMFAMLLRPKKDHKYRLYWNIYHHGVGYSIIILGIINVFKGLSILNPQDTYKTAYIAVIASLGGIALLFEAVTWVIVLKRKSNS >A08p036800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21534273:21537421:-1 gene:A08p036800.1_BraROA transcript:A08p036800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVATGVAPSTMIDQVPSPTAAQTSVQVSVSVPVQSPVVAADQTHPNSSLYVGDLDPRVAEAHLFDLFKHIASVVSVRVCRDQNRRSLGYAYINFSNPNDAIRAMEALNYTPLFDRPIRIMLSNRDPSTRKSGKGNIFIKSLDASVDNKALFETFSSFGTILSCKVATDVTGQSKGYGFVQFEKEESAQAAIDKLNGMLMNDKQVYVGHFIRRQERSREENAPTPRFTNVYVKNLPKEIGEDELRKTFGKFGSISSAVVMRDQSGNSRCFGFVNFECAEAAAQAVEKMNGISLGDDVLFVGRAQKKSEREQELRRKFEEERMNRFEKSQGANLYLKNLDDSVDDEKLKEMFSEFGNVTSSKVMVNPQGISRGFGFVAYSNPEEASRALNEMNGKMIGRKPLYIALAQRKEDRQAHLQALFSQIRAPESMQSPMTGFNHPRGGPMHGPPQHMYVGQNGRSSMVPSQPMGYGFQPQFMPGMRPGSGPGNFIMPYPLQRQPQAGHRMGFGRGATNMPHHIQQQQQLIHHNPSPGMRYMNGAGNGRNGLELSVSQGTLPPVMPLPIDASSIGIVAPQNHQKAPLLPISKLTSLLASASPADRTRMLGERLYPLVERQEPLHVAKVTGMLLEMDQAEILHLMESPEALKSKVSEALDVLRLSADAPDHDLGFSTID >A04p022900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13893545:13893984:1 gene:A04p022900.1_BraROA transcript:A04p022900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokines 2 [Source:Projected from Arabidopsis thaliana (AT2G22860) UniProtKB/Swiss-Prot;Acc:O81003] MANFSALLTITLLLCSTLMCTARPDPTFSTSITIVTADPLEKSIKGKLDEFAEENCGANDEDCLMRRSLVAHVDYIYTQKQKKNL >A07g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12517147:12520482:1 gene:A07g505540.1_BraROA transcript:A07g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVRLVDCSDPPEEQPVRPIPEMMFAVGEEPVGVRVLTYLSSGAINRIFNALEEEEVQIIRRSAFGKILEIVDKPVFSGRFARFILSRQLKTKKKHEALFRFAGKPIRFSLREFAIVTGLPCGKFPMKSKMKLKETISEKPYWPSLFGKAEVATVASVIKLLTRRTVADRVVRIKYACLAILSSVLLPTNMKMKICREHAEAIEDLDEFFSYPWGRLAFDMLMGSIKERDEVALSQNTIAVKGFALALQLVMVEAVPSLTEVVQETCSDSEGDSDEEYDGMCEKSKRKTLSPGHARNVDKQTDVFVRSIIDEDPLRPIDESNLVWSDEEDDEKVNNMVYLINTNFQFTKSMFVGGLSKLDVDRMRETDNLTSKAKKSKKLPVLNTSNDPGYIASLVIEKMKPEFQTMDGNIMQACRRSRLGIWLLLTKEEGAAPATIEENLTNTAVRQNGIVPDSNSSPVREANDQTIRNILGNLSSYSTPPNSPRLCQGENPTPKYNEGGLYFEAGGDNVNDSFALSAHSQNHQRAVEINQPLEEENRVQGPAMDMPSFSLGLTQEEALNGNHGITFKESVRHQPESIVKAVDNIEVRHQYRKSKRQKCVPHALLADYECGPEIGSRVKKSQNFIFSSHERNQIDRNCAISVYKVSGVSVLGKDILLIAERSKFLTSKVVDILIRLVQYTVQHQFTAHTQHRDVFLDNTYASAITKTYPKFRKSRKKNAYIFPRGVVKIFTTREDSFLQPTRYYFPLNVGKKHWVGICVDHNRGKITVLDSNTSLFTDAIMEKHLQPHLVMLPYLLRLSMQVSGTDEPKRFAVERPKDLAQTQNPADTGLMAVLLMSTHAVYGLEACKNINTDVLVEAGRSAAVMAFECEDMF >A06p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000054.1:4549:8977:-1 gene:A06p031810.1_BraROA transcript:A06p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSASTHRTFVAVNGCPSAHIGRPSVSVSTHRTSVCVCQHTQDVRGCPSIHISAHWSLDSARWPFPWTVRVILTHMGCLVSTHRTSVAVRVFPSVSVSTHRTSVAVRVCLCVFVSTHRTSVAVHQYTYQHVGPWTQHADPSRGQFGTSVAVCVCQSVFVSTHMTSVAVHQYTYQHVGPWTQHAGPSRGLFGSFWPTWAVCSVHTGHPWVSASTHRTSVAVCQHTQDVRGCSWLSVSTHRTSVAIRVCMWVSASIHRMFVAVRGYLSAHTGRPCVSVSTHKTSVCVRVCPSAQTGCLWLSISKHISSLALPVDCLGDFGPCGLSVKYTKDVRGCLSAHTGRPRVSVSTHRMSVAVRVSPSAHTGRPWLSISTHISLLVLGLSTLTLPVDCSVDFGPPHTGRPWLSISTHISTLVLGFSTLALPVDCLGDFVPRGLSVQYTQDICGCPPAHTGRLWVSASTHRTSVAVRQHTQDVRGCPCVSVSTHMTSVCSAPCTDLWTAVYHTGHLWLSVITHRTSVTIRVCPWVSASRHRTSVAVYHHTQDIRDCPCVSVSTHRTSVGVRQHTHDVRVCPAAHTGRPWQSMCVRVCPSAHTGRLWLSISTHISTLVLGLSTLALPVDCLGDFVPRGLSVQYTQDVRGCPPAHTERPWLSVAVRQHTQVRQHTQDISSCPCVSVSTHKTFVAVHQYTYRHIGPWTQHADLPVDCLGDFGPRGLSVQYTQDVRGSHTGRLWLSISTHISTLVLGLSTLTLPVDYSGDFGPRGPSVQYTQDVSHTGRPWLSISTHISTLVLGLSTLALPMNCLGDFGPGGLCVQYTQDVRGCPPAHTRRLWLSVAVRQHTQDVRGCLWLSVCVLQHTQDVCGCLSGDTRRPCVSVSTHRTSVAVCVYPCVSVSTHRKSVAVHQHTYQHVGPWTQHADPSRGLFGTPQDVRGCPSVQISHVGPWTQHPGPSRGLTSVGIRQHTQDVRGCPPAHTERHLWLSVITHRTSVTVRVCMWVSASTHRTSVAVHHHTQDIRDCPCVSVSTHRTSVGVRQHTQDVCGCLWLSVSTHRSVSTHRTSVAVRVCPSGHTRRSWLSISTHISTLVLGLSTLTFPWTVWVILAHVGCLFSTHRTSVGVRQHTHDVRVCPSAHTGCSWMSMCVRVYPSAHTGRLWLSISTHISTLVLGLSTLTLPMDYSGDFGPRGLFVQYTQEVCGCPSAQQDVCGCLWLSVCVCVCPSMSVSTHRTFVAVHQYTYQHVGPWTQHAGPSHELF >A01p023270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11484032:11486191:-1 gene:A01p023270.1_BraROA transcript:A01p023270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELFPHEKYCLPNYYFNPRKKIQTYFPTNIGLDKALTLVSSTSIVIFNHRKAESSWYMDEPVMMKKRVEQGKLNIIAIFYKVCARDVKKQTCEFGENFWKLARASSGDQDVERMCLQQDLSFKDKSLIELVGSSIGLHPDSGGSTLLRGGSTDPCDF >A03p027370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11427368:11428222:-1 gene:A03p027370.1_BraROA transcript:A03p027370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSSFLAFSSPPKLSLLSSSSYHQKVQTFLCFTQNHSSTVGISFSKRHLNLSIITLLFNGGFLLDKAKSMAESGDLQRYTDSKDGFTLLVPSSWPKVEKAGANVLFEEPEKRSNNIGVVVSPVRIKSLEDFGTPQFVADKLINAEKRKESTKEAEVVSVGERLGQGQGQVYEFEYKIDSTRGGIKRVFSAAFVSSKKLYILNVVHSDSPENPLASSTRVLLEQVLHSFDALPLT >A01p013850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6764199:6764726:-1 gene:A01p013850.1_BraROA transcript:A01p013850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNDRRNPRSTNQARRPLASPQAFYLSLSSFHFPAISVVLNLSRILVCFGRSIRNGQDSEEALTIFFCLGTLPFDISTFVAEPEIDAVGRLFGGDGRDARNREQVENSDTGLYNPLSSQGRT >A03p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16016535:16019642:-1 gene:A03p038370.1_BraROA transcript:A03p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCVIVFISSVYLLVNYQHPDDANQAYFPKFVVVFGLSIAMISILMLPADVANRHACRHAIYNGACNLTLPMKDLWLAVYIVDAILVFFVIPFAMFFYEGDQEKTMGKRIKSALLWVVSTAVVCALVLGILYGVIGKVDFSVRHLASGTTSFPTSWQFSNNQPCIGNTARQCSAFTASVASEKTWTMRTTFPEYVVALATIVGSVLFTIFGGVGIACLPLGLITAFIRRPKAVITRSQYIKEATELGKKARELKKAADGLRQEEKGGAKGRAWRKNVKAVEKELLQLEEDVNLLEEAYPQGEKAETAWAFTVLGYLAKFILGIIGLIVSIAWVAHIIIYLLVDPPLSPFLNEVFIKLDDVWGLLGTAAFAFFCFYLLLAVIAGAMMLGLKLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIGFVILAGLTFLYYIAFGWRRKKPSARFQLSS >A08g502490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5437333:5438886:-1 gene:A08g502490.1_BraROA transcript:A08g502490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRALADNYRICEILRRFNDASRRDLMNTDEFPTRSDDADELYKLKRTRRSLSQHLRNTNKGNYKGILKIDEVGELFEEARGTLNKNVSSSTWCSPIRHGSMMMIPSTPLAWRFDSGEFTLKEDYNDFFLN >A06g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10176560:10177011:-1 gene:A06g503270.1_BraROA transcript:A06g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLIYLFVGFSDLEDFWDDLPVSRLEDVWKSSGSRLDFLKVVWTSCKVVWKSSELSKSLLTKSSGSRLDFLKVLWSCLLKWDPSLSL >A01p026910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19252560:19253860:-1 gene:A01p026910.1_BraROA transcript:A01p026910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEEGEVQNAPFCMLFCEEERSHELEGDESVVKFPFFHLGFLDHDMLWDDDELSSLISKENELRPCLSDTVLDEFLVLCREKALDWIFRVKTYYGFNALTALLAVNYFDRFLTSRKFQTDKPWMSQLTAVACLSLAAKVEEIRVPLLLDLQVGDARYVFEAKTIQRMELLILSTLQWRMHPVTPISYFDHIFRRCSSKSHHDLEFLGRCESLLLSIVPDSRFLSYSPSVLATAIMVSVVGDFTTCDEAEYESQLMTLLKVDPEKVNKCYELVLDHIPSKKRMQPASPTGVFDASFNSDSSNESWVASASASQSHEPLFKRRRVQEQQMKLSSINRMFLDVFTSSPR >A03p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10163392:10166046:-1 gene:A03p024050.1_BraROA transcript:A03p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGIGLGSVSTHRVAALSPPPHLFSLTTSRRGSSFTLRRTRSDSLPRLSVSASADSPSSSGEVIENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQMGGVPGGQLMTTTEVENFPGFPEGITGPDLMENMRKQAERWGAELYPEDVESLSVGTAPFTVQSSERKVKCHSIIYATGATAKRLRLPREEEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEALYLTKYAGHVHLLVRRDQLRASKAMQHRVNNNPNITVHYNTETVDVLSNTKGQMSGLLLRRVDTGEETELEAKGLFYGIGHSPNSQLLEGQVELDSSGYVLVREGTSNTSVEGVFAAGDVQDHEWRQAVTAAGSGCIAALSAERYLTSNNLLVEFHQPQTEETKKEFTQRDVQEKFDVTLTKHRGQYALRKLYHESSRVICVLYTSPTCGPCRTLKPILNKVVDEYNNAVHFVEIDIEEDQEIAEAAGIMGTPCVQFFKNKEMLRTISGVKMKKEYREFIEANK >A03p039030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16249882:16251553:-1 gene:A03p039030.1_BraROA transcript:A03p039030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSNPRTVEEIFKDYTARRSALLRALTKDVDDFYSQCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMQRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSLINDLPTLFDVVTGRKPIKDNKPSSDSGSKSRNGTKRSIEGQTKSPTPRLMEESYDDEEEEEDEHGDTLCGICGGNYTQDEFWICCDVCERWYHGKCVKITPAKADSIKQYKCPPCCAKKGRQ >A08p017220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12046062:12047200:-1 gene:A08p017220.1_BraROA transcript:A08p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFRFVFVNIEERFMACVAHTLCFVAALLLIYQKTATCDFLSPIFDNICKAVVCGKGKCKASSNATFKYECECDNGWKQFDHNLKFLPCVIPNCTFDLSCGEAGPPAQPPTPPKDNNSSFFDVCHWMNCGEGICKKKNLFLYSCECREGYSNFMNIPTSPCFKQCALGQDCLNPGTPSNSSSNASSNASSSSPPALPDGSKSQATGLNVRGASLWLISSMICVSLAPWRLLCI >A02p052150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31928287:31929927:1 gene:A02p052150.1_BraROA transcript:A02p052150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYDNSFTFEWSFKFYLLMFSMERILDRYERCSYAGQDIPTPSLDSQGECSTECSKLLRMIDAMQISLRHLKGEEVDALSIRELQSLEMQLDTSLRRTRSRKNQLMVESLAQLQKKEKELKELKKQLTKKVDQGEDIEPQNISQGLDSTPPCETPHLLPGPISPHLPLSIGVTSQMNEIGEEDTGILVRSGNTTLPHWMPRLTGE >A05g500880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3218212:3219498:-1 gene:A05g500880.1_BraROA transcript:A05g500880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIPSCPRPPPDPPPPPCMYAPLEVLLPVIPPEPPDPPDVPLLSVSTVTSQFRTFVSSSPICLAGRFEGAGDLRASPSQPRDAPRCEAGCLYAGKKPRPLTPIEIERASIDGPSASSWAWVSKTFESNIIYLASESPISKMEPPLPANLGIADLKLRLSNSSPMPPSTSPWLAASLSPPQHIKGAELSSATYVHDGTCPLMLNLLMFDEERLGLNFMVPWPQHGNVGGWSPCLNSTTAYPSTTVELMYQVIKVSHSLEPVSTHLSTKPRTVTMSLVYMEITSVVHSSECSRTGVHGTVSTASPSVSSNNMFSGKVEIHLVSRFIIVGIRADLVCLMDCIDKSSFSVGLSSVLVFTNDLQTRSSGSPFIGCCTDISMFLGTSVSGFQVKHVYGFLHPFNTPISCCSTFRFRLAVEFTSGCNRLNPLDI >A04g503370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7385786:7386076:1 gene:A04g503370.1_BraROA transcript:A04g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCLPRLKLQPSSPSSSLAHGLSISRGRAKNLRRGSSHFATNHYKLLLPRQDPPWRLRNRRRESSLQSARHGGRSSCNNGGFWSFCTSGPSPFG >A10p018910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13076976:13079607:1 gene:A10p018910.1_BraROA transcript:A10p018910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable L-type lectin-domain containing receptor kinase S.7 [Source:Projected from Arabidopsis thaliana (AT5G55830) UniProtKB/Swiss-Prot;Acc:Q9FHG4] MASSRKLLALFFFFISIAKPIFVSSDNVNFTFKSFTIRNLTFLGDSHLRNGVVGLTRELGVPDTSSGTVIYNTPIRFYDPASNTTASFSTHFSFSVQNVNTDSSGDGLSFFLSHDNDTLGSPGEFLGLVNSSQPMKNRFVAIEFDTKLDPNFNDPSGNHVGLDVDSLNSIATSDPSIDLKSGKSITSWIDYKNDMRLLNVFLSYTDPIATTKKPEKPILSVNIDLAPFLNGEMYVGFSGSTEGSTEIHLIENWSFKTSGFVPVRSKSNRPHNVSGSSVAPVAIPKGGGRRQRHGLAIGLGISCPVFFCLALLVFGYFTLKKLKGVKAEKELKTELITGLREFSYRELYGATKGFHSSRVIGRGAFGNVYRAMFVSSGTISAVKRSRHNSTEGRTEFLAELSIIACLRHKNLVQLQGWCNEKGELLLVYEFMPNGSLDKILYQESETGAVALDWSHRLNIAIGLASALSYLHHECEQQVVHRDIKASNIMLDINFNARLGDFGLARLTEHDKSPISTLTAGTMGYLAPEYLQYGTATEMTDAFSYGVVILEIACGRRPIDKEPENQKTVNLVDWVWRLHSEGRVLDAVDERLRGEFDEEMMKKLLLVGLKCAHPDSNERPSMRRVLQILNNEVEPSPVPKMKPTLSFSIGLISLDDIVSKDDGDSIVNLVEESHVYTPLTLTRSHNIRMMMVTEVKRAHSRQGQNFIFANPGLCSLSSVNIFSSFFSRRKKKESVTCC >A08p016800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11842484:11843206:-1 gene:A08p016800.1_BraROA transcript:A08p016800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEC12 [Source:Projected from Arabidopsis thaliana (AT4G14430) UniProtKB/TrEMBL;Acc:A0A178UV55] MCTLEKRGNLFLLTLTGDNEHRFNPDAIATILSLLGQAKSQAKRGSVLITTGHGKFFSNGFDLAWAQASGSLTGAAERLHQMVESFKPVVGALLDLPMPTIAALNGHAAAAGLMLALSHDYIFMRKDRGVLYMSEVDIGLSMPDYFAAMVRSKIGTSAARREVLLSGKKIRGEEAVALGIVDSAAHDSAEGVVEATVGLAEKLAAKKWNGDVYASIRKSLYPELCEILGLKATIFATPKL >A08p042900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23993509:23996253:-1 gene:A08p042900.1_BraROA transcript:A08p042900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:branched-chain amino acid transaminase 1 [Source:Projected from Arabidopsis thaliana (AT1G10060) TAIR;Acc:AT1G10060] MALRCLLKSPTTSSYISKICGFRMHGTKAVASVVEEHVSAMLRSEREDEEYADVDWDNLGFSLGLIEGMKAYRGEDGRVLMFRPELNAMRMKNGAERMCMHSPSVQQFMEGVKQTVLANKRWVPPPGKGSLYLRPLLFGSGASLGVSAASEYTFVVFGSPVQNYFKEGTAALNLYVEEVIPRAYIGGTGAVKAISNYGPVLDAMRRAKARGFSDVLYLDAETKKNIEEVSAANIFLVKGNTIVTPATNGTILRGIIRESVIEIALDLGYKVEERVVPVEEMKEAEEVFCTGTAAGIASVGSITFQNIRTEYKVGDGLVTQQLRSILVGIQNGSIQDTKGWVLEID >A02g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24282455:24289014:1 gene:A02g509100.1_BraROA transcript:A02g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAISKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLAQPNLTLSYPGYLGDVIMDQLSNGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A09p057410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48459838:48461040:-1 gene:A09p057410.1_BraROA transcript:A09p057410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKNLFFSTIIPLIKKPSNFDQSREAVRKYREKKKARTAYLEDEVKRLQSLNEHLLRKLQSQAMVETEIIKLRALLVEMQVKIDDEFGGFSFQKQCNGSGLMDSNTISISCNHTAECNIAIRNVTCEVARVDCEESKTLREPIHSIDEEDCSCMYDYDCGLEKSLGVHL >A04p030560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18162816:18164139:1 gene:A04p030560.1_BraROA transcript:A04p030560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFNKQETLVAVTGRIQALSKALSLTCLQNNHGHEFLKKLGDPINSRLCSVSGNVKQLTKTSGNNSIKINFCIPPLYSLIISSNWEVDKPGLWVLGFLKSITYSQFHLYSLSSPSTEAAMASLIMSTPFPASLTQSKKTSKLSFQRAFKVTSMQTPLEELYNVKVERKVSQRRLDELGVSRWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSKRYMQFLAGDLVRYPKWLEADLFFNAPYSERYCFKAYGDD >A02g509440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25157626:25159364:1 gene:A02g509440.1_BraROA transcript:A02g509440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRVFGLVTRKTLVILDRSRKKKESRRREAIALSTKRRRIPRRQTAIPAICLRRLNKMANPHEPHFFKPLLSGFHSGVIRTSLSLFTIPLAFFSKHIEGKTNQKTWKLRSDASDQTWEVIQEGRTRTGGWKDFTTAHDLQIGDLVIFKHKGDMVFHVTSFGPSCCEIQYTHPHIIKEEVDAGDADDNEIRGTGAMSSFSFNYCFLAEVTASNLKADKLYLPKRATSSTALNKQCQEMILVNKEGNSWTASLRFSESGGMYYITRGWRNFCRDNKCDIGDLFVFNLVGDGKSTPLLCVCLESKECYELLSKHLSRKRGDIASGSRVN >A09p066380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52715476:52717101:1 gene:A09p066380.1_BraROA transcript:A09p066380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVVDAGSKLLKAGAAVPDQSPAMIIPSQMKRMVDDGPSTVSEDVTLDPIERGFIRDWDAMEDLLRYVVYTGLGWEEGNEGNILFTDPLSTPKAIREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTLDIGHGKIDIAPVLEGAVQHIASKRFELGGTELTKLLAQELGKSNPSMSLSMSDIEKLKEQYANCAEDEIAYEKTQNCEIEQHTLPDGQVISIGKERYSVGEALFQPSILGLEEHGIVEQLVRIISTVSSENHRQLLENTVLCGGTTSMTGFESRFQKEASLCSSAIRPTLVKPPEYMQEDVGLYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >A09p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4856914:4858010:-1 gene:A09p009410.1_BraROA transcript:A09p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVARRGLRDLLVHSRVNCNMFARCVSAASVVRRAGDTNKPTLVHDWCGGFVGCNIRSEHVQVYLMGDFNMRWRRMCSASATEKKDEKPRLDTVRKDQDGGGSVTVPSYWGIETAKMKIARKDGSKWPWNCFMPWETHQADLTIDFKKHHVPKNMADKIAYVIVKILRVPTDIFFQA >A06p007670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2641735:2644220:1 gene:A06p007670.1_BraROA transcript:A06p007670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTVWLIILVCCFFVVSQADQAFDVRHHLSTVTRYSVSKDVTQNLIEGSNVPSECTPIHLNLVARHGTRSPTKKRLRELENLAGRLKELVRNLPSDKVPGWLGKWVSPWKGKVKGGELIRQGEEELYQLGIRVRERFPTLFEEDYHPDVYTIRATQIPRASASAVAFGMGLFSEKGDLGPGRNRAFAVTSENRASDTKLRFFECCQNYKSYRKAKEPAVDKLKEPVLNKITASVVKRHGLSFTKQDVSSLWFLCKQEASLLNVTNQSCELFTPSEVALLEWADDLEVFILKGYGNSLNYKMGVPLLEDVLHSMEEAIKAREENLPAGSYEKARLRFAHAETIVPFSCLLGLFLDASEFEKIQKEKPLELPPQPPKTRDFKGSTMAPFGGNNMLVLYSCPAASSPKYFVQVLHNEHPIAVPGCDGKDFCPLEDFKAKVVTPHLKHAFNNLCNANLDDPKQNHPSGKLSFWSWLVGSSQKTEL >A03p053690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23030113:23034363:-1 gene:A03p053690.1_BraROA transcript:A03p053690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDQTVIPIFYGVDPSDVRKQTGEFGKAFGETSKGTTEDEKQRWMRALAEVANMAGEDLQNWCNEANLIDKIADNVSNKLITPSNYFGDFVGVEAHLEAMNQLLCIESEEARMVGIVGPSGIGKTTIARALFSQLSSRFHYRAFLAYRRTIQDDYGMKLCWEERFLSEILCQKELKICYLGVVKQRLKLKKVLIFLDDVDDVELLKTLVGRTKWFGSGSRIIVISQDRQLLKAHDIDLVYKVEFPSEDVALKMLCRSAFGQNSPPNGFMELAVEVAKLAGNLPLGLNVLGSSLRGRGKDEWMKMMPRLRNYLDGKVEKTLRVSYDRLDGKDQELFLFIAFARLFNGVQGTETVLGLYFNALKLEEPFSMDEKSFEGMCNLQFLIVRDYVGYWVPQGKLHLPQGLFYLPRKLRLLRWDGYPSKCLPSNFKAEYLVELRMKNSSLEKLWEGTLPLGRLKKLIMSWSTYLKELPDLSNAKSLEEVYLDRCTSLVTFPSSIQNLHKLRELDLEGCTELESFPTLINLKSLEYLNLRECSRLRNFPQIYINSSQGFSLEVEGCFWNNNLCGLDYLGCIMRCIPCKFRPEQLIGLTVKSNMLERLWEGVQCLGSLEMMDVSSCENLTEIPDLSMAPNLMYLRLNNCKSLVTVPSTIGSLCKLVGLEMKECTMLEVLPTDVNLSSLRTLYLSGCSRLRSFPQISRSIASLYLNDTAIEEVPCCIENFWRLSELSMSGCKRLKNISPNFFRLRSLHLVDFSDCGEVITVLSDASIKAKMSIEDHFSLIPLFENTEERYKDGADIDWAGVSRNFEFLNFNNCFKLDRDARELIIRSYMKPTVLPGGEVPTYFTHRASGNSLAVTLPQSSLSQDFLGFKACIAVEPPNKAETPYVQMGLRWYFGGRSSVHHFMVYHSFKMDEDHLLMFHFAFPLEEVNYTSSELDYIHVEFEYCYHKYACSDIYGPDSHTQPCLLSLKMIKGCGLRLLNLSGSPYGAVRISETEYGQQSGESDRESGRSNKRMRMMVRTSEEPSSLLCGKTGANTRLMTPNLELSLGQGETSTQMSLRSLIPSSSDSSSRFHGDGASLRLSLSPSEPCFSGEAFNPMITEQQDTDTHFVDQSSSPQLITFLR >A04p032280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18852580:18853723:1 gene:A04p032280.1_BraROA transcript:A04p032280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNLFAIPSRLHIPSSPFISAPNRNQVRILAKSCPDNQSFGSNDSDSSSETPNKTQGDQKPLSRRQWMMTCVCLSPALITNAYAFVSVQNAAALDKKPGVCRNCQGIGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPGARELLDKMYNGRLLPNS >A08g502370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5133690:5134070:-1 gene:A08g502370.1_BraROA transcript:A08g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNIQLMGTRNITRRESALHSELEALRWAMENMLQHSTCQSFGTDCKDLIAMIKEPHAWPRFATELERIGTLQVCFPNFSITYVPRARNQTSDFLAKTARSFHRELLFIGCSIPVWLPRPPLA >A02p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1392982:1395615:1 gene:A02p003360.1_BraROA transcript:A02p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQYVFKDARKENHQAFEQLLIESLEKFIRREAQERSLESDGHHDSDSDEDFAGTRVVIGPKGSMYSMGDPLLSEYIELNKPIMETNTSSGHTNHHPFDASSDSFVSEAEQSLEREVGLHKPRQSIGGSCIYSDTKLVINYFYAFLRKKCRRGIANLSVPQPHLMQVGMTYMRERENNIRWTNEQDLKLWSHDRLSLPNWRKGNNIRWTNEQDLKLRSHERKRKTIRWTNEQDLKLWSHGRLPLPNWEDIAVEVGCGNVSAVLTRFFELTKLAEKVGHSTSVVRKRFDDMTRKKHVSKWTNEEDDTLRKMMVEERKDHSILNTIAHKMKKRQDRVWRAMGLVEVGRRKSKAVSRRTGEQG >A05g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12744995:12748323:1 gene:A05g504650.1_BraROA transcript:A05g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWGQNRSRRNQCLKVRKNQHNRFYENLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTAWSLHSDRARAKARSLRSDRAIVPLGRYVVTELEPKLGRYIATERSSSSRPSDRPARSLHSDRARAKARSLRSDRAIVPLGRYVVTELEPKLGHYVATERSSLSDRYVATELEPKLGRYVATERSSRSVATDRAIVPLGRYIATELSPARSLRSDRALVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLSPCPSYDFPNQKPVNHSLVYAWSTRKDKCQVSADKYGSFEDNCEDRENGISPFLCYDCLRAEDLVSITGTQLLDELAQAVRSLVQLYQLNYVRLDPRKGYFPYLNGNRQCKFRFPLFGARRRGGYGLLLLMATKRLIETMFGYMKDKLAALTAPMANAYANAVVFNKIENLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPVNKSRCIRVLPKHVFRKHFGRIKLETQQNDYTARSLRSDRAHTLLGRYVATEHTRCSRPSTHTLLGRYVATEHSHAARSLRSDRAHTLLGRYVATEHSHAARSLHSDRGCTLLGRYVATKHAHAARSLRSDRAHTQLGRYVVTELKPTLHSLRSDLSDCPPLGSLLNPRRNAFRFVSIEVSVEILRRKQRPVRPQKGPPLGCLLNLRRNAFRFVSIGVSVEILRRKQRPVRPQKGPPLGCFLNPRRNAFRFVSIGVSVEILRRKQVGRFSACFHSLRSDLSDCQSLRSDL >A06p012150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5556548:5557819:1 gene:A06p012150.1_BraROA transcript:A06p012150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFDTLPTWDLHNLGSLFNQNFNLDACYNIDESPEHILRSPEVDIIGDVSTGYLEDALIEFRVKSKRRRLSFNAEDKPNNHFDNYQNDWRISENYSCTSSQFADESPNSSINIFPESSNQPKHSFEPSSSTSEKHYCDNKKRVVYPFGLVKPGGREEDVTLNDINKKILMPSARPVRHPVGAFACRPCLSAHGPGLSGKAVVAFTKIQTSGRGTITIIRTKG >A08p007180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4154832:4156552:-1 gene:A08p007180.1_BraROA transcript:A08p007180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRLEKRMINVIIANHLNKQNAVWVQSGNDFVDLLYSFLTMPLGTIVRLLDNHGSCGNMMNKEIPSPEGEMLRDCYDYDGVFVHGDGKFAFILSDDLKIDNFCWDFFRKKIKNLGCVDLYDEAAEGEAEIGFREAVTLLRPSFSLKVYMSKKDKRKVVYAECGEDFIDLLCIFLVLPLEYICNISCGGGGDDGLGCIVTCSEPSKNQPSFYHEHRLKHVIAIDPKTECGQPRSSDLTMRELDDLKISFNDVTIEQITIGRAEAISLFKASFATSSALTNGLSDLLAKKLEGF >A05p054740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31324627:31325445:-1 gene:A05p054740.1_BraROA transcript:A05p054740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTNAKLITLVVGFLVLMMMMNLHHALQGGNIDVGGSKYEDYGLMVSRSEPLLMGRKLKSSKPIESGTKKRSTKGLPSTDSAKEIDNLMRGDYSSRMKGRKRSPIHN >A06p002470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5143965:5144554:1 gene:A06p002470.1_BraROA transcript:A06p002470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFATIAAVQPYSAVKGLGGSSLTGAKLFIKPSRQSFKPKSTRAGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSLLTYVSASSTGDVLPIKRGPQEKPKLGPRGKL >A03p047350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22447397:22448376:1 gene:A03p047350.1_BraROA transcript:A03p047350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVTTMPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCECMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMSDEARLLKAYGELPENTRLNEGIVGDLEEDDENDDQALVHFEDEDIDRI >A02p045790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28671984:28673001:-1 gene:A02p045790.1_BraROA transcript:A02p045790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLLVDRLITEATIEEAIQSRNRMLQGNAPVEEECRILDEKTLEKLRNGDLKMVQCRICHDEDLDCNMETPCSCSGSLKYAHRRCVQRWCNEKGDTTCEICHQEFKPGYTAPSPLLELGHVPLHFRGNWGVSQREHRFITVVPADPTFLDDHHQYPLSSSTSFICCRSLVLIFMALLILRHTLPLVLTGSNLHVFPLFTLLFLRILGIMLPIYIVTKAVATCCRHSQTLETSDSEDSSDEEAELWRFPQTQSYIIGVP >A09g517740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53371348:53378171:1 gene:A09g517740.1_BraROA transcript:A09g517740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYLYFLFQPHVALIYHIFCFSLSFYAILDRRKPLETLEFEDLLIVKGEGSISLVIEKIILNPILILLNCMIKFSRCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQSDTTMGDHANQDDLTAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTMLTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNFAIHIRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETASGAEERAEQSTSSGVTAPREPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTSALKVLPKVDDPGKFVFPCSIAGTTFKDVLCDSGSWGWRTRMVAKSEPPVALRTIMYYLLLHHITISVSKKKKKKEINVMEKGMKSKKHEPLGRSNKGVGTKRKSLSSLPSPFGVITGLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLGNYNHYGICPNYLYFLFQPHVALIYHIFCFSLSFYAILDRRKPLETLEFEDLLIVKGEGSISLVIEKIILNPILILLNCM >A01g511590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34228821:34230618:-1 gene:A01g511590.1_BraROA transcript:A01g511590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFWRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFPPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFPGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A03p028760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12086127:12086455:1 gene:A03p028760.1_BraROA transcript:A03p028760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILHWNFSSHSDLHILWCMIALLTHVLLLLRGHRVWLHVTSPIKANNSSVLNVSFLSSAFIMLNLE >A09p006710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3523393:3525170:1 gene:A09p006710.1_BraROA transcript:A09p006710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGTLFYPLLITLSVALITYNILISSNAPLKQGFPSSSSYDDPVIQLPRGGSRIRGNNEHNRRLFHTAVTASDSVYNTWQCRVMYYWFKKAKASAGPGSEMGGFTRILHNGKPDKYMDEIPTFVAQPLPSGMDQGYVVLNRPWAFVQWLQQADIKEDYVLMSEPDHVIVKPIPNLAKDGFGAAFPFFYIEPKKYEKVLRKYYPEERGPVTNIDPIGNSPVIVGKEALKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHGVRNVLHKDFMIQPPWDKEVGDKYIIHYTYGCDYDMKGHLTYGKKGEWRFDKRSYIKSPPPKNLTMPPPGVPQSVVTLVKMVNEATANLPDWGS >A01p038290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14542325:14546006:1 gene:A01p038290.1_BraROA transcript:A01p038290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLSHRISHLSVSISSLFLQIERTPLTENFNGATSRTEYLSPSSVLVMGSKSFGNLLDLASGDLLDIPHTPRALPRVMTVPGIIDGYGISDRDSDAISLPCRERKIVVANFLPLNCKKDSETGQWIFSLDNDSPLLHLKDGFSPETEVVYVGSLKTDVDLSEQDEVAQILFEEFSCVPTFLPQDVHKKFYLGFCKQQLWPLFHYMLPMCPDHGERFDRSLWQAYVSANKIFADKVMGAINLEEDCIWIHDYHLMLLPTFLRRRFYRVKLGFFLHSPFPSSEIYRTLPVREELLRGLLNCDLIGFHTFDYARHFLSCCCRMLGLEYESKRGHIALDYLGRTVFLKILPIGIQMGRLESVLNLPSTAEKLKEIQEKYRGKKVILGVDDMDIFKGLSLKILAFEHLLQQYPSMLGKVVLIQIVNPARGSGKDVQEAKKETYYTVDRINERYGSPGYEPVVLIDRPVPRFEKSAYYAMAECCIVNAVRDGMNLVPYKYTVCRQGTPEMDKSMGLSDDSPPRTSTLVLSEFIGCSPSLSGAIRVNPWDVDAVADSMYSALTMSDSEKQLRHKKHYQYISTHDVAYWSRSFAQDLERACRDHYSKRCWGVGWGLGFRLIALSPNFRRLSVEQTVSAYRRSSKRAIFLDYDGTLVPETSIVKEPSADVMSALKALCSDPNNTVFIVSGRGKVSLSEWLAPCQNLGIAAEHGYFTSKGLVTGKVLRRMLEEGNAPDFVVCIGDDRSDEDMFESITTTLSAQPSSEIFACTVGRKPSKAKYFLDEVSDVVKLLQGLANTSSSPKPRYPSHLRVSFESVV >A02p019370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8949135:8952614:-1 gene:A02p019370.1_BraROA transcript:A02p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSLHLLIPTAIHLRSSRAASPSFPRASSPSSLRITSSSILRADDSQSIESQTLEVLEWRALCNQLSPFASTSMGLSATKTADIPVGNSPEESRSLLDETAAALAAMEAMEPRGLGLSEILDLSEIVERAMAGQLLTVRELCAVRGTLMAASSVFEKLRRAANSDKRVTPLVEILEGCDFKTTLEHKIGFCIDSNTSVILDRASEDLEIIRSERKRNMETLDSLLKKVSTKIYRAGGIDRPTVTKRRSRMCVAIRAIRKRLLPGGVVLSVSSSGATCYMEPKEAVELNNMEVRHAYSEKAEEMAILSILTSEVSAAQRGILHLLDRILQLDVAFARASHAKWMNGVYPKLTKTLDMDDGDGTSLAVDIDSVQHPLLLGSVLGSIPDGGSLFPVPIDIKVESRSKVVVISGPNTGGKTALLKTLGLVSLMSKSGMYLPAKNRPRLPWFDLILADIGDPQSLEQSLSTFSGHISRIRQIINIASENSLVLLDEICSGTDPSEGVALATSILRYIKNRVNVAVVSTHYGDLSRLKDNETQFQNAAMEFSMETLQPTFRVLWGSTGESNALRVAKSIGFDGRILENAHEWRERLKPEQEVERKGSLFQSLVEERNKLNLQASKAAALHGDLMNLYRELEHESRDLEKREKALFKKETQKVQEDLSSAKSKMQKLVAEFESQLETVTADQYNSLILKTEEAVADIIEAYCPKDLLLTEEEGSTSDYSPQAGEKVIVTGLGGKLGTVVEEPGDDETVLVQQGKMRVRVNRKDIAPLPRTKTTETPNRSLRSKRQVSMKELGSVLQMQSEPVRIQTSKNTLDLRGMRVEEAIYQLDMAISGRESGSILFIIHGMGTGVIKELVLQRLSKHSRVSRYEQANPLNHGCTVAYIK >A08p032200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19520859:19521856:-1 gene:A08p032200.1_BraROA transcript:A08p032200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription repressor MYB4 [Source:Projected from Arabidopsis thaliana (AT4G38620) UniProtKB/Swiss-Prot;Acc:Q9SZP1] MGRSPCCEKAHTNKGAWTKEEDERLTAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEDEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLINRGIDPTTHRPVQESSSASQDSKPTQLVEAITSNNTINISFTSTPKMESTSCFQVKPEKISMLTFKEEKDEFLTEEKLPDLNLELRISLPDVVEGKSTRARCFRCSLGMINGMECRCGSIRCDVVGVSSGSTGKGGDSSHGFDFLGLATKETTTTSLLGFRSLEMI >A09g501950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6943515:6944433:1 gene:A09g501950.1_BraROA transcript:A09g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTCSSSRPQHLLFFSFLFFFIPFLSLAQPITVNIDSSIWNFPSNTTCLSPQQSNFSRSLFSNNLNRLVSLIPSRHSNTYNFYNFLVGDQELVEAIGLCNRVLTRVDCLNCISQAAVNLTTTYCPAHREAYVRATKCMFRYSDKPILGKLETSPVLEAAKPNDAAGDKDEFIRLQSELLNRLRQEAAAGGVKRKYAQGSGTGPKPNTTFFAAVQCTPDLSEKDCNNCLNYGFGNATKGRLGLRWFCPSCSFQIETNLRFFLIESEYESDLPRNPRPEQKNKVLYI >A09p010680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5423308:5423996:-1 gene:A09p010680.1_BraROA transcript:A09p010680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVSRKGKTTATADNVLLLPSSPSLPYDLVLMVVARVPRVYYRTLSLVSKSFRSMVASPELYKWYTLSSKSSGGGYVLARVLMPNDDYPDVVGSSYSDLVAVGSDIYNIATHLRGGVSILDCISNTWRKAPSMPVELESLSAEVLDRKIYVLGRSYHHQDGSWKNWLHIYSTQIRKLGISLAVDWTWPVTLLSLTESSTG >A07g500150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:207094:208744:-1 gene:A07g500150.1_BraROA transcript:A07g500150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRIRVSREFRRWDSEDYGFGEKLIRIHPYQDGRDGMIRNRRGVVGRRDLTDPRWIFGYKGKIGSLRCWESTRSWYSSDYSRVYQVRINHRRCGNNGVKLGRENWGKWVYYKGRCRFMLFSITLSIFITKEKLREQLVGSSVSILCVSINSLIILMRSQFIWFVFLHCEVCTQWGEDWIAVGMLLLHLHLGLWFSLTFSPSLSQLSCLVSLNQIQTEKRTQSREKDRNMFVMVVHYGYRVTKRPGYHLVRDGSWISEEVYGYPYMGVMWRLMEPNIGHKDGFDLQQGCDLLNYQAVLNSNKIQTFYFCGVIPIWNFVKLWKQMRTGVVDLECESYLYELHVKLIRRTCMLFYSCKLPCCNYMELWSKRLFNGVIGFMTLYSSELIILFPCKAIHYGWPKGFLYGNSDVSVLESTLETVDE >A05p051320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29895790:29896591:-1 gene:A05p051320.1_BraROA transcript:A05p051320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEEAGAEMTVDSKDLQQQSKALDKLTDRVEDRQLDSNRVQSAMASIGASREADLNAKRLREKELASVKINAADVELIVNELELEKNVVERTLREHKGDAVAATRELLSRYPL >A02p034150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18523351:18524516:-1 gene:A02p034150.1_BraROA transcript:A02p034150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKEGLQMQHVGQRGPLIGMTGIFLQLLKNEGPRSVYLGLTPSLTRSVLYGGLRLGLYEPTKVSFDWAFGSTTVLVKIASGAFAGGFSTALTNPVEVFKVGLQMNPNAVPMAQVREIVSKGGIGALWKGFGPAMILVTQTSLEEGFHLHLCSSVVGGVVSTLITASLDMIKTRLMMMHHDSGSSRIYKNGFHCGYKVVCKEGSMVLYKGLDKKISFLKNI >A09g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19298089:19307955:1 gene:A09g506500.1_BraROA transcript:A09g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISDLFTNTNITPNALLVLTTILTVLWFLFKRSPQPPLPPGPRGLPIVGNLPFLDADLHTYFTTIAQKHGPIFKLKLGSKLTVVVNSPSLAREILKDQDINFSNRDVPLTGRVATYGGLDIVWLPYGAEWRILRKLCVTKLLSRKTLESFYELRRQEVRERTRFLYQQSQEKSAREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFSEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPLLGEPNVSDFFPWLARFDLQGLVKQMRVCAHQLDAIFEGAIKQMQKLGRKDDDECKDFLQHLMKLKDQEVNSETPITVNHVKGVLTDMVTGGTDTSTNTIEFAMAELISNPKLMKRAQQELDEVVGKENIVEESHITELPYILAIMKETLRLHPTIPLLVPHRPAETAVVGGYAIPKDTKVFINVWSIQRDPNVWENPTEFRPERFLDNKSCDFTGTDYSFLPFGSGRRICAGVALAERMVLYTLATLLHSFDWKIPEGCVLDLEEKFGIVLKLKTPLVALPVPRLSDSNLYQ >A09p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24913:27422:1 gene:A09p000050.1_BraROA transcript:A09p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05940) UniProtKB/Swiss-Prot;Acc:Q9C5D6] MGGHQGSSTERFSSATWFSQLCASARRFKSLAPPSLETAVRSTSGESLVRRLGLFDLILLGIGASVGAGVFVVTGTVARDAGPGVTISFLLAGASCVLNALCYAELASRFPAVVGGAYMYSYSAFNEITAFLVFVQLMLDYHIGAASISRSLASYAVALLELFPAFKGCIPLWIGSGQELFGGFLSFNILAPILLALLTLVLCQGVRESSAVNSVMTATKVVIVLVVICAGAFDIDVANWSPFAPNGFKAVLTGATVVFFSYVGFDAVANSAEESNNPQRDLPIGIMGSLLVCISLYIGVCLVLTGMVPFSLLSEDAPLAEAFSSKGMKFVSILISIGAVAGLTTTLLVGLYVQVNIYIYIYICTWIFLVVSLIQSLVLMLNKVSLMIFSTKQSRLYLGLGRDGLLPSFFSRIHPTLHTPLHSQIWCGIVAAVLAGIFNVHSLSHILSVGTLTGYSVVAACVVALRLNDNKERESSNRWTSSWHEGVICLVIIACSGFGAGVFYRFSASVIFLLLSVGVAVGASAVLHYRRAYAQSLGSGFCCPGVPIVPCVCIFFNIFLFAQLHYEAWIRFVVVSVLATAVYALYGQYHADASTLIYQRAPESESDSE >A09g506370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19003748:19005891:-1 gene:A09g506370.1_BraROA transcript:A09g506370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKTLAKEPFHQKAFTYFSSSFIFSSSNYDWFDPLSGYVGNPSPGPAIIIKHLFYSLRVLVSIEFKLSTKTSPNPTDESSSRSFTSLLTVLGSNSWLPPWGMGKYLRGRLNWSPFCHRLTVLADRSLVVARLDLSQSLGADHSRAVAWLYLSRSLNRSHHSAQIILSSLDRRVVTRPFFHHSTAELSLDNESSLDRRVVTRPLCPHSTNESSLDRSIITLPFCRHSAQSVLSQSLDSICVVAWLDLSRSFSRHSTAESSLDRRVVTRPTSRHSTDKSSLDRRVITRPTSRHSTDKSSLDRSIVTRPMSRHSTDESTLDRRVDTRPTSRHSTSLVSTLAVTRHRSFSRSPLARSLAVTHSLSRSRSHNKTISQHVGERIVLSDAYARARPCLSDERTCSGDFRSYRGPNPFDRDDNFQSSYDPIKTRTDGITQLREISRSHSAQIALSQLLGVDRSLVVAQISFSRSLDSHPCGHSTRTLAVTRLALCSHSTRTLAVTRLALLRSLDSLPCSHSTRSLAVTRLGSFSRSHSDCSQVSSIT >A01p054870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30851288:30853471:1 gene:A01p054870.1_BraROA transcript:A01p054870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase 1 [Source:Projected from Arabidopsis thaliana (AT3G09820) UniProtKB/Swiss-Prot;Acc:Q9SF85] MASSDFDGILLGMGNPLLDVSAVVDQEFLDKYDIKLNNAILAEDKHLPMYDEMSEKFTVEYIAGGATQNSIKVAQWMLQIPGATSYMGSIGKDKYGEAMKKDATAAGVNVHYHEDESAGTGTCGVCVVGGERSLIANLSAANCYKVEHLKKPENWALVEKAKFYYIAGFFLTVSPESIQLVREHAAANNKVFTMNLSAPFICEFFKDVQVKCLPYMDYVFGNETEARTFSRVHGWETDDVEQIAIKISQLPKATGTYKRTTVITQGADPVVVAEDGKVKKYPVIPLPKEKLVDTNGAGDAFVGGFLSQLVHGKAIEECVRAGCYASNVVIQRSGCTYPEKPDFN >A06p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6000232:6003333:1 gene:A06p013210.1_BraROA transcript:A06p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQHVEDILNRGACGKYSKSSSSKWLATSLSRSGSGVKRSNGECPSLLELCVRKIQEDIDKYTTFSDLPRDISQQIFDELVCSQRLSLKSLEAFRDCAIQDLNLGEYPGVNDDWMDVISSQSTSLLSVDFSGSDITDSGLVSLKGCKSLESLNFNFCDQISNRGLDHLSGLSNLTSLSFRRNAAITAQGMRAFSNLVNMKKLDLEKCPGIHGGLVHLRDLTKLESLNIKWCNCITDADMEPISKLTNLRSLQICCSRITDFGISYLKGLNKLNLLNLEGCRHVTPACLDTLTALTELMFLNLNRCNFSDSGCEKFSDLINLKILNLGMNNITNSCLVHLRGLTKLESLNLDSCRIGDEGLVHLSGMLGLKSLELSDTEVGSHGLRHLSGLSNLESINLSFTVVTDSGLRKLSGLTSLRTLNLDARHVTDAGLSALTSLTGLTHLDLFGARITDSGTNHLRNLKKLQSLEICGGGLTDAGVKNIKDLSSLTLLNLSQNSNLTDKTLELISGLTALVSLNVSNSRVSNSGLRHLKPLKNLRSLTLESCKVSANDIRKLQATDLPNLVTFRPE >A03g505680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19963052:19963348:1 gene:A03g505680.1_BraROA transcript:A03g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQYVTNIIIHRIHKHDCKPGGHAKVRSSLRQYGWRTILDGDGVSIFGGNHVWVDHCSLSNCEDWLIDAIMGPAAITLSNNYMTYDDKVMVLGHSDT >A04p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4200505:4200775:1 gene:A04p013080.1_BraROA transcript:A04p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRKNNGRISFGTTYNSSKISLNMVELKVFSRNKRENLRKHNATADQPKSMLHLEIRS >A09p006270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3312734:3314622:-1 gene:A09p006270.1_BraROA transcript:A09p006270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHKRSLGFISLAFLFITCSSAEFLIQQVTEGKGTENNSSYNVEANLGETRAFREERPSSKIVTIAGYSVIKGRFEPYESSVFEAAGYRWRLVLYVIGNKNDGGAGHISLYVRMEETDSLPYGWEVNVDLKLFVHNPKTHKYLTVTDGTVKRYNAAKKEWGFGKLISLSIFENTNNGYIVQDTCSFGAEIHIVKPAEIQEKVTFISNPPNNVFTWKILRFSSLEDKFYYSADFLVGDRYWLVLDLKALQLRLGFNPKGDGDGRPHALPLFLFAQGFKENAVATNTWGAVNLRLKNQRNSNHRQLYCKKTITKRRKNTAAWYPIRSDYGVGVNNIILLSDLKDPSKGYLVNDAIIFEAEMVKVSVTNIVPV >A01p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16567805:16568234:1 gene:A01p036240.1_BraROA transcript:A01p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDNKEFQSQVIFSPKTLSSLKVKLVTKKSLLNPKCPVSDVSTSIDALMRIDRLFFLSSTSTWSARVKCPLSSKMLQSHSFTPKCT >A07p046090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24940338:24941721:-1 gene:A07p046090.1_BraROA transcript:A07p046090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNNNKNNIVVVFDFDKTIIDVDSDNWVIDELGFTDLFNQLLPTMPWNRVMDCMMKELHDQGKTIEEIKQALRTIPIHPRIVPAIKAAHALGCELRIVSDANMFFIETMVEHLGISELFSEINSNPGFVDEHDTLRISPYHDFTNSSHGCTLGTCPPNMCKGLVIERIQDSLAKEGKKMIYLGDGAGDYCPSLKLKAEDYVMPRKNFPVWDLISQNPLLVKATIREWTDGESLEKILMGTIEEIILEEEKEKKMLSTSAENTCKIHTISVGINVHHEPIMPRALRVSQSG >A09p079460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58488360:58489538:-1 gene:A09p079460.1_BraROA transcript:A09p079460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSCCYKQKLRKGLWSPDEDEKLLNHITHHGHGCWSSVPKHAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEENLIVELHAVLGNRWSQIAAKLPGRTDNEIKNLWNSNIKKKLKQRGIDPNTHKPISEVDRDKPTTSSNDHMSLSSSSATNQDFFHVRPSDFSDYFNANLGLSVESSLCSMFSVQFSTGNMDGSVFQTHSCVKPSISLPPDNSSSTDHAAPNWEFQTNSTLSFTDNGGFTWSVPNLSPSLVKPNHNFEELKWSEYLNAPISLPVFVKSEADYLANVSSLADPWSQSQNESLNTPEASDVFCKDLQRTAVSFGQSL >A09p076580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57237222:57238189:-1 gene:A09p076580.1_BraROA transcript:A09p076580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTFYKRARDEIAFCHHVQAEPDSETISKKEATEPQNETKDWQDLRKNRLTASNFSRAIGFWPEGRRELWLEKVGVAKQFSGNEATFWDADNETEALERYKLLTGKEVIARPGFVVYNKNGEETNWLGASPDGLIKGASSTSVVEVKCPFYKGGGEGEKPRPRGAYPWKKVPYHCIPQLQGLMEIVDTEWLDLYCWTRNGSSLFRVWRDSAFWEEMRPALVEFWEKHVVPAREIYNDVDIKDPHVKLREFSPKRRHGDCKKIMRRAEVLSESCNRLFYEIDGNLVD >A07p050410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26867488:26869826:-1 gene:A07p050410.1_BraROA transcript:A07p050410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMNRLKNLDAYPKINDDFYSRTLSGGLITLAFSLVMLILFFSELRLYLHPVTESQLRVDTSRGEKLRINFDVTFPALACSIISLDSMDISGEQHLDVRHDISKRRLDAYGNVIETRQDGIGHTKIENPLQKHGGRLEHNETYCGSCYGAEASDDECCNSCEEVREAYRKKGWAMTDPDIIDQCKREGFVQRVKEEEGEGCNIFGFLEVNKVAGSFHFVPGKTFRQSGFHLQDLLVFQGDSYNISHKVNSLTFGDRFPGVVNPLDGVQWTQETLNGMYQYFIKVVPTEYTDVRGHVIQSNQFSVTEHFEKTEAGRTQSLPGVFFFYDLSPIKVIFTEQHVEFLHFLTNVCAIVGGIFTVSGIIDSFVYHGQRAIKKKMEIGKFG >A09g510490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31807784:31809053:-1 gene:A09g510490.1_BraROA transcript:A09g510490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEMKLHLEKLCCGESYLYIAEIWTLTSSEILNARYGEFGRDWYIWAKFVSENLQISLKKKFLSFSISFSSNFPKEEYKMSSKKKISRKGSSSASAHEELLVLKIEFMPHSVDPAENEAWWVAHYGLITPPKEESFLVLTHRAVEEGAPSRSTDEFLEIIRSFYHILDTVEFRVPRRGERANSPPEGYFTCYEAFVVRYLLWFPIPEIIVRVLDRFEVAISQLNPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKNTDKYRLVPRSFMLVVKRFLSNFNSWKKFFFFVRIDAASVEESCIPLLWRLPNDRPFINPLAPFPEDIIEVRDLLRKGPFFWTSFTPKRVRKALRFVRPGPVETGNHSEPDDQSPA >A09p008840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4548530:4548820:1 gene:A09p008840.1_BraROA transcript:A09p008840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGEAFNHLCTFKCCILCHDIEFKTPCFKLCMADCQQKATNIPHSTKNSQMKTKEVEEMRGKR >A09p063250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51418758:51420862:-1 gene:A09p063250.1_BraROA transcript:A09p063250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKENYDLTPRVAPNLDRHLVFPILEFLQERQLYPDEQILKFKIELLNKTNMVDYAMDIHKSLYHTEDAPQDMVERRAEVVARLKSLEEAAAPLVTFLLNPAAVQELRADKQYNLQMLKERYQSFASPLNQVQNRIWLMHWGLYIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRKRPQLKEFIKVIQQEHYSYKDPIVEFLACVFVNYDFDGAQKKMKEVLAEKLNLNYEEAERWIVNLIRTSKLDAKIDSESGTVIMEPTQPNVHEQLINHTKALSGRTYKLVTQLLEHTQGQAAR >A08p026310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16781986:16784016:1 gene:A08p026310.1_BraROA transcript:A08p026310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKDSGEADVYAAAETEKGEKVEPEKELCHGDDDGLSQLRGEESPVRETLAKDHDEDVRENSSVEPNREDVKERDSGSGKESVVSAIGPVDEVAVENRVVEPSACMTVLINPSMVEASLSSNPSAAHGVSLVSVPSKQEQRSDSRVVSNLSVSPVLRTPAHDGYHWRKYGQKQVKSPKGSRSYYRCTYSDCCAKKIECSNDSGNVIEIVNKGSHSHEPLRKNSFSPRETRAASVIPPMEDNTVVPTGSALSISTKENVCQSLAIVEGKRNCENEAVEEPEPKRRQVLLKKSNSQSSDSVSKPGKKYKVVVHAAGDVGISGDGYRWRKYGQKMVKGNPNPRNYYRCTSAGCPVRKHIETSGENKTAVVITYKGVHNHDMPVPKKRHGPPSSALVAAAAPTSMRTRLEDQVNIPTSGQCSVGGESEKQNSEAVDVGGGEKVMESARTLLSIGFEIKQC >A03p036950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15489857:15491537:-1 gene:A03p036950.1_BraROA transcript:A03p036950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRFSNLSALLILLLLSLSLCVTSKDQTVSCTMCSSCDNPCNPVPTSSPPPPPTPPSSSGGSGGGSYYYSPPPPPSSSGGGKYPPPYGGYGDGGQSYYYPPASYGNFPTPPPPNPIVPYFPFYYHIPPPEMAKSKNHTAHNQSAKAHKNGIKKPRRHRHTPTRGMDPKFLRNQRYARKHNVKSGENASVEG >A02g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14478937:14479478:-1 gene:A02g504390.1_BraROA transcript:A02g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVPSRSQTDETMIDVAKSKDSQEQPEPVKANTCDCSPVSILKPLTPPETPNKESPTIGSSFVREKLHPGAAMTTEERAGVTIQKGFVNGA >A05p022160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10556454:10562412:-1 gene:A05p022160.1_BraROA transcript:A05p022160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLEIKKKFAQRSERVKCVDLHPTEPWILASLYSGTLCIWNYQTQVMAKSFEVTDLPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDNTGKIIWAKHNEIQTANIKSIGAGYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKTFSKNFQEKKSIRPTFSAEKIFGGTLLAICSSDFICFYDWAECRLIQRIDVTVKNLYWADSGDLLAIASDTSFYILKYNRDLVSAHFDSGRSTEEEGVEDAFEVLHENDERVRTGLWVGDCFIYNNSSSKLNYCVGGEVTTMYHLDRPMYLLGYLASQSRVFLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDKASEILPTIPKDQHNSVAHFLESRGMIEDALDIATDPDYRFELAIQLGRLEVAQEIAVEVQSESKWKQLGELAMSSGKLKLAEECMKYAMDLSGLLLLYSSLGDAEGVSKLATLAKEQGKNNVAFLCLFMLGKLEDCLELLVDSNRIPEAALMARSYLPSKVSEIVALWRKDLSKINSKAAESLADPEEYSNLFDDWQVALSVEAKAAETRGVYSAAENYPSYADRSSVTLVEAFRNLQVEEEESFENGDMDHEEVVAEENGDEEEKNDEEKHEEGVVVDGDSTDGAVLVNGSEADEEWDTNNEGNPSA >A05p015950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7083387:7083614:-1 gene:A05p015950.1_BraROA transcript:A05p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPQHLDSTWSSPLTTTSASATTSASATTSVTTSGQTSYIFSAIACPTLEQHFFVLYSLNLSPHEYYHTSPNIS >A06g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18031928:18035879:1 gene:A06g506440.1_BraROA transcript:A06g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTHGSKLVRLLRKTCDCSVRLSVPTLNLECYPFPAHIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAISFNIPQEYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSGSASGDFKEKELKLHFAYMSPYQVLEYHMEFLETFGCIWSSKEVFKRPNRSDVVKSLRATSLERLLEVARSFVSRRHEIALGATSRSDPARSLPKPGATCRSKEPRSLRVLFLLELMISQGPFGHFIVHKNHQKPLESHLFESIDQFIIEILCSYLFSVFLYMINLKSNMGLRGIMDISDSKLDHPRSNPYIHEFSFPIVKKISFSYFFYALISQNWFDNHLYYNICLRSLENS >A09p078350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57989404:57996003:-1 gene:A09p078350.1_BraROA transcript:A09p078350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASVIKGVEGLKLNGSDAAIRKSSSIRRIAVEGYDISSRKDVVEEGLRKHLASRGIKLIHAFAHELDFNRTILCRCGLIYVNEEDEEKALTFDGSDMGGSILRVTAYPFDAAYHHLHRHYHGLLGFRLYLRYKLNVRGFDTCLAEDKVEEMARSVFPGSYCSVLGEVVFVHLRGKDAIEKALKLSGRSAGGFNLVVNAVLPLRKDGGGGVSLARRLAMAEKDEAARLAEAARLAKGKRTKAARLAKAETAKAARLAMAEKDKAILSEGNQKSIMTTD >A10p004010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1958218:1958448:-1 gene:A10p004010.1_BraROA transcript:A10p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEAKKVTSSYQKEADKCNSGMETCEEVREKAELALAEQKKLTSKWEERARQKGWRDGATKSNIKTNSNAQAAA >A09p003330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1975921:1977070:1 gene:A09p003330.1_BraROA transcript:A09p003330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFWQLGDELRGQSRASEDHKWSTVATKLAEQTRMKGERMNNLDLSKSYSEFRPSDKFSFQENNNLNFNMNLMQGNVYNNMNDFKSGGNMKVNKYSGNVVANKEMSNDSVVDKRFKTLPASETLPRNEVLGGYIFVCNNDTMQDDLKRHLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEATTFGGTNIDATAWEDKKCKGESRFPAQVRIRVRKICKALEEDSFRPVLHHYDGPKFRLELTVPETLDLLDLCEQAGSP >A09g516580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48875015:48876714:1 gene:A09g516580.1_BraROA transcript:A09g516580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKRRRIVVHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDVRQHTRDVRGCPCVRQCTQDVRQHTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTERPSAHAGRPSATQDVRGCPCVSVCPSAHAGRPSVHTGRPSAHKGRPCPSVSTQRTSVAVRQHTQDVRQYTEDIPGHPSAHTGRPSAHAGRPCVSVCLSVHTGRPSAHTGRPSVHTGRPSAHTGRPWSSVSTHISMLALPVDCSGDFGPRGLSVQYTQDVRQHTQDVRACPLAHTDCPWTDQCTELISAC >A02p030630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15637009:15638805:1 gene:A02p030630.1_BraROA transcript:A02p030630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWRERENNKRRERRRRAIAAKIFTGLRMYGNYELPKHCDNNEVLKALCNEAGWIVEPDGTTYRKGSSRPVERMEIGGSPCSSNFMSPASSSFANLTPGDGQSLIPWLKHLSTTSSSSASSSSRLPNYLYIPGGSISAPVTPPLSSPTSRGMNQQINNSFFVSSTPPSPTRQQIIPDSEWFSGIQLAQSVPASPTFSLVSQNPFGFKEGGGGGGSRMWTPGQSGTCSPAIPQTADVPMSEAVVAPPEFAFGSNANGLVKAWEGERIHEVSGSDDLELTLGNSSTR >A10p016910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2715392:2716825:1 gene:A10p016910.1_BraROA transcript:A10p016910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSAKYGSLMSLKFGDVSTVVASSPETVKDVLKTFDADCCSRPYLTYPARVSYNLNDLAFSPYTKYWRQVRKMTVIELYTAKRVKSFRHIREEEVASFVDFIKQSASLANPVNLNKKLMKLSGSVICRVGFGMNLKGSKLENTYEEVIQGTMEVLGSFAAADYFPVIGKLIDRITGLHSKCEKVFKAMDAFFDQSIKHHLEDESLKDDIIALLLKMERGETGLGEFQLTRNHTKGILLNVLIAGIDTSGHTITWVMTHLIANPRVLKKVQAEVREVIKNKNDITEEDIEKMEYLKMVIKETFRINPLVPILVPREASKDVKMGGYDIPKKTWIHVNIWAVHRNPNVWKDPESFIPERFMNNEIDYKGLDFELLPFGSGRRMCPGMGMGMALVHLTLISLLYRFDWKLPEGMEAKDVDLEESYGLVCPKKVPLRLIPVPTTWT >A02g512100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32819019:32823620:1 gene:A02g512100.1_BraROA transcript:A02g512100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEEERSIEEGLLELSNQSDASGCRITACVILSTFVAVCGSFSFGVAFSAFASLSTLGATFGALFSGKMAILLGRRGTMWVSDILCVIGWLCIAFAKLLQNSGVAMVFFWGNFISWRKMALLGALPCVIQGIGLFFVPESPRWLVVLKTKLFQLKAKVGADEELEYSLLRL >A04g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22161206:22164102:1 gene:A04g508430.1_BraROA transcript:A04g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIDKIKSWTDEGKTTFSFEFFPPRTEDGVDNLFERMDRLVAYGPAFCDITWGAGGSTADLTLDIVSRMQNVVCVESMMHLTCTNMQVEKIDHALETIRSNGIQNVLALRGDPPHGENKFVQVEGGFGCALDLVNHIRSKYGDYFGIAVAGYPEAHPDVIGENGLASKEAYQSDLEYLKKKVDAGADLIVTQLFFDTDMLLKFVNDCRQIGIKCPIVPGIMPINNYKGFLRMIGFCKTKIPAEVMAALEPIQDNEEAVKAYGIHLGTEMCKKILAHGIKSLHLYTLNMEKSALSILMVGPHCTTEIYVKSILTIIMLMFQNLGMIEESKISRSLPWRRPANVFRIKEDVRPIFWANRPKSYISRTKAWENFPQGRWGDSRSASYGALTDHQFSRPRARDKKLQQEWVVPLKSVEDIHEKFKELCLGNLKSSPWSELDGLQPETKIINEQLVKVNSKGFLTINSQPSVNAERSESSTVGWGGPGGYVYQKAYLEFFCSKDKLDALVEICKALPSITYIAMNKGETWVSNTSRSDVNAVTWGVFPAKEIIQPTIVDPSSFNVWKDEAFETWSRNWANLYPEEADPSRNLLEDVKNSYYLVSLVENDYINGNIFNVFSDL >A08p013850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9303079:9311886:-1 gene:A08p013850.1_BraROA transcript:A08p013850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNGSHNETSSDDEEEYEDSNRGFNLGFIFGNVDNSGDLDADYLDEDAKEHLSALADKLGSSLPDINLLAKSDRTSDPAEQDYDRKAEDAVDYEDIDEQYDGPEVQVVSEEDHLLPKKEYFSTPVALGSLSSRASVFDDDDYDEEEEEEQEEEHAPVEKALETEESEPVVAKEDKNLEYEKEARILESEDHMDTNGAQEEEVDELLEGALDEKGATPLPTLYVEDGMVILQFSEIFAIHEPPQKRDKRENRYASYNRDKYKSMDISELVEDDEEILLKSHGGIDADANQADLIQLDVPFPISEGLQLVKSGTIGGITPESREFSKLGRDSCIMGELLKQDVIEDDSSLCQSQLSMEVFPLDQHEWEHQILWENSPETSDNSGDSFESRLESLGMLVQGTNSETEQESLNVMNSREQAQAEKNMLVSFSANLLESFGSRGSHSGSESTNNRRHHPQLLRLESKSDEDHLSENDITGLENMKRPESKSRFSKLALQERDMGDEAWLDRIIWESDKELSRSQLIFDLQDEQMVFEILDNEETKNLQLHAGAMIVSRSSKSNDEILQEGCESNSGWQFNISNDKFYMNGKSSQQLQANTNKSGVHSLRVFHSAPAIKLQTMKNRLSNKDIANFHRPKAVWYPHDNELAIKQQGKLPSRGGSMKIVIKSLGGKGSKLHVGIEESVSSLKAKASKKLDFKETEAVKMFYMGKELEDENSLAVQNVQPNSLVHLVRTKVHLWPLAQKLPGENKALRPPGAFKRKADLSTKDGHVFLLEYCEERPLMLSNAGMGANLCTYYQKSSSEDQRGNLLRNQSDTLGNVMILEPGDKSPFLGEIQPGCCQSSVETNMYKAPVFPHRLQSTDYLLVRSPKGKLSLRRIDKIAVVGQQEPRMEVMSPGSKNLQTYLVNRMLVYVYREFMKSHSIAADELAFLFSNLTDAVVRRNMKLCAGLKRDKNGQPCWYKRPDFQVPPENELKKLVAPEHVCSYESMLAGLYRLKHLGITRFTLPASISAALTQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRANIERLEITGVGDPSGRGLGFSFVKAAPKAPAAAGHMKKKAAAGRGAPTVTGTDADLRRLSMEAAREVLIKFNVPDEIIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTIGKYARGQRMSFLQMQQQAREKCQEIWDRQLSSLSAYDGDENESENEANSDLDSFAGDLENLLDAEEGGEGEESNISKNDKLDGVKGLKMRRRPSQVETDEEIEDEATEYAELCRLLMQDEDQKKKKKKIKAVGEGMGSFPPPRPSIGFQIAEPVRKATFIDKNPIATQPDASFLVNESTVKDTRNWQVDSPILKTPKGKQVKDNGNSLGPLKKVKILNENLKVFKEKKSARENFVCGACGQYGHMRTNKHCPRYRENTDPQPEGLDMEKSVGKPSTSELSGQAKIKPIKSSKAAPKSAIKVTVDATPKGDSSTSKTGGLPLKFRYGIPAGAMSDTPGSEAPGSSEQAAVSDIDTGTKTTSKISKLKISSKAKPKESKVESDRHLPTYSRERGESESHKPCVSGNQAASSRHTISILQPSLSMDRDQAESRRPHLVIRPPTEREQPQKKLVIKRSKEITDHDMSSLEESPRFESRKTKRMAELTGFQRQQSFRVAENSLERRPKEDRVWWDEEEISRRRDYDYMNVTEEPNEIAEIRRYEEVLRSEREEEERQKAKNKKKKKKMQPELVEGYLEDYPPRRNNNDRRGRNVRSRYVSDFEMNGADYAPQPKRRKKGEVGLANILETIVDTLRLKEEVSGLFLKPVSKKDAPDYFDIVKRPMDLSTIRDKVRKIEYRNREQFRHDVWQIQLNAHLYNNNGRNPGIPPLADQLLEICDYLLEDYGDQLAEAEKGIDR >A02p008710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3590282:3593463:1 gene:A02p008710.1_BraROA transcript:A02p008710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIVLASNRSLLLIFLVFVSLLSIKVTHVSAVNYTKTHRQVSTLRVERIRNHLNNINKPPVFTIQSPDGDVIDCVPKRKQPALDHPLLKHHKIQKAPRTMPKMVRKERSDDVKEAASVLEGAWQMWHVNGTRCPKGTVPIRRNTMDDVLRAKSLFDFGKKRRSIHLDQRTEKPDALGTSGHEHAIAYTETSSEIYGAKATINVWDPKIEQVNEFSLSQIWILSGSFVGPDLNSIEAGWQVSPELYGDNRPRLFTYWTSDSYQATGCYNLLCSGFIQTNNKIAIGAAISPLSTFNGNQFDITILIWKDPKLGNWWMGLGDKTLVGYWPAELFTHLADHATTVEWGGEVVNTRASGRHTTTQMGSGHFPDEGFGKASYFRNLEIVDSDNSLVPVQDVKILAENTECYDIKSSSSNEWGTYFYYGGPGFNPRCA >A08p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17548367:17549947:-1 gene:A08p027880.1_BraROA transcript:A08p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADTGLGFRFMQGCSPEIHQFEDLFKPYKLSDQTISLVEACEYGFGEESDLFKAPEPIIEEPMLAVDPLSQEIVELSDLGSLQSDQQLIEKAFYECEQELLVKSAMESPLLSEVLDRKNVSQVAEMDSSSVVVSDVPVPKSVSSGSLTSMDMAQHEEAVSQRFFPPVDNGMRRAFSESDIQTLGSKNAGQVQSQLDRIIVSCTSEDRREKLSRYRDKKSRRNFGRKIKYACRKTLADSQPRIRGRFAKTEEMQK >A02p031450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16256209:16258610:-1 gene:A02p031450.1_BraROA transcript:A02p031450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVVGQSLGLTLVGDGVSFRNSRRHVAKSKFFFPNRKRLARAALVQARPREDGVTPSPPSSSRPSPAPVVQYKRADLADDLQAEARALGRAVDASVYSPELIARKHGSQPLKALRRSVEILTALGGFALKLGIDQRQGKLELNMKKRAGELRRIFTRLGPTFVKLGQGLSTRPDLCPPAYLEELAELQDALPTFPDAEAFACIERELDLSLESIFSSISPDPIAAASLGQVYKAHLRYSGQVVAVKVQRPGIEEAIGLDFYLIRGVGKLINKYVDFITTDVLALIDEFACRVYQELNYVQEAQNARRFKKLYADKADVLVPDIFWDYTSRKVLTMEWVEGTKLNEQVAIESQGLKVLDLVNTGIQCSLRQLLEYGFFHADPHPGNLLATPDGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMAQDYYALKFLSPDVDVTPIIPALRDFFDDALNYTVSELNFKTLVDGLGAVFYQYPFDVPAYYALILRSLTVLEGLALYADPDFKVLAASYPYFAKRLLTDQNPYLRDALIELLFKDGKFRWGRLENLLQQGSKDRDFSSKDALQPVLKLLLDPNGEELRLLVIKEAVRVSEAFALGSVVDTYNSMPEFMRSLVFNGNGNGGPLTMNPTELESTLELRDQVSRIWSLLQSSESFDPAILQPIAQVLQQPEARRLGGRVAGGVGQRLAARFLQQLLRATTPSPSPVP >A06g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14488558:14491123:-1 gene:A06g504790.1_BraROA transcript:A06g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGIILGPSVLRSSELQLLRNMKHESALSPLFLSRFSLHKVSELGLSSSPAAAVSFLVVAGAFVCLSPLISFRSSCYFRRLSLCLRLWVERSRVLLGSDPAVPVTDLARAWWFRRGLRTVSASAVSQFSSVEGSLSEGFSLSLAVFLRDVVARESLSNPLWFFYGVAFGCAVGLIGADWSMWVCQSLASLFLFGLPVVSGRSAWSVSFGGSSSHLGFSSSGGVYWNGLLRLCRLVGSHLLDGWLRDGVWVRRLRTGFSWVFGLLGSLMVLSPEAVVPNQSRYSIRVLGVVVGVGAEAVGAVCFK >A05g500390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1600329:1600523:1 gene:A05g500390.1_BraROA transcript:A05g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTVADGSCDEHVAKDVGLGKAIEEMIPSGEGDKLFWAHWMDVLGYSLNAFRFSNLSFVDGR >A09g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18306213:18310361:1 gene:A09g505880.1_BraROA transcript:A09g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLMAERANLVFHNKVIDGTAIKRLISRLIDHFGMAYTSHILDQVKTLGFQQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAVEKLRQSIEIWYATSEYLRQEMNPNFRMTDPFNPVHMMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTIRGISVSPRNKSRMMSERIFIQTLIGRVLADDIYIGSRCVAFRNQDLGIGLVNRFITFGTQSISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPYNGKIKFNEDLVHPTRTRHGHPAFLCYIDLSVIIESEDIIHSVTIPPKSFLLVQNDQYVESEQVIAEIREGTSTFHFKERVRKYIYSDSEGEMHWSTDVSHAPEFTYSNVHLLPKTSHLWILSGGSCGSSLILFSIHKDQDQMNIPFLSVERKSISSLSVNNDQVSKKFFSSDFSDKKKSGIPNYSELNGIVGTSHYNFIYSAIFHENSDLLAKRRRNRFLIPFQSIQEQAKEFIPHSGISIEIPINGIFRRNSIFAFFDDPRYRRKSSGILKYGTLKADSIVQKEDMIEYRGVQKFKTKYEMKVDRFFFIPEEVHILPESSAIMVQNYSIIGVDTRITLNIRSQVGGLIRVERKKKRIELKIFSGDIHFPDKTDKISRHSGILIPPGRGKTNSKESKNLKNWIYAQRITPTKKKFFVLVRPVATYEIMDSINLATLFPQDLFREKDNIQLRVFNYILYGNGKPTRGISDTSIQLVRTCLVLNWDQDNKNSSLEEVRAFVVEVSTKGLIRDFIRIGLVKSHISDSVVIRSAKPYLATPGAKVHGHYREILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISLNLEKRIKGWNRCITRILGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEEGMSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGVIPAGTGFNKGLVHCSRQHTNILFEKKTKNLSLLEGDMRDILFYHREFCDSSIYKSAFSRIE >A05g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25471973:25473709:1 gene:A05g508680.1_BraROA transcript:A05g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELKQTLTKRYGAVELWEIIVIALFAAFILILAVSVWLSFRKKSKRSHFIQLLPITETPRHQDEIKDISVDHVSSHNNNGTPLDEKFSERDIENGDNNYEKHVPTSETTHSPHLSGLQEGSHIGWGHWFTLRDLQVATNHFSKENIIGDGGYGVVYHGTLTNKTPVAVKKLLNNPIKVLVGTAKALAYLHEAIEPKVVHRDIKSSNILMNDSFDAKLSDFGLAKLLGADKSYVSTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYERPKEEVHMVEWLKLMVQQKQFEQVVDKELEIKPTTSELKRALLTALRCVDPDADKRPKMSQVARMLESDEYPVMPREERRRRKQPRESTDTNKDDIIADAKI >A08g502350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5127076:5128269:1 gene:A08g502350.1_BraROA transcript:A08g502350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVSSKIKRLLASTASGNSSPKDGDDVDVREEYANAFRTESYNQFWTRVISLNRKKPTLSSSSSSPIKSSSTSARLMSYRLFAHNLLEPDPNTVNRILDLSRVGRPARSLLADYFLETANAFLLCTLLLKNIHRLRSKYESLKPKFQSETHSSLAFLDQFTELSRWFDPFISSGSRIQLTRTGCLSLLKRLESSRDKTRTKLKLINGLTHSSGLLVLALTTTLIVIIASHAFALFIAGPTLLTGRFKPVGLRNKLTKTAARLDVAAKVEHVRAMAEFWAGRGSGRVRGGEEVARELKRCEESFSEELDELEEHIYLCFMTINRARNLVVREIMHPDDPPDCSFAPKSK >A03p004770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2009135:2011096:-1 gene:A03p004770.1_BraROA transcript:A03p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIFLFSFVSFLPFVCSVHFNIPRFGSDIPEVVYQGDARANGAVELTNIDYTCRSGWATYGKKIPLWDPEIGKPSDFTTSFSFRIDTRGVAFGNYGHGFAFFLAPAGIQMPPNSAGGFLGLFNETNVLSSSYPLVHVEFDTFTNTNWDPLDMTSHVGINNNSLVSSNVTSWNASTHSRDIGRVVIVYDSARRNLSVSWRYDTTSDPKENSSLSYIIDLSKVLPSEVTVGFSATSGGSTEGNRLLSWEYSSSLELIRDDDVEKTEKDRKGLIIGVSASGFVLLTFLTVSLVVFLKRKKRAEEIANLTSINEDLERGAGPRKFTYRELASAANNFSEDRKLGEGGFGAVYKGYLNGLDMMVAVKKFAGGSKQGKREFITEVKIISSLRHRNLVQLIGWCHEKDEFLMVYEFMPNGSLDAHLFGKKPHLAWPVRCKVTLGIASALLYLHEEWEQCVVHRDIKASNVMLDSNFNAKLGDFGLARLMDHELGPQTTGLAGTFGYMAPEYISTGRASKESDVYSFGVVTLEIVTGRKSVDPRQGRVEPETSLVERVWDLYGRGEVVTAVDDKLGVDSFDEKQAECLMVVGLWCAHPDRNSRPSIKQAIQVLSFEAPLPHLPSKMPVASYHVSSSSTGTSVSSGGAATATFSSAQLGR >A03p038120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15917168:15918768:-1 gene:A03p038120.1_BraROA transcript:A03p038120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDGPNWDGLLKWSLSHADGTQPTRQLSEEERKWFMEAMQSQTVDVVKRMKKITLVMQTPQHVLVDHGVTSQDIEDLLDELQEHVESIDMANDLHAIGGLVPLLGFLKNSHANIRAKAADVVRTLVQNNHRSQELVMEANGLESLLSNFTSDADVHVQTQALGAISSLIRHNKAGVSAFKLADGYTGLRDALASDSVRFQRKALNLLQYLLQEDVSDQTIVTELGFSRVLIRLGSRDDAETREAALGVLLELASRKNDGSGCSSVELRQLLEERIEGISLMSQEDLETVKEERQLVDALWRVCYDEPSSLGEKGLLVLPGEDALPPDVASKLLEPLLRASAANGNATEKKEEPVKLL >A05g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10645819:10647669:-1 gene:A05g503710.1_BraROA transcript:A05g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPDDEEETPKDNGEGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDILKRKFESENEGDPKHRDLRTMLDARKSRRISTSNGNNNERPISNLRDKLNAGACDLRVKLNRS >A05g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27373194:27373988:-1 gene:A05g509120.1_BraROA transcript:A05g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTDLYPDAPFDPKSERNIFITLTESEIGLSNTITMSKELLEANIFIYLPRKDVTGLKQNNEPILLDVFDYDTKITTTHIIRKDGDNDFKFHGWNMVLQGKHFRKGDTIDHLAATEIRGFAARPVVESALTAEALAVSEVD >A07p006770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1479901:1480571:1 gene:A07p006770.1_BraROA transcript:A07p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPRSSYVCETSLVMVLTIFLLFILGQASAMGVLNNMICFNGVPYACPDKCDVKCKENGFNGGICVTGSLKVAQCCCDKRLTPSILSYPVKPPPSILSYPVKPPPSILSYPVKPPPSILSYPVKPPPSILSYPVKPPPSIYPVNLPHRCLILLSLPHRYCLIPSPSILSYPVKPPIDIVFPSPIDIVLSR >A06p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1027199:1027759:1 gene:A06p004090.1_BraROA transcript:A06p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGQSILMALTVTVNKYASSNVQAVRRNETKRTSLTASTTDLRRRNIIFSSSSSFLAAALTTSDQLLQRIKQRLDSYYKRNYKDYFEFVEGSTKGKTEAELSESEKRILEWLKANK >A05p051570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31464850:31466946:-1 gene:A05p051570.1_BraROA transcript:A05p051570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein 9 [Source:Projected from Arabidopsis thaliana (AT3G06380) UniProtKB/Swiss-Prot;Acc:Q9SQU1] MTIRSLIQEMRSRPHRVVHEAASPTPGSEPFRWSELPDELLREILIRVESADDGDWPSRRSVVACAGVCRVWRMIVKEIVAVPELSSKLTFPISLKQPGPRGSLVQCFIKRNRNTQSYHLYLGLTNALTDNGKFLLAACKLKRATCTDYIISLRSDDMSRRSNAYLGRVRSNFIGTKFTVFEGNLMPQTRSSKMVKSRSSNLMKVSPRAPSGSYPVAHISYELNVLGSRGPRRMRCVMDTLPTSLMHPQRAAASSNSLRDPPLVLSNKTPRWHEQLRCWCLNFHGRVTVASVKNFQLVAVGDRETEQATSERIILQFGKVGKDMFTMDYGYPISAFQAFAICLSSFETRIACE >A05p049240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28705922:28708752:1 gene:A05p049240.1_BraROA transcript:A05p049240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVRLPTAPSSLRSQTLGHLLPHRLRHIPLPPCTSKPLIAITRSRNHVSPIAVISGNETSISPPDSPPPRLKVNPSSLQYPAGYLGAVPDRASDPENGSITEAMEYLTSILSTKVYDVAIETPLHLAKKLSERLGVSMFLKREDLQPVFSFKIRGAYNMMAKLPSEQLAKGVICSSAGNHAQGVAMSAAKLGCTAVIVMPRTTPEIKWQSVEDLGATVVLVGDTYDEAQAFAKQRAEEEGLTFIPPFDHPDVIAGQGTVGMEITRQAKGPLHAIFVPIGGGGLIAGIAAYVKRVSPEVKIIGVEPADANSMALSLHHGERVILNQIGGFADGVAVKEVGEETFRICRKLVDGVVLVTRDAMCASIKDMFEEKRNILEPAGALAIAGAEAYCKYYGLKDVNVVAITSGANMNFDKLRIVTELANVGRQQEAVLATILPEKPGSFKQFCELVGPMNITEFKYRCGSRKEAVVLYSVGVHTPGELKALEKRMESSQLKTTNLTTSDLVKDHLRYLMGGRSSVENEVLCRFIFPERPGALMKFLDSFSPRWNISLFHYRAEGAAGANVLVGIQVPENEIEKFRNRAQVLGYEYVLVSEDINFKLLMQ >A02p057940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34836245:34837559:1 gene:A02p057940.1_BraROA transcript:A02p057940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSEQEERSTISESGSSNSYDQQQPSFADEHGLMELLEGDRAYDLIYRNCKSALGDQCELLSILRNGFGNVGSRAKLKAFQVFQEAVEMKHGGEEGGGKARVKYGWCAVKKTELKSVLEYGFSQPRNDGCYGRGLYLSPDNALLECLKDSAAESEDGMRFLLLSRVILGKSEVVPRGSTQSCPSSPEFDSGVDDLASPSKYIVWSTHMNTHVLPEFLVCIKAPFNFNRSVKRLRSPWMAFPVLIKALSKFLPPTQILIIQKHYKDQQSRRISRSELIQRVRHITGDKLLVHIIKAFGHKVQH >A01p059390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34195456:34200694:1 gene:A01p059390.1_BraROA transcript:A01p059390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR receptor-like serine/threonine-protein kinase RPK2 [Source:Projected from Arabidopsis thaliana (AT3G02130) UniProtKB/Swiss-Prot;Acc:Q9S7I6] MTTTKTILPTSEGDPFLSVVRFTSQLAEASEPEIARLCKEAEEFIVARKWLELASLLVASAELASSKLSEKDFECTYSIICSIVKNANSPEDDVLDMVKVISAKLVQQPNDKASLRLKILFNLYSLLDHPYARFQVYMKALSLAVTGMVTEYVIPSFKKIDSFLEEWSIDIKDQRELFLAIANVLRENKSLVKESLKFLTKYLTTFSKEDAQALGEAKEEAVRAVIEFVKAPNIFQCDLLDLPAVAQLEKDPKYGPVHQLLKIFLTQRLNAYREFQTANSECLQSYGNQIVNPFTALSVKCKHVPSPEKILNFYFWWSLGLVDEDCVTKMRLLSLVHLASDEFGKIPYTSIKDTLQLKGEEVEPWIVKAITAKLIDCKMDQINQAVIIRQVASVETFRCSERKFGSDGVSPPRKMPPIILFSFWLLCITTCLPGRITVLADSDKSVLLRFKETVSDPGSILASWVNESEEYCSWFGVSCDSTSRVMALNISGSGSDKGSSKISRNRFTCADIGKFPLYGFGIRRVCAGKLGTLVGNLPSVIVGLTELRVLSLPFNSFNGEIPVGIWEMEKLEVLDLEGNLMSGSLPVQFTGLRSLRVMNLGFNRFSGEIPSSLQNLSKLEILNLGGNKLNGTVPGFVGRFRVVHLLLNWLEGSLPKDIGDNCGKLEHLDLSGNFLSGRIPESLGSCRGLKSLLLYMNTLEETIPSEFGNLGKLEVLDVSKNTLSGPLPAELGNCSSLSVLVLSNLYNVYEDISSVRGESDQPPGADLTSMTEDFNFYQGGIPEEITRLPKLKILWVPRATLEGRFPRDWGSCQSLEMVNLGQNFFKGEIPVGLSKCKNLRLLDLSSNMLTGELLKEMSVPCMSVFDVGGNSLSGLIPEFLSNTTTHCPPVVYFDGFSIESYNADPSSVYLSFFTEKAQVGASLTAVGGDGGPAVFHNFADNNFTGTLKSVPIAQERLGKNISYIFSGGGNQLYGQFPGNLFDSCDKLKAVYVNVSFNKLSGRIPEGLSNMCPSLKILDASLNQIFGTIPSSLGDLSSLVALNLSWNQLQGHLPGSLGKKMNALTFLSFANNNLTGQIPESFGQLHSLQVLDLSSNSLSGGIPHDFVNLKNLTVLLLNNNNLSGQIPTGFSTFAVFNVSSNNMSGPVPPTNGLTKCSSVVGNMYLQPCRVFSLTTPSSDPRGPMADSSTQDYASSPVENAPSQNSGRDGFNSLEIASIASASAIVSVLIALVILFFYTRKWHPKSKVMATTKREVTMFMDMGVAITFDNVVRATGNFNASNLIGNGGFGATYKAEISQEVIVAIKRLSIGRFQGVQQFHAEIKTLGRLRHPNLVTLIGYHASETEMFLVYNYLPGGNLEKFIQERSTRAVDWRNLHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDNDHNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFVSYGNGFNIVQWGCMLLKQGRAKEFFTAGLWDAGPHDDLVEVLHLAVICTVDSLSTRPTMKQVVRRLKQLQPPC >A05p013870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6040101:6043384:1 gene:A05p013870.1_BraROA transcript:A05p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 2B [Source:Projected from Arabidopsis thaliana (AT2G33385) UniProtKB/Swiss-Prot;Acc:F4IVU1] MAYLERSSPTLKETLLKIYRAEKPIEIDQHFYEFGSIQYHIKCSVLDTNIVYVSTSTLLETQGIVTSKEILSATYEVIKNIAVGVIDIVDPPRLGFQLTLKLHLDNIPRGKAAEAIKIITRISEIQAIILSSQLKEMLKRLNFQDDSQAINNNNRPIRIVYHPSEPFYVFRQAEKITAAFPMNFKDNSDVVIAMSFFQELVEVGSQKEMGKAPQCSWSPVPPFQLRGEPVHDLTTNAGFVSFDITSRHVEGKRLDKTVWNLLNFYAYVKYHIKRLNNTRFEEEAPQKENGACKYVKELVKVPKGKLMMQQRCKDMTRRVKISKFRIRINGCARFRFNQRWISIPKFSSKSSNKSYTKLD >A05p024280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11777017:11777610:1 gene:A05p024280.1_BraROA transcript:A05p024280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRSDKSVNNYIDISDSSNYEDYSNSDPTSSFRKISLCYFVVQPFEMLTKHARFKELCLENDNPEVHYIEGILQYFLHQDTHKGLFHIRHSVTLNNTNGMYLYGLLMLAIDHYQKEKLSTSYNCWESVKNSLSSIPAIMKNRYYENMVNLKPKRQCDLDNMTEVCKCCYYFKRLNQFVEFAINQE >A05g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13076626:13079096:-1 gene:A05g504730.1_BraROA transcript:A05g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQHADMSSVHGSVHGSVHESVHGKGQHADMCGQHXSVHGHIRISTRIRTRTVHGKGQHADMCGQHADMNSVHGSVHGKGQHADMYGQNADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHWKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISKRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGYTRISPRISTRTVHGKGQPADMCGQHADMSSVYGSVHGSVHGHTRISPRISTRTATDVGQHADLCGQHADMSSVHGSVHGSVHGSVHGSVHGHTRISTRISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVYTDQYTNQSTGRASIADMCGQHADMSSVHGSVHGSVHGHTRISTRISTRTVHGKGQHADMCGQHADMSSVHGSVHGQSVCANGQARPRGPKSPEQSTGRASMLICVLTDDHGRPVCADGRPVCTDGRPVCADGRPVCTDGHTRTSCTATDVLCVLTDGHGRPLCADGRPVCTDGRPASTDVLRVLTDVLRVLTDVLRVLTDVLCALTDTRTHTDSHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTSCVC >A07g505020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10776148:10779969:-1 gene:A07g505020.1_BraROA transcript:A07g505020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNTLASASKKFLFLRNPTPIVAVRCQKHVSDGVNSMESTTNPPVRFHLRQTFLTLCHRLKVLTLDIERAQLGMVYVYQSVSSKEKLRKSLRDAIVGDITPSDGEPKKKRITELWLEYENNASLEANLVKDFDKVEMILQALEYEIEHGKVLDEFFISTAGKFQTEIGKGWAAEINARRKCQ >A08p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22314328:22315279:-1 gene:A08p038570.1_BraROA transcript:A08p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I chlorophyll a/b-binding protein 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G19150) UniProtKB/Swiss-Prot;Acc:Q8LCQ4] MALAIASALTSTLVLSTSRVQNARGAFSSRVGGRTSERLVVVRAGKEVSSVCEPLPPDRPMWFPGTSPPEWLDGSLPGDFGFDPLGLGSDPETLRWFAQAELIHSRWAMLAVTGILIPECLERLGFIENYSWYDAGSREYFADSTTLFVVQLVLMGWAEGRRWADFIKPGSVDIEPQYPHKVNPKPDVGYPGGLWFDPMMWGRGSPDPVMVMRTKEIKNGRLAMLAFVGFCFQANYSASQDPIENLMAHLADPGHCNVFSAFTSQ >SC146g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:124701:129923:1 gene:SC146g500050.1_BraROA transcript:SC146g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFKDFQILHPYLMKLMKNQLKVNVELTVLQPEHPSSLVLSQQVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIIYTFMESHLCFDSGTTIVPSSPAPLLPDLQEHCEKSELVISLSDMFDKISSLDVIRFGLGKIKENCFSKSVFGNMINSFKIFEPDKFLDQQRFQTDLGISSEIILSFDQSLEQSKVFDHFEKYLELDLKQTDFCATKSFDSFVFKENSFGLNSSRHRLITDDLFASSLDLDDFLIKKMQEQSSFETETGFCELDFCDSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDEILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKILERQVQPLIIESIDRAQQPEFWRSFVETGYLDASDRGSVQERYRNSTKVFCLEYNFKRKPTHQGFTEAWNRMKIFTDEEVMNFPNRRFFSPSIREYQISKGDSCPIKNRPEPKPILHEPKLTCLMLAHVLDDYPKGLDPDLDVLKIEKPFDYFFGRFDVTDRTLYWTVPHAFGWELWLEPWPDDRFHCTGLYLHRPVFHLMKNSLDGIAFGHTNPELGHCYTFLDSTACTARMCVLELQHYPRPDDGIHQTEAWLYRTVWYSKTNDRARIRNGQFFSSPSQLNFVLYVLPSNGQDRFGFGRVELKIGRDTLKLATLDCPACVLAQSVGQASGYNEPGWNLKGFSPVKVQRDREKDKEKELAPGERTPKGTLNQGPGRFSIQVLGLWPDCSWSDLDVLDRTWTVVRERHREVSGHGKMCGEWVIVDRCEILIAYCATCELMLDQGTKRTKSRKGKESAGGSGPVIGDGANPTQVLPTQTGLVNEETGEPLAMFLPTEVQVDNLGEQQEEVREEEGDSSHAGDETGPGDGVEELAEPSMHEVMDVVKAMGTKMLALTPAKATVQATHRAARTAGTAAGVARAAA >SC234g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000086.1:207895:210161:1 gene:SC234g500080.1_BraROA transcript:SC234g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFALSFKYPQITGLPHGKGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLLSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVYGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEIRESSPYTSASNEFLHQVGFELRVELVLCGSYSSVAHVRTRPYTRPIFAPWTIFSQTLPNPSSDQSKSLLDLSSQDNYFRTLLKLD >A04p034670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20019677:20021199:-1 gene:A04p034670.1_BraROA transcript:A04p034670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDARVGMAVDGAQSALNSAAAVRTTVADAGALSLSQKQPPQPRFGTVENLLAGGIAGAFSKTCTAPLARLTILFQLQGMQSEAAVLSKPSLWREASRIVNEEGVRAFWKGNMVTVAHRLPYSALNFYAYEKYNKFFYSNPILQSYLGNGSSSPFVHFVSGGLAGITAASATYPLDLVRTRLAAQRNTMYYQGIGHAFRTICREEGLLGLYKGLGATMLGVGPTLAINFATYESLKSFWLSHRPDDSTLIISLGCGSLAGVASSTATFPLDLVRRRKQVEGAGGRARVYNTGLFGTFKHIFKSEGMRGLYRGLLPEYYKVVPGVGITFMVYESLKTLLCPPPAP >SC238g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000089.1:4382:5767:1 gene:SC238g500010.1_BraROA transcript:SC238g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSAGVISRCCQIPRYPENFGQIRSTKKRDEIPHFPRKGPLGRPIFCVYSEGIRPQKAFEVLNQPGGDDRRPSPWNPKSPTKPWPFQRRSTHLEAGSSMTPGQKISKAPKVPKKIAISIGSLGQAQPTSNARTHRSEENPISPGRKLVEKPLGLSARNQPNRWHTTRSHDEQAEMGISHQGDEILRQDIVHELSKAKVKYVKSGKVWHLDFHPHQQNCNKSDSGFCRSSMQHRNSIVTSFRAVECEIPTGTGSSLS >A03p009640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3862586:3865072:-1 gene:A03p009640.1_BraROA transcript:A03p009640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPDQRKKSSVELDFFTEYGEGTRYRIEEVIGKGSYGLVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRFLQHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDTLGTPSAEAIGRVRNEKARRYLSSMRKKKPIPFSHKFPHADPLALRLLEKMLSFEPKDRPTSEEALADPYFKNLAKVEREPSCQPVTKLEFEFERRRITKEDVRELIYRESLEYHPKMLKEYLDGSEPTNFMYPSAVEHFKKQFAYLEEHYKNGTSHNPPERQQHASLPRACVLYSDNNHAAVQQSSVEVTDVLSKCSIRDTERPRGSDRRVPINVPQTIQGAAVARPGKVVGSVLRYNNCGAATGVEAIEQQQRRMVRNPAGAAQYPKRTPQPCKSNRGDEDSEGSSRLKQNPQYILQKVGGAQDTATSRWY >A09p052620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46008790:46009080:1 gene:A09p052620.1_BraROA transcript:A09p052620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNFRCEREIAGQPFVFFLVNPVEPWLLLPQGNTCFLIKGQTIPTGTTAHFTDAGHSYIFRPAGFTVETSNH >A07p002760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3627247:3628326:1 gene:A07p002760.1_BraROA transcript:A07p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKLSSCVHHHSVRKSGSVPGSNRCFLRTPPFLLRQKFTALSVVREESNASIIHQEEAQSLSFDFMSYMIGKASSVNQALDSAVSLREPVKIHEAMRYSLLAGGKRVRPVLCIAACELVGGEESVALPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDVAVLAGDALLSFAFEHLASTSGVAPARVVRAIGELARAVGSEGLVAGQIVDISSEGMDSNDVGLELLEFIHVHKTAVLLEAATVLGAIVGGGSDEEIEKLRKFARCIGLMFQVVDDILDVTKSSEELGKTAGKDLIADKLTYPKLMGLEKSKEFAERLMRDAHEQLQGFDSKKVEPLLALANYIAKRQN >A09p013580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7032956:7034561:1 gene:A09p013580.1_BraROA transcript:A09p013580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQDTSDFTLVTRKPCFGLPTACPNCLPAYIYLKLAQLPFQLAFNSTFPDSEELPYFESGTYVAYNNEDGGVIEKLEKDGIVNLDSQLQSLPDYLSLKALIVSWLDEALTYEIWVGTEGVCASKIYYSDLPWVIGKVLFYKQTYLTKNRLGLTKENAEQREKLIYKRASEAYEALSTRLGEQKFLFEDRPSSLDAFFLSHMLFTIQVLPETSVLRRKLLEHGNLVRYAERLKSEFLEESSSPPSPPLHSFPSSFSGKGSKTKSKPKTEKSEEEKKFKKRARFFLAAQFLAVVIYVSVMGGGSSDEVEYEDDD >A05p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23791355:23795822:-1 gene:A05p038840.1_BraROA transcript:A05p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESAIEISSGSSSSSSDEEEVSEEPVKEEVRTRKNPPWLDGSTSFPQKVSSAGNTQTKPRPPNPASRYGAPRYASRPRDSSRTTTRGNDKSIVSSRTSIVSSQQPLKRTLPPSFNTTTIPPRSASNSISHGNVSRFGADYSSSSAVSNKSAFGDRHRGAHSEIGIHRGMNGVRILPPSMTLGTSASSPLHYGGPSDPIHRVGVGEDMNSENDERLIYQAALQDLNQPKTEIDLPPGILSVPLMRHQKIALAWMFQKETTSLHCSGGILADDQGLGKTVSMIALILKQKFESQLKSEISTKGEAEILDLDADDELENAKHESKSHAKPELNSRNSETTVLSIKEEAEILDLDAYDESENAKHESESHAKTELKVLTNSETTVLSVGDSDENDSSDAEKVKDEEVSTSVREFKRKRPAAGTLVVCPASLVRQWARELDEKVSDESKLSVLVYHGGNRTKDPVELAKYDVVVTTYAIVTNEAPKQSLLDDDENDEDNNQKHGNKKKRKVAMKASKKSKKRGRKSMDGSSFDSDCGTLSRVGWLRVVLDEAQTIKNHTTQVARACCTLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYNPYAVYKSFYHTIKVPISKSSLHGYKKLQAVLKAIMLRRTKGTLLDGKPIINLPPKKISLSKVDFSVEERSFYKKLEADSRSQFKAYAAAGTLGQNYGNILLMLLRLRQACDHPQLVNGYNSDPLGKESEEAVERLPREARINLLNRLESSSAICNICDDPPENPVVTLCGHVFCYQCVSEHITGDENVCPVRRCREDIGRDVVFSESSLRKCITNDTGCSSSQDRSALQKSEFSSSKIKAVLDILKSLSEHGQMPSSSQPHDDDDDVTIIEPTTLHSSSPIQGPIKTIVFSQWTGMLDLVELSFIENGIEFRRLDGTMSLAARDRAVKEFSNDPDVEVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVSVTRITIKGTVEDRILLLQEEKRKIVASAYGEEHGGSSATRLTVDDLKYLFMV >A01p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9607731:9608728:1 gene:A01p019590.1_BraROA transcript:A01p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEDKKPGGDGGVHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTGGCGDRTG >A09p016090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8393743:8394974:1 gene:A09p016090.1_BraROA transcript:A09p016090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANSFFVLLLCIALLLGTDSTKVDGEEQIVSNSTDASVSQIVTDSKSIDHSTNTTTNQLGGSETKPIDSTSQKSIAGSDGGESSKEEEAKSNSSSRKKQGEDCDPSYMCSDEQHLFLACLRVPGDDDAPHLSLLIKNKAKSVLDLTITAPSFVRLETNKVQLLESQDTKVKVSIKKGGSNDSAIILASSNGGHCSLYLKDLAAAGHDTGKDSTVAVSRPSILNISSRTLIVIAMISFLVLSLVIIPVIYHVYRTKSQGKSKYQRLDMELPVSNTPLVAKSDQETGDDGWNNNWGDDWGDGDEEQPNTPVLPLTPSVSSRGLAPRRLSKEGWKD >SC191g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000057.1:25247:28123:1 gene:SC191g500020.1_BraROA transcript:SC191g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFGISQEGDKAMCIVRDSGTDRRSVPSTVRPLHTPKSSGSAQIKMCNVRASQVAQTPGEDFRNKHKLQLSPVQLSSLSPQAPAFEEVHKESDTCNSSTAKNVETKLLLVSVLSLRGSLNAYDPWSVTSLCKGSYTGSSAGVILGSLRVKVN >A03p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16983863:16987529:1 gene:A03p040720.1_BraROA transcript:A03p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCLLASRKSSERIIITTEQQQQKKKKSNLELETQINTKSRFSENPIEESRIGSENQMAYASRIIIHSNKLKHVSTLLRREHSVAARGFSNSSTHSSLTAREDMLKTRPPVERISKCCATTVPMPSGISTMSSKPMTGPSFFREYISSQMRSGNIARWLKKEGDKVAPGEVLCEVETDKATVEMECMEEGYLAKILKEEGTKAIQVGEVIAITVEEEEDIQKFKDYTPSSGGSPAAPEAKPAPSPPKEEKVEKPASAPEAKTSKPSSAPSEDRTFASPLAKKLAEDNNVPLSSIKATGPEGRIVKADVEEFLGNITPLLFPLLTLSSWSFLSILTVFTQSLFLIHLPVTQLHVVTASRLAFSKQTIPHYYLTVDTCVDKMMGLRSQLNSFQEANGGKRISVNDLVIKAAALALRKVPQCNSSWTDEYIRQFSNVNINVAVQTENGLYVPVVKDADKKGLSTIGEEVRFLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAVINPPQAAILAIGSAEKRVVAGGGPDQFNVASYMSVTLSCDHRVIDGAIGAEWLKAFKGYIETPESMLL >A07p017130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10417911:10419059:1 gene:A07p017130.1_BraROA transcript:A07p017130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQLTNSSYRDSLKILEADIEHANSLAAEIPMGKSGVRLQMKLVCSNLAPFFIFLLQWMDISCLLPSCFDFFHILIYKVGADGRWNLSRYGRKATIREFYGVILPSLERLHINFADDSLWYPNPKAITKKYDNRFIMSTVDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTKSESCPFCRGSIKRVNSEDLWVLTCDEDVVDTETVTKEDLLRFYLHINSLPKDYPETVFLVDNEYLI >A05p051400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29959490:29962411:-1 gene:A05p051400.1_BraROA transcript:A05p051400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTLLVKIAGLLGTITVGANAVSYSRFRRRNLRNFRSPIDESKEVLADFSSQEHNEGKFFFGLATAPAHAEDDLDDAWIRFAKETPCSAEDEEDKKAKRKKKVKLAVGAITKGLAKNTQGKEDNTVADSTPTKNVAAWHNTPHAEVRLKFWSDPDQEVKLAKDTGVTVFRMGVDWCRIMPKEPTKGIEEAVDYEALEHYKWILNRVRSNGMKVMLTLFHHSLPPWAADYGGWKMEKTVDYFMDFTRLVVDSMFDLVDSWITFNEPHVFAMLTYMAGTWPGNTPDFLEMATSTLPMGVFHRVMHWMAVAHSKAYDYIHAKTSLEKPLVGVAHHVSFMRPYGLFDVGSVTFSNSLTMFSYIDSICEKLDFIGINYYGQEAVCGVGLKLVETDEYSESGRGVYPDGLYRVLLMFHQRYKHLNVPFIVTENGVSDETDVIRRPYMIEHLLALYAAMLKGVPVLGYIFWTISDNWEWADGYGPKFGLVAVDRANNLARTVRPSYHLFTKIVKSGKITRNDRSLAWEELQKAAKAGKVRPFYRAVDNHGLMYADGLDKPQGRQFVDRDWRFGHYQVDGLQDPLSRLARALLIWPAITKKKIRKVKVKHTDESGLALQPA >A01g511500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33318523:33320301:-1 gene:A01g511500.1_BraROA transcript:A01g511500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCDFFSDYLRQRKDLAGDGVLQLLEKSSYGSTLFTSVTTNSSRRNKELLEKETIPMETESTRTGKIVTILSHISRSQNRDADHLAKQALRTSTVSFPVFQPLVG >A10g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1679633:1680220:1 gene:A10g500520.1_BraROA transcript:A10g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMNFTLNPRPLSDFTVHATLSSPPFQSLIASFDLRFNNPKRLRLQIHRVNPFLNPSTTIYHSIRDSLRQGGVSSSIKRASDGGLPPLYVAALERHIETDSAVTLDLGAFVAQITATIDRRGYSSKGGGCLPF >A05p002220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:733177:734178:1 gene:A05p002220.1_BraROA transcript:A05p002220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGEEYCLARMSVDPFMAMKKSNYNKNNQRRFSDEQIKSLEMMFESETKLEPRKKVQLARELGLQPRQVAIWFQNKRARWKSKQLETEFNILKQNYNDLASQFESLKKEKQALVSELHRLNEEVQKTHEEKRLCCGDQAAVVALSSTDHESENEENTRREQEEEVRPEMEVCEKGDDGVLCGHHNDDYDDGGYNNDIKREYFGGFGEEADHLMNIVEPADSCLTSSDDWGGFKSNANLLDQSSSNCPWWDFWS >A03p059410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25826378:25828190:1 gene:A03p059410.1_BraROA transcript:A03p059410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVELMMSSSSYGGGKVKREEDGGFPAEKGDTALKEAASAGIHGVQEFLKLIGQSQPTEEKQTEITAVTDVAVNSFKKVISLLGRSRTGHARFRRGPVTTTKPEEVVVKTEEKPRTTTTTTVVLNRGKTEKHGGSAFRVYCPTPIHRRPPLSHAHHTQTKYGSSSSAPLLPNGKPHQEPPSSTIHFAPSPPVSAANSFMSSHRCETESNQMSSGFEFTNPSSQFSGSRGKPPLSSASLKRKCSSTPSGRCHCTKKRKSKVKRVIRVPAVSSKMADIPSDEFSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERAPDDAMMLIVTYEGDHNHAMVLQTPHEKTL >A04p039750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22484339:22486279:-1 gene:A04p039750.1_BraROA transcript:A04p039750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSLDLQESGWEELRREARKIEGDLDVKLSSYAKLGARFNQGGYGVDGGSPGGSWKSMEIEIQSSLEKLLDINDSMSRCAASAAPTTSVTQKLARHRDILHEYTQEFRRIKGNISSMREHAELLSSVRDDISEYKASGSMSPGVQVLRERASIHGSISHIDEVIGQAQATRAVLGSQRSLFSDVQGKVKNLGDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFIIIYWLSK >A05g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9841734:9843051:-1 gene:A05g503350.1_BraROA transcript:A05g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKFASGGEINKKMAASRLEAVLSDERRSWRELQSSDTDVGAVWDAMSMAVSHHAAAFTDGLQDVNYCHRVMVVGFKLLPERMLFFNGCLEFTVSTKCPYLRHLWISLWSLSKLQSLFNQISFHTSQARNLKQRRT >A04p017040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10468010:10470563:1 gene:A04p017040.1_BraROA transcript:A04p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPQLRIKWLLRLSKIPELTEVPSFSKEAEAYLQAIIDGFNVEAALEVKKIEKVTNHDVKAVEYFLKQKCESHPEFAKVLEGGFLDVTVERQAARIRSMYLKTILRQDIGFFNVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFVGGFALAFVKGWLRSSSRIIYYVQKRKMIPMMPLTTFHPLRYKQLIDIATGTNNLPECFKVTISEGNNGGQNWSTTKQVKNGLLAQFRDLHSMTIMKYKIVIITSINPRVFKGKLILATTPATRF >A01p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11814148:11820207:1 gene:A01p023890.1_BraROA transcript:A01p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMILKTTLELFKGDGVYGTDHLDRSRLASRSYRLSNGSNRVSKIGTIHYKRLSITKTGMHGGTKARAVLSPVSDPAASLTKKTTPIMAMGLNHRCCSSRVFTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQQYQVAGKKPPEGLWEEILEGLSFIERDIGASLADPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDQVVVGLAAKSGERFAYDSFRRFLDMFGDVVLGIPHAKFEEKLERMKESKGVKNDTELSAEDLKELVEQYKSVYLQVKGQEFPSDPKKQLELAIEAVFDSWDSPRAIKYRSINQISGLKGTAVNIQCMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDTMKRLMPQAYAELVENCDILEAHYKDMMDIEFTVQEERLWMLQCRAGKRTGKGAVKIAVDMVSEGLVDKSTAIKMVEPQHLDQLLHPQFHDPSGYREKVVAKGLPASPGAAVGQVVFTAEEAEAWHAQGKNVILVRTETSPEDVGGMHAAEGILTARGGMTSHAAVVARGWGKCCIAGCSEIRVDENHKVLLIGDLTINEGEWISMNGTTGEVILGKQALAPPALSADLETFMSWADAVRRLKVMANADTPEDATAARKNGAEGIGLCRTEHMFFGADRIKAVRKMIMAVTTEQRKASLDVLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLDIIVQELAAETGMKEDVILSQVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAASMQDQGVTVLPEIMVPLVGTPQELGHQVDVIRKVAKKVFVEKGQTVTYKVGTMIEIPRAALIADEIAEQAEFFSFGTNDLTQMTFGYSRDDVAKFLPMYLAKGILQHDPFEVLDQKGVGQLVKMATERGRAARPNLKVGVCGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVVIA >A03p042490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17783912:17784639:1 gene:A03p042490.1_BraROA transcript:A03p042490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSLDFDVDLEKGIVDCDNVYTKSLEKPLLPMSPDNKPIKTGEESVGLLENDASPVQCAICKPGWGKEKRKKSASKPPRPPRGPSLDAADMKLIREIAELAMLKRARVERLRALKKSRAAKAESAASSLGNVLASILTAIFFFVLVIQGFSPRAAVSSGTGKANGGFVSVQHAGNPSASEPGGSYTGPVLARRLPQYVVVSVRLRRGIIF >A02p011980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5212729:5214699:-1 gene:A02p011980.1_BraROA transcript:A02p011980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-type lectin-domain containing receptor kinase I.10 [Source:Projected from Arabidopsis thaliana (AT5G60310) UniProtKB/Swiss-Prot;Acc:Q3E884] MTYGLLQKILVITCFHLMYLSSQQESNFVYHSFGGQENLYLDGSATVLPNGLLQLTNASDHQTAHVFYKKPIGLSSSKTLSFSTHFICALVPRPGFEGGHGMAFVVSPSMDFSHAESDRYLGVFNVSKNGSLSSDVLAVELDTIWNPDFEDIDSNHVGIDVHSPLSVGTASASYYSDIKGNNQSINLLSGKPLQVWVEYEDTMLNVSIATLEVQKPSQPLLSQPINLTEVFPNSSRLFVGFSAATGTATSYQYILSWSFCTNGGSLQTLNISRLPEVPQPRSEHKNYSQLITILLGFIATMGLGVLIGVYLFKKCKYAEVTEEWEKEFGAHRFSYESLYNATKGFNKDGFLGKGGFGEVYRGTLLLSREIAVKRMFHNDDQGVKQFVSEVVSMRCLKHRNLVPLLGYCRRKHELLLVSEYMPNGSLDEHLFDDEKLVLSWTQRLVILKGIASALCYLHTGADQVVLHRDIKASNVMLDAEFNGRLGDFGMARFHDRGEYACPTGAVGTIGYMAPEIIDMVASTGTDVYAFGVFMLEVICGRRPVEPQLQCEKRVLIKWVCECWKRDALLDAVDPRLGDELLPEEVEMVMKLGLLCSNIVPESRPTMEQVVLYLNNSLPLPDVSPYTVGFSSHSSVLIDAASLVASRSWSASSASSS >A10p032670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19252223:19254244:-1 gene:A10p032670.1_BraROA transcript:A10p032670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSNGRDSGDNGDTRGITASNAGPTAEASVPQSKHAPPSPPPATKQGPIGPVLGRPMEDVKSSYSLGKELGRGQFGVTHLCTQKATGQQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDEKAPLKATDFGLSVFYKPGEVFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILKSHVDFSSDPWPSISPQAKDLVKKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLYSAFQHFDKDNSGYITMEELEQALREFGMNDGRDIKEIISEVDGDNDGRINYDEFVAMMRKGNPDPIPKKRRDLSFK >A06p000930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:56414:56754:-1 gene:A06p000930.1_BraROA transcript:A06p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAINHRFSLRETIKKLIFPVSRSGEDKAIRGGSNTNIPIDILESPEECDCGGRVDNSDKEQREEEERGL >A07p031660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17468239:17470764:1 gene:A07p031660.1_BraROA transcript:A07p031660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNRGRYPPGNGTGRGAPPHPDYQSYGQQPQNHQQFQQQQQQWSRRAQLPGNANEVQNTTSSQPPNDHKFSGSVTLSGGQDWKATLKLPPPDTRYQTADVTATKGNEFEDYFLKRDLLKGIYEKGFEKPSPIQEESIPIALTGSDILARAKNGTGKTGAFCIPVLEKIDPNTNVIQAMILVPTRELALQTSQVCKEFSKYLNIQVMVTTGGTSLRDDIMRLHQPVHLLVGTPGRILDLTKKGVCVLKDCTMLVMDEADKLLSAEFQPSLEELIQFLPENRQFLMFSATFPVTVKAFKDRHLRKPYVINLMDQLTLMGVTQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMVQDHRNRVFHEFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPRTSESYLHRVGRSGRFGHLGLAVNLVTYEDRFKMSVALPLQCIRLSKNLALKSNQFLLRSIKQSTVNKPVTAREMLPPHESQCVSQAIVGGEGTDAWRFM >A01p056060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31562517:31568266:-1 gene:A01p056060.1_BraROA transcript:A01p056060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTLRPIKPPASHYLVFSFNSVSLSPEYSLSTSSPHRSPPSHSHRKMPDVQSMVLEFVNKLRKRKIEGSQATARCTVELLRSVISHHRVPHANQASALIDAVKAVGAQLVAANPVELAVGNVVRRVLHIIREEDLSLATAAVAGSITRKLKHDVIEGVNQLIHEIAGCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTVITDSAVFAMISRVNMVIIGAHAVMANGGVIGPVGVNMAALAAKKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGTGSGSPLLQVVNPTFDYVPPSLVSLFITDTGGHNPSYMYRLIADYYSADDLVINSRKISALARHALHSPNAYSSPSPQPSHAAAAAARDVASLLKTPNWEKNTTLKTLASHLSPHIASQVISLHSSETGTCVRFFTWVCKHSSYCFHPNQKTHLLKLIVSSGLYQIAHCVILALIRECNKHEREILRLMDCFDNLRDEAEFRLNYPCYSSLLMSLAKLDLGLLAYSTYKRMEADGFLPGEIDYRTIVNALCKNGFTEPAEMFLCRIFKIGFVLDSHICTSLVLGFCRVLNLGEALRVFNVMSREEVSEPNSVTYSNLIHGLCEVGRLNEAFVFKEQMGEKGCEPSTRTFTVLIKALCDKGLVEKGFTLFDEMRTKGCKANVHTYTVLIDGLCREGKVEEANGVYRKMCEDGVYPSVVTYNALINGYCKDGRIVQAFEVLAVMEKRGCRPNVRTFNELMGGLCRVGKPFKAVYLLKRMVGDGLAPDVVSYNVLIDGVCREGFMNVAYKLLGSMSSFDVEPDCVTFTGMIDGLCKQGKVDVASSFLSLMVRKGIKVDEVTCTALIDGFCKLGKTKDALFIVETLCKMRLLTTPHSLNVLLDVLSKGCKVKEELAMFGKINKLGLVPSVVTYTTLVEGLIRSGDVSGSLRMLEAMKSSGCLPNVYPYTIIINGLCRFGRVEEAEKLLATMRDSGVSPNHVTYTVMVKGYVDNGKLDRALETVSVMVARGYELNERVYSSLLHGLALSQNKLISMVEELGGSTRGVYGFVITRLCKEGRIDESNELIKTMLKRGVYNEKAINTIIESYCGRKEQTKCVELITLVLGAGFVPRFRSFCLVIQGLKKEGESEQARELVMELLRSSGVVEKSRMLDYVECLMERDGTGDCSEVIDQLHFKERPIF >A07p024300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:13991593:13992417:-1 gene:A07p024300.1_BraROA transcript:A07p024300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEETSSIEDSGDFISSMPDDILHHIFSFIPTILAVKTSILSRRWRHAWCETPCLSFHGVETTARVITQTLKSYRALKITSFRLATAYFVTSGEINSWIKLAMSRSVNKLSVSFLNYRCPNFFFLSSSLEQLSVSLAFVICTTVSWKSLRSLTLSSCIFSYGEPIANILSGCPILETLELIYCRGLPRRLDLSKSLSLRRFEIRFSFQSSYVEIIAPQIHYLKLTISEEQCTLVDVSSVIEASLEICIHENFLPVADFVQIMVLKMLEQLKM >A05p049970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28957142:28961036:1 gene:A05p049970.1_BraROA transcript:A05p049970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase-7 [Source:Projected from Arabidopsis thaliana (AT3G09220) UniProtKB/Swiss-Prot;Acc:Q9SR40] MEGVRVSLACALLLFVVSSSIASAAIVEHTFNVQNLTISRLCKRQVITVVNGSLPGPTVRVKEGDSLVIHVINNSPHNITIHWHGIFHRLTVWADGPSMITQCPIQPGHRYAYRFNITGQEGTLWWHAHSSFLRATVYGAIIIRPKPGHSYPFPKPHKEVPIIFGEWWNTDVVALEEAAIATGVPPNNSDAYTINGLPGNLYPCSKDRMFNLDVVKGKLYLLRIINAAMNMQLFFKIANHKLTVVAADAVYTKPYLTDVIVIAPGQTVDALLHADQSIGSSYYMAAHPYASAPSVPFPNTTTRGVIHYISGASKTHKTKRVLMPKLPSFFDTPTAHRFYSNLTSLVHGPHWVPVPRHVDEEMLVTIGLGLEACAGCPNSKFAASMNNHSFVLPKKLSILEAVFHGVEGIFTPDFPNQPPVKFDYTDPNITQTSPGLLFVHKSTSAKVLKFNTTVDLVLQNHALLAAESHPMHLHGFNFHVLAQGFGNYDPTRDRSKYNLVNPQFRNTLAVPVGGWAVIRFRADNPGAWIMHCHIDVHLPFGLGMVFVVENGPTKSTSLPPPPPDLPRC >SC163g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:89877:91508:-1 gene:SC163g500060.1_BraROA transcript:SC163g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESLIEMTSNLEHEGGNETRTPNREETSREESSGSHDQAVESNDQEEGAE >A09p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5100811:5101929:1 gene:A09p009940.1_BraROA transcript:A09p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 1 [Source:Projected from Arabidopsis thaliana (AT5G65410) UniProtKB/Swiss-Prot;Acc:Q9FKP8] MNFEDNSNDEEEEEMNLHEEEEDNAVYDSPPLPPSSRVLKASTESPDTAGTNLTGGGGFMVVHGGSRFRFRECLKNQAVNIGGHAVDGCREFMPAGTEGTIDALKCAACGCHRNFHRKELPYFHHLAPPPPLGSYRVPAPVSYRPSPSQASPVQLALPPPAEDRMETSSAEAGGIRKRFRTKFTAEQKERMLVSASLISTG >A04p039460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22269351:22269790:-1 gene:A04p039460.1_BraROA transcript:A04p039460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRRVVANNYDLQQVTSSATIQENMNFLVPFEETNVLTFFSSSSSSSSLPSPSFPIHNSSSTTNTTHAPLGFSNNLQVGGPLGSKVVNDDHENIGGGINNDVHSNS >SC171g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000044.1:91890:92587:1 gene:SC171g500010.1_BraROA transcript:SC171g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNWSCDMEQGHKDTMMGSHPGGRVTACSVRCSIFEYLMAMMVGDLTLGREGTSLASVRVPYDISPCPDELTIMYCFRGLKSLEWYPIGALVFFDCWSKAVG >A06p056650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29488835:29491431:-1 gene:A06p056650.1_BraROA transcript:A06p056650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLELEAIEGLRWTWNSWPTTKSDCESLVVPLSIMYTPLMRFSELPTIPYDPLICSRCAAVLNPYARVDYRSRIWACPFCFHKNPFPRSYAGITETNLPAELFPTYSAVEYSPPTDGAGPGPAFVFVVDASMAEEELRVMRSEVLLVVEQLPESSLVGLVTFDSMVRVYDLGFSDCSKVVVFHGDRELPPQQIQQYLGLGKMSKQSFLLPLEECEFNLTSAFEEIAPLVDVKPGHRPHRSTGTAISTALGLLEGCCVTTGARIMVFTSGPATRGPGIVVSSDLNHSIRTHRDIITGQVPYYDRSCKFYKRIAKRLCDSSAALDLFACSLDQVGAAELRYAVEMSGGFLLLGETFESDQFKNCLRHIFSRDGDGNLNMCFDVTLEVVTTKDIKICGALGPVVSLTRKNDIVSDTEIGEGGTYTWKTSTATNKTCVSFFFQVSNEQNRKPKPGSAFFIQFITRYRYGNGGVKKRVTTVARRWVAGKSPEISSGFDQETAVSVMARLAINRAEECYARDVIRWLDDGLIRFASRFGDYIQEDPSSFRLTPNFSLYPQFMFYLRRSQFLDVFNNSPDETGFFRLMLNREGVVNSIIMIQPTLLRYSFDGPPVPVLLDIRSVTPDAILLFDSYFYVVIHHGLKIAQWRKQEYHKDSNHETFRNLLEAPEMDVVQLVSDRIPMPRIVRCDQHGSQARFLLAKLNPSVTQKTDHTGGSDVVLTDDLCLEDFLADLQSLAVRK >A09p061050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50435418:50436623:1 gene:A09p061050.1_BraROA transcript:A09p061050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQASLLLQQQLKHLCKNPVDGFSAGLVDESNVFQWSVSIMGPPDTLYEGGFFNAIMTFPEEYPNLPPTVRFTSEMWHPNVYSDGKVCISILHPPGDDPNGYELASERWNPVHTVETIMLSIISMLSSPNDESPANVEAAKEWRENRAGFRKKVSRCVRKSQEML >A03p006810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2843449:2844835:-1 gene:A03p006810.1_BraROA transcript:A03p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKLSPVGDEKQSTRTSNRIKKRKHMESTSIYKSPSNIQTSPSNIETSRKHRKNNKKLKQSKTKGADATPSKEKKRAETVGGEKEEEHYDTVAAYLFNSANDSTISSILPYSSSAELDCDGGRNHSPYDRQDHGSSSSSSLLRTAMKKGASKEGETTEERWVSYSEVVDEVVSPSGTPRCCGGDGNEGRSSLALKLDYEQIMEAWLDKGTLYVDGEPPQTVPDLHASADVFTSGGEALWAVPKMETMERLWRGHREASLLRYKEKRQSRFFSKRIRYQVRKLNAEKRPRNKGRFVKRDDL >A01g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2554726:2558586:1 gene:A01g500680.1_BraROA transcript:A01g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEFLQVKERTAACAESAEAAGQLSPLSLALTLQKNLENFSEKERKKNIKIIQPQAYLGEEDQLRPSNPLVHLAKVWSFASPILSIQSLGPFSLVQVELKSYSLSKLVAHDSFVCAGDRIYFENLGSTIREHRPCHFRLSTIGGVTKVMPPRQAHRGGHYLPIPISSSSDSSPPSTPAPLPTPSFEATPSGSSFETDPSEGSYDQTPEHIPLSPDPYFMNIEVDVVHDCPVHGDHPTAPASPAADIPPAPAAHITPAPAAPIPAAQPHPAPTDPAMIALLELMAEMVNLQYQALNAQREAQHAQPAPAIAAERASFSNATQPRRPSVPFQPQPHSAMQRGRGGRAFRGGRSGGSRPRTPTCFTCGQLGHVRRDCPTVEQFQPAVPSHITCFTCGERGHYATSCPRTHLAQPVVSSARPARPVNPHLPLPPAKRQATAGRAYALELPGPSGPPQGPISVI >A05p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9159600:9162286:1 gene:A05p019580.1_BraROA transcript:A05p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNQTFFLSHGSPTLSIDDSLEARQFFKSWTNKVLPQKPKSILVISAHWDTKVPTVNTVLRNSTIHDFYGFPDPMYKLKYEAPGAIELGKRVKELLMGEGGMKRVDEDKNRGLDHGAWVPLMLMYPEADIPVCQLSVQSSQSGTYHYNMGKALAPLKDEGVLIIGSGSATHNLRKLDFNITNGSAVPWALAFDHWLRDSLLQGRYGDVNEWEEKAPNAKMAHPWPEHFYPLHVAMGAAGGDAKAEQIHTSWQLGTLLSIDDSLEARDFFKSWSHKVFQHKPKSILVISAHWDTEFPSVNTVLRNTTIHDFNGFPEPMYKLKYEAPGAIELGKRVKELLMVGGGMKRVDEDTNRGLDHGAWIPLMLMYPEADIPVCQLSVQSSQNGSYHYNMGKSLAPLKAEGVLIIGSGSATHNLSKRGYNVFTDSSVSWALEFDLWLRDSLLQGRYGDVNDWEEKAPNPRMAHPWPEHLYPLHVAMGAAGDDAKAEQIHTSWTSTLSYSSYRFTSSL >A05p007880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3209681:3210620:-1 gene:A05p007880.1_BraROA transcript:A05p007880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKSVSGSSSSSSSSSFDHLFGPRNSSSSSSSTTGLFQSILPPPATGAQADLANRNGAAKYEHPNFGVSLSNLIGATDERGEMRKNKEKKNYQNEETQPPCNLSSSIYYGGQENYPSSTPPQSTNNPDAYKKNGKECDSESASRGNWWEGKITNILSI >A01p054950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30813484:30816251:-1 gene:A01p054950.1_BraROA transcript:A01p054950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNEAHLIEDITNDVLGRLVTLTPSMEFADFAGIEDHIAKMSVLLQLESEEVRMVGIWGPVGIGKTTIARALFGRISRHFQSSIFIDRAFVSKTMEIFRTANPDDYNMKLRLQECFLSEILNKKDIKIHHLGVVGERLKYKRVLVVLDDLSDQLVLDSLVGGTQWFGCGSRVLVVTKDKHVLRAHGIDRIYEVGLPSDELALDMFCTYAFRENSQRDGFNELASEVAKFAGNLPLALNVLGLYLRGRDKDDWLDMLPRLRKGLDGKIKKALRVSYDGLGCKEDKSLFRHVACLFNGMEANDIKMLLADSGLDVNIGLKNLIDNSLIHERGSCVHIHCLVQRMGKEIVRTQSNKPREREFLMDSKDVCDLFNGNSDSKKVMGISLNLAELYELLRIDKKAFRRLRNLRFLRIYRDSLDLHKQVRLHLPGGLDYLSPKLKLLCWDEYPLPCLPSSFRAEHLVVLRMRNSKLEKLWKEDESPTCLEVMVAVPQPIWMLNEVYMQVCTELEALVHTGINLESLYRLDLSGSSRFRTFPYISTNISFLILNQTGIKEVPWWIENFSRLVCLEMWECKSLNYISPNISKLKLLEKVDFSNCGALTDASWLDREANAIHTKLPALSFINCFKLDQEALIQQSVFKYLILPGGKVPSHFTNQATGSSLVIHLLQSSLSQQLLGFRACLVVDANELKPITSSWIVSCHFTGKDSSSSFGSSDCQLDIELPRQMDNHLVIFDCCFPLNKDNDSLAELNYDPVDVEIKFTSDSCCEIKGCGVHCFSDKQLSNVCEADKSNMVTAEEFGKSSAAARRSRKPLRVTGKTIQESTDLSCSQTVEEHWMYV >A09p050220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44167677:44171622:1 gene:A09p050220.1_BraROA transcript:A09p050220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLHVVSFIGFLSILRLLYPLLKWFITRFLLTDPRRLKSYGSWAMVTGATDGIGRAFAHELAKHGLNLVLVSRNPSKLASVSDDFRQEFPQIKIKIIPFDFSSERGYEAIEEGIRGLEVGILINNVGITYPRAMFFHEVDELTWTKILRVNLEATTWVTRSLIGPMLHRRRGAIINISSGAAVVVPSHPLYAIYAATKAYVDKLSRSLHVEYKQFGIHVQCQVPLYVATRMVLEVADIDKPSFFVPSPEVYAKAAVEQIGIGSRCSPFWAHSLQWFLAGLLPENLLDTWRLSIGLRRRSLS >A09p074200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56341993:56343602:1 gene:A09p074200.1_BraROA transcript:A09p074200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPVSHVVARPSPNDILEWHYVLEGSDGTPFAGDNLLPEVSCGYYYGKIKFPPEYPYKPPGITMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVNTTVAEKQRLAKSSLAFNCKTPAFRKLFPEYVEKYNQEQLAEAQQTAAESPLQESNTRAESEKAVELRNEDSEGGLKERRKNKKQGLPAWIILLLVSVFGVVMALPLLQL >A07p012560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8070856:8073088:-1 gene:A07p012560.1_BraROA transcript:A07p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLVSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFAKTGQVPDPTSTDNPEFQIVLSIIKDGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFCTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETFPGVKRITIKPQTDRWVFPDTKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKDQSDYVSIPVEGPYKPVHYRY >A03p005580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2333198:2335398:1 gene:A03p005580.1_BraROA transcript:A03p005580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAVSSSLFFHSKNLLKPNPLSRLESVFLPKPSLRSIVKLPSSSTTSGLRSISSSSMASSFKPEQARVPSALPLPAPPLTKFNIGLCQLSVTADKSRNISHAKKAIEEAASKGAKLVLLPEIWNSPYSNDSFPVYAEEIDAGGDASPSTAMLSEVSKRLKITIIGGSIPERVGDRLYNTCCVFGSDGELKAKHRKIHLFDIDIPGKITFMESKTLTAGETPTIVDTDVGRIGIGICYDIRFQELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDSGAGYTAWGHSTLIGPFGEVLATTEHEEAIIIAEIDYSILEQRRTSLPLNKQRRGDLYQLVDVQRLNSK >A05p010600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4479610:4480652:1 gene:A05p010600.1_BraROA transcript:A05p010600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:Projected from Arabidopsis thaliana (AT2G36880) UniProtKB/TrEMBL;Acc:A0A178VXF8] TRVGVNINDPIKNIDTGSGPRLTNHTPHQRHGESHSPTLETRDRISANHIIAREERANTHRASRTPSHPPSFSHSPLLNKISLLQISNLTSTLPSPDMETFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTAAKVDYEKIVRSTCREIGFISADVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKN >A05g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13752799:13759427:1 gene:A05g504940.1_BraROA transcript:A05g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEQRSVGVPKRIASAIVTPSRGDNSDGNVTKRFKGTPRSLAFETLTQQDPKPATEDEQVIEALNDMDITEQLDGGMMDCEMQNDDLMGLELAEMEEKSGHERADHGAEQISQKPSGRSSKHIKHGYKSSASLGGQTKKFEILLRGSPQKRSSSSLSVRLMGTRNFTRRESALHSEVEALRWAMENMLQHSNCQSFGTDCKELIAMVKEPQAWPSFATELERIETLQICFPDFKIAHATSSLSNRIAFRRKKKKSRILNRIIGTAMVRGNVLVSLKTSRQAFHGQIRSSATTKSAPLAELLAHSAEAAGSQLISAGRTVQGFGPMVRVRAGGGPWGSGHEAMDRWGLGQGRGLSPEGLGKALGLCPTQTHAVLAKGRMRPRGCNPWPIVPIRWPVMPVRGARLTPSFSINMGSSLSISYIQSRVSGDLIVCEATSDQVWETESRRRAWRTLTWFRSPRNTFLDLAGHNQTANLDAGRLDGRFESQHRLGGWAKRLGMSQEARVVKGHELPTVVSCQRVSCPFLRDQTMSCPLRQVHGPRPDQVRKSAGPLSRTSSRPVAPRFYPDGWIGLRDDPDCSYLFISILSEELRMVLVKPRSREGSVSERLCNVWVDNARDELVIVYETVKKLCIGSHVSQ >A06p004970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1433637:1437063:1 gene:A06p004970.1_BraROA transcript:A06p004970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSCEIIDLSTTARRITVDNRISLKFYFRIADNILKQANIFRAEKNVIDLYVMLLRFSSLALETIPSHRDYRTSLKSNKEYLRMRLLDVLTELEKLKPVVQQRIDELNPKPLPRYSVHAHPANGTPRWSSAVKPSLTSYDHTKVLNPSGHNFGYMGSRGQQLLNAAPLEERFRKMSVNLMRPTEETLSKHSILGPGGLRAQWQPPKTDIKVQYPSNIDFAPVEIPSFQRQFVDSKPMITNGSNNEPERPVVESTSTPSENIQKNYTEELSSMISFEESESVNDNHIIRQPSPPPVLAEVQDLAAGSCHEAIEAECKMDNPLPDESLRSESPLELHIATTMMDTFLRLAKSNTKKNLETCGILAGSLKNRKFYITALIIPKQESTSDSCQATNEEEIFEVQDKQSFFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPQDSSRKHGIFRLTTPGGMTVIRNCDQRGFHAHSSPADGGPIYNTCTDVYMNPNLKFDVIDLR >A03g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22303188:22305510:1 gene:A03g506260.1_BraROA transcript:A03g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18492521:18495134:1 gene:A09g506110.1_BraROA transcript:A09g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIGSRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGKGISACINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMVNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNSRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A08p013950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9346893:9348401:1 gene:A08p013950.1_BraROA transcript:A08p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINIGLIEICTTFILFLVLLGFLHHKKTPKYLPTNWPVLGMLPGLLVHINRIYDAITELLEASNMTFCFKGPWLSGTDILITVDPANIRYILSSNFDNYPKGMEFKQIFEVLGDSIFNVDSELWEDMRNSSHAIFSHQDFQRFWVNTSVSKLNQGLVPILENAVEKNIIVDLQDLFHRFLFDTSSILITGYDPGCLSIEMPKVNFSDAVDGVADGLFYRHAKPVLFWKLQYWIGVGVEKSMRRGIAVFDEMLEKIISAKREEIKIHGIRDSEGEAMDVLTYYMTIDTTKYKHLKPSNDKFIGDTILGVLIAAKDTTSSALSWFFWLLSKNPEAMIKIRQEINNKMPKFNPADLDKLVYLDGAVCETLRLYPSVPFNHKSPAKPDVLPTGHRVDDNWKIVISIYALGRMKSVWGDDAEDFRPERWISDNGMLRHEPSHKFLAFSAGPRSCLGKKLTFLQIKTVAVKIIQKYEIEVVEGQKSEPVPSVLLRMQHGLKVSVTRM >A07p001600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:68755:73432:1 gene:A07p001600.1_BraROA transcript:A07p001600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCKIGEFISVETMRFLAFLLICSFHLAFSAESDIGTESVATREINGNAAESNATNAKPKEDSFADMIDRALEKEFPENDQNDVPDPGSFNNSVADQQAVLETVARVKPKKNETKTKEEKSFFNLDNENGVEDTPRLIDRKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKLRVVRAVAIPGGLLQIFLFMCLSGITASLCGGKLTEGIFVGAFLSMSSTAVSISALHGQITVGTLILQDCAVGLLFALLPVLGGTSGVLQGMLSMAKSLAILIAFLAALFVLSRTWVPWFLKLMTILSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISSTDLAQHTLEQVEPIRNFFAALFLASIGMLIHMHFLWNHVDILVAAVLLVIVIKTVVVAIVVKVFGYNNKTAVLVGMSLAQIGEFAFVLLSRASNLHLIESKLYLLLLGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPNSSTEVNQKHLCRPVSLCTPLAQTQLFDCLFSSLYVLRLSRLFSSAFIPILIWEHCPLLPLKETYAISYSKIVKWTENMGWWCMYKEGL >A07p015990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:9877483:9877962:-1 gene:A07p015990.1_BraROA transcript:A07p015990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRFFDSISDSGERERESSPRVCFDTRQRQRCQCYITSGGITNKTTTMAEKSQIPDNNNSATSSSGKKPAAEVGSGSSNPGQKMSYPNRPESVNPDQATLRVQWKFAIRQYSKWYSHAWGTAILAGGVFFGLGWIIKGSNPLPSLQSSSKHPKRDEDK >A05g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2006055:2016677:1 gene:A05g500470.1_BraROA transcript:A05g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRVAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMLVIVEAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFNKKI >A07p043620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23844739:23845239:1 gene:A07p043620.1_BraROA transcript:A07p043620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTVNWDLLIRLPEYLLGDNKCPQGDIQASLFYKDLTLATSSTQRYEYLNHKSPQLLRFSATVSEKDIRGLVGQNIIKDIKEKSEVRFGSRVFLARCIENSTRVFSYNCDETTLRFDPGSNMKAIIASRNNPTCRLG >A02g512320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33451204:33454394:1 gene:A02g512320.1_BraROA transcript:A02g512320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDPVIRGNNFLWLHLCYRLTIFSNSHSECSEDEEATCKSRSTKKMGIQRCRGRMMLSVRDRSRSWWFQIRMELGEEIERGHFDYGCSPKFKKERLLLNASQNLRSTLQLILYDVVPSFNLKVYASIGFSYERAQGREMYHYKRRKGRAHQDSMQEDEKDGLKPEEISGINSDFSEPGCLLKWDVHRWHKRSWWCYNQENHLSLGLFYDEPMILTNAI >A09p040380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22742106:22748951:-1 gene:A09p040380.1_BraROA transcript:A09p040380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMVRIQSGEWMKKDDVGWRFYEDSCELEHYIVTRNNEHVDAFMALVREELLISPTTPMVLTYRLPETILEANVIKSPPNTVLTTEDVEILLSIQEWRNEVIVYVTSGALRVAKFQFLCRTPFTLGDTTYLDDGITEEQHLAIITHKYKVNALPWEYRSQDARISDRISDQDWTGFHESKLNGGCHQSSLRKRALKIAASKSRFELFYWSLYESSLNGVTFQTCLKNPIPCIPSPKTSGYVRFSVGNQLWLLHTVQGKSTTVKDSVEGSMVKPSWSAMILGRIPLTTHGIANFPGSLFFVNVIMCFIFSLA >A03p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:492693:495195:-1 gene:A03p000850.1_BraROA transcript:A03p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 4B [Source:Projected from Arabidopsis thaliana (AT5G02530) UniProtKB/Swiss-Prot;Acc:Q8L719] MAGGLDMSLDDLIKSNRKPTGSRGRGNGGASSGGRGFGGGSSGPSRRFANRVGNRTAPYSRPIQQQAHDAMWATDVFATDASVAAAFGHQSTGVVGGVGGSSIETGTKLYISNLDYGVSNEDIKELFSEVGDLKRYGIHYDRSGRSKGTAEVVFSRRGDALAAVKRYNDVQLDGKLMKIEIVGTNLSAPAPPMLAPVQIPFPSNGILGNFNENYNGNFNGNFNGNFRGRGRGGFMGRPRGGFGGGNFRGGRGARGGGGGGRGSGRGGRDEKVSAKDLDAELDKYHKEAMEETIEFRVISIVCTKELKPYKDSIYIFEMNDSRSVKNRRGKSSSLRFRRCLTTKTSDSLPIFSAFSSSFWSLLTISSSPTQSSNE >A10g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2057923:2059953:-1 gene:A10g500620.1_BraROA transcript:A10g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSCFGDNSGGLVRRRRSLQPGSGEEPGGFKTRVFLQPAINSQTGKILKSWIIVAIILLQESCRQVQAIISILKPEVVFLELCCRRMSALQSQTVKISIKDVDVYGDEFRVAYEEALKYGGKVVLGDRHQEITFKRTWAKMPLWLKVKCIFFTLFVAFFLPSAQVDGKELEEMDSLDTTTQMSKDYPSVMDTFVHERDQYMSYALLSVASERSSVVAVVGSSHIDGIKKNWKQPISIKDLMEIPESVFTVKRIVSLVAIGFAHLQG >A08p004730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2794840:2795004:1 gene:A08p004730.1_BraROA transcript:A08p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor subunit TOM6 homolog [Source:Projected from Arabidopsis thaliana (AT1G49410) UniProtKB/Swiss-Prot;Acc:Q9XIA7] MFSGMFMEKPDKAVALKQLRTHVALFGGWVVAIRAVPYVLSYFSDSKDELKLDF >A01g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1149947:1153430:1 gene:A01g500290.1_BraROA transcript:A01g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLVEMCRAGLKWFTMLLDAPSARIVLFGVRIQGHIFVEVLLGFVIVFLLSQKSYKPPQRPLTEQEIDELCDEWVPEPLIPPITQDMRHEPPVLVAAPGPGRGPKTGTGAGTGNAYPRRPRPPRRTRYVRRHQLGVPVQPSAAGPHTTVDGKEVVNFASANYLGLIGHEKLLESCTSALEKYGVGSCGPRGFYGTIDVHIDCETRISKFLGTPDTILYSYGLSTMFSTIPCFCKRGDIIVADEGVHWGIQNGLQLSRSTIVYFKHNDMDSLHITLEKIMTKNKRSKNLRRYINSGQIAPLDEIVKLKEKYRFRVILDESNSLGVLGRSGRGLAEHHGVPIEKIDVVTAAMGHALATEGGFCTGNARIIDYQRLSSSGYVFSASLPPYLASAAITAIDVIDQNPEFKRPDPESPIVFLKLEKSSGSTKDDLLLLEKMADRALKEDSLLVVSSKKSFLDKCRLPVGIKLFVSAGHSDSDLIKASESLKRLASELLL >A09g518600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56790504:56791365:-1 gene:A09g518600.1_BraROA transcript:A09g518600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKTCMCFWVRLFGHGIGINLLRSTEPEKLPKKTAINSKDNHISFQCESMAAVEKKLDEMEIEYVREIVEGRGIK >A03g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13568129:13568462:1 gene:A03g503900.1_BraROA transcript:A03g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEISLCHLPATKRLNHYYNVNVQRMENVILGALKWLMHSVTHFCFFSFFLSCLFDLKEDSLLLRHSHKSQATDLTFISSMVNS >A03g500470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1421885:1422561:-1 gene:A03g500470.1_BraROA transcript:A03g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLDFAGLDGGFLSRPLSRFDLSSTFSCCESSTVFLRVDFSLLLVCSQWWHVEVGDPSLGPCEGKGLRLLVEPWFLSLFSDPYGATSESNKELSPFDDWSLKHVSLAENGVATSIAISVTLVHRYQLSGD >A02p033210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17638205:17641152:1 gene:A02p033210.1_BraROA transcript:A02p033210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLDGKWAPLIMITVINTINGMVNALIKKVLDGGINHMVIATYRLGISTLFLLPIAYFWERKTRPKLTTSISCQHFFSALFGYTSATLATAFWGTLPALTFIMALIFGFEKLNMKTKIGYGVILGAMISLAGALTLTMYQGIPLSNSHEQATISNIHKGNENWIKGCFLLFTGVILFSSWMLIQAKINMSYPCPYSSTVILSVFGTLQCALLSFIKTRHVEDWILGDKLTIFTVIIAGVIGQGMCTVGISWCIKQRGPVFTAAFSPVTLMSATVFDFVILHRMIYVGSVIGSVVVVIGLYIFLWSKSKHIDECKIVTLPTNTVEKEKEEEGHTNVNKLGRVLVIPMTP >A07p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13934548:13935683:-1 gene:A07p024200.1_BraROA transcript:A07p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNARPVLLLLLASLFFLPALGAIDFEYCNKSGYDFFNVSRVEVSPNPVELEEYPTIRVFGYANKSMDDGTVEVKVTAGGTTQTMASYSLCVVGFECAIAAGTNFELVLAEVPLEYIEGVSKYVYSVHLTDDDVGESEEPILRMCVAFEIPTVDLALASAQSS >A09g518180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55537287:55538028:1 gene:A09g518180.1_BraROA transcript:A09g518180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTISALVSLGRLLRLTLIPTFFSLQVITSLPVHPIVFSSDALASPAVVNHTTFDDLRLRSLGCAIAGQHNLMILDQFECFFEERLMKLLSGEEKDPHSVTTKRLWEEESKSSPK >A03g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16069844:16072047:1 gene:A03g504500.1_BraROA transcript:A03g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSGCTKLMLLLLLYLAVFIGNTGADPQWEISHKVRTAPHGDMGRNVIDGSGVEKTLHDVGMGENKRGSHSKVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLVKEGQEHGSGNWVVTGILAGALFIWLCKQFLEQYGEVSMLDIKGADAAKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMVLASRGVSPQNAMLWSIITSLPQPIVAVPAFLCADEFSKFLPFCTGFAAGSSPSQVASSATISVASMEALSTLFENFTHDYNSEDASGFFVSLLFGLGPLLGGVFLVASALTFRLQHALLMGVASGIAFVLGLWRPLQLLLSAKMGFIPLVSLLALGAVLSHFISSTILNATSRKKSRAGSLITPVTNFPTSAITLQSLLACGAVGFHALAEGLALGVAAPNAYGLGRHMVLPVSLHGLPRGTAVASCVFGATDSWHAALAAAALIGFVGPVSAIGSILAGIDYSGLDHVMMVACGGLLPSFWQVIKRAVRLERKKGSVGMVLGVACAVVCLTFTRLVCLHTPYCNSAPEAVR >A07p042720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23137833:23139764:-1 gene:A07p042720.1_BraROA transcript:A07p042720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGAVVHPSHEEISEAFVNQYYHIMVNATDEAYRLYVDGSVITRPGGGPHGPMLSFTSLQAIKEHYLTCEYKGTTYDVLSVDSQRSLQDGILIMVVGFLTGKDNLKRKFSQTFYLAPQDKAYVVVNDMYRFVDEEESSLPPTVVESVPEAEVQSLSRNAAPFQAKAAPVQKPRSMAPPAKARAAAPAPAVVGKPERKSDQRIVDEPGTSVFVSNLPMDAKAPQLYELFKDFGPIKEGGVQIRSSRASGRCFGFVSFESVASVQSMLKAAKSNPFKLGEHKLRVKEKQGKLLFLEYDGSKQSGGRSGSKAQNGSVDESKTPTGSVDESKTPSGSADGSKSENGSAAGGEDDDGFKTITSRRNRRGNGDKKNSVTPKVKA >A01p045240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25580117:25584495:-1 gene:A01p045240.1_BraROA transcript:A01p045240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLRDTSFLSIVVFGEFIVDDKRADEVKKFWLRNLFVLLCSWFTKLQGEIVRSRSGDAAPFVKPTLRMSETMDVDLHGNNGGMHNGGGVQDPNHSMHVQYDHHVMMDEQHADDVMNEGLEADIPSHLGNASDHRGEVVDRGSENGDQLTLSFQGQVYVFDRVLPEKVQAVLLLLGGREVPNTVPTNVGSPHQNNRVLGLSGTPQRFSAPQRQASLLRFREKRKGRNFDKTIRYTVRKEVALRMQRKKGQFTSAKSSNEDSASNGSDWGSGQSWALEGTEAQKPEALCRHCGTSEKSTPMMRRGPEGPRTLCNACGLMWANKGALRDLSKAPPPPTAQNLPANKIDESILEAELAGDISNSQ >A06p043060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23160433:23161995:-1 gene:A06p043060.1_BraROA transcript:A06p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKPEEKEAVSAPEAVPEPASAEDEKKDVAEEKQAATEEENPTVEEEPQPPPPPPPFILYVDLHCVGCAKKIQRSILKIRGVEEVVIDMNENQVTVKGVLDPQAVCNKIKKKTKRMAKVLSPLPAAEGEPLPPVITSQVSGLTTVELSVNMHCQACADQLKKKILKMRGVQTTVTEHTNGKVIVTGTMDEEKLVDYVYRRTKKQARIVPQPDPEAEKPEVEEEKKEETGEGGEEPAETGEEKEAGDEKEEDGDGEEMEASEEMRVWEEEGMKRMMYYYQPSYVIERVPPPQLFSDENPNACCIS >A10g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:838844:839918:1 gene:A10g500220.1_BraROA transcript:A10g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKSPVCNTCGEETGVESNGEFFVACHDCSFPICKACLEYEFNEENVLDDVETKISKHQSTVATHISNTPQDSGIHARHISTVSTIDSELIDEYRNPIWKNRVDSWKDKKSKKKKKHAKATKAEDPDAQVPPQQHMEDISLNTEAASATDVLSVVIPIPRTKITSYRIVIIMRLTILALFFHYRITHPVDSAYILLLTSVICEIWFAFSWVLDQFPKWSPINRT >A03p034020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14403441:14405280:-1 gene:A03p034020.1_BraROA transcript:A03p034020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 6 [Source:Projected from Arabidopsis thaliana (AT3G01990) UniProtKB/TrEMBL;Acc:A0A1I9LSD7] MDDDEYAKLFRRMNPPRFFLPALSTCSFISLSTIFQPYYTYLYSCVTDRVVIDNNASDDATVIQVDSVNKQGTLLEVVQVLTDMNLVIKKAYISSDGGWFMDVFKVIHQDGNKITDTQLLDFIQMRIERNAGWFIPPLRSSVGVMPSDEYTTIELAGTDRPGLLSEVSAVLTDLHCNVVNAEIWTHNTRAAAVIHVTDNTTNSAITDPLRLSTIKHLLCNVVGTNSGSRAAKTVFSSCSDTHRERRLHQIMFDDRDYEGVKKRPRTSRPCVTLTNIDKDYTVVTMRSKDRPKLVFDVVCTLTDMQYVVFHGMVSTEPLEAYQEFYIRHVDGLPINSEAEQERVIQCLEAAIERRTSEGLELELSAEDRVGLLSDITRTFRENSLTIVRAEISTREGKAKDTFYVTDVTGNAVESKIVESIRKEIGVSKLKVKECSVLGTSSRGSQETTIGYLLSNIFKSKPLQ >A08p040770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23155966:23158871:1 gene:A08p040770.1_BraROA transcript:A08p040770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVHSESLLPGRYHHSMRDLNNDSNGCSRLPFLNNDQSYKDVVRRTMLQHEAVFKSQVLELHRVYGIQKDMMDELKRKQFNKELFPVEASCSSQATNDDVRKWKTPSFPMANSVYDRPSMSVVEDNDNSPMKGSHSGQVLPWQNGASSQNVEALEVRPTKIRRKMIDLCLPADEYTDDNEDVVELKDHRQLPNGDSLRVGFGSSSRTNGLADLNEPLKAQDTNEVAYGNFQSHVRDYGKVLNSGSVREHVPLISLHPNENGKPKVWPQHQPLRIDHYSGTHKSATPFLQPGKPQRVVGLANAGGPPPSKADLWRGKTFIDLEADTDTTNTGHEVNHESSSFASHQQPQRHLYPYNPTPDSAVQWNRLHSSWQNPSFGFPQRVERYPVLNMSDTNAQKLGGLGDRLQFDSNPRYNSGSGSTTRSNHSMFYNECSSSLKSKGTGTGYNYPNGGRSDHSLLDLNGSEVKSVRDLNLNVTLSNTSVVEVRNEHVATLPWLVKPKPACISEVADGRWNLNSNDAVPSPLKPSNIKEEGGNLMWLERLKSGSCSSKPKTEEKIKAGTACRNESNAERDKVRIMLDINEPCEPLSDEDQQTEQQTETKVSVSSKCQFDLNMSVSEEEEDESWSAPPTSSKLSSKRPLIDLETVPESDAEEDGEKPSEETPKTIEKPPEFEKTAAETIVAISSEVVASSEAPETVILHWFAETVDTHKENLDQKLTSLSRNQARSIEEIDYFESMTLQLPEITEEEYTPTPLVPEDIKLEETALVTSQRPRRGNARKGKQRRDFQRDILPGLLSLSKHEVTEDIQLFDGFMRAATGSSWTPTGLTRKKTGARGRPRRVITNPEPVVYYPVQAPPCPTSVQQHVGNNNGEIEDGSFGGWGKMTRRPRRQRCPSSSTVTTTSVTTNVHHHTRMSRDGWE >A09g508290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23452204:23454638:1 gene:A09g508290.1_BraROA transcript:A09g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATPRSRSRFRRNGAQKLTWSDVLERHLEVAPAQSEVSRATLQGRSRFRRITTRENNSGATSPSDTLTSLPNRSSFRPCSLFLTTHSPFPFIQSKKTKGRLEAERQEAESQEFALRGKALASEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPTHEESETESEDELAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNKHSTGADKNSHIRHPSVRYLHRMLVHAFYPRKQAGTVTEEDMRLFCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNPTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPSGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKK >A04p037530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21415049:21416788:-1 gene:A04p037530.1_BraROA transcript:A04p037530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKASVLCNFCNSSRYGCSSEGQDNEAVREVQRLQLLIRRMNGKDDSMSDLRAAITSKRRLSSTKMKGCANSLPLEEREEKQDHEAPLLKMKREFERRSSEPVHKELERLWLLNERMNGRELEGMTSSDLLLLDTKILLHALVGLRDQQLGPRREQIAREQKEEQDGRCSLDIVKDESHRGDITSRKRSKPALVTVSRKLRRFQNRHRRTMP >A02p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4161083:4161940:1 gene:A02p009900.1_BraROA transcript:A02p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLHSKTLSFSILVSLPLPGRLENISEGTMKVKSSVKKRCESCQTVKRRGIIYVICSSNPKHKQRQGYCSIAHEGTIPTPLFSESVSNQDVVKLPSLRVSASLVPLLHKRPEPTTIFGWRAGFASVLFKQGTCCRFF >A01p001680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:741910:743089:-1 gene:A01p001680.1_BraROA transcript:A01p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTDPLWVRKKKLTDHWRRFIHPITWRCKWLELKVRELQNQAGKYDRELQESCQAKQIELENLKSEEFGSLLQCRTERTRLKKRRKRKRVEEEEASDVSSYALNHNLFSYYENRKSFGDVALSDKKNKNSKEEEAVFCEETLLLEFGEGDAFLEQILLKIEAVKVEALNLKNRVDKVVNENPCRFSLEKQKQPLLVTKNEDDSEEKTVKSASVSEDDDETTDILLSEMMQREGKAIVLNKKTQKTEQASVEEGPSRPVRNRKPRNLEVKEETKTKRRRVSKEKPKSNVTKRPNKKRKRGKRRSGSSGSRRRS >A07p024860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14313239:14317821:1 gene:A07p024860.1_BraROA transcript:A07p024860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGDKTCPLCAEEMDLTDQHFKPCKCGYQICVWCWHRIIEMAEKDKTEGRCPACRTSYDKEKIVGMTASCERLVAELNNDRKKSQKVKPRPSEGRKDLTGVRVIQRNLVYVMSLPFDLADEDLFQSREYFGQYGKVVKVALARTQAGEIQLFPNNSCSVYITYSKEEEAIRCIRSVHLFVFDGRPLKACFGTMKYCHAWIRNMPCNNVECLYLHEIGFQEDSFTKDETISVHMRKIVEEITGAVPNSPRRSGSMLPPPVDDYVDNVSIPRPIPKCVLNNAQSVVKTSPPNSSNGRSVSLPAGALWYTRLLCIYILFSCLPALSVTCNWFFRGMHASSKSSVPSIPCSGEPLRVKAVTVSSASHSDVSMKPALEDNHTSYGNGLKPQELLDSKIEFPELSLVNRTQTSNSKALVSASHSDVLKKPAIEDNHISYGNALKPQDLLDSQTDFPETQTNTKALVPANVDNTRAISVPSDCTNFPEPNSQSCRSMLSNGDKNINGGIQSVCSDAVSVDSDSVADGYGGLTRSDSAHADHVSLKSSHTEVSRNSLQHCVNETREVQQLQKTGITKANGVVVSREEVNVGTALMSPLVTDRHLQAEDDMSSFIRERLKDPEVFSCQPIATNKASFLRTMQPSSCQYKVGHDETRSMFGSSAADSRGSNIASISHGYNEMPQREPSRLNGSLNYSMLFPDTQPNGNCGVDTQGSSRNEIDDRIASIMSLDLDEYLTSPHNLSKQLGAGDVEARSRELASYCEAKNNQSRFSFARQDESKDQAFRSSNVFNQRPLGSDLYQNSSERQSRDMNMLGMYNGISSSYLKGMDYVTQNSTLPSSYKPPSVTRSPVTAPPGFSVTSRPPPPPGFSSNGREQQTLSGFSGNHRYSESPVYNNTPYHQSLPIENNGGVRDVEFLDPAILAVGQAPSLDYRSNFQGNTNIFSNETKLQQQHQLAMQSPLSSHQNCRLTDSLGMASRFMDQSRNVALPNGHWDGLSNEIQSQNRFQNERFIGSTNRMNGYNGTFRI >A08g501770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4322210:4323556:1 gene:A08g501770.1_BraROA transcript:A08g501770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRWDPGITIGISIGADIYHGDWKWGSLSRFSEFDLGVNRGIFGGIRKVTIRLYWNSISNVINVESRIYEVKNGELVEKVESSKMVTSLDKTEEVMGHGVGDAVTSQEVLWSDKWWGWGVFGIPKIGYGRSGQMGSLVSSNRRLPYQALVEFGMGVQQRRYYGGKMVLGISRWFNEMGGE >A04p036800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21106231:21111148:-1 gene:A04p036800.1_BraROA transcript:A04p036800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWIHYRGTSKDDGSQVSIFALSGNNAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETHDGSTSKVTIYIVTEPVMPLSDKIKELGLKATQRDEYFALGLHQITKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVVLFMNSSRALSWEKQRSCSLLPDYQRLLSSMPSRRLNTSKLLENGEYFQNKLVDTIHFMDILNLKDSVEKDTFFRKLPNVAEQLPREIVLKKLLPLLASSLEFGSAVAPALTALLKMGSWLSTEDFKVKVLPTIVKLFASNDRAIRVSLLQHVDQFGESMSGQIVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIATYLNEGTRKRVLINAFTVRALRDTFPPARGAGIVALCATSTTYDDTEIATKILPNVVVLTIDQDSEVRSKAFQAVEQFLQILKQNYEKTNAGETGATGGASAIPETAGLIGWAMSSLTLKGKPLDQASLASSSSAPSLAAAAASNAPSTVTEAPSVRASHHTRSNSDFTDQPAPPSPSSTDGWENGITEGHDSDNDGWDLDPIDEPKPSPALSNIQAAQKRPVSQPSRPPATSSRPKISTAKAAAKSEDDDLWGSLAAPQPATTSRPLNLKKTVQSDDEDPWAAIAAPPPTTRAKPLASGRGRAAKPAAPKLGAQRINRTSS >A07p023170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13451524:13453166:-1 gene:A07p023170.1_BraROA transcript:A07p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 105 [Source:Projected from Arabidopsis thaliana (AT5G66300) UniProtKB/Swiss-Prot;Acc:Q9FH59] LISIFSLSVFIDRVGWGFFYGRSSLASSIKKKPAKYKHLKILSKMMGLVDQESSIPPGFRFHPTDEELVGYYLKKKVASQSIDLDVIREIDLYKIEPWDLQERCRIGYEEQKEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYLNSKLIGMRKTLVFYRGRAPNGQKSDWIIHEYYSLESHQNAPQQEEGWVVCRAFKKRTTVSTKRRQLWDPNCFIYDDATLLEPLDKNVLIKRAKHNTDGLAVTPFKQELPSEANQIVDDGFFGSYLKSMGDDELSQLPQLESPSLASEKLPETTSRTGEDDLGAEKRMTDWRALDKFVASQFLMSGEE >A09g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10231813:10234666:1 gene:A09g503140.1_BraROA transcript:A09g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGEKPEGGKGIRYLYAFAALSVHIGCHIDNSVHGFLTRVQQENSSVSLKFNILVSSNPTAATKNVETAVFISRGGEEASMDDESYILHMHMAHLPLRLWLHRCVSKLSLLDESPKRHENLTEGVNLKPVSFMSQFHRSRPDCNLCASWVRLYLYKRMNALITLCFHGHMFLQTKKYCVLLYIKEKILKKLSKQQLDPLYDLDQALRFACVDRGQTENSCCSQTENICCVKCPFCRRGGDGVSNVVKFTEESKIRYAWSKYAELERSLAETELARTIFELAISQYEEYIDYLYPEESQTTNLKILEAAYKWKKQKLAASEEDYDYYQVSFELYQNCELIFFGLC >A07p013200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7590797:7596642:-1 gene:A07p013200.1_BraROA transcript:A07p013200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKEAFVNYCLLKKQIKKIKISRKPKPASLYPIAHHPYCGLSLFQPVRNLARTFSDKLFSSSETPEILEVRRRKSSENGDDVEEIYQTELVQLFSEEDEVKVFFARLDEELNKVNQFHKSKETEFVGRGENLKKQLDILAELKQILSDRKKRNLSGSNSHRSFNSSARNSDFSAGSPGELSETQSETSRTDEIIEALERNGVNFINSATRTKTKGGKPKMSLRVDIPDAVAGADGGGARSIATATSVLWEELVNNPRSGGGDFITRKKIQCAEKMIRKAFVELYRGLGLLKTYSSLNMIAFTKILKKFDKVSGQQASSSYLKVVKRSQFTSSDKVVRLMDEVESIFTKHFANSDRKKAMKFLRPHQQKDSHMVTFFVGLFTGCFVSLFSIYIILAHLSGIFTSGAQVSYMETVYPVFSVFALLSLHMFMYGCNLFMWKSTRINYTFIFEFSPTTALRYRDAFLMGTTFMTAVVGAMVIHLILRAAGFSANQVDTIPGVLLLIFICVLICPFDAFYRPTRFCFIRILRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHLESTACYFMAQSFRTHEYNTCKNGRIYRELAYLISFSPFFWRAMQCIRRWWDESNTDHLVNMGKYVSAMVAAGVRITYARESTNLWLTSVLVSSVVATLYQLYWDFVKDWGLLNPKSKNPWLRDDLVLKNKNFYYFSIAVNLVLRVAWIETIVRFRVNHVQSHLLDFLLASLEVIRRGHWNFYRVENEHLNNVGHFRAVKTVPLPFRDMDSDD >A08g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5446972:5449967:1 gene:A08g502530.1_BraROA transcript:A08g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETSRFYSETSGFETSRLRDFETLRLRHITSVFIGSPTCKRLFATDRFPVRRLNIYSKPDLLAFVHDVLRGTSEFQTIRDSCFGKLFDLPARQCPVSCKLIHSLLSRQLLVDDPHTQWTAFSGQPLRFGLQEFGTITGLPCGAFPVGHLPPKNKRNQASKDKIWKKLIAPRSSFTIMELEEDHLPDHPSININDVLTIEAEEYLSVTPIIPIERQADPGWGVWPDIVNDERLAYMEELIADKRPFKKWMWPGGDTSLPLIPPPTVEEKPVHKKALKPKHTGKNKASTAKPQYQKNINSPEAETHQQLLSVQNEQKRLLEMIEKLKQRPHTKRSREASLLPRMKKFKKRRRQKSQTHSTLQPTDSPRNNTMSSPLHHKDDTSCQSPILSQYAVQHHHSNLDNIQDSEPLTQKSPDHCSPIQKSPDHISPIHKSPDPSSPVKNSPSPERKYPIHISPFTLPSLLHVSPVHTSPVHTTPELPVATPTTSLARRGVIYDASDHPNTPPFHHLLYQGLENFEPIYDLTPADDGPRLSFTPQPPLSPITRPHLTPNPSPTKSTDTGSGFAQHATSVNAFTATASSSRTPHHSSSVENHQGGQNESEVMELSDSSPAREALAHTPSDAELHLANELLCCPMVPSQRLISPLPLQIWELFYDTFSSAKNVYKILYLYISYTFSHYFFEFNLAFSTASTSRPRSSTSPTNSY >A07p033180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18139536:18144153:-1 gene:A07p033180.1_BraROA transcript:A07p033180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRRRYLSGARFMSETPIYRIVRADHCRYSSRDESVGRLVVRNVKASDSEDDEVLEKMVPTDLMTPTGTIGASQGWVATLKDNVVWLQDDLNPFASDSSPKRISLPRPKTLPDCQTQMVTNVALSSSPEDEDCILAVKFVGAQLSLCRPLLSLCKPAENNNQWVNIRIEDPGFFSSRVMYSNNEKMFSMLGRGGTHTGSWDLENHRSRSFDYRTYKEYLPSEMADMSRCSRSEHLVEAPTGETFMVKWYTDIFWNGPDDGVRRWKRFMVFEVAGGDAHATNDIEELCIFLSTKGEPFCVEASLYGLTPNCIYYVGDFDYGKVNIGNNERRHLVGARFLSETPLCHIYGVEHCGYSQAHYGRPRYPQIGRLMITDFTGPSCWTKVLEKTVRMDLMSETGTMGASHGWAFTLRYGGIPHLVDDLNPGVSNSDGCEISLPDLVTLPHCQTKLVTSVAMSSSSPHDEDCILAVKFAGPQLSLIRPAQVNKEWVNIKIEDPSFFSSRDLGENLEKPKAQEFCDVFTPELLKSEWERLDSCVTRVELVESRTTDEMFMVKRFTERNDFNDGRMEERRIWVFRQDSCSTWCFTENIGDLCIFLSNAEPFCLKASSHQKCKNSIYFIDKSERGIFILGDKCKTSNFNKFTAPYFIPPQSYLDANCSDGYDSP >A09p043660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35544827:35547246:1 gene:A09p043660.1_BraROA transcript:A09p043660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MND1-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT1G32530) UniProtKB/Swiss-Prot;Acc:Q8RX22] MGCTVRDKHVRAARKIRPAYKPELDLDRVALSKSIVESSLKNLVYHPGLTGSTSGACCVHSGTGFEESVWGYCTEENLQEILLKHLEFLYDQAVSKLIDLGCEESVAMRAVLSNGHCYGESDVLKNIVNNSLSYLNSSSSSNGDQSETGFTDLRDLEEYSLDGMVYLLQQVRPSFSKGDAMWCLLMSELHVGKASTMDLPNRGTCCAKEDSHGEGTLDLAGFMAPALCRFHGGWDFGNGGGPEFSGKGFSMNNAELKLQREIECPRRFNLSPTMKSLLKRNVAAFAAGFRASMKQKQIDDGTSGEGADPTHVESEESVGSVLEKFRDLNLDDNLESVGEDDKDGVIVTLLHQVKDLEKKLKERKEWAQKKAMQAAQKVSDELTELKSLNSERESIQMLKKGKQAVDESTVKRLSELENAVRKATCQRDTANAIVRTLENQNAEIRAEREGLKLSASESIKACTEESKKEKKCMKKLLAWEKQKLKLQDEITAEKEKIKALYKSLAQITQDEKEIEMVGRYLCQINIKDHSRGIVCISHVSYWLGAKRVEEQKAKEQALAQVEEEQRSKEAAEAHNKRKLETLRLKIELDFQRHKDDHQRLEQELSRLKASSDTDSSHLSSNVWEPERSQGENIAKLLEELDRLEGSYESEANNDRECIICMKDEVSVVFLPCAHQVVCGSCSDNFFSSNNGGGGKVTCPCCRAVVQQRIHIFGATS >A10p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15201043:15202998:-1 gene:A10p023220.1_BraROA transcript:A10p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETVNGVKEENKLWKGVFAVSGIMFTLVIYGLLQEKIMRVPYGLNKEFFKYSLFLVFCNRLTTSAVSAAALLASKKVLDPVAPVYKYCLISVTNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTLIMQKKYKGFDYFVAFLVTLGCSVFILFPAGDDISTYNKGRENTVWGVSLMAGYLGFDGFTSTFQDKLFKGYNMEIHNQIFYTTLCSCVLSFTGLILQGHLLLAVDFVSRHRDCLLDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQVIVFGSLYAKNLLNNKKSQSQPLPPELPQYEKAEGS >A05g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:215318:215928:1 gene:A05g500040.1_BraROA transcript:A05g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSRFAWIIDDVPGTSTPHSTTSPFVALPLIAETLALPNAMISAHSCGIKSLSIFSDSQVLIKLVKSKGKHLEIAGLFNNIYFLSYRFTAIEFMFIPRLANARAYYVAKHTLSLMYQNQV >A09p073210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55910558:55912166:1 gene:A09p073210.1_BraROA transcript:A09p073210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSFKNFIEDELGTFPHFFIYAILEWIIIILLFLDGLLAFSSNQLSKLFDLKTPCLLCTRLDHVLVPTNPDFYYNDSICDSHKKNVSSLAYCHVHKKLSEIKRMCEGCLLSFATEKETDVDTYKSLIGILHKDLELLIDDEHQKAFPVTGSKLDLRANNRFQEQQCCSCCGELLKIKTEKQPNSNGNRSFFAAPSPSPRVSFNQRTMDFSNLPEEEEAANNKDTLDRTPSFVRGGNNRFFSDSAQNSPRWSVRSMKKSLIDQTGPEAEVLDGDSILHHLNRQVRLDRKSLMDLYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMDEQAEYDQEAVQSLSGLLVKREEDMKELEAEVEAYRLRYGLLMGEEEEQPQQEDSKGGEAEEFLDEENNETKPDCSSNHEEDLVQMKDSGEEETKDNNGGMIIEEEKEKGSRKDILVKEISEITERLHEIESKGELLQHISEVLDVSEGEAILLQISQNLNMLRSFIEMPSES >A03g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23136310:23137963:1 gene:A03g506470.1_BraROA transcript:A03g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTITKYGIVGIGMMGREHLINLHHLRHQNLAVVSIADPHPPSQLLAIELARSFNWNLKVFSGHEELLESETCDVIVVSSPNMTHHRILMDIIAYPKPHHILVEKPLCTTVADCKEVLEAAKKRLDMVVQVGLEYRYMPPVAKLIEKVNGGEFGDVKMVAIREHRFPFLVKVNNWNRFNVNTGGTLVEKCCHFFDLMRLFASADPVCVMASGGVDVNHKDEVYDGKVPDIIDNAYVVIEFDNGCRGMLDLCMFAEGSRNEQEISVTGDIGKGEALVPEGLVRFGTRAGGREHVQTIKAEDERIKYEGLHHGSSYLEHLMFLSAIRGEGLAAVDLEDGLMAVAMGVAAQLSIQERRYVSMDEVL >A03p025820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10877882:10879650:1 gene:A03p025820.1_BraROA transcript:A03p025820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytidinediphosphate diacylglycerol synthase 4 [Source:Projected from Arabidopsis thaliana (AT2G45150) TAIR;Acc:AT2G45150] MASFGKVCTYNKPVPVLTTSLCKCSCRVSTKKTLNLPPFSDYSSLRLVRDAKTEPLFRSRLGRIPVKRRFLTAVTRAESNQLGGDTSEGVDKRIHDLQNVEEEEDNQKKASQLKKRVIFGVAIGLPVGGVVLAGGWVFTLALAASVFIGSREYFELVRSRGIAKGMTPPPRYVSRFCSVICALMPILTLYYGNIDIWVTCAAFVVAMALLVQRGNPRFSQLSSTMFGLFYCGYLPCFWVKLRCGIARTWPIFLGGPPQWTVGLVATLISFSGVIATDTFAFLGGKAFGRTPLTSISPKKTWEGTFAGLVGCIVITILLSKSLSWPQSLFSSIAFGFINFFGSVFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFIKTSLRLYGV >A07p034870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18907637:18913725:-1 gene:A07p034870.1_BraROA transcript:A07p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEDELLQMALKEQSQRDLTYQKPPSSSSRKPVANLVQQPRQQKPAPPKKSAAAAARKPSMDDDDESEVELLSISSGDDDLERDRETGGGGGAGKGRGSDVRERGGRAKKEDDGAWDGEEPDCWKRVNEAELARRVRDMRESRTAPVVQKLEDKAPAPGKKVVLTSLQSLPRGMECIDPLKLGIIDNKTLRLITERSGSPSKSEKVDNTLREKLIYFSDNFDPKLFLSRIHQHTSAADLEAGALGLKSDLKGRNLQKKQLVKDNFDCFVSCKTTIDDIEAKLKRIESDPEGSGTTHLFNCMKSVTSRATRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRNSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKIMLEFKGTLYKSMEDPKIDFTSLENTVRLLLELEPESDPVWHYLNVENHRIHGLLEKCTYDHEARVEILRNETHERAISDAKWQQIQQNVVPYSDAASSNENNEVQVDPQSVEFPSEEIDALKGRYIKRLTAVLVHHIPLFWKTAISVFSGKFAKSSQVTDSSANKAEEKVTEARYSTHSLEEVAAMIRKTISVYEAKVVHSTFCDFDESCILRPFMSDAINEVSKACQSFETKDSAPHSAVVALRKVQAEITKIYIQRLCSWMRASTEGISKEETWVPVSILERNRSPYAISYLPLAFRSVIVSGMEQINLMILSVKGEAAKSEDMFAQIEEILISVRMAFLNCFLDFAAHLEQIAADLSQSTSTREDWKNGYSDDQQEVPSANTYGSVVDPHRRLLMVLSNIGYCKDELASELYNKFKYTWLQSRDNDEDSSDLQDLIMSFSGLGEKVLEHYTFAKANLIRTAATNYLLDSGIQWGSAPQVKGIRDAAVELLHTLVAVHAEVFAGAKPLLDKILGVLIEGLVDTFLSLVEENRTNDLSSIDANGFCQLMFELEYFETVLNLYFTSDATASLKTLQGTVLEIAIESISEAVETTPGHNRRPTRGSEDTVSDDRQGSSISADDLLALTKQYSSELLQTEMERTRLNTACFAESVPVEPTPTLPKTAYRVSMDSPSRNYRGSQSSGSPVHARPRRR >A04p008050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000056.1:114291:115426:-1 gene:A04p008050.1_BraROA transcript:A04p008050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGMNMRMKVAVTFKGSNYLVWSRLVKTAVGSKDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARN >A09g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18340296:18342637:-1 gene:A09g505930.1_BraROA transcript:A09g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPRNIATPTPSRQSHEPLIHSYSITAREQVKIEKLTFIGFRDNQARTDDFHHVKLLFGTEEIRERGKGPNDFERIERGASGSKGDLSVNFSTITPKKPNSALRKVARVRLTSGFEITAYIPGIGHNLQEHSVVLVRGGRVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >A01p027530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18871454:18872611:-1 gene:A01p027530.1_BraROA transcript:A01p027530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable 6-phosphogluconolactonase 2 [Source:Projected from Arabidopsis thaliana (AT3G49360) UniProtKB/Swiss-Prot;Acc:Q8LG70] MAPAKKIVFKTKHELAVELAKYTANLSSKFCKERGIFTVVLSGGDLISWLWKLLEPPYADSIEWSKWHIFWVDERVCGWDDADSNYKLAYDGFLSKVPVPAENIYAIDKGLGAEGNAELAAERYEECLKEKVNKNIIRTYKSSGFPQFDLQLLGMGPDGHMASLFPGHDQINEKVKWVTFITDSPKPPPKRITFTLPVINCASYNVMAVCDKEQADSVAAALTHTKDVPAGRLTADVEVVWFLDQAAASKLKGWCSIL >A05p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17990358:17992035:1 gene:A05p032130.1_BraROA transcript:A05p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIMTWRTKPTNHPYPQYLTVNSPQTFFKLILLETCQQFNLMAIHRFVLLASLSLVLFGLETAVSQRQQQSQVPCLYIFGDSLVDNGNNNRLLSLARANYRPYGIDFPQGATGRFTNGRTYVDALAQILGFRTYIPPYSRIRGQALLRGANFASGAAGIRDETGDNLGAHTSMNQQVNSYTSAVQQMLQYFRGDTNELQRYLSRCIFYSGMGSNDYLNNYFMPDFYSTSTDYNDKTYAESLIKNYTQQLTRLYQFGARKVIVTAVGQIGCIPYELARYNNRNNSTGRCNDKINNAIALFNSQLKKLVDRFNNGQLQGAKFVYLDTYKSTSDLAANGAAYGFEVVDKGCCGVGRNNGQITCLPLQQPCSDRTKYLFWDAFHPTETANILLAKSNFNSRAYAYPINIQELANL >A04p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2694203:2695935:-1 gene:A04p005160.1_BraROA transcript:A04p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNFDREDGLETVLEVPMPEELFCSENNKTGSWRSVKTSLLRSSPDNNSSLSTLFGGHDAQIQMLLGIVGAPLIPLPFSSDHDVLDHPMPKLIKNQSILVVSGCKVSAGCDGNVAWKQSPWLAHSNEPSGPLRRFLQGLDPKTTANMFAGSVCVGEKVVNDEECFVLKLETQTSGLKSRSKNGMETVKHTVWGCFSQRTGLLVQLEDTYLVRIKIGLDEEDVVLWETTSKTMIQDYKSVDGIQIAHRGKTQVSLLRLDESLESHSKTTMEESWEIEEVGFNVKGLSSDFFLPPGDLCTKENEESGFNFGDFSSPMMIPLKVSKDSWKLNSSKVTAIEDFEG >A06p042780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23007814:23010388:-1 gene:A06p042780.1_BraROA transcript:A06p042780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESLIEDEESRFFDAHEDIASCSTASPPSISAYDVWIKSPGNAEERREKFLHWMGVNVEEKRSDNVDRFSASVNEATTVLRSEDEFSSCRCDSSVFSPSDNVDRIVKEVDVEDLSNDDDISSSLCSGGEIEPKSLNLVVTASEQRDVGGIMKRVKEKWLSRLHKARSKEKGEDHHHSSEALVSGSGRIERVKVKEYKKEAKELSALFKGQEIQAHEGAILAMKFSPDGRYLASAGEDKVLRVWSVVEDERCEEHDVPKMDPTCIYFEVSNLSELRPVAVEKDGVNGGSLMSPRKTTESACVIIPPKIFRVVDKPVHEFVGHSGDILDVSWSKNNRLLSASADSSVRLWQIGREDCLGIYSHSNYVTSVQFNPVDDDHFITGSIDGKVRIWSASRYQVVDWADARGIVTAVCYRPDGQGVIIGTLTSECRFYNVTGHCLQLDGHICLHNKKKSSNKRIISFQFDSTDPSRVMVASADSQVRIISGRNVVHKYKGSRNAGNQISASFTGDGKHIISACDDSSVYIWNCVPHDPEPPSPGFFSHTKRIKIRSFEKFSADVSIAIPWCGFSSPAISGGSELSPSLFSLGREYVLDSPKGAATWPEEKLASSFSPVRAIRRSHYRFLRSSCRRTAESSHLWGLVIVTGGWDGRIRLFHNYGLPVPV >A03p042810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17894042:17901204:-1 gene:A03p042810.1_BraROA transcript:A03p042810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLRPTSAPLLDSFTDLTHTAIKTCDGFLFRDFWKQGVAIWNPWLRQVGWIEYVDKGFHFCGVGYDRNRPDKSYKILGYFKSLTVSVRIAVYECASHAVKFLDVPFKQWPNMGPLSLNGNLYWVTSNPDDTNEYLIRSFDFSIEMFRTFCLLPCRKNHSRDELVLAVYKRDGFSLLKQCYVTGEIEVWVTKNKISEEEVVWIHLMTLPTSNLPKLVNKLCGVSYFIFDKTIIMCCGDQETGAACTYIVREDMCKKIQIGLGIDSVMAPENLPWDLEEKILSGLPPLYLVRFRTVSQHWNALLNDTRFINNHLARVRPQIIFLTESKVYSIEIDLCGGGADPTIEVREIPSDFPYQATDLTHTTITTCDGFLFRDFWKQGVAIWNPWLRQVGWIEYVDKDFHFCGVGYDRTRADKSYKILGYFNCLRTVSNTYQVGYKKVAVYECASHALKFLDVPFKQWPNMAPLSLNGNLYWVTSNPEDPHRHDYLIRSFDFSNEMFKTFCLLPCRKNHSRDELLLAVYKRDGFSLLKQCYVTGEIEIWVTKNKIDEEEVEWINLMTFPTSNLPKLINKLCGVSYFIYDKTLIMCCGDEETGAACIYIVREDMCKKIQIDLGIVRFSHSVYLPNFISVPSEFRPLRV >A01p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11470286:11476885:-1 gene:A01p023220.1_BraROA transcript:A01p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDDNEGVSASSKHLVFAYYVTGHGFGHATRVVEVVRHLIAAGHDVHVVTGAPDFVFTSEIQSPRLKIRKVLLDCGAVQADALTVDRLASLEKYVETAVVPRAEILKTEVEWLHSIKADFVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGYHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRKEVRKELGIAEDVNVVILNFGGQPSGWNLKEASLPTGWLCLVSLNIHPASVYVAAHILQETAIGRHCASDKFSGARRLRDAIILGYQLQRVPGRDIAIPEWYSRAENELGQSAGSSPTFQVNENNSLVESCSDDFDILQGDVQGLSDTWTFLKSLAKLDAIHDSEKGMEKKTMRERKAAGGLFNWEDEIFVARAPGRLDVMGGIADYSGSLVLQMPIREACHVALQRNHPGKHRLWKHAQARQQAKGQVATPVLQIVSYGSEISNRAPTFDMDLSDFMDGDKPISYEKARKFFAQDPAQKWAAYVAGTILVLMTELGVRFEDSLSFLVSSAVPEGKGVSSSAAVEVASMSAVAAAHGLSINPRDLAILCQKVENHIVGAPCGVMDQMTSSCGEANKLLAMICQPAEVIGLVEIPNHVRFWGIDSGIRHSVGGADYGSVRVGAYMGRKMIKSMASSILSQSVSNGNGGNPEELEDEGIELLETEASLDYLCNLSPHRYEARYADKLPDFMLGQTFIDEYSDHDDPVTVIDQKRSYSVKAPARHPIYENFRVKTFKALLTSATSDEQLTALGGLLYQCHYSYSACGLGSDGTNRLVQLVQGMQHNKSTTDDGTLYGAKITGGGSGGTVCVVGRNSLRSSQQILEIQQRYKAATGYLPLIFEGSSPGAGKFGYLRIRRRISL >A09p057970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:49012503:49013411:1 gene:A09p057970.1_BraROA transcript:A09p057970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPEEGTQPKTDSGSGPGQTSERDTSQPPPPTPQSQPPPPQTQSQPYPPVMGYPGYPQDPYQNYPNASYQHNQYAYAQAPPASYYGSSYPAQQNPVYQRPAPSGFFRGILTGLIVLVVLLCISTTITWLVLRPQIPVFSVTSFSVSNFNLTGPVFSAQWTANLTVENPNTKLNGYFDRIQAFIYNQNAIEEDDFLAMAFFQPVSVETKKSVSIGETLTAGGKEQPKVPSWVGEEMKKERDTGMVSFDLRMLVWVTFKTDGWSARERGLKVFCGKLKVAFEGGSRNGAVLLPKPLPCLVYV >A08p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22423444:22424595:1 gene:A08p038820.1_BraROA transcript:A08p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLDLEKHFAFYGAYHSNPINVVIHIIFVWPIVFTALLLLYPASPIYDLTQLGFPQSMTLDGVLRLDVGFVVTVAYALFYICLDKKSGFVAALMCFACWVGSSVLADRLGPSLAVKVGLASQLLCWTGQFLGHGLFEKRAPALLDNLAQAFLMAPFFVLLEILQLVFGYEPYPGFQARVNAKVESSIKEWREKKQIKKNKLT >A01p001220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:457864:461404:1 gene:A01p001220.1_BraROA transcript:A01p001220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQKIASSSMICFLLLLLPIAFSQPTRNLDGISARAPTVQQIRNRHGAREVIVDNGIIRVSFSSPQGLITSIKYKGIDDVLNPHVRTRGYWDITWQGENIRGLDGIEGTNFRVITQNEEQVEISFSRKWNGGSKHIPLNIDKRYIIRTNTSGIYAYGVFERLSEWPEVEMGQVRIVFKLNTDRFRYMVVADDRQRQMPTDNDRDIHRGHAKALAYKEAVQLTNPADLRFKNQVDDKYQYSCEVKDNKVHGWISTKSHVGFWLISPSGEYRSGGPSKQELTSHVGPTAISSFTTGHYVGRDMETTYKTGEAWKKVFGPVFIYLNSDSTGNNPRHSLWEDAKRQTEEEVEAWPYDFVASSDFPSRQERGTVTGRLLVNDRFLTPAQSAYIGLAPPGEAGSWQTNTKGYQFWTQTNETGYFTIDNVRPGTYNLYGWVPGFIGDFQYQNRVNVAAGSEISLDRVVFKPPRNGPTLWEIGVPDRTAREYFVPEPYKDTMNPLYLNNTDKFRQYGLWQRYTELYPNHDLVYTIGVSNYSQNWFYAHVTRNIDKSTYVPTTWQIVFQLPYVNRQGSYTLQLALASAARANLQVRFNNEYSRPLFSTGNIGKDNAIARHGIHGVYRLYSVNVPGRLLRTGTNTIYLRQAKATGPFEGLMYDYIRLEAPSRA >A03p019950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8193140:8193394:-1 gene:A03p019950.1_BraROA transcript:A03p019950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYSASRKGEEAVEMRMKMKKRELKKQPKYSWITVGNQMHTFIVADMSHLLFEALGSVVTDLGNKMRMNMTTEAVEYEFLAI >A10p023490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15337520:15339767:1 gene:A10p023490.1_BraROA transcript:A10p023490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLFFAVVLSCLFLLPDPAFGITRHYTLDIKMHNVTRLCHTKSLVSVNGQFPGPKLIAREGDQLLIKVVNHVPNNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYIYNYTIVGQRGTLFYHAHISWLRATVYGPIIILPKRGVPYPFPKPHKEVPMIFGEWFNADPEAIIRQATQTGGGPNVSDAYTINGLPGPLYNCSAKDTFRLRVKPGKTYLLRLINAALNDELFFSIANHTVTVVEADAVYVKPFETDTILIAPGQTTNLLLKTKPSYPSASFLMTARPYVTGQGTFDNSTVAGVLEYEQPKHAKTSIKNLQLFTPVLPALNDTNFASKFSNKLRSLNSKRFPANVPLKVDRKFFFTVGLGTTPCNHKNNQTCQGPTNTTMFAASISNISFTMPTKALLQSHYSGQSNGVYSPNFPWNPVVPFNYTGTPPNNTMVSTGTNVMVLRYNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPKKDPKNFNLVDPIERNTVGVPSGGWAAIRFLADNPGVWFMHCHLEVHTSWGLRMAWLVLDGDKPDQKLLPPPADLPKC >A01g510820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30129664:30134058:1 gene:A01g510820.1_BraROA transcript:A01g510820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKIIFMAFFLIATLVSHPSLGQKDVDDEPLVNSGREFDTLDTISPASEDYNSYMLKNLSPKYVAYLKTCLDKVGMGPNGGAKCYDDVLEEILTNKPVSRKCCLTVVKAGKKCYMETVKLVFRLYQLKRFASQVSFKTNKVSNRCSANVEKMKTIFMAFFIIATLVSYVYPSLGQKDVDDEPLVNSGHEFDTLDTISPASEDYNIHMLKNMSPKYITYVKTCHDKMGPSGGAKCNDDVLEEILTNKPVSRECCQKVVKAGKECYMETIKFIFRLYQLKRFASQVSFKTNKVWNRCSIEVESPSSSQKSPS >A05g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5867871:5868282:-1 gene:A05g501660.1_BraROA transcript:A05g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELDPDFTVSLWFLWRILKERNAICFSGSKLSALQVVQVWDLGASFLPANRGGMRIPRPTHILKWCLPFSGGSTPTWLMPCIVNEKQQLVINLLSDVYLGRKIFKHLPVET >A06p021350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10558722:10560779:1 gene:A06p021350.1_BraROA transcript:A06p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPASRFASTFSHFLALYCLLTLSHVALGSHQWQSPIKTVVVLVMENRSFDHLLGWMKKSVNPSINGVTGQECNPVPNSTQTICFTSDAEFVDPDPGHSFEAVEQQVFGSGQIPSMMGFVEQALSMPGNLSETVMKGFRPEAVPVYAELVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKQQLAQGFPQETIFDSLHSDDVDFGIYFQNIPTTLFYRNLRQLKYIFKLHQYDLKFKKDAAKGNLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYETLRSSPQWNETLLVITYDEHGGFYDHVKTPYVGIPNPDGNTGPAPGFFKFDRLGVRVPTIMVSPWIQKGTVVSEAKGPTESSEFEHSSIPATIKKLFNLSSNYLTHRDAWAATFEDVVSHLISPRTDCPMTLPAVAPMRSTDPKEDAALSEFQSEVVQLAAVLNGDHFLSSFPDEVGKKMTVKQAHEYVKGATSRFIRASKEAVKLGADKSAIVDMRSSLTTRPRNL >A05p044200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26551790:26556693:-1 gene:A05p044200.1_BraROA transcript:A05p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNQLFDAAFEFAHYPGPQGDSSVKEFLDRFPLPVIFNALQRETDIPGFETTLVTCLERVFKTKYGASLIPHYMPVLQAGLKSDSAVVKSLACKTVTRLLENRDANDVSPVQLIVSNGIYPLLLEYIIKSDDEVAHAASETIKSLASFPDATSVIFPTDTNDATHLGNLAARSSSLARVRVLSLIVKLFSISPHVASAVKNSGLLDLLEAEMKGTKDTLVILNVLELYYELMEVEHSSEFVPQTSLIQMFCSIISGTSVDLFLKLRAMMISGRLLSRDNIYNTVDEACVKALVSAIDASLESPEMNDTNAHEAALDALGQIGSTTKGANLVLSTTPPAARHVVASAFDRNALGKQLAALHALAYIAGETRPKSSRIVDERSEENLRCLIYDVAAQSTKLTPSGLFLSVLQQSSEMRLAGYRTLTALVARPWGLMEILSKEEIINIVTDATTETAKIAMEARYNCCKAIHEAFLCSNFVDDPRRLKTGEKLQEAVRSGPYMSKKYRDARPEVRRIERLGQEPLLFTSYGVGGEQRKVSMATIVQCLSSCAALNSKFKVLSLKGASSSSSSSPTSSSLSTRRGASATVCSSLSFSQSVSQCVAFSSGNLWVQKNPLRQLIVCEAAPTKKADSAAKRARQAEKRRVYNKSKKSEARTRMKKVLEALDGLKKKPDAQPDEIVTVEKLIGEAYSAIDKAVKVRALHKNTGARRKSRLARRKKAVEIHHGWYVPDTAAATTEAVTMAA >A10p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6748934:6749320:-1 gene:A10p010780.1_BraROA transcript:A10p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGKPKSSFVSIPKRIHTISPRERLYRLTRPFGELDRVNCPTRRMGKVDRMHRPTCLFGELDPSNSHNGRVGPNKSSKSPVRQVGSTNLSLSRPLSFLLRDQIELALVSSRS >A08g510660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24785500:24786561:-1 gene:A08g510660.1_BraROA transcript:A08g510660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRREQREGLNKASQDRKRFANGANLFIGNLDHIMRRVTGSRGFGFISYDSLDASDAATEAMTGQYLYNCHAYNKDTKGEQQEVSSHLDETKEKIELQWNNFGLKGQEPRG >A09p076480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57190343:57192330:-1 gene:A09p076480.1_BraROA transcript:A09p076480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEELPSTSKSTGAPSRPTLSLPPRPFSDMFFSAGVGFSPGPMTLVSNMFPESDEFRSFSQLLAGAMASPAAAAAASAGAASEERNNSSSGGDVDPRFKQSRPTGLMISQSPSMFTVPPGLSPAMRLDSPSFLGLFSPLQGSYGMTHQQALAQVTAQAVQANANMQPQTEYPHSSQAQPFSSSAPDSSLLAPIETSITTIIEQRSQQQPLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYKGQHNHEPPQNTKRGNNNSNSNKDSNLNGSLVNNNKSKREQHEAASQATTTEQMSEASDSEEVGNGESGVRERAEDEPDAKKVRCTTQGCGVRKHVERAAADPKAVVTTYEGKHNHDLPASKSSSHATAAAAQLRPENRHGGSANQQPVARLRLKEEHII >A09p065820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52475069:52477758:-1 gene:A09p065820.1_BraROA transcript:A09p065820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKLDGEDTVRRYKTRRRLMKEAVYARHSLAAAQADYCRSLRLTGSALSSFAAGEPLSVSYQTPAVFLHHPPPSEPSPTNSIPPPPPPPPQPPVISASSSRRRRQQQHQQRPKLPHILSDSSPSSSPASERSNFYPRAYQNSTYSATPSHASSVWNWENFYPPSPPDSEFFDKKAQEETLKQRETERTEHASKKKNSVVDEEETEREEVQCGSWDVQDHYITTSSSSSEEDDNMESVSEVGTKVRASKRHNQQASPMPREYADDKADDATTFSGSYRGGGGDVAVRHRDLKEIADAIKEYFDKAAAAGDQVSQMLELGRAQLDGSFRQLKKTVIHSSSILSNLSSTWTSKPPLAVKYRLDTTALDQPNSLKSLCSTLDRLLAWEKKLYEEIKAREGVKIEHEKKLSQLQSQEYKGEDAAKLDKTKASINRLQSLIIVTSEAVTTTSTAIIRLRDTDLVPQLVELCHGFMYMWKAMHQFHETQNSIVQQVKGLIDRSGKGESTSELHRQATRDLESAVSSWHSSFSHLIEFQRDFIRSVHAWFKLTILNKDPTDAYSFCEEWKLALDRVPDTVASEAIKSFINVVHVISTKQGDEHKVKKRTETASKELEKKASSLRSLERKYYQSYSMVGVGLPESGPDSQHVLDARDPLREKKTELAGCQRRVEEEMVKHSKEVEVTRAMTLNNLQTGLPGVFQALTSFSGLFVESLETVCTRSHSIK >A10p040960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22505150:22507101:-1 gene:A10p040960.1_BraROA transcript:A10p040960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 8.2 [Source:Projected from Arabidopsis thaliana (AT5G01180) UniProtKB/Swiss-Prot;Acc:Q9LFB8] MDSEYTQDGTLDIHKKPANKNKTGTWKACRFILVTECCERLAYYGMSTNLVNYIEKHLSMGNVAASNSVTNWSGTCYATPLIGAFLADAYLGRYWTIASFVVIYICGMTLLTLSASVPGLTPTCHGENCHATEFQVAITFVALYLIALGTGGIKPCVSSFGADQFDDTDEEEKESKSSFFNWFYFVINVGAMFASSVLVWIQMNVGWGWGFGVPTVAMAVAVLLFFAGSKFYRLQKPGGSPITRMLQVIVASFRKSKVRVPEDAALLYEIHDAESSIQGSRKLEHTPKLTFFDKAALETETDENKEAAKSAWKLCTVTQVEELKALISLLPVWASGIVFAAVYSQMSTVFVLQGNTMDQSMGPNFTIPSASLALFDILSVLFWTPVYDQLIVPLARKFTGHERGFTQLQRIGIGLLISIFSMVSAGILEVARLRYVRTHNLYDAKVVPMSIFWQVPQYFFVGCAEVFTFIGQLEFFYDQAPDAMRSLCSALALTTVALGNYLSTLLVTVVTKVTTTGGRAGWIADNLNRGHLDYYYWLLAVLSFLNFLVYLWIAKSYTYKKATGHAL >A09p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1658741:1660022:1 gene:A09p002410.1_BraROA transcript:A09p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSLLPLSESLELPVIDFSDQNLTPGTSKWDEVKADVRKALEDYGCFQAFVGKVSNIELTKSVFEAMEELFDLPVQTKQRNVSSKPFHGYLSHNLYQSLGIEEANAAEKVNYFTQQLWPDHGNKSISETMHKFSERLVELDVMARRMIMESFGIEKYLGEHLNSTYYVLRMMKYTSPPDDDVEETKLGLHSHTDKSITTILHQYEVDGLEIKTKDNKWIKVKPSQHCFIIMVGDFLCVSSSKLHTHTRTLFKTALLNGRLYSPNHRVLMTAKKTRYSTAMFSVPKQGVVIDAPEELVDQEHPRMFKPFEYNEFINFFHSEAGRKAESALHAFCAL >A04p017020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10458037:10463418:1 gene:A04p017020.1_BraROA transcript:A04p017020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEEEGISELSKLVFKFEFQTETFEKLSRGGYRSLSGSGESESSSLSCSPAEKKYESPSLTKNLTFVVENPKSATFRVQDCLKTPEKTEDYGESNILSTSPAVSTAAKKYESPSLVKNLSFVVENPKSATFRIEECLKNREKTEDFSGGSGESLSRVLGSSSTSPVIPTADSKYGSPSPAKNLIYVLENPKAATFTVEKTEDHSVSSTVGKKENSEILRFLTEEDFLESDSDFVDSSQTFTSNEDGFLSDSDFGETSPPEEKGQNRKTANSSGSSSDSEEEEDEEGFESLWEHQNLIEQLKMEMKKVKAIGGLQTILEEEEEDDCPKIMEELKPWRIEEEKRSKHVDTIGEVHKFHRSYRERMRKLDILSFQKSFALGLLQSTNPSTVGSSPSQASFSSVFNLRLWKLKKPETEPLVQFRKETHGELENVYVGHMCLSWEILHWQYEKAIKLLESDVYGSRRYNEVAGEFQQFQVLLQRFLENEPFEEPRVQHYIKKRRVLRNLLQVPVIREDGSKDKRKEKRRDYEESDDGAIKSEQLVEIMEETIRLFWRFVRFDKLTSSIHDHKSRTKSQIEPDHEENSEDLELFADVKAELQNKEKRLKDVLKSERCIIRRFKKHKEEDSTEEQVLHFFSQVDMKLVTRVLNMSKLTKDHLVWCHNKLTKISFVNRRLHLDPSFCLFPC >A02p004340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1875634:1878054:1 gene:A02p004340.1_BraROA transcript:A02p004340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHNNHFNHFIDQQHQPPPPSQQQQEHHFHESTPPNWLLRSDNNFLNLQTAASAAATSSDSPSSAAANQWLSRSTSFLQRGGGANNNNVGSGDVIDDVTTGGEESMIGERKEAERWQNARHKSEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSTMDAAAQGLISGDEKELDHFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSEDEDEQVESDAHLYDGSLDGLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKVRLVQETGLQLKQINNWFINQRKRNWHSNPSSSTVPKNKRRSNAGENSGRER >A08p041130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23283252:23284217:-1 gene:A08p041130.1_BraROA transcript:A08p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYHHYETNPHLVQFSSQDQHPGGPSSSWPSPDHHQNPQAHPVAPSGPKIKTRGRHQSEPPELIHEPPSSRPMPLRPEEPLPPRRNPNPGRPLLLSPEDQQRPQPPGGYGHEPTPWRTAPTTRPTHHQQGPKKTKPMKLPATVCCAILLVILILSGLVLLLVYLSNRPHTPYFDIAAANLNTANLEMGYVLNGDLAVVVNFTNPSKKSSVDFSYIMFELYFYNTLIATQRIEPFIVPKGMSMFTSFHLVSSQVPIQMIQSQELQLQLGTGPVLLNLRGTFHARSNVGSLMRYSYWLHTRCSISLKNPPLGYMRARRCITKR >A03p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1759070:1761110:1 gene:A03p004090.1_BraROA transcript:A03p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYEVVKDLGTGNFGVARLLKHKETKELVAMKYIERGRKARYFFQQLICGVDYCHSLQICHRDLKLENTLLDGSPAPLLKICDFGYSKSSILHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPNDPKNFRKTIQRIMAIQYKIPDYVHISQECKHLLSRIFVTNPAKRITLKEIKNHPWYLKNLPKELVESAQAVYYKRDNTSYSLQSIEDIMKIVGEARNPASSSSVSKSLGSGAEEEDEEDVEAEVEEEDEEDEYEKHVKEAHSSCQEPDKPQEERK >A09g501110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3734873:3735172:-1 gene:A09g501110.1_BraROA transcript:A09g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWINKPRLSQDYRLGVKHFLDFAFTRSNANMMKCPCNRCLLTKSLSRDDIEGDLMCYGFLSSYTSWILHGEEVCITGNTRLPSDVNESELDSTLNL >A03p020040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8220507:8222247:1 gene:A03p020040.1_BraROA transcript:A03p020040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAVSEELAELEGQINDIFRALSNGFQKLEKIKDANRQSRQLEELTDKMRDCKSLIKDFDREVKSLESGNDANTNRMLNDRRQSMVKELNSYVALKKKYSSNLASNNKRVDLFDGPAEDHMEENVLLASNMSNQELMNKGNSMMDDTDQAIERGKKIVLETINVGTDTSAALKAQTDQMSRVVNELDSIHFSLKKASKLVKEIGRQVATDKCIMAFLFLIVIGVIAIIIVKIVNPNNKDIRDIPGLAPPAMNRRLLWNYY >SC237g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000088.1:17473:18437:1 gene:SC237g500020.1_BraROA transcript:SC237g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTFGGESSSSGHEHQEGLLGDDSTSPVHQKSMTGSQEVFLVHHTSELKEEDFAHCVEQWRVEKEVVMCHWCEVSLKLTCKLGPILNPSLRRGV >A02p043450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27679740:27685223:-1 gene:A02p043450.1_BraROA transcript:A02p043450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSSEDQSPSFPSNYFAPLSVLTVSVSMALSLSSSSSSSRTCTYDVFPSFSGEDVRITFLSHFLKELDKRLIIAFKDNETQSSLSLGPELKQAIRDSRIAVVIFSNKYASSSWCLNELLEIVKCREECGQMVIPVFYRLDPSHVRKQTGDFGNIFEETCKNKTEEVIIQWRRALTDVANTLGYHSVNWDSEAKMIEEIVSDVSDKLLLTPSEDSENFVGIEDHIAEMSVLLQLESEEVRMVGIWGCSGIGKTTIARVLFNRLSRHFQGRIFIDRAFLSKSMDIYSQANPYDYNLKLHLQSEFLSKILGKKDIEISQLGELAGRLKHHKVLVFIDDLNDQVVLDSLVGQTQWFGSGSRIIVVTNDKHFLRAHGIEHIYEVCLPSEDVAREILCRSAFREKSPPEGFEELVYEITRLVGSPLGLTVLGSSLRGRDNEYWMDSLSMLQTGKNGEIEKISRISYDGLSSEEDKTIFRYTACYFNGGKVAYMKLLLADSGLSVNVGLENLADKSLIHVREGRVEMHGLLKKMGKKVVRLEKPENREFLEDSQDIFDVLTKGIGTEKVLSISLNISTIEELHVHENAFKRMRNLRFLEVLGSDESGTMKLRIPKSFDYSKLKLLRWRDYPMRCLPSKFRPENLVELKMQNSKLEKLWEGVVALPYLKEMDLRYSHDLMQMPDLSKATNLEILNLSQCYSLVKLPSSIPHPNKLRKLNMRDCRNVETIPIGISLKSLEKLDLDGCSRLRTLPQISTNIVHLCLSETAIEEFPSDLHLENLRFEKLSYLSMQNLKSKKLWEKVQPLIFLTGIMSPSMRQLYLSDIPSLVELPSSFQNLHQLEKLKIENCVNLETLPTGINLQSLEELDLSGCSKLRTFPDISTNIQTLNLNETEIEEVPCWIEKFSRLEVLYMNDCINLETLPTGINLQTLSFLYLNGCSRLRTFPGISTNIVCLYLSETAIEEVPWWIEKFSRLRVLYMNGCINLETVLDLDHRYLDILNLSGCSKLRTFPNISTNIRRLNLSETGIEEVPCWIGKFFFCLLKLKMSGCSKLRTFPNISTNIVRLNLNETAIEEVPCWIEKFSKLEILKMKGCINLETLPTGINLQSLRELNLSGCSRLRTFPDISTNIQWLYLSETAIEEVPCWIEKFTRLEELEMNGCNKLKSVSLNISKLGDPYLVDFSDCKVMTGGSWSFSGGCTTFANFTNCLNLDQEALFRQNTHLGCRLCLSGEEVPSYFTHRTTVTSSSSSLTVRLLPSSLSNPFLRFRACIVLNEDNSAWVTSFGFKGRFWNSFDSFGQAQYFQKPKKISSMKKSVKGSYLLILECSIQAEMNYTHVDLQLDFAYCEYKVKEWGIRLCSSADNQLGYPNTLPHVFQTGEGNTLNEAGQGKKSGGEDEVTESSSKRMRVRTQHNFVKKKLGIRRQELTKKKKGDRKTNAVSFCYELLFSLLILFQ >A09p050250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44191293:44193981:1 gene:A09p050250.1_BraROA transcript:A09p050250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLKPTNLLLSSFSTGKVLHLRRSRFSHRPSPSSSYRRTLVAQFGFSPGPVSLDLIKEHVESLLYTIADAAVSSSETFDSVSGTTTTTTTNQNSDWFTGIASYMETILKVLKDGLSTVNVPYSYGFAIILLTVLVKAATFPLTKKQVESTMAMKSLQPQIKAIQERYAGDQEKIQLETARLYKLAGINPFAGCLPTLATIPVWIGLYRALSNVADEGLLTEGFFWIPSLAGPTTVAARQSGSGISWLFPFIEGHPPLGWSDTLAYLVLPLLLIFSQYLSIQIMQSSQPQSDDPAMKSSQAVTKFLPLMIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKYGGAKNPMEKLTNLVTKEDKTQKVDKSISQPLVQKSVSELKIPRDKGSEKVTSEGPKPGERFRLLKEQEAKRRREKEEERQKAEAALSNQNTDSAQEHEGKSDTGAEETGDGSAAVNGKPSIQKDEPTNGNLGVGHDAEKQHSHET >A01p046240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26040563:26044480:-1 gene:A01p046240.1_BraROA transcript:A01p046240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGFLSSSPTCCFLHGSSSFTSSAGNSQDSAGCTNHASPSGNGVRILPPSSMTHGTSASPLHGPSDPVDMTGNEIGEDKNSERLIYQDALQNLNQPRTEVDLPPGTLSVPLMRHQKIALAWMLRKETTCLDCSGGILAADQGLGKTVSMIALILKQKYESQLKSEITSKQESEILDLDADDDESENAKHDESGSHARPPELKVSSDSETIVLLSDDENGSSDMENAKDEEARELNSNKRPAAGTLVVCPASVVTQWVRELDEKVSDESKLSVLVYHGGNRTKDPSVLAKYDVVITTYAIVTNEVPKQFLLDEDEDENDDSHSFSNSKKRKVSVSASKNRGSFGGTLSRVGWLRVVLDEAQTIKNHRTQVARACRILRAKRRWCLSGAPIQNTVDDLYSYFRAHEGEEPPSQEPIKTLVFSQWNGMLDLVELCFVENGIEFRRLDGTMSLAARDRAVKEFSNDSDVEVMLMSLKAGNLGLNMVAASHEEKRRMVASAYGEDHGGSSATRLLMISTFSIDAPDWWTHGEGANPMMFTKKLMFLCGEVILWSSLKNAPSQMVYMCGNIEEGLLMKMLTKTGIQILGVDYGNLKPPPKKVEAQISDGTETQPKKDTAPPPEIVVSTSTKHKAKNKKPSGFKKKLLSMSQVVMRT >A07p024220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13938322:13946032:-1 gene:A07p024220.1_BraROA transcript:A07p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVPSLFLLLLILYGVEARKSAGYCAMYDICGARTDGKVLNCPYNIPAVKPDDLFSSKIQSLCPTITGNVCCTETQFDTLRSQVQQAIPFVVGCPACLRNFLNLFCELTCSPDQSLFINVTSTAKIKNNSTVDGIEYYITDAFGEGMYESCKNVKFGSSNSLAVDFLGGGAKNFKEWFTFIGQKAGVNMPGSPYGIKFLPMPPASSGMKPMNVSSYSCSDDTLGCSCGDCPSAAACSSTSAPPAQKQRSCSIKIGSLEAKCVDFVLAILYIVLVSLFLGGGLIHRIKGKKKSSLSSSEPRGEQSSVKPDTIHAQMLQNTPQRNWAQLSTVQGYLARFYGKYGIWVARHPALVLIVSVFLVLLLCVGLIRFKVETRPDKLWVGAGSRAADEKRFFDTHLAPFYRIEQLIIATAPKSSQPEILTDDNIKLLFDIQKKVDGLRANHSGSMVSLTDICMKPLGEDCATQSLLQYFKMIPKNYDEFGGVEHVKYCFEHFTSSESCLSAFKGPLDPTTALGGFSGNSYSEASAFIVTYPVDNAVDNKGNRTEKAVAWEKAFIQLAKDELLPMVKSKGLTLSFSSESSIEEELKRESTADVITIAISYLVMFAYISLTLGDTPRLNSFYITSKVLLGLSGVLLVMLSVLGSVGFFSAIGMKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQEQELPLERRVSNALMEVGPSITLASLAEILAFAVGAYIKMPAVRVFSMFAALAVLLDFILQITAFVALIVFDFKRAEDKRVDCFPCIKRAQSSDGDDKGVGQKKPGLLTRYMKEVHAPILSHWAVKIVVIAFFFGLAMAGIALATRIEPGLEQQIVLPQDSYLQDYFNNIATYLRIGPPLYFVLKNYNYSSESRHTNQLCSINKCDSNSLMNEIAKASLTPELSYIAKPAASWVDDFLVWLSPEAFGCCRKFTNGTFCPPDDQPPCCPADQACGLSEVCKDCTTCFRHADLTSDRPSTIQFKEKLPWFLSALPSADCAKGGYGAYSTSVDLKGYKSGIIQASSFRTYHTPLNKQADFVNSMRAAQEFSSKISRSLQMEIYPYSVFYMFFEQYLDIWKTALINLSIAIAAVFAVCLIITCSFWSSAIILLVIAMIIIDLLGVMAVFHIQLNALSVVNLIMSVGIAVEFCVHITHAFSISSGDRNQRMKEALGGMGASVFSGITLTKLVGVIVLGFSKSEVFVVYYFKMYLALVLLGFLHGLVFLPVFLSMFGPAPKGDKQDHRPSASSQP >A02g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5523212:5532673:1 gene:A02g501740.1_BraROA transcript:A02g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSESDPVKSLAIFIPLEAQSDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVAPRLLLGRFLFYLRAFWSFHYARFTFLKPISILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQSSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVKGISQLRLNQDTMETRVKELGECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWASPGRLLGETMVRVQDGSTKWVLGFGQGAGKLPECELRLSDRFAKGRKGEKPPRGGYGAVMGRLWDGFGKKGWDFGNCSPRRLGTKHKENTESWSDSQSKTHGGVKAIKRQFWERSRGKVMNDFLVKGISQLRLNQDTMETRVKELGESGGQLNPVNGAFWFGSVWASPGRLLGETMVRVQDGSTKWVLGFGQGAGKLPECELRLSDRFAKRRKGEKPPRGGYGAVMGRLWDGFGKKGWDFGNFSPRQLGTKHKENTESWSDSQSKTHGGVKAIKRQFWERSRGKVMNDFLVAFDP >A10g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11030479:11032068:-1 gene:A10g504520.1_BraROA transcript:A10g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQMVVTFVLGILIIPAIVINGEFSDTHDAKIDLLLKKLNKPAVKSIKSPYGGIIDCVHMKNHPIYDHPLFKNHTIQMKPSGDHDKWNNDTLNIDDESIVTQLWTINGKCPQNTIPIRRTTREDILRAESIESYGKKYPNNIPRRKPANSTNEIHEYATLRVNGIFRGAEAVINVWKPYVQMPREFSLAQMWLEAGPPSNLNTIEFGWQVYPGRYGDDNARFFVYWTADGYRSGCYNLDCPGFVPVNQAYVLGEPIGHVSTLGGSPDRELVVET >A08g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11705145:11706900:1 gene:A08g506730.1_BraROA transcript:A08g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEEVTHSPDRNPAVQGSKLAGDWEILEVYLVKVSMRPAYRSSKKVLVNDKLEAEVESNGTVLSIDWKDVGAKKIERLFMMIWRLRHGKYDLI >A01p014980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7256982:7258733:1 gene:A01p014980.1_BraROA transcript:A01p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g22760 [Source:Projected from Arabidopsis thaliana (AT4G22760) UniProtKB/Swiss-Prot;Acc:P0C8Q5] MMDSRLRFFLHKSLVLEQTKQVHAQLLLNRHNHLEPILIHQTLHFTKDFSRTIVSYVKRILLKGFQSSKVSTFSWGCLVRFLSQHRKFKEAVNSYIEMNNSGIPPSSHAVTSVLRACGKIESVADGNSVHAQAVKSGLCGCVYVQTGLVGLYSRLGYIDMAKKAFDEIRDKNIVSWNSLLHGYLESGDLEEARRVFDEIPVKDVVSWNLIISSYAKRGDMSNARSLFLAMPLKSTVSWNILIGGYVSCGETKLARTCFDAMPDKSSLSCVTMISGYTKSGDVESAEELFRQMFKKDKLVYDAMIACYAQNGKPKDALKLFSQMLEANSGVGLQPDEITLSSVVSASSQLGDTSFGTWVESYITEHGIQMDDLLSTSLIDLYMKGGEFDKAFKLFNGLNKKDTVSYSAMITGCGINGLAAEANRLFSEMIEKNILPNQVTFTGLLSACSHSGLVQDGYKCFDSMKKYNVEPSADHYGIMVDMLGRAGRLEEAYEVIKGMPMKPNAGVWGALLLASGLHNSVEFGEIACRHCVELESDPSGYLSHLANIYTSVGRWDDARNVRAMMEEKKLRKTLGCSWVEGSNH >A03p018470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7543116:7548860:-1 gene:A03p018470.1_BraROA transcript:A03p018470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNSSSSIKNQPCNKKPTILLLLLSLLTTSLFLLRLSQNKTILITTITSDSDHHHRDRHDSCLGRYIYIHNLPSRFNTDILQDCESISRPKDKISMCKYLDNYGFGPRIGDDGVSSDSRYSPSWYATNQFMLEVIFHEKIKRYECLTRNSSLASAFYIPYYAGLDFRRNLRRRNVAERDAAGKEMFEWLKKQPQWKGMSGKDHFLVTGRISRDFRRNPDNNSLWGTNLMILPESQNLSFLTIERSPTSHNEFAIPYPTYFHPTSTVEIRQWQDKIKLTNRTILFSFAGAQRPSRSQNGLVRSQVIEQCKSSSKTCRFLDCDVKANGCDDPMSLMKLFESSVFCLQPPGDSLTRRSVFDSILAGCIPVFSNQGSAYKQYVWHLPNNDGEYSVYIPVKELRTGGKSKIEEILQGIPNEKVIDMRENVIRLVPNIVYTKPNRYKPDRETFEDAFDVAVKGVIKRIEEKRREIQDFMKLNNVKMLNILIKSKMKGRRDFCSGFPLRLYGQFLEMLVEKVQNSIVKDDLDITNLRSKLIAYMAWGNVWTIVEHLRVSSHNISLQGQTKSNLCLLNKSLNTEWPKLSDKRTSTPRRCKIVQYMALINQLVSKTTIQLLYFRIEWSFPRRGKKGRSNMSQVYLKLSLLGLLVVAVVTPSANAIRKSVVLGGKSDVPNVQTNMEVQELGRYCVEQFNLHEQSGKGNVASSIERAVLNPLTFSRVVSAQQQVVAGLKYYLRIEVTQPDGTNRMFDSVVVVQPWLHSKTLLGFTPVATPIY >A03p000310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:261361:263093:-1 gene:A03p000310.1_BraROA transcript:A03p000310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRWSRKKSRLPLAGLLFMLALAVTFMVLYNERSIQQIHLHHNTNREQDLPQTSTFTSFVHPNLPPRNNLEVLDRYSRCNSTNEYSGKKIGWVDQMSGQRSDFVAAAAKEEICDVFSGKWVFDNSSSSYPLHKESDCPYMSDQLACQKHGRPDLEYQHWRWQPHPPCNFKRWNVTEMWEMLRGKRLMFVGDSLNRGQWISMVCLLQSVIPRDKQSMSPNAHLTIFRAEDYNATVEFLWAPLLVESNSDDPVNHRLDERIIRPDSVLKHASKWQHADILIFNTYLWWRQGPVKIQWSSEEKGSCEEVNGAEGMEMAMNAWAHWISNNVDPNTKRVFFVTMSPTHQWSREWNPGSEGNCYGEKKPIEDETYWGSGSDIPTMRMVERVLRGLGPKVSVINITQLSEYRKDGHPSVYRKFWEPLNDDRLKNPASYSDCIHWCVPGVPDVWNQLLFQFL >A05g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1900270:1902205:1 gene:A05g500460.1_BraROA transcript:A05g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDFMLLNEKCRRHSKITEKKVTVGLISATPLNATLLFPTAALKPSSSMGGGIVKCIDLVMFRAVYMPYLHGQCEAGSSQGEKREKTLESSFVGSKRKHGNGGETHFNELSNGDTGKTAMVSRGELTFQNIYGAEALLNAEDEDGYSDWEPLQQKMPVEFVKWCCFNCTMANPASAITWLRHGYFASLLFKRHWSLTEVEEKCGGNSSAASSTAVGFEEIMLLHSEVYTLEHVNFTSQLLYSYFTADTY >A05p013920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6077004:6079043:1 gene:A05p013920.1_BraROA transcript:A05p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich repeat secretory protein 11 [Source:Projected from Arabidopsis thaliana (AT2G33330) UniProtKB/Swiss-Prot;Acc:O22784] MSLSLKLSSLCIIIILSMALFSDLKLAESASPDYTNLIYKGCARQQFSDPSGLYSQALSAMYGSLVTQSTKTKFYKTTTGTTSQTTITGLFQCRGDLSNNDCYSCVSRLPVLSGKLCGKTIAARVQLSGCYLLYEIAGFAQISGMEMLFKTCGKNNVAGTGFEERRDTAFGVMQNGVVSGHGFYATTYESVYVLGQCEGDVGDSDCSGCIKNALEKAQVECGSSSSGQIYLHKCFIGYKYYPNGVPKGPSSPSSSSGSSGSSSSSGTTGKTVAIIVGGTAGLGFLIICLLFVKNLMKKKYDDY >A05p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4715348:4721542:1 gene:A05p011060.1_BraROA transcript:A05p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMVKYCGGLPLAVKVLGGLLANKTMVEEWKRVDDNIQTQIVRIDDKNQDSVYRVLSMSYEDLPMQLKHCFLYLAHFPEDYEIQVERLYYLWEAEGIITSSGDGETTRKIGEEYIDELVRRNMVIGVKEDLSCKWEYCQMHDMMREVCLYKAKEENFLLIIKVPTSSTSTINAQSHTGSRRLVVHGGGNAFDMLERKNNQKARSVLGLGLDSNFWKQSGQGFQNLLLLRVLDLSLDYKIDSKGWRIPSSIHLRYLRLYMGHATHVPSSLRNLKLLIYLSIYSRERVHLPSIFKEMVQLRFLVLPPFVDARTKLELGNLVNLEYLICFQSQYGSITDFLRMNKLRNLHIQLKGYTSEILASSLCELRKLEELSLIDENNESDGAYDVDFVWNFIHLRRLGLSIHGTRLPDHSRFPPHLAHITLRDCEMEDDPLQILEKLLHLKSAVSHQRSFVGRKMVCSKGGFPQLCKLQIELLDDWEEWIVEEGSMPCLRTLSIWYCEKLKELPEGLKYITSLKELQIIHKKKEWETKLVPGGESYHKVQHIPSVQLHYFGDTLILTNKENKTVSGEPTQDQYPPLSIVGSVLVEAKNPKRGDPCVFRHQSPNVCITGLELPLPISPELLHSLGAVNVGKSMVVVFGGLVDKKFLNDIIVYDIENKLWFEPECTGSVSEGKVGPTPRAFHVAITIDCHMFIFGGRSGGKRLGDFWVLDTDIWQWSELTSFGDLPTPRDFSAAAAIGNQKIVLCGGWDGKKWLSDVYVMDTMSLEWMELSVSGSLPPPRCGHTATMVEKRLLVFGGRGGGGPIMGDLWALKGLIDEERETPGWTQLKLPGQAPSSRCGHTVTSGGHYLLLFGGHGTGGWLSRYDVYYNDTIILDRVTAQWKRLPISNDEPPPPRAYHTMTSIGARHLLIGGFDGKTTFGDLWWLVPEDDPIAKRSSVPQLRNPPETKESERELDMQERGQEGSTIVDLQKKMGISVSSGPRLQIPEVSEDQEFVELGTRLIEGDVIDNRASMMQMAAQALRQHWKESTPETLQLKELGSFLRDYQRLVTRKYTAQGSLASGDFGLPGKKTFTFYHMKTSSELRMDDIPKLLEEYKTLLN >A09p082240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59601507:59613223:-1 gene:A09p082240.1_BraROA transcript:A09p082240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin-8 [Source:Projected from Arabidopsis thaliana (AT1G04160) UniProtKB/Swiss-Prot;Acc:F4I460] MVATFSPAVGSRVWVEDQDEAWLDGEVVEINGEQIKVLCTSGKQVTVNASNIYPKDVEAPASGVEDMTRLAYLHEPGVLQNLQSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMTQYKGASLGELSPHPFAVADAAYRQMVNEKVSQSILVSGESGAGKTESTKLLMQYLAYMGGRTGASEGRSVEQKVLESNPVLEAFGNAKTFKLGEPKTYHYLNQSKCLALESINDAEEYHATRKAMDVVGISSEEQDAIFRVVASILHLGNIEFGKGKEIDSSVPRDEKSWFHLKTAAELLMCDAKSLEDSLCKRIMATRDETITKDLDPEAATLSRDALAKVMYSRLFDWLVEKINSSIGQDPESKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEAINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTYKNHKRFTKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVIAEHQALLNASTCSFVANLFPPAPDDSKQSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENKNVLQQLRCGGVMEAIRISCAGYPTRKHFDEFLNRFSILAPQVLDKNSDGPAACKKLLDKSGLEGYQIGKTKVFLRAGQMADLDTRRTEILGRSASIIQRKVRSYLAKKTFMQLRSSATQIQAVCRGYLGRGVYESKRREAAALRIQRDLRKFLARKAYTEMFSATVSIQAGMRGMVSRKELSFRRQTKAATIIQNRCRVFLARLHYRKLRKAAITTQCAWRGKVARKELKNLKMAAKQTGALQEAKNKLEKQVEELTWRLQLEKRMRTDLEEAKKQENAKYEASLEEIQNKFKETEALLIQEREAAKEVSEVLPIIKEVPVVDQELMEKLTNENETLKGMVSSLETKIDETAKELQETSRISQDRLKQALKAESKVEKLKTAMQRLEEKISEMEAEKQIMRQQTILNTPGKTVSGHPPTVTVKNLENGQRINVETQLNEAEVAGNAGKTAAERQLIEDDNAHLAYWLTNTSALLFLLQKSLKTGGTGATASKKPPITTSLFGRMALSFRSSPNLAAAADAAALAVIRPVEAKYPALLFKQQLAAYVEKIFGMIRDNLKKELSALISLCIQAPRVSKGGMQRSGRTPGKDSPAIHWQSIIDGLNSVLAILKENNVPLVLIQKIHTQTFSFINVQLFNSLLLRKECCTFSNGEFVKAGLAELELWCGQVNEYAGPSWDELKHIRQAVGFLVIHQKYRVSYDDIVHDLCPILSVQQLYRICTLYWDDCYNTRSVSQEVISSMRALMTEESNDADSNSFLLDDNSRRRKPLIMARNKGLAEQDLSKLDVTVLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDKCPRPMCYKAYGSGKEDTPNCDVPGFENAKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANETCPQPQTSEHLAAVEIMQLKHIIILQNKIDLIQENVAINQHEAIQKFIMVDDIKGGVAGGSILRGVLKVNQLIEIRPGIVVKDERGNPKCTPIYSRIISLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEIGSLPDVFVELEVNFFLLRRLLGVRTKGSEKQGKVSKLTKGEILMLNIGSMSTGAKVVGVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTIEVPPSPF >A03g509770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:31440065:31440271:-1 gene:A03g509770.1_BraROA transcript:A03g509770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVKKAYYRPKAPLAKRAVRVPHSLLPKMKGILNCDFTVIDRIREDTSGERNLTDISGERNLTDISG >A05p043640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26304312:26306515:1 gene:A05p043640.1_BraROA transcript:A05p043640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPSPEPVTTHGNQPPHHDQEKFAGDLEYYTWFDEACIQDMNYFVKTITGIKSKGIRPDLIGSIIAHYASKWLPDLSGNVSAITTPPPTESVTASVMKKRFFVETLIGILPPEKDSVPCNFLLRLLRTAKMVGANANYLTELENRVAWQLDQASLKELMIPSFSHTSGTLLDVELVTRLVKKFVGLDSEGVKTGAALVKVAKLVDSYLAEAALDGGLTLPVFISLIEALPSYARTTEDGLYRAIDTYLKAHPQVLKQERKELCRLIDSRKLSPEAALHAAQNDRLPVRSIIRVLFTEQTKLSRHVDWSGSLTRSPTNPSGSHYFEQGGSGARCLSKREMNVQQAEIKRLREDVARLQSECSAMHLQVERLLEKKSGGSKGFFRWKRLGLVPSIRGSVSVEEMTNCENGEGFEPQTPGNMKTRLVKGRTPSRWRKSMS >A09p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35093210:35093784:1 gene:A09p043130.1_BraROA transcript:A09p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAQVGEQGGVVPPSIQLHGVQAPQAPQGLRISSPSSPRFSNSSSPLSPRFIAPQVPQAPGFQAPQASQALKV >A04p020530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12480517:12483170:1 gene:A04p020530.1_BraROA transcript:A04p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNLSFLAVLSLLALTLPLAIASDPSPLQDFCVGVNTPSDGDPKLVTVDDFFMAGLQNARPVANVVGSNVTAVNVNNLPGLNTLGISLVHIDYGVNGQNPPHTHPRATEILYVGLGKLLVGFVTSNGDGNRLFTKTLNEGDVFVFPEGLIHFQFNVGRFPAVAFAALSSQNPGVVTIANSVFGSNPAIDPNVLARAFQLDPKPKSVGHNDNTWVKFKRGIRTPLHGRLGAKPKSVGFVQTILEKGNMVVTFCSGEAPVLASEVDKLIPLDRVWFPGASRGLKDDPAKMELVEELKVEDWVRVKASAFSMSHGWEDITLNSNGMMHNLDEDGDVGIAFCFRSKPFSFKLYNIMPFSDIEKVVPFHEGQEIHMKPSITQPRLGWSNETPATIGKITRINMDGNLSAHVTGRQPLWKVSPGDAELLSVCEVSDAIQYNSYA >A07p003670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3138155:3139284:1 gene:A07p003670.1_BraROA transcript:A07p003670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 14 [Source:Projected from Arabidopsis thaliana (AT2G18000) UniProtKB/Swiss-Prot;Acc:F4IPK2] MEDSHVERFSASTPKPRIGIDGGDDNKNWRRRVNGVEVSVPIVCGSIAFFRGKKAKEYRTHNWTVYVRGATNEDLGAVIRKVIFHLHPSFKSPTRVVDSPPFTLSECGWGEFKIDITIFFHADACERKLELSHLLKLNPEIYSGPQSPNVPVVTESYNEIVFPDPFECFLSRVINHPAVHVSKLPEGLNLPPPGDAGDESYYKMKKGDTKDHPLSHWFSKFSEADELFRLTATRQKVQADIAELKRQLVMVDAQPEQF >A01g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:297064:298166:-1 gene:A01g500080.1_BraROA transcript:A01g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLRATYQGRSRSRATRWSDTPKSLATSRPETPKIGPGATSRSDTCKSLRSNHNASSELATQLLILRHFSPESSILDHPRSNPYAHEFSFPLVKKCFDIPQNWFDNLLYYNICFRSLENF >A07p010490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6570345:6571925:1 gene:A07p010490.1_BraROA transcript:A07p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G25410) UniProtKB/Swiss-Prot;Acc:Q8RXE8] MASITSFSFSFSPPAIPKRTLAAKSRFFNCSHSSFSSIVPPSLISSSSFQRRVGEVACSTTPFMGRVGLQWRDGNMSLLSFCGGNHSPYNSDSSSQVLSALLPFVVALTALAALSYPSTFTWVSKELYAPALGGIMLSIGIQLSVQDFALAFKRPVPLSVGFVAQYVLKPLLGVLVANTFGMPTTFYAGFVLTCCVAGAQLSSYASSLSKADVAMSILLTSSTTIASVLFTPLLSGLLIGSVVPVDAVAMSKSILQVVLVPVTLGLVLNTYAKPVVTVLRPVMPFVAMVCTSLCIGSPLSINRSQILSAEGLRLILPVITFHAVAFVVGYWFSKIPGLRQEEEVSRTISLCTGMQSSTLAGLLASQFLGSSQAVPAACSVVVMAIMGLCLASFWGNGLRIRDVLSLLTPQTSDNTAES >A09p048840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43370997:43373819:-1 gene:A09p048840.1_BraROA transcript:A09p048840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLLLQHSPQSFEISSSSPLPFLVRQSMASNNSRLDAQSAAEKAVSVIGLGYDLTSDIRLSACKSSPDGSSLLKIDPTRNRDLVFPGGIVVNNVSSSIKCDKGERTRLRSDLLSFNQMSEKFNQDMSLLGKIPSGVFNAMFDLRQGWQKDASSVKTLAYDGWFISLFRVALVRESQLTLRDDVKREVPSSWDSAALAGFIEKYGTHIVAGVTMGGKDVIYMKQLRNSTHDPDQVQQQLKQLCNKRFSPQSISPAAGNYPKEESPIQFGLHSQFGSSLSRPVVMHSKNEDLVSICVRRGGIEMGQSHDRWLSTISQSPNTISMCFVPITSLLSGLPGTGFLSHAMNLYLRYKPPMEELHQFLEFQLPRQWAPVYGDLPLGLRCRKQSSPSLQFSLLGPKLYVSTSKVDSGERPVTGVRLFMEGKKGDHLAIHLQHLSSSPPSLQLSQDDTYEPIDEPSDKGYYEAVKWGIFSHVCTFPVQYHGARSDNAASIVTKAWLEVKGIGMRKVLFLRLGFSQVATASTRRSCWDNLSSDSRKSGIFSMISTRLSGGLSSTTTTTAKPVSKVYINSAVYPKGPSPPVKPKLLSLVDTKEMVRGPEVPPGYWVVTGAKLCVEAGKISIKAKYSLLTVVSEDSLV >A09p014110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7259340:7264999:1 gene:A09p014110.1_BraROA transcript:A09p014110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNMEKEATTKNLPESEISPNEVTIEVIVKGELDGKVSCSRKKAAPVNGDLLNLTPLEKNSKSRVPHFAPSSPVLLDVERERERRGMSTLESILFSLSRAFCTPFAVFLHIQGCVICLLLALGWLMAAYVRQVQLPRVSVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVLESTQDPAYHAVSRLLSTYQIGVEKMHKDTKYVLFLDDDVRLHPGTIGALTSEMEKNPEVIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGRTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLASLAGAHKRLITSPPVAVFPHPLASDLSFGRYWNYLRKQTFVLESYISKANWIMNKLLFAVHCYLSWGFVASYIMAVIHITSALRIYTKGYHQLEDTTFASCGMSLVILLAICTFIELLSMWNLTRREVTLCNLLSPEAPRLSLAPYNWGLIFIAMLVDNFLYPISAFRSHFSQSINWSGIRYHLRNGKVFKIERRNDMVPVKTDLGGKHLYGKKGAPQKASFLSLLGRNLAHWRQPKKFDV >A10p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2937796:2941095:-1 gene:A10p016620.1_BraROA transcript:A10p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEENEAESNERSLALSPTWSVALVLTVFVLVSLIVERSIYRLSTWLRKTKRKPLFAALEKMKEELMLLGFISLLLTATSSTIANICVPSSFYNVRFVPCTRSEIKEELENESSVQRNLLTKSFFFSIFRRRKLEEGIHRATCTEGHEPFVSYEGLEQLHRFIFIMAVTHVTYSCLTMLLAIVKIHSWRIWEDVARMDRHDCLTVLMSLIICICFLETAVTREKVLRRQTTFVQYHTSAPLAKNRLLIWVTCFFRQFGHSVVRSDYLTLRKGFIVNHHLTLKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNIKGSNLYFWIAIIPVTLVLLVGTKLQHVIATLALENAGLTEYPSGVKLRPRDELFWFNKPELLLSLIHFILFQNSFELASFFWFWWQFGYNSCFLKNHLLVYFRLTLGFAGQFLCSYSTLPLYALVAQMGTNYKAALIPQRIRDTIKGWGKATRKKRRHGYYGDDSTVRTETSTVASLEEYDHQVLDVVETYPQQRGQKGVELELQPVQPRNASASVPNESSSRVGTPLLRSCVSISSATTPELRTDPMETLSRSSSLPLRRE >A05p039270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24001659:24003521:-1 gene:A05p039270.1_BraROA transcript:A05p039270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS9A [Source:Projected from Arabidopsis thaliana (AT3G19770) UniProtKB/TrEMBL;Acc:A0A178VEC6] MENNTDVSIHDFLERMRKPSAGDLVKSIKSFIVSFLNNEPDPEKDSAAVQDFFSKMEAAFRAHPLWSGCSEDELDSAGDGLEKYVMTKLFPRVFASNTEDVISDEKLFQKMSLVQQFISPEYLDIKPTFQNETSWLLAQKELQKINMYKAPRDKLVCVLNCCKVINNLLLNASIASNENTPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRESKLVSEAAYFFTNMLSAESFISNIDAKSLSMDEAEFEKNMESARARISGLSSQPTAPPPPRDESTVHKTQMLNPKRETTLFQSRSSDSLSGTSDILNANSEIPMKKVESVSDLENKGAARLLNDTEANKIFQEYPYLFANAGDLRIGDVESLLNSYKQLVFKYVCLSKGLGDAATTSLASSSSPPLQALSGFDTAKEPEDEHTTLSSGVRETDRSVDDLVRALQSEGEAVVDKVLDVKQEEYSTVLAEEQT >A06g505550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16058936:16061681:1 gene:A06g505550.1_BraROA transcript:A06g505550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEPEKMISEREKMIGEPKKMISEPKKMTSEPEKMIPEPETTIPESEKMIPEPETTIPESETTVPHDDRAQSYKGVVINGNTGQDVRREGDSREYYGKGKGKMFEAPDSKWVKVADRGSRRPSNHHGKYRGDSEGSRPSSGQSRADQGQRVPPQDVREEGEIKNNRDDDTMLPSIEFQLELAKTQAEGTEVIFVRPEPWPLDLIESAGLLINFSACLIHF >A10p024290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15741272:15743248:1 gene:A10p024290.1_BraROA transcript:A10p024290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGSIGTSLHGVTAKEHVFSFSADASSQVVPSNDPTSKFALPVDSEHRAKVFNPLSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPIIRDNLDLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLMLTAPMVFTMSFATGPVGYLAVRFMIGFCLATFVSCQYWTSVMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHVIKLAGATPFMAWRIAFFVPGFLQVVMGILVLTFGQDLPDGNLSTLQKSGQVSKDKFSKVFWFAVKNYRTWILFVLYGFSMGIELTINNVISGYFFDRFNLKLQTAGIVAASFGMANFFARPFGGYASDVAARLFGMRGRLWILWIFQTVGALFCIWLGRANSLPIAILAMILFSIGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGLTQLLFFSTSRFSTAEGLSLMGAMAVLCTLPVAFIHFPQWGSMFLRPSRDGERSKEEYYYSSEWTEDEKRQGLHEGSIKFAENSRSERSRKIALADFPTQETGSQTVV >A10p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21567890:21570214:1 gene:A10p038680.1_BraROA transcript:A10p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIARLPLTHSLPTILNRTRFLPRRLSFPTYLHRSPFRSLSAVSSSQGDSRSLGSDSNASIVGDLLDYLNESWTQFHATAEAKRQLLAAGFHLLSENDDWNLKPGGRYFFTRNMSCLVAFAVGDKYVPGNGFHAIAAHTDSPCLKLKPKSASSKSGYLMVNVQTYGGGLWHTWFDRDLSVAGRAIVRASDGNFVHRLVKVKRPLLRVPTLAIHLDRTVNSEGFKPNLETQLVPLLATKQDETSAESKDKNVSPSSKDAHHPLLMQILSDDLACKPEDIVSLELNICDTQPSCLGGANNEFIFSGRLDNLASSFCALRALIDSCASSESLSTEHGIRMIALFDNEEVGSDSCQGAGAPTMFQAMRRIVSSLGNAQVTECTFDRAIRKSFLVSADMAHGVHPNFADKHEENHRPQLHKGLDFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREICGTDDIDIAYRHFKAFYRSFSSVDRKLTVDD >A10p006530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9751823:9762731:-1 gene:A10p006530.1_BraROA transcript:A10p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F10K1.14 protein [Source:Projected from Arabidopsis thaliana (AT1G07150) UniProtKB/TrEMBL;Acc:Q9LMK8] MRLCKSKGRSITHTSSSMSSSWIRGTCIGRGCFGTVNTAINKTDGEVFAVKSVDLATCLPAQLESLENEITVLRSLKPHPYIVRFLGDGVSKEGATSFRNLHLEYLPEGDVAKHGIDETLLRRYAACLVSALRHVHAQGLVHCDVKARNVLISRSSGVKLADFGSAIRVSRPTAKCKITPRGSPLWMAPEVIRGEYQGPESDVWSLGCTVIEMLTGKPAWEDLGVDSLSRIGFSDELPIVPSTKLSETGRDFLDKCLKRDLSQRWSCDQLMQHPFLSESQCHDSSCAESSPRYVLDWVNSDEEDEEVERSEWSAAMTRICKIVTTRGANWESDGWVDVRSHHPSEEERATIEYSEHNTSLNLYDDVADESARIDVTVYPNRPPGNGDSAAEVPYEVVMILRLLMECMVYFRITCVSTEATLITSCYQYGSKEVLSFNASLVSTAINKTDGEVFAVKSVDLATCLPAQLESLENEITVLRSLKPHPYIVRFLGDGVSKEGATSFRNLHLEYLPEGDVAKHGIDETLLRRYAACLVSALRHVHAQGLVHCDVKARNVLISALCAGLG >A03p066440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29365257:29366405:1 gene:A03p066440.1_BraROA transcript:A03p066440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDLSLSPHTNSSNFGFCFDLNKHCATEGVVSCLNTKQTRFDSMFWLENMEEDCYVPKPCSFSLNGQPDEEDEGPLESDSTIVDDEEEDGEVVGWPPIKSCMTKYHNYRRSRNHPYHHHGRRISIPNPTATIIGLRPSSSSTSSPRSSMYVKVKMDGVAIARKVDIKLFNSYESLTKSLITMFTQYQDCDREDTSYKFTFQGKEGDWLLPGDVPWKIFAESVHRISIIRDCPCAYTRLLF >A02p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8993026:8996172:1 gene:A02p019490.1_BraROA transcript:A02p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated protein VIPP1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G65260) UniProtKB/Swiss-Prot;Acc:O80796] MALKASPIAGLFPPLRPTTSTSNRPCTLRVLPLRTSFFGNSGGALRVNELRLACADRLKCNAHGPTMNLFERFSRVVKSYANALISSFEDPEKILEQTVIEMNSDLTKMRQATAQVLASQKQLENKYKASKQSSDDWYKRAQLALAKGDEDLAREALKRRKSFADNAAALKTQLDQQKGVVDNLVSNTRLLESKIQEAKAKKDTLLARARTAKTATKVQEMIGTVNTSGALSAFEKMEEKVMAMESEADALTQIGTDELEGKFQMLETSSVDDDLANLKNELSGGSKKGELPPGRSTVPASTGYPFKDSEIENELKELRRKAKEF >A03p046640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19715994:19720019:-1 gene:A03p046640.1_BraROA transcript:A03p046640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase family 2 member C4 [Source:Projected from Arabidopsis thaliana (AT3G24503) UniProtKB/Swiss-Prot;Acc:Q56YU0] MENGKCNGGATAKLPEIKFTKLFINGQFLDAASGKTFETIDPRNGEVIAKIAAGDKEDVDLAVNAARHAFDHGPWPRMTGFERARIINKYTDLIQQNIEELAALDAVDGGKLFQVGKMNDIPAAAGHFRYYAGAADKIHGETLRMTRPSLFGYTLKEPIGVVGHIIPWNFPSIMFAMKVAPALAAGCTMVVKPAEQTPLSALFYAHLSKEAGFPDGVINVVTGFGSTAGAAIASHMDIDKVSFTGSTDVGRKIMQAAATSNLKKVSLELGGKSPLLIFDDADVNKAAELALLGCFYNKGEICVASSRVFVQEGIYDKVVAKMVEKVKDWPVGDPFDSTSRQGPQVDKKQYEKVLSYIEHGKNEGATLLTGGNAIGDKGYYIEPTIFADVTDDMKIYKEEIFGPVMSLMKFKTMEEGIKCANNTKYGLAAGIVSQNVDVINTVSRSIKAGVIWVNCYFAFDLDSPYGGYKMSGNCRESGMDALDSYLQVKSIAMPLHNSPWM >A03p044320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18508155:18512915:1 gene:A03p044320.1_BraROA transcript:A03p044320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-12F [Source:Projected from Arabidopsis thaliana (AT3G20150) UniProtKB/Swiss-Prot;Acc:F4JDI6] MADSKWSFLPKSVSAHLNPRSDIENAPPNIPDPRTKSISKSPALRSQIDRRGQVSATRRTALKARSEVEEASNPPHVKVVVRIRPTKEYCWTVKKLSDDSYSVRDRKFTFDSVLDSIHNQEDVFQQIGVPLVRDALSGYNTSVLSYGQNGSGKTYTMWGPAGSMLEDPSPKGEQGLAPRVFQMLFSEIEREKMKSDVNYQCRCSFLEIYNGQISDLIDQTQRNLKIKDDAKNGTYVENLTAEYVDSYEDVAQILMKGLSSRKVGATSTSFQSSRSHVILSFIIESWSKGASSRCFNTTRTSRINLVDLAGVGTNVRDATKHCVEEEKFLKKSLSELGHVVDALAKNVHPGISDHSLHKTSCLTHLLQESLGGNSKLTIMCNILPSDKNTKRTMSTLRFGERAKSMGNKPLINEISEEDVNDLSDQIRLLKEELIRAKADCHSVGSKDNLGAKSARENLNQLRVSLNRSLMLPKIDIEEEEIMVDEDDVKELHQQIKSFRGSFSEKQKKLPVYRESVSSSFVTAFGESELMDDDEIFSEEVEAEEKDLDESFKECDDASAATITKSTEKSRIKEFASANNMSINPCRQSLILQEPIQSESPKIRNSLRRSIALSSSCLRNQNSLALSIKSSCLAESQHIRASLRGSKIFTGSTESLAASLRRGLDIIENPLSPASNRCSVSLSSDNLTMQPSTETLQDDQVPLSPLCPSCRQKSENNSTVLSSSKLSNVVEGDGHHQGLTEKQQELEILCTEQAAKIEKLTRLVEQHKHQTVNETEKLAGVSNGEQFSSANENQFLSCNDVVERDQANQIPNGDFDIGEKEALLKEIEDLKSKLQKPVTMSTNELRSSLLARSFQLRNKNAEKDIEEERLKCTEMESEWISLTDELRVEIETQRSRADKAEGQLKQEKLSTEELEDALRRAVLGHARFVEHYTELQEKYNDLGSRYKATGEWITELKKAVAKAGKKGCGSRFAKSLATELSALRVERERERDFLKKENVSLKIQLRDTAEAVHTAGEVLVRLREAEQSASAAEEKFNEVEEENEKLKKKMEKLKRRHKLEMATLKQSLKQNTLPESALQPLHQRNLEIEEEGM >A09p079700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58581687:58585614:-1 gene:A09p079700.1_BraROA transcript:A09p079700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPSSRLNHGTEVNSKEFHAYCFSLANRIDDAIGKDEVPLDVQDLAITLDHVCQQRCKAQTRAVIMTLMISVKTACRLGWFPQRESQHLLGLVDSMLKDFTSPEDVASSVNSPISLIPQVMERFYPFFKLGHILVSFEAKAESNILAKDFHISKKMLQHSPQAKVGLFVFRAEDISKSSCLIHPQEVSFLLNGEGVEKRYNALMEPGPQCPTDVTSLLNLGANLLQTVGCFGGSYFIVIALLDDIPLPVNPSLKDYVHSEVTESNLDCDIIEGPSRISLSCPISRTRIKLPVKGRVCKHLQCFDYWNYVEINTRIPSWRCPHCNQPVCYTDIRLDQNMIKARRYATDVVITADGSWKVLTESNKNVEAVPEATQGDPNSFQKLTPTVLDLTSDENEMETSGGTQFNEQKPCLSEIHNTHTPVMGYPILNQSSASVNALPQLPQSFNVFDGQQRFTNFPQVVNRQDSAARKASYPQDRLATNTTCFHIPMPAAQSSQFQGSHVTPLGHSLGRASGYNHMYDNGITQTQLAHMPPPLHHQYAMQNQRLHTRRSRSPSPAQERQIPSGITHHQRLFANYGGTSYQRLMQRPVQRLNPVGAGQQFPWRELTNMNRMRGSLTPGSTGYEHMIIQPTRPVHAPAQTLAQPQTTAYNYIPAQAQVQTLPPPQPTSYHSMADEIQAFLAHPSYPNGNTGTQARTGSLPVEEGVGPTGLLWSIPPEAW >A08g504100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:6686809:6687000:1 gene:A08g504100.1_BraROA transcript:A08g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFCSYLDDIKVLKENFFRSEIVHVPRTQNTKADSLARSVRKQPSFVVHMDCHLPVWFTESV >A02p036950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21386913:21388845:-1 gene:A02p036950.1_BraROA transcript:A02p036950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFSAEEQFQFSQQQNYQKKKSSGKKSAVYLMNSECKESSVGGKPSPSGLPLPPKNIKDLQTTPGYENVDIFTYQEMKLATKQFRPDYILGEGGFGVVYKGLIDDNVRPGYNSTKVAIKELNPEGFQGDREWLAEVNYLGQLSHPNLVKLIGYCCEDEHRLLVYEYMSLGSLEKHLFRRVGCTLTWSKRVKIALDAAKGLAFLHGAERSVIYRDLKTANILLDECYNAKLADFGLAKDGPRGDQTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYGYGVLLLEMLLGKRAMDKSRPCREHNLVEWARPLLNHNKKLLRIIDPRMDGQYTTKALMKVADLAYQCLSQNPKGRPLMSHAVQVLETLKEDGDAQGEVMASLHSRGKSVTLYEAAPSDSQGTRNVDGDGQGQGQRRRRPESGRSKSEASVDTDLYVSALVSSDPNATKT >A03p006160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2602382:2607314:-1 gene:A03p006160.1_BraROA transcript:A03p006160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFTKLDDSPMFRKQLQSMEESAEILRERSLKFYKGCRKYTEGLGEAYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHILNDRLLQFANMDLHEVKEARKRFDKASLTYDQAREKFLSLRKGTRSDVAAALEQELHTSRSMFEQARFNLVTTLSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAKQSRERSNYEQAALNEKMQEYKRQVDRESRFGSNGSNGSPNGDGIQAIGRSSHKMIDAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKPSGASSQLSGQRNSSELGSGLLSRWLSSNNHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPSKNYTLQAESALDQMDWIEKITGVIASLLSSQVPERCLPGSPMGSGHHRSASESSSYESSEYDIPNTEEFVCERSFLGYNERPSRNFQPQRSIRKGEKPIDVLKKVSGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVITLFQALGNTFANTVWEELLHSRSAFHVEPGLTGSDKSRVMVTGKPSYADMISIKEKYIQAKYAEKLFVRRSRDCDFPQSVAQQMWDAVSGNDKKAVYRLIVNSEADVNSVYEDQSSSTSSLTLSRVMLLPEKPPTREDVLLRLRNELLDRNSSGCSSSIIPLEETGGCSLLHCACEKADIGMVELLLQYGANVNAKDSSGQTPLHCCIRRGKAVVARLLLTRGADPEAVNGEGKTALDIAAESKFTDAEVLALLSEAANGYNHRQ >A07p004070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3053457:3055042:-1 gene:A07p004070.1_BraROA transcript:A07p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQHHHQADQENGNNNKSGSGSYTCRQTSTRWTPTTEQIRILKDLYYNNGVRSPTADQIQKISARLRQYGKIEGKNVFYWFQNHKARERQKKRFNGTVMTTPTSSSPNSVMMASDHYYNHHPLLHHPQQGVTMHRPASVNVKLDQENHLLQENRSYPSFNNGTGTECGALNASSNGYMNSHLYGSIEQDCSMSYNNVGGAWTNMDHNHHYSAPAYNFFDRPKPMYELEVHEEEGDYGGDAYLEHRRTLPLFPMHGEDHINGRGGVIWKHGQSDGRDRYGRGPCASLKLCLNSYAAGVTQD >A03g508110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28356498:28358331:-1 gene:A03g508110.1_BraROA transcript:A03g508110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSRRQIKLIYQSIELGHVTQINLNYIFASSSAKSPKLHRRYLPSLLDQFPPSDHSLYPPPPPQLPTCILPAGVAFHSSGFVSSDPVRFPRSYPIVLTEGDGAKIFVRLRFGTESARMLLKLIVYHPIHTQTSVSVSSLTPPISELFGILSRRFLFFTSPQKEAGKNIHKPLWDIIAMFPLYLIAKLVNEGEVTKAETMTIGEIFDYINKRSAKVELELPTGETTFAATAELPLLSANVLSASSKGCGDLADEGMVAQITVAKHRKPNVLLMSNRSFQFLCFHAPFDLTKKKMMNVILDPIEDSTESQPEKTYSLFGMCHETLAVSLLCFCWPSEFICWTEISESSNSVGLITLTFIGDESWPHLQQYYNIIRKCKNGVCFQLL >A03p027610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11563192:11570696:-1 gene:A03p027610.1_BraROA transcript:A03p027610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNLFPFGSVLGNPFLFNGGDLSEGGGGGGGGFESSRLFFLLPLLLSQGQGMDLSKVGEKFLSSVKSASSLGLLPSPPSSSDRPQIPARAAAAAAVARALAALPPDQRLSISSTATELSSIYGNRPPPQDVEELEQGFYEEDFDPVRHILENVPDDQSDLAYFEKQATLRLVQLDRVAESLSHHVMEHHEVMVKGMNLVRELEKDLKIANVICKNGRRNLTSSMNEASRDLIVHTHSKKKQALLDMLPILTDLRHARVMQSSLEDLVEEGNYCKAFQVLSEYLQLLDSLSEFSAIQEMTRGVEVWLGRTLHKLDSLLMGVCQEFKEDSYIMVLDAYALIGDVSGLAEKIQSFFMQEVISETHSVLKTVVGEDNSAATQFSRLTYSDLCIQTPESNSATTQKIDSVTDTSCDPQGGGLSSTMSSASIPSSTISAEESVGSESSRPVQQASNSAIDESRDSGDTVSNGESPWYYLRKESAGFVSGTLQRGRRNLWQLTTSRVSVLLSSPAASSTSIHQFLKNYEDLSVFILAGEAFCGFEVVDFREKLKAVCENYFTAFHRQSMHALKMVLEKETWTRLPPDTVQAINFAGLVGDGAPLIISSRSASGSSRFPLSTDPSGNRSGGFSYWLKSGNPFSAKLTYYREDQDYSSDSGAASEDQDYSSDVVNSKVRDRKGINGGSPVSGDENEDLHADYIDEDSQLPRRSFTRSISRSSSSHLSTNDDLKAQTGSSLCLLRSMDKYARLMQKLELVNVEFFKGICQLFGVFFYFVYQVFGQENTNSGGKGVSNSSSHRLKSCLSRISQECEQWIKPQFSSSSPASSLAFPNTVHSLADVTPSSPLNTTSGHVSGISFSLKERCAAVDTVSLVARILHKSKAHLQSMLMSRNGSLVEDFFGQLVGSVPDLTEHLHRTTARILLHVNGYVDRIANSKWEVKELGVEHNGYVDLMLGEFKHYKTRLAHGGIPQEVQNLLLEYGVEIFAETLVEGLSRIKRCTDEGRALMSLDIQVLINGLQHFVPTNVKPKLQIVDTFIKAYYLPETEYVHWARGHPEYTKGQVIGLVTLVATMKGWKRKTRLEVVEKIESAAA >A05g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8773226:8774954:1 gene:A05g502900.1_BraROA transcript:A05g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNNFVCVDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRFLQAHRITNESHPPIIISFYDSMNHKNFRIKILGFLAHCGEKVRDMLCLVHKNGKRRRVNRFWEH >A09g512870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38634521:38638906:-1 gene:A09g512870.1_BraROA transcript:A09g512870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFRKLSSKILIFAFLASRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVDCLFSTHRTSVSTRRTSVAVRVCPCVRQCTQDVRQPHNRTSVSTSRDVCGSCGPFPWTVRVLIRVLIRVLIRGLIRVLNSYQHADHTYQHAGPPCWPFPWTVRVLIRVLIRVLNSYKHADHTYQHAGPSRWTVRVLIWTTLCNHVITQYPGTNAGPSRGLIRVLNSYQHADHTYQHAGPSRGLSVY >A08p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18060668:18061701:-1 gene:A08p029110.1_BraROA transcript:A08p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTETLDEMVQSGTLSPELAIQVLVQFDKSMTEALESQVKTKVSIKGHLHTYRFCDNVWTFILQDAMFKIDDRQENVSRVKIVACDSKLLTQ >A06g504540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14129213:14132328:-1 gene:A06g504540.1_BraROA transcript:A06g504540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYSEEEDSFDSSQDSNIDETDQAWSSKEDGCERSCSADEYSSSEYGDDPGEETPEPEPPDHSQGNTIFYKKGGCRENKSWSIDTDSEISMEEEDECDPYPTQACNPLKKSLTPASYGVTPYKVPGRSKSPTARKAQSTPAATKKQSRTERGNTPDYLVFSGSSMDPGVYLRWEDDMKQWLQAKNIPKEDKLSYALDMLIGKAYTWWEQEDAQTYYSNPVLNWGDLKARMYKEFVRKFRASNKILTRPMYQENRWSSMSTPKARPAADKRHAHCPDPRKSLCTSKKAEEVEKLSPAKKYQGCTSTTSKHHHQATSRKEVSNLKPESASMPMSAHGLKPKKVTSSVPTLRKGAMRSSQTEKFQERPIPTLLMGSQRIPEVCQRSKETLNQQENIRSQGKSSNSKNLKDQTCYRCHRRGHFAAVCPSKKLKETSLGEKTEISKISDSLIQSDLLVSNACIMHLSMPKGVNTGPKEHESTEEEPPGEILEMDQNKAQDITQHMFPKEISSEASILPNPTSTTPGLETQKKFTAQRQRTPVLVLGTSLDLNKRTDSLVPLKLSNSGFMHLSLPKSFDPGIRQGDGRPSHGKRLKENQGKHLTCPQNVEGDARSIKSKQAAKEQNILQLAKTIWVNLNFTCLIYKFSNPDIIHLFPAKSVEFISGTEAKHHIDDQGKEITKCLHAKRKQEVVISNLLILDVPEDKIPPSRVPDQNRGVASSFLLKEEPSDVPSKIKPIKYQGKVLESQQRMKPDLLYFGADYPVSRLKLFQERGYDAGIKSVAEPEANQLHQSANQRTNQDMCSVKTVCLTNQEEFGHDTNFHAFYTQQGVRNNWNHLQSYSDQEDMNFTNRRFSNPSICEYPSLEVVSSPKKKRSDPNQNLYFKIDLVSSQQAQEEEKRPRKSQDTIILPEPIKPSNLWKDWTINWSNCFQTELNQPGRILHDPRLPEYTSNRPEEPPLIFPYTSKHRISRIFIYNNLPYRRSKTSEFSHKTLKTQENYHLPYFWPSKPFKA >A08g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13603513:13607630:-1 gene:A08g507740.1_BraROA transcript:A08g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPGGPASEILGSKKCETWGRSDVGRHAHMVDVNFFFPEIYGYVSNSNDNAVGVLRYRVELVIADDTAEGAFGCFDGVMTKLHNLRAAEAGVNPEDVTMPPFITDMEGKKFTFQVRVNTYNFTAHHQTFTITHILTEHERVPVPDYVVDGGTMVMMPICRTVTEFLNRLWNNRSSGNFKNQDQQQIHVGRLSTKGGNDATRLRFIDPLWKLKWFLNIGSQARLKKSIATIDKFVYRLIITKRKEQNTAIREDILSRFLVEREKDPERMNDKYLRDIILSFDRWEGHNRCISLLIRDVTSSHEETTDGKGFVESIDEEALDQMQYLHAALSETLRLYTAVPVSEEKGNVYYISYAIGRMTYIWGQQAEEFKPERWLKDGVFQPESPFKFISFHAGPGICTGKDFAYRQMKIVSMALLHFRIVDEKNLISNSKVGEKNEQGLYRVDNESMRDNNSWLTQAY >A09p041650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23579971:23580993:1 gene:A09p041650.1_BraROA transcript:A09p041650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPKQYKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >A09p033850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20463923:20465620:1 gene:A09p033850.1_BraROA transcript:A09p033850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVADKLGYFQAITGLEDADLCTEILQAHGWDLELAISSFTSSSSSSSSRVDGDHNREPFTEFEDAPSRAIEFDDGRAFRPPGIAWKIITLPVSIVSGSLGLASSAIGFGFWVAGGALSYSLAMLGFGSGRGGSETESSSSSSSAAGEAMEFIALFDRDYGRNPLKPGFVSEGFMDALQLSRSQFKLLFVYLHSPDHPDTPPFCQRTLCNEGVVAFLNENFVAWGGSIRSSEGFKMSNSFKASRFPFCAVVMPAANHRIALLQQVEGPTTPEEMIAILQRVVEDSSTVLVTARVEAEERRTNLRLREEQDAAYRAALEADQAREQQRQEDQERIEREAAEAERKRKEEEEARERAEREAAEREAARVRMRQEKALALGDEPEKGPDVTQVLVRFPNGERKGRRFESNTKIQTLYDYVDSLGVLETEEYSLITNFPRTVYGRDKESMSLKDAGLHPQASLFIEIN >A02p022720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10860767:10861021:-1 gene:A02p022720.1_BraROA transcript:A02p022720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNLQCLLQCLLDTLSPDSELCRAAEALLLDAAGLPDYGLTVLRLVVDSSDDQTRHVASVAFKNHLRSQCLPDGISPVTDSEK >A03g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24699579:24699835:1 gene:A03g507040.1_BraROA transcript:A03g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTIWVNEAAIFRELNRISTKKNQIVIITSIIPRLHEGKLSLTTASGSHFYFDTDIDIIQRF >A08p003430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1933741:1934327:1 gene:A08p003430.1_BraROA transcript:A08p003430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSQQNVALPPSQSIATNGDTVSSHNTMDGKGCSDQFEAEVSVLPSVHHRNHVILHGYFVQGDERLFVYKYMPQGVLDVARRVVYLHTLASQS >A01p049560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27811717:27815189:-1 gene:A01p049560.1_BraROA transcript:A01p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEIEPQSLQNLSLKSVKRALDLFSPAHDQLAPPDPESKKVRLSHKIQVAFGGVEPVSKQHIRKADHNNEQIAPSNSLSGQEGAKEVQKGVTEKALAVVGPTLPPRSLNDNTVHAGKSTTVLPAFGSSSERNLSTSALMERIPSRWPRPEWHAPWKYYRVIQGHLGWVRSVAFDSSNEWFCTGSADRTIKVWDVASGVLKHTLTGHIGQVRGLAVSNTHSYIFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALQPTLDVVLTGGRDSVCRVWDIRTKVQTFALSGHDKDVCSVFTRPTDPQVVTGSHDSTIKFWDLRYGRTMATLTNHKKAVRAMALHPKENAFVSASADNIKKFSLPKGEFRHNMLSQQRATINAVAVNEDGVMVTGGDNGSLWFWDWKSGHSFQQAKTIVQPGSLESEAGIYAACYDQTGSRLVTCEADKTIKMWKEDENATPETHPLNFRPPKEIRRF >A03p017910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7320396:7327314:-1 gene:A03p017910.1_BraROA transcript:A03p017910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBL40 [Source:Projected from Arabidopsis thaliana (AT2G31110) UniProtKB/TrEMBL;Acc:A0A178VPV0] MGLCFQPILASLFLILLSSLPELLAQSQQHFLGQNNTSLLGEERSCNWFRGKWVYDSSYPLYSPFSCPFINPEFNCQKTGRPDTNYQHFRWQPFSCSLPRFDGVNFMRRMRGKKIMMVGDSLSLNMFESLACLIHASLPNAKYSLSRSQPLTSLTFQDYGVTILLYRTQFLVDVVQEKAGRVLVLDSIKQANAWLGMDVLIFNSWHWWTHTDGIQPWDYMREGNTLYKDMNRLVAFYKGLNTWARWINGNINPSRTQVFFQGVSPVHYDGRQWNEPSQSCKGQTQPFMGERYPGGLPLGWVVVNKVLSRIKKPVRLLDLTTLSEYRKDAHPSLYNGIAKGLDCSHWCLPDSTRQQKGRGGRSVWIKIKVKMVGDIAKRWKELSGNSKWKDLLDPLDLDLRRYILHYGDMAEVGYVTFNSDRRSKYVGDSCYTKEELFARTGYLKANPFRYEVTKYIYGTSSIRLPECFLINSLSREAWNKDSNWLGYTAVSTDEGKELLGRRDIVVAWRGTMQLYEWANDFDFPLELATSVFPPTDPNDPNDPPRIANGWLSLYTTSNTHSRFDKTSAQEQVQGELKRLLELYKHEEISITFTGHSLGAVLSILSATDFLHNEWPKTTTSLGDTLSCVTVFAFGSPRIGDLNFRRLVESLRKLNILRITNVPDLIPHYPVFRFTDVGEELEINTLKSEYLKRSLNLAHFHNLEAYLHGVAGTQHNQAEFKLEINRDIALVNKELDALQDKYLVPSHWWVLENRGMVQANDGTWILNGDMAKNDQEQEKDECELL >A06g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29809585:29809874:1 gene:A06g509880.1_BraROA transcript:A06g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVYGLIKHLTHTLSQIDTAITKEINLYYYKESNLREFLATVHRHCYSLSMSGKEASLSGVGKLC >A04p029520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17623398:17625339:1 gene:A04p029520.1_BraROA transcript:A04p029520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH10 [Source:Projected from Arabidopsis thaliana (AT2G31220) UniProtKB/Swiss-Prot;Acc:Q84TK1] MPCFDPNTPASVTAESSFSQVQPPPQPPQILVAGSTSNSNCSLDVEEFHLSPQDSSTPFQFHINPLPPPPCENQYNLIHQMSHNQQQHSNWDNGYQDFNNMCPNSTTTPDLLSLLHLPRCSLPLPNSSISFSDIMSSSSAAAVMYDPLFHLNFPLQPRDNNPLPNGSCLLGVEEQIQMDANGGGINMMYYEPGNNNNGGFESGAFEFGNGGNNRRGRGSGKSRTFPTERERRVHFNDRFFDLKNLIPNPTKSDRASIVGEAIDYIKELLRTIEEFKMLVEKKKFGKFRSKKKAKTGGEEDLEVEQEEDNVSYRPQSEVDQSCFNKKNNNKSLRCSWLKRKSKVTEVDVRIIDDEVTIKVVQKKKINCLLFTTKVLDQLKLDLHHVAGGQIGEHYSFLFNTKICEGSCVYASGIADTVMEVVEKQYMEAVPTNGY >A10p035950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20550331:20552318:-1 gene:A10p035950.1_BraROA transcript:A10p035950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKLGCCESFLKSSKPYFAMISLQFGYAGMNIITKISLNTGMCHYVLVVYRHAIATAVIAPFAFFFERKAQPKITFTIFMQLFILGLLGPVIDQNFYYMGLKYTSPTFSCAISNMLPAMTFILAVLFRMEVLDVKKLWCQAKIAGTVVTVAGAMLMTIYKGPIVELFWTKYMHLQHANDTTPSSNSNDNKDFLKGSILLIFATLAWASLFVLQAKILKTYSKHQLTLTTLVCFIGTLQAVAVTFVMEHNPSAWKIGWDMNLLAAAYSGIVASSITYYVQGIVMKKRGPVFATAFSPLMMVIVAIMGSFVLAEKIFLGGVIGAVLIVIGLYAVLWGKQKENEVTHCEMLEPTKNINKVTEDVEANNGTEMKYSDSMLSTIVISTPASETILKKTSQEP >A09p069470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54138671:54139930:1 gene:A09p069470.1_BraROA transcript:A09p069470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 18 [Source:Projected from Arabidopsis thaliana (AT2G24800) UniProtKB/Swiss-Prot;Acc:Q9SK52] MASPFSSCKQKHAFLSSLLLFLSLLLLISSSAANLSFNFYGSSCPGAEFIVRNTVRSASSSDPSVLGKLLRLVFHDCFVQGCDASVLVRGNGTERSDPGNASLGGFDVIESAKNVLEIFCPGIVSCADVLVLAARDAVEALGGPVVAIPTGRRDGTVSAAENVRPNIIDTDFTVDKMINIFSSKGLSVQDLVVLSGAHTVGAAHCNTFNSRFTRDPRGNFELIDASLDNSYAQTLLNKCSSSMDPTTTVVNNDPETSSTFDNQYYKNLLAHKGLFQTDSALMEDDRTRKIVEILANDEESFLERWTESFMKMSVIGVRVGEEGEIRRSCSSVN >A06p054050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28391219:28392378:-1 gene:A06p054050.1_BraROA transcript:A06p054050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTHHHHPTMFFLNRNGQEGNDFSPELQKSQLPSITTGVNNTRKRAREVIDLENMTSPMNPPPSTPPQFISHRQTPNVVSTGLRLSQGQSQNREQPSSSSSSFPMINEDIAGEIKRQSDELDIFLQTQDEQLRRMLAENSERHYRELLKTTEESVRRRLREKEAEIEKATRRHAELEARAMQIETESRAWQARAASKEAEATTLQARLQQAVAHGGGDTVAEPNSGSVDGVDEAEDAESAYVDPDRLELTGPSCRICWRRSATVLALPCRHLILCKGCDGSVRVCPLCLSSKNSSVEVFFS >A09p076240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57109322:57115894:1 gene:A09p076240.1_BraROA transcript:A09p076240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTKNLLTFQGLFTSPPPDPGFDFFYLALQWPGAYCDTKRACCYPTTGKPAADFGIHGLWPNYNNGSYPSNCDPSNEFDPSEISDLVSTLQTKWPTLSCPSNEGYKFWEHEWEKHGTCSESVMDQHGYFEKTLALRDRINLLQILTDAGIKPNDEFYKLKDIKKAIEKATGFTPVINCNRDPEKNRQLHEILLCVDKSGTEFMDCPMPTDRCPYSHIQFGHNHHSSGSKREPSLHVNRRRKKLLVSSIVVAFALILAAAIFARVRSNVNSSQHVPGLARKPSQAISKACEPNRFPELCVDSLMDFPASSAKDLIHPRTLLISSSFSFLDMPPRSAYDSCLELGIIIASFFILQSLLVSSSPSPPDFNFFYWVTYWPGAICDSQKGCCPPPNSNTASDFMIHGLWPQFNNGTWPAFCDQTNLFDISKVSDLVSKMEKKWTEWGVWACPSNETKLWEHEWNKHGTCVQSVFDQHSYFLTNLRFRQKLNLLNILKQKGIKPDGGLYGLDEIKNAIKCAIGYAPGIECNEDVKGTKQLFQIYICLDNYAKEFVECPYVPDRSCASEIKFPKFPKKDSLGETLSVISSKSYLLPAPPVPGFGALDSRIRTITWSKKSYSTTYLLFELERGDKV >A09p050980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44611709:44613769:-1 gene:A09p050980.1_BraROA transcript:A09p050980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 5 [Source:Projected from Arabidopsis thaliana (AT1G25450) UniProtKB/Swiss-Prot;Acc:Q9C6L5] MSPPKMPHLSTSTKHKYVKLGYQYLVNNFLTLLLIPILAYTALELFQMGPEEILNHLNSLNFNLLHILCSSFLIIFVSTVYFMSKPRTIYLVDYSCFKPPVTCRVPFATFMEHSRLNLIDSPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMDAARSEAELVIFTAMDDLFKKTGLKPKDIDILIVNCSLFSPTPSLSAMIINKYKLRSNIKSLNLSGMGCSASLISVDVARDLLQVHPNSNAVIVSTEIITPNYYQGKERAMLLPNCLFRMGAAAILLSNRRSDRWRAKYKLCHLVRTHRGAEDKSYYCVYQQEDDESHVGINLNKDLMAIAGEALKSNITTIGPLVLPASEQLLFLTSLIGRKIFNAKWKPYIPDFKMAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIEAKGKMKRGDRVWQIAFGSGFKCNSAVWKSNRTIKTPTEGPWSDCIDRYPVFIPEVVKL >A07p028330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16013141:16014873:1 gene:A07p028330.1_BraROA transcript:A07p028330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPPHLDFSSANHGNEHHHHQEISEDNLLTLFKSQQDLLNHFFKHLDLSQTLDFSRVLLSTSGTVFFTGVGKSAFVANKISQTLISLSFRSSFLSPLDALHGDIGALSSRDVLVLFSKSGSTEELLRLVPCAKARGAFLVSLTSVPGNPLAGVCDMNVHLPLQRELCPFNLAPVTSTAIQMVFGDTIAVALMAARNLTKEEYGANHPAGRIGKSLIFKVKDVMKKKEELPVCKEGDLIMDQLVELTSKGCGCLLVVDEHHRLIGTFTDGDLRRTLKASGEAIFKLSVGEMCNRNPRTIGPESMAVEAMKKMESPPSPVQFLPVVNEDKTLIGIVTLHGLVSAGL >A02p001970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:820882:821442:1 gene:A02p001970.1_BraROA transcript:A02p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRVLPFSVLAILIAATTLANGYSPPPPPTPPTTAYPAAKTVEAAVEGMVYCQSCDKYGSWSLAGAEAIAGAKISVICKNHRQQVSFYKVFQTDSYGHFYGELKGLKMSQHFLDHPLHACRAKLVSSPREDCNLFSNINNALDGASLRYEEKRVKWKNYEAVVYAAGPLAFRPDHCPETAPAPTY >A05g510440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32210085:32211581:-1 gene:A05g510440.1_BraROA transcript:A05g510440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQLSLPLSTTTPRLSFKVHRRKPELITPAKLTPRELKLLSDIDDQQGLRFQIPFMFFYRPSLTSTLDPVQVIRTALGQTLVYYYPFAGRLLEGPNRKLAVNCTGEGVLFIEADADVTFAELEEADALLPPFPCLEELLFDVEGSSELLGTPLLLVEVTRLKCGGFIFALRINHTMTDGAGLSLFLKSLCELACGLHAPSVPPVWERQLLIASTYARVTHTHREYDEYVEPEAVVVGDCLVTRSFFFGPDEIAAIRRLLPPGLHNHNSTFDALTSFLWRCRTVAMSPDPNTEMRMTCIVNSRSKLRNPPIPSGYYGNVFAIPVAIATAKDLMEKPLEFPLRLIQEAKSSVTEDYIRSVTALMATRGRPKFVTAENYIVSDLRRFDIGKVDFGPWGKPVYGGTAKAGIATFPGVSFYVPFKNKKGENGTVVAISLPALAMEKFVEELNGVFMAGSFAKSKKTFVLKSKI >A02p006270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2656165:2657139:1 gene:A02p006270.1_BraROA transcript:A02p006270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVDSNFPVRHRKFSLEIKGNKTEIVICSYEDHILVIATQIGAMGTILHARKEEGMSVEPTFSVSVIFGKRDEPMQIATARRLIDHISSFVPSKPLVLSLGLKDHSSETLKEIVAAVIENRLW >A10p002110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1100359:1102001:1 gene:A10p002110.1_BraROA transcript:A10p002110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RRP4 [Source:Projected from Arabidopsis thaliana (AT1G03360) UniProtKB/TrEMBL;Acc:A0A178W3N1] MMMKKIQLPFTQTQKVRYERAIERLQSLSSTVNSDASVIVTDTIPVNHEDAFLKGHGTSEVDGELLATVCGVVERVDKLVYVRTLRARYKPEVGDIVVGRVIEVAQKRWRVELNFTQDGVLMLSSMNMPDGSQRRRTSVDELNMRNIFVEHDVVCAEVRSIQHDGSLQLHARSQKYGKLEKGQLLKVDPYLVKRSKHHFHYIESLGIDLIIGCNGFIWVGEHVEVRDPVMMIDDQKDAEMISSSSTTKEQSHTPLETRQNICRIGNAIRVLSNLGFTVTVEVITETLNLSISKNIDIHNMLGSEFHVVVAENEAERRREKRKK >A01p047400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26727317:26728699:-1 gene:A01p047400.1_BraROA transcript:A01p047400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSVVGRPRHHGVMVGMNQKDAYVGDEAQSKRGILTLKYPIEHGVVSNWDDMEKIWHHTFYNELRIAPEEHPVLLTEAPLNPKANREKMTQIMFETFNSPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFSLPHAILRLDLAGRDLTDYLMKILTERGYMFTTTAEREIVRDIKEKLSFVAVDYEQEMETSKTSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFSGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDEAGPGIVHRKCF >A02p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7333313:7335095:1 gene:A02p016350.1_BraROA transcript:A02p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSNGGAMSGRAPGTVGGVKSSPPPVDKEVDYANYFCTYSFLYHQKDMLSDRVRMDAYYNSVFENKHHFVGKTVLDVGTGSGILAIWSAQAGARKVYAVEATTMADHARALVKANGLEDVVEVIQGSVEDISLPEKVDVIISEWMGYFLLRESMFDSVISARDRWLKPTGVMYPSHARMWLAPIKSTLSERKKNDLDGAMADWDNFSDEIKSYYGVDMSVLTKPFAQEQEKHYIQTAVWNDLNPLQVIGTPTLVKEMDCLTATVSEIEEVRSNVTSVINGHTTLCGFGGWFDVQFRGRKEDPAQQDIELTTAPSERHCTHWGQQVFIMSNPINVEEGDNLNLGLVMSRSKENHRLMEVELSCEIKEASGNPKEAFKKMYFIE >A04p019210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11510022:11511316:-1 gene:A04p019210.1_BraROA transcript:A04p019210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGGGTVGPKAEVVDREGISEAYDVNRSEGKERAGANSVLMGTQMHDSRSATLSRTDIFQAVDTSEGSEVSGSDEEDLAWTTWFCKLPGNEFLCEVDDCFILDNFNLCGLRHQVPFYDNALDLILDDDSSSHGSILVKRGPTGHGRLIHMASSNEVVIQVGRVVLDRCCVKEIYFVLSINVNGLIHARYILTDKGFLSMLNKYNKSEFGRCPRVYCSGQSCLPIGLSDVPGASTVKIYCPKCEDIYHQPSKYQGNIDGSYFGTAFPHLFLMYYPSRRPKKVSSQSYVPRVFGFNLHKP >A01p001490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:624730:626385:-1 gene:A01p001490.1_BraROA transcript:A01p001490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAEIKQRLQPNAKGKRLWQKVKYQLVEYHALPAYLRDNEYILGHYRSEWPIKQILLSIFTIHNETLNVWTHLIGFFLFLALTIYTATKVPSVVDLHSLQHRLPDVPRKTDLHKLHSDLMSRLPSSPSKWHVMELLYNCLPERFSHGNNTDMCVLHSVKEDLANMIAPLIFRPITRWPFYAFLGGAMFCLLASSTCHLLSCHSERVSYIMLRLDYAGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGISTVLVSLLPVFQSPEFRVVRASLFFGMGFSGAAPILHKLIIFWDQPEALHTTGYEVLMGLLYGLGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAFTHYRAGLVYLKWRDIEGC >A09g503080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10052398:10053881:-1 gene:A09g503080.1_BraROA transcript:A09g503080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLRSAAPPSVSAPVYLLLSFAFVSTSCVGYACLSVAAITGRVLRGSLIMARVHICAGSEAAVEEIGFPEFEDCSRVSVSFVCFVFSRNCLEFPFQGLGCPLPFLWHGCVRGPRLFLARRRSFYWFSVGEYVRGCGGLRFGLSESSCLCGVVLETVKFWSWRSPLNLCLGSVGVTVVLAISFPNKELSTTNGLSLCISVLSMEAVVIFCCGGTDVVVASYFSGEAAAIIRCGRSDVVVASYFSSKVAAFLRYGGTDVVVVSYFPGEAAVILRCGGSDVVVASYFSSKAAVFFVVAGLMWLYLRTFPERLREAATCLCHGWIDVVGVFYLLSRREGVLLAYRSKA >A03g508060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28236561:28237045:-1 gene:A03g508060.1_BraROA transcript:A03g508060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGSSRNSRNRGYGNRKMCACQLPAKIFTAWTDKNPGRRFYGCELYKEGGNDHCSYFAWLDEEEVKGWAKRALIQARDEIREKKKRINELTASINELTATVNELRMELEQKKVEKPGSREMVVYRRCIIM >A02g503840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12850348:12851426:-1 gene:A02g503840.1_BraROA transcript:A02g503840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTSVPHAHRLSHSSPTMEFGESYSIRINGVISRRVRKHTQEADKGVLGDGIEGYVNLVMEEMLQLNVHKSIIIIVGGANMRGWSEKMIDDELQIVRNAGVVQLQREKTCVSQSNTKERRELMDSFVDGIRESAEKYMASSYANKVMQFFLGRSASDDIDLFKVFKV >A06p010010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3584096:3588015:1 gene:A06p010010.1_BraROA transcript:A06p010010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRKVAEAIHVLNHDPQSSNRVAANQWLVPQLLTQICLALSALLLHADLYSKPFDKLMFALLTVLPEEISDTRHGSHQSSLRQEVVLPVFSALVDALVLRAQVDESTSSDESPGLDLPDGLLHFRNNLLELLVDICQLLRPTTFGVPSLHNSQTLLDSVFARDYYFFGGLPSSNISMPLREIEAKLFALIAVSEIILQEGEAFDFSLIMQLVSAFSVRPSSELKGFICVVYVVGSFSRWISVFPSNGRPLLLFLAGGISEPICSHACASALLKICEDAPAVIQEASNLDILMRIGECLDLWNLALEDEEEVISAITVILGSVANKELQNKLLTQLLSSSYGVLSKLVDDDVKPSSRQNPATYTRMIGTVFSHLATSLSSVPVADSPILCLLTAFWPILEKLFRSEHMESGSLAAAACRALSVAVQSRYTGPCSSLMGINSSYICDQEPDLVEAYVNFASALIRGCHKELLGTCGTLLEISFQKAAICCTAMHRGAALAAMSYLSGCISLWRGAFVLMTEGNACIFYF >A04g500470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1500956:1501246:1 gene:A04g500470.1_BraROA transcript:A04g500470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSCLPRLKLQPSSPSSSLAHGLSISRGRAQNLRRGSSHFATNHHKLLLPRQDPPWRLRNRRRESSLQSARHGGRSSCNNGGFWSFCTSGPSPFG >A09p009590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4939357:4939887:1 gene:A09p009590.1_BraROA transcript:A09p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell wall / vacuolar inhibitor of fructosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G64620) UniProtKB/Swiss-Prot;Acc:O49603] MASSPIFLLLLTLSSTLLSVASNTTTIESTCKTTNYYDLCVSALKSDPRSPTADTKGLAAIMASVGMTNATATASYIAKNLTATANNTVLKKVLKDCSDKYTLAADSLRLTIQDLDDEAYDYAYMHVLAAQDYPNVCRNIFRRAKGLVYPAEISRCEVSLRSICGVVSGILDRLAE >A05g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19761136:19761410:1 gene:A05g506990.1_BraROA transcript:A05g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKFCTNSLAKGVRSRASRSAFVNPFAPSWLAPQVSMRVPKKRIKLKVKLNIPMNKFIRSSYLCGCLYGTNNFF >A08p002070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1189616:1192130:-1 gene:A08p002070.1_BraROA transcript:A08p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGKPVPPRDSSLTSEINKPDFRELDLGSPVSPLRSQPRGLTTTTTSSSSSSSSGSVTRQHAPVIGRSGSVRGSQSGSSSGNLRTSQTRSDSVTSNSQPLVSSSTQSSATSPATAANVLPTGNICPSGKIQLTGMTQSRSRNDVLGSGTGTYGHGSIMRGGGGSGVSPAKPVAPVTLGGSIRGSPAAMLGSDAEEVKRVGNEMYRKGLFGEALRLYDRAIALSPANAAYRSNRAAALTGLGRVGEAVKECEEALRLDPNYGRAHQRLASLLIRLGQVDNARKHLCVLGKPSDPMELQKLEAVEKHMSKCADARRVGDWKAALMEVDAAIVSGADFSPQLGMCKVEALLKLHRLDAAQSKLLEAPKVEPFPASCSQTRFSGMACEAYTHFVKAQIEMALGRFENAVMAAEKASKLDPRSNEVAMLHNTVTLVARARVRGNDLYKSERYTEASSAYAEGLRFDPCNAILYCNRAACWFKLGMWERSIEDCNHALRFQPRYTKPLLRRAASNSKMERWAAAVSDYEALRKELPHDKEVAESLFHAQVALKKSRGEEVLNMEFGGEVEEVYSREQFKAAMNLPGVSVIHFSTASDHQCKQLSPFVDSLCTRYPSIHFLKVDIDKCQSIGNAENVRVVPTVKIYKNGTRVKEIVCPSKEVLEYSVRHYSS >A09p069870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54345522:54347368:-1 gene:A09p069870.1_BraROA transcript:A09p069870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 71B6 [Source:Projected from Arabidopsis thaliana (AT2G24180) UniProtKB/Swiss-Prot;Acc:O65787] MTLFSFPISTSTEMFPWLLLLLLPPLLILFKTYLLPSSKNLPPCPPKLPILGNIHQLGNLPHRTLRDLSLKYGPVITVYLGSVRTVVVHSPETAEEVLKAHDSDCCTRPRLSITKSFFYDGLGLGFTQWGDYYKDVRKLCVLELFSVKRANSFRNIREEELSRLVRSLSGSAKSGSQVDLTGKLAKYVASFTCRMAFGVSFVGSGIDNEKFLEIFTEANRVIGKFAAEDIFPVFGWIVDRISGLEASRRKSFRELDAFYQKAIVDHREKKKTEDEREDLIDVLLKLQSQETKLGSTRITDKHIRAILMDLFVAGIDTSAITMDWTMAEIARHPRVMKKVQTEIRERVGDKGKVTYEDLEGLEYMKMVIKETWRLHAPSPILIPREAMSRFKVKGYDIYPGTRIHVNTWAIGRSPDVWKEPDEFIPERFVDSNVETKGTSYELLPFGSGRRGCPAMYMGLSTVEFTLANLLYHFDWKVTEEVSVKEAPGLTSHRKHPLQLVPVNVINRMS >A06g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10797505:10803482:1 gene:A06g503540.1_BraROA transcript:A06g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLVLQNDWSKEVVEGLRKPDTPLTHETAIYVLRKLAKHPEKAYSFLDWGIRESDLTPSSPLYSTMLRMILVQQRPMERFRTTLTDMKQGGFCLDEETYKTIYTLLNRESYKDAKALARFYNDNAMSVVADNVSASVSKQDWGCEVERELQGMKLPLSDHNFVIRVLEGLKEHPLKALSFLRWVGGCYKHSTVTYNAALRVLARPSSVAEFWSVVDEMKEAGDGAEGIDGKLESHVPGKLEKQVSRARGRFRHLHKSIKTVSDV >A10g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2187155:2189608:1 gene:A10g500670.1_BraROA transcript:A10g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAASAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVKKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRKAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDAEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNI >A05p052920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30484568:30493356:1 gene:A05p052920.1_BraROA transcript:A05p052920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKSSEASPLCCALPMYSPKYSSYATEEDSNDLNNTPKHKRTNALLTNSASTHDLRRQEVEKEKQDTNSPRGALEACLTRCSISSTSSSLDDPPPNREATENANADAEAGGKNHRASSNWGKFFKHWKRKSMKRLSSFPPLARNKNADTHVDGLNVHDIYDFQSSLHSFSITDLEIATDNFSPEKEKQDTNSPRGALEACLTRCSISSTSSSLDDPPPNREATENANADAEAGGKNHRASSNWGKFFKHWKRKSMKRLSSFPPLARNKNADTHVDGLNVHDIYDFQSSLHSFSITDLEIATDNFSPENIMGRGGYAEVYQGILPEGKLIAVKRLIKGTPDEKTAEFLSELGIIAHVDHPNTAKFIGCCIDGGMHLVFWLSPLGSLGSLLHGPSKDKLTWNRRYKVALGTADGLMYLHEGCQRRIIHRDIKADNILLTEDFQPQICDFGLAKWLPKQLTHHNVSNFEGTFGYFAPEYFMHGIVDEKTDVFAFGVLLLELITGHPALDDSQQSLAKPLLEKKEITQLVDSSLGDEYNVEELSRLTSTASLCIEQSSLLRPRMSQVVELLLGQGGVDMTLREDKRNMMQRTYSEDLLDSIEYNSTKYLGDLDHIREDWVSLSSQSPSLLYICNTPIEILKNPNPPPPLSTTSRISMAKKKGTRKSNATVNVDVNDTPQSHDEKAATKLIRQSSMEEDQDHHEERVQNLKSLNAMLVKQTVEKRQQIDSLANAKSELETELARYGGELDQVSDENLGLRMELGLVMEFVGCRFREMGVGVERLVKERDVIKKEFELQSEQVNQLKESVVVLVEKEASLEKEIEGLKREKNEMEIVKSDQRGEIEELERKLGEVSDTVESLMKEEKVLRGVVVGLEKDLDECVEKERVMMVEIDVIGKEKMVKEAELERLVEEKSLVEKQMEMVNVQCLEKEKLIDQLCREKVELVERVVSGEAKRVELNRKVDELERAVSALRKDCVDRTETNEKLQCKVGELRDALWQVEVEREEAGKALDEEKRHGEDLKADVSKSEKMIETTLVELEEVKIEQESLSTAKNDLEKQSKSLKSEKAILEKKLLELTKAIESAGMEAKRSLVMLKSAASAVSHSDSEQQKQENGAESYALELESIEQAFRNKESIIEEMKKEAETMKQSTEEAHKKKSFWTVVSSVTTIFAAASFAYASRTR >A02p025710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12108065:12109937:-1 gene:A02p025710.1_BraROA transcript:A02p025710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTQNPDAISAYYQTRAAHHGVITSDWLAQAQAAVEQPDSTHSGLGPNKSFNVIDEFNGWRKQPDLAEAVAAIRAMAAVIRASEATTMMELEIELKKASDTLKSWDKTSISLTAGCDLFIRYVTRTSALEYEDFNSAKSRLLERAEKFGEISCKARKIIAMLSQDFIFDGCTILVHGLSRVVLEVLKTAAQNNKLFRVLCTEGRPDGTGVLLSNELSKLDIPVKLLLDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHNMNKPVYVAAESYKFARLYPLDQKDMAPALRPIEFGVKIPAKVEVERSARDYTPPQYLTLLFTDLGVLSPSVVSDELIQLYL >A02p010330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4449723:4450109:-1 gene:A02p010330.1_BraROA transcript:A02p010330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGGNKLMKLKSVLKKLNSFNTKPNQPPSTPAYHGRSSSVSAFPLEDLHTVYVGRTRRPYQVSSDVVSHPLFQQLATMDGGCGSEDGSIAVSCEVVLFEHLLWMLDNADADESRPESVHELVEFYAC >SC262g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000111.1:46210:48667:-1 gene:SC262g500010.1_BraROA transcript:SC262g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLEERRSSQEVFSAHHLSKKRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAKDQPLCGAMGRFLCVEAGLRSAGHEVVELLVRGTQEEEGHHLCHEEGRSLRLILGLKLLVHKGSDTCNSPSTKNVETKVLCHCISSLGHSLVYRKCSMGYYAMRDVSCETLYGDSNTLIPG >A06p006620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2232101:2233753:-1 gene:A06p006620.1_BraROA transcript:A06p006620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin [Source:Projected from Arabidopsis thaliana (AT1G08700) UniProtKB/TrEMBL;Acc:Q0V7S4] MESSSILDSLGVEIIGVMAPVSICMFLVVLLTYSLSVTSDPQIRTAANLIYIENPSDSATVKLEGSLENAIVFVVLVAAVTFVLVLLFYFNFTNFLKHYMRFSAFFVLGTMGGAIFLSFIQHFSIPVDSITCFILLFNFTILGTLSVFSEGMPIVVRQCYMVVMGIVVAAWFTKLPEWTTWFILVALALYDLVAVLAPGGPLKLLVELASTRDEELPAMVYEARPTVSRSHRGGSGGGGGTSLRVLVGGGGGGGVSDSGSVELQAVGDGATHNLDYNAVAVMDIDSVDGVGRSREGHSASSVSSEHSRELGSIGNRESVAEEEMSPLVELMGWGDNREEPRGLVEESDNIDRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVYNKALPALPISIMLGVVFYFLTRLLMEPFVVGVSTNLMMF >A07p008570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:385065:387647:1 gene:A07p008570.1_BraROA transcript:A07p008570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENSTETSIICYAPSMITTNGVWQGDNPLDFSLPLFVLQLTLVVVVTRFFVFVLKPFRQPRVISEILGGIVLGPSVLGRYDKFANTIFPQRSVMVLETMANVGLLYFLFLVGVEMDIMVVRKTGKRALTIALGGMVLPFVIGAAFSFSMQRTEDHLGQGTYILFLGVALSVTAFPVLARILAELKLINTEIGRISMSAALVNDMFAWILLALAIALAESEKSSFASLWVMISSAAFIAICVFVVRPGISWIIRKTPEGENFSEFYICLILTGVMICGFITDSIGTHSVFGAFVFGLVIPNGPLGLTLIEKLEDFVSGLLLPLFFAISGLKTNVAAIQGPATWVTLFLVIFLACTGKVIGTVVVAFFHGMPVREGITLGLLLNTKGLVEMIVLNVGKDQKVLDDETFATMVLIALVMTGVITPIVTVLYKPVKRSVSYKRRTIQQTKPDSELRVLVCIHTPRNVPTIINLLEASHPTKRSPICIYVLHLVELTGRASAMLIVHNTRKSGKPALNRTQAQSDHIINAFENYEQHAAFVAVQPLTAISPYSTMHEDVCSLAEDKRVSFIIIPFHKQQTVDGGMEATNPAYRLVNQNLLGNSPCSVGILVDRGLNGATRLTSNTISLQVAVLFFGGPDDREALAYAWRMAEHPGISLTVLRFIPDEDVTDAASTRATNETDRNMNVDMKKQRQLDDEYVNTFRAANAEYETIVYIDKVVSNGEETVAAVRSMDSSHDLFIVGRGEEMSSPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVVQQYVGPWAQDDDMDLPDSPVHSHDQPNAIYGLEDPS >A04p011100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6899001:6899735:1 gene:A04p011100.1_BraROA transcript:A04p011100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSPFSLLTSIVLIVALFSATIALDPAPEDPIFELYMHDILGGSSPTARPITGLLGNIYNGQVPFAKQIGFVPPENGVAIPNANGAMPTVNGINGIPLGTGLSGTAFSGQNLNGIQTQLGPDGLSLGFGTITVIDDIITSGPDLGSQPLGKAQGVYVASSADGSTQMMAFTAMLEGGEYNDNLNFYGIYRIGSAMSHLSVTGGTGRFKNACGFAEVRPLIPSGQHFVDGAEMLLRIIVHLKY >A02p053380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32481764:32491885:-1 gene:A02p053380.1_BraROA transcript:A02p053380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNNNSLLTGNKVVVVLVFLLCLVHSSESLRPLFACDPANGLTRTLRFCRVNVPVHARVQDLIGRLTLQEKIRLLVNNAAAVPRLGIGGYEWWSEALHGVSDVGPGAKFGGAFPGATSFPQVITTAASFNQSLWEEIGRVVSDEARAMYNGGVAGLTYWSPNVNILRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNGAGNRLKVAACCKHYTAYDLDNWNGVDRFHFNAKVTKQDLEDTYNVPFKSCVYEGKVASVMCSYNQVNGKPTCADENLLKNTIRGQWRLNGYIVSDCDSVDVFFNQQHYTTTPEEAAAASIKAGLDLDCGPFLAIFTEGAVKKGLLTENDVNLALANTITVQMRLGMFDGNLGPYANLGPRDVCTPAHQHLALEAAHQGIVLLKNSGRSLPLSPPRHRTIAVIGPNSDVTETMIGNYAGKACAYTSPLQGISRYAKTLHQEGCAGVACAGSQGFGAAEAAARQADATVLVMGLDQSIEAETRDRTGLLLPGYQQNLVTRVAQASRGPVILVLMSGGPVDVSFAKNDPRVAAIIWAGYPGQAGGAAIADIIFGAANPGGKLPMTWYPQDYVTKLPMTIMAMRASGNYPGRTYRFYKGPVVFPFGFGLSYTTFTHSLAQSPLAQLTVSPYKLNTAVFNSSSNSIKVSHANCGTFPKMPLHVEVSNTGEFDGTHTVFVFAEPPENGIKGLGVNKQLVAFEKVHVTAGSKRTVQVDIEACKHLGVVDEHGMRRIPIGEHKLHIGEIKHTILIDIGYFLVKLLKKGFNPQGSTKGKQRRRKKETETRTNKIVRDLTFTMADLKNLFLITKHPTTTQILITSLFFISLFLLSSSPLPDFSHSLIVSSFTSRLLTAANFFSSSSASDSNTLYSVSPSSIRVNNESKKMDKELTSCDIFDGAWVSDDSDPVYLPGYCPYVEDKFNCFKNGRPDSGFLRHRWQPHGCSDFECSIDFIKSPFLVQESEVLDAYGKRKETLRLDVIQESITKIYRNADIVVFNTGHWWTHQKTNEGKDYFQEGDRVYEKLEVKEAYTKALRTWADWVDSSINSTRTRVFFVGYSSSHFRKGAWNAGGQCDGETRPIENETYTGGYPWMMRVVESVISDMKTPVFYMNITKMTWYRTDGHPSVYRQPVEVRGSSPASGMFQDCSHWCLPGVPDSWNQLLYATLLVSSGSLPYRSLGTLL >A05p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:186606:187557:1 gene:A05p000640.1_BraROA transcript:A05p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQGISWAMAASVRTDGPCDRTAALDGSLDLTVSPFLLSIRSFLFFLIMHEGLCWFSPRDTSLDLAVHNQTANLDAGWLDGRFESHHRLGSWTKRLGMSQEARVAKGHELPRVLLAAFFLGGKGKQLAVGSAEAASHMSFLSLALC >SC241g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000092.1:2253:7408:1 gene:SC241g500010.1_BraROA transcript:SC241g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASVGMNKSYLLFWVKSQGWSGQMMTHQFQDLMRFVSPEDGLGIIAYKARGCLRVHEPRKARCIPLAFRRLLKGYLCLWGWLLLSKSNLSQWRTDELISSIDVAKTSSSTSSTKLGSVHSSSFPTKSAPLAGLLAHSAEAAESQLTSARRTVRVLGRWSGSGPWAKSRRLGAWVGLMTDPKPNQKGRWDASGREGTTLGRWCPFASKSCLVKCSEKNVERERARPRDRPEKGRSWRFCISGKENGLGERRPCGYEYPGYRERSGEGLQSLGVVLWPLAGHTHGPDSPYGRLGRTVGTSEWVRVAKGHELPTARVSKGTSFPKGANQVGQSAGCHSRRKDGLVFTGCLSQGLIGFKAIRFVLGRTLMVGSRLRPETSLIGCKAIRFGLGPYSMVGSRLGVGSDEKDREPLGRTYNWSIALRS >A05p030750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15919269:15922420:-1 gene:A05p030750.1_BraROA transcript:A05p030750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEKNAYDLHFIFIITIILGSSIAVSATISEANALLKWKSTFTNQTSSSKLSSWVINTNINTSFCTRWYGVSCDQLGSIIRLNLTDTSIEGTFQYFPFSSLPNLAYVDLSMNRFSGTIPPQFGNLTKLIYFDLSINQLTGEIPPELGKLRNLETLHLVKNQLNGSIPSEIGLLTSVREIALYDNILSGPIPSSIGNLSNLVNLYLFINSLSGPVPSEIGNLANLVELCLDRNSMTGHIPSSLGKLKNLTLLNLFENKLSGEIPPEIGDMTSLDSLTLHTNNLTGSIPPSLGNLKNLTVLHLYLNHLTGVIPPELGNIETMTDLELSQNKLTGSVPHSFGNFTKLQFLFLRENMLSGPIPSGVANSSELIVLQLDTNKFTGFLPDTICNGGKLENLTLDDNHLEGPIPKSVRDCKSLMRARFTGNRFTGDVSEAFGVYPHLDFIDLSHNKFHGRISGNWDKSRKLLYLIMSNNNITGPIPPEIWRMTQLGELDLSTNNLTGVLPEAIGNLTALTRLKLNGNQFSGRIPEGIRFLTKLEYLDLSSNRFSSNIPQTLNSLPNLHYMNLSRNKLEERIPMGLTKLIQLSNLDLSHNKLNGEIPPLSSLESLEKLDLSYNNLSGQILPSFKDMKALIYIDISNNNLEGPLPDIPAFGNATAKALKGNRGLCSNASKQRLKPCPVTSAAIKKSKKKDGNLVVWILVPILGALVVLSGTFTYYLKKRKPRRTEDKSDSEKGESLSIFSSGGKVKYQEIIKSTKDFDPRYLIGTGGHGKVYKAKLSLGTTVAVKKLHETTEITKQDFLNEVRALTEIRHRNVVKLFGYCSFRRHTFLIYEYMEKGSLRKVLSSDDEAKRLDWVKRINIVKGVAYALSYMHHDRSSPIVHRDISSGNILLGNDYEPKISDFGTAKLLKTDSSNWSAVAGTYGYVAPELAYAMRVTEKSDVYSFGVLMLEVIKGKHPGDLVSTLSSSPGTTLSLRSISDERLRMPEAEIRDELLKMVKVAVLCLQANPQFRPTMMTIAAEFS >A01p002310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1052837:1054224:-1 gene:A01p002310.1_BraROA transcript:A01p002310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKKKPSSLATTGDDHGTEYEDAVNAPLPIEDEQTTATTGTTTPAITTTATTAIPPPLKIFVVFYSMYGHVESLARRMKKGVEGVEGVEARLYRVPETLSEEVVEQMKAPGKDTEVPEITAGELAEADGFLFGFPTRYGCMAAQMKAFFDSTGQLWKEQTLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVFAGDGSREATETELALAEHQGNYMATIVKRLAQP >A01p000250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:99662:101713:-1 gene:A01p000250.1_BraROA transcript:A01p000250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLKASPVLDKSEWVKGQSVLFRQPSSAAVVIRNRATSLTVRAASSYADELVKTAGLVPLVGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHDIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVASYTLKLLRNRIPPAVPGIMASSIPLSSLHIFLSGGQSELEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRAENVNAAQTTLLARAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY >A04p008810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8016356:8020172:1 gene:A04p008810.1_BraROA transcript:A04p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FASCICLIN-like arabinogalactan protein 15 [Source:Projected from Arabidopsis thaliana (AT3G52370) UniProtKB/TrEMBL;Acc:A0A1I9LLN6] MEGVSKFLFSLLLLTASSIITTALPDKTGSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGQHNITIFAPRNEALERNLDPEFKSFLLQPKNLKSLQTLLMFHILPKRVTSPQLSASSVVSHRSLSNDHLHLTAGKVNSAVITKPDDVTRPDGIIHGIERLLIPRSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKKPAPVPAGAPPVLPVYDAMSPGPSLAPAPAPGPGGPRHHFNGEAQVKDFIHTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYLLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHIIPEYQTEESMYNSVRRFGKIRYDSLRFPHKVEAQEADGSVKFGHGDGSAYLFDPDIYTDGRISVQGIDGVLFPEEETPVEKKSAGPVVKKTAKPRRVITDLNRKLKKLTFFLNNLTYPLFPRLPSLTPLKKMSSLSYCSSTVSVSPAAAANFNPLFSSFSNFRLFNRFAPKSFKLVASFPNPLSLHSNIRRHRFSCAADTEDEIPASSSEDEDEVEEEEEDGKQTTQGSGEEGRLYVGNLPYTITSSELSQLFGQAGTVVDVQIVYDKVTDRSRGFGFVTMGTIEEAKEAIQMFNTSQIGGRTVKVNFPEVPRGGEREVLRAKIRGSNRSYVDSPHKLYAGNLGWNLTSQGLKDAFADQPGALGAKVVYERDSGRSRGFGFVSFESAQDLQSAMSAMNGVEVEGRELRLNLASERDTVPRPSVTEEGSLESSEVLSTIST >A09p007030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3680143:3681893:1 gene:A09p007030.1_BraROA transcript:A09p007030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYMRLSSSCSSFIYPANMITMVAFLIILSTESGADASIGVNYGTLANNLPPPRQVAEFLLHSTVINRIRLFNADPQILQAFAHTGVAVTVTISNDQIPHLTNLSFAQRWISDHIQPHFPSTNIIRILVGNEVISTANHLLIRNLVPAMQSLHTALVSSSLHRRIQISTPHSLGILSHTTPPSSARFRQGYDTHVLKPLLSFLRTIASPFVVNPYPFFGYSPETLDFALFRPNPGLFDQDTKLHYTNMFDAQLDSVYSAMERLGFSDVAIVVGEIGWPSKGDKDQIGVDVATAAEFNRKVMDRVNSGTGTPLMPNRTFETYIFALFNENLKPGPVSERNFGLFRSDLIPVYDIGILRPTVRTSNPPENNRRSPVGGSSGKRWCVTKAGAETEALQRNIDYVCGLGLDCEPIIEGGPCFLPNTVEAHSAYAMNLFYQTMGRHEFDCDFDKTGEITSIDPSYGDCQYQAEIV >A09p065360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52284358:52286250:1 gene:A09p065360.1_BraROA transcript:A09p065360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIPMAFKAMMKKRTRRRYECLSTSGGTTKESYVDEDFFPFDEKSNHSVPSRPSSSLDHVEMNNVAAQDRHRRGLSVGDFSSMSYHEGRRSRGEGGDIGISPSRRGQLVRNRSHRLFSCVSENTKQKRRSIPESEQQLVEKPTIGDVKKANGKLVVDMEKTQKKIKQISEQKDFENQARGSLSKRESHDFKGNSPYKIVQFVHEEEIQC >A05g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26201058:26202105:1 gene:A05g508830.1_BraROA transcript:A05g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGRGGCSLVMRPSCRSSGGSPAAVIGVSGSLRVVENMSLYSVVWCAPEVSTNSVASRGCNTQAVMVFRSTPARVGVQDVLTEVVYGPSASKGETVLLLSLIVSVSPLVPLTSRLHGVSLDSRGGVLDFTVQVLEAGGFAASMILARFLLGGLFTGLLFYWRLRLDSGHRSSKVCLSASIARSNFMDYKGYSEEESGKLLAPNRINLGKVVMTKEPGVAPTTWLCSSNS >A07p009200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000115.1:22014:31116:-1 gene:A07p009200.1_BraROA transcript:A07p009200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKAEAWRVPLVPHPVLYLLRWRLSLEAKEGSFELMVQDGGHELKEKEVGDDLDSQFQQQSWPVSQNAKGINLVPCCSQEVFSAHHLSKTRGRLEPLCGAMGRYLCVEAGLRSAGHEVVELLVQDIQAEDQPLCGAMGRFLCVEAGLRSAGHEVVELLVQDTQEEEGHHLSHEEGRLLPTFCGKSTANYLLWSRMVHKECDTCNSPTTKNVKTKVLCHCISSLGHSLVYRKCSMGHYAMRSVSCETLYDDSNTLVPG >A10p035320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20312172:20314177:-1 gene:A10p035320.1_BraROA transcript:A10p035320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSITIQSLAFVPLFVVVVHAALVVITAEDENSPEEAMFPAMFVFGDSLVDNGNNNRLNSLARSNYLPYGIDFDGGQPTGRFSNGKTIVDFIGELLGLPDIPAFMETIDGGVNVLRGVNYASAAGGILEETGRHLGERFSMRRQVENFDKTLMEISRGIGSSVTEYMAKSLVVVSLGNNDYINNYLKPSLFLTSSIYDPTSFADLLVSNFTSNLLELYDKGFRKFVLAGVGPLGCIPDQLAARAIPPGQCVEAVNEMAELFNNRLKSLVDRLNSDNKTGRDAIFVYGNTYGAAVDILTNPIDYGFEVTDRGCCGVGRNGGEITCLPLAVPCAFRDRHVFWDAFHPTQAFNLIIALRAFNGSKSDCYPINLLQMSRL >A10p023830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15516513:15518565:-1 gene:A10p023830.1_BraROA transcript:A10p023830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGANNGTRRPRPNLLITGTPGTGKSTTASALAEATNFRYICVGDLVKEKTLHDGWDDQFECHVINEDLVCDELEDIMEGGGVIVDYHGCDFFPERWFDRVVVLQTENSVLYDRLTKRGYSGTKLANNIECEIFQVLLEEARDSEAMLAKTFLQRNVLVSRCLSSVTSSTLKVGDVLREARVYSSEDVKSYAEVSHDWNPLHFDQELARKAGFENRLVHGMLVSSMFPRIISSHFPGAVYVSQTLHFRSPVYVGDEILGLVQATALRETKNKYIVKFSTKCIKNHSELVVLDGEATAVLPSLELLQPSSSER >A09p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41652792:41653684:-1 gene:A09p048070.1_BraROA transcript:A09p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLDMARSYNDHESSQETGPESPNSLTFNATISSQSHKRSRRSIEKRVVNIPMKEIEGSRHKGDTTPPSDSWSWRKYGQKPIKGSPYPRGYYRCSSTKGCPARKQVERSRDDPTMILITYTSEHNHPWPLASSSRNGSKPKPEPKPEPEVLPEVEQEEEEEDNNNKFMVLGREVQTVPSCVVDEFAWFSEMETTSSTILESPMFSAEKKTAVSATPDDVAVFFPMGEEDESLFADLGELPECSAVFSHRSRLVGSQVEIF >A07g503950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7726612:7726842:1 gene:A07g503950.1_BraROA transcript:A07g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLFFAIGHERATKFLDSQLELDEDGYVVTKPGTTKTSVVGVFSAGDVQDKSYRQALPAAGTGLFSKSLFTFIEK >A09g517480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51983137:51989172:-1 gene:A09g517480.1_BraROA transcript:A09g517480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTLADNSFRPISKTSSHVKKEEEEELIGRVVADKVGEIRRELERQCGVCASAKAWDEENKEVRVGRREQNPQAIFSGDRQTDTKLGMGLIRFWTVQGSGDIVDKDSKKIVSTCSNYKLRNLMRILRCSVELEEKIPFGLAKTDIHNPRERKREGFNRRGYESCGSERSLRQISALILIYYPRGQSLLMENLVTIIKALSDYVHSKGLKLGIYSDAEFIRDQRSLPKEGTYDLVATRDISLQNKFHTKEMESIEITTLEDENEIKIVLAESKERKPARKWWSY >A09p072870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55743589:55746109:1 gene:A09p072870.1_BraROA transcript:A09p072870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNDDVSVDIEEIYNGGKEHHVKTCHGLVSVVVYGDQEKPALITYPDVALNYLSCFQGLFLCPEAVSLLLHNFCIYHISPPGHEFGAAPVCSTDPSPSVEDLADQILEVLNFFRLESVMCMGITAGAYILSLFAIKHKDRVLGLILISPLCKAPSWSEWFYYKVVSNLLYYYGMSGLLKDRFLQRYFSKEARGSSEVPERDVVHECRRLLGERHGVSLRRFLEAINRRHDITDGLRSLKCRTLIFVGDQSPFHSETLHMVAALDRKYSALVEVQACGSMVTEEQPHAMLIPMEFFFMGFGLYRPGRVSDSPTSPLSPSCISPELLSPESLGLKLKPIKTRVPTKC >A01p003000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1370024:1371129:-1 gene:A01p003000.1_BraROA transcript:A01p003000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSQSGFCPLSPCPSLGNFVERIKDACRFLVSAVLGTVLSAILTFFFALVGTLLGAVTGALIGQETESGFIRGAAVGAISGAVFSIEVFESSLVLWKSNESRFGCLLYLIDVIVSLISGRLVRERIGPAMLSAVQSQMGAVDATFDELSSIFDTGGSKGLTGDMVNKIPKIKITCKNNLDASGNKDSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDNWLLRHGSCPMCRRDL >A09g518470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56362925:56363663:-1 gene:A09g518470.1_BraROA transcript:A09g518470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDYWPSMFGMRTRVALEEKNIKFDYREQDLFNKSPILLEMNPVHKKIPVLIHNGKPVCESLIQVEYIDETWPGGNPFLPSDPYQRAQAKFWGDFIDKKVYGPTRLIWGAKGEEQEAGTKEFIEMLKMLESELGDKTYFGGETFGYVDIAMIGFYCWFDVLEKCGNFSIEAECPKLIAWAKRCMKRESVAKSLPDSDKITKFVPELKKKIGIE >A04p035910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20725626:20727262:1 gene:A04p035910.1_BraROA transcript:A04p035910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFANLQTSRLIGSVPAVISDDNKSSNVHITSVTEGPSANNQIFPPHQGNNNAKGYQTLDTPTERLEQQPSNNWKGFFNVYSYTQYFDVDTDVVVNRLMSSLYPTSGDFFNKIDANPDMYGLVWICTTLVFVLSSLGNCATYLVKKRTDSEAPWVFDVNYINLAASIIYGYAIIVPLAFYFSLRYMGSRADLLRFWCLWGYSLFIFIPTSLPLLIPVEFLRWVIILSAGSASSCFVALNLRSYLEGSNDLTVVMAVAFGLQMVLSIFIKVWFFP >A06p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16408193:16409553:-1 gene:A06p028140.1_BraROA transcript:A06p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) UniProtKB/TrEMBL;Acc:F4JBC9] MASSSSFFTFSSQTTLHSHLHRNTFLTKTQFPVPKKSSESNFFGLRLSPSTSRSPLSPCSFKSSIFAKVNKGQSAPDFTLKDQNGKPVSLKKYKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDSASHKAFASKYKLPYTLLSDEGNRVRKDWGVPGDLFGALPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKFLKAA >A04p008840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8000352:8000698:1 gene:A04p008840.1_BraROA transcript:A04p008840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLGFMAVFAVSGSVVFLASQFHKRLLSDYMDKFEFEIRSRENVVMKKKVRFAADVVEPSGNNKEYRRRHSSKAKFDKQSKMAAIV >A02p020980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9897019:9901378:-1 gene:A02p020980.1_BraROA transcript:A02p020980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEYEISNLYHEAQTRWLKPPEVHFILQNHERYQLTHKAPQNPPSGSLLLFNRRVLKFFRKDGHQWRRKKDGRAIAEAHERLKVVLGILIFKFLDVGNVEALSCYYVHGEHDPTFQRRIYWMLDPEYDHIVLVHYRDISDGKEGRQSSGTVLQFSQNASTLFSSPSSIGTQNASYNHYMGDSTDLLQQHSSTSPGIAEVNSEVFFNSNGVETPEGSGSSYVFENRQAIKRLEEQLSLGDDIVSTVDPLYAQNESLDGLQFLAQPGTVYQRPENNKLERCYGGYVGAQYNVDPLYSQNESLDSLLSLDSAEDINHLAQPATGHQRPENNRLERSYGGYIGADYHPNNLTLVKNDSGGNGGSGDQASESWKDVLEACEVSIALNSEGSTPSSVKGLLPGMQEDSNWSYSNEADQGTLLLPQELGSFENPARYPELGAPENNAEYSRIMDDEGIIRMPLQQEMRPTVSHKQEFTIQDVSPQWGYANETTKVIIIGSFLCDPSESTWSCMFGSVEVPFEIIKEGVIRCEAPPCGPGKVNLCITSRDGLSCSQIKEFVYRDKPDTSCSTCSRDELFLLVRFVQTLLSDKKSNIEPGIDKLKKIKADDDDEEWSHIIDTILDDTATPSSTVDWLLQKLLKDKLDAWLSSRSQDEDQTSCSLSKQEQGIIHMVAGLGFEWALHPLLGLGVSVDFRDSNGWSALHWAARYGREKMVAALIASGASAGAVTDPNAQDPAGKTAASIAASNGHKGLAGYLSEVALTNHLSSLTLEETEHSLGSAQMQAEMIVNSISGRSPPGNDDPHSRAALRNVAQAAARIQAAFRAHSFRKRQEREAAMAACYQEYGIYADIEGIAAMSKLAFGNVKNYNSAALSIQKKYRGYKGRKEFLAKRQKVVKIQAYVRGYQVRKHYKVICWAVGILDKVVLRWRRKGVGLKGFRQDVESREESEDEDILKVFRKEKVDGAVNEAFSRVLSMTNSPDARQQYQRVLKRYCQTKAELGKTETLGTDGGDEDDDVLLDIADMRYENLRTLP >A05g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30337843:30339998:-1 gene:A05g510050.1_BraROA transcript:A05g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMVEDTSSFEEDQLASMSTEDIVRATRLLDNEIRILKEDAQRTNLECDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDDAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDSLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFEKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >A10p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1405649:1409928:-1 gene:A10p002730.1_BraROA transcript:A10p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLKSVTASCTVLAVILLLCGGGAAVEEDENEFHGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDSTKLLSAVNCWFKCMVLDPYNETDHPECKSRPDSGLSAITELDPGYITGPLSTVWKEWLKWCVEFGIEANAIVAVPYDWRLSPTKLEERDLYFHKLKLTFETALKLRGGPSIVFAHSMGNNVFRYFLEWLRLEIAPKHYLEWLDQHIHAYFAVGAPLLGSVEAIKSTLSGVTFGLPVSEGTARLLSNSFASSLWLMPFSKNCKGDNTFWTHFSGGAAKKNKHVYHCTDEEYQSKYSGWPTNIINIEIPSPDGLDGYPSVTEAVKANMTNMECGLPTLLSFTARELADGTLFKAIKDYDPDSARMLHQLKKLYHDDPVMNPLTPWERPPIKNVFCIYGAHLKTEVGYYFAPSGKPYPDNWIITDIIYETEGSLVSRSGTVVDGNAGPITGDETVPYHSLSWCKNWLGPKVNITMAPQPEHDGSDVQIELNVEHEHGSDIIANMTKAPRVKYITFYEDSESIPGKRTAVWELDKANHRNIVRSPVLMRELWLQMWHDIQPGAKSKFVTKAKRGPLRDADCYWDYGKACCAWQEYCEYRYSFGDVHLGQSCRLRNTSANMLLQYI >A09p051470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45409370:45410959:-1 gene:A09p051470.1_BraROA transcript:A09p051470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEALSILCLVLLVSVSEAAITKPHFGDFIKCLRSRTSQENPINDAIFTAENTTTFLSSYVSYTKNKRFTSPNYKTLMAIVTAKHVSHVQATVVCAKSNGIQLRIRSGGHDYEGLSYMSSVPFVILDMFNLRSITVDVSSKKAWVQAGATLGELYTKINEASKTLAFPAGVCATVGAGGHISGGGYGNLMRKYGITVDHVVDAQIVDVNGKLLNRATMGEDLFWAIRGGGGGSFGVILSWKINLVEVPRIMTVFRVNKTLEQGGTDVLYKWQLVSTKLPETLFIRAMPQVVNGTRRGEKTIAVVFYAQFMGRADELMTIMNQSLPELGLKREDCQEMSWLNTTLFWADYPAGTPTSILLDRPSSPGDFFKSKSDYVKKPIPKEGMEKLWATMLKFKNVVWMQWNPYGGVMDRIPATATAFPHRKGNLFKIQYFTTWLDANTTEASLNMMREFYEVAEPYVSSNPRKAFFNYRDMDVGNNPSGQTNVDEAKIYGSKYFLGNLKRLMEVKAMYDPENFFKNEQSIPPARVN >A10p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1289485:1292599:1 gene:A10p002450.1_BraROA transcript:A10p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQRPFRRKSTLASEKRSSRFDSSRRVDEWIQPQVVFDRSSSKRDAKDSKLIESEMLSSNRFCDHQVEKILENPQLAVHDQELRKVPCPVVKPGIEPKLDRWNSIDSKVRLIEFEKLSSKRLSDHHQIDKEPEAQAVVPQELRKNLSVAAAPKDTELKQVVSAGWPTWLVSVAGEALVDWAPRRASTFEKLEKIGQGTYSSVYRARDLTHDKIVALKKVRFDLNDIESVKFMAREIIVMRRLDHPNVLKLEGLITAPVSSSLYLVFDYMDHDLVGLSSLPGVKFTEPQVKCYMRQLLSGLEHCHSRGVLHRDIKGSNLLIDGNGVLKIADFGLATFFDPKARTVALTSHVVTLWYRPPELLLGASHYGVGVDLWSTGCILGELYAGKPILPGKTEVEQLHKIFKLCGSPTESYWRKHNLPSSAGFRTAIPYRRKLSEMYKDFPESVLLLLETLLSIDPDHRSSASSALQSEYFKTKPLACDPSCLPKYPPSKEIDAKLRDEEKRQRPEKQERQDSQGRRSHERKAIPPIRANHSLSMSMDKPYLDLKSRNESFKTFKEERTSHVPVPEYPNMQTRNNQSGERVSYSGPLMSNRNVAKSTMYVKENAPPPRYPPSRVNPRVLSGSVSSKALLDQPVTNQRRRDRRAYTRADTMDSRHMTIPIDPSWYNPSDSKIYTSGPLLEQPSRVDQMLEEHDRQLQEFSRQEQKAREDKTDKCEQS >A01p053750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29868324:29868804:1 gene:A01p053750.1_BraROA transcript:A01p053750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYMIQAPSWDSLVWLRGGLDPCMESSVLEIQFCGFLGVLASHPSSLKLGVLWRFPLSSETRPVSGLTTAWWCFWRKHVDRGGAMVDVVVYGH >A09g510700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32966289:32968953:1 gene:A09g510700.1_BraROA transcript:A09g510700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVHVEAGPSTEEIIAALGRCKGWSRDDRKRLAYLAIFTGYIEGRKYSTPTWVSLARLVMELERFENYPWGRVAFKVLMDSVKGKDISGCYTVNGFAQALQVWVYTALPELGATYGRPLPNNPSPPILAYKVFQLEDFPEDLIISRLLSRPAGRLSRQLYYKSVINFFEKDIAEMFPKWEFDVEDTPAENIMKLMFVKKPWKWTLEHWEVTGTRVNTTPAVVIPKKKNVVKENRARPRKKARKEAPAEAMARSAVTTTVGGLTKEDIKTMFKDIVDAMREGFGTCLKEIKYLSERVEAVEKKVGITTKRKGTGTSSKNRGTGTSSQNTTSPPKNTLELGSESVNGTNTGRKRLPEDKGPDVPADDSSSKDKAPEPNLVLLDKNQSTVSDLQKEDARYQDKRDAALVLCRANSDRTRRLAASQKSPYTANRIAKVIIPNKKLYPSYNPFAPIDKKKLKELADCLQKLIDFQHVVFAVIIEQLKIKNHVEVELGDDLPISRLHIDAWIIVLRKRYHTNPQHFKSKRMCFVDHLFAQQWRFNFKDFKNSEPDQNGLGRRLPGGAWNYYAGTIPSFCQSNKVWGTDIDDIYAPVNYNDNHWIAM >A05p055350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32687724:32691456:-1 gene:A05p055350.1_BraROA transcript:A05p055350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLSGFFLTSLSPSQPSLQKQTLRSSPTVACLPSSSSSSSSSSSSSRSVPTLIRNEPVFAAPAPIITPYWSEEMGSEAYEEAIEALKKLIIEKEELKTVAAAKVEQATAALQTGTSSDKKAFDPVENIKQGFITFKKEKYETNPALYGELAKGQSPKYMVFACSDSRVCPSHVLNFQPGEAFVVRNIANMVPPFDKVKYGGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPLDGNNSTDFIEDWVKICLPAKSKVISELGDSAFEDQCGRCEREAVNVSLANLLTYPFVREGLVKGTLALKGGYYDFIKGAFELWGLEFGLSETSSV >A05p025890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13969567:13972942:1 gene:A05p025890.1_BraROA transcript:A05p025890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYGFDPMKTVRLDEFASPYSATSIISIANIDDNQTRQLPRPEMCYADCLLMEQEETTDIYKKLAFDFTLTRTMAMVRAKKNIVSYVRELKPRKDMSRIEVRIVRLWRNYNKESGNTIEMVVVDKEGTRIHVSVGEQLIKKFDDKLGEGDAIVLQWFKVYNATGEYRTTLHPYKIGFFPTTLLEKLMIFQVQFSYFADFSDILGGNLDHSCLVVSLMIHLLLQTTIVANGLLVLLLLFVPGFFVLNERLTIREIIDSTLVGTFVTLGTIETIDTERGWQYLSCKYHNKKVMPTTNVDADGQPLFFCNTCDKEHSDVISRFKLIFHVKDDSSEANFLLFDANAQQIERHSAAELYDENEDEDFLPEAVRDLFGKRVLFEISVDADNIKGKSSQYVVRLATDDRLMLESADDISSGSGGFTATPLSKRKSEQDDDSCLEDQHSVNKKLSQKKLKGE >A06p013260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5971620:5971993:1 gene:A06p013260.1_BraROA transcript:A06p013260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPLLTKGEQIVDTTVDGGSSSNAERTINISVTDNLSSQMMNEEAGFYPGSEQLRRRGRELRNLISSRRTKNHFSSLMALSIR >A05p009790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4092078:4094642:1 gene:A05p009790.1_BraROA transcript:A05p009790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDPFKLRHKPPSIFPTTVKTGHVFSVVAKFFFTICTLISVAMIFSYIIFSGCSDYQRIADHRRFGRDNVISTTNSSSSAIGQKNQSSEATDISHIFFGIGGSIQTWRERSRYTELWWRPNDTRGFVWLDEEPPLNMTWLPTYPPYKVSEDTSRFNYTCWYGTRSAIRMARIIKESFELGLTNVRWFVMGDDDTVFFVDNLITVLSKYDHNQMYYIGGNSESVEQAIVHSYAMAYGGGGIAISYPLAVELVKILDGCIDRYASLYGSDQKIEACISGIGVPLTKELGFHQIDIRGNPYGILAAHPVAPLVSLHHLDYVDPIFPASTQIDALRRLISSYKTDPSRILQHSFCHDQTRNWSVSVSWGYTIQIYPSLVTARELETPFLTFKSWRTSSSEPFTFDTRPISEDPCERPIVYFLDRVYEVGSNQTLTTYRKHVEVSDAQCESPEYSRVSSVEFIDISLAKWMPALWKMAPRRQSCEIINGKEDSEYVINVKIRHFNPFESVSPQS >A05p013340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5814866:5816000:1 gene:A05p013340.1_BraROA transcript:A05p013340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNFFKAIIGSKKGKQTKGLSTAVKSKASKKKGTYASSLVVRSEDWAATRIQSAFRAYKARKMLRRLKGIARAKELTEKHPVKKQAAVTLKYLHSWSKIQSQIKARRVGMVMESRLMHKRLENQQKLEAKLHDVEVEWNGGAETKDEILGRIHQREEATIKRERALAYAFSHQWKAEGKTQWLGGYELGNTNWGWSWKERWIAVRPWEVRYSLTSKKPKSLKTVCCKSETKSNSPAKRVSSVSAKAPSSGAAVKPRRLSFPGA >A09p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15042698:15043574:1 gene:A09p025840.1_BraROA transcript:A09p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPEYLLIPHAKQSENEITTAKFKNRKKRAKRSLIPNLRMSVFTTRYKPGLESFLLSCHSPRTPYIFAPRSVYAFTFLPLSRHSIKWRYSIFSDLRNYLQNFVFIRGNLTFILPCAPNVNRPTVYGFWLRNRRMGLESRFRSLWAVFRLEAFTATSFDKEQNFRGFYRKLGRYVAIVLGLSVVRLPYSSSSVAGFRYVYVTLGQPVFDSIEI >A02p029650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15084837:15086471:1 gene:A02p029650.1_BraROA transcript:A02p029650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHLQDPLPNYPKPVLTEEEQEIDEKMVSLQAESIVNTVAFPMVLKAALELGVIDKIAAAGNNMWLSPYEIARSLPNKPTNPEAPVLLDRMLRLLVSHSILKCRMIECKENGRTGNMEMVYATEPVCKYFLKDSDGSGSLGSLFMLLHTEVFFKTWTNLKDVILEGRDAFSSAHGMQIFEYINSDRQFAEVFDRAMSEPSIMILKKVLEVYKGFEDVNTLVDVGGGSGTTLGLVTSKYPHIKGVNFDLPQVLTNAPSYPGVEHVSGDMFIEVPKGDAIFMKWILHDWSDELCIKLLKNCWKSLPENGKVIIVDLITPTKPKSGDFSSNYMFGMDMLMLTQCSGGKERSFSQLENLAFGSGFLRCEVVCGVHSYSVIEFHK >A03g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10499901:10501146:-1 gene:A03g503220.1_BraROA transcript:A03g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQLQISNRKSYAVTAVGDVVRTTLGLRGMDKLIHDDKGSVTISNDGATIMKLLDIVHPTAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPFVEDGVHSQNLIRSYLTASTLAIEKVKELAVSIEGKSVEEKKGLLAKCAATTLSSKLTGNMRDSFLVDGFAGFEQQPKKFLNLNILLLNIKLELKSEKENTDIRLAL >A06p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2434937:2437012:-1 gene:A06p007040.1_BraROA transcript:A06p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRQSPMVTYPTNQRKKAPSNNNRLPSFLKPGAAVEISTNEEGFRGAWFMGKVVTVPSPDKDPLNCQVEYATISELDGSKPLKEFVHVDHLRPALPPTSEMEKKRDILAGEDVDAFYKDVWWEGTVTEVRGGDGKFSVYFRGSQELIQFRRDELRFHREWINDTWQPPLDEAVEEEEESEEDEVDDYTEEYLVPQVNLETTKAVAKEMFSIGTVVEVSSDEEGFVGCWFAAKVVERIGDDEYRVEYKDLREVNGVEPLKEVADFLHIRPPPPSDEDIDFAVGDKIDAFYNDGWWVGEVIESMKDGSVGIFFRESAEKMRFGRHGLRLHKDWVNGNWELPLKRGEVKRAKKVPCERNVRRKIAIEKQYFSIGTPVEVSSIEEGFEDSWFLAKLIEYRGTDKCLVEYDKLKAEDGKEPLSEEVNEFQIRPQPPEMVMVNPFEKLDKVNALYNDGWWIGVVKKVLAKSSYLVHFSKTDEMLKFHHSQLRLHQDWSDGKWITSSKSQTV >A05p030770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:15943569:15944627:-1 gene:A05p030770.1_BraROA transcript:A05p030770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFRIENPQMRARVIQRKYWHVADVPLVVHEWSPETAMNPPDLSAMPMWVDLKGVPNSLFSHKGLKCLARAAGTFVKLHPNTEKCTRLDVARILVEVDLNKPLVERISYSDNVGALVVLEVSYPWLPPKCEVCRKWGHKGSECSAKNVTILQHNKEADLPMVVVTEDQGAQKNPVSDLIQELEEFIPYAPLGNGVEVNNMLNSIVLGAGMVEVGQSGKAPVAESRLLEGVGAVVELGKSLDSPVADGGKADSGAITVSPSRFHVLADIAEEGESDNEVQTDTEEGEIVADTIGHSTSDHSQRQRKNSTVQLRVSHNAASTVKTSKKKIVRTRDLKFAQVHASSKKASVRKL >A06g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15141889:15154158:-1 gene:A06g505170.1_BraROA transcript:A06g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEFPRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKIPKSMNDTTKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGHIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPLFLTKKLYGRKNRDVRRRLETGRYVATELKPKLGRYVATELEPKLGRYVATKHSDRARAKVRSLRSDRALVSLGRYVTTGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLDAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNWQKSKSVNRPWSYCDSIRFSRLRVTRTRNLADSSRAQAYTLFTNFGSHSLALEGGGLTDQSNPQKPLNDQERYARFDVRERARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKRDRSSAKSAPHKDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSKNSKQNIYHINKPRKAARDSKPPTAIPGQRSTKRIRRTIHFLAPIVKIDRDLLGITRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVTHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A09p073170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55895860:55900975:-1 gene:A09p073170.1_BraROA transcript:A09p073170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 6 [Source:Projected from Arabidopsis thaliana (AT1G19100) UniProtKB/Swiss-Prot;Acc:Q56Y74] MSYNASINVSQDALVVKPEHRYGSKGLVLPQDSEENRGSLGAQSSTSVVDQVRTPPEDAGVTSSSTICPAPVCRQFWKAGSYSDELSSKSQQPTGKNYLHVHPMFLHSNATSHKWAFGAVAELLDNAVDEIQNGATFVIVDKTTNPKDGTTALLVQDDGGGMDPQAMRHCMGFGFSDKKSDSAIGRYGNGFKTSTMRLGADVIVFSRHFKNQTWTQSIGLLSYTYLTRTGHDRIVVPILDYEYKASTSEFFDNVGSHGTKVIIYNLWLNSDAKLELDFDSDAEDILIEGNIKKTGCKIMNDHIATRFSYSLRVYLSILYLRIPETFKILLRGKVVEHHNVADDLKHQQYILYKPQAAGHEEAEVVTTIGFLKEAPKVNLCGFCVYHKNRLIMPFWQVVSYSNSRGRGVVGALEANFVEPTHNKQDFEKTVLLQKLEKRLKEMTVENCHSVLIGYRDLKKRRPTAPQNLQPGGRTNVNMFQNNSAGDSGRQTINPPPGFPGVFHNANLASLPRVSSEPVVLEKRKEHPDLVASAASKRKVGNDGFSVPGHIRVEQGSATRSQDSETTKLMDENKKLRAKCLDHKVRSQKLEIKAMNLRSEVEKVKSEYERLMEELQALGTVKEERSRNVNT >A03p065190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28444706:28446958:-1 gene:A03p065190.1_BraROA transcript:A03p065190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 8 [Source:Projected from Arabidopsis thaliana (AT4G30710) UniProtKB/Swiss-Prot;Acc:Q9SUH5] MDVSTDTTRPRRRLVPSDKNNAPPATRRPQTKEVSSRYRSPTPTRTARCPSPSVTRPTVSSTLAAKRAVSADRKRPSTPPSPTTLSTVSIDLPASSRRLSTGRLPESLWPSTMRSLSASFQSDSVSVPVSKKERPVRSSSVDRTLRPSSNIAQKQKAETTSVSRKPTPERKTSPLKAKKNASDLSENLSENSKPVDGTHSRLIEQHRWPSRIGGKIGLNRSLDLGDRTSRGSSTSGSRMGPSLRRMSLPLSNSSKPLHKASSTASSLGGLLSPTKSEDNNITRTTGPQRLLSASSMDRATLATAVARLHPLSAPGSRPASPSRTSFSSSSRGMSTSRGVSPARGLSPSRVTGSSSFARPSTPPSRGVSPSRIRQTSNSTQSSTTSSVLSFITDVKKGKKASYIEDVHQLRLLHNRYLQWRFAIAQAEAVMYIQRLTSEETLFNVWHAISELQDDVTSQRIGLQQLKLEIKLNSLLYDQMVSLEEWAALERDHVSSLVGAIADLEANTLRLPVTGGTKADVESLKAAMSSALDVMQSMGSSIWSLLSKVEEMNKMVSELAVVVNKESSMQGKCEDLLASTAIMQIKECSLRTHLIQTSREGEEDAEEETPPLLPLSKFPWP >A09p049820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43982203:43984693:-1 gene:A09p049820.1_BraROA transcript:A09p049820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQELPDCLYEGKQPTLITPSSPTPNHTLYLSNLDDHHFLRFSIKYLYLFQKSPSSLTLKDSLSRVLVDYYPFAGRIKVSADKTKLEVDCNGEGAVFAEASMDITRQEFLEISRKPKTSWRKLLFKVQATGFLDIPPLIIQVTYLRCGGMLLCTAINHCLCDGIGTSQFLHAWAHANTTSAPLPIQPFHSRHMLEPRDPPHVTHSHPSFTRTTVNKTSTFNICKYLQSQPLAPTTLTFTPSLILRLKKICAPSLECTTFEALAAHTWCSWARSLDLPLTMEVKLLFSVNMRKKLTPELPQGYYGNGFVLACAESKVQDLVNGNIYHAVKLIQDAKARITDEYVRSTIDLLEDNVKTDLTSSLVISQWARLGLEELDFGEGKPMYMGSLTSDIYCLFLPVAGNYDAIRVQVSMPEDVLRRLEYYMVKFLDEKVSMIYHL >A08g501540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4007066:4008024:1 gene:A08g501540.1_BraROA transcript:A08g501540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPRDVRRVGEKLRVGVIFRSANKRYYSDIAFQLTSFRATGFFRLLCQVQTDRSKSPWKHLWIWRRSFYSSRYPFVFEFIFGILLQLRLVTCANSCIDILSGFPEKRNQVLYFFHVEDSDNHELAETS >A06p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1544765:1546308:1 gene:A06p005260.1_BraROA transcript:A06p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKGELVWVRLNPSDPWIPGRILDPSEPLGILVSFFDLMKPRYVPKPCLRSFDRDFETLVEDSLRFRRFVNRALQTHFWHISFGLWCSCQSPIDSPYLERGYSLPCSPPLSSDSALSFVREMAVSRGVPLRRLAETNGSTAQILSFRRYAVDFNRSESVYEEVIESAKLMDSAEEPDWYLDSSNNSSDLSLRDPLPKDIHCCSVDKVVQSWNTRSPLISSDSSVMKACGAMARVSNHEGVETGVQVEEEHKSAVEELEDRNDGVEDEKGHSETSDKEDETSKREEEVETESRNNNSRLVEEEDLSWCVREDGEAEKLQDTIDEEAGVETSDGECGDEEEEEEKAEESRSNKKVDLRKMTLGEWFEFMEVHVQKQIVEETEKMFELMRSKALRVDQYIAEQKQSMGKGSV >A04g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6208597:6210199:-1 gene:A04g502660.1_BraROA transcript:A04g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPADGDRDGEFRDEPAEEDDVLTIPKGPITRARTRKLKEAIGGLIRNYDFFLTTYWFKLFMYQEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYER >A03p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1193342:1200634:1 gene:A03p002650.1_BraROA transcript:A03p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVNWEAHISGLVDDIHLTQFADTCWSFRLTRQMNATFRLALLLGRTESLSVWHFICNMRRMGALTGSMAIQGLITAVPFLTAYGLILESNFYHRCDRISGLPLPSAPVARSDWAWPAKKKSLGPSAMAVKLHRPGLIPSSVVQLSLRLGSLLVVCKSSVGSSSNPCLSRMSIGTLISCRRVVELDYTSNFSGNSSRRLFVTYDVLESKKICWYRSQRRRMRPFLLAASSDDGVAVNGTPQPRASDDVEEMRAKLTGSLQDEYNCDELIQSLHDAARSFELALKKKISSSKLPWFSAAWLGVDRNAWVKTFSYQASVYSLLQAANDVSSRGNNRDNDLNVFVQRSLSRLAAPLDSMMRDKLSSSHPEANEWFWSDQVPPAVTSFVSCFEGDQRFVAATSAYAKGKSSAASNETEVSLLMLVLNCIAAVTKLGPTKLSCPPFFSLIPDTTGRLMDKFVDFVPLPQAYHSMKSLGLRREFLVHFGPRAAACRVKSDCPTDEVVFWVDLIQNQLLRAIDREKIWSRLTTSESIEVLERDLAIFGFFIALGRSTQSFLAANGFDALENPMEDLVRHFIGGSLLQYPQLSAISSYQLYVEVVCEELEWLPFYPNKKDSQAAKQAHGHKSRPEGPPNYDALPQILNVCSYWLQSFIKYSKWPENPSNVKAAKFLSTGHKKLIQCKEELGISSLAVTEAGFVDMNALSTEESSSFDKALESVDEALVRLESLLQQLHASSSSSGKEQIKAACSDLEKIRKLKKEAEFLEASFRAKAASLQEGGGDSNSQVSSEEQKQNLKGKDTKNSISSVDQGRRSRGFWGFFERPPRKKPAPKVDEYTERSRENVDSVDSESSEIYRFELLRNELIELEKRVQGSTDESVEEEGKTSGDPTPKSSSSMKGAELVQSSKKESVIEKTLDQIKETSTDVWQGTQLLAFDSAAAMELLRRSVIGDELTEKEKKALRRTITDLASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPGLIPSTYGSERLNLLRQLEKVKQMQNETEPEEGIDEAES >A06p018620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9031047:9035916:1 gene:A06p018620.1_BraROA transcript:A06p018620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQNPNMDQFEAYFKRADLDGDGRISGAEAVGFFQGSGLPKQVLAQIWSLSDRSRSGFLGRQDFYNSLRLVTVAQSKRDLTPEIVSAALNTPAAAKIPPPKINLAAIPAPAPAPQPNPAATTARPVGSTGHQNVGFRGPGAPNANLNQNYFPPQQNQQVRPNQGVSGMTSLRPTAAGPEHRPSALPGQFQPVPAGSVSRPPQAVPTGAPGPGSSPFNLNNLYAGNTSGYSSGFGGGSLAAPSPGVRPESQVDARALVVSGNGGDMFSSFQQKQEPTLSNSSISSAIVPASAGTQPPVKPNALDSLQNTFSMLPSGNQPQQPRPAASSQQPRPASSLQPPAVSSQGPSSGLPHASSVGSGHSVPAGNNQPPWPKMKPSDVQKYTKVFMGVDTDRDGKITGEQARNLFLSWRLPREVLKHVWELSDQDNDTMLSLREFCISLYLMERYREGRPLPTSLPSSIMYDETLLSISGAPSHGYANAGWGAGQGFVQQPVMGARPNNPQTGMRPPVPHPGSGIAPNQQRNQAPALDDPFASHLGNGHSASSNLQETATDGEKVEEKKNAYMDSREKLEYYRTKMQDIVLYKSRCDNRLNEISERASADKREAETLAKKYEEKYKQVAVLGSKLTIEEARFREIEGRKMELSQAIVNMEQGGSADGLLQVRADRIQSDLEELMKALTERCKKHGLEVKSKALVDLPAGWQPGIQEGAALWDEEWDKFEDEGFGNEITFDKSKEQNSSGEKENGTVDDGTGPPDSPTHLDENYGPFSETSERHHESEDDSGRSPRDSPVSRTGTEIPSPDSHGKNSEFFDDSNWASAFDTNDDVDSVWGFDASKSQDGDYFGSGGDFGGNSARVDSPTSRSFGGQRKSTYAFDDSVPSTPLSRFGNSPPRFSDASARDSNFDSFSRFDSFNASEAGAGFSSSQPERLSRFDSINSSKDFGGAAFSRFDSINSSRDFGGPSLSRFDSMNSTKDHGYSFDDADPFGSTGPFKVSSDDQSPKKKSDNWNSF >A05g502710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8453711:8454143:1 gene:A05g502710.1_BraROA transcript:A05g502710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKMEFAKPLSQLNPNIHCFYSFRPYHWYMFRGHCLLATASTHPCSQDHQLPTCKGILYVYHSFLIYRGRRFEDSDDITQLGVVICEDLSAADGGELPVAACARVVILD >A02p030730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15692019:15696189:1 gene:A02p030730.1_BraROA transcript:A02p030730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDEDVEQASLLSFSDRPRAFPNMRSKTYSPLIFRILRRLNVRVLSVILLICFGAIFFMGASTSPIILFVFTVCIFSFLLSLYLTKWVLAKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMAILLAFVILCIYLFRSLTPQQEAAGLGRAMSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALIVVGMAVIGIAILYSTFYVWLGVDDSPGSMSVNDLPLLLVGYGFGASFVALFAQLGGGIYTKGADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAKKCKIEDPSGFILFPLVVHSFDLVISSIGILSIKGTRNASVKSPVEDPMAVLQKGYSLTIILAVLTFGASTRWLLYTEQAPSAWFSFALCGLVGIITAYVFVWISKYYTDYKHEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVISVAIISAYWLGNTSGLVDENGIPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDLLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVSAFASVSFKEVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMEYKEKPDYSRCVAIVASAALREMIKPGALAIASPIVVGLVFRILGYYTGQPLLGAKVVASMLMFATVCGILMALFLNTAGGAWDNAKKYIETGALGGKGSEAHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >A10p036320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20703571:20704314:-1 gene:A10p036320.1_BraROA transcript:A10p036320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-U4-2 [Source:Projected from Arabidopsis thaliana (AT5G07450) UniProtKB/Swiss-Prot;Acc:Q9LY16] MDDQIEKMIQEQEPMAETMPNVITALSSLLQRVSVTNDDLSRPLWEHQRISAFSALTKPSISIRSYMERIFKYANCSDSCYIVAYIYMDRFIQKQPFVPIDSFNVHRLIITSVLVSAKFMDDMCYNNAYYAKIGGITTEEMNLLELDFLFGIGFQLNVTLSTYNNYCSSLQREMVMRNMYSPLLEPSFLTLKSLQISLYDEDSLSIHQNKQLTTAV >A02p054210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32959150:32961050:-1 gene:A02p054210.1_BraROA transcript:A02p054210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSMEGMIEKGVLDDIIRRLLEGRGGKQVQLSECEIRQLCSNARQILLSQPNLLELHAPIRICGDIHGQYQDLLRLFEYGGYPPSATYLFLGDYVDRGKQSLETICLLLAYKIRYPSKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNSLPVAALIDDKILCMHGGLSPELENLNQIREIERPTEIPDNGLLCDLLWSDPDQKREGWSDSDRGISCTFGADKVDEFLDKNDLDLICRGHQVVEDGYEFFANRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEIMKPAPASSSHPLKKFLQQNLRYPKWGSLDLFKDNGSTAQARLNI >A01p057370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32457767:32462772:-1 gene:A01p057370.1_BraROA transcript:A01p057370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVRKSRSVNKRFTNEQPSPKRSSRENKLRKKKLSDKLGPQWTKAELERFYDSYRKYGQDWRKVAAAIRNSRNVEMVEALFNMNKAYLSLPEGTASVAGLIAMMTDHYSVMEGSGSEGEGPDVSETPKKEKKRKRAKPQLSDSREEVDRDHPVASSTDGCLKFLKQARGTHRRATGKRTPRVPVQTSRDDGEGSTPPNKRARKQQRDANDDVERYLELALVEASRRGGGSPKELSDNSPIKNWGKMSRTRKAQSWVGSSREKKRESDMEEVGEMEVPRKGKRVYKKRVKVEEAEGDSSDDNGGASSATEGLRVKSKRRKAGREASRGTYSPRSPKNIDNKLTSGDEFDALQALAELSASFLPSALMESESSPQVKEERIENDMDEKPSSPEATTSTSSHGEKANSEPDESLLHAISAIGNAVYNRKPKPSTQASTDCNAGKLQPEPTSASLRRKRKPKKLGDESPPDSSQNKSINKKELAQENHNMKSYLRTKRTGQGPSQSKQLKTAKELEESTTMSDKKHSAMDVVVSTKQDSDSCPATSPPQKPPNRRKASLKKSLQERAKSSETVHKVPRSSRSLSEQELLLKDELSTYMSYPLARRRCIFEWFYSAIDHPWFAKMEFVDYLNHVGLGHVPRLTRLEWSVIKSSLGRARRFSERFLQEEREKLRQYRESVRKHYTELRTGAREGLPTDLARPLAVGNRVIAIHPITREIHDGKILTVDHNQCNVLFDDLGVELVKDIDCMPSNPLEYMPEGLRRQIDKCLSMKKEAQQNGNPNLGLSAIFPPYGLENADCSMSHSLNQGDMNAPILHGKVSTDTSIPHQTNQSCIIDYSKGREAEIQRALALQHALDEKAASSVKEGEDAIKMIQEALDMIGKHQPLRSSIVVKQEENASGSIEHHHHNPSPSDASKPMANNDSISQNGSEKKEAQMPSELITSCVATWIMIQMCTERQYPPADVAQLMDTAVTSLQPRCPQNLPIYREIQMCMGRIKTQIMSLVPS >A07p040770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21576150:21578373:-1 gene:A07p040770.1_BraROA transcript:A07p040770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase At1g66680 [Source:Projected from Arabidopsis thaliana (AT1G66680) UniProtKB/TrEMBL;Acc:Q9C9M1] MAGIKLAPEEPETTPQQHARATTDSLASDDDRSIAADSWSIKSEYGSTLDDDQRHADAAEALSSANFRVSSDYSSDKEEPDADGAQSMLGLQSYWDAAYSDELSNFREHGHTGEVWFGDDVMEIVTSWTKDLCVEISQKEMSVSDNGEVNDQADKYLSSWNVLDLGTGNGLLLHQLAKEGFSDLTGTDYSEGAVELAQHLSQRDGFPNIRFMVDDILDTKLERQFKLVMDKGTLDAIGLHPDGPVKRVMYWDSVSKLVAPGGILVITSCNNTKDELLEEVENFNMRKSNLTGDVSSEAASGTDHPPFEYLSHVRTYPTFMFGGSVGSRVATVAFLRK >A09p040880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23098727:23099098:1 gene:A09p040880.1_BraROA transcript:A09p040880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFGKNIEFMRITVLFFDEKVNSVIHGFIPDGRANHYMPSLKAGSIVKDDCFEVARCSSMYKIIDHLFLIRFNSSTIIYEVITGALEINLQS >A08g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14576791:14577408:1 gene:A08g508120.1_BraROA transcript:A08g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISDQNKERRFGFARKSSFKRSHTSWMLNPKKILLFCATLSSMGSILLIIFTLSISKSNPGDMPLD >A09p067700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53304960:53306052:-1 gene:A09p067700.1_BraROA transcript:A09p067700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGAGMTFSELGQRRDDPSSLSDSSWERYPVGFMELLGVHHQDSSRYALTNMPPMQLTATSNPSSSISYALCEAVTGKENNRYYQKHENDDEEQKHKRNKRFKSTKSSEQTKMKAPRVSFITKSQVNNLDDGYKWRKYGQKPAYFDLTPTLPPQLHQLFDYNNHHQQQNQELSPFGTEYLSRQEKEFNHDGGDHYHVIKPRRTQDLLDGAGLVKDNGLLQDVVPAHIINEEY >A02p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18545165:18547707:-1 gene:A02p034200.1_BraROA transcript:A02p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRSVKRKKKKREEIIKVDRISNLPDSLLHQILLLLPLQSAAITSSLSKRWRSLFLSLPDLDFTSINENPKPPSFSSNSIYQLLSLRHHRDANNLRSLRFSTPITFTSLNSLIRLAVTHQVQDLDVEVTTKDYFNFPRWIVTSQKLRALKLKSAYPGFRLPPSSSIFGGFQKLTSLSLSLVILYNQPYLSDFFTDPNFPLLEKLALENCFGLKELRVCCRLLQEFSLKNSLQLDSLEVSGNNLHRLKVVSCFHSYSEESVVKINTPNLKTFLWNSNAVTVSAVTTTVHFLDKLVCLRKAFVGVLLLHQDINSQKQRLLTLLSELSHSYKLQLGNQSVEILSSKKGLVKNHLPPFHNMRFLELQTRFDRHNVQALSCLFKSCPMLNILLLKIINDQTSERRQWNNDLWDMSNSEIQYWESQTYEVESFLKNLEFVEIHGFLECENEMSLAIFLLRHGKALIKMTLRSSFLCRDNLRRQMIRSQLMGFSKASSKAKISFH >A02g501040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3244960:3245442:-1 gene:A02g501040.1_BraROA transcript:A02g501040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDDAHCNRGWMLRMSACQFCVRKDFPDHADYLFTDWYPEQHEEAIVSQGGSVIISPLGKILAGPNFESEGLITADLDLGDIARAKLYFDVVGHYSRPDIFNLRVNDNQNKPVTFVSKSVKAEDDSEPQNK >A06g504080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:12580077:12580592:1 gene:A06g504080.1_BraROA transcript:A06g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQNEDQNVRNNAAEVQSIDRAEHTARAVYRLDPHSSGLELQHNPRPDGQINRTEVRLSRPVRHAKSFGQARSEVVRVESKSDHGLSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERTGRVLLLTAGRAISYIESGQE >A03p057130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24770722:24773195:-1 gene:A03p057130.1_BraROA transcript:A03p057130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIACRVEAMDESGAGDRSTAAKLPETLKGEDSQIANDSSSSSSSSSSSSSSPDENLGFQIYSLPLLIDLHILDLQSQGTCLASMAKTRPGVPSKIKTGRKELDSYTIKGTNKLVRTGDCVLMRPSDAGKPPYVARVEKIEADARNNVKVHCRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHTFKNYTRLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGMTIEEAKKLDHFVCAECSSDDDVKKSQNGFAASPADDVKPLPLLVYAEKDTQFLWFLVLSGPDLCLTLLQFLAAKPSVCEGGNEAQKKIISRGFSWVQATGGLGS >A02g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12041298:12042150:1 gene:A02g503530.1_BraROA transcript:A02g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHRKTEEATMATKKLKELLESRKSSVKKCNGDTLEYQKLVKEDLRPALADIVFVWQSNKDDELVPASHRETVVNCFLQ >A10p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21979488:21982344:-1 gene:A10p039720.1_BraROA transcript:A10p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHPSQYGIPELRQLMKGGGRTTSPSTSSHFSSDFFGFNLTAPPQQHRVQQFTTDHQEMGFLPHGIHGLGGSSSTTAGNNSNLNASTCGGGVGFGGFLDGGGFSGGDGGATGRWPRQETLTLLEIRSRLDHKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGDSNNLVSIPNHNTQFVSNALHGFHAQNVTTTTSNIHSVDGLHGFHHQQSLSLSNNYNSSEMELMTSSSEGNDSSSRRKKRSSWKAKIKEFIDVSMKRLIERQDAWLEKLTKVIEDKEEQRMMKEEEWRKREAARVDKEHLFWAKERERMEARDVAVIEALQYLTGKQLIKPLCSSPEERNNENGSDQTMTTNNVSVKGSGRCWDEQEIIKLREIRSSMDSAFQEVLEGSSDEFLWEEVAAKLTQLGFDQRSALICKEKWERISNGKMKEKKQINKKRKENSSSCGVYYPRTEENQIYNNQESGYNDNDQHHQKMNEHGNNVGSSTSNANAGNPSGAMAASTNCFPFFMGDGDQNLWESYGLRLSKEENQ >A09p079290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58405954:58408261:-1 gene:A09p079290.1_BraROA transcript:A09p079290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSVWITLLCLFVIAEADQGFDVRHHLSTVTRYSASKEVSQNLIKGSNVPSECTPIHLNLVARHGTRSPTKKRLREMENLSGRLKELVREAEASDKVPGWLGKWISPWHGKVKGGELIRQGEEELYQLGIRVRERFPTLFEEDYHPDVYTIRATQIPRASASAVAFGMGLFSEKGDLGAGSNRAFAVTSENRASDTKLRFFECCQNYKSYRKAKGPAVDKLKEPVLDKITASVANRHGLNFTKQDISSLWFLCKQEASLLNVTNQSCELFTPSEVALLEWADDLEVFILKGYGNSLNYRMGVPLLEDVLHSMEEAIKAREDKLPPGSYEKARLRFAHAETIVPFSCLLGLFLDGSEYEKIQKEKPLELPPQPPKTRDFKGSTMAPFGGNNMLVLYSCPAASSPKYFVQVLHNEHPIALPGCDGKDFCPLEDFKAKVVTPHLKHAFDNLCNANPDDPEQKHQSLWSWLLGSSQKTEL >A03p055180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23792245:23794128:1 gene:A03p055180.1_BraROA transcript:A03p055180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRTPTWKERENNKRRERRRRAIAAKIFAGLRIHGNFKLPKHCDNNEVLKALCNEAGWTVEDDGTTYRKGCKPTDRMELMNGSTSASPCSSYQPSPRGSYNPSPSSSSFPSPTNPFGDANSLIPWLKNLSSNSPSKLPFFNGNSISAPVTPPLARSPTYDQVTIPDSGWLSGMQTPQSGPSSPTFSLVSRNPFFDKEAFKMGDSSSPMWTPGQSGNCSPAIPAGVDQNSDVPMADGMAAEFAFGMVKPWEGERIHGECVSDDLELTLGNSRTR >A01g500990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3886632:3887011:-1 gene:A01g500990.1_BraROA transcript:A01g500990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSILLSNLKDVCCSSMVSVQVRFWEARNVVRSDYTTASWEAVCSFVDAVNGRDSERLS >A05p043810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26428900:26431897:1 gene:A05p043810.1_BraROA transcript:A05p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHHEEEEEEEEPFHDSLDRLVSSSSCSCSASNSDYDSESSPRISSSHDPDGGGAPRRRHHPFPVPRFPMGASRFDLWISEPASVSERRSKLLTEMGLNREPVQSRLKPVSDSGSDISRSISCNQLVRRDHGDCSETVGGCSSFVVRSKSDCSVSQCSDRDRLYHPPPQGNSCSCLDSKLCNVGTVSDPLRLEGSSDCVLVDEEVEVCTIKNLDNGKQFVVNEIQEEGSTWKQVKEVGTDTQMTMEEFEMSVGHSPIVQELMRRQNVEDSDNNNNASTKTTKDDEESKDNNNNNTSKSKKKGSWFKSIKSVVTGHSKERRSSDDKDTSSERGGRRSSSATDDSQESSFHGPERVRVRQYGKSSKELTAMYKTQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVWQVVEAEKRGELLLDRPELLLLASSNGSPEPTTMSPRRRGRSSVSRKSLSLENIYVPDSVFGLSDKPFCSFHGHLDDVLDLAWSKSQDLLSASMDKTVRLWSLSTHTCLKVFSHSDYVTCIQFNPVDDRYFISGSLDAKVRVWSIPDRQVVDWYDLHEMVTSACYTPDGQGALVGSYKGSCRLYTASDNKLQQKSQINLQNKKKKAHQKKITGFQFVPGSSSEVLVTSSDSRIRVVDGTDLVTKLKGFRNTSSQISASITADGKYVVSASEDSNVYIWKYESPASRPSKSNSNKNVTVTNSYEHFHSQDVSAAISWPGMASTESWGATQNRANNNLDEVSTANHPPTPVDQPGTTTLDRLNSPRNGIISSATNGYFFDRMSATWPEEKLLFGRNRSGNRLSSDLSNSGNVSASWGMVIVTAGLRGEIRAFQNFGLPIRI >A07p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16355312:16356988:1 gene:A07p029100.1_BraROA transcript:A07p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKDLDSNPPAEDLTICPLKKIEIAHESESEPELKKQKLKELQRKAYYSVLHTSKAENSATSHKKSHIIQRLMNEWKIDQETHVSVAKKIDNSERNATSSLNFVPESLVVKRISARLPDEECLPSNKNMKLEELHKQAYEDVLSAFNAESPTLSSSRVLIVQDLLEECNNIDHKAHISAKIYDKVETDPLLVEPFHPAGCRFLPSDDMMANYYLRNKVLEQPINACIIPGECPHIFSIPPRDLPGYPIETEWHCYCRKPNGQDPRSLWTRMGEDTIVFGPEGNSVGIKRTYALTDQEKGSDDIFLPGEIEPPREEWFIEEISLPPSVVDTDLVFCHVILNKIEKKEEYEEEEEYDDDAEEE >A03g509600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30975452:30978510:-1 gene:A03g509600.1_BraROA transcript:A03g509600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSTTKSQLATGKSPVAMYFNDISPGLSESQLRFRLIHFWEAKNIAKGGTLIGIELLLIDEQGTVMQGFISSYRAPTYRRHLKAGATYTLQNFYAATSKEIYRVADQSLTVSFSNGSVLSPLDDIPVSVSFPPDRFRFHTHEDFQANRGLRGDLYDVVGHLRLVNGQSLSDRPVLDESEMISMRHILVHLQTKDGPVMKLYLWDQAAKDFYKKFTSSEDTPTVLLVTTVNPKTVTGNLALSSMSSSRVFIDKDIQPTIDYFSWLSSNPEIGKQVNADEVTRVETMTIGQIFAYIKQEYAKEASFNCIATIGDVKHDSPWYYIACGGCHTKATRGPSSLMCAKCGNTNVSGEAKYRAEISVYDSNDQAVFVLLGDAGSELTAKQAAELVANYFEANQELSAGHQMPAPQALIDTIGQTHKFRVKVSKLNFTGKVQSITVTRIVSAEDLPPVPNPTEIPLAAEDEVALPTASVVDGSGFNAEGGTEGTSDMDESQKAKRPKRHAC >A02p056290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34006513:34009685:1 gene:A02p056290.1_BraROA transcript:A02p056290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMRNLLKMLMMVVFVGWMFVWVMISTNLFKNKWTPKMTKYFNTTYFGPQGINLVLLTVPMMFIAVLSCLYLHIQKKPTQTQSKSKIKGRMGRVMMVMNPLGIVTATEFTFSILFVGLLIWALANYLYISYFVSLHNHDNAELWMAKFRAFGLRIGYVGHYCWAFLFFPVTRASTILPLVGLTSESSIKYHIWLGHISNFTFLVHTVVFLIYWAMTNQLMDTFAWNPTYVPNLAGTIAMVIGIVMWVTSFPYYRRKKFEIFFYTHQMYGLYIIFYMIHVGDSWLCMILPNMFLFFIDRYLRFLQSTKRSRLVSARILPSDNLELTFSKTPGLQYTPTSILFLHVPSISKLQWHPFTITSNSNLEKDTLSVVIRRQGTWTQKLYTHLSSSIDSLEVSTEGPYGPNSLDLRHDSLILVSGGSGVTPFISVIRELIFQAQNQSTKIPDVLLVCAFKYYHDLAFLDLIFPPDISVSDISRLNLRIEAYITQEDKNPEAADDTRLLQTKWFKPQPLDSPISPVLGPNNILWLGVVILSSFVMFLLLIAIVTRYYIYPVDRNTGKIYNFSYRVLWDMFLGCVCIFISSSAVFLWRKKVNKEGDKESKKQVQSVDFHTPTSSPGSWFCSHDRELESVPYQSIVQATSVHFGSKPNLKKILFEAEGSEDVGVMVCGPRKMRHEVARICSSGLAKNLHFEAISFNW >A05p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14199841:14201215:1 gene:A05p026720.1_BraROA transcript:A05p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGAAQPSTKKRSFLLRDFFKLFRAISGVLPREKSNHIHKASKHERKLRTKSKDHEEQIFNVSDDPNAFRFQESKPVANKVEGANFCKVRSYRFDNSASFVGRRKPSSLSRSCSQNTATTNPNLTMRSLSFLGRSNSSSNKTDSNGFMPTLMRSTTTVPRSLANPILYSSSSAKVAKPQATEKKLSCTLEELCNGCTKKIKIKRAVITTSGQLSEEEETVEIKVKPGWKGGTKVTFEGKGNEAMGSVPADLTFVIVEKEHGVFKREGDDLEMVVEVSLLEALTGFEFCVALPDGDNMSLKIKDVIHPGYVTVVQGKGMPNPKEGGKKRGDLRVWFRTKFPQQLTDDQRAEIQSILQEDSS >A08p029710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18339585:18340982:-1 gene:A08p029710.1_BraROA transcript:A08p029710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGTVEKHYKKWRSENHAFPEAIGHHIQNVIIHDGEWDSHGAIKTWNYTCDGKPEVFKERREIDDEKKTVTFRGLEGHVMEQLKVYDVILEFIPKSEDGCVCKITMIWEKRNDEFPEPSNYMKFVKSMVADMDDHVLKA >A02p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13678591:13679888:-1 gene:A02p026050.1_BraROA transcript:A02p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMNKKDSTLPYLNTSISWGVVPAEPLSMKVVDARPEHTTKQISFQDQDSSSTQSTGQSYTDIASSGDDDNPSRQISFSTKSGSEETQHKGFATHPKPGSMTGLPSIHFAPTQANFSFHYADPQFGGMLAATYLPQAPACNHQMVGMVPGRVPLPVEITETEPVFVNAKQYHAIMRRRQQRAKLEALNKLIKARKPYLHESRHVHALKRPRGSGGRFLNTKKLLQESEQEHGKSVQQESEKANMSRFESHMLHHNKDRSSTTSGSDVTSVSDGADVFGHTGFQFSGFPIPTQTNQAMRVHGQSNDMHGGGDLHHFSVHI >A06g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22858236:22860597:1 gene:A06g508130.1_BraROA transcript:A06g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALAKLWKFKYKKIDVDQPVSIVDEDDLASHLLPIQWPQPQNEEIFLAMEEAAFEEKKLRVIEKEDGDGNSNTGSCTDHIPEINIILLPAKTETHMKNMIQVSSTKKSLFFYVNLAPSLHTAITMVVTIFEILKNIGLTTKKKMKNEAKGTIFFLSLSFSIILLRVATESHEYLPRSRLMLDSSCSISYVCYGSTTSTPLFAREIMTVKHVLGVIAAAYAITSSFFIKFKSWINNQHNCSLFPSGREALILFFQSKQFIDSLGMTILRCTFMLFCSLIDKSLKPYQRVKIETLRCRFVFLNTTKVLNILLQHGYKIWKEMYGEDLDDSNVALYSEWK >A07p011640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8593575:8593906:-1 gene:A07p011640.1_BraROA transcript:A07p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKYQRLMIPSTPPLMAEALAIRLGITMAATLARDFQFLKPINNKTFDKEIYGIVSDIHHISSMFNFISFSYICRSENLKADKLAKLFIGLHSDVLDLLM >A03p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16480003:16481489:-1 gene:A03p039580.1_BraROA transcript:A03p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKREYMFSVLVLFLIGTVGAGVPAGGRPFDDNYVVTWGNVLKLNQGREVQLSMDKSSGAGFQSKHKFGSGFFQMRIKLPPKDTAGVVTAFYLTSKGDTQDELDFEFLGAREGKPIQIQTNVFINGQGNREQKFVLWFDPSADFHTYGILWNPYQIAFYVDNVPIRIFKNNKRYGVGYPSKPMTLVVSLWNGEAWATEGGKAKINWSYAPFKANFERFSDSGCHADGRTINAKVCGSTTYWWNTNQYSRLSANETTAFKNVRAKYMTYDYCSDHPRYPVPPTECRLNQ >A07p044110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24092817:24094924:1 gene:A07p044110.1_BraROA transcript:A07p044110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFFKTRHYHGGYIRRTILSPEPLNAVSQHIHDLAQTQNPEIARSGLVDDPSSHPWPEWLDLMGMLVKKGYFGETVTSPKESNHIRTACLDFARHRFTLVRYLSKKDMKVIAGCGCPSIDRKVVNSGKRLRAYVGIDEVNVCGSCNLRGKCERAYAQARDEEGARTIDVMRILLTYGLDSISPAVVNRACQTKFVEDSVRKLLRESVEYSLQDVECSAAVASRDELQTNSLESDERDPRKRPGDWHCTECKFLNFAKNIRCLRCDVFSEERLKQLKQEQKDHLPLKKGDWICQTCNFLNFAKNTGCLRCKDKPSMRQINPGEWECESCYYINFRRNSVCLKCDHKRQKAQNVTPDSKSVGDHHSRVSKTWSFVEEEEEEEEEGEEDGVMGFPVEGGRSSVSRSVEKREKWKLEMTQRMRSNGHEKKKDDDEERESRRCYDRRRIELLGNCSDDGEMDDWFSPT >A05g504420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12257440:12261892:-1 gene:A05g504420.1_BraROA transcript:A05g504420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIYPYTLCYKNKKKTFHFLRSRILSSPLRNLQTLTGTVVSDSGIVVSGSGIIFSDSGIVVSGSGIIFSGSLVIFFGSLIIFFGSPIIFSRSLIIFSGSLIIFSGYLIISVTLSTLKIGHKSLCSFLKLGNVDIFDRVLNLLMSLLLLVKLSSVYKNFRKHLLCYFRKAFQKSLKFFFSLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRFSIREFHMMTGLKCSGALEGPRRETERFNWELLKGRSHKLSDVVDQLRNTREDASEERICLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRDKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISIEDKYGDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSETPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQQLSETPLSPMSQQPNLTHKETMNESDDETLALDTQVFSPNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVFQKETVEMNETPSSPIAPKSIETSVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKEKETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAHVFTQIQKEQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALSETETATQYFSTSEGEHTQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTT >SC152g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000034.1:64168:66635:-1 gene:SC152g500050.1_BraROA transcript:SC152g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFACYKGRSFVYTRTSVCVHQHTQDVRGRPPAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGSRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPWLSVCVRVSVSAHRTSVSTHRTAVSTRRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRQHKQDVRGCPCVSVCPSAHAGRPSVHTGRPSAHKGRLWPSVSTQRTSVAVRQHTQDVRQYTEDVRGRPSAHTRRPSAHARRPCVSVCPSVHTGRPSAHTGRPSVHTRRPSEHTGRPWSSVSTHISMLALPVDCSGDFCPRGLSVQYTXRQPTQDVRQHTQDVRQHTQDVRGCPCVRQHTQDVRQYTQDVRQHTKDVRQHTQDVRGCPCVSVCPSVHTGRPSVHTGPHAGRPSVHTGRPSAHKGRPWPSVSTQRTSVAVRQHTQDVRQYTEDVCGRPSVHTGRPSAHAGRPCVSVCPSVHTGRLSAHTGRPSVHTGRPSANTGRLWSSVSTHISMLALPVDCPGDFGPRGLSVQYTQDVRQHTQDVRACLLAHTDLHLSAAGPFPWDDPCMIRVLNSSQHAEPHIIRMLALPVDVRVTDTSCWPTSVAVRVLIRGLIRVLNSYQHADHTYQHAGPSRGLSVY >A09p050670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44474291:44475448:1 gene:A09p050670.1_BraROA transcript:A09p050670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRCNENEEGVEQRKGPWTLEEDTILTNYISHNGEGRWNVLAKSSGLKRKGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHCQWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARQLNIDSSSHQFLEAVRSFWVPRLIHKMKDNSNTNTKTPHPDSLGPVSHDSGFNMGCSTSMSQELTEMSQFIDLSDLETTNLMSLKGSRGSSNQCVSEEYYSSLPCLEEEYMVPTMGNSDILPFKDCHVADSSYEEDVTQDPMWNMDDIWQFEEYAHFN >A03g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26329284:26330890:1 gene:A03g507450.1_BraROA transcript:A03g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVLMPLESRFTDHTSFVEERHISLSAKQNWVRSSDCSFLVLTDQFKKKSFNSGDVNECKLMEAKIRKQKLDFVKPALGLSLLYVLCSMNRAHPLSTLEELPQDIQSDIISRVAQSLRTTTRHVMQSCQLLAKEAKDNRIYKNMTIKLLSIHPVASLTRYNDLMSRSFFS >A05p033940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19107339:19110123:-1 gene:A05p033940.1_BraROA transcript:A05p033940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSYNLIFSIIILVFLCLGLRSSAFTNLNTLSFEESLSPLFGDANLVRSPDDLSVRLLLDKYTGSGFISSNMYQHGFYSSMIKLPADYTAGLVVAFYTSNGDVFQKTHDELDIEFLGNIKGKPWRFQTNLYGNGSTPRGREERYRLWFNPSKEFHRYSILWTPHKIIFWVDDVPIREVIRSEAMGADYPAKPMALYATIWDASDWATSGGKYKANYKFAPFVAEFKSFSLDGCSVDPIQEVPVDCADSVEFLESQDYSAINSHQRAAMRRFRQRFMYYSYCYDTVRYPEPPPECVIVPAEKDRFRDTGRLKFGGTEARERRRNRRQQRPEIESDPDERKLL >A03g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1177415:1178390:1 gene:A03g500370.1_BraROA transcript:A03g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNELPMNLREDKVSEETKKLISSLPTDKDFQGNLCKYQGSWYYYNTLQGVINFHNNFQPQETDIILASSPKSGTTWLKALTVALSERSKHHDDHPLLSDNPHALVPFLENNLYLKSSTPDLTKYSSSSSPRLFATHMPLLTVKEGLKGSPCKIVFMCRNAKDALISLWYFSGVSFYGPIWDQVLSYWRGSLEDPSRVLFMKYEEMKEEPYAQLKRLAEFLGCPFTEEELESGSVDMILELCSLRSLSDLEINKSGKNVNGVDYKFYFRKGEVGDWKNHLTPEMESRIDMIIEEKLRGSGLSF >A02p045600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28564947:28566619:-1 gene:A02p045600.1_BraROA transcript:A02p045600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G01140) UniProtKB/Swiss-Prot;Acc:Q9ZU52] MASASFVKLNSLSSPRISHRSFAHPSASPPPRVSFAIRAGAYSDELVKTAGLVPLPGSNEESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWSEVFFYLAQNNVMFEGILLKPSMVTPGAEHKNKASPETVAEYTLTMLKRRVPPAVPGIMFLSGGQSEAEATLNLNAMNQSPNPWHVSFSYARALQNSVLRTWQGKPEKIEDSQKALLVRAKANSLAQLGKYSAEGENEDAKKGMFVKGYTY >A09g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8633454:8634353:1 gene:A09g502580.1_BraROA transcript:A09g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSLCLLNSPVCPHSLPNVSSQPLLSFSRSLRPFVSKSKPLASQKKKRDNSGLLVVKSQALDFSGTFFEGGFGSDDDPTSPSVSTALEDKPEPQCPPGLRQYETMAVLRPDMSEDERLGLTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRKKNKAGETNTYLDGIYLLFTYFTKPESITPLESVLTADDDVIRSSSFKIRKRKYN >A05p021970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10491712:10492671:-1 gene:A05p021970.1_BraROA transcript:A05p021970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKAFLTENGVNLLERRFLPAFDKMGKTCYLFLTKDHLFFLHNLLNGDGVQCIAQFRIDVLFDDYRISSQNDDRIAFSLDVSLLYRAVKSSVSICTEFSGGLASNRLQIKLVKKLPLNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRSQVVELQTALDSAQDLPPTLVQVQDPNQLQNFVDRMKHVGDVLNVTISKHGDLQVQTSTTLIRLGSEFQRLSVVGEKSQAPAEDRNLSAQTRSERAIARGDAQSVQVSVKHFLKSLQCHLTKPDSAFYGIAPQGACLTVIFQFLVPGTRQTDKSITLHCRLPVLDTGSN >A04g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20493072:20494877:-1 gene:A04g508000.1_BraROA transcript:A04g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSVDGLRAKLTLKDTLKPVLIPCYDLTSSAPFLFSRADALETDGYDFKLWEVCRATWAEPGVFEPVEMRSVDGKTRCVAVDGGLAMSNPTAAAFTHVLHNKQEFPLVRGVEDLLVLSLVGGSDGAADNVDQAVSMAFSQCRRSNYVRIQADGSSFESNFEKLDWLAGELVLEHQRRSCRIAPTVAFKQTGDRRTDQKIFTDIDCMF >A08p014900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9787497:9789829:-1 gene:A08p014900.1_BraROA transcript:A08p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRQYCGERGSAWSIVGREDIKLHTGLSPGVLPICYLGVPLHTKKISLAQCAPLIQSIKAKLPSWTVKKLTYAGRLQLVTSVINGITNFWTISFIIPKTNGDITANASANVAWEICCLAKDKGSLLLRNLDAWNTACAFKMIWLLFFSNQSIWSSWFRAEILDGNIQMFWVINTSQKHSWLVNKLLDAREIIYPWIRQRVQNSETTYFWSTKWSPYGKLSDYLQTVGAMRLPVTKNATIAEQCENGAWVVPNARSDRQLKVISYLTTLSLTDYGDEPEWWPGDQKQPRFQTGKIYDLLRPSTPTVSWHREVWFSGGIPKHMFLVWLMVKNRCPTRDRILSWGLQTEPRCLYNNVSDESIAHCFFECNFTWDIWKTVALKCCFNSSRQWQTILHQLQQHSTNKVHKTLLLLCWQATLYTLWTERNNRLHNAQYSSSDGLVRQIKQTIKNRASSLRIDKPKFPSSLLQLWFSTFST >A04p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10380026:10381118:1 gene:A04p016870.1_BraROA transcript:A04p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKRVGLPLMNVVKLRGTPILQQLLLEERLLRSSSANWCIVNDGTNLPNIVMGLSGKPCELVELEPVIRDRVPVIKRFTGGGTVVVDENTLFVSLICNRNDVPDVQPYPRSVMAWSGSLYSQVFNGISDFQLRENDYVFGDRKFGGNAQSITRNRWIHHTSFLWDYSESNMAYLKLPSRVPQYRLERDHTDFVCRMKDYIERAGFIEKTIKAVGTQFMLKELSFDEIDDSCREHLETTRLLTLDELKETLAKTTQNESIAQAV >A01p009190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4421840:4422983:-1 gene:A01p009190.1_BraROA transcript:A01p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRLFGKPKHEGNALQTLDKLNETLEMLEKKEKVLLKKAGQEVEKAKEYTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRSGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPTPMPSVPGRQPVRPAAPKRTAEEEELAALQAEMAL >A03p026390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11052792:11054202:1 gene:A03p026390.1_BraROA transcript:A03p026390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYKEPPPAPLFEPGELASWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPSMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDNAWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS >A09p019270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10215029:10223490:-1 gene:A09p019270.1_BraROA transcript:A09p019270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLEAIGSTNGFTFDDGSDHDSVSKIFVGGGLQGILYMEFEYVRSGQLKFGSLVGRRHRGFIETFEINHVKNEHLESVEGYYDHESGYIQGLQFKTNFRVSELIGYEKGNKFTLEVKGKKISGFHGYMRKRNIIALGAYFTMILPSRLDVKGGKGGHQWDDGANHDGVTKIHVRGGFDGIQYIKFNYVKNGETQDGPIHGISGSGFTQTFEINHFNDEHLVYVEGYYDDESGVIQALQFKTNLKTSDVLGYEKGKKFLLADKGKKIIGFHGYADKNLNSLGAYFTTVSLTKSERHGGSEGVYWDDGVFESIRTVYVSYDTNNVKSITFHYHNRTVIERQHGWQTIQDDLEEEEFELDYPNEVITYVEGTFKRFGPGKTRVTSLIFKSSEGRTSPSFGVVYGTKFVLEKKGCAVVGFHGRHDDRDLVSIGAYFSPMPPPTAEKLRAQGGLRGESWDDGVFDSVRKLYVGQGDNGVAFLKVVYGRDTRIVIGEDHGNTTPLEVKEFELEYPSEYITAVDGCYDKVIGSEVEVITMLRFTTNKRTSIPVGFLSTSSFLLYKDGFKIVGFHGKSSNMINQLGVHFLPANFTVILFHYDGNMDQWWDLEWSSKAIHIVAHNQTKWWFAKRFLHPDIVSIYDYVFLWDEDLGVENFNPQKYLRIVKAAGLEISQPALHPNSTEVHHRITVRSRTKVFHRRVYDSRGNMKCSNASEGPPCTGFVEGMAPVFSRSAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDHSKKVGIVDSEYIFHQGIQTLGGSGYPDKKNSARSGVSRRRGSPTFDSRTEIRRQSTWELQTFKERWNRAVEEDKNWVDRSLSTRNRISNNRRFKRSSVISSLLQRQAEETTK >A08p000450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:244877:245398:1 gene:A08p000450.1_BraROA transcript:A08p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFSRLLFIFILYLSYVNADSNLITDLCKHCDDPKLCLSSIETRPESGEFAATTNQIEIIAISAASANASSTSAYIKEMLSREDLEPATESTLEDCQKNYQDAVEQLDDSISAMLVDAHADVDVWLNAAISAIESCSNELESGAGNDAELSQRNKVFFKLCKNALVINKMLT >A03g509070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29847435:29848661:1 gene:A03g509070.1_BraROA transcript:A03g509070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVSACVAGHLSFREKLVRRQDKKELAQTGSEFPSSSVQVVAPCGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAILATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVAKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFDLILADLKSACFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A08p038670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22353970:22355071:-1 gene:A08p038670.1_BraROA transcript:A08p038670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSCSSKSKNPWTDEDNTSQKFAFASASASSKNGSTPKKIGCRNICLFMIWPLIAQHLPGKTEEEVKMVWNSKLKKKLSQMGIDHVTHRPFSHVLAEYGNINGGGGGNLNPNPMNQTGSLGPNPSLNEDSHQQQQQQSNDSGDLMFHLQAIKLMTESSNQVKPDSTFMYASSSSSNSSPPLFSSTCSTIAQENSEVNFTWSDFLLDQETFNENQQNFPDQELDNLFGNEFSEAEAVATMANTSAPDAQMEEESLSNGFVESIIAKEKELFLGFPSYLDQPFHF >A02p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28388804:28392447:-1 gene:A02p045170.1_BraROA transcript:A02p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDHVSVADSDNMKSPLLPVVHNDEPMERKTVGQHLRTIFTPNNCYIALGPLLCAVVCLCARLGGDDTTRARNMLGVLVWIFEWWLTEAVPMPITSMSPLFLFPLFGITAADDVASSYMDDVIALVLGSFILALAVEHYNIHRRLALNITMVFCVEPLNAPLLLLGICATTAFVSMWMHNVAATVMMMPVATGILQRLPSSSEMVHPAVGKFCKAVVLGVIYSAAIGGMSTLTGTGVNLILVGMWKSYFPQGNPISFSQWFFFGFPLALCIFLVLWGILCVLYCPKGSGKALSPYLHKSHLRRELEMLGTMSFAEKMVLSVFGGLVLLWMTRNITQDIPGWGSLFHGRAGDGTVSVMMATLLFIIPNKIKKGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVRSSGLAEVLSKGLVFLETAPYWAIAPTVCLIAATITEFTSNNATTTLLVPLLIEIAKTMRVHPLLLMVPGAIGAQFAFLLPTGTPSNVVGFTTGHIEIKDMIKTGLPLKIAGTAFLSVLMPTLGGVMCAHRVAADIGLERKSKVLDAGLLGILGWLRTLA >A09g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7333142:7336471:-1 gene:A09g502040.1_BraROA transcript:A09g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFAMMLLLLLVHSLASFPLYFAARLFPMSLPFTRSKSHQIHFFHPRPNPSLAPAPSPALLPNQRHRGHHHHRRWHLRRNVTAVPPSSHDCQQTCVEPLTPTPFGSPCGCVFPMKVQLLLSVAPISIFPAISELEIEVAAGTYLEQSQVKIMGASADSENQGKTVVDINLVPLGDKFDKTTATLIYQRFRHKKVPLNESVFGDYEVTHISYPGTPSSPYGDIVEGIPSASAGGLPVTAIFANKSQGIGFRTIAIIVLSGFVLALVLAGAMFIVRKWNDVGRSSTAVGPALPPSVNKRLGAGSMFSSSARSSGSESLMSSMATCALSVKTFTLSELEKATDNFSAKKVLGEGGFGRVYHGSMGDGTEVAVKLLTRDNQNRDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVHNGSIESHLHEGTLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHVSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPSGEENLVTWARPLLANREGLEQLVDPTLAGTYDFDDMAKVAAIASMCVHQEVSHRPFMGEVVQALKLIYNDADETCGGDYCSQKESSVPDSADFKGDLAPSDSSWWNLTPRLRYGQGSSFITMDYSSGPLEEMENRPHSASSIPRGGMFLPNRSGPLRPVRSRRDFFRLRGSMSEHGGPSSSRHLWSGNGD >A02g512110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32827268:32829015:1 gene:A02g512110.1_BraROA transcript:A02g512110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMLERDSKSRFSDLFQRKYRHIIVVGVGLMLIQQFSGSTAVISYTSTIFSKAGFSVTIGSTLLGIFMVPKATIGLILVDKWGRRPLLLTSACGMSITCMFIGLAFTLQKMQLLPKLTPVLTFLCVTLYIASYAVGIGGLPWIIMSEIFPMNIKVTAGTLVTLASWSSSSIVTYAFSFLFEWSTEGTFYIFGAIGGTSLIFIWLLVPETKGLSLEEIQASLTRQPNEINRM >A09g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2414812:2416418:-1 gene:A09g500590.1_BraROA transcript:A09g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNGLLRKLKLTKQNKHAKELEESLGILNRTEKRWQNLENTHYMHDKRDAKEHNFQMLQQQERAKFVDISKSFIEFQAKEMKTFMEEREKKMAEMNKRYFEEMLDLEREFDVFGAVHDKNGLTMQMTQTTVAFIKLVNRRKNITATARSGLKRHTDPSLLKTLTVQNKHLKCTFWGYYVLRVIKYFYLQKNVSQTSSGERLGDSQTCLVNTHDVASADIKKHVENRLKNLIL >A09g506930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20379595:20380856:1 gene:A09g506930.1_BraROA transcript:A09g506930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPTEGRTTNPVDPTLQLAETLVADALPTAASHGRPGRFGSRFFPDPTRAY >A01g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18074940:18075373:1 gene:A01g506130.1_BraROA transcript:A01g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRTAGEPLNQLENFVPDTTCNGNETVDLLKEYPSSQDLRRIEDWNWNGYWFALFTEKQNRRRRRSEARTTETEASGWRRFAREAYGGGRTLDEESGNGDCVEREETRFTWRLKENDF >A09g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20433008:20435453:1 gene:A09g506980.1_BraROA transcript:A09g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKDGVYDGGWRRCLASEHDGVWLCRKRDGAWWARPGRRKTDEHGGGRDGEQDNVLGLEEGIVEGSDDGFLDLSEGEVEVSNGQVQEELKEGKDDVGNNVDLVGKHKAPGEVDKKKGVRKGLFKPSAGAGASSKARMVQAIISTRKRATTNTKSAGRPGEGAKQHEEKGSSNPNPTASKP >A10p002790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1434581:1436917:-1 gene:A10p002790.1_BraROA transcript:A10p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDD1 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/TrEMBL;Acc:A0A178WPN6] MEPKTLFVFFTIFLLFVSSSSESLKKQTYVIQLHPNSQSAKAFPSKLDWHLSFLQEAVLGIEEENEDPSSRILYSYDSAIEGFSAQLTESEAKTLKNLPEVVAVRPDHVLQVQTTYSYKFLGLNGPGPSSVWSKSRSGQGTIIGVLDTGVWPESPSFDDTGMPSIPSKWKGVCQEGESFTSSNCNKKLIGARFFIRGHRVANSPLDSPNMPREYISARDSTGHGTHTASTAGGSSVPMASVLGNGAGVASGMAPGAHVAVYKVCWFNGCYSSDILAAIDVAIQDKVDVLSLSLGGFPIPLYDDTIAIGTFRATEHGISVVCAGGNNGPIASSVANTAPWVSTIGAGTLDRKFPGVVRLANGKLLYGESLYPGKGIKKAERELEIVYVAGGDKGSEFCLRGSLPRESVQGKMVICDRGVNGRSEKGQAVKEAGGVAMILANTEINQEEDSVDVHLIPATLIGYEESVVLKGYVRDTVRPKARLIFGGTVIGRSRGPEVAQFSARGPSLANPSVLKPDLIAPGVNIIAAWPQNLGPTGLPYDSRIVNFTVMSGTSMSCPHVSGITALIRSAYPSWSPAAIRSAMMTTADLYDRRGKEIRDGDKPAGVFAIGAGHVNPVKAINPGLVYNIQPVDYIAYLCTIGFTRSDILAITHRNVSCGVVLRKSPGFSLNYPSISVIFRRGRTKEMVTRRVTNVGSPNSVYTVNVKAPMGINVIVKPKRLVFSHVDQTLSYRVWFVLKKGSRGEKVADSFADGQLTWVNSRNLMQRVRSPISVTLKNYH >A10p021200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14278895:14280930:-1 gene:A10p021200.1_BraROA transcript:A10p021200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALTSTDHHLQLSNNPSPSEAHPDSMDFLSREWCNFAVQSLQPDHYDRSIVPVETSIAKFQGDSSPVSCSKMDKSLKMDDPDFKPSMPSWKTNDVKSWIWMQQAMHPELSYEGFFRKKLKLPWKITPSIKKWWKEIKAKRKEEVRLQRAEVHAAVSLAGLAAALAAVASEKAEKDGGNNRPNTKETAVASAAAVVAAQCAQMAETMGANRDQLSSMIGSAMTGTSVSEILALTASATTSLKGAATLKARRSCNINRLNGSAPVLPIEESSELPPEFEKNRYLLSQGTDLFVETPDGDFKARTVSIVLKKEGKVILKMKKHNLLRTKKECVVTNVHVELYKDSESEDNNIEDTCYLIVLKTNRGAMKLDMADDYGRYKTWITTIQHMLTLSSSSFRTKYDLTFYNKN >A09g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15351694:15354505:-1 gene:A09g505050.1_BraROA transcript:A09g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWIFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELSKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKLATARRLPGKSSTARRLPNSLAYIIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A01p030160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21242503:21242864:-1 gene:A01p030160.1_BraROA transcript:A01p030160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVCKSIARIMALALDSDVNYFDTPDMLGNPIADMILFHYEGVVFNPSKGIYACGAHCDFGMLSLLATDDVMGLQVRMSDGPDPNGA >A05p024600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11972856:11974564:1 gene:A05p024600.1_BraROA transcript:A05p024600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRMSIGMNWLVLKFMVMTLIVWLWFKAQFASMAEIWRWEVGTWKAVGHSQVTSQFSLSKEQRFSLEYCLLSLCLSLSSLINSDKTMARLVTNSWQKVEAQKRIIWACSWRPFGHQFATSSRDKTLKIWPFERKMLGSNRPSMGGWHMEATTISQLESDTKVPPPPQGEEQVLGNWDAKKAGQTAVEHKRLENQRKVRQQQQLWPMKRLAWRTTENS >A07p037140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19870988:19872838:1 gene:A07p037140.1_BraROA transcript:A07p037140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIAKAAFRNKHSTVASLLYSHQSRSISRQSSKLVKPERMAEKEEDKKVTRVLFCGPHLPDSYNFTRDYLQPYPFIQADVAHFRDVPEVIKNYHICVTLLMQMDSFVISRATNMKLIMQFGVGLDGVDIDAATKHGINVARIPSEGNGNAASCSEMAIYLMLGLLKKQNEMQMSVQSRLLGQPTGGTLLGKTVFILGYGNIGIELAKRLKPFGSRVIATKRSWPASIMNSDSNLVDEKGSHEDIYTFANKADIVVVCLRLSKETAEIVNNKFISSMKKGALLVNIARGGLVNYESAYQSLESGHLGGLGTDVAWSEPFDPNDPILKFKNVIMTPHVAGVTEFSYRSMAKVVGDVALQLHEGLPLTGIELVN >A03p060740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26348003:26354274:1 gene:A03p060740.1_BraROA transcript:A03p060740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQIFLILIVISSSPFCSGGSRKELRDKDNIGESYIQSSYVVGSKSVDPRRVLQLSWQPRVFLYRGFLSEEECDHLAKETSEVKSGDGDGDGKTQLSSSDHVLDVPDPIVARIEERISAWTFLPRENSGPIKVRSYTMEKSDKKLDYFGEESSSVTHESLLATVILYVSNITQGGELLFPNSEVKLKRSWSDCSEPGNILRPVKGNAILFFTRHLNATLDQTSTHFRCPVLKGELLVATKLIYAKKQARKDEEESGECSDEDESCPRWAELGECKKNPLLPLPPPLKIFFSFSVRVPSLSLGDFLSCQAPRIALDLPLGARTHARSAVTVLLLKSMELVKQDGNDSLDMLIRRAVGKDPFLSFPRPDNNPVQLFQLLHNLERPGWPLLTPLKIELQKCEKCAREFCSPVNHRRHSRVHRRPRKQEKDSSKERDALGEFWDKLSVVDAKEILSLKSMMLEDVAGESVESGLMSLIEKPGYTALPQYYLRAGSDLLDIIQARTPRFSISSQKLFSILDEASEKTFMCNEAAPMQKYIFDGEIGKNMLEAKNVVACASFLLEQKLIKAWLADKDAEALRCQNLLVEEEKAAQRRQAELLERKKRKKLRQKEQRVKDQKKDATEDVSTTSEEQHSPAESSSPLSVASDSEAQRSDSIPVEDSSSLEEPQVLETDNERNGETQAPMVDDDGLGNGQNMERRSGRRQMEKRSQHGMPNGFHGNHAPKLGGIRKNGTNRDVRGNTTKVWSRKANNPNSISPEATVDEQDRTKNSEVLIGSLSVTIRNTGEHNQAKCREEEPRMKTVEAKPTSDQSTVKVWRPVSSQGRIDENTDKKDKIPSSTVPEVKTAHHISLNEAKAFLAKRWKEATSEEHVTLVLSQETDISGNNNTHESSNGVITAARPKLRKKREKVSKVKYVPKQRTP >A02p027970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:14127346:14127828:1 gene:A02p027970.1_BraROA transcript:A02p027970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPASGNCSSGLQNYGSESDERKRKRKESNRESARRSRMRKQKHLDDLTAQVAYLREENSHIVAGIAVTTQQYVTIEAENSILRAQFLELNQRLDSLNEIVEIAGGFWMETGQGGGVIGYGGGGGGFYDGVMNPLNLGFYNQTLMGSASTVPADVFNCC >A07g508700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24025947:24027808:1 gene:A07g508700.1_BraROA transcript:A07g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNQNNNLINQVAKRFLMRMMMKNVFSRIDLSSFITGTTEHTWQPTLSAETNIPSYWLNWRFFVCAIFILTSLFLSSFLIWKYEGPIKRKADDQREPIGVVYDDETWNTCHTSIHPNWLLGFRVFGFVVLLGLISGNAIADGASIFIFYTHLDCSHQIDFHIGHNLLWGMAFLHLVMLGALLSIYRYKSGENCLNGVSRVDEELGSHRPPRNGQNSNVFKFSNGHERHNTSTRQVASTLGYIHQALYQTCGGAVLLTDGVFWFIIYPFLTSKDFSLSFFIVVMHSVNAVFLLGETFLNSLRFPLFRISYFVVWTGVFVLFQWIVHACVSFWWPYPFLDVSSAYAPLWYAAVGLMHLPCFGLFALIVKLKYLWLSKCFSDEPYSNR >SC146g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:208:478:-1 gene:SC146g500010.1_BraROA transcript:SC146g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPCPGRPYKDLGLAVEECILAKPAHLGTSPFTSMKPKLTSTLTWLTTTKVPSHLSFQKKFRFGT >A06p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14485282:14487401:-1 gene:A06p025840.1_BraROA transcript:A06p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSIHAGRAKIDVNVDLTHKLCTSLMFPTFRTDKCWFGWSLYVGSLCIKHPNLFGGSEKLDVSWDKGLYDSNVLVAFRRPRPKWRPQQCFFIQHSLSPEIGVHGTPYDNFSRSGSGGVNLSKLAVGLDLSEPASSKWSSTTSVKFEHVRPMNDEGRLITRDVDGFPVTCSGNTHDSMVVLKQESRFAKANDQGLSHFSMQIEQGIPVVSKWLIFNRFKFVASKGVRLGPAFLLASLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANTELALPLNKMTEGTIFLDCGTDLGSSRLVPGNPSLRHGKPGFGYGFGYGLRFKSPLGHLQVDYAINAFNQKTLYFGVTNLASSS >A01p047520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26817395:26820564:1 gene:A01p047520.1_BraROA transcript:A01p047520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPAATAATAATGGDSRRRLSASISEAICKRRFRRNSKGGGRPDMVKPFNIINFPTGDKNSNCCCSKFQIVKILLFILLLATLFTIIYSPEVYHHSLSHSSSRWIWRRQDSRYVSDSDINWDDVTKTLESVQEGRTIGVLNFNSNEIQRWRELAKTKDNEEEENVVVLELDYADKNVTWDSLYPEWIDEEQETEVPVCPDLPKIKVPTRRLDLIVVKLPCRKEGNWSRDVGRLHLQLAAATVAAAAKGFFRGHVLFVSRCFPIPNLFRCKDLVARRGDVWLYKPNLDTLRDKLQLPIGSCELSLPLGIKERPSLGNPKREAYATILHSAHVYVCGAIAAAQSIRQSGSTRDLVILVDDNISGYHRSGLEAAGWQIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFSMPEISATGNNGTLFNSGVMVIEPCNCTFELLMEHINEIESYNGGDQGYLNEVFTWWHRIPKHMNFLKHFWVGDEDDVRRKKTELFGAEPPILYVLHYLGMKPWLCYRDYDCNFNSDIFVEFATDIAHRRWWMVHDAMPKELHQFCYLRSKQKAQLEYDRRQAEAANYTDGHWKIRVKDPRFKICIDKLCNWKSMLRHWGETKSNWTDDESFVPTPPAITAVRRSSLPGHNL >A03g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26592394:26594657:-1 gene:A03g507510.1_BraROA transcript:A03g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRKRLRKFAPRISYGSTFLGQPDPSASTSGTSSDFFDEINLNANYDPFKTSKSRDSVDPASALSLSANGNTTTTTSVAGVISSKHGTTYYKQLLEENKQHCSTRQLLYTRLASIRGRTESFWKEVDHVKGLWKNREIVGRGFTFTGYYPPLTLEETEQPPLTDASIDR >A10p006720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9866291:9868679:-1 gene:A10p006720.1_BraROA transcript:A10p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWRLNMDGYLLSISASVFSLHVPLHRFACFLQKKSRHLLRRRFFSSQKGGGDLIPIGDRTLELESTRRILSVCRADKVNLKDMTAAELEAGVSPEPDVSELNIFSDNGSQSVVSQLLDHINSHEKSSQRRGGFSERFLRWRRRYLPVGGDNRRDHGSLKLSGPLVSGAAYCISSCSMILMNKVVLSTYNFNAGISLMLYQNLISCLVVALLKFSGVVSVEKFNWKLIRVWLPVNVIFVGMLISGMYSLKYINVAMVTILKNATNIITAIGELYMFRKRQNNKVWAAMFMMIISAISGGITDLTFNAVGYTWQTANCFLTASYSLTLRRVMDKAKQSTKSGSLNEVSMVLLNNLLSLPFGITLIVLLGEWRYVISTDVTKDAMFWVVATASGFLGLAISFTSMWFLHQTGPTTYSLVGSLNKVPISLSGLVLFNVPLSLPNLFSILFGLFAGVVFARAKMS >A02g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5229872:5230166:-1 gene:A02g501630.1_BraROA transcript:A02g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMEYTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQYTKKIWIDYMLNNKCR >A03p039250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16312706:16314135:-1 gene:A03p039250.1_BraROA transcript:A03p039250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIEAKVLNCSNSLICLLIFLMCRCGFLLECSNCCFVTVLAGLAFMFSSSILLQILACALYSNWWPMLSALMYVVVPMPCMFFGGGSTQFLISRDGGGWIDAAKFLTGASTVGSLAIPIILRHAGMIETGAMLIEFTSFFIFICTVMCFHRASLDDYDW >A07p051220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:27217074:27218765:-1 gene:A07p051220.1_BraROA transcript:A07p051220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLNPISSMAAYPSPVGFVSHLPTGFPHFPSVNKGVSRVLASTQITLSPKDSAFTITGSSWKPDDPRSDEPRLNTHFSHLQSLVTKGQKPNVTHSTQLLYDLCKANRLKKAIRVIELMVTSGVIPDASAYTYLVNQLCKRGNVGYAMQLVEKMEHHGFPPNTVTHNALVRGLCMLGSLSQSLQFVERLMERGLAPNAFTYSFLLEAAYKERGTDEAVKLLEEIVAKGGEVNLVCYNVLLTGFCKEGRTDDALKMFREMMPEKGFKPNVVSYNICLRCLCCDGRWEEANELLAEMDGGDKSPSVVTYNILINSLAFHGRTDQAMEVLSEMGRGVTATSYNPVIARLCKEGKVDLVVKCLDDMIYRRCKPNEGTYNALGALCEQGNDKVREAFYIIQSLSKRQRCCTHDFYKSVITSLCRKGNTFAAFQLLCEMTRCGFEPDSHTYSALIRGLCNEGMFGGAMEVLSIMEESGLCKPTVDNFNAMILGFCKIRRTDLALEVFEMMVERRRMPNETTYVIVVEGIAHEGELELAREVLEELRFRKVVGQNAVDRIVMQFNLDFD >A07p012920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7806749:7812151:1 gene:A07p012920.1_BraROA transcript:A07p012920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPGRRTVWDGVIELTKMAQEQCVDARLWASHLSASLKPFVEFPSTELAEVLVSYICWDNNLPLLWKFLERAMSLNLVSPLVLLALLAHRVVPNRSTQSAAYRIYLELLKRNIFRIKDHITGPHYENVMDSVANILRLPELFRLETSKPGVLLVEFVFKMVSLLLDACLRDEGLIEPSQDSSSQWLIKSQDMEIDDPERFNEKNGSHEKLQTLNTIMAIEMVAEFLRNTVISRLLYLVSSNRASSWHEFVRRVQVLGENSMALRSSKVLSSGDLLQLISNRRFGYSDDSKVVSLRKSNAIVDFGSLASFAGLCHGASLSSLWLPLDLVFEDAMDGYQVNPTSAIEIITGLAKTLKEINGSTWHDTFLGLWIAALRLVQRERDPIEGPIPRLDTRLCMSLCIVPLVVANLIEEGENEFVMEKLRDDLITSLQVLGEFPGLLAPPHSLSYLTYLYVHVVAGNMRHLIVEACIARNILDTSAYSWAGYVNGRINQIPHNLPSEVPCWSSFVKGAPLNAAMVNALVSVPASSLVEIEKVYEVAVKGSDDEKISAATVLCGASLTRGWNIQEHTVEFLTRLLSPPVPADYSAAESHLIGYACMLNVVIFGIGSVDSIQIFSLHGMVPQLACSLMPICEAFGSYTPSVSWTLPSGEEISAYSVFSNAFTLLLKLWRFNHPPIEHGVGDVPTVGSQLTPEHLLSVRNSHLVSSETLNRDRNRKRLSEVARSASSQPVFVDSFPKLKIWYRQHQRCIASTLSGLTPGSPVHQTVEALLNMMFRKVRGSQTLNPVNSGTSSSSGAASEDIIPRPEFPAWDILKAVPYVVDAALTACTHGRLSPRELATGLKDLTDFLPASLATIVSYFSAEVSRGVWKPVFMNGMDWPNPAANLSNVEEFIKKILATTGVDIPSLAPAGGSSPATLPLPLAAFVSLTITYKIDKASERFLNLAGPALECLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNPDAVVQLLRNCFSATLGLNAAPMSNDGGVGALLGHGFGSHFYGGISPVAPGILYLRMYRALRDTVSVTEEIFSLLIHSVEDIAQNRLSKENLKRLKTVKNGSRYGQSSLATAMTQVKLAASLSASLVWLTGGLGVVHLLIKETIPSWFLSVDKSDQEQRPSDLVAELRGHALAYFVVLCGAFAWGVDSRSAASKRRQGILGSHLQFLANALDGKISVGCETATWRAYVSGLVSLMVSCLPRWVAEIDAEVLKSLSNGLRQWGKDELAILLLSMGGVETMGDAVDFIIHLRS >A02p044030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27735306:27738640:1 gene:A02p044030.1_BraROA transcript:A02p044030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRSCLSKEAKDGLEYLKRKRLQKMRSDSVNETVDFSAMARSGGDALRPSLASRGIRLRVTSSGAGPTTKGAFLKEKVDKFETDDLKWTERVSECPVYRPTKDEFEDPLTYLQKIFPEASKYGICKIVSPLTATVPAGAVLMKEKSNFKFTTRVQPLRLAEWDSDDKVTFFMSGRNYTFRDYEKMANKVFARRYCSGGSLPDSFLEKEFWKEILCGKTESVEYACDVDGSAFSSAPGDPLGSSNWNLNKVSRLPKSILRLLETSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGASKTWYGVPGSAALKFEKVVRECVYNDDILSTCGEDGAFDVLLGKTTIFPPKILLDHDVPVYKAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFAMSDWFPFGAIASCRYAHLNRLPLLPHEELICKEAMLLNSSSKPESLDFTPTELSGQRNIKTAFVHLIRFLHLARWSLMKSGLCTGLVSNTYGTIVCSMCKRDCYLAFINCHCYSHPVCLRHDVKKLDLPCGTTRTLFLRDNIEALEAAAEKFEKEDGISDMITTDEELYTYPSSITLAAAKEDGYSLYSTIYFDFNTKLEMTSGNPVMSYEASAPCISSVTDDYVNRRAPNCSSSSDSKILEEVASSSNKKTRFFTAVKDEPIVTDNESDGSDSESFRVKRRSFKLENRTVVLETRDSEHHQDLKRLKRPQNYHEGRCSASINSIIKQEEEVALVISNRKETDEQQSDVMMMKDESHFGGFKRLKVKGLIKP >A04p009010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7916797:7924536:1 gene:A04p009010.1_BraROA transcript:A04p009010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQPSLNLISSLLRRSLRRRLRQGEMAGKSNKSKAKRAAQSSSPNSTDSALQPDTPAAPAPAPAPDNGAAANEAVEASVPETNEVPPPVPKEDESESQVASNDDQPKQGELRLYPVSVKTQSGAKMELQLNPGDSVMDIRQFLLDAPETCYFTCYDLSLRSKDGETHHLEDYNEISEVADITTGGCSLEMIPALYDDRSIRAHVHRARDLLSLSTLHSSLSTTLALKYDAAAANKAQNPGDKPNVPELDCLGFMEDVPASLNKLVNSPSEEIKCVDSIVFSSFNPPPSHRRLVGDLIYLDVVTLEGNKYCITGTTKAFYVNSSSGNILDPKPSKSGFETATLIGLLQKLSSKFKKAFREVMEKKASAHPFENVQSLLPPHSWLRPFPVPDHKRDAARAEEALTISYGSELIGMQRDWNEELQSCREFPHSTPQERILRDRALYKVSSDFVDAALNGAIGVISRCIPPINPTDPECLHMYVHNNIFFSFAVDADIEQLSKKRPSNDVSVTEKVSSSEKVPCEDKTCDGEHNAELNSCNEAPLIESEQATYASANNDLKGTKLYQEADVSGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDALLYGSVDNGKKICWNEDFHAKVLEAAKRLHIKEHAVIDASENVFKLAAPVECKGIVGSDNRHYLLDLMRVTPRDANYTGPESRFCVLRPELITSFCQAEALEKSKCNTKTDEGTDNVPEPSDASADTSKTGDESIHGEENGALTSEKTVTEKQNTTADYAAEISKLCEEISFNPNVFTDFKLGGTQEEISSDEENVKKVSSYLVDVVLPKFIEDLCTLEVSPMDGQTLTEALHSHGVNVRYIGRIANGVKHLPHLWDLCLNEITIRSAKHILKNILRDIEDHDIGAAVSHFLNCFFGNVAAGKASTNTKNQKKDQSITKKSQGRGKGKASARKTLSSYMMVDSNMLWSEIQEFAKAKYEFEMPELSRTTAKKVHVLRNLCQKVGISVAARKYDFDATSPFDATDVLDLRPVVKHSVPVCSEAKNLIEMGKLQLAEGMLSESYTFFSEAFSILQQVTGPMHREVSNCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALQNMGRALLLLGLSSGPDHPDVAATFINVAMMYQDLGKMDTALRYLQDALKKNERLLGPEHIQTAVCYHALAIACNSMGLFKLSHQHEKKTYDILVKQLGEDDSRTKDSQNWIKTFEMREVQKTAQKQKGLAATAANTQKAIDLLKARPDLMQAFQNAAAAERSNALNTAVLGEAQPRGRGFDERAARAAAEVRKKAAAKGLLVRPHSGVQVPPQISQLINANAGTADSSSKKSGENGEAKVQEKKKESSENGKTTNVAAPAGLGAGLTSLDRKKQKAKK >A01g500280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1100220:1101365:-1 gene:A01g500280.1_BraROA transcript:A01g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDLFTDVELITTGTGGFSRDSWKALLPGPLSLCSIQSSLTIFMTHIHLRFEDLLRPFPSVNSQHHLTASASIEKALFVHGEPLTRRPDPEARGLDICRTIFPDKIYYAINVHAWPPSNLTGRCAYSGEDNSSTTFVFYPSSGSISSIFDNHLPLSTACFAWSGVDEQASEGWARPIKRLVIANPFSSYSKPITVKKKSNHAFVILLGFASIIKISGGFTEIYVSNVMYLSCLKNLPVNLPGLFLSPSPFSSEEKTLPPFPLPLERDDSSASLPSVCFSFFIGLLSCGAISTGPEDATEITLVILVDEVWTSTLHYVTIPQLSDIIVKASPTHSSIVSNSLSSSIEDLSCLVYLSLAFSVYGQKRMDNSLFLLYGRILN >A06p056950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29619415:29620917:-1 gene:A06p056950.1_BraROA transcript:A06p056950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF566) [Source:Projected from Arabidopsis thaliana (AT5G43160) TAIR;Acc:AT5G43160] MNLSHDPGKKYSWVRHCSDQWPRSSLQPNCLSRSVDFTETLKKPKGSCNSVARALHNSSNSMIPRKISVDSLALPSKVVSTTMIPKREQITRPWMFGMTPTASSPRGASIARGLTPSRGISPSGRMVSPLRVRKKEKSRENGGVADDPHLLKLLHNRLLQWRFANARASPVFSAQKMTTEKRLYNSWISISKLYDSVRAKRIKTQRLQLNLKLRFILNRQMENLEEWLVVERDYVSSLVGAAEGLKGSTLCLPVDCVAKVNGQSVKDAISSAVDVMQAMASSICMLLPKVGKISCLAADLARVNIKEQEMLDVSRELLNTISALQVKKCSLETQAMQLHL >A06p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9961710:9962433:1 gene:A06p020330.1_BraROA transcript:A06p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDPKATSELTNRQHLLRLQPPHYYLWRQTKFASISTILPVAARTNFEEIDLLAYGYVTVAVTTSSIAIVFNIYWEIFKDWVLMNRKYKNYKKHEMMSMKMMMMMVDEGGEGEMMETGRTVGYLGHR >A06p049380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26089523:26091797:-1 gene:A06p049380.1_BraROA transcript:A06p049380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLSIATTILSSSSHLTPHTCFPSRPNATAFPFRLGSPSSTLTHRATNLRPIAAVEAPEKIEKIGSEISSLTLEEARILVDYLQDKFGVSPLSLAPAAAAVAAPGGGDGAAAAVEEQTEFDVVINEVPSNARIAVIKAVRALTSLALKEAKELIEGLPKKFKEATTLSITSSIRSSSSSPTLASAHHFPSRSTSIEFPFRFGASSPTISHRATHLRPIAAVEAPEKIEKIGSEISSLTLEEARILVDYLQDKFGVSPLSLAPAAAAVAAPGDGAGAAAAVEEQTEFDVVINEVPSSSRIAVIKAVRALTSLALKEAKELIEGLPKKFKEGVTKDEAEEAKKQLEEAGAKVSIA >A09p012060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6185216:6191191:-1 gene:A09p012060.1_BraROA transcript:A09p012060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSLLPKDLLELINGRFETCFEAVHLRSVCSMWRSVVPRPSYKHGLGVDYLLPIFSDNPRFKGYKHCILKKIPNFLFRYQTPFGADCLIDLAMSRLHFAFIVLIRCWSVPWSDLRCLGALSLPARATSPERLPQVTPSQSDQPERPAQVTRVLTRRDTKKRVGRAFWSFVLMFLHLLNLNWSLLPKDLLELISGRSQTCFEIVHFRSVCSSWRSAIPRPSYRIGLGLNSLLPVFNHKPRFEGHMQCILKKIPVFLFRFQTPFGTDFLLIEVTDGESGEQILMCPFQNSAWRYKDVTTLNTLNCQIIPLGYYYKINLYAITTIRHRTRSESYTKRVVFLPLDGKEFAVVAGVLGDLMMYRSCDKRWTKLEGRFTAYRDMVSFKGKLVVSGDELFLVQRFTLGTYCNEYEHSWFRLFRLEEDGQRRWVRINDIKDRVVAKELPGMKGNSVVFNEPKFRHERIFVFELKTMKTSNAFTECRGYMFGENRQSLLSCGILTHRIQNPADGFYDSSSESEIEPQ >A04g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11157843:11158091:1 gene:A04g505120.1_BraROA transcript:A04g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRLERGDESDDGGIVTSPRFTRGGFSTRIKGDRFGGHGARRGVAVTSSLHHLKAKRGTHSDRY >A02p009860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4154976:4155905:1 gene:A02p009860.1_BraROA transcript:A02p009860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPRGGGGYIRQRHSQGYASGGDDLEDDACSRHQPFSLESPRCKTWVEVLENVLWIASAVFIVYFGDMHSNMIYILLHDARIKRMPLYLGMLGIGVNVVIIIYESMLSWSMRRFDEKWELWSISALPFITLLGIISFCLLSFALWPIWGFLTLPLLFTLFMACLVVFPHLTIIKLRPQNEELRID >SC342g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000185.1:8001:8851:-1 gene:SC342g500020.1_BraROA transcript:SC342g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHGSVHGSVHGQSTGRASMLLSCWYEFSTRISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVYTDQSTGRAQRADMCTDMVHQLSKISTRTVHGKGPHADMCGQHAGMSSVHGSVHGQLWCNGQARIFLRGSDGRPCVLNRRPRGPKITRTVHGRASIADMCTWTAEQDFLVCADGRPVCTDGAFMLC >A05g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27322373:27324774:1 gene:A05g509090.1_BraROA transcript:A05g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFPQRILEEGIETQIDKINNTCRRTILEEVKGVLNTEYEEGELFPFISATGNNDVVDSTEFYREDEKIDERIGRIVTLLNAKQDWTDFVWEVEALPPTLELSDSETDGENVEVEDVTDTHVDEPAVVARRGKRKLNDPGAEARKKELLCQRATEHNSGISSGMKTFIEGLFTSAFNSFKDVVQNDIQERFEKVQKEMAELKQAVSQIPGPSATMGKDRASEIPCPSATMGKSSQSPCLAGTKKKKAKARLMRVWFLLRFVVALGKEESLSTLLMSLSLDSFEIETETDDMMDFLKNFSQSSTHGEPSSIKEEMSTQEYLQDAMGNLSQVSHVKGFDPSQKTSDEEAPKWVTPVSSFKPVDWRTPTLKDMELPDDRVNDDDYSLVFVHEDSWAKLIHWCSTTKQHLKIGPSMYTTELAERVMGPAVWLQNQV >A05g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8131854:8132931:1 gene:A05g502590.1_BraROA transcript:A05g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEDDVDVTPLSHCQTDWLGAWGESFLLSSRSYLWAEKPIVTEMATKQLIVSPVTVSAKSLASLRVSSAKFGTLKPGTLKQSQFCSLVVKAASVVAPKYTSIKPLGDRILVKIKEAEEKTMGGILLPSTDWSTNHLLHRYAGTEVEFNDVKHLILKEDDIGLS >A06p054820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:28733339:28733695:1 gene:A06p054820.1_BraROA transcript:A06p054820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVYLLLKVIFIILSVIAVHHLPVASSKQWCIANSTETDAGLLLNIYLGCGHKFVDCRPIYHGGSCFEPDTLISHASFVMNAFFQLHNRTKEYCGYNSTGIITSTDPSYGSCVYYVS >A03p061930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26910556:26911784:-1 gene:A03p061930.1_BraROA transcript:A03p061930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMASTPQLTLCTLFSIHDGFNKEPRTRLRAKGRSFGPSLLGNKLGLRACVTELRQRRPAMLGLKETGCLAREKALNRSKRRVFKVSCNKGLGFNGGDNNGNGRILGNLALAIGLTYLSMTGQLGWVLDAIVSVWLVVVIVPILGLGAFLWWAQRDIVQSSCPNCGNEFQIFKSSLDDEVQLCPFCTQPFSVVDDKFVKEPVKFSNQTTAFGQDLNGFSPPPKKGKGFSTAVVDIEAEVTDAD >A09p007630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3995598:3996374:-1 gene:A09p007630.1_BraROA transcript:A09p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNTHDFASKRHNSFHWTTKVGSDENDDVSSRNPLPDNTKAVPKHNPSSSKRKLQTFAVSRLRSVISSLSRARPGNNNSGLGSRVVGTLFGSRRGHVHFSVQKDPTSPPAFLIELATPISGLVKEMASGLVRIALECDKAKEEAKEDGDRRPRRLVEEPVWRTYCNGKKCGFAARRECGEKEKKVLKALEMVSMGAGVLPETEETSGGGGGGGGGEIMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRI >A01p042150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22715649:22717748:-1 gene:A01p042150.1_BraROA transcript:A01p042150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-III [Source:Projected from Arabidopsis thaliana (AT3G23710) UniProtKB/TrEMBL;Acc:A0A178V7Q4] MDSNVSSSSKQQKHLTLQQSFSNIQTQCSDLLNNVSKTLNPLFNPNSNNIFSALDSFRAQAKQALDSGFSRFASGNTPPLWARISDDGGKTHVAPIRRSSGPGLSADDMEERLAGVPVYALSNSNEEFVLVSGTATGKSLGLLFCKAEDAEALLNQMKAMDPRMRKEGSKVVALALSKERKTAGFTDDDFNGVPVFQSKSLILRSDNKSYRPVFFRKEDLEKSLTRASRQQNRLNPALKPGDIQVAVFEEIVKGMKENATSNWDDIVFIPPGFEVSTEETKD >A09p016360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8601740:8602420:-1 gene:A09p016360.1_BraROA transcript:A09p016360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWPSICNQKSLLPAGIVNGSVVPWVMWSLWKARNRLVFEGFSASPEDTLSTAIRMAREWSLQSKPEKPDTSRSRKPEMIAPTGTRIVRSDAAWSASSLTAGAGWVILSSPQNMTFQQHLEFVASPLMAEGLALREAVLTCQRLKLQHIRFESDSAQLIKCLSSNETIAELHSVVFDILKLSEFFDSVSFVWLPRERNVEADALAKGDLAMFEPLVVGEIVNALN >A06p004270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4640221:4642758:1 gene:A06p004270.1_BraROA transcript:A06p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCISLDIPCDQALNQTCSCLFGDRNYIHMMEANLGALETAMQKLRESRDDLLTRVSIEEDKGLQRLAQVEGWLSRVAHIDSQVSDLLKDKPTETKRLCVFRYCSTKCISSCEYGKKVSKKLKEVNELYPQGDFKDVAGKKLAAKVLMKEIQATIGLDSMVGKAWDSIMKPERRALGIYGMGGVGKTTLLTHINNKLDKEVNGFDVVIWVVVSKDLQYKGIQDQILRRLRADKEWEGEREDEKASSIANILGRKKFVLLLDDLWNEVDLNKIGVPHPTQENKGSKIVFTTRSKEVCKRMEADDKLQIDCLSRNEAWELFRSIVGEDPLKKHPDIPALAKKICEKCYGLPLALNVIGKAMSCKENVYEWRDAIDVLSTESHEFPDMEEKILSILKFSYDGLEEEKVKSCFMYCSLFPEDYEIKKEDLIEYWISEGFINGKRDEDGSNNKGHVIIGSLVRAHLLMESETTVKMHDVLREMALWIGNEEEKQCVKSGGKLSHIPEDMNWSVWRRISLMSNQIKKISCCPNCPNLSTLFLQGNKLEGIPGEFFQFMPALVVLDLSDNLNLSELPEEICSLTSLKYLNLSSTSISSLPVGLKGLRKLISLDLEYCLFLGSIDGIGTSLPNLQVLKLYQSRVFIDARSIEELQLLEHLKILTGNVIDALILKSIQRVERLASCVQRLWIIRMSAGVLTLNTAALGRLRELEIRFSKISEIKIDWKSKEKEDLPSPCFKHLSSISIRTLEGPKELSWLLFAPNLKHLQVTRSKSLEEIINKEKGMSISNVHRPDMTVPFPKLESLTLWGLPELERIWSNPQTLPSLKNILVEKCPKLPEAAIREFQRHEQE >A02p058050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34891747:34894176:-1 gene:A02p058050.1_BraROA transcript:A02p058050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFVIRDDHCLDTQWNFTNDFTGSLERKGKKGVVSSIKPNLSNIRNKNDKTLKKYIYIKKKEKSNYNMTDTNTARTIVGIIGNVIAFGLFSAPIPTMVKICKMKSVSEFKPDPYVATVLNCMMWTFYGLPFVQPDSLLVITINGAGLVMELVYVVIFFIFATSPVRKRITIAMVIEVIFMAVVVFCTLYFLHTTKQRSMLVGILCIIFNIIMYASPLTVMALVIKTKSVKYMPFFLSLANFMNGAIWIVYACLKFDLYILIPNALGCLSGTIQFILYALYYKTTNWSDDDEDKEKSNLNAEIELSQA >A04p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18657393:18658788:1 gene:A04p031780.1_BraROA transcript:A04p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINELCDDLLVKILLQIPTEEVVATSLLSRRWRSVWKLVPKLDFRDYSYKYHATSAVPSEFIDKFLERNVAPALETLHLNLYHLRDYSPESFEKWVNVAVARNVLDLNLLYCLHCRYPIRFPTSLYTHETLVVLRLRGTIIDDVPSKTRLRSLKTLSLRDMSFSSDQTVDRFLSCFPVLETLVVRGWIASNVKTYSIRVASLRSLDVEELVGGYADPRYDHGYVIDAPRLKFLHMVDHFSGFCSLVNVPEELEAEIHLRFCDSDKLLGSLTSAKQLSLCLKPQMDSCLKGDFDQLVFLELCVMCSLDWLNVILKHSPKLRALRLSRTRHSCQNSRNVRTNWERPICIPECLTSSLETVEWIAYEGTEEEENVVKYLLENGNLCFKKRWRKAVYGKFSYEILRLGRG >A05p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5152744:5154929:1 gene:A05p011770.1_BraROA transcript:A05p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSFVKVLDSSFKDGPGKKRKHPDYCDSGRSFAKLQCVLSPTEKLNSGNKVNASENLSGKSLVRYYSYFKKTGVPKRVMFYENGEWTDLPDHVICSIKNDLEAKRAVTEVNWSGRCFVLDFLHMHRLDLETGVRTHLAWIDIAGKCFFPEVYEKDCEEDQCEIKLRLEVDVNGVVQPSLNESSEDSCSSIGTNMFSGLKPADEEEVDVEAVKEKFVLGMATLEDVEAVKEKIVLGMATLEDVELLDAYRFSGDIAKARQSLFNKQADITKSRRGDANIRYAWVPVKKKLLSSVMKHGLGVGGEFIKKSKYGVGVHLTAANCPYFSATHCDIDENGVRHMVLCRVIMGNMEPLRGDRAQFFTGGEEYDNGVDNVLSPKHYLVWNMNVNTHVYPEFVVSFKLLSIPNAEGDFSFHIKKKGTGRVTNGNKSAGSALMPYPLLFNAISSKVGQEEMDLITADYQQLREKKISREEFSRKLRVIVGDDDLLRTIITALQSLSSMKMKPVTGGYCWR >A02g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17256832:17258133:1 gene:A02g505930.1_BraROA transcript:A02g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKDMYDATSLQLCRSSMSLLLPFYVFLTSPVLICNFGLHICLLYINQSKKITSRIKNGCSKEMSDTDKKTPFNGYKGFGYSRRSVYGFWNLSSATTCTIFKQKSSHSVFARNGQAGGRNYLQNYCMDELCASSSIIRYVQIAFKVLYIVLCFGDDSSRATEIRVWVLQRNHTRSAMVMGCCLRMNHTCIVVAQNNIVLEWFMYLS >A02p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12606745:12609755:-1 gene:A02p024850.1_BraROA transcript:A02p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAEPAGTMKKSYRCQKFQRLWAKLVMRKWLNRSASEPDYGADTEDESENVDVGLENYYSSSDEDGEISSTRRSESTQSRVCENGEDAMAAAAAAEFINNDAPMKLRRRNSETFRAQYINNKEIRVCVGTWNVGGTSPPSDLDIDDWIEINSPADIYVIGLQEVVPLNAGNILGGEDNRPVAKWEEVIREALNRVRPKHSAVKSYSDPPSPGRFKPFEETHDVIEEEVGYENDSDSGVEIHPIDEEDVEEEEDSELKHDGGVISEVNTLVDLKSGLPVVEINRQFSSQLDRQVYLRSNSFEKRRNHDDSSKTGMKTLNRMLSGQERIGLSWPEPPLNMLGPSSVLDRQPSIKTYTSLQTLKSFKAYNSFKSVAGHCTGIPPEVVALASMDLKSLMERKRRPAYVRLVSKKMVGILLTIWVKRSMRKHIQNVRVSTVGVGIMGYIGNKGAVSVSMSINQTFFCFICTHLTAGEREVDQIKRNSDVHEIHKRTVFHSVSALGLPKHIYDHERIIWLGDLNYRLNLSYEKTRDLISKKEWSKLLEYDQLVKEYKKGRAFDGWSEGTLQFAPTYKYQANSEEYTGANGKATLRKPAWCDRILSYGKGMRLVHYHRTEHKFSDHRPVTATYMAEVEVFSTRKLQRMLTFTDAEIEDESLVAVVV >A03p059380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25819374:25819965:-1 gene:A03p059380.1_BraROA transcript:A03p059380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGILKDEFGGWYMALSTTARLVVYITFLGTIMFLIFLILKSLNDCDTEDDDMESLPLVAGQEVVTIWTPLVYEPSGVTGNKDFATASFSSAEDVDYSTLCVICFEERRNCFFVPCGHSATCKGCALRIMSEENKVCPICRRVIRKSKRLPLNL >A07g503900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7649456:7652378:1 gene:A07g503900.1_BraROA transcript:A07g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGKFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLKVVWTSCKVVWKSSEHPKSLLTKSSELPGSRLDFLKVVWTSWKSSDKVFFHIKWNDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEMLQISKSIAKIASALTRRLPGKSSRARRLPGKSSTARRLPNFLAYIRLLQAHRITNESNPPRIVSFYGSMNHKKIRIKILGFFSSLWRESEIYVVFSSQEWKKKKGKSILGALRTSNWLFIVVVVLMTMAIL >A10p022440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14820724:14823156:-1 gene:A10p022440.1_BraROA transcript:A10p022440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKSAESRAGDEPELLKYMSKLPVFLERADTHTPQEKLLSVGVLDWDRLQKWQHSHNRMMPVKSRYPSVVYQTDASLVPHRDESSAGPSNVHNRPRKHRSSRQSNLMSNPGGESVREYREIKGTRKKKHRDHRSFSMPYEQLGPITDAQEGCEKKDLKEKIGPKPGTSEAGMNMEVNSKAHGSRRKKSEKKSRERNRNGHDGELGGNQQWETKLYHSSKKLGREEAKSCKRSSTNKVSVGHGVEVNYCAQHSCSLPCKADGCSEKSNIALADADPDRNSAKISQCVPLSAKASNTSSRGKISEDKASSLLFVKHCGDEPAQRQDSTSHKPVYDKGRSITPFRRLSFSMGKASKTNSERVSGSTTQPESMANSTKTVSQNSAVSSGVDGLDCNKPSENDTTTTSHLRRFLEPLLKPRATHSDNSVEGPRGQGVQRIKLGIKGCRSVNVNDSAHEKKVGSSMVRAVLRVTVKNNQPLFTFAVNKETDIIAATQKKMGSSDEGECTSVYTFFSIKDHKRNSAWLNQRSRGQTHGIISDVVAQMRVSSSFRSGFIREFVLFSVELDQESTEKSDVQLKNELAAIIVKMPRWFNRRASVNTVHDHTALSKEFGDPIKDRAFDQGISATVILQSGVHSMPHKGGPSSLIQRWRTGGSCDCGGWDMGCNLIILTNQHNNSCKNSTTSNTPPSSNRFELYFLGEQAEEHPFLSYKPIKEGLYSVVYDSSLSQLQAFSICMALAESRKMTEITLEQKSSCDERKARAETVLNGNTVGYEAPLSPVGRV >A07p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15494546:15496597:-1 gene:A07p027140.1_BraROA transcript:A07p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHKNPRASKLQLKHERCSLCSRDTDLQLIQTNITLSLSSFSLFLYYYCFVCSTLKFSEILTLWPHHCLLLSSPYNHSLLLSLSTPSLLSMASSQISFSLVCLALLLFSFPLTVTSIGINYGQVANNLPPPKNVIPLLKSVGATKVKLYDADPQALRAFSGSGFDLTVSLGNEYLAQMKDSDKARDWVKQNVQAYLPGTKIVAIVVGNEVLTSNQSDLSAALFPAMQSIHGALVDCGLNKQIFVTTAHSLAILDVSYPPSATSFRHDLLGTLTPILDFHVKTGSPILINAYPFFAYEGNPKHISLDFVLFQPNQGFTDPGSNFHYDNMLFAQVDAVYHALDAVGISYKKVPIVVSETGWPSNGDPQEVGANCDNARKYNGNLIKMMMSKKMRTPIRPDCDLTIFVFALFNENMKPGPTSERNYGLFNPDGTPVYSLGIKTSSSSGGGSSGSKNSTGGGGSSSSGGTTGGSPGASAGGGIYTPENPSPDYMSISSARGKGRFVECVFFFLLLCIIKLRL >A06p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7994678:7996058:1 gene:A06p017730.1_BraROA transcript:A06p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein h [Source:Projected from Arabidopsis thaliana (AT1G19950) UniProtKB/Swiss-Prot;Acc:Q8LEM6] MIGSFLTRGLVMVFGYAYPAYECYKAVEKNKPEIQQLRFWCQYWILVAALTIFERVGDTFASWVPLYCEAKLAFFIYLWFPKTRGTTYVYDSFFRPYVAKHENEIDRNLVELRTKAGDMAVLFCRKAVCYGQTRFTEILHFVALQSTPKPQPKEKKQPAPKEEEQKQPDLKTSQPASSTPQASPQGPKPKKPLLITKEPIAVKPTLSPRKQLQPQQQTETKEAQPSVSQTKLTPTPPPSPSPATTKPNTDSAQPPSKTEVEKAPEIVAAALPASEIQRASSSKETIMEETLRVTRGSLRKARSTGNTLKEK >A10p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12748318:12750979:-1 gene:A10p018180.1_BraROA transcript:A10p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGDSSEIVRELKELKLQKAKIEHRISTLEAKLQETATAERCDAVSNGCSVPTEIEHGLEHGMSPDQIYRYSRQLLLPSFGVEGQSNLLKSSVLVIGAGGLGSPALLYLAACGVGRLGIIDHDVVELNNMHRQVIHTEAFIGHPKVKSAATACRSINSTIKIDEYVEALRTSNALEILSQYDIIVDATDNPPSRYMISDCCVLLGKPLVSGAALGMEGQLTVYNHKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGAIGCLQALETIKLASMVGEPLSERMLLFDALSARIRIVKIRGRSAQCTVCGDNSSFNKQQFKDFDYEEFTQFPLSAGPLNLLPAESRISSKEFKEILQKKERHVLLDVRPSHHYKIVSLPDSLNIPFANLEARLNELTSALKDKEDDHVNSGSCANPSLYVVCRRGNDSQRAVQYLRDSGFSSAKDIIGGLEAWAADVNPNFPTY >A05g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2369311:2371041:-1 gene:A05g500600.1_BraROA transcript:A05g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLRSGSSQCLWTSTLFIVLLSMPMTHGTNYGEALTKSLLYFEAQRSGKLPPNQRVNWRGDSALRDGSDAHVDLTGGYYDAGDNMKFGFPMAFTTTMLAWSSVEWESELKAHKEHRNVLDAIKWATDYFIKAHPEPNVLYGQVGDGKSDHACWMRPEDMTTPRPSYRIDAQHPGADLAGETAAAMAAASLAFRKYDEAYAEELIGHAKDLFEFAKAYPGVYHSSITDAGGFYPSSGYQDELFWAAAWLHRATKSQTYLDYLTDVYGTGGQRTVFAWDDKFVGAQVLIAKLALEREGLFNDKLEDYKDMAEYFICNCVQMGSNNVKVTPGGLLWFLPWNNFQYTTTASFVLAAYSKYLNATKKPIDCPSGTFQAADLLHHARVQADYILGSNPKSMSYMVGFGTNYPKRPHHRGASIVSIKNSSTPVTCTGGFNDWYNNPAPNPNELTGALVGGPNEIDGYGDERTDIQHGEPGLSTVGPFVGVLAAVA >A06p009780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3497468:3508159:1 gene:A06p009780.1_BraROA transcript:A06p009780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRIRRRLHLNNIYAFTCRKSTFQEDHSQIGGPGFSRVVYCNEPNSPTAERRSYAGNYVRSTKYSPASFVPKSLFEQFRRVANFYFLVTGILSLTPLSPYGAVSALLPLGFVIAASMVKEGIEDWGRKRQDIEVNNRRVKVHGGDDGIFREEEWRELRVGDIVRVEKDEFFPADLLLLSSSYEDSVCYVETMNLDGETNLKVKQGLEATSSRLHEDSDFKEFKAVVRCEDPNADLYTFVGTLHLEEQRLPLSVQQLLLRDSKLRNTEYVYGAVVFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYMMFSIVFLMSFIGSIIFGIETREDRGGKTERWYLKPDNAEIFFDPERALMAAIYHFLTAVMLYSYFIPISLYVSIEIVKVLQSIFINNDILMYYEETDKPAHARTSNLNEELGMVDTVLSDKTGTLTCNSMEFIKCSVAGTGYGRGVTEVERSMAMRSGGSALVDDLNVVADRSGPKIKGFNFQDERVMKGNWVKQREAAVLQKFFRVLAVCHTAIPERDEATGAISYEAESPDEAAFVVAARELGFEFFSRTQNGISIRELDLATGQRVEREYRILNVLEFNSARKRMSVIVRDEDGKLLLLSKEADNVMFERLAKDGCKFEEKTREHVNEYADAGLRTLILAYREVDEEEYVEFSKNFNEAKSSVTEDRESLIDEITDQMERDLILLGATAVEDKLQNGVPDCIDKLAQAGIKIWVLTGDKMETAINIGLLRQEMKQIIINLETPHIKALEKAGEKDVTEQASRESVVKQMEEGKALITRGPSDTDSHEAFALIIDGKSLTYALEDDFKNKFLDLATGCASVICCRSSPKQKALFRYLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYEAYTSFSAQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSARFCYKFPLLYQEGVQNLLFSWKRIIGWMFNGLISALAIFFICKQSQEHQLYNPNGKTAGREILGGTMYTCVVWVVNLQMVLAISYFTWVQHIVIWGSVALWYIFLMIYGAITPTFSTDAYKVFLEALAPAPSYWLTTLLVMIFALIPYFVFKSVQMRYFPGYHQMIQWIRHEGQSNDPEFVEMVRQRSIRATTVGSTARRAASVRRSGRFHDQLNKNTIAISREEK >A07g507580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20815960:20816720:1 gene:A07g507580.1_BraROA transcript:A07g507580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSLSDTIILELFVPEAVIVVSGLNWKTSDSVSIHVLMEKQKENDSSTGKMVLDAVTFQLMLFTSSLRITILRVSGKSVSVDSIEIGCKCKNELGLSHFHCVEAWFKLRGNISSALNVPVRLTEEEWSEIRDTTTGEGRRRGSGQSCCIFMVFLLTIILFHWFFKKMSGYYQNT >A09g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20950179:20951685:-1 gene:A09g507120.1_BraROA transcript:A09g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWSRATSLSNLLLTPPFPFFMCAGLMFSLDHYEWRMPRMHYGRRNTREYAQRRHRDVEGNLVLPMFPDPEEQYREFPFRYPHEQTVRRKVLMPHFQRMAMEERLLQGNARFQLATEEGPPRKRGRPCKPPSAAGGPPRVFTGKCQCRVLIKNAQEDRSVARYTKDFINQAKLCKPKNAETWCVWYKNRLRKEIQAQLRGVLEPLEFALVRRMAGFAIEAEEKIAANVAALSSMEGGNPGRDVEGHEMVQKPRKVRDYLEEFLDTAKRCQPKPAEEWCHLFRAGLRGDIREELVGVLEPLEFALVRRMANQALHAEEWLAEGEAEAEYDRVVEGDEDIGSETRCPSPCQYG >A04p031400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18513226:18514708:1 gene:A04p031400.1_BraROA transcript:A04p031400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAKGKVCVTGAGGFLASWVVDLLLSKDYFVHGTVRDPDNEKYSHLKKLEKAGDKLKLVKADLLDYPSLQSAIAGCIGVFHVASPVPSSSVPNPEVEVMSPAVDGTLNVLKACVEANVKRVVYVSSAAALMMNPNWSKDRVIDESCWSDLEFCKRTENWYCASKTQAESEAFEFAKRTGISLVSICPTMVFGPVLQQHTVNASTLALAKLLKEGFESRENQVRLIVDVRDVAQALLLVYEKPEAEGRYICTAHKAKEKDVVEKLKSLYPNYNYPKSYVEVEERSTMTSEKLQKLGWSFRPLEETLVDSVESYRKAKILD >A10p035030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:20219781:20220665:1 gene:A10p035030.1_BraROA transcript:A10p035030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKLARSLLLHETLNLTHNSGEPDEVDGGEGETSIREILSSQSKTRSRSKNTRKNPKTPLLFFVPSRELISETYRLASIARDLGMDLYPTPSLSHIIFSFPPRESGSAPSPFSTSSSRPSTCWSSSAASMSSSLSWSLPNDAVMLSFPSLSDSSLSHLRSFVSLSNGLFKLVFSPAAVDTPSSSSVSNWDCCSVSLFSRLTSARIGSMESFSQALASNGWTIYKTKANQSTGSCSAYLFRKVYSGRVMMTRDGNGGSCRVRELRLPQLDFENAPLRILQYLMLMTDDLFFLA >A01g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17940390:17946368:1 gene:A01g506090.1_BraROA transcript:A01g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSRASSESDESVMFFRDVSLGPHETRLRFRLIHFWEAQNPVKKTLIGLEMLLIDEQGTVIQGFIPPGHIKKYLPEMKRGSVYELINFYGSKNKPMYRVADHIATVSFAWNSELSVLHDIPIPFDEDRFRMHSYEDFEANCDLKGDLYDVLGHMKLVDGQALTERPTIDEAKLATTRHIMVHVQSHEGPVMKLYLWDQAARDFCKKFKSYENTPTVLLVTAVNTKRLGGNLALSSMSPTRVFMDYDVSAEVVTKWETMTISDIFSYMTLESAKDAFFECTATIDDVVHGSAWYYIACTGCHSKATKGANSLICTNPRCVKDTTAGVAQYRAKISVYDSSEQGFFVLLGDAGFQLTGRHASELVSSYFEANKDKGPDHEVPVPEALISIVGQTHKFCVKVTDHNFSGNTRAITVTKILPPETSSPTKGSVDNAIAATSMEAVQTGSEVCGPSKSRGDSADEESKRTFDSVDPEKVKRASNIRLEQVGGADLYFTGMDNLPNNQPIEVSVTSSMQHIPQRIHEFQEGVSVRPIIVCIRNVWDIKKHQIDNTRTSIGFLCYDHHGQLLEGRVTGDIQPDDPKNLTEGDTYEFSRFSVIHNSRQRKLTQLPYNIQINQKTIALNVTLDGPIFPVHSLSPQKYRNLLRLAILPTHLPDVVGQIVIIQKIKPHHPELNIDATIGLRLNRSTIVKLILCDKQAADFSILQSKKNRKFKVMIVTSLIPKLIQGKLILRSTPATIFYFNKSIDYIKHFKRRIRDYAKTCSTE >A03p019910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8173488:8173820:1 gene:A03p019910.1_BraROA transcript:A03p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT2G34870) UniProtKB/TrEMBL;Acc:O64751] MASSTVTTLALILIMIFHLTPETTAARHLNDQIKPIDVMKYLFSQGFPFDRVPPPPSLFSSVTVCTFSNPWIRSKFTVTVTFVTSGVSSRFPWLKVFSPADPHAATVVIL >A06p056380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29359631:29360974:1 gene:A06p056380.1_BraROA transcript:A06p056380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPGCFGGRKNRRRQRRREDPRSNKISEGCTKDVSVSIVEEVPKSAKDVRIPAAVEEVTKVSVIPTTHICEEKAEERNSPSPSRKRVTFDSKVKTYEHVAVDESIEEEKKKEEEVKSSQAPCSSEGSDVTSNSSTSFPSNHRYQNCRESDDEEEEDAVTDCDESDLEDDDDDAGLLDEDYYDDDYEDNNKVYTEEIADKKDKSNTSARDRTGYVNAVLNPIENLSQWKAVKSKGRTMQTQSRKENNVTLISDQEHKLNASFSLQEPQVVDELPSFSLKQKSRDGIKRSQEVPAVDASLSTWLSTSKTTTSGCSSVSMGVQSYDERPILGALTSEEIKQFSATNSPRKSPSRSPESPIIGTVGGYWNSHSMATSR >SC132g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:119324:125713:-1 gene:SC132g500100.1_BraROA transcript:SC132g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPFSPSCDFNQCVLVWLRAEGLVSKSLKSSKFFSLGFYRDMEQSHEDTMMGSHPGGRVPYDISPCPDELTIGYCFSGDQKYSDNLRSTIKEHQPCHFRSTTIGGEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPENG >A09p073900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56191348:56193943:1 gene:A09p073900.1_BraROA transcript:A09p073900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPFLLREEELVPVKTTWQRGQLTDELKKVGRLAAPMATVTIAQYLLPVISVMIAGHKGELQLSGVALATSFTNVSGFSIMFGLVGALDTLCGQAYGAKEYEKLGTYTYSAIATNIPICILISIIWIYMEKLLISLGQDPDISRVAGSYAVWLIPALFGYAIVIPVTRFLLTQGLVVPLLYCALTTLLFHIPVCWSLVSVFGLGSNGAALAMSVSFWFYAVILACYVRFSTSCEKTRSFVSDDFVPCVKQFFHFGVPSAAMVCLEWWLFELLVLSSGLLPNPKLETSVLSICLTTETLHYVVSSGVAAAVSTRVSNNLGAGSPEVARVSVLAGLCFWLMESVFFSTLLFTCRNIIGYAFSNSNEVVDYVAELSPLLCLSFILDGFTAVLNGVARGSGWQHIGAWNNVVAYYLVGAPVGLYLAFRRGFNGKGLWCGVLVGSSVQATVLAIVTSSMNWKEQAEKARKRIISTENGLA >A06p046300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24784071:24785705:-1 gene:A06p046300.1_BraROA transcript:A06p046300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPSGSGGDLSERRGIPAAKFIQDVETYLSQSSLDSNSALAFHQERLQQYKVVEMKLLAQQRDLQAKIPDIEKCLEVVGTLEARKGTGEALLADFEVSEGIYSRACIEDTDSVCLWLGANVMLEYSCEEATALLKNNLENAKASLEVLVADLQFLRDQVTVTQVTIARIYNYDVQQRRVKQVAPTAITAADS >A01p027660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18733424:18737203:1 gene:A01p027660.1_BraROA transcript:A01p027660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYERLGPSKPSGSVTATTAPAPNVNQVSTSTQPENTNRRRKKLLVSSIVVAFALILAAAIFAGVRSNVNSSQHVPGLARKPSQAISKACEPTRFPELCVDSLMDFPGSLAASSAKDLIHVTVNMTLHHFSHALYSSSSFSFLDMPPRVRSAYDSCLELLDDSVDALSRALSSVVSVSTGETKPQDVMTWLSSALTNHETCVEGFDGVGDGGVKDQMTDALKNLSELVSNCLAIFTANGDGDGNDFAGVPIQNRRRRLLDVGDNNLKFPRWTKRREREILEMPVSQIQADIIVSKDGNGTCKTISEAIKKAPQYSSRRIIIYVKAGRYEENNLKVGRKKINLMFVGDGKGKTVISGGKSIFDNVTTFHTASFAATGAGFIARDITFENWAGPAKHQAVALRIGADHAVIYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVLQNCSIYARKPMDLQKNTITAQNRKDPNQNTGISIHASRVLATPDLQATNGTIQTFLGRPWKLFSRTVYMLTYIGSHVHTRGWLEWNTTFALDTLYYGEYLNSGPGSNLSQRVNWPGYRVINSTAEANRFTVTEFIYGSSWLPSTGVSFLAGLNI >A01p002000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:911602:914291:1 gene:A01p002000.1_BraROA transcript:A01p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Red chlorophyll catabolite reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G37000) UniProtKB/Swiss-Prot;Acc:Q8LDU4] MAMIFCNTLYSSSPFLSPLPPIRSKPSRFSNRLTVQAQFQSMENQNDLLLRQKFMEFPYVSPTRRELMVDLMSTLEDRLHSQLLPCTLPPDVRNFKNPNGSAEASLHIRSGEQSSPIDFVIGSWIHVKIPTGVSLNITSISAFLNSSTEAPNFVVELIQSSPTSLVLILDLPHRKDLVRHPDYLQTFYQDTALDTHRQSLLKLPEIKPYDSPSLFVRSAFSPTASMLKIDAEEGERLEEILRDHVSPAAKQVLEVWLERCAKEEGEKRVVGEEEKLELERRDKSFRRKSIEEDLDLQFPRMFGDEVSSRVIHAIKEAFGASFASGAAQFRRGLKTKGKTYGLTNQKRREIREIFDLFDIDGSGSIDARELNVAMRSLGFEMTNEGKISPHDIKQIAKELGENFTDNEIEEMIEEADRDKDGEVSLEEFMKMMRRTSYSY >A05p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6111274:6113946:-1 gene:A05p013980.1_BraROA transcript:A05p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase family member SUVH2 [Source:Projected from Arabidopsis thaliana (AT2G33290) UniProtKB/Swiss-Prot;Acc:O22781] MRNHNNNSLNNLNASGNGAWYRTRSPGAVLPLSASFGRMTTLVPFPDLNLMPDSPPPETASHTGITAVRSLAPTLDVERNSLAIFPVPGHENHHAPVVQTLHQDNQELDRYVFKRTRMIYDSLLLQLTVEYGPNPKPAVNVRASKLMEERGLWLNKGQHLVGPVPGVEIGDIFFYRKELCMYGLHRHSQAGIEYTKARLSSFGVPIATSIIASGGYEDNEDRGDVLVYSGQGGRDKSGQQRQHQKLVRGNLAMVQSMQLGVPVRVIRGFHYKNEVSSNVFIYDGLYRIVKSSRVRKSGFDIFKFTLVRIQGQPEMGSARLMRALTLRNTPLAWMPAGYISFNLYGKNEGVPVYLYNDIDYDRSPLNYGYISQSDISSVIAAQGGNNGGCDCNLSCTDDCICVRKNGGELPYSPYGSLLRGKHVIFECGVTCKCTSGCANRVAQRGLMKKMEVFRTREAGWGVRSLDLIHAGEFICEYAGTVVTKELGEIMSMNGDGLVYPGRFAANWKLWGDLSDVYPGNVPPSYPTIPPVDYAIDVSRVRSVAAFIRHSREPNVMAQFVFHDHNNLKFPRVMLFALENISPLTELSLDYVVVDEVDERLAIRG >A04p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17118779:17120603:1 gene:A04p028520.1_BraROA transcript:A04p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVLRWFSLVALLWLQSINGTNLSFPAYSSQLKEFLSISQFKLNYLSFASDAGKVLGFISGIAAIHLPLPLVLLAGGSLGFAGYGLQYLCIVKKMFTLSFYQIWGLSFLAGNSICWINTACFIVAITGFPLNRQVAVGITASYQALSGKIYTDIVHTFFYSSQREAASGYLLLSSLVPLACCLVTAPMLMREAKAMSFSSRDVNVGFIFLFVVTIATGIYSVATSLLPVPAVLALIGIVLFLLAPLAIPSGVRLEELMSSTRSQQKVYNLEAPIEEDQKKEEEVDEKAIVGVREEVEWTKLWKRLDFWIYFGLYLFGPTVGIVFMNNLGQISESRGCAATSSLVALSSSFGFFGRLLPSLLDYFLSRNRYMPSSPVSMAVSLVAMVASFLLLLIDSDIALYVSTGMIGVFSGALTSLSVTMTAELFGTKHFGVNHNIVVGSIPIGSFAFGLFAAKVYRDGAALDGRFDGKCFGMYCFQTTLIFWGMLCSVATVLATVLYLRNRKFYSQKL >A09g519230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59419153:59420880:1 gene:A09g519230.1_BraROA transcript:A09g519230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCFHVSSISSFLCFLFVTGFFVKSLVSLPFPRLDQIDILMALKNEFQILKCDYSKSKSWTRKDVKSFDGVKFDNETGVVTELVLFGACLSGSLSANSSLFRLHHLRYLDLSFNYFDSFSFLPELTKLTNLEFLDLSYMGLAGEIPTSFSSLNRLTELRLSNNELIGSFSPLYNLSKLSSLYLSDNHFSGNIPCSLLTLPLLFDLDLSQNHLTDSLETMNCSSSSKLATLDLSYNRLCGRILEPLSKLTSLKYLYLISQNTTDPINFVSLGFKSLEELDLSGTAISRLSIGSPNLGMLLLNNCSINEFPTFIKNLRNLDHLEVADNRLKGEVPKWLWSLPSLNVLSLSHNFLDSFEGSPKNILLNSSLVTLDLNSNAFRGSLPIISPRFIYMIASNNSFTGDIPLSLCNQSYLSVLDLSHNNFSGSIPWCPISSSLQYLDLRNNNLTGRLPDIFDKSGSLITLDVSHNQITGKLPRSLTNLKNIQFVNVESNRIVDTFPFWLKDLPNLKVIVLRSNMFHGPIYSPQHPLSFPQLRMVDISRNKFTGRLPHDYFVNWSKPLISIPREERGPQYV >A02p055000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33318598:33319045:1 gene:A02p055000.1_BraROA transcript:A02p055000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDQVLKRHVMAHFSSIVRDSPKHFLFLVEFPPSKHSLFRWTCASYQATFRNPSFVELVRHIKQQLKYGSIKRLSVPLVSPFIPPVLPF >A01p003310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1478499:1483838:1 gene:A01p003310.1_BraROA transcript:A01p003310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:Projected from Arabidopsis thaliana (AT4G35440) UniProtKB/TrEMBL;Acc:F4JN11] MAAATPALCAALRSPFSPRRFSPIRRTNVPSHFNLLPSPRSVATGGRIFPRSPATKQQVVEDGAGFDEPPSQELAIVSACLVGVLTGISVVLFNNCVHLLRDFSWDGIPDRGASWLRDAPIGSVWLRVILVPTLGGLLVSVLNNLREAAEDSDTAVLLRPFLKAVAACVTLGTGNSLGPEGPSVEIGASIARGVNSVFNKSPQTGLSLLAAGSASGISSGFNAAVAGCFFAVESVLWPSSSDSSASLPNSTSMVILSAVIASVVSEIGLGSEPAFKVPDYDFRSPGELPLYLLLGALCGLVSLALSRCTSSMTSAVDTLNKDAGIPKSVFPVMGGLTVGIIALVYPEVLYWGFENVDILLESRPFVKGLSADLLLQLVAVKIAATALCRASGLVGGYYAPSLFIGGAAGMAYGKFIGIALAQNPGIHLSILEVASPQAYGLVGMAATLAGVCQVPLTSVLLLFELTQDYRIVLPLLGAVGMSSWITSGQSKRQETRETKETRKRNSTEDVRSLTSSDDDGSSTNNLCEVESSLCVDDSSIQAEELPRSIFVSEAMRTRFATVMMSTSLEEAVTRMLIEKQSCALIVDPDNIYLGLLTLSDILEFSKSRKEGNKEPKEIFVSEICSMSGGCMVPWTVTPDMDLLAAQTIMNKHDISHVPVVSGGSDSRRIHPVGVLDKECINVTRRALATRMFLDGVNSLQSFGGRIELASAAWIEVRLLHLLVEAPNVPLKIDTVPFSNFVCFVNCYLAYITLRKHGGYTCYINYSGVIPSLPASKMDKPKGKVCVTGASGFLASWLVKRLLLEGYEVIGTVRDPGNEKKLAHLWKLEGAKERLKLVKADLIEDGSFDKAIMGCQGVFHTASPEEILKPAIEGTLNVLRSCGKNQSLKRVVLTSSSSTVRIRDDFDPNIPLDESVWTSVELCKRFQVWYALSKTLAEQAAWKFCEENGIDLVTVLPSFLVGPSLPPDLCSTASDVLGLLKGETEKFQWHGQMGYVHIDDVASTHILVFEHEAAQGRYICSSNVVSLEELVSFLSARYPSLPIPKRFEKLNRLHYDFDTSKIKSLGLKFKSLEEMFDDCIASFVEKGYLSHVVTSQ >A09g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28816421:28818509:-1 gene:A09g509880.1_BraROA transcript:A09g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56350) UniProtKB/Swiss-Prot;Acc:F4I532] MSSLIINRSRSRAVLLWKTHGIEIASIFSHAFADSVSASGFSSDATRSFKTTSSGFESRKISNLTFSRSNFVSTTRPLSSEAVPVASTCDGLTVERIIANQWPILDENESDWKSHAAAIAQSIQVIKRRLQWKKLLVRLRMLSVELNKPHLWDDPTHAGKISREHGSLTGKMKGVMTFERELLEHIDMLKLAKEENDSELESVGETMSALTEMRRVSKEKELEALLSAENDHCSCYIEVQAGAGGTESNDWASMVMDMYKTWAQRRKFSVTVVDEMPGEMAGIKRATIKLNGEYAYGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTRVQINDSDIRIERFRSGGAGGQHANTTDSAVRIIHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEMARQTAMNAQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDAVLEGDLDGFILSFLSSSLDKSDDEH >A10p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14564323:14566367:1 gene:A10p021910.1_BraROA transcript:A10p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKSISSLLLVDSSSSSCFFSPIPRFLTLPISPTTTLRSTTSTLLRRSPSHRSLTSSFAVMFPDNSVLSDVCASGITSVVAVSCLGFWGEIGKRGFFDQKLIRKLVHINIGLVFMLCWPLFSSGRQGALLASLVPGLNIVRMLLLGLGVYQDEGTIKSMSRHGDRRELLKGPLYYALSITSACFFYWKTSPISIAVICNLCAGDGMADIVGRRFGTEKLPYNRNKSLAGSIGMAIAGFLASVGYMYYFASFGYMESIGWDMILRFLIISVASALVESLPISTDIDDNLTIPLTSALVGTLLF >A02p044290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27884748:27891611:1 gene:A02p044290.1_BraROA transcript:A02p044290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MDSQPSDLFDTASQPDTAVDAYTFLEFNSQGDSEFDFPEFRSPNAWPTPSDSISAVEPSDRGGGGVPAADHHSEASSPSSKAGRGGGGGVSSSSQADALAAGIGNLNFEETGDDDGFDYGKNDFTEHACKYCGISNPACVVRCNVASCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHRDSPLGETILECYNCGCRNVFLLGFISATTDSVVVLLCRDPCLNVNALKDMNWDLSQWCPLIDDRCFLPWLVKVPYEQEQLRARQISAQQINKIEELWKTNPDATLEDLEKPGVDDEPQPVQPKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKENLTVRWDIGLNKKRVAYFVFPKEENELRLVPGDELRLRYSGDAAHPAWQSVGHVVKLTAQEEVALELRANQGVPIDVNHGFCVDFVWKSTSFDRMQGAMKNFAVDETSVSGYIYHQLLGHEVEAQLVRNPLPRRFGVPGLPELNASQINAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKNLKRATEREITQSADVICSTCVGAADLRLSNFRFRQVLIDESTQSTEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGIKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTVNERLTTGIDFPWPVPNRPMFFYVQLGQEEISASGTSYLNRTEAANVEKLVTAFLKSGVVPSQIGVITPYEGQRAYIVNYMARNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMIQFQKPRKMYNDRRPFYGGGAGMIGNDNPNTDRRGSRGRAGGSYMPSGPPSGARLHPAGYPIPRVPFSPFPGGPPSQPYAIPTRGPVGTGRGSSVGGHIPHQQATQHNVGTIGPNVNFPLDSPNSQPSPGGPLSQPGYGSQAFRDGFMGGISQDFLGDDFKSQGSHVPYNMGDYSTQGGYAVDYATQGAHGAFPGNFMNQNSQGGYSRFSGSNDFMSQEYMAHGAQGLFTQAGFIDSSQDDGQQNPFGVNNPNLQSQGLPNSLYSQPFSHYTQPLNLSGPQQSQPPNQSSQNPKLPHNG >A04p033510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19443192:19445395:1 gene:A04p033510.1_BraROA transcript:A04p033510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLABROUS1 enhancer-binding protein-like 3 [Source:Projected from Arabidopsis thaliana (AT2G36340) UniProtKB/Swiss-Prot;Acc:Q9SJM4] MVLGKRTREHSTDSNEKPISSSPLRKMQQDDVSGVESMLRRRKQPKTTPVSSPSNNKMAWTKDDELIILGSIVDYEKETKLSHRSDWDAFYGYVKDFIEADFSKKQLTDKIRNLNKRFLGNKARCSDEEGPSFTDTEDDIIFKLSVIIWDSTNETGCDSDENVDRQAKVVPCVGENMDQGKVDAPCVEHEKVSESNMDQAKDVPCVEHERVDENIEQAKDVPYVEHERVDVDENVEQAKDVPCVEHEPVDENMDQAKDLPCVEDERVDENVDQGKVLDLDKDAPCVEHEPTNENMDLDQEKDVPCVEHELVNENMDPEEVEMAVPCAEDGPLSNVSIETDKGEKEKSEEEFVAMKDALTDKENNEEDGVDEFCAMKDALADKDKSEEDSADEYCALKDALEATTFFQSLGKYQQKVLLQNLKNLRGPRRKELADELNVLIDEEMKLCAKKLSLSAKLASAWEESC >A04p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13115079:13118080:1 gene:A04p021680.1_BraROA transcript:A04p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMKHLSSIANDVVLRCSRELGLTIDEMVEEFECQWKPGNEGTSYSKKFVEFCNSKVATRVCDQNIPERIKDGSFTRLTFDMMLAWQQPDADDNESHQEAVGKESEDKRIQATLSPEQDDISLFYSDIMPLLADHEPSVGEDAFVYLASIIPLHVDVINGRYTFETLTAPTGHQLHFPAYDMFVKEILKCMKHLQKQAKPKGVELADDEIILHVEGTMASQRVVRHIKETSWPGRLTLTNYGLYFEAAGIINYEDALKIDLTKDGASSTKPISTGPWGAPLFDKAIVYESPDFEDGIVLEFPEMTSSTRRDHWLMLVKEITLMHQFLKKNNVQAPSQVWEVHSRTILGIIRLHAAREMLRISPPDPKKFLIFSLFEEVPKGDFVLEELAEISIKVGATKNPCSASSILRSMNMDQLGNILKEEGEAQCKEIVKETDHKEEMLTSLESAVNQTREEERVIEKAKATTTELEEEGITESVAVLMELIRPLQDAVPWFQEVLYWERPSLTLFVLAITILIVYKEWVGKGIAACLIWLVVKMAHNRQKRVHTKKEDEVRVSTESDQTVTESIVSAQYGLIRLHQLMQHVNITIMKLRSIYTSKATKHANMVMASMLALASFFAVVPFKLVIIFGTIYCFVMTSSIGKRMSNDQSNRRMKEWWDSIPIVPVRVLDSSSK >A01g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:659154:660828:1 gene:A01g500210.1_BraROA transcript:A01g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGTLRLNGLLRSFKVSPPSRSKHQPSLKPKDAQAKKDEHRASSKQDSQMVNNVLHTKANIIIRFKSVLVLGGNCDNMRVKKGETSREEEQVLKAKRDS >A03g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19457812:19460259:-1 gene:A03g505500.1_BraROA transcript:A03g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSLQQLTPRVDEWSKSTNQLHFSDLKAGRLDDRVVTSLLRFWEARNVKKSGELMSVDFMLLNEKCRRHSKITGKKVTVGLISATPLNATLLFPTAALKPSSSMGGGIVKCIDLVMFRAVYMPYLHGQLQPHTWCEAGSSQGVKREKTLESSFVGSKRKHGNGGETHFNELSNGDTGKTAMVSRGELTFQNIYSAEALLNAEDEGGYSDWEPLQQKMPVEFVKWCCFNCTMANPASAITWLRHGYFASLLFKRHWSLTEVEEKCGGNSSAASSTAVGFEEIMLLHSEVYTLEHVNFTSQLLYRFEFICNVPGVHHAKHDLL >A01g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5260780:5261452:1 gene:A01g501390.1_BraROA transcript:A01g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DAENSESQSSPVLTLLLHYTNGSNASFCVSGKYGTLKKAEELMRVDTLPLDEKAGCCSNTVEVRVLRFKIGRELMSVDILFLKKFLLRFDYRTKLNLEAFLEDWEPTKDSFLVVRALGMSLLKILLTETKLHG >A09p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20244867:20249314:-1 gene:A09p033420.1_BraROA transcript:A09p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPA1-RELATED 2 [Source:Projected from Arabidopsis thaliana (AT4G11110) UniProtKB/Swiss-Prot;Acc:Q9T014] MMDESSVSSSINEAEAAHIQFKNGQQSLKPDEIQETKQAQAEVQRDDPGSPDSSFGVIADFLDGKNVNETENEPCSSRQDANDDDDDVVEELTVKTCEGSSMAIVGSSSSRARLEINRTQFLPSSTSMEGDKVITSILRNAGKTSTGDDVERNPVPVEALAHGGIKTKMLSQSGFSQFFVKKSLKGKGVTFRGPPPHRSKASNVDQQSIASPLVISNNTPAKVSGSTPLAVEACDVLPLKGGNPSSNPSDSGCGGEGLSLRDWLKSERQEVIKAECFRIFRQIVEHVDDSHSQGVVLSDLRPSLFKILKENEVKYVGSGFYRESSDSNVNKNTLSQPEKPLVRRRLGDAGFASSPGVPAKRQKSSGPSSRQWPMFQRAGGNLNIQTENDVGATQELRLRSSHPQCSPSARFFTSMSEQLEEKWYASPEELRGETRSVSSNIYSLGILLFELLSQFQSERAREAAMSDIRHRILPPKFLSENPKEAGFCLWDILQSEVVNGIPDLYAEGLSLAIEQEDTESELLQHFLVLSQEQRQKHAGKLMGEIVSLEADIEEIVKRRCAISPLSVGEASSSSLASSVPEKRLVTNIKQLETAYFGARIDVHLPEARYRLRPDRDLLRNRDENVISEQENSETWSSDDRVGAFFDGLCKYARYSKFETRGVLRTGELNNTSNVICSLGFDRDEDYFATAGVSKKIKIFEFNSLFNESVDIHYPAVEMSNRSKLSGVCWNNYIRNYLASSDYDGIIKLWDVTTGQAISHFIEHEKRAWSVDFSEACPTKLASGSDDCSRNCLGTIRNIANVCCVQFSPQSSHLLAFGSSDFRTYCYDTRNLRTPWCILSGHNKAVSYAKFLDSETLVTASTDNTLKLWDLKKTTHGGLSTNACSLTFGGHTNEKNFVGLSTADGYIACGSETNEVYAYHRSLPMPITAYKFGSIDPISGKEIEEDNNLFVSSVCWRKRSNMVVSASSNGSIKVLQLV >A01p045020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24001965:24003712:1 gene:A01p045020.1_BraROA transcript:A01p045020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPISSIRVGKIEDVQELIKSKPNKVPERFIRDANERGGLVSLKTHLHQPIPVIDLSKLSKPHTNDDFVFEILKLSQACEDWGFFQVINHGLEVDVVDDIEKVAKEFFEMPLEEKQKYPMEPGTVQGYGQAFIFSEDQKLDWCNMFALGVHPPSILNPKLWPSKPARFSESLKGYSKEISKLCKRLLTYIAISLGLKKETFEEMFGEAVQAVRMNYYPPCSSPDLVLGLSPHSDGSALTVLQQSKDSCVGLQILKDNTWVPVLPLPNALVINIGDTVEVLTNGKYKSVEHRAVTNRERERLTIVTFYAPNYEVEIEPMGELVDDETNPCKYRSYNHGDYSYHYISNKLQGKKSLDFAKILN >A07g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2808603:2810407:-1 gene:A07g501340.1_BraROA transcript:A07g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKVAKKGSSSASAYEEHIVPKMEFVPHSVHPAENEAWWVAHYGSMTPPKEKSFPVLTHRGVEKEDASRTPPEGYFTCYEAFVVRCPLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRKFMLPNDRPFIHPLAPLPENIIEVRDLLRNGPFFWTSFTPKRVRRALRFVHPGPASVADTGSDSEPDDQSPAVAPPAVPESSSWKGKDIDLGDIEFSMDDSMLPGWDPYLAYGDGSGSSEAPISDFDDFFAGLPPGFDAPPPAKESARPKIVAEGSAIEASHREAMIYRFKAEKAKWDLARTQGEILEREAQLTRDHARAVRKAERKGKREIVEEMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYAFEDEMSLMKSGMNEHAHAEALIPPIDERIQGFWDSIPVSPDTEEVSTAFPDGGEEVDRPADAFGASLSGDFDFGL >A04p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1072771:1076100:1 gene:A04p002250.1_BraROA transcript:A04p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMESLIGLVNRIQRACTVLGDYGGGTGDNAFNSLWEALPTVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEEGTEEYAEFLHLPKRQFTDFALVRKEIQDETDRITGKSKQISPVPIHLSIFSPNVVNLTLVDLPGLTKVAVEGQPETIVEDIESMVRTYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINRNADMMLARRKERDYFDTSPDYGHLASKMGSEYLAKLLSKHLESVIRSRIPSILSLINKSIDELERELDKMGRPVAVDAGAQLYTILEMCRAFDKVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSLQSVKRIVSEADGYQPHLIAPEQGYRRLIEGALGYFRGPAEASVDAVHFVLKELVRKSIAETQDLKRFPSLQAELAAAANTSLEKFREESKKSVIRLVEMESAYLTAEFFRKLPQEMERPAITNSKNQTAASPSPATQDQYGEGHFRRIASNVSAYVSMVSDTLRNTIPKACVYCQVRQAKLALLNYFYSQISKREGKQLGELLDEDPALMGRRLECAQRLELYKKARDEIDAVAWVR >A03p041970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17562282:17563453:-1 gene:A03p041970.1_BraROA transcript:A03p041970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQKSNGKAASASTQSLSFTLLPVLVSFCICQVRVKIVVIEGFTFYQPTDFLSIVATVGLLCVSPTPRNSLQRGNSPFLLNWLPKEFVSVISLKDHQSLISFISTIRLRFVAM >A02p016430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7365431:7368248:-1 gene:A02p016430.1_BraROA transcript:A02p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT5G54290) UniProtKB/TrEMBL;Acc:F4K0A8] MMNLSVNRCIPGGGIIGGLSSCRKKHGAGEKRLVRVGKHCELQRGRSLGSSDAVSLEKKESKSVKLARFGSGLVGVANLVTLSSAKAADLKMVVLDQATSVYILAEGTLGDSLGNFLYSANQQANEAVQDQLSALSVTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRGQVIGDAIAFSLGLATTLALLGIVASFAGKAYGQIGQGLPVAASGLAIVMGLNLLEVIQLQLPSFFDNFDPRAAAANFPSGVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPVVGGSLLLTYTTGYVAPLILAASFAGALQSLLSFRKVSAWINPISGALLLGGGLYTFLDRLFPAATMVM >A09p024140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13361767:13363649:-1 gene:A09p024140.1_BraROA transcript:A09p024140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWNYPEISKEDFTKLLKGFVDLLILACGFQSSGVPAHWDAENCRKALQWGLFFENMLRSINSSETFGESAREVEEAISEMKSNPLFPKGLENLSSDTLSKGREFVLEHLMINSTLKDTQLQAVLVAAVESGDGGIIDVIDGKLSERQAVVSCVSALETGLKILSKQDKDSTLLGGVQQPIELVTWNKWQSKGLSYFLSKRTLRLVSGASLIFSAPKGQWAEVLRRLHVSAENKEDIFVEKIELLLLGCVTSRWTHLIEGIMSVSYKTLTVSEQYEELCKLLLQRSKGLKQNEIALTSKVEEILEYLTDILKNRSHHLWKLPSALTAAAIPPWSPLFALYFGGMEKQLKLDLSATRCCSCDKDVKEHKDCELAERVWCLYVFHILCRCHQTI >A07p030450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16966406:16982588:1 gene:A07p030450.1_BraROA transcript:A07p030450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERAMLNERGGNGRCWMRREEREGNAGRRDESEGNAGKREEREVSILEGEERKWMMFVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQNEGLYAVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLSQPKYGHLKQLHDVLHSIEKTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFVGKTMSLRINSTAHVLHAFVNGKNIGNQHAENGKFNYVFEKDVKFKSGHNVIALLSITVGLANYGAFFESKPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFDNQLFRTEAMSKWSVENVPFNRTMTWYKATFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSENGCDAKCNYRGAYHAEKCLTNCGEPTQRWYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVCEKTIIELSCDRKPISAIKFASFGNPDGNCGSFEKGTCESSKNTADILTQECVGKEKCSIDVSTEKFGAPDCSGAPRRLAVEAIYMEDGILEVVFLLASFSNKGDFGENAYLVNMVSLRFLLCFLFVSSVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQNEGLYAVLRIGPYACAEWNYGGFPVWLHNMPEMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFERTAGGPYITTSYDYDAPLDEYGNLSQPKYGHLKQLHDVLHSIEKTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFVGKTMSLRINSTAHVLHAFVNGKNIGNQHAENGKFNYVFEKDVKFKSGHNVIALLSITVGLANYGAFFESKPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFDNQLFRTEAMSKWSVENVPFNRTMTWYKATFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPAFISSENGCDAKCNYRGAYHAEKCLTNCGEPTQRWYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVCEKTIIELSCDRKPISAIKFASFGNPDGNCGSFEKGTCESSKNTADILTQECVGKEKCSIDVSTEKFGAPDCSGAPRRLAVEAIYMEDGILEVVFLLASFSNKGDFGENAYLAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQNEGLYAVLRIGPYACAEWNYGGFPVWLHNMPEMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFERTAGGPYITTSYDYDAPLDEYGNLSQPKYGHLKQLHDVLHSIEKTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNENSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFVGKTMSLRINSTAHVLHAFVNGKNIGNQHAENGKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESNPAGITGPIFITGINGDETIVKDLSAHKWSYKTGLNGFDNQLFRTEAMSKWSVENVPFNRTMTWYKATFKSPLGNDPVVVDLMGLGKGTAWVNGNNIGRYWPSFISSENGCDAKCNYRGAYHAEKCLTNCGEPTQRWYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVYEKTIIELSCDRKPISAIKFASFGNPDGNCGSFEKGTCESSKNTADILTQECVGKEKCSIDVSTEKFGAPDCSGAPRRLAVEAIC >A06g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11607436:11610824:1 gene:A06g503690.1_BraROA transcript:A06g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTAGKATLLRGVAKWKVRYIKEVWNTASVTPVDKGITTSEGCIDLIVVAVEVREFKWETLVLTDDGKKYAAEGSPEIHFFSAIPEEGSISKDDLELDPSVSKIGSTQAAKKRWVEMEKQVSRKESLLQVQQGLVCLFRTIMGWTGYSDVEKAGKSWNSRSITSMLRDNLLMLAISIPFSRYSGVVEIVYFKRNVSASLSFCPSDQQSFMSELDSQVPTAFDLFADANVEDSCAGTKEYIHIRVQQRNGRKSLTTVQGLKKEYIYRNIHKDLKKEVCCNGTLVHDSELGQVHVFYSKILKDLKKEFCCNSTLVANTIIAYK >A04p011360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6751656:6752061:-1 gene:A04p011360.1_BraROA transcript:A04p011360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIDSTDMIAFQQNAKVAQIGKICLTVMMSHRELGFQSPTLVKESDDCGLTYGDGGSPRLSPLKADTSLDRIDYGDGHDFWIFATVMLHDNGGGIVLVDDPC >A09p078200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57940931:57944263:1 gene:A09p078200.1_BraROA transcript:A09p078200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFLQLVVTRLAPVAMSSASSSWSCTKCTFLNSPSQKLNCMICLTPLPTPSPLSISSNDEPKWACRACTFLNTYKNSACDICGTRSPSSSSLLAFDDLTDSGSLESNTNNNNNSIGSVFFPLRRCSKRKAMDDDDVIEVDGGSVKKSNEIESKGEASGSGTALSCVKILTYNVWFREDLELSNRMRAIGHLIQLHSPHLICFQEVIPEIYEIFRKSNWWKEYTCSVSVDAAQSRGYYCMLLSKVGMKSSSCKSFRNSIMGRDLSIAEVEVPGRKPLVLATSHLESPCPGPPKWDQMYSRERVEQANEAIEHLRANPNVVFGGDMNWDDKLDGKFPLADKWVDVWEVLKPGDLGFTYDTKANPMLSGNRALQKRLDRFFCRLDDYELGGIEMVGKEAIPGLSYVKEKRVRGDVKKLELPVLPSDHFGLKLNGSDAAIRKSSIRRIAVEGYDISSRKDVVEEGLRKHLASRGIKLIHAFAHELDFNRTILCRCGLIYVNEEDEEKALTFDGSDMGGSILRVTAYPFGSNRLDHFFATPEAQDKYRQRVLNVRGFDTCLAEDKVEEMARSVFPGSYCSVLGEVVFVHLRGKDAIEKALKLSGRSAGGFNLVVNAVLPLRKDGGGGVSLARRLAMAEKDEAARLAEAARLAKGKRTKAARLAKAETAKAARLAMAEKDKAILSEGNQKSIMTTD >A06p037650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20404558:20405481:-1 gene:A06p037650.1_BraROA transcript:A06p037650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELNLPEGFRFHPTDEELVKFYLCRRCASEPISVPVIAEIDLYKFNPWELPEMALYGEKEWYFFSPRDRKYPNGSRPNRSAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPRGVKTNWIMHEYRLANVDRSASSNKKNSLRLDDWVLCRIYNKKGTMEKCYPAVVTTISTSDSSSHVISPDVGTCSDNCESKWVDDLEDEFMFDSTMQNDSFVPQFLYQSEFATPFEDPPEQKPFLKWSFGHQG >A06p049120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25981373:25983045:1 gene:A06p049120.1_BraROA transcript:A06p049120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ALTERED XYLOGLUCAN 4-like [Source:Projected from Arabidopsis thaliana (AT3G28150) UniProtKB/Swiss-Prot;Acc:Q9LRS2] MRGYDSWDDRHATMKSSSIFRESSDKTERWIVTNMARLSPFLLSSLCITIFFTGFFVFHQNPFISDQNFLTLHPLIDPKCDLFKGHWVPDKRGSLYTNSSCSTLPDSKNCIKHGRLDRDFLFWRWKPDGCDLPRFSPKEFLRMVRGKKMSFIGDSVARNHMESLLCLLSMEETPKDIYKDGEDRNRIWYFPNHDFTLSTSWTKFLVEEHERVDGNKTGTGLFDIDISKMDEGWFKGLPNTDIAIVSAAHWFFRPIFIHRGDETLGCIYCSVPNMTQLSPDQGFKLVYSSVFKHINECDNCKRDLVTVMRTISPAHFENGTWDTGGSCRRTSPFGVNQIDLQSNEMKIRTSQIEQLEVITKGDHKGKKKFGVLDVTRVMLMRPDGHPNSHWGNKWMKGYNDCVHWCLPGPIDAWNDFLMAILRQLR >A06p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6132715:6134149:-1 gene:A06p013560.1_BraROA transcript:A06p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTFLALLILLFLCFSISSDSTELRPSASLLDQKQVHGATVKDIKGEEKEDKSIYIFRAGKGAHGHGFKGGRGGGRKASPKRNAAMDHRPQLFFSSGFPFDESLYLIGFTVMKPISVAEQFDPVAGVLSPQDGEFPQVSSFLV >A09g511440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34288670:34292225:-1 gene:A09g511440.1_BraROA transcript:A09g511440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLQPIQMQRLQPIQMQRLRLLQFADADHCDTIIMFWNLFRVCTGSETFRRNMAILESFEAFGGAELHIRVRCLAIDGDLLTIRLSPYFDTRYSFALDFQCHRSQVNQHPVAEVMPILLKSGQSASREEAAQKRKSRRSMQHSPRRSMEIPDSGPSIVDGYAFYTLSFPLFLLGEKGGTPIRVLLELHWFSYLFHFSFYIYSSMISKTMKIGFLGPSRKEPAGLCTIRKSTREVSIDTLQAASIDSVSRASNDTIHHVLFIINTIHPSTVHRDTIHRDTIYLPSIDTVYPVSVDTIHVPSIDTVHPVSVDTIHLPSIDTVHPVSVDTIHLPSIDTVHIPSLDIVHPNTVHRDTVHPNTVHRNTIHRDIVPPMTNTTYGETKKVEALILKIDKKGIWRDEKGRPCNLTGQLINAEGSVIPDVIAVAETNTFNLTSQWYDWGSEDPFCGLPHEDPKDLIKRLPLFKLQTRSLTCWEDIKEAFIGKFFSEAVETRSKRLYYMIKEREKGIMISMSQIIDFIYSEENGDIGTPTTHVKQPDIQVHHADESKQKDELNREKLVNHDTVEDDEYHVSGEQSKHRSTVVTQNRSISALQKRSIQIFFIDRYLLQTLMLPLCMLGLDDRRQLVTITVLRTPMLKDDEQHVSGELSRLEEVDISDMSSEPIDTPTSTSIDIPTVMIDPSLQTSIDTNSCCRSIPLGIPEKSSCPQDIADSTLKSIDVSSCYPDQKVEKEITMEDFLELEEFLELEHGQQLGDLDLSEEVTMKDFLELEE >A09p060630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50218224:50219844:-1 gene:A09p060630.1_BraROA transcript:A09p060630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKIKKLSQKKFNKSDQHNQDNNRTNTNVVRSSRTTPTTASPLPNGESQTPAPSPSQTPNHPMFTTTPTLEVLPLLKDVSSSDRPLLFMKKAHMCSCQCDFSDTLIMPREKEIKRQTLLELVDFLHSSSGKVNETMQSELIRMVSANIFRSLPPAHYENTGAPPEGNDPEEEEPYLEPWWPHLQLVYELLLRYVVSSEIEPKTAKKFINHTFVSRLLDLFDSEDPREREYLKTVLHRIYGKFIFHRPFIRCSVYNIFYKFLYETERCIGIGELLEILGSVINGFTVPMREEHRLYLVKAIMPLHKSKSISVYHQQLSYCVVQFVEKDYKLADTVIRGLLKYWPLTNCNKEVLFLGELEEVLDVTEPSEFQHCVVPLFTQIGKCLNSAHFQRALFLWNNEHIVGLIAENKDVIFPIIFEALEKNMKGHWNQAVHGLSENVRRMFMEMDNDLFEECEKQYQENEAKTWELLEQREMTWKRLEEAASLVAN >A05g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16541319:16550458:1 gene:A05g505820.1_BraROA transcript:A05g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVYPDQSTEGPHADITRTVHGKVHRADMWTDMVHQLSKISTRTVPREGQHADMCGQHADMSSVHGVRSTDQYTVHIRISTRISTRTVHGKGQHADMCGQHAAMSSVHGSVHGSVHGHTRISTRTVHGKGQHADMCGQHAEMRSVHGSVHGSVHGQSTGRASMLIYSPREPKSPEQSMERADMCTDGQPDVLCVLTDGHGRPVCADGHTRTSVCTEQTAHVGQNHPRTAKITREAKNAKINIFEESCLKGNIKNMSTKSLGCQVLIKSCCRHPVRPRNSDLCSMQKTWLEAKEIYENLPENSFNHPYEACKKSDSNSKYFVFYIKNTPRNTTNVYWGRHSLEPPTPQYPNGSASGYHKTPARKVSRKMQLNSLLSPTRRRCLFGSSAAILADEMQPACAQVSAKSILTGALKPKRVNSSLQYACPSVRLVSIIPRKNVNTWLDDGKSSQHKYYLDQSD >A07g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18585175:18585887:1 gene:A07g507140.1_BraROA transcript:A07g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINKKMFSGALSRLDPYTKLAAASASLSLTLSSFISTLLQGVISQHVVDATATWHLRRSTSFRQR >A07g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22538620:22539603:-1 gene:A07g508140.1_BraROA transcript:A07g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-8 [Source:Projected from Arabidopsis thaliana (AT1G67970) UniProtKB/Swiss-Prot;Acc:Q9S7U5] MKWGFRKVDADRCEFANDWFVRGQKELLKNVIRRKNVQSTSEHQSKTTATDASSQEEKSGESELWKEVDILKGDKKALAQELVKVRQYQESTDTKMLHLEDRVQGMEESQQEMLSFLVMVMQNPSLLVQLLQPKENNWRKAEGGGAKILEEVTDEGETNSKGLPLVTYQAPSEGAAKSSSNEMNDFLRNADMLKFCLDENRVPLIIPDLYDDGAWEKLLLLSPSKKKKNVQEKKGTDDVTLEEEDEDGRMELDKSLALELIEEEMEKADDFDFDIGQLTPERSKNLEILTQHMRLLASDQ >A09g505040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15343918:15346435:-1 gene:A09g505040.1_BraROA transcript:A09g505040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGHVSLEDSDKLKSPLLPVVHNEEPHEQQTVAQHLRTIFTPKNCYIALGPLLFTVVCLCVRLGGDDTTTARNMLGVLVWIFAWWLTEAVPMPITSMSPLFLFPLFGITSADDVASSYMDDVISLVLGSFILALAVEHYNIHRRLALNITLVFCVEPLNAPLLLLGICATTAFVSMWMHNVAAVVMMMPVATGILQRLPSSSSSTEMVPPAVGKFCRAMVLGVIYSAAVGGMSTLTGTGVNLILVGMWKSYFPEADPISFSQWFFFGFPLALCIFVTLWGILCVMYCPNGSGKALSPYLHKSHLKRELEMLGPMSFAEKMVLSVFGGLVVLWMTRNITEDIPGWGCVFDGRAGMERSV >A01p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1080744:1082702:1 gene:A01p002350.1_BraROA transcript:A01p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIQAMTQRATRHARRVYVGGLSPQANEHVNYIHSLFFAMFQHLILTLTYSPALQSVATFFSQVMAAVGGNTAGPGDAVVNHEKKFAFGEMRFVEEASNAISLNEIIFELNRTQTKPRRTSDYNPSLAASLSPSHPSPHLNLAAVGLTPGASGGLEGPDCIFVGGLPYYFTELQVRELLESFGALKGFDLVKDRETGNSKGYAFCVYQDVAVTDIACAALNGIKMGDKTLTVRRANQGTMQPKPEQESENVAPGPVATTVVCLTQVVNEDELRDDEEFENIMDDMKQEGGKFEENNSVLLFSIVLDCLLFNFFASALTNVLIPRPSPSGEPVAGLGKVFLKYGDTDGSSRARSGMNGRKFGGNEVIAVFYPEDKFDLGDYGA >A06p014410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6473904:6476016:-1 gene:A06p014410.1_BraROA transcript:A06p014410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYTPKNILITGAAGFIASHVANRLIRTYPDYKIVVLDKLDYCSNLKNLNPSKHSPNFKFVKGDIASPDLVNYLLITERIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFMLLAMRGKVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVNDVAQDICKLFNMDPEANIKFVENRPFNDQRYFLDDEKLKILGWSERTTWEEGLKKTMEWYTQNPDWWGDVSGALLPHPRMLVMPGGRHFDGSEENSGAVALSGNSSQSQSQMMVPTPRSSGSTQNPSLKFLIYGKTGWIGGLLGQICEKQGIAFEYGKGRLEDRSSLLQDILTVKPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHSLLLMNFATGCIFEYDENHPLGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEFDNVCTLRVRMPISSDLNNPRNFITKISRYSKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVVSHNEVLEMYRDYVDSDFKWANFTLEEQAKVIVAPRSNNELDASKLKKEFPELLSIKESLIKYAFEPNKRT >A07g503460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6789192:6789557:1 gene:A07g503460.1_BraROA transcript:A07g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSKKMMKRPIEEVYGCDAAEGFKKGKKETVEHYRALLRLSNEYRLSENDWNLASSKANSIAVQIELLEDIIKADGKFDLTAELEKLKEEHSEAEGMLADVKVKVSDWDKLGESCLHHE >A06g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17255459:17257269:1 gene:A06g506090.1_BraROA transcript:A06g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNFEHLSDDAPESMKVDQTSERRTLRKRMEKVPKHLKQGVHEKEMDSFTKRVLMIPLDEPFEEAYFTHGLRMFFRETKDYEQDIHRIFDQIREKMKQRIPLQKKSDPGKFAMLCLVKTLSFHVRCVIQVHQSVYYPRDFMATIGAVSNMQTRQVCLTLINPDVHYDPVRVDEYETEYSGSIDSEIPPSIDIAIQPIDDKSIESIDNSHANATFTLRAHCYSRFDVATQPYTETDYHYNDAVSRQGNYSIGSWTYDSLHETFAVDTELPETRSDEYDKDYHREKNIEYHGLAMDDRELLHTWSADVTSTSIDNKMELSIDDHRKPNLDVQVKDNTDYGYLTPDEFVDINPMNGSRNFFHSKKRSDDPPLINDTAAPSIDSHFEPNEVHFIQTCRGNLVGRTQRYPYRPCQSRTATTKQNDELVAEIYRAIKTSYDYHSKRLDDIYYPFDNKSNWLTTRSKAKPSYASGKTWSRRKKINIDRRSHSNIDQH >A08p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3509090:3509495:1 gene:A08p006150.1_BraROA transcript:A08p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRKTFVMFFLVVVLATSLLSNSNVLASPVINQVGGYTHCIARYCTKDWFDIDCDDECKRRTYSTGACLGPFPKLQCCCKK >A09g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2728437:2730241:-1 gene:A09g500700.1_BraROA transcript:A09g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQTLNTKHLNLMNVVLTVQTHFLGIVSPKLRKLPLSLFGIFGGFAAVDIPLWLEEAVRLLVVTVVSRFRRERPEREGEKLLVSSRGGLLNLKKPITSMSSREKRRKSSPSPTPPPPKSSPIPSLPYDLVLICVARISRLYYPTLSLVSKSFRSLVSSPELYKTRSLLGFTETCLYVCLQSHRDEATWFTLCLKPGKTLKTGSSGYALARVHVPSSPPSRFRNVVAVGSNIYNIALPRVPILDCKSHTWVEAPSLPVQLSSLSTSVLGRKIYVAGMDSSLKNSFEVFDTETQIWDSVSTKRKESFIFKEKTVSIDGKFHAVTNEEVVAYDPKEGKWEMVGGRMGWCMFSDAYCVIGNVLYSAFDGVFRWYDTEERIWSFLHGLVGLPRITRDRVIRLADYGGKMMVVWDQAGKPSSRYKEIWCAEIALERRHDDDSENEIWGKVEWFDRMLKIKINTYYQVEKVLSTTV >A06p014860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6646708:6649241:1 gene:A06p014860.1_BraROA transcript:A06p014860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGASGGGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGVIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKKPPQIYFKKKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFRENATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDRLARQPNSILNLDRLLARMWDEMGLVRVYSKPQGQQPDFDEPFVLSSDRGGCTVEDFCNHVHRTLVKDMKYALVWGTSARHNPQNCGLSQHLEDEDVVQVVKKKERDEGGRGRFKSHSNAPARIADREKKAPLKQ >A09g501240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4136309:4137337:-1 gene:A09g501240.1_BraROA transcript:A09g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVPCPFAPLLLPPPPEPSPLVFLLDLPVECSPHVLSVPLHPPDLSLFLCRFFDSAVIILSVRLVNLSFSVVFVLMVFCDSFTAVCGFNSGLYPARSNSVKFDFGLLIYWPQVPQICVYSLVLDIKIDMVSGWNYESVSCAFASVSWLLIVPSFIVHLSRSLESTQNLIENELIALVGLGSHSSVLRFFSSNYVALAQSFSAVCRVLYVCDLNVEVYVLFSNHWWQFGKKSNSICFLTLHQIYLVSRRLGCSLSRYHDLTAFVAECLALLGISQLVCKSDCHELSRLLSESWSSDVHWILLAIRSLILSFEDSHVCVVFSCVCNMASCAFLLCISSADGG >A09p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7110428:7113258:-1 gene:A09p013730.1_BraROA transcript:A09p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCETDHEEEVVEKMTSSPTSEVVEDEGLVSNSTLEKVAAAKKYIEDHYSKRMRHIQQRKERRLVLEQKIASLDVSEKEQHELLEDLQRKETEYTRLMRNRLCVDDFDLLSIIGRGAFGEVRLCREKKTGNIYAMKKLRKSEMLSRGQVEHVRSERNLLAEVASDCIVKLYYSFQDPEYLYLIMEYLSGGDVMTLLMREETLTETVARFYIAQSVLAIESIHEHNYVHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRHISAMKVNEPLNDENTNESIECDEHCAIGRRGRRWRSPLEQLQHWQIHRRKLAYSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVGWRTHLVFPDDARLTPEARDLICSLLCDSEHRLGSHGAGAEQIKAHPWFKDVEWENLYEMDAAFKPVVNGELDTQNFMKFDEVDCPKPTRTGSGPSWKVSITPQNINFVGYTYRNFDAVRSRRSLDIKGNLSPPRSSTDSTLSDSAIDYAKLSTVDDSQQ >A04p035570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20891049:20895827:-1 gene:A04p035570.1_BraROA transcript:A04p035570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGNTQTVAPLDPNPIEKRYGVEGSQVQTSPYQYSTGSDAASWTNYSVDNQAVQQNGNYSNSNYYHPQPPVPATGNVHETSSSTSGTVNVAQDYSGYTPYQTPSDPQNYSNTGYTNYYSGGYQQQQPSQPYTQPVGAYQNTGAPYSSFQNPGSYAGTASYSGTYYNPADYQTTTGGYQSTTYNSQTAGGYVNQTPTSSNQGNYPYQNYTPDAANIHSATAATATPVHYQQSYQQWPGYYSQTEVPCAPGTEKLPPTSAFSQSFPVAGGVASEMPASNGQPAPSYAQTWRQETDSTQPPSQQPAAAVSASNNAYWMHQTPSQQAHYPVPPQNHYQSPLETKPLYETPIQGHQRATYPQELNSQASINQAPLGYRQPTQTTPSVDTQRVSKIQIPTNPRIASNLPSGYTKMDKGRSPAGATQTPAYLSVSMSNPKGHTAAMPEPGTFPKALCGFVERAFARCKDDKEKASCQAALKKIITEATNDGTINTRDWDIEPLSTVLNTDVTNTESSSTPLSSLQNKSPTRRPKSRWEPLVESKPFVKPASTFTSGVKFGGWNHPNVNNKKSSETFQKVDAVTGSKPTYFAQNSAKKSFQRLVKRQRFTGGAIDDEESSDSEKELTPYYSSAMSLASSADEKKRRDSRSKRFEKVQGHSRGNDIPTPKISNVRRGTAMRLGEVFDESGSRAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPTTVRPEDVLVKALSMVQDSPKNYLYKCDQLKSIRQDLTVQRIHNHLTAKVYETHARFALEAGDLTEYNQCLSQLKILYAEGIEGCTLEFAAYSLLYITLHSNNNRELLSSMSRLSKEAKSDEAVRHALSVRAAVTSGNYVMFFRLYKTAPNMNSCLMDLYVEKMRYKAVTFISRSCRPSIPVSYLAQVLGFAGTSSEGTGEKETDGMEECSEWLKSHGASLIADSNGDMVLDTKASSTSLFMPEPEDAVSHGDRYLDVNDFFTRT >A02p033450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17818121:17819604:-1 gene:A02p033450.1_BraROA transcript:A02p033450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGESDNERLRTVWTPEMDQYFIELMLEQVKRGNRFDDHLFSKRAWKLMSSAFTARFKFPYGKDVLKNRHKTLRNLFRSVKSLLREDGFSWDERTQMVVADHCVWDVFLKGHPHSRSFRIKSIPFYKDLCLIYSDGMSEQKAPENITEGDDNRLCESAKGSGISRCRTTWHPPMDRYFIGLMLEQARSGNQIEGAFRKQAWTEMVKLFNAKFDSSFTVDVLKNRYKTLRRQYNAIKSLLRSDGFGWDDERHMVTADDNVWQDYIKAHRDARQFMTRPIPYYKDLCVVCGDSEESDDCFVATDWFDPEAEFHEGTTDLSSSSEEQDINSLFCEPKNKRDHQNGTSPVKLKKPRVDETKAMGIEDAVEAIQALPDMDEELILDACDLLEDDLKAKTFLALNVKLRKKWLLRKLRPHVT >A09p048180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43030470:43032055:-1 gene:A09p048180.1_BraROA transcript:A09p048180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTHTMVSFPATTETISLALEANSSEAIQILYQVLEDPSSSPEALRVKEQAITNLCERLTEEKRGEDLRTLLTKLRPFFSLIPKAKTAKIVRGIIDALAKIPGTTHLQITLCKEMVAWTRAEKRTFLRQRVEARLAALLMENKEYVEALALLTTLVKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTGYSYFFEAFESFNALGDSRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPDLDAMKAVADAHSKRSLKLFENALRDYKAQLEDDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIAELIGLPVDHVEKKLSQMILDKKFAGILDQGAGCLIIFEDPKADAIYSATLDTIANMEKVVDSLYVRSAKIMA >A05p046960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27798004:27800442:1 gene:A05p046960.1_BraROA transcript:A05p046960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKRKLDCVSVAFDYPNIPKAPRSCRRKVLNKSTDDDDDAKMCAMDLLASLAGKILEEGQSSSASSNAFEGNAQEIKQEDHHKPVKSEFSDQGNSVSMPTYENTSDKCVVNSFSFPDNDGILERTPMSDHKKIHGETGGNVNVNTGFEQGEAIDGMGDGGLTTNTCNLEDKTALVVQLPKPVCVDMIPNDSLARHGNHTNLVRDDDEKLYSSRKCSNKFTSYKSPAIRRIRKSKYWKQVSKNSGHYRADVGIKALYRKRKSCYGYNTWKHETIYKRRRSPDRSSVVTSDGGLCNGSVSKLPQKRDSVKLSIKSFKIPELFIEVPETATVGSLKRTVMEAVSVLLSGGIRVGVLVHGKKVRDDKRTLSQSGISSEENLSNLGFTLEPGGTSKVPIPLCSKDPVVPTTELASLCERSAAASPTLDSGVPHADDVFNSGNVVDNNLELVPYQSEVSVDEPSSDSRALVPLPALEEVKALAIVPLNQKPKRTELAQRRARRPFSVTEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLRAYGYWSQHQGKHQARGAPKDPDMNRGRALESGVSV >A06p016000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7137276:7139095:1 gene:A06p016000.1_BraROA transcript:A06p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBL12 [Source:Projected from Arabidopsis thaliana (AT1G18600) UniProtKB/TrEMBL;Acc:A0A178WDJ5] MNSIFSRRVVVDSSSRLTKLLANPTAHSHPPPNHHSFTSIYRPSQTRHFRTHYLPSTPSPPPRFDPSLLWRSEKIRGFFASALTNKSAKLGNLVESKVGFLRAQFPRKGFEFGGYSGRRGWKNWLQGLSSNDVVLGLLLANTGVFLMWRVFDQRFMMNNFMISLDNFTSGRLHTLITSAFSHIDIGHIVSNMIGLYFFGTSIARNFGPQFLLKLYLAGALGGSVFYLIHHAYLAASSPKGQGAFVRDPSRTPGLGASGAVNAIILLDIFLNPTATLYFEFFIPVPAMLLGIFLIGKDILRITEGDSNISGSAHLGGAAVAAIAWARIRRGRFRF >A10p032710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19261486:19264064:-1 gene:A10p032710.1_BraROA transcript:A10p032710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASVIHHGVLAPAKPDRIFVSVPVIPPNFRARGWTESRLSLVSAANRRLSPIACSRGTDQEDDVSSSSSSDSLIDCYDLNFASKAPLKEEKTEAFTEKESRDLLPHKDDDGGLRETLKDETYKTSFKTVALCVSAAVAFGIGIGLKDGVGKASEFFAGYLLEQSLSVDNLFVFVLVFKYFKVPLMYQNRVLSYGVAGAIIFRFTLILIGTATLQKFEAVNLLLAAVLLYSSFKLFSSEEDDTDLSDNFIVKTCQRFIPVTSTYDGNRFFTKHDGIWKATPLLLTVAVIELSDIAFAVILHHNFVDSIPAVFGVTRDPFIVLTSNLFAILGLRSLYTLISEGMDDLEYLQPSIAVVLGFIGFKMILDFFGFHVSTEASLGIVALSLSTGVLLSLTNKSGDS >A07p024460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14080657:14082325:1 gene:A07p024460.1_BraROA transcript:A07p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSIQCFLFILLTIIVTFISCSNAQREVEDESEFSYEQNVENGPEKWGKLKPEWKMCGKGEMQSPIDLMNERVRIVSHLGRLNRNYKPCNASLKNRGHDMMLRFEERPGSIKINGIEYQLLQLHWHSPSEHTINGRRFALELHMVHESINGSMAVVTVLYKIGRSDSFLTLLENKLSAMTDQNEAEFNIGMIDPKEIKIGSRKYYRYVGSLTTPPCTQNITWTVVKKVRTVARNQVRLLRVAVHDDSNTNARPVQPTNKRVVKLYRPRSY >A09p036570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24526588:24530446:-1 gene:A09p036570.1_BraROA transcript:A09p036570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase S, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G08390) UniProtKB/Swiss-Prot;Acc:Q42592] MLQTAMGLIRLDSSLSLRLWLTKGYTTSTSVLLRPSENMSNVTADHFGHAQSLSDRPRNRFQTLAFLWDVATMKRHISTKGRTVMTAEEMNHFGIANLIKTYHQSHIFPAAWQYQSPFNRTLKPKPNKNRRSLHMAERVSIALNTTTTTMASSLRTQVSASRLLRFSSSGSKLSFPSSSLSFTRSLVSSPLLSQKRRQAALVNRSFSSAATTHCTAATDPEQLKSAREDIKELLNTKFCHPILVRLGWHDAGTYNKNISEWPQRGGANGSLRYEIELKHAANAGLVNALNLIKHIKDMYSGISYADLFQLASATAIEEAGGPKIPMKYGRVDTSGPHECPEEGRLPDAGPPSPANHLREVFYRMGLDDKDIVALSGAHTLGRSRPERSGWGKPETKYTKEGPGAPGGQSWTPEWLKFDNSYFTEIKEKRDEDLLVLPTDAAIFEDPSFKVYAEKYAADQDAFFKDYAESHAKLSNLGAKFNPPEGTCDF >A04p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12824036:12825667:1 gene:A04p021010.1_BraROA transcript:A04p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 small nuclear RNA (adenine-(43)-N(6))-methyltransferase [Source:Projected from Arabidopsis thaliana (AT2G21070) UniProtKB/TrEMBL;Acc:F4IGH3] MSMRRNGKKRARSETPGSTAHPRNKYSDNPPDFASLASLYPSFKPFVFFSGGSRPRIDWTDYNATRELTRVLLLHDHGLSWWIPDGQLCPTVPNRSNYIHWINDLLSSQIIPSSRGNNKVKGFDIGTGANCIYPLLGASLFGWSFVGSDITDVALEWAEKNVQSNPHVSDLIEIRRSRIIPSEDDVTMVLLGVVKDNETFEFCMCNPPFFETFEEAGLNPKTSCGGTHEEMVCVGGEQAFVTRIIQDSAVLRERFRWYTSMLGKKANLKLLISKLWEVGVTVVKTTEFVQGQTSRWGLAWSFVPPATRKIIAPTPVSKSSLLSFMLEGIKRQYSAADVLLSVEEFFKSCGASSKLNSNTFSVDIVASSEQCNTITNRDDVDSVRSHGSSLQGPQDDLSFRILVFQQMPGTLLIKGSLQHKDSPLSGLFSVVFGSLEESMKSKFCR >A05g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29153281:29156344:1 gene:A05g509530.1_BraROA transcript:A05g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase AtPK2/AtPK19 [Source:Projected from Arabidopsis thaliana (AT3G08720) UniProtKB/Swiss-Prot;Acc:Q39030] MVSSHRPVPNKTHKQQYLSLSPSDSVLKDDVELELDFSDVFGPLPEEAGDVSFDEPAVIYTRSHSLVGPSSIGSHSFKLSKLTLRETEDSVDLVECLENEFSGSDDVDSERYPEGELVVKVPGVVGLDDFEVMKVVGKGAFGKVYQVRMKETSEIYAMKVMRKDKIMEKNHAEYMKAERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFREELARVYTAEIISAVSHLHENGIMHRDLKPENILMDVDGHVMLTDFGLAKEFEENTRSNSMCGTTEYMAPEIVRGKGHDKAADWWSVGILLYEMLTGKPPFMGSRGKIEQKIIKDKIKLPQFLSSEAHALLKGLLQKEPERRLGSGPSGAEEIKGHKWFKGMNWKKLEAREVKPSFKPEISGRQCIANFDKCWTEMSVLDSPANSPSSDPKVNPFTNFTYVRPPPSFLQQSTTTLRHAPQKPVGSRFGISSVSSLKSPNLLLPHASSLLFPLSLEHFYGIGALVQQPVFPCIRETTRASSPPQHWFESSPH >A10p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4361594:4362496:-1 gene:A10p014100.1_BraROA transcript:A10p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEET [Source:Projected from Arabidopsis thaliana (AT5G51720) UniProtKB/TrEMBL;Acc:A0A178UGQ5] MATSMSTFGLGLGYTGQLPFRPVTGETGRKQQRMAVVRAEGGGGGINPEIRKNEEKVVDSVVVTELSKNITPYCRCWRSGTFPLCDGSHMKHNKANGDNVGPLLLKKQ >A02g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17661726:17662739:1 gene:A02g506220.1_BraROA transcript:A02g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTKLYKYVNSSFGIINRFLHKPFELNSLFFVENLSCFLVSHIQANTSLNRWSCESYQATVQDSSFGGLVSHIKHHLKSGISKANPHPSEPILGPFKWYQSHSFGTMSSDDEWNRPGNSVAGFSNLQMCALNDYMSNMLNEGLDQIHQRLDEIQASQAPSRAGARRDRPRRNT >A07g505840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13360461:13362389:1 gene:A07g505840.1_BraROA transcript:A07g505840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLLLDQSSEIVSQQLCDGCGMLFRELSRFVLERCICSHKGLTDSIYPHGNQSYLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWQKLLDFGEVGSRAWTRADEVGKGSFSPIYVKRFDIGKEKEVKLVKKCLDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQKCYMFGRRGSFNS >A01p004020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1737069:1737392:1 gene:A01p004020.1_BraROA transcript:A01p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein SAUR50 [Source:Projected from Arabidopsis thaliana (AT4G34760) UniProtKB/Swiss-Prot;Acc:O65695] MAIMKKSSKLTQTAMLKQILKRCSSLGKKNGGGYDEECLPLDVPKGHFPVYVGENRSRYIVPISFLTHPEFQTLLSRAEEEFGFDHDMGLTIPCDEIVFQTLTSMIR >A07g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20973380:20974090:-1 gene:A07g507640.1_BraROA transcript:A07g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVDMLSLDSKIELFRRVISIKCLTEIENRTFTFQLKLTEFNITSKHQSLTISRIFEKHQRPPLPSFAEQIRSSL >A06g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11720616:11722433:-1 gene:A06g503760.1_BraROA transcript:A06g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVLHLGGLSGNIERILDSLCFPSSPSPSIIAAPLTANASGNCLSSLPCNLKVDVLYFGLICKEVAKVVPAQTLAATNLADQELTETMQKLLIVMQRLDDKIGLMLESDGELFNRRWGFFSRAGLWDKSHLMRQIEKYADIYTHQESPTSSTTHPSCISAHKSSITVVKLGRVPLINCAKTSMSSKLISGDSDFFANLSGRLFST >A05p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18920848:18921457:-1 gene:A05p033650.1_BraROA transcript:A05p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SAMBA [Source:Projected from Arabidopsis thaliana (AT1G32310) UniProtKB/Swiss-Prot;Acc:Q9C613] MNGASPAHSSVSTTAVAGGGGSSGAAAGLDDFPFPPDIPSMQDRKDEAMRVLKTDLMGELEKEVKSLEEDSWMFQGPRSRIHLISRRGNFLKRHGEAVVKSSIVQLPR >A02p018970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8766635:8768734:1 gene:A02p018970.1_BraROA transcript:A02p018970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-beta-hydroxysteroid dehydrogenase-like 6 [Source:Projected from Arabidopsis thaliana (AT5G50770) UniProtKB/Swiss-Prot;Acc:Q9LUE4] MDSINRIINFVFPPLALYGLFVFYPIYQRLKSAVSIWRNVLSENVTGKVALAYEYGKKGACLALVDIRDEPLFHVAALAELYGSPEVIPMVADVSKLHDCERFIQATVLHFGRLDHLVTNAGVAPLYLFEDIDDLSKAMPAMDINFWGSVYCTFFASSYLKKSRGKIVVIASGCGYIASPRLSFYCASKAAVIAFYETLRSEFGSKVGVTIVAPGVVDSEMTQGKFMTKNGQFIVDKELRDVQISLLPVESAERCSKAILRSVCRGDRGNRFVGTVASDGLTIWKLQTRRIVDLANNKLH >A03p012540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4972624:4975293:1 gene:A03p012540.1_BraROA transcript:A03p012540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDSHQKRRKKKRPSSGDGAATSAVNTKELDEEKMHIESLMDAFCSVSMEEATSAYREAAGDLNKAAEILSDLVENGDDPSTSSGQETGSTSEYGAGSSSSCCGDDVARERLFMGGRSKQSRVIAATGMVSSVIAKDYLEPKKEFPFVERSKELSGKKAGDREKAEQFLTSMLGDDCELSMAVVRDVLCQCGYDVDMALNVLLDMSSSSSSTNDDSLSGRCSGIGFSDSLAETSFDTDTSESEPSFWGGYSPRDYSKALMSDPFATRQGSCEPFHPQKVLESLFNIPQSTKHEPKAMSWRNVAKKMQSLGIDASSSSGEGSHPENFGKDDGYHELRKGANDQWNVTKSYYQKAAEAYSKGGRAHAAYLSDKGRAASKLAQRADERASQDIFVARNKGIENMITIDLHGQHVKQAMRLLKMHLLLGAYAPSIQTLRVITGCGSHGFGKSKVKQSVTKLLEREGVAYCEENRGTLLIKLEGCSREFSFLDTESDSDLS >A01p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11934656:11939039:-1 gene:A01p024200.1_BraROA transcript:A01p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKKLKRTFERDKQTNMVEVFNLWTLILSLIVVKLYHWIYQWNNPKCKGKLPPGSMGFPIIGKTFEFMKPHDAIQLPTFVKEKVHRYGPVFRTSLFGAKVIISTDSGLNIEMAKTNHMPGMPKSLARLFGENNLFVQSKDSHKHVRSVTTQLLGSQGLKLRMMQDIDILTLTHMEVGARNGGLDVKETVSKILIECLAKKVMGEMEPEAAKELTLCWSHFPKGWFRISWNIPGNGVYRMMKAKKQMINILKEMVLKKRASGEELGEFFKTLLGEMEGGEEKISVESAIEFIFIFFLIANEATPSVLAATVKLISDNPKVMQELKREHERIVRDKSEKEKKTGLTWEDYKSMTFTQMVINESLRITSTAPTVLRIIDHEFQFGEYTIPAGWIFMGFPSVHFNPEKYDDPLAFNPWRWKEKDLTAIVSKTYIPFGAGSRLCLGADFAKLIMASFIHHLCRYRWSMKTETTVLRRFILTFPGGSDIQISQETEVENSAG >A04g508260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21523898:21525509:-1 gene:A04g508260.1_BraROA transcript:A04g508260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVRAAILRTQSVSSHLKASFFHSTPVLERKRRTSWDSKSNVHRKRFRRMREKQELLRNVNAFASNMFTSWHDEFDYKEPPSSQKRTSWFKKQYAKEPKGKWNGKHGPRNFDFCEVDEDFDIDYIFPGGPRGFSFSFTFEDDEPPRWHQRNHSSSRSKHHRIYEDEDDDYTTSTESSDSESESEPNQASHRQALGLSPSGPLNLKDVKHAYRVCALKWHPDRHQGSTKEAAEAKFKLCSVAYQSLCEKLGVN >A03p032740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13852962:13854236:1 gene:A03p032740.1_BraROA transcript:A03p032740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QAKIKWLSHAFHLLLLSFSIISSVAYYGDEANPENGKLIPVAVEGVIMCKSGDKSYPIQGATARVTCVKTDVYGEEIVPVSMMSSKTDAKGYFFATLFPSQLREGRMVTKCKVFLHKSPIAGCNFPTDVNKGVKGQSLSKYRILEDKSFKLYWAGPFFFTSEPTYY >A07g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19353646:19354485:-1 gene:A07g507290.1_BraROA transcript:A07g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTAIESVNKKWEGSKNATLQLVDMECSYITVDFFRKLSTALRIPSVLLHCGRCDSVQRILLMLRYEIVTASTLANGGLAMKSVCIKGNCVISGQTMITNSKIQNENSGDSSTLHANLSSSTNHGQEEEKRFLRELLRQRGSAREEEEEKEFAVGNGTWEWTGCYTKISESMDVNCRINT >A10g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:859049:859980:-1 gene:A10g500240.1_BraROA transcript:A10g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCPYRRTEYVLLENYNKNYKISYHKFQIRLTERTTIACVEQQLPQTPPEKFQFRNYEEFAQLKDSTYDLYDVIGCIKNIEKTDVRSKTTPVLRRVIQLY >A01p012400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6075746:6077536:-1 gene:A01p012400.1_BraROA transcript:A01p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class V chitinase [Source:Projected from Arabidopsis thaliana (AT4G19810) UniProtKB/Swiss-Prot;Acc:O81862] MSSTKPISLLVSITFCFSLLLSSSSAQSVVKASYWFPGSEYPVTDIDSSLFTHLFCAFADLNSQTNQVTIASASQQKFSTFTQTVQRRNPSVKTLLSIGGGAADKTAFASMASNPTSRKSFIDSSIRLARSNGFHGLDLDWEYPSSATEMSNFGTLLREWRSAVVAEASSSGRQRLLLAAAVFYSNNYYSVLYPVQAVADSLDWVNLMAYDFYGPGWSRVTGPPAALYDPSNAGPSGDAGVRSWTQAGLPAKKAVLGFPYYGYAWRLSNANSHSYYAPTTGPAISADGSIGYGQIRKFIVDNRATTVYNSSVVGDYCYAGTTWIGYDDNQSIVTKVRYAKQRGLLGYFSWHVGADDNSGLSRAATRAWDAAATTRTIQK >A05g507080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20135568:20136609:-1 gene:A05g507080.1_BraROA transcript:A05g507080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQSTRILSTTAVEATSPLNHHHPGSYQVTAITVFNPPRISGKKINSRDGEIVKKAQRVLDGQRYKDVFRQEMKGRSFLLGPSHAKGIVHQKTVRICNGIEVKQPNSVIRKCARVQLIKNGKKDEFFISEGHVVGDIPGVRYKVVKVSDVSISTLYKGKEQLKS >A02p038110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24341344:24344162:1 gene:A02p038110.1_BraROA transcript:A02p038110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIWDLWEIRVFLVSLFKRKSTVRISVPTEVSNDTDEDVDRHPRRTRSRSAREDLQGAHNYAINSDQGRTTGNTWTSNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDGPPKTDRNPPAEKSPQRNQPSDKRAKSAPGEDEVKSSIDANASDVEARHKSKAHTTTQPEHPENS >A06p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19100472:19105062:-1 gene:A06p035300.1_BraROA transcript:A06p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSDIMEQRGVSTPSHFREDTCISSERQFGFLKTDLIPENQGGRDRFSNLPKSSWTPESHQLKPQSSLSGVHPSVSPNARNTTNGSQWESSLFSSSLSDTFSRKLRLQRSDMLSPMSANTVVTHREEEPSESLEEIEAQTIGNLLPDEDDLFAEVMGDVGRKSRANGDDLDDFDLFSSVGGMELDGDVFPPMGPRNGERGRNNSVGEHHRAEIPSRTILAGNISSNVEDYELKVLFEQFGDIQALHTACKNRGFIMVSYYDIRAAQNAARALHNKLLRGTKLDIRYSIPKEIPSGKDASKGALLITNIDSSISNEELNRMVKSYGEIKEIRRTMHDNPQIYIEFFDIRASEAALGGLNGLEVAGKQLKLALTYPESQRYMSQFVAHDAEGFLPKMSFTNTSSGHMGRHFPGIIPSTSIDGGPMGISHSSVGSPVNSFIERHRSLSIPIGFPPSANVISASKPGIQEHVHPFDNSNMGIQSMPNLHPHSFSEYLDNFTNGSSYKSSTAFSEVVSDGSKANDAFMLHNVRGVDGFNGGGIGSPMNQSSRRPNLNLWSNSNTQQQNPSGGMMWPSSPSHLNSITSQRPPVTVFSRAPPVMVNMASSPVHHHIGSAPVLNSPFWDRRQAYVAESLESPGFHIGSHGSMGFPGSSPSHPMEIGSHKSFSHVAGNRMDINSQNAVLRSPQQLSHLFPGRNPMVSMPGSFDSPNERYRNLSHRRSESSSSHADKKLFELDVDRILRGDDVRTTLMLKNIPNKYTSKMLLSAIDEHCKGTTNAMWDTLSSTLLNLKRLYHFISEKVATLTYARIQGKVALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGKPRSSSIDNHNSFSIASVSENREEPPNGTDPFLKEN >A03p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16459861:16465981:1 gene:A03p039550.1_BraROA transcript:A03p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPEDESSAHSQSSSAATAAPTPPSSVGDHYLAKCVLPPSVVLQVAYGYFRSSSSRDVVFGKETCLELVVIGEDGVVESVCEQNVFGTIKDLAVIPRSKMGKDLLAVLSDSGKLSFLSFSNEMHRFSPIQHVQLSSPGNSRTQLGRMLTVDSRQVFYLTMIFGLFLAVSAYHDRFALFSLSSSSMADIIHERIFYPSEDGGKTSSVQELSGTIWSMCFISKGFNESKGYDPVLAIVLNRKGSLLNELVLFRWNIKEESICLISEYVEAGALAHSIVEVPHSSGFAFLLRIGDALLMDLRDPQNPCCLVRTSLDLVPPASLVEEHFVEESCRVQDGDDEGLSNVAASALLKLSDWDPMFIDTESDIGKLSSNHVSSWTWEPDHNYNPRMIICLDDGEFFVFELIYEDDGVKINISECLYKGLPCKEILWVEGGFLATFAEMADGTVFKLGKEKLHWMNSIQNIAPILDFSVVDDQNEKRDQMFACCGVTPEGSLRIIRSGVNVEKLLKTAPVYQGITGTWTVKIKLPDVYHSFLVLSFVEETRVLSVGLSFKDVTDSVGFQPDVCTLACGLVADGLLVQIHQDAVRLCMANMDGSSPFVSSWFPENVSISLGAVAEDLIVVSTSNPCFLSVLGVRSVSSHCCEIYEIQRVELQYEVSCISIPQKSIGKKRCKAAIPSGVERGYTFLIGTHKPSVEVLSFSEEDGGGLRVLASGMVSLTNTMGTAIRGCIPQDVRLVLVDQIYVLSGLRNGMLLRFEWPHSHCKEEMDIVVGERDSLPINLVLIATRRIGITPVFLVPFSDSLDSDIIALSDRPWLLHTARQSLSYTSISFQASTHATPVCSSECPQGILFVAENSLHLVEMVHSKRLNAQKFHLGGTPRKIIYHSESKLLIVMRTDLCDAGTSDICCVDPLSGSVLSSYKLKPGETGKSMELVRVRNEQVIVVGTSLSSGPAILPSGEAESTKGRILILCLVHTQNSDSGSMTICSKDGLSSQRTSPFRDEQLSSSSLCSSPDDNSYDDDIKLDEAETWHLKLASATTWPGMVLAICPYLDRFFLASAGNAFYVCGFPNDSPDKMKRFAVGRTRFMITSLRTYLTRIVVGDCRDGVLFYSYHEDVKKLHQVYCDPEQRLVADCFLMDVNSVAVSDRKGSIAILSCKDHSDFEYSNPESNLNLNCAYHMGEVAMAIKKGGSIYKLPAGDVLRSYGLSKSTDADDDTIIAGTLLGSIFVFAPISREEYELLEAVQAKLVVHPLTAPVLGNDHKEFRGRENPSQATKILDGDMLAQFLELTNRQQESVLSTPQPSPSSLKACPKQRTSPPLMLHQVVQLLERVHYALH >A10p025080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16118941:16121404:1 gene:A10p025080.1_BraROA transcript:A10p025080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIESESKQGRRVVVIGGRVAGSLAAKLLQFHADVILIDPKEYFEITWASLRSMVEPSFAERTVINHKNYFKKGRVVTSPAVNITETDVMTADGDVIGYDYTILADCHFLCVGKPMSSQWLNGNSLKDSLDGKGRVMVDENLRIKDRKNVFAIGDITNIPVRYIGEMHANMAVNNIKVMMSGGEKKKKMSTYKPGSEMAIVSLGRKYSVAQDSHWLPPWFDQVQGSIRGEDKRVNPKCVHDLPQISKLSVEEHKRRGRGTFTYKKDVMYSDRDFCKSRFDDVGDDDVCRGSEKTDGSLKYGGFLIRWVNDTTALAVLPLLLRLAIVFNVRSFTIRVPDDHDSLLSSISEKDLKPPTQRPKTSARTAQRLIAHSMGLKLPASGFGSKELRDQEAARKNRIVSRQKQRDDAWGAD >A03p006050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2517653:2518312:-1 gene:A03p006050.1_BraROA transcript:A03p006050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGKVHPSPPSSSSSRYLNEDESLSVLRLLPATILVLVSVLSTEDRKVLAYLITRGNCGRTSTSKKNKSSKNHHKPPVFDCECFDCYTSYWLRWDSSPNRELIHEVIEAFENHQGENSVSRSKSKRGKKKEKPGRQVELVSESHVSTPDDSLGRLSGAEVVEREPRDEEEVIVVEEEESTVEIPPAAGGHKGLVRKVLPDVLGLLNSSFWRLWNPNA >A01g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18647865:18648880:1 gene:A01g506430.1_BraROA transcript:A01g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPAMNRPTLQRWASIRGLHFVKKLRFAFLSSEIEPQELGARKFDFIVLLTIIYCSWISFCSGSWPSFLFECSRYSKLHVVPAYFNPFGHVTCVVPISAFFASSHWIGYTSYLHQLASHTTFFFLKAYISILISI >A01p020880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10185518:10187277:1 gene:A01p020880.1_BraROA transcript:A01p020880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtACBP2 [Source:Projected from Arabidopsis thaliana (AT4G27780) UniProtKB/TrEMBL;Acc:A0A178V3N7] MGDWAQLAQSVIIGLIFSYLLAKLISIVVTFKEDNLSLTRHHDPEPESKNLKPEVDSRRIESSTGEADSLVAEQGSSRGDSVAGDTEEDDDDWEGVESTELDEAFSAATLFVTTAASDRLSQKVPSEVQQQLYGLYKIATEGPCTAPQPSALKITARAKWQAWQKLGAMPPEEAMEKYIEIVTQLYPTWLDGGVKAGSGSKDEAVSNTGGTMGPVFSSLVYEEESENELHSSMRSYSVLYLRKIDAIHEFAREGEVESLLKSIESGIPVNAKDSEGRTPLHWAIDRGHFEIAKLLVDKNADVNAKDNEGQTPLHYAVVCDREAIAEFLVKQKANTASKDDDGNSPVDLCESDWPWLRETAKQTD >A09p020500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10906052:10908173:1 gene:A09p020500.1_BraROA transcript:A09p020500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMEARWENIVPFIAMALMEACTIALTILAKTALTGGMSPFVFIVYTNALGSLLLLPYSFFFHRDERDDEPFLTKPSVVRIFLLGFTGVFLYQNLAFLGLSYSSPIVVCAMGLQSPAFSFLLSIALGEGGLGWECKRTRGRVIGTLICFTGAFVEVIYLGPFIRPSPSSSPNSNFLTTISHYLTFFKNSDNWALGSLFLACATLSISIWNIIQLDTVQKYPQVMKVVSAYSLAGTLQCAIFSAFMEPDLSAWKLELNMDFYLIIATGIFGSIIRTSVQVKCSKMKGPYYVPLFKPFGILWASIFGTSFFVNSLHYGSVLGAAIAGTGYLLIMWSQVQRDVQKDMVEEKANHQLDSDDQITPLLLGNDDVDQV >A07p049450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26262333:26269239:1 gene:A07p049450.1_BraROA transcript:A07p049450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHGMNRKNLQILCKKHGIPANLKNVEMANRLASLIFQKEEEEENLVASRKAKKVRFSPETDNQVFEFTRSVKKSVRTRKAPQAGGGIELRRSKRIGSIGSVQEEGLLPGDRDIQEERRSTRLAARIEKACVEGGRSKSVALLPAAKRSKRSGSGGSSTQEEGEDNDLNAPERVEDRDVQGGRRSRRLAAKTEKSSEEGGMSKSVTLLPAAKRSKGLVDVANKEDERETGEPNRKGGGSEVEMVRRRSMRFVNEQTSAQDQRRSVRLKASVEKTLVGQAKNDSVKASRVVKGNLVDKKTDENLVKSKRVTRNMKRGRSGEPEVDSGAASNQSNLTLKKTLNEFAHFEQEEACGADVKAGGSSKNQKCIEDKPQGIIIIEDSPSSSKTKAAESVEKVFDPTLDKSADSSQRSNSREINCESVEGDCEEKLERETVSMPVMEEDKEEVSPRSLSSPKDKLHVPTGHIIVQDIASTFIAEESTKTKDKTLIYSPESELKENSCIAKLANVEESLENSTERWKEIHSGKDDEKGSLENDVQAENLHGNVSECNTESSSAEEEMEISKIGGLSVAHCVNLIPEKFVGEYSQLEPEEAERPNVEARSSCQKVKKIVAQEFVKDKPQEMAEDSPSTSETKATEPTVISENVLDSTRTVSGETSAVRNSHELNSEVLEEGREEKHEQAKTKKDKGETSSLSEFLTERSEVKTCLDNRITSCSLSVEATLSPASVQLAMSNPEADLGVPTGNEEETLILTPTSELKEDNAVAKISKVEAILGNSAECCKEDEKGSLEKDVQAENLHVNFSECNTAKSSSEEVEISKDGSMSVNLTPEKLLDTYTQLVPEEAGGPNVEIRSSSKKMKIVSSECPRENPQGMAEESPSTFVTKTAETLMMSENFSVDISPVGNTQELNHELRDEEREEKQELDIVLVAETEKEKKKASSPSELFVETTPPPPSLVQIAVSNPESELSVPTGHILGKDIVSAVIAEEAIKTEEVSKSVQSFVAKFAETDAVLENSAECSSKSLSSKDDGRGSLEKEKQSAKLHGNFSEYNSENISAEEQADICKVGRISPGHCVHRETLDEVEDESLMKSVQTISSARGCKPNALELSGSFSTDFASLSHKKENVSDCLEEEEMKALSQPIPIQKAASNVLERSSLFTTPERNLMLMEQQSESGKICEADIVTQHNDEAVESHAVVFTTPEKVLLLGDSWLDDVGKEGEHTARDFPDESDVLNTSANEAFNEGERIVVELHDESNIAASPLRHSRVGNFKEERTERNEEKRTVELHFESGTFTGPDKHDGAENSEGNKAMELYEESVDFTGLEERHELFRDSGKDKAREDELKMDAQFYEEAGLSTEMHKDLPLADPELGEAGWLGINNDDKSGSLEGKLLFGDSEQKKAEKAPAEFHDESAVPSIPERHPFPEESELEEAAKSEENNALESQADCDNFTDAIVNAKSHDMSDVLTAPESHSIMGAFEPDGEENKDVELLGESNISTNEESGQDGKIKYNTAATCEESSFFISPERRHHLGNTGPHTAGKQERKEVEFKDESAFFTRLETRLLLGESTQDRLDNGKSGSAKYQSHRDSSRKMILKDDSVARECQVAAPDFRENTIADFSGSIASKVSYSHEFSAGEVSAGAEFMPKASQVENVAGLDATQGTSKQSRGNSPNVDTCHSMEADTIMDAGRNVSFSSYVLSLPAEGNSETIGEISNHIEVAGTCSLVSEESGPSTDIQNQIHDAVEELAVTDEIVDAKLIKNSQSDSKTISLPAEGDSKSIGENSSQLEATGTCSMVSEKSIPSMDIQNHINDALEEELAVTDNSKADELIEAKVTKNVDSSDGSGKTCVLTGTEDEHLCYNSEVADPVDTAFEKDISLTPDESTLQKNKNQEDDEMLKREDTPSPAETFNESSENIGESSEKQVRREQVLFYRTQAKPKTHDMKENAPNSKIVDNLNVTAPRTSKRQPLQDLRKN >A04g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5213433:5225377:1 gene:A04g502120.1_BraROA transcript:A04g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVDKKLGLERVYHLGARRRASLRRATPAPEESKAGATSCTEVIHPLQAQLLVDYFHDSERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPITHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNNNNQSTQAQGSSSQAPASDTSTMAKTNKTDMEAKKATAAKREFELRGKPLEPAEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKAKISKGKGIAVERDRSKTPTVEELHYHLAKCVSWVPTCFADPKMMEELGIEDDVRIMLQHMKMESFYSMAYPTYEELSSQFLATLEASFYEANHVRHGWGKIRFKVNGKNYVMGFKEIGAMMGLEDNEDQTLPRFKKLPTGVWRVISGNLHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRQLYRAVKDNVTPEQLEEFEETDKMKFPTTNIFERFGMVGLFVERLMYYKDWVWTTADSSPQLGIGGMITPLLIANGVHLGDDPKGPAFIDAPYLRIATYISGRYREKVVYTYFRKGKMAKLLLPNRELTNIERPGIIHFDIDESELFRLRGPIDPVTVPKRWRGGARGHVTAETSDAPQEGSATPLYGPPRYHFTQSSSVLPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEEVLEFPLEILEVLGSIWDQKGSGKCCLGEQSTRAGYGSDVRHPLQKNRRLARRLAPSTSNISNGNSKT >A08p010880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8957389:8960134:-1 gene:A08p010880.1_BraROA transcript:A08p010880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIERDQPSIDGNTFPSSDDESEESTDTESPTSIDTAQPEAGKYSLTKPANEKVVQTELNGQTSNETSQTKQGTEIPVKENSTLTKGEDIKLSIQDYLDPGRTYSNRSAIKIPGDDTKKSKFNADYYRMIDENALSDTDDYSDEETNCSDPYYVFHVESFTQAYDTALKSHSLGELIRKLEGQVAEIATAIKRDAGCLPGRTDLNLRRQVSAVMLRSEKNLAADTRNNSDVGKPDDADETGKSNSHPVFLDELDPNPSQDNRKTTTEKAKEKAIDLELEEDTEIEDEIDRQYGTDVDRPKTPTIDQQPEKPIDRRTDDYMQLMDASIDVANIEENDDSEVVIDRYLQDTVDRQPPSHDAARLVQFIDKTIRLKLLSVKGTGHKHFEESLMVWFGSR >A08p013070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:11649453:11649740:1 gene:A08p013070.1_BraROA transcript:A08p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNYDLAKELEMEKEKENKMKEFVIENKLDKKWWNILVEGLSIEELKQRHQAFVHFSSSLFSKDSHWLEKDGGGSSSDPAGRGHCDDGKPEPCE >A09p002060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1508509:1510579:1 gene:A09p002060.1_BraROA transcript:A09p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G02640) TAIR;Acc:AT4G02640] MNSIFSIDDFSDPFWESSPPLDSDSAKALTAEEWTVEMFFEEIASSVTSAPVGNNNNNNAIVGVSSAQSLPSVSGQNDFEEDSRFLRRESDDYRRVLENKLETECSLVTPGEVGVTSSLLAEVKKTGVPMKQVTSGSSRDYSDDDDLDEENETTGSLKPEDVKKSRRMLSNRESARRSRRRKQEQTCDLETQVNELKGEHSSLLKQLSNMNHKYDDAAVGNRILKADIETLRAKVKMAEETVKRVTGMNPMLLGRSNGHNNNNNRMPLTGNSRMGGSSCIPPFQPQSNPNMGGLTTTILSPRLENSFIPTPSLNSQTNSHLQRIRPTQTHHAAPTTNTYGWTTETQNDSTWPKKCVD >A06g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10837883:10838305:-1 gene:A06g503600.1_BraROA transcript:A06g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVAFIFIGLILFSTCTLILAQSCETVSDCVHLKCVTKIKCENNHCKCVNPKHIALPLDTNCGVAACIDFCKAKGEQAYACILNQCYCRKPPIY >A06p051050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26825135:26825613:1 gene:A06p051050.1_BraROA transcript:A06p051050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFLTKHISQNVVLFGSMSEKQRQCCVVMRINLDCNACCRKVRRIVINMKGIDTHMIEKKEDRIIVCGRFRPSDVVVKLQKKMKRRVEILEVEDLTGGEEGFHDHEPPYEPDHEYSEQQPDHMTMPFLF >A05g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7533622:7534072:-1 gene:A05g502380.1_BraROA transcript:A05g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVDKEGTRIQASVGEQLIKQFEGKLTEGDAKVVQLFKLYDAIDDYWTTAHPYKIGFFQTNFVGTTDEFPSEVPKKYFVDARLRIKYFDYFDD >A08g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4465209:4465831:1 gene:A08g501850.1_BraROA transcript:A08g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPKRKMIKAAHESPSRPNRLTRAGRTMTCGNCQQVGHNRNTCKNATHVVQSPKRKRGRPFNISEEEETNPKRPRRLKKTQFQSFINTPNVISSCPKASTSPYLSISTDETTRPPATDAARRPPATSRVRGRGRPLGKGQASREVISRRYGVYINPITNKLFEVYGDSSRIISSSKK >A10p017390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2462030:2463151:-1 gene:A10p017390.1_BraROA transcript:A10p017390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIRKGAASVEGVEAKLWQVPETLPEEALLKMSAPPKSGSPIITPNDLTEADGFVLGFPTRFGMMAAQFKAFLDATGGLWRTQALAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPTQLELEQAFHQGKYIATITKKLKVSTV >A02g507020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20082340:20082788:1 gene:A02g507020.1_BraROA transcript:A02g507020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVIELALPCPKAKFECTKMGEMTNDEPQPLSNAVEIIVLSDDDTDEDNDSRLKEWNNAEYFDEILDFKVWMRGESIESAVLLTTLLPHVNT >A03p069580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30572294:30573874:1 gene:A03p069580.1_BraROA transcript:A03p069580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSGSEGEEEGFDSYRKGGYHAVRIGDPFSGGRYIAQRKLGWGQFSTVWLAYDTLSSSYVALKIQKSAQQFAQAALHEIEFLSAAADGDLQNTKCVVRLIDHFKHAGPNGQHLCMVLEFLGDSLLRLIRYNRYKGLKLDNVREICRCVLTGLDYLHRELGMIHSDLKPENILLCSTIDPGKDPVRSGLTPLLEKPEGNANGGGGSSTMNLIEKKLKRRAKRAVAKISERRVSMVGGEEASSKTEKSLDGIDMRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILKSGYSFSVDMWSFGCTAFELVTGDMLFAPKEGNGYGEDEDHLALMMELLGKMPRKIAIGGARSKDYFDRHGDLKRIRRLKYWPLDRLLVDKYKLPEAEAKEFAEFLSPILEFAPEKRPTAQQCLEHPWMNVVSTQNNADNVESQMRNLHIKG >A09p009200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4756914:4759348:1 gene:A09p009200.1_BraROA transcript:A09p009200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIDIEGIMKDLPNDGRTPKTKIVCTLGPASRSVPMIEKLLKAGMNVARFNFSHGSHEYHQETLDNLRAAMQNTGMLAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITISTDYDIKGDEKTISMSYKKLPVDVQPGHTILCADGSISLAVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTEKDVEDILKWGVPNKIDMIALSFVRKGSDLVNVRKVLGSHSKSIMLMSKVENQEGVLNFDDILRETDAFMVARGDLGMEIPIEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEIAVKTMAKICIEAESSLDYNTIFKEMIRATPLPMSTLESLASSAVRTANKARAKLIIVLTRGGTTAKLVAKYRPAVPILSVVVPVFTSDTFNWSCSDESPARHSLIYRGLIPVLAEGSAKATDSESTEEIIESALKSATEKGLCNHGDAVVALHRIGAASVIKICVVK >A01p008400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4080983:4082728:1 gene:A01p008400.1_BraROA transcript:A01p008400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMGKLGTMIHTGRFLLRRFSEPPPTVAMAVTPSRVSFHRYYSSKLTSLVSPRVSPFSSLFRLSALSSSSSATPNTRVQVDSPEHEVVIALGSNIGNRMNNFREALQLMKRYGIHLTRHSCLYETAPVHVTDQPRFLNAAVRGVTKLPPHELLTVLKTIERDMGRTDGIRYGPRPLDLDILFYGKMRIASDKLIIPHERLWERSFVLAPLVDLLGTAVDNDTVAHWHSLALHPGGIHQAWERLGGESLVGEDGIQRVLPIGDELWDFTSRTHVMGILNLTPDSFSDGGQFQSLDSAVSRVREMISEGADIIDIGAQSTRPMATRISSQEELERLLPVLEAVRSMPEMEGKLISVDTFNSEVASEAISKGADILNDVSAGALDSNMHKVVAESGVPYMAMHMRGDPCTMQNEENLQYGDVCKDVASELYTRVRDAEISGIPAWRVMIDPGIGFSKRVEHNLDVITDLPKIREEMAKRSIGVSHAPILIGPSRKRFLGDICGRPEAVDRDAATVASVTAGVLGGANIIRVHNVKDNVDAAKVCDAMLIRRGRSKG >A03g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1220140:1221388:1 gene:A03g500380.1_BraROA transcript:A03g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMKLSEDFTKKLGFSEEGEEIRKLERSWKGSRDLLFDQNDGVSETDDEEINRPRLRKVFVESHIPTEKSPDDMKSMAVEAILRLQEKINDDDEETVKMQLLVPSKVVLRLREDVLGDRGSVSARNPPPARSDHAGFTLPPFVSSVPEYASVDFDQRRETGESSLGMVSSDRFYGYESSFSARDHGLVSVGSILIWRVSFANYQQRTWLLLYHFVSNGLKLFFLVY >A02p050110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30977437:30981282:-1 gene:A02p050110.1_BraROA transcript:A02p050110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRTSPFSSPLLKPPPPLTTPKVEEETEFWRKEVDENLKRLQSLLFGADQFLEKSDFSSAQILGLRLLGFLDSRSVAEADRAFIGPIRREVASKVDSALEGLVSDSDRQAFELAKTAPGPIFGSKGEFDVEKIKQSKHFHFHLSQPNGKGVKEMGEGLDARKLIPKASKPMMQARLTSLYGNSMVKPDNQRRPSVSNQESTSEECVIVERSHVVGFGTKRAHAEISNLTNHGEKEDGAANGFVSAKTKLEMDARQKRGSTGAPNSSLSPQGENNASARGYGARPGGYLRRGYRGNFVPPVKSSGNSVGNTTSRIGGKTDDTLDDSTRTCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGKGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIQNLLQKDGLFTLSEDDMNIICKLTEGYSGSDMKNLVKDATMGPLREALKRGIEITNLTKDDMRLVTLQDFKDALQEVRPSVSQNELGIYDNWNNQFGSLSL >A08p024520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15944594:15945023:-1 gene:A08p024520.1_BraROA transcript:A08p024520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIIIMVVAIAFFMIGSDNVNVATAQFCGANVSGLMNECQRYVSNAGPNSPPPSRSCCALIRPIDVPCACRYVSRDVTNYIDMDKVVYVARSCGKKIPSGYKCGSYTIPAA >A08p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23202517:23204546:1 gene:A08p040910.1_BraROA transcript:A08p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKKIKIGINGFGRIGRLVARVILQRNDVELVAVNDPFITTEYMTYMFKYDSVHGQWKHNELKIKDEKTLLFGEKPVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKVINDRFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMSFRVPTVDVSVVDLTVRLEKAATYDEIKKAIKEESEGKLKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALSDNFVKLVSWYDNEWGYSTRVVDLIIHMSKA >A03p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10052704:10053854:1 gene:A03p023810.1_BraROA transcript:A03p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALNTAKGNGELNGKDLETMAENCYRKRLEEQDDDQEWSFGDFYRIVDEAVEEINRRLGGTQLKVPSVDKLQEAYERHNLGEGKKISKDEFQKLLQEVLIGAGFTGVGGVKEFLLFIFGVPALTVFLKNRIAPTSFPNDLLIPAVTSATVFLLAKLNKI >A03p033830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14313975:14317502:1 gene:A03p033830.1_BraROA transcript:A03p033830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRNIARFSKTAAAAGRTGGSRRCLSTAIPGPCIVHKRGSDILHDPWFNKDTGFPLTERDRLGLRGLLPPRIISFEQQYDRFIESFRSLERNTLGQPDNVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLYRRPRGMYFSAKDKGEMMSMIYNWPAHQVDMIVITDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPIMLDVGTNNQKLLQNPLYLGLRQPRLEGDEYLEIIDEFMEAAFTRWPKAVVQFEDFQAKWAFETLDRYRKKFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVTKTAVQAVARMAGISFAEATKNFYLIDKDGLVTTERSKLDPAVVPFAKNPAEIREGASIVEVVKTVRPHVLLGLSGVGGIFNEEVLKAMRESDSCKPAIFAMSNPTLNAECTAADAFKHAGESIVFGSGSPFENVQLENGNVGHVNQANNMYLFPGIGLGTLLSGARIVTDGMLLAAAECLASYMTDEEVQKGILYPSINNIRHITAEVGAAVLRAAVSDDIVEGYGDVGPRDLSHMSKEETVDYITRNMWFPIYSPLVHEK >A04g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10253508:10254614:-1 gene:A04g504810.1_BraROA transcript:A04g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSPMFGTYRPVKTEMRPITRWRVRCQVSSIKPATYSSRLSTDIPLHESPQALFDDYLEDKSRVFEAMFPDKPRSHKLNEEEWRIQMLPINFLFLTVWPVVDMRLSCKSNGQDYPADVPLDITKVVELNMTRWQLKGLDRVMQPSDFSLGVKGALYPDRRGKHTRLRGQLEMNISFVLPPVLELVPEDVRRNLANAVLTGLVENMKHKVNGSLLADYSRFKNERRLHKLSTKAEF >A09p032610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19754087:19758259:-1 gene:A09p032610.1_BraROA transcript:A09p032610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSNLKLGVDVIGAHNLFPKDGQGTANAFVELYFDGQKHRTTIKDRDLNPVWNESFFFNISDPSRLHYLTLEAQAYSHNRPTNGRFFLGKVSLPGTSFVPHSDAVVLHFPMEKRGIFSRVRGELGLKVYITDEASLKSSATTHPDNLDPSQPTISAMKVEHRSDRRHVFYNLPNNAQEHQQQQHPQGPNQPSSSAAEPDNHNEHHQHYVPKHQADEMRPEPAPPSKLIHAHSIASAQPADFALKETSPNLGGGRVVGGRVIHKDKTARSTYDLVERMYFLYVRVVKARELPIMDITGSVDPFVEVKVGNYKGITRHFEKRQHPEWNQVFAFAKERMQASVLEVVVKDKDLLKDDYVGFVRLDINDIPLRVPPDSPLAPQWYRLEDKKGEKIKGELMLAVWIGTQADEAFSDAWHSDTAMPVDCTPAISAVLRSKVYHAPRLWYVRVNVVEAQDLVPTEKHRFPDVYVKAQLGNQVMKTRPCQARTLGAVWNEDFLFVAAEPFEDHLVLTVEDRVAPGKDEILGRTYIPLNTVEKRADDHMIHSRWYNLERPVIVDVDQLKREKFSMRIHLRVCLEGGYHVLDESTHYSSDLRPSARPLWRQPIGVLELGILNAVGLHPMKTREGRGTSDTFCVAKYGQKWVRTRTMVDNLCPKYNEQYTWEVFDPATVLTVGVFDNGQLSEKGNRDVKIGKIRIRLSTLETGRIYTHSYPLLVLHPSGVKKMGELHMAVRFTCVSFANMLYQYSRPLLPKMHYVRPFSVMQQDMLRHQAVNIVAARLGRAEPPLRKEIIEFMSDTDSHLWSMRKSKANFFRMMTVFSGVIAVGKWFSDICSWRNPITTVLVHVLFLMLVCLPELILPTMFLYMFLIGLWNYRFRPRYPPHMNTKISQAEAVHADELDEEFDTFPTTRNPDLVRLRYDRLRSVAGRIQTVIGDLATQGERFQALLSWRDPRATAIYVIFCFLAAMVFFITPIQIVVALAGFYMMRHPRFRHRLPSVPVNFFRRLPARTDSML >A04p004600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2332803:2333096:1 gene:A04p004600.1_BraROA transcript:A04p004600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPKVIGKAKVHYSTIIGKAQVQEQQQQEQQDLPRPSPFDELSAIFYPPKQETSRLGGGSTGDPSLKSSVFSGGSINTGLIEEIVKEGMKMKKNI >A09g513620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41432643:41435882:1 gene:A09g513620.1_BraROA transcript:A09g513620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRVLAVKLNAEAVPLYRLQRRIRLGQGDSPDSFKEFWRFLLQRNLGNSWLQRVRFVVFGLGDSGYQKYNVSASAKLNLEMCLNYCSLQEKISQMVQIERSGATTDYSIYCLTELIFNVCVRSVLSGGGSVPSPKATPEAWVNMVNEIQKAALSTRLGIPMIYGIDAVHGHNNMNGATIFLHNMGLGVTRQSNHIVSLKVLFKTQLQQSQVEHQLRREKRVYLILEYAARGKLYKELQKCKYFSKRRAATYVASLARALIYFHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTPDYLPPEIGMKQLLIRGCCLCGAFFFGIRQVSIVWAKKDLIQPTGSQSRIQDKLERSIK >A02g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20155101:20161798:1 gene:A02g507060.1_BraROA transcript:A02g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCCIAIGRRVCVVTELGLFGLNPKGCFFVKTSYWLFLRKLLLSFYYLFWKYDLRGFSGGNSVVTKGGNLFHNFFTFLSFSKIFLKKKKKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEASWVAHYGSLTPPKEKPFPVLVHRGIEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEVLVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRGTDKHRLVPRKFMSVVKMFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFTNPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPILDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSECAHAEALIPPIDEKIQRFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASPRWPYLYLPGLAVGGFESLAALRVFLSRVEVNTSCRLISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKAIIFTIFGPEGAADKSLSVFRRVLKLSRGLRIYVELRRPMRRSESRMRSLTLVTSESSPASSFAASLAPKTLQLVVECPRDWWNSQKLCAPWRSSPSFPSLSAFTASELGLPFGQLLLFVPIGDFFFFFRHWFFERGAFPSGSASGPSWMSVDILVGVVNVFGFVILRVLCRGRKIFGVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGPCCGEHLFELLERRGVACIKRSLHVIRVRQTVGTEIHTVDFRLKKETRKTLISQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTKRYKPGLESCRRDSYDKFALKKCSLPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSFTIIFKTSVFIRGNLTFILPRGPSVNHAVVPLGRLPTRHVYYEFSAVSNPRSLIDELE >A06p032290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:291546:294522:-1 gene:A06p032290.1_BraROA transcript:A06p032290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKAESLRLRYFLVASLLPRVLLRLNRSKRGRLPRIPRRTAPSTPSNPTVNGSFDSDRLFPRRTAPSTPSNPSTPSNPSTPSNPSTPSTPSNPSTPSTPSNPSTPSTPSTPICSPIYCLTASTLYSLSRFRNWLGIDLHVTAAMGLDYSYTQPSASEDYGLGDSADSGYSQTDAEFEAAILMDQAEIEASRVHYPPQPEVEFGFPRECYCGGEPLLATSVTRNDPGRRYYTCRNVDDGDCHVWKWWDVAVMEEVRAMGTQVCQLSDKVDHLASLSDYESEVNQVRDIKYEMVLKLAQLEKIVVGVMFFVVLIIAMVIMFK >A03p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11161137:11162306:1 gene:A03p026740.1_BraROA transcript:A03p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPQHSHIIEVNIAKPDEQRTTLGASKACGEAPCGFSDLNNASGDAQDRNASMRKLCIAVVLCLLFMTVEVFGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPRQTYGFFRIEILGALVSIQLIWLLTGILVYEAIIRLLTETTESVGVMIGGAIIWYNPEWKIVDLICTLVFSVIVLGTTINMIRSILEVLMESTPREIDATKLEEGLVEMEEVVAVHELHIWAITVGKVLLACHVNIRPEADADMVLNKVIDYIRREYNISHVTIQIER >A10p037340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21079976:21082101:-1 gene:A10p037340.1_BraROA transcript:A10p037340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTKPPELAVTGTPENPQGGLVRSLGLYDRHLYYSVARPRGGISRWLHWLPISFYKKLNHFISCVCAGFSIKANESGFGRSGIEDVFEVADAKQIAAMARRTFDKSGPLLVVASGRDTISVASSIRRLAMDYVFVVQVQHPRSRLERFDLVITPRHDYFSLTPEGKKQTPFFLRPWVTPREPPGRNVFLTTGALHNVDASTLSKAALEWKDEFASLSKPLVVVNIGGPTRNCLYGVDLAKQLCGMLHSILWSCGSLRISFSRRTPKKVKEIITGELRSNPKVYIWDGKEPNPHLGHLALADAFIITADSISMLSEACTTGKPVYVVGAELCTWKFSDFQKTLRERGAVRPLTGKEDMVEKWSYTPLNDNAEAAKRVIQDLAERGWKIEA >A03p023960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10128096:10128610:1 gene:A03p023960.1_BraROA transcript:A03p023960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVSGRRSTLNPDAPLFVPAAVRQVEDFSPEWWQLVTTSTWYHDYWISQHQGADGFYDGEVDVADLLPESFDFDDMEDLFEADEFGGEIYLAPSDFGLGKNGEMVRRSSGNRSPRLVVEPAKYAEKPAKWANQRVAAPRNIHQPR >A03p034870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14682835:14684529:1 gene:A03p034870.1_BraROA transcript:A03p034870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSVLCRSQNASRCLAFTRISTKKTHAPSLTTLPRFSSSENASPRNLRLFSSTPTEEKNPFSSASELAHKESNPSHSGFSESHDFYVNGAEIATIEASEGGSVAEAEEAQSEEVTQFDEEKFESLLSLLRSEEESLEFSLKTLDVDLNSDLVVKVFESSPGISGKNLIKFLKWAIKKGDITVTTSLVESLLVAISVEGRRLNAYALWDLVKEIGENESVLNLEIMNELIALFGKLGKSKAAFDVFSKTEEFGFTPNEKTYYVTLEALCKRSFMEWAGAVCEKMLKSGVLPEGGDQVGNIITWFCKEGKAEEAYSVYELAKGKEKLLPSRSVATLISALCKNDGTVAFAQEMLSDLSGEARRHGIKPFSDVIHSLCRMKNVKDAKLLLLDMISKGPAPGNAVFNLVVHACSRSGDLDEAKEVLKLMESRGLKPDVYTYTVIISGYAKGGMMKEAQEMLTEAKKKHKKLSTVTYHTLIRGYCKIEEYDEALNLLNEMESFGVKPSADEYSKLIQSFCLKALDWEKAQMLFEEMKQKGLHLNAITQGLITAVKEMQSEDVNLLAAA >A09g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15756702:15763724:1 gene:A09g505170.1_BraROA transcript:A09g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLKIQDPAGSMEVQNQAGPIQFRSLGQTRTGLTISTCDLGSNASPNSAGSDLARKEPQSYQVFVTKLVAILHSIPSNDPALRETSVQQEPDRPSLSPSLPSSLALAVRSYVHPSCPVRRDMGDVSANDVPTQAAIKAQLMVGQAQLTATMNAVTEQLARMEQRNHPNDPRPRRRNHPYLDDPRLFSDEDTVQQILDQDEELLIEEMIQLKIQDPACSMEVQDQAGPIQFRSLGQTRTGLTISTCDLGSDASPNSAGSDLARKEPESYKVFITKLVAILHSIPSNDPALRETSVQQV >A01p006810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3429026:3430667:-1 gene:A01p006810.1_BraROA transcript:A01p006810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASLLTPANPHFFQPLLTGFQSHLNIPVTFFSKHIEGKHEGKTVKLRSDCSDRTWKVKMEGHRLTDGWKEFAKAHDLRVGDVVIFRHEGDMLFHVTAFGTSFCEIQYAPSGIHVKVKEESEEIGESSRREKESSSKLTCFSQSVTASNLSRGAVGVPLDFARRNGLNKGRRVIALRNQEGKTWESKLKRTRSGQVFICRNWRSFCTASKLKIRDSFQFKLLENTETPVFQLCSLSKVKPKKETLSESEEDNVVDKTETPRFVKITTTASSLEIGKQHLPVHFTRGNKLNKPGKIVLVDKDKVEWSMKLNQDSRSGTMYIMGGTAWKSFCAANGVVVGESLTLELIRRGMILLLKFCSKMEQPPFKTKARKHKRARVQRLTRESRSEDDVSSQEGIVK >A09p082280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59623965:59625605:-1 gene:A09p082280.1_BraROA transcript:A09p082280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) UniProtKB/TrEMBL;Acc:F4I456] MALWMEAGSEPMTENEKADLIAITALKESAAIEFKEQGNECVKKGKKHYSEAIENYTKAINQGVLSDSETSILFSNRAHVNLLLGNYRRALTDAEEAIRLCSDNVKAVYRAAKASLSLDLLSEAKSYCEKGIEKDPSNEDMKKLLKVVTLKKKEKEEHEAEVSRAVVEAKACLSAFENRGVKIGKAMYRELTGLKKPVLDQNNILHWPVLLLYAEAMTSDFVEDFCETDIAPNMFSEDSPPLPWDKNHEYTREAIELYYEAGSGTPLPRSRVLQYLLEGTKGSQAETTGDEDTSLPKTPYNVKGKGSSGMVKVNERRTLHDVLKEPNLVIPEIPVFYIVSKRTRFYKDFAAGKWSPPS >A03p020810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8562314:8563410:1 gene:A03p020810.1_BraROA transcript:A03p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNSLERRERSDSPSGLSPAAALTLDPPSLFSSGDWGFLYLRSPPPFSTGLSLSFSFSTLSFLLSSLDFRVSSDLIRDTCVCCLSGALTRSDLASAGEDGGGSLPLPTSLVYGLYLCVSGFQLVSSSIDDYHGVQQQLFGGSSPHFRTLLVSGYLTSSSFGVPESLPAASVEAVGSHFRVR >A10g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8567550:8568324:1 gene:A10g503300.1_BraROA transcript:A10g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGITCACFLWRIYEELRQQKEFFGHHCFKFLSIYIWISCGYRPLKTGIKREVDENLRPGVEALVDSCSDQDRQYLHTVFGEGPCRNYLAALKQESDLNFKYGRKV >A01g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22896860:22897878:1 gene:A01g508090.1_BraROA transcript:A01g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSFTNLEVFQIWKTSGTTYLLVVRKSSGLLGSLLKKSSGLPGSRLDYQEVVWTSRKSSGLPGSRLDFSERFGFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELSKSLLAKSSELPGSRLDFLKVVWSFLLKWNPSLSL >A08p040130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22940705:22943878:1 gene:A08p040130.1_BraROA transcript:A08p040130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGNAVITQNTQLISPVGNRVSVTDLSKHHSITLPVNTSSNICRLAASPDGTFLIAVDENNRCLFINVPRRAVLHRMRFDGKVGALKFSPDGKFFAVGVGKLVEIWESPGFSRAAFAFKRVRTFANSDDKVVSLEWSLDSEYLLVGAKDLAARLFCVRKLKGVLNKPYLFLGHRDSVVGCFFGVDKVSNKVNRAFTVARDGYMFSWGYSGKDDESEDEMSPDTPERADEVMVENKKRKEYDGRGCESEDEGEEYMHRGKWSLLRKDGFNQGSAKVTACDYHQGLDMVVVGFSNGVFGLYQMPDFICIHLLSISREKLTTAVFNGRGNWLTFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCVTYSSDSQFLATGADDNKVKVWNVASGACFITFTEHTNAVTALHFMADNHSLLSASLDGTVRAWDFRRYKNYKTYTTPTPRQFVSLTADPSGDVVCAGTLDSFEIFVWSKKTGQIKDILNGHEAPVHGLMFSPLTQILASSSWDNTVRLWDVFASKGTVETFQHNHDVLTVTFRPDGKQLASCTLDGQIHFWDTVDGVLMYTIEGRRDIAGGRNMTDRRSAANSSSGKCFTTLCYSADGSYILAAGNSRYICMYDISDQVLLRRFQISHNLSLDGVLDFLSSKKMTEAGPMDLIDDDNSDEEDGIDKQSRGNLGYDLPGSKPNRGRPIIRTKGLSIAPTGRSFAAATTEGVLIFSIDESFIFDPTDLDIDVTPEAVEDAIKEEEVSRALALSMRLNEDSLIKKCIFAVSPPDIKAVAMSVPQKYLERLMEALVDLLENCPHLEFLLHWCQEICKVHGSSIQRNYRTLLPALKSLQKAITRAHQDLADMCSSNEYTLRYLCSVPNSH >A09g500580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2381428:2381607:-1 gene:A09g500580.1_BraROA transcript:A09g500580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMVLQCALINPPFMIPTDLPHTLSVSMISRSLFIPLPQIVKSIPVPQILKSKPSDVN >A07g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22781205:22784472:-1 gene:A07g508250.1_BraROA transcript:A07g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMYRKLAPCGGEGGHEWDDDVYQGIRKVYVGQAFTRITYVKFEYVKEDGEVVTREYGTISFQPEEFSLDPDEHIIEVQGRCHRLDVKEVITALFFKTSKGKMSPVFGPKKLLNGFAGTEFLFYDGGNKIVGFHGRSGNALDALGVYFAQNCLTMPFPLYKLEAQGGTKGRVWDNGYYDGVKMLRVGEDNCRITYLEFEYEKGKEIETHHHGVKGETPSEFVLDCPEEYIISVEATYHKPSFFRNTLITSLKFETSKGRTSFFGYNTCRVPWNDGDAIDALGAYFAKIPTLTPLSQSKKLSSGGGNGGVIWDDGVYDGVRKIYIGQSTDCVSFVKFEYIKGTDLVSGEDHGKKTLLGVEELVLEDGEYITVLEGCYNKIFRVEEPVIISLRFKTNKRQSAQFGVDSGEKFLLGENGYKIIGFHGQASDVIHRVGVTIMPIIATE >A03p002480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1135613:1137696:1 gene:A03p002480.1_BraROA transcript:A03p002480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIKTQQISARTIEKVVVHPLVLLSIVDHYNRVAKDSRKRVVGVLLGSSSRGVVDVTNSYAVPFEEDDKDSSIWFLDHNYHESMFHMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNGYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVSTEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTAKLTALKGLDARLREIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVNELVKAFSVKTNDMMLVIYLSSLIRSVIALHNLINNKLLNKEHEKAEDSKPVAIPATS >A05p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28231646:28232936:1 gene:A05p048140.1_BraROA transcript:A05p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGICCGVVGESEPTVTVDSSTRASLRRRLDLLPSIKIVAPPLESSRKRQKRETPSPASGNQDLESNVRSDRKARSSPVKNSNSIPSSATEAESSFVSDAPKIGTTSVCGRRRDMEDAVSVHHSLIHKNSENLHFYGVFDGHGCSHVAEKCRERLHEIVKQDVEAMAAGGEDEWKETMAKSFQKMDREVSQRDSNGAASRNSVKSSCRCELQSPQCDAVGSTAVVSVVTPEKIVVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELIRIQQAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVIPDPEVTVTDRTDDDECLILASDGLWDVVTNETACGVARMCLQGAAAADGGDSDTAHNACSDASLLLTKLALARQSSDNVSVVVVDLRKRRSNNQVS >A01p019990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9790498:9794658:-1 gene:A01p019990.1_BraROA transcript:A01p019990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRPKSIIYTVKCKPTLAFEEDKEERRWNLTETEENDDVLRDRCKWIRRFLKETGFESQSYVQGKVIDPAIKGVRNVLGSCLKSKSVKRVVFTSSISTLTSKDENERWRSIVGETCKIPIDRVLKTKASGWINTLIYVLSKLISEEESFRYEKERGLDLVSVIPTTV >A01p001800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:814003:814266:-1 gene:A01p001800.1_BraROA transcript:A01p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFGLVFTVMFLVSAFSESRTADCRVLLGGSSEEISQSKNHVVEDFRSKELLGVVVRGYKRLRLLSSAGERMHTMASGPSRRGAGH >A04g502830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6444469:6444873:1 gene:A04g502830.1_BraROA transcript:A04g502830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLRGHRYPESMAASFISNRRSLLSNTEKSHGKVTDPSTISEQPLLVDRRGGIADEA >A09p007570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3953134:3953772:1 gene:A09p007570.1_BraROA transcript:A09p007570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSIVQQVLAFPAVKTAPTRYLPDPASINKLQIPTPSKKSEKSKGKSILRTNSFTDGARDQSKLGPKLTETVKRKLSLGAKILQMGGLEKIYKRLFKVYDEEKLFKAYQCYLSTTAGPIAGLLFISSKKIAFCSERSIKVASPQGDLTRVHYKVSIPLCKIKGVNQSMNTKKPSQKYLEVVTVDGFDFWFMGFLSYKKAFNCLEQALSLEQ >A01p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3834868:3835429:-1 gene:A01p007910.1_BraROA transcript:A01p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT4G30480 protein [Source:Projected from Arabidopsis thaliana (AT4G30480) UniProtKB/TrEMBL;Acc:Q9M0B2] MVLIESNLKKILELNPPNDQARKAIQRLEPLAAEKREEMKEEAITKLKEMGNSILGRFGMSVDNFKAVKDPNTGSYSLSFQN >A09p053910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46619152:46619891:-1 gene:A09p053910.1_BraROA transcript:A09p053910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEAPPGNSKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNKAVEWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >A06p055930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29173506:29175467:1 gene:A06p055930.1_BraROA transcript:A06p055930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISSLFKILSCADHVDYAIIVIALSSVVWYIWLYAKSKRQPHPLPPGPWGLPIIGNLPFLKPELHTYFQGLAKEHGPIFKLWLGSKLAIVVSSSEVAREILRTNDVIFANHDVPAVALINTYGGIDIAWSPYGPRWRMLRKLCVNKILSNVRLDSSVGLRRRETRRTVKYLADQARAGSQLNLGEQIFVMILNVVTQMLWGATVEEEEREIVGAEFIELVQEMNDLLMVPNISDFFPALNRFDLQGLAKRMRGLAQRLDRLFDRVINQRLGVDKGSEGKGEDFLEVLLKIKDEEDGQTNLNMNDVKALLMNMVLGGTDSSLHVIEFAMAELINKPDIMKRAQQELDEVVGKDKIVEESHIPKLPYILAIMKETLRLHMVAPLLIPHRPSQTTVVGGFTIPKDSKVFINVWAIHRNPNVWENPLEFDPNRFLDKSYDFNGNDFNYIPFGAGRRICVGMAMGERIVLYNIATLLHSFDWKLPRGERMEVEEKFGIALKLKNPLLTTPVLRLSDPNLYL >A03p009330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3737086:3737394:-1 gene:A03p009330.1_BraROA transcript:A03p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMITKMVLERPVVIYSKSSCCMSHTVKTLLCDFGANPAVYELDEVSRGREIEQALLRLGCGPAVPAVFIGGELVGGANEVMSLHLNGSLIPMLKQAGALWV >A02g511890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32010417:32012015:-1 gene:A02g511890.1_BraROA transcript:A02g511890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSSHSPDLTSLLEAECEMYAAEAEITRWNAEASDWEPSAEGDDGIPRTCYCGSEPVHGYSQTPKDPYRRYITCPNADDRDCHVWKWWDVAVEEELREFQRELNAVKGEANQREQKLLRLEKQVSEFTKKKSGVKLMVFSLVLGLVLLIVLGILGKDSKDWGVRSHVGRSHGCIWSLSDGVGITGDGVGFTGDGVGITGVVV >A01p020940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10216784:10217965:1 gene:A01p020940.1_BraROA transcript:A01p020940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSMESSTRSVQNDEIHHNGTFHFSSTKSHGGGGAASPAVVTNIVGPTATSVYELLECPVCTCSMYPPIHQCHNGHTLCSTCKVRVHNRCPTCRQELGDIRCLALEKVAESLELPCKFYNLGCPEIFPYYSKLKHESLCNFRPYGCPYAGSECGVVGDIPFLVSHLRDDHKVDMHAGSTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMGPVYMAFLRFMGDEEEARSYSYSLEVGGSGRKLTWEGTPRSIRDSHRKVRDSNDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEQHSPDSGLFMPNLSS >A02p029030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14622858:14624906:1 gene:A02p029030.1_BraROA transcript:A02p029030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADISFEEGEMMKLLHLFITSSKPVVEILLITTVGFYMALDGVNLLGQDARKFLNNIVFYVFSPSLIGSRLANSVTYESLMQMWFMPVNVLLTFIIGSFLGWIVILITKPPSHLRGLIVGCCAAGNLGNMPLIIVPAVCKEKGGPFGDPENCQKYGVGYVALSMAMGSIYIWTYVYNLMRVLTNSPIETQPSIESSCKVPLISSKEEEDNQKVGRWDKVKRRMVSLSGKVNLRTIFAPSTIAAMIALVVGLITPIRKLIIGNGAPLGVLQDSVTLVGDGAIPALTLIIGGNLLKGMRSSGMKKSSIVGVLVARYIFLPISGVLIVRGAYKFDLITSEPLYQFVLLLQYAVPPAMNLGTITQLFGAGESECSVILLWTYALASVSLTVWPTFFMWLVA >A02g508210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22601207:22602186:1 gene:A02g508210.1_BraROA transcript:A02g508210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITILLLDELGQISLEGEPLATMLPLCFNPTAEFCHIPPLSLKRKEKWSAAFWKMVTWSLQDEDGTRDEEDKEKCYLEYPNVEDVDNV >A01p046200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26024064:26025674:-1 gene:A01p046200.1_BraROA transcript:A01p046200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 705, subfamily A, polypeptide 6 [Source:Projected from Arabidopsis thaliana (AT2G05180) UniProtKB/TrEMBL;Acc:Q9SJ39] MAAMVFIDFQNCVILTLLCFISLLCYSLFFRKPKNSPGHDLPPSPPSLPIIGHLHLLLSTTTHKSLQKLSSKYGPLLLIRIFNAPIILVSSASVAYEIFKSHDVNVSTRALAAIDESLVFGSYGIINAPYGDYWKFMKKLIATKLLRPQSLERSLGIRAEEIQRFCRSILEKARKNESVEISKEAMKLINNTLCRMSMGRSFSEENGEAEKVRGLVGESYALTKKMFLAALLQRPLKKLRIPLFKKEIMSVSDRLDDLLEMIIVEHTEKLDEKQQDKDMMDVLLAAYRDEEAEYKITMNQIKAFFVELFVGATDTSVQTTQWTMAEIINNPNVLERLREEIDSAVGSSRLIQETDLPNLPYLQAVVKEGLRLHPPGPLLVRTFQEGCEIKGYYIPEKTTLVINAYAVMRDPDSWEDPDEFKPERFLSPKEDEKELAFKYLPFGSGRRGCPGGNLSQIFVGTAVGVMVQCFEWKIEGGEVNMEESFEGMNLSMVHPLKCVPVARPQAFSFTCNL >A03p034330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14499685:14506511:1 gene:A03p034330.1_BraROA transcript:A03p034330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEGASVGVGGGKIKVGVCVMEKKVSSSPMGQILDRLESFGEFEILHFRDKVILEDPIESWPICDCLIAFHSSGYPLEKAQAYAALRKPFLVNELDPQYLLHDRRKVYEHLEMYGIPVPRYACVNRKVPNEEVDYFVEEEDFVEVNGERFWKPFVEKPVNGDDHSIMIYHPSSAGGGMKELFRKIGNRSSEFHPDVRRVRREGSFIYEEFMSTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPAEKQMARQLCIAFRQTVCGFDLLRSEGCSYVCDVNGWSFVKNSYKYYDDAACVLRKMCLDAKAPHLSPTLPPTLPWKFNKPVQPNKGLTRQGNGQSEELRCVIAVIRHGDRTPKQKVKLNITEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRQGRESDSDPEDLEHAEKLRQVKAVLEEVLYRKPFGMAQGGNFSGIYREVQLKPLKWDGEGEEERPVKALMILKYGGVLTHAGRKQAEELGRFFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDTASIEMEAAKAKLNEIVTCGKKMTNEHGSSEEFPWMTDGAGVPPNANELLRELVTLTKNVTEQVRILAMDEKENLTEPYDMYDQAKALGKTNIDSDRIASGLPCGSEGFLLMFARWIKLARDLYNERKDRFDITQIPDIYDSCKYDLLHNSHLGLQGLDELFKVAQLLADGVIPNEYGINPQQKLKIGSKVARRLMGKILIDLRNTREEAMSVAELKEQHVTLSLSASKKQQDKNIQPKRDDLRRPGTGDKDEDDDDKETKYRLDPKYANVKTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEESLISQNALERLFKTKELDYMSYIVLRLFENTEVSLEDPKRFRIELTFSRGADLSPLEEKNDDEAESLMREHTLPIMGPERLQEVGSCLTLETMEKMVHPFAMPPEDFPPASAPVGFSGYFSKSAAVLERLVKLFHSYKNSSSNGKS >A06p020400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9991535:9993210:1 gene:A06p020400.1_BraROA transcript:A06p020400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 15 [Source:Projected from Arabidopsis thaliana (AT3G49380) UniProtKB/TrEMBL;Acc:Q9SG11] MGKNGGSSWLTAVKNVFRSPEKKCPRRRERQQDNGLVQEDEEEQQQQTKRGKRRWLFKKASSDSCARDVGINIKNAVNTNSASVDAIAVDETEKKASPTAKEAVFFCRTSVYLKRHLAAILIQTAYRGCLARKAFKALKGVVLLQALVRGHNVRRRASITLFRVQALVRVQARVLDDRKKFTANPGDGTTLSRAFSKQMWRTTAREAHSESELEDKRPSRLNRFGYQEIGRRLSTDQTIVEPVKIVEIDTYNTYSHNQQLNDRTPRGNSRGTRQGHSIPNYMSTTASTVARFRPQSAPRQRSNQTGLDDNEPRLQLVRKRLSFHKDIPQSNGYFWYDKKTDDHDLRGCSALN >A05p037370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21217666:21219396:-1 gene:A05p037370.1_BraROA transcript:A05p037370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDMHSKPDGGNQIVSEAVHHHSINSLNPWQRAAAAACNASSLVEEAVEKSIALESQDNWESTNGSKSPSHKDENGNKESPQVTISPQESAGDYSEKSQELVHVGVTGPPHPQLVSHTVGWASSNPYQDPYYAGMMGAYPMAYVPYGGMSHSRMPLPPEVAQEPVYVNAKQYQAILRRRQARAKAELEMKLIKSRKPYLHESRHQHAMRRPRGTGGRFAKKTNTEASQPKPGEKNNATQSPTSSHSDQPEAWNDECRKQSEEMQSLASKRREEAEDCSGQQWNSISSNHTSQARLAIK >A07p051590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27366336:27367719:-1 gene:A07p051590.1_BraROA transcript:A07p051590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRALTTVCILLCLFFSASFFTHSVVDARKLERHAKKKPKKLVIKAFKHTTFLEKMMTQLNLAQPLEYSTTSSNTQPYGVSTTLTLPPYASLPPLPVPGNAPPFCVNPPNTPLNSPPSISNPGLSPPPGPITVPNPPESSSNPNSNPNPPDSTSNPNSNPNPPESSSNPNNPGSSSNPNPPVTVPSPPESSSNPNPPESSSNPNPPVTVPNPPESSSPNPPETVPSPPESGHTPGPSGPISGPPYNEPSPSTPTDTPTPSGNLPSPTGTVPTPSSGFLPPIVYPPPMVPPPSGMTPTSAYWCVAKPTVPDPIIQEAMNFACGSGADCHSIQPNGPCFKPNTLWAHASFAFNSYWQRTKSSGGSCTFGGTGMLVTVDPSYNGCHFDFF >A07p050230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26772620:26773720:-1 gene:A07p050230.1_BraROA transcript:A07p050230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYTNSVNGFYTFLNKSMEDLERVYLSNSFMSLQFLQRVICLLRTSHSHLTLLVQKLNLPIGDKWLDDYMDETSKLWDVCHVIKSSLSSMESFCSAAISVTSSLDGHHHHHNHRQVMRAITGCRREAVGIEEENRALMENRVQRFPFWSEQVTTTAAMESSKIQNGFSGFRGVMNTMKSMNTLFLTILTQGLVYCIPGEAAAATTVTATAMVRLKQRVAAEMERTGVKKGVMMYEYRRSKTAVEELKAELERRWCCGGGRGEEGEEAERGLRERVESVKVSVGSLRSGTESVVAQIDDFFDEIVDGRKMLLAFCSHR >A03p063150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27414249:27415778:1 gene:A03p063150.1_BraROA transcript:A03p063150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTISCNATDLIPLLSGGANATAAAAAAEFICQRFETVSGKFTDAGYAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYYLFGFAFAYGAPSNGFIGKHFFGMSDFPKPTFDYPFFLYQWTFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPIVSHWFWSSDGWASPARSENLLFQSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFEQRSKPLTLRGHSATLVVLGTFLLWFGWYGFNPGSFAIIFKSYGTSPGSSFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLIDGYWNVTDVCNGLLGGFAAITSGCSVVEPWAAVICGFVAAWVLMGFNKLADKLQFDDPLEAAQLHGGCGAWGIIFTGLFADKTYVSEIYGGDPNRPFGLFMGGGGRLLAAHVVQIVVIVGWVSVTMGTLFFVLHKMELLRIPSEDEVAGMDPTSHGGLAYMYTEEEIKNGIMVRGVGGEDDHVQGHVGVL >SC127g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:25718:27964:-1 gene:SC127g500010.1_BraROA transcript:SC127g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHRHTRISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMIHGKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADMCGQHADMSQYTDQYTDNTRISTRTVHGKGQHADMCGQHADMSSVHDHTRISTRISTRTVHGKGQHADMCGQHADMSSVHGSVHESVHGKGHHADMCGQHADMIHGSVHGKGQRADMCTDMVHQLSKLSTRTVHDEGPACLICVYTTAREGQHGYVWSACLPMSSVTDQYTHQSRTGQHADCCGQHADMNTRISTRISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADMCSQHADMSSVHRSVHGSVHEQSTGRASMLICVVSMLI >A05p038270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:23552622:23552810:1 gene:A05p038270.1_BraROA transcript:A05p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKQCNNEIDSINHVLFECIPAQDILRIVNFPPSTTPARSLGDNMSIALELMHDCSVPGNL >A02p029350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14994615:14996564:-1 gene:A02p029350.1_BraROA transcript:A02p029350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLPHPSNSSPLTVLGFLDRAASIYGDSPSLLHDTTTHTWSETHSRCLRVASTLSSSSLGINRGQVVSVIGPNVPSVYELQFAVPMSGAVLNNINPRLDAHALSVVLRHSESKLVFVDHHSSSLVLEALAFLPKNEKPRLVLLQDDSNISGLTNMSASSSADVDFLDTYEGVMERGDPRFKWVRPNNEWNPMVLNYTSGTTSSPKGVVLSHRAVFMSTVNSLLYWSMPNRPVYLWTLPMFHANGWGYTWATAAVGATNICVRRVDAPTIFELIDKHQVTHMCAAPMVLNMLSNYPARKQLKRPVQTVLYTNPAVKEAAVVAKPDKMWGETPCAFVSLKCSDGGSVTEREVREFCKKKLPKYMVPRNVVFMEELPKTSTGKIQKFLLRQMAKSLP >A09g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24135960:24157328:1 gene:A09g508590.1_BraROA transcript:A09g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHKNPHTRDKETLAVKLLFSFSLFFSHASLSLSLSLSLRWISSSRLAASPPRASQAAGGGGGRPSGDWICSDLISFVSCSRSRSRSRLRWCVSNPTFSHDSVYSCMLELGLIRPCLRARMIEHVTLLLAWLELLLINKELLVSPPEFLEHNRFVLMVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSAPAIVQAYLTRSFLKNLKQDRKALPNGPVVISPDGRAPHKFKIACLEDIKKLFPEHYNPFFAGFGNRDTDELSYSKLGIPRGKIFIINPKGEEATGHRVDVKKLAQNQDVSAMVNASELTGQDANHSILPRMMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPPKLCRDLERLGNPSRQASLFLFSLLHSRLSLSPSPFSFRWISSSLLAASPPRASRAVGGGGGRPSGDWICSDLISFVSCSRSRSRSRLRWSVSNPTFSHDSRDKETLAVKLLFSFSLFFSHASLSLSLSLSLRWISSSRLAASPPRASQAAGGGGGRPSGDWICSDLISFVSCSRSRSRSRLRWCVSNPTFSHDSVYSCMLELGLIRPCLRARMIEHVTLLLAWLELLLINKELLVSPPEFLEHNRFVLMVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFSAIKENGYQLLFLSAPAIVQAYLTRSFLKNLKQDRKALPNVIRRAPHKFKIACLEDIKKLFPEHYNPFFAGFGNRDTDELSYSKLGIPRGKIFIINPKGEEATGHRVDVKKLAQNQDVSAMVNASELTGQDANHSILPRMMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A01p010810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5277363:5280421:1 gene:A01p010810.1_BraROA transcript:A01p010810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENPQNFKTKKMSISCTRNFFERFCVEEYNMDARKHSSFLSADLLPSLGARINQSTKLRKHIISPFDPRFRAWEMWLVILVIYSAWICPFEFAFITYKKDALFIVDNIVNGFFAIDIVLTFFVAYLDSHSYLLVDNPKKIAIRYLSTWFAFDVCSTAPFQSLSLLFNYNGSEIGFRVLSMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIAEQYPDPTKTWIGAVYPNFKEASLWSRYVTALYWSITTLTTTGYGDLHAENPREMLFDVFYMLFNLGFTSYLIGNMTNLVVHWTSRTRTFRDTVRAASEFASRNQLPPNIQDQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIANYLFLHILQKVYLFEGVSRNFLFQLVSDIDAEYFPPREDVILQNEAPTDLYILVSGAVDFTAYIDGENQVQGKAVVGDAFGEIAVLCSTPQPFTVRTTELSQILRVRKKSLMSAMRAHVEDGRIIMNNLFMKLRGQQSIAIDDANNQPEPDFLLQKWLSGGPKRGEGNTSGQGKGHKYLQINDSESIDLESTRRTQEHRIEIEEGGKANKDINGKSCSHADLTSFKFPSPETYPYCKFSKQEAVKPEDRRITIHLKSQGKDLSKLIILPASMEDLMRLAGEKFGDRSFTMVTSAENAEIDDVNVIRDGDHLYFYISELESIDS >A09p019410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10279666:10279971:1 gene:A09p019410.1_BraROA transcript:A09p019410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWNPKNVDKIKCKLCGKEFSGGAYRIKEHIANIPGNVSACPKSSKDDKEKCKNAIEEANKKKEKKVTLNDELQLTANVHGSGDLEDEFLKHYNRNLKIF >A03p035450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14888719:14891040:-1 gene:A03p035450.1_BraROA transcript:A03p035450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPSFPHYQNPNLNFFPQCPPLNPNPNFFSQPPPPILELSTTLSSLQSLISESQRTIASLSQNLAVDNSSLLDSDNFVRCPFDPNHLMPPEALFLHSLRCPKPLDLTHLLGSFTSYRNKLELPLNNGDGDVCFGHNFFYKDCPGVVSFSGIDNSKKSLKLCSDFVGSCEEEKKKKSVLDKRLRLLPSSVYAVKSEIGRWREYPSSYSYSVLSSILSSRVNDMSELRTWILVNSTRFGVIIDTYMRDHVFLLFRVCLKAVVEEANGFMIESDANAYERRTFECPVLVRVLSWLASQLAVLYGEGNGKFFALDMFKHCIEVSASRIMLFRSPESSSVLEVIDDANFSNEDVAEAVAALYERSKLEGKIRVIRYAQPLTRYQRVAEHGIMTAKADEERKGRPNYRPIIDHDGLPRQRSSNQDMDKMKTREELLAEERDYKRRRMSYRGKKVKRTPRQVLRDMIEGFTEEVKLAGGIGCFEKGMPSHSSSSIGNDQKESVSTTLTDASAKSYKPGKGENRADSEYAVDIRTSRDRGKRYEEYDSSSSQRQQNHRSYKRSVRRDDDDDEYRRTKRRSHEKESHHQNHISSRERSSSDYKTKRDDRYDRRSSRETRKQNSFEDRYNPLERD >A03p022790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9610790:9613631:1 gene:A03p022790.1_BraROA transcript:A03p022790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETDNIMYEESFIKNTRGMKLFTCKWLPASQEPKALIFICHGYAMECSITMNSTARRLVKAGFGVYGMDYEGHGKSDGLGAYIPNFDHLVDDVSAHYTSICEREENKGKMRFLLGESMGGAVLLLLHRKKPEFWDGAVLVAPMCKIAEEMKPSPLVISILSKLSGVIPTWKIIPGQDIIETAFKQPEIRKQVRENLYCYKGRPRLKTAYELLRVSTDLEKRLNEVSLPFLVLHGEDDKVTDKAVSRELYETASSSDKTFKLYPGMWHGLLYGETPENIEIVFADIIGWLDKRASDGHGGFESELKRENDAFQLKE >A08p013290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8272668:8285449:1 gene:A08p013290.1_BraROA transcript:A08p013290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEANITVALLNKPAENDREEDELGMKEKVWNESKKLWVVAAPAIFTRFSTFGVSMISQAFIGHLGPIELAAYSITFTVLLRFSNGILLGMASALETLCGQAYGAKQNHMLGIYLQRSWIVLTGCTICLMPVYIFSGPILLALGQEERIVRVARIIALWVIGVNFSFVPSFTCQMFLQAQSKNKIIAYVAAVSLAVHVFLSWLLMIHFDFGITGAMTSTLVAFWLPNIAQLLFVTCGGCKDTWRGFSMLAFKDLWPVFKLSMSSGGMLCLELWYNSILVLLTGNLKNAEVALDALAICININGLEMMIALGFLAAASVRVSNELGRGNSKGAKFATLNAVFTSLSIGFVLFFVFLFLRGRVSYIFTTSEAVAAEVADLSPLLACSILMNSVQPVLSGVAVGAGWQGYVTYVNLACYYLIGIPSGVILGYVVGLEVKGVWIGMLFGVFVQTCVLCIMTLRTDWDQQVSTSLRRLNRWVVPDESSADLMDNGTVNEVLTDLQETEEDTGSAEGNWMKTEDVTENNGNVEKVEQSEKDKEEGEILEESPELYDDDTEEETEAVDSGNETRPKENMDKGRQDQMRKKGHERG >A07p003350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3314160:3315026:1 gene:A07p003350.1_BraROA transcript:A07p003350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP112 [Source:Projected from Arabidopsis thaliana (AT2G18260) UniProtKB/TrEMBL;Acc:A0A178VPA2] MNDLMTKSFLSYVELKKQAKIDTESDHVDVEKGDLHQENLSAFFSEIETIKTLIEEITHLLHDLKNLNEETKSTHSAKILRGLRDRMESNIVAISRKANAVKTLIDSIETENRKSGSCVDRTRVSITNGVRAKLRETMSEFRRLRERIFAEYREDLKRKYFLATGEEPSNEDMEKMISGDGLVKTFEVKPEMDLKTKERHEAVNDIKRSLNRLHQVFLDMAVLVETQGDRVDDIEANVATAGSFVSGGTNSLFYANQMKKKNKKWVLWGSILGVIILLVCLVSMLASR >A10p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3538839:3539887:-1 gene:A10p015590.1_BraROA transcript:A10p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVILSDSELLDEDWEEGELREFLEEAEEVLPVTGLTEQSDTEIIANDPGAMEEPGAKATKKKGLKTGAFGGATKKRLVQNLLSSRKSKMSKAPVKNGEKGNREGKKAGRYLWACGHYGWFVLGVLVWIGCRRVIVSCSAVVDGCVMGVGVIIVALVYGDCVFHGAFV >A02p022250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10540456:10551396:-1 gene:A02p022250.1_BraROA transcript:A02p022250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKINFARFVNYITWTDDLARIFLNLFILEQEKESWLNKYLTKEGRTTVINSFKEATGYTLVWRSFKNHLTNQKTWYDCYNWLSNKTGVTIDHSTGQINMDKEWWDDRIKENSVAGRFRKKSLANQDLLENVFSGTHIGAKDGWSVGSGQDVYRPQYGYDTEMEFNIGTTSQNENVDGSLPNMVNDQGDDLPYPTSSDNHPPPAGGNNKPNKRKRTASNIDNSSELSTVIRERSDAIKLAACEMSSASTSDITMASRRLHQISEIEFGSSFYWDATKLLSTDETVQRWLIGISENEHALRYLEHVVGHIMIMFLLRAVYVGFDRYGPSGPGWLRPQVDNRPLWTFGLQVGFGRMLATGHYGPSGTRLSSAVGWLRAIMDQQVVGLATAVGWLRPHVDYGRMLATAASLATAAGLATTIGLATVQDWLRPPGKQRHDSSSPPHPPTWHQGFTRKARTRRGGKKSHTMIFHDLVPEMSSEDQSFEVEEQLIFEVPVLNPMCHEKCLNESMETKIELPLMSMCFKGSDEEDDNAESCLNGLFPTDTELAQFSADVEILLGGGTDREYNMEELGLGEMLKVEKEEVEEEEEVATIEVCDLDAADEITPFVISFEYEYSQKTNLEEEDEKQDVENNMIDVGVNEMSCSIKEEKNEKVHMLRLDYESVISTWGGQGTPWTARETPQIDLNMLCCPTDSMVESGGEAHHHNYVRGLGLHMGEAGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRSSIAAAH >A05g501070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3850678:3850956:1 gene:A05g501070.1_BraROA transcript:A05g501070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFPSSNINTEVLGSFVVGPLTTTPNHCVFQSPSGSTVIGDGDEVEIEPSSSFSLTRGGRESKPPIKYENMEWKTVRGRGKRGRRDRGSYH >A06g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8915273:8916893:1 gene:A06g502740.1_BraROA transcript:A06g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p006550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3757864:3759527:1 gene:A08p006550.1_BraROA transcript:A08p006550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFKLGSLIRITASHLSSNQHLRDAGSFASSAFPKPRFFSNGTDGESALFHHARMFRKPLSTSFKFNLSNSVSLVGFVDQPISVIDTEPDRFGVSTWLRVKDPRDPNRSFRIPLSIWDVMARKCVAHLKPNDFVFVSGRLVSYDKSSGNENSGFGLNYQVKVSEVNHVMAPPSHLLDSEIPKKPKSETVVSLEVAREDAIEESKNGDVDLWETFFANPDDWWDRRRSKKNPRLPDFKHKDTDQALWLSSDTPVWVTSHLEFLDQRRGDDTEESEHDEIHLWKALFANPDEWWDKRRNKKSPKLPDFVHKDTDEALWLNSDTPVWVTRQLELFDQSKPDGIEESNHDKVYLWQVFFANPHEWWDKRKSKTNPRQPDFKHKDTGEALWLDSDVPVWVTRQLELYDQSNSNKSCYDQEQTGGGRLGDWV >A02p057310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34458939:34461692:-1 gene:A02p057310.1_BraROA transcript:A02p057310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDRQLFSGLLLILSLLSLQNLCYCDDDNTVLYESFDEAFDGRWIVSKNGDYEGVWKHAKSEGHDDYGLLVSEKARKYGIVKELDEPLNLKDGTIVLQYEVRFQEGLECGGAYLKYLRPQEAGWTAEGFDSESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANLLSGEDFEPALIPAKTIPDPEDKKPEDWDERAKIPDPNAVKPEDWDEEAPMEIEDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGMWEAPKIDNPKCEAAPGCGEWKRPMKKNPAYKGKWSAPMIDNPAYKGIWKPRDIPNPDYFELDRPDFEPIAAIGIEIWTMQDGILFDNMLIAKDEKVAESYRQTTWKPKFDVEKLKQKAEEEAASSADGLKSYQKVVFDLLNKVADISFLSAYKSKITELIEKAEEQPNLTIGVLVSIVIVFFSLFIKLIFGGKKAAPAATVEKKKKPEVGESSKSEDETEKKEETAAAPRKRQPRRDN >A02g513000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35697650:35700431:1 gene:A02g513000.1_BraROA transcript:A02g513000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEEVVWTSRKSSGLPGSRLDFQEVVWIFLSVLVFQIWKTSGTTYLLVVWKSSGSRLEVVWTSCKVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFNSLWRESESFKLVVHGGCGIDDNGNLVIT >A02p010470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4513521:4514278:1 gene:A02p010470.1_BraROA transcript:A02p010470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGMEKFYQEFDPVTRWTSEPDAEILVVDLPGFKKEQLKLAVSSTRKLRLIGERPTGGNKWIRFHKEVPVPLTLDIDSVSATFKDNKLYVRHPKVKKTQVPQTKPPVIKKPHDQHEKNKGHQGSKASQSGGKTEQRKHDARKKEAVGEPRGSLSSKDHEEKDKVGAKWFEKYKEATGNMVKEAKNKRQLLCNLAASISLVLLILLYARNAVRASLVWNSEE >A03p024940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10492753:10494614:-1 gene:A03p024940.1_BraROA transcript:A03p024940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLLAQCWPGLLPQDCSGVSALSEKDLQLPSPAVEILPSKTAAQHRYSGENLDVLGLPIFKGKVSVADMIGLSSSETAPFKYEGSMKSWESAIVLVDVLKNEIRDGQLSFRGKRVLEVLPLMSLNGSLDTSVSCNEQPVSRYELGCNYGVPGIFACLKGASSVHFQDLNAETIRCTTIPNVLANLDQARDRQSRQPEVLLTPSRQAVSSSVRFFAGEWEELPTVLSIIRTDVVEPVNQGMNLSFSEEDFMDGCSSQEGSITGQPDFSSRRSRKLSGSRAWERANETEQGGKCGYDVILMTEIPYSVTSLKKLYSLIKKCLRPPYGVVYLAAKKQYVGFNSGARHLRNLVDEETILGAHLIKETTDRDVWKFFLK >A06p042800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23029669:23039002:1 gene:A06p042800.1_BraROA transcript:A06p042800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGETVLYEIRNHASLLFVPRYPPLPQAHGTVSKGGLRSLVSIRGVSQLKEKWSGYWNPRKDNKPVSLFISPRGEFVAVTSGNHVTILRKDDDYRKPCGTFTASISGSFTSGIWSENHDVLGLVDDSETLFFIKANGEEISQVTKRNLKVSSSVLGLMEDDDGLQTSCLCSFSVLTSDGLIHHVEISREPSASVFSKHASSSSPALRKQFPNHVFCFDYLPDLSFLLIVGSAAGVSSTASSGSSCISLWRKCQNSGMELLSTSKFEGLYCDGKESQLAYPKVLISPQGSHVASLDSNGRLHLFELDKERLILSSCPSEDSSTSLERLSNVVDFTWWSDHALAILKRSGNVSILDIRRCVIVKEDATIYSMPVVERVRKFEGHIFLLESATQEEKSALAKVDMVPGESHHSSDRGMLWRLISFTEKTIPEMYKILVENCQYQEALDFADSHGLDRDEVFKSRWLNSEKGPSDVSMILSKIKNKAFVLSECLDRIGPTEDSMKALLGHGLHLTNHYVFSGSEDQESKQLWEFRMARLRLLQFSERLDTYLGISMGRYSVQDYRKFRSSPINQAAIALAESGRIGALNLLFKRHPYSLASFTLKILSAIPETVPVETYSHLLPGKSPPTSMAVREEDWVECDKMVRFITKLPESEKNDSLIQTEPIVRRCLGYKWPSLEELTLWYKNRARDIDSSTGLLDNCICLIDIACRKGISQLEQFHEDLSYLHQIIYSDEFGGEICFSLSLVGWEKLPDYEKFKIMLEGVKAETVLSRLHDKAIPFMQKRYSGTTNHDEESFLVKWLKEIAAKSDMDLCSKVIEEGCTDLYTVCFFKDEVEVVDCALQCLYMCKVTDKWNVMATMLSKLPKIHDINGGEDIQNRLKLAEGHIEAGRLLELYQVRKPINYFLEVHLDEKGVKQIIRLMLSKFVRRQPGRSDNDWACMWRDLRQLQEKAFPFLDLEFMLTEFCRGLLKAGKFSLARNYLKGTGSVALPSEKAESLVINAAKEYFFSAPSLASEEIWRARECLNIFSSSRTVKAEADVIDAVTVRLPDLGVTLLPVQFKQVNDPMEIIKMAITGHPGAYLHVEELIEVAKLLGLNSSENISSVEEAIAREAAVAGDLQLAFDLCLVLTKKGHGPIWDLGAAIARGPALEHMDVSSRKQLLGFALGHCDDESISELLHAWKDLDLQGQCETLGILSESDSPEFRKMDGVSCLRDNPQMIDGLNFDQQLDLDRVKATLSVVAKDLPVDNSVDLESLLKENGKLLSFAALHLPWLLKLGRNRQLDKNLVLDSVPGKQFVSTKATALVTILSWLARNGFAPKDELIAMITDSIIEQPVTKEEDIIGCSFLLNLVDASNAVEVIEKQLRIRGNYQEIRSIMSLGMVYSLLHDSGVECTAPNQRRELLRNNFERKQIESFSDDVSRIDKLQSTFWKEWKHKLEEKMHVADRSRMLERIIPGVDTERFLSHDIDYIKAAVFSLIESLKSEKKLILKDVLKLADTYGLKHSEVILRYLSAILCSEVWTNEDITAEILQVKEEILAFASDTIQTISTFVYPAVSGLNKQRLAYIYSLLSECYCRLEGNKEESSLVLQVQPHGSFVGLSNWYNTLQQECSRVSFITDLDFKNIVELGGLNFDRFNNEVHAHINEMNLEALAKMVEILTGLFMENSPKGLISWQDVYIQYIMNLLDTLESRRDLDFGSAESFQGFLSQLEQTYDHSRVYIRVLEPLQALEIMKRHFMLVLPPSGSYVHIPDSSTWQECLILLINFWIRLADEMQEVKSSSPSLEENLILSPDCINSCLTVLIRLVMDDSLSPSQAWAAVLAYLRSGLVGDYATEIFNFCRAMVFSGCGFGPISDVFSHLSSRYPTSLQDLPHLYLSVLEPILQDLVSGAQETQNLYRLLSSLSNLEGNLDELKRVRLVVWEKLVIFSENLELPSQVRVYSLELMQFISGKNIKGSSSELQSNVIPWDGSAELLLSRQKTEDTLDQALLPDQADGSSRLTNTLVALKSSQIAVASISPGLEISPEDLSSVETSVSCFSKLSAAVTTASQAEALLAILEGWEELFEAKKAELLLPSNEATDEGDDWGDDAWNDGWETLQELEPEEKEKKEYVVAAHPLHSCWLDIFRKYISLGMPEHVLRLIDGSLEKPEEVLLEETEAESLTGILVGTDPYLALMISLLFPYERIRSQCLSVVEDKLKQEGLPELSSQNHHNVLLLVIYSGTLSTIISNSSYGSVFSFICYLVGKLSREFQEERIREAGNKEASTSSERRLLSCFGELMFPCFISGLVKAEQQILAGFLVTKLMHSNPSLSLINVAEASLRRYLEKQVESLEDSFGETTEVETLKNTVSSLRVDSKEVIRSALTSLSSCTNSR >A02g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20613958:20615592:-1 gene:A02g507280.1_BraROA transcript:A02g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVNGFVSVQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTFSFSSNAFRALIYIESLEPLQPPLPPFPTPPSTLSALEGPRSPLLVGSSDSRIPGADLLRRGATSHDASSFTILETLMNHKANIRALFQSNGWILSQTTAKPEEGREVECCVLEDGYLEFTR >A01p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9102128:9105097:1 gene:A01p018690.1_BraROA transcript:A01p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYEHMNQMTMTTTGMMKNFNKVGPINIPRKKTTRRSVSAIDGGGAATAAAAGEGDRPQDLKTLDLSGMSLASLSASSINLASISKLDLSNNNIQQIPESLVARMLNLWTLDLHSNQLKTLPNSIGCLSKLKVLNVSGNNLQALPKTIEDCRSLEELNANFNELTTLPDTIGFELTNLTKLSVNSNKLIVLPSSLGHLTSLRVLDARLNHLGSLPEDLENLVNLQVLNVSQNFQHLKTLPYSVGLLISLVELDVSYNGIKVLPDSIGCLRKIQKLSVEGGKWFGSSEAVQSEKMTESNKKTPTKKKLWGIGKMVKFKTFHGLSSSPGRSPGRRTGGDSHGDEREGFINVSDYRQIDGIASPRHVSLFNPRRLLSPLSAYFSPPRYR >A02p008400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3496337:3497618:-1 gene:A02p008400.1_BraROA transcript:A02p008400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing protein At5g18000 [Source:Projected from Arabidopsis thaliana (AT5G18000) UniProtKB/Swiss-Prot;Acc:Q9FJG2] MVRNGGFGQIMEEGDSPGFFKILRREDLSSQLIRMIPHDIIRSISDDSSSFKMVLKVPWGSSWTVKISKNPSFHYMEDDGWNQFVNDNVLGENEYLTFTHEGNMRFNVNIYEPDGKEMLKPRESATIASSMNKREQRERVNKHVKEEIVSSSESSYYSIKRAQGKKQEPNLGKKKAEESKKNKKSMKKKKKVDNDLEEGTSSLVPEFSITIRKSHLVFLGVPKVFVEMHMAKKTKWFKIRPEGKDSWDVLFLVTDAQSRFSAGWSRLSRELGLVVGD >A06g502820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9269442:9282334:1 gene:A06g502820.1_BraROA transcript:A06g502820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVGERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTQQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPASDTSTMAKTNKTDMEAKKAAAAKREFELRGKPLEPAEPSQQGVERTSRQRVLDARKAAEKEKRAGKAVASSSRDEEEEEPAPPKKVKMSKGKGIALERDRSKSPTVEELHHHLAKGVSWVPTRFADPKTMEELGIENDVRTMLQHMKMESFYSMAYPTYVEPSSQFLATLEASFYEGNHVRHGWGKIKFKVNGKSYFMSFKEIGAMMGIEDNEDQTLPRFKKLPTGVWRVISGNQHATGHDKNSAIRHPAVRYLHRILVHTLYPRKEAGTVNEEELRLLYRAVIDNVTPEQLEEFEETDKMKFPTTDIFKRFGMVGLFVERLMYYKDWVWTTSDSSPQLGIGGMITPLLIANGVNLGNDPKGPAFIDAPYLRIATYIGGRYQEKVVYTYFRKGKMAKLLLPNKKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPVTNPSLDLGPPLTARQLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWTHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFFLLIRKKALSFIAF >A02p009210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3818569:3819426:-1 gene:A02p009210.1_BraROA transcript:A02p009210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FANTASTIC FOUR 3 [Source:Projected from Arabidopsis thaliana (AT5G19260) UniProtKB/Swiss-Prot;Acc:Q6NMR8] MGTVVYQQGFQSSQLNEPWALRLRLSSPTPHFSQPLALKSHLLDSSNAKDTQNSNDDKPAASSGPDSSSWSFIQSLSSGSSSSLSKTTSSEKEKTYVQRPSSSRTLSDESLALCTENLGSETGSDVTDVEDLFLLSLSDMQTKKLEVTTTETRTLKIKKGCPSDLPPPLTSMRGSQCIQMRPHREDGRLVMTATKAPPLNRCFQADRSNGRLRLSILKDSDENVENEEVKIETEENEEHQDEDEEDNEVMMYKENVQISRRCIEGDRENRRLLNWESFSIATSQK >A02g511910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32156138:32158460:-1 gene:A02g511910.1_BraROA transcript:A02g511910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A10p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21139052:21142910:-1 gene:A10p037470.1_BraROA transcript:A10p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NEDD1 [Source:Projected from Arabidopsis thaliana (AT5G05970) UniProtKB/Swiss-Prot;Acc:B3H5K9] MSHLVDPTWRLLAASGGDTVKLFDVSADSGDPCVLSYTPTPGSAVSSVKWNHTNLVVASAGDDKKISLWWINGSSLGTVPVAGKDGGDSAEECLSAISFGRKGSKFIASGGTGQIVKIWDLQKKLCVKKLRGHTSTITGVMYNCKDEHLASVSVGGDLIVHNLKTGARASELKDPHGQVLRVLDYSRSSRHLLLTAGDDGTVHLWDTTGRNPKMSWKQHSAPAAGVCFSPSDEKKIASVGMDKKLYTYDSGSKRFSSCISYEAPFSSLAFGDNGHILAAGTGNGRVVFYDVRKTPQPVTVLHAFSSSEAVTSLTWQTSKPVIVNEKNYDGEMALLGGTVDDSVIIPDPVPSTTPSDSQPAGSRGTATSTSNVPSAEQTPNRTLWPGAPPGRLHALRAIDSFNDDMRVFSPIVDVSSAEKWADSEGFNNKDHLIDNKKPFASSSKGFPYGDGNKEHTKAAFSPFGTTTPTASTKSEDSSSSALTPPESWGGDRLSDKFNQLANEKISDKFPSRLGVSSTSGGSTSGSMFPLSSLGQTNLAANVSSEFPRIRDFSSASETDKNLPSSPLFAKGISAPGNMKQSQNDVGGSNFTLQLFQRTLEGTLDSFQNSVHDDMRNLHIEILRQFHMHEMEMSKVLSSVLENQAEIMKELQLLRKENQQLRQRL >A05p045270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27093242:27101757:-1 gene:A05p045270.1_BraROA transcript:A05p045270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMDTSPSFFDPEVLTVRDQFRRYGKRHSTSPHEEFSSPNVSENRLLYDGHSIHSPTNTALLLENIKEEVDNFHTDLYQGTPANPLSASKRESAGIMDGDDEALFRRVESQSLKACKIENDELAESGDTTFALFASLFDSALQGLMPIPDLILRLEESCRDVSQSIRYGSDIRHRVVEDKLMRQKAQLLLGEAASWSLLWNLYGKATEEVPEELIMSPSTSHLEACQFVVNDHTAQLCLRIVLWLEELASKSLDLERKVRGSHVGTYLPNAGVWHHTQRYLKKTGSAADTVRHLDFDAPTREHARLLPDDNKQDESLLEDVWILIRAGRIEEACDLCRSAGQPWRAATLCPFSGMDMFPSVEALIKNGKNRTLQAIELESGFGKQLRLWKWASYCASEKIAEQDGGKHEVAVFANQCSNLNRILPVCTDWESACWAMAKSWLDVQVDLELAQSKPGLAERFRNRLDESPDTMQNGCQGPEDWPLHVLNQQPRDLSALLQKLHSGEMVHEAVVRGCKEQHRQIQMNLMKGDISHLLDLIWSWIAPLEDDQSNFRPHGDPHMIKFGAHVVLVLRYMLADEIKDREKLSNVGDLILHMYSMFLFSKQHEELVGIYASQLAGHRCIELFVHMMELRMHSSVHVKYKIFLSAMEYLPFSHVDDSQGNFEEIVDRVLSRSREIKLAKYDPSVDVAEQHRQQSLQKAIAIQWLCFTPPSTIKDVKDVTSRLLLRSLMHSNILFREFALISMWRVPATPVGAHTLLSFLAEPLKQLSENTDTLVDYVSENLQEFQDWNEYYSCDAKYRNWLKFQVENAEVTDLSEEENQKAIVAAKETLDSSLLLLLRKDNPWLTFLEDNVFESEENMFLELHATAMLCLPSGECLRPDATICAALMSALYASVTEEVVLDRQLMVSVSISSRDSYCIEVVLRCLATEGDGLGPHNASDGGILSTVAAAGFKGELTRFQAGVTMDISRLDAWYSSKEGSLETPATYIVRGLCRRCCLPELVLRSMQVSVYLMESGNPPEDHDELIELVASDETGFLSLFSQLQLQEFMLFEREYRLSQLELQEDLSSS >A03p061640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26768058:26768715:1 gene:A03p061640.1_BraROA transcript:A03p061640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEDKKPGDGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMTAIAFLFDGRRLRAEQTPDELDMEDGDEIDAMLHQTGGCCGGVALS >A06g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3288299:3291293:-1 gene:A06g500810.1_BraROA transcript:A06g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVIWKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A05p038920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23829894:23831473:-1 gene:A05p038920.1_BraROA transcript:A05p038920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSGGIQRPLVAAAAVIAASVSADVSDKFSSLRSLVRGSEPEPIAASVSSSVQDEKSLWVSHMSTSKLADLSFMSRIRLPVPNVDLLAPNPSCTLAPSLTSLPALRSAYQSAELAKASKPAAFTTGASLVVPDVSYKWHLPETDAVDLSGSSRCALEKNRTVVVLLGWLGSKQKHMKKYAEWYTSRGYHVITFTLPMNEIMSYQVGGKAEKNIESLVNHLADWLDEEDQKNLVFHTFSNTGWLTYGAILEKFQKQDSSLMGRVKGCIVDSAPVAAADPTVWASGFSAAFLKKNSVATKGSGSSSFESNMGARINFSEPKPAAIETALLMILEKFFAVILNLPKVNRRLADVLETLSSSQPRCPQLYIYSSADRVIPAGQVESFIVEQRKAGHEVRACNFISSPHVDHFRSNPELYTAELNHFMDNFVLACCNHSS >A01p017550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8583815:8584800:1 gene:A01p017550.1_BraROA transcript:A01p017550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSATSLSFKAPSIQSTRISQVLRKASTFQSISFGRFQSSKSLRLQISCAAKPETVQKVSDIVKEQLALSADTALTAESKFSALGADSLDTVEIVMALEEKFNISVEEADAQNITTIQEAADLIEDLVQKKPAA >A05p010500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4442759:4446107:-1 gene:A05p010500.1_BraROA transcript:A05p010500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSL-kinase interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT2G36960) UniProtKB/TrEMBL;Acc:F4IP39] MLERSCAMQGEKEVTLSSQGPVEEGREPNQNSNPNIASSASIAVPQFPAKKPTRQWAAWTHQEEESFFTALRQVGKNFAKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELSLDAKNPKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKLFLEALEHQLLKDRRKSSRKRACHGESFSSASLGNISSHSRERGLDNSPFKLILCDSQNVRPGRASTKHGESLGVRLGDEKEDTALGRAGRQRRKQAGYRKWEKAAIDGVSLVADAAEHLERTSIDKDVNGQKDLGPTRYLTRKSPLSLPSSGEAPLSDANMQFSAKLKLQLFPIDESTRRSLEADKHNPHLELTLSNRKKISSVLEHLNRKWGSSSCACGELMLFPYNARKETVALHQRWTNDSFLSAVEVYSMVGSPSLFRLRYGWFVQDASGSFISQVPTSASYPSLEDNMNVDAANEDNMLLTESGPLSNKHPTSEPETSVVCAAAEARDNYEPASATITPFDHLSSVNTLSAGAWADSLTNISIGDLLSEAPDCVDPPAAEGSHCLLRDVSFASDSFDAAIAAHILRHQNKPSGVIPVTSGSSSLWDDEDTRDAFSFQKTRRANLSKFADVASNGEPSQLVEATSGDEGPCNLPDQQGDPMEEGPADPPTMDSPGKTIYGLADVYWPDSLGPLDLDIRSSKYAEDLNLSDSLGGLSRLIATSLDAF >A10g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16329140:16329805:-1 gene:A10g506050.1_BraROA transcript:A10g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCGHRSAFGACDGADEKTRRRLHQFLKKLKLKAASEYNYLNQSNCLTIDRIDDSQKFHKLMVEMALISRTCISTACSCIMVGNVSFEVIDNENHVDVVADEAVTNVAMLMGCD >A02p053900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32758604:32761730:1 gene:A02p053900.1_BraROA transcript:A02p053900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMASFLDSLVSKMPSLSTSDHASVVSLNLFVALLCACIVLGHLLEENRWMNESITALMIGLATGVVILLISNGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMLFGAIGTVISCTVITLGVTQFFKKLDIGTFDLGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVVFNAIQSFDLTHLNHEAAFQLLGNFMYLFLLSTLLGVATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHTFATLSFLAETFIFLYVGMDALDIDKWRSVSDSPGTSVAVSSILIGLLMLGRAAFVFPLSFLSNLAKKNESEKINFKMQVVIWWSGLMRGAVSMALAYNKFTSAGHTDLRGNAIMITSTITVCLFSTVVFGMLTKPLIRFLLPHQKATTSFLSDGNTPKSIQIPLLDQDSFIEFTGNHNVPRPDSIRGFLTRPTRTVHYYWRKFDDSFMRPVFGGRGFVPFVPGSPTERDPPDLSRA >A02p027480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13330473:13331609:1 gene:A02p027480.1_BraROA transcript:A02p027480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPSDLVSRDLPSSCEVESPPVTTLDKDALPNCIAHCSLSDFPSIASTDRTFRSLIKDKDLYRLRRAKGIVEHWIYFTGREMEWEAYDPNGDRWLRVPKMTLNECFRCSDKESLAVGTELLVFGKEVKSHVIYKYSILTHAWTSGKQMNTPRCLFGSASLGEVAVVAGGCEYDPCGKILNSAEIYSLESGEWTVITRMNKVRKMCSSVFMDGSFYVIGGIGEGSSKMLMCGEVYDLKKKTWTLIPNMLQQGSNGGGDQAKEASSGSGARPLVAVVKDELYAADYAQQEVRKYDKRRNVWNKVGNLPERDSWMNGWGMAFRACGDKLVVVGIGPRGVGRRTIEINACFPREGKSLHWRVLASKPSESFVYNCVVMGC >A10p006880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9921649:9923854:-1 gene:A10p006880.1_BraROA transcript:A10p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLLLRETVGGGLMATTTSGVYIHVIEDVVSKVREEFINNGGPGESVLSELQGIWDTKMMQAGVLSGPIDRSLVQRPTPGGGPLTHDLNVPYEGTEEYETPTAEMLFPPTPLQTPLPTPLPGTTDNSSLYNIPTGSSDYNTPGTENGSHASDVKEKPSTYMQPPSPWTNPRLDVNVAYEPERGNSNPQFTHDLYVPPSGKRKRDDSSAQYQNGGYIPQQDGASDAMPKANLEGNAFGITFVSDRKVPRDVTCSSSNIPQVDGPMPDAYDEMLSTPNIYSYQGPAEDFNEARTPAPNEIQTSTPVAVQNDIIEDDEELLNEDDDDDELDDLESGEDMNTQHLVLAQFDKVTRTKSRWKCNLKDGIMHINDKDILFNKALGEFDF >A06p033040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17728806:17730336:-1 gene:A06p033040.1_BraROA transcript:A06p033040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFAAQLFQQNFFVMQERLHKQMGENFEKIQYELKDLLKDASIEAEHGELSTSKPSPSKLLSRRFKRLCCLCISMKCDMFYIVSFWKCVWVDVNLSQADDINEWICTQGLEGLSQSSYVPGFDPSQTNKDNEPNDWWTPMTTVQNYQKLNQCKKLQLQHRQSGRNGLKNQARNLSFVIHQWRKMVLRSRHSQRIICAEKWLGDESINRVAFMSAMFCLQIETSYRKFVVNKRAYKLPNLLLAYGREELAAHGRTDKKVEVFNCLRRKNRKSIEKFAARIIRILKAAGPPENKKKILLS >A10p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2584969:2585922:-1 gene:A10p017180.1_BraROA transcript:A10p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFMSSSSVLTPTPFLGQTKGSTFNPLRDAVSLGSPKYTMGNDLWYGPDRVKYLGPFSVQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLQKWVRVDFKEPVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWAFATKFVPGA >A01g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7873006:7873599:-1 gene:A01g502250.1_BraROA transcript:A01g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRTRFWMEKGSGKKHWLLLARKLEHWPRLMGTKSLNSMEVVVQDGKKKKKGRRLRKKMKNNEKWVLG >A09p048320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43093059:43093799:-1 gene:A09p048320.1_BraROA transcript:A09p048320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIAKEIGSEAQFQKMSWKLGIDIATSARLASGVDESASRGEEQHKEAELEHHTQWKQPRHARGSVRATLLLYSLHVVQDVQKMSGSCY >A01p049790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27946388:27953627:-1 gene:A01p049790.1_BraROA transcript:A01p049790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSRSLSKSLGEVLTSSSNHFSRRSGSIDDHDEETLTWAALEKLPTFTRLRTTIIQPHELVDVTKLGVGDRQKFIDSVFKVTDEDNEKFLKKFRSRIDRVGIKLPTVEVRFEKLTIEADCHIGKRALPTLPNVALNIAERGLRLFGLNFAKTTKLIILREASGIMKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVTGRVTYNGYGLEEIVPQKTSAYISQNDVHIGVMTVQESLDFSARCQGIGTRYDLLSELVRREKDAGILPEPEVDLFMKSIAAGNVKSSLITDYTLKILGLDICKDTMVGDEMTRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCFQEVVRFTDATVLMSLLQPAPETFELFDDIILLSEGQIVYQGPRDHVTSRKDQEQYWADTTKPYIYIPVSEFSKQSKTFHVGANLENELSVPYDRFKSHPASLVFNKHSVPKSDLFKICWDRELLLIKRNAFFYVFKTVQIIIMALITSTVYLRTGMGTKDENDGAVYIGALIFSMIANMFNGFAELSLMIQRLPVFYKQRDLLFHPPWTFTLPAFLLSIPVTIFESVVWVTITYYLIGFAPEFIRYVKHLLVIFLTQQMAGSIFRFTAATCRSMILANTGGSLVILLLFLLGGFIIPRGEIPIWWKWAYWVSPMAYTYDALTVNEMLAPRWMNQQSSDNSTKLGLAVLEMFDAFTDPNWYWIGVGGILGFTILFNILVTLALAFLNRMNIKYILIASFLDMPALEKPQAIVTKEKTEENRAASGSESESSYAKRGMVLPFTPYTMSFDNVNYYVDMPKEMKEEEGAKDKLQLLREVTGVFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGFPKRQETFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPKEITKDEKMRFVDQVMELVELKSLKDAIVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGQNSHKIIEYFQAIHGVQEIKEKYNPATWMLEVSSMAAEAKLEIDFAEHYKTSLLYEQNKKLVKELSTPPQGEKDLYFSTQFSQSLLGQFKSCLWKQWITYWRTPDYNLARFFFTLVAALMVGSIFWKVGTKRDNANDLTKVIGAMYAAVLFVGVNNSTSVQPLVAVERTVFYRERAAKMYSALPYALAQVVCEVPYVLFQTTYYTLIVYTMLCFEWTMVKFFWFFFVSFVSFLYFTYYGMMAVAITPNQQVAAVFAGAFYGLFNLFSGFLIPRPRIPKWWVWYYWICPVAWTVYGLIVSQYGDVEDTIKVPGMMNDPTIKWYIKNHYGYDPNFMSSIAAVLVGFTVFFAFMFAFGIKMLNFQQR >A01p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1462578:1463409:-1 gene:A01p003270.1_BraROA transcript:A01p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVWDNRELLTAGSKVGFNELNFEVLSALPNFLQIFISSIILRAGSDTKHIKHALLTFKMFSYSGGNLFQQKLSVLLVLSYIKEDGWNLAS >A08p030640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18761841:18762337:-1 gene:A08p030640.1_BraROA transcript:A08p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCSQSVAERSISQTVHIAVDSNKDFEQIMLLFRNLVIPWICWPLWRDRNLLISENRNLSPKEAATKGLALAREWSWENQQKLMKNKPLPDLSRKISLRQANEPEIVCKSDASWDKTLKKYGLAWIFSVIDTSETRQGYTTMDFLTPHSWQKH >A10g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3995280:3997283:-1 gene:A10g501410.1_BraROA transcript:A10g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEAEIRDTKRRNEHIDMLSYVCDLEHGIPTRCPCGGSIIHEVRGKEEYDTLPGKRFFTCINYEADGFHYRQPWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEAEVKALTVEVDRLTGKVYNLTVQVTGSRRRMRGWLQVSVSLCIKSSHGW >A01g511620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34329079:34332366:-1 gene:A01g511620.1_BraROA transcript:A01g511620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGLHVLSAVGLRIGEKHKLSVGGVALPRVSVSVTAFSSEQRSAKSFRDYLEAAREFIRPEENSPSRWFSPLESKARCDRAPLLLFLPGIDGNGLGLMRQHHKLGQMFDIWCFHIPPSNRTAFPDLVRMVETTVKSESQRSPGKPIYLVGESLGACIALAVASCNPHIDLLLILSNPATSFGNSSLQHLSPLLKLLPHQLDLAFPSVLSLIPGGPLKRMIAHWVRGLPENETAANIYQDLVTASTFTSILADTFGRETLLWKLKLLDSASLFANAHLHLVQAQTLILSSGNDHILPSTCEGKRLRKKLPKCEVRSFKENGHCLFLEDGIDLVSIIKATSFYRRGRHQDYISDFIPPTFSEFNKCYGVNRLLEVIMGPVFLSTTVDGKVVRGLGGIPSEGPVLLVGNHMLLASDKISLPGQFVHERNINLRPLVHPMMFTRMRDGLLPDVSGYDTLRMMGSVPISATHLHNLLSAKSHILLFPGGIREALHRKGEEYKLMWPEKPEFVRAAAKFGAKIVPFCGVGEDDFLKVVVDYNDQIKVPIVREVLKRVTAEGPEVRGSVEGEEGNQDFHMPGVIPKCPGRYYYYFGKVIETGEEELRDREKAKEVYAEVKKEVERCIEFVKQRREEDPYRPLLSRLHYHLKHGLLTQVPTFPF >A03p066990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28664946:28670060:-1 gene:A03p066990.1_BraROA transcript:A03p066990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVEVAYGASVKAVLTLLEKNLLPDVVIRLLTRLLLAGRLRSGYKPTAELQLSDLLRFVNSIKEMPIAINTERPKTQHYELPTAFFELVLGRNMKYSSCYFPKDSSCLEEAEEAILALYCERAKVEDGQSVLDVGCGWGSLSLYIARKYINCKLTGLCNSKTQKAFIDDQCRKLGIQNVEIIVGDISTFEHEGTYDRVFSIEMFEHMKNYGELLKKIGSWMREDSLLFVHYFCHKTFAYHFEDVHDDDWITRYFFSGGTMPSANLLLYFQDDVSIVDHWLLNGKHYARTSEEWLKRMDKEIVAIKEIMEMTYGKEEAVKWMVYWRTFFMAVAELFGYNNGEEWMISHFLFKKK >A07p040270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21394977:21399105:1 gene:A07p040270.1_BraROA transcript:A07p040270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRAATESDENVCSTFGSRYVRTALPKYEIGESSIPKEAAYQIIKDELMLDGNPRLNLASFVTTWMEPECDKLIMESINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLGETETAMGVGTVGSSEAIMLAGLAFKRNWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPDKAAEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVKKNEETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRTQQDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNVMENCRENMVVLREGIEKTERFNIVSKEVGVPLVAFSLKDHSFHNEFEISEMLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVADIVKVLHELDTLPSKISRKMGAEDFGNVKGKKVERDILMEVIVGWRKFVKERKKMNGVC >A10p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:295029:297012:-1 gene:A10p000520.1_BraROA transcript:A10p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREITAKDVKATEKNRVRYSSKHIKHLPPGTITEFVWKDYCPLGFRLVQELEDINHAEYLKSVCNDETLRKLSTGKVGNMFLLCKDDRFLIKILRKSEIKVILEMLPGYYQHIHKYRSTLLSKNYGAHSLKPLGGVKTYFVVMSNILQSDVFMNKVYDLKGSSQGRTNKKIKVRDKTILKDIDLDFHFYVDSLARHRLLKQTKLDCELLEDEGIMDYSLMLGLQVKGSCQGSTDELIPVYDSFTSLGSVDSSKFMKTATNSPDSSSTMYSCTPSRDSIDSEESVNVQSVASMCPSPAPTNASDSPQGSIVYKTTPTNIFQNSSSTNFGMRIPGRARRVGRGESLGSVVGKNVKGGGEEWYDVILYLGIIDIFQDYGVRKRIEHCYKSIQHSSKTISAVHPKIYSSRFQDFVSQIFLPDDDTSN >A04g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:272920:273432:-1 gene:A04g500050.1_BraROA transcript:A04g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFSSLPSKLLLQNLRLKSVSTFSCLDSNSGKENSSRKNPRDLQAAKFFQVDPAAVFVCFLQASSEECVCKLALA >A09p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41552516:41557536:1 gene:A09p047930.1_BraROA transcript:A09p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFLLIYHPSPPSPSLFVFSRLTKDTERRYIFSIQIRLCERDRERERQLTADKMSSSSIKVVVGGGGGGRKGNNGMNDIPSGSRKIVQSLKEVVNSPEAEIYAMLKECNMDPNEAVHRLLSQDPFHEVKSKKEKKKETRDVPDSRPRGANNRYNSGVRGGSDRYAGRSASTHLSSADSGNFQGKSTSKKESGTQGYTSSWSSASGVPNHQLTPHSDSVVTENKLPSSTGDGILPSQPASGHQTAWFGAPGQMSMADIVKMGRPQNKTTNSKQNVNMRSEINHEHEANANHQVPIKEEWPSIQKPLVPGKSSVSVAPAESEVCDGQADFQSARVDQHLSDRLENIHLAESGPSENLGVDQLQPNSVPVKNVQEDDSGVSSEFNENQYAYQTQSHPVEHHKDEDEVSSGSADVQQLTVDSHDQAASHEEDRRAVVIPNYLLIHTEECSQLSFGSFGAFGSKSLSNNAEETPDVAQQIEHSDARNTEFYGDEHLESTGNGNMGHAAAAGSYDDSLESRQENPETVQEHQYTYAQSEPGYANQQLNTAYDASQTHAQNQTQNPETVQEHQYAFAQSEPGYSKQQQQLNTAYDASQTHAQNLASLSNVMGYTHSVPNSLLGQTAQNARELDFQYSPFAQSMQSRSNNNASSLGGQSISMPEALRGSGIPATQATQQNLPGANIATGPALPQQQLPMHPYSQPTMPLAHFANMISYPMIPQNYPYMPSAFQQAFAGNSSYHQQQLAALLPQYKANLSPSNLPQSGTAPASAYGFGNSTNVGSAGNFPLSQQSAPTGYEDVLSSQYKENSHLLALQQQQQQQQQQQNDNSAMWHHGHGSRTMSGVPANAYYNLQQQQQLQQAQQAAGGYRQAQQQQQYGSHGYPNFYQSQTEMSHERQQQNPRDGAGAQPSNQTQQQLWQNSY >A02g501960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6156934:6157140:1 gene:A02g501960.1_BraROA transcript:A02g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQTDGAHLGIYRTRDPTEPREKCTTLTTTDSLLLRLDDQVPGGGDETSSGEAAGRRSASTHGEHEA >A03p050850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21786971:21789809:-1 gene:A03p050850.1_BraROA transcript:A03p050850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49740 [Source:Projected from Arabidopsis thaliana (AT3G49740) UniProtKB/Swiss-Prot;Acc:Q9M2Y4] MRKALCLTESLSSISETSTTLLNLNRRLTALTRSGSNTNALNLFADIHRSPTPKPDQYTLSSAITAASHLRDTATVFGAQLHSYAIRSGLFRHSHVSNTLLSLYARPGSLPSLKNIFLEIEEPDVYSWTTLLSASFKLGDIDYAFEVFDEMPERDDAAIWNAMITGCKESGYHGTSIELFREMHEVGVRHDKFGFATVLSMCSLCFGTQVHSLVVKAGFLSASSVVNAVITMYFNGRVVCDACLVFEEAGGVRDQVTFNVVIDGLACLKRGEALLVFREMLEAGLRPTDLTFVSVMSSCSCADMGRQVHGLAVKTGYEDYTLVSNSTMSMYSSFEDLVAARKVFEWLEERDLVTWNTMISGYNQARLSESAMLVYKGMHRVGVKPDEFTFGSLLASSLDLDALEMVQACVIKYGLSSKMEVSNALISAYSKHGVIAKADLIFEGALKKNLISWNAIISGCYHNGFPFEGLKRFWSLLETEVVILPDAYTLSTLLSICVNISSLILGKQAHAYVIRHGLFEETLISNALVNMYSQCGTVQKSLQVFNQMSEKDVVSWNSLISAYARHGEGESAVMNYKRMREEGKVDADAATFTAVLSACSHSGLVKEGLEIFDSIVRPSVDHFSCLVDLLGRAGYLDEAERLVKMSGCGVDALWGLFSACAAHGDLKLGKMVARLIMEQEKDDPSSVYVQLSNIYAGAGLWKEAEETREAMNMIGATKQRETGMTSNNEDKTAVAALLPLPPPYPSPKRLVTSSSLVTYSCIMKWKKAGKKRQEKDYDPFLIAMRKCTSDAETEDDKVVSKGKNSLKSLISCKAPCVRE >A06p047730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25315639:25316920:1 gene:A06p047730.1_BraROA transcript:A06p047730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYAMNGDSQAEMMDTDSSKPTTPRDDFLAAARRLVDQGQPSQALQAFRSIAFYSFNYFPTDHTSIAFHPLGLVVSRNHDLINLDTLVVVMAMRTQGGDEAVLQILNRTRELYKRRIQETASIDQLASIFAECAITEAQPLGHEPISTDLFGTKERVTADARGISILEKSGRSQIMLDAFADGSSFICLQCGGLVSTHRRDEHYAYWCSNM >A01g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11977597:11979709:1 gene:A01g503940.1_BraROA transcript:A01g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEIPTDILNNSEEIPTDSFRRTRHFIRSNQIFFPTSLFLSAEHSLLSREFRRLHPSLSTISGESALILLNFIQSRGSSSHIQDSASPHSSYHTSPSPFPAPAPLAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTPYPHGRGQTWFNRSGNGISAWINRMMYSALDKGHPTFTNFPTEKQHLWFCQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGVYKQNLGAQSVATLGDRMAEENDGEPVDDLALMKRAYTNKKTSQIDDGLVRDVVSLVQTQSVPKKKGHLVGLGRRSRSAAPSSAPPAYVDPEILTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A08g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21293809:21296156:-1 gene:A08g509910.1_BraROA transcript:A08g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRQLRRGQGEEEEVELDSKNQSGFDAAVEGHCWELIRTLTRLVVTDEPYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELIKDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDFDSLVLINECLDLICETRKLDELRIEKLASDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTLFEPVVDCVFPPYAFDSHDHLNLKEHFIIHVTSLVKLFEEKSVYFLWIVVCSFAYLVPCSCRRRTKGALAQPFDTYD >A02p041220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26018582:26022387:-1 gene:A02p041220.1_BraROA transcript:A02p041220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSSLLIVLLVLWFSIGPGLGQPVQSDDLQTLLEVKKSIVINPEDEKVLQNWNSDDLNYCNWTGVTCRGRVVIGLNLSDFDLTGSISPSIGRFSNLIHLDLSSNSLVGPIPTALSNLSASLETLHLFSNQLTGELPSQLGSLVNLRSLKLGDNDLIGPIPDTFGNLVNLQTLALAKCRLTGSIPSQLGRLVNLQALILQQNFLQGPIPPELGNCTSLVLFTAALNSLNGSLPTELSQLGNLQILNLGNNSFSGEIPSQLGDLRNLQYLNLVGNRLQGPIPKRVTGLENLQTLDLSDNNLTGEIHEEFWNMNQLEDLVLANNRLSGSLPKSLCSNNTSLKQLVLSGTQLSGEIPAEVSKCQSLQALDLSNNTLAGRIPDSLFNLAELTVLYLNNNTLKGTLSHSISNLRNLQELALYHNDLEGKLPNEIGFLSKLEVLYLYENRFSGEIPTEIGNCTSLKSVDMFGNHFSGEIPFSIGRLEDLTLLHLRENEFVGNIPAALGNCHKLTILDLADNQLSGSIPSSFGFLKSLEQLHLYNNSLRGSLPSSLINLKNLTRINFSSNKLNGSISALCGSSSYLSFDVTDNEFEGDVPLELGKSPSLDRLRLGKNQFTGRIPWTFGKISALSLLDVSSNSLTGNIPLELGLCKKLTHIDLNDNFLSGVIPPWLGKLPLLGELKLSSNQFTGSLPTEIFNLTKLLVLSLDGNSLNGSIPQEIGNLEALNVLNLGKNQFSGELPSGIGKLSKLYELRLSRNILTGEIPVEIGQLQDLQSALDLSYNNFTGDIPSTISTLHKLESLDLSHNHLVGVVPGQIGDMKSLVYLNLSYNNLEGKLKKQFSKWQADAFVGNAGLCGSPLSHCAGLNKKQQGLSAKTVVIISALSSVAAIALMVLVIVVFFKQNIALFKKGRGGNSAFSSNSSSSEAPLFSNGGAKSDIKWEDIMEATHYLDDEFMIGSGGSGKVYKADLVNGETIAVKKILWKDDLMSNKSFNREVKTLGTIRHRHLVKLMGYCSSKAQGLNMLIYEYMENGSVWDWLHAKKKEVLDWETRLKIAVGLAQGVEYLHFDCVPPIVHRDIKSSNVLLDSNMEAHLGDFGLAKILTENCDTNTESNSLFAGSYGYIAPEYAYSLKATEKSDVYSMGIVLMEIVTGKKPTEGVFGEETDMVRWVDKVLGSAAREKLIDSELKPLLPCEEAAAYQVLEIAIQCTKTYPQERPSSRQACDCLLSVFNSRGASYREVQTDPQK >A05p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23526637:23532553:1 gene:A05p027200.1_BraROA transcript:A05p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTIQRFLSPSICEYATLEEDSSPKKKRPEPKPIIGVKRSLLAFQKAQDLEKWSRKLEDMINFPKPAKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEALYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQLGSTQGYLWEPGDTLDHSEDIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEKFLQIFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLTAPKHLDLSRLLSIESCGVLNPPSFHSNSFITCIPSYRPSDHLFGRPIQASIIHLAHPESGPFYPCIIHLEAMEEERHGQNLRATLSQQSAALQQLQIKIAQLEKRNQAQGQRPHEGERRFGNVPGAVYVEPKPPDPSRINQTPTSKTHNPYVVNSRFDYNSFADKVELFKFSGKRGYLRWERNLDEWFHYNNILRKERLAYAIDQLKDDAFKWWVQEEDDRWFYKEPAIKTWRALKEVMRDRFSPDYTRSEIQELYPRRYPTHGSKEARKIVEQEVQRVLPKEANFQPNQGHAIVHCLEQESDIPKVRKMSTSVGQNTLIRSKDKPEQVIVQVKAKVSPIHDKSFHKSSTTCMMHLSLSKSVITGLKEPMYIEEEAPGTNLPMDQKEAQSTKQSKLLNKPKPVIRVSNQGKCLTPPLDTGLNIYILGTGIPDESHMLTGVPSAEPDHELNQNPHHKWKPKSEQCTVQVPKSEVKFTLNQNVFIDSMTRLMNLSCPRKSEIGTGKQGYYKANKEQEVLTATFDIKVNCSMFSSVYKSLYFGIIHLYLPRCFDPGISQEEHKNRAELSQEDGYTNQGKHLQERQPSNQICPKKNIILHHADAPKVNSTITNSVHEIPVSDIIHMVFVQNVEKFSGCKEESFKEIPPDNLLLLGGSNPKMVRTEPARSMKDHPLKKRSNAKVHSRGVILSYLLKEEPPDEQSIPKPKQYQGKTLESQKSMKADLLYLGAVYTVSRSKPFQGGGNFSASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTNREGLNHEDIIYGFYTQEGVQANWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKKRPEPKPIIGVKRSLLAFQKAQDLEKWSRKLEDMINFPKPAKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEALYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSFKKSLQPIQLGSTQCYLWEPGDTLDHSEDIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEKFLQIFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLSSTAPKHLDLSRLLSIEYCGVLNPPSYHSNSFAKLERDIKCYTTSSLIPSYLSLFILFYHIRNHISFVFAFVFRFITCIPSYRPSDHLFGRPIQASIIHLAHPESQPATTSVLICVLMDSHGPDGQPKTATDVLSLLADTHGRPACTEQKAHVSQNHPNSQREGPACLVQGPKC >A03p044340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18518707:18520137:1 gene:A03p044340.1_BraROA transcript:A03p044340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETESSTRGSQNPDWETEFNRFEKAISSSPAPIRVRSVMKLSESANRIPKSILSRAIPILAGLLRVSDDPSRSVQSAAAHCLKRIACIGGEESGFAVKMGRCGVIACLLGLLLEANGNDIALRTIWVKCLWSLVTFGSSIRIGLARLGGLEIVIRELNTWEDDSSRWCLLEILTALTTIREMRHVLVHNGGLKFLVEAVRVGNLASRERACHAIGLTGITRRARRLLVEAGVIPALVDLFKDGDEKTKLLAGNALGIVSAQTEYVRSVTAAGSVPLYVELLSGRDPVGKDIAEDVFCILAVAEGNAVLIAEQLVRILREGDDEAKLAASGVLWALSGYRHSVSVIRDSGAIPLLVEILRDGSAEFRERVSGAISQLSYNEDDREAFSDSGMIPILIEWLGDESEELRDNAAEALVNFSEDQQYYGRVREAIGHPVFRNMQSKLARIRASHELMMIRSMRRVTIEPLARDQDLL >A03p028340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11884487:11887521:1 gene:A03p028340.1_BraROA transcript:A03p028340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDRILERERLQIELIRELDFEELQVEEVEEDSPDSDEDDDLAAFRVTSHTRLSDNLGADELVFNPDVASLHTYLGEVEDTTNRIASVDGGTVLKLPLFYLEGVVLFPESTLPLRIIQSSFLAAVERALSQTNAPCTIGVIRVYREGHQFKYATVGTTAEIRQYRRLSDGSFNVITRGQQRFRLKRRWTDVEGFPCGEVHIVDEDVPLRTPRDAFGKLVPVSNLRGRCDSSKMSTFTPHRDTDEQSVANSEESFEGGLTLSEKRLHHSAVDSIMDDWTSSDDDQVVSTSNIQSSASHSYSSRSIRCSGQDDKNESGNGKSPVSQGKDQKHFRLTSFREKTDLNRFRMAPRAFWPYWVYRMYDSYHLAQRAADLWKQIVGVPNMEAVVNKPDILSFFIASKIPVSESIRQELLEIDGVSYRLQREIELLESFDRVRCKHCQTIIARRSDMLVMSSDGPLGAYVNPHGYVHEVMTFYKANDVALRGRPVLKDSWFPGYAWTIANCATCETQLGWLFTAANKKLKPSSFWAVRSSQVADDMR >A07g501910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4025838:4026384:-1 gene:A07g501910.1_BraROA transcript:A07g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTGRLSEKSSLKDTHKVNCETNICIDQKTYTTSVLTRKSSDRQIRNFFNFIALTSEITCLAYPTTQNFTTKATHLCIKSFKLVVCGGWYFDGNDNIENTC >A02p007910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3317656:3320789:-1 gene:A02p007910.1_BraROA transcript:A02p007910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNWLGFSLSPSDQNIHRTGVVDSSTATTAVDVTGEYCYDMTAASDESSAVQTSFPSPFGVVLDAFTRDNNSHSRDWDINGGACNNIHSNDQNEPKLENFFGRTTTIYNNSENGGDGNGGCGGGDGAGGSLGLSMIKTWLTNQPVSNVDHQENNGNAARGLSLSMNSSTTCDSNKYNNRNNVVQEKTNVDSVDATPKKTIESFGQRTSIYRGGYDKEEKAARAYDLAALKYWGTTTTTNFPITEYEKEVEDMNHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLTAVTNFEMSRYNVKAILESPSLPIGSSAKRLKEVNHPVPSMMINNNISEGENDASGWQNAAVQHHHGIDLSLLQQHQERYNNYYNGGSARAYFKEEEDQHHFLSNSPSLMANIDQHSSASGDMVTVYGNVDGYGSHQALATPVGTSLNCDALAAAEIAYDARNHYYFAQQQQGGGGFPVGISNNVGSNMYFNGEGGGEGAFTVWNNT >A06p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1046913:1047646:-1 gene:A06p004160.1_BraROA transcript:A06p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFTSYYVQDKSTGPVTKEELGKATWTFLHTLAAQYPEKPTRQQKKDILSLFNFLNSQFIRSSWKSLGKLVYPCERVDARWGKLECEQKSCDLHGTSMDF >A06p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6573901:6576817:1 gene:A06p014710.1_BraROA transcript:A06p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVIGQAKNLIDQTRRRPRPHHNNIRLLSLLASDPTPVSSSRFFSDMTGSDSPSSLPVTLDSINPNVLKCEYAVRGEIVNIAQKLQDDLKINKDAYPFDEIIYCNIGNPQSLSQQPITFFREVLALCSHTALLDRDETHALFSADSIARAWKILDQIPGKATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFMTDGASPGVHMMMQLLISSEKDGILCPIPQYPLYSASIALHGGSLVPYYLDEASGWVLEISELKKQLEDAKSKGITVRALAVINPGNPTGQVLSEENQRDIVDFCKKEGLVLLADEVYQENVYVPDKKFHSFKKVARSMGYGEKDISLVSFQSISKGYYGECGKRGGYMEVTGFTSDVREQIYKVASVNLCSNISGQILASLVMSPPKPGDESYESYIAEKEGILSSMARRAKTLEEALNKLEGITCNRAEGAMYLFPCINLPKKAIAAAEAAKTAPDTFYCKRLLNATGIVVVPGSGFRQVPGTWHFRCTILPQEDKIPAIVNRLTEFHKSFMDEFRD >A09p015970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8313369:8314922:1 gene:A09p015970.1_BraROA transcript:A09p015970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme 28 [Source:Projected from Arabidopsis thaliana (AT1G64230) UniProtKB/TrEMBL;Acc:F4I5B8] MASKRILKELKDLHKDPPSSCSAGPVAEDMFHWQATIMGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNVNSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >A08p001230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:743104:744165:1 gene:A08p001230.1_BraROA transcript:A08p001230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 9 [Source:Projected from Arabidopsis thaliana (AT1G55080) UniProtKB/Swiss-Prot;Acc:Q8RWA2] MDQFSGGGNWSMIPNVQAQGNFGTPTNQDHLFLQQQQQPQQFHHPQQQQTQQQFQPQQQQQEMQFQQFQQQQQFIQQQQFHHQQHRLLHSPQQQQPQSSLQSPPPQQTVVHTPQSMMHTPQQQQQLVHTPQQSVQTPQQHQSLASHFHLYPLVEKLSDAVETGTRDQNSDALVSELNGHFDKCQQLLNSISGSLGSKTTMTVDGQKRNLEESEQLLQQRRDLIMEYRKSIEDLVKIEP >A03g506420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22993798:22994548:-1 gene:A03g506420.1_BraROA transcript:A03g506420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFIYVSKKCGDFNVTGVAMYRVGAEISAYDYGEKGTFVLLGNAGPELIGRQASELFDNYSEGNGDMGANHEMTAPQCMDTTGQTHKFSLQLELFSNETNHKCDKWCLSFCSSTYKSRSSTPGAVNVCNTAIGGTCSTWSLAEPSASSKESVVQDKAKYKTSLNQPQSRNPNHGCLIESLSISKLLPSLSFFSYLPPFLRL >A05p026990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17089658:17089959:-1 gene:A05p026990.1_BraROA transcript:A05p026990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKRSEDVPRPAARLRRSSVSSSRALGSSHEQNSVPAYIPAPALAAPPAAAQQDPGVMPVDL >A02p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5067493:5068654:1 gene:A02p016520.1_BraROA transcript:A02p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHLVFDEISVISKVLQLMESPEYNRFTHIILDTAPTGHTLRLLSLSNFYDSSIGKITKLKKKITAAALAFTSVFGKKEIQQQGPSNELDQLKERMEKVLNVFREVDTTEFVIEQTRVLGLIQDDTKHLTRDILNTCATKSSFTVGMSYTVTTKSV >A03p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14123084:14125487:1 gene:A03p033340.1_BraROA transcript:A03p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Conserved oligomeric Golgi complex subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G01395) UniProtKB/Swiss-Prot;Acc:Q8L838] MPSTPIRSMAVTRQPELEPDDASVDSIDSSTVKFGTPEALEYVRSLTDVGAMTRLLHECIAYQRSLDSDLDTLLSQRTDLDRSLLDLQKSAEILEIVKADADHMLGNVRSTCDLADQVSGKVRELDLAQSRVNVTLSRIDAIVERGNCIEGVKTALDSEDYESAAKFVQRFLQIDSQYKDSGSDQREQLLESKKQLEGIAKKKLLAAIDQRDHPTILRFVRLYSPLGMEEEGLQLYVGYLKKVIAMRGRMEYENVVELMEQGVEQVNFVGCLTNLFKDIVMAIEENDEILRGLCGEDGVVYAICELQEECDSRGSLILKKYMEFRKLARLASDVNNSPNLNLLAGGASEGPDPREVELYVEEILSLMQLGEDYTEFMVSKIKSLTSVDAELLPRATKAFRNGSFSKVIQDVTGFYVILEGFFMVENVRKAIRIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVIAVLSNAGSLLANDYHEALQQKIREPNLGARLFLGGIGVENTGTEIATALNNMDVSCEYILKLKHEIEEQCTEVFPAPADRERIKSCLSELGELSNTFKQLLNSGMEQLVATVTPRIRPVLDTVATISYELTETEYAENEVNDPWVQRLLHSVETNAAWLQPLMTSNNYDSFLHLIIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVEFKAESIAALKL >A09p080520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58916737:58918566:1 gene:A09p080520.1_BraROA transcript:A09p080520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDICYEDDALACETRPSWKSRRRRIGVQRCRMSPSEMNKTVAAEDTEGIYKRNKQDEYDFMNCASPPRSSPEGCSEGDESLLLDGEIRRDENNISGENSSVIGVVPSKKTVRETDARPRYGVASVCGRRRDMEDMVAIHPSFVRKQTEFSRARWHYFGVYDGHGCSHVASRCKERLHELVQEEALSDKNEEWKKMMERSFTRMDKEAVRWEETVMSANCKCELQTPNCDAVGSTAVVSVITPEKIIVANCGDSRAVLCRNGKPVPLSKDHKPDRPDELDRIQEAGGRVIYWDGPRVLGVLATSRAIGDNYLKPYVSSEPEVTVTDRTEEDEFMILASDGLWDVVTNEAACATVQMYLNKKGGRGEGRRREATEGEERKDEEVVGSRKNGKRGEITDRACTEASVLLTKLALAKHSSDNVSVVVIDLSRRRKRHVA >SC166g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000041.1:3404:14357:-1 gene:SC166g500010.1_BraROA transcript:SC166g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDRLVLGIIEVSGYVCCLFFCGWVYLRFSGGNMDMKHESSGVVKIQEENKWVWPRWVKTALGSCEIWSNQVKGEPLMERAADGGQTARLKCEDQLSLEESISLEKIEDVYENKINLRRMYEVRKMICELKQGKEGFNQHVKKLRCLWSELQSLRPRSCDPRVLEEWREQDVVFSLLASWIHLMAEASSSDGREQRDNLELLQQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLVLQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLGRLRRCSKAQIRRGRCWKRSILSGYQGSYGTMKMGREEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKDEWDEFVKGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPAIKAWKVILLHFGELPTTKEPLDKEGAVWIRFPQAREAGDR >A07p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5581330:5583034:1 gene:A07p009140.1_BraROA transcript:A07p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICQKFPPQDIGLGHFPGYPSTQKRSTKFQTPPSTTDSRVQGSITKTSTTPVYKGSEIQIFRTLSCLRGYLLRISNSCLLECKCYSSKSMTKSRNKGQTVGEKYSGIIFLRTPSRTLTSGSPFKERSSPRDMSLDLANHNQTANLDTGRLGGWAKQLGTSQKARVACQKSGVTKGCEFPKGVSNQRVRVAKGYEHQEVRGPRGTKDRRGECSKRCLLRQVVSDPYGSVYDLLSQYKRKAGSGKEVRWAIEPDFMGRSNLNSIRLDGLVFRAIRIYSKSRGSWLNDLGYRTKPSIVESIRSILGYD >A03p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6780079:6782263:-1 gene:A03p017010.1_BraROA transcript:A03p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNGSLLPAPSTREEEQPSSAMIQRREAQATVETVPTNIETTIEQSNDPQFLKSIVDLTALAAAVDAFKRRYDELQSHMDYIGNAIDSNLKTNGIIETAAASPPPQNKTATAIACQSPPKEKSEAERFCESMWSKELRRYMFVNISERAKLIEEIPGALKLAKDPAKFVLDCIGKFYLQGRKAFANDLPAITARKVSLLILECYLLTFDPEGEGEKKKLLVSSVKDEAEAAAVAWKKRLVGEGWLGAAEAMDARGLLLLVACFGIPESFKSMDLLDLIRQSGTDEIVGALKRSPFLVPIMSGIVDSSFKRGMHIEALELVYTFGMEDRFSPSSILTSFLRMRKDSFERAKRQAQAPMASKTANEKQLDALSSVMKCLEAHKLDPAKEVPGWQIKEQMAKLEKDIVQLDKQMEEARSISRMEEARSISRMEEARSISIREEAAISERLYNQQMKRPRLSEMEMPPTAAASYSPMYRDHRSFPSHREGDADEISALVSSYLGPSSGFPHRSGLMRSPEYMVPPGGLGRSVSAYDHLPPNSYSPVHGQRRPQEYPPPVHGQHQMPYGLYRHSPSVERHLALSNHRTPRNLSQDRIGGM >A08p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15112033:15116553:1 gene:A08p022970.1_BraROA transcript:A08p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKASRWFRNLFGLDKPDPGYPDPSIVTPSRSFPKRRWSFVKSKREKGNAPPNHHPSPPPLRSSTPPPSYLQSSPSDGRRWKQKLVREEEGDKESDDQEVALAAATSVVAEAAVTAASAAAAVVRLTSTANFSSGFNDVVSHVSRFDRYRSGRDSLAAIKIQSTFRAYLAKRALRALKGLVKLQAIVRGHIERKKMSVHLRRMHALVRAQARVRARRVVVSSESSSSKSNNTKSSHFQNPIDKGPTTPEKLEYSISSRSSKLGHSHLSKRNGSKARGNRPDIFYSSHLVLDNSGWSGPVYAMPFSPSSSHEETVSQFCNAENSTQLYSATSINKPSVFTTSSIAPSESTKSCCYTDHPSYMACTESSRARARSASAPKSRPQLYHEQSLSKQFGDALQTSFMNKAYPGSGRLNRLGMPIGYRY >A09g512800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38459917:38460954:1 gene:A09g512800.1_BraROA transcript:A09g512800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDIEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAKKELAQTGSEFPSSSAQVVAPCGTDVAAPLPQVLPVGSSTTPILVEDIEKAADSMPPPPARKEIVLALRAPSAILATQTKSRKRKLAKSGHGETSQQGGSSLASGLRGKFISLIDEMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVAKLEVAIGELERDLEKTASSLLKENKARKAKSSEVRRLQRQIKSDAGLARPGIQEATDALRAEFQARLAKISTSLGSLECIRSRDFALATIEGGMAVVRSFQSKTPPTLQAEEA >A03p015090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5968861:5969972:-1 gene:A03p015090.1_BraROA transcript:A03p015090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMRLPAIARAATEMASAPVGLRRLFCSNATNFSFLSPQANAETPARPQADPSTNLFVSGLSKRTTSEGLRTAFAQFGEVADAKVVTDRVSGYSKGFGFVRYATLEDSAKGIAGMDGKFLDGWVIFAEYARPREPYRPQNNMPPSPYGNRY >A03p046890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19853087:19854403:1 gene:A03p046890.1_BraROA transcript:A03p046890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCSKRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEISLSGGLVRQKMKYMRFMRKRMNTKPSHGPIHFRAPSKIFWRTVRGMIPHKTKRGAAALARLKVFEGVPPPYDKVKRMVIPDALKVLRLQAGHKYCLLGRLSSEVGWNHYDTIKELEVKRKERSQAVYERKKQLSKLRTKAEKVAEEKLGSQLDVLAPIKY >A02g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:215037:215369:-1 gene:A02g500080.1_BraROA transcript:A02g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLALIHRLAASTKLQDVTSKLDYLQHLLATKLTCQTKELGMMNQIHRAWKRKEQLLTPPSVILADHSFRQIGSAVVNTHQVKVIQ >A08p038290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22206513:22211085:1 gene:A08p038290.1_BraROA transcript:A08p038290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMRILHMNKGNGETSYAKNSIVQIRKYNKICVKCLCNSQSNIISLGRRVMDEALKKLMIRNSEILSFGIADLGCSSGPNSLLSISNIVETIQNLCPDLDRPVPELSLSLNDLPSNDFNYIFASLPELYDRLKKRDNNYESLGFEHGSGGPCFVSAVPGSFYGRLFPRRSLHFVHSSSSLHWLSQVPCGEVNKKDGVVITADLDNRGKIYLSKTSPKSAHKAYALQFQTDFSVFLRSRSEELVPGGRMVLSFLGRSSPDPTTEESCYQWELLAQALMSLAREGIIEEENIDAFNAPYYATSPEELKMAIEKEGSFSIDRLEISPVDWEGGSISDESYDIVRSKPEALASGRRVAKTIRAVVEPMLEPTFGQKVMDELFERYAKLVGEYVYVSSPRYAIIIVSLLRMG >A01p012530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6152182:6155576:-1 gene:A01p012530.1_BraROA transcript:A01p012530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G20020) UniProtKB/Swiss-Prot;Acc:O49429] MCSYLIIVLMFLRKYRHKLSPAAMAMYSHRLRRALLTTTSCLNRSISLSPSSSVAPTSVTPSVSTVLQRSVLGRFTGATRAPARLFSTRQYKLYKEGDEITENTVLFEGCDYNHWLITMDFPKDSPLSPEEMVSTYEKTCAAGLGISLEEAKKKIYACSTTTYQGFQAIMSEEESEKFKDLPGVVFILPDSYIDPANKEYGGDKYENGVITHRPPPFQPNRRQPRDKFNQRPDRQGSPQNFQRNPQYGQQPPMQGGGGGGYGGPQQSYGPPGQAPPPPFPGGYNQGPPRSPPPPYQGGYNQGQGSPVPPYQGPPGGYGQGGPGNFNQGPQGGYNQGGPRNYGPQGGGNYGPAPGAGAPNPGFGQGYGGPGQEQNQTFPQGDQRNVAGDWNNNNPAGQPGPDQGRRY >A04p027260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16429914:16431057:1 gene:A04p027260.1_BraROA transcript:A04p027260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRKGRQKIQIVKMEKDSDLQVTYSKRRQGLFKKATELCTLCGVEIGILVFSPGRKVFSFGNPDVRYVFNRFKSYDQNPFQLNEFGPSVTIRGLNSILSQELVKLEKEQARRKILEKIRIQREETDKWWEKPPSELNLRQNTCLISVLENLRMDLGSPRFQQAMVPQNYCGGSNNNIVGGGNTDPLDERSMFENAFNYNPNMMIPNQGPTLGYNNIKPEVFDPIYNMNWPEYKHGPY >A04g505110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11146768:11147004:1 gene:A04g505110.1_BraROA transcript:A04g505110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDEALHHLYHRFSGAYEKRDSEKNRKGTPQGLTSSRLQFQWRVSWEREEEAGGEVGKTMVSRSELQCGKDDDIELS >A06p017620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7923649:7926497:-1 gene:A06p017620.1_BraROA transcript:A06p017620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein IQ-DOMAIN 32 [Source:Projected from Arabidopsis thaliana (AT1G19870) UniProtKB/Swiss-Prot;Acc:Q9FXI5] MGRSPASSCLRIIACAGGDDATEPTANKSSRGWSFRKKSGKNRGLITTSVVSDTTPASRTRETLESALLNSPSPDNNILSEKHTFSVDDDEKKKSQLPVTYVAEPVDEKKKQSAEDKTTELPVLVESKGTETEEDDLIGTELEAKLDVANAADATLIEKDTTPEVDIVSKVEPEASEADEVIITRKESDEEVDDSVIIIIQAAIRGFLARKELLRRKKVVKLQAAFRGHLVRNQAMGSLRCVQAIVKMQTLVRARHSTKDGSRVSAISIQDKAETNAATQKLLENKFAKHLMESTPKTKPISIKCDPTKPSSAWSWLERWMSVSNPEKTSKSDMETEEQQLEGTKSSQVDVVNSDSTTLETEAETGLPSKVAAHHIELSETEKMSQYDSPEASAEVDHDLIQSHPLAAKDPEALLEEDDQPKPSLKRKASNPSFIAAQSKFEELTASTGSSKAMVLSSKDGVLGEEGKTDIDSSEANTTNTKKDQSLEDVALGGSECGTELSVTSSLDSLDKKSDIEGADSKAESKLLENGTPKTDQAELIEIDVKAETPLAIVEDRKEEDEVEVSVIQHESVISTPDSKKRPAEDGTGLQAVTPMSVTESQATPASQASSSVKARKEKSGKSGSSQKRKVSKKITSTPPKQETGNGEGKNVREQEEGKEQKSGRRNSFDNDQEARESSGGKNSLPRFMQPTQSAKLKVQEHNSPRSSPDLQEREVSVKKRHSLPVGANGKQGSSPRIQRSASQAQPGTKGK >A03g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27178056:27180236:-1 gene:A03g507710.1_BraROA transcript:A03g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAGVLGEWKMNKGSWKFMVNTTAGGKLFTFREGLKFDDMVQMVHEDFGISRLGNELELSYALPESMLRDMPKDTPPVFVNNDRQLDSMCEMSKSMPLRLCVSVKNGNVGNHDQGFNREDTAIYGKGHGKKKKNVDRQDSNQEPEVEVSNFHDAKVIEKGQWFKNKSELSWSIRMLSIERKFRIVVSKSDKKLLVVKCADTSCNWMVRAAKTNPTCEFFWVTKYIDKHTCFSRNIAGPRASSKVISKLLLENFGNSESIRPIDELLDVKEIPPHIVAYKCLPPDVKRGAGRPVKRRYECFGEQATARKKARKQACSRCHRTGHNRARCDFGI >A07g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23562746:23565361:1 gene:A07g508610.1_BraROA transcript:A07g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TWMYLVVPVVLYGCERLIRAFRSSIKAVTIRKVAVYPGNVLAIHLSRPQNFKYKSGQYMFVNCAAVSPFEWYKPNQTYILICVVCKPPPAGVSGLLRADMMHGANNPDFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRAKEQAELNRMEHGTSEPQQRNKKESFRTRRAYFYWVTREQGSFDWFKNIMNEVAERDTNRIIELHNYCTSVYEEGDARSALIHMLQSLNHAKNGVDIVSGTRVMSHFAKPNWRNVYKRIAMDHPNTKVGVFYCGAPALTKELRHLALDFTHKTSTRFSFHKENF >A02p009890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4159741:4160712:1 gene:A02p009890.1_BraROA transcript:A02p009890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEQTLPEWRDKFLSYKDLKKRLKLITSATQDRPTKRLRVDAECSLGMSKEEISFIQLLEDELEKFNNFFVEKQEEYIIRLKELRDRIVKAKDSKEKMMRMRKEIVDFHGEMVLLENYSVLNYTGLVKILKKYDKRTGDLMRLPYIQKVLQQPFYTTDLLYKLIKESEAILDRFFPATQESEDPTDATESENIQAELSEHKFMESLHMKSTIAALRVLQEIRSKSSTVSVFSLPPLQLNGLDETWKKIPLLEQEAK >A01p052070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29156106:29164647:-1 gene:A01p052070.1_BraROA transcript:A01p052070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFAFKTGEYKGSTITILGRNTVLSEVREMPVVGGSGIFRFARGYVEARTKSFDLKLGDANVEKQLITNMSKLILILAVQILLVTTFASAVDRGDFARTMNPKRLHMKEKLTHLRVYWHDIISGRNPSAIVIKKHVAKHSFGSITMIDNALTSDVPINSTLVGQAQGFYAGASQHETSFLVAMNFAFKTGKYNGSTITILGRNSIFSEVRKMSVVGGSGIFRFARGYVELRTKWFDQQSGDATVDTNVQLHAQRTTNMTKLILILVAEIFLLTAIASAGDDFARTMNKNLIDLPKHETLTHLRLYWHDSIGGQNPSTVRIQQPVSNSSLFGSISMMDDALTTDVMKNSTVVGQAQGIYAGAAQGEISLLMVMNFAFKTGKYNGSTITILGRNAVMEKAREMPVVGGSGMFRFARGYVEARTKFVDIKSGVAIVEYNCYVLHY >A01g502050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7317244:7318290:1 gene:A01g502050.1_BraROA transcript:A01g502050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKMLFSLTSPRLFSAVSRKPTTSFSSPSSSRTQWAPLSPGRSVPLRKRFFLLPSKATTEQSGQAGGEDVDSNIMPYCSINKAEKKTIGEMEQEFLQAMQSFYYDGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILNDQEFDQLKLKLKMDGSEIVCEGPRCSLRSKKVYSDLAVDYFKMLLLNVPASVVALGL >A09g518910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57842450:57843187:1 gene:A09g518910.1_BraROA transcript:A09g518910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMGVTMEVGNDGVAVITISNPPVNSLASPREVQDANHRSDVKAIVLTENGGRFSGGFNINVFQQVHKTGTQAALLSMLRSEAMSLIDRMVEHGFRPDGVTYGTVLNRLCKYGETSMALDFLRKIKHQEAACCCS >A06g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24148076:24149015:1 gene:A06g508540.1_BraROA transcript:A06g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEYDLTLLLEAEAALYAEEAESSYNIGEPVQCPPQPFQYLCGGHTNAEEMRAFETQLSLLKDQVRESDQKLAKLEKTLCDELCKKTSWVTILGEQNSKDSRRVSDVETVFKDIKRYHTGTCLLSVLLVENLLDLIAVVSVTYCIVNVPLNLIHPNKFGSVANHLSLLGP >A05p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24183671:24185501:-1 gene:A05p039570.1_BraROA transcript:A05p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamyl alcohol dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT3G19450) UniProtKB/Swiss-Prot;Acc:P48523] MGSVEGGEKKALGWAARDPSGVLSPYSYTLRSTGPDDVYIKVICCGICHTDIHQIKNDLGMSNYPMVPGHEVVGEVVEVGSDVSKFTVGDVVGVGVIVGCCGSCKPCSSDLEQYCNKRIWSYNDVYTDGKPTQGGFADTMIVNQKFVVKIPEGMPVEQAAPLLCAGVTVYSPLSHFGLMSSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSERKREEAMDHLGADDYVVSSDQAEMQRIADSLDYIIDTVPVFHPLEPYLACLKLDGKLILMGVINTPLQFITPLVILGRKVISGSFIGSIKETEEVLAFCKEKGLTSTIEVVKIDELNTAFERLHKNDVRYRFVVDVAGSNLVEEAATTTK >A08g502440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5340551:5340769:-1 gene:A08g502440.1_BraROA transcript:A08g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEMENSLQFEIRDEKTWENLSRSGEEMSYREEDERLALKTETKETRERDCREERTKMFRERWCRSEEDR >A08g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21544402:21549049:1 gene:A08g510010.1_BraROA transcript:A08g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSENSTTSTYSSSAKAQPSSDSEAGPDSYALEKFKLYETRARFYLVGSDRSKRFFRVLKIDRSEPSELNISEDPVVYSPQEIKSLLQRISEGNRATGGLAFVAKVYGIAGCAKFMESYYLVLVTKRRQIGCICGHAIYAIDESQMITVPHATIQSDAANSKTELRYKKLLSSVDLTKDFFYSYTYPIMRSLQKNVLSSGEEGVPYDNIFVWNAYLTQPIRSRCNNTIWTLALVHGHFKQIRLSIYGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQLVFDEEAGSCKGKMTSVVQMRGSIPLFWSQEASRFSPKPDIFCEELTQHAPVISRYDPTYESTKMHFEDLVNRYGNPIIVLNLIKSVEKRPREMVLRREFAKAVGYLNSIFPEENRFKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYFSGKPKIVKKKASQLSHASTGREQSLRDLRAYSLELSRGESSNDILSALANREKEMKLSQQKRDDGTDSAAPRYQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAIGLSDTSKIDPDSSIAAALMDMYQGMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNTYTDGEKQDAINLFLGYFQPQEGKPALWELYSDYYLHVSGIGDDIFPERGLQSIAKPMSGIGVNLAPVPAFREDVSRKKLTSFDKLIEQTCSSIKNVRLCSETDQRPGGGRPGGGTGGTGVAPDAAEIQLKTPNWLFGSRKPEETGSATKPEADDSEKGVTSSERVNDFCNLDWLSESNDHQEDIFQRYLSITSTNEANGWYGGTLLGDQDENSEIYRHYAQFCQFPAMEPFENDHELELNSAEVLRMNTVDVMDIGEEESEMENALNEYAQIGSDLGIIPTQCKYFAGDPCWLARWLVGDDKVPKVI >A03p065820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29111472:29112370:-1 gene:A03p065820.1_BraROA transcript:A03p065820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGSFLVEHREKKKKLWKTGPRFDEILSRDYPPLKLRLGQSEQIYKEALVALSSGWKISLSIWRITALLDDKYLSSLKKSLKGLRDASLARKILNQASDIFTSLNKLRSDFNRDITLPSSLEIAGGESLSADSSGCCAGLQKLWALEKILTSLYVPKPARYGSFKGTPPSEKLKKDALLPLLPD >A03p040060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16698237:16705465:1 gene:A03p040060.1_BraROA transcript:A03p040060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGQHRSFPQPGQMQSSASVVSSQPNMANGVNQDGIKLRQEMLNRIYVWLQQRQPSKTDDASKAKLFEVSKRLENAMYKTATSKENYLDFQNFEARINSILKQMFGPRPANPSSSVGMTVQTPEVSTGLRQSYTATPMVNTSTFNSSNNLADATRVMPTTRMNGGSMINGHQQLSAGFSVSSNDNGQMIPTPGFNNTDVYQSHQNGDGGNLMAVGRQHAVISNDGMHYNSDQQMGGGFRSNMHQNASGMINTPQSSGVGMSGNSFQLANGNMSSEGVISSTHFSNSSQPLQQPVDQLQQVSHVHRYSMSNSDAFGTGNLYGSSGSMGNAVDMNPMRVDVSFGSNQSSLHAVEKTPLMKRHLPQQFENRIQENLAQVSPQPVENQFNQAAHHGQYQQQDHLLNNNAYHQSQRASNFVSQVKHEPRTDYYNEASQLQAINQVDQPKSQNQYSQNTVKDEYVGAQSVQTHQTQQIPQWKDVKNHSVGVQQVSSIGQWRTSSQILTQTSKDSNGERVRFAVNSCQRLPMLLEASNDSLSVRESANCQTVAPHLPEGSNTLSKQLNEDCDSSYINQRRWLLFLLHVRKCNAAEDTCESKYCFAAKTLLKHINYCKAPACSYQYCLQTRKLIHHNKHCGNEACPVCVYVKNFKEKQKEKIALLRQAEPLDHRRKETFQSMRASSGRDSEAPSVVDDLQPSPKRVKVEKPSQFAYPDTHSLPLRRSVGVGKAHLSMSLQEKYSSLQSDVPMNADSSDSRELERPVCKDTSMRRHAEDSSLNGEIVYSPEAEKPKRMKELSAPKEEKVEQSVAASNSGKSKIKGVSLIELFTPEQVEEHIRGLRQWVGQSKTKAEKNKAMGLSMSENSCQLCAVERLAFEPTPIYCTPCGARVKRNAMHYTVVVGESRHYVCIPCYNETRANTVTVDGTPVPKARFEKKKNDEEVEESWVQCDKCQAWQHQICALFNGRRNHGQAQYTCPNCYIQEVEQGERKPVSQSVILGAKSLPASNLSNHLEQRLFKKLKQERQERARVQGKSYDEVPGADSLVIRVVASVDKILEVKPRFLDIFREENYSPEFPYKSKAILLFQKIEGVEVCLFGMYVQEFGTDSASPNQRRVYLSYLDSVKYFRPDVRTVSGEALRTFVYHEILIGYLDYCKKRGFSSCYIWACPPLKGEDYILYCHPEIQKTPKTDKLREWYLAMLRKAAKEKVVVECTNFYDHFFVQSGECRAKVTAARLPYFDGDYWPGAAEDLIDQMSQEEDGKKSNRKVMPKKIISKRALKAVGHLDLSVNASKDLLLMHKLGEIILPMKEDFIMVHLQHCCKHCCTLMVSGHRWVCHQCKNFQICDKCHELEKNRVEKERHPVNQREKHVLYPIAIEDVPTEIKDSDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMILYHLHNPTVPAFPTACAICQQELEPAQGWRCEVCPDYEVCSGCYSKGINHPHSLTSRPSGTDSVVQNTQTSQIQTAQLTELLLHAMTCFTAQCQYPRCRMIKLLFRHGVACKNRNSCVPCKRMWALLRMHARNCRDPQCRVPKCRELRAHFSRKQQQADSRRRAAVKEMVRQRAADAATSTSD >A01g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2422684:2423357:-1 gene:A01g500600.1_BraROA transcript:A01g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFFSKQSCRIKGRLQYRDFSSVSDQKAVSKIYGYCFIAAFGGAGFLIGGVTTSPVSKGLQEYEERFVKGLQSLEKIEATMVKWRTFSPKEKTK >A03p022100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9302456:9304936:1 gene:A03p022100.1_BraROA transcript:A03p022100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHILFVLFSHVLLTQVVEGNTDDFECVDLYKQPAFQHPLLKHHKIQEIFYPDENLDRKGQYKTNYQSCPKGKVPILKQRNGTKSVHLDTVEYPGQHFATIETVLDGSIYRGAEANISLHSLTLQNNQFSKSQIWLENGPRNELNSIQVGWGVHPRLYGDTRTRFTMYWTADGYKNSGCYNIQCPGFVIVTRIPWIGIAFPRTSIYGDKKSFTFTPQVFQDGISGNWGLKIFNEVIGYWPKELFTHLNDGASLIRFGGNTFMSPDGISPPMGNGHFPVIDFQKSSFFLHVKVRNSNYQLLDIEDRKTRLYSDSFQCYRLSYWGYAKSNGVSFSFGGLGGDCGT >A09p075870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57001299:57003694:1 gene:A09p075870.1_BraROA transcript:A09p075870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCGRSSDGGISGARPYVRSPVPRLKWTPELHQNFVHAVDMLGGQYKATPKLVLKIMDVKGLTISHVKSHLQMYRGYKPTLLGRSESSSSSRRRRQDNEDHFYDNLSEHARNDCLLGFHSFNFRRGRTSADNDDDDFLNNISMERTKTFAGIGESNKFRSNHFLEVQRTKNIWVNEEEDLTLSLSLDHPHNSQKRWRSNTSSSLSETNEAVSSAFISRDCFASSKIDLNLNLSFSSSSS >A05p053520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30884193:30898995:1 gene:A05p053520.1_BraROA transcript:A05p053520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSKFKISENTSFSRRHHLRRRSAAVVNVGILQIVAAERIPANHIDSCFMYEKQSHHQYETPPGCCLQRVTRFILHDEDVLVFLKYIFRSARLMTLSRNCKHHVFPSFHGPDVRRGFLTYLLKEFREKAIDVFIDNDIERSNDDDAVMIAKMVTDVSNKFIDSTTSNDSDSLGTETIRGIDFDLSEVRGDLIIDERAFEGMSRLQFLRFQKRGLYNNTKLLLPQDLKFRASKLRFLEWDQFPLACFPREFQPRRLVKLMMEHSKLEKLWEGPIPLPCLKLIELSSSDYLKELPDLSNATNDVTFFGNNFFYNDCPGVVNFSELDGKTRRFTLPSVLLNVECSEFVCSPVLRDEKLSLKAVVKEASGFMLESDAKMFWVSRAAKAERLSVRLCFECCLDYVVSVRTVNFKMVKHLENNLGGEVGKTVDTPLGISVSRVAAAVAALYERSMLEGKIRAIRFAQPLIRYQRLHGVMTAQAVEERKERPSYRPIIDHDGLPRHRLSNQDMNKMKTREELLAEERDYKRRRMSYRGKKVKRTPRRVLRDMIEEFTEEVKLAGGIGCFEKGMPLHSSSSISKGQKESDLGYSYALAQRDNRVTDHKSAVIEEMMNSQGPNDVGSSREKSSSDYKTKRDDRYDRSGREPTKAKRDDRYDRSSREPSKTKMDCIYLQTKVYPDSEHQQFCVFSSPVLKKPSETATYKQTTELQSNQKPPEARTQTLEIPAPQLLSLTFLSARLMALSTLSRHCKHHVFPSFHGPDVRRGCLSYLLKDDDDAVMTAKVVTDVSNKFNDSTTSNDSSCLVGIGTHIKEIESLLSLESDEVRMVGIWGPSGIGKTTIARALYGELSSKFTHAAFIESIQGKFEQNYRDEHAFKLQLQEQLLSKTLTLEILCLSAFRHKSPSSGFEDMAIEVTRLACRLPLGLCVFGAYLRGMSRDHWINALPRLRTSLDGEIGKVLRVSYEALCEEDQGLFLHIACFFKGESINDVVDCLAESRLNVKHGLQVLFDKCFISYDEWGRLVVHNLLEKLAKEIIRKQSVSDPGKRQFLVDALDICDVLEENAGTETIIGINFDLSEVRGELIIDERAFEGMSRLQFLRFKKRGLYDNIQLLLPQGLKFRASKLKFLEWYRFPLTCFPREFQPRRLVKLMMEDSNLEKLWEEPIPLPCLKLIELSGSVYLKELPDLSNATNLKVLRAGFCSSLSEISSIGKSTSLEELHLEYCRKLTKIPSSIGNAINLEALNVEGCEGLVELPSSIWSLSKLKKLLILGCSKLNRLGSQLRSFLDISGNITEFSITDTEIEEFPSSIMAFSCLRDLCVNAERLEVFPDVPDTIENLTLIIARIEEIPPSIQNLTRLTRLSLSRCKKLKVFPTNVNLQSLSRLYLSSCTQLRTFPEISTSISYLNLSDTSIEEVPSSIWSWPHLRELDLEDCRSLRVIHSFPDNIEELDSGLSDTGTDSSGGDSETRLPLCINLKGCKSLVSLPHIPYCVSLLDASNCKSLERIDGLVSNPERCLIFINCSKLSKPARELIEVSDCKSALFPGGELPADFDHRAREGLLTVQSHLPLFLRFKACLLLLHRGYIEDEDDEDEECMCGKRLSCDIWCVQNGVDVGHGSRAYYLPATLGSKEHLYFLESSISLNLPETDVNFSELHFEFYEITGKYWDVKDFAIKLLEDTHNEAEQKNSGKNVKDFAVQLLEDPDVHGDHGCCEDTHNEAEYKETADIKIEKLTCRNNVNKRQFNWYLSFGSVALASTSVARLSWTETAIGHFGSYRFDVWAMKSEIEGWRLPNFVFL >A04p026290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15874132:15876381:-1 gene:A04p026290.1_BraROA transcript:A04p026290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYHIRLVEDYKLVLEASAQVVEVQVDCMWALEASVLVVVLQEDCKLVSEEGYKWWFDRRAISWCWRFLHGWWFDRRTVSWSWRFLHGWWFNRWTISGCWRFLHRWWFYRRTIRWCRRLLHWWWLYRRTINRCWRLHWWWFNWWTVGRCWRLLHWWWLYRRTISGSWRLLHRWWFDRRAISWCWRFLHGWWFDRRTVSWCGRLLDGWWFNRWTISGRRRLLHWRWFYRRTISWCRRFYRRWFNWWAVSWCWRLLHGWWFNRRTVNWCRRFHWRWFNRWTICWSRRLLYWWWFYWRTISRCWRLHRRWFNWRTISWCWRLLHWWWFNGWTINWCRWFLNGRWLDWRTVSWSWRFYWRWFNRWTIGWCGRLLHWWWFYRRTISRCWRLYRWWFNWRTIGWCWRLLYWWWFYGRTISRCWRFFDWWWFDRRRLLHRWGFDRRTVNWCWRLLDWRWFDMRRLFHGWWFDMGRLLDWWWFDMGRLMHRWWFDMGRFLHGWWFDRRTVSWCWRLLHWWWFYRRTISRCWRFHRWWFNWWTISRCWRFLHRWWFDRRTEGCKLVWEAFVPVVA >A06p053690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28258251:28260227:-1 gene:A06p053690.1_BraROA transcript:A06p053690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETEVSVRDIHISDGSEEEDSMHEQQNSNNEQMVEAFRNLLLLHGQLPAKHGDSNTLLRFLKMRDFDLGKAKDAFLSYMKWRVDSKVDLISKEFKYEEYGEVKKHYPHGFHKVDKSGRPIYIERLGMVDLNAFSKATTIERYVKYHIKEQEKTLSLRYPACSIASEKHVSSTTTILDVSGLGMSNFSKSARSLFMEIQKIDSNYYPETLHRLFVVNANSGFRMLWLALKTFLDARTLAKVQVLGPNYLGELLEAIDPSNLPTFLGGNCTCSDRGGCLFSDEGPWNDPDIKAKIQETFTMGDADSEEHTMDKVSENASTNQKEDSGKNMITLKKYASLRDAVKEAQKRIEMLEMSLHETKRAMNGLAEIIETIKPNQTETTNCQM >A08p021390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14340795:14342883:-1 gene:A08p021390.1_BraROA transcript:A08p021390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKKTTPKEALRTSKREMAVATRGIEREITSLQLEEKRLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATTAMVAMNKQMAPTKQAKVIKEFQKQSAQLDMTIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASQLSSAPKGRIATKTAAPNTVSNKSENNDSGSTEVDDLERRLASLRRI >A09p058640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49338531:49339663:1 gene:A09p058640.1_BraROA transcript:A09p058640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein PP2-A15 [Source:Projected from Arabidopsis thaliana (AT3G53000) UniProtKB/Swiss-Prot;Acc:Q9LF92] MGSSLSNLNDGATNDSSTGPSLGDIPESCIAAVFMYLTPPEICNLAGLNRSFRGAASSDFVWEKKLPPNYQDLLDLLPPERVLGLSKKDIFAVLSRPIPFDDDNKELWIDRVTGRVCMAVSARGMAITGIEDRRYWNWIPTNESRFHVVAYLQQIWWFEVDGTVTFHLPPGIYSLSFRIHLGGFGKKSGRRVCNYEHTHGWDLKPVRFSLSTSDGQEASCEYYLDDVKREEAHGHHKRGFWIDYKVGEFVVTGSEPSTEIKWSMKQIDCTHSKGGLCVDSVFINPIGDLKDYRTKSFCEIS >A04p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:932952:933182:-1 gene:A04p001910.1_BraROA transcript:A04p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCDAGVKDSGSLISGQVGILDRVDSYVLAGASAYSFARTRLHGVRVYAVM >A05g500680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2504210:2504807:1 gene:A05g500680.1_BraROA transcript:A05g500680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVQICRFRQELFICRLSLGTWDPQGEFSMKFLREVRRGEVEVGSTLFDEMTEVKDGVIYYVMKDGYAKSGDMTSSRRLFNEMRFRTVVTWTIIIHGYCNNEEVASWNDMINGYALNGDVTAALDLFLAMLREVKPDEVTILAVLSACNHCGLVEEGRKWFHMMEECGLKAKIEHYGCMVDILGRA >A03p040870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17043958:17047462:-1 gene:A03p040870.1_BraROA transcript:A03p040870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYRRPYETKAWQNRICPAETMVKIHPDRTTSGAREETSSPYLTTEKESFTIWMKSLVFNTNGCTVFDSKGNIIYRVDNYNSKSCREVYLMDLHGHVLFTLRSQKFGLFKTWEGYRSPSGTSDSTTNSEYFRVKSNIFQVPSKDSYSSYRVLTGSCRKNEQYHYKMVTRGSSLGIEDICGRVVAEVKRKQSRKGLEFGDDVLTMVVESQVDHSFIIGLVLTHRLINHMGTCFSSSSSSRTRMEDYYYYPALYDYDKGYTPYARNLHLQEALSSSLVSSIAETNHYPQLHRRMASPIKQKEPETKTEKPAEPSRWLCMICMDEKSPSDIFRGTTSCTHYYCTECTVRCVMTKIEGNIAMIKCPDVDCTRLLEPYTCRNIIPGDLFERWDKALGGNTKKKKKSSDEEDAMLIQMANKKHWRRCPSCKFYVEKIDGCVHMSCRCGFKFCYRCGAASSYSHACHIRSLILKY >A05p054170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32202146:32204757:1 gene:A05p054170.1_BraROA transcript:A05p054170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAGATSTVASSSPSSSVSSTATSLDCDSPRGGDVDHELLRTTSVSVSLSLSSSASIQRVLSLIRSEDPDSRLFAAKEIRRLTKTSHRCRRHFSQAVEPLVSMLRFESPESHHEAALLALLNLAVKDEKNKVSIIEAGALEPIINFLQCNSQTLQEYASASLLTLSATATNKPIIGANGVIPLLVKVIQHGSPQAKVDAVMALSNLSTLSTNLSMIIATRPLLPILSLLKTSKKSSKTSEKCCSLIESLIVSGEEARTGLVSYEGGVFAVVEVLENGSLQAREHAVGVLLTLCQSDRSKYREPILREGVIPGLLELTVQGTSKSRTKAQRLLSLLRNSKSPRSEVQPDTIENIVSSLISHIDGDDQSGKAKKMLAEMVQVSMEKSLRHLQERASTLVRP >A08g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6429538:6430944:1 gene:A08g503690.1_BraROA transcript:A08g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSTTKSQITTGKSPVTIYDHGIQRKVSRLHRIVTSCESLGTESTIKEIKATDPQDTVILIQIDLTTSFLVFSERYLLEKEITLTDEEKHRNSRICNMLFIETSHCLADFIFSLGNHSLQLSDRERIDVKLNFTSDLRIGSIEEIDTFKDSYNIIEVFEESEGRKHGECSLLDLATVLEIKKKLCEANSVSESHIPDSLLERLISGTIEFPPACAIEVIKAVSGK >A08p006480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3726975:3727971:-1 gene:A08p006480.1_BraROA transcript:A08p006480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEAEPKQRKGWSNSLLEFRSGFGEKMKLASKKRWKSLAPLNLKSKSVARFCFFSKLKSSNHGPDRAPVYLNVYDLTPINGYIYWAGLGIFHSGVEVHGVEYAFGAHDYATSGVFEVEPRQCPGFKFKKSIFIGTTNLNPAQVREFMEDTACSYYGNMYHLIAKNCNHFCHDVCYKLTGKKIPKWVNRLAQIGKTEVLCVAAYFPSHSRSQLLVAMIQTGKSQRKKAKSEVSQRAHSAACLPSP >A08g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6590698:6596413:-1 gene:A08g503970.1_BraROA transcript:A08g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEECEELQVPMGILKSIRFSISNNKDRKNMAVMDVEAANQVTDSRLGLPNPDSICRSCGSKDRKVCEGHFGVINLKFPVINPYFLKEIATLLNRICPGCKYMRKKQSQSSEDRPERCRYCTSNTGYPLMRFRVTTKEVFRRSGIVCEVSEDNLFKLSKRGLSSLPPDYWEFLPKDSNIDESCLKPSRRILTHAQVYALLCGIDERLIRKDIPMFDSLPLTSFPVTPNGHRVSEMVNQFTGARLIFDERTRIYKKLVGFEGNGLELSARVIECMQYSRLFSENMSPSQESANPYQKKSDTPKLCGLRFMKDVLLGKRSDHTFRTVVVGDPCLKLNEIGIPRRIAERLQVSENLNDWNRERLVTSCFHKLLERGETHVRRGGSLVAIRVIDDLQTGDSILRTLKDGDTVLMNRPPSIHQHSLIAMSVKVLPTTSVVSLNPICCLPFRGDFDGDCLHGYVPQSIQAKVELDELVALDKQLVNRQNGRNLLSLGQDSLTAAYLVSVETNCFLNRAQMQQLQMYCPFELPPPAIIKASSSEAQWTGMQLFGMLLPPGFEYTYPLNDVVVSNGGLLSSSDGSGWLRDGEGNFIQGLLKEHKEKVLDIMYSTQEMFSQELQMRGLSVSLADLYLASDPESRRNLTEEISYGLQEAEQVCNKQQLMVESRRDFLAGNVEDMAADLDRFCYERQRSATLSKLAVNAFKDAYRDVQSLAYRYAAESNSFLIMSKAGSKGNMGKLAQHSMCIGLQNSSVALSFGFPRQLTCASWNDPNSPLRGAKRQDQTGHESFVPFAVIENSFLTGLNPLESFVHSVTSRDSSFSGNADIPGALNRKLMFFMRDIYAAYDGTVRNSFGNHLVQFHYETEEDITGEAVGSLSACALTEAAYSALDQPISLLETSPLLNLKNVLECGSKKGLKEQTMSLYLSETLSKIKHGFEFGALDIKSHLEKLSFSEIVSTSMIMLVAFSPRTNTKMPMSSWVCHFHISKKVLKQKQLDVESVVSSLNKQYANRKKELKLDVIDLEIQSTNHCSWDDKSMEDDRFCITVTVLEASTHGSLELDAIRLVLIPFLLDSPVKGYGEIKKVEILWADRPKAPKRNKKHMAGELFVKVTMHGVRGKKNIWSALLETCLPIMDMIDWTRSHPDNIRQCCSVYGIDAGRSIFLADLESAVSDTGKGMLREHLLLVADCLSVTGEFVALNPKGWSKQRQAESTPAPFTQACFSSPSQCFLKAAKEGVTDELQGSIDALAWGKVPSFGTGDQFEIIISPKDHGFSSTPVDVYDFLSSTATLPKRKASSLPKSDNFTVQPFPLLDTALSKAVKTLDGKGLTRSQLRTIFTWDDIEKLSRSLKRILYNYEIDATLNERDERLLMMALLFHPNRDEKLGPGFQGIKVANSKHGNARCFEVVRTDGTTEDFSYHKCVLGATEIIAPKRVNFYKAKYLRNGTVQAGAI >A08p011970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11248461:11248715:1 gene:A08p011970.1_BraROA transcript:A08p011970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVHPMMELGEDEEAGWGEFDFEIHDRKVGYMVEILKAGHKFQKFCLYDMYGTWL >A07p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16359390:16361173:-1 gene:A07p029110.1_BraROA transcript:A07p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKMAESAKPYFAMICLQFGYAGMNLVTKVVLDRGISHFVLVAYRNAFATAAIAPFALLSERKVRPKMTFPIFMQIFVLGLLGPVIDQNLYYAGLKLTSPTFAGAVTNIMPALTFIISIICRMEKVEMRKVRFQAKVVGTLVIVVGAMLMILIKSPFINLLRSHLIGDASLSVGEDYLKATVFFLIASFSWASFFVLQAATLKRYSSHGSLSTMVCFMGTLQSTALTFVMEPNLYAWNIGFDMNLLASVYAGIMSSSIAYYVQGMMTKQKSVVFVTAFNPLSVIIGSIIGLLILGQRLYLGGVLGMAMIMVGVCAVLWGKEGDEEENSEEKFLVVVKCCKGFRNNSLSMPRINEVDVEMQSTGSYDGGGLVVVL >A09p069590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54209557:54210957:1 gene:A09p069590.1_BraROA transcript:A09p069590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactinol synthase 10 [Source:Projected from Arabidopsis thaliana (AT5G30500) UniProtKB/Swiss-Prot;Acc:F4KED2] MAPKDMTVERKGEVDMVASPNGGKMAYVTFLAGNLDYWMGVVGLAKGLRKVNSAYPLVVAILPDVPEEHRQILVAQGCIVQEIEPVIPPENQAGYAMAYYVINYSKLRIWEFVEYEKMIYLDGDIQVFDNIDHLFDSPSGYLYAVRDCFCEGSWSKTPQYEIGYCQQSPEKVTWPVETYGPPPPMYFNAGMLVFEPNFLTYKDMLQVVKVTAPTSFAEQDFLNMYFKDIYKPIPTTYNLVMAMLWRHPEHVDLDQIKVVHYCAKGSKPWRFTGAEEHMDREDIKMLVKKWWEIYDDSSLDYKNFVETELKLNPIITTLASKEPVGDCLTSLAPSAA >A09g511580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34674957:34677476:1 gene:A09g511580.1_BraROA transcript:A09g511580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRDDTKAKEDGSSSVVGDEMALGSFSGDEANPRIIDKSVAPGTDQSPRDANESEENASGKGEEEKSSKKNEGEESREVDEGEKEKEGGDEGEKEKEVGEEGEKEKEVGEEGEKEKEVGDEIEPRRNDEEAAIIPSRQHETESHADSVSQIEGPTNPIGGPSNNAQSGQAHADSVETTGATPGAEALKAMEGRLMNAVRDAVRDAMKGVKEKVTSLSTQLGLLEEEVKSLRLSVPGSDNPAVQDDGDGSNNSESEEEDGDVGGDKESEEEDGGDNNEPDEEDGGDNNEPDEEDGSDNDVEDAILDISKDVQREYGDVDMDDDDAEMYAHAVEAEKKLKTKAAESVNTKKKSREKMMAKKQFLGE >A08p034260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20414915:20416746:-1 gene:A08p034260.1_BraROA transcript:A08p034260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLYFHLFLVTMTVVASISAATPAAPAGGGSLLDECSKDIQTVSLCLDFASGKAPNPSKKCCDAIEDIKEKDPKCLCFVIQQAKSGGQTLKDLGVQEAKLIQLPTSCQLHNASISNCPKLLGISPSSPAAAIFTSNATSTTTPAAPGGTSPATPATSSEKGGSASIKDGHAVMLLAVALMSISFLSTLPWMGLA >A10p016550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2992898:2993891:1 gene:A10p016550.1_BraROA transcript:A10p016550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNLMSHTKLTHIIYENAITFFFFSKCILLYHPHLPQVFTILQLPSIHQPPRTTNLKLLMHLKIDLHSFFLNSYELKTTSLSLSLHIHPKNPEILILKFVWFIKPLKLTILGGSLLFEIMGVWKRLMYAKQVISLVETMKLSFFPDLFVQTTYIRLTRKSSVRRLTCKLSMILFRDSGQTLLILDNLQYSRLGKKIETTKV >A06p003870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:919762:920442:1 gene:A06p003870.1_BraROA transcript:A06p003870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin X, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G50320) UniProtKB/Swiss-Prot;Acc:Q8LD49] MDCIVSSSTVLIRSHLTPIRSASSLSAKPLSSAQVASFASNRHILSFKSGGKRIRTLSTNASSSTIRCGGIKEIGESEFTSTVLESDRPVLVNFVATWCGPCKLVYPATEALSQEYGDRLTIVKIDHDANPNLIAEYKVYGLPHFILFKDGKEVAGSRREGAITKAKLKEYIDGLLTSISVA >A01g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3226852:3227409:1 gene:A01g500830.1_BraROA transcript:A01g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTYMCGDWEKTINFPQLFSPGFMVLLCYSACVLAFFFNYIIFLNTTLNSALTQTICGNMKVGFTDLFTVGLGWMIFGGLPFDLMNVIGQLLGFFGSGLYAYYKIIG >A09p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12553978:12561298:1 gene:A09p022820.1_BraROA transcript:A09p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stromal processing peptidase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42390) UniProtKB/Swiss-Prot;Acc:Q9FIH8] MASSSSSIFTGVKFSPILAPLNSRDNRRSGFIKDSRSKVRFNPAAHHRVRVEAQSLIPYNGLWAKQTTNKGRWKRNIVLGERVTQPSLSQGRSFCLTCRKTQPGIRRRSLPGAFVDTSSFPLSRKSSSLGKPSQIVRATVGPDEPHAAGTAWPDGIVEERQDVELLPPEIDAAELEAFLGCELPSHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSVDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTHTKDSEDDLFPSVLDALNEIAFHPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLGKRFPIGLEEQIKKWDVDKIRKFHERWYFPANATLYIVGDIDNIPRIVHNIEAVFGKTGLDNEATPTSSTPGAFGAMANFLVPKLPAGLGGTFSQERTNTADQSKIIKRERHAIRPPVEHNWSLPGTSVDLKPPQIFKHELLQNFAINMFCKIPVSKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAVKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLDFIMESDALGHTVMDQTQGHETLVAVAGTVTLEEVNTVGAKVLEFVSDFGKPTAPLPAAIVACVPTKMHGEGVDESDFNITPSEILDSVKSGLLAPIEAEPELEVPKELISQSQLQELTLQRNPCFVPIPGSGVTKLHDKETGITQLRLSNGIPVNFKISQTESRAGVMRLIVGGGRAAETSDSKGAVVVGVRTLSEGGRVELFCVNHLINCSLESTEEFIAMEFRFTLRDNGMQAAFQLLHMVLEHSVWLEDAFDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPKSLQSLNLESVKDAVMSHFVGENMEVSIVGDFSEEEIERCVLDYLGTVKASHDSAKPLGSEPIVFRQPTDGLQFQQVFVKDTDERACAYIAGPAPNRWGFTVDGDDLFQSVSKHSVAHDGLLKSEDQLLDGSDRELQKKLRSHPLFFGISMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLNLGWYVISVTSTPGKVFKAVDACKSVLRGLHSNQIAPRELDRAKRTLLMRHEAELKSNAYWLNLLAHLQASSVPRKELSCIKELTSLYEAASIEDIYVAYNQLKVDEDSLYSCIGIAGAQAGEDVTALSEEEEPEDSFSGVLPVGRGSSMTTRPTT >A02g503370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11066268:11067021:-1 gene:A02g503370.1_BraROA transcript:A02g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVFDKLRGKQWRHKQVQAICDCVFDRFKLETGRANLTFEELYIAVLLVYNDINKRLPGPHFDPPSKDLVRSMMTECDMNLDGEIDREEFVKFIELLTTDTLAVVSQGLIISLIVAPTVAIATKKATEGVPGVGKVVHKLPTSVYATLVTLAVVWVNSDTS >A01p039390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14362694:14363355:-1 gene:A01p039390.1_BraROA transcript:A01p039390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKKRVVSKPSSSRTIVKANPNDNKSVTIEPPIVSSYNDQIRPLLDTTRKIIVSETCRRWITSLHKAHRITGPSPASLTSLVGLAAKGVGSTPEYF >A05p053230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30347506:30350243:-1 gene:A05p053230.1_BraROA transcript:A05p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) UniProtKB/TrEMBL;Acc:F4J7B7] MEFSISGNALKTFARSIICLARVGNELVVQASPTQLGLHTLNASRSAYQSITFESSFFDAYAVQGPQAHFSVLLKAVCSVLRTPVASIDHMTVQLPDHDASKVKWALECYSGMKKTYWITCNVEPDIQHLSLDRSRFPSSLVVHPRNLSKLLGNFQSSLQEITIIATDQTSFPSDAASEIGGKAVEFQSYVDPTKDGDSLLHTKLWIDPSEEFLQYTHAGDPIDITFSLKELKAFLSFCEGCEADIHLFFEKAGEPILMAPKFGLGDGSSSTFDATLVLATMLVSQLQEGVPPGPPEAANSTGGNAAEQVGSEPQERSRQSAFEQPSDHTRVWSELSGTGTKSISGSEERPQAQGQQDVNIQRIREMDISKGGAPAGDNAPGPSNSQRPTQMDHAEGSRVRAENNQSFSQRHPSNWVDASEDEDEDEDDGVEATPPHNDDY >A10g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12937847:12939583:1 gene:A10g505200.1_BraROA transcript:A10g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRPTVAQVDSAAGSSRTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESGEIKIPPPKPKSENSWSRNKDRKNQRKNQAKPRQDDQKPKVAEQIPHQDDDGDASADEDPPAARQRIEVIHAQPESSSDEESDLEEALDPLDLRVLLKRKTTSTNDKTPGSSDLRVELNAKRTKHSLSP >A05g506740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18936543:18937587:-1 gene:A05g506740.1_BraROA transcript:A05g506740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSHEGPDARSNREYIGNMLCGYSVDHGVESGELYTIFSASLLSQVTSLKQRESKCNLFFFLLFQLSSSDYYKCVAYNACSPHNNSLNRSVEKLLFLEIKTSNVLIGMVNTGAVRCTVYDESYQMEKILDFFGKNMFSLIQNLTESNILQAIVTTTPSSLARTSTSLFDDYFVAKDLNLKPLVQNPPQAKKYKNFMENC >A10p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22307954:22311813:-1 gene:A10p040510.1_BraROA transcript:A10p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRIHVAIRCRPISKKETKKSPWRISSDSISVRNPSSSLSFQFDRMFKEDCETIQVYEARTKEIVAAAVRGFNGTVFAYGQTNSGKTHTMRGSPAEPGVIPLSVSDLFEITQQDTSREFLLRMSYLEIYNEDIIDLLAPEHHRKLQIHENLEKGIFVAGLREEIVASPRQVLEMMEFGESHRHIGATNMNVHSSRSHTIFRMVIESSQKTQDDDAVRVSVLNLVDLAGSERASKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGVDNQGGHIPYRDSKLTRILQPALGGNANTAIICNITLAQNHADETKSSLKFASRALRVTNCAHVNEISSDVALLKRKKKEIDELRSKPKTSHSDHSDEENLNLRNTLLKSELERERIAMELDEEKKAQAQREKVLQEQAKKIENLSSIVLFSNRDEKREQEDRFKKRRDTWGTGLLSRDSSTSEVQSHGLSRERFERETGPLLPFAELVKSERLCSISEQDENSIDEDSALPDPCALVHVTRRKKPSSIRQKKPVMVESESDIILRECEHLFLQYETEIITNQIQIECLKARVFGNVPRDESSVHLRDPEDIRLIKQLQEKINMLETEKSSSKQNLDDLVTVATEQNTCARQKIAEMLSDESEKLDLTFFNQRQRRVLWTPEEEEMLKVGVETFGTDPKKNIPWKRIYIYKEMFASLP >A04g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14963757:14964659:-1 gene:A04g506620.1_BraROA transcript:A04g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSRPLLLLFREEAYLASGKGNSFILNRRDLSSGSLITPWKKKLELPDPPEFIHLNFELKSMEKEEMVEQESIMKGPGSFPGETLLAIFQCIASVGDSSFGEKEDEEGQEEATGYDKEMLGSGRFYVSDGWRSELAKVSCFEIDILTRSLISRLEEVDPSKLRHEEKLA >A05p005090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1986970:1989925:-1 gene:A05p005090.1_BraROA transcript:A05p005090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGGEGKQWSCGKAGVVSLQKVGSLVRDLSEPCLSQSHIQIGKMLKPEKWQASFDSEGRVSGFQKALKLIILGGIDPSIRAEVWEKRYNELLKQCQTMHSSVGTGSLAYVVGSKVMDMRKSYRDESVKVSTTEEACVDDHDDNTENHHHSDWSNNGTDAPPHVHRRGSSSESIELASGRESPESVVYKTSSPYDFASPAGYYDFPSLPVTDLFGRNSLDKIEVSTPESEIRSVEEGMHHFRVDKNAELVREQHKAASEIEVMHSDSVGPSSYPGLRISDVPEEIASVKESPSRVGNVTEDRVSEWLWTLHRIVVDVVRTDSHLEFYEDPGNLGRMSDILAVYAWVDPATDNADAFWCFEMLIRRTRANFQMEGPTGVMDQLQSLWHILQLTDKDIFSHLSRIGAESLHFAFRMLLVLFRRELSFNEALRMWEMMWAADYDESVAEALENDCLEPLVIQLPRKSEAEVNVEAINGDSIKRELAISKSGPISKSSGLLSMSGLLPKSGPLPKTTGPLYEESGMKSSSSSSSSSYSAYHFCGLTRSLWSRNDRTTTHVPCVVSSIKRGDDALPVFCVAAILIMNRHKIIKETRSIDDMIQIFNDKVLVFRVRRCIRTAMKLRRKYMYKSQVIKTKSHTNQVQIEHQTHMESQKLEETQSHGENQSQTKSLHQSPATQNGD >A04p006300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3260478:3261853:-1 gene:A04p006300.1_BraROA transcript:A04p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRDAMKGCDYSLDAYSSDPLTRTVESENMLLLRGSYDSSSNECAALLEDHTSQGKTVKDLHLELEEERNAAASAANETMSMILRLQRKKAEIQMEARQFKAFAEETMMHEQEKVSALEELVYEKEQAIEALSYEVEAYKDKLMSYGVTEAEMLGFGRDFVDDYPCEYTSLRCSVEDENLSESDGDVEVVEKVMVGQSPRWSYYDPNSPLGTAKEVKGTFYTDSPMSTSNARVYTIDSVHVGDSEVKIDDESSKMSKGTLDGDHWKSLTQQGVKEPDIEKLYTRLQALEADRESLRQVIVSMRTDQAQLVLLKEIAQHLSKETVVTRRNPVSKMPFLKAFSVGTVFKWIVSFVAWRRKAKPNNRYAYHLPANNMGMLMILGEGCPTRRWNCLTSSYV >A04g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:699338:701737:-1 gene:A04g500140.1_BraROA transcript:A04g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGVLLGFASSTLVSRGSERDRFTGCVNKKFPGSFYRLRRGVMVRMRRGESTLKVQWRDLVGIEGCLQLRLRILTSLDSVYMFGVELSSPEVLFYSPASRHLFFFFVFVSFKDLCCIHLLAPGGTCYLAGSWLWKGPELEALRWAMENMLQHSTYQSFRTDCKDLIAMLKEPHAWPSFATELERIETLQICFPDFSIIHVPRARNQTSDFLAKTARSFHRELLFIGCFIPV >A02p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13267455:13267829:-1 gene:A02p027340.1_BraROA transcript:A02p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannose synthase subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G74340) UniProtKB/Swiss-Prot;Acc:Q9CA79] MELADRAVGLLLSSISLSIFTYYTFWVIILPFVDSDHFIHKYFLPQDYAILVPVFAGVTLLSLLSVFIGMVILKSKKKKA >A08g502330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5123806:5124678:-1 gene:A08g502330.1_BraROA transcript:A08g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITLRVVRNPNLMKKKHTSISVKEITKASFETALPKKPSADNVTLDGGRINSSSWVKLVLLGTGAYGSVYLGTSKSKTHHTGDRAIKTAELSHASSLMDEGRIMIRLQSPFVVRCYGDEIAREGYSTQYNLILEYCSGKTIADLIEDNHGELLESEAKVFARDVLSGLTYIHDRNIVHCDIKPDNLLLSPTAVRFRSTGYLTKIGDFGLAMEKGSLEYGNGYGHKRGTTRYMSPELIGHGFVDFGADVWAFGCTVLEMLSGATVWGEYGDLAFDDWVNLIGHSDRMPH >A09g503940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12331735:12338681:-1 gene:A09g503940.1_BraROA transcript:A09g503940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEPRATCPKRRTEVARISHPSRSDLPKRHPEVARVSMARRQEAKPGATSQSDPLRSLPKAGATCRSDMPRSLRPPEADYLLDLLRNTQKLSGEVHLLDFDCYSLLRIVSRLKVDSLIDRLPSLVRYLITQGQQELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPLRNNQQGSYQPQQNPLSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSRLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVAPRLLLGRFLFYLRAFWSFHYAPTRGRLSFIFYLLRNTQKLSGEVHLLDFDCYVLVLSEYLHSRCFDIPQNWFDNLLYYNICLRSLENS >A06p052740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27849924:27852396:1 gene:A06p052740.1_BraROA transcript:A06p052740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNWIFAVAVFLSLSTFSSAQDLQIVNAERRIDLSSHIVKAFLTLKVENIGKDPAADMLLAFPPTQIKNLAMVQALAVMGKKKKKNYLPLDVKPSEQPDAPNDAGYFLVSFATPLGPGETVTLEVLYILTHSLEPFPVEITQSESQLVYYRDSAVVLSPYRIKQQTTFFKTPSTRVESFTSVEPANRAGKEIKYGAYEDRAPYSYAPVIVHFENNSPFAVVEELVREIEISHWGSLQITENYRLTHGGARHKGVFSRVEYQSRRSISGSSSFNALLAVLPPRVNSVYYRDNIGNISTSHLRTGFKKSELEFEPRYPLFGGWKATFIIGYRVPLEDYLFEAPDGRRYLNFTFGCPLVETIVNKLTLKVVLPEGSKDPSAVLPFAVNQDLQVKYSYLDIVGRTVVVLQKDNVVPTHSVPFQVYYTFKPIYMLAEPLMLVSAFFFVFVTSLAYVHIDLNIVKK >A01p059410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34184322:34184763:1 gene:A01p059410.1_BraROA transcript:A01p059410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNMRSIFAKVHQTSKSVGYRRNFSSPAGDGKEPKKRSAVKSFVTQSLVAATLGAITGLYVENFLTLRRRSG >A07p015700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9719831:9727703:-1 gene:A07p015700.1_BraROA transcript:A07p015700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWTRAEKRTFLRQRMEARLAALLMENKEYVEALALLSTLVKEFRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAAPTAARTAANAIYYPPAQQGTIDFQSGILNAEEKYCKTGYKYFFKGFESFNALGNSRAVSTFKYMLFCKIMVSQADDVVGIISSKAGLQYVGPDLDAMKANAIYDYKAEIEDDPVIHSDLSERPTKVAPDPERPVGATHQSRSRPLARRHQKSALERLPGATPASRSAHLSSLYDTLLEQNRCRLIEPFSRVEIAHIAELIELPIDHVEKLSQMILDKKFTGTLDQGAGCLIIFEDLKADAIYSATLDTIATWRRYRLDSKKLMFLNGESKT >A08g505540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9281701:9282202:1 gene:A08g505540.1_BraROA transcript:A08g505540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKLGMGTERINNSPQSRPSTFVSILTNTVLSTNEKGIQLRNVELFSETKTKTRKTVKIRKGGSTSNPKRKEKRTEHDIPNLVPNKPKVKSQSEQTACITITVTVQNPGDPDKTPVHRSSKLEVEQRFDLATQT >A09p077900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57727499:57729231:-1 gene:A09p077900.1_BraROA transcript:A09p077900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid lumenal protein TL20.3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G12250) UniProtKB/Swiss-Prot;Acc:Q8H1Q1] MKLNVSISSLQSLNLSTMALSSLSPLPMKSLDTSRSSSSVSRSLYHFPRYPLRRLQLISRSRAERDSSDSREDCCSGGEPKTWKRFLSGALAAAVIVSSSGFPAMADLNKFEADIRGEFGIGSAAQFGSADLSKTVHSNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFSGADLSDTLMDRMVLNEANLTNAILVRSVLTRSDLGGAKIEGADFSDAVIDLLQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQRLLGRDGFCDEKTGLCDAS >A09p079430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58472771:58481847:1 gene:A09p079430.1_BraROA transcript:A09p079430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKNMSGSRPSQSSEGSSRRSRHSARIIAQTTVDAKLHADFEESGGSSFDYSTSVRVTTPAVENNQPPRSDKVTTTYLHHIQKGKLIQPFGCLLALDEKTFKVIAYSENAPELLTMASHAVPSVGESPVLGVGTDIRSLFTAPSASALQKALGFGDVSLLNPILVHCRTSAKPFYAIVHRVTGSIVVDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHEDDHGEVVSEVTKPGLEPYLGLHYPATDYYYICISLPILSLLSISITDIPQAARFLFMKNKVRMIVDCNAKHVKVLQDEKLSFDLTLCGSTLRAPHTCHLQYMANMDSIASLVMAVVVNEEEDGEATTPQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKEVELENQIVEMNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKDKVWNLGTTPSEFHLQEIAFWLCEHHADSTGLSTDSLHDAGFPGALSLGDSVCGMAAVRISSRDMIIWFRSHTAGEVRWGGAKHDPDDRDDARRMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDGESSDVNANIIHSKLNDLKIDGMQELEAVTSEMVRLIETATVPILAVDSDGLVNGWNTKIAELTGLPVDEAIGKDFLTLVEDSSVEIVQRMLENALEGTEEQNVQFEIKTHLSRTDAGPISLVVNACASKDLHENVVGVCFVAHDLTAQKTVMDKFTRIEGDYKAIIQNPNPLIPPIFGTDESGWCTEWNPAMSKLTGLKREEVVEKMLLGEVFGTQKKSCCRLKNQEAFVNLGIVLNNAVVTSQEAEKVPFGFFTSGGKYVECLLCVSKKLDREGAVTGVFCFLQLASHELQKALHVQRLAERTALKRLKALAYIKREIRNPLSGIMFARKMMEGTELGPEQRRILKTSGLCEKQLSKILDDSDLENIIEGCLDLEMKEFTLNEVLTASTSQVMMKSNGKSVRVTNETREEVMSDTLYGDGVRLQQVLADIMLLSVNFTPSGGQLTVKASLRKDQLGRSVHLAYLEIRITHTGAGLPEVLLNQMFGSEEDVSEEGLSLMVSRKLVKLMNGDVQYLREAGKSSFIITAELAAASK >A03p012900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5101545:5103866:1 gene:A03p012900.1_BraROA transcript:A03p012900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLCIWRTLILLSLFVKQVKSQDPNTDASHLSNFFNQMSSSSPKAHTFSSLCSSPGVVCDRQKQNVLHFSASGSDLSGPIPDNTIGKMRKLQSLDLSRNKITSLPSDLWSLDSLKHLNLSSNLISDPLPSNIGNFVSLQTLDLSFNTFSGEIPASISSLVGLTTLSLNNNAFQSVLPPGLLNCRSLVSIDLSSNRLTGSLPAEFGSAFPELKSLNLSRNLLQGSVNGVLHGNMEIIDLSKNRFDGHVLHLIAGRKHNLSGLIYLDLSENGFVGHILNGLSSAQKLRYLNLASNRFRVQEFPQILKLSGLYYLNLSRTNLAGSIPSGITQLSQLKVLDLSFNNLNGNVPLLPLKNIEVLDLSLNKLDGDIPRTVLEKLPVMERFNFSFNNLTFCDPNLSQGMIQTSFIGSTNNCPFAAKPNVLKGESVSKKKTGLKIGLTLAISMAFLLVGLLVILVAMRGRRKSRTWATKQAAEPNLLDQGDSSTDVPVVMIDKPLMKMTLADLKAATLNFDSGALLWESKSGPTYEAVLPGGFRAALKVVSSGATLSDHEASVTFERLARINHPNLVPLSGYCIAAEQRIVIYEHLEYVNLHTLLHTADDDSLSWILRHKIALGTARALAFLHHGCIPPVVHGEVKAGTIFLDSSQEPQLAEFGLAKLLNEGLISDLNGYRPTELEEHGSPTLESDVYSFGVVLLELVSGKKPEWDLVNWVRGLVRQGEGSSAIDPTLQGTGPVEQIAEAVKIGYLCTADLSWKRPTMQQVVGLLKDISPS >A08p020270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13727861:13729257:-1 gene:A08p020270.1_BraROA transcript:A08p020270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYVILNSDYSSAFPVKKNWSLPPPHATQSQPCCSLPPCESQFCSLIRLNTPGSTDPGPVLKRRRIPLPYLIRPRRKLPVYDSYSLYDDYIGYLSNKVGLKSDEGDVETSSLEKGNESNAMLCGTGSLSDEEYVVVDNVEVSPNSKTERAGAIQMMELSKDGIEESESGGSDSDAWVVV >A02g501080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3388122:3388520:-1 gene:A02g501080.1_BraROA transcript:A02g501080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTGRGAGLGWVILSTPCNSPFQKHQEFVASPLMAESLALREAVQSCVEKELKEVCFESDSAQLIKSIASGNGLSEIYGVAFDILSSAALFKSASFNWIPRERNILADNLAKDASFVGETRVVELTFMVPN >A09p068490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53650932:53651596:1 gene:A09p068490.1_BraROA transcript:A09p068490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ggamma-subunit 1 [Source:Projected from Arabidopsis thaliana (AT3G63420) TAIR;Acc:AT3G63420] MELEDGDSRGKHRILAELGRVEQEVIFLEKELEELGQTDIVSAVCEELLCLIEKAPDPLLPLTNGPLNLGWDRWFEGPDGGDGCRCFIL >A03p054640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23480412:23481908:1 gene:A03p054640.1_BraROA transcript:A03p054640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDRNSGNNTLDPLLQYMTIPRMREPPPILFPVPEEGEVAIPMPMTPKEFKDRLIFGPFSRSPRDSSSQYFDSLSQKHSPSSSSATATAAAAAGEAFSDASTADPLLPPQPEPWSHGHALHRSKTAPAMAVINDHHHHPTPQQKDLDSSRSVVRQAFALLVVYLSLGVLIYWLNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRRDEPDHKTRSYIIDVKKGRMRIRLKVGLALGVVVLCIALGVGIMHFIEGIGWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLAVARAFLYLAEARVDKRNRERAKKVLCEAMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLGDLLESSSGD >A06p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18347971:18349481:-1 gene:A06p033960.1_BraROA transcript:A06p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSNRVRNTKAVIAPPASVTIIEDIEEDEYENHRSCWKHIAYLNTRDSKPKLTEEEFEMFKVTAPCFYEECTRRERSRRRVKCKYLVSKLRKKLNSNIFINYLEVLWKKDVLDEKKNSFVYVDCLWFSMYKSENERVRSSVFESVKAKHIFSKEYVFLPIVYWSHWTLLIFCNFGEDLDDDNDKTCMLFVLDIFRIEGRSEDSSLVDDIPLHAPDVPQQTNDVECGSFVLYYIHRFIENACSFNIDSYPCFLKEDWFSHKDLEDFCNTFDSSGAIR >A03p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4915117:4916222:1 gene:A03p012360.1_BraROA transcript:A03p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRFFGQNLRENLVSKLIKDVEGTISGRHGFVLAVTGIESIGKGLIRDGTPFVTFPVKYRCVVFRPFEGEVLEAVVTRVVQRGFFAEAGPLKIFVSNHCIPDDMKYQAGDMPNYTKSDGSVRIQEDCEVRLKVFGFSIDATEISCVGSIKERFLGLITDPGAVA >A04p010980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6950462:6953724:-1 gene:A04p010980.1_BraROA transcript:A04p010980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g13650 [Source:Projected from Arabidopsis thaliana (AT4G13650) UniProtKB/Swiss-Prot;Acc:Q9SVP7] MFLRSVSSSYILLLQTVPRHKVNTRYVLRTLCEIRRKPPVSGFRTSCAAVSVSLYEEEPFHEKETDSVESRGIRPNHQTFTWLLEGCLKRNGSLDEGRKLHGQILKLGFDNNASLSGKLLDFYLFKGDLDGALKVFDEMPERTVFTWNKMIKELAFRNLSGKALGLVSRMVNENVTPDEGTFAGILEACRVGIVAFDIVEQIHARMICQGLGNSTVVCNPLIDLCSRNGFVDLARKVFDGLRTKDHSSWVAMISGLSKNECEEDAIRLFCDMYILGIMPTPYALSSVLSACKKIQSFQTGEQLHGLVLKLGFASDTYVCNALVSLYFHLGNLISAEHIFSNMSYRDAVTYNTLINGLSQCGYGQKAIELFKRMKLDGLGPDCNTLASLVIACSADESLSGGQQLHAYTTKLGFASDEKIEGALLNLYAKCSDIETALDYFLETEVENVVLWNVMLVAYGLLDDLRNSFRIFRQMQMEEIVPNQYTYPSILKTCIRLGDLELGEQIHCQIVKTSFQLNAYVCSVLIDMYSKLGKLDTARDILVRFAGKDVVSWTTMIAGYTQYNFNDKALATFRQMLDLGIRSDEVGFTNAISACAGLQSLKEGQQIHAQSCVSGFSFDLPLQNALVTLYSRCGKVEEAYLAFEQTEAGDNIAWNALVSGFQQSGNNEEALRVFARMNREGINSNNFTFGSAVKAASETANMKQGKQVHAVITKTGYVSETEVCNALISMYAKCGSISDAKKQFLEASSTRNEVSWNAIINAYSKHGFGSEALDLFDQMIRSNVRPNHVTFVGVLSACSHIGLVEKGIEYFESMNTKYGLAPKPEHYVCVVDMLTRAGLLTRAKEFIEDMPIEPDALVWRTLLSACVVHKNLETGEFAARHLVELEPEDSATYVLLSNLYAVCKKWDARDQTRQKMKEKGVKKEPGQSWIEVRNTIHPFYVGDQNHPLTDEIHEYFRDLTKRASEIGYVQDCFSLLNEAQQEAKDPAIFIHSEKLAISYGLLSLPSTMPVNVMKNLRVCSDCHDWIKFVSKVSNREIIVRDAYRFHHFEGGACSCKDYW >A05p002570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:876127:876505:-1 gene:A05p002570.1_BraROA transcript:A05p002570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSREAKHDQEEERREDEAAASLVDVNLMVVLLSQLCTKDDEDDKNLEKERRDHVAHEIRESRARANKRFMKEPKLMEKRTHVLQPMAKEFRSRRM >A06p042790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23027386:23028844:-1 gene:A06p042790.1_BraROA transcript:A06p042790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR6 [Source:Projected from Arabidopsis thaliana (AT5G24330) UniProtKB/Swiss-Prot;Acc:Q9FNE9] MVVSLRRRTQATNPRSDLPRSIADDSDSELDTVCEECSSGKQPAKLLLCDKCDKGFHLFCLRPILVSVPKGSWFCPSCSQHQIPKSFPLVQTKLIDFFRIKRGPDSTSPESCIGKKRKRTSLVMSKKKRKLLPYIPTVDPQRRLEQMASLATALRASSTEFRNELTYVSGKAPRSANKAVLEKGGMQVLSKQDAEALALCKNMMDRGECPPLMVVFDPYEGFTVEADRCIKDLTIITEYVGDVDYLSNREDDYDGDSMMTLLHASDPLQCLVICPDKRSNIARFISGINNHTPEGRKKQNLKCVRFNVNGEARVLLVANRDISKGERLYYDYNGYEHEYPTEHFV >A05p041810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25337546:25340153:-1 gene:A05p041810.1_BraROA transcript:A05p041810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPEYCTRVFWDVVDFPFPKGLAPETIYQRMKSILEKMGCINHYLSIMAYVNNSETFPDKTAYEKAGINIVTQPERHRFMLRDMAWWDVDSGFLPNFGKITFMVIISQIEPSLLVFLEGLRNAYKVRLAVPDEDTSLSSSPNLLDNTVRFESLYKSLLLQDDMTREVVVPLLFYPPIQTKPPPLYYPPIQTSPLPSPPIQIYNRPGVFLDLPESLLLACDPYRLNSYIRTSLCFDDGFSIMAYVVDTQTSSFPEGWVDAFTSLGITIIPQQAELGAPRAHKMSLDIVLWALDNTATYFQPRDLFVFSGNVKQGTDFYNALEALRDRYYNVLCGVSVFWDVQGCPTDLSVILQALRNKGYRGMVVLIPYLDMDYQGDELFTYDGYACVPSIKVQGDKYTKVARMLLDILFWAMNHDDYARNLMLISQPSKDIDIFAQALERRFFNVIFKPSHEVAIDHRFESLCKSPPHPNSQTRLKSPCRTLTDLSRERNSCPVRISWLVDTCPSKPSDFWNLFSSTLELKGYGGFESVIAYVEKGKTDDEVIKVYTKSGLHVRLTPDGDEFGKFNLMVTDMINLTYSCGPYNFLVISSKPFRDVLCDTVFEDFKSRGCNVLFETVDYMVTFGSTLWSAKSFLDTSFTDSSQALA >A05g500840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3072877:3073308:1 gene:A05g500840.1_BraROA transcript:A05g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDSSHSSNVRHVSSEKLVNNILVEFDQMSAIQRINFKLEYFREIALDSNNKKNPKSNNKTPPPENNNDKPSTTTKRRTGSVPCGKRTEFGYAKDFHEQYSIGKLLGHGQFRYTYVAIHKSNGDRVAVKKLDKSKVLLFSAV >A09g516200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47867676:47870126:-1 gene:A09g516200.1_BraROA transcript:A09g516200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGIRTTEGLVRSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGTQQERGGTISGNADGKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIVNGEGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSTGSGRVAGPKPDGEQKSPVRSG >A01p003290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1469045:1471302:-1 gene:A01p003290.1_BraROA transcript:A01p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLQLDKRLDTTEQVVEEIMRLHRSLPPRPGLDEVEAATSLIQNVEKEDQAWREAIASQRKPSDVPGELFAILQEMKKGLVQFKSKEQIREAVKLLDLETVHSLFDDFIQRASDCISSSNGSAPPSRPPRVPTTPPSSLYLNEKTPARPKEMVSRDDSFVSKAKPSLYDDGFVAPRAPQVVDSTLTAGKFAGGNDGDKLSLIKLASLIEVSSKKATKELNLQNKLSEQVEWLPDSIGKLSTLTSLDLSENHIVVLPNTIGGLSSLTNLDLRSNRITHLPESIGELINLVSLNLSGNQLSSLPSSFSRLLQLEDLNLSCNNLPVLPESIGSLASLKKLDVETNDIEEIPYSIGGCSSLTELRADYNKLKALPEAIGKITTLEILSVRYNNIRQLPTTMSSLSSLKELDVSFNELESVPESLCFATALVKLNVGNNFADMVSLPRSIGNLEMLEELDISNNQIRVLPESFRMLTKLRVFRAQENPLQVPPREVAEKGPQAVVQYLNDLVEQRNAKSLVVKPKKSWVQMCFFSKSNKKKQSNMEIV >A05p007510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3033678:3036209:-1 gene:A05p007510.1_BraROA transcript:A05p007510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G40190) UniProtKB/TrEMBL;Acc:W8PUX5] MAICFILYAFLTLLFALSLTLSLSIINARKSRKRAVGFFHPYTNDGGGGERVLWCAVKAIQEETPDLDCIVFTGDHDSSSDSLARRAVDRFGVHLLSPPKVIHLNKRKWIEERTYPHFTMIGQSLGSVYLAWEALRKFTPFYYVDTSGYAFTYPLARLFGCKVVCYTHYPTISLDMISRVRQRNSMYNNDASIAKSNWLSTCKVVYYRAFSWLYGMVGSCTHLAMVNSSWTKSHIEVLWRIPERIRRVYPPCDTSGLQKLPLKRSSDPPVFISVAQFRPEKAHMLQLEAFSLALEKLGPDVPRPKLQFVGSCRNEPDEERLQKLKDRAVELKIDGDVEFYKNAMYRELVALLGNAVAGMHGMIDEHFGISVVEYMAAGAIPIAHNSAGPKMDIVLEEDGQRTGFLAETVEEYAEAIVEVVKMSETERLKMAESARKRAMRFSEQQFSEDFKTAIRPVFTCAVK >A01p052410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29441874:29443930:1 gene:A01p052410.1_BraROA transcript:A01p052410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKLLAACLYLAAAATLVVQAEDPYFHHVWNVTYGTASPLGVPQQVILINGQFPGPNLNSTSNNNVIINVFNNLDEPFLLTWNGIQHRKNCWQDGTPGTMCPIPAGTNYTYHFQPKDQIGSYFYYPTTAMHRAAGGFGGLRVNSRLLIPIPYDVPEDDYTVLIGDWYTKSHTQLKKFLDGGRTLGRPNGVLINGKAGKGDGSDAPLFTLKPGKSYRVRICNVGLKTSLNFRIQNHKMKLVEMEGSHVLQNDFDSLDVHVGQCFGTIVTANQEPKDYYMVASSRFLKSVITTTGLLRYEGGKGPASSQLPAGPVGWAWSLNQFRSFRWNLTSNAARPNPQGSYHYGKINITRTIKLVNTQGKVDGKLRYALNGISHTDLETPLKLAEYFGIADKVFKYNSVDNPTPEQTKSIKIEPHVLNITHRNFIEVVFENHEKSVQSWHLNGYSFFAVAVEPGTWTPEKRKNYNLLDAVSRHTVQVYPKCWAAILLTFDNCGMWNIRSENTERRYLGQQLYVSVLSPEKSLRDEYNMPETSLQCGLVKNTPKPANPYAGA >A01p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18528240:18528697:-1 gene:A01p028140.1_BraROA transcript:A01p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGQFIDLGAIATVQVKEKDRKKVQFRFIGHAVACCLWGKYAEQIETQMEEAKDETIICLIKFAKNKILQRYTNHQFI >A10g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:249636:249980:1 gene:A10g500080.1_BraROA transcript:A10g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLLNYHRRNVDLESARCPPPKGRFLTTSAASKGEKKPAHSDNNNAPAVKLPTEIVEITSDPRTSEEDKVEMPADAY >A09p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7080870:7083225:1 gene:A09p013650.1_BraROA transcript:A09p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVKGWLLGRTSYTQSLPGARHHRTPTKKRVWITAVVSLITMFVIGAYMFPHHRNSACYMFSSNGCKALTDWLPPSPRQFSDDEIAARVVISEMLSSPRVIEKTSKIAFMFLTPGTLPFEKLWDLFLEGHEGKFSVYIHASKDTPVHTSRYFLNREIRSDEVIWGRISMIDAERRLLTNALRDPENQQFVLLSDSCVPLRSFEYMYNYMMYSNVSYVDCFDDPGPHGTGRHMDHMLPEIPKEDFRKGAQWFSMKRQHAVITVADSLYYSKFRDYCRPGVESNKNCIADEHYLPTFFHMLDPGGIANWTVTYVDWSEKKWHPRTYLPNDVTHELLKNLTSIDAVSRVTSEGTGEVTWTRCMWNGIKRPCYLFGRKFHAATLNKLIDLFPNYTSMA >A02p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2922764:2931630:-1 gene:A02p006870.1_BraROA transcript:A02p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSAAKLIVEALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPVPLLEALLKWRESESPKGANNASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKSEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLSDGGKSQWPPSVAEPALTLWYEAVGRIRVQLIHWMEKQSKHLGVGYPLVTLLLCLGDPLIFHHNLSSHMEQLYKLLRDKNHRYMALDCLHRVLRFYLSVYAATQPPNRIWDYLDSVTSQLLTVLRKGMLTQDVQQDKLVEFCVTIADHNLDFAMNHMLLELLKQDSPSEAKIIGIRALLALVMSPSSQYVGLEIFNGHGIGHYIPKVKAAIESILRSCHRTYSQALLTSSRTTIDAINKEKSQGSLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILKLPDEFPLLIQTSLGRLLELMRFWRACLVDDRQDSDAEEEKKTDKGNDRFKKLSFHQAADAIEFRAADIDAVGLHFLSSVDSQIRHTALELLRCVRALRNDIRDLMIQEHPDHVMKFEAEPIYMIDVLEEHGDDIVQSCYWDSARPFDLRRDSDAIPPDVTLQSIIFESPDKNRWGRCLSELVKYAAELCPRSVQEAKSEIMHRLAYITPIELGGKASQSQDTDNKLDQWLLYAMFVCSCPPDGKDAGSIASTREMYHLIFPYLRFGSETHNHAATMALGRSHLEACEIMFSELASFMDDISLETETKPKWKIQKGGRREDLRVHVANIYRTVSENVWPGMLARKPVFRLHYLRFIDDSTRHILSAPPESFQEMQPLRYALASVLRFLAPEFVDSKSEKFDVRSRKRLFDLLLSWSDDTGSTWGQDVVSDYRREVERYKTSQHNRSKDSIDKISFDKELNEQIEAIQWASLNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRVPFGYSPADPRTPSYSKYAGEGGRGTTGRDRHIGGHQRVALAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSMREWAEDGIEGSGGYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEITGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSIEPIGYGVNRGDSSGNFVLEFSQGPATAPQVASVADNQPHMSPLLVRGSLDGPLRNTSGSLSWRTGGVGGRSASGPLSPMPPELNIVPVATGRSGQLLPSLVNSSGPLMGVRSSTGTVRSRHMSRDSGDYLIDTPNSGEDLLHSGMAMHGVNAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTDLPSAALLSALVQSMVDAIFFQGDLRETWGTEALKWAMECTSRHLACRSHQIYRALRPSVTSDACVALLRCLHRCLSNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFIHVYCQVLELFSRIIDHLSFRDKTTENVLLSSMPRDEFNTNDLGDFQRTESRGYEMPPSSGTLPKFEGVQPLVLKGLMSTVSHEFSIEVLSRITVPSCDSIFGDTETRLLMHITGLLPWLCLQLSQDQVMASALPLQQQYQKACSVAANIATWCRAKSLDELATVFVAYSRGEIKRVDNLLACVSPLLCNKWFPKHSALAFGHLLRLLKKGPVDYQRVILLMLKALLQHTPMDASQSPHMYTIVSQLVESTLCWEALSVLEALLQSCSPVQGGSHPQDSGYFENGADHEKTLVPQTSFKARSGPLQYTMMAATMSQAFPLGAAAGESGIPPRDVALQNTRLILGRVLDSCALGRRDYRRLVPFVTTIANM >A03p068390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29958304:29959491:-1 gene:A03p068390.1_BraROA transcript:A03p068390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRKSDLLSNLPDCLLVTIISLLPFKQSVQTSILARRWKNLCLETTNLVFKESEFVNLSTDTETIKSKRSLFVSTMCQWISSFTGEVIESLEFSLSEPVSFEKAIVSLTEFAASKQIKNITIDFSSPASRKIDVIEHLVTLMHYQNTKFDITRIFFNLIHVRNLTICSFLIQMIQECEDPMEMHDAMEARHLVMKTNLHANEFVGIKIFLNSCPELESLTFHMDTTERIVRVSMPLDPKAFWLTNDTYACLERTLKLVKIKNFRGGPNELHVLKYLMRRGLVMEQLDLYEAKGLNDDHRRLVLTAAEEVQKNVERGSKHLRITLHKA >A08p039900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22863785:22866741:1 gene:A08p039900.1_BraROA transcript:A08p039900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTLFCLPEGDALFNPLNTMFIQMACILVFSQFFYLFLKPCGQAGPVAQILAGIVLSLLTIIQKVHDFFLQKESASYYIFFSFLLRTCFMFLIGLELDLDFIKRNLKNSILITFGTLLSCGIIWIPFLWFLVHFLHIKQDFLTFYLAFLVTLSNTASPVVIRSIIDWKLHTSEIGRLAISCGLFIEITNIFVYTFVISYISGTMSGDIFGYIFATGVIILINRFLAAWLPKRNPKEKYLSKAETLAFFILILIIAATIESSNINSTVFVFFIGLLFPREGKTYRTLINRLSYPIHEFVLPVYFGYIGFRFSVSSLTRRHYLVLGMTVALSIIGKLLGVLFACSFLKIPKKYWLFLSTVLSVKGHMGLVLLDSNLAYKKWFTPVIHDMFVASLVITTLLSGVLSSLLLWTQEKGFSHQKTSLEFHDTKDELRVLTCVYGVRQARGLISLVSALHGASSSLFTPYLMHLIPLPKKRKTELLYHELDEDGVNAIGGDDEFGTNEGLEINDSIDSFTRDRKIMIRQVKLVAQMENMHEEICDGTEDLRVSIVFLPFHKHQRIDGKTTNDGEVFRDMNRKVLKQAPCSVGIFVDRNITGFHQLHGFESVQHVAALFFGGPDDREALSLCHWLTSNSQIHLTIIQFVADDSKVEKVVGDTVTKENNDVLLEIVGEDHTKDEIDRIFLEEFYNRFVTTGQVGFIEKRVSNGTQTLTILREIGEMYSLFVVGKNRGDSPMTSGMNDWEECPELGTVGDFLASSNMDVNASVLVVQRYRHSFDSFVDE >A07p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14744753:14746984:-1 gene:A07p025670.1_BraROA transcript:A07p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIMNNISETMIEAQTQIRQSLYCHQCHKKRSDIVGNCVTKRPNTTCMVKYCRSCLWNRYKEIPEDVASKKDWLCYRCRGICDCSNCLKEQGKKLTGFLRENGSSSDAIKTPKNAKRQLKLNDSSEGYNEENPAAGKRTKPILKKKEKSQLEEVKLPQGSESITVFGIDLPSENAGRLLQFLEFCSKFGKALGLRGGEPQLVVSEIVSERNTRSHEHSTLTQMIIQLLTLILVDTGDKSVGLSASDDRWFNVLGDCFAQSEVKLDDFPPEMFQKGIAEYEEMGSSQRLKLLNFLCDETLSTTVLRDCFANPESVEKKKEAKEKLNAAKANEQKLYQKLEDEFSKAQAENNGVELTIKQRLAIVSQMEAESELVFAGMQNALKMQKVQEYDDVLRTSPVGLDDNGLTLWKLKSYNKEPNILLQDLGSWSDVCPHERWFAFSPEQKPQVEKYIACKK >A09p076540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57224608:57225868:-1 gene:A09p076540.1_BraROA transcript:A09p076540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKRTFEAEDVQELNVKEAREISYCNKLAKLDDGVPYHVSLEKSGVVIGEDLSDLYGFECEDNVEKGLDTNAPFSWITSEEDATTQSTLSHESPESDVLWRPADDVDWCENSPRKQVPIGSDYQANIPECVKEEVSEEQVMGKCVIPMPDRETDFFEIGKGRKECVCVDKGSIRCVQQHIMENREGLFETVGYEKCLSIGLGEMGEEVAGKLTEDEEDLFHEVVYSNPVSLDRDFWKQLKSAFPTRTMKEIVSYYFNVFILRRRAVQNRSRSLDIDSDDDEWQVEYDNTFYGSQTQDERTGISLSGDEEEEVNVEEDSCTSDDFLQSNVNREESDVGKHWRHCSDLLEDQSYSFDPCWSKNIDLLPTSNILDEIFGQQDPWDDFSRGRK >A02g503220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10690281:10691891:-1 gene:A02g503220.1_BraROA transcript:A02g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGIPKKTKETATSTSAPPESDEPREAAPWPRDPLTPFSKLPTIHNRQISSKKELRELASYAHRDYYAGWSDYHCILHNGLQRMRFKPTKFICDYTTKELGIVRDVKKMWKNMGLGTLGYNPQPLYLDLVIQFVSSVELHYKSEVNKVASEDKLTFLCRGLLYEMSIHELCILFGFETRHEACSLPKFPCAYLLWSQIADSSYVSREAKLAMLRNPVLRVVAKYLGHLLLGKSEAGSVTEDEAQLIHYGLPLALRPTYGVADEPPAELSVNMGALFAQMMFERKFRGLRPLDRKPLDESIGSLLTRIFMHHDIDLSSTPCVDTVDRFDAQFFLNTKILHSGKIYRFTMPDETILHCKLPQPAITSLTSVENMEFMPPSEVLYTPPPPASKRHRGSSSSGPAQTQCEDDTIPDISVDHTPNPSMEYLLPPYTGQFDSGAPPLDGTQQQQFAWTADTLVKLSTMMQTVWGALAKIRCPPTPSCCRAPKTSEAADMTRDDAGNEPSDEATDEERGSRLHRSRRAPGQSRSCSPDDHQ >A03p031050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13066451:13068710:-1 gene:A03p031050.1_BraROA transcript:A03p031050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PGR5-like protein 1B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11960) UniProtKB/Swiss-Prot;Acc:Q8GYC7] MCSKMAFTLTIPRFHSPVSRKSTTTCSSPPSRTHSAQFSPRRSISLRRRLFLLPVKATTDQSGQVGGEEVDSKILPYCSINKNEKRSIGEMEQEFLQAMQSFYYEGKAVMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPIMSDEEYDKLKMKLKMDGSEIVCEGPRCSLRSKKVYSDLAVDYFKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPAIVYLALSLTKLIIRDSLILKGPCPNCGTENTSFFGTILSISNDGSTNNVKCSGCGTAMVYDSSTRLITLPEGGNA >A09p030110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17906017:17907247:-1 gene:A09p030110.1_BraROA transcript:A09p030110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPETLILETSHCSDESISKYSTDKLKQQLFFPNNQLKKIGIWKEFNGATSNWLGPSLPLLHLSLPYFSSSRLPLLLSERCYFMAVVRKRPYTFRTNYYILITRQKREKRERGFPADGEAPLATVGPVILGGAPIYGGCPILFREVMAFSASSSPVSVSGSRWLLQHRDRRLWFREAVALLASLAPANFSSYLTAIYESEPLLFICLSFLNLVPHAYPVSYDSRCALVVYELRWKCGFEWFRLNTDDGVAVRRRIAESGAEGRR >A02p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4645979:4647414:-1 gene:A02p010730.1_BraROA transcript:A02p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHKQEPRVSTTYIRSLAKQQLASSATMTTTTTTADSSKTPTQTQTHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKQHRASMRQAARVPPLQPPPPPHIPFSAPTPPDPYSWSNPHLNFLLPHQPLGLNLNFDDFIQTSSSSSSSSSSSSSSSSSSSMFPTTNRHIYSSPSPPLPTFAATSDYFPHQPPNQLMEAENNVATSAWWSELMMKTVEPDVIKTEEEVAVAEDDVFPKFSDVMEFPSWLNPTDEELFHHPYNLTHYSSSHNPPLTCMEIGEIEGMDGDDWLA >A01p056090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31548504:31549653:-1 gene:A01p056090.1_BraROA transcript:A01p056090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGKDEDAAVELELCLSLGGPFKKAQPLEFCTDSKPNNAVRCVEDIDVDLNDGAVRHEINETRTKETRKEREGKQQQRSGGEGEYKRIRTECKEVSYNGVDQFDLSFSGLGNGYRSGQYKENSKAATIGSPICSSSDVSDPSGSCELGINSDQTKPVKSPVNNITTHTNEGQEDAVVVETQEWSSSVAKETGKPPKPRPKGNGSMLPFAQMPCVTSTGNGPQGKTVNGFLHRYTKSEISIICVCHGTSFSPAEFIIHAGGTNVSHPLRHITVVPSKF >A06p057100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29687139:29691981:1 gene:A06p057100.1_BraROA transcript:A06p057100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTEKYFVLDPREATFSDLACFLFSSDLRNRKFIDSSEQKLEDDLCRFRRRWIIFVSIVIQKLMILLRKPVYFLGFYISFWLNLLSSNGGFFKILPNLFKGKIIWPEKTSATFASLIGNLDRRVELDRRIERGSKRYKAMLSIMASKLSYENTNFVSSVLHNHWKMDLLGFYSCWNGYQKQKSTEVIVIKDTSTYPNLIVVSFRGTDPFDSDDWCTDFDLSWYEIKNVGKVHGGFMKALGLQKEGWPKDVNFDQTQNETTQYAYYTIMHHLKEILDQNPASKFILTGHSLGGALAILFTAVLMMHDEEQMLDKLEGVYTFGQPRVGDEEFGKFMKNSLKKYEVMYERYVYCNDMVPRLPFDDKTLMFKHFGACLYYDSFYRGKVEQEEPNKNYFSMLWAIPKIMNAMWELMRSFIIPYWKGEEYREGGLLKCFRVVALLIPGLPAHAPNDYVNATLLGNLPDLHLD >A08p044080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24434576:24435936:1 gene:A08p044080.1_BraROA transcript:A08p044080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLLPEEGLSDDLFGDLFNSIELSLQDIDDDDTTNGGVEDWEAKFQHLEPPPMDVFTSFPTEFTSSCRVNKLGRVGTVPVLKQSGACAALSGTTPHKFSSSADDIKVSEMFQSLSPVSVFESSDASFSPQNSRSQRLTSPVKGMRSKRKRPTTVRFKYLHQFEASKPEMLAPGESGLRTYYAFEQHAKKKHKISSKVARKCTHCETTETPQWREGPSGPKTLCNACGVRFRSGRLVPEYRPASSPTFVPSVHSNSHRKIIEMRRKEGGQFHTSMIHGVISRA >A09p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20330590:20331934:1 gene:A09p033660.1_BraROA transcript:A09p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP8 [Source:Projected from Arabidopsis thaliana (AT4G10925) UniProtKB/Swiss-Prot;Acc:Q93YV9] MGSVTELETPKTHSLLFLFLFLSFRPVQLLGFRQKKAINQSLHKTLIRSPRLSQKINLEKPMPSTSLANGSVNGNAGDRPPDVVADDKPGVSMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALFHKDFTLEQDGITPVNGWKAYYATTRAIISVNTGFFDIIRERSLPDMAQLWLNSDYVKCIHASGELFSGYSEVMQSWQLCFNWEQGFDFQVHNVRTRILTDMAWVTMKAYLNVDAGPFLITNVFEHHNGRWHMVHHHSSVMLIDGVNQQVVVH >A02p016270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7274077:7275365:-1 gene:A02p016270.1_BraROA transcript:A02p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVYIVYYSMYGHVEKLAEEIRKGAASVEGVEAKLWQVPETLPEEALSKMSAPPKSESPIITPNDLTEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQSLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEEVKGGSPYGAGTFAGDGSRQPTDLELAQAFHQGKYIATITKKLKGSTA >A07p038650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20568559:20568889:-1 gene:A07p038650.1_BraROA transcript:A07p038650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAISWADESLVARRLDRVVLGLEDTVESTLLLNILRNIPNWKVMLEMKKTSKEVYRIAKSASSTHFGQSYVAAGHSGWLQRRFDSEKVGSFA >A09g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19314611:19315065:-1 gene:A09g506510.1_BraROA transcript:A09g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKAKVRSRSRRRSRSRTLARERALSSPPEIVNVKDLVLPVVKYSEVKTDELKTLVIKDSPVAEVSVEGWVSAEKQVVVDEVQLEEGEICEDPRGVKEQSLEANKEGPGGASVDDLENSRSGRLSTKIV >A02p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2188117:2194473:1 gene:A02p005070.1_BraROA transcript:A02p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVGKAVRKEIEGVGLCSGTVRSHDSSRNFENGVTEVSESADLAMGEGGSQAEQPRVGRRRTRSRGEIISSEVNVMRNVDLNDDGVAEDDSRVSDDCFGGNVDLNCGPVEKTTLGLDLNRAFDLSTGLDLNLNDGLGLVNVSIDYEEGSSVKRRGFIDLNMDARCDLSPHKEVGGFDLNLEVNMQDDEQGIQNSLEGGECKEVHVAEVSSVQLFEEIGKQDVVSLQDLNTPERDLEHEAKTVVESLSDREEYTSGRRKRRKASVNPKFTSQPRLRRSARRPLARFSNTACLADEVSPSPSVSSLTEEKTWVVEGKAAEDLSVPPPKAELPPSSRVLNLDGLPILNVFSVYSCLRSFSALLFLSPFELEDFVEALRCMSPSLLFDSIHVSVLQILRKHLENLVAEGDWAAIACLRSLDWDMLDVVNYPLFVVEYLLFSGSKDNPGVDLTRFNFFRNEYFRHPMNLKIEILARLCDDTTDTEVVRSELNKRSVAAESEMEIDRKRNTKVRRRKRSMMELADDSSLNDEAVDGSLDPNSDDCCFCKMDGNLLCCDGCPAAYHSKCIGVASHLLLEDDWYCPECSFDQRVPGLKPEKQIRGAEFLEIDPHGRKYYSSCGYLLVIDTDGTGLVNYYHVNDVIHVLEQLKSCGSFYNGVIGAIKKHWDIPVGLKRTISGVNSQIFICLDTPAKGMISSIDGFKAPLPATEKQPTSGVKKKLEEGSSDGGSRNHCHRARRKISDSATGLDTLNMSSEGSAETIQNGSDVQSLHEPGPSSILDVTKEPNSNIHSSSHYLDRINKRKGIRLQSESGYRNQYIFAEMTTAISKEMTRKSPIRTIDMRTDEEIASTQVKTILMKTTKFQWRNIQGLYLDAWKEKCGWCLSCKSEDAGSKTNCLFNMSLGALRGPSESEIANSQSIDKKSHLMTIICQILSMESRLQGLLVGPWLNPQHSRIWREHILNASNISSLRHLLVELEANLHHRVLSLEWLNHVDSAIEMGSSRHILTSTRSSSKTAIGKRRGTLLESGVNPTAKKKGGLTMCWWRGGQLSRPLFNWKVLPRSLVSKAARKGGSGKIQGILYPENSEPAKRSRRVAWEAAVESSTTSEQLGFQVRTFHSYIKWDDIENSHLLPASDKESKKSARLFKKVIVRRKCIEEDTVKYLLDFGKRRNVPDVVLKNGRMIEESSSERKKFWVNESYVPLHLLKGFEEKKAVRKTMKSGSSSRYSEIEKVRKNSSERKGFSYLFERAERSESSLCEQCKKDVPPSDAACCHICKRLFHKKHTRRADKEGMYICLPCRSEVQAKEQPSGRRRGRPPGSFRKKVRVQKKQTHKKVIPARKSTRLKKTKTSLGERISVRLKNHKKVVASKPLRRSGRRPKHGTRLQDESTVPGGSKKRKLETKRGRGRPKKVKQEISIRKKRTKRALSYWLNGLFLSRKPGDERVDKFREERYFKPVENSDPDHDQPKCRLCGLSDSDSGSTFISCEMCKEWYHGDACGINEKNSIMVIGFRCNLCREQLPPTCPHEISTTSDVPS >A01g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20751536:20754296:1 gene:A01g507120.1_BraROA transcript:A01g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVYASCGVWELVVSSGWSFNVDKKKGGRLLALELKSSLEELQKNVIEDFGFEETDADLELSYLPIGLFNSSKCPPVIIGNSRQVQNFLRFCKKYQSTQLCVSYKEKQGNPNKIDIDLNKMPTDASTSEENKRNPCDIGTASNIVKGAKHNEKRKGKMKQSEVDGDDYDADKQNEKKKGKMKQDEVEGDDYDADKINSEKENREKLAKSQQLGGTKHSFSLTLLLGLHAFSCFFPKHQLCHQHSLQHDYFYLSQYQLFDPLLSLCQIPQIQSS >A07p034660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18799557:18801742:1 gene:A07p034660.1_BraROA transcript:A07p034660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNGEGTEKCNGVNSCGGGGANERSRGNKKLQVYKRRKLGRVSVEAGVSHTGSQESVASELDNKKFSTGTDLTGELPELPNLNKPTTEPKYETVTAGCQNVLSQVLASKEFASLSKLLSEIKIEDLTCRTLIDTRMQEGVYEGSPVLFSTDLQEDVGNDMAVLGNSLLELSKTFSTEQLKKFYTRELKPNPNAENIRNNCVYDTCKLCGEKAAVTDCLACDHCEDMYHVSCAHPGGKGMSTGSWYCINCTANGIGSPHENCVVCERMKTDSRRVDKSTECKEDSNESEENSSCNINHGGHKVEVKRDSELCRTCGTKVVENGRFITCDHPFCPHKYYHIRCLTAKLVKLHGGARWYCSSCLCRNCLTDKDDDKIVMCDGCDDAYHIYCMKPPLLSVPDEEWFCKTCNAASQKVRKVRKAYEKKMGTLQKQNGNLKSSGDSVGGGVDMLLNAADTLKD >A02p047190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:29491344:29491640:1 gene:A02p047190.1_BraROA transcript:A02p047190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSLLGAKKILGRSVTATASTSKRAASAAPKGFLAGYVGESQKKRYVVPVSYLSQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRLQ >A07p033270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18206019:18208600:1 gene:A07p033270.1_BraROA transcript:A07p033270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPFRSSPVISESTTQMCKGFDNAFLQAFRNTMKLASSLFPNQWRLLASSPQLYLQSFIFSTASTTKSPTLRQYRNLQTSVSPVVTSSYLPTSYITQKQIETPSSPEKQGPPVQESLGAFQKLPMVMPSIDLYSSALRKSKRVQPTKGIANIAKRERNRGAKQLDAFMKELALPLKGYMESFPRRRLLHPYERSLIELTLGDGKYEEVLGKVDALRKKVLSVGKEHASLCAKALSKREAEDRLSEGVEKLELVFQQEGRAVDDLLSIAKVLRAMPVVDLEMPTLCLVGAPNVGKSSLVRILSTGKPEICNYPFTTRGILMGHVILNYQRFQVTDTPGLLRRCDEDRNNLEKLTLAVLTHLPTAVLYVHDLTGECGTSPSDQFRIYKEIKERFKDYQWIDVVSKCDLLGGGSPVMYAKEDRSNDEEEIIKYRVTGPDESFHVSVKTEQGLSELKSKVKEVLSIEMEKIKSRVGVDPSVASS >A08p019590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13335424:13336002:1 gene:A08p019590.1_BraROA transcript:A08p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSELTQEERSALAQSIAEFHTYQLGPGSCSSLHAQRIHAPPEIVWSVVRQFDKPQTYKHFIKSCSVEEGFEMRVGCTRDVIVISGLPANTSTERLDILDDERRVTGFSIIGGEHRLVNYKSVTTVHRFEKERRVWTVVLESYVVDMPEGNSEDDTRMFADTVVKLNLQKLATVTEAMARNAAGERGGSQVT >A03p018450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7533598:7542118:1 gene:A03p018450.1_BraROA transcript:A03p018450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein RAD50 [Source:Projected from Arabidopsis thaliana (AT2G31970) UniProtKB/Swiss-Prot;Acc:Q9SL02] MSTVDKMLIKGIRSFDPENKNVITFFRPLTLIVGSNGAGKTTIIECLKVSCTGELPPNARSGHSFIHDPKVAGETETKAQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYKACYSAGYSYDMKLPMQLRESIAQDQERTESSKAQMSELESSIQKVDAEVHNKEMMLKDLRKLQDQVSRKTAERSTLFKEQQRQYAALPEENEDTMEELKEWKSKFEERIALLETKIRKMERELDDTATTISSLHNAKTNFMLEISKLQTEAEAHMLLKNERDASIQNIFSNHNLGNVPSTPFSTDVVLNLTNRIKSRLGEFEMDLLDKKKSNETALSTAWDCYMDASDRWKSIEAQKRAKEDIKTGISKRIEEKEIERDSFEFEISNVDVKQIDERENLVQVELERKSKQNSESGFESKIEQKQHEIYSMEHKIKTLNRERDVMAGDAEDRVKLSLKKTELENLKKKHKKIIDESKDKIRGVLKGRLPLEKDMKKEIVQALRSSVEREYDDLSLKSREAEKEVNMLQMKIQEVNNSLSKHHKDTESRKRYIESKLQALKQESFTIDAYPRLLDSAKDKRDYHKSKYNMATGLRQMFEPFEQVAREHHFCPCCERTFSAEEEDNFVKKQRAKASTTGDHVKALAAESSNADSIFQQLDKLRSVFEEYTKLTDEIIPLAEKSLQEFTEELEQKSEALDDVLAISAQIKSEKESVEALVQPLENADRLFQEIVSNQKQIEDLEYKLDFRGHGVKTMQEIQSELSSLQSTKDKLHDELEKLRDEQIYMERDISCLQARWHALREEKAKAANLLRDVTKTEEDLERLAEEKSQLDLDVKHLTEAVGPLAKEKEQLLSVYNDIKVKRNQEYEELAEKKRNYQQEVEALLKANSKINEYNDLKKGERLNDIQEKQRAAESQLQSSESRRNEIVAELSKSKDLMRNQDQLRRNIEDNLNYRTTKAAVEVLTREIESLEEQILNFGGIPAVEAEIVKILRERERLLSELNRCHGTVSVYQSSISKNKVELKQTQYKDIDKRHFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYIRIHSDSEGAGTRSYSYKVLMQTGDTELEMRGRCSAGQKASCSKMVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNSESLAGALLRIMEDRKGQENFQLIVITHDERFAQMIGQRQHAEKYYRIAKDDMQHSIIETQEIFD >A06p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5776009:5782030:1 gene:A06p012660.1_BraROA transcript:A06p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDPAHAMSRGGSMRRSISRSVSRASRNLEDIFSPSSRRTKSVNEDEEALKWAAIEKLPTYSRLRTSLMPALGEDDIYGNQILNKEVDVTKLDGEERAKFIDMVFKVAEQDNERILTKLRNRIDRVGITLPTVEVRYDHLTVKADCYTGDRSLPSLTNTVRNMGESLLGLVGIHLAKKAQLTILKDVSGIVKPSRMTLLLGPPSSGKTTLLLALAGKLDKSLDISGEVTYNGYRLNEFVPIKTSAYISQNDLHVGIMTVKETLDFSARCQGVGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSLITDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATVVISLLQPAPETFDLFDDIILLSEGQTVYQGPRDHIVEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVDQTKPYRYITVPEFARKFKTFHVGNKLSNELSVPFDKSKGHKAALVFDKYSVKKSELLKTCWDKEWMLMKRNSFFYVFKTVSIIIIAAILSSVFLRTEMNTRNEADANMYMGALLFGLIMNMFNGLAEMAMTIQRLPVFYKQRDLLFHPPWAYTLPTFLLGIPISIFETTAWMVVTYYSIGLAPEAERFFKQFLIIFLVQQMAAGIFRFIASICRTMTIANTGGMLALLVVFLTGGFLLPRREIPVWWRWAFWASPLSYGFNAISVNELFAPRWMNKMSSDNTTRLGTAMLNMWGVFDDKNWYWIGIGGLFGFAVLFNGLFTLALSYLDPLGKPQAILPKEEDESKNEIPMENVSTKKGMVLPFTPLALSFDDVKYFVDMPAEMRDQGVQETRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRVSGFPKKQETFARISGYCEQTDIHSPQITVRESLIFSAFLRLAKEVSKEEKMMFVDQVMELVELVDLRDAIVGIPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIPGVPKIPEKYNPATWMLEASSLAAELKLGVDFAELYKSSSLCQRNKQLVQELSVPPQGASDLYFATQFSQNTWGQYKSCLWKQWWTYWRSPDYNVVRFIFTLATALMIGSVFWQIGGKRSNVQDLTMVLGAIYSAVIFIGVNNCSTVQPLVAVERTVFYREKAAGIPKIPKWWIWYYWICPVAWTIYGLITSQYGDVETPIAFPGGPPNLTVKQYLKDQYGFESDFMGPVAAVLVIFPVFFAFVFAFCIKTLNFQTR >A03p014530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5776462:5778355:-1 gene:A03p014530.1_BraROA transcript:A03p014530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKRNPRILKIILYMLLLNSLFLCIYFAFHSSSSSPEPPPHHSHLPSRFRVSVTNNQSSIQKPWPILPSYLPWTPPQKDLPTRSCEGYFGNGFTKRVDFLKPTTAVSEGSWFRCFYSETLESSICEGRNLRMVPDRIAMSKGGEKLEEVMGRNEEVELPEFREGAFEVSEDKRNRRIGGGGGGVSRRLVSDEMLNEYIQEGGIGRHTMRGLVGSIRAVATDDFVCEEWVEEPTLLVTRFEYANMFHTVTDWYSAYVSSRVAGLPNRPHVVFIDGHCTTQLEETWNALFSGIRYAKNFTKPVCFRHAILSPLGYETALFKGLSGEIDCNGESAHNLWQSPDNKKTARLSEFGEMIRSAFGFPVNRHRSTEKPLSFSSSSPVHNVLFVRREDYLAHPRHGGKVQSRLINEEEVFESLRQWVASGSTGLTKCGINLVNGLFAHMSMKDQVRAIQDASVIIGAHGAGLTHIVSATPNTTIFEIISVEFRRPHFELIAKWKGLEYHPMHLANSRADPTAVIEKLKEIMKSLGC >A06p027200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12894124:12895423:1 gene:A06p027200.1_BraROA transcript:A06p027200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSGMIGKSGSGPSRCSCGALAARSMARLRALGIDLGPQDHAPASIDLPYLKKTHFLAGQSGDQYAYPFWSLDEEPEPLQIFLPCERLTTLSDPQHVAFTPAAHELIPADFGALENITKPHKKKTMASSS >A06g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9386959:9387373:-1 gene:A06g502840.1_BraROA transcript:A06g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVKPIKKDAKRAVMKKNPLKNLNVMLKLNPYAKTAKRMSFLAEAQRVKAKKEKLTKNRKTVTVRRLGRGASSVGILQRPNTDQNCARHDVLPKGFGAPGLP >A09p031170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18897024:18897436:1 gene:A09p031170.1_BraROA transcript:A09p031170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISLKFLLLACLVVVIFRQNLAVGNFCKNNNDCKKLCGGPGGYCNNIRESCVCHNLNNINAINLGSSNDPCIPEHPGCRGGPPPKQLKV >A03p053170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22817964:22819096:-1 gene:A03p053170.1_BraROA transcript:A03p053170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable enoyl-CoA hydratase 1, peroxisomal [Source:Projected from Arabidopsis thaliana (AT4G16210) UniProtKB/Swiss-Prot;Acc:Q6NL24] MFQETNTQRPTWAQKRDAVYHRQNNGTLNKALRHLFLIDHFFAHNSIAKAHTDRNRSKMDLIQVNKESGGIGTITINRPKSLNSLTRAMMVDLARAFKEMDADESIQVVIFTGAGKSFCSGVDLTAAESVFKGDVKDPETDPVIQMERLRKPIIGAINGFAITAGFELALACDILVASRGAKFMDTHARFGIFPSWGLSQKLSRIIGANKAREVSLTSMPLTADVAEKLGFVNHVVEEGEALKKARGIAEAIIKNEQGMVLRIKSVINDGLKLDLGHALTLEKERAHAYYSGMTKEQFKKMQEFIAGRGSKKPSSKL >A06p015490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6950877:6953987:-1 gene:A06p015490.1_BraROA transcript:A06p015490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNLVDGVRRWLFQRPSSSSNIQNEPILLSSATSSNRDQSGDAGDLNELVVTEDFDFTGLKLLKVPKRNHLPMDPQKKGAQEAEFFTEYGEANRYQVQEVVGKGSYGVVASALDTHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDVVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGLKYVHAANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLLGKPLFPGKNVVHQLDLMTDFLGTPPPESISRIRNEKARRYLSSMRKKQPVPFSQKFPKADPLALRLLERLLAFDPKDRASAEDALSDPYFSGLSNSEREPSTQPISKLEFDFERKKLTKDDVRELIYREILEYHPQMLEEYKRGGDQLSFMYPSGVDRFKRQFAYLEENQGKPGAAAGGGRSTALHRHHASLPRERVPAQNGETAEEISDVERRAAAAVASTLESKEADNGGGYSARNLMKSSSISGSKCIGVQSKTDKEDTIAEADDETVTELTDRVSSLQ >A01p005430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2327411:2330323:-1 gene:A01p005430.1_BraROA transcript:A01p005430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP57 [Source:Projected from Arabidopsis thaliana (AT4G33060) UniProtKB/Swiss-Prot;Acc:Q6Q152] MSTIYVLEPPTKGKVIVNTTHGPIDIELWPKEAPKSVRNFVQLCLEGYFDDTIFHRVIPGFLVQGGDPTGSGTGGESIYGGVFADEFHSRLRFNHRGIVAMANESSPNTNGSQFFFTLDKCDWLDKKHTIFGKVTGDSIFNLLRLGEIDTGKDDRPLDPAPKILSVEVLWNPFEDIVPRVLAKASHAPVDEVKEPPRKPVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLNDPRLLKAESTNKERNASDSKEVLSVREALGSKKENAQKEKSWPVSDPVGHSDDDDDDGDETKFDAKMRNQVLSRRKEMGDIPPKPTQKKNFHVVVLLTESSSVKAPEESIKRSDVSSSEDEKPKMEKLSLKKKGIGSEAKAERLENGDADLQLYNASERARQLHKLKKRRMQGNEDAVLAKLEKFKQSISAKPFGSSSEPVDNMEEDVSDWKKVKLKFAPEHGKDKMRKEDPDAYVVVDPLLEKGKEKFNRIQAKQKRREREWSGKSLA >A06p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7401745:7403374:-1 gene:A06p016520.1_BraROA transcript:A06p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSFKNFIEEELGTFPHFFIYAILEWIIIILLFIDGLLAFFSNQFARFFNLRTPCLLCTRLDHVLVKTNPDFYYNDSICDSHKRNISSLAYCHVHKKLSEIKHMCEGCLLSFATEKESDVDTYKSLIGILHKDLELLIDERELQLAFPVAGSKKDENFHRTNNRFQQQQHCSCCGELLKIKTEKPKNNNNQSFFAGPSPSPRVSFNQRTLDLSQIKYSELPEEEDTKGALGDTVDDRTPSFVKGGNNNRFFGLPLSDSALNSPRWSVRSMKKSLIDQNGAESEVLDGDSILHHLKRQVRLDRKSLMDLYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMDEQAEYDQEALQSMNGLLVKREEDMKELEAEIEAYRLRYGLLREEEDGQGREPEEFLDETKPVLDLPVCSSNGEEDLEHKQDSAEEPKANNDGIIEEEREDGSRKDVLVKEITEITERLSAIESKGELLQQISDVLDVSEGEAILYQISQNLHMLRSFIEMPSES >A09p080430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58887517:58893319:1 gene:A09p080430.1_BraROA transcript:A09p080430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESNLYGMVNGYEYYDVSYIPNQTPNLGFGVPSSSDFDLRLDRIQIQPSIWVPSTQQDQDSPPGAADEIDSENTLLKYVNQLLMEEETLAENQTLSYDSLALRQTEEMLQQVITDSQTQSPYISTSSSNSSGCGGEYLNSYNDSCVRFKTRTTNSTKVMSFQGSYMLRQPANKIMFSDADSVNQFKRGVEEASKFLPNTDQWIFNQDDKGYTSRVRKHHHQRDQEEDEEARMSKQSAPNVDDGKLTEMFDKVLLLDNQLDPQINDNVSSKAPAASKKERVQAVDFRTLLILCAQSISSGDMITSVDLLRQIRNQSSPLGDASQRLAHFFANALEARLQGSSGGVIQSYYDAVTSKKRTAAQILKSYKTFLSASPFMTLMYFYSNKMILDAAKDASVLHVIDFGILYGFQWPMFIQRISESKNGPRKLRITGVELPQNGFRPTEKLEDTGRRLREYCKRFGVPFEYNAIASKNWETIRLEEFKIRPNEVLAVNAVLRFKNLRDVTPGEEDCPREGFLRLIRDMKPDVFVNSTVNGSFNASFFTTRFKEALFHYTAVFDMFGSTLSRENPERMHFEEVFYGREVMNVIACEGVDRVERPETYKQWQVRMMRAGFKQKPVETELVESFRVKMKKWGYHKDFVLDEDSNWFLQGWKGRELLMMESNFYRIVNGHEYYDVSFLPNQIPDLGFGVPSSSDFDLRLDHHHHHQQPSYWIPSTQQDSPPGADEIDSENTLLKYVNQLLMEETLSENQSMSYDDALALRQTEEMLQQVINDSLAQSSNSITSSTSSGSSGGGEYLNSNSNSCVRIETEANSTESEALSDDHPHHVLGSNMLRGYGQPANEILVRSMFSDADSVNQFKRGLEEASKFLPNTDQWIFNPQHEVISVKDEKGYSRVRKHHHQEPEEEARRSKQSAVNVDDGNITELFDKVLLLDNQLDPQIKEETDNVSSKKEGGRGKKKSKAVDFRTLLTLCAQSISSGDKLAADDLLNQIKKQCSPLGDASQRLAYFFTKALEARLQGSSGVMIQSYYDSITSKKRTAAQILKTYKAFLSASPFMTLIYFFSNKMILDASKDASVLHIIDFGILYGFQWPMFIQYISKSKIGPRKLRITGVELPQNGFRPTEKIEDTGRRLREYCKRFGVPFEYHALASKNWETIPLEEFKIRPNEVLAVNSVLRFKNLRDVTPGEEDCPRDGFLKLIRDMKPDVFLSSTINGSFNAPFFATRFKEALFHYSSLFDMFGSTLSKENPERMHFEGEFFGREVMNVIACEGVDRVERPETYKQWQVRMMRAGFKQKPVETELVESFRVKMKKWGYHKDFVLDEDSNWFLQGWKGRILFSSSCWVPS >A03p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5040998:5042735:-1 gene:A03p012710.1_BraROA transcript:A03p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQALTKERKCPSVLGVSSRSVREGERVVVITGEDRISSLPEPLLCHILSFLTTEQAVWTSVLSSRWRHLWKWVHRLELNTLDFTNDQVCVDFIHEFLAFQGKHYLREFKLTIDHDGFKSKVYLYKPCLARVLDMMWKLERFQFQVENKFGPGAIDDIDDVIRTRLTLSACEALALISCSPVLEDLKICLSKDDSVVALRVRSPSLKRFVLRRAEPFYARGRSVVIDAPKLEYLSLMDYYHFRSFKIISKAESLKVDIDVEFELMSDYLSETKIICNLVKNFSGVKDMTISWKTLEFIYIYPIPKLHDLTRLRAAMCLNASPKLLPIVLESCPNLKHLKLELVIDSPYAVSTRLSTVRLPLVSSLESVEMETPVTEIVTELSLARYFMKNSKKLKKLVLRLNNESTGDHQHKAGVLERLVKYSKRCNLSQFEVLPVVPTPSPWPGYVHVKSNRF >A05g510180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31756426:31760682:1 gene:A05g510180.1_BraROA transcript:A05g510180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit zeta 1 [Source:Projected from Arabidopsis thaliana (AT3G02530) UniProtKB/Swiss-Prot;Acc:Q9M888] MSVRVLNPNAEVLNKTAALHMTINAAKGLQDVLKSNLGPKGTIKMLVGGSGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLDTFKTPVVMGDEPDKEILKMVARTTLRTKLYEGLAYQLTDIVVNSVLCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCHILTCNVSLEYEKSFVIINQKGIDPPSLDLLAREGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDSLGWAGLVYEHVLGEEKYTFVEQVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTLEDECVVLGAGAFEVAARQHLINEVKKTVKGRAQLGVEAFANALLVVPKTLAENAGLDTQDVIISLTSEHDKGNVVGVSLVDGEPIDPQLAGIFDNYSVKRQLINSGPVIASQLLLVDEDL >A05p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3683967:3687248:1 gene:A05p008920.1_BraROA transcript:A05p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGVLSFSFVLILELTFGTFLVEKNNLKVTSPDSIKGVYECAIGNFGIPKYGGTLVGTVVYPMSNHKGCKSFSEFGVSFKSSPGSLPTFLLIDRGDCYFALKAWNAQQAGAAAILVSDNKLEPLITMDTPEEDTANADYLEKINIPSALISKSLGDSIKSAISDGNMVNMKLDWTESVPHPDERVEYELWTDSNDECGKKCDTQIEFLRNFKGAAQILEKGGYTQFTPHFITWYCPKAFMLSRQCKSQCINHGRYCAPDPEQDFTRGYDGKDVVVQNLRHACVYRVVNETGKAWKWWDYATDFSVRCPMKYNKYTSECADEVIKSLGIDLEKVYLCMGDTEGDVENPVLKAEQESQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICAGFQESTEPAICLTEDQNTNECLENNGGCWQDRAANITACLDTFRGRLCECPTVQGVKFVGDGYTHCKASGALRCGINNGGCWRETRGGYTYSACTDDHSSVCKCPPGFKGDGVKSCEDVDECKEKRACQCPECRCKNTWGSYECTCRKGLFYLRELDTCIGAFGAVKLGWSFVLILLLALTAATLSGYAIYKYRIRHYMDSEIRSIMAQYMPLDSQPNNTETHMDF >A06g508290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23334053:23334250:-1 gene:A06g508290.1_BraROA transcript:A06g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTYRHVRRLVRTLVMDQSCINVHNQISIPAAMVFFGIDKELRNIISARRHRKHFDSFMAMWLR >A08g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14159116:14164850:-1 gene:A08g508030.1_BraROA transcript:A08g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAIPPDLSASPGSEKVVSVSQEGDGVLRVPDRVASPVGSVGKMIVAGSGGVRKVRGDCSEMKGVENVVMEQGIDDKERMEEKENEWNEVSPVKGGKAQMHVLQNHSSEIVISASKYSVLMDEKEEGEFLVELEKDIEDDKGEDNGGSEMSESDQLEDVIIDQREELRAWRLAFNIGLALGLEFRKSGGNHRGLKREKGCKVATASGGASERVPAPMVPFFVLPLMSSCGCSDLAILSDMRADLEASTALASPLKFPGCGGSFSSVASAKLPERGGSYSSIVAGFWSPSSILGFSFFLLLVRSLVKLSWFGFVG >A10g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10243491:10248164:1 gene:A10g504140.1_BraROA transcript:A10g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQSGTTRREEKKERGNDEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKINLRRVYEVKKVISGVKQGREEFNNHVRKLQHLWVELQGLRSYVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLKEQEKGSGADDRITRKEWRVTTPLDHEMGNGSESGEQEQNQEDSGHHNQEDGAQSSRDGQGQSTGSDESVAQSTGSDESVALSLLVQRRVELSLVKLKDLGSYLASIWRVKHARRSLDKLRGVWIRSGQG >A06g510010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30141836:30149234:-1 gene:A06g510010.1_BraROA transcript:A06g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFALLIEYRGPPTPRRIILVLVSSKKPILQLLKGVEYLNFSRRTPEDVPSSDVTKENKGEEKPSLAERIPLFDSSSSSDEESGENGEVKEKKRKKAEGEGPTLNQFKPIKPPVDRVTELTHRVDSAELASRRRITGTSPGGNGGGWRRLTEKSAAATAARRRRGGSSSRRGRTAADHGGSGERRSETAASGRNARWLRRTATARAFHARAEAKLREALAASSGLRLRCGWCLRLLLDERNTMVVLHARDDQWVLIGGDELNVITAHGCSGDELRTSHHKPGKHEVAVVVAVDPEHHEQTAELDPAAVEPEEGEPAERDPDDHADSAEPEPVELAEPESVDPAAPEPEDPVERQPSDEQPPEQLLGQPLERPAWLSPGQSAEVPPELPV >A04p031260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18469782:18470607:-1 gene:A04p031260.1_BraROA transcript:A04p031260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMKGLLKGLRYITQIFEDEEKEQEMQIGFPTDVKHVAHIGSDGPAANTPSWMNDFKSQENENAQVVSRGNSNKYNPQEMNQRGAGLKELLPPTNNEKPKHKHRRKPGSSGNVASSEEPVKHSSRHSRSKHGSMDSSSSDQEPSVRRRRSGEGSTNPFPDGSAPPRKGKPRKHKGSIGGEGSVRRSSKDKPDTES >A10p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13699170:13703735:-1 gene:A10p020150.1_BraROA transcript:A10p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILMFLLRICLVSSVLVAASPSASGLDLLPPLLSPPSPLPEASKGFGQVPISPPESHKSSNAPPPKASQPSLPPLPNVAAPPPSIPPIGDVAHPPPADSAGGRAPAGEPIVSVPNAPAPATIPVKDLPGKSPPVASPPRDAPKEPPFSGRVSPGPVSSPVSDIPPLPSVALPPPIPSVVPPNNASNSHKPIAPVASPPTTSIDISPPVHPVIPKLPSSSPVPTSSPTRKSPITHPVFPIESPAAGSPDHPPPSDNGGENKSPAPSNEAAKPLPIFPHKASPPSIPPSAPLVNRHFHHTSPSTTPPPDTTPSNVHRTSSSAPPPSYHRHHQERTKITNSPASSPPPPPPTHLIPPKKPKRNGSVSPLPSPHHARSPPVPSLISPVHPPVSSSMHRISIAPSPSPTQVLPLRSSSRPSKSRKFPLGPPLPAFPPPPPNSDCTSTVCLEPYTNTPPGSPCGCVWPIQVELRLSMALYDFFPMVSEFAREISAGVFMKQSQVRIMGANAASEQPDKSIVLIDLVPLGDKFDNMTAMLTYQRFWSKKVQIFGQYDVIYVRYPGLPASPPVSGMTVIDQGPYPGGDNNGRAMKPLGVDVPKKMRKKELTGETVAVIVLSAAAFIGLCFVIVWFLVFRQRRDQRVSKRAPLARPSLPSLTKPSGSARSLTGSRLSSTSLSFASSIAPFTLSAKTFTASEIVKATNNFAESRVLGEGGFGKVYEGLFDHGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVNLIGICIEDRNRSLVYELIPNGSVESHLHGVDKEASPLDWEARLKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARNALDDEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVSWTRSLLTSREGLEAIIDQSLGQPEIPFDSIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKELNSVASLTQDENRAESSCGGEGSGRMARYPLLPSYDSEPDTERGLSVSEMFTGSGRLERQSNSGPLASGRGKSFWQKMRRLSTGSLSEHGSASLMVRSGSR >A07p007640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4681191:4682388:-1 gene:A07p007640.1_BraROA transcript:A07p007640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRQSVLSCSLVFIAKVYIPCCYYELLNMCLSFPGSSYRWNFVVGTHPAPSEGESTVLRARQLPFDRRQVNFLVSEIVLRRSSLCSKYLFSLSAFTFSRTPDDYFYSENMIGNVADDPFVAYQGAAKVMSAKKRSSSRTISGDEVMITGSRRATVVKLEPSSSRQGKKPKSGGVTTRLGQQSADIARSAGNLATDLSNLNLKVFPQDGIVLPTVSLLYHLGERLSNEGSMVLREEIKDLKHQVSEEKDQRMARKLEIRDLKDKVKDLEKVAEASSADTLATSQKNQELKEGIDVLKRRRRPSSLRW >A05g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6249565:6251403:-1 gene:A05g501880.1_BraROA transcript:A05g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTTNDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPAEGRTTNPVDPTLQLAETLAADASPTASDPSTVREIAELKLNFQQMTPATVAQVDSAAGSSRTPPGLTKSCKLHGVKGHDTSECKTLFAQFLSSLESGEIKIPPPKPKSENSWSRNKDRKNQRKNQAKPRQDDQKPKVAEQIPHQDDDGDTSADEDPPAARQRIEVIRAQPESSSDEESDLEEALDPLDLRVLLKRKTTPTNDKTPGSSDLRVELNAKRTKHSLSPGSSLVTTEGNPIVDLRDQLNARVGDLRAKLDHKKA >A05p045190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27060024:27064197:1 gene:A05p045190.1_BraROA transcript:A05p045190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPERSNDQQVDMSSSSSFLQKFRLYETRSNFYMIGRDKNRTCWRVLKLDRTDPTELNFYQDSTAYTEAECFETLRRIHEGNRSSGGLKFVTTCYGIIGFVRFLGPYYMLIITKRRKLGEICGHTVYGVAKSKIITIPHASVLSNVAYSKDEKRYKRLLCTVDLSKDFFFSYSYHIMHSLQSNLSNGVEGHTYYESMFVWNDYLTRRIRNNAKDCMWTVALVYGFFKQVKLSVSEKNFRLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEEAQDGNPGRISSVVQNRGSIPLFWSQETSRLNIRPDIILSAKDPSYEATRLHFENLAKRYGNPIIILNLIKTREKRPRETILRAEFANAIKVINKGLSKEDRLRPLHWDLHKHSRKKGTNVLAILDRLATYALNLTGIFYFQLTSDGFQNTMENNIGECSTHDLPKDETASDSAVENGNDSKDAKEEATTMLQKGILRTNCIDCLDRTNVAQYAYGLVAFGRQLHALGLTESTTIDLDNPLAEDLMGIYETMGDTLALQYGGSAAHNKIFCERRGQWKAATQSQELFRTLQRYYSNAYMDAEKQDAINLFLGYFQPQQDKPALWELGSDQHYNAARFLASSVPENSRSTMKRSLSESSILSEGSAAARHGLAENDEEGKGLSDSAPEISTSETAMIAASLSAPPPTLEEIGLDEILENDCFCNGDQCTCAAFDMDWVSSSANSCEDESYGRSTAVRSFETIPESTKIESEIRVIESCSGNTKRDEVNGEEEAIAGIPQGYVRWVMDEEGHFW >A03p008850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3555872:3556213:1 gene:A03p008850.1_BraROA transcript:A03p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAIFGTGIATVASSPALRQFQVPKLGNGEGVGGGLGMVIECSSRPQKKSTAHHRKTRPKKTQPWDIKRKPTVYAPLPPLPPDWSPLALSSDDGGATAAVAGDLVSGGAAA >A02p034040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18444638:18444964:-1 gene:A02p034040.1_BraROA transcript:A02p034040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRWVMNIHITVQRLGEGSTYGDTKFIRRESALDYEVEALRWAMESMVHYSTCQSFRTDCKDMIAIIKEPHAWPKFATELKRIVTLKICFPDFKITHIL >A01p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8654018:8656028:1 gene:A01p017740.1_BraROA transcript:A01p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glycerol-3-phosphate transporter 2 [Source:Projected from Arabidopsis thaliana (AT4G25220) UniProtKB/Swiss-Prot;Acc:Q9SB41] MASWTSSQFLYEETKPCGIQFLERFKRSGRLSFKQYQALVFTLTFVAYIAFHAARKPNSIVKGTLSASTVRGGWSPFDGPDGTALLGQIDLAFLSVYAVGMFVAGHLGDRLDLRTFLTIGMIGTGLFTALFGVAFWANFHSFYYFLGVQVLAGWFQSIGWPCVVAVLGNWFDKKRRGMIMGVWSAHTSLGNITGSLLASGLLRFGWGWSFLGPALLITFLGLVVYFFLPVNPPTVGAERDGTEVDSTMRLGDTITESFLGSRMSTGFDRKAIGFMAAWKIPGVAPFAFCLFFTKLVSYTFLYWLPFYVSHNMIGGEYLSEETSGNLSTLFDVGGVVGGVLAGYISDQLDGRAITAAGFIYLAIPALFLYRIYGHISLTINIILMFTSGVFVNGPFALITTAVSADLGTHKSLKGNARALATVTAIIDGTGSVGAAIGPVLTGYISAISWDAVFYMLMTAAFISGLLLTKLIVTEVKAMLYGSSDEGEAATSSSPATRAPIDVLL >A02p045750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28646638:28647452:-1 gene:A02p045750.1_BraROA transcript:A02p045750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDILTRVDTICKKYDVDKQRESNISGDNAFARLYGAFETQIETALEKAEIVTKEKNRASAVAMNAEIRRIKARLAEEVPKLQRLALKRVVDLGVEVLFDHGLKFDMFD >A06g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2409860:2411414:-1 gene:A06g500590.1_BraROA transcript:A06g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSYLHLTAAAFSEMKVDDNAMDVTTFHLALLYFLLIRDFSTPQHSIRLLLFPYLLTRASASQLRREPEDLSVQFLVITMANSQVFLADLKAGRCSNVAEVQREARNVRKGGELTSVDMLVVDENFKERFACVLPAFSFYQRNAVNKVRFQVLLTFKYSIGYSIEDVHPNGGIKKFKSSVYSNFIFSFRLNQNWFPNPIVLKSCRSYNKVRWLCLQIGFKGYENFFVYPHKVIIKTSSSSHLSESGSKAADPDPVGTSPEPTTKKPMRCTNLE >A06g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22239449:22240002:1 gene:A06g508010.1_BraROA transcript:A06g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKGMSQCQMEEISSQFTPRNNRNNYGIVYILHGTPPPPQEMSQYYIKELLNEEYKERQRVHDAMFDGIVEQYPMIASALKARQAATDSEGSEASNDQEKKRDVEALLEIMADLYPDFASVLKAMRGTALERGEPSRDQGEMAELGQTTYRGTEKIANAEN >A07g508430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23123674:23124194:1 gene:A07g508430.1_BraROA transcript:A07g508430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKFPSLLLLSMMVFALIIFPIDSAFPGLYYKCTVDGCTLTPACSVKCKSMGFLRGGECRIYSYGGACCCECTDKSCINIAVSSPCPY >A10p017470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2435986:2437200:1 gene:A10p017470.1_BraROA transcript:A10p017470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVQLINGHSVTDRSVLHGVGVATTQRVLVHLQPKEGCYECDVGSGYKRILQKIQLIETGDNELIFQKAIMEQGRWKGLEKKLLHIVGYNKNSESESVKQDGELMNASEI >A10p003220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1633347:1637381:1 gene:A10p003220.1_BraROA transcript:A10p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCAISGEVPVEPVVSKKSGLLYEKRLIETHISDFGKCPVTGEPHTIDDIVAVKTGKIVKPKPLHTASIPGLLGTFQTEWDGLMLSNFSLEQQLHTARQELSHALYQHDAACRVIARLKKERDEARQLLAEGERQLPAAPEVASENVTLSNGKRAADGGEQGPDAKKMRLGISGEVITELTDCNAALSQQRKKRQIPPTLASVDALEKFTQLSSHPLHKTSKPGIFSMDILHSKDVIATGGIDTTAVLFDRPSGQILSTLTGHSKKVTSIKFVGDTDLVLTASSDKTVRIWGSSEDGSYACRHTLKDHSAEVRAVTVHATNKYFVSASLDSTWCFYDMSSGLCLAQVTDDSEKVDYTAAAFHPDGLILGTGTAQSIVKIWDVKSQANVAKFGGHTGEITSVSFSENGYFLATSALDGVRLWDLRKLKNFRTFEFPNANSVEFDHSGSYLGIAASDIRVFQTASVKAEWNPVKTLPDLSGTGRATCVKFGPDAKYVAVGSMDRNLRIFGLPSNDSTEDSAQDS >A04g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2535541:2537478:1 gene:A04g500950.1_BraROA transcript:A04g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEIPPSDAANTGGGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEVQSEDPEEPTGAEEEEEETQPEEQVPEAEVSRERDEAEEADGSEASLNAAVLDGSDEDSGDSPLLMRRHNDEIDDEVRSPTLASPREGIPAITGAGAVQIGTSPRGSAVLRRAPGINFPDKVSFHYEGPAPLAYVPEKCGELLRQLRGRAKPLPAVKDLIFGSEYEEAARAKLLGDGAMNVVIDKYDTALKGVSTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAIARRDELKADLVASRGGETPNRGTNAAEDGAPVLVLSDTSAEGSRRGNEEVVRESSVRASELSALNDRESDRED >A01g504860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:14435094:14435384:-1 gene:A01g504860.1_BraROA transcript:A01g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILGLIDKLDKPPRSFTSTELSIAGKELIDIKSWLQSKLVKDKAFEVSLEWKKENIDNYRIKNLNLDLETEKLKSAAKVLSLEQTVSELRDELS >A06p040070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21655060:21656676:-1 gene:A06p040070.1_BraROA transcript:A06p040070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNGHGQDSSYFLGWEEYEKNPYDEIKNPNGMIQMGLAENQLCFDLIESWLAKNPDAASLKRKGQSIFKELALFQDYHGMPEFKKAMAEFMEEIRGNRVTFDPKKIVLAAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQAHKLGLKVKGVLVTNPSNPLGTALTRRELNLLVDFITSKNIHLISDEIYSGTMFGFEQFISVMDVLKDKKLENTEVSKRVHVVYSLSKDLGLPGFRVGAIYSNDDMIVSAATKMSSFGLVSSQTQYLLSALLSDKKFTSQYLEENQKRLKSRQKRLVSGLESAGITCLRSNAGLFCWVDMRHLLDTNTFEAELDLWKKIVYNVKLNISPGSSCHCTEPGWFRVCFANMSEDTLDLALKRLKTFVESTDCGRMISRSSHERLKSLRKKTVSNWVFRVSWSDRVPDER >A02g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2953167:2953758:1 gene:A02g500960.1_BraROA transcript:A02g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGDFAKTLCLSRSVEPGITENPFVFKPSEELSGSHVRRRCVSPSNNEFAGPSGFSDEKKSHHVPLPSLSNDQETHDVYKKQQMGELAFLTIIT >A08g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1674648:1678756:1 gene:A08g500640.1_BraROA transcript:A08g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSEKVGAVGGNKGGPFDDGVFDGVKKITVGKDFHSVSYIKIEYEKDGKFETREHGTIRGELQEFTVDYPNEYITSVGGSYEHVLSYGTVLIKSLRFKTSYGRTSPILGHTTLFGNPDGREFLLEGKDGGKLLGFHGRSGQALDAIGPHFFAVKSPLKHYNRQGGNGGSAWDDGAFDGVRKILVGRGGKFVSYVRFEYAKDQGMVPHAHGKRQEVPQEFVVDYPDEHIALVEGTIDGYLTSLMFKTSKGRTSPAFGNVVGRKFVFEEKDFKLVGFCGRSGDAIDAIGAHFAPLPPPPPPPAPTPAPTPAPAPTPAPTTTTKMGPLGGNKGNTFDDGILDGVKKIIIAADEYSITYIKIEYEKDGKVEIREHGTNRGELKEFSVAYPNEYITAVGGSYKHIFNYDTTLITSLYFTTSKGFTSPLFGEMKGTEFEFKGENGGKLVGFHGRGGYAIDAIGAHFSQATTSSSSSLIKVEAVGGKGEVTFDDGSFDHVRKVFVGQGNSGVSYIKFEYEKDGRIVTHEHGQKTSLGTEEFEVGQGDDITSVKVYYDKLYGSKAEIITSLTFKTLKGITSQPFGMTSANLSLLEGGKITGFHGSLTDVLHSIGAYISASPRTMLHGKWIQVEQKGKTPGPRCSHAIAMVGNKMYAFGGELTPNFHIDRHLYFFDFKTHRWSVADPDGDVPELPCLGVCMVAIGTTLYVFGGRDGHRNYNGFYSYDTVKSEWKLITHVNKGPAPRSFHSMAADNKNIYVFGGVSTTVRVNTLHAYNIIDQKWTELPNPGESCKGRGGAGLAVVQGKIWVVYGFIGDEVDDVHCFDLVERKWTKVETRGEKPWARSVFALAVVGKYIIISGGEIEMDPKAHLGPGKLAGGTFVLDTESLLWEKLEEGHSPRGWIASTTASIDGKRGLLMHGGKAPTNGRYEDIFFYGVDSA >A04p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9074005:9074617:-1 gene:A04p007060.1_BraROA transcript:A04p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMKFLCVLGLFLLVGTVVDGAGECGRSTPDNEAMKLAPCVGAAQDANAAVPGGCCAQIKRFSQNPKCLCAVLLSDTAKASGVQPEVALTIPKRCNFANRPVGYKCGVLRVQIHGLYDEALEFTTTS >A01p006880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3463989:3469464:-1 gene:A01p006880.1_BraROA transcript:A01p006880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKNRADPLAAGRQKLQQFRQKKADKSTDHKKDPKGSTSQGKSSKKSGKKHEPKPDTGGVSDEAEAPSDVAVGGASSHVNIGEEAVDPPPTSLNAAANSDVSADTLQPGNTTATSDTSGVSDEAEAPSDVAVGGASSHVNIGEEAVDPPPTSLNAAANSDVSVDTLQPGNTTATSDSGDEPRKEVANSENDISVALSTEEENVKSIDIGAAGAVDSLTSDPADTEKGVTHDDAEESLVALRSELQKRSNELEQSEQRLLSTREKLSIAVAKGKGLIVNRDNLKQLLAETSAELQRCSEELSLKDTKLKEVEVKLKTYTEAGERVEALESELSYIRNSATALRESFLLKDSLLHRIEEILEDLDLPEHFHAQDILDKVEWLARSANGSSLRPSDWDQKGSDGGAGYVPSEPCREDGQTGTSSENNLRIKFEELQGKFYGLAEHNEMLEQSLMHRNNLIQRWEALLGNIDMPLQLKSMEVENKIEWLASTISEAAHEKYTLQQKIDNLEVYCQSLTADLEVSQKQVSDVEANLRSVDHERISLSERFETLNGHQDNLSARATHLEVENEELKNQVKDLHGKLVEKLGNEEQLQTLEGDLLSLRDTITDVIEEDGLQDLAVASNSETLDVLLRKLIDYYKNLVKSSLPRERDDSFCETRSSNADVRSGESLGTHEATSHGHHPENIVEAASRDITVVESPDVASLTKDLDEALRVQKLVREERDLYMEKQQSLIAENEALDKKIIELQEFLKQEEQKSASAREKLNVAVRKGKALVQQRDSLKQTIEEMNAEHGRLKSEVIKRDEMLLENEKKFRELESYTVRVEVLESECQLLRNHLQETENILQERSDTLSMTLNALNHINIGDEGDRYDPVLKLQRISQLFQNMSTAVSSAEQESIKSRRAAELLLAEVNEVQERNDSMQEELSKCTYEIEQLSREKDAAEAATVEAISRFENLSMVNIEEKKKLCDQVLSVGTNVNSLRKIVAGTNSCLADIFTMNEEFLHHLKANMESCAKQTGTNLSGWPQGSKGNFVDKEIFSRLSAALSNVNLHENSNAGNITEICGSLSRNLDQFVADVSHLEENVSKHLASWQEQVNTVSTSIDTFFKSIGTGTDSEIAALGEKVSLLHGACSSVLAEIESRKAELVGNDNFNMSLHQVEEDFSSMESVRAMVSRVSSAVKELVVANAETVERNEKEMKVIIANLQRELHEKDIQNDRMCNELVGQVKEAQAGAKIFAEDLQSASARMHDMQDQLSILVRERDSLKERVKELQEGQASQSELQEKVTSLSNLLAAKDQEIEALMQALDEEESQMEDLKHRVTELEQEVQQKNLDLQKAEASRGKISKKLSITVDKFDELHQLSENLLTEIEKLQQQVQDRDTEVSFLRQEVTRCTNEALVASQKDTKRDSEEIEAVLSWFNTIASLIGLEDSASTDAQSHVNLYMEPLEKRIASMLSEMEELRLVGQSKDSLLEAERSRVAELRQKEAALERILHEKESQPNMSTSEIVEVEPLINKRTTSGASIPSQVRSLRKGNNDQVAISIDADQPDESLSLEDDDDKAHGFRSLTTSRVVPRFTRPVTNMIDGLWVSCDRTLMRQPALRLGIMIYWAILHALLASFVV >A06g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4186667:4187965:1 gene:A06g501150.1_BraROA transcript:A06g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRPSPNTDGAVERVNVGGVKTCTKIIAGCAHDEKSEDRFGGDSDVFDVCGDQSSNFEHKFLDDTFRKISNSIQGVNSHVKELAQGFERVKSLDLHPTEP >A05g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26262308:26262854:1 gene:A05g508840.1_BraROA transcript:A05g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGLLSFSFFINSFFFSFFKVLIRSIIQCRICYPFSGLSLKTKRFYSPWKKLSSKKRFDNYGKYGLLCGADGPAHLIVNRDRRPEALGRV >A09p050540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44391935:44394025:1 gene:A09p050540.1_BraROA transcript:A09p050540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFEKNINHPSSSSSDLLLAINGTTVNNKRKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREKKDEEVRKRVYVCPEPTCLHHNPCHALGDLVGIKKHFRRKHSAHKQWVCDRCSKGYAVQSDYKAHLKTCGSRGHSCDCGRVFSRVESFIEHQDTCTIRRPQPSNPPRPLKQNTSCHATPSITLSTTSIGPLLHGLPMLRPPQASHQQSLAFAYPLDASSSSYESLQLQLSIGMAKTSSNLKRSEKGEMSLPLERANEEARRADEMRQEAKRQIEMAEMDFERAKNIREEAKAELEKAQFVREEAMERIKTTILEITCRSCKQLFQLPVMADESKTSLVMSYVSSARTEGEFE >A06p009480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3328948:3330885:1 gene:A06p009480.1_BraROA transcript:A06p009480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAQVLFRRTRFLVVRSFHVAKKFSNPPDPEDILFSSLCLNLRQRRWNALHQLSPSLTNPLATRVLLQFRTSPKLALEFHNWFLGNNTVANPSEASCVMIHLLVESRRFDDALSIMASLMSKLSPLQVLSGLVRSYEPCGSSPDVFDTLVRACTQNGDAEGACEVIEQVRAEGFNVSVHALNNFMGCLINLNEIDWFWSVYKEMGYVENVNTFNLVIYSFCKECKLLEALSVFYRMLKSGVWPNVVSFNMMIDGACKVGDMEFALELLEKMRVMSGGFVSPNDVTYNTVVNGFCKIGRLDLAERIRGEMVKSGVECNERTYGALVDAYGRCGRSDEALRLCDEMTSRGLKANTVVCNSVVYWLFMDGDVEGALLVLSDMISKEVEIDRFTHAIVIRGLCRNGYVEEAVKLQRRIKLEEDVVCYNTLMHQFVTERKMRCADQILGSMFVRGLSVDAVSFGTLIDGYLKEGKVEKAVDVYDGMVKMKKKLPNLVIYNSIVSGLSKQGLSNAAAAVVKAMESKDVVTYNTVLNESLKIGNVEEAVSVLSEMQKGEGEKKLVSLVTYNILINYLCKFGCCEKAKEVLKVMVERGVVPDSITYGTLITSFSKNRGGDEVVELHDYMVLNGVRPHEQIYKSIVSPLLDGAP >A03p053890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23138676:23140152:-1 gene:A03p053890.1_BraROA transcript:A03p053890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKYVSELWRKKQSDVMRFVQRVRCWEYRQQPSIVRLVRPTRPDKARRLGYKAKQGFVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVVNSYWLNEDSTYKYYEIILVDPAHNAVRNDPRINWICNPVHKHRELRGLTSEGKKNRGLRGKGHRNHKNRPSRRATWKKNNSLSLRRYR >A09g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13261315:13261956:-1 gene:A09g504290.1_BraROA transcript:A09g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFADGEEPVGVRVLTYQSSRSINTILNALNEDEIRYLRESSYEIQYPIFVSDALCHEENSSLPRGGRLGENNHQFTPLACEDIEETDAAEQEIMVLCCRALPTLRSEQRPTFSKISCFESHFKQTISKISYNSSLFNY >A02p009000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3712461:3713456:-1 gene:A02p009000.1_BraROA transcript:A02p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCMTALRQAIPPLLNFKEISTGLNMVDVPFFRRKDKVVFVMGATGTGKSRLSIDLATRFPAEIVNSDKIQVYKGLDIVTNKVTPEENLGVPHHLLGTVENTHEDFTAEDFQQEAIRAVKSIVERDRVPIIAGGSNSYIEALVNNCVDFRLRYNCCFLWVDVDKPVLHSFVSERVDKMVEMGLVDEVRRIFDPTSDDYSTGIRRAIGVPELDEFLRAELLNYPTSKLLETAIKKIKDSNCLLASRQYQKIQRLYKQWKWNMHRLDATEVFLRRGEEADDAWEDKVARPSALAVDRFLKYSEDHHLEGADILLPEISVVPPFPAAVAAISR >A08g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2202417:2203436:1 gene:A08g500850.1_BraROA transcript:A08g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVNAKGELHMNIKEQPDEFCLPTKKELEEESSGPPDLPTLQTRIKESVSGHSEMGDLKGSSSPLQITTMVPSPIFLWRFKVVLFLLWALCCCKIGWDSVMRMSIDLRDLFLYEAFLYYNPLLLVTMMVWLWGRRSHYDYEACSIHRKFSPTLNFNDVSPCQAMSH >A09p060490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50154731:50155997:-1 gene:A09p060490.1_BraROA transcript:A09p060490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSSDQSLYLESDGEDERKNLSEEEDDGAFSDYSDTHNHNQHHSKPNSYSTAWPKSYRQSIDLYGSLPSPSPGFLGNSSLSRFESSFSSLTRRHTPESLPAVRKPLLVDEEAAKRKRSSHSLLPSKASSRVSHEMGISNDSSFGQAVLNGVNVLCGVGILSTPYAVKEGGWLGLLILFAFGVLCFYTGLLLRYCLDSHPDLQTYPDIGHAAFGTTGRILVSVILYLELYVSTTCSSSNSLLYIIINKDKTHILIKGTTCGVVQHL >A07p052330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27625692:27627257:-1 gene:A07p052330.1_BraROA transcript:A07p052330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MOT2 [Source:Projected from Arabidopsis thaliana (AT1G80310) UniProtKB/TrEMBL;Acc:A0A178WGR3] METTTTPLLSGRCGWLRRRLRLRNPLSSELSGAVGDLGTFIPIVLTLTLVSNLDLSATLIFTGFYNIATGLLFDIPMPVQPMKSIAAVAVSETPHLTPSQIAAAGASTAATLLLLGATGAMSFLYNLIPLPVVRGVQLSQGLQFAFTAIKYVRYDYDTATLKPSSSPRSWLGLDGLILALAALLFIILSTGSGTDRDCAGDGDFAESSPSNEALSRRRRLRLLSSIPSALIVFFVGLVLCFIRDPSIFKDLKFGPSKFKILKITWEDWKIGFVRAAIPQIPLSVLNSVIAVCKLSNDLFDKELSATTVSVSVGVMNLIGCWFGAMPVCHGAGGLAGQYRFGARSGLSVVFLGVGKLIVGLVFGNSFVRILSQFPIGILGVLLLFAGIELAMASKDMNTKEDSFIMLVCAAVSMTGSSAALGFGCGVVLYLLLKLRTLDSSSVARSNDDSETASHVGTLIALFLRGPLIFKFQECILFRLRKEC >A10p038350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21445388:21449069:1 gene:A10p038350.1_BraROA transcript:A10p038350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQHFSSSLLFLTLLCLSWASLISSTQPPTQTPLQPPTQPPTQPPSKPPSQPPPRPTSQPPSQPPSQPTSQPPSQPPSQPPSKPPTQPPSKPPTQSSQQANVACKSTPYPKLCRTILSAFKSSPSDPYRYGKFTLKQCLKQARRLSKVINRFAQRVEKDPKASTPEEVSAVADCGDLAALSVEYLEAVTDELKSAELMTEALVDRVSSLLGGVVTNQQTCLDGLEDANSAFATVLGSPLGNVTQLYSVSLGLVSNALSRNLKRYKGSKGKIFGGGNKAIREPLETLIKVLRKTCDKSKECRHDRSLGELGETSGGSILVREAVIVGPYENDNFTTITEAVAAAPNKTLPEDGYFVIYAREGLYEEYIVISNKKRNIMLIGDGINKTIITGNHSFIDGWTTYNSSSFAVVGDRFVAVDVTFRNTAGPEKHQAVAVRNNADGSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQNCNIYARKPMVNQKNAVTAHGRTDPNQKTGISIINCTIGAAPDLAADPNPAMTFLGRPWKPYSRTVYIQSYISDAIQPVGWLEWNGTTGLDTISYGEYDNFGPGANTSKRVQWVGYSLLNLAQAMNFTVYNFTLGDTWLPQTDIPFYGGLLHTE >A09p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3633578:3635258:-1 gene:A09p006940.1_BraROA transcript:A09p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLGFSQTKFHHCNVSISSPPCTSSSTVVSMVGRRSDSKTLRSGFLGRITYQDRLPSTGRRSSLTAKMSWDGPLASVKLIIQGKNLELSEAIKQHVEDKVGKAVQKHSHLVREVDVRLSVRGGEFGKGPKIRRCEVTLFTKKHGVVRGEEDAETVYACIDLVSTIIQRKLRKIKEKDSDHGRHMKGFNRSQVRDPVIEPVVEDVEDVAESTPGGEEDDLIKEIVRTKYFEMPPLTVSEAVEQLELVAHDFYGFQNEETGEINIVYKRREGGYGLIIPKKDGKAQKVEPLSTEQLNEHSFAE >A04p037620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21463931:21465748:-1 gene:A04p037620.1_BraROA transcript:A04p037620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVIIGVVTIAAVLFFILFQRTKTKRYKLPPGPKALPVIGNLHQLQNLNPQRFFYGWAKKYGPIFSYKIGSKTMVVISSAELTKELLKTQDVNFSDRPLHRGQEFMSYGRRDMAFHHYTPYYRDIRKMGMNHLFSPTRVATFKHVREEEARRMMDKIGVAADNSNAVDISELMLTFTNSVVCRQAFGKKYNEDGEEMKRFIKILYGSQSVFGKVFFSDFFPFTGYVLDDLTRLTAYMKECFERQDTYLQEIVDETLDPNRVKPETDSMIDLLMEIYRDQPFASEFTLENVKAVVLDIVVAGTDTAAAAVVWGMTYLMKYPHVMKKTQAEVREYMRERGLTFVTEDDVKNLPYFRALVKETLRIEPVIPLLVPRRCSQNTKIAGYDIPAGTTVNVNAWAVSRDEKEWGPNADEFRPERFFEKDVDFKGTDYEFIPFGSGRRMCPGMRLGSAMLEVPYANLLYKFDFKLPNGMKPDEINMDVMTGLAMHKAEHLMLVPEKVNV >A06p033050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:17734102:17734389:1 gene:A06p033050.1_BraROA transcript:A06p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQTVGTTTSRGNNINPTGSDSGTETLPAGPTGADGAIRTTQTQRIPPIGISSQDRFSPIDRTLIPDRISIPERARARVWNRHGERKSADDLT >A09p003530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2036373:2036968:1 gene:A09p003530.1_BraROA transcript:A09p003530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREDEEWELCNDDGFVFKREKRSRISNPGETSNPVNPELDPAAEERNRRMRKKRTLAKLRSKYRSEIQQWEALSNRFNSMQERAVRFQTQGEEERLNATEATSFHDGSSSASIYLDELLSMTEAQEVIINDVSNMCEVAESITRVEEEETKQSLFDLDVWSSPTNLMASLCTD >A01g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14914197:14915714:1 gene:A01g505010.1_BraROA transcript:A01g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVADSSSVETHLQSSLSQSRTSRSSLSRSTHLEALPSISQASSVSHSLMYLQFRCLMGRRLSQALCLELFSEVFTSITLSKLRPSLTVPVPLQLAQTVPVPLQLSLLHSGSGSVISSSLSTAVPHFLRWQKRLGLLKKLGIFSNSMRKREEKEIE >A09p022590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12427183:12428138:1 gene:A09p022590.1_BraROA transcript:A09p022590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAASTSLQARPRQMVTAVKCFSQGSRSNLSFTLRPLPTRLSVSCAAKPETVDKVCEVVKKQLSLKEGDQVTAATKFAELGADSLDTVEIVMGLEEAFDIEMEEDKAQAIETVEEAAELIEEILKAKA >A03p024900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10468845:10472279:-1 gene:A03p024900.1_BraROA transcript:A03p024900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMAECSVCRSRLVSPSSKAIARAYDNRKIRVSSKQRALNVFLVVGDCMLVGLQPVLVYMSKVDGKFNFSPISVNFLTEIAKVMFALVMLLIEARHQKVGEKPLLSFSTFVQAARNNVLLAVPAGLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRSLPEGATTIAVPIATGAYFCTFIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGILGTVIYKGPASFDILQGHSRATVFLIMNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHVLTMNFLLGISIVFISMHQFFSPLAKVKDEQQNGNVEIVNAKDGHRLLTVLDQTTESHFFPDKHSLTDFVSQNSLSLRLF >A02g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16871104:16871554:1 gene:A02g505810.1_BraROA transcript:A02g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSKRLATLILSISNNSQVCNSGGIASIGTSFSRRISSATSSAAVVVDDKSRAYFLRVGIVDGEAGRDTGFADEFGRTGRGDRRFAFCFLRVGIADLEAGRNTAFADRRFSVGTHRSRVMRRDNQV >A09p004700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2633860:2635178:1 gene:A09p004700.1_BraROA transcript:A09p004700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRLAILCSHLNPSSPYPTRGSILGASDCTSGSSEDEKVESSNLQNDCVFCKIVRGESPCIKLYEDDMCLCILDTSPLIHGHSLIIPKLHYPTLEQTPPSVSSSSYLEQSPPRSMQVVAAMCSKVPLISNAIVKATDSDSFNLLVNNGAAAGQVIFHTHIHIIPRKKRDCLWASESLRRQTLKLDKEATQLALRVREQLCRVPEVHLVQPSS >A06p051570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27118336:27119236:-1 gene:A06p051570.1_BraROA transcript:A06p051570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLNLHHTVSGMKISSDTLEVIHAYSKLEQLPQLFNLSWLYASFLESFWVPSFLGCRPDLHTLAVLWTPVTTRTSSKMKLAMYFLRNCHVLEKLTLSESFLDMIKNITKVQRKSSSTWVVVACDPPSESETCHLVYGSEKGTKRFTRQVSNCPTSSV >A06p055350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28920947:28924268:1 gene:A06p055350.1_BraROA transcript:A06p055350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR interacting protein [Source:Projected from Arabidopsis thaliana (AT5G45610) UniProtKB/TrEMBL;Acc:C8KI33] MDEDDELGDWGIDFLDEAIKLEESYLSTQPPPPAPPIVPPPAPSSENVELHSTGQQRHKTPARDPFASFSPPRVLSQRVAGGFNDAVTDYSSVAAVRPISPSSSTRRYDSEKDLEIERLKKELGRVSKQLLDMEQECSKLKKGKSKETELKNLHSEINGRTKLEPDAPTSVNDGGVDSTTGLDDKRNLQILFGFMNTSTPPQEKNRQAAKTLSNEQSSKALESEKVYHLYSAVTKISYGLVNLKTLVEPLLDLCKAENAILVHRSLHVLHVLLEHVCGVEKKFDVRDNITDEEPSSSEVAEFHGYKGRKTNLSIGESVYSSSSIPLQGRSDAETSKKSQEGDTDKAQPSWDANWYSLFELMNQIACRRTEENVKLEAVSIMNIIVMRTNAYTERETFVTKEVFESISLLLKKEAGLRVRKGAIHLFFLLLNCPKVLARFDSLHEENKSSASENNSQGNLFALGAFRKIFEGLADCLTSPRKTSEDLELCRNVIMILALAASSGNSGYELLSSHNLPQDTSFLMLILHLLAAEIDSESTELHPNAEIFKARTLLMREILILLNRLVSGSSSSCTILRELTNSRDMASLTVDAATRLSRKRNLLGQPESSVERTRGSEITDLARIFKRRVFAFLGDNSS >SC163g500220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:285261:286977:-1 gene:SC163g500220.1_BraROA transcript:SC163g500220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A02p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20517806:20520207:1 gene:A02p035960.1_BraROA transcript:A02p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRRFAQVSSDEEEEEVAATRSKGGKPRSPEEGKRRKRKTVKLYEDFEDDKETEEEEEEEEEEKPDDASPVGESVKITGKGKGRRTHFLQFDYDGNTYNLEDPVLLVPEDKSQKPYVAIIKDITQTKDGSMMILGQWFYRPEEAEKKGGGNWLSSDTRELFYSFHRDEVPAESVMHRCVVYFVPAHKQLPKRKVNPGFVVRKVYDTVEKKLWKLTDKDYEDTKQHEIDLLVDKSMSRLGDLPDLEPEVLHPDLESLLKAKRSSRKVNIPPVDVRKEEDAFLKPETPGSAISSEYHSILQKFNSLTGDAHRDKCLAKLLEAVQNICYTAADEAKVASDLEQGEKDAKTENGAHDNPLKDESFLWPDAAVPPVCALELALHVSLASDYHKYNQRMRALVFNLKNTALLARRLLNGELEPAKILSMSPTELKEGLTAEETEKNEPDDAEKMQMTDARCSRCSQIKVGLRDIIQAGHGDRYQLECIACGHSWYASRDEVSTLTIDSEKPAQGTESEDVEKNLTSPREAEKKAKQDESLKTTNDSNVNNNPETTKKPE >A04p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1307132:1307775:-1 gene:A04p002660.1_BraROA transcript:A04p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSVSILAILVLFLVFFEMPTIKANVNGACLREYNPTVFEMPDIALCMIPSMPSMCVKKCRETREGAKGGKCEFGEFPDDVKCFCNYCFADPTPLLITKPTDA >A08p039250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22605978:22609205:1 gene:A08p039250.1_BraROA transcript:A08p039250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAATVSKNRLCLTSYFDEQILSNAAETSLTSVRFFLPCLLQTPHCQICYCNYWPLLAFISLFFAPIATVRLCRKKTAKIFVAGHRGLVGSAIVRKLQESGFTNLLLKTHSELYLTNQSDVESFFATEKPAYVILGGIHANNTSFSSSAPLAKANDAEEVVVWGSGTPLREFLHVDDLADACVFLMERYSGFEHVNVGSGVEMTIKELAEFVKEVVGFEGKLVWDCKEAVKEIIQEHRTLIIKISSSVLISLMRLNECGFCMFHTQMNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRIGVNSIPAIEEVNIFKDDVVIQFTNPKVQASVGANTWVVSGSPQTKKLEDILPQILSHLGPDNMENLKKLAEQFKKQSPGGGNVPATIQEDDDEDDDVPELVAGETFEAAAEEKVAAASS >A08p009810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10860198:10861187:1 gene:A08p009810.1_BraROA transcript:A08p009810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDSVSIYVRSGMSIDVGWVWAVDRRVVFVDGGRRVSVDEQVLLSIDAVRLPMRMLSLVGPEKVSVNSNNGVSIDTPFSPWIDATSDLSIDVPSRECYARKDNQTSICALLSKGKVLASYFITHALRTV >A07p047420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:25464284:25466983:-1 gene:A07p047420.1_BraROA transcript:A07p047420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g74600, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74600) UniProtKB/Swiss-Prot;Acc:Q9CA56] MKCLANESLNLLKISPFSSSSSAYRLLSSVSNLRNPSLLSTKDCTFSTPFNPFHFFNDHQTSSSLCTLQTTRILQGHLLRRYLLPFDAFLTKSLLSWYSKSGSMADAAKLFDTIPQPDVISCNIMISGYRQCKLFEESWRFFSRMHFLGFEANEISYGSVLSACTALQAPLLSELVFCHAVKMGYFLYEVVQSALIDSFSKSFRFRDAYKVFRETLSPNVYCWNIMIAGALRNQDYGSVFDLFYEMCGGVQRPDSYTYSTVLAACASLENLRFGKAVQARVIKCGAEDVFVNTTIVDLYAKCGHMAEAREVFSRIPNPSVVSWTVMLSGFTKSNDAISALEIFKEMRRSGVEISRCTVTSVVSACGGPFMVSEASQVHAWVLKSEFYLDSSVAASLISMYSKRGDIHLSEKVFKNLKDAQRANVVNVMVSSFSQNKKPGKAIILFTRMLQEGLRPDEYSVCSLLSVLDSLSLSKQIHSYILKNGLILDLTVGSSLFTMYSKCGSLEESFSLFQEIPVKDNACWASMISGYNEYGHLKEAIGLFGEMLSDGTSPDESTLSAVLTVCASLPSLPRSKEIHGYALRAGIEKGMPLGSALVNTYSKCGSLKLARQVYDRLPEMDPVSCSSLISGYSQHGLIQDGFFLFRDMVMSGFTMDSFAVSSILKAAALSDASSLGAQVHAYITKIGLCTEPSVGSSLLSMYSKFGSIEDCCKAFNQINGPDLIAWTALIASFAQHGKGTEALQVFNLMKEKGIKPDKVTFVGVLSACSHGGLVEEAYIHLNSMVKDYGIEPENRHYACMVDALGRSGRLKEAESFITNMPIKADALVWGTLLAACRLHEDVELGKLAAKKAIELEPSDAAAYVSLSNILAEVGEWEEVEETRKLMKGKGVEKEPGWSSL >A09g514710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43819940:43820627:1 gene:A09g514710.1_BraROA transcript:A09g514710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHLVMVLFGYGPIWTWCYLGSTKNTQKKISPSGHAQIHPVRPVDIPKCKYPHRHNSGCKGICKDGFMYYLATCDKKRSLMSFDLSSEEFNVTKLPEEFKT >A01g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18088500:18089217:-1 gene:A01g506150.1_BraROA transcript:A01g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLILFLYKAMVMYKREGSFSSVFLSDHHSHQLPVTTGYFLATPLVYFERQTSGDFGLTVLDCLKRRLLHHLACPLGTSRNGSVLIAGSSVHGSKFNLKTAAKREGSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A07p040510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21465847:21466788:1 gene:A07p040510.1_BraROA transcript:A07p040510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB20 [Source:Projected from Arabidopsis thaliana (AT1G66230) UniProtKB/Swiss-Prot;Acc:Q9C7U7] MGRQPCCDKVGLKKGPWSADEDRKLMNFILTHGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDHEEKMVIDLHSQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSIVEQEEDKPLKKLQKPMKQTVEEPTNYCLTKDSNSKNMFMAMNLEYGVEEVPMIDPECLELICNNSSMSTTSTSTSSSSSNESSILKDFQFPDFDWSDYGIDNNNHHNNNGVDNIMENNVMSLWDVDDFSSWDLLLTDDIPQSSSMFGLF >A10p030640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18504212:18512788:-1 gene:A10p030640.1_BraROA transcript:A10p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLSVFVFLQVFTNIVFAASNEESKISVPPSPSYKPSPPLVKPPTLPTTPIKPPTTTPPVKSPATPVSPTKPPVKSYPPQVKPPTSPLVKPPTYKPPTPTVKPPTKPPVQPPTYKPPTPLVKPPTIPPVKPPTAPVKPTPTTPVTTPPPVKPPVNPIPSPPVNAPPVKPPTPPAKPPTPPPVRTRLDCVPLCGTRCGQHSRQNVCMRACVTCCYRCKCVPPGTYGNKEKCGSCYANMKTRGGRPKKGFELGKLVLSSGLLHRSWNKISELRASRAHQVQTPGLGIKIFQETKYTVVVFVAPPINITCPLNSASTLLSGTQDQNPYHFLCSEKISSFSLHTPAFQLFVSAYNNNLLHLKSKLLDLLESKEHVIITGAALGGSVASLFTLWLLETVEPTLKRPLCITFGSPLIGDAKLQQILENSLRNSCFLHVAYASQTPINTDFKPFGTFLICFDSECISIDDPEAVMELLGGTNTDQVVGLIDYGEVLDRLDQTVMEDSRLRIDDVITRMEERAEKKKLRYDQLKKLNDIKISMIYIEWYKKKSKMEKTGYYDRFKTHLASSVSPFDIDIEKRKKEVNDYWRSLVEEVEKKPQSEKSLLKTRSLFSGNNYRRMVEPLDIAEYYHNGGREYITSGRSRHYVMLEKWFKEEKIEPVRCVKRDLSDLLTFDSCFWSEVEEALMVIKSLKRQDGEREVLLRKLVRFEEYVWEMIRKREVSPEIFLEKSSFMKWWKEYKEIIKGFDSSDFTKFMNTRMYESYADIIYKVIIVAVLAFWAITSFSLCTLKTLLSWVSLAKAIYGQAAVALMIKSSSVFQATVNCTETGKLVLSSGLLNTSWSKISEMHESSHQPKDSALEFNVYRETTFVFVVFSAPPVCGDASLNSGSTLVSDVTSQDANLFSFLCSEKTPSFSLHTHALQLFASAVTENNRLTDLKTKLLESTKPVIITGTALGGSLASLFTLWLLESIKPNLKRPLCITFGSPFIGDANLQQILENSLRNSCFLHVADATQTPIITKGFEPFGTYLICNESACVCIDDPKAVTELLLGGNTDPAGGRDYGEVLKSLDRSSTADARLMIGDVIINGMKKRAEEKKQRFDQLKKLDNIKISMAHIEWYKKLSKKGHKTVYYDHFKTQIVFPYETLRTEMNDYWESMVQEVEKMPQSEKSNLKTRCLYSGNNYRRLMEPLYIAKYYLEGKKEYRTRGRPHHYVMLEKWFKQAQLKEPLRGNGTDLSELLTFDSCFWSEVEEALIAINELKTQPAEGLVGKLMKFEEYVWETIRKREVSPEIFLERSSFMTWWKEYKEIKGTRDGFSSSPEFTEFMNSGKYKSYGKPESDLAA >A01p023550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11668442:11674088:1 gene:A01p023550.1_BraROA transcript:A01p023550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKQLRGISIIAAEAVNPDKSRGIAIPAVRAVVADALLLTRSLSGTFSSAGSVPVRAPITIDDEDDEDDDDDDDGSVTDDGFESVSGDADDEAELEDVIRVLGEESVGENGDDVVEEEASVNYSPLEEESESEVSEAKLVIPRASLSLNDDEVLGSSGDEEAEVEDRTLQSGDLGMVDEPECSGSVLTDVVSTEDETVEMEVTTDRLEDGLISFGDIEDLSLSVPSGGSDDFMVQNEGSIGLDGSFKQLIEEDGGGCIHESDALMKPGDVEDSVVELSEGSGSVTGELVSTEEDVVQVNLSDDALVSFETTGLGVAPGDCSEFVVESEGNAVDVDKGLDETVSKLVEQGVGQESASKESDNRIDDGSACEADEVMIQGEGSIGLGSREQENEDTGFMVGEAKELTKNACGAECEGELEIGMNSECSQVTPTLDSATTRNLDVSRGIDVDVNGCGSFIQKDDQETEDEGTQESEDIDRKLPLPDEDRASSLRSSFEMANESVENWEQIDKEVNFLSDSELNQISVGTGETSLLSVKDVERSMPEKSYVTANDVMENEKQMAGLIHNHNHTCLELGEYEGTGDTKEKLSESTFQNHPEELSSDNSVQLISGRVKERIEKTKLLKEKIQKIIKGIDLCNEDSAVTEVESQQSLVGEDHHTSSELDDDHVYDGTKTKLPEQELPLGLDFSINVLVIGKTGVGKSATINSIFGETKSAVGAFRVTTKSAEYIVGNVGGILITILDTPGLMSSATEERYNQDVLVSIKKSMRRFPVDVVLYIDRLDENPDVRLLRTITSSLGSSIWRNAIVVLTHAGSDVPDFSSYSAQRSSLMHQSIRQAVPELSCVEQRKMPGIVLAENNMSESTCPDWRLNLLILCCSVKIRCKSGSLVEKPDAFDSSQLRSFTLFCSLWNVLLLGSNQGHASQSPDDLEEKKRRLLESYPEILWDEQSHESLEQEETLPVKNQEDEGKRQEKESVRRRRGRGRLGFQATKRLGIYLDTCDLHTGFSMGNRGSRKVEQEEGKMVVRMRGSLSVLGLVHVLTSFSVDRRPSRQKKTLKMDGHDSEDATKQSTADMTAFVQNLLQQMVGNPGSRQCPTPSSQRISSLFLSSTLYSFPWISLDDMGGRINELEQSINDLRAEMGVEGTPPAASKSGDEPKTPPSST >A06p054990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28796924:28797538:-1 gene:A06p054990.1_BraROA transcript:A06p054990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSRAKPATTKRMDKLDTVFSCPFCNHGSSVECQINMKEMIGIATCRICEESFSTTITALSEAIDIYSEWIDECERVNTVEDDVEQEEEVEEEEVYEQEEEVEEEDDEDERVSVKRKFNYRDD >A02g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4047593:4049249:-1 gene:A02g501200.1_BraROA transcript:A02g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGMMPSDTTVGVAHDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSIPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEEDEGEEY >A08g508940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16850949:16853941:1 gene:A08g508940.1_BraROA transcript:A08g508940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPPLPPPSQTAVTSSMQHIPQKIHQLQEGISIRPIIVCIRNVWDIKKHQTDSTRTSIGFMCYDHNGQLLEGRVTGEIQPNDSKNLTEGDSYEFSRFYVIHNSRQRKLTQLPYYIQIGQRTTALNVTLDGPMFPVHSLSPQKYTNLLRLASTPTYLPDVVGQIVIIQKIKLDHPELNIDATIGLRLNRSTIVKLILCDQQAADFSILQSKKNRKFKVMIITSVIPKLIQGKLILHSSPATVFYFNKSIDYIKHFKRRIRDYAKTCSTTFLHA >A07p027130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15482856:15487532:-1 gene:A07p027130.1_BraROA transcript:A07p027130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKIQRACTALGDHGDSSALPTLWDSLPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLQKIDDGAREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRSKAISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSENIVKDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPSGERTFGVLTKIDLMDKGTDAVEILEGRSFKLKYPWVGVVNRSQADINKNVDMIAARRREREYFSNTTEYKHLAHKMGSEHLAKMLSKHLEHVIKSRIPGIQSLINKTVLELESELSRLGKPIAADAGGKLYSIMEICRLFDQIFKEHLDGVRAGGEKVYNVFDNQLPAALKRLQFDKQLAMDNIRKLVTEADGYQPHLIAPEQGYRRLIESSIVSIRGPAEASVDTVHAILKDLVHKSVNETVELKQYPALRVEVTNAAIESLDKMRDGSKKATLQLVDMECSYLTVDFFRKLPQDVEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCAGLRNSIPKSIVYCQVREAKRSLLDHFFAELGTMDMKRLSSLLNEDPAIMERRSAISKRLELYRAAQSEIDAVAWSKEMASSISSMSTRFCFIPNNNGSHKPNPWLYQQSLSSNSLIFYSKHHPHRRPLSSSQIPVVETDEEDDKDGLTFRGCGKEVKENGCNGDGRIQGGIATVPGFGWWPIKAYRPCPGFVEAGGRYRRIGQSMDEVAFGRGDSKSSTDSPS >A01g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11695929:11696564:-1 gene:A01g503890.1_BraROA transcript:A01g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVPGGGVSIGGRMRLVLISTTEIPPVKRSLPEMCGIEVLPGAGVSLLVRLLAQGRDGLVGGMELRLECAFGEVLLVQELKSGAFQTGSEASSQETHRKVRCVGPVFHSYHKETNAKIKLASWIVFATYGVKCSRLMGSN >A08g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2372996:2373989:1 gene:A08g500930.1_BraROA transcript:A08g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLNLQDGVGSSIIHMFLLENHPLIRFKTGCSSSTVLFKPVAPFFREDINISRGGDLMGVDMFLLDSQDDLKNAKSIVNWVLDYVTGPQFDFDPVKYEYCKQSPRQLYFSMKDGMTHLHR >A09p067450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53223607:53229701:1 gene:A09p067450.1_BraROA transcript:A09p067450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMASIPKEPEQVMKLRDGSVLGKKTILKSDHFPGCQNKRMTPQIDGAPNYRQAESLRVHGVAIPTAVGIRNVLRHIGAHKDGKQVQVLWISLREEPVVYINGRPFVLRDVEKPFTNLEYTGINRVRVEQMEARLKEDILMEASRYGNKILVTDELPDGQMVDQWEPVSTDSLKTLLEVYEELQAEGYLVDYERVPVTDEKSPKETDFDALIKKISQADINTEIIFNCQMGRGRTTTGMVIATLVYFKRTGASDHGFPRNNSFGRIFKAGENITINLPNSEEAIRRGEYAVVRSLIRVLEGGVEGKRQVDKVIDKCASMQNLREAIATYRSSILRQPDEKKREAALSFFVEYLERYYFLICFAVYLHSEGGYLQSGSLGHVSFSDWMRARPELYSILRRLLRRDPMGALGYAAMKPSLTKIAESTDGRPHEMSVVAALRSGEVLGSQTVLKSDHSPGCQILSLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVIERVGSSRGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVEGMEARLKEDILREARRYDGAIMVIHETKDGQIFDLWEHVDADSVQTPLEVYKSLEADGFPIKYARVPITDGKAPKSSDFDTLTSNIASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRINYGRPIKVLYDVLTHEIVDEDSSSGGEETGSNTAETRPRISERKTEDQHGRTFGMDDILLLWKITRLFDNGVESREALDAVIDRCSALQNIREAVLQYRKVFNQQHVEPRVRSAALKRGAEYLERYFRLIAFAAYLGSETLDDFFVKGGSKVTFKNWLHQRPEVQAMKWSIRLRPGRFFTIPEELRAQHESQHGDAVMESIVNERSGSVLGKGSILKMYFFPGQRTSSRVQITGAPHVYKVDRYPVYSMATPTISGAKKMLAYLGTKLKEEGGDSAARVVVTDLREEAVVYINGTPFVLRELSKPVDTLKHVGITGAVVESIETRLKEDILSEVRETGGRMLLHREEYSPASNESRVIGYWENIQPENVKTPAEVYAALKDENYNISYRRIPLTRERDALASDVDAIQYCKDDSAGGYLFVSHTGFGGASYAMAITCLVLQPGQKLTATPNTDSSTLEDDLPSRACDEEALSMGDYRDILSLIRVLSHGPQSKADVDGIIQQCAGAGHLKEDICYYTKELNKLPNTKDEDRSYIMDMGVKALRRYFYLITFRSYLYCTSPKEMKFLDWMRSRPELGHLCHNLRIDK >A10g505800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14941265:14948797:-1 gene:A10g505800.1_BraROA transcript:A10g505800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDSCNIPGPAQKGTQVQEAQEEETLDITPPIAYKRRSSPKVQPQERQQAKPCPSRTPPPPPPQAAAAPSPTKPAASRPRSPSRRDRAPSRRLHPKPISLLCDSDSQTRSREVTVSPNLDPDLLLQKAKVWPRGSGSRCPYGASVFSSLSFWTLFTFNHFLLSFIRHSYLIRFIGPRPLQLLSEPVKPTRCCPGMPPKNARVARPAAANQRATRRVTRSASQASSEAESRREGAPENENPVEMPNVANAALLAELQRYRDAYGGQLPNVELRNICNVRDYRDVHELIEKAAEQESGLEEERKQNQNSQNRGAKRPRDAQPAAEPAPLRPACERCGRFHAGECRMGACFACGERGHIAKDCPKERLGQRRRCYRCGQEGHLSWECPTLQRGNAEGAQPQQQRGQAAGARAYAVEGREGAEPIAGSVAVGGVTAFTLFDTGATHSFVSPRLTREWDFKGNFNTMVTGVETAGTEKMATRGRYEEVPVILAGVNLPGDLLELELGRYEVILGMDWLAQHRAVVECAKACVRIPLDGRQIVYRGMRTRTGITVVRSSPRVQPQERQQAKPCPSRTPPPPPPQAAAAREALAAATELLAAASLIQFHPDLLLQKAKVWPRGSGSRCPYGASVFSSLSFWTLFTFNHFLLSFIRHSVWVKVFDKVHRAKAVTTHIYMLSVL >A02p004770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2065647:2068277:1 gene:A02p004770.1_BraROA transcript:A02p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVFPASKPPTATNGVPPAPPPAPTAVNGNGAANGTANQKPQVYIPANRPVYRPQPYSRRHHHQSRPSCRRVCCCCCFWSILIFLLLALMPAIAATAVYVIYRPRPPSFSVPSLRISRVNLTTASDTSVSHLSSFFNFTLLSENPNGHLTFSYEPFAVTVKSAKSGETVANGTVPAFFSDNKNKTSFRGVIATSTSARELDPDEARRLKSDLARARVGFEIEMRTKVKLRMGKVKSEGVEIRVTCQGFEGTVPKGKTPTVATSKRTKCKSDLSLKRRTNFNFATQKYRLGFIIYEYHHQSMAEKLEPAKVLYCGVCSVPAITASSVLISADVSHGSLKKLQISILTYSKERQEVIIEKVVRNKRKCITIVKGLELFGIKLSDASKKLGKKFATGASVV >A06p004920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1405006:1407056:-1 gene:A06p004920.1_BraROA transcript:A06p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRKRVSKWDAKQEATANGNDYVEKSGSYYHDKDPEHAGFYPEGNGRNGSSRRSVPDDDDDGERLKSRQQHQGEAWPSRSRVSHDEGDDAMMSYYDTRKSCEQDETRQPYWDRPRTRRSGSRSNSRSMSRSRSRSRSPLQRVRRDARGSYERHKTRDNDGRYSRAGDYNDRDTKYYTEDSREQYPLRRSDYPEDHSNSRREASDPILRSHRDRRDVPEREYNRVSNVPCRYFALRNCRNGTSCRFSHHGARKSPERKPQDQMFGRHDSSGTTERMRNSHRWNERSDTGKSCEGSKGNNNNNGSWIGDMEMSPDWNYGVKTLKKPLNEEHSVVKDTLAPGSAAISHSHHGFSNNIIANSAPPVQAFNQKIENHSAVPYQSTPLAVGGSQVLPPPPPPAVTTNLPESGIAQSTVSREELNHISNISASLAQFFGNGQPIPQLQSTLNPKETMQVPEVYGKEQQSSLAQSDLLSNNSIQTGVVPAVQILNSDTLIAGNPKASSEEASKEPDVRKTEQEGGETTGKDVEEEEEEDDGAGDENSKKEKDPKGMKAFKFALVEIVKELLKPAWKEGGMNKDAYKNIVKKAVDKVTGAIQTGNIPQTQEKIDHYLSASKPKLTKLVQAYISKVKKS >A10p041040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22540464:22543075:1 gene:A10p041040.1_BraROA transcript:A10p041040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MFIPLLGLEFIENRKERERETSSPINNASKMEMETEVSAEKKEIVVLLVGPPGSGKSTFCESVMKSSHRPWSRICQDIINNGKAGSKVQCLKMAIESLKEGKSVFIDRCNLDREQRSEFIKLGGPHVEVHALVLELSAQVCISRSVKRSGHEGNLQGGRAAAVVNKMLRSKELPKLNEGFSRILFCYNDADVENAVKTYTMLGPMDTLPSGSFGLKNPDTKSQPRIMNFFKKVSAVPAASSSSNEVTTPKANEKIEIFRVSPAKLVVPTLAFPSISTADFQFDLDKASDIIVETAEAFLPKLGSARLVLVDLSHGSKILSLVKAKASRKNIDSGRFFTFVGDITKLHSQGGLHCNVIANAANWRLKSGGGGVNAAIFKAAGPDLEAATRARANTLLPGKSVLVPLPSTCPLHNAEGITHVIHVLGPNMNPNRPDCLNNDYTKGCKILREAYTSLFEGFLSTVQDQSKLPKRINQATVLDSGEEDSERNKKFKGTIASNTKSGSVDDSGKKRSKGWSSWALALHIIAMHPEKHENIVLESSDHIVVINDQYPKARKHVLVLAREESVDGLEDVGRENIQLLEEMHNVGMKWVQRFQHEDPSLIFRLGYHSVPSMRQLHLHVISQDFESDHLKNKKHWNSFTTSFFRDSLDVLEEVKSQGKANLESEAVLKGELRCNRCRSAHPTIPKLKSHIRTCPSPFPHHLLQTSRLLARPDSSN >A02p002600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1078598:1080086:-1 gene:A02p002600.1_BraROA transcript:A02p002600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGCITALPQRFSENKTKEDPSIFDANFLNQQSNHIPQQFVWPDHEKPSSDVQPLQVPLIDLDGFLSGDPFLVSEATRLVSEASKKHGFFLVTNHGVDDTLLSRAHLSMDSFFKAPASEKQKAKRTWGESSGYASSFVGRYSSKLPWKETLSFKFSPEEKCHAQNIKDFVSEKMGDGYEDFGKVYQEYAEAMNILSLKIMELLGMSLGIERGHFRDFFEDSESILRLNYYPQCKQPELALGTGPHCDPTSLTILHQDQVDGLQVFVDNKWQSIPPNPQSVVVNIGDTFMALTNGIYKSCLHRAVVNSEKARKTFAFFLCPKGDKIVKPPEELVRMMSGEREYPDFTWSMFLEFTQKHYRSDMNTLEEFSNWLKNRRSF >A09p067660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53292548:53295527:1 gene:A09p067660.1_BraROA transcript:A09p067660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTGRKEKLSVSQGSYIEVSSDEHYYATGNVWYHALLRENLASSKRKKLSVLHLNPLSNEDYSPPLITTAFYRLIRPVPPPDPFPEVGFQKGDMIDAAHKGGWSSGWVIKVLDRGERFLVYLRFEPDVIEVERKDMRPHWVWKDEEWFRCEKRLLTESEFSSGTEVEVRTKVEPFGDIWAPAIIIKKNEDETLLVKYGEENACRKINVPYSKIRPSPPSFGSRPFGLMENVDVLVECGWCPSVVCMVLCGDKYTVLLGRNKKSEDFDHSLLRPSMEWKDGVWQTKEKVSDRKESPHAAEESTRIRVKVRKTRSSSGTNVKNLPQTPVSSGEIASKMANVVISENTLVTKKPEIAETKESRSPIVLGVVATTLLAKQAVKTPPRKKLKTVKSQKGSENDSVGVKAHEKSNNRESVNKRKRGRPHKFISKEPKQKTGVSASDPMLHNAVVEKHVDVVETPKAKETTIVLPFVKKSPCWKVLESMEIFKAVPQRPHFNPLLECEEESREGDAIGAMVKFTGLLEKVSYIQVDDSVTEINRIKECFLKLEEHGFDVTAPCSRIDKLLSVKESQTWALEELKVAEREITENDNKRRKLEEDIEELPKKIVELQRQLALVKQEKVTKDKEIALMQSHAEILDQKVQNVEKEFRETVTSPW >A10p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9154022:9155001:1 gene:A10p005060.1_BraROA transcript:A10p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKQNPSSSKPPRHPFSIAASIPSKACAGEESQFRNPNPSSSLLPSNSPISMSVEDQILGRSTHLTRPELLRRRSHNLKQLAKCYRDHYWALMEDLKAQHREYYWKHGVSPFKEDNHHHQNKRRRIDGGEVEGSGDNDNGVKSDPSLTGNCVACGSGSKSKAMPLTNYCQLHILSDKKQKLYTCCTFVNKRAQSKAITCPKPTLASTVPALCNAHFQKAQKDVAKALKDAGHNVSSANKPPPKLHDIVAAFVHHIQAKRKNPGRESKLRSSVKEEITS >A04p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6747966:6750658:-1 gene:A04p011390.1_BraROA transcript:A04p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSESSVPTVRTVTVSYSELKDSSLDLSAKIEQGFGPNGLGILTVKDVPGYSVLRQSLLRLAPKLAGLPEEVKRELEDSHSRYNFGWSHGKEKLESGKLDMLKGSYYANPLQDVPTSDSSEMQRYPWYCGSNIWPRNSLPELEGAFKALGKLMFEVGLMVAYHCDLYVSKGTKQHEMQNLENILLGSRCHKGRLLYYFPAQDTSTTQNSDSISSWCGWHTDHGSLTGLTRAIFSRNSVEVPCPDPASGLYIRTRSGQVVKVVYGEDEIAYQIGETTAILSRGYLCATPHCVRAPQGEEARGLERSTFALFMQPDWDQKLTFPKEVTIHEHVPLSNGVLTFGEYTEKLLNIYYDTKP >A07g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6234091:6234883:-1 gene:A07g503110.1_BraROA transcript:A07g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTLPADPFPGIFAWVRIGIFWDVDQFKIDVQSDAHSAAQNIRKTLSAAGHLGKVEIMAYGVADGHDFKDKAKFTSFPAGADTERHTKMLQDILVWSSKSPNPSNLFLIMGDSTVDFSSDIESLVSSRHYKIHRVKP >A03p003560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1568339:1571033:-1 gene:A03p003560.1_BraROA transcript:A03p003560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGEIGMFSKNPFETVESRGFIFTCFVAALVGILTIAYTASQWRRNINLSWTKAIARSKKNPKARHKTPVAPHSWERDSVSRAKNLNCSVCLKSMSPSQTIVASESVIHRCTVCGAAAHFSCSSSAPKDCKCVSMVGYEHVVHQWSVRWTEGADQSDESSFCSYCDESCSSSFLGGSPVWCCLWCQRLVHVDCHSNMSNETGDVCDLGPLRRLVLCPLYVKELTRNPSGGFLSTITHGANELASTVRASIRIQSKKYKQGNETSAESGNSGSNGDESTESTADTGPAVVNGNHAALENSSSVVNGGSSQGDSDSSGKLEKKPSVKRSGSFGKKDEYQGLRSKLKYELADLPPDARPLLVFINKKSGAQRGDSLRQRLNLLLNRVQVCELSSVQGPEVGLFLFRKVPHFRVLVCGGDGTAGWVLDAIDKQNFVSPPAVAILPAGTGNDLARILNWGGGLGSVERQGGLSTVLQNIEHAAVTVLDRWKVSILNQQGKQLQPPKYMNNYIGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGARSIMDRTFEDFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYENFDPQSIHDKVVEVVSISGTWHLGKLQVGLSQARRLAQGQSVKIQLCAPLPVQIDGEPWSQQPCTLTISHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNQVINASQKRALLQEMAVRLT >A08p043970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24391160:24394121:1 gene:A08p043970.1_BraROA transcript:A08p043970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GABA transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G08230) UniProtKB/Swiss-Prot;Acc:F4HW02] MGEEERSGDERKTSEEVDAGALFVLKSKGTWWHCGFHLTTSIVAPPLLSLPYAFKFLGWAAGISCLVGGAAVTFYSYTLLSLTLQHHASLGHRYLRFRDMAHHILGPKWGRYYVGPIQMAVCYGVVIANTLLGGQCLKAIYLIMEPNGEMKLFEFVILFGGLLLVLAQIPSFHSLRHINFFSLVLCLLYSALAAAASIIIGNKSNGPEKDYAIVGDKETKVFGIFNAMAIIATTYGNGIIPEIQATLAAPVKGKMFKGLCMCYAVVIVTFFTVAISGYWAFGNKANGLLFTNFLNPETNHYLVPTWFIFLINLFTVLQLSAVAVVYLQPINDILESALSDPTKKEFSIRNVIPRLAARSLFVVVATIFAAMLPFFGDVNSLLGAFGFMPLDFVLPVVFFNFTFKPSKKSFIFWINTIIAVLFSCLGVIAMVAAVRQIVLDAKTYKLFADV >A08g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2184730:2185320:-1 gene:A08g500840.1_BraROA transcript:A08g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSFHETTKAIQMDDEWLNDRIQEIHDASKLQAHPLTDLAKLDWLFVGKHISINDGYYPGSGVDQNREYEPVTENEYDTVNLEDDFDVPSRNQNETPSSYNQSSEQVYGNTSRSSSSARKQGTTNVSYDCVTNEAYMKQIKLYERAQDNKLEGAIVSLTLPGLQYESLLY >A04p036940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21149711:21151512:1 gene:A04p036940.1_BraROA transcript:A04p036940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 18c [Source:Projected from Arabidopsis thaliana (AT2G40810) UniProtKB/Swiss-Prot;Acc:Q8GYD7] MSSTASSPQRVLQPGGYNGNDSRSSSTSSSFLRPELESTETEEAELVSVSWNQDSSCFAAGTSHGFRIYNCEPFKETFRRDLKNGGFKIVEMLFRSNILALVGGGPNSQYPSTKVLIWDDHQSRCISEFSFRSEIRAVKLSRDWIVVVLEHKIYVYSFVDLRLLLQIETHANPRGLCCLSHDSDTSVLACPGLLRGEIRVEHFGLNMVQTINAHDASIACMTLTLDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVVGEDSQSSENAALLTQGTYSNSLQGLVSPATGTNPGSSLSFMRGVLPKYFSSEWSFAQFHVSEVTQFFAAFGSHNTVAITGMDGSFYRCSFDPVNGGEMVQQEYICFLKTDNPPR >A06g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19059739:19061536:1 gene:A06g506870.1_BraROA transcript:A06g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPKILVRMLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPKVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPNTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A01g506620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19105394:19106608:-1 gene:A01g506620.1_BraROA transcript:A01g506620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSALNKGHPTFTDFPTEKQHLWFQFNWNSDDTLSIYHHFVHKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGVYKHNLGAQSIATLEDRMAEENDGEPVDDLALMKRAYTNKKTCQIDDGLVRDVVSLVQTQVQDEVSQLQTEDDDSTASANLSRVRINEIVESSVPKKKGRLVGLGRRSWSAAPSSAPPPYVDPEVLTAQLKDKDDRISVLETQMAAQHAGYEAQKRLNEQMMEMMKRMYPNEVFPNVQD >A02p015270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6799314:6800610:1 gene:A02p015270.1_BraROA transcript:A02p015270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRPEPGLVDPDPLSLTNNNNNNKKSDLRLPTISLFKSHLTPASGTDSASLRSSQMGTGTNGELKYEISQNAYIKLVLHSLRHKTAAVNGVLVGRISPNDEGVVEISDSLNNKKLEGLSKGKDRSPVMQLCVRDASKNWRVVGADGGSKLLLKEPSANVVLSDYISSEKWKEVIDFDDHLDDVTKDWLNPGLFN >A10p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9534838:9542595:-1 gene:A10p006010.1_BraROA transcript:A10p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFCFNVDSFFCPREVVGFTKDYTFLLDSLADSRKTTTESPPSLDAMAIADAVSCGEDLFGSKSQKLLRQFREKLTESLVIEVLRLVEKPSVVISFFIWAGRQIGYKHTPSVYNALVDLIVTDNDEKVPEELLQQIREDDKETLGEFLNVLITRRCRSGSFSVALEELGRLKDFSFRPSRSTYNCLVQAFLKAGSLDSASLIHREMSLSNVSIDGFTLRCFAYSLCKVGKWREALALVEAERFVPDTVFYTKLISGLCEASLFEEAMEFLNRMRADSCLPNVVTYSTLLCGCLNKKQLGRCKRVLSMMMIEGCYPSPKVFNSLVHAYCKTGDHSYAYKLFKKMVQCGHTPGYVVYNILIGSICGGEDSLSSDLLELAEKAYGEMLAAGVVLNKINVSSFTRCLCNAGKYEKAFSVIREMIGKGFVPDNSTYSKVLGYLCNASKMEMAFLLFEEMKTRGLVADVYTYTIMVDSFCKAGLIEQGRKWFDEMRNVGCAPNVVTYTALIHAYLKANKVGYANELFEVMISEGCVPNIVTYSALIDGHCKAGQTEKACRIFERMCGSKDVPDVDIYFTEQHDGERPNVVTYGALVDGFCKSHRVEEARKLLDAMSMEGCEPNEIVYDALIDGLCKVGKLEEAQEVKTEMSEHGFIATIYTYSSLIDRYFKMKRQDLVSKVLSKMLDSCKPNVVIYTEMIDGLCKVGKTDEAYKLMKVMEENGCQPNVVTYTALIDGFGVIGRIETCLELLERMGSKGVAPNYVTYRVLIGHCCENGVLDVAYKLLEEMKQTHWPTHAAGYRKVIEGFSKEFVESLGLLDEIAKDDTAPFVSVYRLLIDNLIKAERLEMALTLLEEVATLSPTLANYGSTYSMLIESLCLADKVDKAFRLFSDMTKKGVSPDMESFCSLVKGLFRNRKISEALLLLDFVSHMHPTQNLKLPRLGAMDNVLGRSLGAKSCIGFLGHKSLEPKKKKKKVVETMRMCKWMCCTCQIQDSHEEEHLKSSHQHHHHSDANHKNPKPPALAKPEVRKEPLPIEVPALSLDEVKQKTDNFGSKSLIGEGSYGRVYYGTLNDGIAVALKKLDAAPEAESDAEFLSQVSMVSRLKHENLVQLLGFCVDGKLRVLAYEFATMGSLHDVLHGRKGVQGAQPGPTLDWTTRVKIAVEAARGLEYLHEKSQPPVIHRDIRSSNILLFEDYRPKIADFNLSNQSPDNAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDNNMPRGQQSLVTWATPRLSEDKVKECIDPKLNADYPPKAVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKPPPPAAAPSS >A09p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20625636:20626624:1 gene:A09p034130.1_BraROA transcript:A09p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPVIEEVNTEEQLMDAIKEQMKLQNDDDVVVEDVKDGEEEDDIDDDEDDNVDGAGENESSKQSRSEKKSRKAMLKLGMKPVTDVSRVTIKRSKNVLFVISKPDVFKSPNSETYVIFGEAKIDDMSSQLQAQAAQRFKMPDVGSMIPNADASEAVTVAQEEEDDDDVDETGVEAKDIDLVMTQAGVSKAKAVKALKTNDGDIVSAIMELTT >A07p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4245353:4246619:1 gene:A07p001690.1_BraROA transcript:A07p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKEKKKRKTRYRKGRTQSIPTDLTIEILSRLPEKSVARFSCVSKLWSSITSDPSFPRPRLLLCFQKYDDSDLYVSSIPQHTQNSNRSYSSSLSFDHHHMMKLPSCYNRFSSKESVHGLICFQESENPIVWNPSTRQFIALPILPIPCKDWKKTTLLLGYDPIEGFTHVGEFIFVPTRRSQSSYILLCDPVKNSWRKFEFKGLADQFTAVFVFPLNDILMQLWLSTSLQNMTKSLLFDLVVKAYATLALNVTTKCD >A06p019870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9719674:9720874:1 gene:A06p019870.1_BraROA transcript:A06p019870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKVETISRLAQWRIENFGPCSFKKSDPFKVGIWNWHLSIERNLYEKLLRTTDDCVWHVDSSFHGRFTIDVEFLDLKTCPLNGGEASPVWPTDTTMQSISTQTTLKCLSRMLEENILSDVTIHTSGGTLSAHKAILSASSNVFKSMFHHDLKEKESSTIHIDDMSRESCMALLSYLYGNITQEDFWKHRLALLGAANKYDITDLKAACEESLMEDINSGNVLERLQEAWLYQLEKLKKGCLMYLFDFGKIYDVREEISGFFRQADRELMLEMFQEVLSVWKP >A07p049260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26188573:26190390:1 gene:A07p049260.1_BraROA transcript:A07p049260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLNLFITSSKPVVEILLITIVGFYLALDGVNLLGQDARKYLNNIVFYVFSPSLIGSRLADSVTYESLVKMWFMPVNVLLTFIIGSLLGWIVIVITKPPSHLRGLIVGCCAAGNLGNMPLIIVPAICKERGGPFGDPENCQKYGMGYVALSMAMGSVYIWTYVYNLMRVLNSPIQTQPSIESNYDTCKVPLVPSKEEEDNQKVGRWNKVKRRIVSLSEKVNLSTIFAPSTIAAMIALVIGLITPLRKLIIGNGAPLGVLQDSVTLVGDGAIPAMTLIIGGNLLKGMRSSGMKKSTIIGVLVARYVLLPISGVLIVRGAYKLDLITSEPLYQFVLLIQYAVPPAMNLGTITQLFGAGESECSVIMLWTYALASVSLTAWPTFFMWLVA >A09g515420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45720621:45722182:-1 gene:A09g515420.1_BraROA transcript:A09g515420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFHLSTSPSVLFKFVSPRFGLVINFFQRSDFESPSRFNHFASSVVQLLFLANKCERNRFWQAGNANHSASTEEDYKPIKLFALLVNPTSSSYSIYVFQVGVDVNKIDKFIGDIKANTENHVFRGEQEAQVGKGSRGDVTILPTIVINNKQPRGERSKDVLLERSMVLKDLCSGFSETTEPHICLNKGPLYPSYTYLYLINTNFNVWFCDCAGRHRNQRVFAKQWRVLGSTLQLAGCATFRGRVCQCPVVQGVKFWVKLLLLFNMIIQKAANVHLD >A07p006720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1534278:1534727:1 gene:A07p006720.1_BraROA transcript:A07p006720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCIRRLRLSQIRKEMRIRSWTSGTGAGGCEWEAQPARRSSVLSSRKVVLLDQLDGLAQSAGSAESQLNSAGRSVWVLGSWTRSEPQSSFLVDPRWQWAKGSVDQSTGLCKGSKLNLGLLWGGYRRWDVNGTCCIHSPNKLYTFGLGG >A09p063030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51326175:51330791:1 gene:A09p063030.1_BraROA transcript:A09p063030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPFIRSFGDNQSSLMDRFERLSFEAHLSNALLGRSLSESGFSSMYNAVLDDPPPVHVEDQDCHRVRRGLRLNKMLKNLMKPIRYCSRRISRGKKQEGYDLDARSFKKWQTFSKSSYGYLGEEGTCWVVAGKDQMHTISIPAGDLEKQQDKAPEKQSESVNESVTEMNLTIVVCNGDSSRGPETVEVAQILGPAEREESPKKVCLSRNSSSHEQCRVCQQEKEEALIELGCLCRGGLAKSHRSCIDAWFRTKGSNQCEICQAVAVNVPPPETQPTTNYWVWRIDPSYRQEQRERGCFSPLWVAFSILIGGLMLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLTLEFCYEWSLRRAVQRAVQRRETAFNNIAYPSAFSKRVINSSFRVTLESKSSLLREPEKRKSIEMDAKIGKFFDSVGSFFSGGDKIPWSEGDVIAGCEREVREATNSGNEGLKKECLMRLSWALVHSHQQDDVQRGIDMLEASLANSAPPLEDREKLYLLAVGYYRSGDCSKSRQLVDRCIEMQPDWRQALVLKKCIEDKITKDGVIGIGITASAVGAVGLIAGGIVAALARKK >A02g511690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31493733:31494892:-1 gene:A02g511690.1_BraROA transcript:A02g511690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTEGRMLIDVDSRRPLKFSRKVEYEGDEVTIEIKYDLLFKHCTTCGMLSHEKGYCPSIGARQSTLERADVFTRMQLPVRHNGRDNQSNVHRYHQPSLEIREPHSRTYAEYMPRRDLGTNLQEGNDRQSRSWDDNHRLGSHADSRMGTHADRIIRRRDDYKRSDRYGGGRARAGPYDRRKEASWRPKQRDPEVNGKEQRGDASNHEIVPYEHISGAGSLDSPTHFKDADAGISRKLASAIVTPSRLDRVMEDNVTVRSRSMGSGDGKALTFSPQKGKEISDDQIIGALSDMEIVEHHDKCLLDLEDHDDDLLGADLMEMEGNARLSGETTKVKGVNVENKPCSFRITCARKS >A03g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13025115:13029301:-1 gene:A03g503780.1_BraROA transcript:A03g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVKLSLGLWTKNSNGDWSFEETSSYDGEAIVINNNETFDGLVELIRIRLNLGILTPVALTYQLPDWMIVPDGPKTPPITLSCDKDVEILTSVRDYMSEAVLYVTSGPELVARYEFLRRSPFTIGDTTYLEEGVSEAQHRQAILDLVGGHPIVCSKHILEIMFNEPQLLIVFRVALEIEMVYGLPNETVQAEEATGFPRLTVDDVVAMAEASTISPEEDFYYAENDEVLYGEPMNIEELQYEIPIGQPASILNHSTPLQVEPLNVWRDMTEDEEYWNGIADHENDYDVYYAQSTHPTEGVIGLPLAPNRRIAAPQPATIIIIDDDDGSTTASSDALNENNIITSGPPSEVIATIGMELSNNGPSVKEGDFSTEVVNINQAGSSEFPIGPTPENNSNKAEPTLDLTLTLGNKVPSNGDVPVESFNGSCSDPDEGSGNETNKSEELYVGKVFRNRADFKQQMASYALRCKFRFKNSRSSPDGMVLQCISLTCNWRVYAVKLKNVEKYEVRKLNLDHTCSVDERAENFEISGGMLVCQINAGEFDVKDKDGISYHVNLHTKSCSCFSFQTLLIPCPHAIAAAIKEKSSIESLVSNYYTMDTLVAAYAGNILPISSEVNPTVVKAWVITKPHAKWQYKKNVHEVKVGLSRMMKVFDKNGGL >A01p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9986822:9989425:-1 gene:A01p020330.1_BraROA transcript:A01p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRNSKKLRPSKISDPDTLFRSLASSIASAAQVSKQPILKHLLCILGKLSSTQLINWDSGNVASRYWNLKSSGESVSFVDVCSLSDVLFTELDRSFEILLRKETCYTFASGEESIELAILYLRCCMKIITLLLPGHDMALENAKTLLSILSGLISATYEGTSSSDETRRTFLCTGLEVFIDEVLVNKSIRDLLFRVDPAFSSCILFSKHDMAGVLEMVSAHFILSVSDEKLNEMYVERLYWKQVSPVKTPQLTMSAATSLLLDPVMFSAPRMIHAYVVLLVSDAIGICCVKGLDLQLFDRCIDAFDKSVVLYTRYTCKDENEPSEKFGVSTSSSRMRTTHLLLPSTLEKVNEATLKLQDSWGSYHSSNGKRENDELVACSVAYAKETISVFDSSYSENTLSQILSVLGCVILRASSDDVMDSVLQKYSASSVEDLYLLASILKLMSCSTLQVIRVLQHRSKDVGDVRSCKEYKSMMDVVQRFEKFSVHLPGQSFLHYRMESHPHVHLKSKWMLMHFSGLLSVSFALKLDFLMKGSVFGMVVSLYLFILEGGDMEALGHSESPSPSIPSKDLEASGEAEETGVDRKLSEAIALKFQKTRTLYLGKLSGAKDAENGSDSGVGVEEKSCNGEKYLWLLGEKGDMKSSDVEDLADFIVCEPGKDYSDWIKGRERFRSQKWKCGKAALRRWNKKQKAWRENKRK >A01p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1807058:1810413:-1 gene:A01p004210.1_BraROA transcript:A01p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKPEIEMSEEERKIAKMSSLKKKAINASNRFKNSFSKKGRKSSSKVMCVTIEDDIDAEDLQSLDAFRQALVLDELLPSNLDDLHMMLRFLRARKFDIEKAKQMWSDMIQWRKDFGADKIIEEFEFEEIDEVMKHYPQGYHGVDKEGRPVYIERLGQIDANKLLQVTTMDRYVKYHVREFEKTFKIKFPACSVAAKKHIDQSTTILDVQGVGLKNFSKSARELLQRLLKIDNDNYPETLNRMFIINAGSGFRLLWSTVKSFLDPKTTAKIHVLGNKYHSKLLEVIDASELPEFFGGACTCEDKGGCMRSDKGPWNDPEVLKMAINRESKCSPISEDDLKHVDQGRSTSFQESLDRSSKQMVEDNVHEKQVATIDKSMMEWPTKTQKIENFPVSKGLECYVRKEAPKKGDGLLVGGVMAFVMGIVAMVRLSKDVPRKLTEAALYGNSVCYDEAMPKQNQAQFAAPVSSSEYMLMVKRMAELEEKCMFLDLKPANVDTETEEKLQAALNRVQVLEQELTETKKALEETLVGQKEILAYIEKKKKTKKLFFGF >A06g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25399694:25400455:1 gene:A06g508950.1_BraROA transcript:A06g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEASSLKRDGHLPRRRLRSCFFVLGSLKTLLSYGCRAVKFKTAESMFPSADRSSKEEQENDRNRSVMV >A07p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2587373:2589239:1 gene:A07p004840.1_BraROA transcript:A07p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat domain-containing protein 2B [Source:Projected from Arabidopsis thaliana (AT2G17390) UniProtKB/Swiss-Prot;Acc:Q29Q26] MASSSENTRDEKNDSKSKSPKQGSGSGASPSPSPSPADFGIDFNAFDFSSMAGILNDPSIKELAEQIAKDPTFNQLAEQLQKSVPSASSTEGALPNFDPEQYMATMNQVMGNPEFRTMAEKLGNALVQDPQMSSFMEAFANPGATEQFTERMAQMKEDPTLKPILAEIDADPSAMMKYWNDKDVLKKLGEAMGIAVGAEQNVAAEPEPEEAEEGEDEEESIVHQTASLGDVEGLKAALASGGNKDEEDSEGRTALHFACGYGEVKCAQVLVDAGANVNAVDKNKNTPLHYAAGYGRKECVSLLLENGAAVTLQNTDSKTPVDVAKLNNQLDVVKLLEKDAFL >A07p036080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19445142:19448640:-1 gene:A07p036080.1_BraROA transcript:A07p036080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTTWYDDEGLKKGEWTAEEDRMLVAYINEYGLGDWRAMPKRAGLQRCGKSCRLRWLNYLRPGIKRGKFTPQEEEDIIKFHSLLGNRWAAIAKEMTNRTDNDIKNHWNSCLKKRLVRSGIDPMTHEPVVNVKANSSSMTSSPTLTPSSSTTSSSFSSTSSARLLNRLAAGISSRKQGFDRIKNVILSEPRQAVKEDALMISSKEEEEEVNGCFMESDDNLISTTSLYELLSEPYDMYQSDFGLEVDDQFDLFLEIPSLGQDLS >A07p051230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27219384:27222413:-1 gene:A07p051230.1_BraROA transcript:A07p051230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQAPGTDDLNKVADNPSGDSMFDASQYAFFGNDVVEEVELGGLEEEDEILSLNGIGEGFSFGKEEVEDSRPLSDVDDLATTFSKLNRDPEVNRNTGPVIDRRPRQNSLAPDWTYREEIPDWYGQQLLDSDAIKDDKAWSGQPFSALDPKRTTQHPEPQRQLHQNHNQQQFPSDPILVPKSSFVSYPPPSSISPEQRLGHPNVVPYHSGGAQMGSPNFSQFSTVQPQLAGMHQFRPDLPLNNRPPAQWMNNALLQQPSHQNGLMPPQLQGSQNRLQHPMQPQLFNPHLSRSSSSGSYDGMLGFVDPREARPGSAQGNRQNMRFHQQGFDGGVQRRNSGWPPYRSKYMSAGELENILRAQLAATHSNDPYVDDYYHQACLAKNSAGGKLRHHFCPNHLRDLLHPRARTNNEPHAFLQVDALGRVPFSSIRRPRPLLEMDPPNSAKSGDSDNKATDKPLDEEPMLAARVCIEDGLNLLLDVDDIDRFLQFSQLHDGGNQLKQKRHALLESLAVSLQLFDPLAKNGQSRSQDDFIFQRIISLPKGRKLLVRYLQLIFPGSDLMRIVCMGIFRHLRSLFGTISPDPDIAKTTNKLANVVNSCIHKMELGPISACLAAVSCSSEQPPLRPLGTPVGDGASTVLKSTLDRASELLRGNNFNTAGMALWRASFNEFYNLLMRYCISKYDGIMQSLNSQLPPEFAGEISDAAAQAIVREMPIELLRSSFPHIDEQQKRLLMEFLKRSMLGSQKTEQPVLS >A09g512780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38420050:38420604:1 gene:A09g512780.1_BraROA transcript:A09g512780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLRRSILEDSNQTNQARSLRNYRAYTLFGRYVATKPKPSSVRPSARPARSLRSDRAVIPLGRYVSTELGQARSLRSDQAIVPLGRYVATELEPKLGLYVATERSDRARAKARSLRSDRAIIPLGRYVATERSSRSDRAGAQVRSLCSD >A07p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:249348:252417:1 gene:A07p001190.1_BraROA transcript:A07p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLDSMDSILFSLSRAFCTPFAVFVQIQGCVICLLLALGWLMAAYVRNREIKRIKNSMKAGNSLAFLYQDINELEHSRQAKLPRVSVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVLESTEDPAYHAVSRLLATHQIGVEKMHKDTKYVLFLDDDVSLHPGTIGALTSEMEKNPEIFIQTGYPLDLPSGTLGSYCIYEYHMPCSMGFATGGTTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLAALAGAHKRLITSPPVAVFPHPLATDLSFGRYWNYLRKQTFVLESYISKVNWIMNKALFAVHCYLSWGFVAPYVMSVIHITSALRIYIKGYSQLEDATFASSGMSLVILLAICTFIELLAMWNLTRREVTLCNLLSPEAPRLTLAPYNWGLIFIAMLVDNFLYPISAFRSHFSQSINWSGIRYHLRNGKVFKIERRNDMVPAKTDLGGKHLYGKKGATHKASFLSSLGRNLAHWRQPKKFDV >A09g506410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19045185:19047657:1 gene:A09g506410.1_BraROA transcript:A09g506410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQKETNWLMETLLGENGESQVGISKAAHQQHNIPTSLISKQSMHHSVVTTTALNSIKNKCMFVVFYKSRYNRTVVGVRDFSTHWKDLEWQSLKVQRDEAATIPRPEKVFLWEIELLTHSSNIFKSDDLKHKRQSKYMSSKMWAHTLSQGQEFRQSSIQFSMRLSFPTTYNEKMVQAMKETATTTATTSCRLFGVDLMVPAITKDPVEPIDSYKKKIKISKIFEDERVDHVQAKSRTKVCRFNLFDGYDELIDELERLFDIKGELHMHNQWEMFFIYDDGDMMILGDDLWPIFCNMAKEIFICSKEDVKIGISNNRFSKGDPTLTTTILPPDIVLQGARNRLNRSAVFVMNTTELIYCSLACMHALKKKKRK >A07p011250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8814476:8816805:-1 gene:A07p011250.1_BraROA transcript:A07p011250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALERGLSASKSFNFKRMFESSSTKHQQPQTIVIENGDSHLVESNTPESQNSDSLSESPVESIPPMISPLNRPGKRPDRQQADTEMLKDRFAKLLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQTKLQPMPQDRQARWKKEIDWLLSVTDHIVEFVPSQQMSKDGVCTEIMVTRQRGDLLMNIPALRKLDAMLIDTLDNFKGHNEFYYVSRDSEEGKQASNARSNDKWWLPPVKVPPGGLSEPARRMLYFQKDSVTQVQKAAMAINAQVLSEMAIPESYIDSLPKNGRASLGDSIYKSITEEWFDPEQFLSMLDMSTEHKVLDLKNKIEASVVIWKRKLHVRDSKSSWGSAVSLEKRELFEERAETILVLLKQKFPGLPQSSLDISKIQFNKDVGQAVLESYSRILESLAYTVMSRIEDVLYTDSLALKETLLAEETSDGGRTTETDSESAGSSNSGEETEKLDPRYSKTLLDFMGWSDNSSKTSDKPTKSPGLTPKKFSYLENLNGFRSPKARH >A10p039500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21854826:21861705:1 gene:A10p039500.1_BraROA transcript:A10p039500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQISSRFSVNNRAPCTQNLTACRSSRATFRSAFTGTANSASSLSSKNTSTREIWSWVKSKTVGNGRSYRRSQVKAEMFGQLTSGLEAAWTKLKGEEVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGMGVIRGVKPDQQLVKIVHDELVKLMGGEVSELQFSKSGPTVILLAGLQGVGKTTVCAKLACYLKKQGKSCMLIAGDVYRPAAIDQLVILGEQVSVPVYTAGTEVKPADIAKEGLKEAKKNNVDVVIMDTAGRLQIDKGMMDELKDVKKVLNPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPNRMAGRVLGMGDVLSFVEKAQEVMRDEDAEDLQKKIMSAKFDFNDFLKQTRAVAKMGSMTRVLGMIPGMGKVSPAQIREAEKSLVIMEAMIEAMTPEEREKPELLAESPERRKRVANDSGKTEQQVSQLVAQIFQMRVKMKNLMGAMEGGSIPALSSLEDAMKAQQKAPPGTARRKKRKADSRKKFVLPAILFVKANNAEVSETVRFQRFASADLSERFKKKKMKSLIPQIVQVIYLGSLSVFCVGAVTLAGSSLSSGAIVSFMTSLAFLIEPVQDLGKAYNELKQGEPAIERLFDLASLKSKVIERPEAIRLEKVAGEVELCNVSFKYGEEMLPVLDGLNLHIKAGETIALVGPSGGGKTTLIKLLLRLYEPSSGSIYIDKKDIKDIKLESLRQHVGLVSQDITLFTGTVAENIGYRDLTTGIDMKRVELAAKTANADEFIRNLPEGYNTGIGPRGSSLSGGQRQRLAIARALYQNSSILILDEATSALDSMSELLVRQALERVMQDHTELLQLHPPTAAGFSAT >A09p000090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39539:40226:1 gene:A09p000090.1_BraROA transcript:A09p000090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MALALLASPPPPLGGVRRSFGTKCSLFAAQRAKRNLMDLISDQDRGLRTQKDAVKRDAIVNAIEAMAVIGGSSVTTGDSLSATWRLLWTTEKEQLFIIEKAGLFGTRAGEVLQVIDVRKGTLNNVITFPPDGVFFVRSDIDISSPQRVNFKFTSAVLRGSNWEIPLPPFGQGWFENVYMDGDIRVAKDIRGDYLVVDRAPFNWKE >A09g504140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12950965:12951609:-1 gene:A09g504140.1_BraROA transcript:A09g504140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDFKNTKICWWRNSGGPGDESKAELKVDFTPSSHMCSSSTSHHLRNLVVMPSARVRRQSSLTKRRKILSKSPLFFFISCSFNLLMHESDMFVMGIMKQLILCFERHRISFLLVQLTHAEMHDKLKLNSLLMLSTFVALYSP >A08g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7625194:7626033:-1 gene:A08g504630.1_BraROA transcript:A08g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFNTLHRAWYCKLPRITLLLQCNPLSHLFSSFTEHSPVVFFFLLCLSEEHPQSGFSKGTLSISIDSSPSSEGVLMVSGGFSEGLGFGLSAFRRATSIFGICIWYVIGARRSVGAGGCRSMSISICRSIIGYPCRSMEVLARPAIRTLSELGGCGCFAVNSSDHVDRFGLSIDMHGLTLIDTSAIRRSSSGILLQNLLPAASPPSPLSRNHSQ >A09p057480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48472078:48475927:1 gene:A09p057480.1_BraROA transcript:A09p057480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHLSHHSRLLWRNLCFPRRIGSLCSRRNYSLLSPSLSRTTKCFCSSTCNLDASVSQFSKSQHLAPASEANAAISAAASSNGRVMLIDGTSIIYRAYYKLLARLNHGHLTHADGNADWVLTIFSALSLIIDVLKFLPSHVAVVFDHDGVAYGSTSNSSIGYHSSKGMNFRHTLYPAYKSNRPPTPDTIVQGLQYLKASIKAMSVKVIEVPGVEADDVIGTLAMRSIGAGYKVRVVSPDKDFFQILSPSLRLLRIAPRGSEMVSFGVEEFAKKFGNLKPAQFVDIIALAGDKSDNIPGIDGIGNVHAVELLSRFGTLENLLQSIDEIKEGKLKESIIAHADQAMLSKKLALLRTDLPDYIVPFETRDLAFKKPEDNGEKWRSLLVAIGAYAEGFSADPVMRKTLQLWESLDAKKC >A08p026290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16768713:16770880:1 gene:A08p026290.1_BraROA transcript:A08p026290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIADSLFAFTGPQQCLPRAPQVAHARLSPGVYAVRPIDLLLKGKTHRRRTFLVSAKKRVGCIKAVAVPAAPPSADSAEEREQLAESYGFKQIGQDLPDNVTLKDIMDTLPKEVFEIDDVKAWKSVLVSVTSYALGLFMIAKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHDTAWQPVPPEEFDSSPVLRKAIILGYGPIRPWLSIAHWVNWHFNLRKFRPSEVNRVKISLACVFAFMAVGWPLIIYKVGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPADEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHESIQENWGKYTNLATWNWRLMKTIMTVCHVYNKEENYIPFDRLAPEESQPITFLKKAMPDYAA >A09p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2845180:2846185:-1 gene:A09p005190.1_BraROA transcript:A09p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIATPIHAPVTSPASNLIISATTAVETQKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTISEILKNNGLATEKRVLTSTVGMKDENKGKIIEIVLAKSDKFDSLMTPAPEEEAVANMGEKPIETEAREGKKE >A07p043630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23845557:23847610:-1 gene:A07p043630.1_BraROA transcript:A07p043630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L-type lectin-domain containing receptor kinase V.2 [Source:Projected from Arabidopsis thaliana (AT1G70130) UniProtKB/Swiss-Prot;Acc:O04533] MSLPVEMFLMFLFFLFFFSMDTVSQGSDPTGGQFSFNGYLYTDGVADLNPDGLFKLITSKTQGGAGQVLYQFPQKFKNSQNHTVSSFSTTFVFAIMATRKTAAGCGLSFNISSTKGLNSSASNRSVSVEFHTAKTDGKDVNEVAINVSGLDTYRNNSAGYYKDDGSGFEDIEIASGAPIQVWVEYNNSAKQLDVTMHSIYTCKPKTPLLSLRKDLYPYLLEYLYVGFTSVGSPTSSHYILGWNFNSTGPVLPIAHSRLPKLPDEKDRSLSRKILAISLSISGFTLIIVLVFGVVFYLKRKKFLEVIEDWEVQFGPHRFTYKDLFIATKGFKNSELLGRGGFGKVFKGVLPLSSIPIAVKKISHDSKQGMREFLAEIATIGRLRHPDLVRLLGYCRRKGELYLVYDFMPKGSLDRFLYQQPDQGFVLLLWTQGTFGYISPELSRTGKSSTSSDVFAFGVFMLEITCGRKPIEPRGSPSEIVLTDWVLDRWDSGDILQVVDEKLGHKYLEEQVTLVLKLGLLCSHPVAATRPSMSSVMQFLDGVATLPHNLLELVNARKIDGGFDALGEAKESPGASSNTFSSVMTESFVSGGR >A05p020320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9581433:9585925:1 gene:A05p020320.1_BraROA transcript:A05p020320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLLHIEEMARRHRIRSRIRKSHFYTFRCLRPKTLDDQCPHVINGPGYTRIVHCNQPHLHLLTKLLRYRSNYVSTTRYNMLTFLPKCLYEQFHRVANFYFLVAAILSVFPLSPFNKWSMIAPLVFVVGLSMGKEALEDWRRFMQDVGVNSRKASVHKGNGEFGRRTWKKLRVGDVVRVEKDEFFPADLFLLSSSYEDGICYVETMNLDGETNLKVKRCLDVTLALEKDESFQSFSGTIKCEDPNPNLYTFVGNLECDGQVYPLDPNQILLRDSKLRNTSYIYGVVVFTGHDTKVMQNSTKSPSKRSSIEKTMDYIIYTLFGLLLFVSVISSLGFAVMTKLVMADWWYLRPDRPESLTNPRNPLYAWVVHLITALLLYGYLIPISLYVSIELVKVLQATFINHDLQMYDSESGTPAEARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTSYGVRASEVELAAAKQMEMDLEDDDITNLPMSKGRTQRYTKLASKTSSDFELETVITASDEKDQKKTSGIKGFSFEDKRLMDDNWVNEPNSDDVLMFFRILAVCHTAIPEVDEDTGKCTYEAESPDEVAFLVASREFGFEFTKRTQSSVFIAERFSPSGHPVDREYKVLNLLDFTSKRKRMSAIIRDEEGQILLLCKGADSIIFDRLSKKGKDYLGATSKHLNEYGEAGLRTLALGYRKLDEAEYAAWNSEFHKAKTSVGADRDELLEKVSDMMEKELILVGATAVEDKLQKGVPQCIDNLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQIAISFTNVEESSQNSEAAVKQNILMQITNASQMIKIEKDPHAAFALIIDGKTLTYALKDDVKYQFLALAVACASVICCRVSPKQKALVTRLAKEGTGKTTLAIGDGANDVGMIQEAHIGVGISGVEGMQAVMASDFSIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTGFSGQSIFNDSYLLLFNVVLTSLPVISLGVFEQDVPSDVCLQFPALYQQGPKNLFFDWYRILGWMGNGVYASIVIFTLNLGIFHVQSFRSDGQTADMNAMGTAMFTCIIWAVNVQIALTMSHFTWIQHVMIWGSIGAWYIFLALYGMLPPKLSGNIFHMLIEVLAPAPIFWLTNLLVIAATTLPYLFHISYQRSVNPLDHHIIQEIKHFRIDLEDERMWKREKSKAREKTKIGFTARVDAKIRQLRVKLHRKHSVLSVISGTSSNDTASNMI >A03g504130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14701745:14702740:-1 gene:A03g504130.1_BraROA transcript:A03g504130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIMEAADDHVDGGVLAAPSNFSMVEDGIYRSGFPKPENFGFLTTLNLRSIIYLCPEPYTEENLKFLEANNIKLFQFGIEGKTDPPTLMPKDTVLDALKVLVDVRNHPILIHCKAGKHRTGCLVGCLRKVQSWCLSSVLEEYQKNAGLKWRQRDLNFIEAFDTLSLRQCLLSIMYRYHGYGFKRKRLLHEEENVQTPKLQAAKV >A01p009600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4645837:4648739:-1 gene:A01p009600.1_BraROA transcript:A01p009600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELRGRKVQKIESPVPGCLGRMVNLFDLGTAVNGNKMLTDKPHRDGSSLSRSRSDVPRMPSPSYKGHSEPDLIMSDLRRTASSKASGTPMKKLIAREMSKEVEPKQSPTNVVAKLMGLETLPQTATQRSKSRGYSNSSMDDDVQKYQELSREYKDVYETWQSPQKVSSSRDSSPRKGRYDESATEKLVRQKFAEAKRLVTDDSLHQSKEFQEALEVLSSHKDLFVKLLQESNSFSQQTVPTHQSEAKRITVLRPSKAVETERFVVQGRKNKQVKKVASSSSGWGNRVNEERTVQQPTRIVVLKPSLGKTLDTKGVSSSPSTPRGVPNEGYFDDVDQSKEVAKEIMQQVRENLMCHHRRNETQCSSVLSNGYIGDDSSFNKSDNEDPVGNLSDSEIMSPWDCANRFESPFSPSSFSRASFSPESSVCREAKKRLSERWALMSVTRGSTQPHKHVPRSSSTLGEMLALSETKVTTGSYEDSDEIVPETRVSTSCINTHLNQVEMGGDSLNILARSKSVSDASKAQLPQEGTDTGSLKSSWKVSNLFSFKNKKTSKEKRDATPSSVTLPSEDCLPPDALQQQSIIPGEEELTTPRPLEAGSTNEKQDELSPVSVLFPPFEEDPECSTSSKLGEELSLKSNLIDKSPPIGSIARILSWDDDSKPAMGGVQEDEDWFLFIETILTAAGFSKGCTFSHDTVMPNSPLDPSLREKYTNLDNNNNIKEFVNEGKRRQHRSTRKLIFDCINSIVSETTTTRIGTDYSRPLDLVEHVWSQVKDWLSDEYVEDMDANSVAAESLVKEEIVGRRWMNSFQGEVDDLGIGIESALLRELIEEAVLDLTQ >A08p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20926426:20928538:-1 gene:A08p035490.1_BraROA transcript:A08p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVITPATRISPPLNRPFNHRSSLPSPSKNLLFLKNPTPSSTIVAVRCQKPFSDGGSSTNHASSVSSSIDFLTLCHRLKTTKRKGWINQGINGAESIADHMYRMSLMALIAGDLTGVDRERCIKMAIVHDIAEAIVGDITPSDGVPKEEKSRREKAALEEMCEVLGGGVRAEEITELWLEYENNASLEANLVKDFDKVEMILQALEYESEHGKVLDEFFISTAGKFQTEIGKSWAAEINTRRKRQLTNRQR >A02p009040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3754649:3755293:-1 gene:A02p009040.1_BraROA transcript:A02p009040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNRRKLSLCLPSKPAGLGSLHIGGVSPYTNDVSKNLASTPLVIDQTTGGYFIDVMSIEIAGNVVPLGKKRRGNAMICTLAPYTVLQGSIYKALVSEFVREAKMLRVANVKPFNACFSSKGMGVSAAVPVIDLVVKGGAKWRINRWNLLVKVKRDVVCLGFLDGGVTMKTTEMVIGGFQMEDHLVELDLEASKFSFSSSLLLKNTSCGQNRIV >A04p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7868173:7869526:-1 gene:A04p009150.1_BraROA transcript:A04p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic leucine zipper 24 [Source:Projected from Arabidopsis thaliana (AT3G51960) UniProtKB/TrEMBL;Acc:F4J5N9] MDGEEIEPWARASGGCSHTHSCNPPGPEDASHSHTCFHTHTHLIIPDSQENDHSDSSNKRRPCGNREAVRKYREKKKARTAYLEDEVKRLQSMNEFLLRKLQSQAIVEAEIIRLRTLLAEMQGTIDDELGGFSFQKQCNGSGFVFKEDGCNVATRNMICEVTRVECEEGKTLHEPIHSFVPHSPPFSRHRGYTPKQLTATQIAAYSTDVDFVGTKTYLIRCNLIWNDKDEEDCRCMYDDDCGLERSIGVHI >A09p002520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1696833:1701034:1 gene:A09p002520.1_BraROA transcript:A09p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLHLLSSPILHRFASRSITTLSSSLPRRRIIVRTNRPSFPFAILSRPVSSGKVLAMASSSSAASPKHTNRLAAEHSPYLLQHAHNPVDWYPWGEEAFEEARKRDVPIFLSIGYSTCHWCHVMEVESFESEEVAKLLNDSFVSIKVDREERPDVDKVYMSFVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPNDNYGRPGFKTLLKKVKDAWDTKRDVLVKSGTYAIEELSKALSATAGNDKLPDGLSRTAVTICAKQLSRSYDSKYGGFGSAPKFPRPVEIQLMLYHSKKLKEAGKTSEADEDQSMVLFSLQGMANGGMHDHIGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDGFIITKDVMYSYVARDVLDYLRRDMIAPEGGIYSAEDADSFEFEGAKRKKEGAFYIWTNDEIDEVLGENADLFKEHYYVKKPGNCDLSSMSDPHNEFAGKNVLIERNEPSAMASKFGLSVEKYQEILGECGRKLFDVRLKRPKPHLDDKIIVSWNGLVISALARASKILMAEPEGTKYCFPVVNSQPEEYIDVAEKAALFIRTNLYDEQSHRLQHSYRKGPSKAPAFLDDYAFLISGLLDLYENGGGINWLKWAIELQETQDELYLDREGGAYFNTEGQDPSVLLRVKEDHDGAEPSGNSVSAINLVRLASIVAGEKANSYLNTAQRLLAVFELRLKELSVAVPLMCCAADMISVPSRKQVVLVGSKSSAELNNMLCAAHSVYDPNKTVIHIDPWSSDEMEFWEEHNSNVAEMAKRNRDSDKVVALVCQHFTCTPPVSDSSSLTRLLSK >A04p015060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5853316:5853573:-1 gene:A04p015060.1_BraROA transcript:A04p015060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTVISSSTVRPKNIHKSGRTKIHLTPHDLDLLYLVYPQRGLLFPKPDPNSHVIPQLKAALSTALDIYFPFAGRLVKVVSTIT >A09p068660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53493456:53495656:-1 gene:A09p068660.1_BraROA transcript:A09p068660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLCSGGDSSPGPDEPGSSGTHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLVGQMLYFVLTTGSGQQTLGEEYCDIIQVAGPYGLSPTPARRALFILYQTAVPYIAERISSRAATQAFTFDEDDQSPRIVDLPSSASSVLTRFKDRVQRLWHRAIRRWPVVLPVAREVLQVLLRANLMLFYFEGLYYHISKRASGVRYVFIGKQLNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSITSSVQQASLGSYQTSGGRGLPVLNEEGNLITPEAEKGNWSPSDATSTTEAVGKCTLCLSSRQHPTATPCGHVFCWSCIMEWCNEKQECPLCRTPNTHSSLVCLYNSDF >SC184g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000053.1:10202:11807:1 gene:SC184g500010.1_BraROA transcript:SC184g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPRPYKAVLNALSLHHTSIFSLTPSQPSHDQSNNSTRLCALNNSASPLHGTASLEKLGHDQIIFTTLVRLINTSHTACPLHRTGLDLPLSTNFRAFLEKLGNDQMSSHSAIAPSPWHHQLLGKAEWIHQLLINHFTIEAALINSPSSLEPRLEGAKLVMIICIPMELGCLNHHRETHKTHFSLHNDPCYTSCSLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPSDDMQWHKTFVSTFLAVGELHIIPLGSNQDNSSCLITNTTAIYKP >A02p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2719835:2722034:1 gene:A02p006440.1_BraROA transcript:A02p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F transcription factor-like E2FD [Source:Projected from Arabidopsis thaliana (AT5G14960) UniProtKB/Swiss-Prot;Acc:Q9LFQ9] MESLGRQSYSRKEKSLGVLVSNFLRLYNRDDVDLIGLDDAAGVERRRIYDVVNILESIGLVARRGKNQYSWRGFGQVPPVLSLLKEEGMREKFGIIPCVTNSEMVLYDQVREEPLKLSPDDQENSPSPKLDSKKEKSLWVLAQNFVKLFLCSDDDLITLDGATKALLNVSQDPMNMRTKVRRLYDIANVFSSMNLIEKTHIPETKKPAYRWLGAKAIAEARFLTAPASLCDRIEPKKRAFGTEITNFTTKRAKTDCSIDRKHYESQNTCSVIKQEQCDSKSAVKRSLPPADTSKKTNAGKSNNQSIDVLENLSSAHTLQYCNHVNWSSRPLHRDMEDMACRVWSEMTSPGRYYNYPMGAKNADVFSLQQRKHLLADQQSLIL >A04p033350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19406952:19412141:-1 gene:A04p033350.1_BraROA transcript:A04p033350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRHDKEKGVNVQVLLRCRPFSDDELRSNAPQVLTCNDLQREVAVSQNIAGKHTDRVFTFDKVFGPSAKQKELYDQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRSKGGPSGGLPAEAGVIPRAVKQIFDTLEGQEAEYSVKVTFLELYNEEITDLLAPEDISRVASEDKQKKPLPLMEDGKGGVLVRGLEEEIVTSANEIFTLLERGSSKRRTAETFLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVISALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKHIRNKPEVNQKMMKSTLIKDLYGEIERLKAEVYASREKNGVYMPKERYYQEESERKAMADQIEQMGGRIENYQKKLEELEDKYTGQVRECSDLTSRLDNTEKNLSQTSKMLASTDEELKKSQYAMKEKDFIISEQKKSENVLVQQACVLQSNLEKATKENASLHQKLGREDKLSADNRKVVDNYQAELSEQISNLFSMVASCLSQQNAQLHGVNKLSQSRLEAHNKAILEMKKKVGASRDLYSSHLEAVQNVVRLHKANSDACLEEVSVLTTSSASSIDEFLASGEETTSSLFDELQNALTSHQREMALFARELRQKFHTTMEQTQEMSEYTSTFFQKLMQESKNAENRAAEANDNQINSIIDFQKTYEAQSKSNTEKLIADLTNLVSCHVRRQHELVDSRLSNFKDAVSSNKTFLDEHVSTVNTLAKDAKRKWETFAMQAENDAREGAAFSAAKHCQMELLLQQSVGHAESAFKHCKLTHESLKEMNSKQVADVSSLVKSACDNNEQHDGEVESARTAAEEDVTKNSDEIIQQIDGMSEDEKVFVSQILENVKTHEKSLESFQHDHCCQARCIEDKAQETFQQRYMEYEPTGTTPSKSEPDVPTKDTIESLRAMPVETLVEVFRENNSYESFAAKESKPQQLTRSPLSQVN >A09p009400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4853391:4854450:1 gene:A09p009400.1_BraROA transcript:A09p009400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMGGSEDRWKGSLENITEMASNLDSLQKLLLKKAVFVEEDTFSRASLVSEQARTIKVLEQRVQTLERELDAAITAAAHARSEKRQAESSQKAAESRAHEVTKELENTTKVFKLHMEELRGMQEQISKRDNEIKLLEAIIQTLGGKERLRKTGVNE >A10g503010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7964423:7964803:-1 gene:A10g503010.1_BraROA transcript:A10g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGNAQLMGTRNFPRRESALHSEVEALRWVMENMLQHSTCQSFGTNCKELIAMVKDPQAWPSFAMELERIETLQICFPDFKITHVPRTRNQTADFLAKTARSFHRELCFIGCSIPVWLPRPPQV >A09p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9486275:9487438:-1 gene:A09p018180.1_BraROA transcript:A09p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHVHPMFLLVLSLFFLPILLAQTAFITNCSPVFGGIRATGLETFVEQGRKSFNLTCSTGTVLTKGVIIAVVKRGYNLGPILNVQRYNLCDLITCPVAPGSFVITFRKLYPVGIKWHIDTKIVLNAGPGKIVNGVRVPADGSLCVDIDDYYFP >A10g501150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3276148:3282894:1 gene:A10g501150.1_BraROA transcript:A10g501150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLCTTSRSNSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLGAEVAPCFVSARTCDFSRAFWSFHYAPTRGRLSFIFDLLRNTQESQLDFDCYFFSILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHIGYELKNLHTKIDGSYNELNNKFSHLTSTVFEYHMEFLETFGCIWSSKGGDLSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRATFQSDVLKSLPKLRATIPERQGEVARVFITRRRENEPRATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGPFGHFIMHCFDIPQNWFDNLLYYNICLRSLENS >A07g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21904305:21906726:1 gene:A07g507930.1_BraROA transcript:A07g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGDRVLHDDAVSDCSYQTFDNDGDANSLVSVNLSDSLSKLVAHDSFVCACDRIYFENLGSTIREHRPCHFRLFAAIYPTPLPTPSFGATPSGSSFETDPSEGSYNQTPVHMPLSPDPYFMDIEVAVVHDSPVHGDHPAAPASPAAHIPPASAAPIPAAQPEPAPTDPAIIALLELMAEMRAVNVEEAIAAKRASSSHSTPPRRPSVLFHSQPDSAMQRGRRGRVFRGGRSGGPRPRTPTCFTCGQLGHVRRDCPNRGHYAISCPRTHLAQPVVSSARPVGLVNPPLPLPPAKRQATVGRAYAL >A02p003380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1401835:1407148:1 gene:A02p003380.1_BraROA transcript:A02p003380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXL4 [Source:Projected from Arabidopsis thaliana (AT5G09440) UniProtKB/TrEMBL;Acc:A0A178UJ96] MAYTYRLAALLVLISATVGFSSAVSVEHQLLKLNATLFKGNISLNISNSVQKNINLNIVWYGKFTPIQRTVIVDFIRSLNSAAAAAKDPSVASWWKTTEKYKGGASTIVLGKQLLLEDYPLGKSLKSPHLRPLSGKLKGGGVGSITAVLTAKDVTVEGFCMNRCGTHGSKSSTVDGGAYLWVGNSEEQCPGYCAWPFHQPLYGPQTPPLIAPNGDVGVDGMIINLATLLVNTVTNQEAVSTCTGMFGSGAYPGYPGRVLVDKTTGASYNALGLAGRKYLLPAMWDPQTSKCKTLGLISVRTLTAKDVTVEGFCMNRCGTHGSKSSSLDGGAYVWVGNSEEQCPGYCSWPFHQPLSGAQSPPLIAPNGDVGVDGMIINLATLLVNAVNGEQEPASFCVDRFGSGAYPGYPGRVLVDKTTGASYNALGLAGRKYLLPAMWDPQAAECRTLV >A05p043580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26268174:26269065:1 gene:A05p043580.1_BraROA transcript:A05p043580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWFGKTIHSFYTATNTFRKSFNFHFIFAHLKKRKMASNQQSYKAGETRGKTQEKTGQAMGAMRDKAEEGRDKTSQTAQTAQQKAHETAQAAKDKTSQASQTTQQKAQESAQAAKEKTSQAAQTTQQKAHETTQAAKDKTSQAAQTAQEKARETKDKTGSYMSETGEAIKQKAQNAAQYTKETAQEAAQYTKETAEAGRDKTGGFLSQTGEQVKQMAMGAADAVKHTFGMATEEEDREHYPGTTTTTTGTTRTTDPTHHTYQRK >A10p016200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3206237:3208094:1 gene:A10p016200.1_BraROA transcript:A10p016200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRLGPSGSVSNPNQKDPATSLPELQKRTKKTKLILFTLAVLVVAVVCLGIFAGIRAVGSDQHAPKLNRKPTREISQTCSKAQYPNLCIDTLLDFPGALTADEKQLIHISFNATLQSFSKALYSSSTISYAQMLPRVRSAYDSCLELLDDSVDALSRALSSIVAASSSDDSHSDVMTWLSSAMTNHDTCTEGFDKAGDGGGGVKDQVIGAVKDLSEMVSNCLAIFSGNVNDLSGVPVVNHRKLLGKEETEEFPYWLKRGDRELLGTPATEIQADITVSKDGSGTFKTIAEAIKKAPEHSSRRFVIYVKAGKYEEEILKVGRKKTNLMFIGDGKGKTVITGGKSIVDDLTTFHTATFAATGAGFIVRDITFENYAGPAKHQAVALRVGGDHAVVYRCSIIGYQDALYVHSNRQFFRECEIYGTVDFIFGNAAVILQSCNIYARKPMPQQKITITAQNRKDPNQNTGISIHACKLLATADLEASKGSYPTYLGRPWKLYSRVVYMMSDMGDHINPRGWLEWNGPFALDSLYYGEYMNRGPGSSTGQRVKWPGYHIITSPVEASKFTVGQFIGGSSWLPSTGVAFFSGLSQ >A06p041190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22193986:22195711:1 gene:A06p041190.1_BraROA transcript:A06p041190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGNSSDKQSQSLYPTVDMSNPEAPLNPSSSSSSTTNLYPSLDMDDLARDLFPEQPETTPVPVSAPPAATEEVILKISGAILHLIDKSYSVELACGDLTIIRIVQDGNVVTVLARVADEIQWPLTKDENSVKVDESHYFFTLRPTKDFGHDPSHEDDEEEENEMLNYGLTIASKGQEHLLEELERILEDYSCFTVQQVSEEAKETGEEVLDVTVARETSPVELTGERKEIVEKQCAAYWTTLAPNVEDYSGKTAKLIASGSGHLIKGILWCGDVTMDRLKWGNDFMKRRLSKAEKERDVHPDTLKRIKRVKKMTKMTENVANGILSGVIKVSGFFTSSVANTKVGKKFFSLLPGEIVLATLDGFNKVCDAFEVAGRNVMSTSSTVATELVDHRHGGKAAEATNEGLEAVGHAFGTAWAAFKIRKAINPKSVLKPSTLAKSAIKSAASQKKA >A09g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:727986:729799:1 gene:A09g500090.1_BraROA transcript:A09g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPSDKLVQEQEVGTDEVVDPAKTTDEEDEARTEEEEVRTEEADPEKTTEEEDEVRTEEDKARTEEEEVRTEDVDPAKTTEEDDEVRTEDDEARTQEVDPAKTTEKEDEVKTEEDEVRTEEEDEPKEEAIESLLESARNLNIEDEETNQGAENQNRQEDPITTHRSDQTMDRGSTSLASDQNRPLDSDPNTSPISLSVQDQGTENQNPQEQSMERSKDGTWLNIFCKASEQNRPLVLPNPPSQHMMMPPRLGPSVPPYQQNPYGLPQPRWLVVDHFYSDGLGLYGAQWRFRTITPFLPNQNTYPHQLVPMELPGPTRSPPGSSSDEAAAAEPVSKSTSNEADAAKDDFVVHLGQVPVRPMMYYQEQNQIVPNAGIQAPARPSLPQVRAPMMLPPVLLYPPPIVNAVPVRPMMNQGGGQRFRFPMIQQHHGSPSAPWPEQNQQLQSPRESQGSNDGPFSSGGSQD >A05p002430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:824611:825033:1 gene:A05p002430.1_BraROA transcript:A05p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SDH4 [Source:Projected from Arabidopsis thaliana (AT2G46505) UniProtKB/TrEMBL;Acc:A0A178VQB2] MSLRRSILGLHRQTHNLSLSKPSPFSITNFSSPSAAVRNPLGREISSIPFSLTRRLKPDSVNLVGDRSLGQLQLSRLPASRGYSNASLVRKIPVLFHINAGMEEVLADYVHQELTRNLMVISLGLFQIIVIKDVVVFLFF >A10p020770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14070329:14071113:1 gene:A10p020770.1_BraROA transcript:A10p020770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDRRERERDRDRERDRDRRRDRDDRDRDRGIRSKKSRSRTPDHHHHHARPPRHVRSPERYRSRSRSADRDRHRHHSRRRTPSPDPPPRKRPRHGSAEDDKERSPCQCCLKHEVR >A03p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15224663:15227077:1 gene:A03p036330.1_BraROA transcript:A03p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGVEPSAAVRESNGNVTADVDRLPEEMNHMKIQDDKEMEATIVNGNVTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPIVYVKLYTYQIFRSLAYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDVWSTGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCSALDALVHPFFDELRDPNARLPNGRFLPPLFNFKPHAELKGVPVEMVARLVPEHARKQCPWLGL >A02g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9110713:9113247:-1 gene:A02g502740.1_BraROA transcript:A02g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKAQTIFTPNDHKTKATGKLPKNNRVSWRGNSGLKDGLPDVVGGLVGGYYDGGSNTKFHFPMAFSMTMLSWSLIEYSQKFKAINEYDHMRDVLKWGTDYLLLTFNNSATRLDHIYAQVGGGLRDSESPDDIYCWQRPEDMSYDRPVISLTTATDLGAEVASALAAASIVFNDKPTYAKKLKKGAETLWPFFRNKNRRKRYSDGQPMIQAFYNSTSMFDELMWAGAWLYYATGNQTYIQFATNPSVPKTAKAFANQPELMVPSWNNKLPGAMLLMTRYRLFLNPGFPYENMLSRYHNATGVTMCAYLKQYNVFNRTSGGLIQLNMGKPRPLEYVAHASFLASLFADYLNSTGVPGWYCGPTFVSTQVLKDFAKSQIDYILGDNPLKMSYIVGFGKKFPRHVYHRGATIPNDKKRRSCREGLKYRDTKNPNPNNITGAMVGGPNKSDQFHDIRSNYNASEPTMSGNAGIVAALISLTSSGGYQIDKNTMFNGVPPLYPPAPPPPKAWKP >A04p020630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12622062:12622776:-1 gene:A04p020630.1_BraROA transcript:A04p020630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGTKPGYEPDRIPPSVFATTMTSKQEWSTQSNDLFSIHMGDQSFTKMYKSGELSNFDYTATYINDNNNIDNKTNLTDAGTKEVNILEAETGPEAANRDGLTNVSKPDQPRQRQLSPTKSYRSDTSNNSAASFAFPILPESQQDPKTALQVKDESGETDISRPEPKPSSYSDDPKPGDGGGWLSCFHVSQ >A02p050250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31038349:31040295:-1 gene:A02p050250.1_BraROA transcript:A02p050250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGFIQQILRRKLHSQSLATPVLSLFSSKKVNEDAASSGVRALALLGAGVTGLLSFSTVASADEAEHGLACPDYPWPHDGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEEAKAMAAEIEVVDGPNDEGEMFTRPGKLSDRLPQPYANESAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGISIREGLHYNPYFPGGAIAMPKMLNDEAVEYEDGVPATEAQMGKDVVSFLSWAAEPEMEERKLVDGIQVDIPTIPCSAPSSLLQATEMVRSQVPQAGPRRGELKHRLVSFCSGYAQLLFSATYLHFFMCHKNLRDGKVARPQLLFLLSISFMRIITKIKNVCLIIKHELGIIIIHFVLFLISDRYATVYSIKNVIRARFHGLR >A10p016720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2867414:2868145:-1 gene:A10p016720.1_BraROA transcript:A10p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSTSSLCSKPEQIMQNPPPIISSPRFLQPQTRSLPHHEQHQHLSNPYPTTFVQADTSTFKQVVQMLTGSSSTDTNTGKHHEAPSPVNNNKGGFSIPPIKKTNSFKLYERRQNNNNNMFAKNDLMINTLRLQNSQRLMFSSGNNVHQSPRFSPRNSSSENVLLSPSMLDFPKLGLNSPVTPLRSNNDPFNKSSPLSLGSSSEEDKAIAEKGYFLHPSPVSTPRDSQPLLLPLFPVTSPRNP >A01p052740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29565431:29568039:1 gene:A01p052740.1_BraROA transcript:A01p052740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPGSAGQHCEFTAASYFSWPTSSRLSNAAEERANYFSNLQKEEDEEEEVSPEPASTEPKGQRATTLLELMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAIIVFVSRKVHKQWLSPLQCLPTALEGAGGIWCDVDVVEFSYFGEPDHQPTPKQTFTTDIVDHLQGSDPFIGSGSQVASQETCGTLGAIVRSQTGGRQVGFVTNRHVAVNLDYPSQKMFHPLPPALGPGVYLGAVERATSFITDDLWFGIFAGTNPETFVRADGAFIPFADDYDLSRVTTSVKGGVGEIGEVKAIELQSPVGSLVGKQVVKVGRSSGLTTGTVLAYALEYNDERGVCFLTDFLVVGENHRSPFDLEGDSGSLIVMKGEEENARPIGIIWGGTGSRGRLKLKVGESPESWTTGVDLGRLLTHLQLDLITTDEGLKAAVQEQRAASTTGMSSMVADSSPPYVNLKKEKRSPEEKVEASLGPLQVQHIDLEERIETKGGPPSVEHQFMPSFSGQCSVSAWPETAREDLNAGLANGGCDGDLCVGLRLGEGDNGTKRRRTEMTKDRMRPAE >A04p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3738336:3740349:-1 gene:A04p007000.1_BraROA transcript:A04p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol:ceramide inositolphosphotransferase 1 [Source:Projected from Arabidopsis thaliana (AT3G54020) UniProtKB/Swiss-Prot;Acc:Q9M325] MTLYIRREASKLWKRVCSEIATEIGLLADNWKYLLAGLLCQYIHGLAARGVHYIHRPGPTLQDLGYILLPELGQDKSYISETVFTCVFLSFVLWTFHPFIIKSKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSELARLPRPHSVLEVLLLNFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGSKSFIKMLGWIIAILQSLLIIASRKHYTVDVVVAWYTVNLVVFFLDKKLPELPDRTTVLLPVSSKDRTKEENHKLLNGTGVDPADRRPRAQVNGNVGHTDNATNGA >A01g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7657228:7660011:1 gene:A01g502180.1_BraROA transcript:A01g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLYTIGSLLILLLSHDGGFTGAADAEHTFRATDIHTHRHRRNHGHRRGEEFEYSALSCRAYSASLDEFGAVGDGVTSNTAAFRDAVSQLSHFADYGGSLLFVPAGRWLTGSFNLTSHFTLFLHRDAVLLASQEESDYEVIEPLPSYGRGRDTDGGRFISLLFGSNLTDVVITGENGTIDGQGEPWWGKFKRGELKYTRPYLIEIMHSDGIQISNLTFLNSPSWHIHPVYSSNIVIQDLTILAPVTVPNTDGINPDSSTNTRIEDCYIVSGDDCIAVKSGWDQYGINYGMPTKQLLIRRLTCISPDSAVIALGSEMSGGIEDVRAEDIIAINSESGIRIKTAIGRGGYVKDVYVRGITMQTMKYVFWMTGSYGSHPDEHYDPNALPVIQNINYQDMVAENVTMPAQLAGITGDQFTGICISNVTITLSKKPKKVLWNCTDVSGYTSGVTPEPCQLLPEKQPGTVVPCNFPESSIPIDEVKLQRCYSRRRFM >A02p044170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27825721:27826890:1 gene:A02p044170.1_BraROA transcript:A02p044170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVLLIDSGSIKIEPIKRDKQTVNRCSGGRKKVVLSGFMYRSGDIYEKTEVVRQELQEGSPKKYKPLAGASHAKGIVLELIGMEAKQPCSGICKCARVQLVKNGKKVAAFVPNDGRGLISGFGPKSHAVGDIPGVRYKAVKVSGVLLSALYKDKKEKP >A07p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17203903:17206724:-1 gene:A07p031040.1_BraROA transcript:A07p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVAAQLKRGISRQFSTGSMRRTLSRQFTRQSSLDPRRNNMRFSFGRQSSLDPIRRSPESLGCEPKMSVPENLDSTMQLLFMASKGDVDGVEELLDEGIDVNSIDLDGRTALHIASCEGHYDVVKVLLSRRANIDARDRWGSTAAVDAKYYGNTEVYNLLKARGAKAPKTRKTPMTVGNPKEVPEYELNPLELQVRKVDGISKGTYQVAKWNETRVSVKIFDKDSYSDPERVNAFNHELTLLAKARHPNIVQFVGAVTQNLPMMIVVEHNPKGDLSGYLQKKGRLSPSKALRFALDIARGMNYLHECKPDPFIHCDLRPKNILLDRGGQLKICGFGLVKLSKISEDNFKVVKHEAHIDKSNYYVAPEIYKNNIFDKRVDVHSFGVILYEMTEGVSIFHPKPPEEIAELICMEGRRPTIKTKSKSYPPELKELIEECWHPDISVRPTFIEIICRLDIIVLNCSKQGWWKDTFKFPWK >A02p008560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3525961:3528712:-1 gene:A02p008560.1_BraROA transcript:A02p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFKICEKETKTKAFSKEGLCQQPKTDPKEKAKSETRDWLNNVVNELESQIDSFEAELEGLSVKKGNTKPPRLHPQPSHPPSPIPANGARFSATSAAEIAKRNIMGVESNVQPLTSPLSKIVLPPTAKGNDGTTSDINPSEVAASIGRAFSPSVVSGSQWRPGSVLSEHAFSIRRKREAVFFTTAKSFLTAAPDYSTSIAASKANTNEDDPKGLFDTSSGMPSYMLDTVQEPLVTLTALGPMHDQMHNLQMLEAAYYRLPQPKDSERPRPYTPPKIAADEYEQGAYVYFDFQTPKDESQEGGWCQRIKSEFTFEYCYLEVL >A03p063950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27816624:27817586:-1 gene:A03p063950.1_BraROA transcript:A03p063950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVSFSQSTKVLLLIVPSSDRKAKKIATTMVSPQIHPTTANLIAFFTNGLCYFSGEKAATPIASPIPVAMYPTLSVVTLAIGLVITAFFFIYEATSSRKNRSLGKELATAAVASVFLGFGSLFLLLASGVYV >A04p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7954076:7960349:1 gene:A04p008930.1_BraROA transcript:A04p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLRHAAIARTCSLLRARLLAPASGFHTRFSNGVYHSDDKISSSHGARPASIDMITRMDNSHPTSILRFGMRNFSSTGPTPQTVLTMPALSPTMSHGNIAKWLKKEGDKVEVGDVLCEIETDKATVELESQEEGFLAKIVVTEGSKDIPVNAPIAIMVEEEDDIQNVSAVEGGQVGKEETSAPQEMKSEESTQQRDSIQPDASDLPPHVVLEMPALSPTMNQGNIAKWWKKEGDKIEVGDVIGEIETDKATLEFESLEEGYLAKILIPEGSKDVAVGKPIALIVEDAESIEAIKSSSAGGSEAVTEKQAPQSVADKSGEKKAGFTKISPAAKLLILEHGLEASSIEASGPYGTLLKSDVVAAIASGKTSKKSVSTEKKQPSKENISKSSSVSRPESKSSLTPSNDYEDFPNSQIRKIIAKRLLESKQKIPHLYLSSDVVLDPLLAFRKELQENHGVKVSVNDIVIKAVAVALRNVRQANAFWDAEKGEIVLCEDVDISIAVATEKGLMTPIIRNADQKSISAISSEVKELAQKARSGKLAPHEFQGGTFSISNLGMYPVDQFCAIINPPQAGILAVGRGNKVVEAILGIDGTEKPSVVTKMNVTLSADHRIFDGQVGASFLSELRSNFEDVRRLLL >A08p020950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14124324:14129772:1 gene:A08p020950.1_BraROA transcript:A08p020950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGVWVLENINSGKWQPVLNWKSIRNIGHRPGINSTKIEKLDGFLLQHIGPKAVSVGEDFIVKQLASGNITMQPVAMDGLIILCIEASFKGREHKVAAWKEALKTASNILGHVLPEERPECEFVEKIATETFKILNDLSPCEITGFPGIEHRSKELEELLMFDNANCTRTIGVLGMTGIGKTTVAASVYKRNYRRFDGYCFVEDIENESIRRGLPHLRQKLLSKLLDEENVDVRAHGRLKEFLRNKKVFIVLDNVTEENQIEVLIGQRELYRKGSRIVITTRDKKLLENNANATYVVPRLNDREAMELFCLEAFSENLHSTEEFMDLAENFVYYAKGHPLALKLLGSGLRHKEKTYWMQKWERLRVVPDKEIQKVLKVSYDTLDDEQKSMFLDIACFFRSEKADFVSSILKSDRVDAAAVMRDLEDKCFLTVSYNRLEMHDLLHTMAKEIGYEASVKREGKRTRLWNNKDIRRVLEQSTGYPYEYLPSEFNPEELVDLSLRHSYIKQLWEDEKVSQNTEKLRWVDLSQSQGLLNLSGLSRAKNLERLDLEGCKSLVLLGSSIKQMNKLIYLNLRECTSLESLPEGINLKSLKTLILSGCSNLQEFQIISENIESLYLDGSTIERVVDRIESLRNLILLNLRNCCRLKCLPNDLYKLKSLQELILSGCSALESLPPIKEEMGCLEILLMDGTSIKHTPETILLSNLKVFSFCGSSIEDSTELALLPFSGNSCLSDLYLTNCNIYKLPDNFSSLHSLRCLCLSRNNIETLPESIEKLHCLLFLDLKHCRRLNSLPVLPYNLQYLDAHGCVSLEKVTKPVTVPLVTERMHTTFIFTDCFKLNRAEQEAIVAQAQLKSQLLARTSLQHNHKGLVLDPLVAVCFPGSDVPSWFCHQSMGSSIETDLLPHWCNNKFIGASLGVVVTFKDHEGRHANRLSIRCKCRFQNRNGQSISFSFSLGGWNESCGSSCHEPRKLGSDHVFISYNNCNVPVFQWNEESNDGNRCRPTSASFEFYLTDGTERKLERCKVTRCGMSLLYAPDENDRGFQGTRVTDTVERTLSEAYVPVRGRSHSQIGERRNGRMRDEIPL >A02g511330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30131901:30132795:-1 gene:A02g511330.1_BraROA transcript:A02g511330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCIFLKHVRDIGPSIFSIWQSTWRSKGFAFVAFSSKEEALVDWLLVILNTTRSVKQNQPFLHIKHPNTILGQSAVTVLGLGFIDDGIVVIGQE >A03p052340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20116590:20122746:1 gene:A03p052340.1_BraROA transcript:A03p052340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPQIAGDENGTVGDEEVRSQWAAIERLPTFGRITTALFWNRDEQGRRNERRVMDVSKLEDLDRHLFIDELIRHVEDDNLRLLQKIKNRIDEVGLELPTIEVRFSDLFVEAECEVVYGKPIPTLWNAIASRISRVMCLKKEKNISILNGVSGIVRPKRMTLLLGPPSCGKTTLLLALAGRLDHSLKTTGDVSYNGHLLSEFVPEKTSNYVSQNDLHIPDITVRETLDFSGCFQGTGSRLETMKEISRREKLKGIVPDPDIDAYMKAASIEGSKTNLQTDYILKILGLSLCADTRVGDTSRPGISGGQKRRLTTGETIVGPIKTLFMDEISNGLDSSTTFQIVSCLRHYALLSEGTIMVSLLQPAPETFELFDDVILMGEGKIIYIGPRDHICRFFEDCGFKCPTRKSVPEFLQEVISRKDQEQYWCHIDKAYSYVSIDSFIERFKKSELGLQQQQELSKTPDKSQAQKDALCSRKYSLRNWEMLKACSRREFLLMKRNSFVYVFKSGLLICIGSITMTVYLRTGSKRDLVHANYLMGSLFFSIFKMLADGLPELTLTISRLSVFYKQKELYFYPAWAYAVPSAILKIPISFLEAFLWTSLTYYVIGYSPDIGRFFRQFLIFFALHLSCISMFRAIAATFRDFVLATTVGTVSVVLLSLFGGFVLRKPSMPAWLQWGFWLSPLSYAEIGLTTNEFFSTRWSQATSGNRTLGEEVLDARGLNFGDQSYWSVFGALIGFAFFFNIVFVLALTFLKTSNRSRAIVSGDDNTQSSGNHTKSSSKVASQSKNALPFKPLTFTFQDVCYFVQTPQGKKVQLLSNVTGAFKPGVLTALMGVSGAGKTTLMDVLSGRKSHGDIEGEIQVGGYRKVQETFARVSGYCEQFDIHSPNLTIEESLEYSAWLRLPSSINSETKRAIVREVLETIELEEIKDSLVGLPGVSGLTTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNITETGRTVVCTIHQPGTDIFEAFDELVLMKNGGRIIYHGPLGQHSSNVIEYFMRIPGVPKMKENTNPATWLLDITSRSSEDKLGVDLAQIYKESSLFKENNIVIEQMRGTSSGTEELTSSTRYAQTGWGQFKACLWKQHLSYWRNPSYNLTRILFMCLTSLICGVLFWQKAKKINTQQDLFNVLGSMYTVVLFTGMNNCSTVLFCIATERNVFYRERFAQMYNSWAYSLAQVLVEIPYSLVQSILCVVILYPMVGYYFSVYKVFWSFYAVFCTLLIYNYFGMLLVVITPNIHVAFTLRSGFYSMVNLFAGYVIPKPSIPKWWIWMYYLSPTSWVLNGLLTSQYGDMEKEIVAFGEKKKVSDFLEDYFGFRHDSLVLVAIVLIAFPILLASLFAFFIGKLNFQKK >A09p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21495689:21500857:1 gene:A09p036190.1_BraROA transcript:A09p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNTKDKIVVCNNAGKTTSAATAPMANAYANTTVLEKIKNLAFGSLPNKRNLNALRFYQNTFSEGKTRSPKTAEKTLATELKTTLHSLRSDRPQKGPPLGSHLNPHRNAFHFVSIGVSVEILRRKQVGLVLARFPSLRSDLLDLHSLHSDRSSFVFSFESRSKRFSFRLNRSFRRDFTTNTSKTRLNSFAISYSPLPPAFCPNATDTYLKPATDELEYGNRTTDKPSTIATQRTQRPRMHTARSLRSDQACTLLGRYVATKHQPSSSQAQSLRSDLVSVPLGRYIATECPSRSVAAYRPSVHHARSLCRDRALSPLGRYVATGLKLKLGRYVVTALFQNVDTTPVHALSSNLRCYLPKIVANSVHVFRYSKPSIKLCGLKPRKVRSLSKEVAVKPSSRKMAQRDLKHDSRPTI >A05p048310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28314622:28316622:-1 gene:A05p048310.1_BraROA transcript:A05p048310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGVRPLPRIYARPRSTPLSTNKPTSFKFRQSPSSPRFRLNSRNWALNVTTPLTVDSSSSPPIEEEPKTQRFDPGAPPPFNLADIRAAIPKHCWVKNPWKSMSYVVRDVAIVFALAAGAAYLNNWLVWPLYWIAQGTMFWALFVLGHDCGHGSFSNDPRLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPMSEKIYKSLDKPTRFFRFTLPLVMLAYPFYLWARSPGKKGSHYHPDSDLFLPKERNDVLTSTACWTAMAALLVCLNFVMGPMQILKLYVIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLGILAKSIKEDHFVSDEGDVVYYEADPNLYGQIKVTAE >A07p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24841275:24845816:-1 gene:A07p045830.1_BraROA transcript:A07p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-T [Source:Projected from Arabidopsis thaliana (AT1G72560) UniProtKB/Swiss-Prot;Acc:Q7PC79] MDDLENAILICFESGPVEASLKPQAAAYCQQAKEAPDICRICIQKLWFCSLVQVQFWCLQTLQDVLRVSYGSMTLDERSFVRSSVFSMACLEGVDSNENVVRVVEGPVFVKNKLAQVLVTLIYFEYPLVWSSVFVDFMGHLSKGGVVIDMFCRVLNGLDDELISLDYPRSPEEIAVAARVKDAMRQQCVPQIARAWYDIVSAYRNSDPELSATVLDCMRRFVSWIDINLVANDAFVPLLFELILSEGLADQVRGAAAGCVLAMVAKRMEPHSKLPLLKTLQISRVFGLLSEDADSELVSKVSALVTGYAVEVLECHKRLNSEETKAVSMDLLNEVLPSVFYVMRNCEVDSTFSIVQFLMGYVSTLKGLPALKEKQLLHITQILEVIRIQICYDPMYRNNLDALDKIGLEEEDRMSEFRKDLFVLLRTVGRVAPEVTQHFIRNALANAVESSSERNVEEVEAALSLLYSLGESMTEEAMKSGSGCLSVLIPMLLTTKFPGHSHRLVALVYLENITRYMKFIQENSQYIPNVLGAFLDERGLHHQNVHVSRRAYYLFMRVVKLLKSKLVPFIDKILQNLQDTLSQLTSMNFASRQLSGTEDGSHIFEAIGLIIGLEDVPAEKQSDYLSLLLTPLCQQIEKGLMEAKVANGEEFPVKIANIQFAIVAINALSKGFSERLVTVSRPRIGLMFKQTLDMLLRILVEFPKVEPLRSKVISLIHRMVETLGPSVLPYLPKALEQLLADSEQPKEMVGFLVLLNQLICKFSGSLRGILEEVFPVVADRIFKVIPIDGLTPCTGTVTDEEMRELIELQRTLFTFLHVMATHDLSSVFLVKSMTYIEPMTLLLLNASCTHKDITVRKACVQIFIRLIEDWCPKPYTVEKVPGFQDFMSNSFATNCCLLSVLDTSFDFNDANTQGLLSEIITVQRVMYERFGNAFLMHLMSGAFPSANCPQEMAEQYCQKLQASTKRESCFQIAFEIKVSKVNSSASSTTVNSKRCKYLLSMRYLRGNKLYLYERNMLLILSPEVVYSVPPMQCKFFQCNTPDV >A01p002920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1337702:1339032:-1 gene:A01p002920.1_BraROA transcript:A01p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYVLNGSEALIESIKRAEEKAKKELRKKEKKREKKDKKRERGEGESEKHSRKRRRKEEGDKDGKNNKKVPKLKESENGCLEKSVVTVERELLQSTSQNSCDSTLNSNELPKQQKEKKQPHNNNNDSESIIRIRLPIRRQNGDPEVMMMTTTNKDQQKKPCPSLEIKLDTVMAVSREQPQQHPCSTSKAHEEKIKDQIVRTKVGKERKLSSTTSSGLCRLCPPSMAVQFLNVIENWVPNRVELTNSEDDECWWFVKKPSCHKFDATERCKQLNRNNEMKQAISSSMAWPCARLLPEADVHALPYTVPF >A03p044850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18726303:18728271:-1 gene:A03p044850.1_BraROA transcript:A03p044850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSTSAYISSLPETKPLFKSSLASSQSSCWLCSSPAKRIPKLRTRDGSSHGLRIQALLHNETPSEGGDNLGKSSEFNFFPGDIFSLSQEKLESVIDVETSLAHPQGAGGNRAGLFRTPISGGVQNATSAHALPRPALAVRNLLEQARFAHLCTMMSKMHHRREGYPFGSLVDFAPDRLGHPIFLLSPLAIHTRNLLAEPRCSLVVQMPGWSCLSNARVTLFGDVYPLSDDEQEWAHKQYIAKHQHGTSEQCGNFRYFRMHNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLRELLSSETEVDDAALISIDSKGIDVRVRQGAQFNIQRLPFEEGHGVETLEEAKAALWKVIEKVKLNYLPK >A01p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19797260:19798496:-1 gene:A01p026010.1_BraROA transcript:A01p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVREKKIKTNGIWLNVADKGDEGEPLVLLLHGFPETWFSWRHQIDFLSSHGYHVVAPDLRGYADSDSPPTHESYTVSHLVADVIGLLDHYGTAQAFVAGHDWGAIIGWSLCLFRPDRVKGFVSLSVPYSPRDPNLKPSDLFKSFGDGLYITQFQEPGRAEAAFAKHDCLTVMKKFLLTTRSDFWVAPPNTEIIDDLEVPSTLPDWITEEEIQVYADKFQKSGFTGPLNYYRAMDLNWEILAPWQGYKIVIPTKFIAGDKDIGNEGANGTIKYVKGEMFKSIVPNLEVVVIEDGHHFIQQEKSERVSQEILSFFNKLRNITE >A09p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1688354:1690187:1 gene:A09p002490.1_BraROA transcript:A09p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIRKLEGKVALITGGASGIGKATAGKFISHGAKVVIADIQPQLGKKTEQELGPNAAFCLCDVTKESDIANAVDFAVSLHTKLDIMYNNAGIPCKTAPSIVDLDLNVFDKVIYTNVRGVIAGIKHAARVMIPRNSGSIICAGSVTGMMGGLSQHTYSVSKSAVIGIVRTTASELCRHRIRVNCISPFAITTSFVMDEMRQMYPSLDDSRLVQIVHGTGVLNGEVCEPVDVANAAVYLASDDSKYVNGHNLVVDGGFTTVKSLDFPAPDQV >A09p069280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54016470:54017973:1 gene:A09p069280.1_BraROA transcript:A09p069280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCHRNNVDPLILHRIPSASSSSTSLNSFSRSTFRRMILDAISCGGSSRHQRELQQDEEDKTTIGGDELATKSEKLCDLLNLTKKKEETLEILKRVAKDLQAEGEKKVMAASEVRLLAKDDAEARVTLAMLGAIPPLVSMIDDSQNEDALIAYLYALLNLAIGNDANKEAIVRGGAVHKMLKLIESSKPPPNQAISEAIVANFLGLSALDSNKPIIGSSGAIIFLVRALKTSSSQAREDALRALYNLSIHHENISFILETDLVPFLLNALGDMEVSERILSVLTNVVSVSEGRRAVGEAVEAFPILVDVLNWNDSEKCQEKAVYILMLMAHKGYGDRRAMIEAGIESSLLELTLVGSPLVQRRASRILESLRAVDKGKQVSAPIYGITCSSSSLSRERNREVRMSDERRAVQQLVQQSLQSNMKRIVKRANFPQDFVTTSQHFTKSLTF >A02p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31716721:31719178:1 gene:A02p051880.1_BraROA transcript:A02p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSFLSSAAFFTILLLLHHGFCHVSDGAQHATYIVHMAQSQMPLGFDLHSLWYDSSLRSVSQSAQLLYTYANAIHGFSTRLTPEEADSLMTLPGVISVLPEHRYELHTTRTPLFLGLDVHNADLPETGSSSDVVVGVLDTGVWPESKSFSDVGLGPVTSTWRGGCEAGTNFTASLCNRKLIGGRFFARGYEATMGPVDEDDDGHGTHTSSTAAGSVVEGASLLGFASGAARGMARRARVAVYKVCWEGGCFSFDILAAIDKAVDDIVDRQRRDRSICGMERGILVSCSAGNSGPSSSTLSNVAPWITTVGVGTIDRDFPAVAVLGKGKNYSGVSLFKGDALPDKTLPFVYAGNATYAANGNLCGPGTLIPEKVKAKIVMCDRRVNARVQKGEVVKAAGGLGMILANTAENGEELVADAHLLPATAVGEKAGDIIRHYVLTDPNPTASVLIRGTVVNVQPCSVVAAFSSRGPNSITPDIIKPDLIAPGVNILAGWTGSKGPTGLAYDARRVEFNIISGTSMSCPHVSGLAALLNSVHPEWIPAAIISALMTTAYKTYNDGKPILDVTTGKPSTPFEHGVGHVLPTTAINPGLIYDLTTVDYFGFLCALNYTPSQITSVSRRNYTCDPSKSYSVAHLNYPSFAVNVDGSSVFKYTRTVTSVGGAGSYSVKVNSETTDVKISVEPAVLNFKEINEKKSHSVTFTVNSSKASRSNSFGSIEWSDGKHVVASPVAISWTYALLCNSSLFQSKNGMQCVLGLYLFSLCYLKKVNILRPQG >A09p082340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59653407:59654311:1 gene:A09p082340.1_BraROA transcript:A09p082340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYKMKSLLKGLRYISHIFENEKEPEMQIGTPTDVKHVAHIGWYGGSVNQNSPSWMNDFKASGGGYASTPLGNYIVEDASEDSTRSRDIPRHPRSSRDGFNNVDSPIKGRSRRGSLNSNGNPKTSRRSKETCDNPQDGSRKSRRRKTRNCVSVNDGSSRSSRRTCGSQTESILMSDAESMISISSGV >A01p036690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16271654:16277727:-1 gene:A01p036690.1_BraROA transcript:A01p036690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNPESGNIWEHCDSILVGELVRNATTVAGFCWGFDRLTRELGDGGARRKRSKASVRSILCDGSDIPPFPDEVEHMAVAHMAINAKQWKSGYGADGLGDSGGEHGQPVNTDGHGGGGGLGVTVDLPNLVHGLANELEARAGPLLALLKTHITQEMRSLKDEIFARGGISAPCGMADPARGDAGILNSGSPITVPRVQTRNPVVSSDTSTGCGGAEQKSTEVANWQFSGGNGRGATPHLGGPITNEVAPLDYVDHCPAMGEAREDGGEETSTSDGGHGKNDYGSAKNLKIIPPPNEDTSKEDCSAPPSPAEESEPTSMNVQLQPHSSPIKDATLLNDRSQSRRLLTPTLPNVPLGGAAVLISIEKGETKGNPNPSGVVQKRTSKRLHILFVPFSPPQPPPKKIKGKGGRKKTWKRTKKVQPEMATVSEVCASTTTSPTKLTQSFDTPTTVGPLVGGFSPCVRLNAFRVAGFCTLMHTERDYNLGEGVIVPNSVFKFFFEVAVPQPIKVVDMLVTFIRSRLRKEDIQSSQFLPGSLVKALRGEYQQFIRVQDIAKFSFSIDGRHWIGVVIDIAQWRIYVLDCNCACVQDEKLETLLQPIVVLMPFLIRLNGGDALNEAATESPLQLTRLDLPICCEQKDNMAQVSNLTEERLSIAAKTYAVEAFACFNPEYLKEFDAGDNVATVDATKKVEVELIKAGEREKILRHCLTISWAGFIIAIAIIASRCLK >A02g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16130038:16137521:1 gene:A02g505270.1_BraROA transcript:A02g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEELTVALLNKTAENGGEDDELGLTKKVWIESKKLWVVAAPAIFTRFSTFGVSMISQAFIGHLGPIELAAYSITFTVLLRFSNGILLGMASALETLCGQAYGAKQNHMLGIYLQRSWIVLTGCTICLTPVYIFSGPILLALGQEERIVSVARIISLWVIGINFSFIPSFTCQMFLQAQSKNKIIAYVAAVSLAVHVFLSWLLMVHFDFGITGAMTSTLVAFWLPNIAQLLFVTCGGCKDTWRGFSMLAFKDLWPVFKLSIVRVSNELGRGNSKGAKFATLTAVFTSLSIGIVLFFVFLFLRGKVSYIFTTSEAVAAEVADLSPLLAFSILMNSVQPVLSGVAVGAGWQGYVTYVNLACYYLVGIPTGVILGYVVGLQVKGVWIGMLFGVFVQTCVLTIMTLRTDWDQQVYTSLRRLNRWVVPESSAVSCKFK >A06g509430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27267824:27269601:1 gene:A06g509430.1_BraROA transcript:A06g509430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSNAPSVDAQIVGNAFVQKYYTHLYEKPAEVYRFYLEESVLGRPGLDGEMVSVKSLKAINDQIMSVDYQNSKIQILTADSQASLKSAVVTLVAGLVIGKDGERKSFTQSFFLVPHNGSYFVLNDVFRYVSDVFVAPEATKEVEVEESPKETVTAEPAKEVVEPAAKATNEEKLVNGNSNLPTAAEAKPQEDAGVKKSFAVIAAQNGAQTNAKASPAKPKPVEKPRVAPQAKAAPQPKAPSAKTSEQPPAQGGSIFVANLPMDASPEQLFETFKGFGAIRRGGIQVRSYTEQRNCFGFVAFENSESIKNVFKAHNESPIFIGNRRASIEEKRGKFLNVNSTTMRMVEEPMRGTMATIGMRMVTERMGIDLGAMVLMEGEAPGETGRRDRAVMLKLLRTVMAMLRPKTRLFD >A10p036330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20707019:20707721:-1 gene:A10p036330.1_BraROA transcript:A10p036330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSTAQEEPQLVDTPAIVPSPLAQEPDNDVPAPGNQFAEFAAGCFWGVELAYQRIPGVTETEVGYTQGISHNPSYKDVCTNTTNHAEVVRVQYDPNECTYETLLDLFWSRHDPTTLNRQGKLVGAQYRSGIYFYTPEQEKLARESLENQQKKLEKKIVSEILPAKKFYKAEEYHQHYLSKGEKSGHAQSPAKSCKDPISCFG >A10p002810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1438124:1443407:-1 gene:A10p002810.1_BraROA transcript:A10p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRP5 [Source:Projected from Arabidopsis thaliana (AT1G04120) UniProtKB/TrEMBL;Acc:A0A178WGC2] MDFNEILSFFIDHLPLLELCSVLINLTLFLVCLFTLSATQILICVRRGRLSKEDTVNVNLEREANDVSFGTVFKFSLLCCVYVLAVQVLVLVFDAVSVIRGAGDWFTLCFPASQCLAWVVITFLALHLKYKPSEKLPFLLRVWWFVAFSVCLCTLYVDGRRLAVEGWRGGCSSHVLANLAVTPALGFLCFAALRGVSGIEIRLTSSDLQEPLLVEEEAACLKVTPYSTAGLVSLVTLSWLDPLLSAGSKRPLELKDIPLLAPRDRAKSSYKVLKSNWKRSKSENNPSLARAILKSFWKEAACNAVFAGLNTLLSYVGPYMISYFVDYLGGKEIFPHEGYVLAGIFFASKLAETVTTRQWYMGVDILGMHVRSALTAMVYRKGLKLSSIAKQNHTSGEIVNYMAVDVQRIGDYSWYLHDIWMLPMQIVLALAILYKSVGIASVATLVATIISILVTIPLAKVQEEYQDKLMAAKDERMRKTSECLRNMRVLKLQAWEDRYRVRLEEMREEEYGWLRRALYSQAFVTFIFWSSPIFVSAVTFATSIFLGTQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATVVIPRGNSNVAIEIRDGVFCWDPFSSRPTLSGIQMRVEKGMRVAVCGTVGSGKSSFISCILGEIPKISGEVRICGTTGYVSQSAWIQSGNIEENILFGSPMEKAKYKNVIQACSLKKDLELFSHGDQTIIGERGINLSGGQKQRVQLARALYQDADIYLLDDPFSALDAHTSSDLFRDYILSALAEKTVVFVTHQVEFLPAADLILVMKEGRVIQSGKYDDLLQAGTDFKALVSAHHEAIEAMDIPSPSSEDSDENPILDSLVMHHNSKSDIYENDIETLAKEVQDGGSASDQKAIKEKKKKAKRSRKKQLVQEEERVKGKISMKVYLSYMGAAYKGLLIPLIILAQASFQFLQIASNWWMAWANPQTEGDQSKVDPTVLLVVYTALAFGSAVFIFVRAALVATFGLAAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVGVMTNVTWQVFLLVVPVAVACFWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFIKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTLVFAFCMVLLVSFPHGTIDPSMAGLAVTYGLNLNGRLSRWILSFCKLENKIISIERIYQYSQILSEAPAVIEDSQPPSTWPERGTIELLDVKVRYAENLPTVLHGISCVFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTAGRITIDNIDISQIGLHDLRSRLGIIPQDPTLFEGTIRANLDPLEEHSDDKIWEALDKSQLGDVVRGKDLKLDSPVLENGDNWSVGQRQLVSLGRALLKQAKILVLDEATASVDTATDNLIQKIIRTEFEDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPARLLEDKSSMFLKLVSEYSSRSSGMPDL >A01p058060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32960542:32965143:1 gene:A01p058060.1_BraROA transcript:A01p058060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLASPSLPKGFSVAIFSTNSELFPSKNISVAMGPGATQFAVIYVLLSSFTGILTIVCTWDIPNHSPRKQNNCFFPSFHGADVRKSFLSHLVKEFGSKGINLFIDNEITRGEFIGPELKKTIQGSRIAIVLLSKRYASSSWCLDELVEIMKCKEELGQMVIPVFYEVDPSDVKKQAGEFGKVFKKTCKGKTNEVTRKWSQALSKVATLAGYHSMNWFVKSFQTQIKVYMNADFEEFILTLIYFKYCCIRENEAKMVEDVATDVAKKLFNSTPSRDFDEFIGMEAHMNKISRVLGTDLDEVRMIGIWGPAGIGKTTIARCLFNQLSHTFQHSVFLMNVKAMYTPPICSDDHNVKLLLQQKFLSQLLNQKEDFKISHLGVAQEILNDKKVLVVLDDSDRLVQLEAMAKETRWFGHGSRIIITTQDRKILKAHGITHIYKVDFPSDREAIQMFCMYAFGQKSPEDGFERLVREVTRLAGNLPLGLRVMGSYFRGMSRQEWENALPELSMCLDGELESILMFGYNALSHVNKNVFLHIACIFNRESIKKVVEHLSKRFLDVRQRLNVLAEKSLISFESARTLIPFERSRVIMHDLLVQLGRDIVRKQSSEPGQRRFLVDKREICELLTDDAAGSRSVIGIMFGGGEINVSERAFEGMSNLQFLRLERDGGGDALHLFGGPSCLSRKLRLLDWRYFPKTCLHCIPNPELLVELIMHGSKLEKLWEETKPLSNLKWVDLSDSKNLKDVSSLSTATSLEELSLRGCSSLVELPSSIGNAIHLKSLDLTECSSLVELPSSIGNATNLQFLCLRSCSRLVELPSSIGNAIRNLEHLNLSECSSLVGVPSSIGNATNLTNLDFSECSSLVELPASIGNLHKLQCLYLNGCRKLEVLPVNINLKYLRLLDLTDCSLMKSFPVISTNIKGLYLTGTAIKQVPSSIRLWPFLDVLDLSYNENFKEFPHVLDTMTGLFMSNTAIQEIPPWIKRISRLHRLVVKGCKELLSLSQLPDSLSKLDAEDCESLERLNCSFLNQKISFNFAKCFKLNKEARDVIIQTSTYDVTILPGEKIPNYFNYQANGGSLFMKLDERPSPSSIWKACILLVRKDEVEAGKGETVLVHHRIKQNSIDVPCRPSKHILFPPFTEHLYIFEFKADVTSDEFFFEFGVNRDEWMIKECGVHHYLNTSQCRPKLLRSLKPVRKCGLLM >A02p016180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7216279:7222950:1 gene:A02p016180.1_BraROA transcript:A02p016180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLSRLLRLRLVKPALVRRSSSVSLLISNGFSTTSMRQTPPCSVMGARPCGDDLGGLIVAYADTHAWTELDKMVRLELVCNSINHGKTLTIGASHGWVASLKEDGTLCLQDDINPYASYTDPKRIPLPPLVTLPHCQTKIITNVSMSSSSPEDDEDCVVAVKFLGPQLSFCKPAGKSRKPKWTSIKLENPCFYSSRVMFSKKHNMFRIPGSGGHLIRSWDPCNPSDDPKLQSVRFTNIPKLTNAEHNVMDSCSKSEHLVESRPTGETFLITCYLSYFQVYLQHKQLAENDEGVAIMKTKFLRVYKLDDEGNAVQTRDMGDLVMFISMSEPFCVPSTSFPGMYTNNVYLYDYDENGYVDVAGTPFDMASAKGPLYSPYHIPPQDMGNYRLRVGVRRSSSVSLLLSNDFSTSIRQTPPCFVVAARPWGEKILLCFSPYL >A07p023480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13632437:13635135:-1 gene:A07p023480.1_BraROA transcript:A07p023480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stomatal closure-related actin-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G26770) UniProtKB/Swiss-Prot;Acc:O48791] MTRVTRDFRDSLHKEVVPAVSADVRFASSRFPNYRIGANDQIFDAKDDPKVLSMKEVVARETAQLMDQQKRMSVRDLANKFEKGLAAAAKLSEEAKLKEATSLEKHVLLKKLRDALESLRGRVAGRNKDDVEEAIAMVEALAVQLTQREGELFIEKAEVKKLASFLKQASEDAKKIVDEERAFARAEIESARAAVQRVEEALREHEQMSRASGKQDMEDLMKEVQEARRIKMLHQPSKVMDMEYELRALRNQLAEKSKHFLQLKKKLAMCRKSEENVSLLYEIDGNEALGSSLRVRPCSDEAPDLSKCTIQWYRSSSDDSKKELISGATKSVYAPEPFDVGRALHADIIYNGHTLSLSTVGKIDPAAGLGSYVEALVRKHDVDFNVVVTQMSGEDHTSESIHLFHVGKMRIKLCKGKTVIAKEYYSSAMQLCGVRGGGNAAAQAVYWQAKKGVSFVVAFESERERNAAIMLARRFACDCNVTLAGPEDRTETSPN >A03p048650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20817008:20817422:1 gene:A03p048650.1_BraROA transcript:A03p048650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHGGAPPIDLSNTASVAAATGQVWTRRPSFSLYSTNNDQAYVSFSERNVRNKSYSDDNDEKLVGAAKEAKERLDERLRNPRRRVRLVKQAYASK >A04g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7728513:7729121:-1 gene:A04g503590.1_BraROA transcript:A04g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKSIRQLPWLVTVKVSGYLPRLMIPSPRVLRTTCRGGVLAWRSSSCSPFVQPADGESPTLSVSLFSPCIPPVVASVPTLDVSAASFRSRGRYGFVCSASSSCGAPEPLPAASVEAILSHLQVSVRPIYPLLAILSIPPGD >A04g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12560212:12570062:-1 gene:A04g506040.1_BraROA transcript:A04g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRRQIDFKFHSGSEVTKIGFIKGVIYLYTLCYKNRKKKTFHFIRSRIVSSPLGNLQTLTGTVVSDSGIVVSGSGIVVSGSGIIFSDSGIEVSGSGIIFSGSLIVFSGSLIIFSGSIIIFSGYLIISVTLSTLKIGNFLKLGKAFQKSLKFFFSLFTQDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSDYISIVKSILKPDEMIRVRGSFLGPVMKLSERGLKLSAKIGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGGSFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNAVANHLENKSKFELQGYPLVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEIENPSLDRVLQVEADTKLKVHCILPSIPHDPEDDISMEDKYSDELETVKDVTKKGYKITADDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNKKVNRIITRSSGTPLSPMSHTQPSSGTPLSPMSHTQPSSETPLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQSSSETPLSPMSQQPNLTNEDTMNESDDETPALDTQVFSPNLTKEKETETSTGERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEMNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQGEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFYSNSETAEETYEATQPLTEIISANNKKEDTHAVHYRPSSPSSSLIALVIEENKNALSETETATQYFSTSEGEHSQSSRKNQAEEYLKDTTEPTTELVSTDVSKTQPLTPQTQHLQTSEGDQSDETPSEQNQAEENLKDTTEPTTELVSTYVSKMPPITQQTEHLQTSAIDFSEKNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPATPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDTVRGKVYPEKTWGEDVDVVYGITLGKKSNVWIGMEIHLKKKRITVYDCFQKESNSIDIPQVKKLAVLISNLLVESSGDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLRKSLLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNDPEDDVCMGDKANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEEIRRASLLFGNGGMSQASTSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTNLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQPNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMVKVEYFVPSLDEKKRKRSVETRVSIDRIRPQPPPERSGAKKSYELMQDVEAFDNGAWCAGKVKVI >A10p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1371355:1374340:1 gene:A10p002660.1_BraROA transcript:A10p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRRKNMDSARSWFHKFQPRDKPRKKDMFSGSTYGGGTETTVPGGGNETETAGKLPPLGGEGEALSSTTKQKVAAAKQYIENHYKEQMKNLNERKERRTTLEKKLADADASEEDQNNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRVCREKNTGHVFAMKKLKKSEMLRRGQVEHVRSERNLLAEVDSNCIVKLYCSFQDDDYLYLIMEYLPGGDMMTLLMRKDTLSEDEARFYAAEAVLAIDSIHKRNYIHRDIKPDNLLLDRIGHLRLSDFGLCKPLDCSVTEGEDFSGGGLEREEITPTAPKRSQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPMSTCRKIVNWKTHLKFPDEARLSREAKDLVGKLLCNVNQRLGASQIKAHPWFEGVEWEKIYQMEAAYIPEVNDDLDTQNFEKFDEEDHQTLTPSSRTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGIAELKKKETKAKRPSVRSLFESESSESSSDTSQTVAGTEKHTINRCFSNPTSREIERKLRRQESK >A03p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21470391:21471800:1 gene:A03p050000.1_BraROA transcript:A03p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPMYTSGTSNPSPGNAFPNQTHLQQNHAPPHHAPPPPPASSQQFHSHLPPVSMLPPPPPLPPGATPLSQAFPNPFHPPPSFPLSFPLKSLEPPGMPLPPPPPSSSSPLFADTVCANPEATNQVGESVSLNGRALDIERPVVEDAGSSSPHEKKAEHGSPLYDDPDIEMEDDITLPESNYPSQPLNYGSETNSVTGTTLPVSKSDTHIHQDVDDGSRQASSSPYSGRAKVVPVGDMYDPFVDSFEPASVKLNCLQEHEPVSDSYTVPKASISSNTPLNVEENNQDVVDKQALSESDTTARVSVSSNKPPDVEDFTNGNDIGAVVYEDNDELGENAGEGNSHDTLTPNSNNENPKANNNAREGDIARKKSRGDAKEKDSSRSMKLFQVALTKFVKDLLKPSWRQGNMSKEPWIKSRTQWKVVVCPSQKLRSISTLIVHGTS >A02p008120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3370923:3372587:1 gene:A02p008120.1_BraROA transcript:A02p008120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGASVKKKTHVVNDAGEAETVGGSGKRSGGDGSEDGASILGEMGDDDMRTEDGEEEEEDEEEGGEEEAKEERSKLDEGYFEIEAIRRKRVRKGKVQYLIKWRGWPETANTWEPLENLHSIADVIDSFEGSLRPGKPGRKKKRKYAGPYSQLKKKQQRLTYDDSAEKSESSTSLNNSSLPGIRGPLDLSGYVAATNQGEASSGSAGMVRQVSLKDYDPTLNELRGPGIGCEGDSVRANGFLKEFDKNSGFIGAKRRKSGSVKRFKQDGTTSNNNNNNNHTTYQNLTPDLDSFGRMVEPHLNNNPSQKSKAEEFDIVRIIKPVRFSSSITNNVQDALVTFSALRSDGKEVTVDNRFLKAHNPLLLIEFYEQHLKYNPER >A03p003830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1661689:1662297:-1 gene:A03p003830.1_BraROA transcript:A03p003830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSRVEQQVITYPVSYLPDPASSNKGRSVLRRKKTDGFTNGARDQNKLRPKLTETVKRKLSLGSKILQVGGLEKIYKRLFRVNEDEKLFKTFQCHLSTTAGPIAGLLFISSKKMSFCSERSIKVSSPQGDMIRVHYKVSIPLCKIKRVNQRQDTKKPSQKYMEVVTVDGFEFWFMGFLSYKKAFSCVEKALSLSYEDSEEQ >A02p032560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17055969:17071527:1 gene:A02p032560.1_BraROA transcript:A02p032560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ILITYHIA [Source:Projected from Arabidopsis thaliana (AT1G64790) UniProtKB/Swiss-Prot;Acc:F4I893] MATPLECLLSIAGSVSTSSTLVRVRIFRHEIPQFLHNFDMSSDIATVLVDIIFQTLAIYDDRGSRKAVDDLIVKGLENVTFMKAFAALLVQVMEKELKSCFGIVCYRLLAWSCLLLGKSQFATVSRNGFVRVASAQASLLGVVMESSFRMRRACKRFMFHLFSQSQAVYNAYIDELKNSRIPYKDTPELLGLLIEFTCPSPALFEQSKAIFVDIYVKDVLNSREKLKQNICKCFQPLLLRLSHEEFQTVILPAALKMLKRNPEIVLESVGLLIASVNIDLSKYAMELLPVILPQARHMDEDRRLGALSMVRCLSEKSSNPDTIEAMFASVKAVIGGSEGRLQSPHQRIGMLSAVQELATAPEGKYIGSLSRTVCSFLIACYKDEGNEDVKLSILSALASWVSRSSDAVQPNFVSFIAAGLKEKEALRRGHLRCLRIICRNPDTISQISDLLSPLIQLVKTGFTKAVQRLDGMYALLIVSKIAACDIKAEDTMVKEKLWTLISQHEPSLVQINMASKLSSDDCVLCVELLEVLLVEHSSRVLEAFSVKPLSQLLLFLLCHSSWNVRRSAYNSVTKIFHTTSQFATTLLDEFSGFLSLTQEKIVSSRTSDRENSVDHQAPLVPSVEVLVKALIVISSAAVAGPPSSWIDRAIFCSHHPIIVGTGKRDAVWKRLQKCLKTCGLDVATFLSTNGQSVCKRLLGPMGLVSPRTSEQQAAVYALSTMMSLAPEDTFTVFKMHMQELPDRLSHDMLSMTDIKIFQTPEGMLSSEQGVYVAETIGAKHTKPETISNHSLKKGLASRDAANSGRRDSTKLTKKADKGKTPKEEARELMLKEEASIREKVHMIQKNLSLVLHALGEMCLANPVFCHSQLPSLARFLDPLLRSHIVGDAAFENMVKLARCTVQPLCNWALEIATALRLIAIDEVDASSDFRPSVDKAGKTYEGLFERIVNGLSISCKSSPLPVDSFTFIFPILERILLSSKRTTLHDDVLRILYMHLDPMLPLPRLRMISVLYHVLGVVPAYQASIGPALNELCLGLQADDVANALYGVYSKDVHVRMACLNAVKCIPAVSKYSLPQNVDIATNIWIAVHDPEKSVAETADDIWARYGYDLGTDYSGIFKALSHINLNVRLAAAEALAAALHESPTSIQLSLSTLFSLYIRDATTSGEDVIHAGWIGRQGIALALQSAADVLTTKDLPAVMTFLISRALADPNPDVRGRMINAGIMIIDKHGKENVSLLFPIFENYLNKKASDEEAYDLVREGVVIFTGALAKHLAKDDPKVLTVVEKLLEVLDTPSESVQRAVSTCLSPLVQSKKEDSPALFSRLLDKLMKSDKYGERRGAAFGLAGVVMGFGISSLKQYGLVVTLQEALIDRNLAKRREGALLAFECLCEKLGKLFEPYVIKMLPLLLVSFSDQVGAVREAAECAARAMMSHLSAYGVKLVLPSLLKFYSVLVLHLSNKGLEDKAWRTKQSSVQLLGAMAFCNPQQLSQCLPRVVPKLTEVLTDTHPKVQSAGQLALQQVGSVIKNPEISSLVPTLLLALTDPNEYTRHSLDILLQTTFVNSVDAPSLALLVPIVHRGLRERSSETKKKASQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAVGSLIRGMGEDNFPDLVPWLFETLKSDTSNVERSGAAQGLSEVLAALGTEYFENVLPDLIRHCSHQKASVRDGYLTLFKFLPRSLGAQFQNYLQLVLPAILDGLADENESVRDAALGAGHVLVEHHAITSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGASTEAQGRAIIDILGMDKRNEVLAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPILMSTLISSLASPSSERRQVAGRSLGELVRKLGERVLPLIIPILAKGLKDPDVDKRQGVCIGLNEVMASAGRSQLLSFMDQLIPTIRTALCDSSLEVRESAGLAFSTLYKSAGLQAMDEIIPTLLEALEDDEMSNTALDGLKQIISVRTAAVLPHILPKLVHLPLSALNAHAIGALAEVAGAGFNTHLGTILPALLSAMGDENKEVQELAQEAAQRVVLVIDEEGVETLLSELLKGVSDSQASIRRSSSYLIGYFFKSSKLYLVDEAPNMISTLIVMLSDSDSTTVAVSWEALARVIGSVPKEVLPSYIKLVRDAVSTARDKERRKRKGGYVVISGLCLPKSLKPLLPVFLQGLISGSAELREQAALGLGELIEVTSEEALKEFVIPITGPLIRIIGDRFPWQVKSAILATLIILIQRGGMALKPFLPQLQTTFVKCLQDSTRTIRSSAALALGKLSALSTRIDPLVGDLTTSFVAADSGVREAILSAMRGVIKHAGKSIGPAVRIRIFDLIKELMHNDDDQVRIFATSMLGVLSQYLEAAQVSVLLQEIISLSTSPKWSSRHGSVLCIASLLKHNPSIIMTSPLFSAVLNSLKSSLKDEKFPLRETSTKALGRLLLHQLAIEPSSTARVVIDILSSIVSALHDDSSEVRRRALSSLKAFAKNNPAATIANVSVIGPPLAECLKDGSTPVRLAAERCAMHVFQLTKGAENVQAAQKYITGLDARRLSKLPEQSDDSDDSEDDDGRG >A09p069930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54374333:54378752:1 gene:A09p069930.1_BraROA transcript:A09p069930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPSPSLSLYQTSLFHPQTSLVTWLKPPSSSALFRRKPTKRLLPPISAASSSSSSTSLSLTEKPTTVHFHGNLIETFESHDSFAGAIKGAAFTENPVERNELSATRRLFTQDPPWISALFLKGLTKMTVKIERKDIDKRKFDSLRRRQVKEETEAWERMVEEYRDLEKEMCEKSLAPNLPYVKHMFLGWFQPLKEVIEREQRLQKNKSKKVRAAYAPHIELLPADKMAVIVMHKMMGLVMSGHEDGCIQVVQAAVSIGIAIEHEVRIHNFLKRTRKNNAGDSQEELKDKQLLRKRVNSLIRRKRIIDALKVVKSEGIKPWGRATQAKLGSRLLELLMETAYVQPPLTQSGDSIPEFRPAFRHKFKTVTKYPGSKLVRRYGVIECDSLLLAGLDKSAKHMLIPYVPMLVPPKRWRGYDKGGYLFLPSYIMRTHGSKKQQDALKDISSKTAHRVFEALDTLGNTKWRVNRKILDVVERLWADGGNIAGLVNREDVPIPEKPSSEDPEELQSWKWSVRKAKKTNRERHSLRCDVELKLSVARKMKDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGTLEFAEGRPLGKSGLYWLKIHLANLYAGGVEKLSHEGRLAFVENHLDDIMDSAENAIHGRRWWLKAEDPFQCLAACVVLAQALKSPSPYSVISHLPIHQDGSCNGLQHYAALGRDSFEAAAVNLVAGEKPADVYSEISLRVHEIMKKDSSKDPESNPTAALAKILINQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGVITDERMLFSAACYSAKVTLAALGEIFEAARAIMSWLGDCAKIIATDNHPVRWTTPLGLPVVQPYCRSERHLIRTSLQVLALQREGNTVDVRKQRTAFPPNFVHSLDGTHMMMTAVACREAGLNFAGVHDSYWTHACDVDTMNRILREKFVELYSTPILEDLLQSFQESYPNLVFPPVPKRGGFDLKEVLKSQYFFN >A04p029150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17451189:17454558:1 gene:A04p029150.1_BraROA transcript:A04p029150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRGFLLRSKTSSKTVAPKEVPPSEPPFLTTTSLPHDIVVNILARVSRSHYPKLSLVSKYFRSIVRSREIYARRSSLGCTEHCLYLILYNLRTKENRCYILLRSTTNGSHPRLVLVPSLPVLPSRPYPSSFAAVGSKIYMFGDGNDGSTALSIDFESHTVRSLPSMPAPLVYTVANVIDGRIYAIGRDDRDLDKMMVVVFNTETQTWEPEKTTADVEAGDMYHGSVVMAGKMYTKDFDSSYVYEPKGRKWGTDEGMNSKEWRYGCVVDDVLYYYECEEDCEKELRGFDRKERCWRVVKGLEGLLHETRSSLWSQTGSYGGNLALFFPKGDERRGEEIWCAEISLERRQGGEIWGKVEWCNRLVTGFQLTESLDVVMGNKRKSSEPPSLTASLPHDLVVDILARLSRSDYPALSLVSKHFRSIVSSRELYATRSLLGCMEHCLYVFLADVESKCNRCYILRQKTNGSHGLVLVPSLPSLPYGSRFVAVGSRIYVFGTGKDRNTTLSIDCGSYTVQPLPSMHVPLSYTVADVIDGRIYVIGRHDQRDLDKMMVLVFNTENQTWKTDSEMTTSEIYAHGSVVMADKMYAKYSCKGFVYEPKERKWETDEVLNSKEWRFACVVDDVLYYYEFYRDKLRAYDRKQRCWLVVKGLEAFFQTSLMWSYVHSVSYDGKLALFFPYGEEIWCAEISLERCQGGEIWGKVEWCDCLLTSERRFRYTKSLEVFV >A06g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22153872:22170084:1 gene:A06g507950.1_BraROA transcript:A06g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQNFEQHSRRLVDPDLPIQTRLEMVVEVRDSLEIAHTAEYLNFLKCYFPAFSVILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQVFRFTVSHFFDNVKMEEVKVKPVETSASSDQSSLTPVAPVGNGQLNPSTRSFKIITESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPENVPSHLKPQFIELKGAQVKTVSFLTYLLKSCAEYIRPHEESICKSIVNLLVTCSDSASIRKELLVSLKHVLGTDFKRGLFPLIDTLLDERVLVGTGRACFESLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSRNMHDSTLSVSIHTTCARLMLNLVEPIFEKGVDQQSMDEARILLGRILDAFVGKFSNFKRTIPQLLEEGEVGKDRVTLRAKLELPVQVPVEHSKEVNDYKNLIKTLVMGMKTIIWSITHAHLARPQGLNPQALASQPSAPQGFKGMREDEVWKASGVLKSGVHCLALFKEKDEEKEMLSLFSQILAIMEPRDLMDMFSLCMPELFECMINNNQLVQIFAALLQAPKVYKPFADVLINLLVSSKLDVLKNPESDATKLVLHLFRCIFGAVTKAPSDFERILQHHVPAIMEVCMKNATEVEKPLGYMQLLRTVFRGLAGCKYELLLRDLIPMLLPCLNMLLTMLEGPAGEDMKDLLLELCLTLPARLSSLLPYLPRLMKPLVFCLRGSDELVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPVPYPWGGKALQILGKLGGRNRRFLKEPLTLECKDNPEHGLRLVLTFEPSTPFLVPLDKFINLAVVAVIQKNQGIDIYYRKQALKFLRVCLLSQLNLPGCVTDVGQTPRQLSTLLRSAVDSSLHRSESGEMKADLGVKTKTQLMAEKSIFKTLLVTILAASSDLDLSDSDDDFVVNICRHFAIILHIDYTSSNASSSTGSLGGSVISASSRSKGNRSSNLKQLDPLIFLDALVDVLADENRLHAKAALNALNVFSETLLFLARVKHADVLMARGGHNASMIVSSPSTNPVYSPHPSVRIPVFEQLLPRLLHGCYGSTWQAQMGGVMGLGALVGKVNVETLCLFQVKIVRGLVYVLKRLPVYASKEQEETSQVLMQILRVVNNVDEANSEARRKSFQDVVEYLATELFNPNASIPVRKNVQNCLALLASRTGSEVTELLEPLYQLLLQPLIMRPLRSKTVDQQVGTVSALNFCLALRPPLLKVTPELVNFLQEALQIAEADETVWAVKLMNPKVLTSLNRLRTACIELLCTTMAWTDFRTQTHNELRAKIISMFFKSLTCRAPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPEKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEAALPPGQVYSEINSPYRLPLTKFLNRYASLAVDYFLSRLSEPKYFRRCGSTVKRRTSKGLYLIKTMVKLIPSWLQSNRSVFDTLVLIWKSPSRISRLQNEQELNLVQVKESKWLVKCFLNYLRHEKSEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLDLFHSKQLGHDHLVQAMQMLILPMLSHAFQNGQTWEVIDPDIVKTIVERLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLDAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPKRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFLLVVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVSWERQRQNEMKMVADTDGTSQATDELHTSSGADPKRSTDGSATSEDPSKRVKIEPGLQSICVMSPGGASSIPNVETPGSATQPDEEFKPNAAMEEMIINFLIRVTLVIEPKDRETNTMYNQALDLLSQALEVWPNANVKFNYLEKLLSSMPPSQSSDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKTKMLDAGKSLCSVLKMVFTAFPLDAANTPPDIKLLYQKVNELINKHVSAVTAPQASGEDNSFGSVSFVLLVIKTLAKVHKSFVDSYVVVRILQRLARDLGSAVGSHPRQGPRADSDSAVTSSRQSADVGSVICNIKSVLELIDETVMLIPDCKRSVTQILNTLLSEKGIDASVLLCILDMIKRWVEDDISKTGASGMSGSFLTQKDIVAFLNKLSYLDKQHFSSDALEEWDQKYLQLLYGLCADSTKYPLALRQEVSLKVERHFMLGLRARDPEMRRKFFLLYHESLGKNLFSRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPISLAPNSARVPALLPSDNPGLQHQPLATLEGPEEVASMFDNIVMKHAQFLSATSKLQVADVVIPLRELAHTDANVAYHLWVLVFPIVWVTLLKEEQVALAKPMISLLSKDYHKKQQGHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHLALALLESHVMLFMNDSKCAESLAELYRLLNEEDMRYGLWKKRSITAETRAGLSLVQHGFWQRAQSLFYQAMVKATQGTYNNTVPKAEMCMWEEQWLHCAAQLGQWDALVDFGKSIENYEILLDSLWKLPDWAYLKDHVIPKAQVEETPKLRLVQAYFALHDRNSSGVGDAENIVGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARIHVDIANGNKVSGNAAVGAPGNRYADLKDILETWRLRTPNEWDSMPVWYDMLQWRNEMYNVVIEAFKDFATSNSPLHHLGFRDKAWNVNKLARIARKQELYDVCVQILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGERASGLNLINSTNLEYFPDKIKAEIFRLKGDFHLKLNDTEGANIAYSNAITLFKNLPKGWISWGNYCDMAYQETQDEIWLEYAVSCFLQGIRFGVSNSRSHIARVLYLLSFDTAYEPVGRVFDKHLEQVPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIAAVFPQALYYWLRTYLLERRDAVNKSELGRLVLAQRMQQNASGVAGHGGGNLPSETHQGAQVGGASGTHDSGNPHGQESERSTAENNAHPGNDQSMHQSSSTINENTARQNGASLAMSAAGAFDAAKDIMEALRSKHNNLASELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQPLKKELSGVCRACFSADAVTKHVEFVKEYKQDFERHLDPESTTTFPATLAELTTRLKKWKNILQSNVEDTFPAVLRLEDESRVLRDFNVVDVEIPGQYFADQEVAPDHTVKLDRVGADVPIVRRHGSSFRRLTLIGSDGSQKHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHIGIHTPIIIPVWSQVRMVEDDVMYNTFLEVYENHCARNDREADLPISHFKEQLNQAISGQVSAEAIGDLRLQAYSDITKTLVNDSIFSQYMYKTLMSGSHMWAFKKQFAVQLAVSSFMSFMLQIGGRSPNKVLFAKNTGKMFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSQFGVEGLLMSSMCSAAQAVISSKQNDHLRYQLAMFFRDELLSWFGRRPLGMPIPPVGGIATLNSAELKDKVNSNVKDVIGRIRGIAPQYFSEEDENIVEPPQSVQRGVNELVEAALSPRNLCMMDPTWHP >A01p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25439424:25447799:1 gene:A01p042550.1_BraROA transcript:A01p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVIGGGISGLGSAYVLAKDYGIEEVVLFEKEESLGGHAKTVRFDGVDFDIGFIVFNTVRTLVIISSALLFGIVIKRNHFSHPKFSFVTYPNMIEFFKNLGVDMEVSDMSFSVSLDSGRGCEWGCRSLSSLFAQKRNIFSPYFWKMITEIKKFKEDVLKYLEDQERNLDMDRTKTLGGFLKSHGYSDLFQKAYLVPVCSLIWSCPADSVLHFSAYSVLSFCRNHHLLQIFGRPQWLTVAGRSQTYVAKVRAELEQRGCKIRTSCKVESVATSEDGCVIVTTEEGSQEVYDKCILAVHAPDALKLLGDQVTHDETRVLGAFQYAYSDLYLHGDTDLMPRNTSAWSAWNFLGDSENKASLTYWLNIIQNLGEERDPFFLTINPEHTPKETLFKWTTAHPLPSVSTWKASQELHKIQGKRGIWFCGAYQGYGFHEDGLKALINYIINTAGMAAAQGLLGKQMVTPLSNPKHMVPSLTQKGARILEEGGSVYTFAGKNSRCHLKSVLLIHSPQFYWKVMTQADLGLADAYINGDFSFVDKERGLLDLLMILIANKELNSNNSNHAKRRGWWTPMFLTASLASAKHFLKHFSRQNTLTQARRNISRHYDLSNELFALFLDDTMTYSSAVFKSNDEDLRTAQMRKISLLIDKARIEKNHEVLEIGCGWGTLAIEVVRRTGCKYTGVTLSIEQLKYAEQKVKEAGLEDRIKFELCDYRQLSDAHKYDRIISCEMLEAVGHEFMETFFTHCEAALAEDGIFVLEFISIPEERYDEYRLSSDFIKEYIFPGGCLPSLGRVTSAMASSSRNYSVENVENIGIHYYQTLRCWRKTFLERQKQIIDLGFDDKFIRTWEYYFDYCAAGFKTLTLGNYQVVFSRPGNVAALGDLITHDLN >A08p039080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22545305:22546655:1 gene:A08p039080.1_BraROA transcript:A08p039080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFFTIASSFSEPRIQIRSSKRTSLSLQYSIPYKANSRSRRRLVVSSVSAPKVELRTGPDDLISSLLSKMASTNPETAKATPTSVDIANPEELKKVFGQFDSNGDGKISVAELGGVLKAMGTSYTETELNRVLEDIDADRDGYINVDEFSILCRSSSTASEIRDAFDLYDQDKNGLISDSELHQVLNRLGMSCSAEECTRMIGPVDADGDGNVNFEEFQKMMTSSSLTNSNNGSTAAANSSSN >A05p040610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24819906:24820819:1 gene:A05p040610.1_BraROA transcript:A05p040610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSMATLSRRLYRSLLSNPRFSQASMSFCTNNIPDLSAISDAESPLEAKDSDSSYRGGSGEERVMEERPLENGLDYGIFKAILVGQVGQLPLQKKLKNGRTITLFSVGTGGIRNNRRPLINEDPREYANRSAVQWHRVSVYPERLAELVLKNVEPGSCFVSVDNSTVVYLEGNLETKIFTDPVTGLVRRIREVAIRRNGRVVFLGKASDMQQPSSAELRGVGYY >A10p037530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21161826:21163685:1 gene:A10p037530.1_BraROA transcript:A10p037530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDRVLSSVHSTVFKESESLEGKCDKIEGYDFNQGVNYPKLLRSMLTTGFQASNLGEAIDIVNQMLEWRLSDETIAPEDCSEEEKDPAYRESVKCKIFLGFTSNLVSSGVRETIRYLVQHHMVDVIVTTTGGVEEDLIKCLAPTFKGDFSLPGAYLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQKEENVLWTPSKLLARLGKEINNESSYLYWAYKMNIPVFCPGLTDGSLGDMLYFHSFRTSGLVIDVVQDIRAMNGEAVHATPRKTGMIILGGGLPKHHICNANMMRNGADYAVFINTGQEFDGSDSGARPDEAVSWGKIRGSAKTVKVYCDATIAFPLLVAETFASKREQNCEHKT >A10p001930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:965889:966482:-1 gene:A10p001930.1_BraROA transcript:A10p001930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGEEEEKTIEIHKVTKSLNYDPISLDLTREILLRLPAKSLVRFRCASKLWSSLTTEPYFIKSFTTRSLSRPRLLLSFKKWATTLFISLPQHEIPDGKCLTHDDVSNNQIKLSKNCGCKRNFEAVYGLVSFSVYTNYVQVWNPSMSQHLIIPKPEKSRHGPCYLGYDPFGDTYKLLWIEYRDDYGPWVLTLGDKES >A09p031560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19071205:19073019:1 gene:A09p031560.1_BraROA transcript:A09p031560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSPSPDAKIVKEGQVIIRARNLKAGANFIPLRSFRTRRLYFSNEENGLLHVPKGQGTNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGDENAIDDTQRMMQMGGFGFDASKSLGAEKDGLDIIQHDWALPRFEQRAESVLRKLVK >A04p031590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18586788:18590673:1 gene:A04p031590.1_BraROA transcript:A04p031590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALQKTTPRTATSVLLNLGGETGGRTTGGLIGALAGGSVGTLIGALAGGSVGALIGALVGGRVGNGVISLTGDEVKQQSAMRVSKTLLPMKPIFIFLLLPIPRTATSVLMILGGEIGGRMGGLIGALVGNLMGDLAGGRRVHSLIGVFNGGRFGSLIRVENSIGAFCGWRVGSSIRGFNGGRVGALVGAFNGERDGALVGAFNGKRAGALVGAFNGERAGALVGAFNGERADFNGERAGALVGAFNGERAGALVGAFSGDRAGALVGAFSGGRVGALVGAFNGERAGALVGAFNGERAGALVGAFNGERAGALVGAFNGERAGALVGAFNGERAGALVGAFNGERAGALMGAFNGERAGALVGAFNGERIDALVGAFNGGRADSFVGAFKGGIIDRSLVGAFNGGRVDRSVGDFNGRRVFLGALANGVISFIGGSSVVLKTKEMKQQSAKRVSKTFLPMKPIFILLSFCFCLCGSLA >A06p009410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3308498:3308842:1 gene:A06p009410.1_BraROA transcript:A06p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFNQPCLYSKIDKEDPEEVYHRRAKFLIYKTLQKADVVSRRSSHPSSFLRMKLLRLKAKIGKSLTKLRRNIESAVRFGGIQKHSQSSMRALKKMFHGGATNGLPRPIFALEV >A03g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31353235:31354200:-1 gene:A03g509720.1_BraROA transcript:A03g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSLIIIITLAFKHHNNTTPSTIRDSTIIIIIAFKLHHKKQRKDSSSPLSTNKMTHYNKLSEVSYNPKIKFWRFRVKIYKIYTFYSYVTSSGPFYKYVLADEEGTKMEMTIYGNSDRFRGLEKQEGKWEQIFRVEVNRSYPGFQTTNYRFNLSATRNTQVHIIDPLNNQLFMDFKNIHAIPYMDHRDRNYHIDTMGVVFNTKAHFDDLASPRMCFT >A06p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11845808:11847119:-1 gene:A06p023130.1_BraROA transcript:A06p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLPSDSLFLGFDSSTQSLKATVLDSSLNIVTTELVHFDTELPHYKTKDGVYRDPAVNGRIVSPTLMWVEALDLILHKLSAANFDFKKVIAVSGSGQQHGSVYWRNGSSQILKSLDPNSSLKDQLQKAFSFEESPIWMDSSTTVQCREIESAVGGGMVLSEITGSRAYERYTGPQIRKRFTTEADLYASTERISLVSSFMASLLIGDYASIDETDGAGMNLMDIKKRCWSKDALQATATGLEEKLGKLAPAYATAGSISQYFVHRYGFAKNCVVVQWSGDNPNSLAGLTLSTPGDLAISLGTSDTARLLIPVELFLLFQKQTTCVLTI >A08p017830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12387420:12387728:1 gene:A08p017830.1_BraROA transcript:A08p017830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQKMISEKSVVIFSKNSCCMSHTIKTLFLDFGVNPTIYELDEINKGKEIEQALAQLGCSPTVPVVFIGGQLVGGANQVMSLHLNRSLVPMLKRVGALWL >A03p053980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23168162:23169619:-1 gene:A03p053980.1_BraROA transcript:A03p053980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDESSSAKRWLPLEANPEVMNQFLWGLGLAPDEAECNDVFGLDDELLEMVPKPVLAVLFLYPITKKSEEERIEQDKEIKEKVHSDKVYFMKQTVGNACGTIGLLHAIGNITSEIKLSEGSFLDKFFKTTSNMTPMERGRFLENDSQIEDAHSAAVTAGETPASDDVNTHFICLACVDGELYELDGRKAGPISHGASSPATLLKDSTKVIKKIIEKNPDTLNFNVIAISKRT >A09p072690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55636958:55638975:1 gene:A09p072690.1_BraROA transcript:A09p072690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFFGKPNTRGSSPSLASPTSSSSSPATRRGKKNGSEKPKQPQRGLGVAQLEKIRLHGEMSCNNYNPSLYPQENVRMQGEYPSIPSSSPSFTYASPSSTPYGFYPDMMMGVHRDQYERATTSWNPSYGILESQHSLEPNITRHFLHEDPSSTRRSKSLGSGNQNSGSSDNQELDLELRLSI >A10p034510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20045702:20046206:-1 gene:A10p034510.1_BraROA transcript:A10p034510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERRSEEETSHHRPCQFLNEAINSFMECLGLHISPPPYYSASSETPNGIVTTRGMIVRLKQRGRETPSSGRPGRHN >A02p058090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34906957:34907338:1 gene:A02p058090.1_BraROA transcript:A02p058090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPILSLSTLQQLLSCIGIFLLKSVSSVHPWDTRKEWVDIICGRVTSTTLESLPVTQWVTMEGDLRDQVAKLKNDAWDLLYQVIDEKVKVIKRD >A03g506220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22163651:22165161:-1 gene:A03g506220.1_BraROA transcript:A03g506220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSAICQLWFFQPFSSITVFFQAVILHSILVVGQFSPVPATKCVISVVGLCLHYDETVKVVIFRLHWSIYMDFGKQPVMDAVNMAMEVQQDPVVAADGNVIQNNSPQMDNVVLEENFWHNAPIDEVHEEFERRMGVVRTERKRKFVDNLMREMEVTSPDEDEDHATLEWEERRWRWIKAFANWCGYC >A01p007430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3661022:3662943:-1 gene:A01p007430.1_BraROA transcript:A01p007430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSWGCTIFIQLSLCLIVYVSLHFGHQSLSSSNDGDNAGALDLHFISVSGGFRPLHRQTRLLRLMERVAETYKAKFVVSTSEHGEEDLLLLNDTRVSSTLKLPWYTTKKGSGSFREHIEMPFGGSLDVVFVDTGSLQKQMMSGSLNGSMISQLKELTKVLKAADGDWRIVIGSDPLFAYTLSNGPEEAKGVARTFQQITIKYGVNMYISEKGCTNGANEDSFTCIMVPNASENRGLTNDSKREMEDGFLLHRVSFSEFVTYTINLSGQVKDTRIVKQKGKESI >A08g505720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9621054:9621468:1 gene:A08g505720.1_BraROA transcript:A08g505720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSLSSFLLPPHDFILLIFFFFCTSSISSSNLISLILFPSLFLLCSSFIYFSSFKQMDLNEEDYHRHASHHHAPFYSVAPFTTARSSTPPPESGGGTGLMNEAQEIDGGGSSGGS >A02p004160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1828244:1832385:-1 gene:A02p004160.1_BraROA transcript:A02p004160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARNVLNIIIIICVCFNWGSANGAQKRESGEILTHTIELSSLFPSSSSPCVLSTRASNTKSSLHVTHRHGTCSSLTSDKATTSPDHAEILRLDQARVNSIHSKLSKKLTDRVRQSKSTALPAKDGSIFGSGNYVVSVGIGTPKRDQSLIFDTGSDLTWIQCEPCVQTCYSQKEPIFNPSSSSSYYNVSCSSAACTSLSSATGNSGSCSASTCVYGIQYGDQSFSVGFLAKEKFTLTSSNVFDGVYFGCGENNQGLFTGVAGLLGLGRDKLSFPSQTATTYNKIFSYCLPSSASYTGHLTFGTAGISRSVKFTPISTITEGTSFYGLDIVGITVGGQKLAIAPTVFSTPGALIDSGTVITRLPPKAYAALKDAFKAKMSQYPSASGVSILDTCFDLSGLKTVTIPKVAFSFSGGAVVELGPTGVLYAFKMSQVCLAFAGNSDDTNAAIFGNVQQQTLEVVYDGAGGRVGFAPNGCTTNLNNIAVRNSKMSFARNLLNIIILLCVYLNRGFTEGAQERESGRVDYHTIQLSSLSPSSSCVPSSKEYDTKSSLRVVHKHGACSPLRSGKAVKPDRADILRRDQARVDSIHSKLSNNLEDRLTQTGLPVKDESKSKEDLGSGSYIVTIGIGTPTHNQPLIIDTGSDLTWTKCRQCGRSRRYISLACNSKNKDIFNPSSSTSFNTVSSTSRVCRDDIRSTDIPCSATRCCYRINYNDNSSSKGYLAEDKFTITTADVFSGIHFGCSEKEANINDNTAGLLGLSSHVLSFPSQTANKYNNIFSYCFPSPDRTGHLTFGSTGISSSVKYTPIKSLPKTHLYGLDIVGITVGGKKLEIPSTVFSHPRAIIDSGTVITRLPPKAYAALRGAFKEKMKNYTTTSAVELLDTCYDPKGFDTMSVPKVSFSFGGGTTVELGLNGILYPLNASHVCLAFAGNDNDGDLAIFGSFQQMTLQVMYDTAGGRIGFAQNGCS >A05p001990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:553782:559168:1 gene:A05p001990.1_BraROA transcript:A05p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 709B2 [Source:Projected from Arabidopsis thaliana (AT2G46950) UniProtKB/Swiss-Prot;Acc:F4IK45] MEFLSTTTLLALALLLLVIPKIYKSCWILVWRPWMLSRKFMKQGISGPKYKILHGNLREIRTLKQGAKLTVLDLNSNDIFPRVLPHFHQWRSQYGETFLYWQGTEPRIFISDHELVKQILSNKFGFYVKPKTRPEVLKLAGNGLVFADGIDWVRHRRILNPAFSMDKLKLMTKLMVDCTLRMFEEWSKQMNDGEKEQVVMMNVEFKRLTADIIATAAFGSSYVEGTEVFKSQRELQKCCAASVTNVYIPGTEYLPTPLNLKIWKLDGKINNSIKRIIDARLKAKSKNVEKDYGNDLLGIMLASSRSNETEKKMSTNEIIEECKTFFFAGHETTANLLTWTTMLLSLHQDWQEKLREEVLNECGKDKIPDSDNCSKLKLMNMVLMETLRLYGPVLNMIRSAAQDMKLGNLVIPKGTTIVVPIVKMHRDKAVWRSDSDKFNPLRFVNGVSRAANHPNAFLAFSIGPRVCIGQNFALMEAKTVLTMILQRFRLNLSDEYKHAPADHLTLQPQYDSQPTTPNVGGEEIRPEGVKAAKANRSAMGKGKSVADCTAVWELRKEDLDRKEKLSKLAILDTLLARTGPLSEAEEVAKNKLLADYSQPSQSETFGGDGFDSEYNEVEALIQEDQAQLEYENAQAFVYPPQPEVEFGIPQVCYCGNAPKIATSKDFRRYYTCGNADDGECHVWKWWDEAVMEEMRARDRHTLQLGEKVDALTLLSDYDTDQKLFRLENMVCELAKSRSTWSFESLVAAMVIVLVFIGLIVVFI >A10p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20963482:20964491:1 gene:A10p036990.1_BraROA transcript:A10p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIAILPLLLVFSTIARATTDVQYCEENAEYEVKVKEVNISPNPIARGEPATFTISATTGRGITGGKLVIEVTYFGWHIHSETHDLCSETTCPVETGDFLVAHSQVLPGYTPPGSYSLQMKMLDAQKKELTCIKFSIDIGSVPSVADM >A06g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4356519:4365629:-1 gene:A06g501220.1_BraROA transcript:A06g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRRRSPRSATLPPGPPRLPIIGNIHQVGKLPHRSFADLSRTYGPIMHLKFGCLNTVILTSPEAAREVLRTHDQTLSGRKSPNAVRSISHNKVSVAWIHPSLARWRLLRKLSVTHVFSPLRIEATKALRMKKVQELVSFMDENSKREEAVDISRASFITTLNIISNILFSVDLGSYDSEKSNGFHDSVIGAMEAAGSPDLANFFPFLEFLNLQGNTKRFQFCTDNLFKVFREFIDIKTAEKSLRNDPKYASNRDFLDALLDLTVGDEAELDYNDIEHLLLDMFVGGTDTNSSTLEWAMAELLTNPTTMAKAQAEIEQMIGLNGFSQEPDISELPYIQAVVKETFRLHPPVPFLLPREAETDVEIFGYLVPKDAQVLVNVWAIGRDPGVWENPTRFEPERFLGKEIDVKGKDYELTPFGAGRRICPGLPLAVKMVSLMLVSLIYSFDWKLPNAVDMDETFGITLHKSNPLHAIPVRRIRH >A09p064290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51863265:51865962:1 gene:A09p064290.1_BraROA transcript:A09p064290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSIAPPLSCPSLSSSLRSSKGTSFALPSISFVSSTSKSLRSLTATVPGNGTGSSLSARMVASSAVRAPVSLDFETSVFKKEKVSLAGYDEYIVRGGRDLFKHLPDAFKGVKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAVGFTEESGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRAADVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDLAYKNTVECITGTISRTISTQGMLAVYNSLSEEGKKDFETAYSASFYPCMEILYECYEDVAAGSEIRSVVLAGRRFYDKEGLPAFPMGKIDQTRMWKVGERVRKSRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQALVAVDSGAPINKDLISNFFADPVHGAIEVCAQLRPTVDISVPEDADFVRPELRQSS >A07g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24334369:24335801:1 gene:A07g508780.1_BraROA transcript:A07g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQVRGCAFIVAVVASVMLHQPELLVTGQATVPAMFVLGDSLVDVGNNNFLASVARANYLPYGIDLNYRPTGRFSNGMNFVDLLAQLLGISSPPPFADPTTWGTRILGGVNYASAAGGILDESGQHYGDRFSLSQQVVNLEGTLSQLRTMMSPQNFTDYLKKSLVVLVFGSNDYLNNYLMPNLYSSSFRYRPPEFANLLINQYARQLLTLYSLGLRKVFIAGVGPLGCIPNQRATAPPGRCVDNVNEILGTFNQGLRALVDQLNQRSPGAIFVYGNTFRAVGDILNNPATYGFSVADRACCGTGRNQGQITCLPLQNPCPNRSQYVFWDAFHPTQTANSFLVRRAFYGPPSDAYPVNVQQMILLP >A03g508980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29687666:29687921:1 gene:A03g508980.1_BraROA transcript:A03g508980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKMAHTPPMPVTNNRQVRNLIELSKTHFVRLCVLSLRQIH >A01p029420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20859311:20860204:-1 gene:A01p029420.1_BraROA transcript:A01p029420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICSRGGAEGSDGIRSGDIRSTPTEVLREETLLPCGTGSNINSICPDAFISSRTIDVKPSIRIGSDGNDHPPKKAVYKPGGANCRRQNRICSRGGAEGSDGIRSGDIRSTPTEVLREETLLPCGTGSNINSICPDAFVSSRTIDVKPSIPIGSDGNDHPPKKAIYEPGGANCRRQNRSWKNQRLEIT >A01p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3219651:3220687:-1 gene:A01p006310.1_BraROA transcript:A01p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLDLSLSPHTNSSNFGLGFDLSKHLNTKEKRFDAMLGLENMEEDCYVSKPRSFSLNGQSDKEDDDPLEPDSSVVYDEEENCKVVGWPPIKSCMKKYLNYRHRSRNHPYHHHGRRINISNQPATTERGGPLTSLRSSMYVKVKMDGVAITRKVDIKLFNSYESLTNSLIAMFSQYQDCDREDTNFKFTFQGKEGDWLLPGDVPWKIFAESVHRISITRDCPCPYTRLLF >A09g511860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35085725:35088869:1 gene:A09g511860.1_BraROA transcript:A09g511860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNQKVVPNEKRRQSLRIRKPESVAKKPEPKVQKSRKKNNKKSKSRPVREPARAPSVESLSASDESEREGSEREGSERDPFVLVPTIGEQIMLARIIDEEREYDRQGSPSDTWNYWLNVKQENIWWEELYELDQAARGVLPKKKDKEKVTFAEGSSSNSGLDSRLQGLEERILEFMGEGFAGLHVTVETMLESQSSRMSVLKKNQRLLRRRVKKIEDRLTSIEGKVEPSHGKDMDFREWDYDTYEEKDKAYSEKEKANAEQEAGKEKDNIENTEEEGEKEADDNAQQEGEKEKENSEADEEEDKKVGGKYDEEEGEEKEAEISEEEKENNDEQDEEKVVESEAEREDDQVEVGGKEDQEEEVEGKEDEEEEVEGKESETREKEKEKNETDEVESESRETEIEKGTLTPPRGNQTEGTPKDDDNEPRVETNRTGETPTPPRGSQSEGTPKDDDNEPRVKTNRTGETPTPPRGSQSEGTPTPPRGRTKAMAARRPIIRRMEDEPGKGEKVVEEEKQKKEAMETEEKNREKVTDEEKKKEEVVKEHAKEYSEEEKQRWIMVVYKKAPVLGSCIGARRMSLLLHLRRVADQRGNYSGCRLLSRRGRSVWKTRFTSVTMSKNE >A10g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3693526:3699929:1 gene:A10g501300.1_BraROA transcript:A10g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSDLRCLGAFQSDQSRATTSSHSQPERPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPFGHFSILDHPRSNPYAHEFSFPLVKKPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVRNLENQSLPARATSPERLPHVTPSQSDQPERLPQVTRVLTRRDAKKTRRERLPGATMLGRSACFAWTIFIHWRQDNLFSREEL >A05p049220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28701031:28703746:1 gene:A05p049220.1_BraROA transcript:A05p049220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 12 [Source:Projected from Arabidopsis thaliana (AT3G10070) UniProtKB/Swiss-Prot;Acc:Q9SR71] MEQPRQTSTASQPPETPSQPSEPAPKPAVLSQIQQPPSTNPNPSSASSITSSPAPPSPSLNPNPNPPQYTRPVTSPATQHLSPSLGRPPPPSYSRPWQPHSSYAHFSSSPSSSPLLSSSSAPASSSSSSLPVAGQQRGGMAIGVPASPIPSPSPAPSQPPASAFPGSFGQQYGGLGRGTVGMSEASSNSSVPQGRMMQGTQGMGMMGTIGSGSQMRPSGMAQHQQRPAQSSLRPASSPSSPSPVPQNFQGHSLMRPSAIGSPGVQSTGAANQPWLSSSAQGKPPLAPPSYRPQVNNPSMPQRSHVPQHHPSTSPVASQPQQQQHQLQPQEQHQQLRSPHQPLPYSHQPPRVQGSVNQKAASLAMPNQPPVTQPGNQAKTVSAENEESDDRILGKRSIHEILQQIDPSEKLDPEVEGILADIAEDFVESITTFGCSLAKHRKSDTLEAKDILLHVERNWNIRPPGFSSDEIKTFRKPVTTDIHKDRLAAVKKSMTVTEAANARFGTANARGGQAKTPANPLASTTFNH >A09p023640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12946784:12950094:-1 gene:A09p023640.1_BraROA transcript:A09p023640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSIRSLDPSFVSNGIFKLNIALETMALSVFSAKLSTGVEDDKKQEAFVFPKFVVMGHRGFGMNMLQSPDEKMKSIKENSILSFNVAADFPIDFVEFDVQVTRDGCPVIFHDNFIFTQEQGVIIEKRVTEMALHEFISYGPQKDGANVNPMFRKTKDGRIFQWKVAKDDPLCTLKDAFVKVKCSQGFNIELKFDDNIVYGEEELRQTLENILNVVDKHAENRSIIFSSFHPDAARLIRTMQMSYPVFFLTNGGCEIYADVRKNSLDEAIKICKEGGLQGIVSEAKAILRTPSAITRIKDSKLSLISYGQLNNVVEVVYLQNLLGVEGVIVDMVMEISEAIANIEVKNEEDDEENNGRKCQIMFGEESKKLWTGGLCTGGFWVVEAWTGETLMGNEGVWRLWIGEAWMGEAWTEEEWTGETLMRMKVFGDCGWVRCGWVKRGPRKRGRVHQLLTCCEKLSRKAVPRSGGYLRTAEWRRVCEERKEMEGETVKNLDLGFSEIAAKRKRWRERERIVKEKKRNVLI >A04g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1780073:1781433:1 gene:A04g500570.1_BraROA transcript:A04g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLAKPPDRSGSPAKIGVLQEEVTVIEELGKGSGKAGEVESRVPKKSSWVEVVQERKEKAKELAQLAIEEQKESEKNAGDNSTQEVEVRKLDMQNQNGEVEEIEEGQIEEQWLQISPGKSSSGRLKETTFEQVRTASRFSVLSDLEETEKPENQNEDVVEKVMEGTELRNEENEIEGRERRELCSETVYSEKFEDKSQDHIYG >A01p053980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29748304:29750165:-1 gene:A01p053980.1_BraROA transcript:A01p053980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAHMNQNHHHLPNIKGGATAAPPPTPSCALPNTTTKSETAADALSRLFNRLPPNLSLPNRRSSVVSSTAASLPTVTFSTESCGDLISAATEFGYFQLADSDTIIPSGLAEAAESEALSLLELSEEEKETTFPKNWPLGYEADAETPSFCLDADCTTESGELKLSSLCEFTRCLEKVGLKTVEMLASALGFKNPVGDESNRFSTMMWLNHDVPDDKPAITNGFYPFVVCLQYQIREQKYCLLSESGWVSVSPRVESVLVTLGDIAQVWRNGELKRVRYRPVVCSGQQLDDPRKSVTMTLMLTLPMDSMVSPLRDISDGDKEEEYAEEEEGVSRSDERKGFKSFCFEDYAWRVYHERLFFKDPLDRYRIKP >A08p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9415371:9415936:1 gene:A08p014080.1_BraROA transcript:A08p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLATTPFTAAMNVYSEGLRHLLKYIKDNYANPEIMIMENGYGEELGATDSIKNGTADHNRKYYLQRHLLRYFIWSLLDNFEWQD >A04p015730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9736750:9738531:1 gene:A04p015730.1_BraROA transcript:A04p015730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIASANREALIAQSICGTILKGNWKNILKHKLDSGLLTSSITTQVLSELSSYGGPSLALSFFTWSDSIPSCKHTLQSSWKMILILTKHNHFKTAHQLLDKWSQRELLSSPLVLRSLLNGVSEDPEVLSHVFSWMIIYYAKSGMIRDSIEVFEQIRSCGLRPHLQACTVLLNSLVKERLTDSVWKVFKKMGKLGVVANIHLYNVLVHACSKSGDPERAEKLLSEMEERGVFPDLFTYNTLISVYCRKGMHYEALSVQDRMQRSGIGPDIVTYNSLLHGTNDIDEALRLREVMEARGFSPGVVTYNSILRKLCEDGRIREANRLLTEMSGKKIEPDNITCNTLINAYCKIGDMVSAVKVKKKMVDSGLNHDMYSYKALIHGFCKALEMDNAKEELFSMLEKGLSPGYSTYSWLVDGFYDQNKQDEISKLPEEFEKRGLCPDVALYRGLIRRICKLEQVDHAKVLLDSMEKKGLMGDSVIYTTMGYAYWRTGKVTEASALFDIMYNRRLMVNLKLYKSLSASYAGDNEVLRFFWSHVGDRSLISKSILRDMNRSEVL >A04p011510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6695368:6696126:-1 gene:A04p011510.1_BraROA transcript:A04p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWATFFFLSFFSVFTCIKVSSQDLTLLYNFCPNTTTYSRNSTYYTNLKTLLASFSSPNSSYSTGFQSGKAGQAPDTVTGLFLCRGDVSQESCRNCVAFAVNESLTRCPNQSEAVLYYDECTLRYSHRNILSTLRTDGGYTLPNVNNIPRNQQDRFRDLVLATLNQAATKAVASSRKFDARKVNFTALQSLYGLVQCTPDLTGKDCLRCLQTSINQLPTERTGARLLLPSCGSRYELYPFYNESAVTTQTN >A01g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25676516:25677730:-1 gene:A01g509500.1_BraROA transcript:A01g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYSVLDKGHPTFTDFPTDKQHLWFRQFAQEFNWNSDDTLFIYHHFVHKVPKSMNDTVWKELCVHWDKEETKETSSTNSTNRRSDRKGKGVFKHNLGAQSIATLGDRMAEENDGEPVDDLALMKRAYANKKTGQIDDGLVRDVVTLVKTQVQDEVSQFQTEDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPFSAPPPFVDPEVFTAQLKDKDDRISMLETQMAAQQAGYKAQKRLNEQMMEMMKRMYLNVQDP >A03p026140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11002080:11002628:1 gene:A03p026140.1_BraROA transcript:A03p026140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTLEFGGGLELLCDSEKIHKVNVDLPNGVEELTMKDLLSWVRTNVIKERPEMFIKGDTVRPGVLVLVNDCDWELSGQLETTIEDKDVIVFISTLHGG >A02p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3311207:3316310:-1 gene:A02p007900.1_BraROA transcript:A02p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMQQTTYHTEREKLKKGFLIFTDKRLVLHILNVLVSVLSSLTAADMEASAGLVAGSHNRNELVVIHNHEEPKPLKNLDGQFCEICGDQIGLTVEGDLFVACNECGFPACRPCYEYERREGTQNCPQCKTRYKRLRGSPRVEGDEDEEDIDDIEHEFNIDDEHNKQNHSAESMLYGKMSYGRGPDDDENGRFPPVIAGGHSRHVSGEFPAGGEHGLHKRVHPYPSSEAGSERWDDKKEGGWRERMDDWKLQQGNLGPEPDDDPDMGLIDEARQPLSRKVPIASSKINPYRMVIVARLVILAVFLRYRLLNPVHDALGLWLTSVICEIWFAVSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTSNTVLSILAMDYPVDKISCYVSDDGASMLTFDSLAETAEFARKWVPFCKKFSIEPRAPEMYFTLKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKASKVPLEGNNTKDHPGMIQVFLGSNGGFDVEGHELPRLVYVSREKRPGFQHHKKAGAMNALVRVAGVLTNAPFMLNLDCDHYVNNCKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKALDGIQGPVYVGTGCVFKRQALYGYEPPKGPKRPKMISCGCCPCFGRRRKSKHESNGDIAGGAEGDKEHLMSEMNFEQKFGQSSIFVTSTLMEDGGVPPSSSPAVLLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRAAFKGSAPINLSDRLNQVLPGTVLFGTATKEANSNGLNVLLMLTQQSTLLHLFHSLPTISTFASLFFIALFGSIIATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTVLIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVMKTKGPDTSMCGINC >A03p013570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5333316:5334216:1 gene:A03p013570.1_BraROA transcript:A03p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFRPSISLDLRPKVTFTNLSTKERLEFHNKSLRKEKLNIRLRGVKGNQAQGTSVVTEEKELNNKTDYGVVGVHHVGLLCENLERSLEFYQNTLGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSVLKEILDKAGIAYTMSKSGRPAIFTRDPDTNALEFTQV >A03p044810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18707067:18709894:-1 gene:A03p044810.1_BraROA transcript:A03p044810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRLFLPSFLHIFSVYKSACRLDNEISISFFLDHRKNSMSSYKLNYTDSFGNGDVNSLGSNPENGSSLAQSEKAVEELLIQQTPMLATDDHLIEFSEALRTVAKALRGSAEGKALAQAEAAEWKRRYELERSKNQELLHKAPLNGVCADESSNKGMDHLAKSPRRHGQENGKPERYSLERICSHDVLQDGESNSPNGCNNKLKRKASFKLSWGCKGQANDQHKKEIVSFESGNITTADRSSKQISLTWETNPQTVIIFTKPNSTSVRVLSVEMVRWLREHKGLNVYVEPRVKAELLSESCSFDFVQTWEDDKEISLLHPKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSMGSLGFMTPFHSEQYRDCLEAVLKGPISITLRHRLQCHIIRDKARHDYETEENTLVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPDHVTVRVQVPFNSRSSAWVSFDGKGRKQLEAGDALVCSIAPWPVSTACQVESTHDFLRSIHDGLHWNLRKTQSSDGPRDT >A07p035380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19137892:19139204:-1 gene:A07p035380.1_BraROA transcript:A07p035380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRCDVCDKEEASVFCTADEASLCGGCDHQVHHANKLASKHLRFSLLYPSSSNNSSPICDICQEKKALLFCQQDRAILCKDCDTSIHSANEHKKKHDRFLLTGVKLSATSSVYKPTSESSSSSSQDCSVPSKKPLSAPQSNTSKIQLSSKIGGDSEVSQWGSTSTISEYLIDTLPGWHVEDFLDSSLPPFGFSKSGHDDGVLPYIEVEDDSTKRNNYNTVSLPSENLGIWVPQIPQTLPSSYTNQYFSQENNNNIQFGMYNNKEKSPEEKTYAPIQNMKQQGQNKRWYDDGGFTVPQITTTLSHPPLHSNKKSRAFW >A03p055960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24150358:24150783:-1 gene:A03p055960.1_BraROA transcript:A03p055960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILISLCLATLLAFLFLKRLFKRTTTTKLNPPPSPWRLPIIGNFHQLSLYPHRSFRSLSLRYGPVMLLHFGRVPVLVVSSAEVAQDIMKTHDRIFANRPITKAMEKVMKGGRDIVFAPYGEYWRNMKVFTFLNFFLITV >A03p021280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8792430:8793422:-1 gene:A03p021280.1_BraROA transcript:A03p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGKLQPIQLSLSQFSSSLPQNRSSLSFRSSRRSTPSFKCASSSKPQVLQSLPSRLLKSTCITFTAAAALLFANLHLKPPPPAIATPLPTTSAMESLKQSNDSLEEEERSLEEHLASHPEDVAALRSLMEVKIKSRKLLEAIEIINRLIELEPEEKEWPILKANIFTHSGDLESAKSVFEEILAKDPLRVEAYHGLAMAYSESGDDLNVIEKRIHESMERCKKEKNVKDLRDFKLLVAQIRVIEGKHEEALKLYQELVKEEPRDFRPYLCQGIIYTLLKKGDEAEKQFEKFRKLVPRNHPYREYFMDNMVATKLFAEKAQRETAGSKS >A01p008420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4088301:4089495:1 gene:A01p008420.1_BraROA transcript:A01p008420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQKLSSSASQQPKPEDSGIKPQNPDRAPMYPPGLVPGYDEQTNRGAGIYAVPVHQFGALPSNYLIPLTYNLPTTRPSNETEAGGENQAQAGQGQQQQQQPAQQRQVVVVRRFEIAFQLDIFLILKLAAVIFLFNQDGSRQRLAVLVIFATIIYLYQTGALAPFRDKRMKVITGTEQTQMKMWMQESKGISGGE >A04p032640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19042742:19048931:-1 gene:A04p032640.1_BraROA transcript:A04p032640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLRKSVGKQNELALRVANHVIATTPAAKTSNLVFSPASINVVLSFLAAKSGGSTADHILSLLQASSTQELNTVSSKIVTEVLADSTASGGPTISAANGLWIDKSISVELSFKDLVENSYKAAFNLVDFRTKADVVVEEVNEWVKKQTKGLITDLLGYVPPETELIFANALFFHGRWDEEFDPSLTRNSDFHRLDGTKLRVPFMSAYASYKLRLEVYQGFKVLHLPYRGGGSQDDRYFSMLICLPDEKNGLHAMLERLASCRGFLNGDGDIRGEYADVGEVKIPRFKFGFDFDVSEALQGLGLKTPLEKIVHKAYIEVDEVGTKAAAATSVSCFGGCFQPRKKYDFVADHPFLFLLKEYRSGLVMFLGQIVEDKSFTYLHPNLTSLAFVVTVSSHHKNMDLQTSVGKQNEIVLNFAKHVIATTDAKTSNLVFSPASINVILSFFAAKSGGSTANHILSLLQASSITELNAVSSKVITDVLADSTATGGPTISVANGVWMDKSLPVEPCFTSLIENTYKANFNQVDFRTKADEVVEEVNAWVENQTRGLITDLLSFASPKTDLIFANALFFHGRWDEEFNPSLTKVSDFHRLDGTKLRVPFMSAKASYKHRIEAYQGFKVLHLPYKGGSNYLEDNRFSMQICLPDDKDGLHAMLESLSSCRGFLNGYIPGQCALKGLGLETPLEKIVHKACIEVDEVGTKAAAATAVSFCGGILRPPKKYDFVADHPFLFLVKEYRSGLVLFLGQVLDPSMH >A07p050180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26751144:26757411:1 gene:A07p050180.1_BraROA transcript:A07p050180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDQCQRREKMMGRGVDGGCGAEERPCRPSRRDSKGFEEERSSRGIDLLAQASKHLSERSPYDVNEDGSSVGVSVGTLPIALANLLNQKDDKKRHKKSHHGGETKKKKSNRQGEKLKAGSIWVERQEYFRRLELPDLETLSDLASLRSLSSRGCFSVPSVEYESIDVQQRETDASEKSEDVVGKEKEEINEEAVQPMSVDNVGDEVSSGADYSGSLEWVLGCRNRILLASERPSKKRRRIGSDAGLEKLVVAAPCRGDALLCDFCCTGDAKEHHNQLIVCTSCKATVHRKCYGVVEDTDETWLCSWCEMEKGGSDSERPCLLCPKKGGILKPVVSKTENGGPPEFAHLFCSLWMPEVYIEDLNKMEPILNLPGIKETRRKLLCNLCKVKSGACIRCCNDELLILLYNLLIIATCRTSFHPICAREAGNRLEIWGKHGCDTVELRAFCSKHSDIQESGRPIEGGEINAADSRPPESNLPSESVRDQLSNDEMGVDVGTPGTRSDISRNSELRELGSSRSEFNLSATDIVESGITGRSTDDEKTQSESLSFGLILKKLIDLGKVNVKDVAEEIGVNPDSLNAKLMDGDLLPDLLGKIVKWLGQHAHMGTRNKGEISKSTKPTKSERRAAICTEDMVILDSDILNPTCTPTENCIGNGVVADEAKANSPVVKNGSSGNLSSDLSSEEQKLAVLDQEGHLGKSSVNLSDDHGEQSNPSSSGLMVENAFSLRPNSCQNRGILSCPSPIILDLLDHEAYPGFHPHPYIHKELSEMDKGKTLKSSTNSYVDKMTTEPDGCQLAKARKLGILDLSPKDEVEGELLYYQLQLLGTAVSRKQLSDDLAYEVTKKLPLEIDEEHGRRWDDVLVNKYFHDVREARKQSRKEKRHKDAQAVLAAATAAAATSSRNTSLRKDMAEEPAQQEMSTSRRKVSGSAHLVPQTKETLLKMPVSGSPSEKRSDHRTPDFSSEKPRSCDICRRSETIWNLIVVCSSCKVAVHMDCYKCAKESTGPWYCELCAESTGSFNFWENPSSTTECSLCGGTTGAFRKATNGQWVHAFCAEWSLESTFRRGQTNPVQGMESLAKSTSTCCVCQRIYGACFKCSYGNCQATFHPTCARSAGFHMIGGGKLPHKAYCEKHSLEQKAKAESQKHGEDGLKSLKHYRVELERLRLLCERIVKREKLKRELAISSHEILAARRDHAARSLPVRNPFSPPEVSSDSATTSIRGHPDSNVSGSEAIQRSDDITIDSTASVKQRRGKGPIAMDTDQKTDDSATSKGRSKILSGKTVPRKHCIVSPSVSEDGDEEGSKPKKHVETFAKEIVMTSDEASFKNRRLPKGYFYVPVDCLQEDKPSNDKAEEDSSDK >A07p004790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2618953:2620183:-1 gene:A07p004790.1_BraROA transcript:A07p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSADLILAVAREKPNKIKSYRTKSGLLLFMFPPPFHPYFACSVFLKIETEEKLSNFAQELYVQQRFLFPVIPYKIAKCLKIRAFLLKKQHSGIKNIMPRILLGSNILKKGVSWGGLKGSVIFALGFHSHGVLFPNPERVLQEATESLAQAESLSGEYYAQLDELIENKKVLDEALQLYLAGLAELEELKEAKGL >A03p047910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22157622:22159267:-1 gene:A03p047910.1_BraROA transcript:A03p047910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDESSVTHLFPVTKYLGLLATGMTADSRSLVTQARNEAAEFRFQYGYEMPADILAKWIADKSQVYTQHAYMRPLGVVAMVLGMDEERGPLLYKCDPAGHFYGHKATSAGMKEQEAINFLEKKMKENPAFTYDETVQTAISALQSVLQEDFKATEIEVGVVRADNPIFRCLETEEIEEHLTAISERD >A08p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1567555:1567927:1 gene:A08p002840.1_BraROA transcript:A08p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAMVEDSDMPVKMQVQAMSLASQALDIFDVVDCKSIAGHIKKEFDERYGSGWQCVVGSNFGCFFTHSKGTFIYFQLETLKFLIFKGASTP >A10p014340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4245564:4247252:-1 gene:A10p014340.1_BraROA transcript:A10p014340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNCGQGGGAIFSTKSKRKANGCMAAFYHLFDFQHLYFPSHHNLTVDSPSRSKGLKLIEESPSLTVYKDKQSLNIPVSIRVRIETGTRSSRLIATDTSSEMCNSPGSKTPSLVARLMGLDLLPEKTDLNKSLPSLHTMTHHGSSRLTSHTLSKKGTRLGGTRSLPESPRVSSARKSDFDIHRLSLQLNKESKREEFSCSRLKLMKQQDQEEIQSPRQILKQIKERVVTRRVVGMDITNSVKNKEARPLQDINELRRDTSISCSPRTRFSNKENKPSTSSSFRPEQTTHKPKPKTTKVILVPVSKPPGEKHSKKRVTQKELRPIKQCKKAKSETRFTQRPLKPSQTPDTRNKAFLSESTTGSKATNPLHKKKFKKILKSSDVDNNIFVTKPPQKHVHRVPCQDINEEAGIKANETEEIGPEAAARNYHGSEEKGKLCSVVSNKRCWNIREIAAVDIDSLLETEKLEEEGEEIVVEFERDIVEALVRETVCELNIQRRRS >A03p012270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4877999:4878460:1 gene:A03p012270.1_BraROA transcript:A03p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMSGSSSAAPAPSPSDFFQHRHRHHGGMMHMTFFWGKNTEVLFDGWPGTSLTMYWVCLAAIFAFSALSEWISRCGVMKAGPATFGGGIVQTVVYTVRAGLSYLIMLAVMSFNGGVFLAAMAGFGLGFMIFGSRAFKDTAGNHTHTDVQSHC >A04p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23292530:23294277:-1 gene:A04p041370.1_BraROA transcript:A04p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVSVPERSVHEFTVKSSSEVPRASILPVLSENSQANPAFCSDSFQAASVFTVMISGFIWGGGLWTIVFCSVARPDSSGKDVNLSIYQGKVLLLVNVASKCGFTESNYTQLTELYRKYKDQGFEILAFPCNQFLYQEPGTSQDAHDFACTRFQAEYPVFQKVRVNGQNAAPLYKFLKASKPTFLGSRIKWNFTKFLVSKDGIVIDRYGTMVTPLSIEKDIKKALEKA >A03g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29501744:29502217:-1 gene:A03g508800.1_BraROA transcript:A03g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIWKSKCRRACSRSVAKVFNPHRNFNTLLLHCSSIQKQLESLLSIVGGVCGEPKTSSTTVSGKSHGDSVVVSDVEGKKRDARAWLSQRMVFEGGRVKKL >A08p046390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25260617:25265294:-1 gene:A08p046390.1_BraROA transcript:A08p046390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDGAGEGDSVSHEPSTSKTPREGGEEETKKDEKAKTVPFYKLFAFADSYDVLLMICGSVGAMGNGVGLPLMTLLFGDLIDSFGQNQNNKDIVDVISKVCVKFVYLGIGTLGAAFLQVACWMITGERQAARIRNMYLKTILRQDIGFFDVETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLIATFIGGFALAFAKGWLLTLVMLTSIPLLAMAGAAMAIIVTRASSQGQAAYAKAATVVEQTIGSIRTVASFTGEKEAINKYKKFITSAYKSSIQQGFSTGLGLGIMLFVLFSSYALAIWFGGKMILEKGYTGGAVINVLIIVVAGAMSLGQTSPCVTAFSAGQSAAYKMFETIERKPLIDAYDLKGKILEDIRGDIQLKDVHFSYPARPDEDIFDGFSLFIPSGATAALVGESGSGKSTVISLIERFYDPKAGQVLIDGVNLKEFQLKWIRSKIGLVSQEPVLFSSSIMENIAYGKENATIQEIKAATELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRVMVNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLRDPEGAYSQLIRLQEINKDAKTSDAASGSSFRNSSLKKSIEGSSSSVGNSSRHHSLNVVASGLEHGGGSSRAGLEDKTGTEAQEPVPKVSLTRIAALNKPEIPVLLLGTVAAAINGAIFPLFGILISRVIEAFFKPAHELRRDSKFWALIFVALGVVSFIVSPTQMYLFAVAGGKLIRRIRSMCFEKAVHMEVGWFDEPQNSSGTLGARLSADAALIRALVGDALSLAVQNAASAASGLIIAFTACWELALIILVMLPLIGINGYIQVKFMKGFTADAKSKYEDASQVANDAVGSIRTVASFCAEEKVMQMYKKQCEGPIKDGIKQGFISGLGFGFSFFILFCVYAASFYAGARLVEAGRTSFNDVFQVFFALTMAAIGISQSSSFAPDSSKAKVAAASIFGIIDRKSKIDSSDETGTVLENVKGDIELRHISFTYPARPDIQIFRDLCLTIRAGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVELKKMQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGSEEAATESEIIAAAELANAHKFISSIQQGYETVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIAEKGTHETLIKIEGGVYASLVQLHMTASN >A06p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24006428:24009571:-1 gene:A06p044750.1_BraROA transcript:A06p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLTKLLADNAPSAMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGTEMLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPELKRQELAKRYSKRADATADLSGAIEAGNKEDIEKYSKRTVKVTKQHNDDCKRLLKLMGVPVVEATSEAEAQCAALCKAGKVYGVASEDMDSLTFGAPKFLRHLMDPSSRKIPVMEFDVAKILEELQLTMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIETILENINKERYQIPEEWPYNEARKLFKEPDVLTDEEQLDIKWTSPDEEGIVQFLVNENGFNIDRVTKAVEKIKSAKNKSSQGRLESFFKPVASSSVPAKRKGTKCSLRQYKPAISNKMSSVHAFGCNTSNNIVSLRLFPLIPGSNLSVQMAGAGICTRF >A03p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12139852:12144493:-1 gene:A03p028860.1_BraROA transcript:A03p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENFMDNGEDVKSSATKVDPAVPPSLTWQRKIDSDAKAPREFALTAKEILQMAPVGIRLWFLVREEAAKGRLAFIDPFSKHSVTSSHGVPLGGIGSGSIGRSFKGEFQRWQLFPPKCEDEPVLANQFSAFVSRANGKKYSSVLCPRNPKLAKQESESGIGSWDWNLKGNKSTYHALYPRSWTIYEGEPDPELRIVCRQVSPFIPHNYKESSFPVSVFTFTIHNLGDTTADATLLFTWANSVGGDSEFSGGHYNSKIMMKDGVKGVLLHHKTANGLPSLSYAISAQETDGVSVSVCPFFTVSGKQNGITAKDMWEIIKEHGSFDHLNASEASMQSEHGSSIGAAVAASATVLPGESRIVTFSLAWDCPEVQFPSGKIYSRRYTKFYGTHGDAAAQIAYDAILGHSQWESWIEDWQRPILEDKRLPAWYPITLFNELYYLNSGGTLWTDGSSPLHSLAGVREKKFSLDKSQSGLKSIIDVPQQQNDTAVSVLEKMASTLEQLHASTASNTAFGTKLLEEGEENIGHFLYLEGIEYRMWNTYDVHFYASFALVMLFPKLELSIQRDFAAAVMLHDPTKVKTLSGGQWVQRKVLGAVPHDLGINDPWFEVNGYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFALAVWPSVYVAMAYMAQFDKDGDGMIENEGFPDQTYDTWSASGVSAYCGGLWVAALQAASALAREVGDKNSQDYFWSKFEKAKVVYEKKLWNGSYFNYDTSGSRYSSSIQADQLADEDKARMALEKVYNFNVMKIKDGKRGAVNGMHPDGKVDTASMQSREIWSGVTYALAATMIQEGLVDKAFQTASGIYEAAWSETGLGYSFQTPEAWNTNDQYRSLTYMRPLAIWSMQWALTRTSNNKQKQFGLEPELEPEPSSLMKHDIGFSRVSRLLNLPNEASAKGTVQTLFEYACRRMMS >A08p019640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13361211:13362131:1 gene:A08p019640.1_BraROA transcript:A08p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLMRTGSMPVQTGFIPSRKASTTIARHNSVESLPSHGGERFPGGKISIDVKATSGLRRVLSESDVIRTERMSKSVVSKPSPANIPEEEDEIRFSDGWGSLMWKESGVPAEEQGVAGGGGSGYSGGNGNGGDGYDGRSKIGDYYREMLKSNPNNSLLLMNYGKFLYEVEKDAERAEEYYGRAILESPGDGEALSMYGKLIWETKRDEKRARGYFDQAVIASPGDCMVMGSYAHFMWEAEDDDDDEDLMVASPAMVSAV >A07g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6038970:6039619:-1 gene:A07g502940.1_BraROA transcript:A07g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQEFIEDIKITLLRQMHDEFQKMKVSMTESFRSLEATVNHMVEIVRMMKAGDGTASRSSQTGSSYPILSIGQSKTSPPKRRRARSTRISHQHHAFWSKRRHIPKRKRKKISPKKKRKKTMQTKRRKQQQEIKSTRA >A06g506030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17165679:17168543:1 gene:A06g506030.1_BraROA transcript:A06g506030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYRKFSIFWKGARFQGPNSEFLLEGTWSVPLIEIMVRRPYKISTCEVPRWLGHGSASFLGLGTAVETRFMPRTLVFGDHYLLLMKVCSTSMKRVVTFIHRSDQVRRPPAWLSVSILRLRGALCSGAVFGDLVYVRQGTRVLRGPGLASRCNFEGLRGRPCGAVDIGTLSPLGCFLPGFRLGIALYQVVDLRILGPFLSCPNYSRRRKCIGCALSGVGSVQVSHGPNSGIETMLLVSCCVEGLIMVLEDSVLRLKLA >A08p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7675701:7683770:-1 gene:A08p009970.1_BraROA transcript:A08p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGGLCSMAITDRICPIISSVSTRAYNLDFFYRNSIQRSTSVAYGPRLRGKVKGTVNPYSFSEAAQPEVRKSLNDFFVEAGDFLSTDGGPPRWFSPLECGARAPKSPLLLYVPGIDGTGLGLIRHHRRLGEIFDIWCLHFPVSDRTPSRDIVKLIERTVRSEYHRFPNRPIYIVGESIGACLALDVAASNPDIDLVLILANPVTRFNNFILQPLSGLLEFLPDQVPILIEENFGFKHGYPLATILETILNGADVAQIGRGIFGDFFATSANLSTLIRILPKGTLLWKLHLLKSASASLNSHMYTVKAQTLILLSGRNQWLLNKEDIERLLCTLPKCELRKFKNNGQFLFLEDGVDLVTIVKFAYFYRRGKTLDYVSDYIMPTPFEFKEFEESQKLVTAAISPAFFSTLENGTIVRSLAGIPSEGPVIYVGNHMLLGIELLQLAIHLLKEKNIMLRGLAHPMMFSKTVGSKLPDMQMFDSVRIGGAVPVSSLNFYKLLRSKAHVLLYPGGVREALHRKGEEYKLFWPQHSEFVRIASKFGAKIIPFGVVGEDDLCQMVLDYNDQMKIRFIKNFIQEITQDATKLRNGEEGELGNQDLHVPGIIPKIPGRLYVHFGKPIETEGKRKELNDKERAHDVYLQVKSEVERCMTYLKMQRESDPYRNIFPRFLFSASHGFSSQIPTFDLYQAFENYGGEDESKTNQRGKVCYEMLISLHELPPLAHVSALILLYSYVLTSCEGRLAAVHNQPATTINNVRRLAAVHNQPATAINDVRISYVYERRSLVTRDTTVGLVVVVHLEMAKRSYWIHHHSIVCVNVSKAVRERRQRIATSRFGVVDAVPQLSRPVVTRLRGQKFVYGCMLPSTNLVVPCLQRIRIKLNLFGVLCLGPWTSGLVSHTSLSDSAVIDLSFCAISGETGEYMIFGWIGATGRFIRILFGLRIRGDGGLPDVQQMCDVEVCHITQWSIDFFSRYSEVVQEWIYDVFATTQFQDIIPRVSDA >A07p022230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13033745:13038091:1 gene:A07p022230.1_BraROA transcript:A07p022230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPSSTAESNGREVDLRLWSVSPVIISGGDSMDSGKSDRDYTLDLPDECLAHVFQYLGAGDRKRCSLVCKRWLFVDGQNRHRLSLDARAEIFSFLTSMFDRFDSVTKLALRCDRKSVSLSDEALVVISVRCLNLSRVKLRGCREITDLGMVEFARNCRNLKKLSVGSCNFGAKGVNAMLEHCKLLEELSVKRLRGIHEAAELIHLPGDGSSSTLRSICLKELVNGQVFEPLVASTRTLKTLKIIRCLGDWDKVLQMIGEGDSSLSEIHLERLQVSDFGLSAISKCSKVETLHIVKTPECSNFGLIDVAERCKLLRKLHIDGWRTNRIGDEGLVAVARHCLNLQELVLIGVNATHKSLSAIASNCEKLERLALCGSGTIGDAEIACIAKKCGALRKFCIKGCPVSDLGIEALAAGCPNLVKLKVKKCKVVTGEIGEWLREQRRTLVVSMDGDETEATVAVDGESETALEEPRVGQAGGGVPEIVGSSNGGGSNNGGSRLATIRSKFGFFAGRNLSYSTVKASSVLHTLVLVMEHKLATAEKKVLVELVKLVQKRGLEGEKGGWKDFLNSYDKKLGSSISDPSRRSHDVLVAFLMSFDKEGDRQLLARILQCDANRNLIEKFKQESPDKETPEQRLVRMTITHPRYPIHYAFPSHAQDWFVTNSGKKQSKVIKSTRMLAIDCEMVTCKGGSEAVVRVAAVDRDLKVVLDKFVKPSLPVIDYKTEITGVTAEDLEKATLSVADIQKKLRRFLSKGTILVGHGLHNDLQVLKSVLDEELRMEGAAHNCVHDAAAAMKLVLAVVEKGVETSFPPTEKMLEVEKTMQEAKKASLYLHRIPHSVPSEELKGLITGDFKVEVKPPKNLGSYYSAEVVFSSQEEANQAFDNVDGDIVKEKLGLSQKMVQFKMSSGSVSRLYVRKNVQDSEVSAKKRSNTEEIKLSSKRQKRENDAEETREENVNHGSSRESKCENHIKEIEELKENHRKEIEELKENHIKETEELKEKLKAKEREFERICENHLTEELKEKLKAKDREVEAQDKMISNLKKNLKKK >A08g506110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10427555:10428467:-1 gene:A08g506110.1_BraROA transcript:A08g506110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEETLMKLFLKLMEKYRCIVSKKGSFATSSSLCHIYRNVCFVPFQAPLRLLVGKAFSFQLKLGDFNFTFQLKLGEFNFTSKHQTFTVSRIITEHERDDHGPDDNGDVAELAKDVAPPNGESMKKKARQE >A04g502080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5132761:5133003:1 gene:A04g502080.1_BraROA transcript:A04g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETFRVLTKDAVEVSESERAFRMKIPVNEEIRINWVLDLRGWTADKNRITMVNQRLRIGEHECYRGELDGYRGEAEKD >A09p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:291192:293713:-1 gene:A09p000500.1_BraROA transcript:A09p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Digalactosyldiacylglycerol synthase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00550) UniProtKB/Swiss-Prot;Acc:Q8W1S1] MMMKQQQQQQQQQKQHIAIFTTASLPWLTGTAVNPLFRAAYLAKDGQRRVTLVIPWLTLNHQLLVYPNNITFASPSHQEAYVRRWLEDRVSFPLSFEIRFYPGKFATDKRSILPVGDISDTIPDEEADIAVLEEPEHLTWFHHGKKWKTKFNYVIGVVHTNYLEYVKREKQGRLKAFLLKYLNSWVVGIYCHKVIRLSDATQEYPKSIVCNVHGVNPKFLEIGLKKLEQQKLQEQPFTKGAYYIGKMVWSKGYKELLKLLKKHQKELAGLEVDLYGSGEDSEEIKQAARKLDLTVNVYPGRDHADPLFHNYKVFLNPSTTDVVCTTTAETLAMGKIVVCADHTSNEFFKQFPNCRVYDDGKGFVRATLKALGEQPSQLTEQQRHELSWEAATQRFIRVSDLNRLARADSNLSKKSLFASSSISVGKNLEDMSAYIHFLASGFETSRTAFGAIPGSLQPDEELCKDLGLTLKTPSRNSLKED >A04p005120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2635602:2642505:-1 gene:A04p005120.1_BraROA transcript:A04p005120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSEARNRKVVSVEKFDLEIPETAHQISSDSWFQVAFVLTTGINSAYVLGYSGTVMVPLGWIGGVVGLILATAISLYANSLIAKLHEFGGKRHIRYRDLAGFIYGKKMYRVTWGLQYVNLFMINCGYIILAGSALKAVYVLFRDDSVMKLPHFIAIAGVVCALFAIGIPHLSALGIWLGVSTILSLIYIVVAIVLSVKDGVNKPSRDYNIQGSSVDKIFTITGAAANLVFAFNTGMLPEIQATVKQPVVKNMMKALYFQFTAGVLPMYTVTFIGYWAYGSSTSTYLLNSVSGPLWVKALANISAFLQSVISLHIFASPTYEFMDTKYGIKGSPLALKNLLFRTVARGSYIAVSTLLSALLPFLGDFMSLTGAISTFPLTFILANHMYVVAMNDKLSPVQKLWHWLNVCFFGLMSLAAAIAAVRLIAVDSKNFHGSSLYFGRRHKRRKEEEEEDTVTESKMKGRQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTTEEVTWYKGKRMAYIYKAKTKKNGSHYRCIWGKVARPHGNSGVVRAKFTSNLPPKELEFECSCTQATYKRRRLDSRRGVHFTSIRRKLPLSYFSEANIFVRSWTLSAQREEKKGQRQKGVEGRMSSFTGTQQKCKACEKTVYPVELLSADGVSYHKSCFKCTHCKSRLQLSRYSSMEGVLYCKPHYEQLFKESGSFTKNFQSPAKPAEKSSPELTRTPSRVAGMFSGTLEKCATCSKTVYPIEKVTVESQTYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFAQLFKEKGSYNHLIKSASIKRSAAAAVAAGTPATAVPES >A01p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8923567:8931387:1 gene:A01p018410.1_BraROA transcript:A01p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINYSTILFPLLAAIMIYSVSANFHQDVEITWGDGRGQITNNGDLLTLSLDKSSGSGFQSKNEYLFGKIDMQIKLVAGNSAGTVTAYYLKSPGSTWDEIDFEFLGNLSGDPYTLHTNVFTQGKGDREQQFKLWFDPTIDFHTYSILWNPQRIIFSVDGIPIREFKNMESQGTLFPKNQPMRMYSSLWNAEDWATRGGLVKTDWSKAPFTASYRGFSEEACVVSNGKSSCSNGSGQGSGSGSWFSQELDSTGQERMRWVQSNYMIYNYCTDAKRFPQGLPRECLSAIYLSRQETTEYREKMAVSATKKPLLLLSLFFFVVAASAGNFYESFDITWGDGRAKILEDGQLLTCTLDKISGSGFQSKKEYLYGKIDMKLKLVAGNSAGTVTAYYLSSKGATWDEIDFEFLGNLTGQPYTIHTNVFTGGKGDREMQFHLWFDPTADFHTYTVHWNPVNIIFLVDGIPIRVFKNNEKNGVGYPTSQPMKIYSSLWEADDWATQGGRVKIDWSNAPFSASYRSFNDQSACSRTSNATWVACDANKDSWMWTSLNNHQYGQMKWVQDEFMIYNYCTDYKRFPQGLAKE >A06p017270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7730620:7736371:-1 gene:A06p017270.1_BraROA transcript:A06p017270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH4 [Source:Projected from Arabidopsis thaliana (AT1G19650) UniProtKB/Swiss-Prot;Acc:F4HP88] MRSLQAPIVCPSVRPRQLGVSASLVNCSVSRPRLLRNQFWGSPTRNVKSQVASVTLMVRRCKGIRCLFSSRSDGTGSTAENFNENDEDYVKSSVLEAVEVRSGPDGFMVKMRDGRQLRCVHNNPQGGNLPSYAPHSAIVLKMEDGTGLLLPIIVLEMPSVLLMAAMTNVKIARPTMYEVVMEMVDKMGYEVRLVRVTTRVHEAYYAQLFLSKVGDKSDCVSFDLRPSDAINIAVRCKVPVQVNKFLAYSDGMRVIESGKLSKQTPASDGLLHTELDRPNGQPCLDTKEFDLLNNMMQAVNEERYDEAGSSTLQLRSVLIMSGPLDRFTIPCFEGFSSTDERRERKSDFEVSEDEKKTRIGIFKKKASKASSKLRRSLSRKRRPSKGRSIDRTPSLTFEDIHDVEELRYVSEFRQSLISDYLLPPNLDDYHMMLRFLYARRFDLGKAKLMWANMIQWRKDFGTDTLLEDFEFPELDQVLKYYPQGYHGVDKEGRPVYIERLGRVDPCKLLQVTTLERYLRYHVKEFEKTVTIKFPACCIAAKRHVDSSTTILDVQGVGFKNLTKSARDLITQLQKIDNDNYPETLHRMFIINAGPGFKLLWGTVKSFLDPKTVSKIDVLGNKYQNKLLEVIDASQLPDFLGGTCTCADQGGCMRSDKGPWKDPEILKMGRSGGTFCRHAGAQISPSHKQTYYGMKASDTSTAESGSEVEELSSPKTNIYNHVPKLTPVSENLKANGKASPSVLSEYEDCVPMVDKVVDVAWQSQEMITNVSKGPEYTSGLERIETVNHIWRWLTMFFMNILALFASLTLPQTIRHSQLIPSSARDELCDEPNARESRPPSPSRPSTIDERVIMSSVVSRLGDLEKQIETLHLRKSEMPHEKEELLNAAVYRVDALEAELINTKKALHEALMKQEELLGYIDRQEEAKERSSAGEEMRVN >A04p041120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23172163:23173118:1 gene:A04p041120.1_BraROA transcript:A04p041120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGTGASFLLLLLALVMVVATADYYVPPPTTHTPSEPYVPPTTFTSPVKTPYLPNTEIAIEGLIFCKSGNETYPLQGAKVNVVCPIVDSNGRLVAKATLSSYPTDLKGYFYFITYGLSHKVKSINGCKVKLESSPVSTCKTPTNVNKGVTGATLSSDSSKFISRDNLDLYTLEPFYFCSPGSPKPVY >A08p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24987051:24988036:-1 gene:A08p045630.1_BraROA transcript:A08p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGTSGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >A01p058910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33677638:33679336:-1 gene:A01p058910.1_BraROA transcript:A01p058910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNAGVPMSSLSPLLINQEAMWQMNLSSDETMETGSYPERPGEPDCSYYIRTGLCRFGSTCRFNHPRDRELVIATARMRGEYPERIGQPECEYYLKTGTCKFGVTCKFHHPRNKAGVAGRVSLNMLGYPLRSNEVDCAYFLRTGHCKFGATCKFNHPQPQPTTNLMVPTSGQQQSYPWSRASFIASPRWQDPSGFTPLMMPQGVVWNPYSGQLGSVSPSGTGNEHNNYRNMQQNESGSSVQPSENVFPERPGQPECQFYMKTGDCKFGTVCKFHHPRDRQPPSPDCLLSPIGLPLRPGEPVCVFYSRYGICKFGPSCKFNHPMEIFAYDNTASETDEVVETSRGDSRRVSVSETRQATTTTSGQDTPTDTQQQ >A05p002450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:827560:830551:1 gene:A05p002450.1_BraROA transcript:A05p002450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT2G46480) UniProtKB/TrEMBL;Acc:A0A068FL09] MTFRVPAHINNRFLNNSLRGLLGEPERSRTIQFVDRSSLRIIDQGLRLGMARRVEGRNRFRDFFLCSLPVAFIMAFLYVLVSSSIFGFYAPHIHSPFDNKESNFIDKNLDWREKAALESFPSLFSKEASVSFMLDALNGTATTSDSDTLSIDAIRKKESSLSWRVEDEVESSEDHMVNNFGHGTWTREGGGQVLNDTPEKLYQRRMRQERREKRAKDLMNKDNHQALEKAAIQRSRSIDSVVPGNYSIWRNEYHKSKNFEDLRVLDTIRDMGQLLARARKQLYDCNLVTNKLRAMLQTAEEELVSTQTHTTFLNQLASKSIPHAIHCLTMTLNLEYSLLPAPMRHFPRMENLENPDLYHYALFSDNVLAASVVVNSTVTNAQDPSLHVFHLVTDKLNFGAMSMWFLLNPHKEATVQVQRFEDFSWLNSSYSPVLKQLESEAMKEFYFKTERSESAESGAESLKYRYPKYLSMLNHLRFYIPKIFPKLDKILFLDDDVVVQKDLAPLWSVDLKGKVNGAVETCGVTFHRLKAYLNFSDQHVSDKFDPEYCGWAHGMNIFDLKEWRKHNITETYHFWQNLNENRTLWKLGTLPPGLITFYNLTQPLQKKWHLLGLGYNREIDVKKIERSAVVHFNGHSKPWTELGISKYQPYWTKYTNLEHPYISSCRLLE >A08p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22547431:22552516:1 gene:A08p039090.1_BraROA transcript:A08p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETYDEECDYLFKAVLIGDSAVGKSNLLSRFTRDEFRLDSKPTIGVDFAYRNVRVCGKTIKAQIWDTAGQERFRAITSSYYRGALGALLIYDITRRQTFQNIKKWLSELRGFSSPDTVVVLVGNKSDLQQSREVDEEEGKSLAELEGLYFLETSALQNQNVEEAFLSMIERIHEVLIQKIALDNKSNGDDGDVPVVPPGREIVNIDEVTATRPLMKEMANWISSKLKAAETILQQIDQQAAESLRKDEKPETTYDEAFETFSKSASLVSLKDQLRKKTYEGSSSIDGSQRNSLELKPSGKTLRKSDQAQERATSKSLREDKPITTLTDNDWTEILSAPPNNQGTSTSKPRTPRGTASVVRGLKKDGKKNPLVSDGKKSSGNGGKPQKQMDKEVSSRPSGADIESKNDSQDTHKESEKDVNAAAPPPPDDSSRLTNETFSREKLSNVGRKEGREPRRSDVLGEQVKGEVSGSNVSEGLKRKDSSFSSGDSESDYESDSSTDSERERQRDERRRRREIIFAEKVAAKAVEVIKERENMVARLEGEKQSLEKIVVERAKQQAQEASELQTNMMETLDAADLEKQKHNNTRMEVFSHLAGLEATNTELTRSLAAGQKKLQTQIDQVTLLREQVEVKESALEDASRGDIFEHQMLEAEISLLTDKIGRLQDKVRYHSYLLVTYSPGSIWNDLANKLEADISTMKKELEEPTEVETELRRRLDQLTDHLIQKQSQVEALSSDKATLSFRIEAVTRLIEENKGMSATEASSQDFEAGEWKLSGSKLKPAFQSKIRSGKKHLGWLVMQLNAIFVSGTVFLRRNPTAKIWVLVYLVCLHLWVLYILLSHSSTSSSNELKSGAVISLENSSLQ >A02p005200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2258453:2260900:-1 gene:A02p005200.1_BraROA transcript:A02p005200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRPSDSSGTDDDLPPSRYQRSGRPAAGNGRPSVLNSAPLSRVHNDMETQIHLIEQEAYSSILRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLSRLIKSNVLANEVNLNHVREWRKGNSFQSGAPQMVHDNAPSPAVSGSRKKQKTSQPIASLAMGAPSPAMHPSMQPSSSAALRRGGPPPGPKTKKPKTFPATGIAGRPQPGAVANEPDPLVGKKVWTKWPEDNNFYEAVITDYKAAEGRHALVYDMNTLNETWEWISPGDIRWEGEDAGVSRKGGHPGQGRGSTKAMARGGPAGQAIGRGRGSMKIQQHKAQNGVGKKALGDIEILHTDTLIKEVEKVFRSVNPSPAEVEKAKKVLRDHEQALVDAIARLEEMSDGESDDGVRALDWLRGVDGGGKCEWMMEIWKLVWISKLYFV >A09g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11951116:11952041:-1 gene:A09g503790.1_BraROA transcript:A09g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEDGVANSVVLSVDVKEFVMVVDRSGEGGGWCKQTDEAEAERWRHDELGWGPRLFKTRAGGSLLIPINQFT >A07p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20892639:20894002:-1 gene:A07p039350.1_BraROA transcript:A07p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEEERARPEKLSVYLYIPNIVGYMRVVLNCVAFAVCFSNKTLFSLLYFFSFCCDAVDGWCARRFNQVSTFGAVLDMVTDRVSTACLLVILSQVYRPSLVFLSLLALDIASHWLQMYSTFLAGKSSHKDVKDSTSWLFRLYYGNRIFMCYCCVSCEVLYIILLLIAKNQTENLLNVVVSTLTQISPLSFLLALTLFGWSMKQTINIIQMKTAADVCVMYDIEKQQHKP >A05p052890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30500932:30503549:1 gene:A05p052890.1_BraROA transcript:A05p052890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLPITRRRFLSSHKSLVTVFWIAAFASLFIWQSRGRGGGGGGASLYRVNGSLSSVFWWTATTTTTSSDAGEFPRLRPVSFNLTDFGAVGDGVTVNTEAFERAVYAISKLSKKGGGQLNVPPGRWLTAPFNLTSFMTLFLAEDAEILAVQDETLWPLLPPLPSYGYGREHYGPRYGSFIHGQNLKDVVVTGNNGSINGQGVYWWKKYRSKLLNHTRGPLVQIMWSSDVVFANITLRDSPFWTLHPYDCKNVTITNMTILAPVFEAPNTDGIDPDSCEDMLIENSYISVGDDGIAIKSGWDQYGTNYGRPSKNILIRNLIIRSMVSAGISIGSEMSGGVSNITVENILIWSSRRGVRIKTAPGRGGYVRDITFRNVTLDELRVGIVVKTDYNEHPDGGFNPQAFPVLENINYTGIYGQGVRVPVRMQGSKEIPVKNVTFRDMSVGITYKKKHIFQCAYVQGRVIGTIFPAPCENLDRYDEQEQLVKQSDSQNATDIDYEI >A04p000800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:401585:402804:1 gene:A04p000800.1_BraROA transcript:A04p000800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRKNHPHAFVAKPETSSDGTNNLMVWQCIIPGKSGTDWEGGFYPLTLNFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILVGIQDLLDEPNPNDPAQTEGYQLFVQDKNEYKRRVKQQAKQYPTVL >A05p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6555768:6558730:-1 gene:A05p014910.1_BraROA transcript:A05p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSSPATMTPFVVTGERVVVAVVVSRVLLSLPLSLISHGFSLFLLCLSAFLIELRAESSPLLLSRFSARRGASSGILLGAVTLPAVMMSKLVQLTRAISLHQAEQDELAHVTMQYWAASASCCAILIYLSVVMSQGKKNESSSSSVWLTRVSLTGTVLYGAACFVSLSMISHTGLNTSLKMVWMLFHGLAAVKLIRHLLFTFTSCASFGEALLVTSGLVLYFGDFLACTIAKICEKLIPVDLVSISYGIRRTETGIIVQGLLLGLLLFPMVFRFVLHIYERSLRKRDGPPRNCSDTAKSVLFFASLLLFMFVAVPSWMQFVHDFHQHPFLWVLTFVFSEPLKRLSLCIYWVLLIVVSVSRFYNISRGSKVERILLRKYYHLMAVLMFLPALVLQPKFLDLAFGAALAVFIALEIIRIWRIQPLGEPLHHFMNAFTDHRDSELLIVSHFSLLLGCALPIWMSSGFNDRALSPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTVEGTAAGITSMMAVCYVLVPVLASMGYILSQGWWSLLVAVTATGMLEAYTAQLDNAFIPLVFYALLCL >A05p026060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13852561:13853330:-1 gene:A05p026060.1_BraROA transcript:A05p026060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVFMLNKQPIICGQCLGGSLGSHHTIQADLTFCPNVESKNASQRSNQQTVKRMGCWITGAWFNANEMVAPPPPPPPPPLKSIALRSRKS >A01p053820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29834923:29836509:1 gene:A01p053820.1_BraROA transcript:A01p053820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEREMSYTMVTDESDDAMYSSIYNESPSAADNIGSGCTSRGKGSVLKKGPWTSTEDGILIDYVKRHGEGNWNTVQKHTSLARCGKSCRLRWANHLRPNLKKGAFSKEEEQLIVEMHARMGNKWAQMAEHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPGTHVEDLHWSQEYHPSTSNVTDRRRHQDILQLGNSKANVLFDDLTFANVLFEDLNFATSLLPVASDISDMLGTGASSYMSPILPSHSNIRQAFQSPEHFQNAAPQKNPRSCSISDHPLYGNQHRTDVMIQDSHHTFTDGMVPTSKPLFGAVKLELPSFQYSETSGFDQWTTPSTPQSDLLDSVDTYIQSPPPLEIDEPDCFSSCDTGLLDMLLHEAKIKASAKHSFSSTTCATDGTQDVPRGGDTHNVTSGAGGNSSGEIKVYSLIGCKVVKTEELDQVWEPKRADVIRPDVLLESSWQDQQSRFGIVRDSSSLNDALALLLGG >A01p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7103783:7106333:-1 gene:A01p014700.1_BraROA transcript:A01p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQGSTKTLALFLAVISIVFPSQTEAHSLPLHCHYPPPRVCPPCPPPLSPPPCPKIPPPPCPAPAMIPPPPCPAPMPPPPCPRLPPPPPCPQPPTSQPPPPPNTPPPTPNSQPSQPPLKTPPPPPPPPPPTTPPPPPPKTPPPETPPPPPPNSEPPMPPPQTSPPPPPPKTPPPPPPPNSQPPPPAPNSQPPMPPPQTPPPPPPPKTPPPNVQPPPPPPSTCPRNAGQIRACSNVLRRSGNFLDFENAQPCCSLIRDLSDAEAAACICNLVNARPNTLSPNITILCRTCGRNIPRDSLALFLLFNVLFFTLTTATRSTNCPPPPRKHNKHKPSPPTTTGTCPKDTLKLGVCVNALNLLNDVTLGTPPVTPCCSLIKGLVDLEAAVCLCTALKASVLGINLNLPINLSLLLNVCKRKSPPGFQCP >A09g508910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25040957:25041560:-1 gene:A09g508910.1_BraROA transcript:A09g508910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSGKHGLSLLRSSGDSIRKFDENAWIDVCRCSEEFNRYTATELRLELGRYVATERDERSIATGTIARSLRSDRAGRSLGRYVATELWLEHDRYVATDWDDRSLGHYVATELGRARSLHSDQAGRSIGRYVATELFARARSLRSDRTGRALGRYIATEVGRARSLRRNRVG >A03p019450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7929203:7931122:-1 gene:A03p019450.1_BraROA transcript:A03p019450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSINQVIGSTSATSADANKPVFLSKAQREDLALNRPHDPIPDHRLLREQLDRSTPDRERRRGRDRDGDRGRKRSRRERDREREEEEAKSRDKARVEKLLDREKELEAIKEQYLGVKKPKKRVTKPSEKLRFSFDWENTEDTSRDVNALYQNPHEAQLLFGRGFLAGTDRRQQKKHYTSVDRHWSDKRLDDMSERDWRIFKEDFNISYKGSKIPPPMRSWEESKLSSELLKAVERAGYKAPMPIQMAAIPLGLQQRDVIGVAETGSGKTHAFVLPMLAYIARLPPMSEENVREGPYAVVMAPTHELAQQIEKETVKFARCLGLRVVLTVGGQSIEEQGLKLAQGCEIVIATPGRLIDCLERRFVVLNQCNYVVLDEADRMIDMGFEPQVACVLDAMPSSNLKPEKEEEELDEKKIYRTTYMFSATMASGVERLARKYLRNPVVVTIGTAGKATDLISQHVIMMKESEKFFRLQKLLDELGDKTAFVFVNTRIKCHSIAKNLDKAGYRVTTSHGGKSQEQREISLEGFRAKRYNVLVATDVVGRGIDIQDVAHVINYDMPKPIEMYTHRIGRTGRAGKSGVATTFLTLNDTDVFYDLKQILVQSNSAVPLELARHEASRFKPGTVPDRPPRHSDTGYIN >A09p079150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58328214:58338180:-1 gene:A09p079150.1_BraROA transcript:A09p079150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVSEVLDFFGVDPAKGLSDSQVDHHSRMFGRNGTPFWKLVLKQFDDLLVKILIVAAIVSFVLALANGETGLTAFLEPFVILLILAANAAVGVITETNAEKALEELRAYQANIATVLRNGFEYMQVFVLMAFNINMYTMAGCFSILPASELVPGDIVEVTVGCKIPADLRIIEMSSNEFRVDQAILTGESCSVEKDVECTSTTNAVYQDKKNILFSGTDVVAGRGRAVVIGVGSNTAMGSIHDSMLQTDDEATPLKKKLDEFGSFLAKVIAGICVLVWVVNIGHFSDPSHGGFFKGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKKMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVQSADYGPMISEFNVSGTTYAPEGTVFDSNSQQLDCPAQSPCLHYLAMCSSLCNDSVLQYNPDKDSYEKIGESTEVALRVLAEKVGLPGFDSMPSALNMLSKHERASYCNHYWENQFKKVYVLEFTRDRKMMSVLCSHKQMDVMFSKGAPESIIARCTKLLCNSDGSVVPLTAASRAELESRFASFGDETLRCLALAFKTVPHGQQTISYDNENDLTFIGLVGMLDPPRKEVRDAMLACMTAGIRVIVVTGDNKSTAESICKKIGAFDNLVDFSGLSYTASEFERLPAMQQTTALQRMTLFSRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVGEAVVTGWLFFRYLVIGVYVGLATVAGFIWWFIYSDGGPKLTYSELMNFETCALRETSYPCSIFEDRHPSTVAMTVLVVVEMFNALNNLSENQSLLVITPRSNLWLVGSIILTMVLHMLILYVHPLAVLFSVTPLSWGEWTAVLYLSFPVSFLTLELLLHSLFTVEPCLAIDQLSSRMDTNLSRNSMKVIIIDEVLKFLSRNTGLRFRFRLRKMDLLPKDRRDR >A10p001260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:648903:650560:1 gene:A10p001260.1_BraROA transcript:A10p001260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRNLIASGSRLGKRFCATVFSSASSAGVVESSVSAPLTAASRQREIYKKLSKLSVTGGTVTQTLNQFIMEGTPVRKDDLFRCAKDLRKFRRHQHALEIFDWMEMRKMTLSIADHAIRLDLIAKTKGLEAAESYFNGLDPSTKSHQSTYGALMNCYCVELKEEKAKSHFEKMDELNFVNNTLPFNNMMSMYMRLGQPEKVPVLVDEMKKREISPCGITYSIWMQSCGSLNDLEGLEKVIDEMNKDSEAKTTWNTFSSLAGIYTKAGLHEKAESALRTMEEKMNPNNRDAHHFLISLYAGISKASEVERVWESLKKARPEVNNISYLVMLQALSKLGDIDGVKKVFTEWESKCYAYDMRLVNIAINAYLKGGMYREAEMILEGALKKCKGPFSKSRQLLMVHLLEKGEAGLAMKHLEAAASDLVENRDDEWSWSSELVSLFFLHFEKAKDVEGAEELCRILSKWRPLDSETVTFLIKTYAAVEKTCPDMRERLFREQIEVSEEMQDLLETVCPQN >A01g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10667047:10670055:1 gene:A01g503350.1_BraROA transcript:A01g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAATATSLTTLRARSPAIIPSSTRNLRSKVRCSSSSSLRASLSNGLLSPYTGGSISSDFCGAKLRSESLNPLNISSSKPKRGVVTMVIPFSKGSAHEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKNGEKLGYDTEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLTAGAKGNRSALPSSTIMIKQPIARFQGQATDVEIARKEIRHIKTEMVKLYSKHIGKSPEQIEADMKRPKYFSPSEAVEYGIIDKVVYNERSSQDRGVGDLTVDQGDIDTEPK >A09p002980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1776915:1787427:1 gene:A09p002980.1_BraROA transcript:A09p002980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSKMVSSSSSLTPVMKDGECRSWSELPYVLTSSILRRLDYTDILVNAQRVCRSWRRVCKDPAMWSKIDLRDLGKFRYLVKTLCRHILDLSQGGLVELDMWYIGPDSLLDYIAYRSSNLRSLKLDLISMITTDGLTEALGKLPLLEELELSRYTLSGDSLKVVGQSCPKLKTLKLHSLEIRPPGYGNDDDALAIAETMHGLRFLLLFGNCLTKVGLNAILDNCLDLEHLDLRLCFNFKLVGDLEKRCSERIKVLRRPFDSPDCPYDEREIHADISDDEVPFVPDTKMASSPLTPVMKEDGECRNWSELPYELMASILSRLDTIDILENAQKVCTSWHRVCKDPAMWRKIDFRYFGDKKYNLETMCRHAVDLCQGGLLEIDISCFGTDSLLNYIADRSSILRSLELALISVTTEGLAEAIGKLPFLEELEITEFAMWGCYLKVVGQSCPKLKTLKLNCIRDGFDPPFYVSDDDALAIAETMHGLRFLQLFSNGLTDAGLKAILDNCPDLEHLDLNHCFNNEVLEQIHQLQSSLWLKGWSNAIHEALAELPYELTSSILRSLSSIDILENAQRVCTSWRHVCKDPEMWRKIDMRNLVDVGYTLEIMCRHAVDRSQRGLVEINIWHFATDSLLNHIAERSSNLRSLRLVMCSRITNDGLAKALAKLPLLEELEFSYCPLSVESLRLSGRSCPNLKTLKLNRLRLMRFPYESDDDALAIAETMPKLSHLQLFANTLTDAGLNAILDNCPNLEHLDLRECRSVKLSGDLRKRCSERIKVLREPFDFGTNPSTAKFRVAEGMTKRTPSSSGLAMTMHPSQKEREFTFLCNDNTEHESKIKHIILSICWFFKFFFTKMASSSCSGLFPPEPPLLTGECRSWAELPSELTSLILRRLGSIDMLENAQKVCTSWRRVCKDTAMWRKIDMRNSGDLVLNLEMMCRHAVDRSQGGLSLPNLKTLKLNRIGFLRPRYESDIDALAIAETMHGLRFLQLFGNILTNGGLNAILDNCPDLVHLDLRFCFNVYNVGDLVTRLCSEKIKVLRLPYDSTDYPYAGNYYDIDSSDEDSPWPLMAANDYYHSFAGYSDHSDDDYY >A03g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20676029:20677441:-1 gene:A03g505820.1_BraROA transcript:A03g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNTMWVSNVLLVVLYEQDDKQFLDTFVSNQYVHSTDSSCGNCCNFVEFPRKLLTGQDMSYKDQSILYEPIKELDIMLNQTVLVDAGVSVDIISGVNDPPPISCLFPRSAPYNTFRSCRNLHSFDVDNIRFLGTYGKKINDLHKYSEAKSKLDFVERALRWRHLASTAPNTLRSEGQLVRLICIPKFCEIGIAVAVKELKESGVSHT >A04p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12247248:12249557:1 gene:A04p020010.1_BraROA transcript:A04p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRSEVFPSSRLDNDAGALFVLQSKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWWLGFVCLTTMGLVTFYAYYLMSKVLDHCEKSGRRHIRFRELAADVLGSGWMFYVVIFIQTAINTGIGIGAILLAGQCLEIMYSSLFPQGTLKLYEFIAMVTAVMVVLSQLPSFHSLRHINCVSLLLSLGYTFLVVAACINLGLSKHAPKREYSLEPSDSGRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMLKGLLLCYSVIFFTFYSAAISGYWVFGNNSSSNILKNLMPDQGPTLAPIVVIGLAVIFVLLQLFAIGLVYSQVAYEIMEKKSADTTKGMFSRRNLVPRLILRTLYMAFCGFMAAMLPFFGDINAVVGAIGFIPLDFVLPMLLYNMTYKPTKKSFTYWINMTIMVVFTCAGLMGAFSSVRKLVLDANKFKLFSSEVVD >SC224g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000079.1:109:3448:1 gene:SC224g500010.1_BraROA transcript:SC224g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAHVGCLFSTHRTSVGVRQHTQDVRGFRSTHIGRPWLSVCVHVFPSEHTGHPWLSISTHISTLVIGISTLGNPVDCLGDVVARGLSVQYTQDVRGCPPAHIGRPWVSVSTHRMSVCPSAPTGSQRLSVGVRQHTQDVRRCPSVHISARWSLDSARWPFPWTVWVILAHVGSSTHRTSVAVRVCPCFSINTHRTFLAVHQYTYQHAVPWTQHTGPSHGLFGTLGHPVDCLGDFGPRGLPVQYTQDVRGCPPEHTGRPWVSTRTHRTSMGVRQHTEDICGCPSAHTGRLCVSVSTHRSSVAVRVCPSAHTGRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPCGLSVQYAQDVRGCPSAHTGRSCVSVSTHRTSVAVRVCPCVLTSAHTGRPWRSISTHISTLVLELSTLAHPVNSLMILAHDVRGCPPAHTGCLWLSVSTHMTSVAVRVCRCVSVKLTQNLGCPSLTHISTWVHWPQHAGQSRGLTHRTSVGVRQHTQDVRVCPSAHTGTPWLSVGVRQHTHDVRRCPSVHISARWSLDSARWPFPWTVRVILAHVGCLFSTQRTSVGVRQHTQDVRGCSCVSVFFRQHTQDVPGCPSVHISARCSLDSAHWPFPWTLWVILVHVGCLFSTHRTSVGVRQHTHDVVFVRQHINAVRSCPCVSVSTHRTSVCVRQHTQVIRGCPCVSVSTHRTSVAVHQYTYQHGDFGPCGLSVQYAQDVRGCPSAHTGRSCVSVSTHRTSVAVRVCPVCADVSTHRMSVTVHQYTYQHASRWTQHAGHSRGLFRTSVAVHQHSQDIHGCPCVSVCVRVSPSAHTGRLWLSISTHISTLVLGLSTLALPVDCSSDLAHVGCLFSTHRTSVGVRQHTQDVRVCPSAHTGRLWLSISTHISTLVLGLRALTLPVDCSAHTGRSCVSVSTHRTSVAVRVCPCVSVNTQRTSVAVHQYTYQHIGP >A03g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11460670:11461809:1 gene:A03g503450.1_BraROA transcript:A03g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTMVVSLPPQSQTSSLFYGVNNPYLKNGPKGFKEYKILKNGDMYLRIDLPGVPMKAAVEVSLWADDKGVEALVDAPKQHKHDSSQRTYCPIIGFMCGRCKVLRFTSQVCDGVLRLVLTPAARILGGRFLGGADGEETYFCSSAVHWLPYASDPYDPSLTGPVLEPNPSVNEGSPMAYESKRLPNGSLYVRVDMPGVPKDRFTVSVADGRVTVTGEAPAVSHDSDGRFYSGDVALLDTLVTFRRRWIKTIVKDGIIRLIIPNL >A07p046670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25161315:25163456:-1 gene:A07p046670.1_BraROA transcript:A07p046670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDLAISVADIRMENEQPDDLASDNVAEIDVSDEEIDAEDLERRMWKDRVRLKRIKERQKGGSQGPQAKEAPKKISDQAQRKKMSRAQDGILKYMLKLMEVCKVRGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEEECLAFGKRKTVVNSDSDYDVDGTEEASGSVSSKDSRRNQVPAATSQQPVRDQDKAEKHKRRKRPRIRSGTVNVQDEQQPEAEERNVIPDMNHVEAPMLDYNINGATHHLEEGVLEPNISLGPEENGLELVVPEFDTNYTYLPPVDGQAMMPVDERPMLYGANPNQELQFGSGYNYYNPSAVFVHNQEEDLIHTQIEMNSQAPPHSNGFDGQGGVLQPHGNEEVGVAGRDMPPQFPSDQDKLLDSNILSPFSDLPFDSSTFYSGFDTFGAFDDDYSWFKGTWL >A03g507220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25496603:25498184:1 gene:A03g507220.1_BraROA transcript:A03g507220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYLHFFLEFENTKVKGMELKLPSRLYGEGLEPHVKKINNSCRLKLLELLKKKIEPELDEVMKDLIFSHIMVIQKNDLNFSARLREKNSGLVTWKDDDGFWSNQIKTNRKINLQFIKKKHLEESNTWTWVDRVRLIYLCVIMGVVMGKDEKIWIMEYVPALGEICGTKVSKNFTGLVVIGEDVQNVLMKISLALKTYSQKTILHSFMEFHIDGVVLLTTDFVQKDENKDERVDRILDMINRKHDWNNHVWGVKESTSSEFEEANEEKREDQSADTERGENSHVAENVDGTVDVSGRNKRKHADRGAESRKKCLVPTSCFIKREY >A09p043980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35788524:35789946:-1 gene:A09p043980.1_BraROA transcript:A09p043980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIVKVLDSRREDGFGKKRKRAASYAAYVTGVSCAKLQDVPPPNPQSQVPDKRRKLEGAYENLSGKSLVRYYSYFKKTGIAKRVMIYEKGDWNDLPDPVICAIRTELNEKRAAIEFEWCGQHFLLDFLHMHRLDLETGAKTPLAWIDIEGKCSFPEIYESDERNDCCNHKCVEYSKQYVPHDIKLRLEIDVNAGEPRLNLEECSDESGDSMDDDPAEDSCSRRIEPAVSKWDETDAIAVSGVKPAGAEGLDKDAVKKMFAVGTASLGHVAVLDVGRFSSEIAEARLELFQKQVEITKKHRGDANVRYAWLPAKREVLSGIMMQGLGVGGAFIRKSMYGVGIHLTAADCPYFSARYCDIDENGVRYMVLCRVIMGNMELLRGDKAQFFSGGEEYDNGVDDVENPKNYIVWNINMNTHIFPEYVVRFKLSVPHNAEGD >A03g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30021395:30026328:-1 gene:A03g509130.1_BraROA transcript:A03g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKEGPIAQFLGYGPPLQCITTPFPPRLGVTQSYRCLYSYPAIFIRCYGPASPPFLNATAAALSFFPPSPGILSHPSRVRETGSEIYNTKRPPPPLAAAHGEERERGERDAVRREKRGRGSTGRERERSSTARASCLRDFSAELRSGISDERQGSLLFKTPNGTLGPTQLKRTIPPLFLLLPVKSPALVGPSPSSSGTDRLCSVLRPLPAKTRRDSILPAPLFLPCHFYPMLWPRISAVFERYDRRVIFLSTLPGYFIPPFPEVRETGSEIYNTKRPPPPLAAAHGEERERGERCGEEREKRERQRGEREREIVDG >A07p001320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:219314:219687:-1 gene:A07p001320.1_BraROA transcript:A07p001320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSFLNAMMHLSQNLLLMLGGDHSADDVMPGENPVASKESTNANHQPSSSVVPGGGRLALTKPVDGPNDNGKKKPCLA >A09g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15101005:15101768:1 gene:A09g504950.1_BraROA transcript:A09g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A08g507160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12456107:12457248:-1 gene:A08g507160.1_BraROA transcript:A08g507160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKQHRMCCWFCGKVGHKKVECFAREKSRNMAKKVNKTFTKPRRVEEVSLAKSGLLDEIKDETSEDGCNSGRSDLEVDQEASSLEPGHEVVCGTKGKEIQVHQEVVLDDLQVCDSEITPRQYQRVQRALGADGEGLMVKEPTYEGSQVLNKSGSRGSSTGASDRDAYAYLMGEKNMVWCTSRWGEKHIWYESFQVRNVVATWLLNQKSVVLSWSRVDLESKGVSWRYGKKNKLEEQWLKYSTEERHKR >A07p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1538895:1539349:1 gene:A07p006700.1_BraROA transcript:A07p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTSRAKAHSYTTDTKFNDGQSCKQCKKVIVYCCPYGCRATSLKRPTEPELIHVPHTPNLRMDSLARSARKQSSIVVYMDAELLIWFT >A05p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20023597:20031756:-1 gene:A05p035300.1_BraROA transcript:A05p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGKTFNAHVLSATYHHKHVRPMACEEDSDFRRGIGELLSRWGGLQMAVKNKWGGHDSLEKSQELAHDLFHLLSQTNVITVDEIESFLHESLLLSFNTEMEDGSIEEVAEQLMILHEEICLRGTSARVFQWFPIYHQSQIYKYHNHHTATTINKPANCLSLSTLMDSMIKISNLSDLKPFKSAWRVHVKVLHTWMSINPDNGLSNLEMVLTDENGVKIQASCKQSLFQLFQRHCRVGEWKVITNFSLSPVCGLYRHTNHVYKIEFMSQTLITDSNLHCDNMFLELKQFDNIKNGSHDTSFLIDVIGEVLDFGGLDIVQCARKEVTKMEFTLRDINDNRLQCCIAGKIAEIMAQENKQPNNGDICLIRYAKLGNYKGELQVSNAFDSSLVLLNPDIKEAQALKNMQPKHDNVMILEKRQKWSQFPFKTIQEMKRTDKVLIFEMLFSKRFFKISEHVFIFHSISVCDYPQTIQKNLQIRDRKKAQETDLSADSHQKEAQQWITDGGKKGY >A01p037200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17193744:17194562:-1 gene:A01p037200.1_BraROA transcript:A01p037200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YISPDLGPQLSLVGAKKVSIDSNNGVLIDTPFSPLIDTTNELSTMNLLGSVMHGELSFRMRPNIFRHAISIDV >A03p001200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:620309:622116:1 gene:A03p001200.1_BraROA transcript:A03p001200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHEENTSIVEWFLGPNPFTYPPYGVEMIHDEEEAYHHHHHQTGEYYREYEEDHRSSSDVDNDEIIARTLQDDFLQLQIAEENNNGYAQQQQQQHQEGYTNNYNNNNNEYGWNEQPALEYSSEWVGNDNDQGCDSPNIFSCSSPSDTDEYVYSWESDQCEADGEFGRRLNQMVPIPYVPKINGEIPPEEEAVSDHERLRNRLELFDFAEVRVPGDGNCQFRALADQLYKTADRHKYVRRQIVKQLKASPESYEGYVPMKFSDYLRKMSRSGEWGDHVTLQAAADVYRVKIVVLTSFKNTCYIEILPTSQESKGVIFLSFWAEVHYNSIYLNRDTSTTELQRRKKWWRFGN >A01g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18173921:18183054:1 gene:A01g506210.1_BraROA transcript:A01g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSLRSDRALARARSLRSDRAGRSLGRYVATELWLELGRNVATERDDRSVATDRAGRSLGRYVATELCRYVATDSLTGRYVANGSKPRSVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKKDLSEALQSDRSLRSEWKQAETSPTCFRRRYVATDSLTGCYVASGSKPRRVPLVFVVKSQRKLRLRRNEKRFDEDSKENPKVDLSEALQIANGRQARSVLLFFRRKILHETPIETNEKADGSKPRRGPLVFVVKSQRKLRLRRNEKRRYVATDSLTGRYVASGSKPRRVPLVFVVKFQRKLRLRRNKKRFDEDSKEKPKVDLSEALQIACVLGRYVATEHVRARSLRHDRAVCVLGRYVATELCNRFFVFPFSAINVGVFQRFFWENKFYPSEMFSENVFWEKSRACFSALPVAEGAVSAASLSFIYPNIVSIKRFVAMSKSNPGPGSLREPTTSDRIYAEIMKRLETSLEKTVDLISSPRKSVAIITREYKGFGRRGRQRAAYVPLSRIKPNVVQLIELDKRSNCLGEFVYSPSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEISHFSRSSQLSSKLPVNQAVVYGLLIRKIVGWASSRVLGPFGPSSDSTRLLRVFRACYGLREIAFEGFDENARTGVVLTFGKVQSLHSDRTLARARSLPTELWLELGRYVATELRLELGRNVATERDDRLVATDRALARARSLRSDQAGRSLGRYVATELWLELGRYVATERDDRSVATDRALARARSQRSDRAGQSLGRYRPSCVHAWLPRIDRAWLVRGLISILELVRGRFGYMSVAFGQSVFSGSIEIWTIFYCKAVSKDIFTKITFRKNGYADFYGLSDIDSVVTDFDPNIRSDRARAKAWSLRSDRAIVPLGRCVATKAIVPLGRYVATELEPKLGRYIATKRSSRSAATDRALVSLGRYIATGLEQKFGRCECYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKYGSFEDNCEDREKWNISIFML >A05p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19835636:19839256:-1 gene:A05p035060.1_BraROA transcript:A05p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQKKKIETPTPKSKFEDSPVFNYINNLSPIEAVRSIPTVQTFNSLSFTSPPPVFTSPHASFHRDHNSVERSKALESLDRSVSTQEVVVASGEVDLNKEATLEDQEEETSCDRVDSPGTGDIVTQVLLDPSGGAPQGEDDGSSSQDVSVGLRKILDAQKENGTPRLMADAAELLVFRSPNDSEAFGCLVDKISSSERRFCAGVKLTKHRDITKDVPANDNQPLAVVPNQLVSNLHRGSMRRRCLDFEVLGKRKKDIADDDQQTVGDNKAESSSKCVVPGIGLHLNAIAMASRGIKINTIHEDSTSVEIQKSFLGSTTPVQSQDIMRETLDQAESEPGKGLAVEEETLKPLVFEELNQDSLQKKKQVFLPKKSYINRFLRKVEEPGEGDSCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCVDCFNRPIHEDTVLATRKQIESRNPLAFAPKVIRNSDSIMETSDDASKTPASARHKRGCNCKKSNCLKKYCECFQSGVGCSINCRCEGCKNAFGKKDAYLLAIMESKQEEDLSKIQQNSDLSKEVEQNHPSSDQPSTPLPPYRHMVVHQPFLSKNRLPPTQFFLGAGSSSFRKPDGDLAQARIEKKPLETVTEDKTEIMPEILSNTPITTVKAISPNSKRVSPPHIGSSESGAQPLQNAQSSFAFPAASSAPQQSVTSNQPAKHVKKASRGRPLKIRKIENIPSGSGTFWSPFTDRSFEVFGSRVYDRSATDSQPPQ >A04p025910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15674210:15678834:-1 gene:A04p025910.1_BraROA transcript:A04p025910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTGNGAELESANGSGVSDALPPPPPVIPPNVEPVKVLTEVAVKKNLRVPMARPGFGSKGQKIQLLTNHFGVKVANLQGFFYHYSVCLLATVMTNGNASPNGNEEPSDGDRKRLRRPNRSKSFRVEISYAAKIPLQALANAMRGQESENSQEAIRVLDIILRQHAARQGCLLVRQSFFHNDPSNCEPVGGNILGCRGFHSSFRTTQGGMSLNMDVTTTMIIKPGPLVDFVIANQGAKDPFTVDWSKKGLSDVCLLPLCRFEYRPRNAPKNENGESETVEITVYDYFLRERNLELQYSADLPCVNVGRPKRPTYIPLEHCTLIPLQRYTKALNTFQRSALVEKSRQKPQERMNVLSKALKVSNYDAEPLLRSCGISISSNFTQVEGRVLPAPKLKMGRGDELFPRNGRWNFNNKQFVEPTKIDKWAVANFSARCNVRQLVDDLIRIGGMKGIEIAAPFDVFEEGHQFRRAPPMIRVEKMFEEIQSKLPGAPQFLLCLLPERKNCDIYGPWKKKNLTEYGIVTQCMAPVRQPNDQYLTNCLLKINAKLGGLNSMLSVERTPAFTVISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLVSKYRASVRTQPSKAEMIESLVKKNGTEDDGIIKELLVDFYTSSGKRKPEHIIIFRDGVSESQFNQVLNIELDQIIEACKLLDENWNPKFLLLVAQKNHHTKFFQPNSPDNVPPGTIIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLYDEIHFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTFMKFEDQSETSSSHGGVTAPGPVSVAQLPKLKDNVANSMFFC >A02p028010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14146277:14147901:-1 gene:A02p028010.1_BraROA transcript:A02p028010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYPTSTVGMQSLYQEPIYLNEQASSSSAASFSGAGAGANCLEIPNSVPNEMVFIPPTSDTSLNGNVTVSSNDLSFHGGGLSLSLGNQIQYHYQNLSNQLSYNEENGKSHHHQVPSFGFYNNGFVSSVLRSRYLKPTQQLLDEVVSVKKTKNNEKGQDFTNGSKPNDTNNTVNNEELSPSERHELQSKKHKLLTMVDEVDKRYNQYYHQMEALASSFEMVAGVGAAKPYTSVALNRISRHFRCLRDAIKEQVQVIRGKLGEKETAEEQGERIPRLRYLDQRLRQQRALHQQLGMVRPTWRPQRGLPENSESEKIMLAKQTGLSKNQVANWFINARVRLWKPMIEEMYKEEFGDSPELLSNSNQDSSSNKKNRMNETSQLKHEDTSSSSQQQNHGNNNIPYTSDAEENLIFADPKPDSLMNYNGFGVVDYNGYIGLGNQQDGRFSNPHQLHDFVV >A01p001510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:632277:633878:-1 gene:A01p001510.1_BraROA transcript:A01p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHR [Source:Projected from Arabidopsis thaliana (AT4G37650) UniProtKB/TrEMBL;Acc:A0A178UYJ6] MDTLFRLVSLQQQQQSDSIITNQSSLSRTSTTTRGSPQTAYHYNHNNFPPNDLVEECYNLFMDEEGLSSSSSHHNHHHNNNPNSYYSPFTTPAQYHSATSSTPPSTAAAAALASPYSSSGHHNDPSAFSIPQTPPSFDFSSNAKWADSILLEAARAFSDKDTARAQQILWTLNELSSPYGDTEQKLASYFLQALFNRMTGSGERCYRTMVTAASTEKTCSFESTRKTVLKFQEVSPWATFGHVAANGAILEAVDGEAKIHIVDISSTFCTQWPTLLEALATRSDDTPHLRLTTVVVANKYVNDQTASHRMMKELGNRMEKFARLMGVPFKFNIIHHVGDLSEFDLNELDVKSDEVLAINCVGAMHGIMPRGNPRDAVISNFRRLRPRIVTVVEEEADLVGEELGFDDEFLRSFGECLRWFRVCFESLEESFPRTSNERLMLERVAGRAIVDLIACEPSGSTERRETARKWSRRMRNGGFGAVGYSDEVADDVRALLRRYKEGVWSMVPCSDATGIFLCWRDQPVVWASSWRPT >A05p013850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6033710:6034679:1 gene:A05p013850.1_BraROA transcript:A05p013850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFMGCFGLSSNKKRRNSTRKILPRHQRICSYELLHSSDPTDASTIADNPEKISSSNLRCEVETEEEEKKGTKKTRKRVRFDLNVQTFEPTPPSRYENYCSDDADEEGKGELNKESSVDLTSSSVYPPNYRYHNCVDSFEEEEDELGYGESDLEDEDYYTDDENEYEDDADDEEDYEDKDVTPLLNPVENITQWKAVKAKPARVKELMKENVEVVTDDQAKPLLKEIIVNTSLSSWVSPFVDITNMEKR >A02g505510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16425035:16425769:-1 gene:A02g505510.1_BraROA transcript:A02g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKKMVKRDVAIASSSHAEDESLRPHLADEGSEATPPRNYLPITNLLPEVTEELDEFNTAHEKALSDESGDQQVSSVQEEVPIAPDATTSTDPAPPASQSTPLEAMPSESLRSENRNQVTDPRLLLCPDEKIDSGDKAEEQSQDRSEAGAEDVVSLGSSAEEISEKRSRKKRVMKKLGLGPSKRRKTSKSRASKSTSKAPLTDELSPPVLAHNTSVSSRVRSRKASERSAAVKVSPVTKRAH >A06p015310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6858816:6859394:1 gene:A06p015310.1_BraROA transcript:A06p015310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kunitz trypsin inhibitor 2 [Source:Projected from Arabidopsis thaliana (AT1G17860) UniProtKB/Swiss-Prot;Acc:Q9LMU2] MSSLLYISLLLAVFISHTTEAALEPVTDIDGGRLTSGTKSYILPVLRGRGGGLTMSKPENKTCPKSVIQDPYEVSKGLPVEFSPSDNSRIIRVSTDLNFKFCAASVWNLDNYDEMTNQWFVTACGVAGNPGQATVDNWFKIEKYQDDYKIVFCPAVCNFCKVMCRDVGVFVQDGMRRLVLSDVPLKVMFKKA >A09g512480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36574833:36578064:1 gene:A09g512480.1_BraROA transcript:A09g512480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVRDKSRIDLEVYLGANGRVCKDRVRQYGRVRTGEADVPGKLVQCLGKLLQKLTSSSHVILKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEVGVDTNSSLSCHVLWSFKELTLVPWLIDPNTIVSYLCLSLSDVSGSQDVFLVHHPSELKVGDFCPLSVQWRVEKEVVMRHWCEVSLKLTCKLGLIISNPSLRRGVYGVSRQESVQSTLGCEKMKTLSVRLLVDKSRIDLEVYLGANGRVCKDRVRQYGRVRTVRIRTDQGDPDLHNSAI >A09p014980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7814900:7815592:1 gene:A09p014980.1_BraROA transcript:A09p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAKVLVEMELDRDFRKLIALDDKQGNIFFVNVDCTWIPSICERCGNLGHKAKRCLMLSTTAQVTAFTSPSTYTRSEIPIVDIDIIPQQKDNNHAKEIESLLSEFEISPALQPTLEVDVHHPSASSDSTVEGDDTETPLYATLSFSSLVHQDNPTATPNSFNTLSTLVDSQSIPTTTFIMESFPSNIINSKVCETLVVGHLTTTLNLCAFENPSRFTVLRDVDEVVRDS >A01p016640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8216570:8217856:1 gene:A01p016640.1_BraROA transcript:A01p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKEHEKGSLEAIPLKKKMVTHHQKQVFCDESKDNGVGSGDKSPGKKLNVLLPCDMEVETLSRLPGKSLMKFLCVSKNWYSLIRSQRFVASYYAAKPSRFVAAFTNSVFGKPERLFIMSGEEEEGTSSLVANLDMTIPSVTLPHGSAKFSSVHGFLACFDLSKFIICNPSTGQVITFHCKATGTSLGYDPVDDQFKALTQVTSIYAHNPSSMVHEVITLGRGGVVSRTQVTSPPHHPLTMGRCINGFIYYAAWAPIFGATPVFVCFDVRHERILSFITTPKDVLLRGAFTLLIEYKGKLAVVVPDCSKFGLSFNRFYLWILEDATKHEWSKQTFELPLSLPFSPAAGERLISQGTNKAGDIVFSPTTLPGRAQPFYVFYFNPDTKNIRRVRIHGVADTEEFWSRYGLTGICRASFSPQHADSIASL >SC187g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000056.1:8852:26636:1 gene:SC187g500010.1_BraROA transcript:SC187g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKAAIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDSQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYEKKISLRRVYEVKKVISGGKPGREEFNNDVRRLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESLWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWREASHELTNSVKCLKDLLVSGNVDMYPLKRSEWRSHGRSEEQTETTTDEVEIQEAAPLDPEGGNQGEPPVSEEVHDQEEHHDQEEEEASDELTNSVKCLKDLLVSGNVDMYPLKRSEWRSHGEAERTTPLDQERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQREYTGSDESGTQSSGDWEVDPDGSNESGAQSKVLVNSNHLNMEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGGDPRLETRKG >A03p016080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6386297:6387399:-1 gene:A03p016080.1_BraROA transcript:A03p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKEGGPTHFYEEIEPFCRWRRTEDVDIVELHLPSGLKKEHLKIQISNTGILTITGSCPVDKTKSIKFRKETKVEKSCNRNEIRAKFSKGVLYVTMPKTSPTAVAPYIGSQGNTSGTRVSKSDADGSNIAKCGRESHSKFSSLRERLWRKPIIEGVAALVVVVVAVVGAVKAYQCVIASPV >A07p048560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25923258:25924480:-1 gene:A07p048560.1_BraROA transcript:A07p048560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQNIDVTKNPEPEVIIESTKQKQSEEVEHHCDEQQKQSQEEEDELRKLLLSDIGELPLSPPSATQLNFVSYFITDFTKPGHDHYIYRHANGLCVIGLAPTHIAFKDQGGITNIDFNVGKSDRSVLKVSGKRKKNAMRSESNTALCKVSTANDSYIVRCCVKGSLLEVNERLIKQPQLLNSSADREGYIAIIMPRPADWTKNKESLITSEEYKDKKQDGLLEPCLL >SC160g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:310068:311856:1 gene:SC160g500200.1_BraROA transcript:SC160g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRPIFAQLHQASSIDEPDHVLGRPNFARHLREARTRPDHLPNTSEADQSLHTRLAFFIDQVWNLPLSTDFTASLEKLRDDPELRIDGERSSSLAKTALNSLLPRHATILVRLMILVEAFAKTYSPSSLEPRLEGAKLVMILCISMELGCLNHHRESHKTRLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKIFVFTFLVVGEFHIIPLGSNQDHPSCLITNATIIYDT >A09g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10267910:10269900:1 gene:A09g503160.1_BraROA transcript:A09g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDIFNIHTFLGEVISKQITSLCWTGASHPATFETLVVSLGYSATLSITPRSIIETPTPEPAQKISTASTSQKIFTGARDGACIFEPDLGSNKFASLINMEEEGEDTVESDETESMGYLTPFGKRILRERPVKPSTKAREMHCQPTSRGRGNQVVGTVVDVARFSSYDRVIFNWLQFRMSFSLQLHSTCICTFWSFCLAELESENCGFIRVR >A10p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6550490:6563274:-1 gene:A10p011090.1_BraROA transcript:A10p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSTFSDVSVLIKGCATYLPDADVDLTLCVDELLFPNTEFWDVQKVRSLFVEDDANLILAVKMIQHNLIQHNQDLWRWGFTKDGVYSTRSGRKDFSLNLKRGFPWIIWHLWKAGNNMVFERTKIAPSDILKKAEEDAAIWFEVNFPTAESTPTMRVKTSTGALWISPPTGVLKCNIGSSWVNGRTNCGPAWRLRDTKGKVIMHSRRSHSSVHDQKEAELLATLWAVDCLKTTRFEKIIFESSFRLAREQLQFYRSVDDNHPHIARDFITKLQQMQAWSLDYVVPSRNVSAMKIAESVTSNHRYQSYISEDGLAWLQHQLEIDAQGSSASLLGEALTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGKFVDDPPTGLEKAVIPPGKGVRSALGLKEQGLFSQDSLSLFVANAIGPLFGFTKANELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPIQDIEPLVLLNVAFFFFAAINPGIDRDPLELVRYAESECQAWFDANEVPQLVIQESNTEEPQVLSLGNICLLDGSWTSTDHFSGCGWVWMDNGSNIQLMGTRNITRRESALHSELEALRWAMENMLQHSTCQSFGTDCKDLIAMLKEPHAWPSFATELERIETLQICFPEFSIIHVPRARNQTSDFLAKTARSFHRELLFIGCSIPVWLPRSPLA >A03g509920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31845194:31847632:1 gene:A03g509920.1_BraROA transcript:A03g509920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEILTDIFNNSEEIPTDSFRQTPHFIRSNQIFFPISLFLSAEHSLFSREFRRLRPSLSTISGESALILLNFMSNDDQTQHRQRRGRGGTGSQSRGSSSHIQDSVSPHSSYHTSPSPLPAPAPPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTSYPHGRGQTWFNRSGNGISAWINRMMYSALDKGYPTFTDFPTDKQHLWFRQFAQEFNWNSDDTLFIYHHFVHKVMDNYGKQIHEWKKKWEINKVPKSMNDIVWKELCAHWDKEETKETSSTNSTNRRSDRKGKGVFKHNLGAQSIVTLGDRMAEEDDCEPVDDLALMKRANTNKKTGQIDDGLVRDVVTLVQTQVQDEVSQLQTEDDDSTASTNLSRVRINEIAESSVPKKKGRLVGLGRRCWSAAPSSAPPLFIDPE >A02p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12022550:12025965:1 gene:A02p024050.1_BraROA transcript:A02p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSTKRFPLYAKDYELFEEVGEGVSASVYRAKCIALNENVAIKIMDLEKCRNDLDTIRKEVHIMSLIEHPNLLKAHCSFIDRDTLWIVMPYMSGGSCFHLMKTVYPQGFEQPIIATLLREVLKALVYLHRQGHIHRDVKAGNILVHSRGVVKLGDFGVSACMFDSGERMRTRNTFVGTPLLVMAPEVMQQVDGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPRLDYDRDKKFSKSFRELIAACLVKDPKKRPTAAKLLKHPFFKHARSTDYLSRKILHGLSPLGERFKKLKEAEAELFKGINGEKEHEYMRGISAWNFDLLDLRKQASLNPDNEMCSSETRDVEVDAPKRNPMIQRSKTMSLEMFKISDKAKCLFLHISDLMSASNSLTIGPLLPSFRRKFLPAIGYKVGILSDESNACRKRAAEALALEEPHQLETLAVDTKQTPALEEIHQLEPITDTKHRLALEEPKNGYRVSSVSGASCTATEVLPLLQSLLDQNDIQREKVIRLIRFFDGTVSETQNPTSKNEAVQIYPSRERELQSQVTFLEQSVEILVEEVKRRKEINDQLEEQIRSLTSSISRRSNSRSGA >A09g506850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20153599:20154196:-1 gene:A09g506850.1_BraROA transcript:A09g506850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQHSSAADSLDMTSLLEAEGELYKDEDDSRFLHQLYGDEAEDGRPSTCYCGSDAVVATSYTLKDPGRLYLTCENVNDGDCHIWKWWNVAVTEELRDFQAQLRLVKEQVFECDQKLVKLQKVVCELSKKNAMLRNGFALLVCVMVAALLLVGLAVMFQSGRASKN >A07g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6347247:6350540:-1 gene:A07g503200.1_BraROA transcript:A07g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSEFFDHLCFAGVTTCDHDMDEYCMVMAGDWFCGEDGKWNFFVDKQQMSRMVPFREGITLSELEANVMKEFSYGGKLGSVALSYWPPSSIELATGIRTPPVLLTNDGAVGFFSRHLKVGAPMNLFAKFDAFDHGNQSSRDESRAKGYRTPAQAMKRKMFDDVWSSGKGGYVSSAASKIDNVVVEEDELLREVEKVEEKIRGESLRSNEGEPCETIDSDSSLADEVDDRDVRPRGYDKEFWAPFIREDNGGSDVVDKVFKAEDTTRRTYSCTTNNAFDHTVVAGGSSPSNAKTTAEAEDIPEDISERILYPPITKRQAGRRRKTCIPSTGEFPVGKKTKVVTIRCGRCKMEGHNRTRCQNPI >A03p035830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15020689:15023043:-1 gene:A03p035830.1_BraROA transcript:A03p035830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tesmin/TSO1-like CXC 4 [Source:Projected from Arabidopsis thaliana (AT3G04850) UniProtKB/Swiss-Prot;Acc:Q84JZ8] MDTPDKNRISAVSYCNFEDSPVFQYINGLSPIEPVKADHAFHSLALASPSSLFSSPQIVCIKRQGVLVEMKCGGPEEEMANVSETEAFKEQSDDEVVVPMEAENEVIKQSGELCRRINELDADSDMVIQTEEMEVDTGSGEQEIPNAKDSRVCYFTAVPQQFSNHSGNVVQPCSVQLAAGGLDTTLRSSSNVTAFDSTDKAEDDDEEANLQLSSKQRSVRRRCLTFDVGGSYKRVPLRDSTNDLPLGVTSINEAHSPQECKDSGKQETDEILPVPRTIGLHLNALVNPYRNKFTSKDGCVLSHAEEEFTTPVSTIRDLVSCDNQITEEAPERSMEGEWVEELGSCKRCRCKRSRCLKLYCDCFAAGLYCVEPCSCQNCFNRPIHEDIVIRSRRNVEARNPLAFAPKVVLTSASATYFGEESNKTPASARHMRGCNCKKSGCSKKYCECYLSDAVTINEEAKDP >A09g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16335932:16347080:-1 gene:A09g505260.1_BraROA transcript:A09g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCLGARAQSLQTLAIQSPLIRRMFIDPLTSIFPTTLEMPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRVLGGRRLKRVPTPYIYKTLSSLGRNVDGPETDVDGPDSLVGLHTDVRVCPSAHTGRPWPSVSTHRTSGCPSVHISARSVDLHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHSGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAFRQYTQDVRDRPSVHISACWPFLWTVRDVRQHTQDVRACPLAHTNCPWTDPCTELISACCQYTYQHAGPSPCWPFTWTVRVLIRVLIRILNSYQHADHTYQHAGPSRVLIRILNSYQHADHTYQHAGPSRGLSVY >A03p071020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31221948:31223766:-1 gene:A03p071020.1_BraROA transcript:A03p071020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGFGFRKTVPDKWEFANDHFRRGQEDLLSEIRRRKAVIAAAAESNSAGDDDSTSSPGSKNPGSVENMVADLSGENKKLKRENDSLSSELAAAKRQRDELVAFLTDQLKVGPEQIDQMVKGGKFKPNITGDYSSEEESDCEGCGGDGEEKEAVGEGLKLFGVWVKGERKKRGRDEKNFVVGGSYRTDIKNVDFHAPLWKRTKVCN >A06p003620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4581138:4583841:-1 gene:A06p003620.1_BraROA transcript:A06p003620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDITTGNEPLINRENNRNKVFNRCVSHQQDELQSFRKYLRWMCVDHSSPWTAILSWTMFVVFTLVVPAISHFLLACANCDSYHSRPYDSVVQLSLSSVAAVSFLCLTRFVSKYGLRRFLFFDKLWDESETVRRNYTNQLNTSLHIVSYFVIPCFLAMSAYKIWWYASGGSQIPFLGNVILSDTVACVMELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFAKLFQIDSDVGSILSEHLRIRRHLRIISHRYRSFILCLLVLVTGSQFSSLLITTKAYTEVNMYRAGELALCSMTLVTALLILLRSASKITHKAQAVTCLAAKWHVCATLESFEIADGETPTLVARNINNNKGNDVITLTESDSDDYGDEEDDLDNNNIIPAYAFSTISFQKRQALVSYFENNRAGITVYGFTLDRGTLHTIFGLELSLVLWLLGKTIGIS >A09p046750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40642121:40644051:-1 gene:A09p046750.1_BraROA transcript:A09p046750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSDDDKPSGNSSSVWSSSTKMAPPTLRKPPAFAPTQTILRPQNKPKPIPSQYKPPPPPPPSQSIANDAARSQPPALIGLTSSVIEEYDPARPNDYEEYRREKKRKAMEAEMKRELDKRRHEEEEKRERERELREKERERDRDQPPPPLNISGEEAWKRRAAMSGGGGKRRSSSPPAETSGQMTAAQRMMAKMGWKQGQGLGKSEQGITTPLVAKKTDRRAGVIVNASENKVKSVSISGEPTRVLLLTNMVGPGEVDDELEEEVGSECGKYGTVTRVLIFEITEANFPTHEAVRIFVQFSRSEETTKALVDLDGRFFGGRTVRATFYDEVKFSKNELAPVPGEIPGY >A02p041950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26319465:26320143:-1 gene:A02p041950.1_BraROA transcript:A02p041950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFSSRHSTSPFIWCLAIICAIISVAVIIGGIAIFAGYMVIHPRVPILSVEYAHLDLLKYDIVGVMQTQITIVIRAENDNGKAHALFDETNFKLSYEGRTIAYLRQGEFEVDKEKTLSSHYVVQSYPIPLTPTMIQATDYAVKQDVITFELKGGSKARWRVGPIGSVKFECNLSCELRFRPSDHNYIPQSHCTSAHKH >A09p019590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10378266:10378559:-1 gene:A09p019590.1_BraROA transcript:A09p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTRAICIALVIVLVSSLDLTSAAVEEEIKVACVQTELIPCFVAAFIGSQPSAECCEKLKEQQSCLCGYISNPVFGQFYKNAQNVFKACGVPYPTC >A07p012850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7855403:7856401:1 gene:A07p012850.1_BraROA transcript:A07p012850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSISAMKQVQPILSFKNKLSMVNVNSFLRPQEKVVFVMGATGSGKSRLAIDLATRFQTEIINSDKIQVYKGLDVLTNKVTPQECRGVPHHLLGVFDSEAGNLTATDFCRLASQEISTLSANNKLPIVAGGSNSYIEALANHSSGFLLNKYQCCFIWVDVSLPVLNSFVSKRVDRMMEAGLLEEVREVHDPKADYSVGIRRAIGVPELHEYLCYESLVDRGTQRKMLDAAVKKIKENTEILACRQLLKIQRLSKKWKLSMHRVDATEVFLKRNEEEADEAWENLVARQTKRIVDKFCDNQVMKNDDVEHCLTSIGAASYGGGSGSRAHNMV >A06p038500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20708607:20710492:1 gene:A06p038500.1_BraROA transcript:A06p038500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tunicamycin induced protein [Source:Projected from Arabidopsis thaliana (AT5G64510) UniProtKB/TrEMBL;Acc:Q84JN2] MMGRRSLVGVGALFLILLTTFPSSRALVSSPEANHHYPKAISDLKEAIVKGLGFQSEEVKISGFDVRDALVGHAVSYEFDLEIDKKVLPIKLLEDVNRWEYVDLPIFQVEQPNGAVDENGLVPMRNKKKSGDVSPVLAPFQLAGPMELWIQDANDMRLSLPYDVDAGVLKKVILGDGALVTVKGARSVSLRHPIDLPLPLNQSSSEFASGLLSLAEQLRRGASSTDQETPLLSLRIVGPTSLASTSQSPESKLKLKRLAPGLVELSSMSKDKGSSSSSVTTIDGVTTTVLTPREFTTMWPITSINGSNANLLGFEKLLTSVLGPKAQEEGSFKVLKADVAAQTFMKIGFGVERKLREGDLEGLNFPEWRTKPKTMRMHFEVLAKVDGDKVVPENVMRVDPIPLEDTVAQNVITGNVTMSKLPIVQPPPSPFTL >A03g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10814003:10815853:1 gene:A03g503300.1_BraROA transcript:A03g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKYKSRNNYFMSSLLSLISCKLQQDCVLLIVEGVPGSAAWLGRGLSCVCAQRRDSDAHSTFDLTPAQEECLQRLQNRIDVAYDTTIPLHQEALRNLWKLSFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLMYFARTHMLPLQTFQRRIELFSLIIFTFHAQYYIFIFSSPRFVTPHVHMLQKSFQDLLRKQVGERSVWEYPFAVAGINITFMLIQMLDLEAVKPRTIVGATFLKFLSENESAFDILYCIAFKLMDQQWLSMHASYMEFNTVMKSTRRQLERELMLEDITHLEDLPSYALLSQ >A08p041620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23468093:23471780:-1 gene:A08p041620.1_BraROA transcript:A08p041620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPETKSQTLLDAWDFQGRPADRSKTGGWASAAMILCIEAVERLTTLGIGVNLVTYLTGTMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFAAIQATGVSILTLSTIIPGLRPPRCNPTTSSHCVQASGIQLTVLYLALYLTALGTGGVKASVSGFGSDQFDETEPKEQSQMTYFFNRFFFCINVGSLCAVTVLVYIQDDVGRKWGYGICALSIVLALSVFLAGTNRYRFKKLIGSPMTQVATVIVAAWRNRRLELPSDPSFLYDLDDVIAAEGAMKSKQKLPHTKQFRSLDKAAIKNQETAMTQNVFNKWTLSTLTDVEEVKQIVRMLPIWATCILFWTVHAQLTTLSVAQSETMDRHIGSFEIPPASMAVFYVGGLLLTTAVYDRVAIPLCKKLFNYPHGLRPLQRIGLGLILAAVGMAVAALVEIKRLRTAHSHGPTVKTLPLGFYLLIPQYLIVGIGEALIYTGQLDFFLRECPKGMKTMSTGLLLSTLALGFFFSSVLVTIVEKFTDKAHPWIADDLNKGRLYNFYWLVAVVVFLNFLIFLVFSKWYVYKDKRLAELGIELEDETDIPMGHA >A07p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20021552:20026511:1 gene:A07p037430.1_BraROA transcript:A07p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIETMPENAEERDPQQEIEETTPLLDDSQQPDGESRSRNATAKVPEVEIHLYRCGKGPVDVFKSNLGGWEQDQLEVRYILEKYGLKSIFAFNVKKGRAVPIRFNPRNGRSVLTYRDGAVVFIDGFSAQTHYKNRAWGRDCYAANNVSIERPSSVDQEQYLHGELSSVGARLHSNSIHPSEEEDQRLLQEVWLVISQSLTSCLFVFGNVVEIVILHRSYLKDRKTHKLNLSRCLNKNPKYQSSIAAAVASGISPTTAMVDQVIPNQPAVTVASPPHATQVAAVAAAAAEAFVTHPNSSLYVGDLDQTVNEAHLLDLFNQVAPVQTVRVCRDLTRRSLGYAYVNFANPDDAMRAMDILNYTPIKDRPIRIMRSNRDPSTRLSGKGNVFIKNLDLTIDNKALYDTFSSFGTILSCKVAMDSTGKSRGHGFVQYEKEETAQAAIDKLNGMLLNDKQVYVGPFVRRQDRTRESGAVPRFTNVYVKNLPKEITDDELKKTFGKYGEISSAVVVKDESGNSRCFGFVNFESPEAAAVAVEKMNGISLGEDVLYVGRAQKKAERGEELRRKYEQERLEKSYGTNLYVKNFDDGVNDEKLKEMFAEYGDVTSSKVMTNPEGLSRGFGFVAYSSPEEALKAMNEMNGKMIGRKPLYVSFAQRKEERKTRLQTMFSMRPNAPLAGYPTGGPAAGPHHQMYMGQNGQGMVPSQPMGYGYQLQFMPGVRPGAGPANFMMPYPLQRPNQPGPRFGFRRGAPNMQQHFQQQPQMMQHNANSGMRYMGGPGNRMNGVEAAAPQGIMDASAISHNASQNPQRPPLLPISKLTSALALASPANHSQILGEQLYPLVEKQEPVHVAKVTGMLLEMDQAEILHLLESPEALKAKVSMALDVLRLSANPSAVSSVDDQFAPSSTE >A06p012400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5673161:5676702:-1 gene:A06p012400.1_BraROA transcript:A06p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQSFRRAYGALKDTTKVGLVRVNSDYADIEVAIVKATNHVECPPKDRHLTKIFIATSATRPRADVAYCIHTLSRRVHKTRNWTVALKALLVLHRLVREGDPTFREELLNFSRKGRFLQLSNFKDDSSTAAWDCSVWVRAYALFLEERLQCFRVLKYDIEAERLPKVSPGQEEKGYSKTRDLDGEQLLEQLPALQQLLHRLMGCKPEGAAKHNHIIQYALALVLKESFKVYCAINEGIINLVEKFFEMPRHDAIKALDIYKRAGSQAGNLSHFYEVCKGLEIARNFQFPVLREPPQSFLATMEEYMRDAPQMVDVSDGPLLLTYRPDDELSDEPAHEEHEQSLPSDSTVIPSEETQPPASAETPQNLIDTDDLLGLNNDAPDPLEILDQNALALALVSTDVESSFFDLGQARDSDPSGWELALVTTPSSDISATTERQLAGGLDTLTLNSLYDDVTYRAAQQPAYGAPAPNPFEVQDPFGFSNSVSAPSAESNPFGPYQPAYQQQQQQELQVAPGAANPFGDFEEYPVVALPEPQKTIGFGQFPVVSEPQMTTGFGGNPVFAVSEPQVTTGFGEFPVATVSEPQMMTSGLGELPVAAVSEPQMTTGFGEFPVFAVSEPQKTSGFGDFSVAAVSEPQMMTSGYGEFPAFAVSEPQKTSGFGDFPVAVVSEAQMMTSGFGEFPAFAVSEPQKTSGPVVPVSEEQKNSSLGEFSVITASEPQKTTGFGEFPANAAGAHKQYNSSNPFGGM >A04p011670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:6566083:6567147:1 gene:A04p011670.1_BraROA transcript:A04p011670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYKSVLPEYDHHHHQQQPDPPESTQSPVDDAASSSWSFGNLIKTLATKSESVIGSYRREFEEFGSELKKESSAIRQVASRAVKDLPASLDVGASVAQESLESVGQAIDDIGGAVWKSTAKIISRGKDSLLSSSTHPGDRGCSSVKPYSRFEMQLLAIQSDKATYLREPDDLGEFEKWSLGFKLDEKGDVIADLINGNRAVKEMYVKLVPVEVDAETFWRRYYYKVHKLEEVEEARVKLVKRATSGEEEEDLSWDLDDEGDETEAEKERKVCEEGKVESREVSSKDSDISVISTQPSLREAEDLGWDQMEDDIRSNEDKSTEEKSDWRRRVSVAEEEEDLTWDVADDDHSVKQ >A03p039240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16310291:16311884:-1 gene:A03p039240.1_BraROA transcript:A03p039240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQISPERLMPNDQNVVQDQPPKHQFETVLQEDIGFFLYKSFETVLQEYIAPECLSLSLLFPLPASRKPYSKSSLSHPLVNMSRRKTREPKEETVTLGPAVRDGEQVFGVVHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITAMHVKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >A06g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13937382:13938793:1 gene:A06g504410.1_BraROA transcript:A06g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGARLRILSYEKLCGGKRDVRQELEKGRYAATKRATLGRYVATELEPKLYRYLETERSSRSVATDRARAKARSLRSDRAFVPLGCYVATELFRNVDTTPVHAFSSNLRCYLPKTVANSVHVFRYSKSPIKLCGLKPRKVRSLSKEVTVNASSRKTAQKDLKHNSRPTLRFLNQKPVNHSTVYAWRARKDKCQLKGRRVKACDSIRFSRLRVARTRNLADSSRAQTYTLLNRQCEFRFPQFGARRMGRGYGSI >A09p003050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1806911:1816564:-1 gene:A09p003050.1_BraROA transcript:A09p003050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIFLLSILFLVIFLVLAAFKRPKRLQHRPIPSPPRWPIIGNLHQLGELQHQSLWNLSKKYGPVMLLKLGKVPTVILSSSETARQALKDNDLNCCSRPPLAGARELSYNNKDMSFSPYNDYWKELRKLCARELFSAKQIHSFQPIKDEEIKKVIASISESASLNHPVNLTKTFLALTVSVVCKAAFGVSFEGTVLSNDRFNKLVRDAFETLGSFSATDFIPHVGWIIDQFTGLQKMREKSVRDLDAFYEQLFDLHKEGDRQGREDFVDMLLRFEKEGTVVGTDNLTRNHIKAILMNILLGGIDTSAITMTWAMSELARNPRVMKKLQTEIRSQIKNKERISFDDTDQLKYLKMVIKETWRLHPPTPLLLPREVTSEFDIDGYTIPVKTRLHVNVWAIGRDPDTWKDPEMFFPERFMDNNIDAKGQNFELLSFGSGRRICPGIYMGTTSVEFGLASLLYHFDWELPEGMVVEDVDMEEAPGLTVSKKSELLLVPTNVTVSYTSLTRFFSLSIFATDHNNSWLMGTMTKRRRFEHMSSYNVNAEDRSNQTVVADLDGTLTSSSRPLLYFLLVALKAGSLLRALILLASVPLVHLTYLFVSESLAVRVAVFITFAGLKLGDVEHVARHVLARVYEKELKRDTWRVFKAFGKRYIVTASPRVMVEPFAKNFLGADKVIGTELGFTRFGWLTGFARKPGVMLGKQKRDAVLKEFSGGGGIGLPDLGLGDSQSDYAFMSLCKLLKSWIRLCMHWLASRGFVTMALVRLLLKASISMLITCNKRHLLKSWIRLCMHWLASRGFVTMALVRLLLKASISMLITCNKRHVTYILSIQTDLHSSFVHIQTDMYITLCLSISQKSKTELKTEENVNNVLFSLIYTKKIKDTKQNLPPSPPKLPFIGNLHQLQGLLHRRLLDLSKKHGPVMLLHLGFVPVLVASSSEAAEEVLKTHDLECCTRPKALGMQTFSRNGKDIGFSSYGEEWRELRKLAVLEFFNAKKVQSFRYIREEENDLTIKKLTESALKHSPVDLSKTLFSLTASIVFRSAFGQNYFENKRISKEKIEELMFEALANMSFKFSDFFPVGGIGWFIDFVLGEHKRLRNVFLEVDSFVRKVADDHKHGVTTPDRPDIVDVMLDMIKKQEQDESFKLTTDHLHGVISDLFLAGVDTSSIIMIWAMAELIRNPRVMKKAQEEIRTSIRIKPEERLAEEDLDKAHYLKLVVKETLRLHPAAPLLLPRETMSPIKIQGYDIPPKTLLIVNAWALGQDPKHWMNPEEFIPERFMDCPVDYKGHSFEMLPFSSGRRMCPGMAFGIATVELGLLNLLYFFDWKLPEESKESCSTSQKTKTMAILLSFLLLLLLPLLFLFINKNKKHSNNLPSGPKQIPFIGNLHQIKGSLHTCLHDLSKKHGPMMLLRLGVVRMVVITSSEGAEEVLKTHDLECCTRPTTIALKTFSRNGNDIGSGVYGETWRELRKLSVREFFSVKKVQSFRYVREEECDLLVKKLKESALKQSPVNLSTTLFCLTGSIVSRTAFGQSFFENKHIGEERVEELMLEAHKNMSLKFTDLFPTGGLGWFLDVVSGQLKRLQNVFNEVDTFLDHIIDDHQSKTFTQDRPDLIDAVLEMIHKQEDTESFKLTIDHLKGISTNIYLAGVDTSAITMIWAMAELVRNPRVMKKVQDEIRTCIGTKQKERLAEEEIDKLQYLKLVVKETLRLHPPAPLLLPRETMSQIKIQGYDIPPKILLLINAWSIGRDPKYWKDPEEFTPERFIDSPVDYRGKSFEFLPFGSGRRICPGMASAIATIELGLLNLLYYFDWSLPEEKKHMNMEEAGVVTVVKKIPLELIPSLHQ >A08p003010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1717445:1720504:1 gene:A08p003010.1_BraROA transcript:A08p003010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRYKMGTMSERMGAMGGTMGSPFDDGVFDGVRRIIVGRDWDCVSYIKIEYENSAGNFETREHGTNRGGIQEFTVDYPSEYITSVGGSFSRVFRYGTELIQSLIFRTSRGRTSPILGHSFLGFQLGTRFTLEGKNGGKLLGFHGRSGQALDAIGPYFFAANPPLRHFNPQGGNGGSAWDDGAFDGVRRILVGRGGSFVSFLRFEYARGQRTVPHDHGRRQEVPQEFVVDHPNEHITVVEGTIDGFLTSLRFQTSIGRTSPAFGNVKEERSKPKPNGGSGGAREYSGVVWVYWEEVENPWARSVFPLAVVGKIEMDPGALTGGAFMLEAESVVGET >A08p009530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7494919:7510529:-1 gene:A08p009530.1_BraROA transcript:A08p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSSSFPPLCEKISHTSFFLRVVDLTILGLLFSLLLYRILHMRENDNVWLVAFLCESGFTFIWLIITCIKWSPAEDKPYPNRLDERYCGCAVAITAPRCLVKLHKEESFEWKILMRARKSKDKFTPWKKLDGNEFGIQNSMNLHVWFPISSGKETSADLPTIQESHELAATALRSAKRRRRRTGPGPSPRLLPGLCSRKRNMAIVSPARHGFPFAICDLPSVDMFVPTANPVREPPIIVANTVLSLLALNYPANKLACYVSDDGCSPLTYFSLKETSKFAKIWVPFCKKYNVRVRAPFRYFLNPLVAAYDSEFRKDWKMTKREYEKLRRKVEDSTGDSFLLDGDDELETFSNAKPNNHSTIVKVVWENKGGVGDEKEVPHLVYISREKRPDYVHHYKSGAMNFLLRVSGLMTNAPYMLNVDCDMYANEADVVRQAMCVFLQKSKSPDRCAFVQFPQEFYDSNSDELAVVQSYLGRGVAGIQGPLYCGSGCFHTRRVMYGLSPDNLENNGDLSSSATKFLDEDSLARKFGSSKELVISIVEALQGKSNPKTSLTDFIEAAQMVGHCHYEHQTNWGKTLGCLYDSVAEDMNTSIGIHLRGWSSSYICPDPPAFLGSTPSVGFEAIVQQRRWGTGAIEVLFNKQSPLRGMFSSKIRFRQRLAYLWVLMCLRSIPELFYCLLPAYCLLRNTALYPKGPCLAITVTIVVMHCLYTLWQFINNGFSVRSWYVSQSLWRILATCGWLFSIHDILLKLLGISNVGFVVAKKTTPKTMPISGYEPIQRQDDGPNSCYIHKFEFDNSCHFVPGTFIMLVNMAALASNFVGLQRSCCHHEGGRSGLAENCACILVILLFLPFLKGLFAKGKYGIPLSTLSKAAVLAMIFVVFAVGY >A09p077440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57557762:57561838:-1 gene:A09p077440.1_BraROA transcript:A09p077440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYWVVSLPVKDSSSTLWNRLQEQISKHSFDTPVYRFNIPNLRVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVENIQSQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSSLVKPEDIVASEHLETLLAVVPKYSQKDWLACYETLTDFVVPRSSKKLFEDNEYALYTVTLFTRVADNFRTNAREKGFQVRDFEHSVEAQETRKQELEKLVQDQESLRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESIMRYGLPPAFLACVLSPAVKSEKKVRSILERLCDSTNSLYWKSEEDAGAGGAMAGLAGDSETHPYVSFTINLDSDEFLKLVEEERGYTVVKTFCHVVTWMPFMDQALLPSCIIFSPGWLHVLNETRSSFTSMLFKKVGDIMSHTKEINVSDASRVSGQAPKGDYSLELIQMISAAVMALDQNVQQDVLVMRKNLLKYINVKECAAEAEFFYHGPSFILPNVACSCSNCDDYRDLHIRSDSALLTEKQWSCPNPQRGKIYDREQMENRLVQIVRQSEKMYQIQDLLCIRCNQVKAAHLTEQCECAGSFRCKESGSDFLKRMEVFLDIIS >A06p039130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21003042:21004832:1 gene:A06p039130.1_BraROA transcript:A06p039130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKVPSNVPLPEDDAEQLHKAFSGWGTNEKLIISILAHRNSAQRSLIRSVYAATYNEDLLKALDKELSSDFERAVMLWTLDPAERDAYLAKESTKMFTKNNWVLVEIACTRSAVELFKVKQAYQARYKKSLEEDVAQHTSGDLRKLLLPLVSTFRYEGDDVNMMLARSEAKLLHEKVSEKAFNDDDFIRILTTRSKAQLGATLNHYNNEHGNSINKNLKEGSDDDYLKLLRAAITCLTYPEKHFEKVLRLAINKMGTDEWGLTRVVTTRTEVDMERIKEEYQRRNSVPLDRAVAKDTSGDYEDMLVALLGHGDV >SC282g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000129.1:29920:30365:1 gene:SC282g500010.1_BraROA transcript:SC282g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDAPDVLSSDFGQPRAHCFRTNTVSGLAKAGCLVAFSLTLFVPGFGDIRKLCVQSNQN >A06g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30136031:30138652:-1 gene:A06g509980.1_BraROA transcript:A06g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFSHYVEFNYPFSPPLRKPLMFDTINKSCFSIGNQTNLKEGGELIGLTCSYLMKRVSPDRYRFIRPESGLELSQRRDRNLYGSGVPKSTPFQPYSERSFFEWLTGVTWQNAGKPLPFSISTLIRIKVLVTGYIEFQHNAELYSEAFVPINPIDSESSFTFWLDMRCMFQESLDFMCVLNGCLDLMQVENVEKLISAKSSSSAGAALEGIHASLCPPFKG >A01g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24567331:24568001:-1 gene:A01g509120.1_BraROA transcript:A01g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHEKLLVFDEDRKVIVAGSLNPKLVGGRPGNSMLVKKIKSLTLSELNAYVITSPPEAAEFLCTLEIDNIETSNAWCYISCSKRSRKLQRGFFMFTVPHRYSREELNTPAEAFRI >A08g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:225971:228568:-1 gene:A08g500100.1_BraROA transcript:A08g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRYNRDEKEKWAAPPTPPPKRPPVRIPANNTEDLVAANRLTIIGRVTNTTLQKPRAIEKHCFTCFSLFHEECDCPHRDPRTPAPKGRTLGITQAIALQRIEAEKRRHDERRGFRRSDDSRPPINSHDNRYSQLRRDQPSDNYYYERREDRRQPSIFSRTARPNTSYRRNNGSTMQYRVVDRTRNDAVSHSNQSPLQRSDKRDGEAMNLRRTSTEHAPQNSINTPPPRSVKERLGAPQGTTEDANSGSRDRRSALELQEAVLTTEEEGTEHREQELHIEEASQNRVPANLRLGVSKAGPSNKKRGTIPVSTQSKTAGKRKVLRTPRKRVACSPLSGLNIRKSTVINTVSTTRRKLLADRPVLGHQGKRSMVEKEATTKSAPLAGLLAHSAEAAGSQLISARRTVRVLGRWSGSGSVAGCEVRP >A04g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4185178:4185974:-1 gene:A04g501720.1_BraROA transcript:A04g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQLPKEEIAGLFLSDMNRISLYLSDRPCNQIVFETIYPDGRVYFDKEGQSRLEEMGSNGYTITLVKKRS >A01g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9037278:9040586:-1 gene:A01g502670.1_BraROA transcript:A01g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAARGGLFFFLTVTFAVAPVIPFFGGPFSLNRFSVQRVYTVTVGRTGGGNLQAVLPNDGIVARRFSLVPSEAFQVWVVFSEALGAVVSRFEGAFLSGSSRCPVLNLD >A05g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18911511:18917099:1 gene:A05g506730.1_BraROA transcript:A05g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKPIRSSAANTKKERSQKGSLSTSQVSGRQEPQASIECFHGVSWRDRGYHIGGVFRYFIVDVVDHGSTQMSRCGRELGEKLFSHEQGLDQWERSKDLGLGANDTIASNLPTMHMFIESRIPRLMEERHMKNLPSKTEESYEIRVSLEFSKGARICYCVTRTSGYSQDKMVIETESIVEHKEFHTGFAWDCLKIQLGISQYGILSQREGCCKRVEGNRLMQFYAGMDLQERGVTCLRQSLAEQHVRGETNGSTWYHVCRGNDLFEQRLFASKAFLVSCAKRRVLLATSAVPSDVQGKVGEIMMRDLQRMQRYLQEREDPQYLKGRQTHIKSKLCLRRKNQRSSISQGMKVFQRSQRMQVIFAKDDQQILQCTARETRHVLEFDMGEAGWEYLRNITCVDDERYGRVNHWFSVLEDVLIGSVEGMSRPGVSRSVNKYKAHHKEICRVKLVLHKQTHLKLSFPQESPLANGQILIDGQVLIRLMAGSMTNKHVQVVYGDAHVSAAREENQGVSWIRWYEEQVDQIVEQRDLLVIVAVQSDAQVVNQDFVESLSSSDGWMAGLVQSNNGCCTVFKDFDSRLNAHEWNQKAKEKINLQKDVQLGSQLKTIDEGFKKIMKGLQACVKVELKEVRRDKRRQGEAEDELVCSNIKRCRHKGRVMDLDQTGGVLSNADNAKKERPRQRSLIPSQVARGEGRSLKHPRECFHGVSWRDKNIESNDLVNHIGGVFRYFIVEVVDHGSTPDVTVRP >SC176g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:73235:74459:-1 gene:SC176g500060.1_BraROA transcript:SC176g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSKGRASMLICVGKGQHADRGWSASDRVQYDGSSAIQYTDQTRAVHGSAHGKGPACGYVFGSVHGKGQHADMGGQHADMSSVHGSVHGSVHGHTRISTRTVHGKGQHXRTAHGKGQHADMCGQHADMSSVHGSVHGSVHGKGQHADMCTDMVHQLSKISTRTVNGKGQHADMCGQHADMSSVYGSGHDRSVWAERTGTAVLRVRTDVL >A09p010220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5204205:5205058:-1 gene:A09p010220.1_BraROA transcript:A09p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRSVSAIVYVVDAADPDNLGVSKSELHDLLSKTSLSGIPLLVLGNKIDKPGALSKDDLTEEMELKSLTDREVCCFMISCKNSTNIDQVIDWLVKHSKSAS >A03p023680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9997636:10000698:-1 gene:A03p023680.1_BraROA transcript:A03p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVEPNTCIRGCCTSKSIPLHLSPSSFTLLSPIAKGSESVVYEAILNGRRVAAKKPILSTSDDLDKFHKHLQLLCNLDHLGVAKLVAAHAKPPNYLFFFELYEAGNLAEKLHVEEWSPSVDQVLTITLRLAKALQYLHKNGIVHRDVKPANVLLDEKLSPYLADFGLAEYKKDLREVNLHNWRSSGKPTGGFHKKNMVGTLIYMAPEILRKEMFTEKSDIYSFGILINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTAAIVSSGLRPALAETGLNIPKSLLDLIQSCWGADPSKRPSSDDVVLELESLWQLEREKQPSHFLETTSISRSDKDEVAIKNTRDYGDNINWSSQGECLSKKSSLSTAPDLKSWSRSTDDSSRYVPIVSCGSFATCGKRESMEDTHFLMPHMCNEENIHLFAIFDGHRGAAAAEFSAKALPGLIQSLSSTSAQEALSQAFVRTDLAFRKEIHSHRQSNRVSQKDWHPGCTAIASLLVDNKIFVANVGDSRAIICRAGSSFALSKAHLATCIKERNRVIGEGGRIEWLVDTWRVAPAGLQVTRSIGDDDLKPAVTAEPEISETILSPDDEFLVMASDGLWDAMNDEEVIGIIRDTVKEPSMCSKRLATEAAARGSGDNITVIVVFLRPVSTAERIY >A03p002360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1089069:1090002:-1 gene:A03p002360.1_BraROA transcript:A03p002360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFRRDPYIIVKARDLLTLLSRSVPSRQARKILEHDMAYDIMNIRRMVVRSKALETSTNCFIRMQGNTIAAMGSFTVSDDSGLLLKHASILEIGIAAMGLKRWMQRSVHGIAAMGLKR >A06g502600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8692918:8693109:1 gene:A06g502600.1_BraROA transcript:A06g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDGVADKRRRSSRKTMATRKDEGGSEVRRRSSRQTMKSPSPTPEASKRLIAGPNGRLVVI >A01p004680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2004304:2007881:-1 gene:A01p004680.1_BraROA transcript:A01p004680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVQTTVAPATHFGTTSLYVGDLDLNVTDSQLFEAFSQMGQVVSVRVCRDSATRRSLGYGYVNFTNPPDAARAIQELNYIPLYGKPIRVMYSHRDPSVRISGAGNIFIKNLDQSIDHKALHDTFSTFGNIVSCKVAVDASGQSKGYGFVQYADEESAQKAIEKLNGMLLNDKQVYVGPFLRKQERDSTANKTKFTNVYVKNLAESTTDDDLKNAFAEYGEITSAVVMKDGEGGKSKGFGFVNFENADDAAKAVESLNGKMFDDKEWYVGRAQKKSERETELRVRREESLREAAERFQSSNLYVKNLDSSVSDETLKEMFSPFGTVTSCKVMCDPSGISKGSGFVAFSTPEEATEAMSQMSGKMVEGKPLYVAIAQRKEDRRVRLQAQFSQVRPVAMPPSAGPRMPMFPPGGPGIGQQMFYGQAPPNMIPPQPGYGFQQQLVPGMRPGGRGHVPNYFMPMVQQQQRPGGGGRRPGGIQQSQHQVPMMQQHQMHPRGRMLRYSQGRGSGGDVAPYDMGNNNTMPIGALASNLANASPEQQRMMLGENLYPLVEQLEAESAAKVTGMLLEMDQTEVLHLLESPEALKDKVAEAMDVLRSVAAGGAAEQLASLNLS >A03p014930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5916290:5918351:-1 gene:A03p014930.1_BraROA transcript:A03p014930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAAKQTLSTKIGFSAPLPRRNPSSSLQRLPLSLSFPSAALPKRTVLTLSKPLHLSVLRAKPPVRCSAYEADKSEPPQPIDDTKSEAAKKAKIGVYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLAAGSLMMLISWAVGIVETPKTDFDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPTSVYLSLIPIIGGCALSALTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAFAVEGPQMWIDGWQKALSDIGPQFVWWVAAQSVFYHLYNQVSYMSLDQISPLTFSVGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAILGTFLYSQVNHTAHNLQNFDRAMHGVFRSDAELVISV >A02p013230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5796517:5797856:-1 gene:A02p013230.1_BraROA transcript:A02p013230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4-3 [Source:Projected from Arabidopsis thaliana (AT5G58420) UniProtKB/Swiss-Prot;Acc:Q8VYK6] MDIYNGPTPGAQYTLTLRRSYLNTIRVLHVFFYHSLTSLKREKSSSGSPELRFQTPTMARGLKKHLKRLNAPTIWGLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNKLKYALTYREVIAILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNECFRLLYDTKGRFRLHAIKDEEAKFKLCKVRTISFGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKVVEFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLAAQ >A08g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:232722:233228:-1 gene:A08g500120.1_BraROA transcript:A08g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRFLRISATKNLKMELGSSSSRKSRNSGHKLCFCGLKASINQAWTDKNPARRFYGCPRFKFGNGCKYFSWFDEEEARDEIRKKDRIIEQLKVTIAEMRSDLEKKQMETVKDEDEIVRQFEECFV >A09g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21561002:21562921:1 gene:A09g507430.1_BraROA transcript:A09g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGEDTNAILSKMSAPKAPAAKNANARQEPRQHAPNDKNGRKDGYMYVVNENNAPISTLVVRGEGWNKWVRELESSDQKVDSVCTTQPAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPENGSDTTRRDLRTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVKLNKSKPTDLRRQLEQAKGQPQLPPPDTS >A01p054190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30559121:30561080:-1 gene:A01p054190.1_BraROA transcript:A01p054190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannan endo-1,4-beta-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT3G10890) UniProtKB/Swiss-Prot;Acc:Q9SG94] MKCFCLVAFLAIVITQSYNDLGVEAASRDGFVSRKGVQFILNGKPFYANGFNAYWLTYEATDPATRFKITYAFQNATSHGLTVARTWGFRDGGYRALQTAPGRYDELTFQGLDFAIAEAKKLGIKMIITFVNNYSDFGGRKQYVEWAKSQGQVVNSEDDFYTNPLVKQFFKNHVKTMVDRVNTFTKIAYKDEPTIMAWELMNEPQCKADPSGKTLTAWIGEMATYVKSLDSKHLLSTGLEGFYGDSSPQRKASLNPVAANVLGTDFIANHFFDAIDFASIHSYPDLWFPNLNETSRLDFLVKWLEGHMEDAQKILKKPLILGEFGKPSNTPGYTLAQRDAVFNATFDTIYASAKKGGPIAGALFWHLISGGMTNFEDPLSIVLSDNTSSVKIIGHQARKLGLIGGRGKLSHKIKI >A09p011010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5567621:5568379:1 gene:A09p011010.1_BraROA transcript:A09p011010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTFDNVKAEKAKALRRYNRFRSIGRFFRAAEVCVAIIFILWTFTRLPFAVQISREFLRRIAGVISTPLFVFLFGNCIVVALLAKSSVEENRGSTASNAETEIYEALVRCKPSDEEEEELAEEIVYDDKEVVVVADSSNNNIPHGLEIDSDTCPVSDEPKEYGRSKSDVCLNHMVIPKPSSLQRSETEKCIRTDDINNNNNNNDDDDNMNNYAEDNLSNEEFQKTIEAFIAKQLMFRRQESLAVVVHNKS >A07g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:681527:684231:-1 gene:A07g500300.1_BraROA transcript:A07g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYMSDGLARGETFDDWIREMVVGPNLGRAQSSSPFLSFSPRRTVSPSRDFRSYHTSPSPLPAPAAPAPAATPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTSKKTSQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISSLETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05p048260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28287539:28289225:1 gene:A05p048260.1_BraROA transcript:A05p048260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSRPQIVLFGSSIVQMSFGHGGWGAILSEVYARKADIILRGYYGWNSTRALEVVDQVFPKDAEVQPSLVVVYFGGNDSMAPHPSGLGPHVPLSEYVDNMKKIALHLQSLSDTTRIIFLSCPPVDEAKLRQNQSPYLSEVIRTNELCKTYSDACVELCQELGLQVVDLFSTLQKADDWETVCFTDGIHLSAQGSKLVAAEILRVIKEAEWTPSLHWKSMPTEFSEDSPYDLVSADGKSTVNSSEWTYFWEEQWD >A07g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19978373:19979385:1 gene:A07g507410.1_BraROA transcript:A07g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWWDPGDLGVGGVFSSRVGFGLAGSKVVLILRWFGLKRDKGIRERFRNHGILGDLLAILILIKKVSQSREVSGIFVWVFGCEVSQKILFCQSLPGIVMVNFFHRCYALPWSYYIRILGSLLLGNVGVSNNGEGTRKRLKISVLHFDNFALIKTYSKTSVDGIGIEWYVSKDYTVAFYGYGLWWLATWNWCSVTISQVAAFSLELG >A05p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21251038:21253707:1 gene:A05p037410.1_BraROA transcript:A05p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc transporter ZTP29 [Source:Projected from Arabidopsis thaliana (AT3G20870) UniProtKB/Swiss-Prot;Acc:Q940Q3] MDSQMLVALGLSLVGGLSTSLGALFVVLSETPNMKMLGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFGGVIFFACIAKFIPEPTLGPSTDVKRRKKNGDEGGKDMAKKHRRQVLYSGIVTAIGISLHNFPEGMAVFLGSIKGMRVGVNLALAIALHNIPEGVAVALPIYFATESKWQAFKLATLSGLAEPLGVIIVAYLFPSSLSPEILEGLLGAVGGIMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMACMSASLYFLELSLPESMG >A01p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8455392:8460661:-1 gene:A01p017080.1_BraROA transcript:A01p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g24740 [Source:Projected from Arabidopsis thaliana (AT4G24740) UniProtKB/TrEMBL;Acc:Q1EBU3] MEMERVHEFPHTHMDRRPRKRARLGWDVLPTAPKAQVGMFCGQEIGNLSSFGAPSEISSSSLSVKTVARNDSPPWREDDKDGHYMFELGDDLTPRYKIYSKMGEGTFGQVLECWDRERKEMVAVKIVRGVKKYREAAMIEIEMLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGSSLYDFLRKNNYRSFPIDLVREIGWQLLECVAFMHDLRMIHTDLKPENILLVSSDYVKIPEYKGSRLQRDVSYKRVPKSSAIKVIDFGSTTYERQEQSYIVSTRHYRAPEVILGLGWSYPCDVWSIGCIIVELCTGEALFQTHENLEHLAMMERVLGPLPQQMLKKVDRHAEKYVRRGRLDWPDGATSRDSLKAVLKLPRLQNLIMQHVDHSAGDLINMVQGLLRFDPAERLTAHKFTMGSVTTQPLFSFGVIADVQYADIPDGRSFLGTPRYYRNSILVLQKAVQAWNQHGHLKFVINMGDIVDGFCPKDQSLSATKKLVNEFEKFKGPVYHMIGNHCLYNLPRRELLPLLNIPSRDGDNNAYYEFSPTPEYRVVVLDGYDISAVGWPEDHPKTMAALKILEEKNPNSEKNSPEGLVGVERRFVKYNGGVGEKQLEWLDGVLKDATELNQRVIVCGHVPMSPGCASSAALLWNFDEVMSVIHKYDVVKVCLSGHDHKGGYFVDSRGVHHRSLEAALECPPGTYSFGYVDVYENKLSLVGTDRMLSTDFEIKTRIAK >A07g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7117368:7119834:1 gene:A07g503660.1_BraROA transcript:A07g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06g505600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16124525:16134980:1 gene:A06g505600.1_BraROA transcript:A06g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPNGKSPVLSASDEKVMLFRDVSLGPHETQLRFRLIHFWEARNPIKKTLIGLEMLLIDEQGTVIQGFISPGRIEQYLGKLKRGTSNKSVYRVSYHAVTVSLSLNSKLSVLEDITTPFDEDRFLFHSYEVFEANCDLKGDLYDVVGHMKLVNGHTLTERPVLDEVQIAAARHLLVHLQLYDGPVIKVYLWDNVATEFCRKFKSCETTPTVLLITTVNTKRLGGILALTSMSSSRVFMDYDVQPTIDYFAWWVFMLGSYPEVVGKVNADVVTKREQLTIGEIFSDIKQESAKEAFFECTAMIDDVFHGSAWYYISCSGCHTKATKGQTSLMCAKCGKVNIAGVPEYCARLSANADIGVDQEAPVPEALINTIGQRHKFSVKVTEHNLSGKTRALTVTKILPLDTPTKTETSE >A03p018310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7471440:7472516:-1 gene:A03p018310.1_BraROA transcript:A03p018310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDEEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQSMEIEGKDVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDISRRSTFESVARWLDELKTHSDTTVARMLVGNKCDLDNMRAVSVEEGKALAETQGLFFMETSALDSTNVKTAFEMVIRDIYANVSRKQLNSDTHKTELKWNSRVSLVKDDNKGTQGFGFSCCSSS >A07p038350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20421034:20421503:-1 gene:A07p038350.1_BraROA transcript:A07p038350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAFGRNRGLRPIPPEKGIFPLDHLHECDTEKKEYLGCLKSSANKSEQCRHLSKKYLQCRMAKNLMAKQDMSELGFSGVKELDSTEYIRIKSSIQH >A04p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21062619:21074665:1 gene:A04p036710.1_BraROA transcript:A04p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT2G40540) UniProtKB/TrEMBL;Acc:A0A178VTZ5] MDLNFGKCCGSKKKESWRSVMLLAYQSLGVVYGDLSISPLYVFKSTFAEDIRHSETNEEIFGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLICRHVKVSLLPNRQVADEALSTYKLEHPPEKNHDSCVKRYLEKHKWLHTALLLLVLLGTCMVIGDGLLTPAISVFSAVSGLEMNMSKEHHQYAVIPITCFILICLFALQHFGTHRVGFVFAPIVLTWLLTISGIGLYNIIQWNPHVYKALSPKYMFMFLRKTRVLRPCLLILIAFTFLVYPALILAYMGQAAYLSQHHNSAHAIGFYISVPKCVHWPVLMIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMVLCIAVTIGFRDVKHLGNASGLAVMAVMLVTTCLMSLVIVLCWHKPPILALLFLLFFGSIELLYFSASLTKFREGAWLPILLSLFFMIIMFVWHYTTIKKYEFDLQNKVSLEWLLALGPSLGISRVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPAAERYLVGRVGPVDHRSYRCIVRYGYRDVHQDVDSFETELVTKLGDFIRYDWHRRTTQEADTVRSNESSSESRLAVIGTVAYEIEENLQPESVSIGFTTVESMEDVIEMAAPPTTTVKRVRFAVEEDRYEEDEEAEAELRSELRDLLAAQEAGTAFILGHSHVKAKQGSSVMKRLAVNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVHGRVDNVAVGKLSLNLTHLNKESMSIFGTQLRDALKSLLPFTQSIPLTIEYLNTASLGPKKDYGTNRLVPGVLQVADGTHLILDETELQQGTLNSVGVENANLLKNLLECQKVEYDFQYYKMEMATDAQMLIFSEGKSNIMPADMVLPFQPSQVNPLQVITPETAQAWRYYLATCKSLSHSIGQELQQVLENDLVAARQTDRSLGSQDLSRLLTMARMMSVSYGETTLSLEHWQMVLELERLRKERLK >A09g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22292947:22295069:1 gene:A09g507760.1_BraROA transcript:A09g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHPFKIVFLPTTRVRICEDLPNNLTGLNPVKFGDVLNGVLDDDYLVDVIGQIVEVTQMEIVSVKGKDTQKISVELRNEDDECLPLVLWGKFAEDVSNAIQLRSEQSIVCVLRFGKIKVWKEDRSISNAYNVSNVSLNPQMDEVQAFMSLLPSDDLALAIVDPKSNAVVPTVKERDEFFRHTPRKTISQVLESKQVEKCIVMASIGAIDSDMGWYYLSCKVCAKKVLTVPNDIEDRDEFNDPDMLPPILQDLVGKTLLFKIGIERENYLYKHPTYKVLKIMSDIGMINEFDVIGSATESQNTFGGTYSALSDAPEVGSLMLHGGSSQSDKTSDLTPAKRMRSPIINLEDAFDQNSVTRSVGTRKIKQEKNEKSG >SC115g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:911192:912577:-1 gene:SC115g500040.1_BraROA transcript:SC115g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSLLSQNKTVKNSSGHFRNLAWPWVLSPLDPKCRVSNVSTSIDGTCVHRSILIFICRGISWCRSTALVAHRSIVLPLVDLYMVSSDEMSFKLQNSPNECFTLGVSIIGCKDFRQVSGAAGSVTKIGQASMNQNLMVVATKLCSLLFDIYPRVLCEKRENVINNLQGVTFQTCLKNLIPCIPSPKTSGYVRFSVENQRWLLHTFKASV >A03p069260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30453130:30461945:-1 gene:A03p069260.1_BraROA transcript:A03p069260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKRNLLYEEAKASLDIWRYVFGFADIAAAKCAIDLKIPEAIENHPSSLPVTLAELSVAVSASPSHLHRIMRFLVHQGIFKQVPIKDATGYTNTPLSRLMMITKRDGKSLAPFVLFETSPEMLAPWLRLSSVVASPANGSHPPPFDAVHGKDVWALADDYPCLSHMINEAMACDTRRVVPRVARACHDLFEGVATVVDVGGCTGETMGILVKEFPWIKGFNFDVPHVIQVAQVLDGVENVGGDMFDSVPKCDAVLIKWVLHDWGDKDCIRILKNCKEAVPPNIGKVLIVESVIREKKKAMIVEDRDEKLEHVRLMLDMVMMAHTTTGKERTLKEWDFVLNEAGFARYEVRDIDDVQCVIIAYR >A06p043570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23439981:23440808:-1 gene:A06p043570.1_BraROA transcript:A06p043570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLLSLEDTEKQRGYEAVDEIPKYPQDHSGCEEVKNEREVIRNSYAGVQMKEPMTISISQPELKAFVEKEKNDLILTASKWAREDDETDDVQKKSYSPESDNTGGVTFKVDDEDLKGSDCVRTQPDNGLDEEQRQIWIKRHQFTLLLMFVPLSVAALYHSKLNRLLAYSEDSREPSKKRYRGETNSQSPPRKSSTRERDHDLDRDRDRGRLRDRGRQHDLNRERDRLEKSSSHDRDDHGRSRERDRDWRRRDLR >A09p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1477570:1478757:-1 gene:A09p001980.1_BraROA transcript:A09p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLCSSALNSFLSSTLSSPTSSKNNQIACSANIKNQTSSLSWNRRELSLGFMSTFLAVGLVGNNDRRSRDANAAILEADDDEELLEKVKQDRKKRIERQAVLNSAVKEKGYLQDLVYNLSKVGQAIENNDLPAAGLVLGNGSDTEWVKTANFAFTKLSASPEENTEVETFNSSLASLVTSVNKNDLESSKLAFVSSASAFEKWSSLTGLLGQLKGI >A09g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6241301:6242296:-1 gene:A09g501690.1_BraROA transcript:A09g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLVPLIPKEKSTELKTKILIFLASLEPTRRSLRLKIKSPCIGKESSNREEHPEKEETKAIDEPDGVHESQALSLAHIKTLAANSMY >A04g507910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20229857:20235216:-1 gene:A04g507910.1_BraROA transcript:A04g507910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHSLGPSNCRQERQSTNGLETKGENKKKEELVEFGMGFELLKAETSFTKMGSEEPNQKPIQGEVKPTATKHQTENKERDKRSGPATYGGKQPIALQNRFQLLGSNEESRPQHNSPTVVAPLLESNRPNMTTGVSSDLQLPSITPDIETASSIQFGTLPPTGVQNILEEFTSSSASRIAGNVSGSDPSSQSDNTVNNVPVSKEELVEFGMGFELLKAETSFTKMGSEEPNQKPIQGEVKPTATKHQTENKERDKRSGPATYGGKQPIALQNRFQLLGSNEEVKI >A09p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7934822:7936762:-1 gene:A09p015230.1_BraROA transcript:A09p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVEQCILVTGGAGFIGTHTVVQLLNQGFKVSIIDNLDNSVHEAVHRVRELVGPDLSSKLEFNLGDLRNKGDIEKLFSNQRFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYETMAKYNCKMMVFSSSATVYGQPEVVPCVEDFDLQAMNPYGRTKLYLEEIARDIQAAEPEWRIILLRYFNPVGAHESGRIGEDPKGIPNNLMPYIQQVAVGRLPELNVFGHDYPTPDGSAVRDYIHVMDLADGHVAALNKLFTDPKIGCTAYNLGTGQGTSVLEMVSAFEKASGKKIPMKLCPRRAGDATAVYASTERAEKELGWKAKYGVDEMCRDQWNWANNNPWGFHEKP >A08g509410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18575862:18583613:1 gene:A08g509410.1_BraROA transcript:A08g509410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDGFLGCNVFLYWCVDWILRHFSIINFIYPHLPFIMLVIVFVDSTMGCSIPDIWCFIHLVYMLLNWFSSLYRVFLVLFESLQVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRILRKQQPISGFALISLFSLPAACGFDISSFSVFPDHTTLVYREEPDPKTPLVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQHMLQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELLKNNQEHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETVLGTEENSEQSASSGVTGPSVPAETPPVRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKYCRETQEEIKVLYIKALSTPALKVLLKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGESRLFSDEDPSTDPTKFRGNSRVKQKVQKKRVKGDPTMTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGDAAVKGLLSRVLKLNMSDCGAWVENQNGREIRTTSGTQNDHVLPPSSSHHHIKINVMEKGKKEKKHGATGKVEQEVWSCIGWEMNQLEQKRQKTRSNPSDCSEGVFQRQRFLRKQQPISGFALISLFSLPAACAKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLFYLSDPSSSLIRDNFNTEVLIKGFVAMLKIVDCALVAVSILGFISLIVVSNFQGAI >A04g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9533205:9534699:-1 gene:A04g504600.1_BraROA transcript:A04g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIRWYSSSTHLNVDLLIIDMYFCVLYKGFSDLEDFWDDLHVSRLKYNALDDFQKVFQTTSISVVWTSWKSSGLHGNLLTKSPFHNRSKFFRSGFDMQVFQIWKTSGTTYLRLSGSLPDDFHFSRLDFLKVVWTSWRSSGSRLDFLEVKSSGLPRSRLDFLKSSDKVFFHIKWSLSFFILIQHFKKHFNPSSNS >A07p024370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14031070:14033384:1 gene:A07p024370.1_BraROA transcript:A07p024370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDGPLDFENEDLLVNPPPSAEKRKKVIELDDLVSEHYKEQSKLIDKGNRKRKASSKLYDSDDDERGQEALLSIITCFQMNEICSEEDTQEWGLSMFGDQKAPTRSLLAHIDNCYLLKEFMSSQLNSVVDLNPDNGTAFLEGLLANGWLTKLILTCARVETIICQWTLDILLYSPREDLRSSACDFWCSILLSQNEVNGAPVEIQWLPNYQILKEALDSYGFRINSSQDAELPEADSKSQGPPQNIRAWLKLVSACCQIRCKKPIFTASQLEQLAEVLVWLLLDRGLQGLSLLLQESLISVTESFREEEWVSSCKNIANSLASRVPQDMNCLRIVESVAGVDARSKHLRSSIANQMLVVLLEHMESDENLMSSLMAINLKEKSCNLFRTYMMLVLAENWLLSSKLVEEKPVLRDMWDVFLRNCFCQINSTDLRPFASKVRTKASYLRQGCRSD >A06p006800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2320220:2320912:1 gene:A06p006800.1_BraROA transcript:A06p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQEHGQSGAMNYATNPYQTNPMTTTVAGNAGPAAPQGQLAFHQIHQQQQQQQLTQQLQVFWENQFKEIEKTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGRGTVPEAAAAAGYPYGYLPPGTGPIGNPGMVMGNPGGAYPPNPYMGQPMWPQQQGPDQPDPEN >A09g506150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18525105:18525600:-1 gene:A09g506150.1_BraROA transcript:A09g506150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDQTCKKGEVWLGNDRSMSSSPKPINHGLTRGRCWLITESCSRIELEHDLKMNRDDEGGSVVGDRRDLTADRRHRGREAPRRMKTTAVDEARRGSEDSGGQFGESRCLWCDGEISVMF >A10g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7753380:7754497:-1 gene:A10g502750.1_BraROA transcript:A10g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPCTLNPSLQTITEQSSSLGDRREEFKEQSKKWQHKHLCLLHSPPLLRQLDRYSAQNSCQGANRQLWFASSQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPRWLAYGEIINGRFAMLGAAGTIAPEILGKVGLIPADTALPWFQTCLIPPAGTYSYWADPYTRFVLEMALMGFAEHRRLQDWYNPGSMGKQYFLGLEKGFAGSGDPAYPGGPFFNPLGFGKDEKSMKELKLKEVKNGRLAMLAILGYFVQGLVTGVGPYQNLLDHLADPVNNNVLTSLKFH >A05p023700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11464910:11467292:1 gene:A05p023700.1_BraROA transcript:A05p023700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRNWDPGNRWEGGDFDWVISKGKIGFSGYGTSERDGDRSYFGEMRFRESQAKDRDGVDRISIAILFMRRSCDFPGISTNHSLQRIVISHMEIEEIIYGLATMGIWYLWISWVCDKQILRKTWKGKHNYGFNCGIFGLNKEKYGCRESGNSDQYKNHGRSFICCITFLSFLIFLHNKYPSFAIVCLIMTQSQLLGSGGDSKEGEGARKRLKISVPHFDNSALVKTYSKRGKHEDKARSYKGVVINGNTGYQQKERDGRDYYGKGKGKMIEEDKARSYKGVVINGNTGYQQKERDGRDYYGKGKGKMIEENDSKWVRVAEKGNKGSSNNRGNYRGNGEAYRQRVPRREDSRVIAQEGRSRGVSGPVGDQQLLRGTRTEVQERKVVEAQEEGEIKAVEVSNQQLPSQTFQEELAKTQATGTKVISDAMDAERGIQVIQGLVGNKPAINEDKTMEMDEIREVFLANGIDMDAVDDLQECSEREMEEAMRELDRAGEEDFQEDEALVLAEDDKVMAEDELAKKHGIRKRLLKPVEGTAVSTKMRIANALASPRKRTGAKTGTRQGEINKQLDTKGTSNPKPGLPRP >A09p059990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49913309:49925276:1 gene:A09p059990.1_BraROA transcript:A09p059990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROOT GROWTH DEFECTIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G54280) UniProtKB/TrEMBL;Acc:F4JCU6] KEKEEEEEEEGTQTSIIYQHKHPLLFTTSQPNQLGCGSETLVLVMAQQQQSSRLSRLLTLLDTGSTQTTRLTAARQIGDIAKSHPQDLSSLLRKVLHYLRSKKWDTRVAAAHAIGAIVLNVKHTSLSELLNSLATKLGEAGLSGNVDEVVASGNLQSKLLENAPFRSFEMNKVLEFGALLASGGQEYDILNDNSKNPRDRMARQKKNLRRRLGLDMCEQFMDVNEMIGDEDLIEQKSNVHANGVGNRLYANYSPHHIQQFVSRMVPRVAHKRPSARELNLLKRKAKISSKDQAKGNCEGADVEMSSSHASTSKRTLSDSMDSNKANIGNEDDMEPDADGRWPFHSFVEQLILDMFDPAWEIRHGSVMALREILMLHGGSAGVSTAEFSSDNGFDLNEDLTKVTREKEIDLNMQFSVNELEPLRKRPKIEDPSKSFVGNTVLEPMVSDYENSVKDEEVESLLPPVKVNGQVNFISTKAEPESSIDGSSCQSDRNHVAEVSNHVEDKSFVEKSLLPNKNQEENIEVLDLVKQARHSWIKNFEFLQDCTIRFLCVLSLDRFGDYISDQVVAPVREACAQALGATFKYMSPSLIYETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLQDLLGHILPACKAGLEDSDDDVRAVAADALIPAAAAIVSLRGQTLLSIVMLLWDILLELDDLSPSTSSVMNLLAEIYSQDDMTVVMHEELSVGEGQKVDLNETVHVESVRERRDVRESPYALSGLAPRLWPFTRHDITSVRFSAIRTLERLLEAGCRKNISEQSKSSFWPSSILGDTLRIVFQNLLLESTEEILECSERVWKLLVQCPVEDLEEAAKSYMASWIELAATPYGSTLDATKMFWPVAPPRMSHFKAAAKMKAVQLENEASSTLGFDYARSFASLGKNEDASARSTKIIVGSDMEMSVTRTRVVTASALGILASRLSDRSMQFVVDPLSSTLTSLSGVQRQVASVVLISWFREIKCKVPSPSDGSGSLPGFPTPLKKWMLDLLACSDPAFPTKDILLPYAELSRTYTKMRNEASQLLHTVETYHCFDKLLSTTKLNADSLSADETIEFASTLALWNKDSAEKESLEKQVFEDVESSRQQLLSTAGYLKCVQNNLHITVTSLIAAAVVWMSEFPSRLNPIILPLMASIKREQEQILQQKAAEALAELIAYCVDRKPSPNDKLIKNICSLTCMDPSETPQASIIRSIDIVDDLDFLSSRSNAGKQKSKAVLAGGEDRSKVEGFITRRGAELALKHLSVKFGGSLFDKLPKLWECLTEVLVPVTPEDQQNFDLKMESVSDPQVLINNIQVVRSVAPVMEETLKPRLHSLLPCIFKCVRHSHVAVRLAASRCVMTMAKSMTTNVMAAVVENAIPMLGDLTCVNARQGAGMLIGLLVQGLGVELVPYSPLLVVPLLRCMSDVDSSVRQSVTRSFAALVPMLPLARGVPPPVGLSQDLSSNAEDAKFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLGFLKRFKLHGILCDDMGLGKTLQASAIVASDAAERRGLTDEPDVFPSIIVCPSTLVGHWAFEIEKYIDLSLLSVLQYVGSAQDRVSLRELFKNHNVIITSYDVVRKDADYLTQFSWNYCILDEGHIIKNAKSKITSAVKQLKAQHRLILSGTPIQNNIIELWSLFDFLMPGFLGTERQFQASYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKEEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHAKQEISTIIKVDGSADSSNVEVAPTKASTHVFQALQYLLKLCSHPLLVLGEKVTEPVASDLAAMINGCSDIITELHKVQHSPKLVALQEILEECGIGSEASSSDGTLSVGQHRVLIFAQHKALLDIIEKDFVTYMRLDGSVVPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTIVFMEHDWNPMRDHQAMDRAHRLGQKRVVNVHRLIMRGTLEEKVMSLQRFKVSVANTVINAENASMKTMNTDQLLDLFASAETSKKGGASSKKGSEDNDQTAGTGKGIKAILGNLEELWDQSQYTEEYNLNQFLAKLNG >A01g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4823530:4824417:1 gene:A01g501240.1_BraROA transcript:A01g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVYWAEIEFGPYSHNLHLVAQLTSPLLVSSSTRSQTHQNSGWSSSCAILMIRSGEGFAKFLLRPVAMSLLMLLVFLMLHLLFETVMMLLDKTPLSLTPNPFSSLPFLKSPDHQLLLYAEILVSLQPPSCLRRSLRVVIFLSQCFFIELCHPFLIPFLQFLHLELKIPMPRLSFPQVTYP >A03g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10097881:10100130:1 gene:A03g503190.1_BraROA transcript:A03g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAESTKKPYDSLIDFLPAPLNTDDFKGRMSRFCHAGVSKIGFGSITCYRQIRCLRSCPAALNGGSETETNSETLAFRICSSLQSSLSPALQKLQHQNLKCTDESSLEKKAISSLAGTPVHWHATLEEVPSGLPTIIIAHEFYDALPVHQFQKSLRGWCEKMVDVGEDSQFRFVLSPQPTPAALYLVKRCTWATPEEKEKLDHVEIILKSMDLTQEIAKEHKFVNILDNPGSADLSAYVDFPSIKHSAEEASENVTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRTGYWRLVGDGEAPFWEEPDEQTPIGMGERYLTLAIVNRNQGTPAPFQTVTSAYHHNRGEVYAQACG >A09g517130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50355840:50356751:-1 gene:A09g517130.1_BraROA transcript:A09g517130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPKIRKRLLKSIVSLICKCVNTLLAHSRLAIRKTDHKQNYYRSFLYKDKLGLHLIWKKTLSEDFQEVQTTFRKFRRLPDDFQEVQTTFRKFRRLSGIRTLYNKKLPNEEKSDIKTYQNAQIYYERETSSEDFHEVQIVHYSLDD >A09p010810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5478250:5479364:-1 gene:A09p010810.1_BraROA transcript:A09p010810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVLGSQVYPYTTQTHSQCIIVNQIDGAPSGDGSKPVKRRRKRRSKGSSATNEDDVATIGAMLRKRKLTDEQMNMLEYSFENEHKLESERKEKIARELGLDPRQVAVWFQNRRARWKNKKLEEEYAKLKSQHDTVLLGQSHLESQVLKLTEQLTEAQNEIRKLSERLVQETSTNSSSSSLSVEANYAPIEFEFAPVDTNYNIPFYMMDNNYLQNMEYWDGLYV >A03g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24235191:24235732:1 gene:A03g506810.1_BraROA transcript:A03g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFLLHLKQIGGSKSIAEGSSVENSLKWLQAGIDGGAWLTLQSSAGGGRQPTNPSYLGWETKVQARRDVFFTEAQVTVKRRRYNRLHHHPRDTYDAAETTRNTKSDSRSFGSNDMKH >A01g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26792667:26795222:-1 gene:A01g509820.1_BraROA transcript:A01g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVDLMIKLGFMMLSSQFRFAYLYGLVILILLSVELENSILDALCSVAYLVLLVLVLVILFRCSVLLLLFNNPSSLLTNCLFFSGQTTMGQDYSYSQPSSSSEFDMTFLLLAEAEAYADEAESSYPIEEPVQYPLQPEADEGIPTTCYCGAEPVVETSYTLRDPGRRYFSCVNVDDGDCHIWKWWDVVILEEMREFQRQIRLLKNQFFETDQKVAKLEKTVGLLCKKNSGVAKGVCLLVMVITVMILCWKSFGGFKPQRLNSRLSCQSDADHPLNMNHTTGFSSNLKGRSHGVVKVVVPH >A09p080260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58837654:58838412:1 gene:A09p080260.1_BraROA transcript:A09p080260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLLIVLLVLVSLSVTSGYFLSTRDAVLKKYDDESYYRASSEKVPSMVDVKDLKARNLQALVVVNKASRGWSFLKRKHNSNKVGSLDVLTFVGIIILAVIVTGVIIAFLYNLYHVLKTKNTQSIGGQGEVGAGHETDEKMETVTMSPDAGKRSNGDANV >A02p055130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33404542:33406379:-1 gene:A02p055130.1_BraROA transcript:A02p055130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVHFNHFVEQPQKTGREKSEMLSPHSRNEETESVILELEAAESSLKEVLDRGLMEHGCPHYRRRCCIRSPCCDEIFGCHHCHNEAKNNISVDPKQSHDIPRQKVEQVICLLCGTEQEVRQTCTNCGVCMGKYFCEACKLYDDDTSKRQYHCDGCGICRIGGHENFFHCYKCGKPISASDNLKLFTLAATKSFDLYNLTINQAVVTQSFSRTATLVLKELCITTAPFYLFESRNDVTVLPCGHTIHQKCLEEMREHYQYACPLCSKSVCDMSKVWEKFDVEIAATPMPEPYQNKMVQILCNDCGKKSEVQYHVVAQKCPNCKSYNTRQTRG >A09p050580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44423138:44425728:1 gene:A09p050580.1_BraROA transcript:A09p050580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGIKVQYRSCGRIGDMLKEVKAISIMLVVQFIFAGMYILFKLTVDDGTNLRILVAYRLSFATISMLPLALIFQRDKRPEFTWRLLFLAFLSGMLGAAIPNFLYLPGLALTSATFSTAASILGPLITLVLSVAFRIETLRLGTNEGRAKLVGTLLGAGGALVFVFYKGVEIHIWSTHVDLLKNSNAGQSSGQATENHHISIPGVLMVFGCNVSFSLWLILQGVVVSGMVIPLIAWCIKTKGPLYVTMFSPIRLVIVALAGSFALEETLHLGSIIGAMIMVGGVYLVIWCKMKEAKSASATLDHIETNKNIKEVNLGNLSAINNRDVP >A08g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4609159:4609676:1 gene:A08g501990.1_BraROA transcript:A08g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFHKSHMSMQNQSSTGSLRNQLKGRYMSAHEQTPGHTASSCLLDSTCLGNQEGSITILNDHSKHCLISVTYVYVH >A05p001480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:256395:258593:-1 gene:A05p001480.1_BraROA transcript:A05p001480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQIWFSLALVALLVVSAVADDVVVLTEDSFEKEVGKDKGALVEFYAPWCGHCKKLAPEYEKLAASFKKAKSVLIAKVDCDEHKGVCTKYDVSGYPTIKWFPKGSLEPQKYEGPRNAEALAEFVNKEGGTNVKLAAVPQNVVVLTPDNFDEIVLDQNKDVLVEFYAPWCGHCKSLAPVYEKVATVFKQEDGVVIANLDADAHKNLGEKYGVSGFPTLKFFPKDNKAGQDYDGGRDLDDFVTFINEKVGTSRDSKGQLTSKAGVVDSLDALVKELVAASEDEKKAILSRIEEEASNLKGSTARYGKLYSSLAKKYIEKGSGYATKEAERLGRVLSKSMSPVKADELTLKRNILNTFVASS >A04g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21809000:21810362:1 gene:A04g508370.1_BraROA transcript:A04g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVDKRWNAIDYHDYDAFPYSNVPCLTAIGSSGVKVWNNDGFIEAVDLTSSLNMAWLELNILETTASILGENSDDEAVENGVVEAEKEDGEEEPEESKVGDELNLVFTTRFTQFFKWMEKPLPASSSFFERASNAFDASRRLIINKAAGQVVISQNVKNKKGAAARFAAIASLACLCTIPALFGYYKWIVASLKKEEKEELREVLKALDEKVSANTKDICVLQLEASKMREWKSEMEAFSSATTSDIRVLQLAVSKMSEWRSAMEASSSLLRRNTENSRIARQELDMAMHTLKFNKMMRGL >A09g509460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27774984:27778136:-1 gene:A09g509460.1_BraROA transcript:A09g509460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGGSQWCRPMSMDTNYPRHRSTSSAELTAECSTVRIMTHEEFAEKHPHPPSPFYVKIDRPHEPAVDRQRETDIDRPPSSSIDRQAPLTYRDLQPTLQTLHQRNRKEKIPKNFKREANEKELDGFTKRVLRIPVEKPFDEVYFTHRLWMFFRKTKETEEDIRRMFHHVREMMKLRITLKKKSDPGKFAIPCVVKVVRQQVNLVELGNDLGYIATCHCGADYETEYSESNSESIDTHTVSSIDSNESPTTDERYATSLDGKQPVDHFTSPDQCYPDFAFQQPNNRGRDDYSIGSWATSGFYESFAVDIVIPSSNEDPTEDHSFNNTSPPSIDIVHSALVDTHPHPAKRSYASIDTIPGTSIDIKAAAFEKEKGNIPIPNRFTNTYIRSFAPQITSHETEAEKMNGPTNQSEGTSRRSIQSKNPNSADKRLPSIDTPVSTSIDTHSKPQLSLFTKKNMSMDYSFLTSDEFGIFRDPYDHARAMDGRILKVYREDIADILQVANGPDNLFTQQRSITDNIPTVPDEHPWANTTAIGSHQSYRPVGHASIDKVAPTSFDRVTPTSLDKAPSPWIDRRYDFGHRAYDIYGARKFIWKQKDEYGVYRDKFGYTRSVAGEMIPVTKDNIRKILERASLHDESHICHPEHATSFTHTRLAPEIYTKDEINEMVTGICGAQEKLGDELKTLHSLEKEATTSPSIDADKAISIDVKPHASQIPAEPQSLAEKNDEWEIAYINTKINDVYNPLNNNMDWLSTRIDLLQQDLDTIRKKDPQPETSIDICIITSIDSKFTAMEDRLQSYKDMHDHFTSPIMRYLDSLSTQMVKVQKDFGKLHDQHDFQDEGSTSIDRFRRASLDGRKPT >A09p044690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36239245:36240745:1 gene:A09p044690.1_BraROA transcript:A09p044690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIGLGDTTDAQTTVGVVERTNKFLYRVFRVLFESLQVWSCIGWEIDQLEEKRRETVQFGEFHAEQSDDCSWSSGATRVHVPAAEIFKETSNILGFALTISIFSSAAARDLQYIFLLFSIIIRQKTSPLNKVDDLFTQKNGVEEANEINALTTISHKLFNLTEGKEIRQTRG >A08g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10279433:10280201:-1 gene:A08g505980.1_BraROA transcript:A08g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWQTQLPGAAAIFYIKLVRLIDAGLCKAVEKPLQIDQTAVVPLKLPQTADVLLQLAQTAAVPLQLSQSAAVLEVCCFVGTDELFKIID >A05g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13428748:13430812:-1 gene:A05g504820.1_BraROA transcript:A05g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNIQWLFLKNLLRQNKATRMLRLQNIFKFTLGFLTDGKGWLSYWGTCGCALLLALADVLEGFVHTLHKVITKLLDIKLKRLPFWTRFTFGYPRGLRTDGSRGDTKGFGIAQRYYQTSIVAGCDAPLDHMSSNVKLDGKDKPQYGQIGHLAMVPAKAPFRTYAGLSSTLHGESVRYGEKHEPRLKYSERSDLQAGSAPCNDSWTAVYHVHNMLSSA >A08p015000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9745087:9745586:1 gene:A08p015000.1_BraROA transcript:A08p015000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWCPLVVDHAFTDDIQQLVYQVCALEKELQLLKETMRTEGPNNEMVVVGYCLIHIVIVLGIWLY >A09g514590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43578588:43579043:-1 gene:A09g514590.1_BraROA transcript:A09g514590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIEEPNQKEVPDDKLWYRLFNSEREREVLTNSSRKRRQGETEEAATREAKAATLSHSVGPSKRETDPDPLEIKTLDLPPRRCLLLRSDYPHPRHHSRETEDTLSHRFDSPVPAENRRRTREEEQGGYGEIEAREGMKLDSGAIGVATDG >A01g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7281510:7282551:1 gene:A01g502040.1_BraROA transcript:A01g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCRFLIKWYSSSTNLEEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRPEVIWKSSGSCLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRLDFLEVVWTS >A05g507840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:22645607:22646611:1 gene:A05g507840.1_BraROA transcript:A05g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVPMDSHRRPVCADGHTRTAVDVLCGLMDTHGCPLCADGNPRTSCSTGRASVLSPRTSVLICVLMDSHGRPVCADGRTRTHTDSHRRPVCADGHTRTHTDVLCVLTDTHGRRVCADEQPGTATDFLCVLADTHRHTRTATDVLFVLKDSHGQSRMCCVCWRTHTDVLCVLTDTHGRPVCADGHTQTATDVLCVLTDSHGHPVCASTGRAIVLSPRTNVLICVLMDSHRHPVCADGHRRTNTYSHGQPRMSCVCWRTPTDILCVLNRQPTWAKIIQTVHGKGHRAESKDQRADM >A06g506430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18001854:18003689:1 gene:A06g506430.1_BraROA transcript:A06g506430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSKKKHRPPFSRSSKAFRLILSARMANKKSCSLVASSETGAAALASSFSGTISSFPVGSLLAASGVSSPVNSALAASGSAIPAQSPIHDQLGLPTPGAPRGSGSLSVDNSPSITRFMSPLATEVQSSSGIEGVALPAPSPAGTEAPTVKNYAALLKNSTQLQEMGTPVDHISGAPFVLIPDENIETAKKEFKDFIYARFHGDFPSMGKIIGVVNAVWAKTGPRIFVHNIGHGTFLLRVTTPRTREVLLSRTCWNIGGLPMFVAPWSPDYSPDEPPLTSAIVRVELKNVPYLLFNKESLSRLATAIAKLYVRVDLTTPLPRKIVSGFTNGKEVVIDVTYPWLPVKCDLCKKFGHPSVRCDAVPPEGSPGKLGIRKVSVETSRRRSRSRPGRSTDKKVKQGLLRYQPVLRPSVEASKEATSSQLHEEDVLIASTIQEDHSADLEEGEIPHQITENTTDLGDANANKGSITEELSIEDQYVTTVEKVEFSPRDDEYHGLSTGAFDVLARISPEACDEDLLSASVDETKSEDVKTSTAKDADDITVSEENSVHKSLLDSRPATEGFIHPAEEQDRDNPFFLVKNRNSGRKATKRH >A05p005510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2124509:2124811:1 gene:A05p005510.1_BraROA transcript:A05p005510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLIPMAFRAVKKNLTRRRYECLSSSSTTRDSYNFVSDTETNVEGHHRRRRSMGDFSSLSSRETKRSSGGAREKGCSPPREGQLVRYKSHRLFSCISGQ >A03p071770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31674224:31674576:-1 gene:A03p071770.1_BraROA transcript:A03p071770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSTLLMVALVLCCTTTLRCTARPEPADFPSFTISSADILSLEMMDSKLYEAAGERCEKDDDEDCLTRRTLTAHLDYIYRHE >A09p081620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59343650:59346363:1 gene:A09p081620.1_BraROA transcript:A09p081620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFRPVSKTHPSSSSTISARMINCIILSILISLVYLLVSLSVPTLQSKDTIRAYFISAQDQAQSLTEIDHIVFGIGSSTKWWPTRREYVKLWWDARRMRGCVFVDRPLSSLENHTDSHLLPPICVSEDTSRFRYTWRGGDRNAIRIARSVLETVRMFNTSSEEVRWYVFGYDNTMFVPENLARTLSKYDHTSWYYIGANSEIYHQNSKFGHDMAFGGGGFALSSSLANVLAKNFDSCIERYPHLYGGDSRIHACVLELGVGLTHEPGFHQFDVKGNALGILTSHSTRPLVSLHHVPHIDPIFPNSTTFTAFRHLFSAVEVDPLRILQLSVCYDRWYSWTISVSWGYTVQIEGRHLYLRDVLKTQETWKPSGGLASVYTFNTREVHPDPCQRPVTFFMEHVSSSPGDETIKSVYKQAYENCTYDPISSPRKLEEIRVFSTRLDPDIRQLKAPRRQCCDILPTSSTGGKVMEIGIRECKEDEFIYIHP >A10p039660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21955623:21957283:-1 gene:A10p039660.1_BraROA transcript:A10p039660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HDT3 [Source:Projected from Arabidopsis thaliana (AT5G03740) UniProtKB/TrEMBL;Acc:A0A178UP12] MEGFRRCYPLLDALQSSNRSRLLLEPSLPSHPSPSARYRSCQWSSGVKRSPNLLRVEVKSGSPLRVDPGEEMLVHISLAALGEKKNGGNEPVRLYMKVGDQKLVIGTLSHDKVPQLCTEIVVERSFELSHSWKDGSVYFSGYRVDAHDSDSYPYRLAFDNLFMKMLLGLAVFDILDSLEEKKVTAEVEEDDDDEDSSDAEEDDSEDEETPEKKVVEAKKRPAEATTSKTASNKKAKFVTPQKSESKKPHVHVATPHPSKGGKSSGSNGESSKQQQQQTPKSANAFGCSSCNRTFTSEMGLQSHTKAKHSAAA >A10p037100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20995570:20999933:-1 gene:A10p037100.1_BraROA transcript:A10p037100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKAPGKKQQKKGIDFKKIKRKLGRKLPPPKNATNTEIKSQAIVLPEQSVGADKSGFATSKKGLTLDELLKQTSHHNAKVRKNALHGIKDLLEHNPAELQSLKYAIVDKLRERLSDDDKSVRDTFYLLFDSKIFPSCLEDNQGPMVSRLMPYIFKAMAESSVEVRLMAFKFFHLVVKHYPPTFSLYADKILENYKDIIQKNHFYVQDRSKLNVALSGLAHCLSLLPCDESDTESHKENEPLLAYEQDAANESARFAHVSGRLKEIVGVLINCFQDFIPLIHTPGGFDEKSFSCLHHILCSIGYAIKFSIRMHVQRQTMWLPAAEDVTLMILDQDIAPLISKKLLGSFPLNPENNLSGKVDERYFILNSVLTEIFLEVSDWSHLHTDLCNRFLVFIEYTLLDKISRSDRQRKPIPKPIHEKTLLALLPSIPKLILRMDSDWRENLLQAFTSTFNDCKPESPLTLACISVVRNVIIPNGDIHYLSESDPTVNNYLRVWVNKLPSLLNQLGDKHPLSSQAVLKLLLDLGRVGCLNASPTFEEDIIKFFNPCSQGEGDVSGGAFAKLPREAQDLALAFLYYFTINNFSSPLLESIVSCCLHPQLEPAVLCRIVEVVHDAYSAGYIQITDHFSFLTTLVARFKVVQEETHRGTLKAITELVCLRLSKMGDGSLILQILEKVLLEQINLKPMLDNGCAILRIICTLDSKPTSLSESSITTLSEYLPGYLIDIVKCIPEDKENSSLYIQTCLYYLIPCYFMFERSSKLTEEVLKRMQSIVSENTKALESVQDRESGRNSLNLIQCVVSVVLLMHNDVKVRKIISSSKSEIDLILQDVISLQSSGSTSLTVEGKHMMKMAGERLKIASNSLLT >A10p000860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:425146:427944:-1 gene:A10p000860.1_BraROA transcript:A10p000860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSWIMDHTKISVILSKVQTPILCNILMKNVSCQHDIEREQVPSPSSHPDAGGDRACRRRPPLHSCSPSSLSPSSLMVFSLQLCVFIFVSASFLAVMHFAAVNTVHLNATISTTHLLSAASPGPLIVVASPFSLSVHPTPLLGAAPLCISETTGSPQPELELARSVRFCVSEAPTPLHAASYLFTDAVYPHRSDSGSITTIDGSFRLLVSLGTKPPLDAPQGTFMTSLTESYDKWFWVDSVIPLWIQYGNGGFQSHCLNSTIVSISNSVKYIQRVVARHRGTGSSAASIPLWLIVKSISSPTPHRLIIPIPSESCWYSTDTCFGLNQNQLWSLNLPIVINLSHHFSSKASCLSTVCRRASVKRVHLAQSLDVVIKLPLFVHLSQVSRVFISSDFVTGAIRFQGPSYLFVSVKSRIFILFGSVEIHIVSSWSLDVGARAVHARSTSFQTLPFGIINVGSDYFMLVAVTYSGIHLMFRTVLQWTSKTLSFSFVVTCFMFCFRMFIKPLRMPSGWRNAVALVFGQSISRCLDGGDGWVALPTFVCSQPLRILLLSQIVGG >A09g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7993381:7997013:1 gene:A09g502330.1_BraROA transcript:A09g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPEFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDTGPSIDQITEAFYNCDERLKVKSCGSHPTKDHSDDLLISRLSGLFTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLKELKLLADRIEAVEKKVGITNRGGSSDDRQLTTTSNPPKPVDEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNQHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYSYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFNKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATLGDVNRVRIG >A01g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13218678:13223314:-1 gene:A01g504310.1_BraROA transcript:A01g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRVSIELGLSAVRLPYSSLSAANLDTCPLPSDNHTDFVVTDFDPNKFSAVCKLAGFLKTFEYWQRDKFWDLVSGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFIRLVLLEPSISPRTVFKPPGSADQKFWVSFIALLSLCRMYESHLYEMANNKLNGKKYRFESSRRIYFEKMLVRMTASATSRDAEDLLFFRMPRFVLENVRGLEDVSRCCKSLVLALTTDVRSKIFCSCLDANNLIFDREIRTEVSRKFVYGKKRKLLGVTHGVLPKFISIRSSRKIFFIEVDLANHREGSAPFNVHDATSILEFSSSQMFSMLFRDLLGSTATERNALPERASILWLIVEFQFVIGTGSELDMRGDRFSIFREFRSVCKIWINNYGKIYRDRKNYLRLSSLDYPPRFYIPYSSMAASNFVSSQFCLVISCIFAISDTGVYPLKLDIYHPNLTVIFSLGTTSIFVKSFNGNSNRNKRRFDRDLKENTKLERAGGLTGRYVASWGSDRSLRSEWKQARKSPTCFRRRYVATEGLTGRYVASASKPKRVLLVFIVKSQRKLRLRRNEKCLYISTEGLTGRYVASESKPRSVLLVFVVKSQGKLRLRRTEKRRYVATESLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENSKEELSERPSSVRARSLHSDRAICVLGRYVATELWFELGRYVATERDGCLRPSCVHAWSPRIDRAWLVCGPIAILELVHDRFGYMSVAFGQSYLVVQLRFEQDFTARLFVKISLRGLIFVKMFMLIFMDFQTLISS >A05g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14745048:14748736:-1 gene:A05g505330.1_BraROA transcript:A05g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVAEKAARLSRQQKGKSVAATSTPARNPDGGRIGDLESTHHAAMMDTVNLSRSQRLLVADATRLAREGNENVAVRDATECARDGQSEAMPVDSRSDLPTALPIRKKRLDIFPRDIQKQVSEAKRMGTLPDLSAILAAQLGLTSGDGPSTAVPRTSEVPPSGAANTGKGRKRKRGSSGVEGSAEEASDVPPSGEHQKKKKKRKKTKRSVDAQSENPEEPTGAEEEEEETQPEEEVSKAEVSREHDDAGEADGSEASLNAALPDGSEEDSGESPLLMRRHNDEVDDEGSSAAFEGETPNRGTNAAEDDAPVLVLSDTSAEGSRRGNEEAVARESSVRASELSALNDRESDRED >A07g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23035217:23038271:1 gene:A07g508410.1_BraROA transcript:A07g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDAFGHSRHPMLKGKEIKAQEDLYASKGRGRNVRVHNRKKEITEHPLGENLFNHDLDDAYFYQYLLVGVKQRNVSKSFESRSSSLNIFALHQLDERRASLLSYISFLLMSNINFYKIWSAASDAKASDSSLYFEVICRSLNASITMLSEPPKDKAA >A10p012360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12431745:12434239:1 gene:A10p012360.1_BraROA transcript:A10p012360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRDPWGGPLEINATDSATDDDRSRNLNDVDRAALSRPLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKIFVWTVGIVVAAALLAGFITLIVKTVPRHHHKNPAPDNYTIALHKALKFFNAQKSGKLPRHNNVSWRGSSGLQDGKGDSGSFYKDLVGGYYDAGDTIKFNFPMAYAMTMLSWSVIEYSAKYEAAGELAHVRELIKWGTDYFLKTFNSTADSIDDLVSQVGAGNTDDGSTDPNDHYCWMRPEDMDYKRPVTTCNGGCSDLAAEMAAALASASIVFKDNREYSKKLVHGAKTVYQFGRTRRGRYSAGTAESAKFYNSSMYWDEFIWGGAWLYYATGNVTYLDLITKPTMAKHAGAFWGGPYYGVFSWDNKLAGAQLLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSYLPYFNKFNRTRGGLIELNHGDPQPLQYAANAAFLATLYSDYLDAADTPGWYCGPNFYSTSVLRDFSRSQIDYILGKNPRKMSYLVGFGPKYPKHVHHRGASIPKNKVKYNCKGGWKWRDSKKPNPNTIEGAMVAGPDKRDGFRDVRTNYNYTEPTLAGNAGLVAALVALSGEEEASGTIDKNTIFSAVPPLFPTPPPPPAPWRP >A02p000580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:341061:341833:1 gene:A02p000580.1_BraROA transcript:A02p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:Projected from Arabidopsis thaliana (AT5G02560) UniProtKB/TrEMBL;Acc:F4KCF4] MDSGTKVKKGAGGRRGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSKRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDDELGKLLKGVTIAHGGVLPNINPVLLPKKSEKAASTTKVTKSPSKATKSPKKA >A08p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9071089:9071756:-1 gene:A08p010910.1_BraROA transcript:A08p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKASISEKTQVEESIPVFPRHLEDRHLRAHNPKYTGQDGTALTRCTARRTAISSESSVADSHHHNRERASCFPTSPQSISDALEIQRFSDLTTSEEREKCLQTTTGSLTPVGDAQVPILKGRRRIDLPDCDERRQNDPLQTEAI >A07g503630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7014419:7019178:1 gene:A07g503630.1_BraROA transcript:A07g503630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKRKRSGKGLVEGTAATAKSKRSGKGVVVGTAATVNERLPSRLFATDRYPSNRNNCYSSLEFLLLVRDVLEGSVEMERLLCSCFGSLFRLPVRRCAFSGKLVHGMLCRQLVTKKRFEMWPVFGGGPTRFSLAEFGHVTGLPCGEFEPGYEVDDKAKPKKADYVFWDKLFGGRRNLTVDDLAAMVAGESTMSQEKKFRICLIIIVDGVLMPKIQKPKPTLQYVKLVENLDKFFSFQWGRESFWWTISTMLPAKKVLGKCDDPEGAFCAQLRQDSKFLLGFPLALQLWAFEAIPLTVLPMVDVHEDRDDGWGVFDCEILDRKVSYMVGLLKSGHKFEKGEWGGGDAGEPLYVHDPTANEVKRKIRKLTHNAEAGPVMKQRRLSRYFSRKGPEVGDKYEVLLDAVGELKKELGRLNKVVEKQGRMLKKYKAKSIGKLSSSRGLLSRRKRVRPVVSGDIFGGSDQEETHKGSDEMEDELGGGSRSTALKEGDEIPLLYSEKVDGREQTHVVQFGSGSNTFYVTEEEVGSKTGGVVVGNAYPVSYVEQGSDEAGDVGIPGAVVDCGTEVDFGELNRLVGVITREGAGAGAENEGRKPTGFGEKASGLDGIEPQVHMDKVGREAVGDASQRGKQIQGPEEKTTDSAEVKVGDEKVALVTEDMEAAGDASHVGNLIEEPQDQTSVEATGGVRGERITDSSAGAERARGTDVEEAEDIGNKEPIGDGVGADKDESGAVEDVTEAKDDNKKEPKKGSLISEGDGLNCANAEEDDTLAVQPVRDGQSSGVEGEGADVDGSVDEQVMDLSDSSPCQRSEKHKPVEREAELASLLLAKEPFTMDKIVPTAEDTDYRFFENVLIGNPKVLHLNAGKFDLDNQFFIELATSQEWVSTKHIEVLVEYIAARHEDTLKEKRCLFLPPWFVAHLQGKTRAFNAAKGNRGRVLGDGRLSGFLTKEGRKWGVDVDTLYAPMIWDDNHWVGLCISLTDWRVLVLDPNPRLKNMEEVHGVLESVSKMIPFLVEKVCPVPESGPYGLESFTVERMGGAYENRRSGDCGPVSVKLMELHALGNPHPRMDGLTDDLVDIMRRQWAMDIYKDWVVPVYVGEEMV >A06p055760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29078657:29082399:1 gene:A06p055760.1_BraROA transcript:A06p055760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper-transporting ATPase RAN1 [Source:Projected from Arabidopsis thaliana (AT5G44790) UniProtKB/Swiss-Prot;Acc:Q9S7J8] MAPSRRDLQLTPLSGDTAAEIGAMEEVRLLDSYDDDDNDDSLSKIEEGSGGSGLRKIQVGITGMTCAACSNSVEGALMSVNGVFKASVALLQNRADVLFDPNLVKEEDIKEAIEDAGFEAEILAEPVTSGTKTQATLVGQFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPNVISKDDIVTAIEDAGFEGSLVQSNQQDKLLLRVDGVLNELDAQVLEGILTRLNGVRQFRLDRITGELEVVFDPEVVSSRSLVDGIEGEGYGKFKLRVMSPYERLTSKDTGEASNMFRRFISSLSLSIPLFFIQVICPHIALFDTVLVWRCGPFMVGDWLKWALVSVIQFVIGKRFYVAAWRALRNGSTNMDVLVALGTSASYFYSVGALLYGAVTGFWSPTYFDASAMLITFVLLGKYLESLAKGKTSDAMKKLVQLTPATAILIEGKGSLSLNFSLTTSIIQPFFEAQFFRFVGEREIDALLIHPGDSLKVLPGGKIPADGVVVWGSSYVNESMVTGESVPVSKEVDSPVIGGTINMHGVLHIKATKVGSDAVLSQIISLVETAQMSKAPIQKFADYVASIFVPVVITLALFTLVGWSIGGAVGAYPDEWLPQNGTHFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGATNGVLIKGGDALEKAHKVKYVIFDKTGTLTQGKATVTTAKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDESAEEGDTSNKVSQNAGWLLDTSDFSALPGKGIQCLVNNKLILVGNRKLMSENSITIPDHVEKFVEELEESAKTGVIVAYSGQLVGVMGVADPLKREAAVVVEGLLRMGVRPIMVTGDNWRTARAVAKEVGIEDVRAEVMPAGKAEVIRSLQKDGSTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADYVLMRNNLEDVITAIDLSRKTLTRIRLNYVFAMAYNVVSIPIAAGVFFPVLRVQLPPWAAGACMALSSVSVVCSSLLLRRYKKPRLTTILEITKE >A08g504950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8161574:8164091:1 gene:A08g504950.1_BraROA transcript:A08g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPPPDLPSMLLDGRIVYIGMSLVLVVTEMWLDPKEPVYIYINSTGTTRDDGETVGMESEGFAIYDSLMQLKNEVHTVCMGAAIGHACLLLSVGTKGKRFMMPHSKAMIQQPRVPSSGLMPASDVLIRAEEMFFTLAYVSPTAATATPPPYANSSSSSPATSLPHSASSDFSIDNFVVDNKNAPRYDAMIFEAISELADPNGSDVGSIFSFIEVETILLILLLSWLLQPFLTELVVYLWKEQPSHEVPPTFRRVLSSRLRRLAAQGKLPKVSNSKPLLNFYKLPDGSETTTRTTPAPTPKPKETNTKPRHSYINQPPLVSQEMIDEAAITGACKVVEAENKINIAKAAVEELEKTTKLADETELLVMHWNMWPWNI >A02p010940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4742889:4743624:-1 gene:A02p010940.1_BraROA transcript:A02p010940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPIMIVFLLVILIVTSQFEWRQPLLELDAASSLSQKHQQIAKREEAVKEKIILSQERHIQRLNDLVRSLQMQLLRCRGENETQNATETSHLNKEFIELERKPIVED >A01p000570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:243622:245314:1 gene:A01p000570.1_BraROA transcript:A01p000570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G39540) UniProtKB/TrEMBL;Acc:F4JW33] MEAATAVQRFHYSPSSWIDWRNFEGKPRGSLRYSHRTKENKRLTVIALSHLQPDKRSDLRQRSVSDKNSSALLETGDLLHSPFDEELRKAEEVKPYLNGRSMYLVGMMGSGKTTVGKIMARALGYTFFDCDTMIEEAMNGTSVAEIFEHFGESVFREKETETLKKLSLMYHQVVVSTGGGAVIRPINWKYMHKGISIWLDVPLEALAQRIAAVGTNSRPLLHDDESGGDPYTVALNRLSTIWEGRGEAYTNASARVSLESITSKHGYRNVSDLTPTEIAIEAFEQVQCFLNKEDKY >A05p016460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7324136:7325209:-1 gene:A05p016460.1_BraROA transcript:A05p016460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVTSTRQQSPSNGNQISSSSRSLGGENDDTNCSGKLLDLEALNCPICCVPLTSNIFQCDNGHIACPTCCNKLRNKCPSCALPIGLIRCRAMERVIKAVIVPCPNSMSGCIKKFSYGNELTHEKECSFSRCYCPARNCNYTGSYKDLYSHFKTHNGERGYSYKFLFGEFAEVYFVLTEYTSVVMRENEAGLLFVVQCFSEPYGVYVTVSCIAPSADEIGEFSCFISTTAVNYSIPHNIMTFKSPKVMKIRKLSSETPEKDFFLVPSFFFPGRQALKLRICISKLEQE >A07p027160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15530667:15531184:-1 gene:A07p027160.1_BraROA transcript:A07p027160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNKVDDRPKSTDVLQWMREGSQACHLQVESKRCRIGGGEGVDSVEVNLELERVTVVGYVERKKVLKAVRRAGKRAEFWPYPDMPRYFTSSDHYFKDTTREFRESYNYYRHGYNLSDRHGHIHVTNRGDDKVSNFFNDDNVHACRLM >A04p028110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16940169:16941081:-1 gene:A04p028110.1_BraROA transcript:A04p028110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRDSISSLPDEVLGKVLSLLPTNQAASTLVLSKRWRNLLSLVDSLDLSDDATATGDPRGFPDFVDKTLALLTNSSIIKRFSLRCEHTHDASRVDNWIRTVLERRSFSELHLESEYIHVIETKSLTCLLRLLRLVFHLYCKSMPVFHKLLTLSFESDKEKGWQVVPLLLNNSPNLETLVIKGLVHRVTDRCGDACVCVARKNKEEEVCCLSTCQVKVLKVSGYRGTRRELNQRSI >A07p025460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14658118:14659338:1 gene:A07p025460.1_BraROA transcript:A07p025460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLVLVVDKLSSSSGLVALKVDTVKEDGASPGTDDVCKREFVQCRICHDEDEDSNMDTPCSCSGTLKFAHHHCIQRWCNEKGDTLCEICRQQYKPGYTAPRQLFHYTGISMNFRSDWGIEALDLRNPYFLTDDHELYSFHSPTSLVCCRVIALLFIFLLFLRHSLPVFLGGFDDFSLTLLLLPLLKTLGILLVAYFLVKSFTAIQRCRQERDTIFSGFSSDEETAPPRILSERPELHVPVN >A09p016970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8832273:8835118:-1 gene:A09p016970.1_BraROA transcript:A09p016970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLEVSLQAKLMGSEGCGGVRVSSNKTADNNNNNCDKTRVEIERSISKKGGVSSGGVSDPSQWRKLMHSHDFVHDRLTKLRVESSSEPQNGYLPISSPESAESSRKRGKLSRSSSSNLTSKRSRLILLDDTVRDNDSKELCGQGSTLSDKPLAVKQRSSCNGRRSDKRTPKVLTRTFSTANTATGENAFFGAYGLKPDINDVTKLVEDLSLNKLLEGSYECPPLGKDKMKKLDKTNNDTLLSVVRNVWSVLPTRRPDHCVNPEIPSSPLNFPLCESSDVLKRLGLPSPKDLDSLLQDASKPSQNSKNMSDQQRSAKQLPLRGGLPHFPWSQAYNASSRTNSEAAKLLTGKTICQGRWLRIAETTMSSHESITNHFANLDSLTFNQSLVLPVQKQTGAGTKTTSCQCTEASVSTFQKASCVPTEAEGSRDVQDDARSCPQLLAAAQTLCDFAVQSGNHNNNPNGILRWPTKLSQKSMKARKSKLIETPLERHGTTESSSLHLISSSKKNNHVRKDSAALHNHHDRHHLPKPSKRLKLSTMENKKGSFPSSSASAVESDRKHSSSSKFKNHSRMMMPPPPPPTRTLQKSSTYPHKARRFPGIG >A09p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17651092:17652187:-1 gene:A09p029540.1_BraROA transcript:A09p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSISSNSLLSRQCHRLSFPSSSFKGNVSVLGANPSQILSLKLHYNRRIGKQQKIARPLVVMNQTAAASSSPGAVSSERFRLDNLGPQPGSRKRAKRKGRGISAGQGASCGFGMRGQKSRSGPGIMRGFEGGQTALYRRLPKLRGIAGGMRAGLPKYVPVNLKDIETAGFEDGDEVSLETLKQKGLINPSGRERKLPLKILGTGELNVKLNFKARAFSTSAKEKLEASGCTLTVLPGRKKWVKPSVAKNQARADEYFAKKRAAAAEAAASEPAASA >A04g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:689594:691916:-1 gene:A04g500130.1_BraROA transcript:A04g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVASTKKTIKIPLNCKDTTQTCPLNYPSRFKPAISSSETCPDYFRWIHQDLKVWQETGITRETLERAKPNAHFRLVIKSGRLYLHQYDKCYQTRDVFTIWGILQLLKMYPGQVPDLELLFLCHDQPGIWKKDFTQEGPNATWPPPPLFHYCSHRDAYDIVFPDWSFWGWPEVNVKEWTTLQVAIREANERVRWKDRVPYAHWKGNSYVSQERRDLMQCNFSDKCDPMVHLYEQDWEKERENGFKSSNLEDQCTHRYKIYIEGRAWSVSKKYILACDSMALLVKPEFFDFFGRSMVPMEHYWPIRPQESCRDLKFAVEWGNNNTEKAQEIGRRGSEYMMKRLEMKYVYDYMLYVLQGYGKLMRLDVTVPDNATEVCSETMACPITDGGLIRQCMDDSLVTYPSVKTACDLPQPYGDDELKRFLKNQESAERHVEKLTNDYWEVQNKILQKGKNKKKTKSLSIV >A03p060060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26012447:26014695:1 gene:A03p060060.1_BraROA transcript:A03p060060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHDLRRPFKRRPISDQQKRRELSLLRQSQHRSDAQQRARNLASSVISLQSPSSPDVDPETLPEPMPDLATTGHESEASNFDIRQASSLRGPEARKWFASQLMLPEWMIDVPENLSRDWYVLARPAGKRCFVVSADGTTVSRLRNGSVLHHFPSALPGGARKKGVSGPAQSYSILDCIFHEADQTYYVIDMVCWRGYSLYECTAEFRFFWLQSKLDETGACDPPSFYHKFRFSVVPFYNCDQSGLHSAYTGSLPYLKDGLLFYNKHAHYHTGNTPLVLIWKDERCSQYVIDTDNNGQVPNQQHIVLELQDDGKIVTSDDPAVVVNCLNADFIKQSGLSPGSLVRFAIGDGGLNCVDGKFEKADLQYISVSNRARAFADSYSKIMFQYMARHSPLKAEDLASVISQENQQDKLPEVEMSD >A10p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21118763:21119397:1 gene:A10p037410.1_BraROA transcript:A10p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 33 [Source:Projected from Arabidopsis thaliana (AT5G06080) UniProtKB/Swiss-Prot;Acc:Q9LHS8] MAAHGSSCGACKFLRRKCNIDCVFSPYFSYEQASSHFAAVHKVFGASNVSKHLLSLPLHQRSAAAITISYEALSRMCDPVYGCVSHIFALQQQVMTLQDEIEFLGTHMANLSNSTQSMSQPNDMPEFLNQMTMDTTTGFIDQTVLNNDVGINCIEGFFTNPEEVLVNHPWFQNMDHYYYAPQH >A04p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7804429:7807939:-1 gene:A04p009350.1_BraROA transcript:A04p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVSTYYNTYTVVLMVIFLVLILFHAFSVSANSFSATESLTISSNKTILSRSEIFELGFFNPPSSSRWYLGIWYKKVSTRTYVWVANRDNPLPNSSGTLNISDNNLVIFDQSDKPVWSTNLTEGEVRSPVVAELLDNGNFVLRHLNNTNNNPDGFLWQSFDFPTDTLMPEMRLGWDHKTGRDRLLRSWKTPDDPSSGDFFTKLKTKGFPEFYVCSKDSIIYRSGPWNGVRFSSPAETKPLDYIIYNFTATNEEVSYSYLITKTNIYERVRLSSAGLLERLTWIETAQSWKQLWYSPKDLCDNYKECGSYGYCDSNTSPICNCIKGFGPGNEQPWTLRDDSAGCVRKTRLSCDGRDGFVRLKKMKLPDTTATTVDRGIGLKECEERCLKDCNCTAFANTDIRNGGSGCVIWTGEIFDIKNFAKGGQDLFVRLAAADLEDKKTKKRNIILGLSIGVSILLLLSFIIFRFWKRKQKQSIAIPKPIVTSQDSIMNEVVISSKRHASGDMKTEDLELPLMDFEAIATATHNFSTNNKLGQGGFGIVYKGRLLDGKEVAVKRLSKMSLQGTDEFKNEVRLIARLQHINLVRLLGCCVDKGEKMLIYEYLENLSLDSHLFDKKRRSNLNWQLRFDIANGIARGLLYLHQDSRFRIIHRDLKVSNILLDKNMIPKISDFGMARIFRRDETEANTRKVVGTYGYMSPEYAMNGIFSVKSDVFSFGVLLLEIISGKRSTGFYNSSGDLSLLGCVWRNWKERKGLDIIDPIIIDSASSTFKMHEILRCVHIGLLCVQERAEDRPAMSSVMVMLGSETTTLPEPKQPTFCVGRGPLGAELSKLGDDEWTVNQITLSVIDAR >A10p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21235667:21236358:-1 gene:A10p037720.1_BraROA transcript:A10p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGEFSIKGRELGNNNNGGGGTGTKCGRWNPTVEQVKLLTDLFKAGLRTPSTDQIQKISMELSFYGKIESKNVFYWFQNHKARERQKRRKISTVDFDHRQDTTLSIPHRDNIRHHQQPPKGTFETCEKEGKVIETLQLFPLSNVERGRTNITATSHNEYVREHVNTTVFSTYSSCGAEMEHPPLDLRLSFL >A05g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25871620:25874056:-1 gene:A05g508770.1_BraROA transcript:A05g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDDGKHAKVNKVQITYDDVIYSIQVTYAGTALQSQRRGSVGPKTAEFTLGPDEYITALSAYGKTLSTQDVITSLTFTTNKGTYGPYGNKTGYQISAPEGTGKQIAGFLGVQFVQFDYVKVGQPKQGALRGVQGSRGSTREILINHPDEYLVSVEGWYDSANVILGIQFKTNQKTSDYLGYEFDGSGKKFTLQVQGKKIIGFHGFASDHLNSIGAYFVPVSSTPTTPTVPPKKLEAKGGASGAVWDDGAHDNVKKVSVGQGQDGIAAVKFEYRNGSQVVIGAERGTPTLLGYEEFELESDEYITIVEGTYDKILGSDGLTMLTFKTNKNRTYGPYGLEGSTHFDLKEDGHKITGFHGRAGDNSITAIGVYLAPVGTIPLTPATQTKKLEAKGGDGGTLWDDGAFDGVRKVSVGQAQDGIGAVKFVYNNGSSEVIGDEHGKSTLLGFEEFELNYPSEYITEVHGTFDKIFASNSAIVTMLTFKTNKPATYGPFGLTAGTPFDLKEDGHKIVGFHGSAGDLLHKFGVHVLPIN >A01p004540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1940501:1942328:1 gene:A01p004540.1_BraROA transcript:A01p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMEVERKTIGWAARDPSGILSPYTYTLRETGPEDVHIRIICCGICHTDLHHTKNDLGTSNYPMVPGHEVVGEVVEVGSGVSKFTVGDIVGVGCLVGCCGGCSPCERDLEQYCPKKIWSYNDVYIDGQPTQGGFAKATVVHQKFVVKIPEGMAVEQAAPLLCAGVTVYSPLSHFGLKKPGLRGGILGLGGVGHMGVKFAKAMGHHVTVISSSNKKREEALQDLGADDYVIGSDQSKMNELADSMDYIIDTVPVHHALEPYLSLLRLDGKLILMGVINNPLQFLSPMVMIGRKVITGSFIGSMKETEEMLEFCKEKGLSSIIEVVKMDYVNTALERLEKNDVRYRFVVDVEGSKLEA >A09p046140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40329597:40329876:-1 gene:A09p046140.1_BraROA transcript:A09p046140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPWADTFNTKLTARLNNLETTVPGAKFVYVYIYNSSLDLINILRLQIHELDTGRHTLPGSSTK >A09p072800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55729524:55731040:-1 gene:A09p072800.1_BraROA transcript:A09p072800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKETMRRIVLVPVPAQGHVTPMMQLGRALNLKGFSITVVQVQFDLVSSSYSQQFPGFQFVTLPKSLPKYEVEGLGQIEFMMMLNKTSEASFKDCIGQLFLQHGNDIDCIIYDEFMYFCGYVAQECKLPSVIFSTQSATNHVSRCVFSKLDSDKFLIDMKDHEKQEKVVENMYPLRYKDLLPSGFGPVEPVLKIRVEVVNKRTASAVIFNTTSCLESLSVSWLKQELGIEVYALGPLHVTPSPPSSLPEEDKGCIEWLNKQKSRSVIYISVGTVAQMETKEILEMAWGLCNSNQPFLWVIRPGSILGSEGIEALPNEVSKIISERGYIVERAPQIEVLRHPAVGGFWSHCGWNSTLESIVEGVPLICRPFQSEQNVNAAYIVSVWEAGIQLEGEVERGKVERAVKRLIVDEEGTGMRERALVLKEKLKASLRSGGSSYNALDEFVNYLKTK >A09p082230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59593165:59594542:-1 gene:A09p082230.1_BraROA transcript:A09p082230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNMFSLMASEEYSSDRRCIWVNGPVIVGAGPSGLATAACLRDEGVPFVVVERSDCIASLWQKRTYDRLKLHLPKKFCQLPKMPFPDHYPEYPTKRQFIDYLESYASHFKIKPEFNKSVESARFDETSGLWQVRTTSAGEEMEYISRWLVVATGENAEQIVPEINGLKTEFNGEVIHACEYKSGEKFRGKRVLVVGCGNSGMEVSLDLANHNAITSMVVRSSVHVLPREIMGKSTFGISVMLMKWLPLWLVDKLILSLSWLVLGSLSNYGLKRPNIGPMELKSKTGKTPVLDIGALEKIKSGDVEIVPAIKRFSRSHVELVDGQKLDIDAVVLATGYRSNVPSWLHENEFFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAVNIAQDISNVWREETKRQKMRRNVGHRRCISVA >A02g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17339768:17340225:-1 gene:A02g506000.1_BraROA transcript:A02g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDELEHLEIQVDTPIRQIRSTKENLEQYQILDLFSHCQARSMFDQLFDLKTKLGESDAALNRSLWGDSFSAEHHQQQQ >A04p017450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10648210:10648446:-1 gene:A04p017450.1_BraROA transcript:A04p017450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNKIKKKEDEKLDCETATKVIGIVGVVGTVVGLLLLIGSESKKEKMMKAPGQDGYIARRDFENSAKDYFKDLRGKK >A02g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11978488:11982523:1 gene:A02g503510.1_BraROA transcript:A02g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRNPINSIISQAITSAVDGALAKHQHKQRDKDRTTQIFGEDDEDDVLSNNLSANTNSSQNLLHELEEPHDQVRDFVGAPIYDDYDDNFCREPCHKSDMMGKEEDMSLIDIHEINGNMTRETHLDRPIVTSNVGSYYVPITNLTDEPIYDVSDDEVFIDSNYCRDPLFIDEYEVQGSNKGGDFHVVVDDGNICVRKEHIDYGLREKDCPQHLRRKPPDRDQNKETSYVGTFETQERRSIGSTYTKLLEETGSVLKLDHGHHDCLRTENGLYRVITASQLTGSKDINLAATYLDAKSMVAHLRVCERSWKYDGGTLYDGLGVTPVSFRGIQGPLFSREKTMDPVLPNKEIVHLYTPICLDKLVVFQTVAKLSGEVSFMDVMFVIYPTSSTWLVYFSRGSLQNFVILGVDMSYCRHQHVCAIMHSDNLFLEKKKQQRRVNLLPLMGSVMFYVRDLKAIGKDEQVKVQIITCLVSLSCLRSCRWSFRRMQNVKWIFEWVRQENKLCWSFIFRATVSHVDLSVDLIKVEFERVRSYLYFVAYSRCVLTSFSSDVVLVFKYMVEHRFVLVYSTISSPIRGKHKHLDGRIEAFDMIQFVWVFGAYKTVTFLELQRSIPLWTLCIHFNVVSIYGILRSSAVWPLSHMDVTVPLVVFPSQWPQIELQWGVSKRKVEVVHSPHTGLGCIFVALICWSDFSCESRNLGEYPNSDFQRVCEAATYFPVVKQIFSPSSRTKFSMVMWAMTRSVGHKLICGVVLKIFKSTHRLLPNKNTRNIFLNDVTRCTFYVGWDLIHSVEVSSENLDLRDKVFHRRLAIYDGELQLVQQKKSVWVAYQCGSRTFFVIKEGKMFSLVRQSWSSLALGSAYPTVHLLPSVSSLHQLHLRVFKREFDIVLLMNLEFVVVILAAISEQVDVTVVHVQSPTVQTKKHA >A05p010490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4439233:4440929:-1 gene:A05p010490.1_BraROA transcript:A05p010490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAKSRKPHAMMIPFPLQGHVIPFVHLAIKLASHGFTITFVNTDSIHHHISTARQGDAGDIFSAARTSGNLDIRYTTVSDGFPLEFDRSLNHDQFFEGLFHVFPAHVDDLITKISRRGDDPPVTCFIADTFYVWSSMICNKHNLVNVSFWTQPALALNIYYHLHLLISNGHFNSLDNREDVIDYIPGVKAIDPKDLMSYLQVSDKDVDTNRVVYRIIFEAFTDVKKADFVLCNTVQELEPDSLSALQANQPVYAIGPVFSTELVVPTSLWAESDCTEWLKGRPTGSVLYVSFGSYAHVGKKEIVEIAHGLLLSGVSFIWVLRPDIVSSDVQDFLPTGFMDRAQSRGIVVQWCCQMAVISNPAIGGFLTQCGWNSVLESVWCGLPLLCYPLLNDQFTNRKLVVDDWRTGINLCENKMVTRDEVSVNIKRLMNEETLSELRSNVEKVNRHIKDAITTVGSSEVNFNSFVGDVQDRIEIRN >A09p010590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5394137:5395544:-1 gene:A09p010590.1_BraROA transcript:A09p010590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEACNLKELEVESFEVREVLRCILHTIVFHRALGLIRPKDIDLELFDITYVQCGEIEVEKKIDDKIEQIITWIAKHPNKKIQICLTFYEVKTKQPSWFTNKIERLYWEQWNINLNVIQPTKPPVGKSHHSKLVVDPGEEPEELSSRRTLLEQSLQEVLFQIIKFVNEKKDHVPPINDGVIYCPFEITFPSTSDSAFGIDMFKRMLHSGGHPSMLT >A08p002160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1228768:1229784:1 gene:A08p002160.1_BraROA transcript:A08p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP3 [Source:Projected from Arabidopsis thaliana (AT1G53230) UniProtKB/TrEMBL;Acc:A0A178WDV9] MADEESNHHHSIHSPSSPHPLGMRHESVFSTAAEHGGCGEIVEVEGGHIVRSTGKKDRHSKVCTAKGPRDRRVRLSAPTAIQFYDVQDRLGLDRPSKAVDWLIMKAKSAIDNLAELPPWNPADTTRQAAANKPKRSKTVIPPPETGIHGGSGEETERHHQSSFLPASVSTPHYHPPPSSRANAQRQDLRLSLHSFQNGPAFADETEHALFSGQSNPLVFDSSTASCDQSPEFGKMQRLVTWNNGGAADSAGFVFASPATTTSFQPQSQVFSQRGPLQSINTPMPRAWFDPYHDHHHNHHHHPYHISPAIHQSAIPARYLTEQDGHGDKPSSASSDSRH >A04g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12211292:12212738:-1 gene:A04g505880.1_BraROA transcript:A04g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNGIANNHELFQQIHKFQILLGRLRIRPPNSHFLSQSLDDLFFKSDDRDNDEDRDFQTNLEETKPGGVDKEKKEEKEQEGFTRTSTSVPQGPKFLGAAWQRPQLNIFERGLLIEVYGDRGVGELWFVHVTPTQLSQLSSRLNLP >A02p022650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10828151:10837560:1 gene:A02p022650.1_BraROA transcript:A02p022650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PHYLLO, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68890) UniProtKB/Swiss-Prot;Acc:Q15KI9] MASPPCYSFLLLSNPPFLPSLIPRYASRYSFTRRTQRFSSFASSLHGIRRNIEVAQGAQFDELVLSRDDVSEDDELTVQVCVTRTLPPALTLEVGLERVMEAVDELKTDPPKSSSGVLRFQVAVPPRAKALFWFCSQPVSSGVFPVFFLSKDTVEPSYKSLYVKEPHGVFGIGDALSFLHPSKGHSSIKTFLSDESAMVTAYGFPDIDFNGNSSVYSKDGSSYFFVPQVELDEHEEVSILAVTLAWNDSLSYSFEQAISSYEKSIFQVSCHVCPNLEEHWFKNLKSSLAKLDVKEIHPIKMEHMEYLTFSGRDQGDAKELKNIQPLCQFHCKLSPDVVFSNNMLNQEAEVSNSLKDQANINAVWASAIIEECTRLGLTYFCVAPGSRSSHLAIAAANHPLTTCLACYDERSLAFHAIGYAKGSLKPAVIITSSGTAVSNLLPAVVEASEDFLPLLLLTADRPPELQGVGANQAINQINHFGSFVRFFFNLPPPTDLIPVRMVLTTIDSALHWATGSACGPVHMNCPFRDPLDGSPTNWSFNCLNGLDMWMSNSEPFTKYFQVQSLKSNGETTGQITEVLEVIKEAKKGLLLIGAIHTEDEIWASLLLAKELMWPVVADVLSGVRLRKLSKPFLEKWTPIFVDHLDHALLSDSVKNLIEFDVVIQVGSRITSKRVSQVLEKCFPFAYILVDKHPCRHDPSHLVTHRVQSNIVQFADCVLKSRFPWRRSKLHGHLHALDGAIAREMSFQLSAECSLTEPYVAHMLSKALTSKSALFIGNSMPIRDVDMYGCSSGNYSHVVDMMLSAELPSQWIQVTGNRGASGIDGLLSSATGFAVGCKKRVVCVVGDVSFLHDTNGLAILKQRTARKAMTVLVINNRGGGIFRLLPIAKRTEPSVLNQYFYTSHDISIENLCLAHGVKYVHVGTKRELEETLLEPSVEEMDCIVEVESSIDANAIVHSTLESFARQAANNSLGIISASSVLHPMIDSVLLFQVSGIQYSRYRVGLCDRPTIYSGESSQFHREGFILSLTLEDGSIGCGEVAPLDSSRENLMDVEGQLQLILHLMKGAKVSHMLPLLNGSFSSWIWSELGITASSVFPSVRCGLEMALLNAMAVKHDSGLMGILHCQKEENGSAQPHSVPICALLDSEGTPSEVAYVARKLVEEGFSAIKLKVARRVNSVQDALVLQEVRRLVGDQIELRVDANCRWTFEEAITFGLLVKKCNLQYIEEPVQNKDDLIRFCEESGLPVALDETLDDFKECPLRMLAKYTHPGVVAVVIKPSVVGGFEIAALIARWAQQHGKMAVISAAYESGLGLSAYILFASYLETENVKTFRERKQGMAPLVAHGLGTYKWLNEDVMMNSLGISRSPYSGFIEGSVADASKNLKDVNINNDVIVRTSIGVLVRRCELRVDVGGFSHFVRIHEVGQNVEGSVVMFLHGFLGTGEEWIPIMKGISGSARCISVDIPGHGSSRVQSNASETPTFSMEMIAEALYKLIEQITPGKVTIVGYSMGARIALYMALRFSNKIEGAVVVSGSPGIKDPVARKVRSATDDSKARMMVDHGLEIFVENWYNGGLWKSFRSHPHFRKIVASRLVHDDVLSVAKCLSDLSTGRQPSLWEELADCDTNVSLVFGEKDVKFKKIASRMYLEMSKSKKSEIHIIETVEIPEAGHAVHLESPLHLILALRKFLTRVRKNSAETELSQKLLLALKET >A06g507350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:20326264:20326680:1 gene:A06g507350.1_BraROA transcript:A06g507350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative inactive flavonol synthase 2 [Source:Projected from Arabidopsis thaliana (AT5G63580) UniProtKB/Swiss-Prot;Acc:B2GVM7] MEVKKYQHTSPPSEMIPIVDLSNPDEELVSRAVVKASQEWGIFHVVNHGISMDLIRRLKEVGSQFFELPETEKKAVAKPDDSQDFEGYTRNLKYTEGEVWAENLFHRILPQSCINYKYWPRNPPQYRYEESLGYKRLL >A03p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6101810:6105442:-1 gene:A03p015430.1_BraROA transcript:A03p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 79, subfamily C, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G79370) UniProtKB/TrEMBL;Acc:F4IF38] MEYNFFTSVYITFCITLGMLFLIKWFLELLLGGDGGGKQLPPCPPGIPMIGNLVGMLRNRPTTKWIVRVMNDMKTDIACFRFGRVHVIAITSDEIAREVFKEKDSVYKGVVFDEYGERQMKMKKVMTSELMSTKALDLLRDVRNLESDNLLAYVLNLYKKGGLVNVRDIVCTHTHSVKMRLLFGRKHFKETTKDGSLGPMEKEHLDAIFKALDCFFSFYIADYYSLFRGWNLQGEEVVLREAVDVIAKYNKMIIDEKIDLWRKNCDANKNVPKDWLDILFTLKDDKGKPLLTPEEITHLSIDLDVVGIDNAVNVIEWTLAEMLNQREILEQAVEEIDRVVGKDRLVQESDVPKLNYVKACIRETLRLHPTNPFLVPHMARQDTTLAGYFVPKGSHILVSRPGIGRNPKTWDEPLIYKPERHLTGDEVMLTEPDMRLVSFGTGRRGCVGTKLGTYMIVTLLGRLLQGFDWTLPPKTAKVELVESKENLFMAKPLLACVEPRLDPNILDEYVDNEDDPCPRRKEPTETGASGSGNNNFNSAVGEHMFDKVLTQSDFGKLNRLVIPKQHAENYFPLEDNQTGTVLDFEDRTGKISQSYVMTKGWCRFVKEMKLESGDIVSFHRGYVPDDNEPEKRKNIFFIDWRHRANTSL >A05p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25247370:25249645:-1 gene:A05p041580.1_BraROA transcript:A05p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSKLLTRSKAHSHMFSATTTISTSNSIQRQFSAVADSSFSTSAAIGSQTSPPAPTQDNKRGSKWTQLLLFLPGAITFGLGSWQIVRREEKIKTLEYQQQRLKMEPMKLNADHPPDKNLDALEFRRVSCKGVFDEQKSIFLGPRSRSISGVTENGYYVITPLLPIPGNLDSMQSPILVNRGWVPRSWRDKAQESTESDSVTNDSTTAKPLPSEQNSWWKFWSKTQVIPKEHVSAVKPVEVVGVIRGGENPSIFVPANDPSTGQWFYVDVPAMARAIGLPEDTIYVEDVHEEIDRSRPYPVPKDINTLIRSKVMPQDHLNYCITWYSLSAAVTFMAYKRLKPKATRR >A09p065760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52462320:52462703:1 gene:A09p065760.1_BraROA transcript:A09p065760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYVRFVENDEPHFLESCSLCRKTLSLNSDIFMYRGDMAFCSQECRQEQIESDEKKAKRWRKASSSSRSKNSVAGKAVRSETLVVS >A09p042880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34950114:34955197:-1 gene:A09p042880.1_BraROA transcript:A09p042880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEELTVALLNKTAENGGEDDELGLTKKVWIESKKLWVVAAPAIFTRFSTFGVSMISQAFIGHLGPIELAAYSITFTVLLRFSNGILLGMASALETLCGQAYGAKQNHMLGIYLQRSWIVLTGCTICLTPVYIFSGPILLALGQEERIVRVARIISLWVIGINFSFIPSFTCQMFLQAQSKNKIIAYVAAVSLAVHVFLSWLLMVHFDFGITGAMTSTLVAFWLPNIAQLLFVTCGGCKDTWRGFSMLAFKDLWPVFKLSMSSGGMLCLELWYNSILVLLTGNLKNAEVALDALAICLNINGMEMMIALGFLAAASVRVSNELGRGNSKGAKFATLTAVFTSLSIGIVLFFVFLFLRGKVSYIFTTSEAVAAEVADLSPLLAFSILMNSVQPVLSGVAVGAGWQGYVTYVNLACYYLVGIPTGVILGYVVGLQVKGVWIGMLFGVFVQTCVLTIMTLRTDWDQQVYTSLRRLNRWVVPESSAVSKTPSEE >A06p019910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9734460:9736063:1 gene:A06p019910.1_BraROA transcript:A06p019910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPENRILFLILASSLLFFTATSKSTIEPCSSNDTCNSLLGYTLYTDLKVSEVASLFQVDPISVLLANAIDISYPDVENHILPSKLFLKIPLTCSCVDGIRKSLSTRYKTRPSDTLGSIADSVYGGLVSAEQIQEASSVSDPSVLDVGTSLVVPLPCACFNGTDNSLPAVYLSYVVRGVDTLAGVARRYSTTVTDLMNVNAMGAPDVSSGDILAVPLSACASNFPKYASDFGLIVPNGSYALAAGHCVQCSCALGSRSLYCEPASLAVSCSSMQCRGSNLMLGNITVQQSSAGCNVTSCDYNGFDNGTILTMLSRSLQPRCPGPQQFAPLLAPPDTLPKDIMYAPAPSPDFDGPGSVASSPRSSIIPPGGGSFPGNPANGPAGSISMATASSVSHFFVIFLISISSFSFVFSS >A03p041320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17277240:17278690:1 gene:A03p041320.1_BraROA transcript:A03p041320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDSPPPNDRRRRRRLDEEDDAELPQYAPQPMAEDDEAHRFLHPPAPPSSSSMRRRATSEAANGGCGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGFDRPSKAVDWLIKKAKTSIDELAQLPPWDPADAIRNAAANAKPRRTAAKARVSPSPPPQQLQFSGGTAVGFAGATERRSNDNNQSSFLPPSMDSDSIADTIKSFFPVVGSTAEAPPHQLMHNYHHHHPPDLLSRTNSQNQDLRLSLQPFPDGPPSLLHHHHHSVAEPVLFYGQSNPLGYDTSTGGWEQQSIQRLVAWNSGGANDTGNGGGGGFLFAPPPNHPTSTTSFQPVLGQSQLYSQRGPLQSSYSPMIRAWFDPTHHHHQSISTDDLNHHHHHMPPPIASGEFSSGFRVPARFQGQEEEQHDGLTNKPSSASRH >A08g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6015647:6018212:1 gene:A08g503220.1_BraROA transcript:A08g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLRWEPVVHDEYPWIIPFWVEITGIPLHLWTIGNLKNIGKRLGHIDTVELSAGRMFIDVDTRRPLTFKRKIASPEGDEVWIQIHYERLFKYCKTCRMLTHEEALCPTKVTPLAVQGERSDVFSRVQLPANVESRQSLLRDKERESRYGRDGYGRRDRRSRSPLRERRADGGFMNDARVAYSRRDVSGDRNARQQGGKVRKLASEYTRQSSRYAPYGKQRPTVWREKERSPAREVYNADRSGSLAHGTHMRFTGVPSVHHSDDELVHKSSGKRIASQIVTPARHDNDDNVTKRPRVSPRLLTFSPTEKALPVDAQIIGALNGMEIVDPINTEEELHDQEMLAEIQEDDMLGEDLMDMEVGSTSNVQQVERVGDVNARVKLRTSSSYKSGGRSGFPLGLQNKKAGFFRRGSPLLPQSLERMEETT >A03p039990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16649641:16652781:1 gene:A03p039990.1_BraROA transcript:A03p039990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNYEDQTILFDFVVKQGNGVKGLIDSGMSCVPQPFVQPPSERIATLNGQTCEAAQPIDLSQLDGPHHKEVAKQIVEAAETLGFFQVVNHGVSIELLELLKTSAHEFFAQPPEKKATYLKEVSPSKLVKYGTSFVPEKEKAIEWKDYVSMLYTNDHEALQHWPQPCREVALAFLKSSMQMVKRVVEVLMEDVGVRLEEERMNSLMGTKMVNMNYYPTCPSPELTIGVGRHSDMGMLTVLLQDGIGGLYVRLDNGDWAEIPPLNGALVINVGDTLQILSNGKYKSAEHRVRTTNIGSRVSVPIFTAPNPSEKIGPLPQVVKRDGVARYKELLFQDYMNNFFSQPHDGKKSLDFARAD >A05p014730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6458475:6463350:-1 gene:A05p014730.1_BraROA transcript:A05p014730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVSSAGGLLAMLNEPQPTLKHHALSHLNNLVDRFWPEISTSVPIIESLYEDEEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGAFFDVSEDTDYVHTLLAKAIDEYASLRSKAVESSEMVDIDPRLEAIVERMLGKCITDGKYQQAMGIALECRRLDKLEEAITKSDNVEGTLTYCINVSHSFVNRREYRHEVLSLLVEVYQKLPSPDYLSICQCLMFLDEPQGVATILEKLLRSDSKDDALLALQISFDLVENEHQAFLLSVRDRLPAPKTRPVEVAQAVETTATTNENLSGDVQMADDTPVTPAQTIVHETDPVDATYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLSALGTADEEIYDDVKSVLYTDSAVAGEAAGISMGLLLVGTATEKASEMLAYAHETQHEKIISSWYVFLGGLALGIALTVYGREEGADTLIEQMTRDQDPIIRYGGMYALALAYCGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSDPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKVTAVIGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFMSHAKPSLFEYPKPTTVPTSNTAAKLPTAVLSTSVKAKARAKKEAEQKANAEKASGAEKSVSESGSGKGKESADKEGDSMQVDSTATVEKKAAEPEAAFEILVNPARVVPAQEKYIKLLEDSRYVPVKVAPSGFVLLKDLREHEPEVLSLTDAPTSTASPATGAASATQGTTASAMAVDDEPQPPQAFEYAS >A02p055270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33464734:33465815:1 gene:A02p055270.1_BraROA transcript:A02p055270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFHRRNFSYDKLPAEPIRLSVLKLDGTSFDVNVTSSATVRDLKLAIETAFSHVPKKGPSKISWSHVWGHFCLCYGGQNLVTDAECIGSYGMKDGDEVRFKNHVSGNAVLNKGYSRKSKQNNLERVGPKNEAKEVNRMEEVDDDLEKGSLVRYEDDVLEASPEDNMTSLTTVHGCCFVFGLKELLGFGNDKSYYSLRDTWRDD >A01p047800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26969208:26972133:-1 gene:A01p047800.1_BraROA transcript:A01p047800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSSKTMNMLEGLVKDSSFKWLLGKQSSFDEEIEEMGRSPFAGTNWIPELSPVANVVVRRCSKILGVSANDLRDGFKQEASESLKQPSLFARNFLEYCCFRALSLSVGVTGHLADKKFRRLTFDMMFVWEVPAVASQALLSVEEDATVSLEAFSRIAPAVPIIADVIICENLFGMLTSSTGGRLQFSVYDKYLCGLERAIKKMRTQSESSLLSGVRPRKEKILEIDGTVTTQPVLEHVGMSTWPGRLILTDHSLYFEALKVVSYDKPKRYDLSEDLKQIVKPELTGPWGTRLFDKAVSYKSISLSEPVVMEFPELKGHTRRDYWLAVIREVLYVHRYINKYKIAGLARDEALSKAVLGIIRVQAIQELSLTNSMCYENLLPFNLCDQLPGGDLILETLAEMSTSRELHRSNRSKDSGTLHSSASDMVSQLGSVFGGSSPRSRTSEKTSSLVVGEVVVGDVNPLERAVKESRKNYEKVVLAQETVNGVKMEGIDTNLAVMKELMLPVMETGNVVLSLLYWDDPMKSTVFCLFSSLIIWKGWLVYVFALASLFSAVFMVLTRCFSRGKLTVELKVTAPPPMSTMEQLLAVQNGISELEQNIQDGNIILLKFRALLFSLFPQASEKFAVAMVVAATMLAFVPGRYLLLVVFVELFTRYSPPRRASTERLIRRLREWWFSIPAAPVILQHDDKNETKKKK >A02p029090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14685616:14687138:-1 gene:A02p029090.1_BraROA transcript:A02p029090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQQQHMFPQHEQEQRKKWGGCLGVLSCFKSQKALKKIVPASSRIPECGGNASASQPNGGVLTNQATTRAMNPAFMAPPSSPASFTNSALPSTAQSPNNYLSLSANSPGGPSSTMYATGPYAHETQLVSPPPVFSTLTTEPSTAPFTPPPELAHLTTPSSPDVPYARFLNSGNGHYNDLPSTYSLYHGSPARSPQTGVSTPLQEESNFFCPETFAKFYLDHDPSAPLNGGRLSVSKDSDVYSTNGNQNRQARQDMEELEEAYRASFGFSADDVITTSQYVEFTDVMDDSLVKAKPYSPSNGQKRLRGEANLPSQTSSKSEEALLSRNRINADEEALLSRVGSVKKGSRSYPAGVSSSDAEIEYRRGRSLREGRENRHRR >A10p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4440022:4440748:-1 gene:A10p013980.1_BraROA transcript:A10p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAPPMKQGKTGFEEPQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRMPTKVLKITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >A05p014250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6201581:6203257:-1 gene:A05p014250.1_BraROA transcript:A05p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISFSLSKHFLFPILVMPFLMIHSVSSLNLTNEYLNHKCLLDQGKYNSGSEYEDNLNRLFRKVSSDAYAVIGFTHTSIGTSTPNFLTITLQCRGDSIGSKCHTCADTAILEFHKRCPKNKGGIIWYDQCFLYVTTIKEEVPIKTNYENIFSMYNSNNVRGDGHFFAKRVMDFLSELTLKVEKTIKGVHIILYAEGEKKLGKNKLYAMVQCIQLTLDCKSCLEWSIKKLFKNSDIKQGARVLGTNCGVRYELYPFLR >A04p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7892816:7894315:-1 gene:A04p009080.1_BraROA transcript:A04p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQIDWKRIDSRFVEDVFYEHLRAPKWFDFLSPDNHLQDSVDDAWFCKPDCNHPKRPEDFLLTPNSSKVCASPSFSLLSCFLTTRTETTVSWLSVLKQTPGVTEQSQRRRGHALSEGSENQNPNLATPPPSQQGNKSWRAALKSTSVKKMSKETPKKLKSTQSARNLFSGRDILGHISEFCYELKRLATRGVTEREETTVKPQVKEVKERKPLLEVSKDKEKVHESTDAKGSSTFKESRQRKNRVDDAENIPVSLNVEVKMKGEECKRKKKPVVDAENIPPLKLGNVKNKGHERLLQQIRTNPPSPQCFSENRTASLKALMTKSAEKSRDIINKEGGSVRGLDVLWFLKPCTMAN >A06p039240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21045431:21046120:1 gene:A06p039240.1_BraROA transcript:A06p039240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLNMNGCAEEFMKALEPFMKVTEPVPLAPDNQTGPIGLNPLTDTQILEIQREFHLRQQNQARRRGCGAKPTPIKKTNVTRPVKLYRGVRQRQWGKWVAEIRLPKHRTRLWLGTFKSAEEAALAYDQAAHKIRGDNTRLNFPDIARRGEHKQTLSPSVNAKIESICYNISDVPLLEVKKPAKTVKWFFGFHYTVPKLEQEREVLDSSGYGSPESNITMLDFSSQLMK >A09g516290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48091024:48091946:1 gene:A09g516290.1_BraROA transcript:A09g516290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHQEIIRRCGNIEKLLEFHNDELRRKQQVPLFGQQELNFPVFHDDSWRHLCVLDMTVFKTLREGNYSKLKVNYLTLKSLIFKQSKIWILCLNFIAVEFICKVVVRGIETSNGWCYIGCSICSRKLNRGFHLSHVLSVPCRNDATDTAELVAFDTEVCKLTNVPAADVTHQQVHLLY >A02p023480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11682939:11691204:1 gene:A02p023480.1_BraROA transcript:A02p023480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSGDDNVLQVLIKNFDVLALPLVALVYPLYASVKAIETKSLAEDEQWLTYWVLYAIISLFELIFSKLLDWFPIWPFLKLIGICWLVLPQFNGAEHVYRHFIRPFYMNPQRASTNIWYVPQKKLNFFPERDDDDILTAAEKYMEKHGTDAFERMIVRKDSYERGRRGGGSNDYMTFDDDYRFVSCILYLILGLFFQIILNNGLDESTLVWYSGKEEKQIKLSQVLRIVPGQRTATFKRYPRPEKEYQSFSLICPDRSLDLICKDKDEAEVWVVGLKALITRVKISKWKNTIKPEITSPECPTPQARRVSPFVSILDQVTQPSTETSTPNRLGKVFSDIVSITAPANNNQTEANTLNPNLYCPISPGNVENPNSRFSTGGDLARLSLSSAVSTSSHGSYHEEFDALGDVFLWGEAISDGVLSGTGKTLHSTTEDALLPKTLESTIVLDAQNIACGRCHAVLVTKQGEIFSWGEGTGGKLGHGLEKDTHQPKFISSVRGMNFKSLACGEFHTCAVTQSGELYTWGDGTRNVDLLGHGSESSCWIPKRVTGVLQGMHVSYVACGPWHTAVVASSGQLFTFGDGCFGALGHGDRTSSSVPRVVESLSEVRVMKVACGFWHTAAVVEVTNEASDAELYSSCGQLFTWGDGVKGQLGHGDNSAKLLPECVTLLTDENICQVACGHSLTVSLTSNGHVYTMGSPAYGQLGNPTAKEKVPSRVEGDIAEACVEEIACGSHHVAVLTSKSEVYTWGKGLNGQLGHGTVENKREPAVVGFLKEKQVKAITCGSNFTAVICLNKWVPGSEHSLCAGCRNPFNFRRKRHNCYNCGLIFCKVCSSRKSLRAALAPDMNKPYRVCYGCFTKLKRSRELSPPPPTPRTRNLLNMRKSTDVSEKTPKLLSPHSRIASADSLVQYGEGRHSKRDVKPEVSNSNVFALGSVQPAMSPLLRGSIAWPRISKNMIVKVPGSRVSSRTASPVSVKSTSPRPSHEVTTDESKHIKDSFGQEIVGLKEHVEQLTSKTQQLEEELEKTKRQLKVVTAMAADEAEENRSAKEVIRSLTTQLKEMSGRVPQKDDTSTNLRHTEKETTSESQTQTSSQTHIRSMVPHDSQSENNLSKSFVNGHRRHNEKAERVVQDEPGVYLTLLSLPGGGNELKRVRFSRKQFTEEQAEKWWGENGGKACERHNILVS >A10p032830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19310096:19312392:1 gene:A10p032830.1_BraROA transcript:A10p032830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESICLTLAATAGNNIGKVLQKKGTIILPPLSLKLKVIRAYAVNKPWALGFLMDIFGALLMLRALSLAPVSVVQPVSGCGLAILSVFSHFYLKEVMNVFDWIGITVAGIGTIGVGAGGEEQKASLISVFQLLWLALVVAILFVGTFHLWYFIRKVLLNAWLHIYKRQRREQELMEYEVVEEIIYGLESGVLFGMASVVSKMGFVFVEQGFSAMFIPICISISICCSGTGFFYQTRGLKHGRAIVVSTCAAVASIVSGVVAGMFALGEKLPTSPSGRLLLLLGWLLIMLGVVLLVTSSRLIRHLPRSFRRSRQTSVERGFNIRRTTSHTPKDTNPSAVIQAATLHHLLSSASKEKD >A01g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26378534:26381223:-1 gene:A01g509680.1_BraROA transcript:A01g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLAFFRRISPNPPLSHSFRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p037890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20482976:20483727:1 gene:A06p037890.1_BraROA transcript:A06p037890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGDNQMTSQDRVLLIIGSWVRDQYKRWIFEPDISNQLEHYIRLRTGMTLRELLTAVRERLQVTTKGVTLKLSYQYPEWVSFDDPELGLPVYITDDIEVWGFIEMRRAIEKVNLFVSLVCPTGGLHVARETAHMNLTMAARVNPTMDESWHDFAISETPLTLPQTEPNANRRVIEVPDDSISRQEGGVDCTGRRAIPFTKGGIEI >A01p005990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3091412:3092987:1 gene:A01p005990.1_BraROA transcript:A01p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGNRRDEGSMPIQNTNLFAALDTRRKKKKSDKSKGRQDPVKEPEPQVFWAPTPLKAKAWADIDSDDEDDDYFATTAPPKALWSTSEASRSDAKEVHVEESESEEDILDEGDDDDLEEEHETQVHPEAEPEVKKAPEVPAPPKEPERQLSKKELKQKEQAEFDALLADFGVAPRENNGQENSQDKQEKKEANGEGEKKENAAGESKASKKKKKKNKQKEVKESQDEVKSNSDAPADEQGEEEGSSSMDIKERLKKIASMKKKKSSKETDAGAKVAAQEAAARKAKLAAEKKKKEKNHYNQQPVR >A05g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18819702:18821439:-1 gene:A05g506650.1_BraROA transcript:A05g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERCNFRKNYRYEVFTKKYPLGFKPNNTSSVTAHTRRPVSMQQPNPSQARSLCSDRALVLLGRYVATELEPKLGRYVATERSSRSVAIDRAIFPLGRYVATELEPKLGRYVATERSSRSVAIDRAGCYIATALGQARSLRSDRAIVPLGRYVATERSSRSRPSDRPARSRSDRAQAKARSLRSDRAIVPLGHYVAIELGQARSLRSDRAIVPLGRYIATELGQARDRALVSLGRYVATGLEPKFGRCVAIKPFRTSIRHQSLHSRQTFECYLPKTVASSVHKPRKTRSKRVELEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVTADKYGSFEDNSATQLGLAVLGLIELGISPTALEPRLIHCCNAHTQIRNKDLLCSLFDFLFLSLLFSYIRVLWEIRVFLVSLFKRKSTV >A05g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7791151:7792086:-1 gene:A05g502510.1_BraROA transcript:A05g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRPRFSTSDIPNSVLRNVNFHVKTYQDTNLEFIRALQSQLSKAEIGDSSMPHISDIPDTPTSRIQNTLANDSDISE >A09g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29058239:29065482:1 gene:A09g510050.1_BraROA transcript:A09g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESKLSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELVEHMCDVWEINNKADRWKRGTSCKKGKLRKLSKMWVMMSTLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVRPASSEEEQVEPASLPWITLVRRNTPKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPFISEVDGLGGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVERLEARNEEERSMRSLCKRPTKMKEE >A07g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23470836:23473013:1 gene:A07g508570.1_BraROA transcript:A07g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRVYDPDPTLNVTFGPQNVAKYQFHCRSPFTVGSMTFLGDGTTEVQHRHAIRDLVGGDPIRCAKSVLELLFDEQQLIIVYRMAMEIDAALACDDDIQHSPPQLPYQTLPLQGPNNHAHRVLPLHPFNNVTATQPLGFASQPLNPISPDHSSDIFRGASLDIERGRGLPRLPNAWGETSDDDDDFWDGMQDDPIPPPPQPRPTQGLLSLSEPAKPCQMTARATVTLLSDGDSSGSQTGSSDGLIADITHIRTPPLLGIPVHSPHRPVGGGTFEQGGTSNAPALPNRNLLQSFMQGSGSGSGPSLDLRLGIDTDNKNGSGSSYVNLGDSSSDTDENDGSKQPIDDIVYVGMIFKSREEFKHHMAKYAIKNKFRFRNSRSSPDGMVLRCFSSTCNWRVYAKRMKNVTSYEIRRVDLQHTCSVDSRAVNYKLSKHVIRVGYQSQATHSVIGEMMKARFGGSGAGPRPGEIRQVMQGV >A03p018940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7728395:7731107:-1 gene:A03p018940.1_BraROA transcript:A03p018940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWESDKMLDVYIYDHLVKKKLHNTAKSFMAEGKVSTDPVANDTPGGFLFERWSMFLDIYKAKTKEKLLDSAVEYELNVYIFDYLVKKKLHHTALSFMSEVEVSMDPVAIDTPGGYLSDWWFVFWAFFVASTNEKHSESAAEAHQGGVSAAIQSPTQQTPLINMPQVHQSSSQQQDPFQSQQKPSTPSTYTPVERVAITRNMPKGPMMYGYDANQLGYEVWADMDPFGDVGALDDEDLERVINIINGNPFEYYIEAQQNKAKEQQIQMKQPNPMNTETSQAGTTYHGEMDQGNHQGGHVSAALQQLKSRTQQTPVITLLDRIFFSVLVTFLHCTKHTGAKVGSWRRRVTKCECFAAGVYCSTEPPCSCTDCHNIPIHNDTIWASRENIESRDPLAFTPKIIGRSSDSVQETREDDASKTPASGRHRRGCNCKKSHCSKKYCECFQGDVGCSTKCRCEECENKFGVKPT >A08p009030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4524866:4527137:1 gene:A08p009030.1_BraROA transcript:A08p009030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFDLGGKPKLFHNLGVNSKFYLNLGGCVGRLLFKFYMNLIHEKTFYGRLMEHLWKTPEKLKKDFDLGGKPKLFQNLGENHKFYLNSEIRERFHSFRVRNITFLLQSFEKKKENV >A09g519250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59437136:59438972:1 gene:A09g519250.1_BraROA transcript:A09g519250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLGSHRIHGKTGGAGTKGTVAKLSIGVIVLLICTFSLLFSANIRRDPEPTRSSKSCGRVLSLQPPSAPRSDWPPPTEETNGYLRVRCNGGLNQQRSAICNAVLTARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIETLKYDVKIVGKIPDVHKNGKTKKIKAFQIRPPRDAPIDWYLTTALKGNERTQCYLPYTFFTSVGGGN >A03p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4888121:4888827:-1 gene:A03p012290.1_BraROA transcript:A03p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGRVVSSTSSSLTTELFGSKDPVPPSSSSGIFSSIFPHPSKGVARDGQSSKHGSQAQRRETSNAQDRVEPCNLSSSLYYGGQDVYPRSTTNQTYPTVKNEGPRSQENDANGHNSQDVSRGNWWQGSLYY >A09p012810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6509044:6509438:1 gene:A09p012810.1_BraROA transcript:A09p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQEMLKKEGLETGTNYEIHHFHEHWFKSLPSLLFQWAPKKLSISHETESAFTRGTAIGAISEAVSSIEIFESLLCLMDVIVSLISGRLVRERIGPVT >A03p060910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26425368:26426897:1 gene:A03p060910.1_BraROA transcript:A03p060910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:(DL)-glycerol-3-phosphatase 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G25840) UniProtKB/Swiss-Prot;Acc:F4JTE7] MLASPTRFVAQRITLRSSNTIPTSFIPPFPRGLPQRSVTKASARIVAAMSTTSVNAVTDAGRGSITHVIFDMDGLLLDTEKFYTEVQEKILARYNKTFDWSLKAKMMGRKAIEAATLFVEECGISDSLSPEAFIVERESMLQDLFPTSDLMPGASRLLRHLHGKGIPICVATGTHTRHFDLKTQRHRELFSLMHHIVRGDDPEVKQGKPAPDGFLAAARRFEDGPVDPRKALVFEDAPSGVMAAKNAGMNVIMVPDPRLDKSYCTVADQVLASLLDFKPEEWGLPPFEDSQN >A09p061710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50776693:50779336:1 gene:A09p061710.1_BraROA transcript:A09p061710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYKVCFCFRRRYRHTASVAPSEIKTIFDNYSDKGLMTVDHLLRFLTDVQKQDQATREEAQAIVNASSSLLHRNGLHLDAFFKYLFSANNSPLAYHEVHQDMDAPLSHYFIFTGHNSYLTGNQLSSDCSEVPIIDALRKGVRVIELDLWPNSDEDGIDVLHGRTLTSPVELSRCLNAIQTYAFDVSDYPVVVTLEDHLTPKLQAKVAEMVSEIFGDILFTPPPGECLKEFPSPASLKKRIIISTKPPKEYKKSTDDEDVVKKDRSLGDEEVWGREVPTFTRKDTSDDKNDSNDDDDDDDDDDDDEGDKLKKNVPPQYKNLIAIQAGKPKGGMVECLKVDPDKVRRLSLSEEQLEKASEKYAKQIVRFTQRNMLRIYPKGTRITSSNYNPLVGWSHGAQMVAFNMQGQGRSLWVMQGMFRANGGCGYIKKPDILLKSDPAFDPEATLPVKTTLRVTIYMGEGWYYDFPHTHFDRYSPPDFYTRVGIAGVTADTVMRKTKMLEDNWIPDWNEVFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELRQGIRVVPLHNQDGVKCRSVKLLVRLEFV >A04p000420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:213144:213608:1 gene:A04p000420.1_BraROA transcript:A04p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGMRPRQAKLIVSFMFWGFLVLSSATEDLVVITQCKNQCKHDLHNMKECEDRCHKKMMMMMDQRWPQRRGFDGVDDEALQTKCNRKCTSSYTPRKRCISQCFRSMVGKKIMDNEVICESSCTILQEKPLIVRCTRVCQESTPGAVGLVLLEK >A06p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3620665:3622882:-1 gene:A06p010120.1_BraROA transcript:A06p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYWVVSLPVKDSSSTLWNRLQEQISKHSFDTPVYRFNIPNLRVGTLDSLLALGDDLLKSNSFVEGVSQKIRRQIEELERISGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEVVDNIQSQVAKIEDDLKVRVAEYNNVRGQLNAINRKQSGSLAVRDLSNLVKPEDVVTSEHLVTLLAVVPKYSQKDWLACYETLTDFVVPRSSKKLFEDNEYALYTVTLFTRVADNYRTSAREKGFQVRDFEHSVEAQETRKQELEKLVQDQESLRTSLLQWCYTSYGEVFSSWMHFCAVRIFAESIMRYGLPPAFLACVLSPAVKSEKKVRSILERLCDSTNSGYWKSEEDAGAMAGLAGDSETHPYVSFTINLA >A08p029870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18408237:18414243:-1 gene:A08p029870.1_BraROA transcript:A08p029870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHTLTPSQSSSISLKDSCKYNIIIITSRDKQVFRHCQINHVYEVQSLNANEALQLFSHHAIGENIREKKFMKLSMEVIDYASGNPLALSYYGKELKGKKLSEMRTTFLKHKLHDKLKADVKSTYTRPLNLKMLKVVRLCHSQQLTDINDLCKAQDLELLDLQGCTQLQSFPAMGQLRLLRVVNLSGCTEIRSFPEVSPNIKELHLQGTGIRELPVSTVTLSSQVKLNRELSNLLTEFPGVSDVINHERLTSLIKPVSSNQHLGKLVRLNMKDCVHLTSLPDMADLELLQVLDLSGCSNLNDIQGFPRNLEELYLAGTAIKEFPQLPLSLEILNAHGCVSLISIPIGFEQLPRYYTFSNCFGLSEKVVNIFVKNALTNVERLAREYHQQQKLNKSLAFSFIGPSPAGENLTFDMQPGSSVVIQLGSSWRDTLGVAVLVQVTFSKDYCEASGGFNVTCVCRWKDKDYVSHKREKDFHCWPPEEEGVSKDHTFVFCDLDIHPGACEENDTGILADLVVFEFFTVNKQKKLLDESCTVTKCGVYVITAAERDTSPNMTPSFDYLQELSDNDARNVYDGLDEDERTLFLYIACLFNDEEAYLLAPLSNGLEISSGIKILTDKSLIHISPYGVLVREGLLQKIGMEMINRRRQAQALTNLADIAGVDSRKWDNNANMIENLPHSFKMHSSMCLALKKLVDRVMKIFPEIEAARPGSSTAIQPLNEALEKAKLLLQYCSESSKLYMAVTGDDILTRGSRSKKLLEQSLADIRTMVPTALAIQILEVLQDLKSTELSLESSEEEAGKDIRELMRQSTSSSDEIRDFHFAALKLQLSTPEAVAVERRSLKSLYGKLGECEGNKRQILKYLLCLLKKHEKIIWRDHKDNSLTLHQSSNDSVCAGVADAGCSEEYNATLPEHFKCPLSLTVMYDPVIISSGHTFERMWIQKWFECNDSCPVSKRILDDFTLQSNVAMKDQISKWCSKKGLDVQDPAMKHVNASHNLDFSIPSFSSPLYNISDLSCFRSSDISSSFSTESETEIRDSTHSEWEIEPLCELSKLPWNAQVKVVQDVRSLFEQDSKAARSMSPSKFIEPLVTFLKNAHERNGTDVVKDGLELLLTFLSGNRRAIDSLGEEVFEMLCVFLGSELVAEETLNVLEVLSNHPHRLSKITSTGSLSCLLKIAESGAENLQEQAMITLKKLCSSNEICLEMVSLGFVQKLTSFLQQNVFSKYSIIMLKNLCNTEKGRVCVTETPGCLASISDLLDSNVSEEVENAISILLQLCVEKIEYCYLVVREGLNIYSSLLLISNNGTEEAKVGASELLRALEEVEEEESSTPGGETTSLKWCRRDKRLDWKSLATLSKNMKAMESLEVYSTLAHWETVLRGGLFRPRQGDEQESSR >A03p065550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28951511:28953485:1 gene:A03p065550.1_BraROA transcript:A03p065550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKSVFAVSFVIILLYGNLFIELEAIGVNWGSQASHPLPPATVVRLLQANGIRKVKLFEADTKILGALSRSGIQVMVGIPNDLLAPIAASVAVAERWVSQNVSAHVSSNGVDIRYVAVGNEPFLKAFNGTFEDITLPALQNIQSALIKAGLATQVKVTVPLNADVYQSASNLPSDGDFRLEIRDLMISIVKFLSDNQAPFTINIYPFISLYNDPHFPVEFAFFDGTGDPINDNGRVYDNVLDANYDTLVWSLQKNGFGNLSIIVGEVGWPTDGDKNANMMYARRYNQGFMNRQRAGRGTPMRPGPLDAYLFSLIDEDAKSIQPGNFERHWGMFYIDGRPKYQLSLLGNGNGLIPAKDVHHMGKKWCVLAPSASLEDPQLGPSVGYACDHADCTSLGYGSSCGGLDLAQNVSYAFNSYYQVSDQLESACKFPGGISMIVTRDPSFGSCQFKVMIKSDSSGGEASTKMCLTRSVAVLLLLLMCMYIVL >A07p034390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18706788:18709106:1 gene:A07p034390.1_BraROA transcript:A07p034390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKIESVIAGSYLEMEREEESRSINNNDSSAKTKLSNFFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMMSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKFDFRNHVIQWFEVLDGLLGKHWRNIGLIFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTSWYLTVASLLHGQAEDVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATIYVLTLTLPSASAVYWAFGDQLLTHSNALSLLPKSGFRDTAVILMLIHQFITFGFASTPLYFVWEKLIGVHETKSMFKRAMARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFAPAPSRENAVERPPRVVGGWMGTYCINIFVVVWVFVVGFGFGGWASMVNFVRQINTFGVFTKCYQCPPHKP >A02p050370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:31103175:31103369:-1 gene:A02p050370.1_BraROA transcript:A02p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIFVQMTFACLVLALMITTVSAQYDNDNNYAKTPNSAVIAAADIFTGLAVAIMALVAGFIY >A02p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3087924:3091684:-1 gene:A02p007360.1_BraROA transcript:A02p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGVFLEKPKSNTTTTLPDLSLNISLPDTHHHLRHNELSRRSSQTDNNRSSNLELSLSHHNNPSSSPSTRILHCPDPRNLNLSHHQHYNNSILNGRSLHQRVDESDINNIHRPIRGIPVYHNRSFPFHQQTSHSSSLGGGDLDSSSILNSSSGYNSAYRSLQSSPRLKGVPVHHHNHYGVVGSSDSSSPHHHHHHGMIRSRFLPKMPTKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTNKPAASSDGSGEEEMGINGNEVHHQSSTNQREKSDDSSHQEIDFPSTQPRWGNSSRETWRSSSNCSSDIDTMARTSSAPMISHHQRSILLNQEQKSNDQVKRWGDLSCNNPSLEFTLGRPDWHNT >A07p033970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18520296:18522493:1 gene:A07p033970.1_BraROA transcript:A07p033970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVKRVRSTNQPGEVDRISHLPDCLIFKVLLSLPTKEVVKTSALSTRWNHIWKHVPGLNLEYDDFSEHDSFVSFVDSFLSFNRDTCLHKFKVTYDYSEADEPETGLVRRWLDTVSRMKVKTLDVTDDSAESWELEMTPALYTCGSLVSLKLVGLTLPSPDLVSLPSLKDINLILVEFTDEWALEKFISQCPSLKNLCIERSFGDDIPMLRVRSQSLLTFIHIVDSDESFDDERILEIDAPMLEYLKLSDGRTASFKLENTASLVGVHINTAFNLTSTRRFCPNDAQKRNMIQNFLLMISRVDNMVIASCTLEVIYEYSRYEQLPVFRNLSSLRVNLDGYVWEMLPVFLESCPNLTTLVLGSIQNHVKVGITVSPRRPRVLPSLRYVEIERPFKGDALEMQLVGYLLVNSQSLKKLTLRLDDSFKKERSTSKKTLNIAKKIGIEKLVPCIRESREAPMATVI >A03p021110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8717821:8719519:-1 gene:A03p021110.1_BraROA transcript:A03p021110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKMPFCLLAFFCLLLQLFSIFHIGNAELEMNYYRESCPRAEEIIRQQVETLYYKHGNTAVSWLRNLFHDCVVKSCDASLLLETARGVESEQKSTRSFGMRNFKYVKVIKDALEKECPSTVSCADIVALSARDGIVMLKGPKIDMIKTGRRDSRGSYLSDVETLVPNHNDSLSSVLSNFNSMGIDVEATVALLGAHSVGRVHCVNLVHRLYPTIDPTLDPDYALYLKNRCPSPNPDPNAVLYSRNDRETPMVVDNMYYKNIMAHKGLLVIDDELASDPRTAPFVAKMAADNGYFHEQFSRGVRLLSETNPLTGDQGEIRKDCRYVNSK >A06p012490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5711199:5712557:1 gene:A06p012490.1_BraROA transcript:A06p012490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 50 [Source:Projected from Arabidopsis thaliana (AT1G15000) UniProtKB/Swiss-Prot;Acc:Q9M9Q6] MKHVPTLFLLLSTLLLAVSVESLPPPLFPDEALPTKSGYLPVKPAPGSSMFYTFYEAQKPTTTLTDTPLLIWLQGGPGCSSMIGNFYELGPWRVVSRATELEPNPGAWNRLFGLLFLDNPIGVGFSIASSKQDIPTNQRQMAEQLYAALVEFIEQNPGFEHRPVYITGESYAGKYVPAIGYYILREKPNGKVNLKGLAIGNGLTDPVTQIRTHAVNVYYSGLVNAKQREALEIAQEISISLVKAQKWREAADARLQLLTLLGNMTGLATLYNTARMIPYRTDLVVDLMNQREAKRVLGVSETMRFEECSDEVEEVLRGDVMKSVKFMVEYAVERTNVLLYQGMLDLRDGVVSTEEWMKTMNWSGLGLFLTAERRVWKDGNGDVAGYVQRWGNLSHVAVSGAGHFVPTDKAVNSRDMIEAWVLGKGLFGGEDGRQTLTSNVLESKSNRFDSEN >A02g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10068859:10070838:-1 gene:A02g503040.1_BraROA transcript:A02g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREFKLWKIVLILFFTLSSSTYHSNGKLILEGSATFDSSGFTKLTNTSKHSYGHVFNSNPVLFKNSSFNFHFHFGIVPEHNHSGTHGMTCVLSPTRHLPGVSSDQYLGLFNKTTDGKTSNNIIAIELDIHKDEEFGDLDDNHVGININGLRSVISAPAGYYDDNDGKFHNLSLVSGKVMRLSIVYSQPDEQLNVTLSPAEFSETPLKPLLSLKRDLSPYILEEMYLGFTASTGSVGAIHYMLNSVSGPEVDYPSFDISVVPTLPLYPKKVTDKTRIVLAACLILAMTVAFVTSLIGFFFYMRHKKVREVLEEWEVQYGPHRFAYKELFNATKGFKEKQILGSGGFGQVYKGTLPDVASALLYLHQEWVQVIIHRDIKPANVLIDQEMNARLGDFGLAKLYDQGIDPQTSKVAGTFGYIAPEFLRTGRATTSTDVYAFGLVMLEVVCGRRLIERREAKNEEVLVDWILELWEEGKLFDAAEESICQETNRGEIELVLKLGVLCSYQAESVRPDMSAVMRILNGVLQLPDNLLDVVRAERLRGQPEISMGMLLDMNSMSTLPFTNSFISHGR >A09p026650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:14455008:14455550:1 gene:A09p026650.1_BraROA transcript:A09p026650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLYLVTCFLLLNVIATAKSLIQDSCKKATAKNRKLKLDFCLKSLEGCRQCKTAKNLSELVMATMKNAESKTKRVHAQMKKDFDAKKYPKDNDMLVMECLSDYSGTAQILNLAMETFEDHDYKDLISRMNLGMDSLDACDEGYKERSTPQISPFIKSNEFLTHLIEIPIAFTEMLKRK >A02p037470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:199306:201663:1 gene:A02p037470.1_BraROA transcript:A02p037470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDSDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPSPALDADTGSDSKPDDQNPVEAPTAMPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPGFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDETIQGFWDSIPVSPDTEEVPIDFHDCGEEVDRPADAFGASLSGDFDFGISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWKC >A03p065340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28817252:28817524:-1 gene:A03p065340.1_BraROA transcript:A03p065340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSKIVSFIIIVLLLVTFSTLSCATRVRFTHSDHVSAVSKESQHQYIRLHRNLNRIEERLADFEDEDEPSPYTRMIRNVEFSALMRHS >A04p008910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7962812:7963410:1 gene:A04p008910.1_BraROA transcript:A04p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKFEAEGSSGRTSNSFVLWQVYALGGFLVLKWACARWNERKETTAKRRLRMMMIMIKTSHIAPKTGQINRTRNSEPEVNTDWILFFGILGYGYYPN >A01g509370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25331088:25336279:-1 gene:A01g509370.1_BraROA transcript:A01g509370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPSATAVQDESVAQMEYNIDNWAKIMTDQARDEKAICLRRRSSSSVIFKGQRTMGQDYSYTQPSSSDEFDMTSLLQAEADLYADEGESSYTPEPEADEGIPRTCYCGNEPVVATSYTPKDPGRRYFSCDNVDDGDCHIWKWWDVAIQEELGEMQTQLRMLKDQFFESDQKVAKLEKITGALTKKKSMVKYGFAKGVCLLVLVILVIVMGWKSFRGFKKQCLNSRWASQSNTDHHLNMNNRTGFVNLMYSQSSVDLESPEPAWFVSQGPDEYGFHPVQPSVESSVQPTRPVGVKAAKAAGKRKKSGKEEEMSQLEAIREMKGKLFKQKILERLLAKKDPLSEMEESLKLKLMSEMFSSRTCEPSHGCIWSCEFFCCFPQYLPVGATSSTPLHKQCQETILVNKEGNSGNVSLRYSESSGKYYITRGWRKFCLDNRCEIGDLFVFNVVVDEKTTPLMCVCPERKECFEILSKYLSRKSGESSLGGDS >A10g506470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18449479:18450320:-1 gene:A10g506470.1_BraROA transcript:A10g506470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLELKHSSFISLLNVIKPTTLPHIITAAFVELSSAITPAYMIPGDPKQLEILTVLSSWVESPLILRRRRLFVSEGASRGYAFVEYEPEKEMCRAYEDAHHSFIDSREIIVDYKRQQLMP >A03p069110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30326988:30329103:-1 gene:A03p069110.1_BraROA transcript:A03p069110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-ascorbate peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G35000) UniProtKB/Swiss-Prot;Acc:Q42564] MAAPIVNAEYVKEINKARGDLRSIISSKNCAPIMLRLAWHDAGTYDAQSKTGGPNGSIRNEEEYTHGANTGLKIALELCEGVKAKHPKITYADLYQLAGVVAVEVTGGPEISFQPGRKDSNVCPREGRLPDAKKDFQHLRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTQEPLKFDNSYFVELLKGESEGLLKLPSDKTLLEDPEFRRYVELYAKDEDAFFRDYAESHKKLSELGFNPNASAAKACSDSTVLAQGAFGVAIAASVVALSYFYEIRKKMK >A10p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4699094:4700799:1 gene:A10p013550.1_BraROA transcript:A10p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMDAARDLTDEERRGFKEIKWDDREVCAFYMVRFCPHDLFVNTKSDLGACSRVHDPKLKESFENSPRHDSYVPKFEAELVQFCEKLVNDLDRKVRRGRERLAQEVEPPPPSTLSAEKAQQLSVLEEKIKSLLELVEALGEDGKVDEAEALMRKVEALNIEKAVLLQRPNEKALAMVYEKKMALCEVCGSFLDDAVDRAQSHVTGKQHDGYGLVRDFLAEQKAAKDKGKEEERLVRGKESDDKRKPRESESRRSGSRERERHRDRDRDRHRDRGRDHRKPNERRSRSGTEGRDRSRSRSPHGRSGHRRVSRSPVRQY >A09p070680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54757119:54757753:1 gene:A09p070680.1_BraROA transcript:A09p070680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSQPCFSHNYLRSSENYNSSLHSTRKQPAKPWKRPVTASLQRMHPRVYRVEPVNFKELVQRLTGAPQDHERDEVHQVETKPLLKVQHGLVEVRQPLKIFRETTTQENPLAFDLSPSSSRFWEAFPLLTRLCLNQIQADDDRVMFIELNVLGN >A02g505050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15828646:15830608:-1 gene:A02g505050.1_BraROA transcript:A02g505050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDTLSQRCINSPSSLEPRIEGAKLVMILCISMELGCLNHHRESHKTHFSLHNDPCYTSCSLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPSDDMQWHKTFVTTFLAVGETTSHLSIVTTSSLPSLEQAVDFPQNVGNRLHSIILFELQDQEHITLTAALKSLIHPFAFSFKYPQITSLPHGLDGDLLLLVCLEPGAQQPCGLRFLNRLLHTRTFPLLHTMVGLSLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVVLLSWIGGE >A09p047170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40961396:40961765:-1 gene:A09p047170.1_BraROA transcript:A09p047170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLTVVKHKHSSLLVNDLKVDARYEANVIRNKYNELFMAGVFKRRCQQFLGISWFLIFLLPNESSSMQSLTLAIVVTSWTSYRTWRRD >A09p056970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48240090:48240823:1 gene:A09p056970.1_BraROA transcript:A09p056970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLASLPTSFCLNHVDECCKRSPTKPSLSRHHQVARRSLTGHSLHCYELSSTVKRLVVTAATEGSRKSKESQPSWANPDSDEPPPWARDEGGSSSSTSQESFEVPFFVYLLASAITAIAAIGSVFEYSSKNPVFGVLESDSIFYTPVLGFFAFTGIPTSVFLWFKSVEAANKEAAEQDKRDGYR >A01p011380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5531059:5532543:-1 gene:A01p011380.1_BraROA transcript:A01p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 12 [Source:Projected from Arabidopsis thaliana (AT4G18700) UniProtKB/Swiss-Prot;Acc:Q9SN43] MAELTKETSLPKERSSPSPQALILGRYEMGKLLGHGTFAKVYLARNVKTNESVAIKVIDKEKILKGGLIAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVTFCHARGVFHRDIKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAGKVDIWSCGVVLFVLMAGYLPFHDRNVMAMYKKIYKGEFRCPRWFSPELTRLCSRLLETNPEKRFTFPEIMENSWFKKGFKHVKFYVEDDKLCNVVDDDDDELETGSVESDRSSTVSESDVEFFKPARRVGGLPRPASLNAFDIISFSQGFDLSGLFDDDGEGSRFVSGAPVSKIISKLEEIAKVVSFTVRKKDCRVSLEGSRQGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRTEYEEFCNKELKPKLQNLTADDEVDEPVAASAVDETASGVANSPPVCFLPSDTE >A03p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2889222:2893943:-1 gene:A03p006940.1_BraROA transcript:A03p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIVSRARSTPLPNLLAWRALGFRTICSGRLGLAPSSPYTPAPAGTKILESFKEEFEVGSGVITLETGKIARFANGSVVLGMDETKVLSTVTCAKSKSPGDFLPLTVDYQEKLYAQGLIPNTYMRREGAPMERELLCGRLIDRPIRPLFPSGFYHEVQIMASVLSLDGKQDPDILAANAASAALMLSDVPWGGPIGVIRLGRIDGQIVVNPTMDELSSSDLSLIYACTRDKTMMIDVQAREITEKDLAAALRLAHPEAVKYIDPQIRLAAKAGKQKKEYKLSMLSEKTLEKVADLAATRIESIFTDPSKGKFERGEALDDIGKDVIKVFEDEGDQESLSILPKAVDTVRKKVVRSRMISDGFRVDGRHLDEVRPISCESHHLPATHGSALFSRGDTQVLCAVTLGAPGDAQNLDSIAGPPKKRFMLHYSFPPFCTNEVGKKGGLNRREVGHGTLAEKALLAVMPPEEDFPYTVRINSEVMASDGSTSMASVCGGSMALMDAGIPLRAHVAGVSVGLVTDVDPSSGEIKDYRIVTDILGLEDHLGDMDFKIAGTRSGVTAIQLDIKPAGIPLDIVCESLENAREARLQILDHMEREINSPRAQDGTYSPRLATLKYTNDALRSLIGPMAALKRKIEEETGARLYFDDGTLTIVAKNQAVMDKAQEKVDFIIGREIVVGGVYKGTVTSIKEYGAFVEFNGGQQGLLHMSELSYEPVSKVSDVLHIGKYITMMCIDTDVRGNIKLSLKALLPKPEPKSASGPEKVPVVKETVSVETSSFGETVASLPSVVEPPQKSKLAVPAVVIRTAVECDELEKSYPVDKNTKPKRTAALKPDRKLKSTASKQTVTQKEEEVFKSIGPEETVTSCGETLKKPPRKKKQSGDEAGESASVSARKLKIGTEMTAKVHQVRTHGLVLDLGGGIRGMYKFEGDEETEFEIGDALQVKCTSFTTKGIPVMALVDDEEL >A02p048330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30052525:30054988:1 gene:A02p048330.1_BraROA transcript:A02p048330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKEEQNEENPSPLSCFKNISFPFNTIFLIANSIFLATSAFWFVTVSMLHYKTDECNRFVTTPGIFVSFSLLFMTLAGFYAAYYKSDCLFRIHFFIFFLWMFVVVAKAVFVYRLNNETDPRLYPGTKIHEFRLEDYSGWVRRLVIKDDEWYRTRRCLVKGNVCNKLFSNQNMSASEFRQMNLTPIQSGCCKPPLSCGLTYVKPNIWTMSRYYNNVEDDCKTWNNTANTLCFDCDSCKASGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDAAVESVRDHDDPLTTTDQTRRLGLIVCRGTAVMLVSPTDGTEEIANPFVQPEAV >A10p006980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9982480:9983125:1 gene:A10p006980.1_BraROA transcript:A10p006980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATRGDKVGDKCTSVPDAMISNAQNLAQVKANKYVSESLLSTSPTERKCECPHELVSMMNSCPHLFHPYLLCPRFFNPRIPFSIETSDEWSNSIYLHFRMIENFLYKTFSGSN >A02g510840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28732024:28737683:1 gene:A02g510840.1_BraROA transcript:A02g510840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQKWTVVREKHHEDRGHGKMCGKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGEDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDKMGLRLRIGSGQAPREEKGRNRQGRLWDGYGTVLGRKEGILVTVRPGGWGQEKHRENSREKERQKLWIGRFDPRDCS >A10g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7755478:7755813:1 gene:A10g502760.1_BraROA transcript:A10g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVLFLDEKVNSVIHRFIPAGRANHYMPSLKVGSIVKVDRFEVARCSSMYNIVDHPFFISFISPTIIDEVITGAPEINLQS >A07p033540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18348388:18351200:1 gene:A07p033540.1_BraROA transcript:A07p033540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAAAAAATRADFFSSPSCDHSKVSSSSLGFSRSFSGAAIATGPSSSLQRCNARAVQPIKATATQVPPAVQRSSSTGKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMLRYDSTHGNFKGTINVIDDSTLEINGKKVNVVSKRDPAEIPWADLGADYVVESSGVFTTLSKAASHLKGGAKKVIISAPSADAPMFVVGVNEKTYQPNMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKGASYEDVKAAIKFASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDANAGIGLSKSFVKLVSWYDNEWGYSNRVLDLIEHMALVAASR >A06g502930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9433623:9434151:1 gene:A06g502930.1_BraROA transcript:A06g502930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHMKALKRHNDMLGFVADAQYGIPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFENNGLHFRTPWVFAIQDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A07g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17369437:17370372:1 gene:A07g506860.1_BraROA transcript:A07g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPLLKLASITQALTVVRRLHCFWDSHNIMGIALSSLIKSLRGAATELFPCVQTMVEIQKKELVTYPIQNESHSSPSKPTRSSEPASCAELGSSVCCRKMDGLSFLHYLQQLDKSQTLPWFNKCVTPNMCYQILISQL >A02p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8857797:8860440:1 gene:A02p019150.1_BraROA transcript:A02p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi-copper oxidase type I family protein [Source:Projected from Arabidopsis thaliana (AT4G28090) UniProtKB/TrEMBL;Acc:Q9SUD0] MAWWPDGGFLMMMMTIISFVQAEDSNRFFDLRVTYGNVSVTKYVPPTRVILINGKFPGPELYLVTNDNLIINVHNDLDEPFLLSWNGLHLKRNSYQDGVYGTTCPIPPGKNYTYAFHLEDQIGSFFYFPSLAVQKAAGGFGAIRILSRPGTPVPFPQPAGDFTILIGDWYTGEDHKDLKARLDGGRMIPRPYSVLINGNEDTASTSLTVDKGKTYRFRISNVGLQLSLNFEILGHMLKLVEVEGTYTIQTKFTSLDIHVGQSYSVLVTMDQPPQNYSIVVGTRFTDSRSTNRIILHYSNSKSSKFVPNRQPDNDIDFSIKQARSIKTNLTASRQRQDAQGSYHYGRIKISRTLILESSAGVVNRKQRYAINGVSFVPADTPLKLADYFKIKGVFKVGSIPDKPGRAGIRLETAVMGVHHKDFLEIVFQNREKYVQTYHLDGYNFWVVGIGNRWSTWSHASRRDYNLRDAISRSTTQVYPKSWTAIYVAFDNVGMWNFRSEFWARQYLGQQFYFRVHSPANSSRDEYPLPKNALLCGRASNRHRRDFIP >A02g512170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32934037:32934615:-1 gene:A02g512170.1_BraROA transcript:A02g512170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDLQEYLERSFMNRVFNVTRSNLSFWFDDASCSPVSIRFRDETVFVEVAETIHPIPTESFRFSNSDQLMLLHNTNTESQVSLSLTAPRTVCFSNNCSGGGYAVVRNLEPALADDQIRLGGWRLGL >A01p014730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7118381:7118725:1 gene:A01p014730.1_BraROA transcript:A01p014730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNITIALFLTINLVFFGFTMAQAPGPQAPICPISSTQVQACVNRLTSIVGLNVLPLTQCCSLVAGLAPSVASVCICNALKLSVLDILGITVTLGQVLGSCGVSPPAGFICA >A02p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:793454:795069:1 gene:A02p001870.1_BraROA transcript:A02p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARINSDDNGGWERGHATFYGGADASGTMGGACGYGNLYNQGYGLQTAALSTALFQSGQTCGACFELRCEDDDQWCLPGSIIVSATNFCPPNFALANDNGGWCNPPLQHFDLAQPAFLQIAQYRAGIVPVAFRRVQCEKPGGIRFTINGNSYFDLVLITNVGGAGDVKAVALKGSKTNQWQSMSRNWGQNWQSNTYLRGQSLSFQVTASDGRSVVSYDVVPEDWQFGQTFEGAQF >A04p004350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2159624:2160270:1 gene:A04p004350.1_BraROA transcript:A04p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLGLPLALSVVELLVDMDCQGCETKVRRAISKLSGVNTVEIDVDRQKVTVTGYIDREEVLRIVKRTGRAAEFWPFPYNGYYGGYYTYPSQYLEQSNQKINNHGENTISYGGNYNFYEDSSINGYYPRSPQKVDENDIHLFSDDNVHACLVM >A02p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4379315:4381889:-1 gene:A02p010190.1_BraROA transcript:A02p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCSVKVAGETGLALAKLSLPPPRPKSPPPYPDLYGKRREAARVQMLEREIGLQPSSRCCKEVSDFVVANSDPMIPAFPCLSVASFCCCCRSECSCHLRKPKCCNSTCCSCVGSKCFNGSCCSNSCCCPKPSCPKCLSCPSCSCFRGCCCCPKPSCPSCSCFRGCCCSCPDLCCCIPTSFRSCRRPSCINKKSSCCSCNCNCKIKWASCFKCPELRLCCCFCNCKNTCSNPCCLAF >A08p025090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16245379:16245977:1 gene:A08p025090.1_BraROA transcript:A08p025090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSQSFSLYHGRLELPFLHANTQVVILQLLGDKGITSIGGNMIRCFTSPDQAYIPSIERSWMRGGVVLVQLIFPKKQIAVSTQPRKAEKIHHMRRRVDRFKELMNA >A06p013500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6116693:6119760:1 gene:A06p013500.1_BraROA transcript:A06p013500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDESSPAGKTVCVTGAGGYIASWIVKLLLERGYTVKGTVRNPDDPKNTHLRELEGAKERLILCKADLQDYEALKAAIDGCDGVFHTASPVTDDPEQMVEPAVNGAKFVINAAAEAKVKRVVITSSIGAVYMDPNRDPEAVVDESCWSDLEFCKNTKNWYCYGKMVAEQAAWETAKEKGVDLVVLNPVLVLGPPLQPTINASLFHVLKYLTGSAKTYANLTQAYVDVRDVALAHVLVYEAPSASGRYLLAESALHRGEVVEILAKLFPEYPLPTKCKDENNPRAKPYKFTNQKIKDLGLEFTSTKQSLYDTVKSLQEKGHLPPPPPSTSQESQNGIKIES >A05p036160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20441088:20454349:-1 gene:A05p036160.1_BraROA transcript:A05p036160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKYSVGQIDYDNNFCMSNAKKVVEDGVAFIQVWNILIGDLTKLATTGDNYTLYSVGETRYKGDMIYGMVQCAVDLSPKACQECVLYNRFHFQICVNDIRGARVVGRSCTFRLEFYPFIAKQSSLTSYLVKLTVDAIDSSGTKIVQSVAEETETPMSCHDVPETLLMNDHSLLELPLNTTNAYLNNKCFVSKGKYKPGSEYEKQLKLTIKNFYSDSGNKEGYTMLGSDGFSAILQCRGDSYGSKCRDCYATAVAAIDYDNNFCMSNAKKLGGDKLAFIRTWNIFMDNLTTLALRVDNNDPKTSPLYAVGETRFKGDMMYGMVQCTKDIPEKACEECLEMSVVQGKNNMV >A05p023740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11504673:11507082:-1 gene:A05p023740.1_BraROA transcript:A05p023740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATDVPTNLEGEGEGECAAPPSKKPRFDEEVNRVAEIVLVLSALRRIRGGKPPTELELELMVEAKSKLVDMCQEFPPKDIIGRDAIGAVIEDLGLNGKLKDQRLGFRAPKLTISEKLSLGKRKMEDAKKNTVVPTIYTSPAHLTPASSVSMGHQWVNNEMKVSGSAVNASGTHFVKDASGIRPQFKPDVHASASSHGPAVPGGNYYGNAAASLSAQPHPSSSTISFGTPSESKVHLPSSSRVTDPSFRPFMSQPQPGAFPGMKGVTYGQTSSPFGNNHHAEIAKIIHKVLQPRAKQNLLWNPPSREYMSKAMTCQMCQGTINEVETLLICDACEKGYHLKCLQANNIKGVPKSEWHCSRCVQLYSGKSFPPKYGRVMRSATTAKMSSTTADVQSPKMVGKINLKVNKEATPHSETAKPTVDSATDRTVEAEGAAAVSQTVEAEVAAAISQTVEAKDAVAISQTVEAENASMNEGDETNDESQGSVGNEAECDDPSQQAPHSETPNPPKQDNKEDPSKGVTEGSVSPSGQDKDLKITVEPSSQEENSASQTENLPSQPPPLQPNTDQSQQENTTPNVEEALQKNVTENPEEK >A07g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1180935:1182883:1 gene:A07g500560.1_BraROA transcript:A07g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQLLSPGSSLLRSFNKGSLNVVGRTLWRKRRPEWEKHWKEEDWISHVSIASNPYPESVVRKNHVPTKGCICCRVGLEMIKQKSSFLSKIVLAHNSKVITYTVIDPLPRLNMHIHQNRFMGSAQKKTSEDHVQSAKLEERIRFKMCKEI >A07p022370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13079928:13081081:1 gene:A07p022370.1_BraROA transcript:A07p022370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAAIDRLPLHLLAYIFSLVTSFTELAQASGVCKKWRKAVNQSMARRQSLSFAGWKMDDDSTSRLVHLAYNLKELDISRSRWGCHITDNGLYQIASTRCVSNLTSISLWGMTAITDSGVVQLVSKTSSLQHLNIGGTFITDESLFAIAERCHHLKTISMWCCRHVTERGLLVLVNKCRKLESLNLWGTRVPVDCFIALLTISPALQIKPIQLLLNAQNPPPPLLHAV >A08p031330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19124334:19125143:1 gene:A08p031330.1_BraROA transcript:A08p031330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDMFNSSTNLSDPFKEELMKVLKPFTNSVSSLPPIPNPIFGFNQTTPLGLNQLTPYQIHQIQNQLNQRRNPTISTLSPKPVAMKNVTAQKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFNTAEEAATAYDRAAYKLRGELARLNFPYIRHEFGDRRFKALHPSVEAKLEAICQSLGKTEDGFDDESGSYVLEKFPSVEIDWDAISKLSES >A01p025500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20062322:20064830:1 gene:A01p025500.1_BraROA transcript:A01p025500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probably inactive leucine-rich repeat receptor-like protein kinase IMK2 [Source:Projected from Arabidopsis thaliana (AT3G51740) UniProtKB/Swiss-Prot;Acc:Q9SCT4] MNQLHKNPFRIYEISFHFCTSIFLCLLLLSAQAVAGGGGGGHSWDGIVVTQGNYQALQAIKHELIDFTGVLRSWNDSSTTSVCSGGWAGIKCLRGQVVAIQLPWKGLGGTISEKIGQLQNLRKLSLHDNVIAGSVPRSLGYLKNLHGVYLFNNRLSGSVPASLGNCPLLQNLDLSNNQLSGMIPASLAESTRLYRLNLSFNLLSGPLPVMIPRSHTLTFLDLGHNNLSGSIPDFLVNGSHPLKKLNLDHNLFSGAVPLSLCKQSLLEEVSLSHNQLFGSIPKECGALLHLQSLDLSYNSINGTIPDAIDRLHNLTVLNLKRNKIKGPIPERIGNISGIRQLDLSENNFTGLIPPSLANLANLSSFNVSFNTLSGPVPPILSRKFNSSSFVGNIQLCGYSSSTPCPSPKPHHPPTLSPTSPQEPRKHHRKLSVKDIILIAIGALLALLLLLCCILLCCLIKKRAALKQKDGKDKITAKTATATAAATAGGEMGGKLVHFDGPFVFTADDLLCATAEIMGKSTYGTAYKATLEDGNEVAVKRLREKTTKGVKEFEAEVTALGKIRHANLLALRAYYLGPKGEKLLVFDYMSKGSLSAFLHARGPETLIPWQTRMKIAKGISRGLAHLHKNENMIHENLTASNILLDEKTNAHIADYGLSRLMTAAAATNVVATAGTLGYRAPEFSKIKNASTKTDVYSLGIIILELLTGKSPGEPTSGMDLPQWVASIVKEEWTNEVFDLELMRETQTVGDELLNTLKLALHCVDPSPAARPEAIQVVNQLEEIRPETETTTIGSGSDGAKEEEEGI >A04g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5777165:5778101:1 gene:A04g502400.1_BraROA transcript:A04g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIRWYSSSTNLKTTSRKSSRRLPASWKSSGLPGSLLTKSPFHNRSERFGFSDLEDFWNDLPVSRLKYNALDDFQEVFQTTSTSVVWTS >A05p015870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7050422:7052228:1 gene:A05p015870.1_BraROA transcript:A05p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLVQNPNLKQVPLPRSDKNLEHTVSLPYLVSLHNSNTHTSRRLVVSSSTSSVLAPHIPTSRVVSPPISRAQAPCSSAEATVPLSRVWREIQGCNNWQDLIEPLNPLLQKEITRYGNLVSTCYKAFDLNPTSKRYLNCKYGKNTLLRETGIDKPEEYQVTKYIYATPDININIRPIQNEANKGARWVGYVAVSSDDSVKRLGRRDVVVTFRGTVTNPEWLTNFMSSLAPARFHPHNTRPDVKQRRAYSAPKTLRTALDVKVESGFLSLYTSDESDSRFGLESCRQQLLTEISRLMNKYKGEDMSITLAGHSMGSSLAHLLAYDIAELGLNKKIGERDIPVTVFSFAGPRVGNLEFKKRCEELGVKVLRITNVNDPITKLPGFLFNENFRVLGGFYELPWSCSCYAHVGVELTLNFFNVQNMSCVHDLQTYIDLINCRRISSRSAETDGEKSSENVGLKYLKQKGEKLMFLKGQRMMHWSNFVNLLSSVSYHMLYCKL >A10p013780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4535609:4537577:-1 gene:A10p013780.1_BraROA transcript:A10p013780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSGHSSPVMTDSPQISNSRLTVRQSRLPPYSSATAVSQNNNLLLTVPRKKTGIIDDVKANAWLDAMIASSPTPAIVNKDNISSSDATTDMTYREWTVKYPSALTTFEKIMSVAKGKRIALFLDYDGTLSPIVEEPDAAYMSSDMRTAVQNVAKYFPTAIISGRSRDKVYEFVGLSELYYAGSHGMDIMSPAGESLNHKHLSRTVSINEQGKDVNLFQTASEFLPMIDKVFCSLVESTKDIKGVKVEDNRFCISVHYRNVEEKNWTLVAQCVDDVIRTYPKLRLTYGRKVLEICPVIDWDKGKAVTFLLESLGLNNCEDVLPIYVGDDRTDEDAFKVLRDGPNHGYGVLVSAMPKVSNAFYSLRDPSEVMEFLKALVTWKRSLG >A01p029630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20994508:20995377:-1 gene:A01p029630.1_BraROA transcript:A01p029630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPSVRLARSLCSDRALVCDRALARARSLRSDRAIVPLGRYVATDLSQARSLRSDRAIVPLGRYVATERSSRSVATNRGRFVLYQKDIVVNVSSWKTAQRDLKHDSRPILRFLNQKPVNRSTVYAWPTRKDKCQVSADKYGSFEDNCEDREKWNISILCYDGLRAEEYIRSPRRGAWRRTFFRENLALEQFRQFSVFVFSSCDSIRFSRLKVTGTRNLADSSRAQAYSLL >A10p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19657645:19659340:-1 gene:A10p033660.1_BraROA transcript:A10p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEKKPLIIPKDWSDAASSVSCISLQPPVALVCGPKDSGKSTFSRNLVKVLLQRYKRVAYLDIDVGQPEFTLPGFLSLTVVDRSILDQDWAAPCLMTPERCFFYGDDSSKRDPKAYLQFVYTLFDYYQLNFCKSSENKTALPLVINTPGWVKGIGYHVLVDVLRYVSPSHVVKINIYDYNKNLPAGLFWLDGYHDEIPHLMEIQSAYRVSYKRSAAEKHDRRLMRDARIVAYFKQCIKGKEVDTNKELSYELASLVPYEVPISSLTISHLHCQIPSSELFYSLNASIVGLGISSDVFEDLPLCVGLGIVRGIDTERGILYVITPVAENVVEKVDLLWQGFIQLPTSLLEVKDYRSPYLSPYVLAST >A06p024380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15526684:15533375:1 gene:A06p024380.1_BraROA transcript:A06p024380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLINNISKTWEEQRINILLSQHDTCGEQVETLQHMIYHCRVSKEIWDYLLTEFKVFPTIDGDRLSITNRMLSHASVDKSELLNLFLGWKIWKMRNNIIFQQEREHIIHIVHKAIRGHQLWQQAVEQEEQQRQLVRTTSPRKITLAEVIPQTAQYYCLMDASWKNQTEPSGTGWYLYSIRGIQILQGSSSAEPTGSVFKAESLAMRIATHQLRALKFTNVALFTACKKVIDLIKRGRVWKPSAMSVSRKYIL >A01p041190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22007560:22009019:-1 gene:A01p041190.1_BraROA transcript:A01p041190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTDQTTGTKKVCVIGGTGNLASILIDRLLQSGYEVNTTVRDPENEKKMAVLRVLQEQGDLNIFKADLTDEGSFNSPVSGCEYVFHVATPISFTSQDPEKDMINPAIQGVINVLKSCLNSNSVKRVIYTSSAAAVSINNLSGPGLVMTEENWSDIDFLRKEKPFNWAYPISKVLAEKAAYQFAEENNIDLVTVVPALIAGNTLIDDPPPSSLSLSMSLITRKEMHLNALKEMQKLSGSISFIHVDDLACAHLFLAEKETASGRYICCSYNTNIPELADFLRKRYPRYNVLSEFEECLSTVKLTLSSEKLISEGFQFEHDIEEMYDQMVEHFKTNRWA >A09p071250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55055983:55060358:-1 gene:A09p071250.1_BraROA transcript:A09p071250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARRFSPNNELDVGHILSEARNRWLRPPEICEILQNYQKFQISSEPPTTPASGSVFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKAGSVDVLHCYYAHGHDNENFQRRSYWMLQEELSHIVFVHYLEVKGSRISTSYNRMQRTAEDSAQFSHETGEVFTSERDGYASGSINQYDHNNHPQATDSANVNGAHTPELEDAESAYNQQGSSIVYSHQQPPSTGFDPLYQMSLTPRTINGPGVTNGLRNKKSIDSQTWEEILGNCGSGAEGLLPLQPNSEHEVLDQILQDSSFTMQAPYLSTKKQHLLDGALGEEGLKKVDSFSRWMSKELGDVCVIADANESFTHSSSTAYLDGYVMSPSLSKEQLFSIIDFAPNWTYVGCEVNVLVTGKFLKTPEEAEREEWCCMFGQTEVPADVIADGILQCVAPMREAGRVPFYVTCSNRLACSEVREFEYKVLESQAFGRETDESTESLEARFVKLLCSKSDSPSSSASSDMSQVSEKISLLLFENDDQLDQMLMNEISQESKKEKLLQEALKESLHSWLLQKIAEGGKGPNVLDEGGQGILHFAAALGYNWALEPTVVAGVSVDFRDVNGWTALHWAAFFGRELVIGSLIALGASPGALTDPNPDFPSGSTPSDLAYANGFKGIAGYLSEYALRAHVSLLSLNENNAETSESAPRPSSSSLTDSLTAVRNATQAAARIHQVFRAQSFQKKQMKEFGVSEERALSMLAPKTHKQGRAHSDDSVQAAAIRIQNKFRGYKGRKDYLITRQRIIRIQAHVRGYQVRKNYRKIIWSVGILEKVILRWRRKGAGLRGFKSDALVDKMQDGTEREEDDDFFKQGRKQTEERLQKALARVKSMAQYPEARDQYRRLLNVVNDIQESKVEKALESSEEATFSDDDLIDIEALLGDDDTLMLPMSSTLWNT >A01g511060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30865080:30866916:-1 gene:A01g511060.1_BraROA transcript:A01g511060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGVHFRYFYNCNEDSMCLEFRIRIAWQPSLDLDHIFRCEGNQVVAHNRLPPLFYINNKILAGILVLAGRYISVDPDEENIICIHLYMTNSLAKL >A09p081210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59180415:59182389:1 gene:A09p081210.1_BraROA transcript:A09p081210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLX2-4 [Source:Projected from Arabidopsis thaliana (AT1G06130) UniProtKB/TrEMBL;Acc:A0A178WGQ2] MQAISKVSSAASLFRCSTKLTSQPCMSQLSLRKGLASGVIKLFSSPLKTLCDAGRSVHVSRFCSTSNISSSLQIELVPCLADNYAYILHDEETGTVGVVDPSEAVPVMNALKQNGQNLTYILNTHHHYDHTGGNLELKDKYGAKVVGSAVDSKRIPGIDIALKDGDKWEFAGHEVHVMETPGHTIGHISFYFPGARAVFTGDTLFSLSCGKLFEGTPEQMLASLQRIVALPDDTSIYCGHEYTLSNSKFALSIEPTNEVLQSYAAYVAELRGKKLPTIPTTVKMEKACNPFLRTGNMDIRRVLGVPETADEAEALGVIRRAKDNFKA >A10p034900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20195783:20197433:1 gene:A10p034900.1_BraROA transcript:A10p034900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTELAPGFRFHPTDVELVRYYLKRKVLGKKLLVDAIADLDIYKFEPSDLPDKSYIKSGDLKWHFFCPREKKYATGVRANRATECGYWKTTGKERAVLCNGESVGKIKTLVYHVGKSPRGERTDWVMHEYRLEDNVLTQKNIPQDTYVLCVLFKKDGPGPRNGAQYGAPFKEEDWSDEEHRTGVDVPSTSNASIFLHGPNADTSLAVAPSLPPNKDCFGGMISESCVSDFPPATATTNVTDAANAPVPAPLLDPSSSASLAQNLQAPNDDDDLYAMLDLFVDEDEFLPLSEPNTSEARHVPNVSAPISLGEEVIFDDLPDFSNMHNNNIMPRTPSYDLIENSELYLELQDLTTPLAPPHVWNVSDSYLTAPLAPPQVGSVSDSYLTAPLAPPQNGNVSEPFLSNQGHFDFSAAAANDDPFSFLRPWDNTDQR >A04p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18073276:18076774:-1 gene:A04p030430.1_BraROA transcript:A04p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNEKVKLAITVASLVAATILLAAEYRRRRRRKPTSSPSSCYLHSDMKPQFGFKRVLADNSYSGFKHLKKKKLEDGGGEKPSNSHPYESEITVLLESPRLDEFEFLRGEYSLEMSGSYVWVETESELKKLAETLAKEKVFAVDTEQHSLRSFLGFTALIQISTQEEDFLVDTIALHDVMSILRPVFSNPDICKVFHGADNDVIWLQRDFHIYVVNMFDTAKACEVLAKPQRSLAYLLESVCGVSTNKLLQLRSYSSMKREDWRQRPLSEEMVRYARTDAHYLLYIADSMTAELKQLGIEDSSSTDDKFSFLLEASRRSNMICLQLYTKETEDFPGNAAASSLIYRHLNGHEDNSSISLDPKFQELVRELCAWRDLMARIHDESTRYVLSDQAVIALASNQPTTPEQIHDSIAQADLSSDSSPSAVICSHLDDVHQLTQHKLGKLDVILPLVLDKCLGTEGTCPISVFNYSLLINFNTKLTTNRSTPKRRNNLKRFTRKTSRDLFVKKFSCKAPVYHNCRIYANDGRLLCYCDRRKLEWYMSRGLAKLVEEDPLAIMLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGDHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEVAHAAAERYKKEVAKEFGIPLFVRRVLDSVECESSAGGDSEDAGVSPLHLRTAAMALLRHGNRMPSSRREELLQTVKMYYGGRDITEEDLEKALLIGMSPHERRKLERKKGVTVSVKQENSNNGSVEHSEEAPPGGDMNGEIIVVGDDSGGDAAPELNDTQCNGNILHQQNSKLSLLGHGPHGKQVVEYLLKEYGEDGVRDFCQRWRKVFVDALHPRHLPGGWDVTHSGRRDFGEFSVYNPTKKVSTG >A02p008210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3435075:3436686:-1 gene:A02p008210.1_BraROA transcript:A02p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNPFHKKQKKNTSKKNFLSTKMMKFSSLLVLFFIFPIALAQLRVGFYSRSCPQAETIVRNLVRQRFGVDPTVTAALLRMHFHDCFVRGCDASLLIDSTNSEKTAGPNGSVREFNLIDRIKAQLEAACPSTVSCADIITLATRDSVALAGGPSYSIPTGRRDGLVSNNVDVALPGPTISVAGAVSLFTNKGMNVFDAVALLGAHTVGQGNCGLFNDRITNFQGTGRPDPSMDPALVSSLRNTCRNSASAALDQSTPLRFDNQFFKQLRKRRGVMQVDQRLATDRQTRGVVARYANNNAFFKRQFVRAMIKMGAVDVLTGRAGEIRRNCRRFN >A09p034000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20577185:20579156:-1 gene:A09p034000.1_BraROA transcript:A09p034000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKAARELSSSKLKPLFALSLSSFKSSTWTSNRSQSPFLLLPPRYSSSNVPPLTRDGNYSEATSPTVAVCPGCGVHLQNSNPKHPGFFIRPSIEKQNGVSDLRNLVPISQEPEFTNSIKHGLLVEPNSSDISPKDDETGRPLVCARCHSLRHYGRVKDPTVENLLPDFDFDHTVGRRLGSASGARTVVLMVVDASDFDGSFPKRVARLVSRTIDENNTAWKEGRSGNVPRVVVVVTKIDLLPSSLSPTRFEQWVRQRAREGGLSKITKLHFVSPVKNWGIKDMVEDVAAMAGKRGQVWAVGSQNAGKSTLINAVGKVFGGKVWHLTEAPVPGTTLGIVRVEGVLPFEAKLFDTPGLLNPHQITTRLTREEQKLVQISKELKPRTYRIKEGYTVHIGGLMRLDIDESSVDSMYVTVWASSSVPLHMGKKENAYKTLEEHFGSRLQPPIGEKRVEEMGKWVRKEFRVSGSSWDASSVDIAVSGLGWFAIGLKGNAVLGVWTHEGIDVFLRDSLVPQRAHTFEDSGFTVSKIVAKADRDFNKTHKEKRKPNKKSTASDSVSDIENCQEVSL >A07g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3885928:3886274:-1 gene:A07g501860.1_BraROA transcript:A07g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIWHLISIFMTVVKHTKRRRCPCLPSSHGLSIIRNLHQLGELPHQFLLKLSKKFGPVMLLKSTVIIVSPETEKQVLRDHDLHWCSSPSLVLDCLQKVI >A09p043950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35757335:35758954:1 gene:A09p043950.1_BraROA transcript:A09p043950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQLCPLGEDQDFVNPRGSVAYEMLGDRIGYIPKRAVPAGVASTKPTSPTGCDGCDRLSKKVRTNNADLRSMSLYREKEWYFFTPRDRKYQMILVQTSAAGTGYWNVTGAHKPVGKPKK >A09p074510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56478432:56479458:-1 gene:A09p074510.1_BraROA transcript:A09p074510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHRFMSAYDNVIGGKLKLKGKALDVKAGGVKKKKKKQKKHEEQALKITEHELIEGENAETLGKLMEEEEEEGRSEKEDGKVQPDDEDDDLLTPAERRYIEQKQKLDVQKLAKEANKSHRNRIEDFNQYLANMSEHYDIPKVGPG >A05g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23590693:23592296:-1 gene:A05g508090.1_BraROA transcript:A05g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEWVSTRVGFGLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGNQLLWSIFKALRTFCAYQTFSFSCNAFRALLYIESLEVKAPSFFRSLYFLTSVAKMTSPAPSAAFAAVPYSTFNSLHLGRSTQSIVGWLIRFWDSRNINKNGEFMGITILLFDELDSVIHSFIPANRASQYRSSLKSRSIVRLDRFEVARVAHMYKLDAPVIKSNRFLVRRFDHLQFPSPDVVGEIRSVQGLISKTSQPRAETVIVYLSLWDEAASTFRGLLKSGYRSQSVLLVTLVNPKLFGGNLYLNSTQGTRFFFDTSLPEVTEFVSRQ >A07p010030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6249929:6251444:-1 gene:A07p010030.1_BraROA transcript:A07p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCSLCGHYHKYEEGEVCGICGHRKPDSSDVAAPQVQLSAFPTEILPEFLYLGSYDNASRSEVLKTQGISRVLNTVPMCQNLYRNSFTYHCLSDEKVLQFDDAIQFLDQCEKDKARVLVHCMSGKSRSPAVVVAYLMKRKGWRLAEKFYQQLEAFERTILGSREGMMAAMNINDPPTFGFGFPKVNNNSQAQVPMFNNTSSSSIFSSPASSIPQGFTFGAAPPKPTTGDDTIMGGS >A02g510880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28788258:28790034:-1 gene:A02g510880.1_BraROA transcript:A02g510880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMPHSVHHAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPNDQNPVEAPTAAPESSSWKGKDVDLGGIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKEEKAERDLARVQGEMLEREAQLTRDHVRAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQTDDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSISVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGFRLGQDGRICISGTGRWWL >A02p056140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33888034:33891128:-1 gene:A02p056140.1_BraROA transcript:A02p056140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTLMKIYLVSSTDSPEFTRLKCSFARSTVIALDAEWKPQRSSTSSFPIVTLLQVACRLGHDSDDVFLIDLTSIHLPSVWELLNDMFVSPDVLKLGFRFKQDLVYLSSTFTQGGCVGRFNEVKQYMDITSIYNHLQHKRFGRKAPKDIKSLAAICKELLDISLSKELQCSDWSHRPLTEEQKLYAATDAHCLLQIFDVFKSNLVEEITLQDPKHINVGLKDILNGSDFTSKIVTAKLCKAADVIRAMTQNGQNIANGMVSRKTTLNTMPMDENLLKIVRKYGERIMLKESDLLPRISKRKTRRRGASLKNVNTDKHLVCSVDWQGPPPWDSSLGGDGCPKFLLDVMVEGLAKHLRCVGIDAAVPPSKKPDSRELLDQALKEKRVLLTRDTKLLRHQDLAKHQIYRVKSLLKNEQLLEVIETFQLKISENQLMSRCTKCNGKFIQKPLTIEEAIEAAKGFQRIPNCLFNKNLEFWQCMNCHQLYWEGTQYHNAVQKFMDVCKLSE >A02p002210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:915076:918140:-1 gene:A02p002210.1_BraROA transcript:A02p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor SF3a60 homolog [Source:Projected from Arabidopsis thaliana (AT5G06160) UniProtKB/Swiss-Prot;Acc:Q9FG01] MSSTLLEQTRSNHEEIERLERIVVQDLQTEPSSSKDRLVQGHRVRNMIQSIMRTTEKLVETYEDKDGAREDEIAALGGHTATGINVYSAFYDRLKEIREYHRKYPSGPLVDASADYEALLKVEPVISFSGEALGALGLKVGGTLQQRAERLFLTKDTPLEKLDKKHFAKPIVKQNGDAKSTQEPDNAKQIALTEAKVKKLCSLLDETIERTKQNIVKKQALTYEEMEEEREGEEERAEEESDDEEGDFYNPLKLPMGWDGKPIPYWLYKLHGLGQKFECEICGNSVYMGRRAFERHFKEFQHQHGMRCLGIPNTKNFNEITSIEEAKELWKKIQERQGVNKWRPELEEEYEDREGNIYNKKTYSDLQRQGLI >A04p018160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10929164:10929433:1 gene:A04p018160.1_BraROA transcript:A04p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRYWSGLGFTLFDGDTEIMRGQTRRINSSSPLHVEAEGLVWAMEELSGCGFKQVRFESDCQQLVQIINSSKQWPSLEPELDTIESL >A03p044360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18521008:18523190:1 gene:A03p044360.1_BraROA transcript:A03p044360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWENPVRLARNTTTVKKKLSFITFVIIVLCQVTMVISQEQKVVPGSDADCLLRFKDTLTNASVISSWDPLTAPCKRNSPNWFGVLCFTGNVWGLQLEGMDLTGKLDLEPLIPIKNLRTLSFMNNNFNGAMPSVKKLVSLRSLYLSNNWFTGEIPADAFDGMNHLKKLLLANNAFRGKIPSSLASLPMLLEVKLNGNQFQGNIPDFKPKNLKLASFENNDLEGPIPQSLRDMDPGSFAGNKALCDPPLISCSAASWSIPDPPPSFTEKDKIQTMFTVAIVLIVIGIILLLISLVVFILQNRRRKILSACPSAGQDRIEKYNYDQSMHVERGAESVNSYTSRRGGGGGAVPDQGKLLFLQEDIQRFDLQDLLRASAEVLGSGSFGASYKAGINSGQTLVVKRYKHMNNVGRDEFHEHIRRLGRLSHPNLLPLVAYYYRREEKLLIAEFMPNRSLARHLHANHSVDQPGLDWPTRLKIIQGVAKGLGYLFKELPTLTIPHGHLKSSNVVLDESFEPLLTDYALRPVMNSEQSHNLMIAYKSPEYSLKGQITKKTDVWCLGVLILELLTGRFPENYLRQGYDANMSLVTWVSNMVKEKKTGDVFDKEMTGKKNCKAEMLSLLKIGLSCCEEDEERRMEMKDAVEKIERLREGQELDGDFAASTHNVFASRLMDDDDFGLAMNR >A06g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24698690:24699562:1 gene:A06g508730.1_BraROA transcript:A06g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIISFNVDLYFWQTYQNMEDAGDGKKGKDKGGAKKKKNESEYKKGLRPVLWLTPDFPLKTEEVLPLLDILTNKVKGVRRLRELLTTKLPTGTFPVKVNFFCPVVDFVSDTILSSLVLLIVQKVWLRYCL >A04g508310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21719216:21726087:1 gene:A04g508310.1_BraROA transcript:A04g508310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQDDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGESNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05g500530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2044257:2047702:-1 gene:A05g500530.1_BraROA transcript:A05g500530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGANVFKLDSPKSDTDKEMTTMSKVAVQSQITLLHRKSKKKKKKKKKKKHKRISGGDEIVKTKGSKVNRRHEKALINSDDYGAKRDQRKRRRVDSDVGNTTHKPRKKKSMLAMIAIKCGVQLTTSERMAEDFEKLTGGILLSRNEDGLVFYRGKNFLSPEIDEALVEQEKLVRSLQEEEEARLEEGSSALIVISTEPSNELVYAGTLGKTRDLTGKWGMNLNDGHHAEEVKHEVKELRHKNLVRKLEKKFVIAERKLLKAECGLVKVEEYLQPSEQIADIESITGEERFICRKRGSNMKAFLLIGRRGVFNGTVENKHLHWKYGELVKRKALARSIKLQRHEGCIKETLAMHARAERMGVETELMEKIEDFRRVKKLLTDVRFEPVSSRKTLLPSREQMRTKELLLEKHLEENEEQKVSVLSCMHILRYTRTEVESERVKLNRVAETKGFALDLEDQLRELEKKEMDITELSCNHDEEAEEEEKKMIQKYHKDNGCEQSQFPILCQSCFCDNLYLRMVIADYKIECKVRTRFFTVFRWQPSRTERYKKPDICQTYSKLKNRCQVCLLDLEKGLPVQVSDTAFNITTHGKEKHFSATEEDINHKSTILENEKDQLRKLYLEDLRGQNLQRLATSDGLSMEKTKFQVESKHSNEKKEELQEKTEYITREVFSMYLMREHEELVNKMVEEHSKWRTKIQHERVDLVLGIETQKREFGYCTKDKREEFLNSSREREKFSEQEKLEEENIQSLKLVKKEHAQVELKRLENLKCIIYQMLDYMTLANH >A09g505420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17175854:17177460:1 gene:A09g505420.1_BraROA transcript:A09g505420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCFPFVFPSEPFFQLQLYPSSTQSIPRLPHLHPPMARVDCSNLARSPSPSFPYYRDWNFGVDSNLKPKICLWGFCKVLAFMIRALLCVPQVLYVSRLAHQLDWIRFYRGCSTIRFLAYPRFFFLYKGKLLRPPFLNFSSLFLDCGSSFVSAHQVHPSLISLAVSIGAKTNMEVLLEVVEEEAKLVVAKPQRQ >A01p016530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8191287:8194999:-1 gene:A01p016530.1_BraROA transcript:A01p016530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRTLVSVLFLFSLLFLLPDQGRKLHANAEDSSDEVSDPPKVEEKLGGHGGLSTDSDVVHRESESISKKSLRSNAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKDVLGEGDTAKLEIQIKLDKAKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSAYLVADYIEVISKHNDDIQHVWESKADGKFAVSEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKRYSEFINFPINLWASKEVETEVPAEEDESAEEETETTSTEEEKEEDAEEEDSEKKQKTKKVKETVYEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFSDEKPMAWSHFNAEGDVEFKAVLYVPPKAAHDQYESYYNTNKANLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEVHDDDKKDPVDEYLMQYLMDYEDKKFQNVSKEGLQVGKDSKVKEVKEAFKELTKWWKESLASENVDDVKISNRLADTPCVVVTSKFGWSANMERIMQSQTLSDANKQAYMRGKRVLEINPRHPIIKELKERVASDPEDESVKETAQLMYQTALIESGFILNDPKDFAGRIYNSVKSSLKISPDAVAEEEVETEETETSEEATETKSDDLPGGLNIEAEPVEEETPTKDEL >A02p053130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32363617:32365268:1 gene:A02p053130.1_BraROA transcript:A02p053130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRGEELELLTRGHTLKFLSWICCTRNNVLALKSSAKEATMEWTEPESLSAKLMTESSIGLMLQAALCLHEEEEKMEDAPDVFALCLICSAHRQNVSMCFEGATWKTETEEVVTITSNSLEEHNFGKSCKEEKRKSKKMKPNCMKPLIHIQTNKPVILMKIMNTELSDPRSLLYPIWYLQLEDGDGNPISSMYLEVKVLIKEKLKLEPFWLFSPVLVDILKILETTFIWLSYLELWETKT >A02p019540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9006419:9006951:1 gene:A02p019540.1_BraROA transcript:A02p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEESKVSIVLWLLCFSIFFTSSLQANELTTPSKEEGEMRMVPLLEEKFMVMNETRRKLGSFQICSVCTCCGGAKGLCLPLPCCFAINCNIPNRPFGYCSFTPKSCNCFGCHI >A02p038290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21883400:21888450:-1 gene:A02p038290.1_BraROA transcript:A02p038290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVYLVFLLAVAKASRNTLQEKTEVDAGKPKLAGIIVKASRKTLPQNTKVDAKKESKLEVTIKLPRNVTIPGVIAFGDSIVDSGNNNNLRTILKSNFPPYGQDFRGKFATGRFTDGRVPSDFVAERLGIAKTIPAYLDPALKGKDLLKGINFASGGSGYDPLTAKIVRVVSLEDQLKYFQEYKEKIKAIVGEEKAKFMVENSLYLVVASSNDLAHTYIARSLRYNSTEYPDYLADMSSKFVKKLYGLGARRIAVFSAVPVGCLPSRRTLNGVKRKCSENLNKMALQFNAKLSPALVALRKKSPGSKIVLVDVYDILHNMIENPKKYASLSSLRLEEVFSTLGLRLHVGPLKLGGTLLWRSSDDGYTNRRKHWVRESVLLRDTDIDLKWQTEGAVEQDYLKS >A09p045300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39823524:39825050:1 gene:A09p045300.1_BraROA transcript:A09p045300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSGGLAMMREYRKGNWTLNETMVLIEAKKMDDERRMRRSIGLPAAEQSHDSRSSSGNKPAELRWKWIEDYCWRKGCMRSQNQCNDKWDNLMRDYKKVREYERRRVESSFAAESSSSAAAETGTYWTMEKSERKERNLPSNMSPQTYQALFDVVECKTHPSSTAATNVTAAVAAAAASGNGSGGGLQIQKMIQQQQEQGLGFVQKHQMIQPHVLLPLHPPPPPPLPPSQALQPRPLLLSPPPPPSFHAQPILPTKDTSSDSDTSEHPDTSPAKRRRTIPTTTAGPSGAGRGNAETEEGETVVAAAFSRSASVIANAIRESEERQDRRHKEVMSLEERRLMIEESNVQINREGMNGLVEAINKLASSIFAFASSSHHNNQHQGGPS >A05g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21628367:21629016:1 gene:A05g507570.1_BraROA transcript:A05g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KENLCLCGHPNESWEVNLPAEEDGREISVFPKRRQPLPPPCLSFVRSFAGLLPLPQIVKLLSSVDESKTVINSKDDEGWAPLHSAASIGKGELVEILLTRGADVSVKKQWWWHCSSLCC >A02p007860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3298105:3298602:-1 gene:A02p007860.1_BraROA transcript:A02p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTMLVAIILFSCYVTSQVTASDMESMPSLRSEKLEWWHYHSYPYFHPKPPQWTFPCAGGKAFPPLPAGYNHPFHPVPFHPPPVVAKCLSDCKDVKTCMADIQKAFFTHKPVIGSECCASIQKMDVDCDKTVFGAYHNPFFDYFVKLHCATKSGSTPSAPSPA >A09p034820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21058670:21060003:-1 gene:A09p034820.1_BraROA transcript:A09p034820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKATATSLDEVDRTVYASFRTAANSLSQLYTQSMNHQKLSFQAGERHGLEKLYQWIWRQQEGGSRVTSMDIINYIQNELECCTEEPPISPRAPPTQPAMNVTSSGLMASSCTSCPTAVPVVRSEQCENQAKNSVFSNALSSPIRRSLQNYHIPQGGYISGGTRSSELNRGSNSPGSFDSSMDMHAD >A03p032050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13573778:13574728:1 gene:A03p032050.1_BraROA transcript:A03p032050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASRFLRKLPRSLKLPQTLVRRNPTRVLSSSTHQTTEPSDSLRRIGSRLLRHDSITTRSFSSQEGPAPIDYSSILQEDEFHKLANVTMNHLLEKIEDYGDNVQIDGFDIDYGNEVLTLKLGSLGTYVLNKQTPNRQIWMSSPVSGPSRFDWDREANAWIYRRTEAKLHKLLEEELESLCGEPIQLS >SC254g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000104.1:83954:84555:1 gene:SC254g500040.1_BraROA transcript:SC254g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVLTDDHERPVCADERPVCTDGRPVCADGRPVCTDGHTDTHGRPACADGCPVCADRRPGTSSVHTRTATDVLRVLTDVLRVLTDVLCVLTDVLCALTDTRKHTDSHGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTSCVC >A03p068680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30077151:30078749:1 gene:A03p068680.1_BraROA transcript:A03p068680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYLSSKKKKMGNQTSKKSHETSSKTTTTSMHYTTELRSYEAACKADTELQSFDTCMQARTSHVISTLATGVEVRALSFDSLKEVTECLLEMNQEVVKVILDCKKDIWKNQELFELVEDYFENSLKTLDFCAALEKGLRKARDSQLLILVALQQFEDESLVEGGNGYEKTLEELKNFKEAESPFDEDFFKMFQSVYKHQMLMLEKLQHRKNKLDKKLKSIHTWRKLSSIIFVATFATVLICSVVAAAMAAPPVAAALAAATAIPLGSMGKWVDSLWKNYENALKGQKEVISSMQAGTFVAVKDLDNIRILIERLEIEIKGMVMCAEFAVEHEAVRIGIAEIKKKLEVFKKCIEELGVQADLCSRDIRRARTVILQRIIKHPNHGSSST >A01p043370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24923062:24925177:1 gene:A01p043370.1_BraROA transcript:A01p043370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFNTPRKSQGRNLFYQTPTNCSDEEIQRNIEFLKKLQEADDKTLYRRYEGMMQRYLSGYITYIQLKRGLVLLLRRHRALIKEFRQLLSSPVRNNSEKENPKAELKRTVAFLHKIEAEAQGEETLDITPPIAYKRRSSPRVQPQERQQAKPCPSRTPPPPPPQAAAAPTPAKPAASRRNRAPSRRLLDSISRSDCFPNLDPDLFLQKAKVWPRGSGSRCPYGASVFSKLLNEKVWVKVFDKVHRAKAVTEALGESVYKAFMDALGFSGDKEILIEQLSEMLRGHESLKEEFQTFLIDNRLLKRKRDCVNVTPSYCIGPEVEKGSSSGPVLNGKYYSGDSYHPEDSVEKNSEACRVEKMNRFQDMLLHDLDSFIEFGKVPKDDLRNKKARDKRPQVGLSRVLEWLCNGKKVPPEF >A02p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17987846:17991260:-1 gene:A02p033660.1_BraROA transcript:A02p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGARGTPDSEIFSISGPSHLTSIDWTDSYHRTSVAASLVKGVYTLERDRQEKLIGSKSRAKPWWDFFHFTLLEILIDQQEDCIYGAVFEYALFNLYQNAPGVKLPPRYVIAFRGTNLGAETLVGDVKLDLRCGFNTLHRAARLEHAIEAIKTMVVKYREPAMWLVGHSLGAGLALVAGKSMVKDGTLLEAHIFNPPISSIPLEKLLRSKRLKGMCRIAGSVVKATLAMVLKDLQVQEDDPKIASWIPYLYVNAEDPICSEYIGYFKHKTVMYMIGASKIERIGSRSSLRRSLWVGKRGTSSSSDLSTEPIHLLPSANMTVNRNKPTKSKSAHGLHQWWEQDSALRANWEICCVRPCSEDKSEKLLN >A01p056990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32336198:32338659:-1 gene:A01p056990.1_BraROA transcript:A01p056990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGVEPSAAVREFIGNVTGVDRLHEEMMIHMRIQDDKEMEDTIVYGNVTETGYIVTTIAGRNGQPNQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELFLNLALEYVPETVHHVINHYSNLNQRMPLVYAKVYTYQIFRSLSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLPLFPGESRVDQLVEIIKVLGTPTREEIKCMNPNYTDFKFPQIKAHPWHKVFHKGMPPEAVDLVSRLLQYSPNLRFTAIDVLVHPFFDELRDPNGSLFPPLFNFKPHELQGVPLEMVAKLVPEHARDQCPWLGL >A04p017960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10840155:10841682:1 gene:A04p017960.1_BraROA transcript:A04p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDEEKTQKSRKQQQQEEDDDKKQRRDPEMSSRSSLHSLPPTTVDSPPDSPNTVSSIPDSHGSSPHTVVPTPSVASVATKNETPFRVTNADLAEQKLGESRRRLRPSFSTTPRESKWTSFVRKALLGFRVSAFVSCLVSFSVMVADRDKGWARDSFYQYKEFRFCLAANVIGFVYSAFMICDLVYLLSTSIRRSRHNLRHFLEFALDQMIAYLLASASTSASIRVDDWLSNWGADKFPSLARASVSLSFVSFVSFAFCSLASGYALCSLRSI >A04p032370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18896365:18901970:1 gene:A04p032370.1_BraROA transcript:A04p032370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDLDKQNMDQANGYEHVRYAASDPRGEGIGSVNERFSRDSSTSVDTNVRPPDFAVLTPARPVNYSIQTGEEFAFEFMRDRVIMRPQFIPNVYGEATGMPLPVNLNAMESGSNTTVVNAAEQGSTFEQERKPPSRSEDKSYHELVKSAPAVSLRNDTGQRLNSLLSSRASDSSLNHAKFLCSFGGRIIPRPRDQKLRYVGGETRIIRISKTISFQELMHKMVEMFPEARTIKYQLPGEDLDALVSVSSDEDLQNMMEECNVFGSGGSEKPRMFLFSSSDLEEAQFVLEAAEGDSEVQYVVAVNGMDLSSRKSSLGVSASGNNLDELLHRNTDREISRAATEPAVASVAPLAGNESLSASQTSQPVTGFSTGNEPFSEPYRGQQLHLTGLGNHQVYTSAHMASIGYVDEKGSVPLHVQPQPHYIPYPVNPETPLESVVPQYPRKPEQGVLHDEQIFRVQDPEASSKETKMRREDSFKKVNGPANESTIETNLSAKESKMRRESSTPRVNEYSVSSMSGDLIVPDHALKEEAPIATQISTNSTPYPSTSACPEKSLRKSHDHIENNLSAKEPKMRKEHSTTRVSESSLSSVSGDSMVPDHTLKEEAPFSKQISNSTPDPSSFVCQERSLETSQEYVPKTAALDTASEDMKISQDTQCCLPGGFSASGLVIPDGDSSNSSNVDQPVIHQRDFHSGLRDTAETKRLSQTDDSLGSQFVMAKSASDACLPISESAETFHEAKMESQNVHSSAPVRPPPESLWTADGSISQFEERNLEPNAPEHLSQSETSVKAVPQGHIENGDIVVDINDRFPRDFLADILKESLNFPGLRPLHGVGAGVSLNVQNHDPKNWSYFRNLAQDEFERKDVSLMDQDHPGFPSSLTNTDGVPIDYSYPPLQSEKVAPTQLNPQIHFDGKAQPDVSTTAVADSSTVDTQEDHGQTVFEGAERTDSKVNTEAPLIDLIAEDNVSRSLQFIKNDDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKRTCFIGRSSEQERLTSEFWHEAEILSKLHHPNVMAFYGVVKDGPGGTLATVTEYMVNGSLRHVLLSNRQIDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPARPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLSGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTVPSYCDPEWRMLMEQCWAPDPFVRPSFPEIARRLRTMSSSAVQTKAHAPNHHKDDSFKTCFPCSYPVIATSYSGGWLPTSNLSSPVELASNH >A01p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18906418:18908547:-1 gene:A01p027520.1_BraROA transcript:A01p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPENAGVKVDSSGDNNNTASPAAETKPSCLDDQSPIETAGKGRNPNGEREKSGGCLKNLADAFSKLNPMAKEFVPPPYLAQSGVLRNGFAVQPVLADGNDHLPTRRRSFGQGKRRMNKRTSLAQKEDVIRRTVYVSHIDQLVTEENLAGVFAFCGQVVDCRVCGYPNSLLRFAFVEFTNEEGARAALSMSGTVLGFYPLKVLPSKTAIAPVNPTFLPRSEDERAMCMRTVYCTNIDKRISQTDLKGFFEMFCGEVHRLRLGDYHHHSRISFVEFSNAESAIRALNCSGIFLGALPIRVSPSKTPVRPHYPLAESK >A03p054410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23363640:23367638:-1 gene:A03p054410.1_BraROA transcript:A03p054410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL29 [Source:Projected from Arabidopsis thaliana (AT4G17920) UniProtKB/Swiss-Prot;Acc:O49691] MEDSKEPNQQQQLQPPHGTLTGSYNRNAAALTGPTSTSQAMHQWLSVGDLSQRQPQQPWMQFGNEQLGRGRLRKYASDVIDLSLRLGGGGGASANSSDPPPVKRTRRRPPGPVKTQLNALGGAGTPFISDIIEVEAGEDIAAKIVAYTHQAGPREVVIISASGAVSSALLNSPSGVFKFEGQYEIVAMSGSFLNTESNGTVTITGDLSVSLANHNGKIVGGFVAGMLVAALLVQVGVGSFVPERVVENNPEPASASASATASGGGLNDSKSPLHQVGNSTPQPPDQMCGLRLWPGSNPHSIVFLVYEKSHGGDNPSPTAIMPTIPSPLPPQEHYVTPPLTVIFTVVFLIFIFVGFFTLYFCKCILDTIMHAWHIHHSGETEATDNPLQPPEAPLVNPGLDLRIINSFPTFPYFSVKDLREEKYGLECAICLLEFDEDHVLRLLTTCYHVFHQECIDLWFESHKTCPVCRHDLDPPPPPENTSNVLSNVDEMIINVIQETSYDDGDQHHHHQTTTPIDTGTSSGQSSCVNNEISRKEQTLPETFSRSHSTGHSIVRNKPEEEDKYTLRLPEHVKIKVTRGHSQTKSCVTFAELARRSYDHRRFGEVSNQTVATHSGE >A09p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3783738:3786008:-1 gene:A09p007160.1_BraROA transcript:A09p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLSIFYSVLLLIFASPSLLYPVTGDLAGDRQALLDFLNNITHPRSLAWNASSPICATWSGVTCNRDNTRVTALHLPGASLLGTLPPGTISRLSELEILSLRSNGLRGPFPIDFLQLKKLKAITLSNNKFSGPLPSDYTTWMNLTVLDLFGNQFNGSIPSGLANLTGLLSLNLAKNSFSGEIPDLNLPGLRRLNVSNNNLTGSVPKSLKRFGHSSFSGNNLTYDDTPPPVGSPAQKEKEQEEDKHGIYISEPAILGIAITGCFLIFFVIAVLIIICYVKRKKRQETKPETLTPAKANPKTLPSEKEVSKSRKEMNIEDMEEKSEFNRIVFFEGNNLAFNLEDLLTSSAEFLGKGTFGMTYKAVLGDAKVIAVKRFKDVSVSRKDFKQQMEIVGNIRHENVAPLRAYVCSKEEKLMVFDYYPRGSLSVLLHGKNGNEDHVPLDWETRLRFLIGLAKGLAHLHTQHKLAHGDIKSSNVFLNSKGYGCISETGLALLTNPVIREDSSARTEKRYRAPEAYDTRRSTPESDIYGFGILTLETLSGRSSMDDKKEDIELVVWMNKVLAEQWTGEVFDLELVKTPNIEAKLLQMIDLVQLCTNRVPAKRPEIAKVVEILEEIERE >A02p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5843022:5845217:-1 gene:A02p013360.1_BraROA transcript:A02p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDEETQEEIKERLINEEYKTWKRNTPFLYDLVISHLLEWPSLTVEWLPDREEPSGKNYSVQKMILGTHTHVNEANYLMLAQVQLPLADAEYNDERAKFGSATGKVQVIKKINHEGEMNRARYMPQNPFMIATKTVSGEVYVFDYSKHPSKPALDGACNPDLRLRGHSSEGYGLSWSTLKQGHLLSGANDAQICLWDINASPKNKTLDAHQIFKAHEGAVEDVAWHLRHEYLFGSVGEDQYFFIWDLRSPSASKPVQSVAAHSREVNCLAFNPLNEWIVATGSTDKTVKVFDLRRLSSALHSLDGHKEEVFQVGWSPKNETIVASSCLGRRIMVWDTNRIDEEQTAEAAEDGPPELLFTHGGHTARISDFSWNPCDDWVISSVCEDNILQIWQMAETIYHGEDDAPG >A06g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26107365:26111648:1 gene:A06g509130.1_BraROA transcript:A06g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSDGLARAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGNADEHKDLLGISSVYSEEIPRNLGSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPASAPGPPGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMENYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEMSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A07g509290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27658595:27663499:1 gene:A07g509290.1_BraROA transcript:A07g509290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKPVAQGTGVKGLVEAGVSEVPRIFHHSSLKLSNPKPLSSDFTTIPTFDLGGRVFKDKTTRKNVIQGIKEASEKWGFFQVVNHGVPLDLLEKMKDGVRGFHEQPPDVRKQYYGRDFSRTFRYSSNFNLFSSPAANWIDTFACNIAPDPPKPEDLPEICRDVMLEYTKHVMNLGEFLFEMLSEALGLEPNHLNEMDCSKGLLMLNHYYPPCPQPDLTLGATQHSDNSFLTVLLPDEIEGLQINREGTWYDVPHVPGALIINIGDLLQLITNNKFISLEHRVLANRATRGRVSVACFFTTGVRPNPRLYGPIRELVSEENPPRYREITIRDYSAHLYSHNGKCIRDVMLEYTKHVMNLGEFLFEMLSEALGLEPNHLNEMDCSKGLLMLNHYYPPCPQPDLTLGATQHSDNSFLTVLLPDEIEGLQINREGTWYDVPHVPGALIINIGDLLQLITNNKFISLEHRVLANRATRGRVSVACFFTTGVRPNPRLYGPIRELVSEENPPRYREITIRDYSAHVNAKGLDGTYEN >A03p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:418118:420751:1 gene:A03p000670.1_BraROA transcript:A03p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLCDESWLSDPLTPEPVPNFRLNIHDDHVVMSPGIDAATVEEAISIDLEKESCFSNHGHKFVEFLASKKLIDARFRTVQWLIQTRSRLNLSFETLFSAANCFDRFVNVISCDEWSKWMVELVAVTSLSVASKFNDVASPSPQELQMEGLTHMFHHKTVLEMELILLKALDWRVNSVTSLSFSQILMTKMGIVEGDIMMNRITEHLLDDLCDLKMLGYAPSVVAVAAMWTVLEEKSALEENFGKIMNLFGQEQKENIAKCINVMKSRNVEKGWGRKNSEVKSLVSVLQRGDMMNMNDVYHGGDLSAIFQILRSEGINKKRDRDYYEDKFRPAKRMTFKKMSSSPLASSLFFSLSTLSAHKHGRSWNFCVSRKEQCLRVRAAKLPEGVIVPKVQPKSQPAFLGFTQTAEIWNSRACMIGLIGTFIVELILNKGILELIGVEIGKGLDLPL >A02p035490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19359885:19361540:-1 gene:A02p035490.1_BraROA transcript:A02p035490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAHL1 [Source:Projected from Arabidopsis thaliana (AT4G12080) UniProtKB/TrEMBL;Acc:A0A178V7H8] MVLEMESTGEVRSTAGNGGGITVVGSDAPSDFHIAARSESSNPSPKCVAPPLPQSHHTNQLAIVAPPPQISMAATTMMEGISGVPMKKKRGRPRKYGPEGAVVALSPKPISSAPAPSHPLPASSHVIDFSASEKRSKMKPTNSFNRTKFHHQVENLGEWVPCSVGGNFTPHVIAVNAGEDVTMKIISFSQQGPRAICVLSANGVISSVTLRQHDSSGGTLTYEGRFEILSLSGSFMPNDIGGTRSRTGGMSISLASPDGRVVGGGVAGLLVAASPVQVVVGSFIAGKDQEDH >A03g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28132426:28134912:-1 gene:A03g508000.1_BraROA transcript:A03g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWLNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQFAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A06p045060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:24195373:24195606:1 gene:A06p045060.1_BraROA transcript:A06p045060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGQEANKISSAEIDDAYPHPRRVNYVTQLKKRKQRPSTSEMRSEQANMGSGASETRLQAYNNSSPGQRARTPRFL >A03p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18098437:18100218:1 gene:A03p043270.1_BraROA transcript:A03p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRSFSTTTTISEDYMLFPYNDHYSSQPLLPFNPCSSINDILIHSNSNISSNPLDHHYQFLQAPSSFSQFEFVPDFALVASFLPQNNGHNDNQTITTNDHNNNHHHHHPSLLPLNNPIGESLVEPLETIATHIEDSQRISTSQDPKMNKVKKPSRTDRHSKIKTAKGTRDRRMRLSLDVAKELFGLQDMLGFDKASKTVEWLLTQAKPEIIKITSSLSNPLNLGGFSSCEESQTRPALGSMHTSSDLFKLSSMGTVEDRGSNTNSTETRGNKVDGRSMRGKRKMLQARTPILKKLSKDERAKARERAKDRTKEKLMKRRSQVTVLDAEAHNQHDEIVKNNKSHVNCKSFEATPCQEEIEELLCKNDGFAVCNEFVVNKFNSSFPMPNHHRSQGTVSSIEQQRQFMDLHHFLERPRDLMYNYHNM >A10p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6862634:6865500:1 gene:A10p010610.1_BraROA transcript:A10p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLDLEDFWDDLPVSRLEVVWKSSGSRLDFLEEVVLTSWKSSGLHGSLLTKSPFHNRSERFGFSDLEDFWDDLPVSRLEVVWTSWKSSDKVVWTSWKSSGLPKSRLDFLLLTKSSELPESRLNFLKVVWTSWKSSDKVFFHIKWNPSLRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLLGKSSTARRFPNSLAYIRLLQAHRITNEIHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A09p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7246704:7247815:-1 gene:A09p014080.1_BraROA transcript:A09p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNRLFGKPKVETNTLHTLDKLNETLEMLEKKEGVLLKKAAGEVERAKEFSKAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAATMKAMQKATNIDDVDKTMDEINEQTDNMKQIQDALSAPFGSAADFDEDELEAELEELEGLELEDQLLQPARPVPEGKQPARPVPQKQQSAHEDELAALQAEMAL >A03g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2109159:2109709:1 gene:A03g500670.1_BraROA transcript:A03g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPFAKCTSLTALCLRCASITIRRLKHRRFFTDSVLQSPSFSLIARVTVQECGFASSDCYYNIAASPLHYAVSSIDGSSQCDPFLGAAIVYGGSQTSCYQNPLVGFFNVDFDFFAFFRMQALGLQVKLLYGSLLSLATSILRYVLIVSVYLFTVEDHSGCNRLSPWGV >A04p036970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21158720:21159193:1 gene:A04p036970.1_BraROA transcript:A04p036970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT2G40880) UniProtKB/TrEMBL;Acc:A0A178VXG7] MESKAFVSVTFLIVTLLLCRTIQPRSCRSEEKTTERTMILGGVHDLRGNQNSGEIESLARFAIQEHNNRENKVLEFKKIVKAREQVVAGTMYYLTLEANEGGQAKNFEAKVWVKPWMNFKQLQEFKESSS >A09p071720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55254753:55257114:1 gene:A09p071720.1_BraROA transcript:A09p071720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PE11 [Source:Projected from Arabidopsis thaliana (AT2G21610) UniProtKB/TrEMBL;Acc:A0A178W118] MGLYKTKSKHYIDTYYQITIINIFILSLITSSSMASSSEKVVIPADKPYITLSGTKASTTVLIWSDGEDILESPTLTVFASDFVCRFLTIQNKFGTTGQAVALRVAADKAAFYGCVITSYQDTLLDDNGNHYFKNCYIEGATDFICGSASSLYEKCHLHSLSPNNGSMTAQMRSSATDKSGFSFLGCKLTGTGSTYLGRPWGAYSRVVFAYSVFSDVVAPQGWNEWGDSSKSNTVYYGEYKCYGPGADRRGRVKWSKQLSDEEATVFMSKDFIGGKDWLRPAPSHFKTALKSNPKE >A02p016760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7539143:7541080:1 gene:A02p016760.1_BraROA transcript:A02p016760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSILLFYLLLVLPCFVDSVSFNFTSFQRGDPGNVIYHGDASLDGAVVLGNTGYTSRVGWVTYAEKVPIWNSRTGKLTNFNTSFSFIINTRNASSGNFGHGLCFFLAPVGIQLLANSAGGFLGLFNQVENITSSFPLVHVEFDTFQNVEWDPLETESHVGINNNSLVSSNYTSWNATKHNQAICNAHISYDSVTKNLSVYWAYKLTSDPRENSSLSYIIDLATVLPPQVTIGFSATTGAVTEGHRLLSWEFSSSLDSEKASIRTGLIVGFSISGFVFLISLAIFVLVWLHKKRKRKAKEITDLISINEDLDKEAGPRRFAYKDLVLATNRFSAQRKLGEGGFGAVYRGFLNEIDSLVAVKKLSGRSRQGKREFLTEVKIISKLRHRNLVQLIGWCNEKEEYLLIYEFMPNGSLDTHLFGKRPHLCWDVRYKIALGLAYALLYLHEEWDQCVLHRDIKASNIMLDTNFNVKLGDFGLARLMDHDLRSHTTGLAGTFGYMAPEYVMTGRASKESDIYSFGVSILEIVAGRKSVDHSEENIEAKSLVERVWDLYGRQQLMSAMDEKLGEEFNMEQAECLIIVGLWCGHPDRNSRPSVRQAIQVLNLESPLPNLPHKMPVPTYHISPTSLSVSSSRGSVTFSSCKAGR >A01p049810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27961400:27962757:1 gene:A01p049810.1_BraROA transcript:A01p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQLNGSGLVASLSQNHIFSHKTKLSNPESSFLRSKHNASRAKTIRAISTAPASQPPVADEPNDEPPAVDFAFVHSVLLPDGTPDVHWRRACGGQKLRDIMLDSNIELYGPYSKPLSNCAGVGTCATCMVEIVNGKELLNPRTDIEKEKLKRKPKNWRLACQTNVGYPDSTGLVVIQQLPEWKAHEWNIPKNVAIDDPDSSA >A04p013470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4471946:4473247:-1 gene:A04p013470.1_BraROA transcript:A04p013470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEMAKINRDVLMLREDQAPKVGASLNQSEPRKMSMVGIKPHIPDLNMKPCSDSDEEEKTEIAKEFQNLAGLKTHDACYVDHKLLYELEVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQEKGLVKPYVILHSGAVSNWEMFDKDFKTFRRLPKVPSSDYCFFHSDKETVSVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSASHGKTAFFAGGIKMDENGNPVVVQTVEKYNADTKRWTMINGMHKARKFSSGCFLRGKFYVLGGRDDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLETSLNELRVYDINTNIWKKLGVVPVGANVAFGWGIAFKSMGDRLLVIGTSHYWHSKTVVHSCRPSPDVEEQHWEEIKHWCVGAEHPQFIHNYCVMFA >A08p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1544150:1546549:-1 gene:A08p002790.1_BraROA transcript:A08p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWGFCRKQALVLISCLSLFYLASFDTITSESPAQNATAFKNRSHKVSCPTNWVLGPNQTKCYASFRNSTSWEKSETFCIASGGHLASPSSNKELFFLQKLCNANATSCWIGGRTLNSSNSGFNWTWSDPKSPQWNQTMFPKVPVRTRCVNSSCRADICMVLTNGSLQVFGERCNTSHAFICAIDSDIKCRNCHGEYQIILLVVSGLILFTTFAIILWFLIYKRSKKRRKSRKVSSPASALVPPPSWKIFTSEELRSMTKNFSEANRLAGDAKTGGTYTGGLADGTKVAVKRLKRSSFQRKKEFYSEIKRAAKLHHTNVVAIKGCCYDHGERFIVYEFIANGPLDRWLHHVPRGGRSLDWNMRLNIATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMQERTVMAGGTYGYLAPEYVYRNELTTKSDVYSFGVLLLEIVSGRRPTQAVNSSVGWQSIFEWATPLVQANRWLELLDPVITSGLPEASVVQKVVDLVYACTQNVPSMRPRMSHVVHQLQLLVPPSEIVSS >A02p007380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3111604:3113769:1 gene:A02p007380.1_BraROA transcript:A02p007380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKTSLGLSVFFFLLTLAAVTSDLESDRRALIALRDGVHGRPLLWNLTAPPCTWGGVQCNAGRVTALRLPGVGLSGPLPIAIGNLTKLETLSFRFNALTGPLPADFANLTLLRYLYLQGNAFSEEIPSFLFDLPNVIRINLAQNNFSGQIPVNVNSATRLATLYLEDNQLTGPIPEIKLKLQQFNVSSNQLNGSIPDPLSGMPKTAFEGNSLCGKPLAACAVAGKGTGKGKSHKLSAGAIAGIVIGGVVVLLLLLLFLFCDCCLCRKKKKQDNVESRNIEAAAAAPVPSSAAVAKETTVDNTPPAVANGSSENGAAKNSKDLTFFVKSFGVFDLDGLLKASAEVLGKGAFGSSYKASFEHGLVVAVKRLRDVVVPEKEFKEKLQVLGSISHPNLVALIAYYFSRDEKLVVFEYMSRGSLSALLHGNKGSGRSPLSWETRAGIALGAARAISYLHSRDATTSHGNIKSCNILLSESYEAKVSDYCLAPMISPTSTPNRIDGYRAPEVTDARRISQKADVYSFGVLILELLTGKSPTHQQLNEEGVDLPRWVSSITEQQSPSDVFDPELTRYQAEGNENMIRLLKIGISCTAQYPDSRPSMAEVTRLIEEVSRSSGSHSPLSG >A02p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28545789:28546934:1 gene:A02p045470.1_BraROA transcript:A02p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSRPSLNGNTGHHHQPPLPPPPSRPSLNGHTGHHVPPPPSHTARHQQPYYRSYSSSSSASLKGCCCCLFLLFAFLALLVLAIVLIVILAVKPKKPQFDLQQVAVMNMGITSLDNPNPSVLDPTTASLSFTIRMLFTAGNPNKVGIRYGESSFTVLYKGLPLGRATVAGFYQDAHSTRNVEATIAVDRVNLMQGNAADLVRDASLNDRVELTVRGDVSAKIRVMNFDSPGVQVSVNCGIGISPRKQALIYKQCGFDGLSV >A07p049730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26412898:26415959:1 gene:A07p049730.1_BraROA transcript:A07p049730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGSEFYKVMCAMAPLYFAMFVAYGSVKWWKIFTAEQCSGINRFVSVFAVPILSFHFISQNNPYKMDMMFIIADTLSKVMVFVLLSLWAILFKSGGLDWLITLFSVATLPNTLVMGIPLLQAMYGEYTQNLMVQLVVLQCIIWYTLLLFLFELRAARLLIRTEFPGQAAGAITRIQVDDDVISLDGMDPLRTETETDINGRVRLRIRRSISSVPDSVVSSSLCLTPRASNLSNAEIFSVNTPNRFFNGGGGSGALQFYNGNNEIMFCNGDLGGFGFTRPGCGVSPRRLSGYASSDAYSLQPTPRASNFNELDVNGAGTPVWMKSPVAGRIYRHTASPKMTWESGQRHVAKDTINDDYVSIPEKEISFRDALRAAPPPTAAGVACSMEEGAAGKETAPVAPIGRQEMPSAALMVRLILTVVGRKLSRNPNTYSSIIGLVWSLISFRWNIALPDIVAFSIKIISDAGLGMAMFSLGLFMALQPKMITCGVKKATVGMLIRFILGPAFMAAASVIVGLKGSRLHAAIVQAALPQGIVPFVFAREYGLHPDLLSTLVIFGMIVSLPVTILYYVLLGL >A05p006400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2556525:2558219:1 gene:A05p006400.1_BraROA transcript:A05p006400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSAASRYVKLRKEQAPVEDITPGELNQPIDVPQLNVRKCHECMQVLPETYEPPSDENWTTGIFGCAEDPESCRTGLFCPCVLYGRNIEAVRDEIPWTQPCVCHAVCVEGGMALAAVTALFGGYIDPQTTVVICEGLFFAWWMCGIYSGLFRQELQKKYHLKNAPCDHCMVHCCLHWCALCQEHREMKNHLSDADASSSTTMDPPPVQAMNTEENKDASSSSSSSPSSAKSQHNDLEMVPL >A03p007860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3192024:3198535:1 gene:A03p007860.1_BraROA transcript:A03p007860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSHNPLSAESPKLHCGDRESVYSPSPSICLFRDVACSSSATTTTGIRFSPLHLHLHCSASTAMGAKLARAENGIQTVMNLSSVKARSVRAQASSVGGGGDEEEAVPLRSESNGSGTVLPFVAVACLGAILFGYHLGVVNGALEYLAKDLGWIVSALLAGATVGSFTGGTLADKFGRTRTFQLDAIPLAIGAFLCATAQSVQTMIVGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALIAGLPLAANPLWWRTMFGVAVIPSVLLAIGMGFSPESPRWLVQQGKVSEAEKAIKTLYGKEKVVELVRDLSTSGQGASEPEAGWFDLFSSRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIQSDVAASALVGASNVFGTAVASSLMDKMGRKSLLLTSFAGMALSMLLLSLSFTWKALAAYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLSMHWISNFVIGLYFLSVVTKFGISSVYLGFAGVCVLAVIYIAGNVVETKGRTLNDHSPSLLSLFLSNMGVIDQKSKKEDEHPMISKPSRLILLVKGKHLLSPLVFITGFSIGLFLCLQLKSFHMPTMKTQQQSFWSTLLFNHTTTMETKQELQLQVLQHNMTDQELFTRVSSLSSSKSSSWFGWRYNNDEKMVVKVAFMFLTGRGLPLASLWDKFFEGHEGYYSIYIHTHPSFQDHYPETSVFYSRRIPSQAVYWGTSSMVDAERRLLANALLDESNQKFVLLSDSCIPLYNFTTIYDYLTGTNLSFIGSFDDPRKSGRGRYNPQMHPQINITHWRKGSQWFETTRELALHIISDTVYYKVFDQHCKPPCYMDEHYIPTLVHMLHGEMSSNRSLTWVDWSKAGPHPGRFIWPDITDEFLNRIRFTEECAYYGRDGENVTTSKCFLFARKFTKETLEPLLRISPAVLGFGP >A10p034470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20037751:20040808:-1 gene:A10p034470.1_BraROA transcript:A10p034470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGAKRKGASKAGRKGAVADEVVAESLEASTQEESQPQPTEAVAEENGEEAKGHEEEEVKPEENQVDDASSSEPKEDVKEEDGDGDGDKKKPAGRGGGKRKRAVTKKDVEVKEEKKPAARAKKARVAKPQEEPEYFEDERNLEGLWKAAFPVGTEWDKLDAVYEFNWDFKNLEEALEEGGLLHGKKVFVFGCTEPQLVPYKGANKIVLVPAVVAVESPFPPSDKIGVTSVQREVEEILPMKTMKMDWLPYIPFDKRGRQVDRMNFQIFVMTCTQRRAALRHMKEDRVKKYEYCLPYFYQPFKEDELEQSTEVQIMFPSEPPVVCEFDWEFDELEEFVDKLIAEEALSAEQKDEFKEYVKEQVRAAKKANREAREARKKAIEDMSEETKQAFQSMKFYKFYPQPSPETPDVSGVKSPFINRYYGKAHQVL >A04p031110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18414370:18416205:-1 gene:A04p031110.1_BraROA transcript:A04p031110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFASFQLLELNLISAQDLAPVSRKMKTYAVAWVHSERKLTTRVDYTGGANPTWNDKFVFRVSEDFLYADTSAVVVEIYALHWFRDVHVGTVRVLISNLIPPNRRPGYRTNDEYRHTPPPGMRFVALQVRRPSGRPQGILNIGVGILDGSMRSLPLYTNMDSSAVGYRDLLGEDDPHLQNLHLNSAKGSSKNPQSPSSKQNQSVVSRPPPPPPPPVLRRTRSDTSSMVVSDLLSRAERSRVANRKPVSALPSSDDETVPTTSGHHTTTDDSVDDYEAPYKTPHIPGNRYDDSYEDEFVDQSPNVMPIRRERYDEDSPYRSYDHSRKTPRRSTPVIEKPRPPRDYDRSNRASPYLSRHGTPLRSNIVASTPIRSNIVASSPMRLTPMRSNMVASSPMRSSTPMRSNNLVGSTPLRSNIIGSTPIRSTYKGTPMKSPLRFGTPMRSNLAGRLILTESELGPSPSEVANKMAKERSQANDTESSILSEWSLDDDSNIEGLRSKLERWRTELPPLYDLGSSHQSSDVGSAIVPASANAGGGKSSRRKTPTVKKKKKHQRRHTEGGNGLFSCFSNICGAECTFVCGGGSDHDGSKKKGGSKRLPRLASADNLSYV >A03p051150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20645510:20646667:-1 gene:A03p051150.1_BraROA transcript:A03p051150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELMAGAALGLALQVVHEAIKKAIERSLSTRYILYRLEDTISRITTFVAQVDKLSEEVEDSPRKIIEDLKNHLDNAASLLKAYAELRRRNLIKKYRCRRSIKELEASLRCMINVDVQVNHWDIKKLMATMSETNTKLQPTDCFKSKHCISQSSNQHIFKARDPSSEERAECLSDEPKPKIDIHIRWSSRKRNKDRDIRFTIK >A02p035100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:19176819:19177439:-1 gene:A02p035100.1_BraROA transcript:A02p035100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin methylesterase inhibitor 1 [Source:Projected from Arabidopsis thaliana (AT4G12390) UniProtKB/TrEMBL;Acc:Q9STH2] MEPKLTHLCYCLLVFLPLLSQSAIANPSSSPNHSNNINFIVSSCRTTRYPTLCVKCLAAFASKIRCNENRLAQTGLAVTLVRVRSTTAYLAKLTKARRVKRREYLAVKDCVENLGDGLTMLAQSMREMKRVGRSGRGREEFLWRLSNVETWVSAALTDETTCLDGFDGKFMDGVVKMAIRRRVVHVARVTGNALALVNRFASRHKS >A08p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9664065:9673021:1 gene:A08p014450.1_BraROA transcript:A08p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGYRTRELEAANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRDRMGRAVYAKLITSVEALKRAILESYGLVGTSVAVEMSYWLREHGSCAVGEREAPVQISNDKDFDLFTSARKSKEVASSNEMQVGNTSADVHTRNEVNDGETDLTEDEIILMGVAEIEAVYASNGFGMREVDGTTCEVQNKVDTTEDVALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDDDGGVGGGCRTNVTYGGVRGEVVTKTRSGRTNPSSNKGSGPSTNKQRTANPPSTFKDYVDEGRDYIGSYRISMENIEEASNNLGVKSSDQVADTENHSDPNQEEDPSLDNNSQMLVLQTPPKPFNMHTREVDDSDDFVGQIPQCVSSRPTHDTSDGVYEDDDFVEPMGLDYSYSQPSESEDYGGHDSSDTEDREVEDLIRRDQADLNYNYASTVQYPPQPEVEFGFPQTCYCGGRPKLATSRTVNDPGRRYYTCDNVNDGDCHVHKWWDDAVMEEMRARDTHTLQLSENVDSLTFLNDYDPQLNKLKELQNETEQKLVRLEKVVSELAEKRTRLTNGFEYFVGGMVIILVLLGLVIIFKTPNNLSSQHSTISLHHTHKYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGRNYYVCNDFKNDGLHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFELAQMLYDISQGKMTVAKYKRFFYSLPIVCERTEQQLIELAKAGLKEKIREGLETDEFATLEALFEEAEEVEEGLKETPPSPPRKRRRRSPNPRSSKRARKAEEKGDPEDDGYGYDGEGASGFKDDEEGEYWDWMQMETDVDDDASDRTDDTLGSGHSGLPLQRLSIGSLWILPFHKYHPIEGHEMTFLDLPSEIQQLIVSCVAKNFFQDLYRLRSTCKSMRALADTPDVYSSFDLYKHPWWTGLRNTLLRRCYDVGNPSTLYIKGVEYFYALQRHEEGLALMKRAADAGYERALYTYAMTRKLYSDDEEYFARFTREAVGTIGWLVRMDDVPWVPVVNEWFITKKFMFMSTDRPLFYNCPCSPTLNFDWDPWHMELSKTEDMCNRCF >A04g508010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20506173:20507056:1 gene:A04g508010.1_BraROA transcript:A04g508010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTVSSSSAAREFPWRNQGRFSSAILREPYTTGASSTSVSDQSPSQAQTHSSSTGMSIFVTKVVPAVTEEDQGIEILTEAIAACTETIDQHKGKLVVKEAPRAVKHMAKLRMDNEEISGNEEEEEDTGMGEVDIEGAGIVE >A02g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22262910:22263343:-1 gene:A02g508040.1_BraROA transcript:A02g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIIPEECDHIFSIPPRDLPAIKENQNDIAIAGDLTVHNLEISGHELVNILLCLINRKTVLVSNNLQEKNGSLKRLVYHCMFILTWFSAILFSTKLRKT >A10p024170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15644437:15647751:-1 gene:A10p024170.1_BraROA transcript:A10p024170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFSFENDIFTLSPSSSSSNYKVAIVINTLTTMNNQFEALNALNSTHFNSWYKVAIVINTLTTMNNQFEALNAPKIEVIEANDYGWVTFFEIMCACRSLMCAKETTYMGSRLAVDDLPGSRLVNAEVIFAIDFEICFLRRLKVKSLTFPDDLHFSRLIISIQRQAWTPLDMEEDFVRRLQGSLADF >A05g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27017250:27019012:-1 gene:A05g508990.1_BraROA transcript:A05g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKFSFVSVMGVLLVLTIFHDPIIVDAGQGVPEVALFTFGDSYYDAGNKAFLGKNKNPPQNLWPYGKSRDDPNGKFSDGYIVPDFIAEFMSIPNRIPPALKPGANLSRGASFAVADASILGAPVESMTLNQQVNKFRSMISTWSEDYIEKSLFMIYIGTEDYLNFTKFNPTASASAQQAFVTSVTNKLKTDIGLLYSLGASKFAVPMLAPLGCLPIVRQEYKTGNDCYEPLNDLAKQHNEKIGPILNEYAKKPNGGFQFTVLDFYNAVIRRTTRSYNYRKSTPLTVIVAYIFSTFEKISGFYVANSSCCGVGTHNAYGCGMANVHSKLCEYQRSYFFFDGRHNTEKAQEEIAHLLYGANTNVIHPMTVRELIVFPAKENMRELWEPNTSIRRRRSSRDYLDLSAYY >A03p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2032314:2032947:-1 gene:A03p004840.1_BraROA transcript:A03p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASAAFRLPLATRRFSSPSPRISLQHSTIWICHLRRITTASSMSQLTTETDASRNDENSAEKSDDVVVQYVVLRRDLIDSWPLGSVVTQGCHASVAAIWSFKDDPVTLRYCDPQHIDSMHKVTLEVKGETQMLNLSEKLKSGGISHKVWMEQPENVPTCIATKPYLKSQVSPFFKKLKLCK >A08p036690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21463853:21464407:-1 gene:A08p036690.1_BraROA transcript:A08p036690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMLKPSLQGEIEADVEIKAPATKFYHMFAARPQDLSKASLENLQGCRVLEGEMGKVGTLVTWNYVQDGKPMVANERIEAVEPKKNMIKFRDLMKEFKSFLCTIQVTPKQGGPGGVVNWRMEYERIDENVAPPETLLQVCIKTSKEIDEMLMSKA >A02p047580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29670779:29671995:1 gene:A02p047580.1_BraROA transcript:A02p047580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G02810) UniProtKB/Swiss-Prot;Acc:O64503] MRRVIKLWSNTGNGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYICPFLVAGGVAVFALLKTSSKTISKLAHPNAPLGYALCFLNLAFDGFTNATQDSIASRYPKTEAWDIMLGMNLWGTIYNMVYMFGLPQGMGFEAYQFCKQHPEAAWDILKYCLCGAVGQNFIFMTISNFGSLANTTITTTRKFVSIVVSSVMSGNPLSLKQWGCVSMVFGGLSYQILLKWSKSKRVEKKKQKS >A09g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20992952:20993641:-1 gene:A09g507150.1_BraROA transcript:A09g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLPLLGMKQLRGPALLMFSKWGADNANGMRLGSGQEMETPQCLVNSIGLTHKFKVKVTPYNFTAKRQIITATRVSVLQYPHLLILQGYTGHPHRCLRCA >A06p038550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20742381:20747400:-1 gene:A06p038550.1_BraROA transcript:A06p038550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNESGLHFSRTNGITKLDNYGDTALSLKCLGSTRYNHKLCSDVSNCPDGGCGLVLGLGPTPPSYYYNVNKVSASSGTFQELSSGGNSILQLGPPAVTTMDAFSSLDCSLLTYTETNMSQADEGSTSAKRSGGYMSSLLFAPRTETVRKPSRMQECSNNSQLSHHESEFSERTVSATSSQHRTTNPKKCKFMGCTKGARGASGLCIGHGGGQRCQKAGCNKGAESKTTFCKAHGGGKRCQHLGCTKSAEGKTDYCISHGGGRRCGFPEGCGKAARGKSGLCIKHGGGKRCRIEGCTRSAEGQAGLCISHGGGRRCQSPGCTKGAQGSTNYCKSHGGGKRCIFAGCTKGAEGSTPLCKAHGGGKRCMFDGGGICPKSVHGGTSFCVAHGGGKRCVVAGCTKSARGRTDCCVKHGGGKRCKSIGCEKSAQGSTDFCKAHGGGKRCSWGGDWKCEKFARGKSGLCAAHNKAERPATETNNSRSSNGRNIFDFMIPEERVHGGGLMSLLNGSMNQTLLSCAPSNLVFSKTRDMMEKDESFVYNEQSPQSNQTWPMDMIRQRRFQHKMSSLLSPVTPKRGNYSVSSAKSLLKKYSKSDPVPRKQRFPVSCSDEGVLMDGVLVTSASEKKFSDSPSRLSSLGRSPRSSLVRSQHKNSSPRNLSGGNEEDTTRSQTCSGGKVKILANSPCTKLETPKATSSSNLNPTAEPFTFTPRPSQGLASPFPVRQLPFDHGPLIQWINPPPHQQLRPSNSHLYQPPSYAYPRSLQVHDLYLTHHPFRSPRPIGYQMGYDPRIISLLPTKPLATNTSKPPVHTPISTTSSANLSTGNTTHDSRLDSSLSVDGGLETVTQKQDLSSPSGELETMTQKQGLLSPSDELVAMAAAEHKTSTHKPDYSPSAGLGLGLGLETVTTEKDWSPSDDGLPRFTQAQTDFMRSQDRVLPDDAFQHYIYRKRLPVFTQLCSDDAEGCDDTTH >A08p031030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19019388:19027304:-1 gene:A08p031030.1_BraROA transcript:A08p031030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQKTIASWMICFLLLLLLPIAFSEPTRNLKGTNARAPTVQQIRNKEVIVDNGIVRVTFSNPEGLITGIKYNEIGNVLNPHLRARGYWDITWQTENNSLPKLDRIEGTNFRIITQNEEQVEISFSRTWNGGSDHIPLNVDKRYIIRTNTSGIYTYGIFERQPEWPEVEMAQIRVAFKLNPDRFHYMVVADNRQRQMPTDDDRDINSGRAKPLAYKEAVQLTNPHNQMFKNQVDDKYQYSCEVKDNKVHGWISTNSNVGFWLISPSGEYRSGGPVKQELTSHVGPTTLTTFISQHYVGPDMETWYKTGEAWKKVLGPVFIYLNSDSTRNNLQHLLWEDAKRQTEQEVEAWPYGFVASSDFPTRQERGTITGRLFVNDRFLAPAGYAYIGLAPPGEAGSWQTNTKGYQFWTQTNETGYFTIDNVRPGTYNLYGWVHGFIGDFKYQNLVNVAAGSEISLDRVVFQPPRNGPTLWEIGVPDRTAREYFVPEPYENTMNPLYLNHTDKFRQYGLWQRYTELYPNHDLVYTVGVSNYSQDWFYAHVTRNIGGSTYVPATWQIVFELPYVNWRGSYTLQIALASAARANLKVRFNNEYSRPLLSAGVGRDNAIARHGIHGAYHLYSIDVPGRLLRTGTNTIYLRQSEAVGPFEGLINLKGTNARAPTVQQIRNKEVIVDNGIVRVTFSNPKGLITGIKYNEIGNVLNPYLRARGYWDITWQTENNSLPKLDRIEGTNFRIITQNEEQVEISFSRTWNGGSDHIPLNVDKRYIIRTNTSGIYTYGIFERQPEWPEVEMGLIRVAFKLNPDRFHYMVVADNRQRQMPTDDDRDIHSGRAKPLAYKEAVKLTNPHNHMFKNQVDDKYQYSCEVKDNKVHGWISTKSNVGFWLISPSGEYRSGGPVKQELTSHVGPTTLTTFISQHYVGPDMETWYKTGEAWKKVLGPVFIYLNSDSTRNNLQHLLWEDAKRQTEQEVEAWPYGFVASSDFPTRQERGTITGRLFVNDRFLAPAGYAYIGLAPPGEAGSWQTNTKGYQFWTQTNETGYFTIDNVRPGTYNLYGWVHGFIGDFKYQNLVNVAAGSEISLDRVVFQPPRNGPTLWEIGVPDRTAREYFVPEPYENTMNPLYLNHTDKFRQYGLWQRYTELYPNHDLVYTVGVSNYSQDWFYAHVTRNIGGSTYVPATWQIVFELPYVNWRGSYTLQIALASAARANLKVRFNNEYSRPLLSAGVGRDNAIARHGIHGAYHLYSIDVPGRLLRTGTNTIYLRQSKAVGPFEGLMYDYIRLEEPSTA >A04g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1624235:1630393:1 gene:A04g500510.1_BraROA transcript:A04g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HYSSLSSPPEIDIIFSLTLNCSSPRYYFTDRRIGTGKAFFSSYSGKGDSGTFTILLHICAVDRPSPSPELHSICIWNSLASTYSISSLCQSNWLHCFHDPLADSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINQLLKSGPRGADMGATYGFSPARHSSPFRGQNDDFNYTEVNPDRHTTPSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPGKQTESTHGAELRAQTGVHHGGDADIGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDSSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDDRGDATVANKGEDADKDDVSITKVQAGDKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPPVRKKDGNKKVARQTDDNPAPPKRGKKVAAEPSNPKPLRQEIHTFIGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSMILVKDRHWIGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPHMVSRFCLTSRARELNYLPFPISRLDIPVILEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLCMFKVVPPNPAV >A03p005940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2479775:2481765:-1 gene:A03p005940.1_BraROA transcript:A03p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRIPDPTQLRPLRSISHPSHLSLRLPLLVSSSHATSLLVPAHSNPPPLPYLSLQGLLDEASSARSIGGGGGLSRDETLAWELFTPYQRFLIVAVIGVAAAESKKNDVIAQLQNSVDLRDQLLSCMQQKLDNLCQQLSLTKDQSGDGSKVVDLDGDLQQTFKEKFGSEKVKFVECGCWLCDQHHHSSPAIQDKAPAGMVIDAEPEERRMSYLSDWCSSDTTAAEMHFDNLSLDQDMLSLRKECQEKDETIKDLTSFLQLTNKAGSKRETELEEIVRRKKIIIKKLKRDALMLEEKVTQLTRPRRWSCSAAIPSTREFPMRLDNLLYDVDASTSSSSSDSETPPAYTPRPTVLEDAPVDSIKEEPSALGQTQKSAPDKSLVSLVKAVETPSVVSPSTNGKPVSVSSSSSSPRMRRASSSSGDSRKPRRPIQVAPRASFGSSKRWV >A02p036380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20807755:20809954:1 gene:A02p036380.1_BraROA transcript:A02p036380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPSERLSLMEGCQVEISYTNNRFKRVWYSATIELERQTKPKSRFRQRCVRVLKDDSLTPLTVFTHKASFRPIPTNRYEDRVEIKEGSIVDADHKDEWWVGLVVKQIGDDKFLVLFDSPSDIVRFKREQLRVHLDWVDETWWVLPGRNVQFLRQLHEKPMFISGAMVEVSDKIDKGEVVWVPAIIIKEIVDNEDEDEEEKEEEEEEEEEDEKKYVVKVCVNPSSFEGIKKTPNKRFDMRSIRPRPPPFSAEELELVDYIEVFHGTSWRQGRVIGRVFRGRCKVLLEATNKMLSFKISDIRPSKVWEDGVWKPRESPSTQGSVDEILVVHSLQYLTVHERIDSGNTFSPVSNSPPVTPSPSITATPLIQTRENGTREDNNRKRKREQKLSSVEETEARDITMVLPFKKKLPIWKTVESMEVFKTFPQSPHFTPLLEIREDAREMSAVGMLLTFSGLLEEVKSLKLNNPISSLNSLSASFAELEKHGFDVKVPMLRISKMLSLIDRQAKKMEELEDCEKVTAEKESIKVENERKILELQKLNEEADKEIAQSKSCEATIGQQLEDVKLQFHTTASAPW >A04p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18757777:18758624:1 gene:A04p032110.1_BraROA transcript:A04p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPKKQTMVVVLQPVPKGKTSSVLIPVVDLADPTSKTQIVKACEELGFFKVVNHGVRPDLLTQLEEEATKFFALSQSLKDKAGPADPFGYGSKRIGLQGDVGCVEYILLNANSHLSSTKTTAVFRQTPAIFREAVEEYMEEMKGMSSKVLEMVEEALGIEPKEKLSRLVKVKESDSCFRMNYYKEKEETQAKEEIGFGEHTDPQLISVLRSNDTESNIIP >A03p015690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6185021:6187564:1 gene:A03p015690.1_BraROA transcript:A03p015690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLSQEEDLQFFDASSPSGFDFDPGSVVERRKKFLEWMGLEQVLVQPKNSDAESGLDGDSVEAAEQRSGGCSFSSTQVSSSGSSEELSLRVDKNVGGCDATRRQSSSMASCSDPTCCQVMETEKQSTIFKKGWLRRLRSMGCSTGTKIQSGVLSRVKVKHYKKQTKELSALYHSQDIKAHNGSISSMKFSFDGKYLASSGEDGVVRVWEVTEDKRSTLQRDYLNPSCVYFELNDHSQLKPNKTTEKFKKTSDSVCVVFPPKAFRIMEKPLHEFRGHTGEVLDISWSKDNYLLSASMDKTVRLWKVVTCVQFNPVNEDYFMSGSVDGKVRIWNISGCNVVDWADVKDIISAVCYRPDGQGGVIGSLTGSCRFFNMSGEYLALDSQIHFHNKKISPNKRITCFQFLPQDPSKVLVVSADSKVRILQGSDVVRRYKGSPKSDGKYIVSAREDSNVYIWSNGKESDSFSSYSQTKRIRSFERFSTNASVAATWCGFSDHNRTIPFSSPPCLSLKESGSVPKGNATWPEENLTENPLSSMTASQYKFLKSSYQRATSSSLAWGMVIVTGGWDGRIRTFQNYGLPVTVFEAMVGPKGNTRTVSAIVQ >A04p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4085359:4087571:1 gene:A04p012930.1_BraROA transcript:A04p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILAASPTCQMRLTKPSSIASSKLWNSVVLKQKKQSSSKVRSFKVMALQSDNSTINRVESLLNLDTKPFTDRIIAEYIWIGGSGIDLRSKSRTLEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFRDPFRGGNNILVICDTYTPAGEPIPTNKRARAAEIFSNKKVNEEIPWFGIEQEYTLLQPNVNWPLGWPVGAYPGPQGPYYCGVGAEKSWGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEAGDHVWCARYLLERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHMEHISAYGEGNERRLTGKHETASIDQFSWGVANRGCSIRVGRDTEKKGKGYLEDRRPASNMDPYIVTSLLAETTLLWEPTLEAEALAAQKLSLKV >A05p039680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24270233:24279167:-1 gene:A05p039680.1_BraROA transcript:A05p039680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLIAQRALEMMNRTTINGKEIRAIYHVHDPSLLRKGWVGCILIKNLEESIGHKALYDTFRSFGSILRFKLATNNDTGRSKGFGFVQYENEESALKAIGYLNGMHLNDEKIYVGPFLQRDSPYDKGFFTDIYVKNFSKSLADEELEKIFGEFGPTTNCLIIRKGEVRQGKSKGYGFVSFENPEDAEKAVEALNGKKFEDKEWLVTKSKMTYKNGYNLKRGLKYYINISQGLNYLCVKKLHKSVTDEILKDYFSPYGTIISCKVMQDSSGVSRGSGIVAFSKPEEASKAMEEIDWKMKIRKQVYVDLAISLANATPEQQRTRLGESLYPMVEQLEPESAAKITGMLLEMDQTKVLHLLESFVALESKVAEATAILRTVKEQQQQQHRGGSESASGSKSSLSPDSKPSLSTSFISPKPKSLARVNSRLSIGERSVVLLTNRFDSFE >A03p016740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6675018:6678514:-1 gene:A03p016740.1_BraROA transcript:A03p016740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial intermediate peptidase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G51540) UniProtKB/Swiss-Prot;Acc:F4KDA5] MWKLTSRFRPHINSNSWLIRHFSSGDATGLYGFDHLKTAKGFQRFVADAIERSGELVSYISGMPSSPDIIKAMDEISDTVGVCCVVDSAELCRQTHPDREFVEAAHKAAIDMNDYLHQLNTNQTLYAAVRKAEQDSNLLTEEASRTAHHLRMDFERGGIHLSPEKLDKVNNLTTNIFQLCSEFSGNIADDPGHVDIFPVSRVPRHLHHLLTPVYGGSPRGYKGSAHASKHRGFRIPTDQRTLSSILQWTSDEEVRKMVYVEGNSVPHANHGVLEKLIASRHELALMMGCNSYADFMVEPNLAKSPKVVTSFLQELSRTVKPKADQEFIAIRDFKREKCGDKSAELEPWDETYYTSMMKSSVNDVDTSVVASYFPLPQCIEGLKVLVESLFGATFHTVPLAPGESWHPDVIKMSLHHPDEGDLGYLYLDLYSRKGKYPGCASFAIKGGRKISDTEYQLPVLALVCNFSRASDSSVVKLNHSEVEVLFHEFGHALHSLLSRTDYQHFSGTRVALDLAEMPSNLFEYYAWDYRLLKRFARHHSTGETIPEKLVKSLQGARNMFAATEMQRQVFYALIDQMLFGEQPETPRDVSKLVAELKREHTSWNHVEGTHWHIRFSHLLNYGAGYYSYIYAKCFASTIWQSVCEEDPLSLSTGTLLREKFFKHGGAKDPAELLKDLAGKEIISVHGEGIVPATTCLLNELKL >A01g506520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18917834:18918103:1 gene:A01g506520.1_BraROA transcript:A01g506520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLELERRHEDHERGMEKTTNHLHRQTVNSKKNDLTWRSKLGQQLQALQLLWQLKTQAISRL >A08g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17348742:17351712:1 gene:A08g509040.1_BraROA transcript:A08g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSKKSSGLLGSRLDFSERFGFSDLEDFCDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKTSGTTYLLVVWKSSGSLVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLELSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKFRIKILSFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A02p033170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17558825:17563780:1 gene:A02p033170.1_BraROA transcript:A02p033170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQWAPVIIMLISSVAMGSVNALVKKALDVGVNHMIFAAYRMSISALILVPFSYIWERKTRPVLTFMLLCEHFISGLLGASLMQFFFLLGLSYTSPTVAMALNSMLPAITFALALIFRIENAQKLKSRAGVLKLIGTLICILGAMFLTFYKGLQVSNPHTHPEAIDNITLHNNGHDQAKKWLLGCVYLFTGIVLLSLWMLFQGKLSVKYPCTKYSSTCLMSVFAAFQCAILSLYKSREVEDWIIKDKLVIFVILYAVSFSYIIIYAKKNNT >A01p016350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8082356:8084523:1 gene:A01p016350.1_BraROA transcript:A01p016350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHKHNTSPISTLSFSSHYKYSLFATSLDLKRQRFTSPLLLIFLFLCLSFATSMAVSDSDSDSGPVVQTTPLVTFLQRVQLTALRSYSKKPDPKFYIDLSLKLPHDLSTAESAFDDLTTGSRDLSVPVEKLEKFVHEYFDDAGKDLVHHEPEDFVSDPTEFLLNVENDQVREWAREVHCLWRTLSCRVSDSVIESPDRHTLLPLPEPVIIPGSRFREVYYWDSYWVIKGLLTSKMFTTAKGLVTNLMSLVETYGYALNGARAYYTNRSQPPLLSSMVYEIYNVTKDEELVRKAIPVLLKEYEFWNSGKHKVVIRDASGNDHILSRYYAMWNMPRPESSVFDQESASGFSSTLEKQRFHRDIATAAESGCDFSTRWMRHPPNFTTMATTSVVPVDLNVFLLKMELDIAFMMEIAGDRKGSERFVKASEARKKAFETVFWNEKAAQWLDYWLSSNGDEPETWKAENQNNNVFASNFAPIWISSFHSGKTMSTCLFAHIY >A07p002920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3519086:3520561:1 gene:A07p002920.1_BraROA transcript:A07p002920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPASSDSNSGGKNLVVYDEPTSVLGLRRSPTPTTVEEKPLVSAASGGDNQFPSDDHAMRSMDWDSIMRELELDDDSTPTLKPNFPPPAHFAVVDPPLPVFPDQLNPAEYGYDSNNEGGGGFDIIEDLIRVVDCVDSDELQHAQVILSRLNQRLRSPAGRPLLRAAFYFKEALGSLLSGSNRNQNRLTSWTEIVERIRAIKEFSGISPITLFSHFTANQAILDSLHQSSSPFAHIVDFEIGFGGQYASLMREIAEKSSASGGFLRVTAVVPEESAVETRLVKENLVQFAADLKIRFQIDFVLMRTFKMLSFKAIRFVEGERTVVLISPVIFRRLNGISGFVNDLRRVSPNVVVFVDSEGWNGISGAGSFQREFVSGFEFYTMVLESLDAAAPNGDLVKKIIEGFVLRPKIAAAVEAAAVNKRQGGGEMTWREAFCAAGMRMVPLSQFVDFQAECLVEKAQVRGFHVAKRQGELVLCWHGRPLVATSAWRF >A07p033670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18410804:18412850:-1 gene:A07p033670.1_BraROA transcript:A07p033670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNLKVDPLAKVAASTTSTKNQSEPYYETLETYQGLPCPYGGYYGFYYPSVDGSLGEAKDNGYYGYGTDVQYPVMQGENGSLIYMMPGFQSYDVSPTYMPISPAGVSSQALHSPMYAAQGYYQNQYGYGDLSSPTYLWDPVGENYVYGVASNNQPMKQNISSSSSHNYSNYYSKSKTSFTGHGMGGRPRTPLKSSYAPLPPHNQERGGPLKKKYGASNRDETEKLKARNKENGSSVSENVQEDGECESCLLDGEGKGRSNGVGYVIKRDQYNLPIFQSKYEEAMFFVIKSYSEDDIHKSIKYNVWSSTLNGNKKLDSAYQESQKKVAEKGGTCPVFLFFSVNASGQFCGVAEMTGRVDYEKSMEFWQQDKWTGYFPVKWHIIKDVPNPQLRHVILENNENKPVTNSRDTQEVRLPQGNEVLNIFKNYAAKTSILDDFDFYENRERVMVQKKLRFNPVSKKQEDLVADMQTMEISDTVKEENTDITGTLDSLK >A08p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15180157:15181669:1 gene:A08p023060.1_BraROA transcript:A08p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAYMDEGDLEAIVRGYLGSGDAFSGESSGGFSPPFCLPIETASFYEPEMETTGLDELGELFKPFYPFASQTILTSSVSVPGDSRSFRDDKKQRTHGCLQSNGSRVDHIRIPVSKSKKSKKNQLKRVVEQVKEENLLSDAWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERNPQNPEKFTITYTNEHNHELPTRRNSLAGSTRAKSSQTKPAVTKKSVKQVVSSPTSNPMITSTDVSSVAVQDMRVAETSTYQITVETKGTSNTLPSDLLSGSGTFPTCTGDFDELLNSHEFLNGYLWNN >A02p017870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8129993:8130373:1 gene:A02p017870.1_BraROA transcript:A02p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFDNEEQVLCSSTMRLEKASIINLIFDVYDDVNHVYHDGVDEDPTSDILDEENDASFIGIQEVDVITLNLLTMFRRLANLTLKLVLQIRVEKSLASHEIWVTPKICFFKMISSSLRGPKFCDLQ >A08p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4997347:4998636:1 gene:A08p007590.1_BraROA transcript:A08p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINRDVLMLREDQAPNVEASLSQSDPRKISMVGIKPHIPDLNVKPCSDSNEEEKGEIAKEFQNLAGLKIHDACYVDHKLLYELEVEIIARLPCFEYWKLQFLNKKFLQLLKSCEIFRVRQEKGLAKPYVILHSGAVSNWEMFDKDFKTFRRLPKVPSSDYCFFYSDKETVSVGTQLIVIGREIDGIVVFRYELENHKWFKGPSMITPRAMYGSASHGKTAFFAGGIKMDENGNPVVVQTVEKYNADTKMWTMINGMHKARKFSSGCFLRGKFYVLGGRDDNDKHLTCGESYDETTNSWELIPDMLKDMTVIAHSQSPPLIAVVDDNLYMLETSLNELRVYDINTNIWKKLGVVPVSANAAFGWGIAFKSMGNRLLVIGTSHSRHRKTVVHSCRPSPNVKEQHWEEIKHWCIGAELPQFIHNCCVMFA >A09p079770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58609671:58611915:1 gene:A09p079770.1_BraROA transcript:A09p079770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMPLLDIQPRTLKFPVDLKKQTTCMVVLTNTTDRFVAFKVKTTSPKKYCVRPNVGVVAPNSSCEFSVIMQAFKEPPLDLACKDKFLIQSTDVPADTTDEDITASMFSKGEGKHIEENKLRVTLVMPSDSPELSPVKGPTKEEAVFEDSILKDRSYGQSEILPPPQYESEIVKEPRMVGHDELKASYGAKELVQPKKGVTGFMEDLNPANDLKPTHNLDTPTAMDFPGDKGFTNGMTYPEVVQLEKRDGQKINASDEHKLVKDIEEMKVKVKALESKLQQADSTISKLMEERSIGSQHRESLQQELADLRTKKIVKEKHIGFPLLFVCVVAFINIVIGYGLRT >A07g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12920827:12921296:1 gene:A07g505680.1_BraROA transcript:A07g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAWSSFSSTEQTPSNQTTPHGDSTQRLASSLLCALISYAATKSPAFSFLSAASASHETLHRLSVIELAAFVDLTLWHHFGFIAANAVILETVKGYSSIHIVDLSLTHCMQIPTLIDSMAAKLINKEQSPPLLKEQYRS >A05p032500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17860740:17862351:1 gene:A05p032500.1_BraROA transcript:A05p032500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTTFTKVFVGGLAWETNKVSLRNYFEQFGDIVEAVVITDKSSGRSKGYGFVTFCDPEAAQKACIDPAPVIDGRRANCNLAAFGVQRSKPSSPIHGHVGGGRGVKVTSPFKTHFGTAAALPSPIPFSHYTLPYTNPYGYSTFNLLPHLSKQTYNPLFKLHRFSSYSMDYNYPTSYYNVYGGATAQHPMYSTGPMTGVAAAAAAYYPYLQFAEGNGPVTGYAPLHYPNHMFHYSTGGNYPHHNASPVSLAPSPVIPSGLTAKAHGLLVSSPQDLWPNHGCPFPFRQEPINLECGHLCKFQLSLT >A03g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:841429:841791:1 gene:A03g500260.1_BraROA transcript:A03g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTIEQYYAVWNLLLARVQMAYPSTLQQIVHWLLNVTVRPRGAIYFIWRERNSRLHSGVNKPATQIVKEIQVQIRAKLLGMDKEISLSYQVRSRTQESFISTWFNQFQA >A03g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30423604:30425152:1 gene:A03g509420.1_BraROA transcript:A03g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGWRSDLPTALPIRKKRLEIFPRDIQKQVTEAKRMGTLPDLSAMLAAQLGLASGGGPSTAVPRAGEVPPSDAANTGKGRKRKRGNSGVEESAGEASGVPLSGDPQKKKKRRKKTKRSVEGDGAMNVVIDKYDTALKGVLTELELAKKEHAEKEEASARQLSTSKANVERLNGMVTRAMARRDELKADLAASHGGETPNRVTNAAEDGAPVLVLSDTSAEGSRRGNEEVARESSVRASELSTLNDRESDRED >A06p017750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8006204:8007669:-1 gene:A06p017750.1_BraROA transcript:A06p017750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKSPIQTVMSWIRRQPPKVKAFFAVVTAMTVLVFLKVIVHEHDNLFIASEAVHALGISLLIYKLTKEKTCAGISLKTQELTALFLAVRLYCSFVMEFDLHTLLDSATLVTTLWVIYMIRLKLRPTYMEDKDNFPIYYVVVPCAVVSLLIHPSTRHHIINRLFWAFCVYLEAVSVLPQLRVMQNTQIVEPFTAHYVFALGIARFLSCAHWILQVLDSGGRLLTALGYGLWPIMVLLSEIVQTFILADFCYYYVQSLMGGQLVVRRSLSLYGSSFLLRFHDYIQL >A01p004920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2127221:2128187:-1 gene:A01p004920.1_BraROA transcript:A01p004920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIASILLREAAELRRQAEKEGVRAYLEKPNVRHRPNSRFLTATVLGVQQVRSLMWLLPLRHAANKAVETDEMWRLRRAEVEFDERLKRKSREESCGSGQSEEGDRRSFGKRCTSVDENVAGSSPSSSQSRNKRWQRDGDDDGKGLGDVEVETFLQSRVKRGRGSVGPKMDEPFPCLHVPKPSRTSYTGGECKLVSQPEKRPILRHHTDSSSSSSSSDDEAHERTHRKRKERKQKRSKRHKSKEEKRDRKEKRREKKKRKHDRD >A04p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6542844:6552096:-1 gene:A04p011680.1_BraROA transcript:A04p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAGPAPETPSSSSTSPPPPSSSAPTGTEREQWGLLYPRGGVVEMEPSKHGALEIEESGAELSKLRRRCLNPYAKKHKKPVVSGYPVVNAEVDSMEGGGLSDTGAAAIQKAQADLRLEYHVREERRRELEFLENGGNPLDFKFGYATSQSVQSTSLIDKQAVKRGVKDSTASPPGDSVESSGRPGVSEPNTADNLLLFDSDNKSLEGDRNSQYPNKRSRTSESLRSLKVNHSRDKKETEDSAIFRPYARRNRSKINRDPARASSTELVQSRGGLATSLSLRKESVDVKGSDSEPGNHKTMQVPCPASATSNGNTLLEDVVPGNLFKTQDDEMVVRESTAATENSPVEEKVNIAYGETGLTGVKAHAVSASSVMDSLSAGCQETNSSQLNGLKVPRGEKECSKDSAAAEAKRLDRESSHANDVEVDVHTKVDLHRVDKSDSNSMPMQNASRVDEILDPTVCEMVNTKRDEAGESTIIISEQKSGYRSRSKSLKVENQDHTSTVESKWSETESKQEDDLAIPQNDIKVTSGLADASDSSLWPIMSQAAIETSPCRVRKNVLPDPGSTALEDQHSLDDSSRKANTLMEDSILEEARNIKEKRERIAELSLGTLPAEVRTRSQWDFVLQEMAWLANDFAQERLWKMTAAMQICHRAAFTSQLKFEDRIQQRNLKSLASTLANDVLQFWNSVEVLREQEETSLGTNTETVKELKSDSDDKRSASGVREYARRFLKFMKSSTPHLQGAAPSTPEHMCDPGIAETSWDDQLTEESLFYSVPSGAMEAYRGSIESHLVLCEKSGSSIQEEVETSAYDPAEGYNGYDEDDEETSSYYMPGALRYRKSNNLTHKKRKNSMKYHSARSYDHGADLPHGSYTGGANPLMDKRPASNLNVGSVLMKRNRTASRHRIVSGDTSGDTSSFQDEQSSLNGGSAVQKGTEVESSRNFEKQLPYDMAETSDKPKNKGSAYEQSWHLDSMVHGEQEHRKKRPQNQFNMNGLYGPHKKQKTVKQSLQNNFHSTIPSPAASQMSNMSNPNKFLKFIGGRDRGKKLKGLKISSGQHGSENPWTLFEDQALVVLVHDMGPNWELITDALKSTLKIKRIYRHPSDCKERHRILMDKTGGDGADSAEDSGNSQAYPSTLPGIPKGSARQLFQRLQGPVEEDTLKSHFETICSIGKKFHQRFIQNDCRDPKQIVPVHNSQVMALSHVFPNNLNGSVLTPLDLCDTSTSGEDVFSLENSGLSTLPVLPVVPASEANPSSNNLSTKSSPTSASARFNIARGSLPPEEQHRIQQHNKTSSGRNRQQPSLPTPAAVSGPEPGPRPPGGNAMSVNGTHRSSPLSRPGFQGVSTLAVPNSGNMLSSGMGGISNTGNNKSVGNSTLRPRGAMQHMVRAGKGNGLGIPALSSGFTNQTIPSVQAYPGHLSQQHQLSQQPHVLGNSLNHHLQSPNRAAGTQQQALAAIRQRHMAQRYLQQQQQQFPAAGAMPPHALSSRPQVTPVSSPQSSPQSQPLASSQPLSMPPSSNMTAIGHQQPLKPQLPVHGLGRNPQSGASKVNNQAGKQRQRQTQQQTGKQHPHQRQPTLGQQQNKPLKGGNIMHQSISVDDPSHLNGSTMSPGAQGTEKVEATVKAVPSQPSNLVTAVNTYTESKPLNPPAVVTPAVAKPNHQNLLLHQKQGNQPLLTSHRTVHQSHDLSKQPPRDPQAVINTTQTASIGVTKEVGPQASISSIPTVTVGSTAVNSPPKELDLPSCDSLEKNGVSKLSSSITNSSGSDPVPDMAKDLGISDHGDKAVTERQQEQLRQSPPLVQRTPQLSEQLLVQNQKHIPSEQQKQQPYLKTLELEAIHEKSTHRPPDTKVE >A09p071970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55363218:55364345:-1 gene:A09p071970.1_BraROA transcript:A09p071970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 18 [Source:Projected from Arabidopsis thaliana (AT2G21320) UniProtKB/Swiss-Prot;Acc:Q9SJU5] MRILCDACESAAAIVFCAADEAALCCSCDEKVHMCNKLASRHVRVGLADPSNAPSCDICENAPAFFYCEIDGTSLCLQCDMVVHVGGKRTHRRFLLLRQRIEFPGDKPNHADDLGLRCQEANRQKVSSSGRGQESNGNGDHNMIDLNSNPQRVHEPGSNHQEQGIDVNSTNNHEPVGVVPVGAFKRE >SC286g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000133.1:9741:9993:1 gene:SC286g500010.1_BraROA transcript:SC286g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALVTYSGAPNIRGNDQDFIRRSEMDALIKMLKENGWIELKTMNRLDMSTPLLEMLG >A03p031080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13087654:13088866:1 gene:A03p031080.1_BraROA transcript:A03p031080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable sodium/metabolite cotransporter BASS5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G12030) UniProtKB/Swiss-Prot;Acc:F4JPW1] MGVITPIESLLLKSQHRLLQPRDHSYPVFFHNTRRITNFPRNSFSPFSLGSSSVDFPLRSDPISQNDRSSYPWRRHVSESDTNEIYNKKVSIMETLKHANSFIPHVILSSTILALLHPPSFTWFKPRYFVPGLGFMMFAVGINSNERDFLEALKRPDAIFAGYIGQYLIKPLLGYMFGLIAVSLFNLPTPIGAGIMLVSCVSGAQLSNYTTFLTDPSLAPLSIVMTSISTATAALVTPMLSLLLIGKKLPVDVIGMISSILQVVVTPIAAGLLLNR >A09p061210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50514374:50520641:1 gene:A09p061210.1_BraROA transcript:A09p061210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNACREELVSGLSEELKRGETDSSGGSNNSLALGINNYTKNCQENREIIEATVTLLPSGERGSIIPLSFLLGIDLPELERRIGQQLESVRLDDLLIHSVGREEFIHRVDEEDEESGYDTDSTGHHHGSLLKVGRIMDAYLAEIACDPYLSLQKFRAIIEKLPDYAHMDRISELPDELLVKILMSVPTKVAVSTSILSKRWEYLWMWLTKLEYLHRDHCSEPGCKRLQSFLDRNLPLHRAPVIESFRLELCSSHFKPENISTWVSTAVSHCLRELDILHDDTEPAMSNILPSNLFTCKSLVVLKLVGEILLDVPRVVALPSLKTLKIQSVRYFDEETLQRLLSNCPILEDLVVDLRDYDYESAGQLTVAVPNLQSLSLYIPYCQDLDGFVIETPNLKYFKLMDHSISGHYCLIEKMPCLIEAYLEVNLPDMKSLIGSITSVKRLTICSEGIMMLGEGFVFNQLEHLEVCFCTLFSSDLVIELLLKASSNLKRLGFSFMEHHVPQGMVYWNQPTTVPECVLSSLESFSWSKYTGEPEERDIVVYILKHALHLKTATIKSYGSDVWKLEMLKELELSPRASATCQLLFD >A03p028950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12183836:12186074:1 gene:A03p028950.1_BraROA transcript:A03p028950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVYEAWKGSNKFLFGGRLIFGPDAWSLPFTLLLIITPVTLFSVFVATHLRHEFFSNTAGDAILVVAILFTLFVLILLFLTSARDPGIVPRNLHPPEEELCYETTVSADGRQAPTVQIPRTKEVMVYGVPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGVRNYRFFFMFVSSATLLCIYVFSMSALYIKFLMNNNQSTVWRAMRESPWSIMLMIYSFISLWFVGGLTGFHLYLISTNQTTYENFRYRSDNRINVYDRGCSNNFLETFCSKVKPSRNDFRALMREEPPRNITLATTWERPGEEEEGSSDLEEGRQKVEDDLDIDEDVMKLQQRSNAEEGSDPAHHMVEVDQMRVGSSERAPTIRSEVRHGNWGARSSAQEDVVSGSSVIRESRRYAAAEEGRPSWFGLRVVNMMVVWATVNKFIVSLVELNTK >A01p058560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33358567:33362444:1 gene:A01p058560.1_BraROA transcript:A01p058560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase [Source:Projected from Arabidopsis thaliana (AT3G03250) UniProtKB/TrEMBL;Acc:A0A1I9LT02] MAATSENLPQLKSAVNGLTEMSENERSGFINLVSRYLSGEAHHIEWSKIQTPTDEIVVPYEKMASVSEDVSETKNLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRDVLTFLDLIVIQIENLNNKYGCKVPLVLMNSFNTHDDTQKIVEKYTNSNVDIHTFNQSKYPRVVADEFVPWPSKGKTDKDGWYPPGHGDVFPSLMNSGKLDAFLSQGKEYVFVANSDNLGAIVDLTILKHLIQNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKKLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDNAIGVNVPRSRFLPVKATSDLLLVQSDLYTLVDGFVTRNSARTNPSNPSIELGPEFKKVSNFLSRFKSIPSIVELDSLKVSGDVSFGSSVVLKGKVTVTEKSGVKLEIPDGAMVENKDINGPEDL >A10p014040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4401194:4402191:1 gene:A10p014040.1_BraROA transcript:A10p014040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFYKFLPKTNDHILHQASREEHQGGFLAVVISRSCPDTKDPQVSFCFKRKSSLTAIFHGAINIYVLSINPSRPSHPLAKLERVT >A09g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10893004:10895875:-1 gene:A09g503430.1_BraROA transcript:A09g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIIWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSEHPGSRQDFLEVTTYNSVVHETTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVSIKSFKLVVHGGCGIDDNGNLVIT >A01p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10328606:10329967:1 gene:A01p021210.1_BraROA transcript:A01p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVMEEEEIQCGSTLFEQEELQEMSGVNVGGGYVEVMCGCTSHRYGDAVARLRVFPNGDLEITCECTPGCDQDKLTPAAFEKHSGRETARKWKNNVWVIVGGEKVPLSKTVLLKYYNEASKKLNRSNKSQGTKVFHRDEFVGCIECGKERRFRLRSRDECRLHHNAMADPNWKCSDFPYDKITCEAEEERGSRKVYKGCARSPTCKGCTSCVCFGCELCRFSDCSCQTCVDFTSNVKA >A03p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6237063:6240144:-1 gene:A03p015750.1_BraROA transcript:A03p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSNTSRETASLALSHFRYFFFNRLHTSSTPPRSSHRNKIASLKPTGGERRCYATEKVKGVKSALLCSQGDPPDLWQPPGDGASSLRLNTGRVGGGGGSAGVGNGAGSDSKEDCWGGSNLGSSFPTPKEICNGLDKFVIGQERAKKVLSVAVYNHYKRIYYESLQKRSSGETDSTAAKPADDDDLVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDIEKTISERRHDSSIGFGAPVRANMRAGGVTNAAVASNLMETVESSDLIAYGLIPEFVGRFPVLVSLSALTENQLMQIPDEGTGKDMIEAVVVDEESVEGEGRRGSGAKILRGKGALALYLSETTKSKDSPQTTKEGSEGETEVEPVVANM >A02g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13933551:13934539:1 gene:A02g504220.1_BraROA transcript:A02g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQERRNVVNLCVKLRSSHLLWKPGWLRNSVSVSTESHVSVVYLKVTTKAVARSHVRDCDSGTVRNFLEDDRHGVGRVGPSIQVFIYITCLRKGKKILEEKGISLKHKLNPSLSFQAYGKVLDIKVLEIQAIIDFDSLSHIVIVKLFTGIFREETIGETTRSMTL >A01g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21306389:21307118:-1 gene:A01g507370.1_BraROA transcript:A01g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYVIGDFINMLGSVAINFGTNLLKLRPNQRERLALQDNGGGETQLIPHIQFQTWIKRMRTSEEPPIFSELFLDVFGTTCSDGMKGYLTPCLGETHPPIFRSPVKGMEGILTNQLM >A02g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13039790:13040729:1 gene:A02g503930.1_BraROA transcript:A02g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTTSFWSEKLRKFAAFLTLSSIIHHRRCKTSLIIFKIHAAIKLSRMSEKAFTRSFNSLQNISNKTRSIKLNIKALAVQSGRGRVIKSEQNMLSFRVLHVNQLCILQCHLVRDQRNLR >A10p016660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2875600:2875977:-1 gene:A10p016660.1_BraROA transcript:A10p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSQNLSFNAGQAKGQTQEKASNLMDKASNAAQSAKESLQEGGQQLKQKAQGASEAIKEKTGLNK >A07g507820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21757595:21761928:-1 gene:A07g507820.1_BraROA transcript:A07g507820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYFIICYYNSKAPPFNQIQSVFNHMWGKENNLEIHNNLLNRSTIVRIPNAYLKEKILDKCIWYVCDSMFHTAQWSSEHSEATPPPRAIKIWSHLTEVADTTCQAIRTRNKDEKNKKSKREKNRSYSEFTFERYNKLPKIALSVKFPLKTFSPCASRLGLLIYSSEVIFMFICGNLTFMCGNLTFIFSCRLSINRHSIYGLFLKKIKKTLKRGTSRGSSSEGVHDDGILVPKAEFVPHSMDPADGGSITPPIEKSFPVMNQRSVEKGTPSKSTSEFYKAVRGFCRISDAVEFRIPCRGKSVDNPPEGFFLVRCCLWFPIPEIIVRVLDRFEVSISQLNPSSFQHLIGVMLLSYEHGLSLTTDHFEEIFRLQLVSKPHLYRLVARKYMTVIKGLISNSNSWTKFFFFVRVNAASVEENCIILFLSKPNDSPFINPLYPFPEDVIKMRDLLRNGPFFWTFFTHRRVCNALRLVHPDLGVGVEADSDSESDDPTSCDVPAEETNVRSSKGNGIDLGDIDFSVDDSILLGWDSDLAYGDGSGSRAVPIPNFNELFAGLPSSFDPPSSVDELGRSKVVAEGSHIQLALETSHREDMVYRFKAEKAEKDLARVQNESLERDSELAKDNAKAVRQAERRGRREIVEVMRNRAFQLKAEYENLKEAYSSVGDFRGSVGTL >A08p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13152145:13160807:1 gene:A08p019250.1_BraROA transcript:A08p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFQNQNLHLAGEANTKFPPVSLPRFDFDEHLRFDSLVETEAFLGIEGNEDSNWIEDFSRGSSGVVFSSAATESCAISRHTNVWSEATSSESVEMLLNSVGQDDQVIVREKDNSIRKSGELGCTMDQFEPGLETILSKEETPTNPSVDDTPGDSCKTDAAQEQVPLKDDSPTLVEEEPEDNAILASNTAAVPVEVVDTACHDKIGTETTHSLLDQTVAENNAVLAHVSSAGLDSVGTETTDSVHNQTLTEEASMEENSVVLPSDTGTVEAVDTGGHANIRTETTDSLLDQTEDEANTESRMEIDCSHGTVQTGVSASGELNNHNQTTLLPEVFNDENDISDHTAKSDLKDMELSDVTVLERGDQALSALEVAEPDVSGTQCQDLPVSSANTSATVEASLELTGVLPNTTSSEHESTFQTQTHTEILRVETSESVNVSLMDSMVESTYGDVSTKGDNKEGSARISYLKQSMELAVNANDRDQDAKSSQVLSESFVSESVGYVSRDSASKLVESNSQSDTIPKEIPGTMIDIKECEAFPLKPEESQHLSQDGASAVSLTSSVDLHMVTTSSEANEQVNFFETEKVLSGEPENCQTVSPVEASNSGIHIAQQPSKHTEDTQQSTQFLHGCPTSEGSKDAVDADAAGQVLPQQCEETILEKNLTEVVNVPETRSILDKDALNENSKASSLANLRSEAVADCQEEDKTAASGRIMTSATSVSYPAGTMIDGKECEAFPLKPEESQHLSQDGAPAVSLTSSVDLHMVTTSSEANEQVNFSVTEKVLSGEPENCQTVPPVEASNSGSPIVQQPRKQTEDTHQSTQFVEGCPASEGPKDAVDADAAGQVLPQQCEERSLEENLTEVINVPDSQSVLDNDAINENPRASSLAKTATGGIKTVATPVSHPTDSWNKRMCTHPKYIPVLADIAGGVIEVGVSCASTSSEPFVKSHVTGTENAATDLGSHVISSPARKMTELQLNKTEDQNTLSLMATESPVLDRNPTSSSGLNLTSDTRKAVEISETTLVSPMVVGSLSKSSLEKTAAKSSKTKSERKPRRTPKSAGKETSRKGNSVKGAAPFQHFQSAGQANAVNQSSGSSIQITHSTEKQQSLQTPVLNSFGTLSAPTTSLPDMNSTAPSSIFRRPFTDSQQVQLRAQIFVYGGLIQGTAPDEAYMISAFGGADGGRGTWEKAWRACAVRAQRMRVSSPETPLQSRAGKTETPSMSHTSSKVSSATKPIIPLSSPLWSLSTPLETLQSRSIQRGSAAAPLPSSSHAHQAASVTNIGHNTAWMSPLPYPNPWLASPQTSGFDVGSRFPVFPITESVKLTPTKESSLPYSGGKHVLSGTSGNVFKGTQTIEPASTVVAPAQHSTGTKSRKRKKMPVSVESDPSILNSLQQTEVVVSPLVSISTPVPITAAPGSLTSNAGTLPSVDSISAVPMNLVSTFPGKKMKSSLQSPIFGGNLVSEVKQRSVLPADTIDKLNEVKMHAEDASALATAAVSHSEYVWKQIEQQRHAGLQPETQGRLASAAVAIAAAAAVAKAAAAAANVAANAAFQAKLMAEEASLPSVSYQGNELHKSNDVLTQGQGTPASVLKGEGAVVSSSPFLSAAREAAKKRVEAATAATKRAENVESIVKAAELASEAVSQAGILVSMGHPPSLNKLVEVGPSNYWRQAQESEKVQPCKVGVLEKETETTSDRGFASPSTAHTELDGSVRAADGLGLVSATGKKTNGQKGHISADVAKHTAVVFEPEVGSKSSIDTQTESEQIMKKTNDECIKEGSHVEVFKEGPELRTAWYSANVLSLEDGKAYVLFSDLSVEQGTDKLKEWVALKGEGDEAPKIRTARSITALPYEGTRKRRRAAIGDPVWKIGDRVDSWVHDSWLEGVITEKNKNDENTVTVHFPAQGETLTIKAWNLRPSLVWKYGRWIECSTSGENICSSHEGDTPKEKRPRLGAPSPVAEGKDTKMETVVDPDLGKPPQTGVLDLGVSETTFNIGRKEGNPGPLRMKRTGLQTQGAKVIYGVPKPGKTRKFMDVSKHYISEASNQTRKQKEPAKAVKPIVPQNPGPGSWRLPSKPREKQTTTTTKPKTFKPAPKTKEKPVAAPRIIPRKDSRSTTSSNMESEDAVGQSGENKGPASTSRDPAKGTGEEQITSSSQQGQDTCSQSSTTGKGKVAPTAGRLAKIEEAKALDDNSSKTSDGMEPRRSVRRIQPTSRLLEGLQTSMMTSKIPSMSHSRSHQSQRKKQVGDGSSKS >A03p004170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1794185:1796324:-1 gene:A03p004170.1_BraROA transcript:A03p004170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLKSSSKSILLNILRHNIGFSSPSHASRHLRHNLPHGPLTTRLSPNPITRYCNTMVDTLSSIGSHEDTNSQVMDFPGGKVAFTPEIHFISESNEERVPCYRVLDDNGQQLTNSQFVPVSKEIAVKMYSDMVTLQMMDNIFYEAQRQGRLSFYATAFGEEAINIASAAALSPDDVIFPQYREPGVLLWRGFTLQEFANQCFGNKSDYGKGRQMPVHYGSNKLNYFTVSATIATQLPNAVGAAYSLKMDGKDACAVTFFGDGGSSEGDFHAALNFAAVMEAPVLFICRNNGWAISTPTSDQFRSDGVVVKGRAYGIRSIRVDGNDALAMYSAVHEAREMAIRERRPILIEALTYRVGHHSTSDDSTRYRSADEIEWWNKARNPLSRFRTWVESNGWWSDEAESDLRSKIKKEMLEAIRVAEKTEKPNLNHMFSDVYDVPPLNLREQELLVRQAIKSHPQDYPSDVPL >A09g518190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55579968:55584291:-1 gene:A09g518190.1_BraROA transcript:A09g518190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPHVWLWTMLLAFVLTWMIFHFNNQRKKKSMLKLAEAATEEIREGVADIIIVGAGVGGSALAYALAKDGRRVHVIERDMREPERIMGEVMQPGGRFMLAKLGLQDCLEGIDAQKLTGLAVYKDGKEGVLPFPVENNTFPYEPSARSFHNGRLVQRLRQRASSLPNVHMEEGTVKSLIEEKGVIKGVIYKNTTACQETTAFAPLTVVCDGCYSNLRRSLVDSSVSIYILL >A01p001430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:573527:573715:-1 gene:A01p001430.1_BraROA transcript:A01p001430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVKSGEWMCSRGDDWSFVVDKERRGRMVTLATTTTLKQLKIMVCEDYAVDHNAINFFF >A04g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1182225:1184298:1 gene:A04g500300.1_BraROA transcript:A04g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRFLTLHSVVRCLMVVLTVMVTNIVEPVDGKVNISGQQKQEIEFRLKQLNKQALNSIEMTPSSYPHEVLAEENNAPAPSNDEEQPENIVHPWQLVGECPGNTIPIKRITKEDLLRVDDIMNYGKKLNISRPHQFYQPTDTSVDNGHEYAITYVNGGPYRGTKAQINVWKPRIEAGESSISQIWIVGGKFGPGLNTIEAGSHVNPTLYGDDNPRFFIYWTKDNYQNTGCYNLICPGFVQINKRLTPGYLLTPISTYNGSQFKFTVQIWKDPKTGNWWLQLNEQELIGYWPKELFTNLADEGASTIEWGGEVVNTKKDGQHTTTEMGSGHFPSEGFGKASSFALIKIIDMNNGIIDPVGVQTLVSRPTCYDIKTGYDKLYEVFFYYGGPGRNPECL >A04p026830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16128305:16130117:-1 gene:A04p026830.1_BraROA transcript:A04p026830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQKQRRTEKGLCFKHYYKWILCFSLTLYFVASFFVDHDQEDHPSSSLSPSNPLITNPKPKPLPSRAMFESKIHNQRLSFPLQRPNIRTDVFNNLKIYVYDLPSKFNKDWLANERCSNHLFAAEVALHKALLSLDGDVRTEDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLINEAIDLVSAQHPFWNRTSGSDHVFAATHDFGSCFHTMEDRAIADGVPRMLRNSIVLQTFGVTYKHPCQEVENVVIPPYISPESLRKTQKNIPAAKERDIRVFFRGKMEIHPKNISGRFYSKRIRTEIWRSYGGDRRFYLQRQRFAGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVRWPDISLTVAERDVGRLGDILEHVAATNLSDIQKNLEDPSVRRALLFNVPPREGDATWQVLEALSKKLNRSVRRKSNDVL >A09p068760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53768451:53769280:1 gene:A09p068760.1_BraROA transcript:A09p068760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF778) [Source:Projected from Arabidopsis thaliana (AT2G26070) TAIR;Acc:AT2G26070] MSRGRVPFTDLKRVYDVEQACSMPNTFQDDDDDDDDLWPLGEIDPKKLKFPCCIVWTPLPVVSWLAPFIGHVGLCREDGVILDFAGSNFISVDDFAFGPPARYLQLDRKQCCMPPNLGGHTCKYGYKHTEFGTAVTWDDALSASARSCEHRTYNLFTCNCHSFVANCLNRLCYGGSMEWKMVNVAVLILTRGKWVSASSVVRSFLPCAVVTCLGVLLVGWPFLMGLFSFSLLLVAWFIIATYCFKNIMC >A01p024590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12218657:12221713:1 gene:A01p024590.1_BraROA transcript:A01p024590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAT1 homolog 2 [Source:Projected from Arabidopsis thaliana (AT4G14990) UniProtKB/Swiss-Prot;Acc:Q94C98] MERSDSRYLYHNLARASSSSNNNSTLFDASQYEFFGQSLEEVELGGLDDDAASVLGHADDGDGYHLFDKREGAGLGSLSEMDDLATTFAKLNRVVSGPKHPGVIGDRGSGSFSRESSSATDWTQDNEFTSWLDQQTLEEQAYEPSWSSQPQQPSANPNSLHRTSSYPQQQAQLQHYNSEPIIVQESTFTSFPSPGNRTQISSPSHIHRAPSLPGSSQLNLPAPNSAFHLSGLSHGPPHYNSNLARYASCGPTLGNTVQPPHWVTDPGLLLHGDRSGLLHSLVQQQQQQQQLLQLLPRNGFTSQQLISLQQRQSLAHPAALQSQLYSSCPSPSRQEVREHKHKPSHRSRKNRSGGGLSQQASLSSQKSETGLQFRSKYMTSEEIESILKMQHSNSHSSDPYVNDYYHQARLTKKSSGSRVKTQFCPSHLKDHQSRSSRNSSSSDQQQPQVHVDALGKITLPHVCRPRALLEVESPPGSRHHLEQEPLVAARVTIEDAFGVLIDIVDLDRTLQCNRPQDCGSQLVRKRQLLLEGLATSLQLVDPFSKTGQKTKDDIVFLRIATLPKGKKLLTKYIQLLVPGTEIARVVCMAVFRHLRFLFGAAASETVANLANAVTVCVKAMDLRALSGCLAAVVCSSEQPPLRPIGSPAGDGASVVLVSLLERAAQVVKNSNDGLWRASFDEFFSLLTKYCRSKYETIHGQENAAADVLEVAIKREMPAELLRASLRHTSEDQRNFLLNFGRKGSSPPPVSELKTGARGGQVSSESVMA >A01p048200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27146197:27148830:-1 gene:A01p048200.1_BraROA transcript:A01p048200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGLGSKNSPITVKEETSESSRDSAPSRGMKWSFPNKASATSAPQFLAFRPSQENRHRNLGNYHLPHSGSFMPSSIADVYDSSNRSTPYSSVQGVRMFPSSKQHEEAISVSMSRPGLQSHYASGGTSFINNSVNSQPLVGVPIMAPPVSVLPPPGSIVGTTDIRCSSKPSGSSPAQLTIFYAGSVCVYNDISPEKAKAIMLLAGNGSPMPQAFSPPQTHQQVVHHARASVDSSAMPPSFMPTVSYLSPEAGSSSNGFGAAKAARGFRTTYLSNQTNASNINSSVAASCSANVPQTVALPQARKASIARFLEKRKERVTSLSPYCLDKKSSTDCRTPMSECISSSLSSAT >A03p062430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27142832:27150724:-1 gene:A03p062430.1_BraROA transcript:A03p062430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKTKPHKSKGDKKKKEEKVLPTVIEISIETPDEAQVTLKGISTDRILDVRKLLAVHVQTCHFTNFSLSHQVRGTRLKDSVDIVSLKPCHLTIVEEDYTEEQATAHIRRLLDIVACTTAFGSSKPPVPRTSPKESGNKDAGDSDSVLSPKLKESEKKLVGGGGGGVCEASQAAEGGDKGEINMCPPTRLGQFYEFFSFSHLTPPIQYIRRSVRPSIEDKGLDDLFQIDVKVSSGKPITVVASRTGFYPAGKQQLLCHSLVELLQQISRPFDAAYDALMKAFIEHNKFANLPYGFRANTWVVPPVVADSPSTFPSLPVEDVTWGGDGGGVGRSGKHDKRKWAKEFAILAAMPCKTSEERQVRDRKAFLLHSLFVDVSVFKAVEIIKNLVESNQLSPALAFHEQRVGDLVIRVARDDPDASSKLDRKSDGTRVLEISQEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGFTAIVKVAAEFNLDVGSLPLDIDIEDQSDGGANALNVNSLRTLLHKSSTPSSIAQRSPNADSEQIRVVKSLVRKVFEDSLQKLEAEPSRNTKPIRWELGACWVQHLQNQASNKTDSKNTEDAKPEPPVKGLGKQGGLLKEIKKKIDVKANKSEQGKDALANTVDNDNKSETEDQKELEKQNEEMEKMWKELVTEAAYQRLKESETGFHLKVADFGSLELSPVDGRTLTDFMHTRGLQMHSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVAAVENAADLAISIATCLNVLLGTPSDTESEYDEKIKWTWVETFISKRYGWDWKHEGCQELRKFSILRGLSHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDTDLKARDAQRKARLKVKGRPGQSPGPVSEDNQKDDEILSPTSITGESSSDKENKSDAKPEETKVDKLDLQPQDQLTLVKLESTAQVDDDSDEGWQEAVPKNRHPSGRRTRPSLAKLNTNFMNVTQQTTKSRGKSTNFASPRTNSNELTISAGGSTSQHAKKLLKSSSLNRKQNSSNIVGEKPVNNKSPLASSPSTEQINKPTLMVSPVTPQAGKLFSYKEVALAPPGTIVKIVAEQLPEETTAPETLDAAKVAVDGPEKVKAEDVVSENKHVASETEAKNADSKEQGGVAVGGSESMSSPEDIKAEKTAAEGSPTETAVSDASQGKSESVQTAEDSNGVKQHKDVSGTELKAVDGETEDLPNGDSSPKASVVADGEKQEACEAQKEMSKKLSASAPPYTPTTIPIFGSIAVPGFNDHVGILPSPLNIPPMLPVNHVRRSTPHQSVTARVPYGPRLSGGGYNRSGNRVPRNKPSFPIIAESNGEANQFIGPRIMNPHAAEFIPSQPWVSNGYPVSPNGYLGSPNGTEITQNGYPLSPVAEGAYPCNIPVPPQNGHTIAAPLATEIAEEKSGGEEESNKEKKAAEDEEVIAQEAPENGHSTEGEEKTTAQEISEEKGGGKCWGDYSDNEIEVTN >A07p050930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27097503:27099302:1 gene:A07p050930.1_BraROA transcript:A07p050930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWRERENNKRRERRRRAIAAKIFTGLRMYGNYELPKHCDNNEVLKALCNEAGWIVEQDGTTYRKGSSRPVERMEMGIGSATTSPCASYNPSPVSSSFMSPSYANLTTGDGQSLIPWLKHLSTTSSSSASSSSRLPSFMYLPGGSISAPVTPPLSSPTARGLNQINNSFFVSSTPPSPTRQQTVPDSEWFAGIQLAQSVPASPTFSLVSRNPFGFREDQLASAGGGGGSRMWTPGQSRTCSPAIPQTGDVPMSEAVAPPEFAFGSNANGLVKAWEGERIHEESGSDDLELTLGNSSTRCN >A03p065600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28971444:28977920:1 gene:A03p065600.1_BraROA transcript:A03p065600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTFSLAAATSSSSTSALSLRPLMAKLQLQLQCRTIQNFPSLSSSSVVRIDKVVRNVCKLQFKRDNASCFNLACALPSISSVSYAAHWSGSSLMSFGSSLRTFPGRYFSQVPNAGNKDKIFKKGNKKRKKHEVLASSGVEVVTSTELVIGDVSSVIKVDLATAASPANNGKKVSTVKPKRRPKSKKVEDKSSSTVLVSEEVSVEESLKAVPKPKTSASVNRKSSSAKYSFQKKVAKDTTVEEAKNSAPSNSKSTEASNPIASKGRKASPVKTKRQPKSKKVDDKSSLAVPVLEEISVEESSKIVPKPKRSGSGNRKSSSAKKEVAKSSSPSTKASNTPKQKQVPQATPMQNSIEHRGQNASKPLFPPSGKSVIVVESITKAKVIQGYLGDMYEVLPSYGHIRDLASRSGSVRPDDDFSMVWEVPSSAWTHIKSIKMALNGAENLILASDPDREGEAIAWHIIEMLQQQGALHESMTVARVVFHEITESAIKTALQSPREIDGDLVHAYLARRALDYLIGFNISPLLWRKLPGCPSAGRVQSAALALICDRESEIDGFKPQEYWTVGIKVQGKDSSSTVSAHLTSLNSKKLNQLSISSEADAQDIEQRIRSEGFLVKGIKKSTTRRNPPTPYITSTLQQDAANKLHFSSAYTMKLAQKLYEGVQLSDGNSAGLITYMRTDGLHIADEAIKDIQSLVAERYGENFTSDGPRKYFKKVKNAQEAHEAIRPTNIRRLPSTIASLLDADSLKLYTLIWSRAVACQMEPASVVQIQVDIGNASESIIFRSSCSKVDFLGYQAVYEDPEAKTIKTKDDEKSSEREETFETLSLLKDGDPLHIGEVQLKQHHTQPPPRYSEGSLIKKLEELGIGRPSTYASIFKVLQDRKYLTIKSRVLYPEFRGRMVSAFLTNYFTEVTDYSFTADMETELDNVSGGVTNWKGLLRDYWTRFSAYCKRVENVQIQQVEKMLEKKYEDFLFSSLPDPTRTCPSCSEGTLIFKVSKFGTGYFIGCDGYPSCKFIAKTLYGEDEDEDDSPRNTCVEEPKLLGLHPNTNEKVILKCGPYGYYVQLGEDKKGHLPKRANAAHIKDVSSITLEGALELLRYPLTLGTHPEDGQPVTLKLSKSGFTVRHRRTMATVPKNTEPGEVTLEKAMKLLSGKNVRLCGRPKRIKPTVDEESEGDEVVEAM >A02p052310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32005661:32006153:1 gene:A02p052310.1_BraROA transcript:A02p052310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKPSEKVRGAVKIESHRVLAGKSRNTLQGRTASKKPNVEHIRAGSSIGMQQERGGMDLSSCVVTNRFSFRIEPYVVDWSRIASLSILYSLLFSLSQNRK >A08g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7898279:7900225:1 gene:A08g504720.1_BraROA transcript:A08g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQCKDARIKSAGLCVAHRPRISSTQHNYAREETEEDSFLVLDLGAPSTEPTTTSYPITTHTPNTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRPEPGRVLGVGGSRECLPQNFSSKILIFAFWLLGDFGCPVGDFGPRGLSVQYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVDCLFSTHRTSVSTRRTSVAVRVCPCVRQCTQDVRQHTQDVRQHTQDVRQHTQDVRGCPCVRQCTRDVRQCTPALSVRHTGRLSAHTGRPSAHAGRPSAHAGRPWLSVCVRQYTYQHAGPSRGLISVLIRVLNSYQHADHTYQHAGPSRGLIRGLTRVLIRVLNSYQHADHTYQHAGPSRGLIRVLIRVLIHVLNSYQHADHTYQHAGHTYQHAGPSPCWPFPWTVRVLIRVLIRVLNSYQHADHTYQHAAPSRGLSVY >A03p022640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9530985:9534851:-1 gene:A03p022640.1_BraROA transcript:A03p022640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDRSLEETPTWALAAVCFVILFISIMIEYFLHFIGHWFKRKNKKALYEALEKVKAGKFTILYRLYIITLELMLLGFISLLLVVLQSPVSQICIPERIAATWHPCSSQQELAKYSKDYIDDGRKILEDYDSNDFYSPRRSLATKGYDKCAENALFLLFGKVALVSAYGIHQLHIFIFVLAVFHILYCIITYALGKTKMKKWKSWEKETKTLEYQYANDPERFRFARDTSFGRRHLNIWSKSSFTLWITVLWISDKSGLSYIKTWLYNGWDSYFWLPFLPLLVILIVGAKLQVIISKLGLRIQDKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTEDIAIRITMGVLIQVVCSYITLPLYALVTQMGSSMRPTIFNDRVANALKKWHNTAKKQAKHGNSGSNTPRSSRPTTPKHNRSLDHLLHNRNRSLDHQTSFTASPSPPRLSDSGRHGHGPQHFFDPESQNVSPYSDITDFDNGNIQQPHADVASPVTEEKEITEHVKIDLPEFTFKK >A03p017150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6885542:6888063:1 gene:A03p017150.1_BraROA transcript:A03p017150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLCKNSAGAKDEPPPGNLRRDTKTDHLPSSVSVPEVVDIGERKKNQIQTARTWHTGDFSAGSSRRTLRMSLSAPEGWPPWLVAACGESIKDFTPRRATTYEKLDKIGQGTYSNVYKAKDLLTGEIVALKKVRFDNLEAESVKFMAREILMLQRLDHPNVIKLQGLVASRVSCSLYLVFEYMDHDLTGLAATQGSKFDISQVKCFMKQLLSGLEHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLATFYDPKQKKQTMTSRVVTLWYRPPELLLGATNYGTGVDLWSAGCIMAELLAGKPVMPGRTEVEQLHKIFKLCGSPSDLYWKKYKLPNATLFKPQHPYKRCVSEAFSGFAPSTVHLVETLLAIDPDDRGTSTSALNSEFFTSEPLACDPSSLPKYPPSKELNIKLRDEEARRQKSLARKANGVEGARRVRFRGDRTGRAFPAPEANSENQANLDRCRMLPQTNGKSKSEKFPPPHQDGAVGHHPVEEDHHQSKKNSVFSAKHEASFGSSRSLKVGEGTSMRKISNKDGSSSSRKYIWGLKPPPALGLSMDLLFRSRSEVFGVRR >A09g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:26021248:26022358:-1 gene:A09g509250.1_BraROA transcript:A09g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESPPANPKVGTGAREVDMEVQPEIVRDVQVMESTHNGRTRPNGALGGQVEKGSTNRRPASNVQDSRNIPTAEECNVCGADNHHTRACTRIRSQPDLSAYLICSSCETRGHFIADCPMTNVTRAVPISEDQTLETLTLLRVLIGVLVAAKIRACVGKIWNQRQPWYRSATSVQRFRVVNVKVQSRQRMFKSRRAVWGFKRNDSVIFLPRRIFVQSVHVHVCKTRAINDRASEEATKAVTEA >A02g511030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29209973:29217096:1 gene:A02g511030.1_BraROA transcript:A02g511030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLEKSSKKFVPSIEECVEESLELHLMGDGSAGTKEAENNAIWWFSRRTVLMTVPDSGATQVTVPSECSSGRDFLGNYNHYGICPNYPYFLSQPPVALIYHIFGLELHWMRDEPAGTKEAENSAIWCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELQGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAIEQPFAETAPGAEERA >A06p049840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26276153:26277903:1 gene:A06p049840.1_BraROA transcript:A06p049840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRRKLGGVCGSDVVVSWRLFFWFVVLFVFSFVLFSTMFVFKGKFRPVVRSVRSFSTARAVIGDSATLSPAVSIREAVKLPEQTLVFLKYPPSLRLFTKDDLICVFSSGDDSSKLRKEKPEAVDSDKFGGQIVRCPETPPGYTVSLAISRWTMDDHLAAGPTHQWDWLVYDAVIDQDNSTVVFVKGLNLKPGKVADVSRYECVYGWDFAKHNRLIRSDVISAAQEIVRCRTPLTVLNGPKSAHGPVKVSVRIKGGTGMLPSIAQPDRIVHPPRRKPFEMCVCTMTRNAAAVLREWVMYHAGIGVQRWFIYDNNSDDDIIAEIKDLERRGYNVSRHFWPWIKTQEAGFSNCAIRARNDCDWIAFIDVDEFYYIRSGESLTSIIRNYTASAEIGEIRTPCHSFGPSGLRNRPRGGVTEGYTCRVILPERHKSILKPESMNATLINVVHHFHLKDGFTFADVDKEVMVINHYKYQVWEVFKEKFYRRVATYVADWQNDENVGSRDRAPGLGTRPVEPPDWAERFCEVNDTGLRDQVLEKFKDNKLQRLIWEKEEDEGSRRAHNRID >A06p040560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:21928245:21929216:-1 gene:A06p040560.1_BraROA transcript:A06p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSFWKLLKEVVGMLNESRKLFLKNKKLMFSVFVFYLVLNGLLYLFNVLTITPEITNLTQDLNLLPTMDPSSPEYMAQLMKVFADFRLFVVSSDIFNAVSFIINILSVLVIVHASALTYKKENVKFKDFVVLILKSWKGPLVTSFYISLFSLGYCLFFLIIIFPILLSSLSIASLFSLVSKIFVLLVLFLLFASYLATVWYLSIVISVLEETYGIQALGEAAKIVKGMKPKLFLLNIFYGLLIFGLAQIVALVSLAVDRSQSFAVTLATGLYLAVLTFVAMFLLMTYTVAYFQCKSPHGEDVERLRDVEYTTLPTTSLIGA >A07p018640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11192292:11194964:1 gene:A07p018640.1_BraROA transcript:A07p018640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSKIQISLSPSSFDCLSLKTSSNPQERQNCTRRKLCFFLFEMEKKLRCVRWGYEVNASSDHCIDAIDSYFHQVLSYGRNRKVILEAPLHDKDCVLGNILAAHYLSSSDHHRAKSYLEAATSNLEQSTPYEKAVFEAVSYLISVDRDDDLAFEMHTKLLKRFPKDLVSLKRAQILSFYMGQPGPFLDLVHQVLPVNQEESYLHGILAFPLLELGRMEEAAVASRKGYEINKEDAWAHHCLCHVLHHECRFKEAVEFMKEVSESWPSCSSFMYTHNWWHVALCYLEGGSPMSKVEEIYDTHVWKELEKEDAVPPEVYLNALGLLLRLDVRDALDGSFEDRLKLLAARLTDQANWYLEWHLDILIVWALAKVGETSRAHELLEGLKFRVSKMNKKKQQVMQKGVQLGEAVYEYAKGNYKQALELLGSDFDAIGYKIIGASDEQIDVFNEMWCQLLLKTCQASTAKEVIRERIKVRDGVPFTWRLLEKSYAMEGNAEAERAGERAKKLEESSYF >A10p037110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21002386:21003808:-1 gene:A10p037110.1_BraROA transcript:A10p037110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G06340) UniProtKB/Swiss-Prot;Acc:Q9FNH4] MAVTASGFIGKSAISVRLDFYCKPAKFAYSKRPFSASKPLVVVRSVALSPPARTVESPPVGYRKNVGICLVSPCRKIFTASKIHVPDTWQMPQGGADEGEDLRNAAFRELREETGIPNWLTYDFPRDVKDKLNRKWRTSYKGQAQKWFLFKFTGKEEEINLLGDGTAKPEFKVWSWMLPEQVIEHAVYFKRPVYEHVINQFNPYFVDEEEKDSMNSCKD >A05g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5877755:5883298:1 gene:A05g501670.1_BraROA transcript:A05g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNNYERRELPSDRRNEKKYKDKELNQQYLRLEMSDYNKERSPKAFNDRVDRHGNSFGNRIATKQTRVPPPVKATTDRADTTQSWRSRTHTQEVENQGYVSPPYTNRRDVRRERNYTRTPFPQRGLSEWRIKPTNLHSVMEQSEQIQDENQRTQRDLPAHKSLHQTQGEKQAEDQVLKELDEATRLYLSCPDPTEAAARKQRVMNGDAKGQREETAAFILNSRSLHSTIPPGMSQEIPNNSKQTKEQIMEDLQEVTKQYLSCTDPIEAAARKQRVLTGDASGLMEETAASILAASEPPSRPLSPWERGIRSVSPQAHDNPLNTLFLADHTVVLSPQGGDDKEEDTGLDFYYYEVSPLQPPVSPTARKTRPQTVKSIIISPSLEGEEEHRVPDQQENLTALEREETLQEFQNKVKKTRNLSRGKSSRSSPILLGPSLKKRKLAQLHNSPTGGRNIGEVCLPPSGIATTVLPWVLWAIWSTRNLHFFENRMLSPLETATKALTLGREWNNAQQQIQPVKKALPIARRPTGSNGESGAYTICRADAAYDKQSKRAGIAWIFSNGNGTHLSHGSAMLESITSPLVAEAIALRSGLLSAVKEIFGIVKDIQRISSAFVEISFSHLSRSLNVEADRLAKLSLFSSRVPDPSLG >A10p029980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18212838:18214002:1 gene:A10p029980.1_BraROA transcript:A10p029980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITTTFTVLFTLMIFLGISINGGLSQGHQHLVKKTRSSAVVVGTVYCDTCFNGAFSKSHNHLIPGAVVAVECIDENSKPSFRQEVKTDEHGQFKAKLPFTVSKHVKKIKRCSVKLLSSSQPYCSIAASATSTSLKRLRSSKHGENTRVFSAGFFTFKPENQPEICSQKRGSKPLLPDPAFPPPIQDPVPNNPSPLPNLPIVNPLPNLPIPQLPPLLPPGPPQKQPSLHNKKESSLDDKKTEVLKPDFFFPPNPVTPLLPPTPLNPPLLPPNPLNPPGIIPPNPLIPSPPTLPLPPLPFQPPPTGLIPPIPSIPTLPPVPVITPPSPPPPTFPIPLPPIFPGVPPASSSFSSHHQP >A03p066920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28601255:28602178:1 gene:A03p066920.1_BraROA transcript:A03p066920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYVLKITADLENLTNLQPSGGCDDPNFPYLFKESVKLRSLLSRLKCERCGEVTQKETCVTLNETFTPPGGRGTCHLVQKCKFCGREGNVTMIPGKGRPLTLEDSEGGEHSPLMVFDCRGYEPIDFGFGGFWKAEAESGTKFDEIDLSSGEEFTEYDEKGECPVMISNFRASFTVTK >A07p038540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20491763:20501462:-1 gene:A07p038540.1_BraROA transcript:A07p038540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLHKSKVESLKPQPFCGVGGGRKTMCSEAEMIEKIAADISNVLNDSVPSNYCDSLVGVGAHMEKMRSLLSLECDEVRMVGIWGPAGIGKTTIARALYENLCSNFTHTAFMESLKGEALQIFCTYAFGQNSPEDGFEELALEVTNLSGKLPLGLSIMGSYFRGMSKHEWINALPRLRSRLPDDIKSILRWVDLSHSSDMKELPDLSTAINLLSLDLSYCTSLMELPFSVGNATNLLILNIEYCNNLVEIPSSIENIHNVKIFFAGCSSLVKLPSCVWNITSLTTFNLHSFSSLVQSPLMWNAKNFQELDLSGCSSLKILPPIVIDTKSKVSLLNGYSHSAEFPSSVGSVNNLIELILSNCSSLVDANSLGILDLSGCSSPTKLPSSIGSIGNSNGFRKMLNFTGCSSLKKLPSSIGSVNNLFELVLSNCSSLAELPVSIGNATSLRTLDLSGCSSLVELPASIGNATSLRTLDLSGCSSLKFERTVKPEVLPTKLNFQSLGALDLPERTIGKSFHRSLLPKTAEHPTTHQQPRASGMALTSGDNTKGDTLRLTTKNLSMTNLAHQLLTVPTYRMVEASMADAVEIEHLINSQRPPLSTVLIPSVLYGAFVIKSTDSKMHFSFHKILTVQKLVASGSLFLDLSDFLYQKRYDTVKSLVCTSGCSRLRRFDKRTAKAEKVLEICIGTVFSSPFSLVPSLKYPPRGVVRASRDHVRADNLKSHSPSGCSCGRKHFLEAASPTKPLLPLYSPNASRSKVDVLGTFQHQRPDWYKELFAWFLSTGMRSYEAEIAGYKRKLFDNLTAKAERVLEIGVGTGPNLKYYASNENVFVFGMDPNQKMEKYACEAAREAGLKPENFRFMQGVGEAIPLDDNSVDAVISTLVLCSVPDVKQTLQEIKRVLKPGGIFLFIEHVAAEDGTFFRHVQNVLDPLQQVVADGCHLTRNTGLYIAGAGFSGGAEINTAAMYSFPWIIRPHVYGVAYK >A01g510770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29993678:29996558:1 gene:A01g510770.1_BraROA transcript:A01g510770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLPPAIEKVKELAVSIEGKSVEEKKGLLAKCAATTLSSKLIGGEKEFFATMVVDAVMAIGDDDRLNLIGIKKVPGGNMRDSFLVDGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVESGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLNRVAAAAGGTVQTSVNNIIPEVLGTCEIFEEKQVGGERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEISKYLRQHSRTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHAMQSGEGASYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAGAMGRGRGGGRGRGMRRR >A09p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8896886:8898558:-1 gene:A09p017190.1_BraROA transcript:A09p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTTTTTTSTAANGYSSPRDSSIPSSFTKFNSALTAGLLNPMSPPPPPPAMLDKSSRASPTLFEMMSSEAGSPVQIQNLALPSSSRTRTTNGSHLVISAQDKQALAMQRISNLLMTRSPGNQFNDPASSDVKLTLSSKDGISITMCVHRQILVAHSRFFAVKLSDRWSKQQQMAPSSSPYIVEISDCDDVEVYIETLMLMYCRDLRKKMMRQDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWSEDEECRIASLLSELHLENVGATEVLRRVSVEASHNNGSNDEVLLNLLHIVLEGKDEKARRDMKTLVSKMLRENSSGNDLRKESLYLACDGCLHKLKRQFLQAAESDLENVDQIARQADNLHWILDILIDRQIAEDFLVMWASLSELSDVHGKVPVVHRFEISRVTARIFVGIGKGQILTPKEVRCLLLRNWLTPFYDDFAWMKRASKGLDRYLVEDGLSNTILTLPLAWQQEFFLAWFDRFLNSNDCPNIQRGFEVWWRRAFWRRKEQSQEEPARLRIIASATDNS >A10p040680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22372929:22376999:-1 gene:A10p040680.1_BraROA transcript:A10p040680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G02250) UniProtKB/Swiss-Prot;Acc:Q6NQJ6] MMSVRAINGCFIIRTATTGAAPPVSLFRHRIQRLRSSHLREFSKLGFGFPLLRQNAGGDAPSCSACIHSLVESVSQELESVSRRKRSRLSVRASVKVKLTSYGEVVEDKLVNQELEAGLLLEFKKDPERVLLAVTHRPDGKKNWMVFDQNGVSSSIKPQQITYIVPGVYNFDHTGLTDFLHRAQDNLDTQLLEFAWMELLEKNKPVTPEELAEIIYGRSDPLESYCAHFLLSKDEIYFSVLESKGSRSIYSPRRTEQVEELLRRQRVKEAEEREFEEFIQLLKSAKNAPSHTKPPKSSWLANDKVRENIDSLKAYAIDAWASIDQRKLAGVILKSMGLQKTAVSALNLLIDIGYFPVHVNLDLLKLNLPTDHSDAIVEAAEILLSESSDLDAARRIDLTHLKVYAIDVDEADELDDALSATRLQDGRIKIWIHVADPARYVTPGSKVDSMCSREARRRGTSVFLPTATYPMFPEKLAMEGMSLRQGETCNAVSVSVVLRSDGSIAEYSVENSIIRPTYMLTYESAAELLHMNLQEEVELRLLSEAAFLRSQWRHEQGAVDTTTLETRIKVVNPEDPEPLINLYVENQADPAMRLVFEMMILCGEVIATFGSQHNIPLPYRGQPQSNIDVSAFAHLPEGPVRSASIVKVMRAAEMNFRCPVRHGVLGIPGYVQFTSPIRRYMDLTAHYQIKAFLRGGDNFPFSAGELEGIAASVNMQNRVVRKLCNSGLRYWVIEFLRRQQKGKKYTALILRFVKDRIASLLLVEVGFQATAWVSEGKQVGDEVEVRVEEAHPRDDLILLKEVL >A05p013830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6006945:6008247:-1 gene:A05p013830.1_BraROA transcript:A05p013830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETDENILREYFSNFGEVLQVTVMRDKATGRPRGFGFVAFSDPAVIDRVLQDKHHIDNRDVDVKRAMSRDEQSPAGRQGGFNGNRSFDSGANVRTKKIFVGGLPPALTSDEFRAYFETYGPVSDAVIMIDQATQRPRGFGFVSFDSEDSVDLVLHKSYHDLSGKQVEVKRALPKDANPGMAGGGGRGGGGFPGYGGSGGSGYEGRVDSSRYMPPQNAGGGYPPYGGASGYGTGYGYGSSGAGYGGFGGGGYGNPSGAPYGNPGGPGAGFGSGPRPSWEGQAPSGYGNNVGYGNAAAAPWGGSGPGSVGIGQGGAAAGYGSQGYGYGGNDSSYGTQSGYGAVGGRPNSHGGGYADVSDGSGGYGNHQVNGQAGYGGGYGRQAQQQ >A08g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15788012:15788476:-1 gene:A08g508580.1_BraROA transcript:A08g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACEGSLACLTCHVIVGKANKPTDEENDILDLAFGLTEIIDISGFQIKEHALLFQLIHAFHHQHILRALELRLVLQIEHCFA >A06p056670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29499038:29501667:-1 gene:A06p056670.1_BraROA transcript:A06p056670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G43630) UniProtKB/TrEMBL;Acc:Q9FIX7] MKFASVDALSELVWSPRNGLSLRCADFSFTGKNPKLLSPSVFDDIAQTNNNNKMESQSKSNSIQHQEEEADEVNQACSEKNRPLSGIGGSVEDTKPGREEMETNDDVEEAQTRVGTSRRSLDSPRGETEALLANEELERDGTEEEPSNRVEATDENDLPSPASKAVVVACERLAKAKGKEKALSDGNFNDDESFGSVESCNSAGLVSRGRKKRAGLGFEEQGLMILGSKRLKTLSQECLESTSKLKQDSSFMNWISNMTKGIWKGNEEDNSPFVALTTTTTTSDAQPGVSGCRNTGFQSFFQSIYCQKKSDQEAVDVDNANVASLQELPEQCLITKGDHVSSSSGNGVAPDISSGKVGINQTSETFSSEKKHEDKETNISLLPKSKPSEEQKTCGEGDEKVVQCLTNKNSGLDSLWISRFSSKTSLPQKQDLRERITKEANDSSVLPIVSSLRVESSEAMASLFARRLEAMKHMLPTCSLAENTEEIDRNLICFYCGKKGHRLQECLEVTDTELRDLVRNISASNGREEASTLCIRCFQLSHWAATCPNAPPYSSGPEDRSVKHSLASTSGRKLPFRGFTDVPKAVFEAVQVLRLTRTDVLKWINSKKSVSGLEGFFLRLRLGKWAEGVGGTGYHVARIEGANEGQSSKEHPVNSSVSVKVGGMTCFVESQFISNHDFVEEELLAWWRSAAKRAGKSGVDDVLMAEELSRKIQQRKMLRF >A02g510190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27281457:27281853:-1 gene:A02g510190.1_BraROA transcript:A02g510190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQFLKSREPFGMAHGGNFSRIYRKVQLKPLKWDGECEEERPVEALMILKYGGVLTRAGRKEVFTYSTI >A08g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:670430:671065:-1 gene:A08g500280.1_BraROA transcript:A08g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKPDASIWAYLLRACRTSGDMETAERVAYSILASNAYAALRKWDKVCLIRKSLKDKERKKNPGYSWIEVSKKVHLFRAGDVSAPQFEAIHESLEMLYSLMAREGYVPDPPEVSQNLEEEEEKRRLVWSQREASDSVWTVEHRTRDSTAITKLISKIVGREILVRDANRFHLFKDGACSCNDRW >A10p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18366261:18367373:-1 gene:A10p030390.1_BraROA transcript:A10p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSCFFKVLLVSSLILLILFSAAMGRNLRTTKLSGVHSTAELFPSQDGIVRNMIELMDYKPPESNTNWSGFVATPPPQSPPLP >A09g516600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48896945:48897416:1 gene:A09g516600.1_BraROA transcript:A09g516600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSELLVSTPTSPSFMDLSLEPTMPKTPPLFIFESPYQVQVESFHLSSWTVNGNGLDMLPMAFFLQDDHERVENDQEQLLDAIDEHTFFLKRLNSQMTMKLLLGLEMAWELYVCMKPKWVKRESLEAI >A01p058800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33522289:33524060:-1 gene:A01p058800.1_BraROA transcript:A01p058800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSKMGRSPCCDESGLKKGPWTPEEDHKLINHIRKHGHGSWRALPKQAGLNRCGKSCRLRWTNYLRPDIKRGNFTAEEDQTIINLHSLLGNKWSSIAGHLPGRTDNEIKNYWNTHIRKKLLQMGIDPVTHRPRTDHLNVLAALPQLLAAANFNNLLTLNQNTQLDATSVAKAQLLHSMIQVLNNNNNTTPSSSFNIHHTNNNIFSQSSFLEKRPNISENLYDPAQSLSHIDHQPLDSFSRLPGLFPHQNDQDMIPPMISASSDESKQTQMMIKNKEILKHSDQTSNPSSTSTFTQDHQPWCDIIDDEASDSFWKEIIEQTCSEPWPFRE >A09g513730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41757861:41767735:-1 gene:A09g513730.1_BraROA transcript:A09g513730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGLYNWKTDETRPRPCKRENLKLGAKRSTGKFAGKFPGKFTGDNPAIDLNPALDSVGPNSPTLCTRTVRLSVGDFGPRGLSVQYTRTSVCVRQHTQDVRGRPSAHTGRPWPSVSTHRTSGCPLVHISARSVDCSGRPSAHAGRPWLSVCVRVSVSAHRMSVSTHSDRPRSTDQYMEPNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPEDRIHRTGARISRSDWHFKSNGRDRFGFGRVDLKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPFSILSDLSSYQPYRKSDPYLVLSSAIRATLPPLRWLKLISKKSKISIYLEKIPLFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAVRRKTIRDVADPKKQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLENEFNRDNEEAIVELTVLQLELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGLIFDEEDEPGPVFDEEATSITPIAMENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVIRFGLDKMKDFFVSKSVFDNMINSLKIFEPDKCYDTLVFGPYDHTGAPPRTAVRPDDPIQNRGHDNKCLDQSRFLLLGRPFDLDLLQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFRDLEFCDSVLQPDLLSFEIDNTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKINTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRSEPKPILHEQKVFPRSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHELKLLRPKNSFDFVHDDNFSNLKLSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDFDVLRIEKPFDYFFGRFDVVSLVALNKQDKHEERGNDRPRSTDQYMELNQHEDQDVLKFSTEVHDFHHTGQTDRTVPNASGWELWLEPWPDDRFHCTGLCLHRPVFHLMKNSRDGIAFGRTDPELGHCYTFLDSTTCTARMSSLRLHQYPCPDDRIHRTGARISRTDWQFKSNGRDRFGFGRVELKIGRDTSKLATLDCPACVLAQSAGHASGSNEPGRNLKGFSLVKVTFLSFLVRLSPSFDPSFVGPVRHIRQRSKSGRPSAHAGRPWLSVCVRVSASAHRTSVSTHRTSVSTRRTSVSTRRTSVAVCVCRVSVSAHSNGRQHTQDVRQQHAGPSVTSTSRTSVAVRVCQVCRQHTADVRRKNRKEPSLAVRRTHRTSRHTHMTSVAVRPCTHTGVRPANTPHDVRVCPCVRQYTQDVVSTHRTSVSNTDVRQHTQERPWSAVSTQYHMLALPVDFSVFFCPRGLSFSKTQDVRQNTAGRPCLSMAIPTHIKHCLPFSALTFPCTDF >A02p012160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5328629:5329057:-1 gene:A02p012160.1_BraROA transcript:A02p012160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTEKKPAEEKSKAEKAPAEKKPKAGKKLPKEAGAGTGGDKKKKMKKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAGEASKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >A07p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27703992:27705109:1 gene:A07p052510.1_BraROA transcript:A07p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial arginine transporter BAC2 [Source:Projected from Arabidopsis thaliana (AT1G79900) UniProtKB/Swiss-Prot;Acc:Q9CA93] MDFWPEFMASSWGREFVAGGFGGVAGIISGYPLDTLRIRQQQSSKSGSAFTILRRMLAVEGPSSLYRGMSAPLASVTFQNAMVFQIYAILSRSFDSSVPMEEPPSYRGVALGGVGTGAVQSLLLSPVELIKIRLQLQQSNSGPISLAKTILRREGLKGIYKGLTVTVLRDAPAHGFYFWTYEYVREMLHPGCRKSGEETLRTMLVAGGLAGVSSWVICYPLDVVKTRLQQGGHGAYEGIADCFRKSIAQEGYGVLWRGLGTAVARAFVVNGAIFAAYEVALRCLFTQLTSADVVTRDLSKKPKEDALKIHKE >A09p062470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51098291:51110256:-1 gene:A09p062470.1_BraROA transcript:A09p062470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) UniProtKB/Swiss-Prot;Acc:Q9LET7] MLSMNGKRKLKYLVSLARTYQPYTFFQARSCQWKKEECLGSMAETLTGSTTLSTNAVKLDAVTVSFISSFPGKLNHFLARRLPQSTTRRVQTSCCYRETSLKAVTLFEMPETETSSVSDGVEILRFLKGKNYLVTGAFGASPEIGKIFLLIKSADQESANRRLYDEIISSDLFKLLKKMHGSSYEAFMKSKLIPVTGDIGEDNLGIESVTAAKISGEIDVIISCAGRTTFDDRYDFALNVNVLGPGRLLRFGKGCKNLKLFLHFSTAYVTGKKEGTILETPLCIGENITSDLNIESELKLASEAVRKLHGSEEIKKLKELGMKRAQHYGWENTYTFTKAMGESVIHNQRGDLPVVIIRPSVIESSYKEPFPGWLQGIRMSDPIILAYGKGQISDFWGDYKSLMDIIPVDMVVNATIAAMAKHSRGVSELKVYNVTSSSHANPLRAGELMDLSHQHLCDSPFTETFMELERMKFHSSLEGFTSSAFNRIAKHEREVKNERGDAESHTALSMKGKRRLDYFVSLARTYEPYTFFQARFDDTNTKRLIQELSVEEGKMFEFDISGIDWEHYIVNNKKLQMPSKKKQPRTPSRLSNSEPPASPRTPTSSTASQAADYINEEELRRGIVKASAAFPCLLGKSAIIGRVSDVAPESIRGSKIWLSETSMVAASLIPGSTVSVSLACTESHSFPLSSIKAEYGVECESRIADDDEPGNYFVLATVLPSSKVLKDGVRVSMNLRYGLGCPVAGRTVFVYPVGRPSSLSDQFNVNGGAHENDVNDLSLLACKELCLELTPFRNMLPPEKLACESSHEQNGNGNSTPKTPSNFQKPSSPASSIKGDDSVLSIKQQLSSESLVDLREVLSNESSKKLLQICAASWLYPCSLLYGNFVAVPILTETCIFCVKRGNKKASDTSNRNHAFVINQETKVYLHHTLALASVQDLQFDDDDGEENVGCEISKLGGLSKEYAILRDIVVSSSTKSSLSSLGLRPTKGVLIHGPPGTGKTTLARSFARDCGVNFFSVNGAEIIRQFVGESEKALEEVFRSASNATPAVVFIDDLDAIAPAREEGGEELSQRMVATLLNLMDGISRSDGVVVVAATNRPNSIEPALRRPGRLDREIEIGVPSSAQRLDILQTILNGMRHSLSDTQLEQLAVGTHGFVGADLAALCCEAAFVCLRKHLDQESSSSNSPLEETPVTASECRGSESSTDMADVSSDGSDSASSCLTVSPSTSAARHVSVVADNFENSGNSCSEQILSKEKEHALSVGFEDFEKAKIKIRPSAMREVILEVPKVNWEDVGGQKEVKNQLMEAVEWPQKHQDAFKRIGTRPPSGILMFGPPGCSKTLMARAVASEARLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDSLASIRGKENDGVSVSDRVMSQLLVELDGLHQRVGVTVIAATNRPDKIDSALLRPGRFDRLLYVGPPNEADREAILKIHLRKIPCSSDICLKELASVTKGYTGADISLICREAAIAALEESLEAEEISMRHLKAAISQVEPTDIQSYKALSEKFQRLVHTDPQRDNEEAEQQGIINQRPLFPL >A03p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2739726:2743600:-1 gene:A03p006490.1_BraROA transcript:A03p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATQQGVLTETQILLRLIFLLPYKSLAPFSSLRPLTLSLCIGILLLPLLPCFLFNLITLFSNSLDLTKRLNLPTQSIISTALFIFSRKSQYFFLFQFDSMNQMLLLHFVVNSLQSLVDFVRMAADQRRKRMSSANVIGFSSREHYRAKRKKIGSPDGALRLRDHHISLEWDGNRSRVVSKREQVGLSLRHLREFVDYVPPRRSLLAQVCHVPHETFTLENLSEVLSTEVWQGCLSDGERNYLQQFLPEGIDVEEVVEQLLEGENFHFGNPFLDWGANVCSGKAHPDQIVSQEKCLRAAKRRYYADLENNHNDIIDSLQMLKEKWESCKDPERDAVKMWGRSSEGNANVNGTRHDLATASESSSRDADDKPCNSDRSEEVKRSRPKSSAVEKEKSQSPLIAPENVVNIGVKATKRTSYRNIAFSKLMVLNTCPTLSKKQHQIVASMKQSGKSIQLRALNRILGNIDNLDVQPYGLFVEEEQKKLNTHWLQLVRDLHAAYATWKRLQSQKRDVINSVGREFKDKIDPLMEDKQLHSEESLNPNQSGDLAPEDEYSGSFGQVNAKTHSLSEDSSSNVDQITDSGRCVQVGIYPSEVSSPDCDNGNPEDTEEKQYSSPSRYHHPFNRKDVEANDYSSSIQSHSLPRASFSSEPHPSDLEDTIPVGKNCVPEVEKASSVTSSHGEELQFLSGGDVWQPVGGIRQSYIGHQAYTPSGGLSIIHHPEGGEDEKNGFIHLEEVDGRKMLQPKANNSFGSFPNNNQNELLQSLFKGQGVASRTTTEQLHSLLNVPEHKQIMNIGFQQEGSSNLMEGRQFPGQFQHQTTAPQGLSQDQQRHVDDIYGQGSMSENVYYHGRGLLMQRPDWNTSVAQIGVTTQQPLLNAGPLLNQNWQFKSMWESQSNHTGTTERDLNLLRSATNAEPIIHRGASSDQSLFSVFSQCSQLRRSSSPFEPERSSGNYEMLMGGGTTQVGSSLVQPTHNPLDYLSGSNPVASLMPEDSTWMNNQRRQNSGGLHNPLGKLYPRSWNP >A10p034570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20073775:20076851:1 gene:A10p034570.1_BraROA transcript:A10p034570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTAEEGDGLDRKTEESERSYRKREGERREVENGDEERRSSRSKKSRGDDEENGEGRRRDREKERHRSSRDKERERERDKIRVRGGSRDRESDRERSSKERDRGDREKDRERRSKERERSDREDRERRRSSSRSRREEKEREVVERGSRRNREKKDEPEADPERDQRTVFAYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYVEFYDVMSVPMAIAMSGHLFLGQPVMVKPSEAEKNLAQSNATTGVVGGSGPSGPVDRKLYVGNLHYNMTELQLRQIFEQFGPIELVQMPYDLVSGQCKGYGFIQFAQFEHSKAAQTALNGQLEIAGRTIKVSFVSEHIGTQDANPKSADFDDDDGNGLALNAQTRVQLMQKLDRTGVAANIVGPFGVPALNGTALNQPGMNPGFPTSVLPTTAIPAFVTEPVGQPSQCLLLKNMFDPATETELDFDKEIEDDVGQECSKYGQVNHIYVDKKSAGFVYLRFESVQAAAAAQRAMHMRWFAQKMISATFMPPNEYEAKAKA >A01p059700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34008183:34009581:1 gene:A01p059700.1_BraROA transcript:A01p059700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTDIGKKARDLLYKDHNSDQKLSITTYSPAGVAITSTGTKKGESLLGDVVFQLKQKNITTDFKVSTDNTVLITATVDEAAPGLKSIFSFKAPDQNSGKIELQYLHDYAGVSTSMGLTQNPTVNFSGVIGNNALAVGTDVSFDTKSGNFTKINAGLNFTKDDLIASLTLNDKGDSVNASYYHIVNPLFNTAVGAEVNHKFSSKVNTITVGTQHSIDPLTMVKARVNSAGIANALIQHEWSPKSFFTVSGEVDTKAIDKSAKVGLALSLKP >A09p019760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10514931:10515439:-1 gene:A09p019760.1_BraROA transcript:A09p019760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALIHHFTLLSDQALVDKTFDPATIEDLMRLFEVDSYKAWAALEAEQQQELEAAEESIREAEAELDRDMEWGMEEYRRTLEEMERMEAAELKELEEKAETARRTGSLLEKAATIAAKRHIAAAMGSAAASMRSAWKTASGNKVHPS >A05g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2813899:2819995:1 gene:A05g500760.1_BraROA transcript:A05g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLIKLVYYWLGAAEGRTTVFSHSQTVVVCGNCQSVLCQPTGGKARLTEGCSFRKKTQRFV >A03p014980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5933219:5938909:1 gene:A03p014980.1_BraROA transcript:A03p014980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKFIRNDMISLPRLVEEYLSMLKETYEGTGLHLATQQGDEVYAKKIIELCPSLVGSTNSKGDTPLHVAARLGYTSIFIGMLESLKLHKACERKTIDAEMMNNDGLTPLHCAAMKGSVEILEEFLNRAPSSFYSVTLEKRETVFHIAARHKQNEAFISMAKSDNLGQLLYQLDVDGNTVLHVAASVGSIALVNYIMVETNVKVTTKNKNGFVAVDLLNEEDKDFLKLSNALMCGQRSSSPREIGNQTKAVILHRADDDTIKKRQKEILRFQSSDTPNKKFEMQLEALQSARNTLAIVAVLIASVTFTCGLNPPGGVYQEGFSIGKSTAGKTIAFMIFWISNSIALFTSVSMVILLLSIIPYREDSLLKFLVIAHWMMWVAVAAMASAYVSAALVTLPHFGETNWLIYATVAIASLTLGGMFVYLRFNLAKCMFRKVTLLKCLSTPPVRKNGYETYEGTGLHLATQQGDEVYAKKIIELCPSLVGSTNSKGDTPLHVAARLGYTSIFIGMLESLKLHNACERKTIDAEMMNNDGLTPLHCAAMKGSVEILEEFLNRAPSSFYSVTLEKRETVFHIAARHKQNEAFISMAKSDNLGQLLYQLDVDGNTVLHVAASVGSIALVNYIMVETNVKVTTKNKNGLVAVDLLNEEDKDFLKLSNALMCGQRSSSPREIGNQTKAVILHRADDDTIKKRQKEILRFQSSDTPNKKFEMQLEALQSARNTLAIVAVLIASVTFTCGLNPPGGVYQEGSYIGKSTAGKTIAFMIFWISNSIALFTSVSMVILLVSIIPYREDSLLKFLVIAHWMMWVAVAAMASAYVSAALVTLPHFGETNWLIYATVAIASLTLGGMFIYLRFNLAKCMFRKVTLLKCLSTPPVRKNGYVDMAANIEKGYYSYC >A05p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13982064:13985837:1 gene:A05p025840.1_BraROA transcript:A05p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMKRTISENIEATTAGTYMVIAKIEAIDLDKPWYYTACNFCKRKMARQGDGFEGNVQHLQHNPIYNCKTCNKDFEHAIHCYYLVVRVSDDSKGKVKFLLFNNIAERLIRRPAFELVQEAGQENPYFLPQSLTDLIGRKLLFKITIGSNGQQEQNTAYVVDLVIDDSEIIQHFDPHPHFTRGINHRRKKNSTNDKENSPMGNITQNSSITNVSPLPSINPFRTPFQNVTNQVFRPIIPIPPESNSSKNVENTSTHTVPLSCIFQSFKDGLTHQARAARKEILKNKRTIGLTTSNRVTRIFKPTKASVVPPLGPYNSTQSSPTLANLSVSGINMHPSNNSIHKFRIINKYHSLRYNWLSRNYIPEYQHFLEETKDNWITSIARQGPNMTRQTNPTGPAVSVADAPREATQSPFQQTKTNEFIPPPRFIVEDHPEAYNNRYAMESESENENDNEDEENQTYTTYTAGETLLNTSPQHIPQQTNNTVTSPIVIGIQKNGDDLKITTITLFHSAYNNRYAMESESENENDNEDEENQTYTTYTAGETLLNTSPQHIPQQTNNTVTSPIVIGIQKNGDDLKITT >A04g507530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18893965:18894906:-1 gene:A04g507530.1_BraROA transcript:A04g507530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLAWCKASPDKKDLVTLGNGLQELGYTIVSIGGTATTSTWMILLSLDQLPRTTKTFSLSSIQMIIKLFWSISKGGQSDQQFRRNTPKGLEVLKGKSKTLRILEAKKNDQGKLSLRQVGGGWLCQDFDDITPEDDQFQLCLAWKDAVEEACERGIGAIAEPGGNIRDQDNKYGVSLLFTNVRHISAIDDGSLQFCSYKDFQETLKT >A07p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15823788:15829650:-1 gene:A07p027840.1_BraROA transcript:A07p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNITSVKTSSNGAWQGDNPLNFAFPLLIVQTALIVAVSRSLAVLFKPLRQPKVIAEIVGGILLGPSALGRDPAYMDRIFPEWSMPILESVASIGLLFFLFLVGLELDLSSIRRSGKRAFGIAAAGITLPFLAGVGVSFVIRNTLYTAADRPGFVEFIVFMGVALSITAFPVLARILAELKLLTTQIGETAMAAAAFNDVAAWILLALAVALAGNGGESGGEKKSPLVSVWVLLSGVGFVAFMLVVIRPVMKWIAKRASPENGAVRESYVCLTLAGVMVSGLATDLIGIHSIFGAFVFGLTIPKDGEFGQRLIERIEDFVSGLLLPLYFATSGLKTDVAKIRGAVSWGMLGLVVVTACVGKIVGTFAVAVMVKIPAREALTLGFLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITTPSAMAIYRPARGIHRKLKDLSASEHSSSKEELRILACLHGPANVSSLISLIVSIRTTKILKLKLFVMHLMELTERSSSIIMVRRAQKNGFPFVNRYRHDECHSSVIRGFQAYRQLGRVAVRPITAVSPLPTMHEDICLMAETKRVTMIILPFHRRWNVDYGHGHHQDGGDGNVPENVGHGWRLVNQRVLKNAPCSVAVLVDRGLGSIESQSSSLDGSNVVERVCVIFFGGPDDREALELGGRMAEHPAVKVTIMRFLVGEKLRSNTITLHPAPSKCKEKNYAFLTTNVDPEKEKEFDEGALEDFMSKWKDMVEYKEKEPNNTIEEILSIGQSQDFDLIVVGRGRSPSVEVAALAERQAEHPELGPVGDVLASSNNHVIPSVLVVQQHNKAHVEEITVSKIVKESSLSTDGDANV >A09g513060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39685344:39687822:1 gene:A09g513060.1_BraROA transcript:A09g513060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFVLWIPQMGRLIRILKGDWSKNEVGIWRFDHDHGETARQIWSKENESIEDFTGICLVEKHPHPQTLLSSGDVEVMMSIREWDGYQVVCLLCGPERVAKYGFLRRSPFNIGNKSFLGDGITEKAHMSAIKECSIRVLHELFTEEQMVTVHRLSLEIAKARNTIDLNVYPFAGFDFADTTLSDEDMWLDAYIREEDYVEYGGADNEGLPPLPLSTCGVGQQQTFENHITTPPLTRIPQGLEEMEVGPGFWDVMLSQGSAFTGGNYVTHPDCYDVVDLERNNKLLTSLGVQDSSTDSSGKADWDTNVNTLTCNEVPNFVRIGNNGENPHFEKGESSKIMDTRYNEGIPQMEISGIDDNNCSEPPHNLYLTISGSCGQGGSKSPIDVEDSASDVGVGNGKGPHNPDVVYVGMIFKNREAFKQHMAFYAIRKKFRFRNSRSAPTRMVLRCFSPRCKWRVYAVLLKNTELYEVRRVELHHTCSVDDRSGYQTQATHIVIGEIMKA >A01p019640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9637844:9639220:1 gene:A01p019640.1_BraROA transcript:A01p019640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 16 [Source:Projected from Arabidopsis thaliana (AT4G26890) UniProtKB/TrEMBL;Acc:F4JVS7] MDITWTRGPVIGRGSTATVSTAISNSGEMFAVKSADFSSSAILQNEQSVLSTLSSPHIVKYIGSDVTPEKEGLVYNLLMEYVSGGSLHDLIKNSGGKLPEPAIRSYTRQILRGLSYLHERGIVHCDLKSQNVLVEENGVVCKIADMGCAKPVLKSGFSGTPAFMAPEVARGEEQRFPADVWALGCTVIEMVTGSSPWPELNDVVAAMYKIGFSGESPEIPEWISEKGKDFLVSCLEKDPKQRWTVEGLLKHPFLDDEDVESHLQSSSSPSTVLDQRFWNSCEASKSHLLSMDHEDPFADYSGAWDSPADRIEQLAGDEFSSVPDWDTVGDGEWIQVRGDVLEETGKRVGYGDEDAVCVEETSSSSQEIEVVDEWISDQDSLFSEYSLDDVITSFYYNVAIRGNVIVFYYYRVGDQNVPIKKMFRYTNENKKNIYPSNCKLFLIHQPILNNHVLISHNK >A09p028310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17033983:17035496:-1 gene:A09p028310.1_BraROA transcript:A09p028310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQSASRIEKVVVHPLVLRNIVDNHNRMIAKDSGKRVVGVLLGSRSSRGIVGVTNSYAVPFEEDGKDPSIWSFDRDHHEYMLRMFKGLNDKEDVVGWYSTCPNLRENDLAVHASIFRSCSYVLNPVVLVTIDVQPHQLGTPTKAYYAVKEAFVPVSTEIAPPEVEEIGRSILVTEMTPGGDNGLVDSIEAYYLCLQNKVSFSVSGYDTSLDALDLVSCLEKHFQSCGFVETIQVPRHPVTNAITGRCTTVTLSGEGATEKALALNGSDVGGWIVSVTLLPPEISEMASGMSAREYALRYVAGTLSM >A03g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20362375:20363059:-1 gene:A03g505780.1_BraROA transcript:A03g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANLSHYSSPPGSPNKVSVTCIIQSRVVSRTESERDALNDKVLKLLKSLHRSAITTPNFDIELNKPKI >A02p036410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20854539:20859892:1 gene:A02p036410.1_BraROA transcript:A02p036410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGEKKGFSVNPKDYKLMEEVGYGASAVVHRAIYLPTKQVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIKSFCSFAVDHHLWVVMPFMAQGSCLHLMKAAYPDGFEESAICSMLKETLKALDYLHRQGHIHRDVKAGNILLDNTGEVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVALCLVKDQTKRPTAEKLLKHSFFKNAKPPEICVKKLFADLPPLWTRVKTLEAKDAAQLALKGIASADQEAISQSEYQRGVSAWNFNVEDLKEQASLLDDDVSLAESREEDELCGEQLHNNNNMKAKENNSNPEVEEQTASSAEQTTPSPKCNVPQGKAEPVRRQTQSGPLSPGTLLTNSDSDKGHGYYLRPESERQPAASAQRAPSFSGPLNLPNRASANSFSAPIKSSGGFRDSMDDKSKPNVVQIKGRFQVTSENLDLARASPLRKSASVGNWILESKMQQPTGQPIKELSNPVSPSFIMPQLQNLLQQNLIQQDLIIKLLNSLHASETTDGSQNGKLPPLPRGSDSNGAVIELTSSERERLLLNKMSEIRARMKELTEELEEEKSKDTRVTAAEIEISYWSIVAWGQNTELLRSEVLYTREVPEEDIFGSVLCAEADIIGHIENKWRRKLLVILYLVPRSCVQAYMRATTLPAHICFDVQCLLLLMDLETENRIASVLFREAAELRRQAEKDGVRDYLEN >A04p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17165245:17166754:-1 gene:A04p028580.1_BraROA transcript:A04p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERPSSLPSSENSRAKFSVFYIFLLCVVLFALTTFITPSSLSSPYIRNSKSGKLGQFYAQEEEGKSTLVMIKKMKKIGDRSNAAGIRRILRGLGSSPPRCSSKCGRCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >A01g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21861245:21862082:-1 gene:A01g507730.1_BraROA transcript:A01g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTFVFYSSILFEKRQMKDCKSGKRLKNQKSSHLSSSLRLIALKNNEIAGLENSYYDNTVFTKTSHMIDKDKLILEMSIGSRSNKRSWSMMKGSQK >A04p026020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15747162:15748610:1 gene:A04p026020.1_BraROA transcript:A04p026020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVEAEERSAEFLDMARSLFWFERLLLSVILLFSSNGVSLFIGPLLFSTTFCALLLHWLCRKNSPADRSGVTTSRIWLFLSDRFVFLAALGAYKAVWLLRLTVFIAVAVHYVYIIQYLDISYKTHLAELRGIDDDSSEEGDVSKELEKTRELLEDLKEHGKKIDTEMEFIDHLINPDLETHRRNLSVKLIALRKETRDVGGKIRTYKELMSIFVNSELTLRSKVEEDIKASREVASLADQVHEAYFVIQETAKAAKTTSDRAYLTYLFAQEISAQIQNKRWMIRLDIEGLPELREEVRRMSLQFAARRMEAKVAADRAAEEFSNARVKLMEAVGIQQWEEYKID >A03p071320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31379366:31380069:1 gene:A03p071320.1_BraROA transcript:A03p071320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKPSRSDEVSDPDQQIKNSNEIRAGFDSLAPKRPTKPTRSEPAPLGSFSISDQTTDHPEADKFQNLQSQTHGNILHEGDSSAIQEEFLETEYYTNLTSIDKKHHTTGSGFINVVKEDGGEETVTAAAALDDGGAKAVYRSNPATNEWIPAADEGLTSEFSSKPNRSESS >A06p041030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22136029:22137856:-1 gene:A06p041030.1_BraROA transcript:A06p041030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWLSQMIIQQRTNHDGLMFSPIKHSLFRWTCASYQATFRNPSIVGLICHIKQQLKSCSIKRLSAPLVSPFNPPMVEEKSCWLRRNPVLEWLIRISKFNSLIFLSCVKMFEVDQRRLFSQFEVREFCDNLVEGVVKALKDVSKIQKKSTTTRAPVAEPSLFISEKPKVKSENNLEDLKKKLDSLPIFDEYDEELIESLIICEDECDLPSLKSDFMFDEEETNGLTCFEPENPSNLVLFLHDFEEEPFDY >A03p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15141522:15143784:1 gene:A03p036180.1_BraROA transcript:A03p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNKVNKFNFGDNDHLPDEGGGGEEGDGFGSVACSICLDTVAKDGDRAWANLQCGHQFHLDCIGSAFNAKGVMQCPNCRKVEKGQWLYANGCRSHPEFNVEDWVHEEEIYDIGSYPEMAFGVHWCPFGSSARLPSFEDGDFSPSSYHDLLNQQGYFTEPPAPTAAGHPCPYVTYIGPVHSSSSSSAGAAGVSDSPSFTSHWNTGSSAEVPTPYGFPHYHGWEYHSPPPTPPQHFSPSGPHVGSPTHPTPPPASARTSRANGSDVIRPRMPQFMRPSYHGHSSSGRAGSSVASVPRTPPFPGSNVRTRDRTQALQAYYQQSTAQQHQPDSPIVSRGPVFPSGRRPSRGSASSSSDQAGGGSRFLRFNIWEREPYMQPQQAYQVNQMDREPSIWASSFNEGGGSFHQRHGGGGPS >A05p009550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4001508:4001744:1 gene:A05p009550.1_BraROA transcript:A05p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWTLMEGLLLFANALAILNEDRFLAPRGWTLTELHQTGKRNSLKGQIVGLIHACHYMRLPLMLFNLIVIVFKLFSG >A10p006910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9938152:9938409:-1 gene:A10p006910.1_BraROA transcript:A10p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent protein kinase inhibitor SMR5 [Source:Projected from Arabidopsis thaliana (AT1G07500) UniProtKB/Swiss-Prot;Acc:Q9LNX4] MDKGFGCYDSEKVVVDDDYDVGCKTPTRDECRIPAYPLCPPPVRRKRSIVLGKKLEPPKNGYFQPPDLELFFTAAATSRQQESCA >A09p073530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56033083:56034294:1 gene:A09p073530.1_BraROA transcript:A09p073530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKQRTPKVSRNPDLIRGVGKYSRSQMYHKRGLWAIKAKNGGVFPRHDAKSKVDAPVEKPAKFYPAEDVKKPLANRRKPKPTKLKSSITPGTVLIILAGRFKGKRVVFLKQLSSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVSVEKFDDKYFGKVAEKKNKKGEGEFFEAEKEVKKEIPQEKKEDQKTVDAALIKAIESVPELKTYLGARFSLSQGMKPHELVF >A07p028320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:16010749:16011321:1 gene:A07p028320.1_BraROA transcript:A07p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNNKHTTINYSHILQKDPSSSSPASYSSVARSNGRMLVLTKPSPKPLKSSVTTPPPKTPDQPISDPDPNQISLRPLGHTGPGSSLSFPARTSEPDKTVAAPAPLSVSPKPDRFVPPHLRPGFVRKDEKPGLASSSILGLPHQEQQQPRQGYSEYGHTGRPKSGGYIRSDPEYLGRPRSCGNRPGTSG >A01p016560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8198154:8198594:1 gene:A01p016560.1_BraROA transcript:A01p016560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SLY1 [Source:Projected from Arabidopsis thaliana (AT4G24210) UniProtKB/TrEMBL;Acc:A0A178V5B2] MKRSASNSVTDGDASNKKMKKTTEEDSEIGFANLDENLLYEVLKHVDARTLATSSCVSKIWHRTAQDERLWELICTRHWANIGCSQHQLRFVVLALGGFKQLHSLYLWPLSSPNQHGRLGKDELKLSLSLLSIRYYEKMNFTKRLP >A04g501590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3912536:3914824:1 gene:A04g501590.1_BraROA transcript:A04g501590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSPGKNEVSPVKTEKTTMKSKNVVKEESSRPRKKARKGSYVSAETPAAGSEGIGMTHQQIEKSLKDISDAISLGFGTCLREIKLLGDRMVAVEKKVGITKKGGSSDDRQLTTTSNPLKPVHEPESESVNGAKAGQKEAKEPSLTTELISSRELCLVRPADDLPSDDPSVLILDKQVSTASDLLVEEARRQTKKETAMVKLPTQQTPFKGNNTAKQIIPNKKVGGGYYPFAPYDKMKSKELTAWVQKDPSYKLPLTKKPRRCPSRFYQATVPRPSTDRICFLDHIFSRQWRASYPVFKSDTGDANGLGRRLTGGAVDVDDIYAPVNFKNQHWIAIWISILKRHILVWDNIISHISPEEIDEVMEHFVTMIPYLLVECALFDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGMQFPNAFNKRNGKTIREKMALDIFQELPMCHEWENQDNDENLAKLGDVNRVRIG >A03p048070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22098967:22103500:1 gene:A03p048070.1_BraROA transcript:A03p048070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTASTSLGLQHLRSFSSTVPSLLFSSISFPLHSPRLRLRPRTLSLNRPHATSFSSSPGGSNYDVIVVGAGHAGCEAALASARLGASTLLLTLNLDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKVADRYVFATSADDSSLQNKLRKWRLDGVCYLQKRILNVSRGPAVRSLRAQTDKREYAMEMKKIVESTENLSIREAMVTDILVGKNDNVEGVATFFGMNFYAPSVILTTGTFMSGKIWVGRKSMPAGRAGESASQGLTENLQQLGFETDRLKTGTPARVDRRTIDFSLLEAQHGDEEVSWFSFDPDFHIEREQMCCYLTRTTEFTHQLIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFKDKESHQIFLEPEGRDVPEIYVQGFSTGLPENLQLPLLRSLPGLENCAMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKKHVVLERESSYIGTLIDDLVTKDLREPYRMLTRQFLNYGLGPLGRDNRSGNHLFRSEHRLLLRFDNADSRLTPLGRELGLIDDRRWKLYQEKQSRISEEKTRLKTVKISGGGGELAAEVTEVSSQPVKESATLESLLKKPHVHYSILEKHGFGNETLSRMEKECVEIDIKYEGFILRQQNQLQQMAQQEHRRLPEDLDYFSMTTLSHEGREKLSKVRPQTIGQASRVGGVSPADITALLITLESNRRRSQDLKRGKILEHALAGSNPHLVEDNEHMVKE >A04p021480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13000291:13003117:1 gene:A04p021480.1_BraROA transcript:A04p021480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQQQQSAIQGAKVLMVGAGGIGCELLKTLALSGFHDIHIIDMDTIEVSNLNRQFLFRRSHVGQSKAKVARDAVLRFRPHINIRSYHANVKNPEFDVDFFKQFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHVKGKTECYECQTKPTPKTYPVCTITSTPTKFVHCIVWAKELLFAKLFGDKNQDNDLNVRANKAASASKETEDVFERAEDEDIEQYSRKIYDHVFGYNIEAALSNEETWKNRRRPRPIYSKDVLPESLTQKNGSTQNCSAASDDDSATVSAMPLLGLKNPQELWGLTQNSLVFIEALKLFFAKRKKEIGHLTFDKDDQLAVEFVTAAANIRAESFGIPLHSLFEAKGIAGNIVHAVATTNAIVAGLIVIEAIKVLKKDADKYRMTYCLEHPSRKMLLMPVEPFEPNPSCYVCSKTPLVLEINTQKSKLRDLVDKIVKAKLGMNLPLIMHGASLLYEVGDDLDDIMVANYNANLEKSLSELPSPVINGSILTVEDLQQELSCKINVKHREEFDEEKEPEGMVLSGWTQSPATNGESASTSNNESAVDVTESSSGPEIASKKRKLSETQPENHKRETENVESEDDDDLVEIENPMTVSKKKRVA >A08p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22499655:22503238:1 gene:A08p038990.1_BraROA transcript:A08p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRRVLKSIQALAAHTLLFCFTLFLVLKLDHTLSSSWWMVFLPLWAFHAVVARGRFSLPAPVAPRNRHWAPCHAVVATPLLVAFELLLCIYLESSYGSWPPAVSLKVASLPLLAFEFLTTASFVFRMCRALMPGDDDSTSDEAIWEALPHLWVAISMVFTLAATFFTLLKLSGDIAALSWWDLFINIGIAECFAFLVCTKWSNPVIHRNSRARETASSSTSIRYLDWNSGLVVPPEDDRHQDRFCSLQDIGGHMLKIPVIVFQVVLCMHLEGTPERAKDISIPVLFSPLFLLQGLGVLFAASKLIEKIVVLLRGEAGPGFYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGNREEQARLYIDGESGYNTFSGHPPEIVKKMPKEDLAEEVWRLQAALGEQTEITKFSQQEYERLQNEKVLCRVCFEREISLVLLPCRHRVLCRLCSDKCKKCPACRITIEERLPVYDV >A09p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23068168:23069026:-1 gene:A09p040810.1_BraROA transcript:A09p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQFGVLMFKEIIERLESSRKTSGRLMEDICKTYERLMEDFDLGGKPKLFQNLGGNHTFYLNLGGMRDGI >A10g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19950226:19952465:1 gene:A10g506820.1_BraROA transcript:A10g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAESTKKPYDSLIDFLPAPLNTDDFKGRMSRFCHAGVSKIGFGSITCYRQIRCLRSCPAALNGGSETETNSETLASRICSSLQSSLSPALQKLQHQNLKCTDESSLEKKAISSLAGTPVHWHATLEEVPSGVPTIIIAHEFYDALPVHQFQKSLRGWCEKMVDVGEDSQFRFVLSPQPTPAALYLVKRCTWATPEEKEKLDHVEIILKSMDLTQEIAKEHKFVNILDNPGSADLSAYVDFPSIKHSAEEASENVTVHGPMTQSQFLGSLGINFRVDALLQNCDDEQAESLRTGYWRLVGDGEAPFWEEPDEQTPIGMGERYLTLAIVNRNQGTPAPFQTVTSAYHHNRGEVYAQA >A09p056070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47818997:47819562:-1 gene:A09p056070.1_BraROA transcript:A09p056070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRGLLVPRTSVRLLTVSLHTFSFKSGNYTGKKFCSEPTFFTASGQVGSDGSVIFKEEDEIGNACRFSLSLRTLRVVVDPLGTVIQWLCLPEAEVTRKFCRRRTSRTRPLLWKRGLVEDHKEQPEVIEVFESL >A01g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10849802:10850428:1 gene:A01g503450.1_BraROA transcript:A01g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILTGSASLYVGVAFGCPGAEKKSESPVAAMEMTNSSLEHQLQDITASRSEAVLVTQQEEPYILLVKEANDWIKMQTATVDKVDSWDASNMKVITSVCGEKGTALSCGTSNQAKESGNGKSVHYGSVFSVC >A03p040670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16960654:16961806:1 gene:A03p040670.1_BraROA transcript:A03p040670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTLIRSGASLMNRFLSKPTTNLVQNKLRSFQQIAPQRQELPPCFLFPSLTNLQNSLNPRVNDTVTLQELTERGFLHPSGLPSLEFFLPEVDPSSEPLLLFPKRTFQPSTIRRKRNHGFFARKATKGGRRVIARRIAKGRHRVTA >A09g510200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30079753:30085606:-1 gene:A09g510200.1_BraROA transcript:A09g510200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSVSTHRTSVGVRQHTHDVHVCPSAHTGRLWLSMCVRVCLSAHTRRPWLSISTHISTFVLGLSTLALPVDCLGDLGPRGLSVQYTKDVRGCPPAHTGRPWVFVAISQNTQDVRGCPSVRISARWSLDSARWPFPWTVRVILAHVGCLFSTHRTSVGVHQHTQYVLGCPSHTHDVCVCPSVHISARCSLDSALWPFPWTVRVILAHVGCLVSTHGTSVAVRVFPSVSVNTHRTSVSIHLFTYQHKTQDVRGCPPAHTGRPWLSVAVRQHTRDVRSCPCVSMWVCGCPTAHMTFVAVSGCLSAHTGRPCVSVSTHRTSMCVRQHTQDVHVCPSAHTGCPSVHISAHWFLDSARWPFLWTLWVILAHVGCLFSTHRTSVGVRQHTQDIRGCPWLSVSTHRMSVAIRVCPCVSVVVRQHTEDVRGYQWLSVSTDRTSICVCQHTQDVRVCLRVSVSTHRTSVAVHHTLALPVDSLGDFGPRGLSVQYTHDVRWCPSAHTGRPCVFVSTHRTSVCVRQHTQDVGGSICVCLCPSAHTGRPWLSISTHISTLVLGFSTLTFPRGCPSVSYPTLVLQHAGPYVDCLGDFGPRGLSVQYTQDVRVCPPAHIGRPWLSVLSVSTHRTSLTVRCVCVSVSTHRTSVGVRHTHGRPCVSVSTHRTSVAVHVCSCVSVSTHKTSRGCPSVHISALLVLGLSTLALPVDCLGDFGPRGLSVQYTKDVCGCPPAHTGRPWVFVAISQHTQDVRGCPSVHISARWSLDSARLPFLWTVRVIFGPRGLSVQYTQDVCGCPSAHTIRPWLSIIHISARWSLDSALWPFPWTVRVILAHVGCLVSTHGTSVAVRVCPSVSVNTHRTSVSIHQFTYQHVGLWTPHDGPFSRTVWVIFRPRGLSVQKHRTSVGVRQHTQDVRGVRQHTRTSVAVRVCPCVGCPPAHMTFVAVCQHTQTSMCVCQHTQDVHVCPSAHTGRPCVSVSTHRTSISTHISTLALPVDCSGDFGPRGLYVQYKKDARGCTSAHTGRSWVSVSTHRTSLAVHVCPCVSVSTHRTSVAVHQYTYQHVGPWTQHAGPSRGLQDVRAVRVCPSAHTGRLTLTLPVDCLGDFSPHGLSVQYTQDVRGCPSAHTGHLGLSVCVRQHTQDIRGCPCVSVCVRQQTHDVRGCLSVHIHISTLVVGLITLTLPVDCSGDFGPRGLSGQYTQDVCGCPWLSVCVHLCPPAHTGRPCLSISTHISTLVLGLRTLALPVDCLGDFGPRGLSVPYTQDVRRCPPVTPPILERIVRTAMVRGNVPASLRTSRQAFHGRKRSSVPTKSAPLAGLLAHSAETAERQLISARRTVRALGRWSGSGPWAKSRRLGAWVGLMTDPKPNQKGRWDASGLEGTTLGRWCLFASKSCLFVSKMLIEKRRERERESTGQEIGQKRAGRGGFVSPARRTKGLEKDSKAVVQSDRVSGVTTDSSAKTIGQSKPAGHTYRPDSPYGQLGRTVGTSEWVRVAKGHELPRGTSVQRVLFPKGCEFQTVPLVQGLGRTKWTVRGFIVKRMDGNPVWSWALLSGMDPGFGSDQVRRSVSLWARLITVRSHLDLNKTVRRDYGRSGYGWMALAAKAKWDILQ >A09g514090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42507873:42508186:-1 gene:A09g514090.1_BraROA transcript:A09g514090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNNLRGMDGEDELAPPNLPMHLSRFMVVAPFSLQQETACPRPELANHTQGSSHWLFASTKHLLDSL >A06p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20075846:20076548:1 gene:A06p037050.1_BraROA transcript:A06p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVDVNEKNSGSSLKFLCSYGGRILPRSTDGKLRYVGGHTRVLSVHRSISFEELMKKLFEFCGYSVDLRCQLPNGDLETLISVKSDEDLANIVEEYDRVSGAKIRAVLSPPRAHRQGSPSSSSSDLSPKSPFARSPFSVTPSPPNSPSSAYGRYLQSRYCLPPTDLGRRYIHGYGESYCYACRGHKDSRLIRH >A01p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25040610:25042784:-1 gene:A01p043100.1_BraROA transcript:A01p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICMDKEPDGLVVYANSDSCDPTQENVSVLPPLDSVSRDEADGNTELLLTEENVEVKEYDVKECTNEVPAAKPLEDGNMEIAALGKEAKRALKVGRWINKKRNTVPQPFALATEKRASSTTRPFTGESHGVAAVSKIYPDGYSKVQNQATKVPRKPLQPKNKKLSDEEDSCSVASYAKSRTIVTAAPSFRSTERAEKRKEFYIKLEEKHQAMEAEKTQSEARNKEEHDAALRQLRKSLMFKANPMPKFYHEGTRPKVELKKITHKPPPTRAKSPKLGRRNKRRHKTRKTLIGISKEDPDDETAHNADQINRFVTEEEVNQNSLLVTRN >A08p019260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13165632:13169427:1 gene:A08p019260.1_BraROA transcript:A08p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALFLEARGCLLSKLVRPKFLEYCLSVALILMLRDIDIVILSQPHIEPVEEEDEDRDDNGVDDEDGNGSEDRDDNGRDEEVEDNSDELGLCMWLLPYVFNEPAMSDLESNEFLSSDFSPTELSISLTKEPGFHSAEIVVVRQYQLMLIYSKDIDTKVKQDGDERRSFTMPVASLELKRKLHMHQKKAFEFLWRNLAGSRQTFLIIPFLASYLKIFRGKRPLVLAPKTTLYTWYKKFIKWEIPVPVHLIHDRRTKRTRFSSRGFQNRAKTLVNCLDKIQKWHAQPV >A09g504150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12995971:12996398:-1 gene:A09g504150.1_BraROA transcript:A09g504150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDLATRLVLVPGDGGYPRSVVVGFFSGGGSLLRFTIAGSSFREGETHLSPPSPAFGHGEWRLAKLRAAVFGSLSLFWVIFSFLFGFWVVLVVVLAFAVVFVSSGKAFRGR >A09g514610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43618738:43620661:1 gene:A09g514610.1_BraROA transcript:A09g514610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEQFRKVILYRSGLVTAAASFVAASSAAFLPEDSWLRLCYGKLEAGLLTFIIPSILLRHLIGLMNDEAKLVFLGTWIALFVVFSGRQFTQPIKDDIGDKSVSMFMARALPEDER >A05p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2846615:2847388:-1 gene:A05p007050.1_BraROA transcript:A05p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYVMDLLTLEGNTYHKSCFRCSHCNGTLVISNYSSMDGVLYCKTHFEQLFKESGNFSKNFQTGKTEKSNDHMTRAPSKLSSFFSGTQDKCATCHKTVYPLEKVNMEGECYHKTCFKCAHSGCPLTHSSYASLNGVLYCKVHFNQLFLEKGSYNNVHQAAAKHRRSASSGASSPPSEEQKPEDNASIPEGEGGGEEEAAPEAAGGEETEPVAES >A04p000440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:224127:225428:-1 gene:A04p000440.1_BraROA transcript:A04p000440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGNEVNLNECKRIVPLNTWVLISNFKLSYTLLRRPDGSFNRHLAEFLDRKVPSNSFPLDGVFSFDHLDSTTNLLTRIYLPAPLDPSRYGTVDLTEPLSTTEIVPVLIFFHGGSFTHSSANSAIYDTFCRRLVTICGVVVVSVDYRRSPEHRYPCAYDDGWNALKWVKSRIWLRSGKDSSVYVYLAGDSSGGNIAHNVAVRATNEGVKVLGNILLHPMFGGVERTQSEKRLDGKYFVTVQDRDWYWRAYLPEGEDRDHPACNPFGPRGQSLEGVNFPKSLVVVAGLDLVQDWQLAYVDGLKKTGHDVNLLYLKQATIGFYFLPNNDHFHCLMDELKKFVHSIEECSQSKSSPILLSL >A08p043800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24343637:24345363:1 gene:A08p043800.1_BraROA transcript:A08p043800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSATSSFFPLPSSSLDPNGKTNKLTSTNFSGLNPTPNSGRLKVKPNAQAPSKINGKKVSLPGSVHIVKTDNNHDLSQQHAPRTFINQLPDWSMLLAAITTVFLAAEKQWMMLDTKPRRSDMIMDPFGLGRIVQDGLVYRQNFDIRSYEIGADRSASIETVMNHLQETALNHVKSAGLLGDGFGSTPEMVKKNLIWVVTRMQVVVDKYPTWGDVVEVDTWVSKSGKNGMRRDWLVRDCNTGEILTRASSVWVMMNKLTRRLSKLPEEVRGEIEPYFVNSDPILAEDSRKLTKLDDKTADYVRSGLTPRWSDLDVNQHVNNVKYIGWILESAPVEMMEKHKLKSMTLEYRRECGRDSVLQSLTAVSGCDVGSLGTAGEVECQHLLRLQDGAEVVKGRTVWSSKTPSTTWDTTS >A04g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20365699:20366194:-1 gene:A04g507940.1_BraROA transcript:A04g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLNSHFTIIIILKEIYAAELKVAGGGGGRKSVPLQRVMVLEVSQYLENYLWPNFDPEAASFEHVMSMVLMINEKVVSSGRMWRLGFAFMIVKTFSRSFFRRFFDLKRFVLSSQSTYCQLVLSWKSIINPNLTWYQIILVVSGLLL >A01g505360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16062314:16063614:-1 gene:A01g505360.1_BraROA transcript:A01g505360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTLEDAILIFFLDLLMSDDQYMTHLFFLPLPLTYLLFASLIPALNPKSRCLLFWCIGVIPETLVVRGVYFRSSGGAIPNALLTVAVRGSLGDLLHRGSMVPCDGVLEGGAVRRLVKDDLAPRFPWKVSCRALLYWRVELYIGNTVGIHIPALAEANLVLFGGSQHLPFIMGDSQF >A03g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27665329:27669310:1 gene:A03g507830.1_BraROA transcript:A03g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHSVFLILFGVIAIAIVVHGQGQAGFISIDCGSPPNINYVDTDTGISYTWDAPYINSGVNANVSEQYGYPANPVLPFPLADVRSFPQGNRNCYTLTPSDGKGNLYLIRASFMYGNYDGKKALPEFDLYVNVNFWSTVTFRNASENVIKEILTFAESDTVYVCLVNKGKGTPFISALELRPMNSSIYGTEFGRNVSLVLYQRYDTGFVNGTGRYQKDVYDRIWSPYSQPSWNTTTASGYIDIFQSGYKPPDEVIKTAAYPKSDDEPLELSWTSDDPDARFYAYLYFAELESLKRDESRKIKIMWNGSPVSGAFNPSPEYSMTLSNSRAFTGKDHWISVQKASDSTLPPILNAIEIFTAQSLDELPTIAEEVYAMEGIRSTYKVQKAWTGDPCSPRLFPWEGVGCIYNDSNHHIKSLNLSSSGLHGPIALSFRNLSHLESLDLSNNNLRGFVPEFLADLKQLKYLNLKGNKFVGFIPKALRKQSKAGGLALIVDEQNICHSRSCRDGNNIIVPIVVSTLLILLIAALVIICIIRRERRIVDSGAYSGPLLPSGKRRFTYSEVSSITNNFDKVIGKGGFGIVYLGSLEDGTEIAVKMINDSSFGKTRGSSSSSSQVSKEFQVEAELLLTVHHRNLASFVGYCDDGRGMALIYEYMANGNLQDYLSSENAEDLSWEKRLHIAIDSAQGLEYLHHGCRPPIVHRDVKTANILLNDNLEAKIADFGLSKVFPEDDLSHVVTAVMGTPGYVDPEYYNTFKLNEKSDVYSFGIVLLEIITGQRSIMKTDDGDKMNVVHYVEPFLEIGDIDGVVDARLHGDFSSNSAWKFVEIAMSCVKDRGVHRPTMNQIVSDLKQCLAAELAREPQSLLEKEEKNRKTTPVRNYSISDYISSSGSVSLTFGDNNTYGPTA >A09p081120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59143432:59144175:-1 gene:A09p081120.1_BraROA transcript:A09p081120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGEKKMTLEEYVDYFNSGKSIDFTCSYLNQILHLHSFRKLHHSTKKTVGEAVDAVELLDLSRSTLDQTTLSSSSASLTLDQVISDIEALKWQECCMTSLQIVSSDVTTRAVANPKERSNKRKKQGNEKKMRKRNVKSIRSVNEAAAETSCSY >A08p041900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23603588:23611656:-1 gene:A08p041900.1_BraROA transcript:A08p041900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESMVVDAAKRAPTRDSLAEEEQSSSPMKKQKVEEEEKIYSETHVPELGCKPQWDVDSYDGREYESDPEDRKLFSDDEEYDKYRRERRRAFDSKGFIYEPLSGNYPIKDLEALVYPNVTSRELMTDLANLCVKKLNETEKKTVELVEIVRVIVLGGGTRKAYITFMARESLNGPLIEYQAKVVTYAKNLKPPVPILCRPTFTKIFNPLNHSEGLLLRVSGGKLRILAVHVDHLDDSNQRSVFGKEDPLRLDVVVDFHGSVLADTYTYESQTGFPAKGSAQNQYFYWLASLESQYNSNGFLHQITASADFSRKKQGRMAASGPKSSAPRGFGRRTTVGSAQKRTQKKNDEKDSNATSTVTNEGLGVSKLPEAKADVQKQALAEDDVLLEQKLKAERESLRKKEIESLAEEALARGDRMFVYPLVAKPDEDIEVFLNKTFSTLSNEPDVLIMGAFNDWRWKSFTRRLEKTEELHGDWLSCLLHIPEEAYKMDFVFFNGKSVYDNNDSKDFCVDVKGGMDKVEFENFLLEEKWREQEKLAKEEAERERQEEEKRRIEARKAAIEADREQAKVETQKRREMLQPALQKAVVSDENVCYIEPKDFKGGDEVKIFYNKSSGPLGYAKEVWIHGGFNNWIDGLSIVEKLKADSKSEDWWFAEVIVPVGALVIDWVFADGPPKEAFLYDNNSLQDFHALVPLRTPEELYWSEEESLIFRKLQEERRLKEEAMRVKMEKTARLKAETKERTLKKFLLSQKDVVYTEPLEIQAGSPVTVFYNPSNTVLNGKPEVWFRGSFNRWTHRLGPLPPQKMEAADDGSSHVKTSAKVPLDAYMMDFVFSEKEDGGVFDNRYGLDYHLPVVGGIAKEPPLHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQELNHNVDIIFPKYDCIKYNLVKDLQFNRSYHWGDTEIKVWHGKVEGVSVYFLDPQNGLFQRGCVYGCADDAGRFGFFCHAALEFLLQGGFHPDILHCHDWSSAPVSWLFKDLYTHYGLIKTRVVFTIHNLEFGASAIGKAMTFSDKATTVSRTYAKEVAGNSVISPHLYKFHGIVNGIDPDIWDPYNDNFIPVPYTSENVVEGKRAAKEELQNRLGLKSADLPLVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSTHGDRARLVLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGAVPVVRKTGGLYDTVFDVDHDKERAQAQVLEPNGFSFDGADAPGVDYALNRAISAWYDGREWFNSLCKTVMEQDWSWNRPALEYIELYHSARK >A01g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18078526:18084385:-1 gene:A01g506140.1_BraROA transcript:A01g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYITRSSHKAAMKGKGILYEDDDAPIKLMDQDDTLIASKFSLSLIGKVLNPKKQNVEKLLRKMPSQWGMEDRITANDLGNGKFLLNFTSEEDLNSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIVPFMVQVIGIPLHLWTDINLRNIGARLGHVHVDTLEVAEGRMLVDVDSRKPLKFSRKVESKDGDEVTIEIKYEKLFKHCSTCGMLTHEKDHCPSVDMRSRLQPQSDRPGIFTRMQAPQETAQRHTFHREQGLKLNERQAYAPRLESSARQVAPSRYYEDERKYAPRTHHPADLHTTHSDRIMRRRNDPTRGDRYGGSRASKGPYDRNKRQTWREKAETNMRLVTTSQPSAATATSSRQIVPYEQSSGMSNNDINGIVGQTARVGESNSARGAKRLASTIVTPSRIDRSDHNDMEENVTKRAKELTRSLSFTDLSDHEPVTAAGDNQIIGALNDMEIEDNQDDGMMECEGMDEDLLGIDLKDMEEREALQVVSKATSGHVTSGNDTKVLKSSRQGTKLNVPLGLQNKKFEILRRGSPRKPSSSSQGAHTARDSTSNNDANQVLSLGNICLLDGSWTASDRFSGCGWVWMDSREDIQLMGTRNFTRCESALHSEVEALRWAMENMLQHSPCQSFGTDCKELIAMINEPQKWPRFATELEKIETLQICFPDFKITHVPRLRNQFPDFLAKTARSFHRELLFIGCSIPVWLPRPPQA >A10p037360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21087937:21090678:1 gene:A10p037360.1_BraROA transcript:A10p037360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) UniProtKB/Swiss-Prot;Acc:Q9FG38] MESSSTEQTRNVMQSPRSPSSQPYLSASVTDPVKLGNGVQAYISYRVITKTNLPEYQGPEKIVIRRYSDFVWLRDRLFDKYKGVFVPPLPEKSAVEKFRFSAEFIEMRRAALDIFVNRIASHPELQQSEDLRTFLQADEETMERFRFQETGIFNKKPADFMQMFRDVQSKVSDAVLGKEKPVEETTPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLLDFGKAVKLLGACEGEPTGKLFSDLGTKSELVSIKLQKEAQQVLMNFEEPLKDYVRYVQSIKATIAERATAFKQHCELAETTKLKEINLDKLMLTRSDKVGEAEIEYREMKAESEEATIRFERIVKRMNEEIVRFQEQKTEEMGVAFHQFAKGQARLANGIADAWRALLPKLEAASSA >A02g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6054344:6054698:1 gene:A02g501920.1_BraROA transcript:A02g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHYSWFGAMKVYQLVNLESISVSQAELRQRFMQLPKYLRVAIRHCILRKDASLSSDGTLMHLWIMEGELPPSTNGYEGVFYIYLV >A10g503100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8106852:8108998:-1 gene:A10g503100.1_BraROA transcript:A10g503100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTKKVRKPSKRSKPSSLPSQYEFTPRTTEPPPRGNRRAGPTVSDYPPPRQLFEESTPRTQPRAASTPLSQPAPPQQPRGSQTSANVRPRQPTVSIRRQSPISSEAQNSQNTEAPEGEGEGEGEGEEGEDEGEGESEDEDEF >A09g511240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33823662:33827660:-1 gene:A09g511240.1_BraROA transcript:A09g511240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRSLRIERPRHSVGHHVLSDQDTPSVTTRSLRIERPRHSVGHYVLSDLATRSSLRIEQPSHSVGHYVSSDLATRSSVKISITVFTKSNLRKEIFTKSLAVKYRPNLNRTTKYQLSEGNGHVSKSAADKLEYRNQTVDKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATELEPSSRPSIRLARSLRSDRARAKLGRYVATEPKLLGYVATERPSRSVAM >A02p047480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29622514:29625854:-1 gene:A02p047480.1_BraROA transcript:A02p047480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEVSIKRVGFEPHYLQRAAPTGVVRQLLSEDPRNSEYADMEKELKELADSVELCFSFLDLKGVLVWGHHDGQACVCLSPHVLRSIPEVIALTEELLATAKQNEISLSDAGATSDSPNNLLEGAWRQMESRNDPIHEGKFPMGTKVQAVFSEDGEWYEATVEARDVNVDPDNVRAIENNALLEAERLAEATKNALKRKIEQAASSDYQSKTLPAKLKIDPNDPEDVRKKIHAFKSKARQEQLEVAQNKKQNAWQQFQTTKAKTKKVGFFTGRKKESIFKSPEDPFGKVGVIGSGKGLTDFQKREKHLHLKSGNAEGTDV >A07p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:86859:87892:1 gene:A07p000700.1_BraROA transcript:A07p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLEFLQVKERTAACAESAEAAGQLSPLSLALTLQKNLENFREKERKKNRKISEKIRKINQETILGDLIFNPRPVCCFEKDQKLQAYHGEEDQLRPSSPLVRLAKVWSFARPILSIQSLGKPQSSESDELLSPFSFVQEELKYCPSQFEDCSLGESRQMLR >A09p072470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55549055:55550984:1 gene:A09p072470.1_BraROA transcript:A09p072470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B17 [Source:Projected from Arabidopsis thaliana (AT2G20590) UniProtKB/Swiss-Prot;Acc:Q6DR04] METTPPPYHRPSNTKSASRLARVNDSKQEEEAPDNLCLDLVCLSSPKSNDTPTPCPSPLPRASSSPSPLRKSKIRLDDRLQMEASEDAAAVVVRKQGKGKGGQKSLLASPRNPRRSRRRSEAVAESVIEEAPKPRKRKANVARPKKEQKQTSSSSHLPNDASCQSDLNRVGEIISDLVMWRDVAKSTLWFGFGCLSFLSSCFAKGLNFSLFSAVSNLGLVLLCGSFLSNTLSQRNKEDAKREFHVSEDDVLRLARRLLPAANFLISKTSELFSGEPSMTLKVTPFLLIGAEYGHLITLWRLSAFGFFLSFTVPKLYSCYTHQISQKVERVKTRIGEAWQVCSHKKILAGSAVTAFWNLTSIRTRIFAVFIILVIFRYRRQNLVQLNPEEVVPVVENEKPEEETLPQEEETQQPQEEQALAMVVAETQGSKKL >A08g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20593906:20595046:-1 gene:A08g509730.1_BraROA transcript:A08g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLERYQKCNYGPPEPNVPSREALAVELSSQQEYLKLKQRYDALQRTQRNLLGEDLGPLSTKELESLERQLDSSLKQIRGLRTQFMLDQLNDLQSKERMLSDTNKTLRLRLADGYQMPFQLNPNQEEVHVDYGHHQPQQQAFFQPLEYEPIFQMGYHQGQQQDHGMGAGPSANNYMLGWLP >A03p027590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11556827:11558806:1 gene:A03p027590.1_BraROA transcript:A03p027590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVEEWYCGCFNNQASGSGTDQVHPPMFNAPEFDAFFNSIGANFDTLPTDREPAGLDQFLRASRMCNGCLHIMNEALAASRLEEYKRIRSFRVEE >A09p017970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9364894:9368060:1 gene:A09p017970.1_BraROA transcript:A09p017970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFSSIVPAPQDPILTVYFASRDDPSPVKLNLSGGSYRSEEGKPLVLQAVRRAEQQLANDMSRDKDYLPLDGLAKFNKLSAKLILGDESSAVKENRVVTIQCLSGTGSLRVGAEFLAKHNQERVIFVSNPTWGNHPNIFSLAGMSVEYYRYYDPKTRGLDFKGMLEDLGAAPSGAIVVLQACAHNPTGVDPTLKQWEQIRQVVRSKSLLPFFDNAYQGFASGDLESDAQAVRMFVNDGGECLIAQSFAKNMGLYGERIGALTIVCTSEDVARKVKSQLLLVVRPMYLSPPIHGASIVTTILKNSDMYNDWTVELKGMANRILSMRKQLNEALQARGTPGDWSHIIRQIGMFSFTGLNEKQVRFIAKEYHIYMNYDGRVSIAGLSSKTVSQLADAIHAAVTRMA >A06p053860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28315432:28317123:-1 gene:A06p053860.1_BraROA transcript:A06p053860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MDSVSLSEVTVIRRTHLGFMHSFRQPFSGVTISPKFCHSKVVGSRAISSSVSSTKSQYVYGESLAATADSDYKMNGVTLKNRISSVKERLLLDAFDDEYGGVVVDHGKLPSNPTVFTSMLQSSLSDWRRKGKKGVWLKLPVEQSELVPVAVKEGFEYHHAEKGYVMLTYWIPEEEPCMLPGNASHQVGVGGFVLNQYKEVLVVQEKYCTSSNTGLWKLPTGFINESEEIFSGAVREVKEETGVDTDFLEVIAFRHAHNVAFEKSDLFFICMLKPLSDKIIIDNLEIKAAKWMPLVEFVEQPMIKGDKMFKRVIEICEARLRHRYCGLSPHRLVSAFDGRPSSLYYNVVDDDDPSHSNCTAEFY >A03g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14488589:14490489:1 gene:A03g504070.1_BraROA transcript:A03g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSEEYDVDITSLLQAEADLYSDDADSRQNIPEPVEYPPQPESDDGIPATCYCGSEAVVKTSYTSKDPGRRYFSCSNTDDGDCHVWKWWDVAVMEELRDQQRQLRELKDQAYESDEKLVKVEKFVGELTKKKTGIANGYPLLVCVLVSVAFLICMVVMFKWVAEKDNVVTESLEELQEEVQRMKMRLSDLYKNPLFNPTGEEQDMFQLKTTDDVSSKFYVSECHG >A04p002580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1235686:1238722:1 gene:A04p002580.1_BraROA transcript:A04p002580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:Projected from Arabidopsis thaliana (AT3G59760) UniProtKB/TrEMBL;Acc:B9DFR6] MAMLMASRFNSEAKIASRCLSTLLRNQRVLIASPSSSSMASASSSSALLLNPLTSSTLRHFRSSSEISSLSFSASGFPLAMKSQQSRSYGDVSKRDPCEAVKRENGADGLNIAENVSQLIGKTPMVYLNSMAKGCVANIAAKLEIMEPCCSVKDRIGYSMVTDAEQKGFISPGKSVLVEPTSGNTGIGLAFIAASRGYRLILTMPSSMSMERRVLLKAFGAELVLTDPAKGMTGAVQKAEEILKSTPDAYMLQQFDNPANPKIHYETTGPEIWEDTKGKVDIFVAGIGTGGTITGVGRFIKEQNPKVQVIGVEPTESDILSGGKPGPHKIQGIGAGFIPKNLDQAIMDEVIAISSEEAIETAKQLALKEGLMVGISSGAAAAAAIMVAKRPENAGKLIAVVFPSFGERYLSTPLFQSIREEVEKMQPEI >A07p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:466927:469243:-1 gene:A07p000790.1_BraROA transcript:A07p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVPVEMSKEVEDRHSSSSNVVAKLMGLETTASPRSSSRSRSSSLDARKFHGYEMWVSLENLSRKGHCDGSVSDSKKLDLVRRKFLEAKRLVTDDKLHGSKEFQEALQVLTSNKDLFLELLQESNSFFSHDLSDFHSVSPHPEANKRITVLRPSKAHNFVLQDSRGKQETGCTDAAQPTRIVVLKPSPGKGLDIKAITPSFFDEAGDDETRQVAKEITRHIRETFRGHCRNETLSSSSSYSVLSKGYLCDDGSLNRSTNEYPVGNSEIMSPSSRHSWDCGNRFETPFSSSSFRRLFFSPESSVYREAKKRLSERWAMMSLNGDPQQQQRNLPRVSTALGDMLALSETKVPTRCSEESNKAKQESRRSVSCIGSGLDQVESARDSTLNTFVRSKSVPEIRLNGGTSVPGTSKALAHRELTESRSLKSSWKVPSLFFFRNKKANKEKTDALSQLAKPTDALQRRSIFTTEGELTPPNENQDQPSPVSVLQHPLEEEYVGNPECSGSTKPWTSQGGKEMSPKCSLIDKSPPIGSIARVFSWEDESYTDITKPGNGIKEDEDWYYFIKTLLKTSGFSGSDPLMTRWHSPDSPLDPSLRDRFANKEPIKRRNQRSNRKLVFDCVNAIITETSSTAARTGVTTPGFDMVEHVWTEFKEWMVQDSNSLEGESLVREEVVGKMWSHNLQVEVNNLGVEIEEMLLQELVEKSVFDLTR >A08p039320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22630392:22631408:-1 gene:A08p039320.1_BraROA transcript:A08p039320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTESKGRGWYGKIYRKLETILVEVDSLAAQGKVSLSSSDSPGLDSVRDDEPDHCLRTEQGDCDQKATTSLCRNDPKSSQACNDDDTFVSLSGNCAETPAAPGHDKVANMRSFSSNSMMVNQDEFFIEDFDEAPLDTIDLYDMTFREDPSDFDDNLLYATRDRSRQLRSFKGKIMDALTSKRRREKEYEQLAIWFGDAEMGCDAMNTKKQHETTSLNTKSSESNVPFASEDSEWELL >A02p000070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24749:27607:1 gene:A02p000070.1_BraROA transcript:A02p000070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQDALVSLSFFFLAASVTSAEDPTFNDDVLGLIVFKAGLDDPFSKLASWNSEDYDPCSWVGCVCDPATNQVTELRLDGFSLSGHIGRGLLRLQSLHTLVLSNNNLTGALNSELTHRGTLQLLDFSGNNLSGRIPDGLFEQCGSLRSVSLGRNRLTGPLPVSLSYCSTLTHLNLSSNQLSGRLPRELWFLKSLKSLDLSHNFLQGDLPSDIGACSSLRWLDLSHNYFSGNLPVSMKSLASCSLVRLRGNSLLGEIPDWIGEMGSLETLDLSANNFSGNAPFSLGNLLSLKELNLSSNFLAGEIPHSIISNCSNLLSFDASKNSFTGWMLFTGNLSSLGFLQGLRLLDLSSNAFSGEIPSNIWILTSLLHLNLSANSLFGSIPTSIGGLKLVEILDLSSNLLNGTLPSEIGGAVSLKQLNLQRNHLTGHIPPLISNCSALNTIDLSENKLSGGISGSIGSLSNLQYIDLSRNNLSGSLPKQVEKLTHLVTFNISHNRITGELPAGGFFNTIPLSAVTGNPSLCGSVVNRSCLSVHPKPIVLNPNSSNPASGPPLSGQIRKSVLSISALIAIGAAAFIAIGVVAVTLLNVHARSSMSRHDAGAALAMSVGETFSCSPSKDQEFGKLVMFSGEADVFDTRGANALLNKDCELGRGGFGVVYKTSLQDGRPVAVKKLTVSGLIKSQEEFEREMRKLGKLRHRNVVEIKGYYWTQSLQLLIHEFVSGGSLYRHLHGDECVCLTWRQRFSIILGIARGLAYLHGSNITHYNLKATNVLIDAATGEAKVSDFGLARLLASALDRCVLSGKVQSALGYTAPEFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYAEDDVMVLCETVREGLEEGRVEECVDARLRGDFPAEEAIPVVKLGLVCGSQVPSNRPEMEEVVKILELIQCPSQEFE >A09p082360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59658568:59661294:-1 gene:A09p082360.1_BraROA transcript:A09p082360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 9 [Source:Projected from Arabidopsis thaliana (AT1G03930) UniProtKB/Swiss-Prot;Acc:Q9ZWB3] MDLVIGGKFKLGRKIGSGSFGELYLGFILLVAGVNVQTGEEVAVKLESVKTKHPQLHYESKLYTLLQGGSGIPNIKWYGVDGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLGKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLEAVGYVLMYFLKGSLPWQGLKAGTRKQKYDRISEKKVSTPIEWHLKALDVEEQVLCRNQPSEFVSYFRYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKHPQTGSSSSSSSRTRDHTTGKPELTAGKPERTAGNRLSGAVEAFSRRHVTPRDRSASRNSDDVPVGGGESERRGSSSRNGSSSRRAIASSSRPSSAGGPSDSRSSSRLVTSSGGGGMGSTSQRMGPGGYESKKSSTLSRGARNTRGDPLRRSFELLSLRKS >A04p010420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7234725:7237643:-1 gene:A04p010420.1_BraROA transcript:A04p010420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDQIFSLPVQNPTLPEFSSADLVWSKVEGYRDNIDRLALIPYTRVDDFVRGESSNKDCPTSFHVEARRRKAKEKTYKAKVDGILEYILYWCSFGPDDNRKGGSVRPCRSTYVPKKNNAGRPNSKRGCRCHFIVKRLIAEPTVALIIYNHDKHVDEKGLPCHGPQDKKAAGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPSNRDDLLTHRYVRRLERSIRRSTYELDEDDDVSVSMWVESHQSHVFFYQGFSETEPFSLGIQTEWQLQQMIRFGNCRLLASDSRFGTNKLKYPIHSLVVFDSENKAIPVAWIIAPRVSSGDAYRWMRALCNRVHAKDPLWKVAGFIVDDPFADITTIRDVFQCPVLFSFWRIRHAWHKNIIKKCPDTETRVEISRHLGQAVDKICRKQGTATLFEGLMEHFVNSPEFIEYFRAVWSPRIGAWTSALQTLPLASQEICAAMELYHYQMKCRLLNEGDSEAYQRTDWLVDKLGTKVHSYFWLDEYTGKDDFARYWREEWVSGLTSFRKALSIPDSDVVISGMSAKVTDKCDANEVHVVWSPSSHFGVCSCSWAQKGYICQHMIKLALVCRGNRTAKESVSLLQYYQALVDLLHLPPGDSLFRDYAASLAVSVEKQINALGNLPKSDASEGTVQKQVAGELDGELSKMPMSRDRGRACSENAEDVISGSEMEMDPSLCSTKAGAEDVTSTVQNETDMATENHERCAKRLKFCSTRAREYVDE >A08g506640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11457532:11458080:1 gene:A08g506640.1_BraROA transcript:A08g506640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPIQLKLIISDLGVFLSLRDLHLQTGGYKFYNLLDYAGLYSLKRINLHLPMNKIGEKYVSKYFCSLVPPSDLGFISLAKFGILWHFGDISKREKKGFKCKVYFVLDWKESLEMMFGGGGRNSLGGGGSTKRFTFSRRCHVALDFSNPYTCV >A02p018200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8340368:8342854:1 gene:A02p018200.1_BraROA transcript:A02p018200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNQVEIDEALAASLLYDDSESTICDTVTLRQIQQQQVMIPPLRVCPPECPQRFVCPYCPVPYPHGHDTLPFVCLYCPVPYFHVHDCPPSREAFTGHIYGNSSTDMYRRSFSDIGPSSGHGDSENMTLEVVNELIESVGDVNRGLPQSKVSRLPTHKYGETPKCRWWWEKKKKFVSDDSQCSICLIDYEKGDRITTLTPCNHIYHTDCISEWLKKSTVCCVCKRKVYC >A05p019750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9262370:9264446:-1 gene:A05p019750.1_BraROA transcript:A05p019750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGTSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIAPHNTVHEGRIYQLKLFCDKDYPEKPPTVRFHSRINMTCVNHETGVVDPKKFGLLANWQREYTMEDILVQLKKEMTASHNRDEAQSIEKVVGVEDVVVDTALETVVDMGEVVVEDVRKDTVEMYTVEVRRDSHLKSSSSLPLQKKALEATMVKLLYLTHGLSVFWIFAI >A06p038780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20839210:20841387:-1 gene:A06p038780.1_BraROA transcript:A06p038780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMETKKPYMMVTMIQLIYAFMFLISKAVLDGGMNTFVFVFYRQAFATMFLAPLAFFFERKSAPPLSFVTFIKIFMLSLFGVTLSLNLNGIALSYTSATLAAATTASLPAITFFLALFLGMETLTVKSIQGTAKLVEITVCMGGVITLALYKGPLLKLPLCLHLYHHQNIPGHVSGGSTSWLKGCVLMVTSNILWGLWLVLQGRMLKVYPSKLYFTTLHCLLSSIQSFVIAIAVERDISAWKLGWNLRLVAVIYCGFIVTGVAYYLQSWVIEKRGPVFLSMFTPLSLFFTILSSAVLLCEIISLGSILGGLLLITGLYCVLWGKNREEKNANDEKTEQHHEDDAVCK >A07g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4960141:4960881:1 gene:A07g502330.1_BraROA transcript:A07g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNWSCDMEQGHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMAGDLTLGREGTALASDLLTEKLESLFGRTNYRPGIAFFWVEKPRVISDRSVGVLWLLV >A10p021680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14499843:14502187:-1 gene:A10p021680.1_BraROA transcript:A10p021680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNX2a [Source:Projected from Arabidopsis thaliana (AT5G58440) UniProtKB/TrEMBL;Acc:A0A178UPE2] MMGSENADVFEETNLNAAKEEMENLALNGGDVNGDSSNSAYRSAMSTLSNVHDPLSPLPTVLTPADSDPLTAPSSYIEPPSYADVIFSPFDETSDSEINGGEDSSLSPDSLSRSPSSSSSDYIKITVSNPQKEQETSNSIVGGNTYITYQITTRTNLPDFGVSESSVRRRFRDVVTLADRLAESYRGFCIPPRPDKSVVESQVMQKQEFVEQRRVALEKYLRRLSAHPVIRKSDELKVFLQVQGKLPLPMSTDVASRMLDGAVKLPKQLFGEGGASAVPVHEVVQPARGGRDLLRLFKELRQSVSNDWGGSKPAVVEEDKEFLEKKEKMLDLEQQIINSSQQAESLVKAQQDMGETMGELGLAFIKLTKFENEEAVFNSQRTRATDMKNLATAAVKASRFYRELNSQTVKHLDTLHEYLGMMMAVQGAFADRCSALLTVQTLLSELSSLQARAEKLEAASSKVFGGDKSRIRKIEELKETIKVAEDAKNVAIREYERIKDNNRSEVERLDGERRADFMNMMKGFVVNQVGYAEKISNVWAKAAEETSQYDREKQSS >A01p051350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28788174:28790208:-1 gene:A01p051350.1_BraROA transcript:A01p051350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVSKIDMTTTILGFKISMPIMVAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKNRKVVEQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFTLPPNLTLKNFEGLDLGKMDEANDSGLASYVAGQIDRTLSWKDVQWLQTITSMPILVKGVITGEDARIAIQAGAAGIIVSNHGARQLDYVPATISALEEVVKATQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHITTEWDTPRPSARL >A09g513030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39612882:39613213:-1 gene:A09g513030.1_BraROA transcript:A09g513030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITMKTLITFVFTIFFIVSSVHCGTTATADTPGYGEIKQVICYDFSRPCDTRGELGCDDFCIDWGFLRGRCAPRKCCCER >A10p001020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:531830:533373:-1 gene:A10p001020.1_BraROA transcript:A10p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVGFRFIPTDEEIVDYYLRLKNLGGDTSHVDKAISTVDICSFEPWELPSKSRRESRDQIWYFFGRKDNKYNRGERQSRKTWSGFWKKTGVTTEIIRKRGNREKIGEKRVLVFHSKPEWVMHEYVSTFLTPTQTTYTVCKIIFKGDPRDLSSSSSSAPGGGGEVEHNHSQFTHMNNSGEFEGLQNQRHFTGLLDAEKETQIHDALCRGLDNVSTHDLNSFINCGNNDEEEHVNLLFMQENRNDYRPKMSLTGFIDHSDDENSDSDLISATTTGSIQTSSACDSFGSSNRRIDQITDLQNSPNSTTKLMSPTQVVRKTSLDASKEKYDVQGNEMGENYKMDQEVINKKRGSFFYRKIRSCIKKTLLCSSIPTQEHDN >A04p001890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:922000:923051:-1 gene:A04p001890.1_BraROA transcript:A04p001890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLRTTCFYFLCIVFVILSPSCLCDSRRLGPMEKKLGVNRDHLIAENNEEITKQGVPSTNVAKTLLSEAPIEHDVANHGQINGNSMKDGFRVKRASLDKTVPSKRVSRTWKVPKYSKKQPRSDHEHPAFSLDYMQPSTHPPHHN >A02p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:719571:721585:-1 gene:A02p001640.1_BraROA transcript:A02p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRRTTRVLDGAARVLRSGRRISHNVDEPKAKRAKGKGNNKGEDFTANKRRKVSSEAVDKRFGIVYNRKRKTLSNQSSGSSSNRPVKPPQSLKFYTRRRRRLLCVPVITLRVDWSCKDCWLSTVFGLVMRYLTRKEQLSALASFFLSQPVNDAFADHGVRFLSEPPLSSSRGVCRFFGGVNRLPLLSVDFSAVPGCFMDLHLTLALRVAPRSFAFVGRYLYLMNNPVEECDSEAELVLSPPCSPRNNGLHPLTVGNAQCRSSLGFDNIQRGRRSSLRKRRGGRNITHSVHKYNVVTQQNVKVIPIPGVREVCGYADDDSPSFSMPVHYISVKEDEVSRAMARSSAIYEMDSDDEEWLRKQNVEVFGKEDEEVLERDAFELMIDGFEKRCFHSLGDDLVDEKATVVARQEVVEAVHDYWLRKRKKRKAPLLRVFQGRRQAKKTPRPSKTVFRKRRSFKRQGKGKQLHLDLMVEKLLSRKSLDIDDLSCITWTVALKMAEQEAWEEQEAFRRVEEAKAYADATMEIAIASRSRAQVLGENADLAVYKATMALKIAEAKKAAEPIELARNLFLN >A01g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9797800:9801114:1 gene:A01g503030.1_BraROA transcript:A01g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNTLTLSSSPPSVSLLLPESQSSLSHRRLSLSLTIDFRRLSHHLVSASLSPSSSVDFLSPSTSGDEIDSKSPRYQLLYETSSADPLCLRRDSTRAPTITEVLEVEKKNNGVVTTMFGFSEEFKHLVNPTHPDDKDFDSVVQLVQQGYKVKKSDWEQGFVDVFLQQKTLVDKAGQKTKMYSKTSKEQTQDEEEQQVEVGAEFGDGDKERETSKTNEGQTLEEGEKEQMEADTEVEEPVQEQKHKKNKEKRKDEAYEKAKEKFQDEDGSKLDKLIQMLYDLNKRVEVIENVLRLAPDGYESPCNYDDTKGAPNDENEKEENSGGKRNATDDENDEEEISDTQQLTEVNIFRENENTEKITLDEDTEKRSM >A02p007160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3017257:3023167:1 gene:A02p007160.1_BraROA transcript:A02p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplastic group IIA intron splicing facilitator CRS1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G16180) UniProtKB/Swiss-Prot;Acc:Q9LF10] MGIIDQKIKKGEEHLMISKPLRLILFQSGRHVLRSLFFVTGFLIGVFLYLQLKAFHMSTPKTEQPLWSTVLFHHSTMMEIKQDGGALPLAALWDKFFKGHEGFYSIYVHTNPSFQDSYPETSVFYSRRIPSQPVYWGTSSMVDAEKRLLANALLDESNQRFVLLSDSCIPLFDFTTIYDYLTGTNLSFIGSFDDPRKSGRGRYNPKMYPQINVTHWRKGSQWFSTTRELALHILADTFYYKIFDQHCKPPCYMDEHYIPTLIHMFHGEMSANRTLTWVDWSRVGPHPGRFIWPDITDEFLNRIRFTEECAYYGRDGENTTTSKCFLFARKFTEDTLEPLLRIYPLVLGLNQIRFKYCSNPNKTGMEPNRTKRLITEETVRLNRIYPSYRSVRASSKRLPLELKLLRTEHSKVQTLLMLTPLFVSARPLPSLTTISSLNPTQNPPHSAKAPNFNQFNENPKLPDNAIKVPTAPWMKGPIFLPPEELINTSHHQHKSIRKQNAEEKTFKALNRRESGVRGSKAMKKIVRSVEKLDDYGGEFESLGGVVEEDEKRRRRRMPWEREEEKFILRRRKKERVLTTADLILDEGLLKRLRLEASKMREWVNVRKAGVTETVVNDIRSVWEGNELAMVRFDVPLCRNMERAQEIIELKTGGLVVLSKKEFLVVYRGPPTSDSSVCVKEGEDEISSSLYVREGERLLNGLGPRYVDWWMRRPFPVDADLLPQVVDGYRTPSRRCPPNTRAKLSDQELTYLRNVAQALPFHFVLGRNHGLQGLASAILKLWEKCVIAKIAIKWGALNTNNEEMADELKHLTGGVLILRNKYLIILFRGKDFLSDEVADLVDDRERLLRRYQHFEETRRESDIEISEVVADGEQLEETSKTGTLLEFQELQRKFGDMEVRNLETEAEKAKLEKELKSQEHKLCILKSKIEKTTTELLKLNSLWKPSERDDDIEILTNEERECLRRIGLKMNSSLVLGRRGVFDGVMEGLHQHWKHREVAKVITMQKLFSRVVYTAKSLEAESNGVLISIEKLKEGHAILMYRGRNYKRPSSKLMAQNLLTKRKALQRSVLMQRLGSLKFFAYQRERAIEDLKLSLVKLQGSASELC >A01p004730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2032580:2034021:1 gene:A01p004730.1_BraROA transcript:A01p004730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEYEVVNTVSLEMHRDAAESHSDSLESGLTVESSRGDADSKKLDECGGWTNEKHNLYLDSLENSFVKQLYSLLGVGGETQRLTRTRGVQSNSHKLTDQFTVLQNGYRQKFSFGKKRAHLETMGTDKGFTRTSMRTSLVHQYPAQSTAEASGQNFREEVEEKGCDSEVSRKRRRGANYDDSSLNDQVVP >A08g509020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17283131:17287294:1 gene:A08g509020.1_BraROA transcript:A08g509020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIGVTMEVGNDGVAVITISNPPVNSLASQIISGLKEKFQDANQRSDVKAIVLTGNGGRFSGGFDINVFQQVHKTGDISLMPEVSVDLVCNLMEDSRKPLVAAVEGLALGGGLELAMACHARVAAPKAQLGLPELTLGIIPGFGGTQRLPRLVGLEKGANMILLSKSISSEEGRKLGLIDELVPPGDLLTTSRKWALDIALLSDTAKALVHVFFAQRATSKVPKVTDVGLKPRPMKKVAVIGGGLMGSGIATALLLSNIRVVLKEINSEYLLKGIKSVEANLKGLVSRGKLTQDKASKAFSLLKGVLDYSEFKDVDMVIEAVIENIQLKQKIFKEIEEVCPPNCILASNTSTIDLNVIGEKTNSKDRIVGAHFFSPAHLMTLLEIVRTENTSAQVILDLMALGKAIKKVPVVVGNCIGFAVNRTFFPYSQAAHMLVNLGVDLFRVDSVITSFGLPLGPFQYISPSCLVVLMLGDLAGHGIGIAVKDIYAKAYGDRMFSSPLTELLLKSGRNGKINGRGYYIYEKGSKPKPDSSVLSVVEESRKLTNIMPGGKPISVSDKEIVEMILFPVVNEACRVLDEGVVIRASDLDVASVLGMSFPSYRGGLLFWADTVGPKYIYERLKRLSETYGGFFKPSRYLEERATKGMLLSEPKSSRSRL >A09p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34955891:34956945:1 gene:A09p044410.1_BraROA transcript:A09p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHLPINFSIYRSLFLSYVSIKIILSGKKTQTFIPMEPTGNSADSSDRKTGKKIVASSATVKPNGKSIASSAIVKTDVSSTVPVKPNVATALSSAHADQVMLFRDVSFGPREAELRFRLIHFWEARNPLTNGHELSIIHSLPAMLMLLFSSIPESFSPLNRNCSPSLQFDFYFIFSVEFDSYDEFLVVNGISKLIRSTYVEKRITIFHLISP >A10p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1561149:1562266:-1 gene:A10p003040.1_BraROA transcript:A10p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL1 [Source:Projected from Arabidopsis thaliana (AT1G04360) UniProtKB/Swiss-Prot;Acc:P93823] MDLTDRRNPFNNLAYPPPPPPRPSTTFTSHIFPRGSSSGTTFPILAVAVIGILATALLLVSYYIFVIKCCLNWHQIDIFRRRRRSSDQNPLMIYTPHELNRGLDESAIRAIPIFKFKKRDVAPGGEDHNKSSQECSVCLNEFQEDEKLRIIPNCCHVFHIDCIDIWLQSNANCPLCRTSVSWDASFSLDLISAPEDNPSPPRSNGSIEPWRLQSVRNRDFLAEEERATSDEVLISPSSPRRFDHRAMHNKERIFRKVISKGDECINTRGNDNQFGEIQPIRRSISMDSSVDRQLYLELQEVIGRNTEKFPMVVGDVGGCSGSGGGGNNRVMKRCFFSFGSSRGSRSSSILPV >A08p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23521965:23523662:1 gene:A08p041700.1_BraROA transcript:A08p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPRRVDILAAAFDVEAARARLPCDSSSGSDHFPDETADLWDLVESFMDSEVKALPEDIPMEDKDDKSDVDDDYEDVKERLREICENLSGGGERRRIIEEVVNAREFVGEKRLLMAYLRDKGFDAGLCKSKWERFGKNTAGKYEYVDVNRGEKNRFIVETNLAGEFVIAKPTTRYLSLLAQLPRVFVGTPEELKKLVRIMCFEIRRSMKRAEIHVPPWRRNAYMQAKWFGHYKRTSNEVVTRVKSCGCGPRVGFEGLAKTATFNGYKEVERMRQGLKVGQLTVAFTGSGVRLS >A09p045110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39734231:39736983:1 gene:A09p045110.1_BraROA transcript:A09p045110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKMFFRSGFGYAGFSDLEDVWNDLHVSRLKYNALDDFQEVVWTSWKSSDGVFSHVYPFQNRSECIVFNQMALIFHSFKGFSDLEDFWDDLPVSRLKYNVLDDFQEVFQTTSMKSSRRLSGSLPDDFQEVFQTTSRKSSRRLPRSLLPYQVESNFVFTTYNSVVRPTTYIKQISKSITKITSALTIRLPGKSSTAKRLTWEVVWTNRSGKNSISYLKLHTESQTKVTHPESLASRTI >A09g514820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44124155:44129653:1 gene:A09g514820.1_BraROA transcript:A09g514820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRATCWSDTPRSLAFVPSETLKVALERLAGATPASRSASILDHPRSNPYAHEFSFPLVKKVWKVKRSCVSLTTMVAGTKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSASQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSQSDYMRSLCTTSRSDSSIAFSHPETHIFDRATC >A02g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1024489:1026006:-1 gene:A02g500290.1_BraROA transcript:A02g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVWTTHVSSHPPVSTDQNSRNKDHQKGVDILRAAPLEVLDRLNGLFLATRPLRVTPSDTGSHHVSRIDWRRGVDVTRWKGERDSFLSFVSKTLGFLPPGETPCDDDLCPYFSNLLHRFDELLSPLLTEMDPSDEITDFKRKQEFIDHLYNVADSSYGMPTSCPCGGRIIDEVRVKEEYDTRPGKRFFSCINYEADGLHYRQPWVIGVQEEMVRMRERVDEAVEIIKCVPILTKQIESLEAQVKRLTLLLDKLTGDVYNLTVQAAALEKACFD >A09p024600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13633101:13634886:1 gene:A09p024600.1_BraROA transcript:A09p024600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVAIITPNKSFQTFDLKLTFPLMATSCILITDFISGRCREREIVRRMESAKIEMCPMAVIALTYSHGNAADIFQMYELFIELTIRASPSPFHNLLQKWILNDPYTLSLHHPIPTGYFMKICFSDFMYLFFGLIQYFCSFGVEKHILLSLKSTKVFPNVIHKSACKEKPSTPPHPTNGRSSLWCLIYTVPLSDDYTTHGKQQH >A02p048010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29898558:29899484:1 gene:A02p048010.1_BraROA transcript:A02p048010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNKIYNQLTEENWRIVTICYKIGALIDVSNKKGQSPLMHDVKSYKTKVVKMLVSADDSMINNMDKAGWTVLHFAASNRCLEITKIFKTYDTTLEITDKAGYTPFTLKQG >A03g509190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30155589:30156512:-1 gene:A03g509190.1_BraROA transcript:A03g509190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATSSCQFPPPTRASPPSSSFVSELSLVRLALNSLQGVLLLLAYRSSLMDYALSQLTTHQRGSCKVGENEKANNKSHYTLVNQAFAIAVRKVLEGSISGLDTLCASAELRRSSNIVVL >A04p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15891535:15895510:1 gene:A04p026320.1_BraROA transcript:A04p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATASRFPIDSDALEASGLPWGLTVTPFASKDETGIGPAYGSNGHLLPRCENCYAYFNTYCELDQWAWTCSLCGTLSGLSSDAIARYSYPHDSIPETSSSFVDLELPLDGSEEEMTQARPVYVAAIDLSSSEEFLELTKSALLAALEALSPGSLFGLATFSHKIGLYDVQGPIPVVKNVFIPPDAESKLPLELEDAMPLLQFLAPVETCKDRIAAALETLRPITSWERSSGAAQGIDGVLMGGRGFGTAMEALFNYLGSEFGNTFALARVFAFMSGPPDYGRGQLDTSRYGEQYASKRVDADRALLPEQTPFYKDLATIAVQSGVCVDVFAVTNEYTDLASLKFLSIKSGGSLFLYSSTDDSTLPQDMFRMLNRPYAFNCVLRLRTSTEFKPLHSYGHFFPDPQYENLQHIICCDSYATYAYDFDFANNTGFSRHSREPPVVQIAFQYTVVVPPEGLQNSELPSSSRGKHLLQRRLRIRTMQFETAQNINEIYDSVDHEVVLSLLVHKVILVSLEDGVREGRALLHDWLVILTAQYNDAYNLVQYKNGNKSMSSQIDITFSQCPQLEPLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSVLEPSSLHCGIYPSLTSYSTPDKQAYPRHSLSRAALLTSDSPIFFLDAYTTLIVFYTSTADPSLPFPPPQDCLLRKNINMVKQGRSITPKLMFIRGGKDDATAFENYLIEEQDVDGSGFASARGFVSFLDDISQSVAEYMK >A08p011470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:523066:528688:-1 gene:A08p011470.1_BraROA transcript:A08p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWSLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVRESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDDSGTQSSEGDGSNESGAQSKVTIVTRVTRMARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALLEACEQSQPWD >A01g510300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28155628:28161765:1 gene:A01g510300.1_BraROA transcript:A01g510300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYLRRINSSQLSASKHLLTSFSDRRSADLSEPRDPGEQILFCLICKDGFLKLSMLLLTICSLFSTAAQSRYQEEICSTIRESQICGSASYRAMDPSKFVCWNRVYLELPDAVSSQVITKSFEVTDVPVRSAKFIPRKQWVVAGADDLHIRVYNYNTMDKVKVFEAHADYIRCVAVHPTLPYVLSSSDDMLIKLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRSIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGLGLSNQKLCPDARRLENTLNYSLDRVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIKSLGAGYEVTDGERLPLAVKDLGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESPSKIKIFSKNFQERKSIRPTFSAEKIFGGTLLAMCSNDFICFYDWAECRLIQQIDVIVKNVYWAESGDLVAIASDTSFYILKYNRDLVSSHFDSGRPTDEEGVEDAFEVLHENDERVRTGIWVGDCFIYNNSSSKLNYCVGGEVTTMYHLDRPMYLLGYIANQSRVYLVDKEFNVIGYTLLLSLIEYKTLVMRGDLDKANEILPTIPKEQLNNVAHFLESRGMIEDALEIATDADYRFELAIQLGRLEIAKEIAEEVQSESKWKQLGELAMSSGKLQLAEDCMKYAMDLSGLLLLYSSLGDAEGVSKLACLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVALSVEAKAVETRGVYAAAEDYPTHADKSAITLVEAFRNMQVEAEESMENGHMEHESEQVAEENGHEENEGDEEEQLEEEEKEEVNQEEGVVVDGDSTDGGAVLVNGSEGDEEWGTNNKGNQPA >A04p030320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18014665:18018796:1 gene:A04p030320.1_BraROA transcript:A04p030320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNMVPVEMNNNVGDGNNNNNNNNGNNITTTNNDMNGGIVLGDEEIDSANTSENQEDGSDHQDPARPSKRKRYHRHTKHQIQELENFFKECPHPDDTQRKELSRQLGLDHHQIKFWFQNKRTQIKNHQERHENSQLRAENDRLRAENHQCRASIAKAICHRCGGKTAIGEMSFEEHHLLLENTKLAEEIRQLSLVMPKCTGKPVMNYTLTPPVPARPLEEIASNRREVYGSIGNVPGSALRVKDGDKPLIIELAELAMKELMAMARLDEPLWDIGANGTSLALNLNEYTRIFRNGLGPILNGLRTEASKATSIAFMNHLDIVQSLMDVNLWSNMFARMVARAMSHDALLTGVQGNFDGAFHLMTAEYQVLSPVVSTRECYFVRHCKQQGDGIWAVVDVSIDHLFPNLELKCRRRPSGCLIQQIENGFCKVTWVEHVEVDDREVHPLYKSLISSGQALSAERWVETLERQCERLAYIMSPNVPSIEPDGLIMITNNAKQSLLKIAERMTRSFLSGVATSNGDIWFGLSGNGGNTVRAMTRKSLNDPGRPVGVILYASTSFWLPVPPKTVFDFLRDANNRTNWDVITTGGEGLQLMSQIGNGRDSRNCVSLLRTPNTSQKKMMMIQETSTDPTASFVIYAPLDVTLTENVLMGGDPGNVSLLPMGFAILPDGTAQPGREGGSLVSTAFQVLAEKDPSTMLSFSSLATTENLILTTANKIRAYFSQQTGMQRSKSMGGVKNEPEKKPCLVFLLNVTSL >A02p012270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5359585:5362651:1 gene:A02p012270.1_BraROA transcript:A02p012270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.4 [Source:Projected from Arabidopsis thaliana (AT5G59810) UniProtKB/Swiss-Prot;Acc:F4JXC5] MCLQSLTLLIIFTLFYSHAFALKKSYIVYLGSHAHPFTLLSQAHLDRVAHSHRTFLASFLGSHQSAEDAIFYSYKRHINGFAAVLDDKKASEIAKHPNVASIFLNKGRKLHTTHSWDFMLLEKHGVVHKSSLWKKARFGEDTIIANLDTGVWPESKSFSDEGYGDVPARWKGSCHGDVPCNRKLIGAKYFNKGYLAYAKFPSNSTFETPRDLDGHGTHTLSTAGGNFVPGANVFGLGNGTASGGSPKARVASYKVCWPPFKGAECFDADILAAIDAAIGDGVDVISASVGGDAGDYMNDGLSIGSFVAVKNGVTVVCSAGNSGPKPGTVSNVAPWIITVGASSMDREFQAFVELSNGSRFEGTSLSTPLPENKMYDLISAADGKAANASALQALLCKKDSLDPEKVKGKIVVCLRGDNARVDKGQQAATAGAVGMILCNDKASGNEIISDAHVLPASQVNYKDGEAVFSYLNSTKDHKGYITAPNTQLHTKPAPFMASFSSRGPNTITPGILKPDITAPGVNVVAAYTEATGPTDIESDNRRTPFNVESGTSMSCPHISGIVGLLKTLRPQWSPAAIRSAIMTTSRTRDNTRKPMVDATFHKATPFGYGAGHVQPNKASHPGLVYDLNTGDYLDFLCAIGYENKVVQLFADDPLYTCREGANLLDFNYPSITVPKLTDSVTITRKLTNVGPPSTYRSYFHAPLGVEVSVEPKQLTFSKVGEEKMFQMTIGATSEKALGYVFGELTWTDSKHYVRTPIVVQLSS >A03g504170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14718107:14722668:-1 gene:A03g504170.1_BraROA transcript:A03g504170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLDKATADGHNVDRGLKAIGFTDQRETPSFEANPLDFLSTKLSYGWMLAPVPAAGTSFLMNFFLDDIHRRLEKELSGGRSHFVESCALPISTYFSAMKLLWLMENVHAVKDAIKKGYAIFGTIDTWLIWNMTGGVNGGLHVTESPTLLIVNNSEVIGKICKDWPIPGIKIAGCLGDQHTAMLGQACKKGEAKSTYDTGPFILLNTGEVPIKSAGAAVQWLRDSLGIIKSASEIEDLAAMVETTGGVYFVPAFNGLFAWREDARGVCIGITRFTYKSHIARAVLESMCFQVKDVLDSLNNEKAEFLLRVDGGATANNLLMQIQADLMGTPVVRPVVIETTALGAAYALYFFLKMLEKTDVPTKEDNIVDLVTETDKKCEELVFNHLKQLFPNHKFIGEETTAANGVSELTDEPTWIVDPVDGTTNFLFTGVQGKGAFLNGKPIKVSTQSELVTALLLAEAGVKRDKATLDDATNRINSLLTKVRNVRICGSCALDLCSVACGRADIFYEIEFGGPWDVAAGVVIVREAGGLIFDPSGKDLDITSQRVAASNASLKELFVEALRLTNV >A07p027370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15634470:15637445:1 gene:A07p027370.1_BraROA transcript:A07p027370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQATGASSTSGRRSTTTVRRASKKSVQSENGSVVNGGNTSKPNSPPSQLPSSVERTVKKLRLSKALTIPEGTTVFDACRRMAARRVDAVLLTDSSALLSGICTDKDVATRVIAEGLRPEQTLVSKVMTRNPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSALAAAVEGVEKQWGAGYSAPYAFIETLRERMFKPALSTIITENSKVALVAPSDPVSVAAKRMRDLRVNSVIISTGSKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECASLETTILDALHIMHDGKFLHLPIMDKDGSAAACVDVLQITHAAISMVENSSGAVNDMANTMMQKFWDSALALDPPEDSDTQSEMSAMMHHSELGKLTSYPSLGLGNSFSFKLEDLKGRVHRFTSSAENLEELMGIVMQRIGCDINDVEQRPQIIYEDDEGDKVLITSDSDLVGAVTLARSAGQKVLRLHLDFTETRTRSLSLETGQLMKENNTTERERGGWVTWRGGVVVTGAVVLTSIAVVVYLKRSKILIPLPTPASLTRDGVPFVTTDDTRFFSKDLYPAVCSVFPPPPFTLVPLYSSISVCSHVARGFSAAFVRFTAVCSHSMVFSLAFGAVMFVTSQVTRYAFIQEVCVALSSFDVSSIGGVKLC >A09p043820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35653319:35654918:-1 gene:A09p043820.1_BraROA transcript:A09p043820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRDSSGDVVHVIPTNNPPPENWFPNLGDSSVWATEDDYNRVWAVNPDGDNGPPNKKTRGSPSSASAASNRTKAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHTVEELRRPPPNWQEIVAAHEEERSGSGTPATVEPREEYQIPSLVSSTDESGGRSFKGRHCKKFYTEEGCPYGESCTFLHDEASRNRESVAISLGPGGYGGGGSGGGNSNVVVLGGGGSGSGIDILKPSNWKTRICNKWEITGYCPFGAKCHFAHGAAELHRFGGGLVEGEGKDGGVSSNPDTKQTGPNPKGHSDTTTPLLSPGVVPHNADAGYHSGVALQRASSAVTQKPGIRTHQKWKGPAKISRIYGDWIDDIE >A09p010240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5208954:5211478:1 gene:A09p010240.1_BraROA transcript:A09p010240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATAFTGIHGYAVAGVLLIAGVCFGLYLAFSDKRRRVSSTRCPYLDRYYLPLFLLLLLFMFLSMAASGIVIAANQSSKNRIEEMKETIDKAGEDVDRNIRTVITSLTRIQYLLRPYDQKTTQLLNVTSHRLRKGSLLIQSFVHTNSPTIDLAINISYVTHLMIASTSLFVLLLAFAPILLHWHPGFIMVIFLCWILTTLCWVLTGFDFFLHTFVEDVCSAFSGFVQNPQNNTLSSLSPCMDPLHADKTLIEVSSMIRNFITELNLKVADSMRSYSLTGRSNTASLIPKSGLVCSFEIHMPRQSSTGNLQNNWEVHPGSSLPKSLCLQQLSSRDARHIAFSSKPNTMPLREDTLSIIVSNQCKPFRASMYRLWASMLAFSLVMKVMVLLFLAKAFQEKGKSFAWFSIYPTSSGETRQVNI >A05g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7482464:7484771:-1 gene:A05g502360.1_BraROA transcript:A05g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFHHMILIFHSFKDMDLDIQVFHIWKTSGLEDFQEVFQMTSRKSSDRVYSYTLEDLLEVFSEVFQTTSRKSSEGVFFHIKSTLSLSLKSQISDTIRSNAKLTRLAYTTYMEVVSISNKDGRFPKVFHVSRLQPDDLPISRLDEQIWKKNTNFIVSTSEITCLEHKSLL >A03p064940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28296734:28297054:-1 gene:A03p064940.1_BraROA transcript:A03p064940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQGLVLLGLLVISSLLMLSESRVARKDLGLDLGGIGVGLGVGLGIGLGGGSGSGSGAGAGSGSSSSSSSSSSSSSSSRGSGGSGAGSSAGSFAGSRAGSGSGN >A03p023420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9893177:9895778:-1 gene:A03p023420.1_BraROA transcript:A03p023420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRNKEIFLGGLRKLFEEQWQVDVLLKAGNSDECASISAHKLVLASRSMVLKKMMESDELKSSSKLETVTFSEMKHEELEALVEFMYSVDGSISSQSLKKHVRSLYLAADKYEIPHLRDLCRMHLISSLNSANALNILELAQIPFDKALNDAAFTTIKNNISTIASSAEFKLFVVNHPDLSVEIMKASLIRPRTAASCHYCGKDIFPLLKVGTFMSYVLVFAMVTQNNKEIFLSGLKKLFKEQWQPDVLLKAGNSDKGATISAHKLVLASRSVVLKKIMESDEFKASSKLETVTFSEMKHEELEALVEFMYCVDGSICLESLKKHVGSLYLAADKYEIPHLRDLCRNQLKSSLNSSNALNTFELAQIPFDKALNDAAFTTIKNNINTIANSAEFKLFVVNNPYLSVEIMKAFLVQPNSYCCGYCVKTISFSERLQMVLPKKPMHVLVSLRNCVDVHLMLAMVQISTYWHIEG >A01p053810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29836870:29839491:-1 gene:A01p053810.1_BraROA transcript:A01p053810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLNSTQSCRFPSPSSSSSSTSCGGVNDGNRDPHSLFNISREEEEEEEERSEKEEERFELSSALEILVSAIRRSVIGGCVGEEDLCSMEIGVPSDVRHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDTRGNIVPTILLMMQSHLYSRGGLQVEGIFRINGENGQEEYTREELNKGVIPDNIDVHCLSSLIKAWFRELPTGVLDSLSPEQVMESETEDECAELVKLLPPTEASLLDWSINLMADVVEMEHLNKMNARNIAMVFAPNMTQMLDPLTALMYAVKVMNFLKTLIVKTLKERKESRDRLVQGSNPGPRDHNGDQSSRQLLHLMKANEEEAVVDTFEVEMKEKEESSELQELVGLKSSLIKSCQYGKGGFGEKQNGWEEERKMKRTMSNASSIVGRVNYRVELFEAWRMNGMLLMLTVASSPLKATKTVLLQKPDSAKAFDSFLEKRKPTVSIASPLSTREELRESLPKAEPSSKETVVGKSSDNNGEASGGSDTDDWSAVQERALVQALKTFPKETSQLQLFLGRR >A02p017100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7676408:7677132:-1 gene:A02p017100.1_BraROA transcript:A02p017100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKKVLGFEEVSQHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDTARDMMEKYYIGEIDSSSVPKTRTYVAPAQPAYNQDKTPEFIIKILQFLVPILILGLALVVRQYTKKE >A06p039570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21335204:21339964:1 gene:A06p039570.1_BraROA transcript:A06p039570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREAGLRSLGEDHVGSRWTIGNRKLDAKSVSNGVKASHGFGLWTAPPVPSATKPAAAARGNHKNGGSSSDMDIASDSDEETYERHHSPQDYKTHARFPHVAAAHNGGLGRNERNNNMSSHNECETRRNVEAGTTGRTQNGTITSTTSLLPRFPTFHASEQGPWSAMIAYEACMRLCLHSQSVDRVHEASYFLNNECKTMRKAFSLEKFFLQSEEELLGKGPCELVTEPSAPKNKKTIGKIRVQVRKIKMGLDPPPGCNIASLTVPKEKLVVVRRNIAELNLTLSSGWKAAKKVHVTPRVPLNGSFSRQSLAYVQAAARYLKQVSKAVKNEVVASHTGSQTYETVQETYSCSLRLKSSAEEDQIKTQPGSSEAFIFLPDSLGDDLISEVRDSKGQLLGRVVVQLAAIVDDPNEKVRWWPIYHEPEHEHIGRIQLHLSYSSSLDEKTKCGLVAETSAYDIILEVAMKAEQFQRRNLVFKGPWLWMITRFASYYGISDAYARLRYLSYVMDVASPTKDCLDLIYDFLLPIIMKSNHKSVLSHQENRLLGEIDEQIQQILASAFENYKSLDELSFSGMKDVFESATGTPAPAIESSVKLYALLNDVLTPEAQLKLCRYFQAASKKRSIRHLLETNDLLNNRSEGAAPVDPMALTASYQKMKSLILSFKNEISTDIAIHNCNVLPSYIDLPNLSASIYSVDLCNRLREFLLVCPPPGPSPPVVDLVITTADFQRDITSWNINPIKGGVNAKELFYSYITNWIEEKRRVLYELCKLETVKPCGEISGLTSPFVDEMYQRLNGTLDEYDIIIRRWPEYAISLEKVVADAEKAIVEGMEKQFAEIISPLKESKIFGLKIVKKFTKGAPNPYAVPKELGVLLNSMKRVLDILRPSIENRFKSWNSYIPDGENRILGERLSEVTVLLRAKFRSYMQALVEKLAENTRIQNHMKLKSIIHDLRETTAEPDVRNRMMALKDVLDKTIDHLHSVFLPDVFVSVCRGIWDRLGQDVLRLLEDRKDNVTWHKGPRIAVSVLDEIFATQMQSLLGNALKPEHLEPPRSMMELRSMLCKDSKDYREGGYSY >A05p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11374644:11377902:1 gene:A05p023520.1_BraROA transcript:A05p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT1G50890) UniProtKB/Swiss-Prot;Acc:F4I6M4] MKPSFPLRSSSQSAMVEQKQRILTSLSRIGDRDTYQIAVDDLEKLVLSVSDSPETLPVLLHCLFDSSADPKPPVKRESTRLLSFLCLTYSDLTPSQLAKIISHIVKRLKDADNGVRDACRDAIGALSERFLTEGGAPMVGLFAKPLFEAMAEQNKSLQSGAAICMGKMVDSATEPPVAAFQKLCPRISKLLNSPNYLTKASLLPLVGSLSQVGAIAPQSLESLLHSIHECLRCTDWVTRKAASDVLIALAVHSTSLVADKTDSTLTALEACRFDKIKPVRESLSEALNVWKNIAGKGESGTSVDQKDVASEQCMLETNGETDPVMQGSSDDLSSNSDSISKAVLILRKKAPRLTGKDLNPEFFQKLEKGGSGDMPVEVILPSRQKNSSNSNTEDESDANASVSRSRPKGLCGIAGAHPKQRHFGDFARAFEADETEVIQAEASESRGNWPPLQRQLLHLERQQTHIMNMLQDFMGGSHDGMRSLENRVRGLERIVEEMSREMSTQSGARGKVAAPWRSDVDGWDGPSYASSRNAQTSSRKTRGTGPMDSEHSGNSRRAWDKSSVQIRLGEGPSARSVWQASKDEATLEAIRVAGEDGGGTSRTRRVSIPQAEAIMEDDDDHRGQERDPVWTCWSNAMHALRVGDTDFAFAEVLSTGDDLLLVKLMDKTGPVLDQLSCDIGNEVLNSIAQFLPDHTLFDVCLSWIQQLLEVSVENGADFMGTPLELKKEILLNLHEASLTMDPPEDWEGLAPDHLLLQLASNWNIELQHFDQ >A03p016430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6525084:6528955:-1 gene:A03p016430.1_BraROA transcript:A03p016430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQASLPFKVGEIVEVRSFEEGYRGAWFRCKRLVELSQRETTLTERDCSHREKLLSQGKTASQRENLLFQFWRHGELCKRGVHKGAPRSKRLGPFSGPRFRPKRLGGPRRGYVLEAFALVRLWPYFKAPCAFGDTKVRLGPTRRVYVLEALALMFDPQGENTLVRHGARRKVLTFSPLSAMCLLKSREGYYALSQTNSQSVLISSTVFAWQTSNICLFNDAARLAPSQSINFVQNLSQVILNIYTKEEKLFYSLKYLDYDGEEIHHTQVYQQFEDGEEWLMVRPSYPPCYQEREVRKIEADQAPLVVVHGSWQVGDLVDWDRDGCFWCATVLTVKRNEPFQIELFPPPLGEGETYKALRKDVRPTLDWSPEDGWTLPSTDGRKSQSVRLVKREKGVDHVPGKEKTERAKKQKTERHTQHRTERDAQQKTEGDMQPEKGNGEIRQNIDESDSIEAAVYDLEELIVRIEWIKNMLSPDVGEGSTWKYQDYRPSSK >A08g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9963102:9965042:1 gene:A08g505780.1_BraROA transcript:A08g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLECLGLRPTLYYSISVILSTLDIRGWPAWIYILVSFPKYLDYTHLVCFCFSKSSWYHLVPVIAGFPLRMFFPQTFLPHTSSFNLKGPGPYARRFDGSHPLQIRRSAFLLLKQIFSSTMLLMRTAPAPSCFSPPPDPPPCGRFYGSLQLQPSSSVSNLKKQLSSPMAPVVTASSPSPLHLPPPPLRLRLPPDPPPPWSSATVPFESLSPPEPPNPPDASLSLVIHWLFDTPFTLSQASFNIPNLACNGVVSLVFVDGTIFGSKCLYPAVCSVIISRLVVWRRHCSLTLPFILVCLLSSISFCSLVEWSERFVGYVAPELSVMDLDYNVPMNFVSFGSTFIPVDGSQVALVRSSTAVCSLLSVFSPALGAVISCFLSWWQIEGKLVDTLIPVTRVMEEFHYPMDSFVEQFLFPIFPSMWSELDGQASLVLQGSSSWLMLFSAFVAVFVTFEVTRYAIIQEDYEIFRFFMVSCDVVYRQSIFCLIYVWFVQPLVALMYSPCGEKLF >A06p012570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5749726:5754316:1 gene:A06p012570.1_BraROA transcript:A06p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGYNRKERKREPFGRMNGNGDVDAELDPWTAWAYRPRTISLLLIGACFLIWASGALDPESTTSDDLVTSVKRGVWAMIAVFLAYSLLQAPSTVLIRPHPALWRLVHGMAVIYLVALTFLLFQRRDDARQFMKFLHPDLGIELPERSYGADCRLYVPDHPTNRFKNLYDTIFDEFFLAHIFGWWGKAILIRNQPLLWVLSIGFELLELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMYTVRYFDGKTYEWVGISRQPNIIGKVKRTLGQFTPAHWDKDEWHPLQGPWRFIQVLTLCIIFLTVELNTFFLKFSLWIPPRNPVILYRLILWWLIAIPTTREYNSYLQDRKPVKKVGSFCWLSLGICIVELLICIKFGTGKIVPSGNASVGSDAMGKCGTWACGLLDGLDMEDSEDTREKETLISSYIIVAAEVRQSNAIVGFVVSLRSRSPLQDRADEEVVDPKKYLEESCKPKCVKPLLEYQACVKRIQGDESGHKHCTGQYFDYWHCIDKCVAPKLFAKLK >A04p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21185812:21187661:-1 gene:A04p037050.1_BraROA transcript:A04p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRGHVHNAPSNDETMFPSQDSKPKRIYQLWPGNNRFCCGGRLVFGPDASSLLLTTSMIGAPALTFSIRMAFMITKSFPLFHSLILMGSLLLTVLDFTFLFLTSSRDPGIIPRNKEAPESEPLDILSNPKLPRTKDVLVNGYTVKVKFCETCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIALRNYPYFICFISTSTLLCLYVFVFSWVSMLETTYEKFRYRYDKKENPYGKGLFKNLFEVFFSRIPPPMINFRDWAQEEPDVEVGSIASELDRAFGPRGDRYDTEMEIGDLRLKTLEYDNKNNAIEETAKKKRDSVDVRSR >A05p012410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5378234:5381805:1 gene:A05p012410.1_BraROA transcript:A05p012410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCVTHTVSSCLSRPGTHPLCLCVSGKRKKMKYVLVTGGVVSGLGKGITASSIGVLLQSCGLRVTCIKIDPYLNYDAGTISPYEHGEVFVLEDGSEVDLDLGNYERFLGCTLTRDNNITLGKIQQQVMDRERKGDYLGTTVQIVPHVTDAIKEWVERVAMIPVDGKEGPPDVCIIELGGTIGDNESRPFTDALSQLSYSVGPENFCLIHVTLVPVLSVVGEQKTKPTQHSIRDLRGLGLSPDIIACRSTKVLEENVKEKLSRFCYVPVQNILSLHDVHNIWHIPLLLKDQNAHEPISKVLNLAGIAKEPSLEKWASMVEISDNLHVQVRIAVVGKYTDLSDAYLSVSKALMHASVAFGKKLVLDLVPSPDLEKTTMKENSSAYKAAWMLLKGADGVIIPGGYGNRGVEGKILAAKYARENNIPFLGICLGMQVAVIEFARSVLCLPDANSTEFKPETKHPCIVFMPEGSTNHMGGTMRLGSRRSYFHVKDSISARLYGNKEFVDERHRHRYEVNPDMAVCLEKAGLSFAAKDETGKRIEIVEVPSHPFFIGAQFHPEFKSRPGKISPLFLGLIAAACGELDAVLTPVSIQQDNNQHVVLGNETRVDPINGFCNGTNKTSQKSVRHNDDLYLHQISKSSRRKLNGNPYFDSE >A05p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3055371:3057029:-1 gene:A05p007590.1_BraROA transcript:A05p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger CCCH domain-containing protein 29 [Source:Projected from Arabidopsis thaliana (AT2G40140) UniProtKB/Swiss-Prot;Acc:Q9XEE6] MCGSESKLCSSRTLTEAESMRQKSQEGVAAATCLLELAACDDLPSFRREIEENSLDINEPGFWYCRRVGSKKMGFQERTPLMVAAMYGSIEVLTYIISTGRSDVNKVSSDEKVTALHCAVSACSVSIVQVIRILLDASASPNTLDGNGNKPVDLLVKASRFIPNQTRKAVEILLTGTHGLVGLMEEEEEEEVKSVVSKYPADASLPDINEGVYGTDEFRMFSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYTCVPCPEFRKGSCPKGDSCEYAHGVFESWLHPGQYRTRLCKDETGCARRVCFFAHRRDELRPVNASTGSAMVSPQSPMGDNGVPLSPRNVGLWQNTPPPLQLNGSRLKSSLSARDMDVEMELRLRGFDGHRLNDSVMSPSRHSQMNHYPSSPVRQAPPQRFESSAAMAAAVMNARSSAFAKRSLSFKPAPVAASNVSDWGSPNGKVEWGMQREEMNKMRRSVSFGINGNNNNNVSHPVRDYSDEPDVSWVNSLVKDSAQEGAFGLNGAAVRDEFKLPLWAEQMYIDHEKRQPVA >A06p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3410862:3412402:-1 gene:A06p009650.1_BraROA transcript:A06p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKIRNMDYNQEECGQYIKALEEERRKILVFQRELPLCLDLVTQGAYKCKIATIERCKKEITETATDNVYGQSECSEQTTGQCTPVLEQFLTIKDSSTFNEEEVEEEFEDEQGNHDPENDSEDKNMKSDWLKSVQLWNQPDPLLPKEEQSQQMMETVVKRDESMREDAMANSGERRRRETERKQRRCWSSQLHRRFLNALHNLGGPHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSQTVPNNGNSQKQHFVVVGGLWVPQSDYSTGKTTGRATTSGTTTTTTTTTTTGIYGAMAAPPPPQWPSHFNFTPSIIVEEGSGSHSDEVVVRCSSPAMSSSTRNYYVTKN >A05p002170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:681930:684690:1 gene:A05p002170.1_BraROA transcript:A05p002170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GulLO5 [Source:Projected from Arabidopsis thaliana (AT2G46740) UniProtKB/TrEMBL;Acc:A0A178VXG4] MAFRFFPSYWRIVLGLCCMFTLVHTALSTPPEDPVRCVSGNTDCSVTNSYGVFPDRSTCRAANIAYPTTEAELVSIVAAATKARRKMRVTTRYSHSIPKLACTDGTDGLFISTKFLNHTVQADVGAMTLTVESGVTLRQLITEAAKVGLALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHDYVTEIKIVSPGSVNDGFAKVRVLSESTTPVEFNAAKVSLGVLGVISQVTFGLQPMFKRSLKYVMKNDLDFDDQVLTLGEKHEFADFVWLPSQGKVVYRMDDRVAVNTPGNGLYDFLPFRSQPSAALAIIRSSEEKQEIFRDATGKCTEATLISSTLFSSSYGLTNNGIAFTGYPVIGSQNRMMTSGSCLDSLQDGLTTACAWDSRIKGEFYHQTCFSIPLTQVKSFITDIKSLIKIDQKSLCGLELYNGILMRYVTASPAYLGKDTEAIDFDLTYYRAKDPLTPRLYEDFIEEIEQIALFKYNALPHWGKNRNVAFDGVIKKYKNASAFLKVKESYDPDGLFSSEWTDQILGIKGNATIVKDGCALEGLCICSEDAHCAPTKGYMCRPGKVYKEARVCTRVRDINA >A03p009530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3823089:3823415:1 gene:A03p009530.1_BraROA transcript:A03p009530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATENPNSETQPPPHPNHQMDADGDDENVKQLKECSSLYLALQVLLIVSLIATGTGSLARNVSLSISILVSNLESEV >A08g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5778028:5781638:-1 gene:A08g502900.1_BraROA transcript:A08g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLEHYSLLMEKSLSYSWWTKKKRSFRLFKSKGSTSRRGDDEAWTSSKPAISRVYCRKRKHSVDDLAIETNDKRSSKDVKGSDDDIMLSDWITRRRNTVENREEEVDAKSEDEDDCTILENQSSRKKFYRRQVELEEDLEWEKEVNLISKMKEASSRRRRKASNIPENDTECKERNAICHQCLKGARVTLLRCSECEDTMYCLQCTRKWYPELPEDDVVDKCPSCRKNCNCSRCLHLNGLIKTSNKELSISERRHHLQYLISLMLPFLKTISESQSEEIEIEAKVQGILPSEVDVNRAVSYCDERVYCDHCATSIVDLHRSCPKCSYELCLKCCQEIRQGSISERPEIKLHYVDKGYKYMHGLEMEPSSSSVSEEDEEAKPSPQWNAGANGSITCAPKALGGCGECTLKLQRILPRMRMSDLEQKAETLLASYIISLPRELNCKCSALETDMRRKAASRMRSSDNYLFCPDSIDVLKEEVLLHFQEHWAKGEPVIARNVLDSTPGLSWEPMVMWRALCDSSTSSSKIMSHVKAIDCLSQCEVDVNTRDFFEGYSKGRTYENFWPEMLKLKDWPPSDKFEDLLPRHCDEFISALPFQEYSDPRTGILNIAAKLPEALIKPDLGPKTYIAYGIPDELGRGDSVTKLHCDMSDAVNILTHTAEITLSQEQISAVKDIKQKHKEQNKFEERGYGVACSQEEEEMNMTEILSHEKDETGSALWDIFRREDVPKLEEYLRKHCKEFRHAYCCPVTKVYHPIHDQSCYLTVEHKRKLKAEFGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKVAVDFVSPENIKECLRLTEEFRQLPKNHKAREDKLEASLFSLYQLINVFFLLLLYVRVFVFVCLCMVDAGGV >A03p066840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28564418:28565005:-1 gene:A03p066840.1_BraROA transcript:A03p066840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHEMEVCSTVTTKKLSRLAKLILFTIQKVSDASRHKLLTTLDPQLLAKHGKTLRKSLNDAVSTSHSRITCRPADYEDIQSSFISPVPIQLDYEFSCSSTPPRRSYASNTTGRRSGSRKPLINKRQRQAYVRYNTLPKVRDSAWERHVSAAVFPDVASSTGTMESGHVDRAAEEFIQRFHRQLRLQRWMMAQEV >A07p019370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11523316:11525551:-1 gene:A07p019370.1_BraROA transcript:A07p019370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGIGLGDTTYTKVFVGGLAWETHKDTLKKHFEQFGEILEAVVITDKASGRSKGYGFVTFKEADAASKACVDATPVIDGRRANCNLASLGLQRSKPSTPNHGRGRINNMRVMMNTMQTGFGPPHPPTTFSHYPQLPLNLFGYSPYSSDYSPFPTSLYGVYDCYSGGQYGLYSNGNGGSGGLTAAAASAAPFYPCGSGGGHGGVQFTQPQPFYHHFSSYNNPHQYSPATISKLRVIGSHQVSHRHHNLHLLLLKSRVIYGLIGALWLSQQLFLSPSALKATHFMEFEVAFISRSPMGSPVSDGDHVVLTSLTFLHCIFLREKGSLSIQ >A09p005150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2831787:2833400:-1 gene:A09p005150.1_BraROA transcript:A09p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFTGKSGQSFVNTTPGEGQYEILSLNGSYIRGEHGGKTGGLSVCLTSSDGFGGGVGGPLKAAGPVQVVPFLFSILVV >A07p037850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20216195:20217030:-1 gene:A07p037850.1_BraROA transcript:A07p037850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTSTNMDAVGSSTKAARQWGPESAASIHRRSQYSGAFQNANPQLTIFYAGTVCVFNDISPDKAQAIMLCAGNGLKIDNGESRLKKPLIETERVYGKQFHNAATAAASSSSATYCDNFSRCGDRPVGATNAMSMIESFNVDPGYMMPSVPQARKASLARFLEKRRERLMNAMPYKKMLLDLLTRESYGMNYSSASHT >A07p029510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16531952:16532597:1 gene:A07p029510.1_BraROA transcript:A07p029510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDQLPETLKPFFHRATEAQERLARLEAALASTKTDVPDAEIVEKITQMQSKLEEANKTVKQEKDKVEKLISENEKQRYRILHLVRALEDADEKLEKLSK >A09p078260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57953710:57955189:-1 gene:A09p078260.1_BraROA transcript:A09p078260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTISPPLGLSFSSQTRNAKPTSYLSHNQRNLTSRIVSALPSPYGDSLKAGLSSNVSTKIGNKDHRSIDQRFGVIEAKKGNPPVMPSVMTPGGPLDLSSVLFRNRIIFIGQPINAQVAQRVISQLVTLASIDDKSDILIYLNCPGGSTYSVLAIYDCMSWIKPKVGTVAFGVAASQGALLLAGGEKGMRYAMPNTRVMIHQPQTGCGGHVEDVRRQVNEAIEARQKIDRMYAAFTGQPLETVQQYTERDRFLSASEALEFGLIDGLLETEY >A07p039340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22536373:22537675:-1 gene:A07p039340.1_BraROA transcript:A07p039340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitive to aluminum rhizotoxicity 1 [Source:Projected from Arabidopsis thaliana (AT1G67940) UniProtKB/TrEMBL;Acc:D6RVY5] MPLLLSAESDGALREHLLDVETLSCQEPKIRVRDLTRVSDDGSLILKGVSMDIPKGMIVGVIGPSGSGKSTFLRSLNRLWEPPATTVCLDGVDITNIDVITLRRRVGMLFQLPVLFEGTVADNVRYGPNLRGEKLSDQDVYKLLTFADLDASFAKKTGSELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTENIEDVIVKLKKQRGITTVIVSHSIKQIQRVADIVCLVVDGEIVEVLKPDELSQATHPMARRFLQLSS >A09p034740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21012244:21013660:1 gene:A09p034740.1_BraROA transcript:A09p034740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENALSPSPAGETAGRVASLYVYPIKSCRGISLSQASLTPTGFRWDRNWLIVNSKGRGLTQRVEPKLSLIEVEMPKHAFAQDWEPDNNSNMVVRAPGMDVLKVSLAKPEKIADGVSVWEWFGSALDEGEEASNWFTTFLGKPCRLVRFADSETRPVDPNYAPGHFAMFSDMYPFLLISQGSLDALNELLKEPVPISRFRPNILVDGCEPFAEDLWTEILIDNFTFHGVKLCSRCKIPTVNQDTGIGGVEPIETLRSFRSDKVLQPQKKPQGKIYFGQNMVWKHGVGDGITKTIEIGDSVFVLRKLSSPTEAAT >SC146g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000029.1:221326:223122:1 gene:SC146g500060.1_BraROA transcript:SC146g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGRLKLSPTAWANSYPKSHPFFPKLSHNRPMASVLHGLGVYYERNLESWETSSKELDKRAGSWTRSRDLEGLKKIKEASWTQCITAGRCSQLPELDGLAHSAVSAGDQLNSAGLSVRVLGSWFGSGQ >A10p031990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19021208:19023313:-1 gene:A10p031990.1_BraROA transcript:A10p031990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSSDDEKLNPVDESKSQKQSQPTLSNNNISGLPSGGEKLNSKSNGGSRRELLLPRDGLSQISAHTFSFHEVAAATMNFHPDTFLGEGGFGRVYKGRLDSTGQLDEFAYINRVVPLIHLGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEALDWNMRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDTDMPHGEQNLVAWARPLFNDRRKFIKLADPRLKGRFPTRALYQALAVASMCIQEQAATRPLIADVVTALSYLANQAYDPNKDDSRRNRDEKGGRLITRNDEGGGSGSKFDLEGSEKEDSPRETTRILNRDINRERAVAEAKMWGESLREKRRQSEQGTASESNSTG >A05g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2276503:2280529:1 gene:A05g500570.1_BraROA transcript:A05g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTNKLMFLISCFIGMAYQFPKRILEEGAETQIDKINNTCRRRTLDMVRSVLKDEYEEVLQDPGELFPFISASGNSDVIDSDQFFREDEKHDERVGRIVALINAKQDWSEFDWEVHALPRSVELSDSEEGVDVGDVTETHVEEPSLVEEPAVVARRGKRKVNDRGAETRKKQLLCQRAAEHNSGISGQMKTFIEGLFTSLKEVVQKDIQERFDKVDKEMAQLKEVVSKILGPSDTMGKERATDILCSSATMEKDQFRETSQSLSPLAAKEKGKGKADETGVPPTVRRSPRPRKEIETDDMLDFLKNLSQSSKNKDMGTQEYLQDAVGNLSQASHVRGFDPSQKSSAEEAVEISTPLSSSKPADYKTLSLKDTDLHEDRMNDIDYSLVFVPEDSWVKLREWHLKIGPSVYTSELAKRVMGPAVWLKNDRKKEQHLFKWVDEALFDEIQRMDEQHSRMAKEIDDLRSSLNETVLEEVTKQKNLADVGCLGSMLSILCLCSKRD >A07p033100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18098634:18100739:-1 gene:A07p033100.1_BraROA transcript:A07p033100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKGFIGSIDQGTTSTRFIIYDHDARAVASHQVEFTQFYPEAGWVEHDPMEILESVKVCIAKALDKATADGHNVDGGLKAIGLTDQRETTIVWSKSTGLPLHKAIVWMDARTSSICRRLEKELSGGRSHFVESCGLPISTYFSAMKLLWLMENVDAVKDAIKKGDAIFGTIDTWLIWNMTGGINGGLHVTDVTNASRTMLMNLKTLSWDEETIKTLGIPAEILPKIVSNSEVIGEICKGWPIPGIKIAGCLGDQHAAMLGQACKKGEAKSTYGTGAFILLNTGEVPIKSGHGLLTTLSYKLGPQAKTNYALEGSIAIAGAAVQWLRDSLGIIKSASEIEELAAMVESTGGVYFVPAFNGLFAPWWREDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMNKDAGEKGSLDNGKGEFLLRVDGGATANNLLMQIQADLMGTPVVRPVDIETTALGAAYAAGLAVGFWKEEDIFESGEKSKNSKVFRPAMEEETRKKKVESWCKAVERTFDLADLSI >A07p017410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10563184:10571721:-1 gene:A07p017410.1_BraROA transcript:A07p017410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGDGDATATYPSLTVSASYKESGGGKSSSSKRRPSRPSFEAAADNELITTLHGSDPVKVELNRLENEVRDKDRELGEAHAEIRALRLSERQREKAVEELTEELAKLDEKLKLTESILQSKNLEIKKINEEKKASMAAQFAAEPTLRRVHAAQKDDDMPPIEAILAPLEAELKLARSEIGKLEEDNRALDRLTKSKEAALLDAERTVEGALAKAAMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVGELEEAVLAGGATANTVRDYQRKVQEINEERKTLDRELARAKVTANRVATVVANEWKDGNDKVMPVKQWLEERRFLQGEMQQLCDKLAITDRAAKSEAQLKEKFQQRLKVLEETLKGTSSSSSTTTRNLSETRSMSNGPSRRQSLGGSDNLQRLPSNGSFSKKAPSFQMRHSLSINSTSVLKNAKGTSKSFDGGTRSLDRGKALLNGPGNYSFNKASSDDSKDTEEKAQREDHAAAAAAAATEDSVPGVLYDLLQKEVVSLRKASHEKDQSLKDKDDAIEMLARKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKDQDNRAKRFSNSKSSSNTAQILAARAAGRSSLTKSTQ >A09p060000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49926002:49927252:-1 gene:A09p060000.1_BraROA transcript:A09p060000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFSTSSVSTAEISPLDLAVKPPPVAVASPPVVVASPAASGQTHLPAPPTRLPIPPPSAKETTATATATSTVTVKLYGPPNSLTTSYLRFALLHKRVNLRFLPSEDQKPTIQIGSEKTSGTQDALLRYIEEKFPEPRLMLWKFNLEGFDEATPPVVKTIWLQHRSMTWHVERMLRWSEDLAARGGRRAVDPSVGTPKMEIRKFAKSYTQLQEMMLEHAQMEERILFPVLESVDRGMCKSASAEHGRELPLMNGIKEYIKSIAVMDSGICSEELFSLASRFKSLQMMCKAHFEEEEKELLPMVEAAEMGKEKQKKLMNQGLEVMRGTHTNVFDFLLQGLTPQEAMQYLDLLMNFADPDLISSFMCQRDIVD >A07p027920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15855196:15857855:-1 gene:A07p027920.1_BraROA transcript:A07p027920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNKIDTEFQDSYNDQQKWVLDSSLDSRGEIPVRARTGAWRAALFIIAIEFSERLSYFGIATSLVVYFTTILHQDLKMAVRNANYWSGVTTLMPLLGGFVADAYLGRYATVLLATIIYLMGLILLTLSWFIPGLKPCHEEMCIEPRKAHEIAFFIAIYLISIGTGGHKPSLESFGADQFEDDHPEERKMKMSYFNWWSAGLCAGVLTAVTVIVYIEDRIGWGVAGIILTVVMATSLLIFLIGTPFYRYRAPSGSPLTPMLQVFVAAIAKRHLPHPSDTSLFHELSRKEYTKGRLLSSTNNLKFLDKAAIIKNRGSENVMAEKESPWKLATVTKVEELKLLINMIPIWFFTLVFGICATQSSTFFIKQAIVMDRHIGHNFIIPPSSMFALVALSMIISLTVYERLLVPLLRRVTRNERGISILKRIGIGMVFSLITMIIAALIERKRLDYTKHHHMVMSALWLAPQFIVIGIADALTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAASFVNNLLMTVSDRLAEAISGKSWFGKDLNSSRLDRFYWMLAALTAVNICFFVIVAKRYTYKSVQSSVAVADGGDDVEMASVANTSKCT >A03g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27013128:27014614:1 gene:A03g507620.1_BraROA transcript:A03g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSETKILQELILYAASAALSCLVLFAGLKHLDPNREASKKALEHKKEISKRLGRPLIHTNPYEDVIACDVINPDHIDVEFGSIGGLETIKQSLYELVILPLKRPELFAYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLGKTKASFFGSVVAAVFSLAYKLQPAIIFIDEVDSFLGQCRSTDHEAMANMKTEFMALWDGFSTDPNARVMVLAATNRPSELDEAILRRLPQAFEIGMPDRKERAEILKVTLKGERVEPDIDFDHVARLCEGYTGSDIFELCKKAAYFPIREILEEERKGRPCPVPRPLSQLDLEKVLATSKKTQVAAGEYSGLRVSREPDEVQAAISGISKLLVSQFINIQSDSQGSWQRDEPEEDS >A02p025690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13502350:13503282:-1 gene:A02p025690.1_BraROA transcript:A02p025690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSAHSALFGDLSTEEVTSKVILTAIIVLFMAVLVVLILHLYAKLYWWRVDQLQQQQQQEQEQDDQSSIAPTVTTRRQRRRFIFVPGQDGLSSTGGLTPFELSSLPIVFFGQDGLECAICLSDLVKGDKARLLPKCNHSFHVECIDMWFQSHSTCPICRNAVLALEQPISKQTELVSNNAVDALSQTSNSVSSSSPEFPTNVLVWGRQDQVSTRTTNVGSQEDGTTGNAASQSQDDVVLDINDSTISSHNVPSSSSMRFIVEEEEPKSPMTTRLRSLRRFLSRDKRVSCSNSSTSGSSNAAAFSVDP >A05p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25124314:25137270:-1 gene:A05p041350.1_BraROA transcript:A05p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAETYACIPSTERGRGILISGDSKSDTMLYTNARSVVILDLNNPLKVSIYGEHAYPATVARYSPNGEWIASGDVSGTVRIWGARDDHVLKKEFKVLAGRIDDLQWSADGMRIVASGDGKGKSLVRAFMWDSGSNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKLSSREHSNFVNCVRYSPDGSKFITVSSDKKGIIYDGKTCEKLGELSSEDVHKGSIYAVSWSPDGKEVLTVSADKSTKVWEISDSGNGTLKTTLTCPGSSGGVDDMLVGCLWQNNHIVTVSLGGTISIFSASDLDKSPFQFSGHMKNISSLSVLRGSSDYILSGSYDGLICKWMMGRGFCGKLQRKQNSQIKCFAAHEEEIITSGFDNTISRISYQDGQVTNEESIGVGNQPNDLSLAPLSPGLLLVTFESGVVFVRGEKVVSTINLGFTVTALAVTPDGTEAIVGGQDGKLRLYSVNGDSLTEEAVLEKHRGAISVIRYSPDLSMFASADLNREAVVWDRASREMKLKNMLYHSARINCLAWSPNSTMVATGSLDTCVIVYEVDKPASTRMTIKGAHLGGVYGLGFADDTHVVSSGEDACIRSSFTERARVSPLDILETFPATTVNSSLPATAVRYLSSLSNFDSTIYLWISRNSALFVYTVSVNMTDIDIEEIEAAGEVDLRDIGEPFLQSFCKKAATSFFDEYGLVSHQLNSYNFFIEHGLQSVFESSGEMLVEPSFDPTKNKDHEWRYATVKFGEVSVDKPTLYSDDKELVFLPWHARLQNMTYSARMKVNVDVEVFVKKVVKRDKFKTGQDEYVEKQILSKKTQDIPIGRIPVMVKSVLCNTTEKGKHVESYRKGECAFDQGGYFVIKGAEKVFIAQEQMCTKRLWISNSPWTVSYRSETKRNRFIVRLSENQKAEDFKRKEKVLTVYFLSTEIPVWVLFFALGVASDKEAVDLIAFDGGDASITNSVVASIQEADSVCEDFRHGRNALAYVEQQIKGTKFPPGESVDECLSLYLFPGLKSLKQKARFLGYMVKCLFSAYAGKRKCENRDNFRNKRIELAGELLERELRVHLAHARRTMTKAMQRHLTGDGDLKPIEHYLDASIITNGLSRAFSTGAWCHPFRKMERVSGVVANLGRANPLQSLIDLRRTRQQVLYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLVKNLSLLGLVSTQIMEPVVEKLFDSGMEELVDDTSTPLSGKHKVLLNGDWVGVCSDSDYFVAELKSRRRQSELPRQMEIKLDKDDKEVRIFTDAGRLLRPLMVVENLHKLKQSKPSKYTFEHLLDQGILELIGIEEEEDCTTAWGTKQLLKQQKSYTHCELDLSFLLGVSCAIVPFANHDHGRRVLYQSQKHCQQAIGFSSTNPNIRCDTLSQQLFYPQKPLFKTMASECLQKDVLFNGQNAIVAVNVHLGFNQEDSIVMNKASLERGMFRSEQIRSYKADVDSKDSEKRKKMDEVVQFGKTHSKIGRVDSLDDDGFPFVGANMHSGDIVIGRCTESGTDHSVKLKHTERGIVQKVVLSSNDDGKNYATVSLRQVRSPCLGDKFSSMHGQKGVLGYIEEQENFAFTNQGIVPDIVINPHAFPSRQTPGQLLEAALSKGIACPMQKKKGKSDAYSKVTRHATPFSTPSVDDITDQLHRAGFSRSGNERVYNGRTGEMMRSLIFMGPNFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSQMHICRNCKSAANVIERVASSGRRIRGPYCRLCESPDYVVMVNVPYGAKLLYQELFTLFESDDDDDEEEDDDGIGEDLEDLRRACIVSESNSDVVTPKSASVEPDGGGRGGEIPSDSENEDDFEMLRSLKSQLASSTSPPVGLPLPSDSESDDDFELLRSLKSQLALSMNASLPPMSLSDDEDDDSFETLRAIRRRFSAYANLDQDGAFMNDSLGKKKQVHASDNEPSREILSRSNTCESFLDQGKQAGESVGLHENSAIAPLDPTCQSSSFPEAAQAFVEAIRRNRAYQKFLRKKLTEIEAKIEQNEKHQKNVKIVVDFQASCKRITKQALSQGKDPRLQLISTPKCGPRDSSEDNDKKISPLTFGPSENSCVENYRMAIKNYPVSVNRRSWSTEENENLAKGLKQQLQETLLNEAIERYSDLEGSSDDIETINESIKNLEITPEMMRQFLPKVNWDQLASMYVKDRSAAECEARWMSSEDPLINHDPWTAEEENNLRVIIQEKGFTDWLDIAVSLGTNRTPFQCLARYQRSLNADILRKEWTAEEDDQLRAAVGLYGEKDWQTVANALEGRAGTQCSNRWKKTLHPTRTRVGKFSSDEEKRLKVAVTLFGAQNWHKIARFVPGRTSTQCREKWANCLDPNVNHGKWSKEEDAKLREAMAEHEIGNWSKIASHVPRRTDNQCRRRWMQLYPHQVPLLQEATRLRKEAIVGNFVDRESQRPALLECKFLALPEIPLEPEPEIVAVKKKRKARPKKADAECESEVSSAAKERRPKRRRKGLERCSGDVCRQENETVCENIENLDNGGEVRSLEWYKENQDNVKEKKQRRRRKSVAETSNNSTVTTDCSQVKVGVKKLTPRRKVSAVVPVENQDAPN >A08p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19640132:19642893:1 gene:A08p032480.1_BraROA transcript:A08p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSSSAKSNQHQFTLIRTPQTKHRLNFTSKPPNPDHTPPEHPVEVIGRIRDHPDRKEKPPSIFQANPDNNQTVRVRADAVYRDFTLDGVSFSEEEGIESFYKRFVEERIKGVKVGEKCTVVMYGPTGAGKSHTMFGGCGGGGRGKKEAGIVYRSLREILDGGVVAFVQVTVLEVYNEEIYDLLSTCCSNSLGIGCPKGGTTKARLEVMGKKAKNATFISGTDAGKISKEIAKVEKRRIVKSTLCNERSSRSHCIIILDVPTVGGRLMLVDMAGSENIDQAGQTGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEMHKTLCTLEYGAKAKCIVRGSHTPNKDKNGGDESSSRIAAMDEFISKLQSEKKQQEKERNDAQKQLKKKEEEVAALRSLLLQKEACAATNEEEAIEEKVNERTQRLKAELEKKLEECGRMAEEFVEMERRRMEERIVQQQEELEMMRRRLEEIEVEFRRSRGTTDETSGFAKRLRSLYSDDDMVKSMDLDMGKSMDLDMGDPVWGSAVSYQPSNTISSNLSNVLQPKPQENMVAQMYPDRVCLSTVFEEEEVEEDEEKVIVEDKSICSVTTRPMPSLNFGGLGKENCVNSTAGDKEPASCRKLRIENIFTLCGNQRELSQHTGKGEVLQDKN >A09p004000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2297161:2297705:1 gene:A09p004000.1_BraROA transcript:A09p004000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSFIWLSSARIFLSTFFPLLQHHQRVEESLIKHIEEREEDELCKEDAEIVMRSLGLSPDSESDGLQERYSSKEISSLFEEKEASLEEVKQAFDVFDENRDGFIDTTELQRVLTTLGFKEGSCVENCMVMIRSLDGNKDGIIDFNEFLKFMENSFC >A09p022110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12188265:12194489:-1 gene:A09p022110.1_BraROA transcript:A09p022110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF QUENCHING 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G56500) UniProtKB/Swiss-Prot;Acc:Q8VZ10] MISKKKKNTKHTKHKTQMALKLCSSSSSSPSLFFQSSRRSSYSSPLNTIRSKPTARSSSFPGFRCVSQARFPSFKVSVAAESPTSTTTEADGWGKVSAVLFDMDGVLCNSEDLSRRAAVDVFTEMGVEVTVDDFVPFMGTGEAKFLGGVASVKGVQGFDPDAAKKRFFEIYLDKYAKPESGIGFPGALELVTECKNRGLKVAVASSADRVKVDANLAAAGLSLTMFDAIVSADAFENLKPAPDIFLAASKILGVPTSECIVIEDALAGVQAAQAANMRCIAVKTTLSEAILKDAGPSMIREDIGNISINDILTGGSDSSRKQHQENTARDKTNNNGFQGSRRDILRYGSLGIALSCVYFAANNWKAMQYASPQALWNALVGTKSPSFTQNQGEGRVQQFVDYIADLESKQTATTVPEFPSKLDWLNTAPLQFRRDLKGKVVVLDFWTYCCINCMHVLPDLEFLEKKYADKPFTVVGVHSAKFDNEKDLEAIRNAVLRYDISHPVVNDGDMYMWRELGINSWPTFAVVSPNGKVIAQIAGESHRKDLDDLVAAALIYYGGKNVLDSTPLPTRLEKDNDPRLAASPLKFPGKLAIDTLNNRLFISDSNHNRIIVTDLDGNFIVQIGSSGEEGIRDGSFEDAAFNRPQGLAYNAKKNILYVADTENHALREIDFVNERVETLAGNGTKGSDYQGGRKGTSQLLNSPWDVCYEPVKEKVYVAMAGQHQIWEYNVLDGVTKVFSGNGYERNLNGSTAQTTSFAQPSGISLGPDLKEAYIADSESSSIRALDLQTGGSRLLAGGDPYFSENLFKFGDNDGVGAEVLLQHPLGVLCAKDGQIYLADSYNHKIKKLDPVTKRVVTIAGTGKAGFKDGKVMVAQLSEPAGLALTENRRLFVADTNNSLIRYIDLNNREDVEIRTLELKGVQPPMPKAKSLKRLRKRASADTKIVTVDAVTSREGDLNLKISLPDGYHFSKEARSKFVVDVEPEDAVTVDPLEGVLGPEGSTVLHYRQSSTSASIGKISCKVYYCKEDEVCLYQSVQFEVPFKMESESSSSQVIAFTVKPRASDAGGLQLQATS >A03p031440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13244428:13245747:1 gene:A03p031440.1_BraROA transcript:A03p031440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPVDKHMDKNPSSSITVTGSSTGSAECTTDHKKKLNTPSPKTLGMNYTVPKPFSLSPASRRTPVEYNNPPGNAVNRNSSSRSRASQTNMPLTARKTIRDQKKHHDDEEDSFSVASSTATSVRSKVTIGVAPTFRSTSRVERRKEFYKKLEDKQKALEEEKRENEKRLKEEQEVVTKQLRKNMVYKANPVPNFYYEAPPQKLPLKKFPLTRPKSPNLNRRKSCSETVNSSHQEVKGKHFARRRHSVDGCKKESKASNNIPRTPNVKKFAKETPTKSVEVYGRSKSGQEGEVGEKCIDVVSEA >SC179g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:505464:531248:1 gene:SC179g500240.1_BraROA transcript:SC179g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGIKTTSGDQTLTPSSKCSRKTLPWITLVRRSTSKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQHPASLDFTCFQSHFEIPFFLMDSNELSMVEETRSRSEEKKERENECAWSSWIKTAWESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVESLKEVAAEEGQTARLEVHEAKGVIYSLRQGKDELYQLVGRLREVESELSMVKTHTASPSWCQGRRKQNVIFGFLMGEICELINNKADRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREERVRPASSEEEQVEPASLPWITLVRRSTSKRDQDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFQSHFEIPFPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLADPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGVGVRQGQKMGLACGSARREEKEERGNEWGWFSQMKATLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSMSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGELEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSSCAKLGHGMYG >A04g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9457775:9458756:-1 gene:A04g504550.1_BraROA transcript:A04g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRTEFFLILLFLFYHLPCVPSQKQPTVYCETPFQCGNITVGYPFSGEKRSPSCGHPLLNLSCNKSSNTTSINLSGYNYSVLHIDMKKESITLRLSISGSFCSALFSSSPLPQNLLQNLPSYKSLTVFYICDPRRHFLGNFTCPMNNIGSVVQDSRYNKLCDKRFSVIVPASYVPEEEALNITHLESVLRKGFEAKLNMEEIPCPECLSTGEICGFSISGKGCCKILQHESLDSYYNRDSCRVNSYCIFGDDSSILVM >A10p032600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19235935:19237595:1 gene:A10p032600.1_BraROA transcript:A10p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFRSILQLFPVVRSRDHRVSVGEPIDLKDCRIDQDTFTFWDKLRAAAAKKVGEVEAERFCKAFEKLHKKLVYEELDPVTAKRYLLNS >A06p046400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24839485:24840105:-1 gene:A06p046400.1_BraROA transcript:A06p046400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETRPVPRRESPWGLPEGHREPQAHCCNDRVEDVVQFRLLKLVASIVIVVILTQEEINKYNAEELMIVQCTEDQPSRRHVYFAEDRLVTASSLWDSFHSFYSI >A03p027960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11689491:11692651:1 gene:A03p027960.1_BraROA transcript:A03p027960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIHLDMDNPLGVQDEIGVAEPCVGMEFDSEKEAKSFYDEYARQLSFTSKPLTVTTDSSSSSREFAIKYAEEGAATPETYNIAFGALREGGKKVSAVRKSVGRGAPPSSHGGDAKTSLSASDSTPLLWPRQDEMSRRFNLNDGGARAQSVADLNLPRMAPVSLHRDDGAPENMVALPCLKSMTWAMESKNTMPGGRVAVINLKLHDYRKFPSADMEVKFQLSSVTLEPMLRSMAYISEQLSAPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSIVGDQQTEPQGKKQRK >A01g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12677488:12684393:1 gene:A01g504200.1_BraROA transcript:A01g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRRPVCADGHIRTHTDSHGIMGVLTDTHGRPVCADRHPRTYCVCADGHIRTHIDSHGRRTAHVGQNNPNSPREPVGRKLRAQQNGKRPVSATTKSAQLAGLLAHSAEAAGSQLISARRTVRILGRWSGSGSVAGWESRRLGAWVGLLTDPKPNHKGRRDASGRKGTTLCRWCPFASKSCLVKYSEKNVERKKERKREFRRREWSSVVVLCFGDSDRLGTNSGQEWEIKIRRGEWRTQTWYTRYVMGRGSIRPNGRSMRPHRGSTRFLTPILLSLSVSIRFSSLLSVTKPYEIQKGTNIKRYEDQEVRMARGCMFQTVSFGTGYDRSLWISLWLPSGLGLKLTRLIGSRPKAGSGKGVRWAIEPDFIGRSHLDSIRLDGLVFGDDPDLFVCSVYLFWTIYLILSQGVELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIGSHVSNLRTPFGREARVRVLQPMWAKTPEQSTGRVSVLSPRTNVLICVLIDSQGRPVCGDGHTGTHTDSHGRPVCADGHTRTSYSPRGPKSPEQSTGRAREPSPTTRVLICVLMESHGRPVCAAGHTQTHTDSHERPVCADGQPWTATDVLQPTWAKITQTVHGKGQRAESKDQRVDMCTDGQPRTFRVC >A03p015320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6085176:6085971:1 gene:A03p015320.1_BraROA transcript:A03p015320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKEEMAPLRGILCLKNSQDMKKIEETEDCFILDCDTLDPFDFKIEIKKEVTPLRNILCLKNRQDMKRIEETEDCFILDFDPFDSFDFKKLSVSSDGDKDLDIIHETGQVACRDYPHPRHLCLTFPFGSTPNATHCRLCYCCICDKPAPCAQWISLHCNASADSMERKSPTLESESFYYSD >A08p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20442018:20446377:1 gene:A08p034320.1_BraROA transcript:A08p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:Projected from Arabidopsis thaliana (AT1G27770) UniProtKB/TrEMBL;Acc:A0A178WD16] MENYLNENFGDVKPKNSSDEALQRWRKLCWIVKNPKRRFRFTANLTKRSEAEAIRRSNQEKFRVAVLVSQAALQFINGLKLSSEYTVPEEVRKAGFEICPDELESIVEGHDVKKLKIHGGTEGLTEKLCTSITSGIGTSEDQLSIRKEIYGINQFTESPSRGFWLFVWEALQDTTLMILAACAFVSLIVGILMEGWPIGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDAEKKKIVVQVTRDKMRQKISIFDLLPGDIVHLGIGDQVPADGLFLSGFSVLIDESSLTGESEPICVNVEHPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLATRKLQDGSHWAWTGEELMSVLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKARDVNCSDAARYLASSIPESAVKILLQSIFTNTGGEIVVGKGNKTEILGSPTETALLEFGLSLGGDFQEERQASNVVKVEPFNSTKKRMGVVIELSEGHYRVHCKGASEIVLAACDKYINKDGDVVPLDEASTSHLNNIIEEFASEALRTLCLAYLEVGDEFSLEDPIPSGGYTCIGIVGIKDPVRPGVKESVAICRAAGITVRMVTGDNITTAKAIARECGILTDDGIAIEGPEFREKSEEELLKLIPNLQVMARSSPMDKHTLVRNLRTMFQEVVAVTGDGTNDAPALHEADIGLAMGISGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFLSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPQDDLMKRTPVGRKGNFISNVMWRNILGQSLYQLVIIWCLQTKGKTMFGIDSDLTLNTLIFNTFVFCQVFNEISSREMEKIDVFTGILKNYVFVGVLTCTVVFQVIIIELLGTFADTTPLNGNQWFVSIVLGFLGMPVAAALKMIPVGAH >A08p012470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11454028:11455112:-1 gene:A08p012470.1_BraROA transcript:A08p012470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEADALSQFGISKEETDKLVSEVIRFLLFKSHQNSGCPIKREDLTQIVTKNYRQRNLAASVINEAKTKLSSVFGYDLKELQRSRTCSNAQTRLPQSQSNADSKSYVLVSQLPIEVFRKHVVEETTSPMTGFTFAVLAVVQLAGGKIPEETLWHHLRRMGLHESDEHNPVFGSNKQALETLVQQRFLHKEKVSGPEGNTLFYDLAERALDAQVSERVKDYISQILKNDVSVVELD >A05g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18002174:18010186:-1 gene:A05g506270.1_BraROA transcript:A05g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREERDDIAAKMDQLLKGNQSQVFIMEEATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKAQNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLHGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTRKDKEEIKRRKMLEDLTVRLPLMDAIQMMPSMRSFMKGLISGKISEESEFMTVSKECSASMVNIDADGYAKMLDSARSMGRMVASLSLGEDISSIAEQPPRKAPDCFTLHFPLQSAVPDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIATNSMARTKQSAKRTRAMCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDVFETLHAIGIAPLCYTTHELYPDLVRQMLATATITYEDSDAPSYANCSFSFMADGEYCSLSLDKLNEIYEMANEPKGVAVAKKFSPSNAFWDYIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGITIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVNKEVQYLDIRYLMACHIMRDEETYSFFDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDENMDDVEDITPEADPSYDLGELADVTDDHAYRRWMVDSQRKNNSLMRRILHLITGGCIGGSDQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSLDPAESGESD >A07g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5418021:5419136:1 gene:A07g502680.1_BraROA transcript:A07g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITVNCSCDTEQGHEDTMMGSHPGSRVTACSVSCSIFEYLMAMMAGDLTLGREGTSLASDLLTENLENVFKVRVPYDISPCPNELTIGYCFFSGDQKYSENLRSTIEEHQPCHFRSTMIGVSQYHSLKKQQPLNPERLREHAKEGTDAISYEPDVEKTLRRNTSSNRTEITHSLICFMVILA >A06g504480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:14018304:14019032:1 gene:A06g504480.1_BraROA transcript:A06g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRNQEKTMLKLVEEIRTGQERQTNEFRQLADALEEQYNKLERLIFEHVSHTQAQGKQPFNDAGGSEMVDPTSADSNRPLDPLDLQRFCQYTPGDGSSPPPNTLSNRLTKMTFPPFDGTEFRDWICRCEQFFNIDNTAHEMKVRPAAMHMIGKPLQWHMNYLAEKFRIFPSWTDYIIALAGRFNGLFDDPLADLVALKQGTDSVEEYLENFENARTGLSLPEAHALSIFLTNIVITHPSG >A07p011400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8740773:8742786:-1 gene:A07p011400.1_BraROA transcript:A07p011400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLWRWYQRCLTVHPVKTQVISSGFLWGFGDVTAQYITHSTAKPPPPPLLRLSTYFAAIQRLDFKKPLESYRTFLCDVDDDLLLPFAFKDKDKEADRDAEFKVNWKRVAITSMFGLGFVGPVGHFWYEGLDKFIKLKLRYVPKSTRFVAAKVAMDGLIFGPIDLLVFFTYMGYATGKNTSEVKEGLKRDFLPALALEGGAWPLLQIANFRYVPVQYQLLYVNIFCLIDSAFLSWVDQQKDAAWKQWFTTPFLTLKERGGTDGV >A07p029990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16763900:16766124:-1 gene:A07p029990.1_BraROA transcript:A07p029990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQNSLFRESLSSIDNDEHRSNFTGKPNSVADLIRMSNELLDIDPIDLQFPFELKKQISSSLYLANKTDDYVAFKVKTTNPKKYCVRPNTGVVLPRSSSEVLVTMQAQKEAPADMQCKDKFLLQCVVASPGATAKDVTPEMFSKEAGHRVEETKLRVVYVDPPQPPSPVREGSEEGSSPRASVSDSANVSDFTTAPRFRVDRLEPQENSSEARALITRLTEEKKSALQLNKKLQQELEQLKRESKRSQSGGGIPFMYVLLVGLIGLILGYIMTRT >A05p024980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12210461:12212739:-1 gene:A05p024980.1_BraROA transcript:A05p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl protease APCB1 [Source:Projected from Arabidopsis thaliana (AT1G49050) UniProtKB/Swiss-Prot;Acc:Q9M9A8] MEPQPDDQRAHAVVIITLPPSDDPSQGKTISAFTLTDHPNPTFQPGPPDPGFWLSDLSTGSPRLVLSLIAISLLAVAFYASVFPNTVQMFRVYDDERDRDDEPNRRETSSFVFPVYHKLGARAIPDRKLAEVVDVLKTGILVKVNDASFDSSTTTLPVGGDVYPNGLYFTRILVGKHYFYLDIDTGSDLTWVQCDAPCRSCAKGANQLYTPRKGMLVRSAESLCVEVQKNQMTQHCEDCEQCDYEIDYADLSSSLGVLTKDEFHINLHNGSVADLDIVFGCGYDQQGLLLNTLVKTDGILGLSRAQISLPSQLASRGIISNVIGHCLPSDLNGEGYIIMGSDLVPSHGITWVPMLHHSHLEVYQMQVSKVSYGNGMLSSDGRVGKVLFDTGSSYTYFPNKAYSHLVKSLKEVSGLGLTLDESDKTLPICWRADFLISSLSDVKRFFRPITLQIGSKWWIISRKLVLQPEDYLIISNKGNVCLGILDGSSVHDGSTIILGDVSMRGHLIVYDNVKRRIGWMRSDCVRPRDSDFNVR >A03g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26006639:26007472:1 gene:A03g507300.1_BraROA transcript:A03g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTMVNKALKANQIRTGAERKRSFALGMSLKTTVPTRTQRQPKLRRSLYVGAFGPKGTEIVQLHCQYGH >A02p024420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12769419:12780819:1 gene:A02p024420.1_BraROA transcript:A02p024420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYNKQKKNAKGNRILISVTVLGSAGPIRFLAYEEDLVASVIDTALKCYAREGRLPLLGSDFNDFILYCPMVGPESISAWKGIGSLGARNFTLCRKPEEKNKVVKEGDGARKGSFKAWINKSFSLRREREKATNFTMDPIESIDYDGFETYNGNTTHVDHGWKKVVYPKRHRKQKPADQTATNGQNANGDNVFRSLEEQAEDRRKRILAAKMAAVDVEDEPNGSRSYGYDEIAAMNEKKKAEETKKPKPKKEKKPKVSLPEAAAKIDPSNLEAFLIEASEAYATQPEIQLMRFADYFGRALSGVSSVQFPWVKMFKESPLSKLIDVPLSHIPEPVYKTSVDWINQRPVEALGGFVLWAFDCILTDLAAHQGGAKGGKKGAQQTPSKSQVAIFVALAMVLRRKPDALTNVLPTLRENAKYQGQDKLPVTVWMMAQASQGDLSVGLLSWAHNLLPVVGNKNCNPQSRDIILQLVEKMLSNPKARTILVNGAVRKGERLIPPPMFEILVRITFPASSARVKATERFEAVYPLLKEVALAGAPGSKAMKQVTQQIFTFSLRLAGEGNPVLAKEATAIAIWAVSENVDCCKHWDNLYKENLEASVAVLKRLVDEWKDHSVNLSSSPSDTATLNRTMKSFRLKNEEAITEGRANVSLYKEADKSCKVISGRLSRGSGCLKGTAITVVVLAAAAAVLSSNPEVTTELKNLVDSLELHQYYNPIITALKN >A09p059630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49785888:49787261:1 gene:A09p059630.1_BraROA transcript:A09p059630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSCLVDDDAEFRLPPEFLTDDDFLLEKENKLFKGDESNLFPYELSHGYGLDSGLDRTDYLTGFTRKTVRSTQEDDFFGSHANDTKVWGATRSTLCGAGIGYQNCQTRVSSQAATWDMYCAAVEELAMININGYNNRSGRGVLDLPRKQPLAAAKIPKDGSGYYSRQSLQYQKLQAIQFQQLKQQQLMQQQQRRGLKANNNKIAGHVDLSPSAWPNQPQRRDGSAMRAVFLGDRTGKPRSTGTGVFLPRRVNHTDAESREKPTLATVLVPARVAEVLNLDESLVQQPVIRSSASLYESSWRQKSNNGGFSSQMKMGQGVNEPRLPSDWAY >A05p020750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9836789:9837043:1 gene:A05p020750.1_BraROA transcript:A05p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLLCYYARRRLHRKAKSSLPGNDVKKGETTSDTKDGGLVVMSSGKNDKTTGCCVFGAEGGDCGGCVGGCGGGCGGGCGGGGG >A04p021710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13131852:13139521:-1 gene:A04p021710.1_BraROA transcript:A04p021710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTCFFLFATTALLLALNISGRIIPEATADPTNIAARLNGGGLMECWDALYELKSCTNEIVLFFLNGETKLGAGCCQAVDVITRDCWPSMLTSLGFTSEETNVLRGFCESPNPGGLKVRLTLSTMAVNVIKISRISPETNSVEPLILPLTFFDLLWIKSSPTKRVTFYRLTESSHDAFYSVILPKLERSLSLTLTHFLPLSGHLKWNPQDPKPHIVVFPQDTVSLTVAESSADFSRISDKGLRPEAELRALVPKLPVSSDSASVLSLQITLFPNQGFCIGSADHHAVMDGQTAAKFHKSWAHICKYGTIQQDFHLPTLLDRSIINVPAGLEPKILELMSYLDKDNARSLRLSPFKEVDDDVVRITLEINQENVHKLKERAKNESTYSADLHLSTFVVTFAFVWTCMVKTRGGEPDRPVRFRYAADFRNRLDPPVPETYFGNCVVSVVLDEYKAKMFLGEDGFVNGVKILSDSVKGCGSRGVESIWEQYEEGKKNFKMGTQALSVSGSNQFGMYGSDFGWGRPVNTKISMSARRDGTGGVEIGVSLKKCEMDVFISLFTNGLDN >A06p057220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29737362:29741186:-1 gene:A06p057220.1_BraROA transcript:A06p057220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKLLFRGSSSSLQLRFAAALFAVSGILLIVLWSCGAAADAKGQDSSSGGVSSHSCIHDQIIEQRKRPGRKVYSVTPQLYHEEPSSARKGRALLSLVSEDANQQQPIRIYLNYDAVGLSFDRDCQTVGDIVKLGEPPSSISLACNPNVKPPVSGDCWYNCTFDDISGEDKRRRLRKALEQTADWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRQYVEEGIADTDLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAGTLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRTEVTEQQMDEKLGRIVTRVVLPRVVMHSRHHYGAFSQNFSGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYKANYSMADRLDWGRNQGTQFVTSPCNMWKGAYHCNTTQLSGCTYNREAEGYCPILSYNGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDINSARAPDRMLGEVRGSDSRCMASSLVRTGFVRGSMPQGNGCYQHRCKNNLLEVAVEGEWKFCPQAGGPIQFPGFNGELICPAYHELCGTPVVSVLGQCPNSCNFNGDCVDGKCRCLLGYHGHDCKIRKSPVLALIIAVDMENAQRKVYAHAKMDLLELIVPLPTTRFFSNVTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSKLVTSLLVCKNVLERDMLGQHCAPREPSILQQLEEVVVMPNYNRLFPGGARKLFNIFGNSYCDEAAKRLACWISIQKCDIDGDDRLRVCHSACQSYNVACGASLDCSDQTLFSTAEEGDANCTGSAEIRSPWFTRLWSKLLASN >A09p032060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19425175:19430344:-1 gene:A09p032060.1_BraROA transcript:A09p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRYTADENAPSSTGEGMLQPRAWKLRFVNSPPPMIFKFSRIEAEDGSPIAIELLDAATNARVTSDLRLEIVALNADIPEESFTTEEFNRNILRPREGKPPLLAGDLTVTLEDGVGVVSGDVTFTENSSWTRCRLGAKVTQQGGVVEAISRAFVHDMTKHGVKESSSPGGGNQQRKQRRQLQIRGHRPSPLSIHKDSHMIKKPPTLTQREPVVVSPKVVHTTLSDFRSVVHRLTGVNNSVSQLQFSASSASTDYEVIVIYRRGDITNDAFVKVVQRLTGIPNSVSDLNNSVSVPLNSYVVKGSSKDLQDTELSTSAIREYDAVIRYMRGCISKGDFISHLHAALSRRGVSVREDIDEVDTVPECRVLIIFLTSTYVPSNLVNIVEQQSKKPRVVYPIFYGISPSDLITNSEYYESFFLQDEPKRWQAALEKITQMHGYILTDKSESDFIDEIVNDALEVLRSNYKKNIIGMDTQIKEILISVQYETCVFLKNLHKEVELKGYDAVREELLSKLLESGLSSELYKTLSLALVKFSNGNPQSLENLKKMRLSYSYQLTKLPRLTSAQNLELLDLEGCKSLLPSSISYLTALEEVRFVGCKSLVRLPDNAWSLRFKVEFRQIDTEKFSKLWNRLGWLKKVHIS >A08g506490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11061052:11061842:-1 gene:A08g506490.1_BraROA transcript:A08g506490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKKEPLKVRAAEKDQTASLEEIQVKVEPLREVAAEEGQTARLEEIQVKVEPLEEVAAEEGQTARLEADEAKGVIYSLRQGKKELYQLVGRLREVESELSMVKTHTVSPSWCQGRRKQDVIFGFLMGEICELVEHILWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEE >A07p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16627861:16631716:-1 gene:A07p029680.1_BraROA transcript:A07p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLTIIRVVHILTLIAFAHSDYYIESSDGGIVNCVDRMAQPAFDNTLLRNHKFQESPSKIPTTTTKSNTKSKWRTTEAHVSIANCPRGTVPLRQDDASEYPGNNTSTQTTHEYADKSTDDSSKLYGAKATINIWDPKVENKEIELSISQLWISSGDYAKNNLSTIEVGCQVYPQLYTDNKPRLFIYWTSDAYQTTGCYNLRCAGFVQTSRSIVLGGAIGPVSVFAGRQYEITIQVWKDQNHGNWWLSIGPDNSIVGYWPAELFPNLDYADEVHWGGEIVDSHKFDRHTKTQMGSGHFPFQGFGKASYFRNLEIVDSNNFQPIQRLKINANHKYYDVKNLDIDEEWGTHFFYGGPGFSDIYSGVVPLRVKLYFICFGFGFFIII >A03p038850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:16191964:16192605:-1 gene:A03p038850.1_BraROA transcript:A03p038850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEMSRRDVIVFRGIWYLMTLLSLIGLSISLNLLWPPGGKSPTLSRFLRDGAVSATTFYAVTALRYLLFTDPPSTNGYKDFTTEKERVPQLVFAELALLALVASPLFYSDHDVSFVLYMSLFTISLFIGGTGLIQLSDKFRMEMKHAYITLLCGLLCWLFGIYFSIYGEHNPVVTMILLVVYSMFVVFIYFYNTYNREEFPMNSRVSPLPA >SC178g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:206105:209502:-1 gene:SC178g500120.1_BraROA transcript:SC178g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGFGCEWYGRPYKAVHGRTIQGWCIRDPRFKFSSQAKMEQGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELVERLEARNGEERSMRSLCKRPTKMKEE >A10p006780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9892494:9894386:1 gene:A10p006780.1_BraROA transcript:A10p006780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVMDIEEGAASLPGKTTFQVVMCSIIAAVGGLMFGYDIGISGGVTSMDTFLLDFFPHVYEKKHRVHENNYCKFDDQLLQLFTSSLYLAGIFASFTASFLCRRFGRKPIIMSASIFFLLGAILNYFARDLGMLIGGRILLGFGIGFGNQTVPLFISEIAPPRIRGGLNIAFQLLITIGILAASFVNYLTSTMKNGWRYSLGGAAVPALILLIGSFFIHETPASLIERGKDEEGKKVLRKIRGIDNIELEFNEIKRATEISNKVKSPFKELFTKRENRPPLVCGTLLQFFQQFTGINVVMFYAPVLFQTMGSGNNASLVSTVVTNGVNALATVFAVIMVDRLGRKFFLVEGATQMMATQLTIGALLLKYLHLVGPITSHAVPLIVLILICIYVSGFAWSWGPLGWLIPSEIYQLEVRTTGYFCAVAMNMVCTFVIGQFFLSALCRFRSGLFFFFAVMNVIMGLFAIFFLPETRGVPIEDMAEQRWKKHWHWKKYFKQN >A09p029020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17362231:17366928:1 gene:A09p029020.1_BraROA transcript:A09p029020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSMVTPPQPKVISLQGPPGYPLLFPELSNEDPRIERVRQGHVYSYEKQNMNSAGKALAIKESRGAWAREVQSDGEVESSGSHMSNHSAPVQVPTVFRLGPSAEGLTTGNAGSSKAQRRRPPAWKRRSSLKLISVSAQTEAGCSVSVPSASKRKPRMISSEGFEDLVRESWERKSCSQNRTMDRIRRCRKNTVPRPPNYRHDSVVDLTLTVDTLIDQQTGSWNFRRVRETIADDDVERVLATKIFRGKDDSLRWGFASNGVYNTKSEAMVDLKIDRVFMEVSSGNIQQILSQPSFYPYLSEWPWRLQEVSQGIIDNSLTWRTRVQHGWSLEFGRKL >A03p049250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21078105:21082297:1 gene:A03p049250.1_BraROA transcript:A03p049250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRLKCVIVGDGAVGKTCLLISYTRNTFPTGDVSNVFDNVCAHVIVDGSTINLELFDTAGELRPLSYPCTDVFLLAFSLVNKASYENVAKKWVPELRHHAPDVPIVLVGTKLGSLLDARDDKQYFLEHPEAVPISTAQGEELKRLVGASAYIECSSKTQLNVKAVFDEAIKVVLEPPNNNNISTSQKGCSIFPKSRTHHWSRSEVNGQKMSDPRFIKCVTVGDGATGKTCLLISYTSNTFPTDYVPTVFDIISANVIVDGNSINLGWVPELIHHAPGVPIFLVGTKLDLRDDKEYLLEHPGAVPISTSHVTLLIIVFFEGVELMKLVGASAYTECSAKTQQNVKAVFDVAIKVVLELPKNKNKKNKKSQKGCSIL >A09p075150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56734645:56736345:-1 gene:A09p075150.1_BraROA transcript:A09p075150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQENAVSRPFTRAFASSLRASTTRNQQRANRKRPASEEDKNITAHTPNKKKKRVVLGDISNVGFNAAKLEEAKNIIKQVKKESVDTSEVTDLQSKTHAKAEEVSNDTADNCKSDVIGTSTSLDFPKVIDIDSDDKDPLLCCLYAPEIYHNLRVSELKRRPVPDFMERTQKDVTHSMRGILVDWLVEVSEEYTLVPDTLYLTVYLIDWFLHGNHIERQNLQLLGITCMLIASKYEEICAPRVEEFCLMTDNTYTRDQVLEMENQVLAHFSFQIYTPTPKTFLRRFLRAAAQASSYLSQRRRELEFLASYLTELTLLDSRFLKFLPSVIAASAVFLAKWTLDQSNHPWNLTLEHYTTYKASELKASVHALQDLQLNTRGCPLSAIRMKYRQEKFKSVAVLISPKLLDTLF >A08p042280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23741516:23744025:-1 gene:A08p042280.1_BraROA transcript:A08p042280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGLPSLKPIKPSLVNKRNNAQDVSKSWWKVKPFLALMCTALLIFWYKTTHIQFEETEESEPVDEKLKGLPRGIIQPRSDLELKPLWSSSSLSSKGVEMANRNLLAIPVGIKQKSNVDAIVKKFLPANFTVVLFHYDGNMDQWLDLEWSSKAIHIVAQDQTKWWFAKRFLHPDVVSIYDYIFIWDEDLGVENFSPESYLEIVKSEGLEISQPALDSNSSGIHHKITVRSSTEIFHRRVYISKGNRKCSNASVDPPCTGFVEGMAPVFSRAAWFCTWNLIQNDLVHGWGMDMKLGYCAQGDRTKNVGIVDSEYIFHQGIQTLGESGHSEEKRHGHNSRTSVSKSPSDSSLIRRQSTWELQTFEERWNKAVEQDKNWIKSNNRRLRHKRTQETATPA >A09p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34823882:34828664:1 gene:A09p042630.1_BraROA transcript:A09p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQERVQKQVELKMNCLNELLTKEMDKSKLLENQLADNLKKVRMLTTGTTTLDHLLTIGQCPSSNWGLGFQGATSKSAEETVFVKGSSNEKEIQTTTKYKWEATSVSLLWTLKDILEYVFWRGALVAGSFVSCIACKDIIINLTTSLSHTLLSNLGPHVLGLVLLFLTGFVSMIRVILEDCMMQLSSSVTSSLSLAGALDLSH >A03p024090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10189307:10194652:-1 gene:A03p024090.1_BraROA transcript:A03p024090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAKVLDPAFQGAGQKPGTEIWRIENFEAVPVPKTEHGKFYMGDTYIVLQTTQNKGGAYLFDIHFWIGKDTSQDESGTAAVKTVELDAVLGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKTPEEEVFETRLYTCKGKRAIRLKQVPFARSSLNHDDVFILDTKEKIYQFNGANSNIQERAKALEVVQYLKDKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGRKVANDDDVIPESTPPKLYCITDGQMEPIDGDLSKSMLENTKCYLLDCGAEVFVWVGRVTQVDERKAANQSAEEFLASENRPKATRVTRVIQGYESHSFKSNFDSWPSGSAAPGNEEGRGKVAAMLKQQNVGLKGISKSATPVNEDVPPLLEAGGKLEVWVVDGKAKSPLPKEDIGKFYSGDCYLVLYTYHAGDRKEDYFLCCWFGKSSIQEDQDTAIRLANAMSNSLKGRPVQGRIYEGKEPPQFVALFQPMVILKGGLSSGYKSNVEEKGSPDETYTPDSISLIQVSGTGVHNNKALQVEPVATSLNTYECFLLQSGTSMFLWHGNQSAHELLELAAKVAEFLKPGVTLKHAKEGTESSTFWFALGGKQNFTSKKASPETVRDPHLFSFSINRGKFQVEEIYNFAQDDLLTEDIYLLDTHAEVFVWVGQCVDPKEKQTVFEIGQKYIDRAGSLEGLSPKVPLYKINEGNEPCFFTTYFSWDHSKAIVQGNSFQKKAALLFGTHHVVEDKSSGGGPRQRAEALAALNSAFNSSTSRPAYSSQDRSSESQEGPRQRAEALAALTSAFSSSSSTKAPPPPPRSVGTSQASQRAAAVAALSQVLVAENKKTPDTSPTRRSTSSNPADDSLLTEAKDDQVEASEEEKVPPAVEEPGVKQEETEEQDESVIEPSDANFTYEQLKANSENVVTGIDYKRREAYLSEEEFQSVFGMEKDAFNNLPRWKQDLLKKKFDLF >A02p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14422166:14426348:-1 gene:A02p028590.1_BraROA transcript:A02p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTFLFVVFFIGTQAHAQLVPPARLDGFLYPPGRRVDPDTILIEAFFDPVCPDSRDAWPPLKQVFQRYGSRVALLLHLLPLPYHDNAYVSSRALHIVNALNANATFCLLEAFFEHQALFYNTQTQLLSRPDVVEKIVKLGTATLGNSYRHVLKSGFTDTISDRATRVSFKYSASRGVYGTPTFYVNGFVLSDAGSPLDFGGWRKVIDPLVQTHKMEKYDRVVKKKDETPIDANEIRITSMGRARNYITYAMTLLQEKGSTEVVFKAMGRAINKTVNIVELIKRRIPDLHQNTSIGSTDITDTWEPKEEGLLPIETTRHVSMITITLSTKELNTSSIGYQCPISVELVKPLGDIDYEGGEGSPGGRGRGRGRGRGRGRGGRGNAYVNVEYEDGGYERNQSYGGRGRGRGGRGRSSRGGRGRGGYNGPQNEYDAPQDGGYGYDAPPHEHRVYDDRGGYERRGGYNGGPQGRGGYDGPRRRGGYYDGPQRRGGYDGHQGRGGGYEGPPQGRDDYDDAPRRGRGRGGRGRGGGRGGGGGFNNRADGPPVQAVA >A02p025880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13596646:13597471:-1 gene:A02p025880.1_BraROA transcript:A02p025880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 1 [Source:Projected from Arabidopsis thaliana (AT1G72610) UniProtKB/Swiss-Prot;Acc:P94040] MSTSSFRSQRSSDEEQAVKEKKHFVCIQEWSLFLDVHNEKNRAKSNELVLTPTNQNGVRIIFFLSFLFASVQDFCVANLKRAETPADYPCIRPIHVKAKDFVFSGLGTPGNTTNIISAAVTPGFAAQFPGLNGLGLSTARLDLAPKGVIPMHTHLGASEVLFVLDGAITAGFVSFANSVYVQTLKRGQVMVFPQGLLHFQINAGKSAAAALVTFSSASPGLQILDFAIFANDLPTELVAGTTFLEKLN >A01p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:255017:259082:1 gene:A01p000640.1_BraROA transcript:A01p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANMLTKFETKSNRVKGLTFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHEGPVRGVHFHNSQPLFVSGGDDYKIKVWNHKTHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLKKKTVSPADDLMRFTQMNSDLFGGVDAIVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSSVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAVHPEINLLAAGHDNGMIVFKLERERPAFALSGNSLFYTKDRFLRYYDCSTQKDSQVIPIRRPGTPSLNQSPRTLSYSPTENAVLICSDLDGGSYELYIIPKDSVGRSDVVQDAKRGTGGSAVFIARNRFAVLEKSTSQVLVKNLKNEVVKKSSLPIPTDAIFYAGTGNLLCRSEDKVVIFDLQQRLVLGELQTPFVRYVVWSNDMESVALLSKHTIIIASKKLVLQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVSGNTIFCLDRDGKNRAITINATEYIFKLALLRKKYDHVMGMIKNSQLCGQAMIAYLQQKGFPEVALHFVEDERVRFNLALESGNISVAVASATEINEKEQWYRLGVEALRQGNAGIVEFAYQQTKNFERLSFLYLITGKLDKLSKLMKIAEVKNNVMGQFHNALYLGDVKERVKILENAGHLPLAYITASVHGLKDVAERLATELGENVPSLPEGKTPSLLMPPSPVMCGGDWPLLRVMKGIFEGGLESAARGGAVDEEEEEDAGGDWGDALDMVDVDGMENRDIDAILEEAERGEDENDEEGGWEELEGLDLPPELDTPKASANARSSVFVTPTQGMPVSHIWSQKSSLAAEQAAAGSFDTAMRLLNRQLGIKNFAPLKSMFIDLFSGSQSYLRAFSSSPVVSLAIERGWSESSSPNVRGPPSLVYDFSQQEEKLKSGYKATTSGKLTEALRLFLSILHTIPLVVVESRSEVDEVKELVTIVKEYVLGLKMELKRRETKDDPVRQQELAAYFTHCNLQLPHLRLALFSAMGVCYKSKNLATAYTFAKRLLETNPMESQAKTARQIVQAAERNMTDTTELNYDFRNPFVICGSTYVPIYRGQKDVSCPYCTARFVPSQQGNICGVCDLAVIGADASGLVCSPSQVR >A03p072270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31941260:31943301:-1 gene:A03p072270.1_BraROA transcript:A03p072270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTSNSSDLTSTTRQTWSFSNMYLLTTLQAFVAITLVMLLKKMITNPNKKKLYLPPGPTGWPIIGMIPAMLKSRPVFRWLHSIMKQLNTEIACVRLGNTNVITVTCPKIAREILKQQDALFASRPMTYAQNVLSNGYKTCVITPFGEQFKKMRKVVMTELVCPARHRWLHQKRAEENDHLTAWVYNMVKNSGSVDFRFVTRHYCGNAIKKLMFGTRTFSENTAADGGPTAEDIDHMEAMFEALGFTFAFCISDYLPMLTGLDLNGHEKIMRDSSAIMDKYHDPIIDGRIKMWKEGKRTQIEDFLDIFISIKDEEGNPLLTADEIKPTIKELVMAAPDNPSNAVEWAMAEMVNKPEILRKAMEEIDRVVGKERIVQESDIPKLNYVKAILREAFRLHPVAAFNLPHVALSDTTVAGYHIPKGSQVLLSRYGLGRNPKVWADPLSFKPERHLNECSEVTLTENDLRFISFSTGKRGCAAPALGTALTTMMLARLLQGFTWKLPENETRVELMESSYDMFLAKPLVMVGELRLPEHL >A03p049160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21044754:21045854:1 gene:A03p049160.1_BraROA transcript:A03p049160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATADF5 [Source:Projected from Arabidopsis thaliana (AT2G16700) UniProtKB/TrEMBL;Acc:A0A178VPY9] MAMAFKMATTGMRVTDECTSSFLEMKWKKVHRYIVFKIDENSRKVTVDKVGGAGENYQDLAASLPVDDCRYAVFDFDFVTVDNCRKSKIFFIYWLVVLITSQNYNIYLYSLLAIITISSPTVGLNGSVRDLVRRSHWQRSPEASRIRAKILYATSKAGLRRVLEGIHYELQATDPTEMGFDIIQDRAK >A10p024130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15627340:15630214:-1 gene:A10p024130.1_BraROA transcript:A10p024130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVFLLLSLTALLIFSAVSPSFAATDVDDEDLSFLEDPKEEHDPTKPLTSTESELDEFNEGEEEDPEMYEGDDEEEGEDLSDLGNPDSDPFPTPDVDEKDVVVVKERNFTDVIENNQYVMVEFYAPWCGHCQSLAPEYAAAATELKGDGVVLAKIDATEENELAHQYSVQGFPTILFFVDGEHKPYTGGRTKDTIVTWVKKKIGPSVYNLTTLDDAEKVLTSGNKVVLGYLNSLVGVEHDQLAAASKAEDDVNFYQTVNPDVAKLFHIDPEAKRPAVVLVKREAEKISHFDGEFVKSDLASFVSANKLPLVSVFTRESAPEIFESAIKKQILLFVTQNGSEKVLPEFEEAAKSFKGKLIFVSVDLDNEDYGKPVAEYFGVSGNGPKLIAYTGNEDPKKHFFDGEIKSDKIKTFAEEFLSDKLKPFYKSDPIPEKNDGDVKIVVGDNFDDIVLDESKDVLLEVYAPWCGHCQALEPMYNKLAKHLREIDSLVIAKMDGTTNEHPKAKAEGFPTILFFPAGNKTAEPITVDTDRTVVAFYKFLRKHATIPFKLEKPAASTESPKTAKSTPKVETTETKGNPQSTTKSTESDLKDEL >A03p027500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11512397:11516061:1 gene:A03p027500.1_BraROA transcript:A03p027500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSDSSSSSSSSSNDFADPNPSTDPGTNSDRVQSQLESMNLSEPSGVSDGTPTDDDDDEEVASANGNEGGEETEALPRAEEHPVEMEAGEEPPSPTSSGYDGERGSSGGASSTYKADEDEIREANVDADTASQHEAAWLPGKRHVDEDDASLSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVNLVKAGKHQVVFLVKGPIYLVCISCTDETYEYLRGQLDLLYGQMIVILTKSIDRCFEKNAKFDMTPLLGGTDAVFSSLVHSFSWNPATFLHAYTCLPLPYASRKATGTVLQDVCASGVLFSLLMCRHKVISLAGAQKASLHPDDLLLLSNFVMTSESFSPICLPRYNPQAFLHAYVHFFDDDTYVILLTTRSDAFYHLKDCRVRIEAVLLKSNILTTIQRSIAEGGLRVEDLPIDRRLRQKPPSTNNQGQDSTEVSVGTGGPFGLWHFMYRSIYLDQYVSSEFSPPVTSHRQQKSLYRAYQKLYASMHEKGLGPHKTQYRRDENYTLLCWVTPDFELYAAFDPLADKAMAIKICNQVCQRVKEVENEVFLQGASPFSW >A09p012990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6620527:6622503:-1 gene:A09p012990.1_BraROA transcript:A09p012990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRLALVCSAIVLLSISGLSHAGVTSSYTRVAEPSEEMPLETFPPPAGLNAPEQVHITQGDHNGRGMIISWVTPINDDGSNFVKYWIADSDESTKESAEASTSSYTYYDYTSGFLHHATIKGLEYDTKYFYELGTGRSSRRFSFTTPPKAGPDVPYTFGVIGDLGQTYASNQTLYHYMSNPKGQAVLFVGDLSYADDHPNHDQRKWDSYGRFVEPSAAYQPWIWAAGNHEIDFAQSIGETQAFKPYKNRYHVPYRASKSTSPLWYSIKRASAYIIVLSSYSAFDKYTPQNSWLESELKKVNREETPWLIVLVHSPWYNSNGYHYMEGESMRVTFEPWFVENKVDIVFAGHVHAYERSERVSNIKYNITDGLSSPVKNPSAPIYITIGDGGNIEGIANEYTYPQPSYSAYREASFGHALLEIKNRTHALYTWHRNQDDEPVIADSLWVKNRHFLPEEEETLSGDSSA >A08p014490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9968784:9969233:-1 gene:A08p014490.1_BraROA transcript:A08p014490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESMNHHHMSFTKKLKSTFSIAGCFRTTNHPQSLPEQPPSPTTPNETSTQSPTKTKSPRLTRTLSKSHEKCKNLIHRIGEGGHGKHIRRHTTDFHYDPSSYALNFDRGDEDQNVNRFPRYNFSSRLPRSPPSSATATESSFTIHNLLR >A04p020680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12646079:12647366:-1 gene:A04p020680.1_BraROA transcript:A04p020680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A24 [Source:Projected from Arabidopsis thaliana (AT5G39310) UniProtKB/Swiss-Prot;Acc:Q9FL76] MKLLQNIIFVQVLMMAMVIWIVPMTYGHGHGHDHGHGHDHGHGHGHGHHAPVAGWLDARATFYGDINGGQTHQGACGYGDLHKQGFGLATAALSTALFNNGYTCGACYEIKCANSPQWCLPGSIKITATNFCPPDPSNKKDSWCNPPQKHFDLSQPMFLKIAQYKAGVVPVRYRRVHCTKTGGVKFEIKGNPHFVMVLPYNVGGAGDIKELCIKGTKTDWIKMQKNWGQIWNSGVVMTGQCLSFRITTSDGSTKDFMDVTPTNWGFNGQAFDGKINF >A08g500630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1657250:1661144:1 gene:A08g500630.1_BraROA transcript:A08g500630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMSEKVGAVGGNKGGPFDDGVFDGVKKIIVGKDFNSVSYIKIEYEKDGKFETREHGTIRGELQEFTVDYPNEYITSVGGSYELVLCYGTVLIRSLIFKTSYKRTSPIFGRTTLFGHPAGREFMLEGKNGGKLLGFHGRSGQALDAIGAHFFSVKSPLKHFNLQGGNGGSAWDDGAYDGVRKILVGRGSKFVSYVRFEYAKGQGMVPHAHGKKQEAPQEFVVDYPNEQITLVEGTIDGYLTSLRFKTSKGRTSPVFGNVVGRKFVFEEKDFKLVGFCGRSGDAIDALGAHFAPLPPPPPAPTPDPATSKMGPLGGDKGNTFDDGVLDGVKKVTIGADEYSVTYIKIEYANDGNVEIREHGTNRGELKEFSVAYPNEYITAVGGSYKHIFNYDTTLITSLYFTTSKGLTSPLFGEIKGREFEYKDENGGNLVGFHGRGGYAIDAIGVHFAPAPNSSIPTPPNKLSKVYITSGNEGIEEINFYNVENGVTKEVFLHGVKGKNLISTLVISNPPDECLVSVESWYSSYNVSQGIKFKTDTNGSNFFGYKFSEDTGRPFSLQVKGKKIIGVQKFPDSNLISPGTYFVLSRSSSPDKVEAIGGKGGETFDDGAFNHVRKVFVGKGDSGIAYVKFDYEKDGRIVTQEHGQKTSQETEVFEIGQDDDITSVKAYYETLDGSKTETITYLAFKTLKGINSQPFGKTPVIVNENTKLSLLEGGKITGFHGSSTDVLHSIGAYISASPPTMLHGKWIQVEQNGKTPGPRCSHAIAMVGNKMYSFGGELKPNFHIDRDLYFFDFETRRWSIADPDGDVPELPCLGVCMVAIGTTLYVFGGRDGFRNYNGFYSYDTVKSEWKLITHVNNGPAPRSFHSMAADGNNIYIFGGVTTKERVNTLHAYNIVDQKWTELPNPGESCKGRGGAGLVVVQGKIWVVYGFIGEEVEDVHCFDPVESKWTKVETRGEKPWARSVFALAVVGKYIIISGGEIEMDVKAHLGPGSLTGGAFVLDTESLVWEKLEEGHSPRGWIASTTASIDGKKGLLMYGGKAPTNGRYEDIYFYGVDSA >A01p007210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3600319:3612548:-1 gene:A01p007210.1_BraROA transcript:A01p007210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPEIQPPTTETPDESGEKISKKAAKKEAAKLEKQRRRQEQEEAARKTASLSLEDESSSRNYGDVTLTELQSTADPKAGKWREAVEGKKWTNVSELVEEIAGSEVLIRGRVHTNRPVSNKLGFVILRQSGFTVQCVVTESKERNVSVNMVKFLKQLSGESFVDVIGVVVLPKEPVTGTTQQVEIQVRKVYCVNSALQKLPLNVEDAARSEADIQAGKPGANQDTRLNNRVIDLRTPANQAIFRIQCHVQIAFREFLLSKGFLEIHTPKLIAGSSEGGAAVFRLEYKGQPACLAQSPQLHKQMAICGDLERVFEVGAVYRAEDSFTHRHLCEFIGLDVEMAIHKHYSEVMDIVGELFPFIFTKLEERCSKELEAIRKQYPFQPLKFLPKTLTIPFAEGIQMLKEAGVEADPLGDLNTEVERKLGQLVLEKYNTEFYILHRYPSAVRPFYTMPCADDSNYSNSFDVFIRGEEIISGAQRVHDPELLKEQAKRYGIDVDTIKTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPPSKSHSWDDLKPSESIRLFPMQRTLSLAAAKSSSSTSPLSLRPLMAKLQCREIQSFPASSSTSVVRVDYRNVCQLQFRRETASCFKLACALPSFGSVSYTSHFSGSSLGSFGNSFRLFPGRYFSQVPNNGNKDKVVKKFSKNWNTKNKKKNEVLTSSEAEVVTGTEPVIGDVSSGVKVDLAAAPVGNVKQASAVKPKRRPKKKKVEDKDKSSSTVSALEEVSVEESLKTVPKTKHSGSGNRKSSSAKYGSQKEVAKDRKSSAPTEASNAPKQEKVLASENGSGVIKVELSTEASPASNGKQASTVKTKRRPKSKKADDKSSLAGPVLEAISVEESSKSVPNPKHSGSRNQKSSPAKHTSQKEVAKNPVVEAPKSGKQKQVPQAQPMKNSIEHRGQNASKPLFPPSGKSVIVVESITKAKVIQGYLGDMYEVLPSYGHIRDLASRSGSVRPDDDFSMVWEVPSSAWTHIKSIKMALNGAENLILASDPDREGEAIAWHIIEMLQQQGALHESMTVARVVFHEITESAIKTALQSPREIDGDLVHAYLARRALDYLIGFNISPLLWRKLPGCPSAGRVQSAALALICDRETEIDGFKPQEYWTVGIKVQRKDSSSAVSAHLTSLNSKKLNQLSISSEAKAQDIEQRIRSDSFLVKGIKKSTTRKNPPTPYITSTLQQDAANKLHFSSAYTMKLAQKLYEGVQLSDGQSTGLITYMRTDGLHIADEAIKDIQSLVAERFGKNFTADGPRKYFKKVKNAQEAHEAIRPTNIRRLPLTIASLLDADALKLYTLIWSRAVACQMEPASVVQIQLDIGNASESIIFRSSCSKVDFLGYQAVYEDPEAKAIRTKDDDKCREREETFERLSLLKDGDLLQIGEVELKQHHTQPPPRYSEGSLIKKLEELGIGRPSTYASIFKVLQDRKYLTIKSRVLYPEFRGRMVSAFLTNYFTEVTDYSFTADMETELDNVSGGVTEWKGLLRDYWTRFSAYCKRVENVQIQQVEKMLEKRYEDFLFSSLPDPTRTCPSCSEGTLVFKVSKFGSGYFIGCDGYPSCKFVAKTLYGEDEDEDETPKNTCVEEPKLLGVHPNTNEKVILKCGPYGHYVQLGEDKKGHVPQRANASHIKDVNSITLEGALELLRYPLTLGNHPEDGQPVFLKLSKSGFTVRHRRTMATVPKNVEPSEVTFEKAMKLLSGKNVRLCGRPKRVKPTVVDEEEEGDEEAAEAI >A06p036060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19536973:19538971:-1 gene:A06p036060.1_BraROA transcript:A06p036060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHMSGKCTNSFSSIPTTPALLSLSEKTSILDPSSFFPSFIHDDEDVEELAFPFGFPSPFPLDLFETVTDLVKIKIYPVLQVPAGSPDGGAGVSSPLSLRPSEKKDVVALKKTKAKAEAAEAEKEEKKKKKKKKKKKKKKKKKKKMKKKKSYNWMTELKSERENGEMQHTYTIKASSGGEKKAYSKRSEAVRTKKGKNKEMPPEYAAVMIQRSFRAYLICRSKALHHCPPPHGCIEGVDVMVRGAKRSMVDEMKAVFEVVDPQPQQGKSLSMRRRTFDMPDCMIRNEIVEGVTQIVQMLETQEE >A04p009110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7886746:7889374:1 gene:A04p009110.1_BraROA transcript:A04p009110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTATKSVCGDKWYLNLDKPEEALKVLGFIAIFVIRTLLHHAMKPLGQPYLTTDLAIGLILGNLPKFREAFSGPYSTTLNNIIEFGMICHMFVMGLEMNPSVLLRPPTKDAFIAYTSILTTFVLAFFTTPFLHYTKTAPFIFSLALALMASSTGSPILTRVISNLKIRKSDLGKLASAAGVHTDMISTLFYCIGFIFFPTEKPLPRPLQRFFRALLMFCLFLAQVTFTSIVSPIFLNWVNNENPEGKPLKGSHLVMSLAFVVFICSFPTWPPQSMYNPILSAFTAGLFLPNQGRMSKWIINKINYLLSTVFYPIFFFWVGFIIHMRNFDIGDKMAYARFFALLATVIIGKVIGTVLCGVILGYHVPETASLGLLLTTKGHFHVYLAALAIRTNRVKNTTGAMIIFVIVLTVVYSPFVVMDIIKRARKRVPVHIMALQWLDPTTELRVLIGLHGPHNIGSTLNLMEICHGGREPGSIFYATDMVELTDEIAATLKKGGGGGQSNESVTITDRSVTEMRESITAAVNGYGELRSGQGVTVRRMLALSTFMTMAHDICGLADELMVSIIILPFHKSRNPDGTLDSGNAGFRHVNRKILKNAPCSIGILVDRSFGQTEEAWRPGASMDIAIIFIGGGDCREALAFAAQVARHPAVKLSVIRFLEDKSSQNAQKRSSILNRASVVEQEEEMKLDDECFAEFYERYIAGGGGVSYMEKHLTNSSETFTALKSLDGEYGLVIVGRGGGRASSALTTGLNDWQQCPELGPIGDVLSGSDFSHNTSMLIIQQQRTRGQLEGLHDDFTIL >A10p007130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10071469:10072701:1 gene:A10p007130.1_BraROA transcript:A10p007130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVVREMALWIASDLGKDKEKCIVQARGGLCEIPRIKNWSAVVRMSLMENEIEMVSGIPECSKLTTLFLQKNDSLIHISPDFLRCIPMLAVLDLAGNSSLRTLPEQISELVSLRYLDLSWTCIRRLPLGLLELKKLIHLRLDYMKRLKSVSGISNLLSLRKLQLLQSKMSLDMSLVEELQLLEHLQVLNISIKSSLVVEKLLYAPRLVKCLQVVVLRELEEESHRVLAFPGMDSLRKVIIRKCEMWEIKAERKTLSLTQGFPNLSSVHISSCNGLKDLTWLLFAPNITSLEVLESGLLEAIISQEKATSVAIPFQKLESLRLHNLATLKNIYWGPLPFPCLKTIHITECPELRKLPLDSKSVSRVEEFAIKYKEEDWFERVEWDDEGTKLRFLPFFKFFGPEWQVTYVR >A02g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8752404:8754922:-1 gene:A02g502650.1_BraROA transcript:A02g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLLPEVDSLPDGFVDPEEANRTTTNNPTGDDVSIEKTKKPRTFPVPLCEEETYGNEDDLSKVSSLEQKEPSQTSSSQGSSQNSNKETESTQSIEPRKQEAVETKHKTSKNMFNSENDFLEFMLKYQQVLSERDSAITVRDKLESLCRELQRQNKMLMEESKRVSTEGQSLRSDLSTKFQEAIKDVSIKLDAQRDESLSQLKENEMLRTKLKHLADQYMLSEQQHEQRMKQKTLELQISELKIKQHEEKDALVKSNEVFETFKQEIDKMSKAIKELRKENAFLKSKTERSDFTLVELVEERERLKKLLEKTKNQKDKLESLCRFLQAQAERKQKQS >A02g511400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30388412:30397371:-1 gene:A02g511400.1_BraROA transcript:A02g511400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQTIQNQQQAAQEQAAENAAREERAAKVDQLLKGNQSQVFIMEEATPEKSAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPVQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKESEQPPADQADEGNTEPVVETASPRSEQPAEAVRPIPEAVPPREYIPKVPYPVPAKMLDSARSMGRMVASLSLGEDINSPPYTDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITLPVSTGKRPDNPNSMARTKESAKRTRATCSTPPPQVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHTETLADLGIDEDVFETLHAIGIAPLCYTTHELYPDLVRQMLATATITYDDSDAPSYANCSFSFMADEEYCRLSLDKLNEIYEMAAEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGIPIQRVKTNPGFNFITMICERRQCLMHGSKKKDRSGSLLTPLFKHFDIDLTKYSVNKEVQYLDIRYLMACHIMRDEETYSFYDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDEDMDDVEDITPEADPSYDLGELADVTDDQAYRRWMVDSQRKNNSLMRRILHLVTGGCIGGSAQRQSTTDRPPRSHRPGKEPMGTGPFSEEVHRSRNRRSFDPAESGEVSKKWAQILEE >A10p011240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6497647:6502503:-1 gene:A10p011240.1_BraROA transcript:A10p011240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-amino acid hydrolase [Source:Projected from Arabidopsis thaliana (AT1G44350) UniProtKB/TrEMBL;Acc:Q0WNN8] MDGLQKLNLLFISLAITIVSLNIATDLPFIQVKFPNNNILLRTTPVKNQSSSIPSRVGSDECRLWTQVCSDEILRLAHEPENVAWLKRVRRTIHENPELAFEEYETSRLVRTELDRLGIRYKYPLAKTGIRAWIGSGGPPFVAVRADMDALPIQEAVEWKHKSKVAGKMHACGHDAHVTMLLGAAQIFKCREHLLKGTVILLFQPAEEAGNGAKKMIEDGALDDVEAIFAVHVSHEHPTGVIGSRSGPLLAGCGFFRAIITSEESGSSADLIIAASSAVISLQGIVSREASPLDAQVVSVTSFDGGHSLDAVPDTGGLGGTFRAFSNSSFYYLMKRIREVLVEQVGVFGCKATLNFFEEQNAIYPPTTNDDGMYTHLKKVTVDLLGENNFAVAPQVMGAEDFAFYSEVIPAAFYFIGIRNEELGSVHIGHSPHFMIDEDSLPVGAAVHAAVAERYLNDIRS >A10p032610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19238291:19239036:-1 gene:A10p032610.1_BraROA transcript:A10p032610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYYYRRKSRKHITTVAFIILLLLFLFLYAKASSSSSLDIPHHSTHASLKKPRVLDPKLHDRSSRDASRGSKYTSEGGGIYFEDNKRRVFTEYAAGGDFVWYEYYDDDGEMSIIVDAKEKKRKEKNEHHCSIEIKIKLVFYRV >A09p022270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12263720:12266682:-1 gene:A09p022270.1_BraROA transcript:A09p022270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQIMHAQPEVLAIGETNNYLNDKLWKLCAGPLFDTPKIGEKLVASMDDELCQLKPIFDIPSKICCNVFSINLKVEPSTNEIYAEVSLLPDTSDVEIPIPKNENNIQNINYFTKVLSASDTSTNGGFVLYKRHAIECLPLLDMSQLTPSQEIIAKDIHGHEWSFKHTSRGTPKRHLFTSGWNEFAKGKKLVAGDSFVFLRGENGESRVGISKAAHQQRNIPTSLISKESMHHSVVATALNAIENKCMFVVFYKPRSSQFIVNFDKFVDRVNNKFSIGSKFSMKFEGKDLNEIRYNGTVVGVRDFSTHWKDSEWRSLEVQWDEAATIPRPDKVSPWEIELLTHSSNIFKSDALKHKRQLEVHEFGSKMWAPTIYNEQMVQAMKEPSTTTATTSCRLFGVDLMVPAITKDPVEPIVSNKKCKISKIFEDEKVDHVQAKSRTKVHMEGVIERTVDLTIFDGYNQLIDELERLFDIKGELHMHNKWKMFFIYNDGDMMILGDDPWPKFCNMAKEIFICSKEDVKIGIANNRFSEGDPTLTTTILPPDVNNT >A09p013270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6797514:6798964:-1 gene:A09p013270.1_BraROA transcript:A09p013270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNVDDHNLLFISQMYPSVYTASIPQQAGESKPARPRRRRKSKSVAVAEVGGEGGNGWFRKRKLSDEQVRMLEMCFGDEHKLESERKDRLAKELGLDPRQVAVWFQNRRARWKNKRLEDEYTRLKNAHENVVVEKCRLDSEVLHLKEQLHDAEREIQRLAQRVEGALSNSPISSSVSVEANQTTPFFGDYEVEVGDDGGGYENLFYSPEYIDGFEWMSPFM >A03p006470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2735164:2736602:-1 gene:A03p006470.1_BraROA transcript:A03p006470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHINEQIIGLQKPITISSRPITTSLRNETEVGCRRRVRDDGMQTGNCFRCRRTGHWISDCPLKSNADDDPPPPLRSALSSSGVTKQPTKISDSAPPSPSPIAPAALDLAGESWLILDELTCNGFGACGFFKWVDDVEVRCDAADEIGFWEEADLVLSDVESSFLAAAAGVPENTEDNSSVSDVHSAPAAVNQGILMSDPVVIEQLHGDCALSKRSVEEEATSGLGRDTVSNGSVAKREMICYEQPVEEAEWSFPDLDDLMEQYNSEKLQLESVSAKHAQVLSEFMSSYSRLRLLHEKTGNLRKLLLETEKEMACCEAEALELGASCREVAGEMAEAQNRMQVMAAILGDEVELLKQKEFVGRKRRRC >A09p041580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23557722:23559942:1 gene:A09p041580.1_BraROA transcript:A09p041580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable S-adenosylmethionine carrier 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G34065) UniProtKB/Swiss-Prot;Acc:F4HT41] MDRGIASSSRDGSQTASPDGLAFKNIKNPIKKQINSCGTICVKQDDDPCHFLRLLYESLIAGGLAGLVVEAALYPIDTIKTRVQVARDGGKIIWKGLYSGLGGNLAGVLPASALFLGVYEPTKQKLFMVLPENFSAVAHLLNQAAGALGGAVSSIVRVPTEVVKQRMQTGQFASAPDAVRLIIAKEGFGGMYAGFGSFLLRDLPFDALQFCVYEQLRIGYKLAARRDLNDPENAMLGAVAGAVTGILTTPLDVIKTRLMVQGAGNQYKGVSDCVKTILREEGSSALWKGMGPRVLWIGIGGSIFFGVLEKTKQILSDQSSQKIHKA >A09p073970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56217998:56226683:1 gene:A09p073970.1_BraROA transcript:A09p073970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDVLNHQSQKDLPYHPPCQDNTCTHVSRHSYLYHPSGCSCRLSPACFQVNLKAQNKRGLIQTVNKRLPQGLRYLEVEQTECMQVNHMDKKDSFTTAHSTPPYLNTSISWGLPTESNVQSYDVTESLSLKVHARSKHVLNPTKIGFQDKDSSSTQSTDQSSTEVATSADDDDDDDNPSRQISFSAQPDVCRGFEETQRKVIPNNIRSGSSHTTRISDIHFAPGKANFFFPCADPRFGGYLPHATVWHPKMVSRVPLPLELIDNEPVFVNAKQFHAIMRRREQRAKLETQNKLIKARKPYLHESRHVHALNRPRGSGGRFLNTKKLQESKEPKHDTSIQQKDAKGNMSGFVAHQLQTSNDRGCSTTSGSDITSVTDGIKANPTMYIHGQSNDMHGGGNRGANRKGMESSKLYDFYYYYCTIMATPSYYYFKTYRAPVSLLRSLNFRFSSDDATMIEEIVQNISSRLLSMLPIRFRDVVGMRAHMKVLSPLLDMDSKDDARIIGIVGTGGIGKTTIAKYLYETHKLGFSPHHYFMENVAKLCREHGLLHLQNQLLSSIFREKNVMLESVEHGRQQLEFRLRNAKVFLVFDDVDDVRQLDALAKEVQWFAPGSRIVITTRDKSLLNSCEVYDVEYLDDDKALLLFQQIAFKGGQPPSSVYSDFSSRASKLAQGFPLAVKALGSSLRGKSEMEWDKALRSFEKTPYDNIPRILNISYESLDELSKTAFLHVACLFNGELVSRVKSLLHRGEDGIRVLAEKSLIDLSTNGRIAMHHLLEKMGRRNESGNDLSLQPILWQWYDICRLADKAGTTRTEGIVLDVSERPNHIDWKVFMQMENLKYLKIYNHRRYKSLDSRTQGNPNEILQPYKLRLLQWDAYPYTTLPSSINTDCLVEVILCNSKLTTLWSGSPPRLSHLKRLNLTGSMYLKELPDLKEAVYLEELMLEGCISLTRIPESICSLPRLQKLDLSNCDGLKNLIIIVRESEATFFEGRRSLHVRSVHMDFLDAEPLAEESRDISLTNLSIKGNLKIELKVIGGYAQHFSFVSEQHIPHQVMLLEQQTARLMSHPYNFKLLHIVQVNCSEQRDPFECYSFSYFPWLMELNLINLNIEEIPDDIHHMQVLEKLNLSGNFFRGLPSSMTHLTKLKHVRLCNCRRLEALPQLYQLETLTLSDCTNLHTLVSISQAEQDHGKYNLLELRLDNCKHVETLSDQLRFFTKLTYLDISRHDFETVPTSIKDLSSLITLCLNYCMKLKSLSELPLSIKHLYSHGCMSLETFSLSVDHSVDDLDLSPCFQPNQFLSQFTRFPSGRRSEEVQLCACIQKPKILNTLDRGMRSVRTIYTERFSSETLKLMAFALCLGVLLLCKTMGNS >A07p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20636602:20638174:-1 gene:A07p038820.1_BraROA transcript:A07p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSKKEVESSGAMNNIQNHPNNLFFHQLVSHHHDQEPSQSETFRAPGYNVESGFTIFSQDSVSPIWPTSTQPQFDPFTPPTPQASFYGSFFNRSQAHHQGLQFGYEGFGGGTSATHHHQEQLRILAEALGPVVQAGSGPFGLQGELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSILPNTTKTDKASLLAEVIQHVKELKRETSVISETNLVPTESDELTVAFTEEEETEDGRLVIKASLCCEDRSDLLPDMIKTLKSMRLKTLKAEITTAGGRVKNVLFVTGEESSGEDMEDYCIGMIEEALKAVMGKCNVEESSSSVNAKRQRMSSHNTITIIEQQQYHH >A07p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18103735:18109112:-1 gene:A07p033120.1_BraROA transcript:A07p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topless-related protein 1 [Source:Projected from Arabidopsis thaliana (AT1G80490) UniProtKB/Swiss-Prot;Acc:Q0WV90] MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHSGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRPKAVEILVKDLRVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARSIMLVELKKLIEANPLFRDKLQFPTLRNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCRLPNDARAPSPVNNPLLGSLPKAGGFPPLGAHGPFQPTPSQVPTPLAGWMSSSSSVPHPAVSGGAIALGSPSIQAALKHPRTPPSNSAVEYPSGDSEHVSKRTRPMGISDEVNLGVNMLPMTFPGQAHGHTQAFKAPDDLPKTVARTLSQGSSPMSMDFHPIKQTLLLVGTNVGDIGLWEVGSRERLVQKTFKVWDLSKCSMPLQAALVKEPVVSVNRVIWSPDGSLFGVAYSRHIVQLYSYHGGEDMRQHLEIDAHVGGVNDIAFSTPNKQLCFTTCGDDKTIKVWDAATGVKRHTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNMGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSYIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQLLTAIDADGGLQASPRIRFNKEGSLLAVSANDNMIKVMANTDGLRLLHTVDNLSSESSKPAINNIAVAERAAERPASVVSIPGMNGDSRNMVDVKPVITEESNDKSKIWKLTEVGEPSQCRSLRLPENMRVTKISRLIFTNSGNAILALASNAIHLLWKWQRNDRNATGKATASLPPQQWQPASGILMTNDVTEANSEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQRSKVLQVPQGRSTGALSDTRVQFHQDQVHFLVVHETQLAIYETTKLECMKQWPVRESSAPITHATFSCDSQLIYASFMDATICVFTSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQESNMFAVGLSDGGVHIFEPLESEGKWGVAPPPENGSSSALASTPSVGASASDQPQR >A07p017980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10846345:10850286:1 gene:A07p017980.1_BraROA transcript:A07p017980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLHVKQIIKKTASELDVSESTAAILLVKYEWNAAQLCSDHSILKHYATESSTPQIHTQCSIFFQQVKQIIKTIASELDVSESTAAILLVKYEWNAAQLRSDHSILKHCATESSTPQIHTQCSIFFQQVKQIIKKTASELDVSESIAAILLVKYEWNAAQLCSDHSIFKHCATESSTPQIHTQCFLCSIFLPCSFIGCGHCFCVDCLRASVEQQLASNKLILSCPSQACHKYLNFNMLPQDLLELHLSALEIDLAKKTSLRGQCLSYLCNHKYALATTFCSLGYAVISVGSEVKSKVTSLKKFVASGSKTVA >A06p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5300817:5301336:-1 gene:A06p002130.1_BraROA transcript:A06p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHALHNIVLYGPILLSFNRLTAVHSGSEDVTDVVSMIFRGILMSQFKVAEFQVSGGAMNLALTHLSFALNSLSFYRRGLFTFILYALLYLVGTLRMFKK >A07p032990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18041029:18043007:-1 gene:A07p032990.1_BraROA transcript:A07p032990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTCVNMSEDVWLTCLTHALSTETEEIMGLLLGDIQYSKNGSATAMIWGASPQSRMSISTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDSGFIGLIFSCFSEDANKIGRIQVIAFQSSDGNSNTPPKSMSLVLSNKDSVIDLESSLSSSDSVYQSSSSAKGHNADQDTTDTASSSGPKGGGRVSDFGAFFVKNAEAKATGTSGNYSSAVEIDSMDMSESMQEAMLRSNLETSGVGYVKKEVPLHVLPTSSLLQLNSPLASFKDLQRVLYEEERAAYHQSVLQSMRNGKVHPLAFIHNTSTYQASMCKLIEYCLSPAVNALQDRLRENKIRLAMLMSEAEGLEAQKLKGPETSGGTSRLVHGSGSRSRRGS >A02p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6092735:6096215:-1 gene:A02p013930.1_BraROA transcript:A02p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSTTQVIFFTISLLMVAFQAVHADYYRPRPPVIPTPYVPKPWVPFPTPSPKPVYRPPYTPRLPAGSIARQFLDPHNAIRSRLGLPPLVWDGKLASYATWWANQRRYDCSMTHSTGPYGENLFWGSGSSWAPGFVVHSWVVEGSSYNYNTNSCDGSGMCGHYTQIVWRDTKRLGCASVVCENGAGVFITCNYDPPGNYSAEPQTCPADSGGKCSGSDDWEGEFFPEIPHIKYELSDQNHFFGPNSSNPLAYKWYNAEEEILGKKMNDWFRFSVAFWLTFRGTGGDPFGAATKYWPWEDGTNSVAMAKRRRTKIRPLWGTAQLFLHPRYMHGGATSSEVGVYA >A04g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2177174:2179937:-1 gene:A04g500770.1_BraROA transcript:A04g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVIVEAVPSLTEVVQESCSSSESDSDEDDVDGVGSKTKKKTLSPAHAREVDKKEEVLVRSILPQDPARPVDESVLVWADEVVDVKVAYMLSCINANQVFTKDMFRGGVTKADVERMRELAKAGGRKKTLPTQGKESPVVMNDERRITSIVNAIMRPELNRIDGDIATVVASVKEVSGCSLAIEAKVIATVERMLDSFKTEIMSGRRRLNTQSSFESPISTGGPDGVGDEEVLPNTTAAAPAGNDEIIENVIENLSHYSTPPGVDNDWPGSDGKSRPQTGVNQVASTQEENTERNVTVTAQSLKSKPVAPYRAYDGATDPHQRNPCSEGIVEESNENHPCRSAHSQTHEHPDPIIPSFSLGLTQEFHQTRELGDDVMGENEEHLVEKDNGDDTRETEENLLCRKSKRIRTVPPQLLTDYQCEAAIINRAREVPIMGNGHYGLSDVHDKYKRLQILLKKECVINVLGLSVSGKDITDIGGRTRLLPGRVVDIIMRVIAASVNRRLCEGSSRTPVFLDSRVQVLLSRNFTKFRKSKRESQYVFTQALVDTLQKSLNFNPAVSLFYMPVSIGRQHWVGICVDISTAKVYVLDCNPQVIDDKALSKELAPITEMFPSLLKHCGLLVEYGNNAFVVERVKGVVKNPNPSDAAITSCLLMQTHALSGPETCRSITPSLIPDEAQTAAVMVYEFNKKI >A01g511560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34032841:34033520:-1 gene:A01g511560.1_BraROA transcript:A01g511560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIPKKKLLDIAGWLDMALYHFETEKVYLRFSCNTGGDMGMNMVTKGVHTVIEYLTYDFPYMDVIGIFGSLGGLNSHASNIVFDEFIATCQDPAQNIESSQ >A09p007990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4149351:4153773:-1 gene:A09p007990.1_BraROA transcript:A09p007990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASRMMMSFDPKTLPCAHRVPLCHKAKLSLLPGRLLRNQTLSRQPTKQDLFCVMATGGDLESIRPLAQFTPTFLGDHLFAVPVDGSEFNAIEHEIESVMKPYVRNMLMSPHTCDKEKIRLIYLLISLAISHYFEDEIEEILSKAFGKLEGLISKEDDLETISTMFEVFRLYGHKMSCDVFERFRGEHDGKFKESVVRDVRGMLQLYQASYLKTKDENIIEEARSFTRTHLAAVTSTTQPHLSKHIQNALYIPRYHCVEIAVAREYISFYEQEQDHEENLLKFAKLNFSYCQLHYVKELKDVTTWWKELDLASKLPNTFRDRNVEIYFGMMGIYFEPRYSLARVIGTKISMIMTVVDDTYDAYGTLPEVISFTDALQRWDIETIEDLPNYMQIIFPNFVGNYARHRTSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAETTSKKSRRLPGCSDDFARRLLGSSDDFQTTLQEVQTTFRKSRRLPDDFQMTSGRLTIRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWRVPGSPDDFLEVQTTSWKSRRLPGSPDDFLEVQTTLSEDFQRLPDDFQTTNRENEWKIR >A03g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8633215:8635665:-1 gene:A03g502770.1_BraROA transcript:A03g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCQFQFFLLILEDRRKGYHQERGIGLILNATVYGDLNLGESWGFQIIWRYGDRGKALSYKGAVESQHTENTSGGESRRQNQQGAGKQDVKGKGIAYEGGRQGGVAKSGPGRRYRENGRPTARYVRQAGYLPPHELNDSYVMATSGINGLRNQEVGGHLDTQQKLMLEAFKSGAKGEVSESKARKALLFESEGHEEGLAVTSGGDPVETVQRREEVMEKSGFSKEVATAETEGMEGNNFVECSNAEQEGMQVLEMGNKEEVVSSEMVAGLDEEDGHLEYEMMEDGVDDVSSEREASGDLNSMDVVEASPVAESEDLVGEKEHQVPKKKNGKITAAAMGGNAKKRLVQSLVSPRKKAMAKQGSKAGDKGQVPTRKALIKPKPDQD >A01p025330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20155677:20159433:1 gene:A01p025330.1_BraROA transcript:A01p025330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEEEYATQSKLLKDFMSIPSIDKAWIFNSCSGPQAMVAMSQANLLANKRRKFMLSGHISKESNQPVNFHWAPFPTEMTGASAFVPSPSGLKLLVIRNPPEKESPTKFEIWSSSQLDKEFHIPQKVHGSVYVDGWFEGISWNSDETLVAYVAEEPSRTKPTFDHLGCYKKNSSLDRMDIGSWEGQGDWEEEWGEAYAGKKQPALFVINVESGEVEHIKGVPRSISVGQVVWSPNSKDSAQYLVFVGWLGGKRKLGIKYCSNRPCAIYAVRLKEPKDDANEAFPLHNLTKSISSGYSPRFSKDGKFLVFLSAKTAADIGAHWVAESLHKIKWPSDGKLTESIDIVDVVQVVNCPDDGSFPGLYRTDLLSDPWLSDGHTIMLSSYWRSFRVILNLNLLSGELSRVSPNDSDYSWSILALNGDDIVAVSSSPVSVPEIKYGKKVLDPAGKPSWQWSNIQKPIFKCSDKVMLGLSSLQFKILKVPVSNVSECLTEGAKKPIEAIYVSSSKPKENGKCDPLVVVIHGGPHSVATCSFSKTLAYLSSIGYSLLNVNYRGSLGFGKEALQSLSGNVGSQDVNDVLSAVDHAIEMGLADPSRITVLGGSHGGFLATHLIGQAPDKFVAAAARNPVCNIASLVGITDTPDWGFFHAYGDKKHYTEAPSPEDMSRFHQVSPISHISKVKTPTLFILGAMDLRVPISNGLQYMRALKEKGVEVKVLVFPNDNHPLDRPQTDYESFLNTAVWFNKYCKL >A09p059080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49538874:49540256:-1 gene:A09p059080.1_BraROA transcript:A09p059080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASASSVSLSSFNPKSLPLCISRSASVLPPSLSFKLHSIFASSAVKCSSSPAQHPSRFSRNVAVSSDFEVEEDDMFTDDDSSPPPTQERSSSFSADLKLFVGNLSFDVDSAQLAQLFESAGTVEMVEVIYDKVTGRSRGFGFVTMSTAAEVEAAAQQFNGYELEGRALRVNAGPPPPKREESFSRGPRSGGYGSERSSYGSERSGYGSQRSGRSGYGSERSSYGSGSGSGSGSGSSDRVYVGNLSWGVDDTALESLFSEQGKVVEARVIYDRDTGRSKGFGFVTLGSPQEVTRAINSLNGADLDGRQIRVSEAEARPPRRQF >A09p072120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55430014:55434680:-1 gene:A09p072120.1_BraROA transcript:A09p072120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein XAP5 CIRCADIAN TIMEKEEPER [Source:Projected from Arabidopsis thaliana (AT2G21150) UniProtKB/Swiss-Prot;Acc:Q8H110] MSGMGDGYVGTSQDGVRIRRLQKQREAERRKIQELKSKTASGQEQSGLLQFGSSSCEILDTAFKKETVGLVTREEYVEKRVNIRNKFEEEEKEKLLKLLQEEEELQQQKRSKKRKLKGSSRLSFAEDLEDGSDDDDDGENKSSGNLRYGKLGKDPSVETNFLPDSEREAEEQAERERLKKQWTREQEQIKNEPLQITYSYWDGTGHRRVRKGDPIGNFLRAVQQQLAPDFREIRTASVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERSINSIGGEHTSALFSLHFVSVDPITKKASKMNVRVLFLALLLLASPLLQVARCQVDAEDHSSIVDDVVGEHSDSGAEEDDQDLDNINLASAPGVETVSVFPKNSAKVVPAGEETELLVAIKNDGKSHVGVMGIRASVHLPYDHKLLVQNLTMMRYNNASIPTSVQATFPYIFAVSQYLQPGAFDLVGYIIYDVEGKPFQSVFYNGTIEVVESGGLLSGESVFLITLGIALLLLLGLWAYSQVQRLTKKTKKVSKVEVGTRSTDASMDEWLEGTHLAKTLSGKSKSKKN >A04p012500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5045366:5048216:-1 gene:A04p012500.1_BraROA transcript:A04p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRDDPKAKEDGSSSVGGDDTAMVTFSGDEANRRTIDKSVAPGTDQSPRDAYESEENASGKGEEDESSKKDEGEESREVDEGEKEKEVGDEGEKVKEAGEEENEPKEGEEEMEPRRNDEEADERAIQLVRQHETESHAAARTAKIESPTNAIGGPSNNAESGQAHANSVKATGAKALKTMEGRLLNAVRDAVRDAMKEVNKKVTSLCNQLNLVEEEVKRLRLSGSDNPSDQDDGNDKKEPEEEDGGDKESETDDGGDKESARDDGGDKESEGDDGDGDGDGIGDVDMDDDDTEMTTCPKKQKKQKTKEAAKKAPAKKAPAEKEAAKKAPAEKKAAKKAPAEKAPAEEDTAQKNQKKQKKSKTKVVKKTE >A01g506750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19792618:19792999:1 gene:A01g506750.1_BraROA transcript:A01g506750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKQRKENEMEQARESVGEIGKAIAAVQTVTSEVVGFKVSVNGGMQVVVESDMTNKLLMRKLLKFNDIEADGEARVHRKAYGSDF >A10g503570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9268784:9269011:1 gene:A10g503570.1_BraROA transcript:A10g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKSGSDFGRPMETLLESLLKYNALEVFQTTSKRSSRRLPGSLPDDFKEIFQMTSKKSSDWVFFHIKWSLSLSL >A10p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19885877:19888319:1 gene:A10p034200.1_BraROA transcript:A10p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRRLEKLQSVVSAVSSHGLLTSDHESSSSSSSSRFISELVLFLVQPCGDIDVESKLVLVSDFIPKVSGRFLDEISRSIQRDDEAKPLITSSVESQKSCVKRSAMDNVDPYAAQKDQQVVAMVGLDAMKRANSTLEDFSRSYFMFHQLEISEPQSIFRYLPVLSFTESYIYQMDALNEKIVRKSACESQVNCSSHGWNSESRVLFETDPLKPLGDVLQREGLLTQRIQQEFESGKEYWALERKLCHALSNKNKICVEDVMRAIHLKSFDYRVLNLLLYKLRGVEVNELHMEFLSVSEFLVEVADDLFDYEDDVLENSFNVLRMFVGMFGPSNAPTELAKRISEAEEKYEEIMKSLDPHLSSNYQRRCEEATKEGGKVSGPLLGTWNIPAVISDEGAYRAAHR >A02p049940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30902723:30914525:1 gene:A02p049940.1_BraROA transcript:A02p049940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPPQYKTVFAVVWKTAGKMPKVPSNRTRVSPYPLRSTRTQKEPIEAQGPSQWEDEAPHNAILMRCSSSSTGCRAYMCDTSVRHSNCFKQYCKKNMNRVTKVFNCPYCRGKVYEAMKVQSDGRRALNVKLRSCAFENCNFFGTYSQLKNHLKADHPGSTRPLVDQERERVWEQMQRVTQYNDISIAAGLPCSGLEVFHQQLPDVPPCLVILLWVNGVVQGILHHQLPNSLPHSFEIRAEANGVVLNYLLCFRGLAEKMLKVPSNRTRVSPYPLRSTRTQREPIKAQGPSQWEDVLCVICQEAPHTAILMRCSSSSTGCRAYMCDTSVRHSNCFKQYRKKKHEPCNQGHELSLLQRGGFWGHGGALKNHLKADHPSYTRPLVDQGRERAWEQMQRATEYNDISTAAGLLHSGLEVLLQQLPDVPPPEKMPKVPSNRTRVSPYPLRSTRTQKEPIKAQGPSQWEDVLCVICQEAPHNAILMRCSSSSTGCRAYMCDTSVRHANCFKQYRKKNMNRVTKVMNCPYCRGEVYEAMKVQSDGRRDLNAKPKSCAFENCNFSGTYSQLKNHLKADHPSYTRPLVDQGREQAWEQMQRATEYNDISTAAALPHSGLEVLHQQLPDVPPRF >A09p076720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57431490:57432585:-1 gene:A09p076720.1_BraROA transcript:A09p076720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTWTHKDRGEIRVHENLEELSIDLVDYIAEISEASIKEHGVFCIALSGGSLINLMGFLDRSPNGFFNRKLVEPPYNKIVDWAKWYVFWADERVVGKNHDDSNYKLAKDTLLSKVNVFPRHICSINDTVSAEDAATEYEFAIRQMVKTRTVTASENSDCPKFDLILLGMGSDGHVASLFPNHPALEVKDDWVTFLTDSPKPPPERITFTLPVINSAASVVVVATGETKANAVHLAIDDLPSLESSLSLPARMVQPSSGNLIWFMDKPAGSKLEGFKFSG >A02g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22050261:22050604:-1 gene:A02g507930.1_BraROA transcript:A02g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKCTCLLGLEPLLMDDRKRPAQRGRHRRLCSLVMSPLDWDSPRCDSVYFISGEDYENPFVGSDFSFTPLSVCYSKPFRNSEASLPA >A01p042120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22697245:22700194:-1 gene:A01p042120.1_BraROA transcript:A01p042120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPTPLLLLLLFAVSLLTATTADDGAAMLALAKSLSPPPSDWSTTSSTGYCKWSGVRCSSDRVSSINLEDKSLSGGLAPEISTLSELKTITLQRNKLTGKIPSFAKLASLQEIYIDSNLFDGVEPGAFAGLTSLQILSMSDNPNLSPWSFPSELAESTSLTTIYLDNTTISGALPDIFENFASLQNLRLSYNNITGPLPPSLAKSSIQNLWINNQLSGLSGSIEVLSGMTSLSQAWLQKNQFTGPIPDLSKSENLFDLQLRDNQLTGVVSTTLLPLGSLKNISLDNNKFQGPLPSFPPAVEKVTDDHNYFCTTKPGVACDAQVTTLLAVAGGLGYPSMLAESWQGNDACNSWAYVTCSAGKVVTLNLAKHGFQGFISPAIANLTSLKSLYLNDNNLTGDIPKVLTSMPSLSLIDVSNNNLTGEILKFPDPVKFTYKPGNVLLGTDAGDSSSPGAGGKGGGGSGGSSGGGGGGGGGSKAPVIIGVIVAVLVFLAILGFVVYKFVMKKKYGKFRRTTDPENAGKVLVSDAASNGNGNGHGGGANNFNALNSPSSGDNSERFLLEGGSVTIPMEVLRQVTNNFSEANILGRGGFGVVYAGELHDGTKTAVKRMECSAMGNKGMNEFQAEIAVLTKVRHRHLVALLGYCVNGNERLLVYEYMPLGNLGQHLFEYGELGYSPLTWKQRVSIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEMITGRKALDDSLPDERSHLVTWFRRILINKENIPKAIDQTLEADEETLESIHRVAELAGHCTAREPQQRPDMGHAVNVLGPLVEKWKPSCQEEEESFGIDVNNMSLPQALQRWQQNEGTSTSMFHGDFSYSQTQSSIPPKPSGFPNTFDSADGR >A09p039160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:16036:28513:1 gene:A09p039160.1_BraROA transcript:A09p039160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQGIEKGLEKDSKAVVQSNRAVGNAVAVHNRRVRRAHGLNRPQVIRLDRGGSAGHTHGPDSPYGRLGRTVGASEWVRDAKGHELPRGTSVQRVLVPKPNGAIGSRLRPNQVDSPRLHSRTDGRSDWLQGNPVWSWALLCGMDPGFGSDQILTRRLDGTMDDPAMVGWFWPQRLNGISYNQLWGVDLYDGNGRPVISLHAKWMMAYQVYSKPCEDGWLIDLGSHELCQSRRWTWSQDKDLKYDRWVIIVQLLSKSDSSQWRTDELISSIDVAKLSKLTKAKVLRSDKTSKTVARTKWTSSSASSRKLGSVHSSSVPTKSAPLAGLLAHSAEAAESQLISARRTVRALGRWSGSGPWAKSRRPGAWVGLVTDPKPNQKGRRDASGRKGTTLGRWCPFSSKSCLFVGQDLPPQRERESRPRDRPEKGRSWWFSISGKENGLGERRPCGYEYPRHIERSGEGLQSRGSIQQGSGVTTDSLAKTIGQSEPGTKRTKSRKGKEAAGGSGPVIGDGADPTQVLPNQTGLVNEKTGEPFATFRPTEVQVDNLGEQQQEGREEEGDSSHVGDETGPGDGVEELVEPSMREVTDVVKAMGTQIAGRVMWELDGTAAELGQLREAQWELSQYASARGARRTTSLSWDQLAMVRPRYGRSVKGLGLGFRIGNRQGQGHEQLEAVEDRLGAVIAERLQTRERHLFGYNSHPFGPMAVPTPSLYKYRALDPEFDTEFLRGTSAKIVRDKPQKEKREPAIQGENQREAWLFQEKPDRGKQILRRWIPDRETERRKKRRRWRLGRERLRSVVWTASDRPETADDPIGLVAGKTEQGPGRFSIQVLGLWPDFSWSDLDVLFQDRTWTVVRERHREDLGHEKMCGEWVIVDRCEILIAYCATCELMLD >A04p018770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6856346:6856938:-1 gene:A04p018770.1_BraROA transcript:A04p018770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSQSGDMFGNNAESEYSETEDLIRRDQAELSLERRSAVIYPPQPEVEFGFPQVCYCGSPPQLVPSRSINGEGRLVYTCANKDDGECHVWKWWDVAVMEEMRARDRHVLQLEEKVDNLSLLSDYDKLSSFCDNAKEKSVCSDGFEYVVCAMVVVLILIGLGIMFV >A05p037600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21356401:21359010:1 gene:A05p037600.1_BraROA transcript:A05p037600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRETPQRNAKKRKAEIPFKSILFICFVNLQRDTMMFNEMGMCGNMDFFSPASLGEVDFCPAPAHPEPDSVVEDDYTDDEIDVDELERRMWRDKMRLKRLKEQDKSGSKEGVDAAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPENGKPVTGASDNLREWWKDKVRFDRNGPAAISKYQAENNIPGYDVDGLEKETHYEVEELKPEKVNFGMAANFPVKEEVPSEFMRKRKPSRELNTMMDRTIFTCENTGCAHGDVSRGFLDRNSRDNHQFVCPHRDSGLPYGAPSRFHVSEVKPVVGFSQPRPVNSVSQPIDLTGIGVPEDGQKMISELMSMYDRNVQSNQNQTSMVMETQLLQPTVQNHQEHLQFQGSMVEGSFFEDLNIPNRVNNQMFFQGNNNNSGFKYDTAHTNNNNNFEAAHNNGSSNRFQLVFDSPPFDMASFDYRDDMSMPGVVGTMDGMQQKQQDVSIWF >A09g505850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18283031:18284196:1 gene:A09g505850.1_BraROA transcript:A09g505850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTKQAIQQGDSEMAEAWFAQAAEYWKQAITLTPGNYIEAQNWLTITRRFE >A08g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:86725:93444:-1 gene:A08g500030.1_BraROA transcript:A08g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYFYSREWMDRHFDPINNCVSREFKEGVDVFIAFASNQTSFIEGKTMLCPCARCQNRKQRDSRTVSRHLYRVGFKSNYYLWSSHGENYYDVGESSTEGQFMGEGTLHTEEEPYQENYPNVMEGVLEDRHLTDDDYNVLQTFLMLNCPAFEPYERMFEEFMMDNNPNICGDDLQIAKDNHYAEWVKNYVIVPRGVRETSEDALTALQDDTHDQVVAPSEMLRFETYVVEDDSDYDSTPVVPPNDEYVSEDEIEPACTDSDSGSDSTLSFSLNLSSSMPNSSANASNDRRRSRPPGLNLEVKFFNLNASSVSLSADVRNVSPQMRAASMPPGRTPASSQPTRPPGVVGSSTSSAAPPPPPPPTYATRTEEALLRAPTRINQPHLHPDKINGALWIGVDPEQQYYWEDQFHDEIYYKWKLQTQVTICGRISQKRQKNKQPSYISATDWETILANWSTAEAKAKSQSAAESRCAAPPGLKMHVHGAGPRTFANIAYNMVVEEGLEGPVSYPDLVRKTHCRKDGTFLDERAEALVLEVEQAVEEMLQDGSPLGDSQTDSTAATSTSKRLLLNEEYIKRGQTRRGTIYGLGNLQYKNKCPSESVPASLKRGINMEMRVSGLETLTQEIKSDVNALKNDFNEGTAQTQSTLNMILQLLQPQASAAQANQSQHHSPSHSAAPTHGQAQPEGHGQAPTPPHDQPQAPGDAQPQHLITTTNLALDRWCNELGL >A01p001710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:754332:765131:-1 gene:A01p001710.1_BraROA transcript:A01p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYVILPLALLLIAYKFTSKTKRLNLPPSPPHSLPIIGHHRLIKPPVHRLFHRLAKAHGPIFYLRLGTRRAVVISSSALAKECFTGHNDVVVSNRPRFLTSKYIAYNYTTIATTPYGDHWRNLRKICSLEIVSSKRLANFLHIRKEEIHRMLTRLSRDALINNEVELESLFYDLTFNNIVRMVTGKIYYGEDASDKAEADTFKKLIAYITSTSGARHPGEYLPFLKIFGRSFEKKVKAVGEAMDAILQRLLDECRGNKDGNTMVNHLLSLQQQDPEYYSEVIIKGLMLGIMFAASETSAVTIEWAMASLLNHPELLEKLKLEIDEKIGQGRLIEETDIPNLPYLQNVVSETFRLYPAAPLLVPRLTVEDIKVGGYDVPRETMVMVNAWTIHRDPELWTEPERFNPDRFNGERGEGDKDDVRTLITFGSGRRMCPGAGLANKIVTLALGSLIQCFDWGRVNGEEIDMTEGPEMAMRKVVPLRAMCHLRPVMNKLVTDSKRNKYYKGRRTKMLYLILIPLLVLVAYKFIYSETHRFNLPPGPPSRPIVGHLHLMKPPIHRLLQSFANKYGPIFSLRFGSRRVVVITSSSLVQEAFTGQNDINLSSRPFQLTAKYVAYNYTTVGTAPYGDHWRNLRRICALEILSSNRLTNFLHIRKDEIRIMLMRLSRDTTHSDGGSRFTHVELEPLFSDLTFNNIVRMVTGKRYYGDDVNNNKEEAELFKKLVYDIAVYSGANHTADYLPVLKLFGNKFEEEVKALGKSMDEILQRLLDECRRDKDGNTMVTHLLSLQEQEPEYYSDVTIKGLMMAMMLAGTETSAITLEWAMTNLLRHPDVLKKARSEIDEKIGEDRLIDEPDIAVLPYLQDVVSETFRLFPVAPLLVPRTPTEDMKIGGYDIPRDTIVIVSAWAIHRDPELWDDPERFNPDRFKGCGSELCAYKLMPFGNGRRVCPGAGLGRRIVTLALGSLIQCFDWENVKGEEIDMSESTGLGMHKLDPLRAMCRPRPIMAKLLVELEKCL >A06g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21285645:21289887:1 gene:A06g507630.1_BraROA transcript:A06g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRTWIDRPYLDPNTRLLTEEYQRGITEFMGLVHRQPEAKTEKRFEYRYATEDELEEMKQREFAGWMFTYVSARLARGETFDDWIREMVVGPNFVVKSYPRLCTRGYAFTTQKRRHSSTTYDVGVCSASEDDVYYGHIHEILENKYLSMVGLRCTVFYCDWHDNTPDRGVRTNAFGATSADQVCYIKYPRVRNRDDPWVTVTRLNPRGRVQGSSELEDPLKPSTSGNLSAAEDLAGVGLVVDLTNFGEKTAVHVEDEPSPFPRNFLGIFRRNSEEGRGFLGNSIIFLGIPWNIPRKFRGNSEELGNFLGNYRGYYEET >A03p065810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29109452:29111110:1 gene:A03p065810.1_BraROA transcript:A03p065810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILSFAPLSVPSTTYLKPSLRIPLFTSLPLPSSSSSSSSGLTSSFLVRNEASLSPSSSPIQALAEEAVDSSTSASSSKLVLVVGGTGGVGQLVVASLLKRNIKSRLLLRDLEKATKLFGKQDEDSLQVVKGDTRNAEDLDPSMFEGVTHVICCTGTTAFPSQRWSGDNTPDKVDWEGVRNLISALPSSVERVVLVSSVGVTKPNELPWSIMNLFGVLKYKKMGEDFLRESGLPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGQGDKLVGEVSRLVVAEACIQALDIEFTQGKAYEINSVKGDGPGSDPQKWRELFKVAESK >A07g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17795705:17797253:-1 gene:A07g506940.1_BraROA transcript:A07g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRCNILAIVGGGPDPQYPPSKVMIWDDHQSRCIGELSFRSDVRSVRLRRDRIVVVLEQKIFVYNFVDLKLMHQIETIANPKGLCAVSQGGGGSMVLVCPGLQKGQVRIEHYASKRTKFVMAHDSRIACFALTQDGGLLATASSKGTLVRVFNTVDGTLRQEVRRGADRAEIYSLAFSSNAEWLAVSSDKGTAHVFGLKVNSGSQVKDTPRIAAELTRSSSSPSSSLSLFKGVLPKYFSSEWSVAQFRLVEGTQYIVAFGHQKNTVVILGMDGSFYRCQFDPVNGGEMSQLEYHNCLKPPSVF >A09g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22136818:22139326:1 gene:A09g507600.1_BraROA transcript:A09g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTLGMDCNPPSCKSPFIYQLVDMTLASQKFPLASQNYHPLEELKPGKRVQETKLSPAEVARTAVELKRKAAGLRRPQRLGGLHQA >A02p026280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13758500:13759926:-1 gene:A02p026280.1_BraROA transcript:A02p026280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTE3 [Source:Projected from Arabidopsis thaliana (AT1G73150) UniProtKB/TrEMBL;Acc:A0A178W401] MASGALVSKAKHKRSEISNKRLKPTTAVRPVSPSNSEMRKITLNSLSKLQVGDLKRKLTAELEKVRSLINRLEPVPNKGGQGKAQILKSCNSLLTKLMKHKFGWIFNTPVDAVKSGLHDYHTIVKKPMDLGTVKTRLSKSWYESPLEFADDVRLTFNNALLYNPVGHDVHRMAQFLLNMFEDKWAPLETQCASLYNTHHYVEPLPLQAPPPVVVENRTLERAESMTNPVEPLALTVSPEKCEEEEASGNRDLTFEEKRRLSEDLQDLPFDKLEEVVEIIKKTKPELAQQDDEIELDIDSLDLQTLWELYRFVIGYKESLSNKKEEQRLGSERDVESVQEPNTLVTGPESTKVTELGHVASPAQQVNAGVSSSSSSGSGSSSDSDSDSSGHGS >A04p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13286583:13287739:1 gene:A04p022030.1_BraROA transcript:A04p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREVLLLSLLIIITISVVAFADREPHCVYTFYIETGPVDGAGTDSIISVKISDKSGQNIDIQDIVTWGGLMGPSYDYFEKGSLDIFSGKAQCLPSPICSLSLTSDGSGVYPAWYVNYMDLTTVSVHVKSAHQYFDVEQWLATDTPPYNLTVVRNNCQVSPMESAIGRAGEKTSCIKHMPMALIHYIGWKSRTLYMGAEITTNRAVERSTTWFIANSVELSLAPRVIERVLVIRDLSRYTHHLDASKWELTEKK >A05g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16960860:16964905:-1 gene:A05g505910.1_BraROA transcript:A05g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLITELSSQCSTSPDATTSSSIDTHNQPSTDTRPSSSIDPNCSTTIDTTPRTSIDTVSSKMVNIIILTQDENGNLYDQNGHLRNATCQKINAQETVIPDADATGAAQPVDEDARSKPLADYNRPDDFAAKHPHPPSPFYDKIDRSVEPTIDRQSVSDVDRQNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPDPKPNPLNTSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHMITLTKKSDLGKFAIPCIVKGVEFSHSMCDTGASIKLGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSSESTVTDDRNNTPLDVMHPVDHFASPNHCYQHFTFQLPSKRGHDDFSIGSWTDNGFHECFAVDTVITLPNEEHTEEYDEDYCKERAIETSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPITSIDTRIGKSIDIHAAAKIQQQENIPSPTRFKDTYINRFAPPKPPTHIRANTQAKKMNTLPSTSKKKSMKSNHLKNTSSAEITLPSIDATVSTSIDTTLNPDLSISKLNDNVNIDYGFLTPDEFSIFRDPDCNARAMDGRILQVSREDIADILQVANGPDNLFSRQRGTPNVIQTDPNNPA >A09p062580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51140550:51141130:1 gene:A09p062580.1_BraROA transcript:A09p062580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CYTVKRLLELVFLVWSLNIVCSCWSKRSPKNNQDKVQPFHKRCYTVRRFLIWNSNMVCCCLSKRSPRHSPDKVQPLHKRCYTVRRFLVWNVNMARRCWSKRSPKYNPGKYIE >A10g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11042356:11046439:-1 gene:A10g504530.1_BraROA transcript:A10g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINFNLKIHIRSNVTTSPNTKKNQTTIINFSETVLPLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSLHWLSPQISVIVFSEENESAKEKGRSKAVLLNIVAHLEKLDRKFDSRLTEYDTKFGSFSRGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPGKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSVFGNADATNADLVSDSPGNEPPFGRGCRGLGKRNNLAADLERNEAELKKKQKQEEAELKRKKKQEEAELKKKQKKEEADRYVRYSSAKPQTIP >A09g515430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45723002:45723404:-1 gene:A09g515430.1_BraROA transcript:A09g515430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRLIYGILKTQREKAIQRYEALMMMVSGSMMLPVSQALSIYIGGASLTEEDLVPNMGDEIDGEKEKSSSFCRLLVIN >A07p051620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27375044:27377073:-1 gene:A07p051620.1_BraROA transcript:A07p051620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRSVGTLKEADLKGKSVFVRVDLNVPLDDNSNITDDTRIRAAIPTIKYLMENGSRVVLCSHLGRPKGVTPKFSLKPLVPRLSELLGVEVVMANDSIDEEVQKLVAGLPEGGVLLLENVRFYKEEEKNDPEFAKKLAALADVYVNDAFGTAHRAHASTEGVAKYLKPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLSTVDILLLGGGMIFTFYKAQGHSVGSSLVEEDKLDLAKSLMEKAKAKGVSLLLPTDVVIADKFAPDANSKIVAATAIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFDKFAAGTEAVAKQLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >A04g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1253866:1258463:1 gene:A04g500340.1_BraROA transcript:A04g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGPPGFPPLFPELPINEQRMAMTYRDGATYSAPPKESVNESEAESSHSLSVQAVSTPLLATTDFQIGTSMKDPSAGTNNGSKKARRRPPSWKRRQSLTRNNKAQGRMADMEGFEDLVRRSWEGDGTPDARTATRIARCRREMARWKKVSGVDFTHQDVVSWCFTRDGRYSSKSDGGFSRNSVFLNLHYLLACNRNRVISSADHLAFPWILWHIWKARNSFCYEHYRMDPSLVFDKAFEEAETWRGLNSTQASPNHPAEPSSVLPLRWCRPPRNWLKCNVSASWGSHNRMGGAAWIVRDAGGIPLSHSRRAFFGHTSNIEAELQSLLWSIRALIDLRIKWVIIESSLTYVREALLKPHEYPEWRHLIDTIS >A03p067330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29615001:29615355:-1 gene:A03p067330.1_BraROA transcript:A03p067330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGSRQESGDVTEEVVDRDRAQDVASRSNRKCPRGGIVCDKYKDLPGSTKETQEGLRAGEEKRGRCLDLKDYDDEDERLIFLDPPGWDIASAPF >A10p021250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14329587:14332810:1 gene:A10p021250.1_BraROA transcript:A10p021250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSAWPWGNFGNLKYLLYAPLAAQVVYSWAYEQDYSRDLWCLHILIICGLKGFLHVLWSVYNNMLWASRTLRINPNGVDFKQIDHEWHWDNYILLQAIIASIICYMSLPLMTMNSIPLWNTKGLVALIVLHVTFSEPLYYFLHRTFHSNTYLFTHYHSFHHSSPVPHPMTSGNATLLESLIICVVAGVPLVGSCLLGVGSLGLIYGYAIMFDFLRCLGHCNVEIFSHKLFETLPIFRYLIYTPTYHSLHHHEMGTNFCLFMPIFDVLGNTLNSNSWGLQKKIRLSAGERKRVPEFVFLAHGVDVMSAMHAPFVFRSFASMPYTTRLFLLPMWPFTFMVMLGMWVWSKTFLFSFYTLRNNLCQTWGVPRFGFQYFLPIAKQGINNQIENAILRADKIGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKDVKEVFLTGATSKLGRAIALYLCRRGVRVLMLTLSVERFQKIQKEAPVEFQNYLVQVTKYNAAQNCKTWIVGKWLTPREQSWAPKGTHFHQFVVPPILNFRRNCTYGDLAAMRLPEDVQGLGTCEYTMDRGVVHACHAGGVVHMLEGWEHHEVGAIDVDRIDLVWEAAMRHGLRSVSSLTR >A06g501400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5181698:5182570:-1 gene:A06g501400.1_BraROA transcript:A06g501400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTKLKGLKAQQTHYKGQENGPKKTQITIRAQGPPTTRSTRRDPATARTHATAQTTTPFGTSPGTKGGEAKQRNAFTPRDLDRRRQSYGSLYLAGTGTGVDGAEGASASRRQNQITERETSPRLPHPDRALAPETDPPRTAISRAQARRLEEETKPRLKTTALLVAGGLR >A02p050360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31097446:31102678:1 gene:A02p050360.1_BraROA transcript:A02p050360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGARYTMTNQGVRPRLRIVGVVILAAWIGLAALFGLLKPIKNGCTMTYMYPTYIPISVTDGATPPGRYGLYLYHEGWRKIDFKEHLDRLCGVPVLFIPGNAGSYKQVRSAAAESDRAYQGGPFERTFYQEASLFRGEGADTESVEYDMPSQYSNRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHETREREGAAASSNIPHNVILVGHSMGGFVARAAAVHPRLRKSAVQTILTLSSPHQSPPLALQPSLGHYFAKVNREWRKGYEVQTSPGGSHVSEPLLCGVVVVSIAGGYNDYQVRSKLESLDGIVPSSHGFMISSTSMKDVWLSMEHQAILWCNQLVVQVSHTLLSLVDSKTSQPFSDTQMRLWVLTRMLQSALSQSFNGITPMKVSHELPMLASKGSESQTSTCGLDWREDALDRDLYIQTSTVTILAMDGRRRWLDIESLGSNGKNHFIFVTNLAPCSGVRLHLWPEKEKENSNLPVCERVLEVTSKMVLIPAGPAPKQSEPGSQTEQAPPSAVLKLGPEDMQGFRFLTISVAPREAVSGKPPAAVSMAVGQFFNPEEGAMEVSSSSMLLSAYWTKELFLKEDHPLAYNLSFAISLGLLPITLSLKTAGCGIKTSGLPDGETGDLDNDKLCKLRCFPPVALAWDSTSGLHVFPNLYSETVVIDSSPALWSSQSSEKSTVMLLVDPHCSYTANVHVSAPAVSSRFVLLYGPQIVGFSFAITLFTLMRQAHQWDNKQAVPPLLSAVESNLELPSPFLLLAAFPLLSSLFFSFLMAQPFPPLASFTVVSLICYLLANAFISVLIIVSKFVFQASALVHTTVKSKCQALERSSTLASSLVCLKAIRILKLNTTVVMTLVAVTLVSFVHPALGLFVLLASHALCCHNSMFCIMMASRRKEPVDQKTEAERKTRNTSGKQEPLSADTSEKSFVETQADIFNHRHGLLILHLLAAMMFVPSLAAWFQRIGTGQSFPWFADSALCVGVIFHGVMNSRPESSILRSFPSVLGHQLRPHHIYLLAGYYCFFSGLELAPYKVFYAIAALGYISLSLKISQVNNNDLRFRTKSRIHRR >A01p020870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10181875:10184409:1 gene:A01p020870.1_BraROA transcript:A01p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETASSPSLTKKKSLGWMEWMRGWSSVFGEILFQRITASHLQNPLPLPPVNDLTCIVTGSTSGIGRETARQLAEAGAHVVMAVRNTKAAQELIQQWQNEWSGRGLPLNIEAMELDLLSLDSVARFADAWNARLGPLHVLINNAGIFAMGEAQKFSEDGYEQHMQVNHLAPSLLSVLLLPSLIRGSPSRVINVNSVMHSVGFVDPDDMNVVSGSRKYSSVVGYSSSKLAQIMFSSVLFKKLPLETGVSVMCLSPGVVLTNVARDLPRFLQFLYALIPYFIFSSQEGCRSTLFSATDPQIPEYWETLKNDDWPVCPFISQDCRPANPSEESHNTETAQRVWEKTLEMVGLPLDAVEKLIEGEKVQCRYGAQEE >A07p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8313965:8315102:1 gene:A07p012110.1_BraROA transcript:A07p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGFDLKPNYLSRYVLLELHKNGQYTIKYGYWIAQNILKNKEENVYSKSNITKFQVFTWRIKVPKKMCHFIWQLITGTRNIRRRVSTLRSEIEALNWAIESMLHHSTCQNFGTVCMNLITMIKEPNVWSNFSTELKYIKILKRRFQNILYFLGQSALSDSLAGTPRFFHNEFFCWLFNSSLVL >A08g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15960388:15961011:-1 gene:A08g508620.1_BraROA transcript:A08g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRRLDFFSGFKFHGDLKTNDMATWNHPSSVLESMSSLQIFNWLRHVGRSQDRDICGCILNISMVDVQVESPSTEFPDDFYS >A09p049120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43543190:43545862:1 gene:A09p049120.1_BraROA transcript:A09p049120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGVRSSSYGSLDKTGLYAVVLPIHTTTTNRTKPSKMHKEREGFVHWICKFAGRKKVGMLLLFLISAVVFLRVLYAGKGEDGQEVQVPPSLHFNGTAVVNHSILLPTNEDQNVNIRNISTLGTHVILSPPPPSPPIHFLGYTLPQGHPCHTFTLPPPPADRKRTGPRPCPVCYLPVEEAVALMPNAPSFSPLLKNLTYIHEDPLNRETEFGGSDFGGYPTLKHRNHSFDIKETMSVHCGFVKGPQPGRNTGFDIDEADLLEMQQCRGIVVASAVFDAFDDVKAPKNISKYSEETVCFYMFVDEGTESILKRERGLNGNKKVGIWRVVVVHNLPYLDGRRNGKVPKLLVHRLFPNARYSLWIDGKLELVVDPYQILERFLWRKNATFAISRHYRRFDVFVEAEANKAAGKYDNTSIDFQVEFYKNEGLTPYSVAKLPITSDVPEGCVILREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIAAKANWTVSMFLDCERRNFVVQRYHRAEQERIARRKPPVPNLSPPPPPPAPLPATPVLISSDLPRKVPSGRAGGTRSPRRRGRGRRSSPRGNRKANLPVL >A01p044940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24043744:24048255:-1 gene:A01p044940.1_BraROA transcript:A01p044940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANTGIFWYLDDCPIPEGLSALKVSQNMRLALSKLNYSGKVFIHAYGDSQKILEDLNHPSGDQTLDFGKASISATKPALVVKDTPGNFDYLGTASIYSPDDESTPLLMLHHSSANTNTGIFWYVDDCPIPEGLSVLKVSQNMKLALSKLNYSGKHPSVLLSQHWLSKIHPVILITMVPHPFILQMMNQHLYLCSITHQEIKMGCLGGF >A09p007350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3861999:3863111:-1 gene:A09p007350.1_BraROA transcript:A09p007350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein RUP2 [Source:Projected from Arabidopsis thaliana (AT5G23730) UniProtKB/Swiss-Prot;Acc:Q9FFA7] MNTHRTQKQEQEQEEEEARHEWDLSLSTVVSSSSSSASDVVGAIEFDPTDNILATAGISRKIRFYGLASLLRNTAGVCGGAGGGVSFVDQVTACEYYICTPAKLSSLRWRPGSCSRVIGSGDYDGVVTEYDLEKRTPVFERDEHGGRRVWSVDYTRHGGAATVGASGSDDGTMQVWDPRCPPEESVGVVRPAGLCRSAVCCVEFDPSGGHAVAVGCADRRGYVYDMRKLVDPALTLQGHTKTVSYVRFLDGGTVVTAGTDGCLKLWSVEDGRVIRTYEGHVNNRNFVGLSVWRNGALFGCGSENNRVFVYDRRWRKPVWVDGFEPVGMTSGSDKRFVSSVCWRQSGVDQCTLVAGGSDGVLQVYVGKRKP >A04p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:390420:393495:1 gene:A04p000780.1_BraROA transcript:A04p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable boron transporter 2 [Source:Projected from Arabidopsis thaliana (AT3G62270) UniProtKB/Swiss-Prot;Acc:Q9M1P7] MEETFVPFEGIKNDLKGRLRCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERSTDGVLTAVQTLASTAICGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKGRPELGRNLFLAWSGWVCVWTSLILFVLAICGACSIINRFTRVAGELFGLLIAMLFMQEAIKGLVDEFRSPEREDLKLLEFLPSWRFANGMFALVLSFGLLITALRSRKARSWRYGTGWLRSLIADYGVPLMVLVWTGVSYIPTGDVPKGIPRRLFSPNPWSPGAYENWTVVKEMLQVPVVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLICGLLGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARRSIKQNASLGQLYGNMQEAYNQMQTPLVYQQPQGLKELRESTIQATTFTGNLDAPVDETLFDIEKEIDDLLPIEVKEQRVSNLLQALMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDNHATFVETVPFKTIAMFTIFQTTYLLICFGLTWIPIAGVMFPLMIMFLIPVRQYILPRFFIGAHLQDLDAAEYEEAPALPFNLAVAEDEMGSTASYPCDSEILDEVMTRSRGEFRHTCSPKVTSSTSTPVYHRNLSQVFSPRVNELRGGGMMSPSLAGKGHNSPKPSPLNPSSSSPSK >A08p015340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10112762:10115203:-1 gene:A08p015340.1_BraROA transcript:A08p015340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPHLLLLLLVFFIYGVSPVKSDGSDHRYKVGDDVPLYANKVGPFHNPSETYRYFDLPFCSSAPVKEKKEALGEVLNGDRLVTAPYKLEFLGEKNSHVACRKRLSREDVAQFRDVISRDYYFQMYYDDLPIWGFLGKVVKDGKSDPSEFKYYLFNHLQFEIFYNKDRVIEIIVRTDQSFLVDLTEDKEVEVDFTYTVRWKETEIPFEKRMEKYSLASSTPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEAADDQEETGWKLLHGDVFRFPKHKSLLAAALGSGTQLFTLAVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTASSFYCQLEGTNWVRNVMLTGSLFCGPLLLTFSFLNTVAIAYQATAALPFGTIVVIFLIWALVTSPLLILGGIAGKNRKSEFQAPCRTTKYPREIPPMRWYRRTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILSIVFLILVIVTAFITVALTYFQLAAEDHEWWWRSLLCGGSTGVFVYAYCLYYYYARSDMTGFMQTSFFFGYMACICYGFFLMLGAVGFRASLFFVRHIYRSIKCE >A02p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7168967:7169583:-1 gene:A02p016070.1_BraROA transcript:A02p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLMNLLCGKNILIDMSIHAAYVKAIRSAQHFIYIVNQYFLGSSIIQLGFKQGLGCCNNNLIPIEIALKIANKIRARGKFAAYIVIPMWPEGAPTSNPIQRILYWQHKTMQMMYQTIHKALVEVGLDGQYEPQDFII >A10p000140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:66350:67642:-1 gene:A10p000140.1_BraROA transcript:A10p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVYSCNAVLNSVFPFVGGGGSHHKLYFSPKTPFSVEESRHRFSCKCRMAMEVVDKEEKGPPRRFNWVDLNSHHITEEQEEAITRIPVKMSKRCQALMKQIICFEKGSSFSDMLGAWVRRMKPIRADWLSLLKELNNLHSPFYIKVAEFALLEDSFEANPRDYTKIIHYYGKLNQIQDAENTLVSMKSRGFLIDQVTLTAMVQSYSKAGFHRLAEETFNDIKLLGEPLDYRSYGSMIMAYIRAGTPEKGEALLREMDSHDICAGREVYKALLRSYSMSGDPQGSKRVFDALQIAGITPDAKLCGLLINAYSVSGQSQNARLAFENMRKAGIKATDKCVALVLSAYEMEEKLNEALGFLVELEKDSIMVGEEASAVLARWFKKLGVVEEVELLLREFSTHKTL >A09p038030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000263.1:1647:2213:1 gene:A09p038030.1_BraROA transcript:A09p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVTRNQSTGRASNADRCMTWWHQLAKIIHGQSTREGPGMLDMYVSRLDMSSVQRSVHGKAIVLIWVLTGASVVQIVQRQIHGKGQACAEYVCSAGGYEVFSTRIRPHGISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGSSTGRASMLICVLTWCISCPKSVHGQSTGRASMLIYVVSMLI >A07p025290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14588608:14591319:-1 gene:A07p025290.1_BraROA transcript:A07p025290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFHFTNGDKRGGGGEGHEGENSVVSRASRLSWARSLSVASSSASDPTRRSEFDSDWSISPERFGFHKPLSQRWTGGVAEENDLKVFTYGELKLATKGFSRALLIGEGGFGCVYRGVVDGFDSKINVAVKQLNRQEWINEVSFLGVVNHPNLVKLVGYCADDDERGMQRLLVYELMSNKSLEDHLVGRVMSVSLPWITRLKIAQDAAQGLAYLHEQMDFQLIFRDFKSSNILLDERFSAKLSDFGLARQGPPEGLGHVSTSVVGTVGYAAPEYVQTGKLTAKSDVWSFGVVLYELITGRRAVDRNRPRGEQKLLEWVKPYVSDSKKFHVIVDPRLQGQYYCIKSVQRVAALANKCLMKQPKSRPRMSEVVSLLGSIIDEETENAPAPLGDETEEIIEADSEPEVTKQGGSTYLKKVLDLRDKMSLNKSLSKLDWRNWTPGLISRFSSRCINTKLLSSVLDIFV >A10p034690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20111916:20120442:-1 gene:A10p034690.1_BraROA transcript:A10p034690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSHKHTYSHICKHTVIRNYLLVIIAIMSSIIILLFSLFLFTSPSFSSGHHQTPPPLSPSPPPAAQIRLACKATRYPDVCVSSLSKPGRVPSDPNPSQIIHSAVSVSIEKLITAQSEVKSILDASVGNLNRTNAANKYLQQLSYSLHRTRATYQALTRGKIKDARAWMSAALVSRSPLKYVNGTKEVVETMSSLNEFINVTSNALSMMVSYDNFGDNVSSWTPPATERDGFWDKTGGPKVGAGPSLGFPSGLKEDVTVCKNGNCRYQTVQDAVNAAPDNNGVSKFVIKINEGVYEETVTVPFQKKNVVFIGDGIGKTVITGSLNAGMPGITTFDTATVGVLGDGFMARDITFQNTVGPDTHQAVAFRSDSDFSLLENCEFLGNQDTLYVHGLRQFYKKCRIQGNVDFIFGNAASVFQDCEILIAPRQLQPEKGDNNAVTAQGRIDPAQSTGFVFLNCSISGTDEYMKLYKANPKVHKSYLGRPWKDYSRTVFIGCNLEALINSDGWLPWSGDLSLKTLYYGESKNTGPGSDRSKRVPWSSVIPDEHVDMYSVANFIQAEEWAMSDIQNFAFIRLACNATRYPDLCVSSLSKPGRVPPSPNPSQIIHSAISVSLENLKTAQTKVKSILDASVGNLNRTNAAKTCLQLLSYSEHRTNSTDQALTRGKIKDARAWMSAALVYQYDSWSALKYVNGTKEVAETMSFLNGLINVTSNALSMMVSYDNFGDNFASWTPPATERDGFWDKTSGPKVGAGPSLGFPSGLKEDVTVCKNGMCRYKTVQDAVNAAPDNYGARKFVIKINEGVYEETVTVPFEKKNVVFIGDGMGKTVITGSLNAGMPGITTYNTATVGVVGDGFMARDITFQNTAGPDTHQAVAFRSDSDFSLLENCEFLGNQDTLYAHGLRQFYNKCRIQGNVDFIFGNSASVFQDCEILIAPRQLNPEKGENNAVTAQGRVDPAQSTGFVFLNCSITGTDEYMKLYKANPKVHKSYLGRPWKDYSRTVFIGCNLEALINSDGWLPWSGDLSLKTLYYGESKNTGPGSDRSKRVSWSSVIPDEHVDMYSVANFIQGDEWKMSG >A08p030540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18708680:18710339:-1 gene:A08p030540.1_BraROA transcript:A08p030540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDKLRYVGGSSPDDDAVISFTAPDLNTCGPPPDCSLTSDPEGLLGLIYNSVDTPPPLAVRFNGCSSPILPSYPSDATPLHFRLSVERREIVAHLLPNRACARTIVSSSSGEKPRCSTSGDSWLHHRRRQDLPERPGIDLLIKDQFLGPCFCTRLCNSSSSSGLHGPLIRSMFFRTELDIVGSFVVFCFLVVISFFVLLGQKVLREDKSKQERVFIREGSGEGNCNRYFRKRPRLKRGKCICLNSVCVRLISSFESRESCRKNGWELGIQLYINTCQIRNHDTRPTDAGMSMVS >A07p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4186075:4189118:1 gene:A07p001770.1_BraROA transcript:A07p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLDSGGVTMPTENGGADLDTLRHRKPRSDSSNGLLPDSVTVSDADVRDRVDSAVEDTQGKANLAGENEIRESGGGGGEAGGNVDVRYTYRPSVPAHRRVRESPLSSDAIFKQSHAGLFNLCVVVLVAVNSRLIIENLMKYGWLIRTDFWFSSTSLRDWPLFMCCLSLSIFPLAAFTVEKLVLQKCISEPVVIFLHVIITMTEVLYPVYVTLRCDSAFLSGVTLMLLTCIVWLKLVSYAHTNYDIRTLANSSDKANPEVSYYVSLKSLAYFMLAPTLCYQPSYPRSPCIRKGWVARQFAKLIIFTGFMGFIIEQYINPIVRNSKHPLKGDLLYGIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKSVGDYWRMWNMPVHKWMVRHVYFPCLRRNIPKVPAIILAFLVSAVFHELCIAVPCRLFKLWAFLGIMFQVPLVFITNYLQERFGSMVGNMIFWFTFCIFGQPMCVLLYYHDLMNRKGKMS >A02p006310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2677245:2678397:-1 gene:A02p006310.1_BraROA transcript:A02p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WER1 [Source:Projected from Arabidopsis thaliana (AT5G14750) UniProtKB/TrEMBL;Acc:A0A384KIP7] MRKKVSTSGEEGNNEYKKGLWTVEEDKILMDYVKAHGKGLKRCGKSCRLRWMNYLSPNVKRGNFTEQEEDLIIRLHKLLGNRWSLIAKRVPGRTDNQVKNYWNTHLSKKLGNKDPKTKPSNGDIVHQTSLTNPTETLEETNISNINDNSEIQEDRQGSNYLSSHWVHDDEFELSSLTNMMDFIDGHCF >A01p054670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30934855:30938900:-1 gene:A01p054670.1_BraROA transcript:A01p054670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVNYFYQTKQHNNSTLFSLSLKKKKRLPFSIKPSSSPPPLPSKIQTKSSDLIMNNKKNPDQKPEMPPSSSSPKKQTLFISSLILLWYTSNIGVLLLNKFLLSNYGFKFPIFLTMCHMSACAILSYLSIVFLKLVPLQHLKSRSQFMKVATLSIVFCASVVGGNVSLRYLPVSFNQAVGATTPFFTALFAYLMTFKREAWVTYGALVPVVTGVVIASGGEPGFHWFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLMLYMSPIAVIALLPVTIVMEPDVMSVTLSLARQHQYMWILLLVNSVMAYSANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVMGIGGYSITVLGVVAYGETKRRIMHEKNIVEDVINDFVDNFTETVQKKKNVSFFEQEETVSSRFNRMFGREKPIHHVLGGGKSADVLLWRNKKISASVLMGATAIWVLFEWINFHFLSLVCYGLLLGMIVQFVWSNASGALNRSSQSGVPRLVLPKDFFADVGVTVGTEVNRGLMFLQDLACRGSLKQFLMAAIGLWLAAMIGSCCNFLTVLYIGFVGAHTMPVLYERYEDEVDGFVDSLLMKFHSHYKKMDTGFLSRIPSGRFGFKKHD >A01p009450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4563221:4564452:-1 gene:A01p009450.1_BraROA transcript:A01p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rac-like GTP-binding protein ARAC7 [Source:Projected from Arabidopsis thaliana (AT4G28950) UniProtKB/Swiss-Prot;Acc:O82480] MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGQIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISKASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDDKGYLADHTNVITSTQGEELRKQIGAAAYIECSSKTQQNVKGVFDTAIKVVLQPPRRKEVTGNKKKHRRSGCSFASIVCGGCATA >A01g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27004618:27006145:1 gene:A01g509890.1_BraROA transcript:A01g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKISFHIRYGRTISRLVHRCSQNFRLSDSLLTIWFSDSTNVHNLSDVISELTAVRSTVSDIPQGKDRVMTTIKIDGFWGDSRVVVANSIKPKMVEGVFLSGIKTHAYYHAGFQRPRNTHVPSFLRGYAKVEPLTIAELNEFVIISEPQVHEEAPPNGLILYLRELKYLGLLRVSLLDSISCEMTKLHNVRAYEAGNLIVGTSLSGIAIKKPQASKSLKVMKKLRVVMQMEYLLFQK >A01p039760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14160393:14162238:-1 gene:A01p039760.1_BraROA transcript:A01p039760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIFYVDSYVARPGAKKMDRISDLPDETVCQILSFLPTKEAALTSVFSKRWRNLFAMSPNLHIRFVEVDFWKPKAKSNMSFIDFVDRVLAVSSDSTIRRFSMIIRRSVEPAHINRWMINVLSRGILDLGLDTLTKDVITMPLEIFTCKTIVKLKLGSLVKFAMVPENAYLPSLKTLFLVHVRFSGCEFEALLSACPVLEELTLLGCPWDPKQCLTISCTTLERLTLSCKGTIACSLPWSFSFDTPRLAYLNYRGYIGDEYPIVNLHSLVEAKLSLEFRGSPNESNPMNLINGLRNVEVLDLSSLETSKLLFSFSELIPLFEKLSRVSIATEVQHACWSWKFLPLLLKKSPNLKTLVIKGPLHFYDEYGEESEPLICECLSEYSFLSSCHVKILEIIDYCGTKGEMGQMKKFLEKLPCLELVNIHASAKAKLTFCTDLQVLPRAGNIQLKFFSFQN >A02p056310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34016177:34019783:-1 gene:A02p056310.1_BraROA transcript:A02p056310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEEANLLGKRKPEDGLLTEPVLKKHKEKSEEKEIEEARFELLLSDIEAATSETTKGFAVESNSISVEGLDETPAETEPIQEPKKTLFVSHLPVYTKISDIVCFFQNVGQVVRVRLVVDNRGVFMGDAFVEFASNDQANKALKEKKCDKFKNWRKIVLGVADKGEGAPFFPPKYCIDHKVWYLEDDEDYLQQEVDEDEDYLQQEVEEDEDYLQQVEEEDEDYLQQESLPIEEEDETPPNAEHKHLGYAFVEFVSPERANLALKKKNGEYLHDHEILLMKRLEVDTPHSAEVSLIIHQNIINFFKDVGEVVHVRLMVGKGTGLMGSGYVEFASAAEAEKAMEKKNGKYLNRRKLYLSFVKEPSRFKYCIDYKVWFEDYVQRENLLLQENASMEGLDETPDFVEEVSSSKKTLFADISHKKIVRFNIPNIISYFEDFGEVASVRLIVDRRGISSGCCCVEFATCTESNKAMRINQHVKGIFVKMLEIAPYPFRPKYNLTDLAEKLWYEDELRREGFGLPTRPKPEKEPCVVRKTTFCGQRITFSDED >A08g509140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17749302:17750962:1 gene:A08g509140.1_BraROA transcript:A08g509140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDMPPQLNCNGIVLFHYAIETRVCHKKSSRPCGFTISSPPKLTPLWPLLFHIHLFLSGEEETLFYLPCSLSVDSLLQSYSTINIFASHNSHLPGLLGGFLVKISDINKLYQPENLLLYLNTMRDYKFGSDKVIIDGLQIFKMCPSLARDHVYMEAIVCVEDKQMSEFFFHLMRNQRLPSSKGFLQTCGQHELHQFLKKSRISTTVLLGPVFLGNKGLNDVENDELPRLVYVLVRREPN >A09p027230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15382768:15384471:-1 gene:A09p027230.1_BraROA transcript:A09p027230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRVAKSHGLIRLLKKPCDGCLRSPLFLRFPADGFSAFRSYSLTRSRFMSTDPMVGEEARNGGVTMLPAVEDKYGGVMTEMTRPMDPSAFSALLRSSLSNWTLQGKKGVWIKLPRQLIGLAETAVKEGFWFHHAEKNYLMLVYWIPKQDHTLPSNASHRVGIAAFVLNHKKEVLVVQEKTGRFKGQGIWKFPTGVVNEGEYIHDGSVREVKEETGVDTEFVQVLAFRQTHKAFFEKSDLFFVCMMKPLSLEINAQESEIEAAQWMPWEEYNKQPFVQNHELLRYMTDICSAKTNGDYEGFTPLPVSAPDLQGNLYFNNRDLSSRQ >A02p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13419222:13419919:-1 gene:A02p027620.1_BraROA transcript:A02p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKSATLIRRKSLPLLIAMSTRNSLRSNICVKVVFSLLPPRKNMTVILINLPLALKKEENFVQTTNHLNNTSFLAPAPAPAPAPRVSHNKVSEKESEFKGSGCGQNTEFCKRRRSNSSADISKFAHASLFCCYAFRIMNLYYLYGFLENKQASNA >A10g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10643235:10644862:1 gene:A10g504330.1_BraROA transcript:A10g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSQFDIGRYELVRIDRCSLVRLFRHDDIDRCSVQPIDRYYILSTMLTFFSSWFQMSSSETNARNRELRLKRGSTRLAAPPTHSVIHGLAPKTHHSIGTRYRITISELYRIYGFDESIASYTLRCMPDEAFLRNPRNMSRRPPSIRRTRAQDAQAPPLPDFPNISDIPMHDQGDFQRFVVDALQAIWARVSCRSRRATGAQAPAPAARRDPSQRMMRRLMRTPTSPHISISSPLIMNLFIYFLNL >A07p002940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3495727:3498294:-1 gene:A07p002940.1_BraROA transcript:A07p002940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLSNRRPEMTTRIAPGVGANLLGQHSAERNQEATVYVGGLEPQLSEELLWELFVQAGPLVNVYVPKDRVTGLHLGYGFIEFRSEEDADYAIKVLNMIKIHGKPIRVNKVSQDKKSLDVGANLFIGNLDPDVDEKILYDTFSAFGGIASNPKIMRDPDTGNSRGFGFISYDSFDASDAAIESMTGQYLCNRQITVSYAYKKDTKGERHGTPAERLLAATNPISQKSRPHTLFASGPPTAPQANGFPRPFANGGMQPVPIPGPRPTPPPPPPQVYQTQPQSWQSQPHQQQHGLAVPPPMQYHPPQGMPPPPPPQFLHHQQGFGGPRPPPPPQAMGVHQHGWPPQHMQQGGPPPPQQPMHYHHPMSMPPPPPHQGLVL >A06g506000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17110823:17111799:1 gene:A06g506000.1_BraROA transcript:A06g506000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPMDPTPHTHYSHSIPPHFSQAYLCKTNDALQSDCDDEALLLSSVCSPLLLLSSGRSPLLLPSSVCSQLLLRDSVYSHLLLRSVSILWALDKIRFESLTLDRQE >A01g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14032524:14043681:-1 gene:A01g504680.1_BraROA transcript:A01g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSLFLHCISLYQVLEFPLEILEASKAPERGTGATCDTRSRRIEGWRDALATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALKEPNFQYNNYQQKSYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSVDAIKLTNIERPGIIHFDIDESELFGPHGPIDPVTAPKRRRGGGRGHVMTGTSDATQEGSATPLYGPPRYHFTQSSTALPHGPLREAHEHIDKLQRWNKAQDRTIFKLKTKYKELKKTVKKQAEASAQFMKKVADLLVRGGVGGCSSEDFVTRDTSVPQPQPYDPLLRLARNPQAHKSNSGNKSPSLASTDAETDNEESLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMLNLDHPRSIPIAHEFSLLIRKKALSFIAF >A03p054430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23374483:23376459:1 gene:A03p054430.1_BraROA transcript:A03p054430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSGNDAAETAKIEVWWDMKDCPIPEGYDARRVRPSMERAFEKIGYTGPVSITAYGNQTQTPDHHLLALSSTGVAVVHTIVESTRSVMYRDMVEWRGQNPPPATMMIISDQVEGDFSWDLARLQQRTRYDLFQANSMKSRDDLLLVYYANWRWEQLLGEEGTPPLVTCGLSSAAMFYCKSCNFDCKSLKKFRKHLSSYKHGMEEAINPPDKQLLCVTKAWARNYPATPENATAKIHVLWDMNDCPIPEGYDARRVRPSIERALKELSYTGPVSITAFADEKQTPVHHLLALSSTGVDFSHTLPWVHYSRMITDFEIWTKDNPAPASIMIISDEVASSKDRSTLICRKLQESNYNCFLAYSVRPFEMPVLVTSAEWLWDNLLAVSETKRNILHKCSESERVVASTGMFCCTLCLCDCKSLDDFNKHLANCFNSFYSLSNRRRECFRILKPFLTGKGNIKYPITMRRQVGYPPKTKRTRNAPRKGFLPRSLRFTRR >A05g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21197289:21200007:1 gene:A05g507490.1_BraROA transcript:A05g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVPWSDLRCLGAFQSDQSRATTSSHSQPERPARATSSSHSRFDASRHKKTRRERPPGATMLGRSACFAWTIFMLFQGPFGHFLILDHPKSNPYAHEFFFPLVKKEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQENICLRSLENS >A01p014840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7193845:7196059:1 gene:A01p014840.1_BraROA transcript:A01p014840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKLSELKLFIEQCKSDPSLLSTPSLSFFRDYLHSLGAKIPTAANEEHTDSKAKSFVVEEESDDDDDMEETEDPKPKVEEEEEEEEEDEIVESDVELEGDTVEPDNDPPQKMGDASVEVTDENREAAQEAKGKAMEALSEGKFDEAIEHLTQAITLNPTSAIMYGNRASVYIKLKKPNAAIRDANAALEINPDSAKGYKARGMARAMLGEWAEAAKDLHLASTIDYDEEISAVLKKVEPNAHKLEEHRRKYDRLRKERDDKKAARDRQRRRAEAQAAYDKAKKEEQSSSSRPSGGGFPGGFPGGMPGGFPGGMPGAGGMPGGFPGGMPGGFPEGKPGAGAGGMPGAGAGGMPGGVDFSKILNDPELMTAFSDPEVMAALQDVMKNPANLAKHQANPKVAPVIAKMMGKFGGAPK >A09g511500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34540065:34540723:1 gene:A09g511500.1_BraROA transcript:A09g511500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFSTSRMKSNPDLALDSSSIGGRVRSKKQRSGAGPSESMDSSGSSLDLTAEVKNSRRAVTSVSSPSLRTNRFLPVGPLSSIGVEEVAIWRVSDFEGYEVPVYEGFFESGFRDRVPSMVAKVSEALEISSGQLNPPAWKLLIALQNLGDLEGLTIGVAEVMYYYAITPLNGGERRYHLHPRGGELPVQEVVKKERMCSGF >A03p033240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14066453:14067175:1 gene:A03p033240.1_BraROA transcript:A03p033240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNSNLLGGGQLVKSVSMCAVLVGSRFNQLLSLVSSTEINKGGEIRLPSEKTNGEFCGKTSKPASCPVKCFRADPVCGEDGVTYWCGCADALCHGVRVSKPGACDVGNGVGLSVPGQALLLIHIVWMMALGFSILFGLF >A06p011620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4333076:4339104:1 gene:A06p011620.1_BraROA transcript:A06p011620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPHARSRLKIKSDMDPLHLHTQPGQRSPLAKSKCKPKKSIILITVGSAAIGLIIFFVCYTFLLSGRNRRVSLRYSVVIDGGSSGTRVHVFGYRIDSGNPVFDFIGGDGYASLKVSPGLSSYAGDPEGASVSVAELVEFAKGRVPKGKLKESEIRLMATAGMRLLDVSVQERILEVARRVLRSSGFKFRDELASVISGSDEGIYAWVVANHALGSLGGDPLQTTGIVELGGASTQVTFVSSEHVPSEFLRTITYGNVSYRIYSHSFLNLGQDAAHEKLWESLNSLAANSTEKGIVTDPCTPKGYILDKNSTVEGIVLPEESKFTDSLQAAGNFSKCRSAAFNILQEGKEKCHYKHCSIGSTFTPNLRGSFLATENFFHTSKFFGLREKDWLSAMISAGETFCGDDWSKLKVKHPTFKDESLLRYCFSSAYIVSMLHDSLGVALDDQSVEFASEAGEKGIPLDWALGAFILNTATAISDNSVTMKNIVSKTESSYETSFIGGCLQTAVRKQKIMKPEIKEIKDTLRVQMLPVHHKSSTTYVFTTKSESKPTKPILLLVLGLFLSIAITLAFLFVLYMIVFSGWNLRSSFRYSVVIDAGSSGSRAHVFRYWLESGKPVFDFGEENYASLKLSPGLSSYADNPQGASVSVKELVEFAKGRVPKDVLKKSEIRLMATAGMRLLDVSVQEEILEVTRGVLRSSGFKFQDEWASVISGFDEGMCAWVVANYALGSLGGDPLHTTGIVELGGASAQVTFVSSETAPPGFSRTISYGGISYEIYSHSFLHYGQNSAASKDGIVTDPCTPKGYIYDNNSVQAAGNYSACRSATLAKLQDGKENCAYAHCSIGSTFTPDLQVKFLATENFYHTSKFFGLDEKDWLSEMISAGKSFCGEEWSKLKEKYPTTKEKYLDGYCFSSAYIISLLHDSLGFALDDERIEFANKAGEKGIALDWALGAFILNTDTAISAYNGRSRKMLG >A07p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7536396:7537948:1 gene:A07p013300.1_BraROA transcript:A07p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLWFGEIKKQASLFLHDKYNVARLVLTDVTETELLVEEVTNDDPSSPDAKTMTKIADASFNTVDYWRIVDVLHRKIGKREGEMKKWREAYKAMVLLEFLLTHGPLHLPHDFLYDLDHIRFLSTFQYVDDQGFDWGAKVQKKADQIQTLLLGKEELREARLKALKITAQINGFGNSASFSTPSPPPSPASSSPLKSSGTTLTEREAVSESDSLIGDKDERNRAAGEETLISGICSKLAGFSPLKKLHGGRTAAGFHALSNVERVSSKCYDRRNSIGY >A05g500400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1602067:1602261:1 gene:A05g500400.1_BraROA transcript:A05g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTVADGSCDEHVAKDVGLGKAIEEMIPSGEGDKLFWAHWMDVLGYSLNAFWFSNLSFVDGR >A02p060540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36092580:36093883:-1 gene:A02p060540.1_BraROA transcript:A02p060540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSVTWLAPGFRFHPKDEELVRYQTLLSSTLSPSQIRALGSSRAVWMKKTLLFITGIELLVVNEPTRLCISENRLTDEELKIAGVPQVTSIGDALVLCRIFQKSRAGPNNGEQYGAPYLEEEWEEDKITFVPEQDALCEGLVVDDDKVTSQPFHSWEWSRDWNWDFIGQFSLSVHPLIIGVTGLLLLESGEWWMLHAAQSMAIGTVMVRWVSKHSDPIMATGWVMMLYPYSFCSMACFTEFQLDEVNLLFLLTVGLVCTQLEREVGCSCLGLGRQSIFYGLFVDPLVFGF >A09p083500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60059191:60061330:-1 gene:A09p083500.1_BraROA transcript:A09p083500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLREIGEVLGGIRALMVFKDNIHINQRQCTLLLDLFTATFDSVSESMRLNLRFGEKNTSKWKILEQPLRELLCVVREGEAYVRFSLEPKLGFWAKAVFLQHNIDCMELHVHNLLSSVPIIIEAIEMASELSGWDEQEMNKKRLVHSNKYMKQWNDSQMFTWKFGREYLVTEDLCSRYESAWREDMWLLTQELQEKRRPGSSKQDRNMAEFLLKNLGDGNELFPSSILVSSKDYQVKKRLGNGSQYKEITWLGESFALRHFFGDIDALFPQVTSLLSLSHPNIVYYLCGFADEEKKECFLVMELMSKSLGTHVKEVCGPRRKNTLSLPVAVDLMLQVSRGMEYLHSKGVYHGELNPSNILVKPRRSNQTGDGHYLHGKICGFGLTSVKGFSFKSASSNESFPFIWHSPEVLEEQEQGGSNLKYTDKSDVYSFGMVCFELLTGKVPFEDSHLQGDKMSRNIRAGERPLFPFHSPKFITNLTKRCWHADPNQRPSFSSISRVLRYIKRFLALNPECQQDTLVSPPVDYCEIETKLLKKLSWGKTTELVQVSQVPFQMFAYRVVEQAKTCKKNNLREASESGSEWASCSEDEGGGGSDEQVSSEKERRLSCSNEVGMRKKKPVSKRASGLKPIQKPGTSRGRSRHPPLSPCGQSMRTHSESQLIVMSPKIRRSSSGHVSDSELS >A07p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2574323:2575996:1 gene:A07p004850.1_BraROA transcript:A07p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1 complex subunit sigma-1 [Source:Projected from Arabidopsis thaliana (AT2G17380) UniProtKB/Swiss-Prot;Acc:Q8LEZ8] MIHFVLLVSRQGKVRLTKWYSPYTQKERSKVIRELSGVILNRGPKLCNFIEWRGYKVVYKRYASLYFCMCIDEADNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARIISAQDQLVEAAKEEASSISNIIAQATK >A03p031510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13278781:13282608:1 gene:A03p031510.1_BraROA transcript:A03p031510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OSCA1 [Source:Projected from Arabidopsis thaliana (AT4G04340) UniProtKB/Swiss-Prot;Acc:Q9XEA1] MATLKDIGVAAGINIVTAFIFFIIFAVLRLQPFNDRVYFSKWYLKGLRSSPASGGFLNLDVRAYLKFLHWMPEALKMPERELIDHAGLDSVVYLRIYLLGLKIFAPIAILAWAVLVPVHWTNHTLELAKQLKNVTASDIDKLSVSNIPLYSKRFATHIVMAYAFTIWTCYMLMKEYEIIANMRLQFVASEARRPDQFTVLVRNVPPDPDETVSELVEHFFLVNHPDHYLTHQVVCNANKLADLVDKRKKLQNWLDYYQLKYSRNNSQIRPMVKLGCLGLCGQKVDAIEHYMAEVDKTSKEIAEERENVVKDQKSIMPASFVSFKTRWAAAVCAQTTQTRNPTEWLTEWAPEPRDVFWPNLAVPYVSLTIRRLAMSVAFFFLTFFFIIPIAFVQSLATIEGIEKVAPFLSVIIEHGFVKSVITGLLSGLVLKLFLIFLPSILMTMSKFEGFTAISLLERRSASRYYIFNFVNVFLANVIAGAAIPQTIGVAIPMKATFFITYIMVDGWAGIAGEILMLKPLIIYHLKNAFLVKTEKDREEAMDPGSIGFNTGEPQIQLYFLLGLVYAPVTPMLLPFILVFFALAYIVYRHQIINVYNQEYESAAAFWPDVHGRIITALVISQLLLMGLLVTKRAALAAPFIIALPVITIGFHRFCKGRYEPAFVRYPLQEAMMKDTLERAKEPNLNLKGYLQEAYIHPVFKGGDGDDDDDSVLGKLEGEVIIVPTKRQSRRNTPAPSRISGESSPSLAVINGKEV >A05p049680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28875646:28878341:1 gene:A05p049680.1_BraROA transcript:A05p049680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNDEEAPLVLVSCEDRKGRPGKSYTRDVHILSISFLLIFLAFGAAQNLETTINKELGTISLGILYVSFMFCSMVASLVVRLLGSKNALILGTSGYWLFVAANLKPSWITMVPASLYLGFAASIIWVGQGTYLTSIARSHAKDHDVHEGSIIGVFNGEFWAIFACHQLFGNLITLTLLKDGKEGSTSGTTLLLLVFLLIMTFGTILMFFIRKIEGEDGKEPVGGSSMGLVDSLVSLPRLIITPLLDVRMLLIVPLLAYSGLQQAFVWAEFTKEIVTPAIGVSGVGGAMAVYGALDAVCSITAGRFTSGLSSITFIVSGGAVAQASIFLWLLLGYRTISGVLGTAYPLIMAAVLGIGDGILNTQISALLALLFKHDTEGAFAQLKVWQSASIAIVFFLSPYISLQAMVIVMLVMVCVSLLSFLVLALKVESVFTREE >A03p029460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12403118:12403733:1 gene:A03p029460.1_BraROA transcript:A03p029460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQEENGINSSGSGSGSGKAVSLFFHLLANQRTGAYEAVYVLAKFQVLNQLKLGNIQMELENWYGITSFGWGFSEFVSFDDLRDSSKGFLVDDTLMVQVELEAISTTKYFPS >A09p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8641827:8643506:1 gene:A09p016480.1_BraROA transcript:A09p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVVALTPIQRGLGFSFAHYSVKSILVFLRVVSDSTTTMPMLILDSDSIADLKLKIQTVCNEFRVTRQRLVFCGRELARNASRARDYGVTDNSVLHLVLKKLCDPLLVTVVTACGKVFDFHVDRRRDVGYLKKRISKEGSKGFLDVDEQEIFFRGERLDDNRVLGGICGDGNSVIHLLLKKTVKDFLLEPLLLNPAVKLPQVIEDLIDRTVDGLKKGNPPVRTAEGTGGTYLMQDSSGLSYVSVFKPMDEEPMAVNNPQQLPLSSDGQGLKRGTRVGEGAIREVAAYLLDHPKTGSRSQVMGFAGVPPTAMVRSFHKVYNNPKGVDSCCTKDAKVGSLQMFMKNNGSCEDIGPGAFPVEQVHKLSVFDIRMANADRHAGNILTGKDDDGRTVLIPIDHGYCLPENFEDCTFEWLYWPQAKVPFSEDTIDYIDSLDAEKDIALLQLNGWDVPEAVARTLRISTMLLKKGVGRNLTPYQIGSMMCRETVSKDSAIEEIVREAHKNLVLPASSDATFLEAVSMAMERRLDELTK >A09g516030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47509733:47510433:-1 gene:A09g516030.1_BraROA transcript:A09g516030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPHEPHFFKPLLPGFHDGVTIPLSFFSQHIQGKTNGKKWKLRSDASDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDILVFKHEGDMVFHVTPFCLSCCEIQYTHPHIIKEEADVDDTHSFSFDYCFLAEVTASNINEDKLYLPVEATTCTALNKQCKKIILVNKEGNSWIGSLR >A05p048710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28466240:28471815:1 gene:A05p048710.1_BraROA transcript:A05p048710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNLQLRHSVTQLFPSISSSPPPPPQRFQLGTSPSRVLFCNLRANSAAAPALRTTRRADIIAASSVGSPTSSDSVVGDRDELGKIPLLEVKDLRAVIVESRQEILKGVNLVVYEGEIHAVMGKNGSGKSTFSKVLVGHPDYEVTGGSIVFKGQNLLDMEPEERSLAGLFMSFQSPVEIPGVSNMDFLNMAFNARKRKLGQPELDPIQFYSHLVSKLEVVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDVDALQDVAKAVNGLLTPKNSVLMITHYQRLLDYIKPTLIHIMENGRIIKTGDKSLAKLLEKEGYKAISGPNLSGNGFLQTVSAALWKPRIGAEQASSSHGNPQQVPKEAAAEVSKEAVPDQVQNKPPEQVTMPNPSSIPEAETKPKPEPEEAKQEVVVQVETSTKPEPKPEPTKPETKPETNPETKPDPNKPKHMRRVSSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCLEKGTGNEYACKSISKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDVVAVHLVMELCSGGELFDRIIQRGHYTERKAAELARTIVGVLETCHSLGVMHRDLKPENFLFVSREEDSLLKTIDFGLSMFFKPDEVFTDVVGSPYYVAPEVLRKRYGPESDVWSAGVIVYILLSGVPPFWAETEQGIFEQVLHGDLDFSSDPWPSISDGAKDLVQKMLVRDPKRRLTAHQVLCHPWVQIDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFKMIDADNSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEKEDHLFAAFSYFDKDGSGFITPDELQHACEEFGVEDARIEEMMRDVDQDKDGRIDYNEFVAMMQKGSIMGGPMKMGLEKSISISLKH >A09p043340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35369433:35372097:1 gene:A09p043340.1_BraROA transcript:A09p043340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQGKVITCRAAVAWGPKEPLVIQEICVDPPQEMEVRVKILYTSICHTDLGTWTGVNEAERAFPRILGHEAVGVVESVGEGVKDVKEGDYVIPTFNGECGECRVCKKEVSNLCERYKVDPMKRLMVSDGGTRFSTTTNKDGGSSQSQRIYHFLNTSTFTEYTVLDSACVVKIDPNAPLKQMSLLSCGVSTGVGAAWNTAKVKEGTTTAVFGLGSVGLAVAEGARARGASRIIGVDANASKFEKGKLMGVTDFINPKDLTKPVHERIRELTRGGVDYSFECTGNVDVLREAFLSTHAGWGSTVLVGIYATPRTLPLHPMELFDGRKITGSVFGGFKPKSQLPSFAQQCMKGVVKLEPFITNELPFEKINDAFQLLRDGKSLRCLLQIAKFLKK >A05p022250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10619630:10621331:1 gene:A05p022250.1_BraROA transcript:A05p022250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRVLLKVIILGDSGVGKTSLMNQFVNRKFSNQYKATIGADFLTKEVQIDDRIFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLIQAAPSDPDNFPFVVLGNKTDVDGGKSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECITKNAFKNEPEEEPYLPDTIDVAGGQQHRSTGCEC >A07p045330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24611843:24614360:1 gene:A07p045330.1_BraROA transcript:A07p045330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alkaline/neutral invertase F [Source:Projected from Arabidopsis thaliana (AT1G72000) UniProtKB/Swiss-Prot;Acc:Q9C560] MVSKAWEALFRSQVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQIQGHEKKIDRFKLGDGAMPASFKVLHNPIKKTDSIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDHSLAERPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQVLFFMALQSARSMLKEDAEGKEFMDKIQKRLHAMSFHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPLQGGYFVGNVSPARMDFRWFALGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKICYPALESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAASIKTGRPQIARRAIDLAEARLLKDGWPEYYDGKSGRFIGKQARKFQTWSIAGYLVAKMMMEDPTHVGMISMEEEKHMKPVLNRSFSWC >A02p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1063420:1064825:-1 gene:A02p002530.1_BraROA transcript:A02p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSNVLLSPNGCVLPSPKPLGRFLSAKSCGRKLCVSVVRASSDDPDCNAEECAPDKEVGTVSMEWLAGEKTKVVGTFPPRKRGWTGYVEKDTAGQTNVYSIEPAVYVAESAISSGTAGSSSDGAENTAAIVGGLALIALASASSILLQVGKDAPARPKAVDYSGPSLSYYINKFKPTEIVQASAPSLTEAPTVAQQETSLPETMASEAQPEEASSVPTTSST >A09p005630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3076542:3079859:1 gene:A09p005630.1_BraROA transcript:A09p005630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDAEIPQQTNPIESPEANPPPSADADDDVDSTLDVAAASSSPTELDSSLTNDDGGRSENTDLIPPNEEEEEDDVSETENVIEKSSDDDQSEADDVAFDEEKRGALEKPAVTDYKSLLSEFDDYVASEKMGSGVSRALSYGFQVGDLVWGKVKSHPWWPGHIFNEAFASPSVRRMRRVDHVLVAFFGDSSYGWFDPAELIPFEPHLSDKAHQTAAKHFVRAVEEAVDEASRRSALGLTCKCRNPYNFRATSVQDYFTVDVPDYEVQGVYSGEQIMKARDEFSPVEALSFVKELALAPQECDDVEESLSFLKKKAVVCAFRKAVFEEFDETYSQAFGTKSMRTSVTSNESSNRTPSRAPLSGPLVIAETLGVPKSSKTPTKVKDSKKQDKYLLKRRDEAGHKTIPFGQAEASSSTGVGGSSDGDSVLLRRASTLQSPMKDEQTGIVSTDSNSSSAAIPGKESSVPKISLDEEKDVAEESAEKKEERSVVNPEHEPLKQETGPDSGSAGSSLLPMIESPRGSHTSASDGKSSTGSLIKKLKVAKRSSSEMGTSENPPSEPVKKKKKMKEPNSNLPRKRKHPLSSGEPGAKKLSQLGSAHLHTYMEADVPKLLGHLQDLSLDPFSGSTVVSFGAARKFFLRFRSLNYQKSLSVSSSDANARDTNLSKPVKTLNRTEDPSKAGKKRLSSDRQDEIPLVKKLKKTNQLKPMASEKKIKLEAKDSVKPVREQSGAVQARPPKLQTAKKPAPLAKVVEPTMLVMKFPPGTSLPSPALLKARFGRFGLLDQSAIRVFWKSSTCRVVFLYKADAQTAFRYATGNNSLFGNVNVRYFLRDVDAPKAEPQEPENAKEDDEQPQSQMPDQASPRHQPKLPPPLKSNLKSCLKKLGDDTTSNRPRVKFMLDGEENSSKATNDPPNRNDGPSSSSSSSFGMEFVSKKFQNVQLPPSTLPPILPLPPQYSKPIKTVDHVEPPMPPPPPPPSRSFPGPSAAVGAGDISHQMLNLLSKCNDVVANVTGLLGYVPYHPL >A02g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8364510:8365531:-1 gene:A02g502570.1_BraROA transcript:A02g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAENNKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHERDMVFHVTPFGPSCCEIQYTHPHIVKEEADTDDAPTFSYDYCFLAEVTATIQKDDKMFLPVEAMWCGALNQQCKEVKLVNKEGKSWTASFGFSESDEAYYSSRGWRKFCRDNRCTNGALFVFNVVGDGTTTPLLCVCPERKECTELLINHFSRIDGSIASTSRN >A02p000770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:395850:397566:-1 gene:A02p000770.1_BraROA transcript:A02p000770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAKWFSSVKKAFSPDSKKSKRKSPESPNGVISNPPDQARQSSPPPAPPPLEVRVAEVIVEQNRNLSPPSTDAVNATTIDVPVSPSSSAPPEVVVRPRAAAPTRFAGKSNEEAAAILIQTVFRGYLAKRAIRAMRGLVRLRLLMEGSVVKRQAANTLKCMQTLSRVQSQIRARRIRMSEENQARQKQLLQKHAKELAGLKNGDNWDDSIQSKEKVEAKLLSKYEATMRRERALAYAYTHQQNWKNNSKSGNPMFMDPSNPTWGWSWLERWMAGRPLDNSSEQNNDNAASKPITRNNSTQPNTPSSARGGGGTPRNKNSFFSPPTPSRLNQSSRKSRDEDDAKSTISVLSERNRRHSIAGSSVRDDESLAGSQTLPSYMVPTKSARARVNKPQSPSSGGTTQENDGFTAKKRLSYPASPALPKPRRFSAPPKVEGGGVTVTNGGGS >A09p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13691755:13693472:1 gene:A09p024680.1_BraROA transcript:A09p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRKKTTPKDALRTSKREMSVATRGIEREISSLQLEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATKAMVAMNEQMAPTKQAKVIKDFQKQSAQLDMTIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASQLSSAPKGRIATKTAAAPPPATTTNSNSSESSEVDELEKRLASLRRI >A10p035990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20564009:20565847:1 gene:A10p035990.1_BraROA transcript:A10p035990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGMSSTSPLVVKKSQVVIVKPSKPTPEVSLSLSTLDNDPYIETLAKTIYVYAPSSKEVQDPASLLQEALSQALVYYYPLAGKLHRRSDDHRLELKCAPGEGVPFVKAAAECTLSSLNYLEDMDADLSQLVPSYEAVASGGYNVLALQVTVFACGGITLATALSHSLCDGFGASQFFKAFTEFAAGKTQPSIIPVWERHCLTSNNFNINGQVEEEQAPKLVDFGEACSSAATSPYTPTNDMVCKILNFTSQDITHLKEKVTEEVTTLEILAAHVWRARCKALKLSPDGTTLFGMAVGIRRTVDPPLREGYYGNAFVKASVAMKAGELSSSPLSPVVKLIKEAKREALKKRYVSEQLKETEKSLKLKVPCQGGSGAFMLLTDWRQLGLLDEVDFGYGGTVNIVPVVPKFLSDICVFLPRKQGGVRVLVTLPKPAMDNLKEHMNPLSF >A05g502500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7757200:7761626:-1 gene:A05g502500.1_BraROA transcript:A05g502500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKTPALLHVDGKEHERVPATLRLGPSTAGVGTEEGTSEKDRIPVKKRLGRPPKQKPLGVMAATAGTRPVMKRKVANNRASPKRKIEATLPSAAPQIYGIKPSKEGAPDKRIWLKHSSGVYSTKTGYQAAVEGKTEEPTPREEGSYQWMRKIWDIKSPMKLKLLIWKAKHRVGLKTCNIAAWIVWTIWIARNHKIFREKVFSEQEVITKALVEAKEWEAAQIQPKATETGRVKRMEEQRLDIVCKSDAAWNEEKMAVGAAWFFTTQSGGQVRSDSEIFTHVKSPLVAEGLAMLTAMEQALLWDYKQISFESDSKILVTAIQEGSNISDLHGILSDIRTLSECFSSVSFHWVNRSSVMAADMVGKQTLKAFVTNP >A06p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7353123:7355216:-1 gene:A06p016440.1_BraROA transcript:A06p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHLTEHLKTFVDVTRSPTHHAESLKAIATSLEKGVVEMDLYLTTTDDVVRARGILLLAVMLDHLKSKPLDNAVVHSLIGFFTAKLALLKRKNVVAGVVTAIDAEAVAKEIAQSIQLCFEQLECLLEQYPDAMINLGDLIVYATCEAIDGEKERRLLGKYCDLPCVPECLMIEENTKHKRRSIPESEQQLVEKPTIGDVKKANGKLVVDMEKTQKKIKQISEQKDFENQARGSLSKRESHDFKGNSPYKIVQFVHEEEIQC >A02p054740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:33214064:33214327:1 gene:A02p054740.1_BraROA transcript:A02p054740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVYMVMALIMMGFVIQACNGMNVNVDDGDDIKPTEDSRFFCFRVCSIRCGKQNKPCYQECLPKCGLPRRLAKPTTSPSSPSSTV >A03g505120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18179973:18180970:-1 gene:A03g505120.1_BraROA transcript:A03g505120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHDYLIGVMSNLARKAQARHRRRADTRYGTDDALTRNQHREPTIGSPGTPEFTDSTPSPPLTVFDRSEPKNGEYHSTNRDLIRRQSSQSPRGRHLWGPSPLTLIKLRSSSDVVTTKPHKPTPTTAELNVASTSWGPEPAMTELMEPPPPGDKTKQPQKALHRTFLTTTAPLQQNQIHETTFFHSSGKAEEGGDVSKAKIKDFFGGFRGSGDGTRAHAPAVHRTRRWICFSSLSSLSSFLNHFYEFY >A02p023880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11910972:11912816:1 gene:A02p023880.1_BraROA transcript:A02p023880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRQVLSDCCKDMDLLCGEDSSDVFSGESTADISSEEIDFWPEESIASCLEDEGHFVPGLDYLSRFHSQSLDASAREDSVSWILKANVQAYYKFQPLTAYLAVNYMDRFLYARRLPETSGWPVQLLAVACLSLAAKMEEVLVPHLFDFQVEGVKYIFEAKTIQRMELLVLSVLDWRLRSVTPFSFLSFFAYKIDPQGTSLGFFLSHATKIILSNIKEASFLEYRPSSIAAAAILCVANELPSLSSAVNPHESPETWCDGLSKEKIVRCYRLMKAMAIENNRRNSPKVIAKLRVSVRAASTLMMPSEEPSFSSSASLSSPCKRRKLSDYSWVGDDNSSSE >A08g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10031505:10032699:-1 gene:A08g505820.1_BraROA transcript:A08g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPWTSQKLNLDEMDEDFADGLLPICIKKYQQKESKSWSYQGAFNNTLISSQKWLALDRGYIKSHSASLDDPFNPSQFQKCLGIRATLAGLFSFFLSSSHIFIIFLFLFLFEFGLFLYSLVIAKKSRGSGGRETLLAEEKPSLRTVKADPYQNSLHLSFSFLFPQSLFWVLIAEFDCLSSFEPVKEL >A02p028770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14493224:14494781:1 gene:A02p028770.1_BraROA transcript:A02p028770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVMRTEDSDTLSVKELGASLPPPPPPPPPPTYKPSAQGFVDFYPPPYQDPLTDWGPPPSGRISWSPVAIKLPSTSILLPEPKPGKRSLYMQSPTPSDLVYGRTYYHTYTPSPTYSPTAPGGYSYSQSQDAMHAKPLLFAKIALHCYNLEKGTHFERLGLPRDYDQTLEARDPARNYTCRFETNVRLATENKDCFHVVTTRCRPLPPPPPPGEDGFQCGFDTLSVDELFKGNMPDWLPDDYATSTQLLLQYYEMKESEVEQAKEWLHLYAELALYTKKQTDPFMFERSKPLELGKVVVQTRGVVDSLKEVDLLDNAVFFITFKTSCGGVWKGIIRRTRDGIPEHLSLEAKCFM >A06p034210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18471450:18473848:-1 gene:A06p034210.1_BraROA transcript:A06p034210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDTHNTWAFVFGLMGNVISFAVFLSPVPTFYRVWKKKTTEGFQSLPYVVALFSATLWLYYATQKKDVFLLVTINSFGCFIETIYISIFLAYAPKKARMLTVKLLLLMNFGGFCLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTRSVEYMPFSLSLTLTISAVVWLLYGLALKDIYVAFPNVLGFALGALQMILYVVYKYCKTPPHLGEKEVEAAKLPEVSLDILKLGTVASPEVRQANKCTCGNDRRPEVEDGQNAKNGKQSSSASPT >A09p067650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53288638:53290437:-1 gene:A09p067650.1_BraROA transcript:A09p067650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVVFQQSLPVVLDIDGVTSAVVSPLSSPKLGIRRPTASVSGGLSTSPVEDDFDSSIPVYVPTIRSGSYADIGPKRSMEDEHICVDDLSSQLGCLFQLPKPSAFYAVFDGHGGSEAATYVKQNAIRLLFEDDNFPQTSEVNSVYVEEVKSSLRNAFLQADLALAEDCSISSSSGTTALAALISGRLLMVANAGDCRAVLCRKGKAIEMSHDHRPINIPERIRVEESGGVIEDGYLNGELSVTRALGDWDMKRPHGSNSPLISEPEIKQITLTEEDEFLVIGCDGIWDVLTSQEAVSVVKRGLNRHGDPARCARELVMEALRLNTFDNLTAVVVCFVTVDREGEAVVPLEKKRCCSLTPEAFRSLRNLLDG >SC236g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:32424:32779:1 gene:SC236g500060.1_BraROA transcript:SC236g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSVTLSQRPETIFFSDCTFSFVIWSTIASRTNLHVSQNWVDTITKLRQLPRDNHGKKPSPASINFPISICDNRFNRQPDPEPYSEHKRFKTFVLQQL >A08g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5953149:5954478:1 gene:A08g503140.1_BraROA transcript:A08g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDMLLLGFRVSIQSHQYGISWLVLPPLPAVLGRHKQHDKSIIGCTCPEMAQTTMKSLCNRVCYSLIVLSLSINKKRVKDYAIKALVNKIDYLGSVTVTYKVNEFVDEKVDQVAGTELHHFLQFHLQLPYMYYIPEAEKALGGDLQPEQSPSSASKTGDSPSNAKEVDEANSPSLV >A09p034180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20639303:20640033:1 gene:A09p034180.1_BraROA transcript:A09p034180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPEGVTIKVHAKVIEVEGPRGKLTRDFKHLNLDFQLIKDAVTGKRQLKIDSWFGSRKASASIRTALSHVSNLIAGVTQGFLYKMRFVYAHFPINASISGNNKSIEIRNFLGEKKVRKVDMLDGVTIVRSEKVKDEITLEGNDIELVSRSCALINQKCHVKKKDIRKFLDGIYVSEKGKIAVEE >A09g514000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42262540:42264066:-1 gene:A09g514000.1_BraROA transcript:A09g514000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELEERFVRVYKRLKNREIKRLKRLERLRE >A01p010380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5072482:5074106:-1 gene:A01p010380.1_BraROA transcript:A01p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLLTCGTTRVAFSSSSSSSSSWFKSSDRSFRLYESPASSRSELRRRSGKRKCLSLEKSRGVKASSSGQSSGEVIDDGDAAAARGIAVPSGDVTSVGSFGGGGLAGPSGEVTSVGEFVGGSGGDWDKIGAVVRLSYGIGIYCGMAVAGRFICEVAGIDYTGGFNASLDAIIAGLGYASPPIMSLLFILDDEVVKVSPHARAIRDVEDEELRGFFHGMSAWQFILVVTASSVGEELFYRAAFQGALADIFLRGTDLISDPRGMVALTGLLPPFVPFAQAFAAAITAALTGSLYYIAASPKDPTYIMAPVLKTRSARDELKKLFAAWYERRQMKKIYSPLLEGLLGLYLGFEWIQTNNLLAPIITHGIYSAVVLGNGLWKLHHHQQRLRLRVHKLEAEGDNKGTR >A10g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1267136:1269258:1 gene:A10g500420.1_BraROA transcript:A10g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVRETGRAGRCLLREWRSDLPTVLPIRAKRLDIFPRDVQKQISEAKKMGTLPDLSAMIAAQLGLVSGDGPSTAVPRSGEFPPSEAGGAGKGKKRKRGDGSGAGRSTEEASDVPPSSEPHKKKKKKRTKKKSVSEESGNLEGPTETEGGDVEEEGLHPEEEAFATGALGEEDDEEEAVDGQEPEASLGDIGSDNLEEESEGSPLLIRRQASRETDLLSRLSEPSLPLVRARPSIPWALWDG >A06p009460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3319701:3320458:1 gene:A06p009460.1_BraROA transcript:A06p009460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYYSVEPSEKFHEDEYLDGSLVPRLETELWKAQSRIKELETEKFGSTENIRSFIRDQRISREDNSDPLVDYLKKKLSKEREERKRANAENAMLKKKMWDMESSVNRLRRERDTLEQVCEELVTRIDDLKAETRRKWDETEEEMQMLQMAELWREESVRVKLMDAKLSLEEKYEEMNWFVDELEKCLLMARKVGPEEMKLKRVERLIKMARSLEDAANYFEFVSLKDN >A01p016980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8418244:8419334:1 gene:A01p016980.1_BraROA transcript:A01p016980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bnm1 like protein [Source:Projected from Arabidopsis thaliana (AT4G24640) UniProtKB/TrEMBL;Acc:Q9SB59] MATFSVLSTFAAAAITLQLLLVPASASPHMKYIDAICDRSHDQDYCNPLAEAVMALTIAHAEKTAAFVAETGKTDQTFTEYHKAYLAVVADLKSANLKLKQSPDTAHYDVRSSTDQMKRVEGLVASKNDQASTTLKEMTVQMEKLLDLAASAADAVDDDDENIHRRV >A09p058620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49318464:49320170:1 gene:A09p058620.1_BraROA transcript:A09p058620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCISFLALFFSSYTITPTSSTSGSLQDDFINCLHKNTNVDFSLEQTFFTPGKNVSVFNEVLESTAQNLRFLARSMPKPVFIFNPTDESHVQASIICSKKLGVHIRVRSGGHDYEGLSYVSEIEKPFIVMDLSKLRKINVNIRDNSAWVQAGATVGELYYRIAEKSKVHGFPAGLCTSLGIGGHITGGAYGSMMRKYGLGGDNVIDASIVDAKGKLLNRSSMGEDMFWAIRGGGGASFGTILAWKIKLVPVPKTVTVFTVTKTLEQDVGNKILSKWQVVADKLVEELFIRVIFNVAENNGSKTVTMSYNALFLGNKGMLMKVMNKSFPELGLTSSDCVQMSWIESTVYISGFPSETPTSILLQGKSPNPKINFKAKSDFVKNPIPESGLIGMFSKLLKEDSPSMIWSPYGGRMSEVPESEIPFPHRKGVIFKILYVTIWPNSDKRPSRHINWIRDMYSYMMPYVSSNPRQSYVNYRDLDLGQNMKNTKSNFKKAQVWGTNYFKGNFDRLVRIKSKVDPDNFFRHEQSIPLLPVQG >A02p013440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5890628:5890831:1 gene:A02p013440.1_BraROA transcript:A02p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNFITKLVLSRETLGESPDLIQTHAALFRFTKPCRLMMMRRAGGGGGNSRLKNLFDMEFSLVTW >A04p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22392011:22393297:1 gene:A04p039550.1_BraROA transcript:A04p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWSSVLKIPLIATSSNYYRVAASLCLSEVPSANAVFFHGDRVRDTGNTVIERLYDLRKVAEVIVSKFGNSVNAWVVEASVFNGPFAVYKDFVPTVNRYGEPTTSYSPVGLPASSSIVSLLSSFLQEGGVVMNQFLSEMSSLDANSASEHEEIGTIPASKESFLNSVSEVHYIDVGLNSSGAYITDQNVVQRISQRLAGGGSSVSVFVHGTPRQWRDEQRGWILEEKDELVRLLKSEGENSGGKLQVHERFYFADRVPDLQMHFEIIDAMDVSSA >A04p025750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15600113:15601957:1 gene:A04p025750.1_BraROA transcript:A04p025750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAP2 [Source:Projected from Arabidopsis thaliana (AT2G26310) UniProtKB/TrEMBL;Acc:A0A178VYJ7] MDSDDGNVSHILPKRSLLHVPGSLALEAFSCITKFTGAMLCWWNNNNNLQKMEISNYQLRSCDDDSSVKSSCYHPNFSLFHIHYGSKEDLIPALFSKSTIQHFVNEAERLHSCSVLSLAVSLMSVNGLGLSLGSDDVQVSNNVEHRSCQVGRSSGLSFHKLDAKRPTVEPRTGIEFPVSLKKNASGLASEVLVATGSRTMKIVKIKSLKVYAFGFYVHPSSVCQKLGPKYASIPGSKLGRCDDLYKDLLREDIVMSVRLVVNYNGLKINTVRDAFEKSLRARLVKANPKTNFNCLNDFGSFFTQDIPIPAGTVIDFRRTADGQLITEIGGNMVGAVRSKDLCRAFFDMYIGDVPVSEQTKEEIGRNVVGILKSCSKKSI >A05g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4983748:4984036:1 gene:A05g501330.1_BraROA transcript:A05g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVCFPGDKATPLNGKPGATVSINKFIHRIISLDDVKVVKNAMNMTVNDVLFGIVQAGLSRYLNQRYGKSL >A06g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14030059:14033555:1 gene:A06g504500.1_BraROA transcript:A06g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPPRDWWGVWENAAAVAFKNGRLRIEAPVRLSHAESWREGAVIHCKGYRLHPREPDAECTRAGGSTGTQQEKGRVGPLELCRTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPRNPGWKWGRGGCFNWYQSQTALVNVEGEFEQLRVSGQDQINQKVLVPQCSGVSEGEWKAGHTSWREASRGRHRPTPGRGGREGETDARPRRAQLHGGIKPCKEMDFWHSDITVKLVPYIKKKGEVWARVPPSGRGPADEAGANRGAKGFTDETVGENRFQIVRTKLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVRRRILMPHFQRAAEYRRLYQGQGTFQFAPEVYTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRDAQGRFRALMQRARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSEWEEEPASSTGSGRVAGPKPEGEQKSPQVGCHFVLPLFVFGNSPQFWDVKFELKIWNSGRIPNKRGRIQASTSFSLIRNLEAKPCREFPETGNPSRRLSLSLLVPPLSSFSLFAASLLSLSPRRVSFSLSRPRLSLLAVSSREWWWWPRGVCDTENGWRLKRKVRKSLRV >A08p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17458982:17461961:1 gene:A08p027740.1_BraROA transcript:A08p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYRLERMVEELDDLERRGIFTRAEISEIVKQRRKFEYRLKRPSPLKQDFLAYIDYESQLDELRRLRRKAVSFQSGEEKKKKKKSISDYAGVAKIVEIYRLATRRFKGDISLWFKYLEFCRQKRNGRMKKVLAQVIRFHPKVAEVWIYAAAWEFDRNLNVAAARALMQNGLRVCPNSEDLWVEYLRMELTYLNKLKVRRVALGEGEGSLVRDQKSVEDEQWKDENKELFMSLDDKEEKDKDGSDVEDDDEDASERVDIFKEKGSNVLQAIYGGALEALPSSFDLRRRFLEILEATDLAHSDDIRNTILSDLKRDFSSDPDYWNWLARNEMNGCLSVEFPNPQMQKAIQVFEEGLQTVTSSSMFEMYIKFLMEAIERSNGDDSSDSTGEFISHLISVYENADGTGCLTEELANDYVSLYLKLGRTDDALKLVEKLCSGKFAGSAKLWLSRVSIETRSLSSNSTPSKADLHSTFDLLSNALKNVPIAESESLWLTAFKIFAEQKKYFDKLVEMSILSVAKGNNGSDPLFSLASVVVKLVLQAKGIHRAREIYKRFVALQRPGLVFYKDCIEIETNLASQGDKDSLRNARKLYESAVASYSQDVELWKDYYSFETKMGTSETANGVYWRARKTLKESADFVVGS >A09p008790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4528351:4529863:1 gene:A09p008790.1_BraROA transcript:A09p008790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g63050 [Source:Projected from Arabidopsis thaliana (AT5G63050) UniProtKB/TrEMBL;Acc:Q6NM17] MVLLTHQLQGSHVLLPWSSPTWSKGLVIKRPVTTIRLAGRKEKSLRLKQSCCFSLGSPCSCGLKAKSFKVTSFKGGIQNSESGGRESGKKVTNNSVKLSYRSDDDENNVNSSPKAQNTSLSYTSEADDSVTGQPAIQKLFKKWLTLLRTQSPTQVADEALGGEEEAPQTTKPETETEIKKTESLQSTKSTLWTLFWSLDASVKIPLLLFVPAFLAVNAIYGAEVTKELSPLWIAGPLIVALYVKMFQGLCTLYAFCFKQTAKVIRNLPSYYIMAYHYIAQGKLRDDVRGLVTRPMVAVKNADYKEITGAKLKQLREWLVEKYLDFVESIWPYYCRTIRFLKRANLI >A04p029510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17618996:17620496:1 gene:A04p029510.1_BraROA transcript:A04p029510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEDSSCFDPNPTLEATVDNNGGYYDSTTATEIDFTVRQQFQPLVMIAGSTTNTFSDDLKLPTMDEFSVFPSVVSSETQNQISNDNNHLIQQMIHDSNWAASVDNYGFFMNPSDQNTTITPTPDLLSLLHLPKSSVPLPSSNLSDIMSGSCISYDPLFHLNLPPQPPVILTADDYSGFFLGTDTNITNTQRDQQNLGDENNNDQFDSGIIEFSKNIRRKRRGKQKNKPFTTERERRCHLNERYEALKLLIPNPSKGDRASILQDGIDYINELQRRVSELKYLVERKRCGGRHKDNNEVDNSNNNNLDDLNIVNDEDDDDENMDKKTESDVIDQCSSNNSLRCSWLQRKSKVTEVDVRIVDDEVTIKVIQKRKINCLLVVTKVLDHLHLDLHHVSGGQIGEHYSFLLNTKINEGSTIYASAIANKVIEVVDQHYVAALPINNY >A02p036580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21013656:21016831:1 gene:A02p036580.1_BraROA transcript:A02p036580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEAEMGAVAVTAPPTPGTPGGPLITGMRVDSMSFDHRKPMPPCKCLPVMGHIWGQPDTCFTDFPSPVVSLTRKLGAEFVGTFILIFTATAGPIVNQKYDGAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWAHVPAYIAAQVSASICASFALKAVFHPFMSGGVTVPSVSVGQAFALEFIITFILLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPALASGNYRSLWVYLVAPTLGAISGAAVYTGVKLNDSATDPPRQVRSFRR >A10p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:866298:872431:-1 gene:A10p001670.1_BraROA transcript:A10p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSASKRNSPPETSSSRPTKRSKATAAEPAGSSSASDAPIENNQNPVSVPGSESGEPSKLGTNDPVIANDASCPDADTNQQVQGLVTPTPTGEVVAEADKSKKAEKLWLKRTKAPWAKLISQYPQNPHRVMKGPVFTVGRRGCDLSIKYQSMPNVLCELRQMEQQGGPSVASLEIIGKGVLVEVNGKIYQKSTCVHLRGGDEVIFNTPVKHAYIFQPLKDENLAAPDRASSVSIFEAQSTAPLKGLHVETRAGDSSSCSLLASLRKIHNLPFLPPPAKNGKKQQNPEVSVLPSSSDDCIPDVDMNDADSSNDHAAIASADKSVVSPSLTANDDLNADGNGMDPSQETEEGVSIPGHGYEIRPILRLLDLRGNVSKILKDDGREVKEVPKECGSSSASVATRRQAHKDFLRAGVLNPQDIEVSFENFPYFLSGTTKDVLVASTYVHMKSESKFAKYASDLSTVCPRILLSGPAGSEIYQEALAKALAKNYGAKLMIVDTLLLPGGPTAKEADSSKDSSRRERLTVIAKRAVQAAHAAVMQHKKPTSSVEADITGGSTLNSQAVMRQEVSTATSKSYTFKTGDRVKFVGPATSSVTSFHTAHSAILSRGPTTGLQGKVLLAFEDNVSSKIGVRFDRPIVDGNDLGGLCEKDRGFFCTATSLRLDSSSSDNADRLAINEIFEVLTNESEKGSLILFLKDIDKSVSGNTEVYVTLKSKLEKLPENVVVIASQTQLDNRKEKSHPGGFLFTKFGSNQTTLLDLAFPDNFGGRLQDRNKEMPKSLKQITRLFPNKVTIKLPDDEALLLDWKEKLERDTEILKAQANLTSIRAVLSKNRLECPDIETLCINDQSLPTDSVEKVVGWAFSHHLMTCSEPTVKDNKLIISAENITYGLQVLHGIQNENKSIKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMINWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDSANRSKILSVILAKEEMAEDVDLEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKERSVAQAENRPMPQLYNSTDIRPLNMKDFKTAHEQVCASVSTDSSNMNELQQWNELYGEGGSRKKTSLSYFM >A09p014060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7236732:7239375:-1 gene:A09p014060.1_BraROA transcript:A09p014060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVEHFTSDSRIEEKKMKDERDDELLWDGGLVVPKSKEADGFDAPDINFLGHSFRDYENGASERQKGVEEFYRMQHIHQTYDFVKEMRKEYGKLNKMDMSIWECCELLNNVVDDSDPDLDEPQIQHLLQTAEAIRRDYPNEDWLHLTALIHDLGKVLLLPEFGGLPQWAVVGDTFPVGCAFDSANIHHKVAKENGTTLPHAGLFIIRYHSFYPLHKAGTYTHLMSEEDKEDLKWLHVFNKYDLYSKSKVQVDVEQVKPYYISLINKYFPAKLKW >A03p065520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28932906:28937221:-1 gene:A03p065520.1_BraROA transcript:A03p065520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGERGGWERSESRYCGVETDFSDDVPSLLSFNISTGGFDYVLAPLMNPSYRPSLVEGKGSETQVLPVSGSDLVLAPSQWSSHVVGKISSWIDLDSEDEVLRMDSETTLKQEIAWATHLSLQACLLPTPKGTSCANYARCVNQILQGLTTLQLWLRVPLVKSDGDASEGLTDSWELWNSFRLLCEHDSKLSVALDVMRTLPSETSLGRWMGESVRAAIISTDSFLTNARGYPCLSKRHQKLVAGFFDHAAQVVVSGKPVHNLPKASDSNTEGTQRHPLRSYLDYVAYLFQKMEPLPEQERIEVLGYRDFLQAPLQPLMDNLEAQTYETFERDSTKYIQYQRAVAKALVDRVPDEKASELTTVLMVVGAGRGPLVRASLQAAEETNRKLKVYAVEKNPNAVVTLHNLVKLEGWEGIVTIISCDMRFWNASEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPVTATKLYNDVKAHKDLAHFETPYVVKLHSVAKLAPSQSVFTFTHPNFSTKANNQRYKKLHFNLPSDGGSALVHGFAGYFDSVLYKDVHLGIEPTTATPNMFSWFPIFFPLRKPVEVHPGSPLEVHFWRCCGSSKVWYEWSVSSPTPTPMHNTNGRSYWVGL >A02p042020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26355654:26357089:-1 gene:A02p042020.1_BraROA transcript:A02p042020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSLSYSGLDEIDTGLQAQRSTPIQSQNRYMERTAEHRNRMLPLGNLTSENQTPSAPRTTQQAREPATKGQHSYSINNSPQNKSSTYDPIKHCAFHNRKGHSTEECRAALRNQRGLRRRGGRASEPKSNRKTKGPSNKRSRETEPESPSSPPPEPKKRVDMISWGSKNHTPDGIEKQTEGKVCIDITIAVRTLEKLSEATPLPSIT >A09g514870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44286849:44292556:1 gene:A09g514870.1_BraROA transcript:A09g514870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKKLTPLMMRDPSATTLGLADSFDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPACDPPMHDPNGLGG >A02p032890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17307720:17310899:1 gene:A02p032890.1_BraROA transcript:A02p032890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIKLGVEVISAHGLFQRDKQNSCSPFVELKFDNQIFRTTTKPNDPNPVWHESFYFLVSDPSFLSTRTLEAHVFSYQDETDAKPFLGKVRVNGTSFVPRSEAAPFNYPLEKRSVFSRARGELGLRVFITDDPSITPSVSESPRAYIPSPRREHVSSLITDDADERRELKKPITRTFYNATPSVNQQQPATMNYGMHEMRAAAPMPPRVVQYNGPTPPSDFSVKETSPFLGGGQIIGGRVVRGTKRPASGAYDLVEEMRFLFVRVVKARDLPDRDLTGSLDPYVEVKIGNFKGVTRHLDKNSDPEWNQVFAFAKENLQSNVLEIVVKDKDLVLDDYVGTVRFDLHEVQSRVPPDSPLAPEWYRLENKRQEKKKRAEIMLAVWEGTQADEAFGDAVFSDSLTSSDSSDIISANLRSKVYHSPRLWYLRVRIIEAQDVIIVSDKSRLPEAFVRIQMGNQMLKTRVSQRTFHPTWDQEFMFVVAEPFEENLVLSVEDHSEPNRDEPVGKAVIPLAAIEKRTDDKKFRSRWFHLEDSISDAMDEDKAKKVKFATRIHVAAALEGGYHVFDESTYYSSDLRPTAKPLWKPPIGVLELGILNANGLHPVKTRDGKGVSDTYVVAKYGHKWVRSRTVINSLSPKYNEQYTWEVSDPATVLTICVFDNGHFGEGKNRDQTIGKVRIRLSTLQSGRVYTNAYPLLVLQPSGLKKRGELHLAVRFTCTSVSNMLMKYTKPLLPKMHYTQPLSVNLQEVLRVQALNIMVARLGRSEPPLRREVVEYMTDAKTHLFSMRRSKANFYRFTAVFSGVMSVWKWMGEVCSWRTPVTTALVHVLYTMLVMFPEMILPTVFLYMAVIGLWNYRFRPRFPPHMDTKLSYAESVNADELDEEYDRLRIVAGKIQSVVGDIAAQGERVQALLSWRDPRATAIFVTFCLIIAMVMYITPFKLFALLSGYYFMRHPRLRHRIPSAPLNFFRRLPAMTDSML >A02p040430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25576212:25576963:1 gene:A02p040430.1_BraROA transcript:A02p040430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g12880 [Source:Projected from Arabidopsis thaliana (AT4G12880) UniProtKB/TrEMBL;Acc:Q9STZ8] MARFTVLIVAAILAFLVAAPVPEVTAKKYLVGDKKFWNPYINYDTWVQGKHFYLGDWLYFVYYRDQHNILEVNKTDYERCISDHPIRNYTRGAGRDIVPLNVTKQYYLLDGRGGCFKGMKLTVTVEKLPPPPKSAPVKH >A06p047610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25271271:25273030:1 gene:A06p047610.1_BraROA transcript:A06p047610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVVDLSRYLQSSNSESDPQLGTDLLDSCREVSRILKETGALLVKDPRCSAEDNDRFIDMMETYFSKPDEFKRLQERPNLHYQVGVTPEGVEVPRSLVDEEMQEKFKTMPNECKPHIPKGPDHKWRYMWRVGPRPSNTRFKELNSEPVIPEGFPEWKEVMDSWGCKMISAVEVVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLSCYNEEGTIFAGYHYDLNFLTIHGRSRFPGLYIWLRNGEKVAVKVPVGCLLIQTGKQIEWLTAGECIAGMHEVVVTSKTKDAIKLAKEQNRSLWRVSSTLFAHIASDAELKPLGHFAESSLASKYPAIPAGEYVEQELSVINLKGKKSSS >A04p008600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8111357:8111983:1 gene:A04p008600.1_BraROA transcript:A04p008600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCGNHGGGKEAAVRRICAAVIAFIIIVLITIFLVWVILRPTKPRFILQEATVFAFNLSQPNLLTTNFQITFASRNPNSKIGIYYDRLHVYATYRNQQITLRTAIPPTYQGHKEDNVWSPFVYGTAVPIAPYNSVALGDEQGHGLVQLMIRADGRVRWKVGTLITGKYHIHVRCPALINLGNKAAGVIVGDNAVKYTLVTKCSVNV >A06p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1514035:1516155:-1 gene:A06p005180.1_BraROA transcript:A06p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKGELVWVRLNPSDSWIPGRILDPSEPLGILVSFFDLMEPRYVPKPCLRSFDRDFETLVADSLRFRRFVNRALQTHFWHISFGLWCSCQSPIDSPYLERGYSLPCSPPLSSDSALSFVREMAVSRGVPLRRLAETNGSTAQILSFRRYAVGLNRSESLYEEVIESAKLMDGVEEPDWYLDPSNKIDCIDDMVSMFPETEDADVDNGSDPLPKDIHCCSVDKLVQSWNTRSTVILSDSSVMKACGTMARISNEEEAAHSLKSRERCQVEQSICLLNSESRVEDIIVEDTTTPAAQREAPPEVMIETHDDDITGSDDRTMTSAKQLSPLLDRSNSKAFLAKPVSFVVLEACKNLACSVEETSADPRSKLDGSVKSANTLDEDQSDRNHSNGTRDNCHAGQVSLHITGSSNKEFGSDDVGIAPVEQLHDLEVATTVENQTSAADNIRSTGAKRKASRDKASGNSKRRKKGSQQSIAADKPQNLQVLKDERFADPKCLRMKFMSTHVNLPSKSELLKRFSVFGKIDASRTDVNPGGRSAKVVFLQSIDAVTAYQFARSKKFKLGRSKVVYRLDASEGDIEVNKAPLSQKPQQSVPSPRSCLKKHDSVDKEEGRSHLKVTFETTNT >A03p062650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27191717:27193346:1 gene:A03p062650.1_BraROA transcript:A03p062650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEEIQCGSMLFRQEELQEMSGVNVGGGYVEVMCGCTSHRYGDAIAKLRVFPNGNLEITCECTPGCDEDKLTPAAFEKHSGRETAKKWKNNVWVINGGEKVPLSKTVLLKYYNEALKKCNRSNRSQGSKVFHRDEFVGCIECGKERRFRLRSRDECLLHHNAMVDPNWKCSDFPYDKITCEAEEERGSRKVYRGCTRSPTCKGCTSCVCFGCELCRFPDCTCQMCVDFTSNVKA >A05p052970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30460153:30461676:1 gene:A05p052970.1_BraROA transcript:A05p052970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLPYLLTLLLVAIFHTLPPLTSSFPISPDFPAVFNFGDSNSDTGELSSGLGFRLQSSYGQSYFFKPPSSGRFCNGRLIVDFLMEAIDRPYLRPYLDSVSTQSYRRGCNFAAGGSTVQKANAASISPFGFGVQVSQFITFKSKVLQLLQQDEDLQKYLPSEYYFKSGLYMFDIGQNDLAGAFYHKTFDQVVVLIPTIIDIFQDGIKRLYAEGARNFWIHNTGPLGCIAQVVSLFGRDKSKLDEFGCVIDHNQAAKLFNSQLHGLFKKLPQQYPDSRFTYVDIFSIKSDLILNHSKYGFDHSILVCCGTGGPPLNYNDQVNCGSTGKSSDGTIITARACNDSSKYVNWDGIHYTEAANRFVALHILSGRYSETALSWNL >A06p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4225645:4226453:1 gene:A06p011440.1_BraROA transcript:A06p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQQDELQSSSRRINLPPVGITSNIFPWICWGLWLSRNQLVFESKNLTQQQVINRAIVSCKEWESAQLTLPKPIITKPPPRMPPALTYDTVMCHTDAAWNKDHEVAGLAWICSSSDSIEMSRGSSIQRAVSSPIVAEALAIREALLHASALSYKRIWL >A05p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10586694:10590186:-1 gene:A05p022220.1_BraROA transcript:A05p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGYFKPNKHLIKFIKIRLNIQRKKKNAMVSFLKTDIVEILKTGQEDDERAYIKVEELLEYRQMIASYDLIERCCDCISSNLPLMLNQRECPEECREAVYSLIYAAAWVRKVPELKDLRALFTRRYGDSIDAYINQELVEKLVWRKPSREFKVQTLQEIAQEAKISWDSLSKGSLSSSSSLSSKRRESVKKIFPYKKQGAQNDEQGHDEKKDESTVQEKSRLRGPPRQCESHKHKQCFKPKFYKKSKSTTSYMKIRLDIVRKKRIAMVNFLKMDIVDFLKNGLEYNAYTRAEMLLEELRIISCYDIIERFCDSVSENLSLMLKKRECPEECKEAVSSLIHAAAWVPDVPELKDLRAVFTKRFGSFIHSSVNHELVEKTELRSRPSRELKIQTVKDIAKEFSIDWDPTALNLFLLGQTSSSQIDDKVETGTDDSKIREEKSIVNDQSENESVLSESWTRDSLSKGSLSSSSSSSLSSPRRDSEKKKILPYGLISPPRTKPGGRNN >A05p044440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26678712:26680854:-1 gene:A05p044440.1_BraROA transcript:A05p044440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETAIESFKKFQHTWIGQLQHHLNHLRSVQNHHRNSATGDEERLREAVQRVMELCREYHRAKLATTEKDVVGVMAAPWSSALERSLHGLVIGDQLPCFIWFTPSLVFCLSLVSLIFSGGFVPVISVIYLLLNSGRKVSELQCETVKEENAITEELSEWQDDASELVMGTVSNLDQRIRRLAEIVHRADDLRLRTITGVVELLSPLQQAEFLIAAAELRRGVSGWGSSHDRRRSANV >A03p047880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22169098:22171126:-1 gene:A03p047880.1_BraROA transcript:A03p047880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVTRLVFAALLCLLMLLHLKESSASSSSSLCQSDHFTYTKPSLFAINGDPVHIVRFCEAVQVHKAKGCVFGDSFIDDFCTVHHLLGRRFLKEKYVEEDSGHVQVSLAASGFLLFCCAICCPCFHKERKANSHEVLPKESNSVHQGSSFEMSPSSDMISSSSSRVPESPSRYAMSPRPSARMGPLNLTMSQIIAATDNFSDSNQIGEGGFGIVYKGTLEDGQVVAIKRAKKEHFENLRKEFKSEVDLLSKIGHRNLVKLLGYVDKGDERLIITEYVGNGTLRDHLDGTNGSILNFNQRLEIVIDVCHGLTYLHSYAERQIIHRDIKSSNIILTTGMRAKVADFGFARGGPTDSNQTHILTQVKGTVGYLDPEYMRTYQLTAKSDVFSFGILVVEILTGRRPVEARRPHDERITVRWAFEKYNEGKVFELVDPNARDRVDEKILKKMFSLAFQCAAPTRKERPDMEVVGKQLWAIRSSYLRRSVEQK >A08p030360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18619835:18621873:-1 gene:A08p030360.1_BraROA transcript:A08p030360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEAAASASEASVTELAQTLEPNQASIEATVESAAQGGAESSCNNNNNAAESAVTDVVSEEEREKTLEFADELTEKGSVFLKEMDFAEAVDCFSRALEIRVEHYGELAVECVNAYYKYGSALLEKAQAEADPLGNMPKKEGETQQESSDKNAVNGEPLAASVVSGDPERQGSSSGGQEGSGGQEQGEAGEDSQDDDLSDADADEDESDLDMAWKMLDIARAITDKQATDTMEKVDILSTLAEISLEREDIETSLSDYKNALSILERLVEPDSRHIAELNFRICICLETGCQPKEAIPYCQKALLICKARMERLTNEIKGPSASATSSTVSEIEEGIQQSSNVPYIDKSASDKEAEIGVLSGLAEDLEKKASELNHSLEDLKQQAENPKQLLAELMGMASAKPNAGDKVVPAVAGEMSSSRMGTANNGKDLESPTVSTAHTSAAGGGTASSSGVTHLGVVGRGVKRVLMNATSAESDPSKKLAPESSNKADGNSS >A07g501290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2720416:2720780:-1 gene:A07g501290.1_BraROA transcript:A07g501290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDWKQTSPSFLPPSLSSSLPHSSPPSENPNFLPLISNLSLCLLRFIDDKVSRVNSHLVPTGKRRFQDRFGS >A05p046080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27422497:27427699:-1 gene:A05p046080.1_BraROA transcript:A05p046080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-box-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G13445) UniProtKB/Swiss-Prot;Acc:P28147] MEDANAIRYRCHMCSRSVNPVIEDEIINCNFCQSGFVEEMDETPDQATNDHPHQASESLWAPILLGMMNDHDQHQRASVENEDDNNDDDDDDDDGQINDGEFDLERHLEEIMRRRRRHSAAILDLLQGIRAGLSVESENNNDNNNNQDNELVVLINSFNQRIRIQDSVDAASAVPSGSLGDYFIGPGFEMLLQRLAENDPNNRYGTPPATKEAVESLETVMVEEGLVQCTVCLDDFEIGVEAKEMPCKHMFHSECLLPWLELHSSCPVCRYLLPTGDDDGEAKTDADTSSNVSMENNGTSVDSSSNNPSAVMSEKPPRIADIMRVSSHREVYEPCDDSFALVDALLADQTNLINHNPNLCMEIGCGSGYVITSLILLLKSKLPNVHYLATDTNSIAARVTTETLEAHGVKAEIVCTDIASCLEERLAGLVDVMVVNPPYVPTPEYEVGMEGIASAWAGGENGRSVIDRMLPVVDRLLSEKGWFYLVTLSSNYPAEICLMMRKRGFSWRILVQRSTEEENLVILKFWRDGDEVSLEKETSSEFSRSLSSFMEKQRRQMTDQGLEGSNPVDLAEHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEYFSKMAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHAAFSSYEPELFPGLIYRMKVPKIVLLIFVSGKIVITGAKMREETYKAFENIYPVLTEFRKIQQ >A03g501510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4539851:4540216:1 gene:A03g501510.1_BraROA transcript:A03g501510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKVCMIMMTLIMIGCHLKACSGMENMSKEVHLTTMETIRPDDVPNPVCIRNCSVTSHSKKEFQDCIIHCG >A03g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11738222:11739053:1 gene:A03g503520.1_BraROA transcript:A03g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLKPDNTLTFKISSTHLSSIINNYQYSKMERKKYEKGDKEVTHEDDDFGDVSTKANQTSQYQDWMEVNCKNKEKTMINHENNSNTSELFYLSFLLNKNKVSTSYTDILTVNGVLYPTFEDAYNTFLFKLQFYF >A08p039450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22689036:22690653:1 gene:A08p039450.1_BraROA transcript:A08p039450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQKLKWTEEEEEALLAGVRKHGPGKWKNILRDPEFAGQLSNRSNIDLKDKWRNLSVAPDIQGSKDKVRTPKIKAAAFQLAAVAAASTPSPSSNHSPVPSLPRSGSDLSIDDSCNFMVDAKNAPRYDGMIFEALSSLTDANGSDVTAIYNFIVQKGHEVPPNFKRILGSRLRRLASQGKLEKVSQLKSGVSLESLALNKSFLSICVDFCLPFLCVWFFNNATIHTTDAELLQDE >A01p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8201528:8203133:-1 gene:A01p016580.1_BraROA transcript:A01p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLELLLTAVVALLFSFLVAKIVSVSMAGVNDGSSDQARETEIGVGDGFATVEELCFGLKVDAPVVQSERKLRAVVDENVEHVDRFGSGADRVVDEVEEAARDVELVVPTTEANEFLAAVSPGNVVAKEMIVRGEEETGYEREELVVSTAEAESTASISPENVITEEIMNRGQEEGTEGRSDCVENVKREVVVTESEKVRVEESNSVEKSEDKMELSIEEEDLDDDWEGIERSELEIAFAAASNLLEESGKGEDIGAEAKMELYGLHKIATEGSCREAQPMAVMLSARAKWNAWQRLGNMSQEEAMEQYLALVSKEIPDLVNTVGKMPETETSVDLPPNSGSLEDPTTLDTIGVATSKNGEDESSV >A09p008660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4470851:4474097:1 gene:A09p008660.1_BraROA transcript:A09p008660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPAPKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMGGGYEEKAKVVQTILFVAGINTLLQTTFGTRLPAVIGASYTFVPTTISIILSGRFSDTSNPIDRFERIMRATQGALIVASTLQMILGFSGLWRNVVRFLSPISAVPLVGLVGFGLYEFGFPGVAKCIEIGLPELLILVFVSQYLPHVLKSGKNVFDRFAVIFAVVIVWIYAHLLTVGGAYNGAAPTTQTSCRTDRAGIIGAAPWIRVPWPFQWGAPSFDAGEAFAMMMASFVALVESTGGFIAVSRYASATMMPPSILSRGVGWQGVAILISGLFGTGAGSSVSIENAGLLALTRVGSRRVVQIAAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFLGLSIPQYFNEYTAIKGYGPVHTGARWFNDMVNVPFSSEPFVAGVVAFFLDNTLHKKDSSIRKDRGKHWWDKFRSFKGDTRSEEFYSLPFNLNKYFPSV >A08p015600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10239843:10241958:-1 gene:A08p015600.1_BraROA transcript:A08p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVLATAVSNGVGKACCKSGPGYATPLAAMSGPPEKLIYVTALYSGTGREQPDYLATVDVDPNSPTYSSVIHRLKMPYIGDELHHTGWNSCSSCHGDPSASRRYLVLPGLISGRIYAIDTMTDPKAPSLYKVVEPKEISEKTGLAFPHTSHCLASGDMLVSCLGDKEGNAKGNGFLLLDSDFNVKSRWDKPGHAPKFGYDFWYQPRFKTMISTSWGAPKAFSKGFNLQHVADGLYGSHLHIYKWPEGEMKQIIDLGNTGLLPLEIRFLHDPSKDTGYVGSALSSNMIRFFRNSDDTWSHEASVVVISVEPLKVENWILPEMPGLITDFLISLDDRFFYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPVKAVREDGTTYQFDVPQIKGKSLRAGPQMIQLSLDGKRLYATNSLFSAWDRQFYPELMDKGSHIIQIDVDTEKGGLSINPDFFVDFGEEPDGPALAHEMRYPGGDCTSDIWI >A09p005260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2862974:2865219:-1 gene:A09p005260.1_BraROA transcript:A09p005260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKESSISMKSLERLVSDKASKLGNSFPCQICVVGFLCGICLTSLFLAALTSLGTFGLTAFSFSSVFPPCNSTSQVTNMGAHIDRTADENDDDDQVKLLVSAWDNLLLNNEDYFKKQGINKSDVPNAPHLENCKERTGVRERLDTPIANQTFPSWINGGDEENYPLTRRVQREIWNHQHPQDCANKSLKFLVADWETLPGFGIGAQIAGMTGLLAIAINENRVLVANYYNRADHDGCKGSRRGSWSCYFLLETSKECRKRALEVMKKKEAWESGLVTGKQNYSSKEIWSGRIPKLWGHPWSYMKPTTEINGTLISSHRKMDRRWWRAQAVRYLMRFQTEYTCGLMNAARHSAFGKEAAEIVLSTGDWRNKKKEVRSEIEEEVWSNHKPWVPRPMLSVHVRMGDKACEMRVAALEEYMHLADRIRDRFPELNRIWLSTEMKEVVERSKDYAHWRFYYTEVARQVGNTSMAEYEGSLGREMSTNYPLVNFLMASEADFFVGALGSTWCFLIDGMRNTGGKVMSGYLSVNKDRFW >A07p003600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3179882:3182867:1 gene:A07p003600.1_BraROA transcript:A07p003600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDQVKASHILIKHQGSRRKASWKDPEGKVIMTTTREAAVEQLKSIREDIVSGKANFEDVAARVSDCSSAKRGGDLGLPMFKVSCLMYLSAHKGPFGRGQMQKPFEEATYALKVGDISEIVDTDSGTRSVRVENVSDLATEREIHEFFSFSGDIDHIEILKDASEQSRLAFVTFTEPKALEIALLLSGATIVDKIVTITPAENYVPRRETMQQVVENTIPPTPTRQTADGSGGRAYVSKAQDVMATVLAKGSALGQDAVNKAKAFDELHQLRANASAKVSSFDKRVRLSEKFNVGISVVNEKVKSVDQRLQVSDKTMAAIFAAEKKLNDTGSAVKSSRYVTAGAAWFSGAFSKVARAGQLAGSKTKEKFNLAVSNIASKDTPIAV >A02p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10968950:10970088:1 gene:A02p022860.1_BraROA transcript:A02p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 6 [Source:Projected from Arabidopsis thaliana (AT1G69170) UniProtKB/Swiss-Prot;Acc:Q94JW8] MDSWSYGRSVFLPNGTIAENQSSMPGFEMEASDGFITKVASSSYLEENQSSNLSRIDFKFRSYENHDGTSSLPSKKPRASNSCSQSPPLCQVYGCNMDLSFSKDYHKRHRVCEAHSKSSVVIVSGVEQRFCQQCSRFHFLSEFDDGKRSCRRRLAGHNERRRKPSFYFLPGKRHKLLPQESFPGSFLYDHRASRLVSFKDEPKPAMEASGVSYIWDLQEAMPRSTCALSLLSAQSQQHLSANNPNKSFSITQPSQNLNHSTGDYHQMQPLRIDPGKKTKSVTSSSSCNGNGSSTVDLLQLSSHLQRIQQQQRTFTDDVKQEYNELYFP >A01p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29618964:29619495:-1 gene:A01p052850.1_BraROA transcript:A01p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSIIECPHPHQQHFQFCVEAPPESKAAAALRQCGLGYATKRGVRETDAYLIARDYDKAAYDVSMTVEAPLVSCLVSLMTLEFNMPSSYHTEVYLALTQALLKIIDRF >A02p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5911419:5913605:1 gene:A02p013480.1_BraROA transcript:A02p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSFVAVILAVALVCPRASSIGANWGTQASHPLPPDIVVRMLRENGIQKVKLFDAEYDTLRALGKSRIEVMVGIPNEMLSTLASSLKAAEKWVSKNVSTHINTDNVNIRYVAVGNEPFLSTYNGSYLNTTFPAMRNIQIALIKAGLQNQVKVTCPLNADVYDTSTTLPSGGDFRADIRDLMITIVKFLVENGGPFTVNIYPFISLYNDANFPVDYAFFDGVSQPVSDGGTFYYNVFDANYDTLVHALEKNGFGNMPIIVGEIGWPTDGDRNANVEYARKFNQGFMSHIAGGRGTPRRPGPIDAYLFSLIDEDAKSVQPGYFERHWGIFTFDGLPKYALNLGTTNTGALIQAKGVRYLQRKWCVMKPNVRLDNPQVGPSVSYACSLGDCTSLGVGTSCGDLDGKENISYAFNSYYQINDQLDTACKFPNISEVTKTDPSTGTCRFPIMIEPYYGGSAHVEVFFLSLVMAAAVTMISIL >A10g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10850178:10857847:1 gene:A10g504390.1_BraROA transcript:A10g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATKLRLELGRYIATERDDRSVATDRALATRARSLRSDRAGRSLGRYVATELGDRSLGYFFVKTSYWLFLRKLHLFFYYLFRKYDLRGFSGGNSVVTVFDPNKKCKDVEQEKDCEKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLAMMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAATVEESCIPLFRKLPNDHPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPTKESARPRIVVEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERHLARVQGEMLERQAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSERAHAEALIPPIDERIQGFWDSVPVSPDTEEVPTGFPDGGEEVDPPADAFGASPRWPICIYRDWPLGALNPFPLYAMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCIVRIEHLLRINWNALLSLCWTFLKIKRVIELRLFKTAGVFVGANRRTGCKIFGGRMIIFTIFGPEEAVDKSLNVFRRVLKFCFMPRVFLLGGRCRDVRLDRVDRGWVDAIFRMFRLSCRVGFGFMSSFDVRCVCVDRRFYFLRGQSCSQDFAVGRGVSSGLVELAEGVFVIPLIASPCVARGPALIRIDRIVMRPLEIFPLVMDVLVVTRVLLFPLRICVKGISQLRLNQDTMEIRVKGLGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGTGRFDQMGLRLGIGSGQAPRVKKRGETAKGRLWDGYGTVLGRKEGILVTVRPGGWGQFKSSSLSHF >A09g514890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44361160:44362006:-1 gene:A09g514890.1_BraROA transcript:A09g514890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALAAKAVSELLKNSESKKFSSPCLSPRTPYILAPRSVYAFTLLPLSRHSIKWRYSIFSDLRNYLQNFVFIRGNLTFIFPCEPSVNRPTVYGLLVKKSFLWAIFRLETFIATSFDKERTFRIRYGLRDIASKDRRECMDWCRIDVSEELCRYVATERDGRSVTT >SC179g500140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:308813:312763:-1 gene:SC179g500140.1_BraROA transcript:SC179g500140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIEAKQETFRSRFESERKDGGRYVATDSLPGRYVANGSKPRSVLLVFVSDRSLSSEWKQAEKRPTCFLRRYVATDSLTGRYVASGSKPRSVLLVFVVKSQQKLRLRRNEKRFDEDSKDNPKEDLSEALQVATLTGRYVASGSKPRRIPLVFVVKSQRKLRLRRNEKRFDEDSKENPKKDLSEALQVATDRAVCVLGRYVATEQRVRARSLRSDRAVCVLGRYVATEQRVRARSLRSDRAACVLGRYVATKQRVSARSLRSDRAATEQRVCLRPSRVSARSLRSDRAADRAACVLGRYVATEQHVRARSLRSDRAVCVLGRYVATELCNRFVVFPFSAINVGVFQRFFLGEQVLSFRNVFGKRVLRQTVGTEICTVDFRLNKETRKTLISQRSRISARANDNLEKTVDLISSPRKSVAIITREYKGFGRRGRQRAAYVPLSRIKPNVVQLIELDKRSNCLGEFVIETELVENKPKHKNGDITFFPIFTIIFKTSVFIRGNLTFILPCGPSVNQSVVYGLLVRKIIGWASSRVLGPFGPSSDSTRLLRNSGKHGLSLLRSSGDSIRRFDENARTGVRPSETLELGRYVATERDDRSRPSGTIARSLRARSLRSDRALAELGRYVATERDDRSRPSLAELELGRYVATERDDRSVATDRAGRSLGRYVATELWLELGRYVATELCACLVAAYRSSLACPRSDFHTQACPRPIWIHVRCLRTIGI >A03p015290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6066093:6071867:1 gene:A03p015290.1_BraROA transcript:A03p015290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVMMMTGRNDVARFVAHNDEEDDDDECFFESLDRVLSSCSCSTSNSDYDSDPSAIHDPNPFPLPSGFDLWKSEPESVSERRIRLLRGLGLSNEPDLAPSSRLRRKKGICSSHFARSSHNGRCVSSLRSDVVVDNSKLRCSLNDNNVVLDFISKDPIDVVLEEQMCTIKNLDNGKEFVVNEVREDGVLEKLKEVGTDRQLTLEEFEMCAGTSPIVLELMRRQSVEDVCKDSVDLSTSVSGTKHRRKGSWFKSIKSVASSVTGYKERRSTDDRDSPSERGGQRLSSATDDSRDVTFQDPERVKVRQYGKASKELTALFKSQEIEAHKGSIWSIRFSLDGRYLASAGEDCVIQIWEVVESERKGELLLVDKQDDGGVNLSVLANGSPEPASVSPMRRGRTSFSRKSVSLDNVLVPETVFGLSEMPVCSFVGHSDDVLDLAWSKSQHLLSSSMDKTVRLWDLSSKACLKVFSHSDYVTCIQFNPVDDNYFISGSLDAKVRIWSIPDHQVVDWKDLHEMVTAACYTPDGQGALVGSYKGTCCLYNTSDNRLQQRKEINLKNKKKKSNHKKITGFQFVAGSSSEVLVTSADSRARVVDGVDLVHKFKGFRNTNSQISASLTSNGKLLVSASEDSNVYVWNYDSETRAGRSKRVTVTNSYEHFYCRDVSVAAPWPGKISNNNNSPDQSPSTANNPPTPVNDPVNNTAVTNGIISSATNQYFCDRMSATWPDEKLLLAAKNRARTSPSVSVDLSNGPVNAKPNASAWSMVIVTGGLRGEIRTFQNFGLPTMALLMTIILGLILGWLAFVVGLLIGWAWRPRWVSSPSEDKVQSQCSAPRSFELSSPSSPSPLKGFGSAPCFKALVCGTWNMALRQKKTVSPVSSSEQVHVLDGGKKTEERLPNTVTELDLRNLVQLVEREDGGLPWIQMMDQFIPGMRYQAWLREPKNGPTEYRSRTVFEDATPEVVRDFFWDDEFRPTWDTMLSSSTTVEECTSTGTMIVRWIRKFPFFCSDREYVIGRRIWNCGKSYYCVTKGVYVPCITRNNKQKRVDLFYSSWCIRPVESRSDDGATSACEVLLFHHEDMGIPREIAKLGVKRGMWGAVKKMEPGLRAYQEQRLSREGGFKLSRPAFMAQINTKITSEHLVSLSNGATSEAEAPVTLDRGNGAENLKKLLFIGGAVAVACALSGGGFVPPAVLLGFGKRFGGRKREPQGTATTTTRSQSQTTSS >A02p026350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13847017:13849023:-1 gene:A02p026350.1_BraROA transcript:A02p026350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWCSSGKGRSNLERFLLGFTPKPPSFSLPLQHVKEETEYFRLGDLWDCYDELSAYGFGSQVELNNGETVMQYYVPYLSAIQIQTTKPAMMSRNHNEVVESESSDCWSDSESEKLLSSSMSNDSSRTWDDVSDDSVYDPDGTPLLQDKLGYIDFKYIERDSPYKRVPLTDKINELAEKYPGLMTLRSVDMSPSSWMAVAWYPIYNIPTCKNEKDLKTGFLTYHTLSSSFQDNVVVGDQEEMECCEESVMNKRIPLPPFGLATYKMQGDLWGKTGFDQDRLVYLQSAADSWLKQLNVDHHDFNFFMNSSF >A06p020280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9917335:9920292:1 gene:A06p020280.1_BraROA transcript:A06p020280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLGYGGFGGVPHPFWLRPHPPASTPQFLATHPVESSDQRFDPMIPHHRSPSSGDYTGPPVPVASFVTPLGHGGEDCSNDKGGYSSATVSSLADMLIRNSQEPFYLPIPPSGVCATTNAFDLNRPPHYYSGSAYGTHESVTAPPVSVNPNLLRMLQFDLNCDEHGSWKRKLKTEAGRSFGDNVSSHSPEYSASVEPVLGHVKPLSDNPNLRDGTVGSTSSKIPRPLQFDLNCDEHGSWNHLMVSSEGSESMKADNRIAAQSALSYETHSEASEDVQAKACKLLEQFDKKSALLPDLSIKGSSSRSNADGVSNGQSPLRDQGGFTSPASSTRVSSVVSALHNLSEVLVYECFSNRSLLKPEQLESLDKVVENLTRCSKKITCTQAMHVSLPNVADLNEAPNVVAKEFQGFNVKPLDSFGSKESVDKDEMTQSIKNILASKFPDGEENHPQTLLYKNLWLETEAALCSTTCLARYHRIQKETGNLKLQNREVSADASTFKQEPFLNPQESVSIINKVEKETAEPIIKHGSNCGNNVVTMSHDAPQSSRFNSAPLGAVLSLMASSFTGCLEQENHGNFKPGVQTPDTIKQESPVSTHDDVIDRFRILKQQETKRKLKSQNCPDPDIGVIDRFQILEQQETNGKPKSLNSSETRMDDQEDNPEASEMATIGRSSHMSDVMGRFQVLKRREAEQVQKSLNCVDSDSDSDSDFESDSDNSTMTIGGNSQIETCANTEPSAYDNGYESPTSDWEHVLEDD >A01p006990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3527749:3529126:-1 gene:A01p006990.1_BraROA transcript:A01p006990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKMYDVMLDEAKLCLDELKVESSSAPPVKEEDSGYDDYYYDSADDEMDPVEEAKYLQQVRDSDGFDVDFCYHPFGGIMPCGCNDSDTPLAKLGLHCYNIDKGTNLQFRAVKKVNAEAVSFVNYYITLEAVDPDNNHSLVAFQTCVWDSATENKERLRLITKQCRIKPPQVPAAGIREARGSLWRWDPDAVDKFYTGVMPSWLQDDALTGPNKLQFHEVKDSELQDNEWLNLYAEIALSSERPDMETYLPLKVKKVVVRTKEDVEPSMKLKSSNAIFYMTFKTHRGHECKAIIRQTRDGIQGHMCLEVTCMLGK >A03p014550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5782070:5782333:-1 gene:A03p014550.1_BraROA transcript:A03p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPRTTTTTTGESFWGYFFVIIGSVSFFGFVFAVIASTLFPLSQNPLNQGLKNDRYYYCFLVPLTIPVITVAVYFHWLSMKLFKHA >A03p008130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3284905:3289949:-1 gene:A03p008130.1_BraROA transcript:A03p008130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKRVGKRSTNQRGFSKMDSGICNVCSAPCSSCIHRNVAFTGSKSDESSDENGHGVAGSQFSVNEDRLLPSNNTASEASNLVNSSHDALSENAESRETIRRSGISDDSGAVATTSKTSFSGSRMNHKGSASANVLDQSSTRLEGQEDGILPESEKLGSQGDIDKEEPSVEGSTPSGQNGKDVKSSKSASESVSSAMSESESDSEMVLHDVKVCDICGDAGREDLLAICTGCSDGAEHIYCMRERLNAVPEGDWFCEECQTVAEKQKQEAKRKRETEVILSPHSSGKRHADKIESAPDAKRQAVEDSTGSPKKPVLPRIGALSRETSFKGLDSPRGKLSHQSSFTDKMEGARSTGSQLHPPKGGFLKSSSFNSSSSKPKVQLMDDDVILPRKKIRKDSSLGNLSKSMSSKTIDSGSSSGNDSQAKMLASKVYHSQEGKSPKQLKGRSTEANAPAASTDQKLTSRSSLGSSYANSTRDSKGLQSDGKRGSLTKQVSNLNRNRLESSVTSGGDIPTNGKCNAREQSSSQADSKDELTSTSCAGEGVPSNGNVASQDRLPWSREFREVGKKSKDGFGKRQRSSLLSHAKGLPSSQKGGETAESNETSGVSDSDLPTPKNAREDINKGNRLRAAVDAALRKKPSFGKNRVLEQCDTSLASNVHPSSDKSLQTQLPPKMHTTSWPAPDPYKQTIVTNEKQLVPSGVDAVIPSRSVELDMKPEVNIPSLKSVMRDWPLVSPPALLRSSAIPDHESIWQGNLEVRKARNQSAMHSGMQAHLSTLASPKVAEVVNKFPEKFSLNEVPRLSTWPAQFQDSGTKEDHIALFFFAKDVESYERNYKPLVDNMIKKDLALKGNLDNVELLIFASNQLPPNCQRWNMLYFLWGVFRGRKEICTNPQKNTSLPASDVLPRDQDPNNLCQTRSPSKHLEKASSLRESPHKMQETQSRKHVLSHENPSDRESSVERSSITKEETARKEDEPGANHVPCQANGSNSEDSLVKKVQQIVEQDLGGRKDLPLTVTPPSQVNPLEKDLNCSQASHRKRQVWELTNPATVNQKMELLYEASREGSPHKKLKTENENSSVTFGNDSGVMKKSPKGVFPLDLNVEGEDMELVDDLIPFGNNNGNDEDNNRRLGGTVPDLELPFGGEETTQEDTTRLLPFLAGKNNSGEQSSRSMNKAKEKEEEDDSASLSLSLSFPGEEKKNVNTPFFLFRDFPR >A09g513900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42075843:42077401:-1 gene:A09g513900.1_BraROA transcript:A09g513900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHRIITSLAPSSLLTSLCKGFYKDHQPDQDSGVSRQEAVQSSLGEYHCLSLTKDVPGHLLASLKRLGQAVCEVLISLTSVLKMIWSCPSFSKMALKSVERGRLQTGFMKRAKSRRDLEEYLGKCANLGTGRTDLVRTLYGPYGSVRTETSRKRRQKKEAGAKDRLEQAGQELCIDHVSSLIYGKVQGSLTATNQAPVSTLTRFK >A06p020640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10143168:10143572:1 gene:A06p020640.1_BraROA transcript:A06p020640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWEKTQSCMLCGEPDETQDHLHFACPYSFTGWLRVGGGLLADEASPDWMDTLNFLHLGGRNLMDQILLCMMFQTTVYYLWQERNTRRHEGPWIPHEQTSRLIIKSVKNRIFSLGYRFPHKLTCLLRKWIEVT >A02p053670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32626504:32629483:1 gene:A02p053670.1_BraROA transcript:A02p053670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSVEEISCNHLWSELAEETNGTTAKGMMNRSDSEWAFQRFIQESSSAGEAAYGVSVSGPPSPSVPVDSEEYREFLKSKLNLACAAVAMKRGAFSKPQDTSGRSENGGAYTSTASDQGSLASSKATPVMSSAITSGSELSGDEEETNMNPTNVKRVKRMLSNRESARRSRRRKQAHLNELETQVSQLRVENSNLMKGLTDVTQTFNEAAVENRVLKANIETLRAKVKMAEETVKRLTGFNPMYHTMPQVSTVSNPSETSDSVDTSIRVTTSEISSGNKNKALTGCKMNRTESMRRVASLEHLQKRIRSVGDQYYFYFCFPLVFNLSPPSGLSCEYYQGNRNTHITSEPEDSSNGYKCCDSFISHTSLFASLIISLFLLLRLLHCDDSTKHPFSSGGSTGTEEKVTNLYNALFGLGVPELAVVAGVVALLFGPKKLPEIGKTVKSFQQVLIIDTDRTWTF >A03g503040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9264700:9265536:-1 gene:A03g503040.1_BraROA transcript:A03g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNADGVFGFIVIDMNGTLFGTLSGTTREVLHKFSVNLPKIHGRGGKAALQFAQFHKECQLYIENIAELATQYYISPLTGQPNVAGLILAGLGDLKTELRQSHMFDPRLETKILKVVHVTLGGEYGFSRAIEMSSELLGGVRYIQEKRLIRRLFQELRLENGKYVIGVDDTLNTLGAIETLIVWQDLAINRYVLNNNATGGTVIRYMDSEQEGNEENFRDGNIELVVMENTPLVEWLANEHKRFGCVLEFVTDNSNEGSQFRKGFGGIGGILRHNIN >A09g513910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42105032:42116237:-1 gene:A09g513910.1_BraROA transcript:A09g513910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHSSGSRRSNTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDYQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHSSGSRRSNTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKKQEDYTLAEEEAVLAIPTGPITRAMTRRLKEAVGSILKISKEQEDCL >A02g503580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12074893:12075825:1 gene:A02g503580.1_BraROA transcript:A02g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGSAHSALFGDLSTEEVTSKVILTAIIVLFMAVLVVLILHLYAKLYWWRVDQLQQQQQQEQEQDDQSSIAPTVTTRRQRRRFIFVPGQDGLSSTGGLTPFELSSLPIVFFGQDGLECAICLSDLVKGDKARLLPKCNHSFHVECIDMWFQSHSTCPICRNAVLALEQPISKQTELVSNNAVDALSQTSNSVSSSSPEFPTNVLVWGRQDQVSTRTTNVGSQEDGTTGNAASQSQDDVVLDINDSTISSHNVPSSSSMRFIVEEEEPKSPMTTRLRSLRRFLSRDKRVSCSNSSTSGSSNAAAFSVDP >A06p046550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24896417:24897571:-1 gene:A06p046550.1_BraROA transcript:A06p046550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCNQLSRLVRQGPVTKHSLRSFSAEAATHHRYHQETHSFLEPESYIGSWEAPKDPKDAERMLAQLRRDYAKKVSLYRKDYVHEIEMLRVEKQRKDEARLVAERAANEERRRLKAEAAKVRAEERKIFQDEFRKTLMKERAEKLEFWRMTGLKREDKKKARKKLLHEQSSLWIEPKELEKKITEALVDSTTL >A09p077690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57646567:57647948:-1 gene:A09p077690.1_BraROA transcript:A09p077690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSDGADKTVPELKLSIEDPENGDYVKLRGGSDEEEEESQAGCWIGSVTSVCFWVKLISLVAFLGLLAFVIIKWIAPFLIEKELIPFIKWVRSTFSIRVLGLLLFASVALFPSILLPSSPSMWMAGLTFGYGNGFLLILSAASIGVTLPFLIGHLFLHKMQEWLKQYPKKAAILRAAGEGTWFHQFQAVTLIRVSPFPYMVYNYCALATGVHYGPYILGSLVGMVPEIFVSIYTGIMLRTLAVASDKRHGLSAVEIVVNVLGFCVTASATIVCTIYAKKKLSAMQSEEVET >A08p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16322901:16324503:-1 gene:A08p025240.1_BraROA transcript:A08p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSCFLIVIALSAELSNGESYDKDSVHFINSLNPNNILRVHCLTHDDDLGYHLLSPGQTYEFSFYESIFTTKVNCALWQGPGFKFYAIFRAYTGGGFIVHYGRKNFWDAREDGLQHDGSGGGGGGEHASGYGSKVGENGGAGECEFRGAVGGVP >A09p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3881858:3883722:1 gene:A09p007390.1_BraROA transcript:A09p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFNTHNTWAFAFGLLGNLISFAVFLSPVPTFYRICKKKTTEGFQSLPYVVALFSAMLWLYYATQKKDVFLLVTINSFGCVIETIYIAIFVAFATKEARMFTVKLLLLMNFGGFFLILLLCQFLAKGTTRAKIIGGICVGFSVCVFAAPLSIIRTVIKTKSVEYMPFSLSLTLTISAVVWLLYGLALKDIYVAFPNVIGFVLGALQMILYVVFKYCKTPSDLVEKELEAAKLPEVSIDMLKLGTLVASPEPAVITVVRPVSMCVCNDKKAEAGNGA >A08p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21223204:21225823:-1 gene:A08p036030.1_BraROA transcript:A08p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELCFVSPVQQKEDESQIHKYRKGSRYVWWGYEVNTSSDDCIAAINSYSHQVLGYGREKKVILEAPLYDKDCVLGNVLAAHYLISSDLSRAKTYAKAAESHLGKATPYEKAVFKAVNYLISDHMDEDVALGLHSKLLKKFPRDLLSWKRVEILCFYMGRPDLSLPLFKKIIPENRDQDYVYGMLAFPLLELGHLAEAEKAARKAYEINKNDTWAHHCLCHVLQTECRFKEAVEFMEGCSATWDSCSSLRYSHNWWHVAVCYLEGGSPLSKVQEIYDHQMCKELEKEDAVATDVYMDALGLLLRLDTRDKLDEFLDRLKILANCLTDQGMWYQEWLFDITIIWALSKVGNTSQAHVLLEGLKSRTSKMSKTKQQLMQKAIQVAEAVYEYGKGNYKKGLELLGPDFDAADYKVIGASDLQMDVFNEIWYKLLLLNGKTSSAIKVLERRIRQRDGAPFLWRLLEKSYAMEGNTEAVITACEKAKALEASYFKLD >A03p004210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1801492:1806448:1 gene:A03p004210.1_BraROA transcript:A03p004210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter 7 [Source:Projected from Arabidopsis thaliana (AT5G09400) UniProtKB/Swiss-Prot;Acc:Q9FY75] MAEESSFDGSEKEEIASSGNGFGDLASMDSIESRWVTQDEDDDSVNGADDDDDDDDDEFYGTGLESEDEEVSEHRLLIRTGRRVDSFDVEALEVPGASRIDYEDLTVGRRVLLAFQTLGVVFGDVGTSPLYTFSVMFSKSPVKEKEDVIGALSLVLYTLLLIPLIKYVLVVLWANDDGEGGTFALYSLISRHAKISLIPNQLRSDTRISSFRLKVPCPELERSLNLKEKLENSLVLKKMLLVLVLAGTAMVIADGVVTPAMSVMSAVGGLKVGVDAVEQDQVVMISVAFLVILFSLQKYGTSKMGLVVGPALLLWFCCLAGIGIHNLLKYDKSVYRAFNPIHIYYFFQRNSINAWYALGGCILCATGSEALFADLCYFSVRSVQLTFVCLVLPCLMLGYMGQAAYLMENHADASQAFFSSVPGAAFWPVLFIANVAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAVCLVVVCSISSINEIGNAYGMAELGVMMTTTILVTLIMLLIWQINIVVVTAFLIVFLGVELIFFSSVIASVGDGSWIILVFAVIMFGIMYVWNYGSKIRYETEVEQKMSMDLMRELGSNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMVIFVCIKYVPVPVVPQNERFLFRRVCTKSYHLFRCIARYGYKDVRKENHQVFEQLLIESLEKFIRREAQERSLESDGRNDSDSEEDFSGSTLVMGPNGSMYSMGVPLLSEYRDLNKPITELNSSSNHTSHHPFDTSSESSVSEAEQSLERELSFIHKAKEIGVVYLLGHGDIRARKDSWFVKKLVINYFYAFLRKNCRRGTANLSVPQSHLMKVDMTYMTTRIYRASEGKPISISGNFMICDMNLVNVLCFTASRDWFFRQSFANAGLRSVVTDLSHGNSIASTTMHCWIPKSPNRTKPNLLLLHGFGANAMWQYGEHLRAFTGRFNVYVPDLLFFGLSSTSEQNRTESFQARCLMRLMEAHGVHRMSIVGISYGGFVGYSLAAQFPEKVEKLVLCCAGVCLEEKDMEDGLFKVPNLEEATGILIPQTPEKLKELIRFSFVKPMKGVPSFFLWDFIDVMYTEFVEEKRDLIKSILKDRRLSDLPRIKQKSLVIWGEEDQIFPLELGYRLKRHIGENAEIVVIKKAGHAVNLEKSKEFLKHLKSFLIDSL >A09g513520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41136701:41142508:-1 gene:A09g513520.1_BraROA transcript:A09g513520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINCKKQNNAERLVGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLQNNAERLAGVAPGSRSRADFWCLRARGRERLWCVAPTGRSGSGATLEGRSERWDTATS >A07p028600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16147907:16148771:1 gene:A07p028600.1_BraROA transcript:A07p028600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNQASLLLQKQLKDLCKHPVDGFSAGLVDENNVFQWSVSIMGPPDTLYEGGFFNAIMTFPEDYPNSPPTVKFTSEMWHPNVYSDGKVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANVEAAKEWRDNRAEFRKKVSRCVRKSQEML >A04p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3120699:3127015:1 gene:A04p006010.1_BraROA transcript:A04p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 16 [Source:Projected from Arabidopsis thaliana (AT3G55090) UniProtKB/Swiss-Prot;Acc:Q9M2V7] MLQQDAVISVHSPETRPLPLVLAFNDLTYKVTLPRRFGFRFRRSPAQVKTLLNGITGETNEGEILAILGASGSGKSTLIDALAGRISEGSLKGTVTLNGEALQSRVLQVISAYVMQDDLLFPMLTVQETLMFAAEFRLPRSLPKSKKRERVETLIDQLGLRTVKNTMIGDEGHRAVSGGERRRVSIGTDIIHDPIVLFLDEPTSGLDSTCAFMVVQVLKKIACSGSIVVMSIHQPSCRIMEFLDRLLVLSSGQCVFSDSPATLPLFFSEFGRPIPDKENNAEFTIDLIKDLEGTSGGTSRLVEFNRSWQQKKLRGSQEPHHNSSPLRETINASITRGTLVTTSYSSKASYVNPWWVETLILAKRYMINWTRTPELIGTRVFIVMMTGFLLATVYWRVDDSPRGVQERLSFFSFAMATMFYSCADGLPTFIQERYIFMRETAHNAYRRSSYVISHSLVTLPHLFALSVGFAATTFWFVGLNGGLAGFIYYLLIIFASFWSGCSFVTFVSGVIPNVMMSYMVTFGYLSYCLLFSGFYINRDRIHLYWIWIHYISLLKYPYEAVLHNEFDDPSRCFVRGNQVFDNTFMEGVPESMKEKLLETMGSYLGMELTESTCLRTGSELLKQHGIEQLDKWDFVISSHFYSFMSRTVSEDHSVDNATPYHSMEIGSSLTLGQLLKNVSDVRKVDAGDETPLHSSQGDQDYDDLMRPVPFVLSFNNLTYNVSVRRKFNLIPRRSLSSSKTKTLLDNISGETRDGEILAVLGASGSGKSTLIDALANRIAKGSLKGKVTLNGEPLQSRTLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLPKSKKKLRVQTLIEQLGIKNAANTIIGDEGHRGISGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAFMVVNVLKKIAESGSIVIMSIHQPSHRVLGLLNRLIFLSRGKTVFSGSPASLPGFFARFGSPVPENENQTEFALDLIRELEGSAGGTRGLVEFNKRWQEMKNRSPPASPNPNLTLKEAISASISRGKLVSGGGGGSSVVSHGGFANPFWIEIKTLTERSILNSRRQPELFGTRLATVLVTGFILATVCWRLDNSPKGVQERLGFFAFAMSTMFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHAVVSLPSLVFLSLAFASTTFWAVGLEGGPMGFLFYCLIIFASFWSGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPQYWLWFHYLSLVKYPYEAVLQNEFSDPMECFVRGVQMFDNTPLGQLSYGMKLRLLDSVSRSIGMRITSSTCLTTGADILRQQGVTELSKWSCLLVTVGFGFFFRALFYLCLLFGSKNKRR >A03p047620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:22293026:22294156:1 gene:A03p047620.1_BraROA transcript:A03p047620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSNLPRDLAEEVLSKVPLTSLRKVRSTCKEWKTLSKRRSFAKKHLGQASVGAAHKVVMMMDLRIYLMSINLDNKNVESCIKREGELISGSDEVDVSRVFHCDGLLLFIPKDSTRVVLCNPYSGQTRFIESTFYFRNWWNYSYALGYEKSSRSCSRKYKVLRFITVTDFVECKIYDTSSDSWRFLDPPRGWRVGHYDRGLSLKGNTYWFANKKRSDKSDGDRSFLVCFDFTRERFGQPLPLPFEPFDEDTVSLSSVREEQLAVLFQRWDSLELEIWVTTKIEPESVTWNTKVFLQVNMSLQFQFLLTAASFFIDEEKKVAMVFNKNKERLLVNRNVAYIIGVDGSLREADLGDSNDKNCYPLACSYVPSLAKLT >A09p073390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55966493:55967665:1 gene:A09p073390.1_BraROA transcript:A09p073390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLEKHFAFYGAYHSNPINIVIHIIFVWPIVFTALLLLHSATPIFDLSQSLTLDGVLRLNVGFILTVVYALFYIGLDKRAGFVAALMCFSCWVGSSFLADRLGPSLAFKVGIASQLLCWTGQFLGHGVFEKRAPALLDNLLQAFLMAPFFVLLEVLQSVFGYEPYPGFQARVNAKVESDIKEWRAKKQVKKNKLT >A02p012460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5455381:5455979:1 gene:A02p012460.1_BraROA transcript:A02p012460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFDPWPVFFKREWKRTWPFLTGFAVTGVLITKLTAGFTEEDAKNSKFVQQHRR >A02p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3285118:3289366:-1 gene:A02p007840.1_BraROA transcript:A02p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNHDSSSSNGLETSMSTTNRDNKIYHRHSVHQIQRLEAYFKECPHPDDTQRHKLGEELKLKPKQIKFWFQNKRTQAKAQSEKVFNASLRAENIRIRRENEVIQEALKTVTCPPCGGPRPGKEERKLYLQKMRAHNAYLKRQLEQISDFVNNNGGHSIPSVNSLASTSSNPHFSYGTSSNRPLEPPSLEREQYTHEHINNAQPTQHFQPLSQMEKMMMSQTMVNAVTEIIRLIHIEEPMWIKSSIDDRLVIDQENYEKSFTNISHLKSPSARIESSKDVVVVPMDARNLVDMFFDTEKWARIFSTIVNEAKTIHVLESMDTQRQNFSQLMYEQLHILSPLVPPREFIILRCCQQIEDDLWVIADVSCHHVNIDFESPTCSKRPSGCLIQALPNGLSKVTWMEHVEVNEKVRTHRIYRDLLCGGFGYGARRWTVTLERMCERLHLSSISVFPTTDFAGVVETIEGRRSVMNLGERMLKNFAWILKMSGNYDFSQLSETNSSGVRVSVRVNSEAGQPTGLIVCAASSICLPLSPLEVYNFLTNLEIRHQWDVLCHGYPAAEVAGFATGTDDKNRVNILQTASTAEDADMMIIQDSFRDALGGMVVYAPVDLNTASAAVSGQVNPSGIPILPSGFIISRDGHHFTSPELEDGSDYSKSLLTVAFQILVSGPTLSGDLQIEESTTTVNTLITSTLQRIKVMLNCNEGK >A05p045010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26976230:26976871:1 gene:A05p045010.1_BraROA transcript:A05p045010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIKLCLPLQTPCSAPKTVRSKTLMLQQSQVQVKVKQVTVSQPQPIRYSTKKNTVFEDPIQGIICYTDDNGEVICEGYDEGPRCPPDSSMVASHSREVEILDLLQRSYQELRVTYKGDGQRHEIASRQELAMIKWSNYDFL >A07p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12427525:12430778:1 gene:A07p021160.1_BraROA transcript:A07p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESKPASGDSAGLLNGHVTHCDPKTRRVNEAARSLHPSAVKDLLSLGVCERCILRLITVATFDSDLATVSSSTLRGWLGSGVEEAASPETSICIVCLGILQFVFSDDKQTLVKMKSDCGSGHAAWITDLVKQQGHEFDSFGLEVSLPSTISENERAVFDEAWLQSEKVSVKDALKVLVLDPLRASLGAKSDSSSFHIRLTYSKASDDAQGVSETTHERKKRKTDVVYADEENGSSCISENPFEKVYEPCILSVHCNQMPIFFSGRYFKYSRNVSQSRWIIDDERMGEASVEEIIGGSILPACLGDSYKFHAAGREDIDVRMLGSGRPFLIEVQNSRKCPSQQSLTEIEEKINNSEKKLVGVKDLKFIGSECWAMMREGEAEKQKQYAALVWISRPLEEEDCNSISSMIELKTPIRVLHRRSPLERERTIHWMKLEKIKGNTHYFLLHLCTQAGTYIKEFVHGDLGRTTPSMGSILGCRAEIIQLDVTDVKMGDS >A01p041210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22013006:22016487:-1 gene:A01p041210.1_BraROA transcript:A01p041210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSLSLSNSSPPIYCYRNVAHTFIRSQYLQKSSKLFKNQQNTPHIKMRNNFVPVTLSFLTIIILLTPSTAEPEPSFVFPVNQLLNKTSSWLDFPTKFNQPKIELTASTIIAAVLSFIAASISSAGGIGGGGLYVPIMTIVAGLDLKTASSFSAFMVTGGSIANVGCNLFVRNPKAGGKTMIDFDLALLLEPCMLLGVSVGVICNLVFPNWLITSLFAVFLAWSTVKTFGNGVYYWRLESEMVKIRESRRVGEDDEDEIESVKLPLLGDYERPKRFPWVKLGVLVIIWLSYFAVYLLRGNKYGEGIISIEPCGITYWLLSSTQIPLTLFFTLWICFSDNVQGNQCSDHQDSVKDVEDLRSNDGGRSNKCLFPVMALLAGLLGGVFGIGGGMLISPLLLQVGIAPEVTSATCSFMVLFSSTMSAIQYLLLGMEHTGTASLFAIVCFVASLVGLMVVQKVITQYGRASIIVFSVGIVMALSIVLMTSYGALDVWNDFVSGRYMGFKLPC >A04p031640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18608386:18609033:1 gene:A04p031640.1_BraROA transcript:A04p031640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPTDNSQQRPRHRNGPPPPRRQGRNPPPPQPRYQPQQHQSTTTTATAHTPQEKKKPVFVKVDQLKPGTSGHTLTVKVVSQTSVPQKPNAASSSSHLRPNRISECLIGDETASILFTARNDQVELMKPGATVNLRNAKIDMFKGSMRLAVDKWGRIEVTEPVEMVVKEDNNLSLVEYELVNVEE >A03p015880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6328334:6331266:1 gene:A03p015880.1_BraROA transcript:A03p015880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polypyrimidine tract-binding protein homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) UniProtKB/Swiss-Prot;Acc:Q9FGL9] MKPPLLLIPISLIQSNQSINHLLPKTRNPNFSASKFEAFSSGNTLSHPPITSPMSSVSSQQQFRYTQTPSKVLHLRNLPWECTEEELIELGKPFGTVVNTKCNVGANKNQAFIEFEDLNQAIQMISFYASSSEPAQVRGKTVYLQYSNRQEIVNNKTAADVVGNVLLVTVEGEDARMVSIDVLHLVFSAFGFVHKITTFEKTAGYQALVQFSDAETATSARTSLDGRSIPSYLLPEEVSQCSLKITYSAHTDLTVKFQSHRSRDYTNPYLPVAPSAIDSTGQVIVGVDGRKMEPESNVLLASIENMQYAVTLDVLHTVFVAFGAVQKIAMFDKNGGVQALIQYPDVQTAVVAKGALEGHCIYEGGFCKLHITYSRHTDLSIKVNNDRSRDYTMPDAAVAMAPQPSHNPYPGNSQQYHAAGASHYQQQHQQPQGGWGQSGGQGHDPYMAAPSMHQGPGGHMPPHHYGGGPSGPMH >A10g504860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12046512:12049584:1 gene:A10g504860.1_BraROA transcript:A10g504860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYWKLSISWKGARFQTPNSGLLLAGTWSVPLSGTRGSGSYLEAGGNEPGVFSPTVPLRQDPVPLVLLACVPLKPELILNPDFQRLPFLPYIFFCLFFPVSALLAFPYLRLMYFFSSLFAYTFPALALGRFKEKNRFSGSRSDSSPDPGTGSEHDLAAPPSICVCVSSADSTRVTGSSFIPGQITVYEAFFDIGFRGVIPVLVASLCDFFGISPSQLNPPSWRLLVAIQNLGDLENLSFGINEVMFSYHLAPLNGNEGRLHLRPRSGLPIVEELQKGDRKGSAFSKKWQEQYVFVMLPGHSYHWTFLAGMHPVLPEGEDTVLRARQLPLDRPQVPCLLSDSALHRSSLWGNMSGNTSNDPFAAYQEAAKVMSSKKGSASRTVSVDDLMITSSRRVVTVKIEHSALVKTKKSRGGGMATRSLRQSAEVAHSVGNLATALSNLNVQTISQLFHFGEQLSIEGSLVSREELDDLKRQVLEETAQRVAREMEIRDLKDKLKDAERAAEVSSADALSIGKKNQELEEAMETLRLEMVMAVNGARVPARWKLIREWLQKKSNQWDMNKALEQYKTVALEEAQNKGAPVPTFEDKPAARSRVSTVSMLTTKECRSAVLLGRRLTHLCLRRPIVS >A08p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3901951:3903185:1 gene:A08p006740.1_BraROA transcript:A08p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRSLCRTAAAASVATLRSLRSAPPNQLLRNRSLLTRGFFAVSSFPSNRTPYAADCRYPRAIGIGSVRNFSEDVSHMPELKDSDVLNAFKGLMAADWSALPSAVVNEAKKAVSKNTDDKAGQEALTNVFRAAEAVEEFGGILNSLKMEIDDSIGMSGEDVKSLPEDITKALRLAYHRYATYLDAFGPEEVYLKKKVETELGTKMIHLKMRCSGLGSEWGKVTVLGTSGLSGSYVEQRA >A02g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9711001:9712837:-1 gene:A02g502910.1_BraROA transcript:A02g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKIFDGSISGREFFRIRFEDKSLGYSFLFPFNHITIQSNFIHIHSKFQWSKFYSTLISWILNPLITLRLVSSVNTIIYRWPKHYTQT >A04g504430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9197830:9198072:-1 gene:A04g504430.1_BraROA transcript:A04g504430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETFRVLTKDAVEVSESERAFMMKIPVNEEIRINWVLDLRGWTADKNRITMVNQSLRIDEHECYRGESDGYRGDAEKD >A07p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14925139:14926001:1 gene:A07p026090.1_BraROA transcript:A07p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGTQLHNVFVYGSFQEPDVTYVMLERTPESISATLPGFTRKRLKGCLYPCIVPSEEGEVHGKVIMGLTDEELRNLDAVEGNEFERVTVGVVREDNSEKMPAKTYIWINKNDPDLDGEWDFEEWKRLHKKKFIETFKEIMEWMKDPQGKGRDTFSHALREDQVNQSS >A05p026450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13692370:13694951:-1 gene:A05p026450.1_BraROA transcript:A05p026450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUF21 domain-containing protein At1g47330 [Source:Projected from Arabidopsis thaliana (AT1G47330) UniProtKB/Swiss-Prot;Acc:Q8RY60] MRSDRSGVHKHVRPLITKRLLRLELYCMRTCYPKRRASLSLTCRTQMASSDTSCCGAAFWLDLVIIISLVAFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRINAGKIFPVVKNQHLLLCTLLIGNSMAMEALPIFLDRIVPPLAAIVISVTLILVFGEIMPQAVCTRYGLKVGAIMAPLVRVLLVLFFPIAYPISKVLDWMLGKGHGVLLRRAELKTFVTFHGNEAGKGGDLTTDETSIITGALELTEKTAKDAMTPISNAFSLDLDSTLNLETLNTIMSVGHSRVPVYFRNPSHIIGLILVKNLLAVNARKEVPLRKMIMRKIPRVSETMPLYDILNEFQKGHSHIAVVYKDLDEHKGSPETSENDIERRKNKKTKDELFKDSCKKPKAQVEVSEKEVFKIETGDAKSGKSENGEEQQGKTTLTAAPAKKRHRGCSFCILDIENSPIPDFPPNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIRVNMHASQENLPSVITSITQSSSGSTSPNRTSHMATPDSSPATKPLDNL >A06p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18454933:18457255:1 gene:A06p034160.1_BraROA transcript:A06p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVVLSSDDEDSDMSESEMEEHADKIYLTLKSGKLKVKLSPQAFTCPYCPNKKKPSFQYKDLLQHASGVGNSNSEKRTSKDKASHLALVKYLQQDLADSEAAEPSSKRQKTGDPIQDCDQDEKLVCPWKGVVVNIPTRKTQDGRSAGESGSKLRDEYIQRGFNPTRVRPLWNHWGFSGTAIVDFNRDWNGLHNALLFDKAYQVDGHGKKDWLKKDGPPKTGLYAWIARADDYNGSTLIGEDLRKKGDLKTIAEVTEEEARKQQKLVQNLTQLVEEKKKGVKEFEELCSVKSKELKERLEEKEKSLQKHNRELNAIQERTMGHVNKIFADHERLKMKLELEKKKLELKGIELAKREAHNETERKNLSEDLQQNASKNSSLELASMEQQKADEEVKKLAEYQRRQKEELHEKIIRLERQSDQKQAIELEIEQLKGELNVKKHMGSDGDAEIVKEVEEIYKGLTEKEEELADLDKFNQTLILRERRTNDELQEARKELVNIMKEWKLSIGVKRMGELVTKPFMDALQQKYCQQDVEDRAMDVLQLWEDYLKDPDWHPFKRIKLENQEREVEVIDERDEKLRELKEDLGDGPYNAVTRALLEINEYNPSGRYITTELWNLKEDRKATLEEGVTCLLDQWDKSKRR >A01p023880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11810239:11812042:1 gene:A01p023880.1_BraROA transcript:A01p023880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g59380 [Source:Projected from Arabidopsis thaliana (AT3G59380) UniProtKB/TrEMBL;Acc:B3LF91] MDSDATVPLSERPEWSDVVPLSQDDGPNPVVPIAYKEDFRETMDYFRAIYRSDERSPRALRLTEEALRLNSGNYTVTDPSSLPYYYSSKRVWHFRRLVLEELDHDLFEELKFIESIAEDNSKNYQLWHHRRWVAEKLGPDVAGKELDFTRSILSVDAKHYHAWSHRQWALQALGGWENELDYCHELLEADVFNNSAWNQRYYVITRSPSLGGLKPMRESEVSYTVKAILANPGNESSWRYLKALYKDDTKSWISDPSVSSVCLKVLSRTDCFHGFALSTVLDLLCDGLRPTNEHRDSVKALANEDPETNLANLVCTILCRVDPIRANYWAWRKSKITVAI >A03g502870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8975783:8981138:-1 gene:A03g502870.1_BraROA transcript:A03g502870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPTNFKPSNPTRRGLRAWMFLLCSVVLIQLFAGQTDAQSQRSRGPWQTLSGDTPLVIARGGFSGLFPDSSLVAYSFAVQTSVSGAALWCDVQLTKDGAGICFPDLKLNNASTIDFVYPNRNKSYLVNGVPTQGWLTIEFSLRELMMRGILSRSEKFNGMYPILTVEDVTTQIQPESFWLSVQHDAFYAQQNLGWTKIAGRFGPNGPSFVFQFLGKEEIEPTTNRTYGCILSNLTFIKTFASGILVPKSYILPLDDMQYLLPPSSLVQDAHKAGLQVYVSGFANDIDIAHDYSFDPMTEYLSFVDNGNFSVDGVLSDFPITASSSIIDILVISKNGASGDYPGCTDLAYDKAIKVGADVIDCSVQMSSDGIPFCSRSIDLSNSTMISQTPYVQRSTHVPEINSNGGIYTFSLTWAEIRSLTPAIGNPYRVYNMFRNPKEKNSGKLILLSEFLNLAKNSTSLSGVLISVENAVYLREKQGLDVVKAVLDTLTETGYNNGIITTKVMIQSTESSVLVDFKKQSKYETVYKVDEKIGDISDSAIQDIKKFANAVVVRKETVFSLFDSFITRRTNVVEKLQKSKLPVYVELFQNEFVSQPFDFLSDPTVEINSYVTGAGIDGIITEFPFTAARYKGNQCLETMAPIQPGVFLQFVNALPPDQAPEPVFTDEDVSAYDHYKRCSKPFRCGDQGGLLYPFWILDREACGNPGFNLDCSSGFAEITVSSVKFRILKANYTSRIIRLARSDYIDNLCPSNPLNGEIPQSALQLASDTGRLTMLYGCQDLPSLYSSEAYSYVTEFPCNDQKEGVNNYCVVINSSSALFHSRDVTENCTKEVSMPFSGSKLNTLHPDTLKKTLEQGFELELRQDCSMCLDSKGACGYNQTSRGFVCYCDDGTHGQNCSNSGKRTHESSVNTVHKVSGSVAGVVMFLVILSLFLCFIWKREARKRQQNLKSLIPLRHYTYAQ >A01p035340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000072.1:75942:78241:-1 gene:A01p035340.1_BraROA transcript:A01p035340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFRSLWAVFRLDTFTTSFPRFLIREIAFKGFDENARTGVVLTFGKVQSLHSDRILARARSLRSDRAGRALGRYRPSGTIAWSLRSDRALARARSLRSDRAGRSLGRYVATELWLELGRYVANERDERSRPSFGSSSVATQRPSGTIARSLRSDRAGRSLGRYVATELGSSSRPSLAELGRYVVTERDDRSRPGGTIARSLCSDRALARARSLRSDRAGRSLGRYVATELCACLVAAYRSSLACPRSDFHTRACPRPIWIHVRCLRTIDIFTKITFRKNGYADFYGLSDIDSVVTDFDPNRCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRFSAYTTCMVGIEHLSGDRKCWTKISDFFYSAIILVSDVRESSSTRWLNVSAYDCLVFHEGVFIEEGNFVEELIFRRPRRLAMLKICYSFVCHVSCLKCSRALKIFRDVARV >A09p052350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45878087:45884541:1 gene:A09p052350.1_BraROA transcript:A09p052350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMMKTGAVSDPRSRLKWDIFLSFQRDRNHSFTDRLYEALIKAHVRVRNGDVGRKDQKLGPSLDDAMEDSVAFIVILSPDYAKSRWCLDELAKLCDLRASLGRPILPIFYEVDPWHFRKQSPFEKDFEEHAKRFGEEEIERWRGAMNVVGHISGYVYREDSVDDEMIGLLVKRVLSEVSNTPENVGDYTVGLESRVDDLINLVDVKSTSDVQILGLHGMGGIGKTTLAKAFYNKIVADFEYRVFISNGTSSIQGIVLDFKKKLATDPSAVALGNLRDNPGIRAVFSYLKNKFVGFPAEEKPKSSENTIPVEPFVPMTKLRLLQINHVELAGNLERLPSELKWIQWRGCPLKEVPLNLLARQLAVLDLAESAIRRIQSLHIEGVDGNLKVVNLRGCHSLEAVPDLSNHKSLEKLVFERCMRLVEVPSSVGNLRTLLHLDFRNCPNLTEFLVDVSGLKSLEKLYLSGCSNLSVLPENIGLMPCLKELFLDATAVKKLPDSIFRLENLQKLSLKSCRSIQELPMCIGTLTSLEELDLSSTSLQSLPSSIGDLKNLQKLSLMHCASLSKIPDTIKELKSLKKLFIYGSAVEELPLSLGSLPCLTDFSAGECKLLKHVPSSIGGLNSLLELELDWTPIETLPAEIGDLHFIQKLGLRNCKSLKALPESIGNMDTLHSLFLTGANIEKLPETFGKLENLVSLQMDNCKMIKRLPESFGDLKSLHGLYMKETSVVELPESFGNLSNLRVLKILKKPLFRSSPGTSEEPSFVEVPNSFSNLLSLEEIDARGWGIWGKVPDDLGKLSSLKKLELENNYFHSLPSSLEGLWNLKLFTLYDCQELKCLPPLPWKLEKLNLANCFALESIADLSKLEILEELNLTNCGKVDDVPGLEHLKALKRLYMSGCNSRFSVAVKKRLSKASLKMMRNLSLPGNRIPDWFSQGPLTFSPQPNRELRGVILAVVVALNQDCIDDYQLPDVMEVQAQILELDSPLYTHTLHLSGVPRTSDDQLHICRYPTLHPMVWTFRDGYTIQVVKREPPIKQGVELKMHGIHLVYEGDDDFKGEEHVLNETQLTVSQKLANFFRSFDEGEASSESESA >A06p024580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15390177:15391715:-1 gene:A06p024580.1_BraROA transcript:A06p024580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SYP72 [Source:Projected from Arabidopsis thaliana (AT3G45280) UniProtKB/TrEMBL;Acc:A0A384LL62] MTVINIIFRVEEICKKYDKYDVDKQRELGASGDDAFSRLFNSIDTDIESVVHKAELASTETNRAAAVALNAEVRRTKARLAEDVVKLQKLAVKKVKGLTKEERESRCDLVIALADRIQVIPDGHERQANSEWGGASAPNKNIKFDISEGLDALKNLARDMNEELDKQVPLMDEMETKVDGATSDLKNTNVRLKQQLVKMRSSRNFCIDIILLCVVLGIISYIYK >A03p004380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1851129:1852513:1 gene:A03p004380.1_BraROA transcript:A03p004380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDIKEKLAYVALDFEQELDTAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >A02p040570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25642971:25644586:-1 gene:A02p040570.1_BraROA transcript:A02p040570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKRQNDTITVQEFISEFKQMIEAKFAPIHKRIDQFETRQKQSVPSHEKPESRRLAAEDWFVDPKNQAQGSLLVTRRPLSYDLGPIFDEEEKQFDNPTQDSLLVSRRPLSYDLDPKETKEAAKEELFQISTLTHFDDIFKRYSHYSRPDPYILYFKTLKEVEYGEKKLWASLGKSNMEEGRVLSSVLNSQVQSKITEAVDFVFGESAFWNPADKEKALLFEELKPYIRTKFQYKFLDVGCSKNIQDDLQYLEVRDGRGMTKLKLLAQLQWLHKGGNVNLISIPPMFLFDPGESDLWTNPFEEEGNDVPQIVQPTSFSLPPWTRLVRMNLDSRQRLQVKRLFLVGPVRHIRQQIEFCFLVGPVSHIKRQSSTLVVSLGHPQPFVSLHSISSPSSRIESIIVLYRSS >A03g502000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6730411:6731115:-1 gene:A03g502000.1_BraROA transcript:A03g502000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKIGGGGLPPAFSLFPSLNKTFTFHQILVLIITFLAYASFHASRKPPRIVKSVLGPSVNEQSNSPIDNRWAPFNGTEGTQRLGELDLAFLSSYALSMYFAGHLGDRIDLRLFLVFGMMGSGILTVVFGLGYWMNMHLLGFYMTVQIVCGLFQSIGWPCVVSVVGNWCGKEKRGLIMGVWNSHTSVGKIVGSVVASSVLDSGWGWSFALPGGLVIVSRLVVRIISDLNLATII >A08p041650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23505034:23505550:-1 gene:A08p041650.1_BraROA transcript:A08p041650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVAEQVIAPVAENVEVPAKAVEEPDVETKQPEEVVATTDSAAAPAALKEQEAEAPVVETSKEVVVEEAEKKDEETEEPKVEEKTEAPVAVEEAKIEEKEEVTETPAVVEEKEKTKAEEVAAEKAEE >A04p007630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8740008:8740409:-1 gene:A04p007630.1_BraROA transcript:A04p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKYAEAKKRAVKGAGEVVETEDINHIAEEKELMSDRVEKLKEECDLQKLSFYEKTKLDGLDRTQKLLKDVENDEDDGFDELDRLLS >A08g501090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2668551:2669174:-1 gene:A08g501090.1_BraROA transcript:A08g501090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKPNKKENKSKTYEEPTPRKSQQEVDKGCSDAKYACCLKGQKRWNDFFCSEENMGGGYWWLELSWCLDGHGSFHPNPSELRRLCSFEDEDEDVNSLCSLSSNALKALSRNKDIEN >A09p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17403754:17404738:1 gene:A09p029110.1_BraROA transcript:A09p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISITFLLCALVLLLLLTPTSSLQLHHRYSSPSQAGESEKIFIKMAPRKLMIISSEHVNVMKSGAPEGSSEQLQVTSSSGKSKSEEKKIGEKEEENALSKYLSMDYPKFRRRRPVHNL >A04g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5592466:5594238:-1 gene:A04g502300.1_BraROA transcript:A04g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASPDPLSTTIYLAIGFVAHLSPITATSLGISPYISLISPQSLFSFDIIGFSVDSFEEGYGSIYGFDCGDQIDFGFKIEMGFSSALKLIVDIMAIPHEPHFFKPLLPGFHSGVTIPLAFFSKHIEGKTNQKTWKLRSDASDQTWEVLLEGRTLTGGWKDFTTAHDLQIGDLVIFKHERDMVFHVTPFGPSCCEIQYTQPHIIKEEADSGDADDNEIRGTGAMSSLSYDFCFLAEVTASNLKADKLYLPK >A03p002580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1168873:1170940:-1 gene:A03p002580.1_BraROA transcript:A03p002580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVSIWAKFCFSGSRPISISGLKRIRDKGLILEDPTQCIIMQSLRSNSVVIKLLTYSNELSEGKPLYVSSNCLPVKALNREPAGHSFHSAALKLRGCAKKVVEDKEDTSDSPKKLANNDVEDIPSYETYKNSSSNKGKKKKNKSGKEVKEQHDHYALLGLGSLRYLATEDQIRKSYRDAALKHHPDKLAAALLLTEESEEAKEAKKEAIESHFKLIQEAYEVLMDKTKRRIFDSTDEFDDEVPSDCAPKDFFKVFGPAFKRNARWSVNTRVPDLGDENTPVKEVDSYYNFWYAFKSWREFPEEEEHDLEEADSREEKRWMERENARKTQKARKEEYARIRTLVDNAYKKDPRIVKRKEEEKAKKQAKKDEKVMAKKKLEEEAAAAIEEERRRKEEEAKLAAEAAQQQKKNKEKEKKLRSKERSRLRTLSAPVLSQRLLGISVAYVEDLCMSLNTEQLRKLCDKMENKEGMKLAKVLKNGNNDGTESEEEEVVEAKQNGHVEANGFATQSPRAAAMV >A07p049900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26616734:26623939:-1 gene:A07p049900.1_BraROA transcript:A07p049900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone methyltransferases(H3-K4 specific);histone methyltransferases(H3-K36 specific) [Source:Projected from Arabidopsis thaliana (AT1G77300) TAIR;Acc:AT1G77300] MDCKENGVSDPSGDENLTSEKAGEDIELITSLETAQAASVTNPALEEDQRECFVEAEQMGEGNSVSKELEENSDAVCCVDAEQAQGSVSDVIVPGDGTVLPLGVRETDGESVFLNDAPEKVESLETAKDIEDDKAGSDGEGKEGNNEPSSDDVSLIRSCPFPDSALDSGGLGCSETENDVKSSSDIDGNIPLVVSPSLAITEVLSNSDGGLRSCDLDDIVDKETIDPNLRLVHEDELHTDLSDKNETLLKNHVGNSSSERDVAGMDDDMAADPRAQSFSRTSPIEENTSGVEANAPITDPSLVRSFPLKFGNGGIGTRNPENAVESIRIVDGNGRIGGEVASASGTSESSPRRRSRVGKQGNLSQTNLSAPLPRKSSRKKQSERNLESIFNCSKQKRSSVSKPDRSSQWGLPCRTAEIFLQSNNIPYGRPPHHETKQPQNSPKNGEHNTQASSGSCLRLKVKFGKSGGQNPLNITVSKVSGNSLPAGGIVKAGKGLEFPGPADIVEDKLQIVETREQLKEENNSLEKLSCRLLSDSITDEKKNQDAGGLCRKLGGDVLDEGTHLSSSMVVEEGERANGTRPLDSETSPDSEVINSVQKDLPHGFSSTAEDLVNTNRGLEKQDELLASVSPLENGSHLIPNAKKSKHPKSKGNGTRKGKSKSKSAKGGRKNESQEGLEQHSFINRSAGSDDSKDHEVGRVESNETTGALLDANIGKPSAVNGAIWQDGIHGEAVMDLTIEDSSPTESAWVRCDDCFKWRRIPASVVESIDESSRWICMNNSDKDFAHCSISQEMSNEEINEQLGIGQEEADAYDYEAAKRGKDKEQKSKRLPVNKKACFRAIKTNQFLHRNRKNQTIDEIMVCHCKPPPDGRLGCGEECLNRMLNIECLHGTCPAGDLCSNQQFQKRKYVKFERFQSGKKGYGLRLLEDVREGQFLIEYVGEVLDMQSYESRQKDYASMGQKHFYFMTLNGNEVIDAGAKGNLGRFINHSCEPNCRTEKWMVNGEICVGIFSMKDLKKGQELTFDYNYVRVFGAAAKKCYCGSSHCRGYIGGDPLNGDVVVQSDSDEEYPELVILDDDESGEGILDATSKIFMDGADMQMPQNSTKVDDSKDLASQSPSSVAVKLPESEVLPSFQPTEASKELSTDMPVIDVQQEVLEKKTKGPSPASKSISRLSSDGANADKTVKHGSGEAVKILSRPRPRTKTSRSSGYSKQALPGVNKAQTTPVKKLQQQPIKSKGSEEVSPSGRIETFEGKLNELLDAGGGISKRRDSAKGYLKLLLLTAASRGNANEGIQSNRDLSMILDALLKTKSRTVLVDVINKNGLQMLHNIMKQYRRDFKKTPILRKLLKVLEYLATRDILALEHIVRPPPYAGMESFKQSILTLTEHDDKQVHQIARNFRDRFIPKHLRKPWRIDREERSESRRSPINSRFRSSQEPRYDHHSPRRAEPFSSRAATPETPSVSDGCIQPTSSSLPETNGRKRKSRWDQPSTSKEQRTMTDVQDDLPPGFSSPCTDAPNAVTAQPQAKFLSRLTVSYGIPLSIVHQCGSPCKDDPNSWSVAPGVPFAPFPPLPPVTHGEFFANKGNGTVSASPKRKREFSSDIGTSYFRQQKQNVPPWIRYNGWEKTVNSSIPEASNCREEDQQSRKS >A03p018690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7635233:7639512:1 gene:A03p018690.1_BraROA transcript:A03p018690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPDSLYEDYTISRVNLPSALASTVPPSPDIRMIIKWVNDLHNNIPSTFDFALQNLTIHRKNFEILPRLLWKSHFTVAMLLQAPRFVIFTLNFIQFMQEILKIYPLTSRPVYSQNWMHLRVYNILLLFQCITHYPETRNCFLKADMQYYFYPLMDINVTDPRLECLRIGALGVIAHMLRPPVDPAAVCYLVNTSCLQHCTKAIEIGSTESKTIAVFIINKILSTGEGLQYCCVLPDRFFFIDGLLKRLLMYLTTMARPCPSLFNLLVGCYTSLSYKPRARRGLRRYLPDMLFNNTFASLLAADPAAERNRRELIKNLEMKTQPEKNRYIS >A09p060250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50019730:50020918:1 gene:A09p060250.1_BraROA transcript:A09p060250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKVWGNSIFVITADEQVKGYGTRLMNHLKQHARDVDRLTHFLAHAQQCCWLFKKKMVNHSPERECEIPGDHALWKSGVVRIRTRVLWGSTEQISGGFTKELYLEKDVRNGFIKDHDGGLLMECKIDQKLPYTDLSCMIRQQRKLSH >A04p037500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21407081:21407477:1 gene:A04p037500.1_BraROA transcript:A04p037500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKALNRIAKDLYNMTRANVAVFSRSDQGREYQYSSHVAGSCGCSGLDALMDRVGYTPTMEFDLVRKLRRDSDALALNLGGRSMDELAGLENQLMLAMESIQAAEANLG >A06p050660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26642862:26643293:-1 gene:A06p050660.1_BraROA transcript:A06p050660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFQMVWSQLVWFKGGYLIFPSYGFSLGARRPSRRREGLVFLSAVFSKLQRILLSRSCVMSLSYAGGDGGSNLFQHVGDMSKACKVVVYGSSAAVRRPSSLWTVSLWFFG >A02p033930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18224757:18226984:-1 gene:A02p033930.1_BraROA transcript:A02p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSSVPFEICLFSSHSLSVPYLFYPQEILSSPCHSLSLIPSDRVPLAMASTDSLPRFFKVFISHFSSDSMLIPISYYDELPRHLPKTVILQGTGGCLWKVAMRLKQEEEEVYLEQGWPKFVKDNALVDGDFMTFVYNGDNIFEVSIYGLDGCKQARAMAEEEEGEDSICALSSDDTEDTNAESESANTVQRSNDKGKAKVEADDEEEEEEEEDSVYSLSNSKDTDTGTSSEFEMANTIWRSKTKGKSIEEVIKEESDGKEDSDHSLNSEVKETDTGSESKIPKNKGKKKKEVVESSDSDYAEEFGRLDLDLEEDSTSSDSSYAPDSDEDTATHVKRKVVTKGSQGKSKVIKDVSEVGDTSCAVERVPKTREKVKAIIENPEVYLDDPTNIHFETGVKNRKYELLVKDYCLRFKDYIYYLDPKGKLEAKTAKWKDQRVCIKKWVKICDRNKLKKQDRVVCELLRNKDLVYAVKIHIIRGKHLVSV >A03p058240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25260693:25290282:1 gene:A03p058240.1_BraROA transcript:A03p058240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKKLVLRLLFRQRYLNHVCPTQREAIIYYNHCTLRYSDCVIFSQTSHSVEHFCGVTINYSIESNYEDQFKKLVLTTMIEAANSSRYFCTRNAKWKEYVTLYVLVQCTPNAFKKNWSKTFYSIAVILATAEIPNFVHHYCRNTTRYTANSIYFTNLKTLWSSLSSTNASYSTGFQNATAGQARDMVTGLFLCRGDVSLEVCRDCVSYSVKDIVRKCPNQREATIYYDQCMLRYSDRNIFLNVTLDDGFIMYNDHYDIPSAEQTRFENLVLTTMIEVAFEAANSSRRFCTRKTKWNEYQDLYVLVQCTPDLTRQDCVRCLDQSIYESRFGSVGERLLLPSCYSRYELYKFYNKTDVNTTPLPPPPLEASTHPVSSAPRPRVTHPVSSAPRPRDDITTTDSLQFDYSTIQAATNDYSENNKIGRGGFGEVYKGTLLNGTEVAVKRLSKSSGQGETEFNNEVVVVAKLQHKNLVRLMGFSLEREEKILVYEYVPNKSLDYFLFDPAKKDQLCWTRRYKIIVGIARGMLYLHQDSRLTIIHRDLKSSNILLDADMNPKIADFGMARIFGIDQTQENTNRIVGTYGYMSPEYAMHGQFSMKSDVYSFGVLVLEIITGRKNSSFYERDNAHNLVTYAWTIWTNNTELDLVDPVIVDNCQKNEVVRCIHIGLLCVQEDLVERPSFSNILMMLTTNTVTLPVPQQPGFVFQTRHIRDLPGSNQSTMTKCGVGFRASAQDPTYVYHSCPNTTTYTRNSTYSTNLRTLLSSLSSNNASYSTGFQTATSGQGTDSVTGLFLCRGDFSPEVCRRCVTFVVNDTSTRCPNEREVVLYYDECIVRYSNRNILSTMSRNGGVILSNGQNITSNQQDQFRDLLLSTMNQAASEAADRPRKFDARKANWTASQNLYGLVQCTPDLTRQDCLSCLQQGINQLPSDKIGGRFLVPSCNSRYELYAFYNESAITTPPPPPLPPVSVPPPAGKGGSSSVLVVAIVVPTIVVVMLFIACYCFLAKRAKKTYGTSSAFDGDDITTAESLQLDYRSIQTATNDFSESNKIGQGGFGEVYKGTLSDGTEVAVKRLSKSSGQGDAEFKNEVILVAKLQHRNLVRLLGFCLEGEERVLVYEYVPNESLDYIIFDPAKQSQLDWSRRYKIIGGIARGILYLHQDSRLTIIHRDLKASNVLLDSDMNPKIADFGMARIFGMNQTEENTSRIVGTYGYMSPEYAMHGQYSMKSDVYSFGVLVLEIISGKKNSSFYQTDGAHDLVSYAWRLWSNGTPLDLVDPIIVDNCQRNEVVRCVHIGLLCVQEDPVERPPFSTIVLMLSSNTVTLPVPRQPGLFFQSRLGKDPLDSDKFTTTKSLLRSVDDASITDVYPHPNTRLLTEEYQQGITEFMGLVHRQPEAKTGMLRCPCSNCKNKKVIKQWDVWTHLYLSGFTRSYKIWYHHGETDYEHGSTSEPQPAVRLEDPIRTDREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTVFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRIPRKFRRKYKIYPRKFRRIVSVGRLIILGRARSSSPFLSFSPTPPSLPLAISGESPLILPHNHVRTLSHSFRSNDDQIRSRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGYPTFTHFPIEKQHLWFRQFAQEFNWNSDDTLSIYHNFVHKVMDNYRKQMYEWKKKWEVNKVPKSMNDTVWKELSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKKAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSMVSTNLSRVRINEISVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRIYPNE >A02p052670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:32194716:32197106:1 gene:A02p052670.1_BraROA transcript:A02p052670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTMQSINLQGNQDMVGSLPEFNRNNSLVFLDLSWISLGNLPVSINNLKHLTTLKLGFCNLHGQISSSLGNLTNLSTLDLSSNFFNGHIPSSFGNLLHLTSLVLSSNRLSGQIPPSFANLNQLTTLSLVSNKLSGNFPLPLLNLTKLSELSLVNNHFTGTLPPNISALCNLETFEASENIFTGTLPSTLFNTPSLTYIDLKDNQLNAILEFGNISSPSKLERLSLGNNHFKGSLPISISSLVNLYSLDLSYYNTGMSVDFGFLSQLKGLIDLDISYINTTNVVDLSTIFLHLKSLSSLQLSGVHVSTAKMGLVSNLPTNLGTLHLSGCGITEFPKFLKNLQKMSHLDLSDNKIKGEVPHWLWNHLPMLSVLNLRNNSFTGLERYSNDSSRLELIVLDLSSNAFQGPLFDPPVSTEALVVSNNNFTGKIPESICEQRYLQTLDLSNNSFTGSIPQCLKNLNSYLSFLILHHNQLNGSIPEIFTNATDLVSIDVSQNRLVGKLPRSLKSCTSLEVLNVRSNGIDDTFPFWLNTLPELKVIVLRNNNFKGPLHRHHPFGFPKLQIIDVSNNGFNGTLPSDYFADWNMTRREDRSGVIYIRYNDYYHDSMVLMSKGVEMKLERILKLLTAIDLSGNELSGKIPESIGLLKDLIVLNLSSNGFTGGIPSSFGNQSQLESLDLSNNKLSGSIPPSLGDLSSLSYIKVSNNQLHGPIPQSTQFQTQSASSFEGNLGLCGLPLSEKCGEQSQEPEAEEAEEEEEGVLSWTAAAIALAPGVILGLTIGHILVTQKTHWFMRFLA >A06p040900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22093147:22095218:1 gene:A06p040900.1_BraROA transcript:A06p040900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLSQTLNQVIDPTPSVLLITISLLVVVYLISQWFKPLYPPGPKGLPVIGNMLMMDQLTHHGLAKLAHKYGGLFHLRMGFRHVFAITSPDVARQVLQVQDISFSNRPVTVAINYLTYDLADMAFAPYGPFWRQMRKVCVMKVFSRKRTESWASVREEVNNMVRSLSSNVGKPVNVGELIFTLTRNITYRAAFGAACETEQDEFIRILQEFSKLFGAFNIADFIPFLGWFDFQGINKRLVKARNDLDGFIDEVIDEHMRKRETVNVDEDTDMVDDLLAFYSEDSSTNRNKNTVKLTRDNIKALVMDVMFGGTETMASGIEWALTELLRNPAELKRLQQELTEVVGLDRRVDDNHLEQLTFLKCTLKETMRLHPPIPLILHEAIEDTKLQGFSVPKGSRLMINAFAIARDPKLWVDPEAFRPSRFMEPGMPDFMGTNFEFIPFGAGRRSCPGMQLGLYAMEVAVANIIHCFTWKLPDGMKPSELDMSDVMGLTAPRATRLIAVPDTRLICPVCP >A06g500560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2341413:2341745:1 gene:A06g500560.1_BraROA transcript:A06g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVYHCSALNENTGLLNENSVPSRWADILLWLPNAHPDSMVRLAILQVWQAAIYELWKERNRRVHDGLTLPPIRIMRYISSSLRDKCSALLSLSHPLGPRLAQFWFDPP >A10p024790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16018399:16019368:-1 gene:A10p024790.1_BraROA transcript:A10p024790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQAALAAFFLFVLAVFSNFELSASSIVCGKVSCLDCHSDFDFSGIKILLKCDGEKKPVTIMAA >A01g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18110634:18113813:1 gene:A01g506180.1_BraROA transcript:A01g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKSAFSRDSRSEKPKDGEAGDFSGPIKPIGTHDVSSGLSIGNPHSKKAKGDALVSSPSLTKPSGNRGVSSGVSIGSPNSKNPSGPIIQTTKTSVSSGVRSKAAVSSGVRGKAIVSANVGRVMSFKDVKFGAHEGELRFRLIHFWEARNVRTKLLIGLEMLLIDQEETIIQGFIPAGRMDTYLPHMRAGGIYRLHNFFGSNNKTLYRVSEPSVTITFSSTSVLSDLEDSSVCFPEDRFRFYGYEEFNAACDLKGDLYDYVGHIKLVNGQVLNDSLVVDEAEIASTRRVLLHVQTHDGPVMKMYLWDKAASDFGERFKASGGTASVILVTTLNPKRYGGALCLSSMVSSRIFMDSDVQATQDYLNWLNSNLDVAKRVDADVVTKTETVTIGELFSYMKQADAKVAWFECIATIGDVVHGSGWYYIGCGGCHTKATKGPTTLMCKKCGKSDIVGVAQYLAKISVYDNNDQAVFVLLGDSGHELSGKKASELVESYFEANEDEGSDHLVPVPQALIDTIGQTRKFIVKVSTHNLTGKTQTLTVTKVLTPEDPDIGVNLEESDGERVKRAAEKIEGEEPKRAKCG >A03p059450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25828709:25838894:-1 gene:A03p059450.1_BraROA transcript:A03p059450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase 21 [Source:Projected from Arabidopsis thaliana (AT4G24260) UniProtKB/Swiss-Prot;Acc:Q9STW8] MSSFFLTLSDRARRQDSITMYGRDPWGGPLEINAADSITDDDRSRNLQELDRSTLPRPLDATQRSWLLGPPVRKKKKYVDLGCILVSRKIFIWTLGTLLVTTFLAGSITMIIRHVPHHKHEKPQQDNYTLALNKTLLFFNAQKSGKLSKHNNVSWRGNSCMKDVDGGEYPPRDVVGGYYDAGDTIKFSFPMSYAMTMLSWSVIEYSAKYEAAGELDHVKELIKWGTDYFLKTFNSSADKVGRMVSQVGYGDNRGGGVMQNDHYCWMRPEDIDYQRLARSCYSGCSDLAAEMAAALASASIVFKDNRDYSKKLVHGAKTLYNFANAVKKSYSIPRKESSEFYKSSLFWDELLWGGAWLYYATGNITYLDQVTNHDTARHAGAFWDGPYYGVPSWDNKLPGAQLLLTRLRLFLSPGYPYEDILRTFHNQTGVVMCSYLPYYKKFKRTKGGLIMLNHGDPQPLQYAANAAFLAALFSDYLDAADTPGWYCGPKFYSTDVLRDFARSQIDYILGKNPRKMSYVVGFGQRYPKHVHHRGASIPKSVKKESCKGGWKWRDTKKNNPNTIVGAMVAGPDKHDEFHDLRTNYNYTEPTLAGNAGLVAALVALSGERTFGAIDKNTMFYAVQKMAEERSLEYTPTWVVAFICFIIVLLSLLAERELMLLGFISLMLTVSQAAIRHICVPRALVSNMFPCKKPLEKHHAPESSHTLSFSARHLLSTGASPDHCAAKARAGSVSICGSIASTPYLHLRASGFSRHLLCLNHGSWRSQGNIQQWKHWEDRFKKHPSQKEAAKRGHAQAHPHAHALHELFNANHEFFAMHAGGFWRRSVVISWLRSFFKQFYGSVTKSEYIALRQGFIMTHCPTNPSFNFHKYMLRTLEIDFKKVVSISWYLWLFVVVFLLLNVGGWNTYFWLSFLPLILLLMVGAKLENIISTLAVDVCEKRNRAEEAVIKPSDELFWFHKPEIVLQIIHFILFQNSFEIAFFFWILFTYGIHSCIMERLGFLIPRLVMGVLVQVLCSYSTLPLYALVTQMGSKFKKGIFDDVVQSTLEVWLEDTRSKGDSTSQSRRLEIQPTTPEAFNVQVDEVTECENPQVQ >A05p047110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27836696:27838338:-1 gene:A05p047110.1_BraROA transcript:A05p047110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDQTAKIIDGKAIAHTIRLEIAEEVRDLSEKHGKVPGLAVVIVGARKDSQTYVTMKRKACAEVGIKSVDVDLPEDVSEADLISKVHQLNSDPDVHGILVQLPLPKHINEENVLSAISIDKDVDGFHPLNIGKLAMKGREPLFLPCTPKGCLELLKRSGVKIKGQRAVVVGRSNIVGLPVSLLLLKADATVTTVHSHTKDPEAIIREADIVIAAAGQACMIKSDWIKPGAAVIDVGTNAVSDPSRKSGYRLVGDVDYAEACKVAGCITPVPGGVGPMTVAMLLRNTLDGAKRVIGQ >A10g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8161121:8161507:1 gene:A10g503120.1_BraROA transcript:A10g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKRRRFRRTFKFLVYYHKVPDIDETRASLIQSRERTRTSSVNGRAESVVDPSRQTAELNLAVDPARPFRRDDH >A09p051600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45460813:45462258:1 gene:A09p051600.1_BraROA transcript:A09p051600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G26570) UniProtKB/TrEMBL;Acc:A0A178WCI2] MVKICCIGAGYVGGPTMAVMALKCPEIEVSVVDISEPRINAWNSDKLPIYEPGLDDVVKQCRGRNLFFSTDVERHVFESDIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSSKIVVEKSTVPVRTAEAIEKILTHNSKGIEFQILSNPEFLAEGTAVKDLYNPDRVLIGGRGNAAGEKAVQALRDVYAHWVPVERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVAHAVGTDTRIGPKFLNASVGFGGSCFQKDILNLIYICECNGLPEAANYWKQVIKVNDYQKTRFANRVVSSMFNTVSGKKVAILGFAFKKDTGDTRETPAIDVCNRLVADKAKLSIYDPQVLEEQIRKDLFMARFDWDHPVSLQQIKAEGMLEQVSVVSDAYEATKDAHGICVLTEWDEFKSLDFERIFESMRKPAFVFDGRNVVDAVKLREIGFIVYSIGKPLDSWLKNMPSVA >A05p041570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25232115:25237280:-1 gene:A05p041570.1_BraROA transcript:A05p041570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTGDRYLENLEKFLEGNADSLIDATDVLKLNPAGLHYVHLRLESLREVERMLSGAPVDYLRAYVSDIGDYRALEQLRRILRLLPSLKVVSSLPSPARDPTPLSLLPFARLKVLELRGCDLSTSSAKGLLELRHTLEKLICHNSTDALRHVFASRIAEIKDSPQWNKLVFVSCACNRLLLMDESLQLLPAVESLDLSRNKFAKVDNLRRCSKLKHLDLGFNQLRKISHLSEVSCHLVKLVLRNNALTTLRGIENLKSLEGLDVSFNIISDFSELEFLGSLSFLTDLWLEGNPFCCARWYRANVLSYVARPNDLKLDGKQIGNREFWKRQVVVTRRKTQPASYGFYSPAREEADDEGSFNRKKSQAKIYRLASIDTEEESTYVNSDQESASCELETQSKEENIKSDHEDDIFGLISKVEKLKKERSVLWLREVKEWMDHPSEDFVDVRKDGWSIDSEKKYYTKNGKNSKHHKEALRYPPGPLPGFQITDLNQKDQAYLLDGKPDEKGNMSTLDATHDITGSFSPSTYMQSPPHYQKDVLHRRHNLVEEILQLSADSYSVASSDSSSSCSENENYDSEQSNPEQDMLVDHLNENSPGEEILGSEKGTSLLDSQPEKSSIIKTWRIDESFKAKTNNIISGLHNSELASGVNHIYNWFDKRKSKRQPKKRVVSLLVDNSVISSGETSHRSDADISDSGEDGCVSDHLQEGSLTMGCNSKRTTRFWGAEKTPEVMGGLVDEYITTTLSDSSIDETCRIYVCCDCVLRQESTYTQQEVVLLRSSQDKLCVLFVDVSTDSQDRNLSLLCSHAINDIQDVSVGLGLQVVRLRFKEGTEYIFKTESIEKTTVLLNITKVLDSQATESKCLGSLENIQMEMFEKEICGGLKLSIFQYSVLHFQRSTLGEVSWLPRSLFVVDGHLFICIEDFRLLSSLPKDTSSAPYFSLDSSCSISDIFEMAIESRGSSCLSLKIKQKNSTFQARPNRTATSATWKLKLFSIECVLKFVSLVKGLHPDSPELPLLVRHLG >A09g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2520512:2526230:1 gene:A09g500640.1_BraROA transcript:A09g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDCSVKVAVHIRPLIGDERLQGCKDCVTVVSGKPQVQIGSHSFTFDHVYGSTGTPSTEMYKECAAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCGDTSQTGIVPQVMNALFTKIETLKDQIEFQIHVSFIEIHKEEVQDLLDPSTVNKSDTTSNGKVAHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLDQGSVSRATGSTNMNNQSSRSHAIFTITVEQMRKINTDSPENGTCNGSLKEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKDGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIRNKPVVNRDPVSSEMIKMRQQLEYLQAELSLRNGGTSCAELQALKERIASLETTNEDLCRELHQYRSRYAGVEHSEKDFKDIQADEIVGSVRPDGLKRSLHSIESSNYPMVEATIGDSREIDEEAKEWEHKLLQNSMDKELHELNRRLEEKESEMKLFDGYDPAALKQHFGKKIAEVEDEKRAVQEERNRLLAEIENLASDGQAQKLQDVHAQNLKSLEAQIQDLKKKQESQVQLLKQKQKSDDAARRLQEEIQSIKAQKVQLQHRMKQEAEQFRQWKASREKELLQLRKEGRKSEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSPREHSGMIDGDLWDETKLILHAVVQTWEITGFGSEPPVVVLMALEQMFILFYTNEKALQRWLDHELEVMVNVHEVRHEYEKQSHVRAALAEELSVLRQVDEFAAKGLSPPRGKNGGFARASSLSPNARMARISSLENMLGISSNSLVAMASQLSEAEERERAFTSRGRWNQLRSMGEAKNLLQYMFNSLAETRCQVWEKDVEIKEMKDQFKEIVGLLRQSELRRKEAEKELKLREQELATSLASSPLGTPPSSVKHLAEEMHNTPSPMTVPAQKQLKFTPGIANGKVRDSAAFINANKKMVPMGQVSMRKLSAVGQQSGKLWRWKRSHHQWIVQFKWKWQKPWRLSEWIRHSDETLLKAKPRHKALPNKITNKIM >A03p036930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15476585:15478821:1 gene:A03p036930.1_BraROA transcript:A03p036930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSPKKKKKTRENQKEKTNREDKELEAQTCLLPLKPNLHTLHSSFSTTPPRGFLSPSSSLIMEPVSEGSTSTLSGFMDRRRRSPLIRRPRDIKGSLHSFTFMPPSSDNSLKLKLKLGGGLTRTIQTNSEAGVYTDNERCVQKTMYLREIHQSMTEKTKRGLKKRLLDQEQDSDDEEIRYLVKLKSKRITRDYQTNMEDGEHLSSDKHAVTERHKLKMGTVDSLVEGSTSGHVPTTRTRAPTGSSPLEFPDGLPCPSSKRQKQKLSEAEAIRKILGQDSGRKKKEEKIKKQQEERAQERATRASTLASNTVRLVIGPSGTTLTFSEDIGLPDIFKPITCSYPPPREKCVGPNCENSYKYRDSKSKLPLCSLSCYKAMQQPLIHC >A09g510910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33353382:33354947:1 gene:A09g510910.1_BraROA transcript:A09g510910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYEPIEPKERSLDYFVKPHDPSQVWHDPSQVCHDPCLVWHDPSQVWHDPSQVWYGEKHEPRLKCSEHPELHAEMVPCTDPSGVKETGVEPGSKHRWLSIMMEVMLVASSSNTGPGSSSSSMIGPRFSSKEFLVSRRGP >A01g500620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2432711:2433336:1 gene:A01g500620.1_BraROA transcript:A01g500620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFFSKQSCRIKGRLQYRDFSSVSDQKAVSKIYGYCFIAAFGGAGFLIGGVTTSPVSKGLREYEERFVKGLQRLEKIEAKRGKWTSSLKEKTKEETK >A02g509340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25002317:25004692:-1 gene:A02g509340.1_BraROA transcript:A02g509340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTISSKEELLFFSDPTSLERSIRKEKHTSSIDTTSTTSIDTTFTTSIDTTSTTSIDTSDRATIDSSTRTSIDTNPRADIVATLVLQRDENGDLHDPGGHQCNAAGQKIDGQGTAILEPFAATEDAKVPLQRSLVDLIRFYTNRATQILLLDTPTLAQVTSDYFNRQQHGKIDRQQYGSVDREQQKSSDRQPSMPYRTTEKISQQSTEAPKQEQLTIAEIFFVESVNRRHLQGIDRRHLPGIDRHQTDGYEPVMERHATKEEIPVEKRVKSRKRYITKHLRREVNKEELKGFQKRVKRVPKDMSFEDAYHKYRLGNFFKESREPNKDIELLFNKVSRKPKRTLKKEQDPGKFLISCSIHSHHLPNSLYDI >A01g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21780903:21781518:-1 gene:A01g507670.1_BraROA transcript:A01g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAYFRTRAYDDEEATAALKYWGPGTLISFQKKISGGAVKKNGGQGDVVVKVNPGSATGGGRWIHVTQLEVG >A03p022520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9451877:9454240:-1 gene:A03p022520.1_BraROA transcript:A03p022520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 24 [Source:Projected from Arabidopsis thaliana (AT2G39040) UniProtKB/Swiss-Prot;Acc:Q9ZV04] MAKNQQTLARFLFSLVLFLALLLYVDGKTDSHSNGHNNGHNNGHATRRGRWEGKLKMKFYHKTCPEAEDIVNEIVSKKVKANPSLAAKLLRVHYHDCFVRGCDASLLLDSVAGKAASEKEARPNLSLVGFEIIDEIKSILEDRCPKTVSCADILTLAARDAVSYQYGRPLWNVFTGRVDGRVSLATEATRDLPSAGANFTSLLKLFADSDLDVVDLVALSGAHTIGTARCGVFGRRLLNFTGKGDTDPSLNSSYASFLKSKCSDKSQRLINSSAVVGMDPTGALSFDSGYFVSLLQHKGLFTSDAALLTDPSAAHIASVFQNSESFLAQFGRSMIKMSSIKVLTLGDEGGEIRRNCRVVN >A08g505510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9247598:9249461:-1 gene:A08g505510.1_BraROA transcript:A08g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKGNTYNSGRRNFLGIFSFNRANKPPNISRKLKLKIPRKFRRITRNTFSSPFLSSSSGDLSLLPAISPFSRDDLSGSVSLGIFRRQLPRNILRDIGPRTIPTDTLPRNFSTAEVRRNILGIFNFRYSLRILRGNSEEILLSDEKVPTTILVGMSSEYLYSEDIPTILVVGIPVFSCSDRFIDP >A02g510790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28534716:28536951:-1 gene:A02g510790.1_BraROA transcript:A02g510790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELHEGCIVLRRPATPATSLQENSGILTDVPTENEFLGISAWINCMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPNFLPSEYSEEHNSLGIFRGNGILGKFRGSFVPRNFPRNSIPSENSEGKYVPRNFPRNICSSEFSDKHSEECFVETSEDLTIGIPSVYSEEPSDENMFLGVSSEFCFLGIPSEISDGIPRKYEFPRRYFRGLFSSVCRRNSVIPTTYRRFFPSVSRCFLVVHEGRTWKCQILYRMQSCDDQTPSR >A04g501270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3350915:3351445:1 gene:A04g501270.1_BraROA transcript:A04g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQTDAHTWLKHNSDQAHAVQYAPQTGHEINKISTYVHRSLKELTGSFEMEVRCRRSKTSPQNRQVHGGYSSPFRSRGKRCVCPLNLCFQNSHILETSQLLTNKGMPCLLMLETRKRGSQRFATKTPYSVSEWRSSKDLNLGPTMLNVNRRRGGAEKQEAAMLQLTGELLELDLT >A08g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6409479:6410113:1 gene:A08g503660.1_BraROA transcript:A08g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKHPGSASMASLREIEVTINSTRGIRTINSVTIESNSKLTLNHQTLCFRNASLTPRVPNRDHFVTKPETTLVDQQLRSYNYSSRRNPSQNCGHHSWWIVHAP >A09g502290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7709242:7718079:1 gene:A09g502290.1_BraROA transcript:A09g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDALLPVIFQRKPLSDSRSDDLILESRRRQPIKVHRAVILLLVALYVTLIVIHNESASKNGGSNETTTKSPAPLAGVSEKRNFRKTEPFAWKNTMLSWQRTAFHFQPEKNWMNGPLFYKGLYHFFYQYNINGAVWGDIAWGHAVSKDLIHWFHLPLAMVHDQWYDANGVWTGSATLLDDGSIVMLYTGSTDNFVQVQNLAYPEDPSDPLLLKWTKFSGNPVLVPPPGIGAKDFRDPTTAWKTLAGKWRITIGSKVNRTGTSLVYDTTDFKTYEKLDNQLHQVPDTGMWECIDFYPVSKTEINGLDTSVNGPDVKHILKASMDDTRIDHYAIGTYYDSNGTWIPDDPTFDVGKSTSLRYDYGKFYASKTFYDQNKRRRILWGWIGESDSEAADVQKGWSSVQSIPRTVVLDTKTGKNLVQWPVEEVKSLRLSSKKFDMKVKPETVVQVDVSSTAQLDIEAEFEINKEDLKKITGDESVEAEKDFSCETSGGSTVSGALGPFGFSVLTDESLSEQTTVYFYVTKGKDSKLKTFFCTDTLRSTMANDVVKSVYGSFVPVLKEEKLTMRILVDHSIIEGFGQGGRTCIT >A05p010750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4577378:4578911:1 gene:A05p010750.1_BraROA transcript:A05p010750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase 15 [Source:Projected from Arabidopsis thaliana (AT2G36710) UniProtKB/Swiss-Prot;Acc:Q9ZQA3] MPIKPVVTTVIAIFFTILALYTIPLPSSLSSIATTIITNPQLEPLSIYSTFFGHRHHHHHHHHHHVPIKCCEKWTSKLTHLYKTSLVLTVDLNGCGNFSNVQSAIDSVPDLSPSKTLIIVNSVSREKVTVNENKTNIVMQGRGYQNTSIEWNDTAKSAGGTAYSFSFAVLAANFTAYNISFKRWKNNAPEPDPGEDDAQAVALKIEGDQCAFYGCGFYGAQDTLLDDRGRHFFKNCFIQGSIDFIFGNGRSLYQDCTINSIAKENTSGVSGSITAHGRQSEDEQTGFSFVNCKIDGSGNMWLGRAWGAYATVVFSNTYMSGIITPEGWNDWGDPTREKTVNFGEHKCYGEGANYKRRVSYGKQLTDSEASSFTDISYIDGDQWLSQTNILSELTSEENIDELIGLN >A02p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14434798:14435375:-1 gene:A02p028620.1_BraROA transcript:A02p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLYYGDNHSAVVQLHGRADMEKPIDENLSGGKLIVLDVGLKHCGPCVKVYPTVLKLSRSMSETIVFARMNGDKNDSCMAWIRPCSMEILKDMNVMEVPTFLFITNGDICGRYVGSSKGELIGEILLYTGVRVTYTKLST >A05p010950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4656593:4657519:1 gene:A05p010950.1_BraROA transcript:A05p010950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVACYSEHAINVSDAYCSGPSNNSYISPTLHPDTVTTTYKTNLPSSDKPVSVSLTWSDNLTVVISTQPKSYSVSLKKPKGSRNLTSSSGSLNAEILWDLTEAEYGNNGPEPTRRFSVAVVVNSEITLRVGDVRDTSSWRVSRTERFSGTCWLSTKAKFSDAGAKHEIQIQCGGGGGGSGGEEGYLWKLKRPETMSVWVHKKKVFEVKKLKWNFRGNQTMFFDGMLIDMMWDLHDWFFREPASLSSTSSSSSSSASSSSPPCAVFMFRRRSGLDSRLWLEEDEEENKKIGVREAKSFSLVICVSKK >A10g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2243285:2246287:-1 gene:A10g500760.1_BraROA transcript:A10g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIFVSCFSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWKKSSGLLGSRLDFSERFGFSDLEDFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVSKTSGTTYLLVVWKSSGSLVWTSCKVVWKSSEFPKSLLAKSSELPGSRLDFLEVKSSGLLKSCLVLSTQVESKLVFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKKIRIKILSFFSSLWRESERYVVFSSQEWKKKKDKSILGALRASIWLFMVVVVLMTMAIL >A09p010770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5455074:5458373:-1 gene:A09p010770.1_BraROA transcript:A09p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCVSRSLRAPSSRTSLSGSRFSRFFSSSSSQTGDYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMSEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMASDGTCQGVIALNMEDGTLHRFRSAQTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPHKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQRPLEENAGKKTIEWLNKLRHSSGSLPTSSIRLNMQRIMQNNAAVFRTQETLEEGCQLIDKAWESFEDVQVKDRSLIWNSDLIETIELENLLINASITMHSAEARKESRGAHAREDFTKREDGEWMKHTLGYWEDEKVRLEYRPVHMDTLDDEIETFPPKARVY >A04g504950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10681769:10682872:1 gene:A04g504950.1_BraROA transcript:A04g504950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRPSPSSKCSSSSSSYSLAATSLNSRLLTVFKKAQELTTLCDIEACVIHYGPDGELKTWPEDRDKVRSLALRYIQLDQDKRRKKSINLYEFLNKMKDKKKMINSFKKKAKRNVEELKYPISHHYSPYQINQLIQSLELSYSTLQERRRFLAAKANLEDRQHSFNPSQFTQESVLKNQELCVNVKNNNSFQHLCVSDYSTVQESALRYHSMLYGGTYDQNMMCMGNINNVQHPWLSNAHPPELQEPNQLMQHELNYGFDQNMLCMGDTTNSFSVVDPCLPNMLPDDFCFDFQDPYGGNMVGNPSFSQVFFSRHVFKLCLWEPTTSGVYSTISLAPTLLTKTLRFQAIYLMITESEAVYASACFYF >A06p019270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9381817:9382236:-1 gene:A06p019270.1_BraROA transcript:A06p019270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLFHVLNTGNDNMVFLFFYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDDEDEE >A09p018670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9859413:9861824:1 gene:A09p018670.1_BraROA transcript:A09p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGWSGLTLDSSSLDLINPNRFKNNYHRRFLNPLTMSRMGDEDDQKTNMSIDGSEFRFPTGLNLRTTVNARSDQSVIDNGESSEMEDKRANNELVKLQDELKKMTMENEKLRELLTQVSNNYTSLHMHLVSLMQQQQQQQNKALEAAGKHEETIVPRQFIDLGPSRASDEAEDLSNSSSEDRTRSGGCSAVERRNNEVRDGKRLGREESPETESNKVQKVNNSSPPTFEQSTEATMRKARVSVRARSEATMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAVAMASTTMAAANMLLSGSMSSHDGMMNPTNLLARAVLPCSTSMATISASAPFPTVTLDLTHAPPLPNGSSPSTAAATNNHNSLMLRPQQQMTNLPPNMLPHVIGQALYNQSKFSGLQFSSGSPSAAQSHAVADTISALTADPNFTAALASVISSMINGSNHHDGEGNNKNQ >A06p048360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25619292:25621564:-1 gene:A06p048360.1_BraROA transcript:A06p048360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTHSRGIRRPRRKGRRRSSKHFSKVSDIVPHANTRRSSDVVSRVSFATSQDDAWFDSVSVLDSDEDEDFVSLPEDNVSSPSGATGNIPNGQVVQFESSSCIVDGKGKYEEYHESYLKIDKSKTLGKGVHKDPSGGLSVITGNSKKNLMNHASFKGLKEQKRNSQEKTLKSSLSRLMPTVSFNDKTLNSPTSQKRKSAVYRLSFKRRSCDGEEVTEQRKLLYRPKAGFTIPCSAKEKQSSGSWCEIPPSTFKLRGETYFKDKKKSPAPNQCAYTPIGVDLFVCPKKIDHIAQHIELPNIKSEAKLPALLIVNIQVTASEISMASPSLLPTYPAAMFLGDSDGEGMSIVLYFKLQENFEKETSQQYQDSIKKLVDDEVEKVKGFAKDSNVAFRERLKIVAGLVNPEDLTLGSTEKKLVQAYNEKPVLSRPQHNFFKGPNYFEIDLDVHRFSYISRKGLEAFRDRLKNGILDLGLAIQAQKPEELPEQVLCCLRLSQIDFVDRGQIPMLLIPEEGETLV >A08g510630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24670124:24670751:-1 gene:A08g510630.1_BraROA transcript:A08g510630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWRVGLGSLEKHGGGVVEWFLWVRGVVGVAVWCQIGTLVARSISFLLLSLFTLLRRVKELVWLNASWVSLGGGAAVLLPLVLLVSGGVSLRRSALSRKRLCVVFVPSREDQRRVLRRWGVCEDISCWSCQLRRSFSVAMRAEIAGYDGVWRHKDASSPNKARPFHSGVLGHESSGLFLKAAD >A01p044510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24304215:24305631:1 gene:A01p044510.1_BraROA transcript:A01p044510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKCDYCATTQAIIYCKYDLAKLCLKCDVHVHSPNPLSRRHMRSLICEKCFSQPAVIRCLDQKVSYCQGCQWHVRSCSALGHRLQSLEPFSGCPSPTEFSRMWSSILESSVSSLVNPSIGSLPLVDPNNDMFEMSKINELDDLIGSSYSMMSQNITYIQNLSDQSSFFSGDSKGCPDLILKLEEGEEDLCEGLNLDNAPLNFDVGDDIICCSPQEHIESDQTLPNGPLAYKNIISVTDSNFTTDNPLEATSTGHQDCKSYHQSGPLQMNINIGLPLPTSPILFGQINPNIKGHESTAVEYQDCGMSTGLIMSDSPWESNLEVGSPQTRNEAKLRYKEKKLKRTFGKQIRYASRKARADTRKRVKGRFVKAGDNYDYDPSSPTTNQ >A04p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14274575:14274917:-1 gene:A04p023570.1_BraROA transcript:A04p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASRYKKFKMKRIFIAYKIRSTSMDYREHIASFDMSTLWYGLQRDFKITYIHWGQNGTPYHLVTTLKSVNMNICFVGYSIPI >A08p043650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24268824:24269337:1 gene:A08p043650.1_BraROA transcript:A08p043650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGAASGTTKGGRGKPKATKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGAVTIANGGVLPNIHSNLLPSKVGKNKGDIGSASQEF >A03p007590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3134544:3135089:1 gene:A03p007590.1_BraROA transcript:A03p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQDYFHLNPYYNNLNPSTNNNLNLLSYPQIQEFNNLQSPASNNNSTTSDEATEETFVISERKQRRMVSNRESARRSRMRKQRHLDELLSQVAWLRSENHQILGKLNKATDSNDLVLQENLILKEENLELRQVITSMKKLGGAEGGSTSFHGIYCSSSLDHDLDQDFSCITNDPKTHHPS >A01g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25396243:25396665:-1 gene:A01g509380.1_BraROA transcript:A01g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSFPCVVRVIVIKKTLIEHAEKLRLVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A04p031490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18544969:18546382:1 gene:A04p031490.1_BraROA transcript:A04p031490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSSADGMDFSPLITVLEGDFNMEKASAVETDTLDDSTKQLSKGKPPRHISSMQHITSTTTSRLQEVDVCSLVMKSPDEKSEFLPVYRSGSCAEQGAKQFMEDEHICIDDLVDHLGASVDFSSLGAFYGVFDGHGGTDAALFVRKNILRYIVEDSYFPLCVKKAIKNAFLKADYEFADDSSLDISSGTTALTAFIFGRRLIIANAGDCRAVLGRRGRAIELSKDHKPNCIAEKVRIEKLGGVVYDGYLNGQLSVARAIGDWHMKGPKGSACPLSPEPELQETDLSEEDEFLIMGCDGLWDVMSSQCAVTIARKELMIHNDPERCSRELVREALKRNTCDNLTVIVVCFSPDPPQRIEIRMQSRVRRSISAEGLNLLKGVLDGYP >A05p015400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6857203:6857757:1 gene:A05p015400.1_BraROA transcript:A05p015400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSNETQPTVVVSSPARRISLRQMTLSDVDHYMVWATDAEVARFCSWEPCTSREEAIKYITDSVLTHPWLRAICLEDDRPIGYILIMPVDNIRKEIGYVLARKYWGKGFATEAVRLVTAEIFKEMPEIERVEALVDVDNVGSQRVLEKVGFTREGVMRNYIIMKGSVRDMVMFSFLPSDPFK >A06p020570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10087178:10088959:-1 gene:A06p020570.1_BraROA transcript:A06p020570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g49240, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G49240) UniProtKB/Swiss-Prot;Acc:Q9M3A8] MSFAKAFFFNHLQTLSRPRLPPSFSPVRHMSFATQEEAAAERRRRKRRLSLEPSLNPLNRSPQQQQPQITRPIQNPNIPKLPESLSALTGKRLHLHNHILKLIHENDLEEAALYTRHSVYSNCRPTIFTVNAVLTAQLRQSKYEAFMQLHGFINQAGIAANIITYNLLFQAYLDVKKPELALEHYKLFVDNAPLNPSVATFRILVKGLVDNEKLEKAMEIKEEMGVRGFIADPVVYSYLMIGCGKGSDGGGVLNLYEELKEKLGGFVEDGVVYGPLMKGYFLKEMEEEAMECYEEVLGEESKVKMSAVGYNYVLEALSENGKFDEALKLFEVMKKEHKPPRRLALNLGSFNVVVNGYCGEGKFEEAMEVFRGMGEFRCSPDTLSFNNVMNQMCGNGLLAEAEKLYIEMDEKNKVKPDEYTYGLLMDTCFKEGKIDEGAAYYKTLAESSLRPNLAVYNRLQDQLVKSGKLDDARSFFVMMVSRLKMDDDAYKFIMRAFSEAGRLDEMLKIVDVMLDEDTVRVSEEIQEFVKEELRKEGREGDLQKLMDEKERLKAEAKARELEAEEAKKRSIFNNIASILPLKREEEKSEDDLG >A09p031400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18965042:18968052:-1 gene:A09p031400.1_BraROA transcript:A09p031400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREENKSLINEVFSWSLQKILNKDIFKERIRTIPEKFWSVDEYLNCFVPHLLEETRTELCSSLGTLSKAPVFYIRSVEARTIKETSRRSNHFNISLKGVDVERNNTIYEPKCGDLIALTEAGRPRSVNDLNPLVLAYVFSVEDDTEHSVSSRTWGNNIMDIIRSTNLNSSQESAVLSCLETRCFSEKTSVKLIWGPPGTGKTKTVATLLFALLNLGCKTVVCAPTNTAVVGVASRLLALVKESSSSEHSTYGLRNIVLSGNRVRMGIDSKNSDLLDVFLEHRISKLSELSLLLSGWELSLSSVIDFLEKSESNYKTYILSSEKKKGEDKRSILESFGEFVKKMFYGSSQKLDKKKEKILTFGEFIKKEFHILSQELDKVMDHMEDHVVDMYTHLPKSSISSIDVKNMITARRALHNARSFLKKNSSKHDFEIRSFVLYCLEPIRLLPKRFRIPTLLENEDGMRFCLQNACIIFCTASGASQMTVERTGSIELLVVDEAAQLKECESVAALQLQGLRHVVLIGDELQLPAMVQSEVCEKAKFGRSLFERLVLLGHNKHLLDVQYRMHPSISLFPNMEFYGGKISDAAIVKERGYQKRFLQGNMFGSFSFINVGLGKEEFGDGNSPKNMVEVAVISEIISNLLKVSSETKTKMSVGVISPYKGQIRAIQEKIGDKYNSVSDQLFTLNVRSVDGFQGGEEDVIIISTVRSNGNGKIGFLSNRQRANVALTRARHCLWVVGNERTLTMSGSVWTKLVRDSKRRGCFYDAIDDKNLRDAMNDAMLKVDMSDVYSSFGSLSIRRGRMNA >A07p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23342190:23342523:1 gene:A07p043110.1_BraROA transcript:A07p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKLMTTFALVAMAAISCDLFNVETGIFVQAAAPICGRVCSEKFEDGKCDKYCVGLSYKNGFCFQSDPKISTYRCCCSSD >A03p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:970718:974939:1 gene:A03p002010.1_BraROA transcript:A03p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPPPHHESISSRWSFSSKDKEVTFADLGSKRIRHGSAGADSEMLSMSQKEIKDEDARLIYINDPDRTNETFDFTGNSIKTAKYSVFTFLPRNLFEQFHRVAYVYFLVIAVLNQLPQLAVFGRGASIMPLAFVLLVSAIKDAYEDFRRHRSDRVENNRLALVFEDNEFKEKQWKYIRVGEVIKVVSNQTLPCDMVLLATSDPTGVVYVQTTNLDGESNLKTRYAKQETLQKATDLETFDGFIKCEKPNRNIYGFQANMEIDGRRLSLGPSNIILRGCELKNTEWALGVVVYAGSETKAMLNNSGAPSKRSRLETRMNLEIILLSLFLIALCTTAAATAAVWLRTHRDDLDTILFYRRKDYSVRPEGKNHNYYGWGWEIFFTFFMAVIVYQIMIPISLYISMELVRIGQAYFMTRDDLMYDESSNSSFQCRALNINEDLGQIKYLFSDKTGTLTDNKMEFQCACIGGVDYSGREPAESEQEGYSVEVDGVTLKPKMRVRVDPSLLQLTRNGNSTEEAKRANEFFLSLAACNTIVPIVTNTSDPNVKLVDYQGESPDEQALVYAAAAYGFLLIERTSGHIVINVRGEMQRFNVLGLHEFDSDRKRMSVILGCPDMSVKLFVKGADSSMFSVMDEESYGDVIEATKKQLHAYSSDGLRTLVVGMRKLNDTEFEQWHASFEAASTALIGRAGLLRKVAGNIETKLRIVGATAIEDKLQRGVPEAIESLRIAGIKVWVLTGDKQETAISIGFSSRLLTRNMRQIVINSNSLDSCRRSLEEANASVASDDEESVALIIDGTSLIYVLDNDLEDVLFQVACKSSAVLCCRVAPFQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLILFWYVLFTCYTLTTAITEWSSVLYSVVYTSFPTIVIGILDKNLGRRILLSHPQLYGVGQRAEGYSTTLFWYTMFDTVWQSAAIFFIPLFAYWGSTIDTSSLGDLWTIAAVVVVNLHLAMDVIRWNWIAHAAIWGSIVAACICVVVIDVIPTLPGYWAIFQVAKTWMFWFCLLAIVVTALLPRFAIKFLVEYYRPSDVRIAREVEKLRSFSESQQNMGTEMNQIRDPPRR >A06g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10174950:10177378:1 gene:A06g503260.1_BraROA transcript:A06g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVIEANDSGSVRKTTYLGSRLAVDDLPGSRLAVDDLPGSRLVNAEKFDFPRRLTFQSRRLNFQSSEITDFKVNCKNNLCVDQTTSFLYKDKLGSHLSRQDQRTFKKSRRLPDDFVRRLLESSDDFHTTLHEVQTTFRKSRRLPDDFQTSSRRLTVRTLYNKKLPNEEKSDIRTYQNAQKNPDDFLEVQTTSWKSRRLCQKTSKKSRRLPDDFKTTNRYEKPAYPKTFK >A09p072020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55378145:55379780:1 gene:A09p072020.1_BraROA transcript:A09p072020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITLNSGYKMPIVGLGVWRMEKEGIRDLVINSIKIGYRHLDCAADYKNEAEVGEALSEAFKEGLVKREDLFVTTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATKHTGVGTTDSALGDDGVLDIDTTISLETTWHDMEKLVSMGLVRSIGISNYDVFLTRDCLAYSMIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGATANAEWFGTVSCLDDPALKEVAEKYKKTVAQVVLRWGIQRNTVVIPKTSKPERLEENFKVFDFELAKEDMELIKSMDRKYRTNQPAKFWGIDLYA >A10p018890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:13071513:13073168:-1 gene:A10p018890.1_BraROA transcript:A10p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRKPRFFFSLLKPFNPRSFATVGTGEKLSVRTRLENQRKAQTAMLDYFYITRGLQFLVAESMSKNAPLFNDNLLEKLDCDTPADDADIALSITRFLRFHPINEFEPFFESLGLKPSEYNHLLPSDKMFLNEDAFLLENYNTFWIYGIGLKQMGKIFKEARGAFGYETGGLASKIKAFEDLGLSKSFVSRIIVCSPRILVGDMNVEVGKALEILKTIGIGVEWVNENLSEDEEESYDWRFMHRVLSLLRGLFVNDSELRELVKKHPRLVFEDSGEWTLFLAGFLTKLGSSKSELSSFFLKFPETEVKKCVLNLRHCFLFLKKIKMECDEMSKIFRSHSSWLGACSLKKPRTLLDRLNVGTRRLCQVIQENPEVMKKWTMGLKVQPLPPTGEEETSRLMKTQFLINLGYEENSEEMERAVKKFRGRGSELQQRFDFLVGLGLNEKDVRNMVNAFPQILCQASDLLEAKINYIVNELGYPFSIFVSFPSCLVFTLQRMKLRLGMIPFMEGKVKAISSLLGCSDQHFVSHYVNRHPDGPKHWEDLKKQILYE >A07p019200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11420674:11421929:1 gene:A07p019200.1_BraROA transcript:A07p019200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTATKSSNALEGIHGVHVVSPFSYDRTTQVGDFQTNKSSEMGTNQRLFIERVWQQRPPCLRPIHCCIRGDQSVLETAANVATSLPFIFLGMQAPRKNLNTKVYANSLIGVGIASSLYHASRGKLRKYLRWLDYTMIATTTVCLSRALRNENPKFLMAASALVLPFQPLMVSAVHTGMMEVAFAKRSVKDPDLKTAHIVHKMSSLLGGALFIADDFFPETQFLHAGWHLAAAIGVGTCNKLLE >A05p049130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28629666:28631290:-1 gene:A05p049130.1_BraROA transcript:A05p049130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) UniProtKB/Swiss-Prot;Acc:Q67Z52] MATSRLQMEGDDSVLLHVTHSNLKSFAADVRFSPQMNVEAVKEKLWRKCGTSVNAMALELYDESGSKVAVLSDDTRPLGFYSPFDGFRLHIVDLDPSSVTTGGWLEDTSLVEKYTISEEDYAKRTDSYRKFKEKRVAQNPAASEVKTKEEDFMEDLCANIKVGDRCQVEPGEKRGVVKYVGRAESLGPGYWVGIQYDEPLGKHDGMVKGTRLFECPQLHGGVVRPDKVKVGDYPERDPFEEDEI >A05p006240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2463693:2465033:1 gene:A05p006240.1_BraROA transcript:A05p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A(1) DAD1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44810) UniProtKB/Swiss-Prot;Acc:Q948R1] MRFSLSPARPYSVVPSLPNHDVVSHVNVTMSWNRKCRCVLTLPSPTISTSRSPVIPKPEMWEGLLLNQDHSSDELSSSGSNNPVKLNRRWKEYQGLQNWEGLLDPLDDNLRGEILRYGQFVESAYQSFDFDPSSPTYATCRFPRNTLLDQSGLPNSGYRVTKNLRATSGINLPRWIEKAPSWMATQSSWIGYVAVCQDKEEISRLGRRDVVISFRGTATCLEWLENLRATLAHLPDGPSGPNLNGSNSGPMVESGFLSLYTSGAHSLRDMVREEISRLLQSYGDEPLSLTITGHSLGAAIATLAAYDIKTTFKRAPMVTVMSFGGPRVGNRCFRRLLEKQGTKVLRIVNSDDVITKVPGVVLDNREQDNVKMTASMPSWIQKRVEETPWVYAEVGKELRLSSRDSPYLNGINVATCHELKTYLHLVDGFVSSTCPFRETARRVLHR >A10p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1260218:1261150:1 gene:A10p002400.1_BraROA transcript:A10p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSASMIDTVHGEVEVTTKHMEASMSDCIKLPTKRKAETSLVADSVEGEGSRQEEEEKDSGESDQVWDVDSFDSDYSSPEESASDTDEKELRRYLRHVYESRGFLLEKEMVPKNLFQGWRRLNLDAVFKKPNITGRDYMETMAQVAIDKYNQTKNKTVTLDHIVRAVKRMSHGVKAYITFMAKESPDGELVEYQAKAEKKVWQTKIHPILCRPSSSS >A10p000580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:318052:318879:-1 gene:A10p000580.1_BraROA transcript:A10p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RCAR1 [Source:Projected from Arabidopsis thaliana (AT1G01360) UniProtKB/TrEMBL;Acc:A0A178W4H4] MDGGTEMYGGLETMQYVRRHHQHNCREDQCTSALVKHIKAPLRLVWSVVRRFDEPQKYKPFVSRCTVIGDPEIGSLREVNVKSGLPATTSTERLELLDDDEHILGIKIIGGDHRLKNYSSIVTVHPEIIEGRPGTMVIESFVVDVPQGNTKDETCYFVEALIRCNLKSLAHVSERLASQDIINLSI >A03p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18698582:18700194:1 gene:A03p044750.1_BraROA transcript:A03p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFIFQAEASPSITVDAFRDGLYSFEIAESYCLLTDTVVISEQETEDMQSKSESGNQIVSEAHHHTSINSLNPWLRAAAACNANSFVEEAGDKSSNGSKSPSNRDENGNKESQVTTSPQQSGAVDLSSLGAYYKICFLLVSFVTVFRYAARDYSEKSQELVHPGSTPPPHPQLVSHAVGWASSNPYQDSYYAGMMGAYPLTYVPYGGMPHSRMELPPEVAQEPVYVNAKQYQAIMRRRQARAKAELEKKLIKSRKPYLHESRHQHAMRRPRGTGGRFAKKTNTKASQQKDGEKTTESPTSSNSDQPEGCSDEYRTHQSEEMQSSAYKRREEADCSGQQWNNISSNHPSQPLLAIK >SC209g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000067.1:8933:18509:1 gene:SC209g500020.1_BraROA transcript:SC209g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREKMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQQTVLKLLASMESSYGWLVEMVLRGEQLPEMEKICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVFMEHSSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDHDGSNESGAQSRVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHQVVSEQLWKGSTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNKSSKHKWYKEEEAGRGQQSYKQPAHTLSRPHQASRTPKSNIHSSYNQIVTKSQLYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHQSDFRFKCVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYITSFDMITHLTCPKRAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDVTCFILIKEAPPDATYKPKPIKYNFGIILLLYDVFACVHLSCFNVSGLSNASGVRRAKWISPFYLIEAVSDNAYQRGLQGNTDLRTNLFEVGGDDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYIRVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHHSLS >A04p009430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7724408:7726222:-1 gene:A04p009430.1_BraROA transcript:A04p009430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTKTVLIPIAHGTEPLEAVAMIITLRRGGADVTVASVETQVGVDACHGIKMVADTLLSDITDSIFDLIVLPGGLPGGETLKNCKPLENMVKKQDADGRLNAAICCAPALALGTWGLLQGKKATGYPVFMEKLASTCATAVESRVQIDGRIVTSRGPGTTIEFSITLVEQLYGKEKADEVSSILLVRPNPGEEYTFTELNKTEWLFEDTPQILVPIAEDSEETEAIALVDILRRAKANVVIAAIGNSLEVVGSCEAKLVADVLLDEVAEKSFDLIVLPGGNGAQRFASCEKLVNMLNKQAEANKPYGGICKSPVYVFEHNGLLKDKKATTHPRVSNELSDQSHIDHRVVVDGNVITSRAPGTAMEFSLAIVEKFYGREKALQLAKATLV >A07p048390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25856942:25857786:1 gene:A07p048390.1_BraROA transcript:A07p048390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKKKTKTMEDHGSLLYRNMEGKQGFFDEIDYEVSSILLELSHPVVFSSDPPLFHKWGRTKKRSCTVFLRPPKISPPCAVIAEMGSTSSSSCLTGDAKKTYPQSMMKGSMTNHSSKLKITSQPKASSCSVLETETGLIRAQVGLLLAQPIGENLLHQQPSIYAGDPTVNKRDNSEQRGFDLNLPAEEEGNLIATTTIVDFESAVTKAQAAAQARQKRLELIRSKKRF >A06p056830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29571771:29584539:1 gene:A06p056830.1_BraROA transcript:A06p056830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHKNVAAKPGTLPPEVAAAVNGVALCGTLMGQLFFGWLGDKLGRKKVYGITLIMMVVCSVASGLSFGNSPKGVMTTLCFFRFWLGVGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGIVALIFSSIFDHQFPSPIYSVDPVGSTVPQADYLWRIILMVGALPAGMTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVDLEAEEEIAEKVVQDPKLNYGLFSKEFLKRHGLPLLGTTSTWFLLDIAFYSQNLFQKDIFSAIGWIPGAATMNAIHEVYKIGRAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFFMTVFMFAIAFPYDHWIKPDNRIGFVIIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSKDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLMTFLVPESKGKSLEELSGENVNDETDSVIELKPMAETQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRIYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMILCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGIGILAGGFVALAVSSIFDKKFPAPTYAVNRVLSTPPEADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFLKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKASTMNAVHEVFKIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETEEQERRPKLKMAEQQLGVLKALDLAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMILCSVASGLSFGDKAKGVMTTICFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKNFPAPSYAVDRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVDLEMEERAEDFIKDPRLNYCLFSKEFAKRHGLPLLGCTSTWFLLDVAFYSQNLFQKDIFSAIGWIPKAETMNGINEVYMIARAQTLIAFCSTVPGYWFTVAFIEIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPENRIGFLVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTEAGYPPGIGVKNSLIMLGVINFIGMLFTFLIPEPKGKSLEELSGESEVRKSLGSHNVVFSTSSC >A05p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9250191:9256546:1 gene:A05p019720.1_BraROA transcript:A05p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTGADDDEKAHSLQAEFASGDDKEELRSQWATVERLPTYKRITTALFQRRDESSDKGRVIDVTKLEAAERHFLIEKLVKQIQADNLHLLRKIRKRIDEVGIELPTVEVRFSDLSVEAECEVVHGKPIPTLWNSVKGILSEFICSKKETKISILKGLSGIVRPGRMTLLLGPPGCGKTTLLQALSGRLAPSVKVGGEVSYNGCLLSEFIPEKTSSYISQNDLHIPEMSVRETLDFSACCQGIGSRMEIMKEISRREKVREIVPDPDIDAYMKAISVIGLKNNMQTDYILKILGLDICADTRVGDASRPGISGGQKRRLTTGELVVGPATTLFMDEISNGLDSSTTFQIVSCLQQLAHIAGATILVSLLQPAPETFELFDDVILMGEGKIIYHAPRADICRFFEDFGFKCPERKGVADFLQEVMSKKDQEQYWCLRDKPYSYISVDSFIDKFQESNLGVLVKEELSKPFQKSQSRKDGLCFRKYSLGKWEMLKACSRREYLLMKRNSFIYLFKSGLLVFNALVTMTVFLQAGATTDARHGNYLMGSLFTALFRLLADGLPELTLTLSRMGVFCKQKDLYFYPAWAYAIPSIFLKIPLSVFDSFLWTLLTYYAIGYSPEVGRFFRQFLILFTFNISCVSMFRAIAAIFRTFVASSIIGAISVLFLSSFGGFVIPKSSMPAWLEWGFWISPLSYAEIGLTANEFYSPRWRKLISGNTTAGEQVLDVRGLNFGRHSYWISFGALIGFIFFFNVLYALALTYRNNPQRSRAIVSHGKNIQPSEEDFKPCPSRAKTGKIILPFKPLNVTFQNVQYHIETPQGKKRQLLSDVTGALKPGVLTSLMGVSGAGKTTLLDVLSGRKTRGDIKGEIRVGGYPKVQDTFARVSGYCEQFDIHSPNITVEESLKYSAWLRLPYNIDSKIKNELVKEVLETVELEDIKYSMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVAETGRTVVCTIHQPSIDIFETFDELILMKTGGQLVYYGPLGKHSSRVIDYFERIPGVPKIQKNCNPATWMLDITSKYTEEQLGMDFAQAYKDSTLYKENKLVVEKLSSSSLGSEDLSFPSRFSQTGWGQLKACLWKQHCSYWRNPSHNLTRIIFMFLNSTLCGLLFWQKAKDINNQQDLFSIFGSMYTLVIFSGINNCATVMNFIATERNVFYRERFARMYSSWAYSFSQVLVEIPYSLLQSLLCTIIVYPMIGYHMSVYKMFWSWYSTFCSLLVFNYCGMLMVALTPNIHMALTLRTTFFSMVNLFAGFTIPKQKIPKWWIWMYYLSPTSWVLEGLLNSQYGDVDKEIIAFGETKRVSAFLVDYFGYRHDSLALVAFVLIAFPIIVASLFAFFMSKLNFQKK >A01p044020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24598206:24599147:1 gene:A01p044020.1_BraROA transcript:A01p044020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKILRRSFHHLPNLASHFRVASHPLSSRFSSSETGKDSGLSGCSIETVDDAAWDVSSSISQVWREFRAESAKSSSSTTQGGETLVPDLDHDEIDNMRIRGDLFYKLDRGSKEFEEYNYDFHRKNHHQKTKNNQEETKMKKEAAKSNQEVREEYKKRDAPRINTITGETDHVNAAAKKRERTLTFNQLTAPYHYPFCLDIYISKASVRACVIHRVTSKVVTVAHSISKDMKFDLGSTRNAVACAAVGTVLAQRSLEDDIHDVIYTPRKGDKVEGKLQVVLQAIIDNGVNVKVKLKQRKLKKKTSHHQAMPYT >A09p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3827782:3828548:1 gene:A09p007240.1_BraROA transcript:A09p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEIREHGGHTDPKPSAPPPKRGLISRKRQLVFLSLMILLAAKGLVGIGEVAFVILSYIYLYEFISRFAFPRKQNEQKRRLSNPKNKLFQAYFLATAIIGLLFPICYIGDGLYRGDIHGVRAAAPHLFLLSGQAFTEPIGFSDRFATPIGILGPVFYNARRIFALLDWVKAEFSDTQRPGGPVRLYGGRAIASVNTVMWFYNLFGLLLPVFLPRSCEIYFSADDNKVD >A03p040900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17066094:17078495:-1 gene:A03p040900.1_BraROA transcript:A03p040900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIKEIFSKDNFSKNKKLVLLSATVALLLVTAIAGITVGASKANENGKRTLTPSSHAVLTSACISTRYPELCISTVATSGGVELTSQKDVIEASLNLTKTVVEHNYFTVKKLIKKRKGLTPREKTALHDCLETIDETLDELHEAVEDLHLYPNKKSLREHAGDLKTLISSAITNQETCLDGFSHDGADKKVRKALFKGQMHVEHMCSNALAMIKNMTDTDITNFELKAKFTSNNRKLKEETTVAIDMASVGDLDAEGWPTWLSAGDRRLLQGSTVEADATVAADGSGTFTTVAAAVAAAPENSNKRYVIHIKAGVYRENVDVAKKKKNIMFMGDGRTTTIITASRNAVDGWPTFSSATVAVVGERFLARDITFQNTAGPSKEQAVALRVGSDFSAFYQCDIFPTYLGRPWKEYSHTVIMQSDISDVIRPEGWFEWSGTFALNTLTYREYANTGAGAGTGDRVKWNGFKVLTDAAEAEPYTAGQFIGGGGWLASTGFPFSLAKGYFKVDDEAQEMALKKNAYKRLFIVVASVVVFLGIIIGAAVAVARSQKNDPHGSSPSSTPKLTPAASLKSVCSVTRFPDACISSISKIPSSNTTDPVVIFRLSLKVVVDELASISDLPKKLAEETDDEGIKSALRVCDEMLDVAMDSVNDTVSSMEVGDGKNILNSGKIEDLKTWLTAAITYYETCFDTLAEISPNQSESKTSIVSKKLTSAMKNSTEFTSNSLAIVAKILSTLSDFRVPVHRRRLLSTNSFPSWVSPRARRLLSAKSVKPNVIVAADGSGDVWTVNEAVVKIPKKSNATFVIYVKAGTYVENVVMDKNMRNVMIYGDGKSKTIISGSKNYGVDGIKTYYTATLGVLGSGFIMKDIGIINTAGPEKGQAVAFRSGSDHSSEIGALVGAAGWMRWDAGVDPPSTILYGEYKNSGPGAGVTKRVNWAGYKPTMSAAEAGRFTVDAFINEEDWLPAMGVPYQPSFF >A02p000650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:357988:359315:1 gene:A02p000650.1_BraROA transcript:A02p000650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSCWRSKISPTKNDGLTWYKDLGLHTFGEISMAMIQANSVMEDQCQIESGPLTFNNLAVQGTFVGVYDGHGGPEASRFIADNLFPNLKKFASEGGEVSEEVMRNAFAETDEDFIKAVKKQWRKNPQMASVGSCCLAGVICNGLVYIANAGDSRAVLGRSERGGVRAVQLSVEHNANVESARQELWSMHPNDPNILVMKHRMWRVKGIIQVTKSLGDAYLKRAEFNREPLLPKYRVAEHFTEPILSADPSVTVTRLKAEDEFMILASDGLWEHLSNQEAVDIVHSSPRQGVARRLVKAALKEAAKKREMRYSDLQEINPGVRRHFHDDITVVVVYLDPQMVQANGWASPLSVRGGYPKH >A01p007900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3831570:3832169:1 gene:A01p007900.1_BraROA transcript:A01p007900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTYNENGTCLTTISVVMFLNSQPEQTNKCEEGLKGLGTCRKLRDVDYGCSETVKLQGIQNELEMTSLAVHK >A07p004500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2819224:2821056:1 gene:A07p004500.1_BraROA transcript:A07p004500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKNNLRYQPRKSASRSTQAFTVLIGLLVVIMILLGLGILSLPNANRNSSKPNDLTNIVRKSQEERNGGDEEGNGERWVEVISWEPRAVVYHNFLTNDECEHLISLAKPNMVKSTVVDEKTGGSKDSRVRTSSGTFLKRGHDEVVERIEQRISDFTFIPVENGEGLQVLHYQVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVDDGGETVFPAAKGNISAVPWWNQLSECGKEGLSVLPKKRDALLFWNMRPDASLDPSSLHGGCPVVKGNKWSSTKWFHVHEFKV >A05p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7903217:7904922:1 gene:A05p017510.1_BraROA transcript:A05p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQWWKEDDEKVVIRCYNDRRDRTEMDCVEKSCEIGNDQTFLFTDTLGDPICRIRNTPRFIMLVAEVRNKLVGSIQGSVKPVKLQDKSVNVGYVLALRVMPPYRRHGIGSILVRKLEEWFMSHNADYAYMATQKDNEASLGLFVGKLAYVIFRNPAILVNPVNPRGLKLPSDIGIRKLKVKEAASWYRKHVVSKTDFFPDDIVKILRNKLSIGTWVAYYKNNDKIISWAILSVWNSSKVFKLRIGKAPLSFVILTKVCNFIGRFLPFLGLTALPDLFTPFGFYFLYGVHAEGPLRGKLVRALCEHVSNMAALDDGGECKVVVVEVDGESNGSDYSLMRCVPHWKMLSCDDDTWCIKPLKREENTTSLNDFTNMFLNSKSRCLFVDPRDV >A07g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7038077:7038877:1 gene:A07g503640.1_BraROA transcript:A07g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLFRCNLFISFPLRSRIRKEGEFVFVWTSEDVGTEEEALLKNVERMESDIVAGCFCNAAQVIGMKCLVTAVEVREAAMHLGRTEKILEIVDRRCMPALSRHEVAKIDEWTETALFQLHVCGKAVESVSSLLNA >A08p017540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12188826:12189376:1 gene:A08p017540.1_BraROA transcript:A08p017540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVEHWLVKDQTFFLSHGSPTLSIDDKLEARQFFNFVISAHWDTEFPSVNTVPSNSTIHDFYGFPDPMYKVKELLMGGGGMKRVDEDTERGLDRGAWLKCCV >A03p002370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1104314:1104631:-1 gene:A03p002370.1_BraROA transcript:A03p002370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYAIQLFGESQGRTFELKANAEDVELHLMEESKGWRTCIPKSINEPVDECSICFEDLSDVDEESIELHDCSHVFHKVCLFQWIWSKSSCPLCRHPIYCGKPKS >A03p052920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22695301:22700687:1 gene:A03p052920.1_BraROA transcript:A03p052920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLLARIIAGIEGGGGGDDESHYHELVADLKSLLETDDDEILDRFYVSLSSTASSFLRCFSAAMDSPVESGRLAISASEAYLSLLLSTNCPVFTFFSPVAFLSLLGSIRRYLKPRRREDSGAASQGNKKKRGRGGGSRKNARNLGREDGDETEEGGFDAKLVFRVLERLGSVLGFVHLDRFPDSLKSLVQTVSEIPLLALEHSGVLSYDKLMETCGRILGGVLSSDHGDVPLTAAEISKSLTPLLLMGKHQARSFALGFVSRKIMGLAKDNSELKKVVCNLPKFLVHKAPEKAEPRGFAVEAILEIVKAMEVEDQSEFVEFVMKMGQGKSNLRILAVDLIPLLMSSLGNPFGSISSEDGVEDSWGLGCLNALVQRCSDSSALIRARALSNLAQVVGFLSGDERSRSILKQALGFTGGETSEGKGRITDLLKKRCVDEKAATRRAALLLVTKLTSLLGGCFDVSILKTMGTSCSDPLISIRKAAISALSEAFRICTDEVVTTEWLHSVPRMVMDNETSIQEECENVFHELVLERISRAGNSLSQGSASLPDNWSSSSKDLDRDIEALFPEGVLVLLRELCNSEVSPWVKKICVSLGKKKQLKPRVALALQSIIKESESLWLNRSMPINKWTAPAGAWFLLSEVSVFLPKSVEWEFLHHHWQLLDKNDLQEGTDGQGDEEGVECNSSTWAGDRVFLLQTISNVSLQLPPEPAADLADNLLKKIEKFNLHSAEVDAHVKALKTLCVKKARIPEESDMLVKKWVEQVLSKASKVTEKYIEGISSNNLSFATPAMLGSRRSKKLDSVSKKLSKAITAVYTIGSCIIIYPSAETTKIVPLLHTVITSGSSDSKLKNKLPQANACLKQKAPPLYSQSWLTMAKICLADGKLAKRYIPLFAQELEKSDCAALRNNLVVAMTDFCVHYTAMIECYIPKITKRLRDPCEVVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESEKIRRLADFLFGNILKVKAPLLAYNSFVEAIYVLNDCHAHNGHNNSDAKQSRTKDQAFSIRGNDERARSKRMQIYVTLLKQMAPEHLLATFAKLCAEVLAAASDGMLNIEDVTGQSVLQDAFQILACKEIRLSVSRGSSSETVEMEEEGGDSNGAAAKGRAITQAVRKGLIQNTIPIFIELKRLLESKNSPLTGSLMDCLRVLLKDYKNEIEEMLVADKQLQKELVYDMQKHEAAKARSMANQGAGCGTSHRSREPEQPATAAEREENVRDSGLESRVVSAAADAMAAKAARSVLREVNGGAATPPLSAMSVPKLRSSLGGGKQSGRPSADVLESLRRRPTFMSDDDN >A09p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:500307:501407:-1 gene:A09p000780.1_BraROA transcript:A09p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLAASSSVVVMIPRVSTVSYLPPLPPRSFGRSSFTVPLKLVSGNGLQKVELMKTRASSSDETSASIDTNELFNDLKEKWDGLENKTTVVIYGGGAIVAVWLSSILVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELAEDIDSLKKKIAGTE >A01g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:83454:83833:-1 gene:A01g500020.1_BraROA transcript:A01g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESSSTTLFLLFCFLVASALVKTTAWVLQPVIPPRWICHIYCENYGLRLQPVKIRPVKTTACGCEASLFISPRPGRP >A01p008950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4303863:4304727:-1 gene:A01p008950.1_BraROA transcript:A01p008950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S15a-5 [Source:Projected from Arabidopsis thaliana (AT4G29430) UniProtKB/Swiss-Prot;Acc:Q9M0E0] MGRRILNDALRTIVNAERRGKASVELKPISTVMSSFLRIMKEKGYIKNFQVHDPHRVGRITVDLQGRVNDCKALTYRQDVKAKEIEEYTERTLPTRQWGYVVVTTPDGILDHEEAIKRNVGGQVLGFFY >A05p051480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30001643:30004035:1 gene:A05p051480.1_BraROA transcript:A05p051480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPDDGGHSLPSPTTSFPQTADTESNDQQVTLIGRAIYYILGVSSLICLSNSIGDVWPSKREDGTLIEIPNSKYAAVVLASLYAIALARTLFFDRPPRCDKIGPYVEVFYSLCLFITAFVIAFTYRSDPDVRFFAMSAITCAIAIFQLTHPLEEFYVGKTLGGGGGGCRCGGCGYRSGRREDEELVVIMDVVMVDAMVVDVDTEVEDVRRRMWWWMPWLWMLLWWMWIRRVNKTHNVSFYVSLSCFNGGSKDVVMGFIGGFCLVSIGIASHLSINDLTQRLTQ >A02p035990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20528025:20530439:1 gene:A02p035990.1_BraROA transcript:A02p035990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFDEVIVMEMKFGKGIDMEQSPNSVLTESEKRSTRLKPPRRDEILRVKEGFTEISFRRYRSTSCKNFSSKPDNKTEQRRGSVYQSSNKFFKELRDPQGRKDSDAKLELSRTSDASFSFRVVDSSRKGSTEKRPDTKKVSDGQKSSAEPYTSGNFIDICLKSGIKDRGVVLDSEEDADHETRLPKPHSSSLEAGCNKESSRVRKMFDPFVKSKSLRSPLGYLGEAEKHNERCKSMLSDYSNIHKSLPPVVNKDYTSVLASSPVHLHCRLKVESKHGLPVFQFVSDSPEDVYTAKTWKSENGSSWVYTFSSAGIRKRSSASVRGLNDVSKEPLLVAQMQVSCNMCSEVRKKGQDPETLVVNEFVLYDIAQARRSVSVSTKEDQSLLPLAKPDSDSRSSTLSRDGCDTMKQRSQPKRTSQSCDLEASNGTSPWSAGDLHPDLEIAAIIIQETIEKRESLKYRRGDKRLMEKTNLLGLSPIEEEKKELFGSEKLKVVIPRGNHGLPNAENSGPSPLIQRWRSGGGCDCGGWDMACPLMVLGNPLFSCSHDQPLVDYQHPLQLFVQGAKEHIPALYMSFAEEGQYDVHFHAQLSTLQAFSTCVAILHNTEVSDSYRNGENVQQLSHCSSLKMLIDEDVQCLVEAVTEEGEKNVPNPVKEAVIALQSYMPNPPFSPISRV >A07g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9627885:9632606:-1 gene:A07g504670.1_BraROA transcript:A07g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKAKKKVHVEDEETPPQYEVGGPSSPDLRLPPRLFATDRFPTRRLNIYSSPDLLPFIRNVLRDTPEFETIRRSCFGKLFDLPARQSLFRNTLWSAFGGSPFRYGLEEFGTVTGLPCGSYPERYNPNTGKAIVAGKDRVWKRLFGKKKFVTIADLCRMLETDKDMDAFRAIPLLLDYIPAPSNNLTVMDLEDGTLPQHKSINAIHIRRVEFDPNLVVTPIIPIESQPQPGWGLFPDDAKDDSVLYLEQLIAGQHSFNKHMWHGGVTSEPIIKKPKIRVKKKAATIKQSLQTSQPSARKQRRISSYFTRSTTQSFTNVQLTEMVIQLSTQVKQLKREMKRRKKRSHARPSSFNKLLSRRKQSKTPPHTPEPSQNQDDAPMETDELPQTTSPIISQYEAQLHRDSADDHLASSPVTDNGIHTESVHVSPNHNNTSAHTSPVHNVHPSQPSPVYATPPLSHLSLASSHTSDHFIHFQSVHVSPNHTNACVHTSPDHNDYSRQVSPVFNQTPQPSQVITHPNDDTDDYDEPPRTPVSKQPPWDELNSVVYDKSDHPNSPEINHILYHGVRIYDPINPDPPIFDSSIPRSLLLLSPQPKTILTSPTKSNDTLPGFAVHATTVNAFTATASSESPPSLPSKVTESPPSLPFKDQNALGVVDLTATKDVESHVPSLEENHLANELFKSPLIPAVTLISPLPGLEWDLFYNTVSTKTDVYHSTPSSFDFSNKFLLDLAKPKQWTSTRHMEVLIYMLGARHSTHLLTEKSAFTTPLLPAYITDIFPCVKGSQSLFISTKPRLAMSSSTSSSRSQTHTTRGIPSKCWCGSNLTTFAAQTKENLYRRFYRCEIAIKRQSEHHLFKWIDEAIIDEIRMVDKKVSHLQSDFDSFKTTTTMRLHEHAKQIDEILLEMKKIFHDQNILLEELRNKSTLVLDAKSHSPLLNVAAAAIALGTLAWLYAKITSI >A05p054390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32084089:32085616:-1 gene:A05p054390.1_BraROA transcript:A05p054390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSKSFQLITGLRKLAVIPRASSRATATALLTSRSGHSSGYDKNVEDELQATAVPDDVIKPDSDKYWSPHPQTGVFGPSTTDQSAAAEAARQDSAVLEETAWFRPISLEDSDKTQHV >A09g514570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:43466053:43466712:1 gene:A09g514570.1_BraROA transcript:A09g514570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTYPTQPREDQGQQTVPQVTSEEGEITRNGDATAALPSVEFQMELSKTQAEGSEVVAEAREEERGLLTVQGMREQQDDTFEDIEMELDAINVAMLESGIDLETEEEFQTLSEEELEQASEAQAENVLIQDEEQPVAGDAYITKELGTGELATRQSHRKRLFKANSSIAGSSKMRMASALLSPRKKGVAKVGTRHGDNTKPPENKGPSIPKPVNLKF >A01g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6546182:6546496:1 gene:A01g501810.1_BraROA transcript:A01g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRSCHCYDQLSLPPAPLGTTGLTINVRMMMKAASMRNQQYYQNGRSHPLDNIHGMPQNMLTNGNSGIVSMICC >A05p036720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20833588:20834726:-1 gene:A05p036720.1_BraROA transcript:A05p036720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPFVETRIPQQKLYESKPFPAVISPSLPAPSLPLFTQTITTHKPYLDSLLHRSGAVLFRGFPVNSATDFNDVVEAFGFDELPYVGGAAPRTSVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFYCEVEPNSGGETPIVLSHVVYERMKEKHPEFVQRLEEHGLVYVRVLGEDDDPSSPIGRGWKSTFLTHDKNVAEERAAKLGMKLEWTEEGGAKTIMGPIPAIKFDKSRNRKVWFNSMVAAYTGWEDKRNEPRKAVTFGNVEPLPEDIVHDCLRILEEECVAVPWQRGDVLLIDNWAVLHSRRPFVPPRRVLASLCK >A02p044630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28125691:28127338:1 gene:A02p044630.1_BraROA transcript:A02p044630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKNDLTSQKVVSTETAQAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPSGGAKPPTVQIRGQPVNQQSGCCSS >A02p059040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35302694:35304271:1 gene:A02p059040.1_BraROA transcript:A02p059040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISLAVCLVLLVALSNVYETQAQAQAQAQGKTFSVLDYLALFPKTGKEFAPYASKGLLDFVGALEGKSPTTVEFKNFFTNLKGYITSCFQPAPPGSVKSDQLFTAISALKGSQTGTSFDSWRLIEALVSMEKLSTEMKTSTSNVMPDPQWDRLSGSMFEWVGRIGLFVKAVSEINGKPIELKQFDIDYTDPTYASLSKQSSVRQSTSPFSLPFYLRNIPKTGKDVEPFAYTGMQTFLLDLETRCLANKDFKEFFVKLNDYMASFKTVSPDTYSLESDNISTQAMHLFLALSPLDGTQVGKSDPWKLVDGLVTMGDALVEIKKSGPGAITFEQSKELTSAMVKWGRAVGEFVKAASAKKGVTMDISFENYASSNASPTKAAAGNGGNIPTGQSAKTKA >A05p002350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:777232:779226:1 gene:A05p002350.1_BraROA transcript:A05p002350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPEETARGPETKPVSKSIKARFLKKGSRFGGGAPGVKSLLPETTRKRGKREWAGEGRGEREGEKERGERERGWAGERERVGGERERVGGRAAPGRRAPGEGGSRGERLPGRAAPGGERLPGRRAAPGGERLPGRGSGESGSRESGLGERLPGESGSRGERLPGESGSGERRFPGGERFPGRAPGLPGESGGESGSRGERLPGRERLRGRERGSRERAAPGEERGERLTGGRAAPGGRAAPGGESGPAGGESGSRGERLPGRGSGGRAAPWGEGSGERAAPGREGGRERLPGRERLRGGERAPGGERRALGGRAALGGGERRGRRSRGGERLPGGRAGSPGRERLPGRERLPGRERLPGRWLPGRAAPGGRAPGSRGESGSRGESGSLRGGRAAPPAPGGESGSWGESGSRGERARLAGRERLAGRERLAGIGESGSGGSRGESGSGGRERLPGRRAARGEERLAGERLSGIMERARAPGERAAPGERAAPGRAAPGRRAAPGRDGSGGSGRLLPGRAAPGGESGSPAAPGGLRRAHGEAAQGEAAPGEAAPGSRGGSRRESGLPESGLPERLPERLPGKLCIKTIY >A06p000120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:514120:515719:-1 gene:A06p000120.1_BraROA transcript:A06p000120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSLLSIDGGNTSCSSSSLSPLHNFHPTETTTRSFQFKPSHFSNGSFSRCSSFCNLSSSSSSDTQKHLGSTLPFLPNPLTENERSPAAASFTDEDLLSIPYEEEVDPSFLSLHGDGGFQDVDNFSLSEEQMELQFLSDELQLAITDRAQTPRLDEIYQVTGSSPGQNCVPAAMPVLSQQPSPGGTEAVNQKPRMRWSPELHDCFLEAVKKLDGPEKATPKAVMKMMNVEGLTIYQVKSHLQKYRIAKHMPERKEEKKSGGNPEDKKPASNTNGEANGRKKGAIQITEALRMQMEVQKQLHQQLEVQISLQLRIEEHAKYLEKILDEQRKATPTSKQESQLSSASSAKDDECQASPKRPRIEN >A06g503240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10138313:10139501:-1 gene:A06g503240.1_BraROA transcript:A06g503240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKKITQNEAQLELKVSDLIIPETKEWDVLKIRRNIPDYEERILAIHPSLTGAPDKLIWLGTRSGEYSTKSDLMASWETLCSLNCLPPAGITSGSLFPWVLWSIWKARNKFVFEGHSAPPEDTLSSAIALAREWSQDVKKESPNALRSPPQQHPSPPGTVVVRSDAAWSSVSKKAGLGWVILDEAETHSFQSSASSVLSPLAAEGLALCEANKRLVVP >A03p012100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4818173:4819366:1 gene:A03p012100.1_BraROA transcript:A03p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSTPITSSYWCYSCTRFVSVWSESEQGATTVSVSCPHCDGGFIEELTDPSSSAAAATELTPPPSTEVRSIINNRRSIIRRRRSTPHPSFNPVIVLQGGAGERDDGEEEEARDRRRRAFEFYYDDGSGLGLRPLPDSVSEILMGSGFERLLEQLSQIDAAEGIGIGRSGNPPASKSAIESLPRVVISDCHVGAEVNCAVCTEVIEAETEAREMPCKHIFHEDCIVPWLSIRNSCPVCRFELPSDGNVEEGDNNPVGMTIWRLPGGGFAVGRFNAAMREGERVLPVVLTEMDGGGVGGNSEGPRRISWVRANGTFESGSSNGGGGGGSGSGGRLRRMVRGVVSLMRRVRPNRAVSSSLNQLGLDSEVETRVMDRSNSVLRRYFGRSRSDRDSSVLH >A05g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18089318:18095952:-1 gene:A05g506310.1_BraROA transcript:A05g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLFFREKLVRRQAEKELAQTGSEFPSSSAQVVTPCGTDVAAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRTPSAILATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVAKLEVAIGELERDIGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGEMAVVRSFQSETSPTLEAEEARLSGCKGYMGAEDGDFDLILADLKSACFLLTCSEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFHSRSVSRVLPRDVNSAGVLKVSNINIEAWFKNLLSFDIMSLRSSDLVAHAVVLNILHKIRVSVCIYICLFVTEVSFARKKQTFEASFATSRDAKVQRIPNLKSSPSCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSAET >A07p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12890691:12892918:1 gene:A07p021920.1_BraROA transcript:A07p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTKPDSFQSGEDCIRYVASDLATDVVVNVGDVKFYLHKFPLLSKSARLQKLIAATVDEQSDAAEINIPDIPGGPPAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESIESGNLVYKIEFFLNSSVLRSWKDSIIVLQTTRTVRPWSEDAKKIDERCLESIALKATVEPARVDWSYTYNRRKVSNDNGVARDWWVEDLAELSIDLYKRVVSTIRSKGGVSPEVIGEALEVYAAKRLQIDDDDDVDEQRCLLETLVSLLPSEKQSVSCGFLVKLLKSSVSLEDVRRKLSRRIGEKLEEASVDDLLIRDSSEGCETVYDIDIVETLIDEFIITQTCKRDELDCSVSDSSKTIVAKLIDGYLAEISRIEPNFSTSKFIAIAEKVSCFPRSSHDGVYRAIDMFLKQHPGISKSEKKSICRLMDCRKLSPEACAHAVKNERLPLRVVVQILFFEQVRATAKPSLPASGSHGSSRTTTEEEECDSVTATEETTRDKTSSSEKTKAKGVVMSRIFSKLWAGKDRDGVGDVSSSDTSESPGSATTTVDDKSTPSTRRRRSSS >A10p040880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22471806:22474185:1 gene:A10p040880.1_BraROA transcript:A10p040880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICFKSLRLKPPPSITSTVVDSQDDDDGDDGQYPLIFREFSFEQLRIATDGFSPGNIVSEHDEWVPNTVYKGKLGDGRRIAVKRFQRLSWPDPFEFIKEAEAVGRLRSEHIANLIGYCSDDSERLLVAEFMPNSTLSKHLFHWEKRPMKWQTRLKVALHTAKALEYCNDNGINLLYHDLNPYRILFDKVGNPKLSCFGLMKNSHEGKNHSTNLAFAPPEYLRLGTLIPESVTYSFGTLLLDLMSGRHIPPNHALDLFQTKNYLVLVDSALDGQFSDEDRTELMHIASRCLKTEPEERPSIRFLMSTLSRLQRRAELWPTNVKRLMPSSSNKKTKPATEPLQLTPFGDACLRADLCTMHELLEKLGYGADDDVVSNEFSFQMWTGEMQENMDHKNHGDAAFRAKDYDTGIEFYTEFMYGAPTVSPTVLARRCLCYLMTEMFSEALSDAMQAQVASPEWPIPLYLQAACLFKLDMEAEAKEALRHGSALESY >A09p005700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3097016:3097423:1 gene:A09p005700.1_BraROA transcript:A09p005700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFVTRARLPSPLMANSPKGLMKSKSSRLVLPKYLKYLTKKYLKKHNGMGWLRVIAANKDRNNLYELRDFKNEAEDED >A10g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2459454:2460101:1 gene:A10g500850.1_BraROA transcript:A10g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKTTTRQRDRGVLKEKRNLEDLWKAAFPVGTDALCGLNWDFKHLEEALEEGGFEYIFVNVPTVVVIESNTEPSKELAIKSLQSATEEGTITMRTGRVPYIPLQERDRQVEKTSSRIFTLFCNNRRAALRNKKEERVEKFEYCLPYFSESEEDDTEGSSSTEVYIMFPSDPPVTCLLTQCPNLKGCLRN >A06p004350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1102015:1105912:-1 gene:A06p004350.1_BraROA transcript:A06p004350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKPGLSALVTGGASGIGRALCLALAEKGVFVSVVDFSEDKGKETTSLVQKANAPFHPSLNSPSAIFIKCDVTNRGDLVAAFDKHLATFGTLDICINNAGIANPVKFDKDDTDGSKSWKHTINVDLVAVVECTHLAIKAMKAKRKRGVIINMGSAAGLYPSPLDPIYSASKGGVVLFTRSLAYFKRQGIRINVLCPEFIQTELAGAIGDSFLQSLGGYMSMDMLIKGAFELITDESKAGACLWITNRRGLEYWPTPMERAKYLVGSTSTKKTSFKVTSNIKLPQSFEKIIVHALSHNFRNATRIVRAPLQLPIGPHQVLLKIIYAGVNASDVNFSSGRYFSGGSPKLPFDAGFEGVGLIAAVGESVKNLQVGTPAAVMTFGAYAEHMIVSSKHVLPVPRPDPEVVAMLTSGLTALTALEKAGQMKSGETVLVTAAAGGTGQFAVQLAKLAGNKVIATCGGSDKAKLLKELGVDRVIDYKAEDIKTVLKKEFPKGVDIIYESVGGRMFDLCLNALAVYGRLIVIGMISQYQGEKGWQPANYPGLCEKILAKSQTVAGFFLVQYSQLWKQNLNKLFHLYSLGKLKVGIDQKKFIGLNAVADAVEYLHSGKSTGKVVVCIDPTFEQTISRL >A01g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13233021:13234501:1 gene:A01g504320.1_BraROA transcript:A01g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVEIGSGTDGCAVPSTVQGESRRVTSPGRRGLTARTGWQELERNNIFALEQKDTQTCEDTHWPITHYRVEVQAGKPLKVTPGYDSIVHISHASLGDYKGKKGEAVTFNVKVGDKKFVMGTFVSESIPQTCFDMLFDQEFELSHNWGKGKEEEEVEVPATVAANGNAAKSIAKPKHVTAPVKRNADPEADDSDSEGVNG >A01p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3098408:3099434:-1 gene:A01p006020.1_BraROA transcript:A01p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGFNLGFSFSGVKIHQHRQVSATGRARVISCCSSSSSEASQQGISATTPPPEIELEFFGPKPGSDGTYPRDKAKAVSGEKLLRSIMQDSKIELYATYGKVMNCGGGGSCGTCIILDGRELLNERTDTENKYLKKKPESWRLACQTIVGNKENSGKVVVQRIPQWKK >A04p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23241096:23243942:-1 gene:A04p041200.1_BraROA transcript:A04p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHELWRSAKEREREMDRSKLYVLTIFVTLSVRCGCVANYTRDDFPHDFAFGSGTSAYQWEGAYDEDGKKPSVWDTYVHSRNMENGDKACDGYHKYKEDVQLMVETGLEAFRFSISWSRLIPNGRGSVNPKGLQFYKNFILELVSHGIEPHVTLYHYDHPQQLEDEYGGWLNRRIIKDFTAYADVCFREFGKHVKFWTTINEANIFTVGGYDGGNTPPGRCSTCLSGNSSTEPYIVAHNLLLAHASASRLYKQKYKNTQGGSVGFSIFAIGFRPSTNSKDDEMAIQRFKDFFFGMLGPLTYGDYPEGMKRIVGTRLPVFTKEESEQVKGSSDFVGVIHYLAASISNAQSQPSLPGDSAFFTDIGASLTYSGNFSAFEYEVAPWAMEVVLEYIKQSYGNPPVYILENGKPMKQDLQLQKKDTPRIEFLDAYIGAVLKAVRNGSDTRGYFVWSFMDLYELLSGYKFSFGLYSVNFSDPHRNRSPKLSAHWYSAFLKGNTTFLGSQGITRSQSNLSSS >A08p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3676338:3678857:1 gene:A08p006440.1_BraROA transcript:A08p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLIPNQTQSQTRLFSSDPKKKKSRKKQTPLPQTQTQTQTLKKKTVQSSSSSWSQIKNLLSCKQIEGPRVHEPSKITSSSCGSSLCKFSDVIYGNARVIHRSDHSPGSSNLGQDAGLLTRKPVTRGSSATVRSNGCGAYTSYSSSKAMQFRKLSGCYECHMIVDPCRYPVSPRICACPQCGEIFPKLETLEHHQAVRHAVSELGPEDSGRNIVDIIFKSSWLRKDSPIYNIERILKVHNTQRTIQRFEDCRDAVKSHAHASTRKEPRSAADGNELLRFHCTTVSCSLGSRGSTSLCSNFPGCRVCTIIRHGFHAKTLRLGGGANEIKGVRTTASSGRAHDASRCFDQRRAMLVCRVIAGRVRRVQSDAQEDENGSGSYDSVAGPAGVYTNLDDLVVFNPKAILPCFVVIYKVSEP >A04p015380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9525484:9526286:-1 gene:A04p015380.1_BraROA transcript:A04p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSMLSSAAVVTSPAQATMVAPFTGLKSSSAFPVTRKANNDITSIVSNGGRVSCMKVWPPVGKKKFETLSYLPDLTEVELGKEVDYLLRNKWIPCVEFELEHGFVYREHGSTPGYYDGRYWTMWKLPLFGCTDSAQVLKEVQECKTEYPNAFIRIIGFDNNRQVQCISFIAYKPPSFTGA >A07p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11044549:11045390:1 gene:A07p018410.1_BraROA transcript:A07p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSRQSGSSRISDDQISDLVTKLQHLIPELRRRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSEFLASTDDNSAEAAIIRSLLDY >A09p064080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51771163:51771962:-1 gene:A09p064080.1_BraROA transcript:A09p064080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSLSGSPCGACKFLRRKCSKGCVFAPYFCHEQGASHFAAIHKVFGASNASKLLSQLPTSDRCEAAITISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLEILKQQVAQSMMFADSPSSENPNSFYGDTTKTTSYHHDHHQEHQNIYHHHDQTHLVNQIGSLGTGQHGDATVNSYPSQNSSGLGEFSIYPELEQHLNTFNQDHLKELQSANFGYISFS >A05p048380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28344139:28347869:-1 gene:A05p048380.1_BraROA transcript:A05p048380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G11050) UniProtKB/Swiss-Prot;Acc:Q9SRL5] MLLKASPALSILTSGVGDLFPPSNVLFPSTACRGSRLSVRAAKGTSTKSLTGVVFEPFEEVKKDMDLLVPTTPLTSLARHKFSDESESAVNEQINVEYNVSYIYHALYAYFDRDNVGLKGFANFFKDSSTEERGHAEKFMEYQNKRGGRVKLQSILMPFSEFDHEEKGDALYAMELALSLEKLTNEKLLKLQSVGVKNNDVQLVDFVESEFLGEQVEAIKKISEYVAQLRRIGKGHGVWHFDQMLLDEQELLHAELKYKQETTPKLKKKKYSSMANASASSPMNPHFFQPLLPGFQSYLNIPMAFYSKHIKGTTDEGNANAGDKAVVKLRSDASDLTWEVKMDGRRLTQGWQEFTTSHDLRVGDIVIFRHDGDLSFHVTTFGPSCCEIQYDDVFHKSSGTISNSDLEMNQETREAVSPSDKSCFVARVTPTNLRKDSLFLPRGFSRSNGLTNRECEIILLNEYEKPWTASLIYYKTTGDVYIRTGWRRFCRENRKRVNSLLTFKLVQAGTKPVLQLCSSMHNRDCLRASSSRSQERFLTLTLTLRKSKLCLPGKFVWLNGIENARKITLVDRYGVKRTTSLRPDNNYGAMRMGKEWREFCEANGVKAGESFTLELIKEEGETATHLLKFCTKV >A09p076930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57348023:57364078:1 gene:A09p076930.1_BraROA transcript:A09p076930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESAIKGVEGQELNGCDAEIRESRISRIVVEGYGTSLPREDVEKALRNHFASCGNIIHVYVPINDESDIPNRFSFIYVNGEDEEKALRLNGSDMGGGILQIYAYPFHENYLDDVLATMKEGPGHRLQRTLEVTGYDPSLSMDDVESKMCKHFSPASAFAYRTCGGLKSTALVYVLGEDAVQMALELSGRSVDGMNIVVTQMAWLVRRIRLRSKKRSLLGGREDMLFCKINNSLVRKTLQLCFLLVFLLVTPLLWPIFLFKFAGNDEPEHMVEGHTFTIEPILTIGPTECVTWPDNWTTLTADGGVAAQFEHTILITRTASQSKVWATEETKKSREIEMRERTRLPCGYDTSLRREDVDGALREHFASCGNIIHVHVPIDESSGTLCRYTLIYVNEEDEEKALRLDGSDMGGRILQIQSYPFHENHLNEVLDQMKEGELYQPQHTILVTCDDISLPLDVIKTELEKYFSAIGSLVYPGVTASGAIKTLADVYVRGHEGVEKALERSGPSVGGLNFVVTEVVPLPKITPKTGYNPHNFTIMARKQIENQKKTETTAGNQQKKKSKTTERNQKKKNKKKEKKSKTREAYQMKKKSETREGNQKMKGVLESKDSDAEIRESSISRIAVEGYDTSLPREDVDDALREHFASCGNIIHVHVPIDENSGTLCRYALIYVNEEDEEKALRLDGSDMGGRILKIQSYAFHQNQLNEVLDPMKEAKAYRIQHTILVTCDDISLPLDVIKTELEKYFSAIGSLVYPGVTASGAIKTLADVYVRGHEGVEKALERSGPSVGGLNFVVTEVVPLPKITPKTGYNPHNFTIMARKQIENQKKTETTAGNQQKKKSKTTERNQKKKNKKKEKKNKTREAYQMKKKSETREGNQKMKGGLESKGSDAEIRKSSIRRISVEGYDTSLRMEDVDDALREHFASCGKIIHVSIPRNYEETILCRYAFVYVNEEDEEKALRLDGSDMGGQILQIKSYPFHETHLENDLAPMKEVKVYWPQQTLKVTGYDTSLPVEDIEIELEKYFSSLGSFVYQDETASGAIKTKAYIYVRGQDAVDKALELSGRSVEGLNFVVTKVYALPENPPTSGFIHPSNLIRFTREQIEDPNLCFITEGQQKKSDTSEGNQKKKKKKKKNKKSKTREGIQKKKKKKSETREGKQKKGVEISL >A09g506600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19445928:19447331:1 gene:A09g506600.1_BraROA transcript:A09g506600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMEKALNTTTMDLNIKHLKFKENKLPESEPEATFSEEEGDANKGGGDFPEPPEEANLFVGNLAYDVDSQALAMLFEQAGTVEIAEVNKSCDFARFLFLC >A08p034130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:20356512:20357489:-1 gene:A08p034130.1_BraROA transcript:A08p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic sulfotransferase 7 [Source:Projected from Arabidopsis thaliana (AT1G28170) UniProtKB/Swiss-Prot;Acc:Q9FZ91] MDVNEISKNLERDEQETISLIPSLPSDIDFNGTKLFKYQSCWYDKKTLQGVLNFQKGFKPQETDIIVASFPKSGTTWLKALTVALLERSKHSSNHPLLSDNPHGLVPVLELRIYTETSKPDLTSFSSTPRLFSTHVPFHTLQEALKNTPSKVVYVWRNLKDALVSLWYFECANLKIKIDEERSSLESMFESFCRGVSYYGPFWEHVLSYWRGSLEDSKNVLFLRYEELKVDPRGQVKKLAEFLGVPFSVEEEESGSVEEILDLCSLDNLKNLEVNKIGKTARGVEHKNFFRKGEVGDSKNYLTPEMERRIDMIIDERFRGADLKF >A09p026940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15205459:15207190:-1 gene:A09p026940.1_BraROA transcript:A09p026940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNPFDLLGDDAEDPSQIVLSLPKKVEKAAPVQPAKAAKFPTKPSPPSQAVRESKNGPSGGHGGGGGRGPPRGSFNPGGNRPHDPKDGPKDGERNGGFRGYRESGGRGGHIGGFANGKTGDVERPRRVYDRRSGTGRSNDVKREGGGRGNWGTPEDDIQPATEEPTTEVEKSPVAEKEGGEDATTDAKKEAPEVEQEPEDKEMTLEEYEKILEEKKKALQATKVEERKVDTKVFESMQQLSNKKTNDEEIFIKLGSDKDKRKDAAEKEEKAKKSLSINEFLKPANGETYNPRGGYRGRGGRSGGRGQRDGKVNGGGNQRNGGASAPAPAIGDSAQFPSLGN >A07p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20018670:20021146:-1 gene:A07p037410.1_BraROA transcript:A07p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRCVFVGNIPYDVTEEQLRDICGEVGPVVSFRLVTDRETGKPKGYGFCEYKDEETALSARRNLQSYEINGRQLRVDFAENDKGTDKTRDQGQGGPALPTATESQKQVGGSVDLNMHQPVGLNLAISAASVMAGALGGPQVGTQSTLQAPASDPLTLHLAKISRSQLFDIISSVKLMATQNKEQARQLLVSRPQLLKSVFLAQIMLGIVSPQVLQTPNIVQAPNHISGSSIQDTQLSGQVSTQNLLPPLAQRSQQLSRPTHSQFPIQQSSKQPFSQIPQLVAQPGPSSMNAQVQGLSETAPFQRQKQLVPTSISMGYSVQASVPNSAIQPSQVPRPLLTNSVMQQGGHMVSLNYGKRINEGPHESINRPSKMMRVDERRTASFSDGHASNSMLPNPVQVQERAHQTQISPDVQATLLQQVMNLTPEQLRLLTPEQQQEVRKLQQALKQDNMTQHS >A03p056660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24546457:24549916:1 gene:A03p056660.1_BraROA transcript:A03p056660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNMFESHHHMFDMTPKNSDNDLGLTGSREDDFETKSGAEVTMENPLEEELQDPNQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRELNLEPLQVKFWFQNKRTQMKAQHERHENSILKSDNDKLRAENNRYKDALNNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISAIAAKYVGKPLLTHSSSSFPQLTSSHHIPSRSLDLEVGNFGNTNNSQTGFLGDMYGTSDIMRSVSIHNDADKPMIVELAVAAMEELVRMAQTCDPLWVPSDNAVEILNEEEYFRTFPRGIGPKPLGLRSEASRESTVVIMNHINLVEILMDVNQWSSVFCGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHSDNTWAVVDVSLDSLRPSPITRNRRRPSGCLIQELQNGYSKVTWVEHTEVDDRSVHTMYKPLVNTGLAFGAKRWVATLDRQCERLASSMASNIPTGDLSVITSPEGRKSMLKLAERMVMSFCSGVGASNAHAWTTLATTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLFRVNSANSGQSNMLILQESYTDASGSYVIYAPVDIMAMNVVLSGGDPDYVALLPSGFAILPDGSSRVNASAGAEGGDGNNLEVVTSTASNCGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAALACDGA >A08p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3196739:3197874:-1 gene:A08p005590.1_BraROA transcript:A08p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C2-DOMAIN ABA-RELATED 5 [Source:Projected from Arabidopsis thaliana (AT1G48590) UniProtKB/Swiss-Prot;Acc:Q9LP65] MLFQGRSITMKPSMMESLLGLLRIRVKRGVNLAVRDLNSSDPYVVVKMGKQKLKTRVIYNDVNPEWNEDLTLSVTDPSLKVLLTVYDHDTFTKDDKMGDAEFEIKPYINALTMHLQDLPCGTIVTTVQPSRDNCLAEGSRIIWSDGKLVQDIVLRLKHVECGEVEVQLKWIDLPGSKGL >A04g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2487252:2487820:1 gene:A04g500920.1_BraROA transcript:A04g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYISVIQTAKCCFRFKNPTSSEKPRNIPRKFRGKTSVPRNIPRLFRRFRALLLGFLFLRKSLGIFRGNSEEIPRNTCFSSKFPRNIPRLFRGSRVFKPKTTFCGLNNTYITPIKCLRLIMKSKFK >A08g506040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10342413:10343310:1 gene:A08g506040.1_BraROA transcript:A08g506040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQITNKPSHRKRKPHTRSATTFSIMANENSSFQELAIGTTVSFKRRSTGERFHGSVFYFSPQLNGSLFGIQNVGVWRVDGRYERISGFNHFNLNDITELQVNVVPRQNSNTQDAQNNNNNDGSSSKSIGSKMGALHLDPPSK >A08p041430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23370573:23371593:-1 gene:A08p041430.1_BraROA transcript:A08p041430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVSGSWSFNKFFAIVFVVFAISGEFVAGYYKPSPWRYAHATFYGDETGSETMGGACGYGNLFNSGYGLATAALSTTLFKDGYGCGQCFQIMCVKSKHCYYGNPSTVVTATNLCPPNWYQDSNNGGWCNPPRTHFDMAKPAFMKLANWKAGIIPVAYRRVACKRSGGMRFQFQGNAYWLLIFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQAFSSLYGQSLSFRVTSYTTGETVYAWNVAPSNWNAGMTYKSSANFR >A01g511010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30767726:30770915:-1 gene:A01g511010.1_BraROA transcript:A01g511010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCKCGSGCGGCNMYPNLTFSDETTTTNTSVFGLAPAMKNQYEASGEGNAENDACKCGSDCKITFRPTVPHCHLPNLIGVCIYAKLLKSLPARFKVDVRVAPGSHATEASVNKRLGDKERIAAALENPDIMSLLN >A09p026240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14743841:14749328:1 gene:A09p026240.1_BraROA transcript:A09p026240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 7 [Source:Projected from Arabidopsis thaliana (AT5G46540) UniProtKB/Swiss-Prot;Acc:Q9FHF1] MEEKAKRITNGDGGNQKVAFYKLFTFADRYDIILMAVGTISAMANGLAQPIMSVLIGKIINVFGFSDHDHMVKEVSKVAVRFLYLAVYACVVSFLQVSCWMVTGERQSARIRGLYLKTILRQDIGFFDTETNTGEVIGRMSGDTILIQESMGEKVGKFIQLISTFFGGITVACIIGRRLTVALIPCIPLIVATGGAMALIMSKMAGRGQLAYAEAENVIEQAVGSIRTVVAFTGEKQATQKYEKKLEIAYKSMVQQGLFSGLGLGIMMVVSYCTYGIAIWYGAWLIMEKGYTGGQVMNVIFAILSGGMSLGQTLPSLNAFAAGQAAAYKMFETIRTKPKIDAYSMTGKVLDEIKGDIELKDIYFRYPARPDMQIFAGFSLVVPNGTTVALVGQSGSGKSTVISLIERFYDPESGEVLIDGIDLKKLQLRWIRRKIGLVSQEPILFATTIRENIVYGKEDASDEEIRTAVKLASATRFVDKLPQGLDTMVGDHGTQLSGGQKQRLAIARAILKNPKILLLDEATSALDAESERTVQDALVKLMSSRTTIVVAHRLTTIKTANMIAVVQQGKIIEKGTHEEMIQDPEGAYSQLVCLQEVSKKGGVEESEPHETVSVSHNQTDFDLPRPVNLNQTEEIHENNSSNENKTSKKRKKVSLRRLAHLNKPEIPVLLAGSLAAVVHGIVYPVQGLLMSSTIKIFFEPSDQLRKDSHFWAIIFVLLGLTDLIVVPFQNYLFAIAGGRLIKRIRSLSFDKVIHQEISWFDNTANSSGVIGARLSTDASAVKSIVGDALALIMQNIATVIAALIIAFTANWISYNNPSYDTNYSPSGLLSDQEKYEEASQVASDAVSSIRTVASFCAEDKVMDLYQQKCEAPKKQGVRLGLVSGSGYGISFLALYCINSLCFYVGSWLIQKRMATFGEFFQVFFALTMTALAVSQTSAMAPDRNKAKDSASSIFEILDSKPKIDSSSNEGTVLPIVHGHIEFQHVSFRYPTRPDIQIFSDLCLTISSGQTVALVGESGSGKSTVISLIERFYDPDSGKILLDQVDVRTLKLSWLRQHMGLVSQEPVLFNETIRSNIAYGKTGEATEEEIITAAKAANAHNFISTLPQGYETSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLATIKNADVIAVVKDGVIAERGRHETLMELSGGAYASLVAFHISAN >A03g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18912223:18918749:1 gene:A03g505250.1_BraROA transcript:A03g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYFDSREWMDQMIDPQSNSVSEVFLGGINAFLQFACNQADYEERQTLLCPCARCKNVKQRDAKVVSRHLFLYGFKGNYYVWTSHGEKFYTAGESSGANHSTGEEEMWENPTWNAHEDHYQDNLETFMMLNCETFAPYERMFEEYMTLNVPDITPVAMQKAKDTKFADWCKDYVTCFIPYPRVRRQSVDDWWACAKIIPRGIRETPEIALTAWQDDRRDQVAESSLLRVETHVVDDVSDYDIAPVNPPNDEYVSDGDVEADPTICHAVFVALLKEFISVFLLLLTLSTEEKPKLSLDSRLTKRVVFSGFKSPIPSVTMNSPRVPPPMPPGATGPASMPPGATGPPSMPPGATGPASNRAASSSRSNSYPQMTLNAMLNSPARLAQPHLHPDKINGALWGNVYGLGSAQYREQAPSSRVPNSLARNLELEMRVGGLETSLQSVREDVSEVKQDVSEMKQEFASTRDAINQLLQMLRPPQAPTEQTYAQPQVPTPQP >A03p048610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20797830:20799452:1 gene:A03p048610.1_BraROA transcript:A03p048610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSEVSEPIHIYFFPFMAQGHMIPTLDMAKLFTSRGAKSTIITTPINSKILEKQTEAFNNQNPDLEISIKIFDFPCVELGLPEGCENVDFINSYQKPDAGDLSLKFLFSTKYMKQQLESFIETSKPSCLVADMFFPWATQSAEKFGVPRLVFHGTSFFSLCCSYNMRIHKPHKKVATRSTPFVITGLPGDIVMTSQQANVSNDETPRGKFMKEVRESESISFGVLVNSFYEVESTYADFYRDFVAKRAWHIGPLSLCNVDLAEKAGRGKIANVDEKECLKWLESKATGSVVYVSFGSGTSFTNEQLLEIAAGLEGSGQNFIWVVRKNENQGENEEWLPEGFEERTKGKGLIIRGWAPQVLILDHKAVGGFVTHCGWNSVMEGIAAGLPMVTWPMGAEQFYNEKLLTKVLKTGVNVGATELVKRGKLISREEVEKAVREVIVGEEAEERRKRAKKLGEMAKAAVEEGGSSYNDLNKFMEEVNGRK >A06p049890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26288664:26294591:1 gene:A06p049890.1_BraROA transcript:A06p049890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSSSSSSQEGKMIAGIREKYGREIRVFETSSITQRPSQASVAQEESDEFYELTPTDYYRLVASKKEDKSLKTRKLREAEEAARRAKLTKAVIRVRFPDNHTLEATFHPSEKIQCLIDLVKRALTQPDIPFYLYTTPPKKQLKDFSQDFYSAGFIPGAIVYFANHQPKDDGASSTPYLNEEISSLKDVEVMTKAEEPVEPSSEPAESDIGTVPVEQEPKPAEKKSSKPKWPKSDVHPQFDISFLLRFFLSRARACVVFFCLLCGIYLHLTRSWKMGSGQWHVEKRSTLKKESFLKEYGAAVSESRSLSIIVLGASGDLAKKKTFPALFNLYHQRFLNPDEVHIFGYARTKLSDEELRDKIRRYLVDEKNASEKAEALSKFLQLIKYVSGPYDSEDGFKRLDKAISEHEISKKSSQESSRRLFYLALPPSVYPPVCKMIKECCTNKSDVGGWTRIVVEKPFGKDLESAEQLSSQIGKLFDESQIYRIDHYLGKELVQNMLVLRFANRFFLPLWNRDNIANVQIVFREDFGTEGRGGYFDEYGVVQVLCLVAMEKPISLKPEHIRDEKVKVLQSVVPIKDEEVVLGQYEGYRDDPTVPNDSNTPTFATTILRIDNERWEGVPFILKAGKAMGSKKADIRIQFKDVPGDIFKCMHQNQIELSQISTLCNDTETFVVLKCYATGQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMQTVQSELDLSYKQRYQDVSIPEAYERLILDTIKGDQQHFVRRDELKAAWEIFTPLLHRIEKGEVKSIPYKPGSRGPTEADQLLEKAGYLQTHGYMWIPPTL >A06p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22047159:22048677:1 gene:A06p040780.1_BraROA transcript:A06p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) UniProtKB/Swiss-Prot;Acc:Q9ZPW2] MATESSESEEEGKIRGGNDKLIVDDDLREMGKNAAWSVSSCKPGNGVNTLRDENLETYWQSDGLQPHLINIQFQKKVRLQLVALYVDFKLDESYTPSKISVRAGDGFHNLKEVKSVELVKPSGWVSISLSGADPRETFVNTFMLQIAMLSNHLNGRDTHIRQIKVYGPRPNPIPRQPFQFTSTEFITYSTLR >A03p048440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21910585:21912074:-1 gene:A03p048440.1_BraROA transcript:A03p048440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKLDTGSRDAISFLPEEILSDILSLLPIKQAVSTSVLSKNWRNIFRLVDYLDFDYSVSVHPEKGEPKRGEGLKEYLDRTQRDSPIIKFSLERHVGDHNEMAYVSSWVSDAVEHGVLEVDVSVKPRLGMLLMPCELFTSKTLVKLTLGTQVQCDIPSYVLLPSLKILIIETIFFASKDLSGVLIAGCPVLEELFVRHEEMDSHPYYISSRTIKKLSVQYRGCDVYYESGLSLDAPSLVSFDYSDHALYEYSPVNFGSLVEARVDIRYNRKVVKLDISGLMIGISNIETLHLSPASADTISRCVSRHGLLLPMFNNLVSLSFGSKTQRGWKLLPYLLKKSPKLVTLIIQSLNVYASYIPIPLNQVKLMHILGYQGTVQELKHLKTFIGRMQCLELVLLELAEGVVVDDGKILQLHRDLNHASRKCSIQVHDQSRISHLSLDT >A06g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1256224:1256620:-1 gene:A06g500270.1_BraROA transcript:A06g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A09p027580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15569176:15569855:1 gene:A09p027580.1_BraROA transcript:A09p027580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWKSENHRFPDAIGHHIQGVTVLEGDWDSHGSIKIWNYTCDGKPEVFKERREIDDENNAVTVRGLEGHVMETLKLYDTTIQFIQKSPDDIVCKITMVWEKRTDDSPEPINYMKLVTSMVADMDNHVLKA >A02p009330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3936728:3940366:1 gene:A02p009330.1_BraROA transcript:A02p009330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKTASSPWERAQSLFDKTIELEAKRRKAAQSRTPTSDPNLWQQIRENYEAIVLEDHAFSEQHTIEFTLWQLHYKRIEEYRSNINAVLASGSSSSAAQNAKGPFISERVARVKLRFRTFLSEATGFYHEMILKIRSKYGLPLGYFSDDQESQSLADKDGKKLAQVKKGLVSCHRCLIYLGDLARYKGLYGEGGDSKNREYAAASGYYLQAASLLPASGNPHHQINSMSQLAIIASYSADEFAATYRYFRSLAVESPFPTARDNLIVAFEKNRQSYAQLLAASKDSSSKPNGKGRGKGEDNPSKDANVASVPEKDKVTSANVMLKAFCIRFVRLNGILFTRTSLETFFDVLASTSISLRELITSSLMEELVFGKDTSDSALFIVRLVTILIFSAHNLKKGTDPKKETEGQSYAQIVQRVEPARNSLTASFELLGHVIEQCAQLRDPSSSYFLPGVLVFVEWLACCPDIASGSDPDERLAAARNSFWNQCVAFFNRLLSLGPMYIDDVEDETCFSNMSMYDERETENRLALWEDYELRGFLPLLPAQTILDFSRKHSFGTEGPKEKKARIKRILAAGKALTSVIKVDQNCVYFDSKKKKFLVGVKPSGDLLDSHSNPPEADNALQENQAMMNHNTPVTQRDQQIYLDEEDDEEEEIVFKPLVTEKRNGASDQTYVPNGGLRNPDQVPNGGFRKPDQVATMREDLKSLSVSDAAFQGNMLQQSRGNAAVQVPASVGSNLLGHLLPSTQSQGMQLQQPQTQAVHPQQAQSLASSRLQPHQSQVSQLQSQVSQLQPLQSRTVHFQQTQGQVSHAAPAQPQSTSLSGSNWFPNEAARSLSGFAQMGNGLVMRNEMQGNQGFSYYPAHSLPIHQSFNVNGMGGMPYSQSRTPEAVLPPNINAVSSAGVIADGMGMQSSLARKNPIGRASRHLGPPPGFNSVPSKVVKEPAPGPDMSGNNPQVDDYSWLDGYQGHSSRGTGFNGSLNYASPGKPEHMGTGNGLNGPATFPFPGKQAPASQTQAEFPYAQHPQRDNDQSAQLPDQNQGQPSWSSRRFV >A03p067950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29803135:29805505:1 gene:A03p067950.1_BraROA transcript:A03p067950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAIWNSHPKKYGPGSRTCRVCGNSHGLIRKYGLNCCRQCFRSNAKEIGFIKGTKEKTLRRLKKRSLLLPSPNLQMRSDQNSSRSDTSEIKSLIYRQIGTQRADTYFHQLGRFFAARITKPELDKLCLKTIGRQHLSLHNRLIRSLIKNATLAKSPPSRYAKKGGSFGRLSNGKQSIRRKFRDRPSPLGPLGKPQSVTTTTTSATELMSLGSRPPLEVEEGEEVEQVAGRSSSVTAPLGVGMSLRRKAVSCSGFNTCQSSGELPDTRTLRSRLEMEGVKVSMDSVSLLNSGLDVFMRRLIEPCLSLASAQCGGNEQQRRRVSSCVSMSDFRAGMELNPQVLGEEWPILLEKICSRALDE >A09p047900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41530457:41533676:1 gene:A09p047900.1_BraROA transcript:A09p047900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPQDVAGAWGIPSGMGRHRHLPNDATLFSASLPVLPHGKLQSSDSRDGFPFIDDAAAPRPIHDDIESHSIGNMLPDEDDLLTGMMDDLDLTELPPDSADDYDLFGSGGGMELDADFRDGLSMNGGPPRLSISSAVPQFNVQNGAGTVAGEHPYGEHPSRTLFVRNINSNVEDSELKTLFEQYGDIRTLYTTCKHRGFVMISYYDIRAARMAMRSLQNKPLRRRKLDIHFSIPKDNPSEKDMNQGTLVVFNLDPSITNDDLHGIFGAHGEIKEIRETPHKRHHKFVEFYDVRAAEAALKALNRCEIAGKRIKVEPSRPGGARRSLMLQLNQELENDDLHYLPLLGSPMANSPPSNWLQMNSPVEGSPLQSVLSRSPVFGVSPTRNSHLSGLASALNSQGPSSKLAPIGRSPVASNVFQQSSHLFQEPKPDNKYTGNLSPSGPLISNGGGIETLSGSEFLWGSPNTRSEPSNSSVWSTSSTGVPFSTRVDRSVPFLHQHQTQNRSHHPHHHHLHVGSAPSNVPLEKHFGFFPESSKDTMFMNSSGMGGGLNGVSFPSRMANHGIMNPENGFSSYRMMSSPRFSPMFLSSGLNPGRFPAGVDGLYENGRPRRVENSSSQVESRKQFQLDLEKIMNGDDSRTTLMIKNIPNKYTSKMLLAAIDEKNQGTYNFLYLPIDFKNKCNVGYAFINMLSPDLIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKTALTAHFQNSSLMNEDMRCRPIIFDTPNSSDAVEQVIVKETKNGDLFDSEVNDDDDDGREKS >A09p082030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59519026:59520028:-1 gene:A09p082030.1_BraROA transcript:A09p082030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVKGLLKGLRYITQIFDEDKDQDIQIGFPTDVKHVAHIGSNGPAANMPSWMGDIKPQEHENGQVVSRGDVTNNPIGEGVGLQELLPPPEKLKHKKTRRKSETTSQNGSPPRRSSNVSTSDMLPKHSRRHSRSRHGSMDSSNDPSVRRKRVVSVNEAEGSDPLSDSSTTHRKSTSRHRKVKGSGGGGGGEVSMKKTKAKPESSIVQPVDVCNDGNTSNKD >A10p040480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22302101:22304000:-1 gene:A10p040480.1_BraROA transcript:A10p040480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKLRVLMVSDFFFPNFGGVENHIYYLSQCLLNLGHKVVVMTHAYGNRTGVRYMTGGLKVYYVPWRPFAMQNTFPTVYGTLPIVRTILKRERITVVHGHQAFSTLCHEALMHARTMGYRVVFTDHSLYGFADVGSIHMNKVLQFSLADIDQAICVSHTSKENTVLRSGLAPGKVFMIPNAVDTAMFKPADVRPSSDDVITIVVISRLVYRKGADLLVEVIPEVCRLYPNVRFVVGGDGPKHVRLEEMREKHSLQDRVEMLGAVPHSRVRSVLVTGHIFLNSSLTEAFCIAILEAASCGLLTVLPDDMVVLAEPDPDDMVRAIEKAISILPSINPEEMHNRMTKLYSWQDVAKRTEIVYDRALKCSNRNLLERLSRFLSCGAWAGKVFCMVMIIDYLLWRLLQLLQPDDDIEEAPDINFCN >A04p023450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14227574:14229482:-1 gene:A04p023450.1_BraROA transcript:A04p023450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMRTAMEASKPKSNPNNPPEILGNPKASLYEQCREERIKENLQRMQNLGILNLARKLQSETRPGKRPFGNSNPAPKSTPPTAPSRRSSRLENTTPVTYNERDNVKGKKSKGESLLIFEGPRVEVYTEVHEKLLGNTERSWTCFVDGYGKDGKRIYDPFKGKTCHQCRQKTLGHRTQCSVCDLVQGQFCGDCLFMRYGEHVLEALDNPEWVCPVCRGICNCSLCRNHKGWVPTGPIYRRIAKLGYKSVAHYLIQTRRAQTDDATPNQASAKRSLSFQEAKQLPDIADDDDLPNELPKLQIMGSQIGDSLKKEEDENTKDENPSSAKRSLSFCLPSADDLQVTSDHLKAHENIEVLSEEAKTGVRRSERRASLEPNSESIGSSVNTRSKRKAALEEPNPDSIGARLRQRRRSQSGL >A04p031010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18373916:18378644:-1 gene:A04p031010.1_BraROA transcript:A04p031010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRVRSFQISPGDLEAAQLDDLIREIEQKSLFYHQEKKKSLRARYIYGTIFLIINLCAWFIRDYAQKALTLLPHVSSCGPEGSHCFHTLGVLRIFFLIMFLSTWNTMKLHQAQNTWHSDNWSFKFLLLVSVMVATFFIPQLYIQIYGEIARVGAGIFLGLQLISVIEFITWWNNYWMPNNQSKQSCSFGFVMSIVFYIGSVCGIAVMYYFYVASTACALNIFFISLTVILLIIMMVMSLHSKVKSSLMSSGIMASYIVFLCWSAIRSEPSHTKCNAHTQNGHTDWITVLSFLIAIGAIVMATFSTGIDSESFSFQFRKDEAKEEDDIPYSYGFFHLVFSLGAMYFAMLFISWNLEHSARKWSMDVGWTSTWVKIVNEWFAAGIYLWKLIAPIVRQPRVHEQPQPTTTERHTQFIKRKMKLVFIFLYLILSLHFGSSEAKCHKNTVAFQNNLTLSKSVLKVHCKSRDDDLGDHFLRFQDAAYNFSFHDHFILYTRFKCILSKGANLEYHKTFLGYEADKYRRCGALYAWEARDDAIYLSTKDEPTKLIGTHN >A10p023470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15319116:15321630:-1 gene:A10p023470.1_BraROA transcript:A10p023470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYASCGLWKFDPLKGWGFAVDKNKRGRVLYMELTSSFEDLRRMVLEDFGIDQNLVELELSYLPMELIGSIDCPPVIIESDRQVKNFLTYVRGKTSTRLCVSTSPISGNNSNIGVDNEKSSSPIREQGEPSSFPPRDDSVRSSESSKDVEDNSNSNANKEDDLREQHEGEENCELKLLALKQHEGEEKY >A10p014150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4334652:4336979:-1 gene:A10p014150.1_BraROA transcript:A10p014150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) UniProtKB/Swiss-Prot;Acc:Q9FLI4] MAGKNPLQKCFLFIILSLNLMSLHAETGQKKTYVVHMDKSAMPSPYTNHLQWYSSKIDSVTDPKSHEEEGNRILYTYQTAFHGLAARLSKEEAARLEEEPGVVAVIPETRYELHTTRSPRFLGLERQESERVWAERVTDHDVVVGVLDTGIWPESESFNDTGMSPVPSTWRGVCETGRGFLKHNCNRKIVGARVFYRGYEAATGKIDQELEYRSPRDKDGHGTHTAATVAGSPVRGANLLGFAYGTARGMAPKARVAAYKVCWVGGCFSSDILSAVDQAVADGVHVLSISLGGGISTYSRDSLAIATFGAMEMGVFVSCSAGNGGPDPISLTNVSPWITTVGASTMDRDFPATVRLGTRRVFKGVSLYKGRTVLSRGKQYPLVYLGRNASSPDPTSFCLDGALDQHNVAGKIVICDRGVTPRVQKGQVVKRAGGVGMILTNTATNGEELVADCHLLPAVAVGEKEGKVIKEYAMTSRRATASLEILGTRVGVKPSPVVAAFSSRGPNFLSLEILKPDLLAPGVNILAAWSGDMAPSSLSSDKRRVKFNILSGTSMSCPHVSGVAALIRSRHPDWSPAAVKSALMTTAYVHDNMLEPLTDAAGAEPSSPYDHGAGHIDPLKAIDPGLVYDIGPQEYFDFLCTQELSPSQLKVFTKHSNRSCRHSLAGNNPGNLNYPAISALFPENTHVKAMTLRRTVTNVGPHVSSYKVSVSPFKGATVTVQPKTLNFTTKHQKLSYTVTFRTKLRMKRPEFGGLLWKSATHKVRSPVIITWLPPL >A06p046630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24930711:24931219:1 gene:A06p046630.1_BraROA transcript:A06p046630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FCS-Like Zinc finger 15 [Source:Projected from Arabidopsis thaliana (AT5G49120) UniProtKB/Swiss-Prot;Acc:Q9FH22] MKMVGLSIVLESSNNNTLSNGFVSPKPHQVVNKSTVVVTAIASDLRRGSSYPDSGFLKHCFLCKRKLLPAKDIYMYKGDRGFCSVECRSKQMVMDEEESFRRENCSFMAVNKTKSDSPAPASSGGGLHRHRRDTRN >A05p017320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7777584:7779103:1 gene:A05p017320.1_BraROA transcript:A05p017320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSFSDLLASSGVDCYEQDEDFLGGFYPERTGLGLPKFKTAQPPPLPISQASHGFSFSELLDSPLLLSSSHSLVSPTTGAFPYQGINGTNNHLDFPWQLQTQPQPPNATSALQETYVVQAIQKKQEDPVHREFAADRQVKVPSNMVVGRNSNDGYGWRKYGQKQVKKSENPRSYFKCTYPNCVSKKIVETASDGQVTEIIYKGGHNHPKPEFTRRPSGSRRMFNPSSIVSETHDQSENSSISFEYSDLEQKSFKSEYGEVEEEEEQPEIKRLKREGEDEGMSVEVSRGVKEPRVVVQTISEIDVLLDGFRWRKYGQKVVKGNTNPRSYYKCTYQGCGVRKQVERSAEDERAVLTTYEGRHNHDVPTSLRRS >SC236g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:13929:14324:-1 gene:SC236g500030.1_BraROA transcript:SC236g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLWVFYGLPLVQKDSILVTTSNGVGLVIEAIYLAVFLFYCDKDLQLERIQATGACLIVEIGVLCLFYGHTLLFLENVSARRKLVGVVCTVYCSEPDW >A06p047020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25037910:25038690:-1 gene:A06p047020.1_BraROA transcript:A06p047020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGKVFTLAEVSQHTSNQDCWIVIDGKVYDVTKFLDDHPGGDEVILTSTGKDATDDFEDVGHSSTAKAMLDEYYVGDIDSATVPTKTKFVPPPSNQTQSNQNKSSDFLIKILQFLVPLLILGLALGIRSYTKTPSS >A01p013550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6625439:6626282:1 gene:A01p013550.1_BraROA transcript:A01p013550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATYYRHSNVILNLHVDKTLVRTRQGRSNDITFITRTQGRRRKMGLCLVKACAATGESEDESREQSKTERRSFLTLAEAGLVEISGLGAHEKFLCRLTISSLNLLRVISEQEGCSIEELNAGKICDWFFKDKLKREQNMESAVLQWDDPDFPF >A03p053960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23164118:23165651:-1 gene:A03p053960.1_BraROA transcript:A03p053960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILRRPVLLLTVAAVLFSTAPVSNSIPFILFHDKCSGGVSNFTQLLSNLSGSPGSCLEIGNGEIDTWFMPLMHQANEACEKVKMMKELSQGYNIVAQSQGNLVARGLIEFCDDAPPVINYVSLGGPHAGIAAIPKCSSGPICAIAEDLMKLEIYNDFVQDHIAPSGYVKIPGEMTKYLDHSKYLPKLNNERPDQRNSTFKNRFMSLHNLVLVMFQNDTTLVPKETSWFGYYTDDGFDSLLSTQQTKLYIEDWIGLKALDDVGKVKYVSVSGDHLMIAYNDVVKYVVPYLMA >A10p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11875258:11876023:-1 gene:A10p011350.1_BraROA transcript:A10p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPESPAGLAYGFAIKRDGLEPKERKAQQKIISDNRIIYQSGGNSESVDHVEARDQPKSFTTTILQFWSSRDIYDFGQIKVFGQVFLDIELGSAAFRICCATLRSTISSFLGLVRHIKQQSKFSSIKRLSAPLVSTFNPSVLIQTV >A05p046820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27741700:27745022:1 gene:A05p046820.1_BraROA transcript:A05p046820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKWEMKRDEMGHRCCGKHKVKRGLWSPEEDAKLLRYITTHGHPSWRLQRCGKSCRLRWINYLRPDLKRGSFTAEEEQTIIDVHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPSTHNLMPSHKRSASSSSNTTPKPSKTKSIMTNPTFDQSTTTFSITNVNPYTSNKPNKVKSPDQTTIPSQTVIPIDHTMSSLLNDENMIPNWSNVDGMAPIHEEAPMFSSEKAVMGVEDDDLNMDILFNTPSSSTFDPDFGSMFSSAMSMDFNPMDDLGWTF >A02g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9971348:9971788:-1 gene:A02g503000.1_BraROA transcript:A02g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLFSKDSPLNTRIISDVIIKQVYKVLVIGNSKEKFREEHLYDSDLGKTVTLMKLNVD >A03p070460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30997141:30998994:-1 gene:A03p070460.1_BraROA transcript:A03p070460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific TFIIB-related protein 1 [Source:Projected from Arabidopsis thaliana (AT4G36650) UniProtKB/Swiss-Prot;Acc:O23215] MKCPYCSSAQGRCATTTSGISITECTSCGRVVEERQTQNHHLFHLRAQDTPLPLVTADLQPPAAQPSPPDEEDPFEPTGFITAFSTWSLEPSPIFARSSLSFSGHLAELERTLELASSGSNSSTVVVDNLRAYMQIIDVASILGLDCDISEHAFQLFRDCCSATCLRNRSVEALATACLVQAIREAQEPRTLQEISIAANVQQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKITGLTEVTLRKVYKELLENWDDLLPSNYTPAVPPEKAFPTTTISTARSTTPRAVDPPEPSLADRDKPSVKPIETSDHARQQQEQPKGKEEKHPKFRQPWLFGTASVMNPGEMISEPAKSPNAPDFDKQQLSDKDTLPTYLRQHNQFSSSSSPSSGISTINWSFRPSGGNGSSSNLPVVHPPKLPPGYAEIRGSGSQSGNKNANNPHGD >A03p059170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25703129:25706311:1 gene:A03p059170.1_BraROA transcript:A03p059170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEHMYGELWKLCAGPVVDVPQAGERVFYFPQGHMEQLEASTQQDLNAVKPTKPLFDLPPKILCRVMDVRLQAEKDTDEVYAQIMLMPEGTVDEPMSPDPSPPESQRPKVHSFSKVLTASDTSTHGGFSVLRKHATECLPPLDMTQQTPTQELVAEDVHGYQWKFKHIFRGDESISMTKTSQFIISLNKYLEAMSNKFAVGIRFKMRFEGEDSPERRFSGTVVGVKDCSTHWKDSNWRCLEVHWDEPASISRPDKVSPWEIEPFVTSENVPHSVMPKNKRPRHFSEVSALGKTASNLWSSALTQSHEFAQSCITSQRSSPQQCYRDATEDAKNSDWPISPYAASNLSKNSTLNDQMVFPVEQKKPETSASYRLFGIDLLSSSIPATEEKTAPTLPINITKPTPDSNSDPKSEVSKLSEEKKQEPAQASSKEVQSKENSSTRSRTKVQMQGVPVGRAVDLTVLNGYSELIDDLEKLFDIEGELKSRNQWEIVFTDDEGDMMLVGDDPWPEFCNMVKRIFIWSKEEVKKMTPGNQLRKLLTEVDTTLTTTISKTENHSN >A09p024200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13399365:13402825:1 gene:A09p024200.1_BraROA transcript:A09p024200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLYRRSLTSPPAIDFSSADGKNIFKEAVQKGTMEGYFSLISYFQTLSEPAFSGLASLSVVLNALSIDSDRKWKGSWRWFDESMLDCCEPLEIVKEKGISFGKLVCLAHCSGAKVEAFRTSQSTIGDFRKFVVACSISEKCHMISSYDRGVFKQSCKDESWISIVKYLKEDVPRLVSSQHVDTVDKILSVVFKSLPSNFNTFIKWVAEIRRTEDAKPDLSAEEESRQKLKRDELTQVHETELFEHVSKFLTSVGYEENLSYAAATACCQGAKVLSGCSSNEYYCRETSVKCIKGHGPSGVEEATVIAGVVVRDGSEQEVDLLVPSTRPVSKGSEYYNPEPKYPSGSDAFTALLMALPPQTWFGIKDQALQTKMKKLVSVGTFSTMHHEEVSHLRRQLIMLKRCQENKEEEDLTAPLS >A07p023430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13584761:13585828:1 gene:A07p023430.1_BraROA transcript:A07p023430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTGGDWLCGACQHANFKKREACQKCGYPKFGGVDVSTYLYNRTEVLAGDWYCGALNCGSHNYASRTSCYRCGMIKVEYTDQYYGAQMVAYGNDAGACPPGWKTGDWVCPRVGCGVHNYASRVECFKCKTTRDYGGV >A03g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19518993:19520613:1 gene:A03g505520.1_BraROA transcript:A03g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMSPDNINGLILAVSSSIFIGSSFIIKKKGLKKAGASGVRAGEGGHGYLSEPWWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGVLGCVLCVVGSVTIVMSVKAVAIAIKLTFSGMNQFKYFHAWIFILDWASQSGLKIATELCGFVTILSGTFLLHKTKDMGNSASGRGSVTLPSNTPVFTNSGSGRSSSSEKIPS >A09g512450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36513081:36516968:1 gene:A09g512450.1_BraROA transcript:A09g512450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSLSLSQRHFRNLAWTWASSPLDPKCRMSNVSTSIDGTCVHRSILIFICRGISWCRSTALDVHRSIVLPLIDLYMVSSDEIYYRLGVTALGMSEVPVDRWEVCCDDQHLTHSVDRWLGQPIDRFYHRKGRDRSTMKVWYRSTVGCEYRSTTKLLRRAMWNVDLYGWCVPNEQDRLRTASLSPCCFWYRWACTRKEKKKIINENKEFQSQVKNSSGHFRNLAWTWASSPLDPKCRMSNVSTLIDGTCVHRSILIFICRGMSWCGSTALDAHRSIVLPLVDLYMVSSDEMSFKLQNASKS >A03p037280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15604934:15606188:1 gene:A03p037280.1_BraROA transcript:A03p037280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRLESESGTRKLLKDRINGGSSDNSTPSRRVTGKRQRQDDKWEHDLFGSDKPQLSNRRVDSGDLRMKLQKRHHGSQSGSGVRDLREKLSGTMNVQPISKVETARPSLKSLVTGTATETTRKTSTCHPFGFQAGASVDSFLESLGLEKYSTAFQVEEVDMDALMHMTDDDLKAMLIPMGPRKKILLALGSKR >A02p008920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3673054:3673833:1 gene:A02p008920.1_BraROA transcript:A02p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDATGNPIPTSAVLTASAKHIGLRCMPENVAFLKCKKNDPNPEKCLDKGRDVTRCVLGLLKDLHQKCQKEMDDYVGCMYYYTNEFDLCRKEQEAFEKVCPLK >A09p034100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20616295:20617167:-1 gene:A09p034100.1_BraROA transcript:A09p034100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVAREFFRQPESERVKHYSADTKKTTRLSTSFNVGSEKFSNWRDFLRLHCLPIEDFISEWPSSPVSFREVTAEYATSVRALVLVLLEAISESLGLAKDSVSNTLGKHGQHMALNYYPPCPQPDLTFGLPGHKDPNLITVLLQDEVSGLQVFKDGKWIAVHPVPNTFIVNMGDQMQVISNDKYKSVLHRAVVNSDKERISIPTFYCPSLDAVVGPQQELINEEEESPAVYRSFIYAEYYEKFWDRALATESCIDLFKASKT >A07p044940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24429568:24431519:-1 gene:A07p044940.1_BraROA transcript:A07p044940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYFRRLCYFPALLLVVIQLLHGVCGQLVVVEEPTYAPPPPLVVIEEPTSSPPPPLDVVNEPIFAPPPPLDVVNEPISAPPPPLNVAEEPLSAPPPPLHVVEEPISAPPPPLVKQDGNDAIVPALFVFGDSLIDNGNNNNIPSFAKANYFPYGIDFNGGPTGRFCNGLTMVDGIAQLLGLPLIPAYSEATGDQVLRGVNYASAAAGILPDTGGNFMGRIPFDQQIHNFQTTLDQMASKSGGPVAIADSVARGLFFIGMGSNDYLNNYLLPNFPTRNQFNAHQFGDLLVQHYANHLTRLYNLGGRKFIVAGLGRMGCIPSILAQGTDGKCSEEVNQLVLPFNTNVKTMISNLNQNLPDAKFIYLDITQMLEDIIANPAVYGNYQILS >A10p030200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18299843:18301499:-1 gene:A10p030200.1_BraROA transcript:A10p030200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIVPYAKISAPSRRVGSIPGIRRQLKPSGISFHVSHVSQTQSTVWRLHATTNEKVVSNSTPVTNGGCLNGNVKTNVPEPAEELSDFMSKVSGLLKLVDSRDIVELELKQLDCEIVIQKKEALQQQATQPPAPVYHSMMPPPPMAGLPMPPSPPVSLPAPSSAPATEKPATAPSSSHPPLKSPMAGTFYRSPGPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEAEKSGTITELLAEDGKPVSVDTPLFTIVP >A03p001580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:767073:767609:1 gene:A03p001580.1_BraROA transcript:A03p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVMEKKLITIMRNDGKVLEYRKPICVHHILTQFSGHSVFDNNTSCHLQPDAKLLSGRLYYLLPTTINKKKTKKVRFADPEVKPEERLPSEEDEQHHGDTDESKSNRDDNKSMSVVRMKIVVRKQELEKLLRGGSVHEMMYQTLEKQVLHTDDDDNLECNGGWRPVLDSIPEPEY >A07p023780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13763918:13765190:-1 gene:A07p023780.1_BraROA transcript:A07p023780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 40 [Source:Projected from Arabidopsis thaliana (AT2G27300) UniProtKB/Swiss-Prot;Acc:Q9XIN7] MSKEAEMSIAVSALFPGFRFSPTDVELISYYLRRKIEGDENSVAVIAEVEIYKFEPWDLPGESKLKSENEWFYFCARGRKYPHGSQSRRATELGYWKATGKERSVKAGNQIVGTKRTLVFHIGRAPRGERTEWIMHEYCIHGASQDALVVCRLRKNADFRASSSQRQMEDGLVQDDDYVGQTGGSEREKKSYLVDEPEQLQISNGDIAESSNVVEYQDDTNDDCYAEILNDDIIKLDEEAVKANQAFRPNYPTQHETIFTEASSSKQMSECGTKKESKQTMNSYALFRIRNDSTASSSGWRIPNPLTHIKKDDTQRVTKNVLATTVFLTILMSVLFTVLTGRDRD >A01p024810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12354815:12358400:1 gene:A01p024810.1_BraROA transcript:A01p024810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDA15 [Source:Projected from Arabidopsis thaliana (AT4G14790) UniProtKB/TrEMBL;Acc:A0A178V2P1] MAYSLLRIRKVSPFGISRVLQADKASLWRFHLEPECAQFLRFGVFTRNYGKILGSSNYDFTGSGPTSSFDFTDLTCPHTWYPIARRKKRKVILHVGPTNSGKTYNALKQLERSSSGVYCGPLRLLAWEVAKRLNKANVPCDLITGQEKDLVEGANHKSVTVEMADVTSVYDCAIIDEIQASLAFTLAEEEIRQLTMVGCKQRGFSFTRALLGIAANELHLCGDPAVVPLVEDILKVTGDDVEVLTYERLSPLVPLKVPVRSVSDIKTGDCLVTFSRKDIYRHKNTIEKAGKHLCSVVYGSLPPETRTAQATRFNDATNDFDVLVASDAIGMGLNLNISRIIFSTLEKFDGTETRDLTVSEIKQIAGRAGRFRSQFPVGEVTCLHKEDLPLLHSSLKSPSPIIERAGLFPTYDLLSRYSQAHPKLGLYKILEHFVENAKLSSNYFISNVEDMMKAAALVDELPLKLQDKYLFVVSPVDINDEISGRGFAQFAQNYSKTRVVKLREILSDDTVKVPRTPTELKELESIHKVLDLYVWLSLRLEDSFPDREVAASQKSICNVLIEQFLEANRLISPIPFSSKKLRSRRKF >A08p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12175304:12176554:-1 gene:A08p017520.1_BraROA transcript:A08p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPAGASNDEKCLTCNLPLDLPRPALVVEAPSVYHTRGLLVHSSESDEVIIPHLDPGIVPGVLRSSPSLVSIINRTSHYNRSTSELSQSTGKLRCHCRVHDSIATLVVMLFSRVPRGRWLSKEMRSVIALHHRELSTTEAHSHVISHTLSDGATIINREPCREVKCPLSGYLCCK >A01p011790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5711032:5712353:1 gene:A01p011790.1_BraROA transcript:A01p011790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDTKRQKRVFSLLALRFCTVIVFSVIIFFILSFILGVLVIILGELWVSSPSSASLASRCKILSSSVDLRSSKVCGIGLLNVKAQHVFHPFERDKFRCRYDYYWASVFKVEYKDHLMGQTRLAFSEAPNEALPPECRPNFGAALLTKDNFKAGIPKIKLYQDGFFGCQASDLSFTDIFKQYAVLLSKLLQSWFNGKGRPKYWRYDVIAGIVSGFSTSIIAVFVVRILSNAKSWFPRACCSVKSKLSKVNLLVQVKRACLVIAYFYILGWMATQYLKILFPKA >A03p018500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7555640:7558498:1 gene:A03p018500.1_BraROA transcript:A03p018500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECSIPHHPTYDGICIDGCLYYQAIVDRGSGVSAIICFDVRSEKFSFIRKAQGAALWRESTLVDYKGRLGTLTADRDELTGETGEAQLYYIGMSANNEVLLSPRYPSSPFYVFYYNFERGTIRRVEIQRMDALKYGRIHTFLDHVVDVKLYTNLNTESKILLINGRENSKPLPIDLVMEILSRLPVKSIGRCLCVSKLWASILRLPYFTTLFTTRSSVRPHMLLSYSEKGQVLFFSSPQLKNPNENASLTTNYLSRVPYGGSSCHISDPVHGLVCLTYIDKEISKEHIICNPSTGQTLTLPKVKTTMVGVRSIFKLVSFLGYVPIDKQFKVLSMEWNSDHIILGPQHQVLTLGTQKLEWRLTKCCMPHYFCPKGICINGVLYYRAFDAYTGISMIVCFDFKSEEFSYIEVVKTFTTLVLDGPLINYNGKLGLLIFEGYPWGDRARSFELLVIGDLEKQEWSTHKYMLPPTWKNVVGEGMFCFAGFFGTNTIVLSRRSYVIYYNIDKNTIVKVGIQGVEAFKCFDRSIFLDHVESLMLVQEF >A01p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:746480:748011:1 gene:A01p001690.1_BraROA transcript:A01p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKIQMRKIVDRQQRNIAFAKRKSGLLKKAYELFVLCDVQMVLIFFSPSGKLFLFDPKTRMEETIKKYVDVPIRPRFQVQEETFIRRWIDVMRLESQYPESIVRPRENFGDGILLQTRSKEIEDEIFKCKAELAKVEQQLGYFLKHPNQWGTRDDIEYQEKILEETLDKVRSRKKCLEAQNEAFDASNCLLQTDVEISPSG >A10g503250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8495987:8496250:-1 gene:A10g503250.1_BraROA transcript:A10g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTNTEEQSPATTVVLTTLTATVLRRASRKPQQQQPFLHLKPQPHKQIHLPIGEAPNPLPPLPFRRGLPPPPPPSQTLPEKSSGG >A05p055140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32538082:32539506:1 gene:A05p055140.1_BraROA transcript:A05p055140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTDIGKKAKDLLYKDHNSDQKFSITTQSPAGVVSFQLFIFLTDESDDNMEYDEVFSSDCVYTFCCSCSIRLAFIKFTSEIAAGITSSGTKKGDSLLGDVSLQLKQKNITTDLKVSTDNTVLITATVDEAAPGLKSIFSFRAPDQNSGKIELQYLHEYAGISTSMGLTQNPTVNFSAVMGTNVLAAGTDVSFDTKSGNFTKINAGLSFTKDDLIASLTLNDKGDSVNASYYHIVNPLFNTAVGAEVNHKFSTNVNTITVGTQHSLDPLTTVKARVNSAGIANALIQHQWTPRSFFTISGEVDTKAIDKNAKVGLALSLKP >A01p011980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5815660:5819405:1 gene:A01p011980.1_BraROA transcript:A01p011980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKESILSAPPQPSRTSFSSLPEDIVLSILARISTSHYPKLSSVSKSFHDLKHARSRHETRENRVFVCLQSHIHPCNHRWFSLWIKPDDHQTLTHPCNDRWVGFWIDPDQHQTLACCVSEDKTTRNLLVPVPSSYSTYLPKLYEMAGSDIYAIGGGLNPSSSTTVRVCKEIGKWRESPSMMVARKNAFTCSVNGKIYVIGGCESGESECWAEVFDPKTQTWEPLPDPGTRLRFSSIKNLDLHSDRIYLRTNEKNFAYLIEENRWEVVDENIGESECKVENTWYSYADDGLHWWHDTKYCQEWRLVKGLTELDVHFRRGYGMEIVSYGRKLVIFWVGLTEMLDDFPLSLPPSEVSEIWCAVVLLERRHDDEIWGQIEWVDLVLRVPWISFTTVHVHTYIELKIYQWNDFDSVEEKVKMMMMTKPRHTVNSQLRLAKDRISRNLVSHGKGNTNLVDVASTLGLNGPYHNLQLYIEETHLCLLCGLDQLSLNTYRKFSFTSAIVLFSSLANMLYETVGSETYEIGGQNKLYASDVWVYNKLIGKRRKAPSMMVARKNPLTCSLDGKLYM >A04p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1717030:1722496:-1 gene:A04p003500.1_BraROA transcript:A04p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEQVLVDQSPPPSAPDPNPNPLIHPRRVSFEHGLLPIQKLAFTDPIQTLAPIKQKLADAASNNRVGSDAIAEALQISGDHARLVLETLGSVLHSEGDPLVRAKPEEVDSVGADLRDLVLFLYVQSYKKLMPRTHKDSAAVADLVRSNSRRFMPSQADDEAHQLSYLQKHLANIISLLGEPVEGEGEESLVISMEAFEHLGFLVQFGDKGSDVSPLSQATPFFANSDPDMPAVPVPASQALDWLLQNIASALESITEGISGKENGPSNTSDQDDAMSDACAAPNKVAPSGRGPCLIEGVSKTSLVKQASDLRGRSVKVVNCHDSVIYLLAPLRYATVHGCSDTTIVLGAVGKALRVEHCERVHVIAASKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTYYSHLGEHMSEVGLESTINKWDKPLALGAVDPHDSLSHPAGVADAQAESAACVDPDQFVNFLIPNWFSGEDTGSTKDNPFPLPDPYMAAQQRNLKNLDETRRSLRETPLEENRKKELSSALHVYFKDWLYASGNIRQLYCLQELYQSFWEIASEETTRKKKEEKPFAVVATKAESTSKVTGLGGEAAKHEQDADNDSYMGDLSQFILYTTRTQSFQKKGERKRIKKPGSALGKEGSGKVEPVSVEILRSRKKRRISLKRICKRCSVQAFFDQCAMY >A08p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13648811:13651666:1 gene:A08p020070.1_BraROA transcript:A08p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNITENQELGLFSRKQRLGSLRTKTIEIRSFDCANIHFVFSGKVEPWSYIEKRNVAHYKPGRVRIATYQTFKTI >A02p033340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17734055:17735269:-1 gene:A02p033340.1_BraROA transcript:A02p033340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGRGRGRGGFGGFGVEYAKAEPFVIFPDITLPDRKSISDDTQLLKNFNFFERFWKSSPYHLGDGVSKKESESLDIERFSDTLKPKKKKSNERGSFYDYLVLRPDNFPKELLGDTRRERPVKRARWTQDADLQKLEALEKLEAKLKAEGKEENEEGEGDEEVEESEGEDSENGDYDQNKDFDDDDDDYNEPEDNDNEDVYI >A09p058430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49225635:49229246:1 gene:A09p058430.1_BraROA transcript:A09p058430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKLGVFSVSFLLILSVAVGRFVVEKNNLKVTSPDSIKGIYECAIGNFGVPQYGGTLVGTVVYPKSNQKACKSYSDFDITFKSKAGRLPTFVLIDRGDCFFTLKAWIAQQAGAAAILVADNKAEPLITMDTPEEDKSDADYLQNITIPSALIAKSLGDSLKSALSKGDMVNMKLDWTESVPHPDERVEYELWTNSNDQCGKKCDTQIEFLKNFKGAAQILEKGGHTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFTKGYDGKDVVVQNLRQACVYRVLNETGKPWVWWDYVTDFAIRCPMKDKKYTKECADEIIKSLDIDLKKVDKCIGDPDADVENPILKAEQESQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAMCSGFQESTEPAICLTEDLNTNECLENNGGCWQDKASNITACRDTYRGRLCECPTVQGVKFAGDGYTHCKASGALHCGINNGGCWRETRGSYTYSACVDDHSSDCKCPLGFKGDGVKSCEDVNECKEKTVCQCADCKCKNTWGSYECSCKNGLLYMREHDTCIGSSKVGTTKLSWSFLWVLIIGVGVAGLAGYAVYKYRIRSYMDAEIRGIMAQYMPLESQPTPSGPHMDI >A04p029700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17750732:17753895:1 gene:A04p029700.1_BraROA transcript:A04p029700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEDILKHQMDAIERVSVVLSITEVEASILLRYFHWSVGKVHDEWFADEERVRNTVGILERPVVPPSDDTELTCGICFDPYPPEKIASVSCGHPFCTTCWTGYISTTINDGPGCLMLRCPDPSCLAAVGHDMVDKLASEEDKEKYNRYYLRSYIEDNRKMKWCPAPGCEYAIDFVAGSGNYDVSCLCSFSFCWNCTEEAHRPVDCSTVSKWILKNSAESENMNWILANSKPCPRCKRPIEKNQGCMHMTCTPPCKYEFCWLCLGAWMDHGERTGGFYACNRYEVAKQEGQYDETERRREMAKNSLERYTHYYERWASNQTSRQKAVTDLQQLQTHNLEKLSDKQCTPESQLKFILEAWLQIIECRRVLKWTYAYGYYLPDHEHAKRQFFEYLQGEAESGLERLHQCVEKDLLQFLNADGPSKDFNDFRTKLAGLTSVTKNYFENLVKALENGLADVDSHAACSSKSTSSKSTGCSSKTRGKGKGSSRTGGS >A08p046060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25143198:25145452:1 gene:A08p046060.1_BraROA transcript:A08p046060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAF1 [Source:Projected from Arabidopsis thaliana (AT1G03530) UniProtKB/TrEMBL;Acc:A0A178WI11] MAGFAVKPVKEEEELPVETAIDEDPKVKTFKDFPSIDSYLDFDSLNWLGSNNTNIEEFSLDDTDFDFFEEDMEIGQEGVTVSEETRTVMSESIEVTSKLCCGVSGVSSISSESMIDVKPLLCGGMSANFDGVAEPAVKEAEPVVSKDYGAMEDEKGETSSAESESETSSSSSSSSASSSSEEEEESDEDESNKEKKFEDQMVMGKEDDMAEELEEGEIRSVDEEHEVEEDDVNEMVAWSNDEDEDLGWQTNEPIRTKNELKELPPVPPVDATLEPHHVMLPLGVVLSVMSTQVTVGGMEEHSPLAEGSILWITERRTPLGLVDEIFGQVECPLYSVRFNSENEVPEGVSEGTPVSYVADYAQHILNIKKLQKKGYDASGDNDEEISEQLEFSDDEKEAQYRKTQKMEKRGMMNDQKDGNTRNKKKKNSDLRTSTSNDSREWTENRGSSSYPQSVLILKWVVRLLIINHGLGWRVFLQTVEDGGPRRPMMAMQNQMMFRPQFNGGQLPMPAGPGGLNFFQGQATAPWPALVGQNCFKQQPFGMGRGIQPPQFPMNPQFQMLNNRPQAPVNPQFPMQPQFPVNPQFQMRNNNRPQSPVNPYFQMQPQFPMNPQFQMRNNNRPQSPVNPYFQMQPQFQMLNNNRSQSPMNPRCQMQPQSQGWKGPPWSWQR >A02p012060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5264133:5269049:1 gene:A02p012060.1_BraROA transcript:A02p012060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFFCCHKGESSSGAVEAEQQTQPLQPVQLQPSSSQPVQDPQASSRSVKDENEAIFDENLFDNNEGLNLAWRNLWKSYEDAKAATHDPYPGPKIKWSEIFQGTLNFRNINYLGRGNFGEVYRCDIPRLDKTGAAKVQNNPSEEAHAEFLAEITTLHAANHPNVITLLGKCFHQDHRVIVYEFMHRGSLDHHLFPNTRLLRGRGRGLLQVYKVLSWTMRLRIAVGVAKALVYLHEELKMVHRDVKVSNVLLDKKFVPKLTDFGLASCIVEDENGVEKRSEITLIKGTPGCTAPETEELGLVSSKNDVYSYGVFLFTLFTGRKAFDSKRGSGKEKLTDWLKIVCSRGDNIPLVVDSAMGNRFPGEGLIKLFQTALMCVDPQLLARPEMRFVESMVSDVARKSQERLIATMKRYLCCFKGESSSQGAARNPQSSGGNAQRPFGDNEFLNNAWRNNLELPDDRLPPESPRVSPPRLPYFTLRWEEILEGTQNLDNTNLLGEGNFGQVYRCNLPRLNQVGAAKIQKVENEEAKTEFEAEITTLHRANHPNVINLLGQCVTDEDRVIVYQFMPRGSLDHHLYADTRPAPGLQQQRNAVLSWERRMSIALGVAEALIYLHEELKTVHRDLKVANVLLDEDFVPKLTDFGLATRMVHDANGIEKQSQIDPIKGTMGCIAPETEGSGLVSSKSDVYSYGVFLLTLFTGRKAFDRSRPVASRKINGWLMSVWTREEYLPIVLDVALGNTYSAEGINRLFQAARMCMDPNVLARPTMRFVETMVRQAAAYDVATLPPVLRRDLV >A05g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25461007:25466999:-1 gene:A05g508670.1_BraROA transcript:A05g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGISTIKGNIRCGGELMGVDMLLLDSQVCSVPPLEFVTALTVVKKDEDVKVIVAGDDDWLPPSPKVVFDESKELGGESTIKAVSSELKCALHVEEDELMSFTKFIVDAMQEAKVSASNEAEISQNILRGSCCLDESNDAVVKIRSAQLSPKALNHPKRDNQNTDRKHRRESWGCVWRCSRVAYVFGAILYNKVNDSLAINFSHSQGPGMIWVLSPKYFNFPLPITLTMIYMGFSGFVAFLLIRVFKVVAPVKMIFQIYATCVVPISALFASSMWCDVFSNMLLVSVGVVISSYGEIHFNIAGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPINSLYYIPPCSCTDPIKLLDLLFKCSVCSCLELRHILSDRENRGSNYPALGGVVMYNYIKVKDVKASQLTADRLPDRINKELKMENKSSDKFNPDVGGEIPRVEAEINEEALWMQLGNHAA >A02p004650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2023383:2025319:1 gene:A02p004650.1_BraROA transcript:A02p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREGGGGGGAVERTSQIVSDPYYFLHFMAFFSYLPIRASAAPYTSHRLFDRELQAFLAFLMFSAIKMVREETWEAFVADSLLYAKIFLIGVSLVMDYRVAIWFCVIFSVVYLLAQQPAFSKLGTAKKLTPMQLEDLLSDGTTTKYWLVRCSFLINEVVIVDDTSSKCVRSSRCFPELSITYSNSLLSFGTIDLGLFPNAASKFGISLAGGMSQLPTYILYEKGVEVHRFPDFYVDAAPSLPVTKKLLCQHFELDRLLLDYINGS >A06p045370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24312789:24315274:1 gene:A06p045370.1_BraROA transcript:A06p045370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLRRAVSSSKRSSLLRIVAVAAATSGVVYDTDTGTRISVAIPEPFKISQSLIHRTEQSLFGNLAAFSARVSPKPEAAASNDEKRPHVEDSDSVKPPSGGFLGRDTIANAAARIGPAVVNLSVPQGFHGITTGKSIGSGTIIDADGTILTCAHVVVDFQSIRQSSKGRVDVTLQDGRTFEGVVLNADLQSDIALVKINSKTPLPTAKLGFSSKLRPGDWVIAVGCPLSLQNTITAGIVSCVDRKSSDLGLRGTGREYLQTDCAINAGNSGGPLVNLDGEVIGVNIMKVLAADGLGFSVPIDSVSKIIEHFKKSGRVIRPWIGLKMIELNKMIIAQLKERYPMFPDVEKGILVPTVIPGSPADRAGFKPGDVVVKFDGKPLIEIMDDRVGQRIRVVVERSSKETVTLEVIPEEANPGM >A04p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2859140:2861030:1 gene:A04p005450.1_BraROA transcript:A04p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPSISLLLLLSAAVFLALPAVISAIGVNYGTLGNLPPPNEVANFLKTQTSIDSVKIFNVDPNILRAFAGTGISVVVTVPNGDIPALANGRQARRWVSANILPFHPQTKIKYISVGNEILLTGDNNMISNLLPAMRNLNKALVRAGVRDVKVTTAHSLNIIAYDLNGAPSSGRFRPGWDKGVLAPILAYHRRTKSPFMINPYPYFGFDPKNVNFAIFRSPYKAVRDPFTHKIYTNMYDALMDSTYSAMKALGYGDVNIVVGETGWPSACDAPWCSLENAAWFNKNIIKRSQRQGTPLMPNRRFETYIFGLFNEEGKPGPTAERNWGLFHSDFSPVYDVGLLRNGQGGGGRLPAPALPAPTGGKWCVAKWGASDAQLQGNIDWVCSQGGIDCKPIQAGGSCFNPNSVRTHASFVMNAYFQRNGRTDGSCNFSGTGVVVGNNPSNGACRY >A05p027940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000111.1:25759:34163:-1 gene:A05p027940.1_BraROA transcript:A05p027940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELVEQLEARNEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGV >A03p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11038334:11041286:-1 gene:A03p026330.1_BraROA transcript:A03p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLILSLSAKLSSVRKLALRYQTLGMFSSSSSTTTNPYLMYGTTIYGNPEEDLHVRSDIHYFDPVKENEVIVRDKTLPMEFRKECVLGGMSHGWVVFKARSDDKKDKVLYISDYYTPCGSKSNPKTIPLHPMGQQPGRPAQYLNITNVAMTCSPDQSNDFAVAVNCLGPVINFFRPGGKHKYSGSVHFTTPLQHFNQSKVMYSKRDEKFYTTSVNGQFLVYYDAFFEEDMTGSEVHELRFINHPELAQSEWEILDSCSKTVHLVESPSGQRFLIKWYAQNHQPGKKITFLCRGTKRFMVFREEEETRVMSYTEDIGDLCIFLGNSEPFCVKASSFPGLNPNSIYFAGDGFGVYDIATRKPRSFRPKSPAAFSRASPAFHWIPPILSSKLSPRKLALRCQTLRTFSSTTTTTNPNLFYRVSCCGEKGDVESPGVMTQLHMFDPAKEEHIIVGDMPFPKELVESSLVGSSHGWGVILWGHRSLLITDFCNPLSFKSKPKFIPLLLRPDLIGCQAELVSGVAMSSSPDEEEEDYLVAVKFTGRVVSIYKPSDTKAALHYILPHNVFDHFEPSKLMYSKRDQRFYMVSSGGHHLWSWDGLESTRPEFHELRFHNLPQFSCSELQLLDSCHRTHHLVESPSGQRFLVKWYVQSIKALGFSCGGTKRFMVFREEEDMNMCYTEDIGDLCIFLGDNEPFCVKASLFPGLNPNSIYFIGEAYGEGYGVYNIATRTPRSFKPKPTSSGQGFMIRLWAPHWLPPFPL >A03p005030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2096916:2097716:1 gene:A03p005030.1_BraROA transcript:A03p005030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLRYLNQWRGTAKEAFDQVSIVAKFLCLLHVTDRYIISSTHVQGPSMLPTLNLTGDVILAEHVSHRFGKIGLGDVVLVRSPTDPMKMVTKRVLGLEGHRLSFFADPLVGDDSVNVVVPKGHVWIQGDNVHASTDSRNFGPVPYDLIEGKALLRVSLATTMLWVIEVTITFELFQLLLE >A05p054130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32455667:32458218:1 gene:A05p054130.1_BraROA transcript:A05p054130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 25 [Source:Projected from Arabidopsis thaliana (AT3G02110) UniProtKB/Swiss-Prot;Acc:Q8L9Y0] MTMVKCVLFTTLMAILIMTSQGRSQAREGEKQAEADRITSLPGQPNVTFEQFSGYVTVAKHSGRSLFYWLTEASHLPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRISKGGSGLYLNKFAWNSIANLLFLEAPAGVGFSYTNRSSDLFNTGDIRTAKDSLQFLIKWLQRFPRYNRREIYITGESYAGHYVPQFAREIMRYNKRSKNPINLKGIMVGNALTDNHYDNLGTVTYWWSHAMISDRTYHQLVNTCDFTRQTESDECETLYSYAMEKEFGNIDQYNIYAPPCNKSSEVGGGVGGSSGRRSMHLPHLPHSVLRKISGYDPCTERYAEIYYNRPDVQKALHANATKIPYKWTACSEVLNRNWNDSDSSVLPIYREMIAGGIRVWVFSGDVDSVVPVTATRYSLARLGLSTKVPWYPWYVKKQVGGWTEVYEGLTFVTVRGAGHEVPLFKPRAAFELFKYFLTGKPLPKA >A07g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10070723:10073593:1 gene:A07g504790.1_BraROA transcript:A07g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRFSDLDLICRFFRSGNFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLELSIDDLTFSRLRLHISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASIWLFMVVVVLMTMAIL >A01p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5197351:5197982:-1 gene:A01p010610.1_BraROA transcript:A01p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSDLELLMMHNRTYCAEIAHNVSTKKRKAIVERASQLDIVVTNRLARLRSQEDE >A03g509870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31593083:31594906:-1 gene:A03g509870.1_BraROA transcript:A03g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSTEFMSSVMARLAHQEEVQKTTNDQLAAIVAALSAPTGNSQPFRPHIEPRQHAPNDKNNRKNGLLYVVDENGKKWNTFHRETDPPSESPRATATAAVAQVDSTAGSSWTPPGLTKSCKLHGVKGHDTLECKTLFAQFLSSLESGELKIPPPKPKSENSSSRNKDRKNQQKNQGKPPRRPEAEDDDGDASADEDQPAVRQRIEVLPAQPEPSSDKESDLEEALDPLDLRTLLKRKITPTNNETLGPSDLRVELNAKRTKHALSQGSSTASKGDNPIVDLRDQLNARMDDLRTKLDRKRA >A02g510720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28478116:28479401:1 gene:A02g510720.1_BraROA transcript:A02g510720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGGKGFKSSLKSSYGPGKDDNSTKSKKTRKVQFDPQGPRESKYTFLQDSDEQIQGSSAKGGKGSKARKSTLSKESQPLELKTDKELPENAKCLMDCEAFEILQGIKEQMAVLSEDPSLKLPVSFDRGLEYVKYGRCYMNPQSVRQILEPLKKHGVSESEMCVIANVCPESIDEVFAFVPSMKGRKDKISEPLEEALMKLSKLKRSA >A06p040520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21918009:21919780:-1 gene:A06p040520.1_BraROA transcript:A06p040520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLDGDSMKGRKMSVDEKRKLVHELSKDSDLAFEALQVWTHEEIRQVLCAELGKERKYKNLTKAQLINSLLKTVSEKKAPTPIKGNSGGDQEDRKRDSSTTTTKTTKRNSKRQRKNATPTRYVSAESVNKAKGGIYCKNLACQAMLRQEDLFCQRCSCCVCHKYDDNKDPSLWLTCGSDPPFPGDSCGLSCHLDCAFKNEKSGLKPSSDVDGCFYCVSCGKTNSLLECWKKQLLIAKETRSVDVLCNRLVLAQKLLKGTEKYIKLSETVEEAVMSLETELCGGPLTDLPSAMCRGNVNRLGSASKVKDLCSSALKSLQDTPECCFKVVSLRGGKQSSVDETKVSTRTLQEEEEETVSEKVVNGKSSTSCGFGLEDCVKIMRQLECSGYVDKSFRQEFLTWYSLRASAQEMNVVKTFIDAFGDDSVALAEQLVDTFSDCISRKR >A09g511760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34894550:34896972:-1 gene:A09g511760.1_BraROA transcript:A09g511760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKENNSHHHAEAKRKRLIWILCVSGFCILSYVLGAWQTNTLPSSSSEVFSRKPCETQSNLSTSSEDEDASLSSSSSLSSSSSSEPVELDFESHHKLELKQKNQTIKYFEPCDMSLSEYTPCEDRERGRRFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYDNIPHKELSIEKAIQNWIQVEGERFRFPGGGTGFPRGADAYIDDISRLIPLTDGAIRTAIDTGCGVASFGAYLLKRDIVAMSFAPRDTHEAQVQFALERGVPAIIGIMGSIRLPYPARAFDLAHCSRCLIPWFQNDGLYLTEVDRVLRPGGYWILSGPPINWKKHWKGWERTQEDLKQEQDSIENAARSLCWKKVTEKGDFSIWQKPINHIACKKLKRAHKSPPICTKAVQPDFAWYTISTLSKFKEYKELESCVMPLPEANSPDEFAGGALEDWPDRAFAVPPRIIQGTIPEINAEKFKEDNEVWKERIAYYKQILPELSRGRFRNIMDMNAYLGGFAAAIVKYPSWVMNVVPVDAEKQTLGAIYERGFIGTYQDWCESFSTYPRTYDLIHAGGLFSMYENRCDVTLILLEMDRILRPEGTVVFRDTVEMLTKIQSITNGMKWTSRIMDHEKGPFIPEKILLAVKSYWTGPSA >A03g505430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19334934:19337142:1 gene:A03g505430.1_BraROA transcript:A03g505430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAVVAPTSFDDLRLGRSAQFVVARLLRFWDSRNIKKQGEFMGITLLFLDQQNSVIHGFIPAARSGHYRPGLRSGSIVKISRFEVARCTNMYKITDNPFVIRFLPQTTIDEVLVNAPIINLQKFMLRKFEHLQALANTNLELPDVVGMIRSVQGSDLKDAAVMTRVVVRFVIEPNVVVYLSLWDEAAATFRGLISSGERAQSVMVVTTVNPKIFGGNLYLNSTPATKFYFDVNIPAITQFTASLGGPVGEAFPCIDTKESIKKKEHVSIRDLNKFISNSDEQTQEAEFICKARVLEVLQQNGWSFVSCTGCSRKLDQSGNSLRCNRCVNANVTGVIKYRVELSVDDGNDNATFVVFDREMLSLIKKDAATLTVEQMNGGGGEQLPQCLGELGGKEFVFQIRVTPFNFTPNHRTFTVCGISDHIEPETFNTKEASIVGGESGETSASAGASVEGEAYDPNPTGGQVKDGNRKRPRE >A09p060060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49953156:49954527:-1 gene:A09p060060.1_BraROA transcript:A09p060060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDSNQEHPQPLPTNHDASSPKKPSTSSIVVDRLKRDEWSEGAVSSLLEAYETKWALRNRAKLKGQDWEDVARHVSSRASHTKSPKTQTQCKNKIESMKKRYRSESATAEGSSWPLYSRLDHLLRGNASTSVHPQPQAVLPLNCSAPLLLLEPPLAAVTHPPQQPPAAQMSHGSNGVGTIKDDHKPERATEMDTDSSTPVLCREKAKVRPKKVRRRYKEEKEEIAGSIRWLAEVVMRTERARMETMKEIERMRAEAEVKRGEMDLKRTEIMANTQIEIARLFAASVHKGGVDSSLRIGRN >A02p000350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:210960:211483:1 gene:A02p000350.1_BraROA transcript:A02p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFRKDEEGKSLRALSEKVFLQLVLLYDQRWCNDRFPCSRLDSDECCHVGWGLAVAALLFFAGSNFYRLQKPGGSPITRMLQVIESLLGIGADEVALGNYQSTLLVTVVTKVTTTGGRPGWIADNLNRGHLDYYYYIGYWLFLSFLNFVRLPLDC >A07g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6535153:6535568:-1 gene:A07g503310.1_BraROA transcript:A07g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGSAEKEESWRRWRRVVCGVRSRPIQAAAPYRRYLPASVVSFSLLCSLFFFSFSPKTSLSVVSGDESRSTWRLFGGRFRRIQFGGGRHLLCSSCGVLGFRGVFRFW >A09p024210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13403601:13407199:-1 gene:A09p024210.1_BraROA transcript:A09p024210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEDVHILDPELLQLPGLSVKSNPLIAEELFSHWLSLPDTSRMVKSLIDDTKSSTPVSISKNCANLNIACASALPSLFLNSGTPPLSPRASSGSPRFSRQKTSPFFLQSPLRSLREPKPQLIPQFYFQHGPPPAKELKEQCLSMVDHLFSDFIDGLRMNEFKTVTKEVCKLPSFLSSVLFRKIDVDSTGIVTRDAFVKYWVDGHMLAMDLASQIYNILRQPGCEYLRQADFKPVLDELLASHPGLEFLRNTPEFQERYAETVIYRIFYYINRSGTGCITLRELKRGNLVAAMQQVDEEDDINKVIRYFSYEHFYVIYCRFWELDGDHDFLIDKENLLKYGNHALTYRIVDRIFSQVPRKFTSKVEGKMSYEDFAYFILAEEDKSSEPSLEYWFKCIDLDGDGVISPNEMQFFYEEQLHRMECITQEPVLFEDILCQIFDMIKPEARKENCITLKDLKASKLSRNVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAQREYVRLSMEEDEVSNGSADVWDEPLESPF >A02p013570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5936902:5937753:-1 gene:A02p013570.1_BraROA transcript:A02p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRRQIIVKSPYSQQTVALQLDPSQSVLTLSALTSLLESSQRQSLSDFSVALNEKPLNASTRIKASNLPPVSMLAVYPRLLGGGGDGGATGAESRDCYLNMYAEKKPDKVDPNEQRLSKWLNCALSNEPLAEPCVIDLLGNLFNKEALVNALLLKRLPKQFSYIKGLKDMVNIKLADVVGFDGDTTSAKFQCPVSGLEFNGKYKFFALRGCGHVMSAKALKEVKSCSCLVCHADVKDSDKIVINGTEEEVGFVEGEDGGGEGKGEREERCFKEEQERCCCGG >A02p050340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31090846:31095124:-1 gene:A02p050340.1_BraROA transcript:A02p050340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEDSNLDIMPPRKKKNTGPNKMSDKLNLKKTTLSKSQKRKLKKIEEEKERELLSAKTAELLDKYKISEDVSLLLQSSKAIGRSDTKLEKRRRPMQLSKAGVLSDEPVKENDDSDSFMDDEPATPENHLPALQTDSEQLTHANEVMISAEEVREEDETKVREEDETMFKNLQTTRRDDDEEEEGLQRMDESEDVTLKGPAFVVHVSRPAEVEETRKELPIIMMEQEIMEAINYHPTVIISGKTGCGKTTQVPQFLYEAGYGSKQVSSRSGVIGITQPRRVAVLATAKRVAHELGVRLGQEVGFQVRYDKKIGENSAIKFMTDGILVREIKDDLLLKRYSVIILDEAHERSLNTDILIGMLTRSIKMRQELYRDQQKHLQTGGTIASKDVIWPLKLILMSATLRVEDFVSGQRLFPKPPPVIEVPTRQYPVTIHFSKRTDEFNYMGEAYKKVMSIHKKLPQGGILVFVTGQREVENLCEKLRKSSKELVAQAARRDASEKEKKLEDDADGSFGGVDMKEIAEAFDDASNTQDYKFNSFGEDPYETGNGEHDDFDEENMYESDEESDWETIDDDGGLASSLEEDGKKVDALRAAFKALADKKGSESTETTTTSTEAEEEEEKKPFTPGKLRVLPLYAMLSPDAQLRVFAEVEEGERLVVVATNVAETSLTIPGIKYVVDTGRAKAKSYDIKTGMDAYEVDWISQASASQRAGRAGRTGPGHCYRLYSSTVFSNTFEESSPPEITRVPIDGVVLQLKSMNIPKVENFPFPTPPEPSSIKQSERCLKALEALDSDGRLTPLGNAMSNYPMSPRHSRMLLTVIQMLKEATNYSRANLVLAYAVAAAAALSLENPLIMQFGEEKQNECEDDKQRKKDRKDKIKAARDRFSNPSSDALTVAYVLHSYEVAEDGPGLFCETNGLNMKTMNEMSKLKADLLRLVFRSSETENLYKWTHGTIQDVEESWRNAASSKTPLLQKEEELLGEAICAGWADRVARKTKSTQYQACAVQEEPVYLHRWSSLINSAPELLVYSELLRTNKPYMHGATRVKPEWLVKHAKSLCVFSTPLKDPKPYYSRELDRVFCWVVPSFGPHLWELPAHSEAVNDDMYRAAVFGYALLRGEVLPCLKSARALMAGKPETLLEREGWGLERVGSLLKVLKEKKIDSLGSLRKRWEQNPNVLYPEIEAWFQKKCRHHVKELWQRMLQEAKVNVPGKLKRSSVIPIERSDEKLKRPRAKVCFE >A03p023520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9935430:9937349:-1 gene:A03p023520.1_BraROA transcript:A03p023520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDPSNAKPNQHPPLTNPSPTSFRGPNHRRAHSEDQFRLPEDLDLSETFSGFYDLGSEDDLFCSYMDVENLGSGLGPGNDNPFPAENGGGGGREEEGGRERPRHRHSVSVDGSSSLESIEARKAMTPEQLAELWVVDPKRAKRIMSNRQSAARSKERKARYIMQLERRVQALQAEYATRSAYLSLINGDIDGLLSENTKLKLQIQMVEQKAKLHDALNDKMKRESEMMRFAAAGQVSHSDAYNLQMARMQYSQQQAFFQQQADAQNMQQMTPQFHHFQPNNNQNPQLMHHATSNASGQSHSYAEEDHLGSLQGLDISNGSNFGRSSESSSSTM >A06g501910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6898102:6900762:1 gene:A06g501910.1_BraROA transcript:A06g501910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSQSFFFIFSLSLIFNTLASPTLERNALLEFKNEFPMSAPDPEDPFASSFSSWNTSSDHCSWKGVTCDVNSGEVISLFLEDIFLNGSLKANTSLLKLHHLQHLTLINCHLRGEISSSLGNLSHLMQLDLSYNELGGEIPSSMGNLSHLSHLYFSSNYLEGEIPSSIGNISPLIELDLYGNHLIGEIPSSLGNLSHLTTLILAENHLIGEIPPSMGNLNQLTFLNLGPNNLSGNIPSSFANFNKLSQLSLSYNQFSGGGLPHILSNLTSLSSLDLSNNHFKSKLPSNMSGLNNLEIFSVSGNYFGGNVPTSLFMIPSLKEFYLSENQLEGPLEIGNISSFSELQYLDLASNNFYGPIPEDISRFSKLQTLDLRNNRFIGEIPESMSKLVSLYSLDLSNNSFIGEIPESISKLVSLNSIDLSYNKLEGQVPSFLWSLSSLKLSHNSFSSLEDSMQVFVNGSHSGLGSDSFRGPVHHVDLGSNSLRGSFPIWICNCTSLVFLDLSNNHLSGSIPSCLMDSSPSVRQIILRNNKLSGFLPDIFNSATKLRSLDVSRNKLSGKLPRSLINCSSMEYLNMKGNNFKDTFPSWLSSLGSLRILFLGSNAFYGPISSHFGFSSLRVIDISNNSFNGTLPQDYFVNWLEMSTVWVDKTKWYEHIIDKGRPSSVYMGELYNYTDSMDMMYKGVDTEFQLIFLAYKAIDFSGNKFTGRIPKSVGLLKALIHVNFSKNAFTCSIPSSLANITNLEALDLSHNKLSGYIPRDLAKLSFLSYLDFSHNLLQGPVPRSTQFQSQNCSSFEDNLGLYGLEEICGPIHVPHPTSGDSQQSEEFSSEESEEVLNWIVAAIAFGPGVFCGLVTGHFFFTSQKHKWLMENFSRNHPGGISTVR >A07p042360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22963838:22966433:-1 gene:A07p042360.1_BraROA transcript:A07p042360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase STN7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G68830) UniProtKB/Swiss-Prot;Acc:Q9S713] MATISPGGAYIGTPTPFLGKKLKPFSLTQTISTSKPTINKLCRAQAHLIDAVHNLFLGVGVGLPCTVMECGDMIYRSTLPKSNGLTITAPGVALALTALSYLWATPGVAPGFFDMFVLAFVERLFRPTFRKDDFVLGKKLGEGSFGVVYRASLSKKRANDDGEYVVKKATEYGAVEIWMNERVRRACGNSCADFVYGFLEKSSKKGPEYWLLWKYEGESTLAGLLQSKDFPYNVETIILGKVQDLPKGLERENIVIQTIMRQLLFALDGLHSTGIVHRDVKPQNIIFSEGSRAFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVAAALSPVLWQMNLPDRFDIYSIGLIFLQMAFPSLRSDSNLIQFNRQLKRCDYDLTSWRKLVEPRASPDLRKGFELLDLDGGIGWELLTSMVRFKARQRISAKAALAHPYFDRQGLLALSVMQNMRMQYFRATQQDYSEAANWVIQLMARSGTEKDGGFTETQLQELREKEPRKKASAQRNALASALRLKRKLVKTVAETIDEIADGRKTVWWNRWIPREE >A08p033360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20063638:20064583:-1 gene:A08p033360.1_BraROA transcript:A08p033360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGLDMARSYNDHESSQETGPESPNSPTFNAVISSHSPKRSRRSMEKRVVNVPMKEIEGSRHKGDTTPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSTKGCPARKQVERSRDDPTMIIITYTSEHNHPWPLASSSRHGPKPKPEPKPEPELEVPEEVELEEDGNSKLMVMGREIETTPSCIVDEFAWFSEMETTSSTILESPIFSSEKKTAASAAADDVGVFFPMGEEDESLFADLGELPECSVVFRHRSSVVGSQVEIF >A02p014780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6570123:6572269:1 gene:A02p014780.1_BraROA transcript:A02p014780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase 5 [Source:Projected from Arabidopsis thaliana (AT5G56640) UniProtKB/Swiss-Prot;Acc:Q9FJU4] MIIPLENPVLVHEDFGKQKKTEELQLDNIIPTSKTSSDDDVFLAPEVNAFGRQFRDYADANNERQKSVEEFYKTQHTNQSLDFVKKMRHEYGKLDKMVMNIWECCELLNEVVDESDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLTLPQFGGLPQWAVVGDTFPVGCAFDESNIHHKYFMENPDFNNPNYNTKTGIYSEGCGLENVFMSWGHDDYMYLVAKENGSTLPSAGLFIIRYHSFYPLHKAGGYTHLLNEGDKDNLKWLHVFNKYDLYSKSKVQVDVEKVKPYYMSLIKKYFPEDLRW >A07p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:164671:173712:-1 gene:A07p012440.1_BraROA transcript:A07p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKYSEKRSEHGQLFLTILFGVLKLRITYVLQPLILIDGQGEYSDQPDPCDGSEPRVTSRWETFTLGREGTALASVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGALRDLWDIIDTSNTSPDSCVIDVKVGVVCDPLSVGGRDGLTVLQDDGSTRSILFATEYHEAYDAKKKKQIWDPTLRAEYSRGRCSENYKKDLSASYLEMNAMSCWSRVKSYFRELSSSWTDFMSSESHMTYTYLLACLLIRSHMLATSYLLQLLVAFFMGRKSSAACAAWCAEACHQLSNLIFVFCGSKPSSEATPYDIKYPLLSSRHGQGEYSDQPDPCDGSDPRVIQNLIVYSLYRKSRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILEYLMEMMVGDLYSRREGMALASVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGARSEVF >A04p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10217407:10221054:1 gene:A04p016620.1_BraROA transcript:A04p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRKVDHEIGFDFTKLPPSKWGDHFLTVTVTDSDIDALANEIEVLKLKVRDMLIWYSGDDGEATKRKILIIHFLVSLGLAYHFENEIEHIVKIAFEKIADLIADENDLYTISIMFRVFRTYGHNMSSDVFKRFKEDDEKFKRYLINDFKGMLSFYEALHLGTTTDYILDEALSFTLSHLETIATGHLASPGHISRLIQKSLHIPQHMNIEALVAREYISFYEQEENHDETLLKLAKLNFKFLQLHYFQELKKITMWWRGLDHTSKLPPNFRERTVETWLAALMMYFEPQFSLGRIMSAKFYLAITFLDDACDTYASIDEVRNLVDCIERWDPDYMGELQGHMKTAFKFVMCVYKEYEDILRSQGRLFVLEEMIEEFKILVRTNLQLVKWAREDCMPSFDEYIEAGGAEIGSYATIACSIMGLGEISKKIDFEWLRSRPKVVQVLAAKTRLMDDITDYEEDIGKGYTANALNYYIKQHGVTKEEAIKVFDKMIKYINKIVNEECLKTTHISRRVLNQIINYGRSLDVLYTSDDVFNHREGMLKEYITTLLVDPIHL >A03g508300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28746880:28747175:-1 gene:A03g508300.1_BraROA transcript:A03g508300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISSLILLRYYDDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYFMLLDESTLPPTFIDSATHFILEVL >A09g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3011537:3020785:-1 gene:A09g500800.1_BraROA transcript:A09g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTYVLFGLLKKEPNFQYNNYQQKSYSNNQQGGYQQRQNTHQGNYQPRQNTPPGFNNTNNQSTQAQGSSSQAPTSDTSFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A05g508000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23506652:23508109:1 gene:A05g508000.1_BraROA transcript:A05g508000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLKGEAFLGNSSIYCERERRGVNDTDVQALPEAFCREKHSIQVCLSIQVVRPIIQHPRPGRCLLQIQVPLILTQLGLIDSQPIPTWSFRTSLYKPGESNQAGLTSWEPPSFYSET >A08p039050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22530484:22532255:-1 gene:A08p039050.1_BraROA transcript:A08p039050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANKRFHLISDLKPFKEVWHVQVKLIHSWIQNPPYADETLEIVLADQTKNTPARSGGSRSSEFYNLTISTKSHLSLFLSCCLSILFSQTIKSILSNSRTEPFDSSLSRAHNFVKFVKRGIIKMTGFSVSLIVSNFSNVASYLSPIFETIPSKVVPAQIEKVVSLVSRTGRDLQRYDNFGYRQVVGCVPYRYKTQQVNGTESKEIEVLLISAQKGKGMLFPKGGWEIDESMEEAALRETIEEAGVTGELEEKLGKWQYKSKRHNIIHHGYMFALLVDQEFERWPEAEMRQRKWVGLDEAREVCQNWWMREALEAFVNVKCNTEEVEIEASNESGNDV >A06p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20114821:20117096:1 gene:A06p037150.1_BraROA transcript:A06p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEGMLTDGQWKKLEVATLKNADNLSSSPKSHPLFADLNIKSPTGGKGPVAGLPFRHVRRTHSGKHIRVKKEGAGGKGTWGKLLDTDDDCFLDKNDPNYDSGEGAYDELVDSSVSDPLDDYKKAVVSIIEEYFTTGDVKIAASDLRELGSSEYHPYFTKRLVSMAMDRHDKEKEMASVLLSALYADVILPDQIRDGFIRLLRSVDDLAVDIPDAVNVLALFIARAIVDEILPPVFLARSKKNLPESSKGFQVIVTAEKSYLSAPHHAELVERKWGGSTHTTVEETKKKISEILKEYVENGDTYEACRCIRELGVTFFHHEVVKRALVLAMESQAAESLILKLLNEAAEEGLISSSQMVKGFNRVAESLDDLALDIPSARKLFDSIVPKAISGGWLDDSFKITCDQDGRESSQDDKLRQYKKDTVNIIQEYFLSDDIPELIRSLEDLATPEYNPVFLKKLITLALDKKNREKEMASVLLSSLHMELFSTEDFINGFIMLLESAEDTALDIMDASNELALFLARAVIDDVLAPLNLEEISTKLPPKSTGTETVRSARSLISARHAGERLLRSWGGGSGWIVEDAKDKILKLLEEYEMGGVTSEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDGLLNLLEECFGEGLITMNQMTKGFGRVKDSLDDLSLDIPNAKEKFELYVGRAMDNGWILPEFAISDE >A06p056620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29467403:29470244:-1 gene:A06p056620.1_BraROA transcript:A06p056620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNQDQPHRPVPVHVTNADQNPNNLPNFVLSVRLKYVKLGYHYLISNALYILLLPLLAATIANLSSFSLNDLTILYNHLRFHFLSATLATALLIALSTAYFTTRPRRVFLLDFSCYKPDPSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMEEARKEAETVMFGAIDAVLEKTGIKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSTENITQNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRRRSKYQLIHTVRTHKGADDNAFGCVYQREDNNAEETGKVGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKVKKIKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLDLSEWHMEPSRMTLNRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWKAMRTIDPVEKSNPWSDEIHEFPVAVPRITPVTSS >A06p045280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24275462:24276299:-1 gene:A06p045280.1_BraROA transcript:A06p045280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQIKEKRWASYPNSSKWADTGLTIFHLVKTQLSFRPKVFAFVILVGIISQLSFAGLRRFLFSRTFIE >A09p050640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44452396:44453167:1 gene:A09p050640.1_BraROA transcript:A09p050640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFLQFRADRINCYSLNSIGPTAERLGGPKRFLAVYLTFAAVARTGTARGRCGDVSETFQRGWGRQRFLGTAWLGFGNVSETFRMYSRHNFVKV >A08g508070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14494446:14495198:1 gene:A08g508070.1_BraROA transcript:A08g508070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRVLPFEEDKILRLQPSTKGAPDALKWLGTRTGVYSVKSGYHQCLPPTGIISTPLIPWIFWSLWKARNKYVFENFAGAPADTLSQAICAAREWSMAQDKDGTIHLRASKPPSLETDTIARSDAAWSATTMTAGLG >A01p011310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5504604:5507568:1 gene:A01p011310.1_BraROA transcript:A01p011310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MALE DISCOVERER 2 [Source:Projected from Arabidopsis thaliana (AT4G18640) UniProtKB/Swiss-Prot;Acc:C0LGQ4] MGCGFHYSYVFFLIITLQAPLSFSVNSQEYTLLKFRERVNSDPHGTLANWNISSDLCSWSGVTCVDGNVQILDLSGCSLGGTLAPEFNQLIELRSLILSKNHFFGEIPKEYESFSKLEFLDLRDNDLTGTIPPELTNILSLKHLLLSGNKFQSDMSIKILRMKLLHSPFAVLGCANRKLGHCISRNHIIRVKKIEAFVFRIKATSRRFLKAFPSKFDFKRRELLEETSNLAAEPAPQAPSPSPETITEASPRSSGSFPAVTNAKKRIPPLVPPPPSPDENTSSDSSKNQPQDNKQSKGSKHVWLYVVIAVASFLGLLIIVAVIFLCRKRAVKSIGPWKTGLSGQLQKAFVTGVPKLNRAELETACEDFSNIIETFDGYTVYKGTLSSGVEIAVASTAVCESKEWTRAMEMAYRRTIDALSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGTLFEHLHDKEMEHLDWSARMRIIMGTAYCLQHMHEMNPPMAHSDFNSSEIYLTDDYAAKVSEIPFNLEARLNPKKHVSGDLEQASLLLPPEPETNVHSFGLLMLEIISGKLSFSDEYGSIEQWASKHLENDDLGEMVDPSLKTFKEEELEVICYVIRECLKPDQRHRPSMKDVAEQLKQVINITPEKATPRSSPLWWAELEILSSEAT >A08p017290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12081268:12082041:-1 gene:A08p017290.1_BraROA transcript:A08p017290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVFILMLAITSSTAVAETQGPSSSPPAPTCSEELVMFSPCIPYVSAPPNNISKTPDALCCSVFSTSVHSTAGKCLCYLLRQPMILGFPLDRSRLLSLSQICNEFQSSDESFESLCSPSVSPELPPLQSIQFTSPFDYGDRDSASPQSLGLPPETAKDPPTSDQFSPDIDNVSPQLIINGSPMISNLLLFLTTIIMTLATCILTRI >A09p006290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3317541:3319336:1 gene:A09p006290.1_BraROA transcript:A09p006290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTWSLRVTCFVFFFITSSSAEFLIRQVTESKDSSVLETEYNLKTNLGLTRVLRNQRPSSKIVTIGSFSVIRERSEPYESSVFEASGYKWRLILYMNGNKNDGGNNHVSLYVRIEDTESLPTGWEVDVDLKLFVHNARQRQYLTVSDETVKRYNQGYREWGYAQLIPLSTFHNPSQGYIVQDTCSFGAEIFIVKPVKQQERVTVLSNPPNNVFTWRLLRFSTLQNKFYNSPSFLVGDRYWRLETNPRGVGPGAPHLPFNLEAQGFRPNAVATTTWGAVNLRLRDQLGSNHRLGYSAAWYPIRPGYKVGLNIIYIRDLRGGYLVNDSIVLEAEMVMVSVTNIVPI >A03p026380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11044283:11046281:-1 gene:A03p026380.1_BraROA transcript:A03p026380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESYLAIMKREMMQSYIWLKTADGSIHRVEKEIAIFFPMICQEVVCKGVGTSKNHAISLPQQVNQATLSLILDYCRFHRVHGRSNKERKTYDQKFIRMDTTMLRELASAADSLQLKPLVDLTCRTLARSMEGKTPEEMREILNFPDDHLSHLTDEEKLVPLKNTMDDPGIRLLNRLNAKKRKELKEGERLQNVEVEEHVDKRSVDDLVSFINGGDAKVVKTSRGKRRNKNKKDKKIVSSNEKEGAEETGSITREVEVANLPSTEDDIFTPKAGSEDGDSDDEMDPAMKEMLDREVEDFARRLNSNYVRSRGQERRPVHFSINGNGTTRQHIGYGLDVKINLQDTIGEFNVLAQFWLVI >A09g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3344073:3345597:-1 gene:A09g500920.1_BraROA transcript:A09g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPNQQWPLTVLKLKRHELYPLQEVIVKAVTTDPNTGLKVPFSKLFASSTMDKIKRLSYVPAALSLKHAPSTKAGVIKMKNKLA >A05p040420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24723055:24723483:-1 gene:A05p040420.1_BraROA transcript:A05p040420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRSSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDQ >A03p008160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3291776:3293425:1 gene:A03p008160.1_BraROA transcript:A03p008160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNAAAVQFSVPFNLLPSQCIFSAAAAGSAFALLGDPRGRIQSARDADLTLSFLKQYTLAQEYADVINLHDAHNHTKMSLISLLATCLWFSLVSSFTGANEANVNCLKTIYSQVRDPYGYLSSWVFGENVAGYICKFNGVTCWHDDENRVLSIKLSGFGLEGEFPLGVRNITDLTALDLSRNNFSGPLPSDMTSLIPLVTILDLSSNQFSGEIPASLSNNSFLNTLMLQHNQFTGQLPPELASLQRLSQFSVADNQLTGPVPNFNDTVKLGRESFANNDGLCGRPMDACVDPEEEMIRFGKMGAAVGAALFAPLVAFLDSFVFNGRKKQGDMRHMF >A06p006120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2041991:2042764:-1 gene:A06p006120.1_BraROA transcript:A06p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKSKKVVSVTKKKKVVEETIKVTVTDGVPNVTTNTDTQETQYLETQELDTQELETQDLPFSLPLEEENVTRVEIPVDVGYDRSPPPPETVAPASEGTVKETHKVEIPVEFGDDRSPQPPETPAPASEVPAKETHKDEEKQGNKKTTSKKRKKNRSEVAGDEYKRYVYKVMKQVHPDLGISSKAMTVINMFMGDMFERLAVEAAKLNDYSKRRTLSSREIEAAVRLVLPGELSRHAVAEGSKAVSNFVAYGAKKR >A05p031190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23510795:23511308:1 gene:A05p031190.1_BraROA transcript:A05p031190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLGTAGGQLNPVNGAFWFGSVWATPGRLLGEPMVRVQDGSTKWVLVLGQGVAKFPECELRLSDRFSKGRKGEKPPMGGYGTVLGTRDGILVTVRPGGWGQFKSSSLSHF >A01p057840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32798078:32800615:1 gene:A01p057840.1_BraROA transcript:A01p057840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNPSFWVISITLLSIQFKGSLGSASTNEAYVTLLYGDEFLLGVRVLGKSIRDTGSHKDMVVLVSDGVSDYSKKLLMNIEDLFKCSKFCANLKHSERLNSGVMVVEPSAALFDDMVKQVKTLSSYTGGDQGFLNSYYPDFPNARVFDPSLSPEAVKARPVPKMERLSTLYNADVGLYMLANKWMVDDSKLHIIHYTLGPLKPWDWWTAWLVKPVEAWHSIRVGLEESLPGTGGGKTPNDEFIVKLLFLLPLCGFLFCLYRSIKGRDFLSSLCRNSVCNQIRHLYYKVRSNGTLGYSGVSTLSTMNSNYQLHTGAHSKVPQYLGAVSVVVCFAAVLTSIGVSFMIVPRQILPWTGLILIYEWTFTIFFLLYGGFLLLVYQYGKKVAVQTGSPSSQTESSLDDSGKGHQRVDASCDFTALYYGLGMVFLAFAAISLPYMLGVTALFLRLGLMIAVAMVLVSFMTYASEHLAVRWFLKSLEDRDTTRTKSICFLC >A08p023100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15219986:15221880:1 gene:A08p023100.1_BraROA transcript:A08p023100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSLTLLKHLANSRTQTRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTNAVEQVMEAMHAPIYFEKYDVQGEMSRVPAEVMESIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLFASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGITYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKDSIVRENKANPVALLLSSAMMLRHLQFPSFADRLETAVKKVISEGKCRTKDLGGQSTTQEVVDAVIAKLE >A04p028160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16974952:16982316:1 gene:A04p028160.1_BraROA transcript:A04p028160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTKYNNNISSLHSLQASLMSALQCLTFLFLFLLLQVATPASPLPLDRRRRVLDNSNHSNFAKHPRRVVFPVNRGSCDLFAGEWVRDETYPLYRAEECGGGMIDPGFDCQTYGRFDSDYLKFRWKPFNCDVPRFNGVKFLQEMRNKTIMFVGDSLGRNQWESLMCMITSSAPFIHTHIIHEDPLSTFKILDYDVKVSFYRAPYLVNIEKIHGKTTLKLDEISVDASDAWRTADVLLFNTGHWWSHTGSLRGWEQMEIGGRYYGDMDRLVAMRKGLTTWSDWVLRYTNSPLTRVFFLSVSPTHYNPNEWTSGATASTITQGGKTCYGQTKPFSGTSYPTSSYVDQKKVIDQVVKEMKSHVSLMDISMLSALRIDGHPSIYSGDLNPSLKKNPDRSSDCSHWCLPGLPDTWNQLFYAALLF >A02g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8027531:8028736:1 gene:A02g502450.1_BraROA transcript:A02g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESCFSGKHGLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELWLELGRYAATERDDRSVATDRAGRTLGRYVATELWLELGRYRRAGRTRSVAYVATELWLEARSLRSDRAGRSLGRYVATEAWRSSVARLASRAGRTLGSLRSDRSAQRSERDSGARSLRLTYEPSGRLRSGFPSLGRYVATELWLELGRCVATERDDRSVATSTTAELGRRSDRALARARSLRSDRAGRLLGRYVATELS >A01p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11632396:11635148:-1 gene:A01p023510.1_BraROA transcript:A01p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) UniProtKB/Swiss-Prot;Acc:Q7FGZ2] MVEEEKDGEAQAQAQEETTNLVVPWMRAPVDVSHVDSCSLETLPSLHPRLKEALEKMGISSLFPVQVAVWHETIGPGGFERDVCVNSPTGSGKTLSYALPIVQTLSSRAVRCLRALVVLPTRDLALQVKGVFDAIAPSVGLSVGSAVGQSSIAGDIAQLIKTHKLDAGICYDPEDISQKLESSAVDILVATPGRLMDHINNTKGFTLEHLRYLVVDETDRLLRESYQEWLPTVLKLTQASDDGLFPSSSPFVPSAFGSLQTIRRQSVERCFKGKPYPRLAKLALSATLTQDPSKLIQLDLHHPLFMTTGATRYRLPEKLECLRLICETGVKPVYLVGLLKSLEGEKCIVFTSSVETTRRLCKLLNFFGDSMIKAKEYSGGLNQAVRSKEIKAFRKGDIKVLISSDALARGMDVELVTNVINYDMPQYPKTFIHRAGRTARAGRAGRCFTLLGDHEVRRFSNLLKKVGNASCPIYPIPPYLFVPVRAIYEPALAKLKESVEPIAPKRGRQVGFKHNSRTTNSQTKRNKATSEQA >A03p067920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29824504:29826104:1 gene:A03p067920.1_BraROA transcript:A03p067920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALVRPLERWCPGSRSSGDALLWQSELRPHAGGEYSIAVVQANSRLEDQSQVFTSSSATYVGVHDGHGGPEASRFVNRHLFPHIHKFAKEGGGISPDVIKRAFKETEEDFCHMVQRSLPLKPQMATVGTCCLFGAITNGTLYVANLGDSRAVLGRVVSGVAAAERLSTDHNVAVEEVRKEVKALNPDDSQIVMYIRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQHGNPIPLRRPAMTAEPSIIVRKLKPQDLFIIFASDGLWEHLSDEAAVEIVLKHPRAGIARRLVRAALEEAAKKREMRYGDMKKIARGVRRHFHDDISVVVVYLDQHKPKNGKLIQQGGITAPSDIYSLRSDEAKQRQLLNVLY >A03p029100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12229268:12230893:1 gene:A03p029100.1_BraROA transcript:A03p029100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDEL-tailed cysteine endopeptidase CEP1 [Source:Projected from Arabidopsis thaliana (AT5G50260) UniProtKB/Swiss-Prot;Acc:Q9FGR9] MKRFIVLFLCLLMVLETTKGLDIHDKDVESEDSLWELYERWRSHHTIARSFEEKAKRFNVFKHNVRHIHETNKKEKPYKLKLNKFGDMTTEEFRRSYAGSNIKHHRMLKGERRATGKFMYANVNTLPTSVDWRKNGAVTPVKNQGQCGSCWAFSTVVAVEGINQIRTNELTSLSEQELVDCDTNENQGCSGGLMDLAFEFIKEKGGLTSEIVYPYQASDETCDKNKENAPVVSIDGHEDVPENSEDDLMKAVAHQPVSVAIDAGSSDFQFYSEGVFTGRCGTELNHGVAAVGYGTTIDRTKYWIVKNSWGEEWGEKGYIRMQRGIRHKEGLCGIAMEASYPVKNSNTNPSRPTSTTLKDEL >A07p011530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8636972:8637316:1 gene:A07p011530.1_BraROA transcript:A07p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRRWTMLVIQKRMMRRRRSVRLSMRRGRSQQCGYKLLTDLVKRFGKIYEKMEKSKKEQMKELEKMRGDFQRDSELHKLIVRSLGYVKRRKSIIMVMVIVKVKVRKWRMIMM >A02g501160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3806040:3806324:-1 gene:A02g501160.1_BraROA transcript:A02g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAKDTRRKPSPCIHRPKFYAGEILLGATVTSGELPGTVLLTTSQPSHVSIIGEHQPTVRDLIRQLTPQTQRRGFTLHPNTETKQAYAFHHRG >A08p043000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24032623:24036020:1 gene:A08p043000.1_BraROA transcript:A08p043000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFSSRVFHLVTTTGYQRHLLRQKGWMIALIMFLSLFEIAISQNQSYDPETTQVLQLLYQDGHVVIDNGIFQLTLSNPEGFVTGVQYNGIENVLAFTGHENDRGYWDLVWNFPGKKTKKTKGTLDRIEATKMEVITQNDEQIEISFSRTWNASSNVTVPVNIDKRFVVLRNSSGFYTYAIFERLQGWPAVEIDNIRLAIKLDKDKFDYMSISDERQRYMPLPDDRIPPRGKPLAYPEAVQLLDPIEPEFKGEVDDKYEYSMESKDIKVHGWISTNDSVGFWQITPSNEFRSAGPLKQFLGSHVGPTNLAIFHSTHYVGEDLIMKFEEGEAWKKVFGPIFIYLNSFPKEVDPLLLWHEAKNQTKIEEEKWPYNFTNSIDFPASDQRGHVSGRLLVRDRYVRSEDIPANGSYVGLAAPGDVGSWQREYKGYQFWSKADEEGYFCINNVRSGRYNLYAFVPGFIGDYHNETVFDISPGSNTCLGELVYEPPRDGPTLWEIGVPDRTAAEFYIPDPNPMFVNKLYVNSSDNKYRQYGLWERYSELYPDEDMVYDVDVDDYSKDWFYMQVTRKQADGGYNGSTWEIKFQVDDIVENLTGNFKLRIALATSNVAELQVRVNDVSVDPPLFTTGQIGKDNTIARHGIHGLYWLYSVDVPASSLGLGCNSIYLTQPLAISPFQGLMYDYIRLEYPDSVNHIT >A05g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3495549:3502615:-1 gene:A05g501010.1_BraROA transcript:A05g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFRRRCFAFCSELKSQNINLYKLRKEDDILHICIVFEYHMEFLETFGCIWSSKGGRCAPHPGATHPERLHKVARVFTSGDTHLHLGATFQSDVLKSLPKLRATIPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATCRSDGLRSLRVLFLLELVISQGHFGHFIMHKTTKNLWKVISLNQLINFEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSDLWTSTAGATSRSDGMRSLCTTSRSDSSRATAQGRSRFHVWRHTFTPRSDLPERRAEVAPEAQSDHTRATGRSRSRFHHPETRERARSDLSQRHSEVAPEAWSDLSERRAEVAPCFVSARTCDFSRAFWSFHYARFYFLNLCFITLLSEYLHSRCFDIPQNWFDNHLYYNICLRSLENS >A08p035020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20727824:20729570:1 gene:A08p035020.1_BraROA transcript:A08p035020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDMENLIPSKGILKNEELKKYIFETSAYPREHEELKKLREATVHKYGNLSEMEVPVDEGHFLSMLIKMMNAKNTLELGVFTGYSLLTTALALPEDGRITAIDIDKEAYEMGLEFIKNAGIDHKINFIQSDGLQALDKLLSENPKPEFDFAFVDADKPNYVHALERLMKLVKVGGIIAFDNTLWFGFVAEEEETVPVHLRVNRKALMELNKRLASDPRIEISQVSIGDGVTLCRRLV >A06p006300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2100679:2102528:1 gene:A06p006300.1_BraROA transcript:A06p006300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 5 [Source:Projected from Arabidopsis thaliana (AT1G08340) UniProtKB/Swiss-Prot;Acc:Q6NKT5] MDIGGPTDVQHVAHVTFDRFNGFLGLPSEFEPDVPKKAPSASATVFGVSTESMQLSYDSRGNCVPVILLLLQSRLYDQGGLKVEGIFRITGENSEEEYVREQLNKGMIPDGIDVHCLAGLIKAWFRELPRGVLDPLPSEQVLQCESDEDFIKVVRLLPQTEASLLNWAINLMADVVQFENVNKMNSRNLALVFAPNMSQMKDPLTALMYAVQVMKLLKCLTEKALREREVTSPPVDTRSSNEAEDGEKEVDNEEEDEEEEEGDGDGDGVYIIEEVDSEAEGGEKEVDKEEEEEEEEEEQDGDGVYIIEEEALQITRVVADDHKSESMKSAYDGSITSDSKGVDGVVQPLICNPDHIGNK >SC163g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:237827:238823:-1 gene:SC163g500180.1_BraROA transcript:SC163g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIFSEAQAMVPDTLSQSRIDGERSSSLAKTALNSLLPRHATILVRLMILVEAFAKTYSPSSLERRLEGAKLVMILCISMELGCLNHHRESHKTRLSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKIFVFTFLVVGEFHIIPLGSNQDHPSCLITNATIIYDT >A02p032550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17047546:17051118:-1 gene:A02p032550.1_BraROA transcript:A02p032550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTTVLAMCILLPLTLWISETRGLNLEGQYLLDIKSKFVDDSQNLKNWNSNDSVPCGWTGVTCSNYSNQEILSLNLSSMALSGNLSPSIGRLAHLKDLDLSYNGLSGNIPKEIGNCLSLVNLRLNNNMFGGEVPVEIGKLLSLEKLIIYNNKFTGSLPMEIGNLLSLTQLVTYSNNISGSLPRSIGKLKKLTSFRAGQNMISGSLPSEIGGCESLVMLGLAQNQLSGEIPKEIGMLKKLSQVILWENQLSGLIPNEITNCTSLQTLALYKNQLVGPIPKGLGNLASLEYLYLYRNMLNGTIPREIGNLTSAVEIDFSENGLRGEIPLEFGKIQGLELLYLFENQLVGTIPVELTTLKNLTKLDLSINALTGPIPLGFQYLRKLFMLQLFQNSLSGIIPPKLGVYSNLWVLDLSDNHLRGRIPTYLCLHSNMIILNLGANNLSGNIPTSITTCKTLVQLRLAGNNLVGRFPSNLCKLVNLTAIELGQNKFRGSIPGEVGSCLALQRLELADNAFTGELPREIGTLRELGTLNLSSNKLTGEIPSEIFKCKMLQRLDMCCNNFSGTLPSDVGSLYQLELLKLSNNKLSGTIPLALGNLSRLTELQMGGNLFYGSIPREFGSLTGLQIALNLSFNKLTGEIPSQLSNVVMLELLLLNNNDLSGEIPSSFANLSSLFGYNFSYNNLTGPIPLLRNMSISSFIGNNGLCGPPLDQCIQTQPSSPSQSTAKRRGIRTSKIIAITAAAIGGVSLVLIVVIVYLIRRPMTTTVATSIQEDGKSSETSLDIYFPPKEGFTFQDLVAATDNFDESFVVGRGACGTVYKAVLPAGYTLAVKKLASNHEGGCVDNSFRAEILTLGNIRHQYAYTMKVTEKSDIYSYGVVLLELLTGKAPVQPIDQGGDVVSWVRSYIRRDALSSGVLDARLKLEDERIVSHMLNVLKIALLCTSVSPVARPSMRQVVLMLIESDRQEGDEHTDTD >A05g504910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13629535:13634931:-1 gene:A05g504910.1_BraROA transcript:A05g504910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENRSNPRLAPTLLLGNHIALLAKACINFDEDRWKVFRRFEVTPCIGLIKNTQLKESKLGVFITFQELGFEWTSRLVHYMLGFQLDIKKKYEIWSLVGPEPVRFSLLEFENLTGLNFKYIEDLERPQSALGRCEGWSRDDCKRFAYLAIFTGYIEGRKYSTPTRVSLARLVMELERFENYPWGRVAFKVWVYTALSELGATYGKPLPKIPCPPILAYKGGKGRRRFKEAILDQTRVINFVEKDIAEMFPKLEFDVEDTPADNIIKLMFVKKPWKWTLEHWEVTVKEDSARPRKKARKEAPAEAPAEAPAESSEEVHTVARSEMTATVGGLTKEDIKTMFKDVVDAMREGFGTCLKEIKYLSERVEAVEKKVGITTKRKGTGTSSQNRGTGTSSQNTTSPPKNTLEPGCMDFVFDFCFMFEDTHWKRLSEDKGPDVPADDSSSKDKAPEPILVLLDKNQSTVSDLQKDDARYKDKRDAALALCRAKSDRTRRLAASQESPYTANRTAKVIIPNKKLFPGYNPFVPIDKKKLMELADWLKTYLHYRAALDKKPRTSRTWWYHILRTSLEWLENCHIDTWINVLRKMYHAKPQHFRSKKMCFVDHLFAQQWSFNFKDFKDSEPDQNGLGRRLPGGAWNYYAGTIPSFCQSNKVWGTDIDDIYAPVNYNDNHWIAMWISIPKRHIFVFDSICSSISPEELDVVMEPFLYMVPYLLVECASSDEQRAQYSLEPFTFERPDNIPPARAGDCGVYTIKYIECHALGIEFTKKDFAKANGKTIRDKMAVDIFQELPDVHEFLNKDNDANLGAYEV >A09p071840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55297140:55298060:1 gene:A09p071840.1_BraROA transcript:A09p071840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable dehydrin LEA [Source:Projected from Arabidopsis thaliana (AT2G21490) UniProtKB/Swiss-Prot;Acc:Q96261] MADLKDERGNPIHLTDEHGNPVQLTDEFGNPMHITGVASSAPQYKESVTGNIQEYRTAAPPAGVAAGTGVAATTAAGVATGETTTGQQQHHESLGEHLRRSGSSSSSSSEDDGQGGRRKKGMKDKIKEKLSGGKHKDEQTPSTATTTGPTTTTGAAAADQHHEKKGILEKIKEKLPGHHNHHP >A04p003530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1736327:1737899:1 gene:A04p003530.1_BraROA transcript:A04p003530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCE1A [Source:Projected from Arabidopsis thaliana (AT3G57870) UniProtKB/TrEMBL;Acc:A0A178V9H0] MASGIARGRLAEERKSWRKNHPHGFVAKPETGPDGSVNLMVWNCTIPGKAGTDWEGGFFPLTMHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDTPNPADPAQTDGYHLFIQDAVEYKKRVKLQSKQYPPIV >A10p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12851788:12852180:-1 gene:A10p018370.1_BraROA transcript:A10p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNTKILVTALVMVVTAAMMIEEATSIPICGVNTNDLKKCSPAVTGNNPPPPTPQCCKVAKAANLECLCPYFTRSGLDTAKIKALGTNCGITKKPSCLP >A01p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23885657:23891066:-1 gene:A01p045170.1_BraROA transcript:A01p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIGSLPWCDVDSSCFQRSVIHLINLLFLCIFYLLLAASCVSKQFTIRSRNRGWTFVAAAICCLVTSITFVGAGLKFLIDDANNVFWVSCVVQGIICLSLAASLFVQGISKWINIVTSVWWVTFALLDSAEMIEMLSQGEGIRVLDIITWPMSLMLLICSFISLKISPAPQDCSETDLTDPLLTDKPARLATAGFFSVLTFSWMNPLLLAGFKKPLSSEDIPSLLPEDEAELAYTKFSQAWYTLLSEGSLTKERNLVFRAIAKVYFKENIFTAVCALFRTIAIVSLPLMLYVFVDYANSDHRDLRIGLFNLSCLVFLKLVESLSMRHWYFAARRSGLRIRSALMVAVYKKQLKLSSLGRKQHSSGEIVNYIAVDAYRMGEFLWWFHSGWSVTLQLLLSTVVLFGVVGSGAFPGLILLLLCGLLNLPFAKMLKNSQTQFMMAQDKRLRSTSEILNSMKVIKLQSWEEEFKKQIESFRADEFKWLAKAQMTKAFGTFLYWLSPTIVSAVIFVACGFLKSAPLNASTIFTVLATLRVMSEPVRLIPEAISAIIQVNVSFDRINNFLLGDELKIDEVERSGLEKTGEVVEIQAGNFSWDPEMTKTPTLTNISLDINYGQTVAVCGPVGAGKSSLLHALLGEIPKVSGTVKVSGSIAYVSQTSWIQSGTIRDNILYGKPMEARRYNAAIAACALDKDLNDFRHGDLTEIGQRGLNLSGGQKQRIQLARAVYEDADVYLLDDPFSAVDAHTAGVLFHKCVEDSLKEKTVVLVTHQVEFLSEVDQILVMEEGRITQSGKYEDLLMMGTAFQQLVNAHNESLGDFKQGGINREMGDTDIIEKVKEEIKTMDIRGIQLTQEEEKESGYVGLKPFLDYFRVSQGWLLLSATVLGQVGFVVCQAASTYWLAFAIGIPKLSTTMVIGVYSIISTLSAGFVYSRAVTTVYLGLKASKAFFSGFTNAVFKAPMLFFDSTPVGRILTRASSDMNILDFDIPSAFILVVVPAVELTAALIVMTYVTWQVIIIALLALAANKFVQDYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFGTVDRFFKSYLNLVDADAALFFLSNAAMEWVIMRIEILQNLTLFTCALLLILIPKGYIAPGLVGLSLSYALTLTQTQVFLTRWYCTLSNSIISVERIKQYMSIPEEPPAVVDGRRPPSSWPSNGTIHLQELKIRYRPNAPLVLKGISCTFREGTRVGVVGRTGSGKSTLISALFRLVEPTSGCILIDGIDISKIGLKDLRMKLSIIPQEPTLFRGCIRTNLDPLGVYSDDKIWKALEKCQLKTTISNLPNKLDSSEVSEEGENWSVGQRQLFCLGRVLLKRNKILVLDEATASIDAATDAIIQRTIREEFSECTVITVAHRVPTVIDSDMVMVLSFGDLVEYNEPWKLMESDSYFSKLVAEYWASCRGHSSQNLQVHI >A09g517960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54057497:54060419:-1 gene:A09g517960.1_BraROA transcript:A09g517960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSLKSSDKVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFVVWKSSGSRLEVVWTSCKVIWKSSELPKSLLAKSSELPGSHDLQLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p000940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:470742:475346:1 gene:A02p000940.1_BraROA transcript:A02p000940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMVSHEENVSIVEWFLGPPSYTYPPYGVELIHEEDQSLHHHHQSGEYYREYEDHHSSSDIDNDEIIARTLQDDFLQLQISENNGNYSNHHQHQQEEDYTHNYSSNNNEYGWNDQPTTRDYSSEWLGNDNDQDGSPNIYSCSSPSDTEEYVYSWESDQCDTDGEFGRRLNQMVPIPYVPKTNGEIPPEEEAVSDHDRLRNRLEMFDFAEVRVPGDGNCQFRALADQLYKTADRHKHVRKQIVKQLKASPDSYEGYVPMEFSDYLKKMSRSGEWGDHVTLQAAADAYRVKIVVLTSFKNTCYIEIIPTSQESKGVIFLSFWAEVHYNSIYLNKDTYATELQRKRKWWQRMNKSHNFISLAIIEGHVVITYTIDHIQARPSTSQQHKTSILTSFFSSMKRSVVCYYELFHVITTCRFQTRVGSSSISSARQNQIGILSLIRKDMNLGFVIGVIGVLILSHAAYSTIQYRGLLKITEEEFTGPPLNVVLELIVGLALCMWAALTFPGKFLSIHPDSDENRAVSLPDNSDFMIFNHRGRLFPPEITMKF >A06p032050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17059259:17063883:-1 gene:A06p032050.1_BraROA transcript:A06p032050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFAINGQRFELELSSVDPSTTLLEFLRYQTPFKSVKLSCGEGGCGACVVLLSKYDPILKSVEDFTVSSCLTLLCSVNHCSITTSEGLGNSRDGFHSIHNRFSGFHASQCGFCTPGMSVSLFSALLDSKSSASEFTAVEAEKAVSGNLCRCTGYRPIIDACKSFASDVDIEDLGFNSFCKKTSFPRFDSEKRVCTFPEFLKDGEIKCIDSGTLKWCSPESVEELQIIVGACKANSDVVSMKLVAGNTSTGYYKDEKEGSYDKYVDLTRIAEMREIRESHNGVEIGAVATISKVIAALKEIHMFGKLAAHMEKIAARFIRNFASIGGNLVMAQRKNFPSDIATILVAAGASVNTMSLSRGLEKVTLEQFLQGPPLDAYDVVLSIEIPFWHHEGNSGDLVFETYRAAPRPLGSALAYLNAAFLAQVNLDTKEIINCRLAFGAYGTKHAIRCKEVEEFLSGKVVTDNVLYEAITLLGKIVKPQEGTSNPAYRSSLAQGFLFNFFHSLEKPGHHLDQPMLSSSQHVPIDKEFYPVGDPATKSGASLQASGEAVYVDDIPAPTNCLYGAFIYSTKPSAKLKGIRFKDNSVPDGVVAVISCEDVPKSGKNVGFKFASFTEPLFADELTLHVGQCIALVVADTQRHADTAANLAVVDYETEDMEPPILSVEEAVKKSSMFEIYPFLYPQQVGDTLKGMSEADHQILSSQIRLGSQYFFYMETQTALAVPDEDNSIVVYSSCQIPQYVHSTVATCLGIPENKVRVIARRVGGGFGGKAVKAMPVAAACAVAANKLQRPVRTYVNRKTDMIMTGGRHPMKITYSVGFKSTGKITALKLEILIDAGATLGLSILMPSNIIGALKKYNWGAISFDIKLCKTNLVSKAIMRAPGDVQGTYIAEAIIENVASSLSLEADTIRNINLHTYESLALFYKDAAGEPHEYTLSSMWDKLGVSSKFEERVSIVRMFNEFNIWRKRGISRVPIIYPVSMFATPGRVSVLSDGTIVVEVGGIELGQGLWTKVKQMTSYALGLLKCGATEELLDKIRVVQSDTLSMVQGNFTGGSTTSEGSCAAVRLCCETLVKRLKPLMEKSDGPISWNKLISQAYAQSVNLSASDLYTPEEKPTKYLNYGVAVSEVEVDLLTGHTTVLHTDILYDSGKSLNPAVDLGQIEGAFVQGLGFFMLEEYITDSEGLLVTDSTWTYKIPTVDTIPRQFNVEMMNSGRHEKRVLSSKASGEPPLLLAASVHCATREAIKEARKELRMWKGEDGPSLMFQLPVPASMPVVKELCGLDIVESYLEWKSLVNSSL >A05g505610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15795589:15798785:-1 gene:A05g505610.1_BraROA transcript:A05g505610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGITKRILRMPIEKPFDEAYFTHRLWMFFRETKITEDDIRIMFHQVREKMRHIITLSKKSDPGKFAIPCVLKGIEFPHSMCDTGASVSILPRIMADHLGLTIEPSKESFTFVDLSEKRSGGIIRDLEVQIGNALVPVDFHVLDIKLNWNSSLLLGRSFLATVGAVCDMNKNKLCLTLIDPNIHYDPIRPKRKNINSMDYGKNLASLAHAIVEQSTNQITKQIDTIITSPNEEHTEEYDEDYWKERAIEMSLHDEIFETHKFINTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHIGTSIDIRAAAKIQEQENIPSPTRNPDGNARAIDGRILQVSKEDIADILQVANGPDNLFSQQCGTPDVIQTDPSKHVGVSATEINPDLSCQPKGQASIDGTTQTSIDRITPPSTDKDDPTSIDRRYEFGYRAFDMYEARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIKKLLERASLFEESHICLSEQATSFTLTRLAPELYTKDEINEMVTSICGAQEKLGEELKSLSLRQQLEKEATTSTLIDAPHEPSIDVSLPTAQIPAEPQYSAEHKDEWEVTYINTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDVCTITSIKAKISAMDDRLQTYEDMHDRFVSPVMICLSKLSSQILHAQRDIDKITTQIFLQANSSSIDRLRGPWIDGKNHVELLPYTAAEVDKITSKIYTAIDTTEERLDKRCDDIYFPFDNRIGRLDSHAKWLQKEVKAIQRRLTAQHQISASIDRKRAKSLDGKSPRSTDEHLIASIDAESTPAGEQLIHKRIESMHEELTELSAYAYDNIGWHQVSIDNVQDRLQNISNVLKKMDDK >A07g509040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26439756:26441148:-1 gene:A07g509040.1_BraROA transcript:A07g509040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGWDCRCKHFLQRFPALFFSLLKIPAAKMMMRLLLSATSLFARSLSNIFVTTCPVETTCSVDPRFTALQRTNPGTYGAGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRAGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A10p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21719727:21723701:-1 gene:A10p039150.1_BraROA transcript:A10p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATVLDDDNYAAQEVRIHKEPLTSSAQRRKLADISNLDREETQQQQNLLFSSKEYAAKLEKALPFCTLIENMTLMKALAHRNKIIELSGVEIQKLRINLRNVQEKNLQFAQANSHMLAELNTNRDRLKLLQHELGCKNVLLEARKMQTEASYFLEQGLPSIDDSTKDKAHDMNHKDTKRKRTSRIKPSESSIVKPIQIKENANSKRIVSGVIDTTVIPEVTCPTEDDAEKEVISQGTNQIVDSIVNSKFVLDAANPIKDGVHSKRQCVRRKSTRFDVQETEQTEAVLEMDDAKETKETTRICFKRRSARLRPEEAEPCKSFHERDEVKETIKGRRVSSRQQSARFDFQEPELPETVSADDARELSGVKDTTVIPEVTCQTEDDAEKGFVSQGTSLIGDNKVLIDAANAVKDSVHSKRQCVGKKSTRFDVQVAEQTETVLEMDDVKETKETARRSLRRGSARLRPKEVEPCKSFHERAEAKETIKRRRVSSRQQSATFDFQEPEVTETLSADDARSLVSDGSEAVEPSESRRDTKDTNGTRRVTTRRQSAKGKSQTATETNGAIEDVVVTDPSLSINTVQECDLLPSTVSSEDHEKESKNKPEAEETGGMLRRTSMRRTSRHAAEKVQSYREVSLKVKMRRDC >A09p062940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51283428:51294186:-1 gene:A09p062940.1_BraROA transcript:A09p062940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 10 [Source:Projected from Arabidopsis thaliana (AT3G57030) UniProtKB/Swiss-Prot;Acc:Q4V3D9] MTMLTVFFSTAIVTIIAVLASLSSQKGSGVFAPPKISGSRDVFPSAKVLNLTGASGPESIAFDPSGEGPYVGVSDGRVLKWRGESLGWSDFAYTSANREKCVRPFAPELEHVCGRPLGLRFDEKTGDLYIADAYFGLMIVGPAGGLAKPLVTEAEGQPFRFTNDLDIDEHQDVIYFTDTSTRFQRRQFLAAVLNVDKTGRLIKYDRTSKKVTVLVQGIAFANGVALSKDRSFVLVAETTTCKILRLWLSGPNAGAQDVFAELPGFPDNIRRNSNGEFWVALHSKKGLFAKLSLSQAWFRDLLLRFPISGPRLHSLFTGGRPHATAMKLSESGEVLEVLEDREGKRLRFISEVEEKDGKLWIGSVLMPFLGCKLTIVSVVTQTRLFNKHIYFSPVKQMPTSQKAPTWAIAVVLAVFAVISYQILFAPDDLKGTKNILPMAKTIPLPVDGPESIEWDPQGEGPYAAVVDGRILKWRGHDIGWVEFAYTSPLRGNCSRHEVVPTCGRPLGLSFEKKTGDLYICDGYLGVMKVGPEGGLAELVVDQAEGRKVMFANQMDIDEEEDVLYFNDSSDKYHFRSLWTGGTHCHVKKTPMPLN >A07p025870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14850591:14852932:1 gene:A07p025870.1_BraROA transcript:A07p025870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIMNNISETRIEDQTQIRQSSYCHQCHQRRSDVVGNCVTKRPNTTCMVKYCSSCLWNRYKEIPEDVSSKEDWLCYKCRGICDCSKCLKTQGKKPTGFLRENGSSSYAVKNPKNAKRQLKLNDSSEGYNEENPAAGKRTKPILKKKEKSQLEEVKLPQGSESITVFGIDLPSENAGRVLQFLEFCSKFGKALGMRGGEPQLVVSEIVSGRNRRSHEHSTLTQMIIQLLTLILVDTGDKSVGLSASDDRWFNVLGDCLAESEVKLDDFPPEMFQKGIAEYEEMGSSERLKLLNFLCDETLSTKVLRDCFANPEFVEKKKEAKEKLNAAKANEQKLYQKLEDEFSKAQAENNGVELTIKQRLAIVSQMEAESELVFAGMQNALKMQNVQEYDNVLRTSPVELDDNGLTLWKLKSYNKEPNILLQDLGSWSDVCPHERWFAFSPEQKPQVEKYIACKKVDHFLSLALSNNIMSRNLLWFVGF >A06p015750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7043143:7048997:-1 gene:A06p015750.1_BraROA transcript:A06p015750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRPGRTRPDPTRCIIKRSEEKEGYSRVFLHSRYSNKNNKNRVTKLFDVTNQSIPRFLLRLYVFSQFPQCRSSRVAPKRVSATLQVGSSRGDSTPRSPFSPSSPYERRKAYAADSKFQRPQATSSSPLDPSSPASMLHGGHKFHEAFQMKQGRFDLQAAKISEMMKSNNLDNAPTQSLLSIATGILDDSIERNNGEVPQQNSVFKAREEKYQSRIKVLETLASGTSEENEIATKQLRRIKTEKSKLEEKKKNKEEDMVRLEKANGEYNHEISTLRRELETAKKAYEQQCLQMENQTKLEEKKKNKEEDIVRLEKANGEYNLEISTLRRELETTKKAYEQQCLQMESHTKLEEKKKNKEQDIVRLEKANGEYNLEISTLRRELETTKKAYEQQCLRMESQTQVATTGIEDRVKELEQMTKDASVSKVALEERIKELEKIGKEANAAKTALEEKVKELQQFKLEIVTVNTSLEAKNRDLEKMGKEAYAAKMTLEETVKQLQQFRKETVAVNTSLEAKNRELEKMGKEAISAKTILEEKVKELQQFRIETITVNTSLEAKNRELEHNLAQWKSKAKEMEENLDLKSRSWSQKELSYRRFINFQFQALQELRLYSKSIKQEILKVQDSYKGEFSQLGKKLLELGEAAENYHAVLAENQKLFNELQELKGNIRVYCRVRPFLPGQGASNTVVEHIGEHGELVVLNPTKPGKDGLRKFRFNKVYSPASTQAEVFSDIKPLVRSVLDGYNVCIFAYGQTGSGKTYTMTGPDGASEEEWGVNYRALNDLFKISQSRKSNIAYEVGVQMVEIYNEQVRDLLSDDSILSTTQQNGLAVPDASMYPVTSTSDVLELMNIGLNNRVVSSTALNERSSRSHSIVTVHVRGKDLKTGSALYGNLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIFSLASKSSHVPYRNSKLTQLLQSSLGGRAKTLMFVQLNPDVISYSESMSTLKFAERVSGVELGAAKSSKDGRDVRDLMEQLGSLKDTIAKKDDEIERLHLLKDINYPQRLQRKSLGHSDEFYSEESQLSIEEDSRSQQDHLRQSRHSITDGEALASSIGSEYEERLDDETEGSIDVPRAAEGRKPLKMSDKTSKPVTPRASTKTTRPLDKLKVATRAVKAASGLMSPSKPTSGLMSPSKPTSGLMSPSKPTSSLLSPSIKKTGSASNLVKSPKRWS >A10p030210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18301518:18302145:1 gene:A10p030210.1_BraROA transcript:A10p030210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDDVTEEARRRRDGRERGRDLNSHHLLASDLPSFHKIHMDEEESLQAVNGILDQACWAYCSRILKGAAECWRSLERMLQRFFWVYCFKSFTLICCTCCVLDKLEDYLDHK >A05p009710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4054305:4054979:1 gene:A05p009710.1_BraROA transcript:A05p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHTIQRFTHNHPLTEANGVGTYTCNGCKLHGHGKTYRCSDCDYDLHEYCATCPLTIVNTWHAPDHELTLFTGPTHMTERLCYFCRFYIQGMFYKCKHCSFESHPLCTHGLMHVSSPVETVTKSRSLHDHTAQPSSPHHYGQGNSHGYAHMSPQYSHGGGHLPHQYQDMNHGSPKADRGSPSTPTKKKGSTIGGVVKAVAGVSFSIVAHLAFGTAAGSLNEQ >A07p033150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18122892:18124840:-1 gene:A07p033150.1_BraROA transcript:A07p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLVEKTGSRPPWVGLAAAVWVQMSAGNASTFPLYSSALKLVLGFNQQQVTLLGVACDLGENMGLLPGYASNKLAPWAMLLIGVSSCFLGYGVLWLSVSQIVNGLPFWLLFIALVIATNSCSWFSTASLVTNMRNFPMSRGSVAGLLKGLTGISGAAFTVLFSVLLHHSASDLLLFLTVGIPVLCLAVMYFVRPCIPATGEDPSEPVYLAFLLGTSILLAAYLVVTTVLSEVYSLPSVLRYVLVAVMVLFLFSPLAVPIKMTLFRSNAQRSLPGSSDNLAKEEGESTQEEPLLITSTSDPNLGALFEEDDDMEILLAEGEGAVKKKRKPRRGEDFKIGQVFVKADFWLLWFVYFLGMGSGVTVSNNLAQIGYAFGIKDTTILLCLFSFFNFIGRLASGAISEHFVKSRTLPRTLWMGAAQLVMVFTFLLFYLAIDHTIYVATALIGIGMGFQFLSIATISELFGLRHFGINYNVILLGNPIGATIFSALLAGYIYDKEAEKQGNPTCVGPDCFRETFLVLAGVCGLGTLLTVILTVRIRPVYQALYASGSFRLQPQSGGH >A05p028620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000255.1:1589:2447:-1 gene:A05p028620.1_BraROA transcript:A05p028620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSVDSSGDFGPRGLSVQYTQDVRQHTQDVRGCPCVSVCPSAHAGRPSVHTGRPSANKGRPSAHAGRTYVSTRRTSRTANTQARPWLSVSVAFRQNNRTSVTAHAGKSVSTQRTSVAVSVCVRCPQCKKEVRRPLEHARKPWLSVCVRQYTYSACLAIHVDWIRVMIRGTDTLDSIVNHNMIRDAGPFPWYVSRGTDPVHVSV >A10p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19777945:19781001:1 gene:A10p033960.1_BraROA transcript:A10p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-D-xylosidase 6 [Source:Projected from Arabidopsis thaliana (AT5G10560) UniProtKB/Swiss-Prot;Acc:Q9LXA8] MNLQLTLTSLLFFTSAIAETFDSNPKFPCQPPHHSSFPFCNISLSTTKRALSLVSLLTLPEKIGQLSNTAASVPRLGIPPYEWWSESLHGLADNGPGVSFNGSIASATSFPQVIVSAAAFNRTLWRGIGSAVAVEGRAMYNGGQAGLTYWAPNINVFRDPRWGRGQETPGEDPKVVTEQDMEDTYQPPFQSCIEDGKASCLMCSYNAVNGVPACARQDLLQKARVDWGFKGYITSDCDAVATIFDYQGYTNSAEEAVADAIKAGVDINCGTYMVRHTQAAIDKGKVSEEQIDRALLNLFAVQLRLGLFDGNPKQGRYAKLGANDICTSSHRELALEAARQGIVLLKNDHKLLPLKKRHVSSLAIIGPMANNISSMGGTYTGKPCQRKTLFMELLEYVKKTSHASGCSDVACDSPAGFKEAVAVAKGADFVIVVAGLDLTQETEDKDRVSLSLPGKQRDLVTSIAAASKKPLILVLTGGGPVDVTFAKTDPRIGSIIWIGYPGETGGRALAEILFGDFNPGGRLPMTWYPQSFSEIAMSDMHMRADLARGYPGRTYRFYTGPEVYKFGTGLSYTEFDYEILSAPTRLGLSEVIPQDSSSHKKLLLQKGEGELRYLQLDDLEVNSCESLRFNVRFSVRNTGEMDGSHVLMLYSRMPKVFSGVPERQLIGFERVHVRSNEMVEAEFVIDPCKHLSVANDVGKRVIPLGGHDLVLGDLQHSLSLEF >A05p054820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31352148:31353466:-1 gene:A05p054820.1_BraROA transcript:A05p054820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKKKNGIKYFFFSLSLILIQSLFFIIILLLALSNSKTLDLLFKLMTVQTQELNTSIMNTGPWRDANDDVSGGTRTRRNGEVEEDSEEAVVVAVATSGKPVIKKPPTSISSSSSSWMKSKDRRIVRVSRAFGGKDRHSKVCTLRGLRDRRVRLSVPTAIQLYDLQERLGLDQPSKAVDWLLNAAKDEIDELPPLPVSPETFSLFNHHQAFLNLSQPPGQDPTQLGFKINGCVEESNTTTSREETNNNHIGSYGTYQYMEQHQQQHTRFQADYPHHQHQLHSLVPIQSQFLVCPVTTSSTTTTIQSLFPSSTSAGSRTMETTDPRQMVSHFQMPLMGSSSSSQNISTLYSLLHGNSNPMPSVQFDRK >SC122g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:207936:220404:1 gene:SC122g500170.1_BraROA transcript:SC122g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIGELSESDEGEPDLRREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETSTAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRGHLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASILEKLGHDQIIFKTLVRLINTSHTACPLPRTGLDLPLSTDFSAILEKLGTDQEHLYLWTGYVQWYYAMAISHCIINHTTLPVDYGLTCPSDDMQWHKTFVTTFLAVGELHVSLSLCT >A06p051790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27421638:27426810:-1 gene:A06p051790.1_BraROA transcript:A06p051790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRNIYDNSGAGSEDTVYRYLCPVRKAGSIIGKGGEIAKQIRSETKANMRINEALLGCEERVVTIYSTSEETNCIGDDEEFACPAFDALLKVHDMVVAEGVDSYGYYDEYSEKQRQTVAARMLVASDQIGCLIGKGGQVIQKLREETNAQIRVINDNLPLCALALSQDELLQIIGEPLAVREALYQVASLLYDNPSRFQHYFLSSSSSSSLHQQQSGGMLMSPPLTSSHKNYYDSRDVAEAREFSICFICPAENVGGVIGKGGSFINQIRQESGAVVKVNTSETDEDDECIIFISSNEFFEDQSPTVDAALRLQTRCGEKVGKDSTDSAISTRVLVPSSRVGCLIGKGGAIISEMRSVTKANIRIVQGEDVPQIAREDEEMVQITGSRDAAIKALTQVMLRLRANVFDMDRGLVLLPTFFPYISQATETSSKPKQRKRENHSHGSMEIGRNEDYGNQMKKPCLLLITEPLSKGLTVAPLVVRVNPNLNVVLTACLTVYVGCFRSVKDSPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFVLGIVALSATLLPAISRFLPKPWNDNLIVWPLEVEFTKSQVIAGIPGTFFCAWYAWKKHWLANNILGLSFCIQGIEMLSLGSFKTGAILLVGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDALRPYSMLGLGDIVIPGIFVALALRFDVSRRSKPQYFTSAFVGYVAGVVLTIVVMNWFQAAQPALLYIVPAVIGFLASHCIWNGDIKPLMAFDESKTTEGEVDKAHEE >A03p063730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27696724:27703756:1 gene:A03p063730.1_BraROA transcript:A03p063730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLRGPDILLAKKQKPKAMTKTSFVTSKTGVVAADHETCSEIGADVLRRLDGSAVDAAVAVAFCLGVVNPTSSGIGGGAFMVVGSASGSAIAYDMRETASSTAYKEMFEGRVDKQQKGPLSVAVPGEVAGLYQAWTNNGRRVQWKQLVEPSIKLARDGFVVGPHLAFALSTYEEKIRNDTGLKSIFVIGDKLSTEGDTCKNIKLAETLEKVAEKGMQAFYQDDVAENLVNDLMHAGGNMTLEDLRDYKVNVTDAMVVNDVMGFKLQGMWPPSSGTAGFAMVMNILEQYTDIDGIDKNLFLHRVIEAIKFMLAARMDLGDPAFVEGISEVVKNMTSKSWAQNIQEKISDDKTYPPDYYRNKYKQLKDEGTSHFCVVDKDRNVVSMTTTVNHAFGSGFMSTSTCIILNNQMADFSITSEESAPPANYIEANKRPLSSMMPLIITKREYKYTR >A10p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5416023:5420104:-1 gene:A10p013210.1_BraROA transcript:A10p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDEVTEVEKSLAESKPRISASKPTTIRLTGLDLLFDGLCGWVQSIPNSNSEDKRINPIKWLDVISSYYPGNIFAEESPLEVWRIRPSIVDGQDWPNVERTKSTVESRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGTKRGASGSKVGPSGPEVADVVLVAAEQARTGGSSQGKNSKKNKKNTEGRKESNEVEQAGADGSSMKGGKNRKAGDQPTEDAPKKKKMKKKDSTLPRPSSVCEEELQALVPETISKVGTSDDDENETIALHRRRREGRTIEEGSRGALMGDRGISEAPRELPTSEGQRGRLIDESPAHVTEGSETRVSGRPKETLEDEFRFEFSRKLPLTCYPEDCARLLQLVKGGPNQLSSVGDLLFKDEYEHAACSSVKSHGDWNVLVEKYDSALKRAREQICEGEKARKKTEEALRASARDKADAIAREKALRKAFDETWTSDAAELQMCKESMKNLEFVLEKLAKEKADLEKVRAAESLKHTEEMNILLKSRRYEVTHERIRVLIAMIAKAEKRFHKISLREDQRDKYDDARCLHSQAFGTRKCLEQIKASGLEIPQETIDFFAGQEKHYEEEAARLEVKEILAEDLRFSPLVLESRFLIEEIWHQLDPFGSNVGLIDSEAAIALRTPLVDRDPRSEDLMKEPAQTAVSSNQRTDQDIDLAKQTSAGAVVPKDGAVPTIVLTDSPAKASKNASSSTSSSEDLEKEDGVPTEVPAANVDTPAPTKFGRVSGPGERDDGGSKDPHVVDK >A04p033790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19630134:19631796:-1 gene:A04p033790.1_BraROA transcript:A04p033790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLISLLPVFQFLVLLGSSVNAYWPPSPGYWPSSKVGSLSFYKGFKNLWGPQHQRTDQNGLTIWLDRTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITSLYLSNQEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFRLWFDPTSGFHHYAILWNPREIIFLVDDIPIRRYPKKSAATFPLRPMWLYGSIWDASSWATEDGKYKADYKYQPFTAKYTNFKAIGCTAYSPARCHPVSASPYRSGGLTRNQYQAMRWVQTHNMIYNYCKDYKRDHSLTPECLR >A02p009480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4005944:4006719:-1 gene:A02p009480.1_BraROA transcript:A02p009480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLFLVSFLILLPLSLQDPTPEVKAPTRAHAELTNHGFPIGLLPLSVKDYYINKTSGDFSLFLHGTCKITLPPDNYLATYSNKVTGRITKGQIAELRGIRVRAFFQWWSITGIRSSGDNLVFEVGVVTAKYPSKNFDASLDCEGKRSVKPEATSES >A04p019720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:12093867:12094175:1 gene:A04p019720.1_BraROA transcript:A04p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVLNKNYPADFDPKKIPRLLKPKNHQKKNRFMLPVPARCNKCGNYMSEGTKFNRRVEQVTEETYLGIEIYRFYFKCTNCSTELTIKTDPRNCGYLLFA >A05g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10435237:10439328:-1 gene:A05g503610.1_BraROA transcript:A05g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSQMSNEDEEMSRTTLSAIRAKEEEIEKNKMEIRERVQAQLGRVEEETKRLALIREVISYSSPTSAGAECIDSDCSWVEQRIHRAGPREKIYFRPEQVKAAIITCGGLCPGINDVIRHIVITLEIYGVKNIVGISFGYKGFSDKDLTEMPLSRKVVQNIHLSGGSSLGVSRGGPSVSEIVDSMEERGINMLFVLGGNGTHAGANAIYNECRKRKMKVAVVGVPKTIDNDILHMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRNSGFIAMQASLASGQVDICLIPEVPFNIHGPNGVLKYLIETKGSAVICVAEGAGQNLLEKTNAKDASGNTILGDFGVHIQQEDVKRAVVPAILDVGGMDTPIPNELLDSVDVLSSNETELSLLTGKHTETFEQFSQAVAISWYIILLLHITSTETPRFWLLALWF >A10p010190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:7391106:7391801:-1 gene:A10p010190.1_BraROA transcript:A10p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPLLELPEEIQAVVVERAAHNSIQDLYGLKASSRSMKVLAERRGVYHFLDVLSVPWGLNMPYELLKACYDEGNPSTLYIKGVQFFYSLDLHVEGLSLMKRAADAGYERAVYTHAMTRAIFEGEGKYFDGIPFESVDMIGKLVRSVKWGWGLWHGDYFRDHKVLFICFFMSSFYRCQCANLVRRQCHCLWHIDVTKDDNMCNRCFWIKELVLFLRDFEPISLLRDTRKW >A02p016680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7481140:7485228:1 gene:A02p016680.1_BraROA transcript:A02p016680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKYHAFMFPWFAFGRMIPYLHLANKLAEKGHRVTFLLPKKAQKQLEHHNLFPDNIVFHPLTIPPVDDLPAGAETASDIPISLGKFLSAAMDLTRDQVEAAVRALRPDLILFDFAYWVPEMSKEYKVKSILYSVIAATTMAHNYVPSSELGVPPPGYPSSKVAYRVHDGHALSSLSIFYKRLYHRMTTGLMSCDIISMRTCEEVEGKFCDYIARQYQRKVLLTGPMLPEPEPLEDRWSSWLTGFRQGSVVFCARGSQFTLEMDQFQELCLGMELTGLPFFIACQREETGWFSKESLSAVIISVMDEDSELGNLVRRNHSKLKEVLVSPGLLTGYTDKFVEALQDLPKKTVFFFLNNFSILLVLTIMGREMGQKFHAFMFPWFAFGHMTPYVHLANKLAEKGHRVTFLLPKKAKKQLEHLNLFPDSIVFHPITIPHVDGLPAGAETPSDIPVTLWRFLSTAMDLTRDQVEAAVRALRPDIILFDLAYWITEVAKEHGIKSMLYNVISATSIAHDLVPGGELGVPPPGYPSSTLLFRRHDAHALLSFAVYYKRFYYRVTTGLTNCDFISIRTCEEIEGKFCDYIGRQYQKKVLLTGPMLPEPDKSKPLEDKWNNWLSGFEPGSVVYCALGSQITLEKDQFQELCLGLELTGLPFFVAVTPPKGAKTIQEALPEGFEERVKGRGVVWGEWVQQPLILAHPSVGCFVSHCGFGSMWESLMSDCQIVLLPYLADQVLNTRLLTDELEVSVEVPREKTGWFSKENLSVAVTSVMDKDSEIGNLVRRNHSKLKEVVVSPGLLTGYTDNFVVTLENLLKETKLP >A10p033180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19458177:19465653:1 gene:A10p033180.1_BraROA transcript:A10p033180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFEEKKLRKVPRFANACGAITTTKKGAIPALPSDKKTLACSSHDLLFFQTKAPFSLTRSVKKTKPANAMAMALRLYLLLLVFLSSAIVSFSLYEDQVGLMDWHQRYIGKVKHAVFHTQKTGRKRVIVSTEENVVASLDLRHGEIFWRHVLGTKDAIDGVDIALGKYVITLSSEGSMVRAWNLPDGQMVWETSLHSAQHSKSLLSVPVNLKVDKDYPILVFGGGYLHAVSPIDGEVLWKKDFTAEGFEVQRVLQPLESSIIYVLGFLHSSEAIVYQIDSKSGEVVAEKSKAFPGGFSGEVASVSNDKVVVLDSTRSILVTISFVDEEISFQKTLISDLVEDSGEAEILSPLLSNMLAVKVNKRTIFVRVGDQGKLEMVDSLSDETAMSDSLPVADDQVAFASVHHEGSKIHLTVKLVDDLDTVLLRESIQMDQHRGRVDKVFINNYIKTDRSNGFRALIVMEDHSLLLLQQGAIVWSREEGLASVTDVTTAELPVEKDGVSVAKVEHTLVDWLKGHILKLKGSLLLASPEDVVAIQEMRMKSSGRSKLTRDHNGFRKLFIALTRAGKLYALHTGDGRIVWSTLLKSPACARPSGISLYQWQVPHHHAMDENPSVLVVGRCGSDSSSPGVLSFVDVYTGKEISSSDIGHSVVQVMPLPFTDSTEQRLHLIADTDGHVHLYPKTSEALSIFQREFQNVYWYNVEGDDGIVRGHGMKSSCSGETADEYCFTTRELWTVVFPSESEKIISTLTRKPNEVVHTQAKVSTDQDVLYKYVSRNLLFVATVSPKGAGEIGSVTPEESALVVYLIDTVTGRILHRLSHQGCQGPVHAVFSENWVVYHYFNLRAHKYEVTVVEIYDQSRAENKNVWKLVLGKHNLTAPISSYSRPEMFTKSQSYFFPQSVKTIAVTSTAKGITSKQLLIGTIGDQILALDKRFVDPRRTLNPSQAEKEEGIIPLTDSLPIIPQSYITHSLKVEGLRGIVTAPAKLESTTHVFAYGVDLFYTRLAPSKTYDSLTDDFSYALLLITIVALVAAIYITWALSEKKELSEKWR >A05g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2896090:2897996:1 gene:A05g500800.1_BraROA transcript:A05g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSVQSSGKSPLALYFNNLTPSTTTPYKWSSGDFHSSSCELRKPFSKGSEDLVKAPATNPPAKDLEVYTVNIGQIPSALASWTTEAINSGSFCRIDPDMETWLIPKQKLLDIAESKSSWLDMNPDQDREPEDAKKCCRPHTVCPRLGSQQRHRNYSSCSPFSHFPLALVGNQAYKTQSKLGEAESKAENLKN >A06p004840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1345519:1345920:1 gene:A06p004840.1_BraROA transcript:A06p004840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSPFSPVATTTKSNQFNFWSLWYWLGFQVVATKKKNRDRSLLAVRGLDTFTGDIVHSSQYSADFKGKNVLIRGGNYGLEISFDLNNLDANMVVMIRTSVF >A09p065910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52518266:52519886:-1 gene:A09p065910.1_BraROA transcript:A09p065910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein HAT3 [Source:Projected from Arabidopsis thaliana (AT3G60390) UniProtKB/Swiss-Prot;Acc:P46602] MGERDDGLGLSLSLSLGLNQKESSPRLNPMPMASYSSSSHMHMQSHYNHPQKIQNSWIQMFQSSERNSDVGSFLRGLDVNRVPSRVVVDVEEDAGVSSPNSTVSSVMSGKRNERELVAAAGAGGGRTIEDNEAERGSSSLGGGSDDEDGGGNGDDGSRKKLRLSKEQALVLEETFKEHSTLNPKQKMALAKQLNLRTRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVSELRALKLSPQLYMHMKPPTTLTMCPSCERVAVASSSVAPAPVMSSSSPMGPMSPWAAIPLRQRPAAGSR >A08p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3647098:3648664:1 gene:A08p006390.1_BraROA transcript:A08p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLISNLPDEILGKILSLVPTKVAASTSVLSKRWRNLLGLIDSLCFDESEEATSGSHRFFDFVDKTFALLSESPIIKKLSLSHIPTSGRDDDNSRVSRWIWTALERGLSELHLHATPRCHGVYLSRELFTSNTLVKLTLSGEYALEVNRVFLPALKSISLLSTWLDGPNYGRLLDGCPVLEDLLITETHRWALPCCASFVESASLRRLVITVKLSDTQDTTVFLKAPSLVLLDYSGYVTNVYDFVDLDMLVEAKLNLMLWDSSVYDEHHDDDYYDDGRPEGISADITRLVAGISNITTLHLSPESLELFHLCCESIPVFNNLLTLSIESDKAHGWQAMPLLLESCPNLHTLVIKGLVHRVTNRCGDACPCSPEEHKNKKRRTVKDEEESCCLSTCHVKVLEISEYGGSFQELKQMRHFLGKLECLETVKVCVDADENNNNREVLQANLLSLPRLSSKCNIMFI >A03p039750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16541247:16543085:1 gene:A03p039750.1_BraROA transcript:A03p039750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKSLELFLQDLCDRTYEITLERGAKTVSSLHLKNCVERYNVFDFLREVVSKVPDYGNAQGQGHGSADVTMEDRSISKRRKPISDEVNDSDEESKKSKTQEMGNAKPSGRGSRGRGRGRGRGGRAARAAERENLNREMELESSMVEQKPPQDSIQMHESVSSPQEIEKKDGIVASKEDAKQQLQTPKEGIDIDLNAESLDLNETKPAPGAATDTAAASEEYTCWSMELGQIDPVQFASLGKRIDEDEEDYDEEEG >A01p045470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25685844:25687855:1 gene:A01p045470.1_BraROA transcript:A01p045470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPACIFSNGFLDNYDYSFNYSTSLSHIYNSNGSFYSSNTTNINPNSTSPDSPPLREALPLLSLSPIHKHQEASVPHHEYYFMETIKQSSNSNLFDHDLGQCQETQHHDVTVDLHLGLPNLGGDAIACGGGGSSSSDAILDSTDQEHHHDFHQDRHQYQEVEVTLVSDHDGHGGVQRGIHHQYWIPTPSQILMGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLSCYCCAPGCKNNINHPRARPLKDFRTLQTHYKRKHGARPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNGHVPCFGNDCFGDHEDEEAASDVEHQD >A02p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10390129:10393427:-1 gene:A02p022030.1_BraROA transcript:A02p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSFFNKHLFLSLLLLCCFLSSSSSSDLEALLNFKSAADSTGKLSSWNSTTDPCKWTGVSCVGNRVTRLVLENLELTGSIASLASLTELRVLSLKRNRFSGSVPDISNLTALKLLFLSENQFSGEFPASVTSLTRLYRLDLSFNNFSGEIPPTLTHLTHLLTLRLETNRFSGQIPDISLSDLQDFNVSDNNLVGRIPNSLSEFPSSVFGQNPSLCGAPLVKCNEPTKPGQPDRATASPLNNPETIPSSPTSVHTAKDGDKSSTARISTLALVAIILGDVIILSLVSLLLYCCFWRQYAANRKKPSKVLEGEKIVYSSSPYPTAAQNSGNNQQQQGGERGRMVFFEGTRRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNEVAVKRLKDAVNVTGKKEFEQQMEVLGRLRHSNLVSLKAYYFAREEKLLVCEYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAAAARGVAFIHNSCKTLKLTHGDIKSTNVLLDGTGNARVSDFGLSIFAPSQTTAKSNGYRAPELLDGRKPTQKSDVYSFGVLLLEILTGKCPNLVETGHSGGAMDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTAATADHRPKMGHVVKMIEEIRGGGSETSPCNDGINSAAESSPCLSEDTCGGTTSQ >A05p050380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29321578:29324810:1 gene:A05p050380.1_BraROA transcript:A05p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLASQSCCYVSEAARTTKAIGFSSSLENTFTVESTHSFGTKSKRFRVEMRQSETPSKPGINGRSVKMVPASEVVKRKNGMNNVNKVNGSAGKVVNGASLVNMNGAASSSTLVKPQKQKPESFLPPPVEGVRVLPSDEGFSWADENYSSFQRSVDVWSFVLALRIRVLFDNAKWAYIGGFTEEKQKSRRRETASWLRESVLQLGPTFIKLGQLSSTRSDLFPREFVDELSKLQDRVPAFSPEKARRFIETELGAPISVMYKEFEDQPIAAASLGQVHRAVLHNGEKVVVKVQRPGLKKLFDIDLQNLKLIAEYFQKSESFGTNDWVGIYEECATILYQEIDYINEAKNADKFRRDFRNISWVRVPLVYWDYSAMKVLTLEYVPGIKINKLEALAARGYNRSRIASRAIEAYLIQILKTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKTFTRTRLLDLFYSVYEKDAKKVMQNLIDLEALQPTGDLSSVRRSIQFFLDNLLSQSPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQKQNSGTQLVQQIRKQADDARSSTLSMPYRVQRIEEFVKELDSGDLKLRVRVLESERAARKATILQMATMYTVLGGTLLNIGVTFSNQGSQLVANGSFVGAGIFMLLVLRSMQRVNKLDKFEKMI >A05g508620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25191169:25191707:1 gene:A05g508620.1_BraROA transcript:A05g508620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSTRWRKLRTMKRVQVLRNLPMPDHSSYADYERVTKIPKSHFQFLIILCFRSFLSYQLWFSLSWPNWYTRRLWSFMFRLSIFLVFLILICGLSSPVNWVGSQSFNIWTYPASSCARKEKKEKKTYQLRLTTNGNVPTSFCRRKRDSELNNVVLKFIR >A02p045010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28283848:28284520:-1 gene:A02p045010.1_BraROA transcript:A02p045010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDERVATELKKAIMQACTEKKLTQSQLAHIIDIDLFGLGKNGELVRKSSGNPKSIVEPAKYVEKPAKWGNQKVAPQNIHQPR >A02g511240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29805160:29809652:1 gene:A02g511240.1_BraROA transcript:A02g511240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTSMADKEGNPQFLRTFEEEMSTERIPVQLRLGPMAPPPTVAPTKQTSPKVNGKRKPGRPPGKRTVQSSPKLIRAWLSLTQQERPMGPAPEALVNLKVADLLLLEENEWNLEAIRQILPFEEEKIRLLKPSLSGAPDKLMWLKSPTGEFTTKTGSEWINFCSRQNLPPSGIVVGALAPWILWQIWKARNSLIFSDKGASVAEVISKAIVAAREWNESQSKAPAVRRPQPARLQALEDCVRVRSDAAWNESMKVAGLGWIVKSTNRSSSFSAPMQFVGSPLIAEGLAMREAVSKCKELGLTRLRCESDCEQLVKALTSDSPLAELYSIVSDIKNVALSFEVVSFSWISREKNNDADSLAKQALVAELAIMNSPNFV >A06p041540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22364591:22365483:-1 gene:A06p041540.1_BraROA transcript:A06p041540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSVSFTTTSATLSAMSGYFKTESFRSISGASVSANTSTALTASPLTDASLLASGFESTNRFASLPLQPLARSPTMKPGHVRSGKRKKDKAKKRRTFSKLKSNKGFHAVKTIFTNMFSNNLSRSKRKGIEPMDSDKIESASEEEPKIQWAQGKAGEDRVHIILSEENGWLFVGIYDGFSGPDPPDYLLNNLYTAVLKELKGLLWNDKLESDDLGSYSEINEEFQRDAVACDPRNRAENVNKLPWRCEWEHNSRSNNMNHNN >A01p001200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:450778:453834:1 gene:A01p001200.1_BraROA transcript:A01p001200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleobase-ascorbate transporter 11 [Source:Projected from Arabidopsis thaliana (AT4G38050) UniProtKB/Swiss-Prot;Acc:Q6SZ87] MESGSGLDPSKSKGSGSGEGKFGAFLKRVEPFLPRKELNPVELRSWAKKTGFVSDYSGETSTKLGETESAAFDLPKGRDHHQIDRASSRQTDLDPILGRSRRSDIGSDPGSKPGSIEEERGSNTETPLENEGGKISRDLENGCYYPGGGEGEGGGWPKPIVMKYGLRDNPSSYGPLVYYGLQHYLSLAGSLVFIPLVIVPAMDGSDKDTAAVISTMLLLTGITTILHCYFGTRLPLVQGSSFVYLAPALVVINSEEFRNLTEHKFREIMRELQGAIIVGSLFQCILGSTGLMSLLLRFINPVVVAPTVAAVGLAFFSYGFPQAGTCVEISVPVIVLLLICTLKIEITDYQAELKTDYFFNFQTKPNSTELTENFRFGKFHSVSIFGHRIFRIYAVPLSALIVWTYAFFLTVGGAYDYKGCNADIPSSNILINECKKHAYTMKHCRTDASNAWTTSPWLRIPYPFQWGFPYFHMRTCIIMIFTSLVASVDSVGTYHATSMLVNAKRPTRGVVSRGVALEGFCSLLAGIWGSGTGSTTLTENIHTINITKVANRRALPIGALFLIFFSFVGKLGAILASIPQALAASVLCFIWALTVALGLSNLRYTQTASFRNITIVGVSLFLGLSIPAYFQQYQPLSSLILPSYYLSFGAASSGPFQTGIMQLDFAMNAVMSMNMVVTFLLAFVLDNTVPGSKEEKGVYVWSRAEDMELDPAMQADYSLPRRVAQFFGCRCC >A10p016170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3224070:3226517:1 gene:A10p016170.1_BraROA transcript:A10p016170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGSSMRLTSSRVSSLLISMFATFASFYVAGRLWQESQTRVHLIKELDRVTGQGKSAISVDDTLKIIACREQKKTLAALEIQLSAARQEGFVSKHPKHTDGTETKKRPLVVIGIMTSLGNKKKRDAVRQAWMGTGASLKKIETEKGVIARFVIGRSANKGDSMDKGIDAENSQTDDFIILDDVVEAPEEASKKVKLFFAYAADRWDAQFYAKAIDNIYVNIDALGSTLAAHLENPRAYIGCMKSGEVFSEPNQKWYEPEWWKFGDKKAYFRHAYGEMYVITHALARFVSINRNILHSYAHDDVSTGSWFVGLDVKHVDEGKFCCSAWSSEAICAGV >A10p038300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21433772:21434313:-1 gene:A10p038300.1_BraROA transcript:A10p038300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVATLIAVYANWESAKFRGIGCGWAWLYLFENMTAFTMKKDYRKEEREVKSQWALAQRTLHGWKLLAEQAEIARLRELHTLRGHVESVVGLVTKSWFFIKTFVESELDWKQSLLYRLDCSSSITSVARNSSMQILEQTALVEIVVDY >A03p032330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13674344:13682281:1 gene:A03p032330.1_BraROA transcript:A03p032330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINMIMKKPVNYFVVDAFTDSAFKGNPAVVCFLDRENKRDDSWLQSLTAEFNLPMTCFLTPITGSNPLDPPRFILRWFTRLVEMDICAHATLASAHILFSNGLVGSSDTVEFATQSGILIAKKVPEEGSFLVELSLPEILTCEYNSNDVSIFSKALSGATIVDIKGTTTDSTVSKALNGVAKAASTDKVIVVLPSWESVKKLQPIRDDILKCPGKMLVVTAAAPPGSNYDFITRVFGPKIGVDESPVCGSAHCALAHYWSLKMKKCDFVAYAASPRSGTLKIHYNKEKQRVLLTGKAVTVMKGSVLVDAFTESAFKGNQAAVCFLEEDHERDDSWLQSLAAEFELPLTCFLNSITGSDSLHPRRFHLRRQMLDAIC >A03p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8023567:8030865:-1 gene:A03p019620.1_BraROA transcript:A03p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKTLKKKKKKEQEAIGASPSNKGFDGHGNDEHGSLSSPGSQGYGELCTRDPSPSPLSSLRKDSVKDKTEDADVPQGQEVKGVDEQPNSFPENVTLNAIIAASKEAVDSLVSKSANESHVTVKWNALFDLHGNIMTYILSKFSCNFRTTSSSDSDNFANDSFKIRKRNAQYRSNNIHKDFSFCSDLIERERERERAEKMSGKKSYNGITDIPSGSRKMVQSLKEIVNCCPEAEIYAVLKDCNMDPNEAVNRLLSQDPFHEVKSKKEKKKENRDIPDSRPRGYSNSYNRGSRGGSDRYAGGPGRSGATSFSSSESRSFQGKTTNKRESEKQTYAASFSSTSGVSNHHQIPHSDSVAVDSKTETVSSGGGISSSQPVSGQQTAWFGAAGQMSMADIVKMGRPHNKTTNSHKNHEHEIAANQHVPVKDQWPSIEKPMAAASTASVSVVPTESEICSGPADYQSSRGDQQLKGHLEDTQLANNGPLENLGRDQVLQDDTVAGGVIPEDESGVSSEFEDNPYRHETTQKHPVEHQKDEDNVSSVAANLQELSIENHVKHSSHDEDRPVVLIPDHLLVYTEECSQLSFGSFGSRPLNNNLEEASDVAPQIEHSDARHALITDFPFLIVLGLFSFVLTINFDRLVNRNTEFYGDEHLGSMSNGNTVHASTAENYNDSSESQGEDLLPENPETAHQENQYAVAQSDQEYAYENAKQQMNTAFDASQASMHNQMQNLTSLTNVMQGYTNSIPDTLLAQTGQSGRELELQYTTFPGAQSMPSRSNDSSLGGQSISMPEALRDGGGIQTTQPSQQNLPGANIATQQLPMHPYSQHTVPLTHFANMMSYPLMPQSYPYMPFAGNSPYHPSLAALLPQYKNNVSPSNLPQSATSAPMSSAYGFGNSSSVGALNQQQQAAPSGATLSYEDIMILQYKQNSHLLSLQQQQQQQQNDNSPLWVHGPGSQTMSGVQSNAYYNLQAQQQAQQLRQAQQQAQQQYGSLGYPNYYQSQTGMSMEHQQQNPREGGSQGQPSKQTQQQHLWQNYY >A10p027170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17137117:17137370:-1 gene:A10p027170.1_BraROA transcript:A10p027170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTENPNFETKNLPPPNHQMDADDDDENVKQLKECSSLNLSLQDCLVDSNRDWKPC >A05g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21288168:21291065:1 gene:A05g507500.1_BraROA transcript:A05g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVRTSWKSSDKVVWTSRKSSGLPGSRLDFQEVIWTSRKSSGLLGSRFSDLEDFWDDLLVSRLEVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNLVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A01p013040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6385001:6385804:1 gene:A01p013040.1_BraROA transcript:A01p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMNKLVHRWQSIGAELDQNLFIRVIIDNSVEGGQRRVKTTFQALFLGGVDKLIPLMNQKFPEIGLRAQDCSEMSWIESIMFFNWKSGQPLETMLNRDLRYEDLYFKAKSDFVQKPVPENVFEEVTKRFLEQETPLMILEPLGGKISEVSESESPYPHRRGNIYNIQYMVKWRVNTVEEMNRHVTWMIMLYDYMTPYVSSSPRGAYLNYRDLDLGNNTGSKTSFEDARVWGEKYYRGNFKKLGLVKGKIDPTNFFRNEQSIPPLL >A03p016830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6702035:6702720:-1 gene:A03p016830.1_BraROA transcript:A03p016830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSLALRRLISSSVVPRSVRPAASLRLFNTNSARSYEEGDDRRNHRPYRTVSPRGGDFFSDMFDPFTPTRSLSQVLNFMDQIGESPLASSTRGGMGASGARRGWDVKEKDDGLHLRIDMPGLSREDVKLSLEQNTLVIKGEGGEEEEEDGRKFSSRIGLPEKVYKTDEIKAEMKNGVLKVVVPKLKEDERNNVRHIQVD >A07p050000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26660974:26662857:-1 gene:A07p050000.1_BraROA transcript:A07p050000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPKSQTINLFPAVFVFLLLCAQPSQATKDSSKGQVKLQNHRVGSSVIFPVSGNVYPLGYYYVLLNIGNPPKLFDLDIDTGSDLTWVQCDAPCNGCTKPRDSQYKPNHNTLPCSHLLCSGLDLPQSKPCDDPEDQCDYEIGYSDHASSVGALVSDEFPLRLENGSTMHPHLTFGCGYDQQSPGPHPPPPTAGILGLGRGKVSISSQLSSLGVTKNVIVHCLSHNGKGGFLSIGDELVPSSGVTWTSLSLKPPSKNYKTGPAELLFDEKATGVKGVNFVFDSGSSYTYFNAEAYRAVLDLVRKDLKGKALKDTKEDKSLPVCWKDKKGLKSVHDVKKYFKTISLRFGGSQKNGQVFQIPPESYLIITEKGNVCLGILNGTEIGLENYNIIGDISFQGIMVIYDNEKQRIGWIPSDCDKLPNVDQDNGGDLSEEEAYPRGFGLIGELFTGTDASKNKKDGEL >A06p014290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6436149:6437957:1 gene:A06p014290.1_BraROA transcript:A06p014290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMASASGSALCFADASSSPAIRRDLGSFCLPPSTVTFGFVDKPIINLQRLRLSRLKPRAANATAVENGKQDSDEVPTPVVIIDQDSDPDATVVEVTFGDRLGALLDTMNALKNLGLNVVKANVYLDSSGKHNKFAITKADSGRKVEDPELLEAIRLTVINNLLEFHPESSSQLAMGAAFGVLPPTEQVDVDIATHIKIEDDGPDRSLLYIETADRPGLLVELVKNITDISVAVESGEFDTEGLLAKVKFHVSYRNKALIKPLQQVLANSLRYFLRRPSTDDSSF >A06p004200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1056026:1059038:-1 gene:A06p004200.1_BraROA transcript:A06p004200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNNGPDSSSAATTPPLQQSTPPPQQQQHQQQWQQQQQWMAMMQHPAAMAMMQQQQMMMYPHQYAPYGQGHYQHPQFQYAAYQQQQQQNHQQRGGSGGDDVKTLWVGDLLHWMDETYLHTCFSHTNEVSSVKVIRNKQTSQSEGYGFVEFLSRSAAEEVLQSYSGVTMPNAEQPFRLNWASFSTGEKRASENGGPDLSIFVGDLAPDVTDATLLETFAGYQSVKGAKVVIDSNTGRSKGYGFVRFGDENERSRALTEMNGAFCSSRQMRVGIATPKRAAAYGQQNGSQALTLAGGHGGNGSMSDGDSNNSTSAEEAIGSLNGTVIGKNTVRLSWGRSPNRQWRGDSGHQWNGGYSRGQGYNNNGYANQESNMYATEAAAVPGAS >A10g505220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12999703:13001753:-1 gene:A10g505220.1_BraROA transcript:A10g505220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYEGSCNESILDSGQFAAEHNLDHEEVKNVIKSLQGFRYIKAKELKRETLVLTDDGKKYAVEGSPEIHFFSAKDDLEKKLDPSVYKIGSTQAAKKRWVAMGKQVQHVEDKVKESLLQIQQGLFFGLSHFQELDKESLSSLKTRKLLVAQGWTGYSDIEKGPNYAPKRKIIATDLTRENLHNWKELEFKEYNFNAKGQPLDAGHLHPLLKVSASLSFCPSDQQSFMSELDSQQRNGRKSLTTVQGLKKEYIYSNILKDLKKEFCCNGTLVHD >A08p020440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13825682:13827846:1 gene:A08p020440.1_BraROA transcript:A08p020440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEVPGAVVNGHDQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVCLKHCFFSTTSKDELFLNLVMEYVPESLYRVLKHYSTANQRMPLVYVKLYMYQIFRGLAYMHNVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKQLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPHYTDFRFPQIKAHPWHKIFHKRMPPEAIDFASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPIFNFKQEVAGASPELVNKLIPDHIKRQLGLSFLNQSGT >A09p061430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50641337:50643989:-1 gene:A09p061430.1_BraROA transcript:A09p061430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 6 [Source:Projected from Arabidopsis thaliana (AT3G55660) UniProtKB/Swiss-Prot;Acc:Q9M056] MESSCIGSEGSKRYGESKRIVADSVTESTTDSSLSSSSCGAGSSSGRSVSSLSSPPTKSQLLGWPLGQGSWRKSSGKKMTKKTPTKVDTFGFKRIGTETSEVELLKERMAKLLLGEDMSGSGEGVCPALAISNAITNLYAAILGQQWRLEPLPSEKKTMWRREIEVLLSVSDHIVELVPSFQNIPNGSKIEVMNCRPRSDLSTCLPALRKLDNMLIEILDSFKGTEFWYVDQGVVASESARSNSFREDGDKWWLPLPRVPSDGLSEQTRKKLDHTRDFTNQILKACMSINSIALADMEVPQAYLEALPKNGRSCLGDFLYRNITSDNFSADYLLESIDLSSEHAVVEMANRVEASMYIWRRRAHSRHLISLYRSTGAKPTWGMIDVGKSILESYSRVLESLAYSIGVRIEEVLNMDDISKEDHDDSCSDKLRLLSKEDASSRSAGSLRKRLSAPSLFSVSFSGTSTPYRTPSFSASTPTYSPMPLISPISGGGGERAPFLSRRNIRERCGFGPKKALANYLRG >A02p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3070038:3071580:-1 gene:A02p007280.1_BraROA transcript:A02p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDIELKIPDPLRADWFMILVDIQADLIYNALVVLTSPLFLIYRSYRRAAAAISVAENTVKRAPSRIKGGAARVVRRTWFGVMGACHVSAIMVAAMILAVVLGVGIVSLYVEKPVVVRERLFFDYTEDNPSAVFSLGKKKRPFGVPLGHKVHVSLVLWMPESDLNRRIGLFQLKVELLSLKGEIIARSSQPCMLRFRSQPIRLARTFVMSVPLVAGIANEAQTMRIDALMHQEKGTRTKTVRVTLIPRAQTRLLPQLYEAEIVINSKPPWTKRMAYNWKWTLCVWTSMYLFVPILIALLWCFRPFLFPYVTSRTVVEDKNTEIRVVQEEVAGRRLRERRNKPRRRTTTQEIYIL >A07p025390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14632871:14636240:-1 gene:A07p025390.1_BraROA transcript:A07p025390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFFSKLGRSSFSRSRFLHGGGVRSARLIGPPAVEAAASVNQGGGLGFLSRHFASLTGRKGLVDNDLIGGVFANPRLGRFFSDEAPKKKNYENYFPKAPKQEPKSDHKSESKEGSDKNENENLGDMFANRFQNLLIPLLALAIFLSSFSFGSGDQQQAKHLYSKLCDILESCISFQEFKNKLLEPGLVDHIDVSNKSVAKVYVRSTPRDQQQQTSQDVVIQGNGNSQGIAAKRTGGQYKYYFNIGSVESFEEKLEEAQEALGIDPHHFVPVTYTTEMVWFQEFLRFAPTLLLLGTLVYGARRMQGGIGGLGGTGGKNGRGIFNIGKATITRADKNSKNKIYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQAAPSIIFIDEIDAIGRARGRGGLGGNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARHEGATVTMAHFESAIDRVIGGLEKKNRVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPPRDDGYDFNKPYSNKTGAIIDEEVREWVGKAYEKTVELIETHKEKVAEIAELLLEKEVLHQDDLLKVLGERPFKPAEVTNYDRFKSGFEESEKEEATPTVAPVVDEGAPPPLEPQVVPT >A06p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18465403:18468074:1 gene:A06p034200.1_BraROA transcript:A06p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKAVESPVKKDGVVANSAKETEKKEAPVSDDGDSEEENESPVSERPKRIIKKVEPHILHTPSSRSSRSKPVSVLQGRGTQLKEIPNVAYKLSKRKPDDNLFLLHTILYGKKGKVQTLKRNIGLFSGFVWSEQEEEKQRARTKDKIEKCIKDKLIDFCDVLDIPINKSNVKKEELVVSVLEFLLCPKATRDIVLSDPEKETKKRKKSTAKNVTSGESSDVPAKRRRQTKESGKKQDQPSKSEDTETEDEKDKAEEKKSTNKKNSSKRTKKEKPAAEEEKSLKGSARAGRKSSLKVVTSTSSSSKKQKVDKDDSSKEKGKKQTSKPQAKGSKDQGKASKKGNKREPTRKEMHVVVAKILKEVDFNTATLSDILRKLGSHFGVDLMHRKTEVKDIITDAIDEMSADDEREENTEDEG >A01p059080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:33876703:33877368:-1 gene:A01p059080.1_BraROA transcript:A01p059080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKLRNANFPAPEIDDLSKQLSSDRQPLVLKRQRSVSQRAMSNTLTSAANLSNLLPTGTLLAFQLLTPVFTSNGVCDHATRFLTAGLLFLLAASCFISSFTDSVKADNGTVYYGFVTFNGMWVVDYAVPSGLGLPDLSKYRMRFVDWIHATLSVLVFGAVALRDKYVTDCFYPSPEEETKHVLDIVPVGIGVICSLLFTVFPTRRLGIGYLVTGNGDRR >A02p034410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18693277:18694049:1 gene:A02p034410.1_BraROA transcript:A02p034410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSFKLSNPLEVRMAESTRIREKYQDRVPVIVEKAGQSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLGAEKAIFVFVKNTLPPTAALMSAIYEEHKDEDGFLYMTYSGENTFGSASANIALP >A09p081380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59247394:59250113:1 gene:A09p081380.1_BraROA transcript:A09p081380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVNCIQCRQCPKRSNLGIELTLYDIEESESAKLVSCDEDFCYQISGGPLSGCKANMSCPYLEIYGDGSSTAGYFVKDVVQYDSVAGDLKTKTANGSVIFGCGARQSGDLDSSNEEALDGILGFGKANSSMISQLASSGRVKKIFAHCLDGRNGGGIFAIGRVVQPKVNMTPLVPNQPHYNVNMTAVQVGQEFLDIPADLFQPGDRKGAIIDSGTTLAYLPEIIYEPLVKKITSQEPSLKVHIVDKDYKCFQYSGKVDEGFPNVTFHFENSVFLREGMWCIGWQNSGMQSRDRRNMTLLGDLVLSNKLVLYDLENQLIGWTEYNCSSSIKVKDEGTGTIQHIDRKW >A06p002930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4913446:4923957:1 gene:A06p002930.1_BraROA transcript:A06p002930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDDPTSIIFKKIRNLEPDNAPKLIGYFLLQDMEQTDLIRLAFGPDTLLHTFCRQAKSHLGLSSKPISIHQTLSQSSPSNGFSTNPNPNFDSRPFLEDPFANLHKRSFSANEACLEPEEPGFGAGYRFPEAGLVDGFGSSSGESGYVCLQREEMMRMKLAQQQRVAQLMALRQGEESGYCYSPSRHGRDDFVSRQIYLTFPSESSFTDADVSSYFSDFGAVEDVRIPYQQQRMYGFVTFSNAETVRTILARGNPHFICESRVLVKPYKEKGKILQNKWQQQQLQQLMERGSYSPSSSPSEDLYECHLGPRMFSSNTQEMMRRKADVQRAIEVELQRRRFLALQLPGRENESVHHLQRSLSMGSPSHLPPRFNHSLLFQPESSMEETTEGDIHLVPNNNKERGYSNKFHNWQETSPEKTLPDSLFGFPIKSEETLQTESDTKAEEWPNLTEPEMSKPKFDINLIGIEDLTMNLNQFLSGVEKEKEENFHHHMDSSVEKTRDPCCLMKTHFLKPYVTSIDGPVAELPPRRRQRVSSSSDVKVLTLRRFDNGVGSTDRNFTSWMRKMEALHEPTWRKAGIFEAIKASTFKISKNPSLIQALVEKWCPETKSFVFPWGEATITLEDVMVLLGFSVLGSSVCASVESSEMRGAVKKLEKARTKIMGGKGGQVRQSQWTLRFRDRDDDSLEHEAFLAMWLSILVFPHMSRRSISRRVFPVAVRLARGERVALAPAVLASVYRDLGAITGDDESYHPKSLLKLVQVWTWERFKNVRPKAKEIPKGEPRISRWEGLQKKYENVRLSLDDFEWRPYTKPLQNWNPLRFYVEEAMWIPIDNGIDDEFVAFARCVRSSKLVGIGFVEDYYPNRVAMQFGFSQDLPGLVTRHSSDFTEKEAWDDYNKSLVGLKLYMPSRLAAGSVTARYRDWWSKSVSQFLGFEDSNETCNARNRVDDDASPEVLPLSQLLQKMGEGFPAEFKRPRKLRIARRMGSVSVEMPLSELFHKELAKRTSEHLRGKRAREDDDDANHTDSYDDVTISQLVKCRKITGGDASGSHGIRRRDNSDSGIYQELASGEDETVAPQEVEKRNEQNDEEETRGQANEITILPPPEARQTGVAAVNGRNNPENKKNVVDDGTKEAECLLHEDGEKPSRLATGSVTTRYRTGDFGASVPVEKTLSELFHKELAKRTSEDPRAREDDDDDENHMDSYDDITISQLVKCRKKDGGDVSGSLGIRRRDKDDNNDSRICQELASKDDETVTPLVIEPQTDEEETRSEAVETVVMIPCIGGTVLSPMKAGETCVDVNRSEAVVETVVDAGTKEAECLLDDERLKHRKLTTEELALNLEAPFEQLMAPPSQNIPLPSLTSTDESKLDIKALSLSVSFHGWREANSPFKSWAIKMSSLHRPTWRKAGIFEAVMASTKGLNKDTDLLLGIAERWCPDTNTFLFPWGEATITLEDVMVLLGFSVLGSPYFTPLDSSGEEILRKLETEWVKLKAQFVTKLQWMGRFMGTRGELEHVAFLVLWVSYFVFPTRYYHIDRAVLPIAVHLSRGNRIALAPPVLARLYADLSLLKDHIRGFKTVMLNDKVELCSLFKLVQVWTWERFRELRPNNTNPLRQGEPRLALWDEPIQKRAKRPVRMRTKRNVREILANSKMESFEWRPYTKAVRNWEFPKFYPEKAKSVPVGPDLDEEFISFARCIKVSELVGKDSVECYFPNRVASQFGLLQDVPCPVNQSNLFKEAAWDEYNKPIDGLRLFVPSRSALSYFTSVYCEWWRKGKRAVELAESLGDDDTSKPVPSGSKKSLKRVSKEDETQIDRYKRQVPPRNIRRGDDDASELVASGSKKSMKRVCKEDEKQVPSEKHEEDDGLSVGQAMRLRKKNTAVCSSDENHSLDPPPKVLPSREVGQKLRKEFSEKLKRSRYLSGGSASREVPTNELFNKEVVKRKSEHLGDKRAREDDDNITTAEMVIDREKGVRDGSESLGKRNSRRLERDNNNDSWIRQKIAYEDETVAQRKETGNKAGKSKVRSSGDGNNPSDPRLGANERVVDIVVSRPETRQECEDEVGVKAEKEKAIVGGQSKEAKCLIHEDGENLRSNEKEEDGKSLKQTNLAIDEISLSLEAQSEANKLPRQSRLKALALSVSFNGWRMATSAFKSWAIKMSSLHEPTWQKAGIFEAVMVSTKNITKDSDLVLGIAEKWCPDTNTFVFPWGEATITLEDVMLLLGFSVLGSPVFTPLDSSGEKIKAKLEKEWVILRKDKKANYRVNQVAWMRRFRESGDELEHVAFLVLWLSYFVLPSRYHHMDEAVFFSCYSSLKWCKDCSCSSCTCTLVC >A09p024390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13491280:13492938:-1 gene:A09p024390.1_BraROA transcript:A09p024390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYGGKYYWRKRNNQGGGGGSCEAIVVVFAWMSSEERNLKNHVDLYDSLLWDSLVCHSQFLNMFLPDKAADLASDLVSELVKELKAKPVPLVFASFSGGPNACMYKVLQILEGICDTGLNQDDCRMVRNCISGFIYDSCPVDFTSDLGARFAVHPTTLKMSKPPKPFVWAANGIASSLDYVFLNRFESLRAEFWQTLYSTITMRVPYLILCSENDDLAPYQTIHNFATRLQELGGNVKLVKWNDSPHVGHYRYNQVDYKAAVSDFLSKAASVYLQKTRSLDREAMKEETQCDDDMTEPTTQSFGASTSGLNRSFNGTPLVTTDHFFVPRSTVGYYVGNGGSVQDEHKQDLIRLSSAQNDEDAVKPNGVLGQILFDVYIPKNVEDWDVKLSETTGRSKRRQGKRFIRRSRL >A09p044030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36057424:36058229:1 gene:A09p044030.1_BraROA transcript:A09p044030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADDLWSSGGGDLRVGDASRLPCVFHLQQWSFFKPSGEIFELTFRRRRRFSSSNGFFSDGDCMLVTVGSLLLLEFIYLTASIHHQSFYSSKIHRQSFYSSKIHHRSFELAACMVRTGAIYPFVIQCPRTDPRRPTCFAVQVWLAILKTAARVGQARCDLLDDEPAAVWDETGEPNCHLLFPATTFHPVWTFVWIFLGFRVHWKHILLLFLKRI >A09p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12321896:12323325:1 gene:A09p022400.1_BraROA transcript:A09p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGDCFHCHKPGHWANRCPLKSTPTITTVAADSPPVIHCPCDRACVVLTSKTVKNPNRRFYKCSAVPSCEFFKWCDQVSIESQPVVSVNPTCPCGSGPCRRVTVTEGPNANRSYFVCCIKKGFGACGFFQLENDAQIPSEQVGLVGSTLSEFSDDVNSLTIVDLSSDASVNQESKDVVSLETGEKVPSSLAAKHNETQLMDLCNYYTTNSNEGYALEVAEATSLSQNIINPVSNKPTSQSATSIETEASFSGCSSLMDLIEQYNSLKLHFESVSMKHVDALTAFTGSYKILESLRDTARNQSKRVIEVEKQVKFYEAKTSEFAASLEEVCGEMTKSQSKMVETARRVVKELSGKEICSSEDDKKLKGTRK >A04p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4584797:4586510:1 gene:A04p013690.1_BraROA transcript:A04p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSLRTYGSPLPTRYSRRRPVNGCSNHPVSRQIVTEELSISTYNRWIRYRCRTGGIDEAMSLLAKLDSLGSQPDPLSYVTLIETLSALGRTLEADALFQEVIRSGLHGSYPLRFHNALLGGYLRKGQLALALRVLDHMQLENVEKNQETCEVLLNYYVSAGRLEESWRVVNEMKKRKFPLTSFVYGKIIRIYRDNGMWKKALGIVEEICEIGLPMDVEMYNSIIDTFGKYGELDEALQVLRKMQSSHDLKPNISTWNSLIRWHCHHGAVDKALELFTMMQDQGLYPDPRMFVKLITRLGEKGSWNMISKSFESIKCKEDRRDTRAIYAALVEIVGQFGSFQDPEELVGRLKSEGVAPSANIFCTLADAYAQQGLCKQTVKVLKMMEKEGTEPNLIMLNVLINAFGNAGKHMEALSIYHHIKESGFTPDVVTYSTLMKAFTRAKKYEKVPEIFREMKASGCSADRKARQLLQNALMVLDKRH >A06p022690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12100776:12102878:-1 gene:A06p022690.1_BraROA transcript:A06p022690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDDYVEYVPVAKRRAMEEQKILQRKGGKVLEVEEEASEKEKLAESKPSLLVQATQLKRDVPEVSATEQIILQEKEMMEHLSDKKTLMSVRELAKGITYTEPLSTGWKPPLRIRKMSSKQMDLIRKQWHIIVSGEDIPPPIKSFEDMKFEKPILETLREKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTMVFVLPMIMIALQEEMMMPIGPGEGPIGLIVCPSRELARQTYEVVEQFVAPLVKAGFAPLRSLLCIGGVDMRSQLDVVKRGVHIVVATPGRLKDLLAKKKMNLDACRYLTLDEADRLVDLGFEDDIREVFDHFKSQRQTLLFSATMPTKIQIFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTSPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEDREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELKDPMEEAENIANASGVKGCAYCGGLGHRIRDCPKLEQQKSVAISNSRKDYFGSGGYRGEI >A01p003370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1501340:1502886:-1 gene:A01p003370.1_BraROA transcript:A01p003370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDSIGTGNSGNGTITESLSISLSVAARRRNVRFGSVRLCQFIFGKFVSFHNRMCMVRKPFFRKIIASASADKKVKVWDVATGQCKLTLEHHTEVVQAVEWNQYAPQVLLSGSYDCTVVLKDGRDPSHSGLKWSTNAKVESLAWDPHSEHFFVGFDIRASDLSPSFTIHAHDKEVSSISYNTNAPNLLATGSWDKSVKLWDLSNNQPSWVATHKPNAGRVFSVSFSADCPFLLAIGAKKGKLLVWDTLSDTAVSRRYGSNRP >A04p023720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:14431095:14432216:-1 gene:A04p023720.1_BraROA transcript:A04p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heterodimeric geranylgeranyl pyrophosphate synthase large subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G23800) UniProtKB/Swiss-Prot;Acc:O04046] MFDFDEMESQNLFLYLSLLVLSITFFFQNIKPRLGRFFQPSLETRAKAAILSRQEVAQFLDSPIVKEQEQEEGISPSSTFDFDPYMATKVELVNKALDEAIPVGEPLKIHEAMRYAVLAAGKRVRPILCLASCELVGGKENAAMPAACAVEMIHTMSLIKDDLPCMDNDDLRRGKPTTHKVYGEGVAILSGGALLSLAFEHMTTAEVSSEKMVWAVRELARSIGTRGLVAGQAKDISSEGLDLDEVGLEHLEFIHVHKTAVLLETAAVLGAIIGGGSNEEIERVRKFARCIGLLFQVVDDILDDTKSSEELGKTAGKDQLVGKLTYPKLMGLEKSKEFVKRLTEDASQHLQGFSKEKVAPLVALTNFIANRNR >A02g512650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34632780:34633036:1 gene:A02g512650.1_BraROA transcript:A02g512650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVFLLSRPCEAFGVDELVLGMSVLRDSSLLDVKLFLASELYRGSLRIGSLVDSGGRGTEQLRG >A06p028660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:57765:59162:1 gene:A06p028660.1_BraROA transcript:A06p028660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEPDLSREEPDLSREEPDLRREEPELSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACCKPSLAPTHRLRETFIVQRRARTMSEERRDGKQPESSGEPAVQQINLNQVQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGLS >A05p029970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15188152:15188799:-1 gene:A05p029970.1_BraROA transcript:A05p029970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAMSVDSLLEKLKQEELYLPPSNWESLHSQSCQFPPPTRASSPSSSFVSELSLVRLALNALQGVESSLISIQKLSYGLCSHQSLSLWHRLSSTDALGHIL >A02p060390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:36038582:36039466:-1 gene:A02p060390.1_BraROA transcript:A02p060390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSLALFHKPTCSFYLGPSSIFPLNRRRLCSFSAPPPKTMADLVKTHAWRDIDGEEGFQALEQEGFTPSNDLVSGGINAVANRLSKWVVAAVFGSVLLLRHDGAALWAVIGSVSNSALSVALKRILNQQRPVATLRSDPGMPSSHAQSISFITLFSEASHRQSSGGGCNRGLCLLHLVAHNLELTCSPAFRLIILRTSSCIYGCCCICSGFCSLCAT >A10p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22176437:22180365:1 gene:A10p040200.1_BraROA transcript:A10p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVIVFPSSSLITNPHHHHHNHRRRYATAPEHNRKTKYTSSLTKQLPSLSSANHLSNHFPDAVRWTPDGSVEYYADFASRLAEDGRIQDVALIAETLAAESGANAARFASMVDSDLLSKGIALNLRQGKVESVVYTLKRIEKVGIAPLDLVNDDSSVKLMRKQFRAMANSVQVEKAVDLMEILAGFRFKIKDLVDPFDVIKICVDISNPQLALRYACFLPHTEILLCRIILGFGKKGDMVSVMTAYEACKQILDAPNMYIYRTMIDVCGLCGDYVKSRYIYEDLLKEDIKPNIYVMNSLMNVNSHDLGYTLKVYKSMQKLNVTADMTSYNILLKTCCLAGRVDLAQDIYKEAKRMESSGLLKLDAFTYCTIIKVFADAKMWKMALKVKEDMQSVGVTPNTHTWSSLISACANAGLVEQANQLFEEMLASGCEPNSQCFNILLHACVEACQYDRAFRLFQSWKGSSAKEALYADGIISKGGTFSPNKLNQQGPGSLVKSNSSPFIQASNRFFFKPTTATYNILLKACGTDYYRGKELMDEMKSLGLAPNQITWSTLIDICGGSGDVEGAVRILRTMHSDGTRPDVVAYTTAIKICAENKSLKLAFSLFEEMRRFQIKPNWVTYNTLLKARSKYGSLLEVRQCLAIYQDMRKAGYKPNDHFLKELIEEWCEGVIQENSQSQNKASDLEGTDFGRPVSLLIEKVASHLQERTSGHLAIDLQGLTKVEARLVVLAVLRMIKEDYTRGDVVKDDLVIILGTGEANTDSGKQEVAVKDALVKLLKDELSLVVLPAGQRRVLDITQDARFVDDADQNTEHTSENTKSIVGMSTTRRPAILERLMVTKASLHKWLQRKK >A05p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7683879:7691168:1 gene:A05p017120.1_BraROA transcript:A05p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKSLIAVFAAVVLATVISKLRGKKLKLPPGPMPIPIFGNWLQVGDDLNHRNLVDYAKKFGDLFLLRMGQRNLVVVSSPNLTKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQNREGWEFEAASVVEDVKKNPDSATKGIVLRKRLQLMMYNNMFRIMFDRRFDSEDDPLFIRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICQDVKDRRLALFKKYFVDERKQIASSKPTGSEGLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQTKLRNEIDTVLGPGVQVTEPELHKLPYLQAVIKETLRLRMAIPLLVPHMNLNDAKLAGYDIPAESKILVNAWWLANNPESWKKPEEFRPERFFEEEAHVEANGNDFRYVPFGVGRRSCPGIILALPILGITIGRMVQNFELLPPPGQSKLDTSEKGGQFSLHILHHSTISRHKDPSPSPSSLFRIRDYQFSITVSMLQSPFSRREPALLRMLQWMGGSRRKVAASHNSVKKRQKQYFEQRRRQQHQFTAGSGSCSYDRNSSNQHQSLDILNFISLSTPATPECKPSPPEDVKQVVEDRDAGFHSLKDNITGVGSSFNNKAETIPLKRQVSFSLSCFPVMISLSLPDSQTNDFKKENTTADLMGGTERKLSVFDLVGDDHTTTNRKECSPSEPHMAFSVEGLGKINTETPPSSPQPSSRNFAYGCSSPWNDFGQPTSNVRERLSDFEKERDIIESSKMFQDDKRYRSPIDIHATYRGRSQKLRTSTDHLHKPYISDSRGYFCDTADFNKSRVFDENEWNAKPTFLDDGVGRFYWKDQQPRQEESLNLNFNNYGRDYTGSRSSAEHHATKKRDYLETTWRSNIEGSPVRRPQRLERAIDHPSFEKETTLDFNFDKVFDPPVWSSVVLEEDKDSHSLRSEESCSSSAAWANETSISHETNTRQRKRETDSFCNLGNKKYLNNGLSYESWEDWDVDAQQLKRKVGSGKQVRLSNPGKLRSTSHRDSSSRGGLDAPYNWFAEGFTAAGISSDITTERDKPYPFLNPVFGSSHRRQSRAPDSLPETWVPKYSGEGSGYGDDEHNYMNCVSANHKSKLAGDVCSFGSDTLSENDNEQSKEVNDPKTQGDETSSSVAKSLSDENEYVRCNPNKEVVKARHQGNRGGEKTSRDSHQQMIMLERRTLQFVCLNKTLLLDSLKT >A09g510440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31727930:31729000:1 gene:A09g510440.1_BraROA transcript:A09g510440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLPLTKTRREEGPTAMIRPDRGRVQEERERADFRRERGGHKLREKRKLFPFPNDEPHIKLKLLTRQIHHRKPLVDPISDAPTLAETIHGADLSSWNPNPSQQDFLSKFKSSRQHSISSSHKAFLTTSASCSEQSQLTFTSQADRVPNQPARKGSNSRPDRRQRPSSSHPRFISPSWRSGFYNLQDKGNPNSENMNRTWLFCKD >A08g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8741230:8749328:1 gene:A08g505270.1_BraROA transcript:A08g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHWTCDILDPADMKPKSKPNYENALTKRERESFGEKITCDWNSLTYHTRAYAWLEPVDRCPQLTIGRHRPSSSVDPNRSTTIDITPRMSIDTVSSKMVNVIILTQDENRMQQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNAVGILTHEEFAAKHPHPLSPFYDKIDRSVDPTIDRQSESDVDRHNTPPIDRQAPLTYRVRIPSIDNDYINALKPPPKPLASPPEPKPNPLNSSPEPVQEDQETEGRRLWKRKEKIPKNLKREAKDKEMDGFTKRILRIPIGKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVKEKMRHMITLSKKSNPGKFAIPCVVKEYSESIDTHTFLSIDSNESTVIDDRNNTSLDVDQPLDHFALPNRCYPHLAFQPPSKRGRDDYSIGSWADSGFHESFAVDTLITSPNEEHTENYDEDYWKERAIEMFLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPFTSIDSHTGTSIDIRAAAKIQEQENIPSPTRFIDTYLKRFAPLKPPPHTRADTHAEKMNILPSTSTGKSMKSNHLKNTSSAEIILPSIDAFVSASIDTTLKPNLSISKLNDNANIDYGFLTLDEFGIFRDPDGNARAIDGRILQVSREDIVDIFQVANGPDNLFSQQRGTPDVIQTDPNKHVGVAATEINPDLSCQSKGQASIDGTTKTSIDRITPTSTDKDDPTSIDRRYEFGYRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDNIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEINEMVTGICGAQERLGEELKSLSLRHQHENKATTTTSIDAPHAPSIDVSLPTAQIPAEPQCSAEHKDEWEVSYINTRINDVYYPLNNNVDWLSTKIELLQQDLDIIRKKDQQPATSIDVCTITSLDAKISAMDDRLQTYEDMHDHFANSSSIDRLRGPWIDGKNPVELLPYTAAEVDKITSKIYTAIDTMEERLDKRCDDIYFPFDNRIGGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRKRAKSLDGKSPRSTDKHLIASIDAESTPAGEQLIHQTTMHEELTELSSYAYDNIGWHQVSIDNVQDRLQNISNVLKKMDDKWTRNDEATRNSTIDAKADQPINYTLALTSSKRDLEAAIFKARFHKEFLDIGQKEVNRAWWQPPLSFDSWKPVQSWSLILQWKQTLTQERKLEREKLGTNFYLQFQILLKGHFIRADHVEVDERKNNRSMRIQCCRSTP >A02p024360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12837167:12838420:-1 gene:A02p024360.1_BraROA transcript:A02p024360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTTTTATLLCFVLTTVVLMDQTSSTATYSSTSSSTDTFIFAKCSPEKFSPGSAYETNLNSLLSSLVSSTVASRYNNLTVPSGTGAKSEPAVVYGLFQCSVDLDATSCSSCVSRATALVGNTCPNSYSVFLEMQSCLVRFDKSSFFGVQDKTEMLKKCGQPMGFNDQDALTRVSDVMGSLSSGSGSDRSGGNGDVRGMAQCMGDLSPAQCQDCLTDAIGRLSSDCGMSQGGYVYLSKCYARFSYGGSHARQTPNSNSNSNSNFGGDKDDKNNDGIGKTLAIIIGIITLVILLVVFLAFLGKQLRKLQDEKCCK >A04g505100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11119448:11120764:-1 gene:A04g505100.1_BraROA transcript:A04g505100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTTQTASQTISLLNTVRLLRQAGDARNHNYSQTIPKFYGELKVLFYGREVNGIDTHRRFCASLQERTKEGGSMMNYLLLFSFNSILGGTAQHHLHTSTTKIQNIETCKFLIGLQISMPYPNREIDLSTWEAIAVLPYLDREFTS >A02p013900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6083747:6084919:-1 gene:A02p013900.1_BraROA transcript:A02p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGLESIKPLSGGWGAAARSCDACKSASAAVYCRFDSAFLCITCDASIHSFTRHERVYLCEVCEQAPAAVTCKADAASLCVTCDSDIHSANPLASRHERVPVESFFDSAVAKISPSTFGVLGDSTTVDLTAVPVIGNADELGLCPWLLPNDFNEPAKIETVTELKSSEFMFSDFDRLIDFEYPNTFGADSLVPVQTKTEPLPRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTETENDDVFFSQVYASAGQYGVVPTF >A09p012130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6218803:6221095:1 gene:A09p012130.1_BraROA transcript:A09p012130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERAEQYQGKTTGFVTITCLVAAIGGCMFGYDVGVAGGVTSTDDFLREFFSNVYAKRRNAHENNYCKFNDQGLAAFNSSLYIAGLVATLVAAPITKKYGRRVSIICAGIFFLVGAAVNAGSVNLSMLLLGRIMLGFGVGFESQAVPVYLSEVSPAHIRGALSVLFQLATTLGIFSANMISYVTQKLSPWGWRLALGTAAFPALLMSLGGYFLPETPNSLIQRGLTEKGRHVLEKLRGTSNVDAEFQDLIDASLLANSIKHPFREILEKRHRPQLVMAVLMPMFQILTGVNCILFYAPVMFLTMGFGENSLLYSGVLLGFVLVLSTFVSIGLVDKLGRRPLLLSGGLQMITCQIIVSAILGLKLGDNQELSKGYSIMLVIFFSLFVLGYGWSWGPLGYTVPSEVFPLAIRSAGQSITVAVNLLFTFIVAQAFLYLLCALKFGIFLLFACCVSVMTIFVYFMLPETKGVPIEEMSLIWTEHWFWKKMLPEN >A01p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5965588:5977849:-1 gene:A01p012250.1_BraROA transcript:A01p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLGFEACLGVEGMLQFHVAIYEIVDDPSLDSTISWSKSNKSFIIWNPKELFTKKILKRFFCCNLSQFITELDTYGFVRIEGSDEQLEFGHKQYFVRGKPELLKKMRLQAAMNRMKKSAKKAKARAEAEKNGSMTVRREPRIIIDADEVRMRAMAWMSLSQFYTGIYKVVDDPSLDSIISWSESNKSFVIWDPKELVEKKILRRFFRNKLSHFIKDLKSHGFVRIKGSDEHLEFGHEQYFVRGKPELMIKLRRKVAWGRVKKDLKAAKARAKAEAEKKGCVGDQPSYRKMCLEDAPIYTRWWMILVGFNNLMEQKQQWFRHLESSRANRKKVCFEILLPRVVTTTSKDEVRASIRCIGRCIDNMEISLNDYEVIVEDKVDRPEVSSSEDLSHDQLRSNATLLLKYFKNRTLEYFFAAFFPPDITHVDDAMAQFGLIRSHLENCESLIYKVMMEAYDCIASSEDEDSGLTLLMMCHGFVRIKGSEHLEFGHKQYFVRGKPELLKKMRLEAAMNRMKKSAQRAKARAEAEKNGSVTVRREPRIIINADEARMRYKQIFESSSSSTIAKTPLENRFRHLRI >A07p006910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1380471:1381544:-1 gene:A07p006910.1_BraROA transcript:A07p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRLIKAGSNSITIHSLSLALSLSLSLSLSIESQAFRLKGEHLAREEHPKKKKTPLMEKEAAETSTELNFDSTTSSPYITAPSSPTLFGNNNVFFFSAPTSPSPSTSSNIPFDWSDPPRTPKKRSASDIDDDFEFNFSGQLDKSFFSAADELFDGGKIRPLGPSLPPVVSCVLDREDSGPGKDRSPGSSMSPLRVSDIMVDEEEVHETTKMVASNTSNQKSSVFLSAILFPGRAYKKWKLKDLLLFRSASDGRPVPTKESLRRYDILTKKEAEEVKSSSIRSRECCESTVSRSRRRHGTVVSAHEMHYTENRAVSEELKRKTFLPYKQGWLGCLGFNPAVHEISRVGSLSRAASS >A05g509790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29304171:29307745:1 gene:A05g509790.1_BraROA transcript:A05g509790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLRLGKVTVEEKKKTTKKSGKSTTAPVAEEHGEELSDGNNSDDMCDPPSEGMKGLKRKRTFTGGGVSSRTRARKAVSNRNEPVREESNPVRGTTVVSLSLDTESEGMSAVSSKQKKIWWKELYESDVAARKFTKTKDKEKVTIVEGSSSNSGLESMLKGVEERIVKAMEEGFSGINLTVETKLEAMNLRMGKLEKNQRVLKKKTKKIEDKLTSIESKGNEDEEYRQWNDFDYGRDHGKDREMAEAEKAETGKKISEKGEEDEENSGKDEEDEKNSEKGKEEKDQEPEKDKENSDSVEKGEEYVEESDEENSLLRLHERVRVQAEEFWRTVDDESEAEKETEKEAEKEAEEEGEKEGEEEAEKEVQEEKESEEEGEKEAEKEAEKEVQEEKEAEKEVQEEKEDEKEAEKESEKEVQEEKEAEKEESKGTPTSTEVIVITPRGRTKAAAARKAISISPEIIVVTGIAELAEKEVEVEATQTEQEAIQTEIVEKEAEVTEKDAELAEKEDQDVDEEEEKAEESDKNPDVDQDVEEEEEKAEESDSYPDVDQDVEEEEEKTEENEDNPVESPSEKHAELAEKSVESDVDLDVEEVEKKAEEIEDNLVESPAKKQTELAEKSVEVELKTMRKPRVKVIAVPYGIPRAERLAKMRAEAEKKKARAEKKKAKADGAPKKKGRPKKTEATLKPCTPLPEKRKSEPSRWVQSPFTEGKTDELEVPKKKLKTKT >A05p018060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8231120:8231590:1 gene:A05p018060.1_BraROA transcript:A05p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIPSFFGNNRRGGSSFVDPFSLDVWDPFKDFPSSSSFSRENSAIVNARVDWRETPEAHVFKADLPGLKKEEVKVEIEDDSVLKISGERHVEKEDKNDTWHRVERSSGQFTRKFRLPENVKMDQVKAAMENGVLTVTVPKAEMKKPDVKSIQISG >A06p013240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5987247:5988323:1 gene:A06p013240.1_BraROA transcript:A06p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPDLPEPVARECLLRSSYQQFPLIASVSKLWRREISLPDFLRHRKASGHSQELVVLSQARIKPVSELGSGKTIPTPVYRITVLEPESGLWTELPPVPGKSNGLPLFCRLASVGTDLVVIGGLDPATWRTSDSVFIFSFLTSTWRNGMSMPGGPRSFFACASDSERNVFVAGGHDEDKNALTSALVYDVAEDRWALLPDMGRERDECKAIFHAGKVHVIGGYTTVEQGQFSKTAESLDVATRRWGAETKDFLAPGMTTWPPVCAAGGNGVLYACCRRDLMVMNGDTWQKVGDLPADVCNVSYVAVRRSGKLVVIGSARYGEPSVVYIWDTSDSRWEKLETCEKYEGHVQAGCFLEI >A07p027210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15553652:15555258:-1 gene:A07p027210.1_BraROA transcript:A07p027210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYAVCTHLPPRGRHLCIDTTQMYDCCVRREIKSLLSLAFGDLYNRQCLPLFSLSLCLPYTQKPAMERLNSKLYVENCYIMRENERLRKKAELLNQENQQLLFQLKQKLSKTKKNPNGSNNDKNLCSSSSASGQS >A03p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2144528:2145599:-1 gene:A03p005160.1_BraROA transcript:A03p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFNWQEERSKETEDNTGHCVNVVGAESAVVFRDILNKYPLNITSSEILKKLVKPEGFKVLKYCCHEIALNIGASLTFVGEAVRDKAGNIMIQRPKDLSFLVFVGEDSFNKMVSDRESNAK >A07p043020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23295937:23296876:1 gene:A07p043020.1_BraROA transcript:A07p043020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGFLLIVIVGVMTSSVNGYDGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIRCQNDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQRIAQYKAGIVPVAYRRVPCVRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAWVKGSRTGWQAMSRNWGQNWQSNSYLNGQALSFKVTTSDGRTIVSNNVASAGWSFGQTFTGAQI >A07g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2943357:2943697:-1 gene:A07g501410.1_BraROA transcript:A07g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLTCLGCRNKKESKSNKEITETLGVDDPSEIIFVTDVYQEATAAKTAGLEAIILILPGNVSFPENHELKTVSSFFQI >A06p013960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6300977:6302009:-1 gene:A06p013960.1_BraROA transcript:A06p013960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCDKTKVKRGQWSYDEDLKLISFINKYGHENWRSLPEQAGLLRCGKSCRLRWINYLRPDVKRGNFSVEEEETIIKLHQSIGSKWSKIASKLPGRTDNEIKNVWHTHLKKRLSSNTNLNADRDEAATKGSLNREETSQESSPNASMSSGGSKISSKEDGVQIGQTFQYLEGHSEVTKTLQEVDKPELLEISFGVDPEIWSFINGLDSFQQPENSLAPRAYQDSQEDEVEKWFKHLETELGLEENDSQQQRSIVMLTKNHRHHHLGELRALDKPLTKP >A07g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21587412:21591156:-1 gene:A07g507760.1_BraROA transcript:A07g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPIKVVLSIWRARRSRQRSPYGELADQGNARHMVSWPIKAALAVWRDDRSRPCSPYGEMTDQGHARHMAIWSIKAELAVWRAGQVGSNFGLPRVTVDGWNVGKPFSCFVKESRAMKGVQNSYTFCFLLVFVVLILVHPALSIYFNILSSTESLTISGNRTLVSPGDVFELGFFRTTSSSRWYLGIWYKKVYFRTYVWVANRDNPLSRSIGTLRISNMNLVLLDHSNKSVWSTNLTRGNERSPVVAELLANGNFVMRDSNNNDASGFLWQSFDFPTDTLLPEMKLGYDLKTGLNRFLTAWRNSDDPSSGDYSYKLENRELPEFYLLKSGFQVHRSGPWNGVRFSGIPENQKLSYMVYNFTENSEEVAYTFRMTNNSFYSRLKVSSDGYLQRLTLIPISIAWNLFWSSPVDIRCDMFRVCGPYAYCDGNTSPLCNCIQGFDPWNLQQWDIGEPAGGCVRRTLLSCSDDGFTKMKKMKLPDTRLAIVDRSIGLKECEKRCLSDCNCTAFANADIRNGGTGCVIWTGHLQDIRTYYDEGQDLYVRLAADDLG >A03g508190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28528309:28528581:-1 gene:A03g508190.1_BraROA transcript:A03g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSKIVSFIIIVLLLVTFSTLSCATRVRFTHSDHVSAVSKESQHQYIRLHRNLNRIEERLADFEDEDEPSPYTRMIRNVEFSALMRHS >A09p017030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8857098:8858362:1 gene:A09p017030.1_BraROA transcript:A09p017030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATSTQLSSSLFHSQITKKPFLLPATISVHGFSTRRLKSLSLSHRSSVSALSASSAVDVTEPVKKPVRTLPFRVGHGFDLHRLEPGYPLIIGGIDIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMDEAGYEIGNLDATLILQRPKISPHKETIRSNLSKLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVILLMKK >A03p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10938519:10940317:-1 gene:A03p025940.1_BraROA transcript:A03p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRDYRSLRSCTGWRRFLLLVPVLVVLPHLSSLVDYSTSTRAKNEAPSTRSKKLDHLVLGPAAGVGFPDRLHCQGTKALNKTHTTTSHVSGAAGNRVSFVTVFTVYNNTTSLGDLKSSSVVSVVGNVTYSKPARSMAVLNAFAYFIQVSMPKSNVVILTDPASQLSIQASNVMVEPIPGDYSRGNLMLQRIRSYITFLEMKLQKNDGGTNHYIFTDSDIAVVDNIETIFVKHPNFHIALTFRNNKDQPLNSGFIAVRGTREGILRAKVFLQQVLNAYKTKYMKASRMLGDQLALVWVVKSHPSFDAKRFTKPQAFTQEIAGASVLFLPCALYNWTPPEGAGQFHGMPLDVKIVHFKGSRKRLMLEAWNFHKSTSNIPDMLCLVLGSGRTKYDF >A09p031620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19130802:19132215:1 gene:A09p031620.1_BraROA transcript:A09p031620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCVTRSPTLHSDVEEEGESKASFIIPLSRSSKGWSRNLGSFNILSTLRRKVFLGEEQLSKYGDTKSAMNMMYTKLKKLIDENLLFDEKLSFAIVKYSRLFYKVLNTRRKIPVIVHDEDEKL >A04p033960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19717625:19719720:1 gene:A04p033960.1_BraROA transcript:A04p033960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEINNDDDWRNGLDISGLSLMDEDDSLLLLFPDPTSGTDKEGLDWFGEDELSEVMKYNLRKSVLEPDELCHMIESNHVGEKKVLATIQEDVKRSTESISTLKSDCTLETSEELGERDDDDAVHSPTPSTLDDRDSNEKVKPNGIRKRPSIRAQGLGKVTKQATEHNTSSISRPSTGLSRSVRASVDVNKTKQGESSVAPRVAISRRIRPTVSKPGPPSKSAFRSSKNELTSSCSSLESCISASSSACKKSPLESANQKKSQSSRTAFHSMANGSTSRAASRVSPLSATSTFKSRLSSNNAAFLSASVDWSFNSPRAPTPNKMAKGKKKTVSAVQHDPMPDQHGSMTKPTGLRVPSPKLGYFDGGRSSVARTPTGSCTGGSAKHGARSLNEPTASSRTKSRLVQESTNSKAKARPVSRSSRLIVSASASSPKVTSKTYSKVSAEERLNGDAVEDNLAQ >A05p053840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31095988:31097349:-1 gene:A05p053840.1_BraROA transcript:A05p053840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQGDMDLEEWELLPKSYFKDLDLDHDEDHQEAMILDCFLSPSTQDPLHKIESPPIFRVVPTKLLQVPIAWEPVLDHENKKMPGLDPDPTQTLLMESVPSPRVSFKKTKETEFADMKIDPPARITSPVPLIDAAKPSDCEGSGDLRVKKENDDVTSEHGGEKLNLWKIGLNGIGAVCSFGVAAAAATVCVLFLGHNNIKVCKNKNHKLRFQIYSDDHKRMNEAVNQATKVNEAIFAMKGVPVVRAQISFGGYYDGL >A02p030140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15295825:15296786:-1 gene:A02p030140.1_BraROA transcript:A02p030140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLTNPNMKQRSSFPFLQHFQLSYELARATMEIKSSQPNRPREPWYQSSRIVLERVEARLLRFWESRNVKKDGELMGFDILLVDGKIVKKEAERSIYVNNGDD >A08p045480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24928806:24930701:-1 gene:A08p045480.1_BraROA transcript:A08p045480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGRSGLKVSTLSFGAWVTFGNQLDVKEAKSILQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDVVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMEYVDVLYCHRPDASTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGAAERLDLVGPIVEQPEYNMFARHKVESEFLPLYTNHGIGLTTWSPLASGVLTGKYNKGAIPSDSRFALENYKNLANRSLVDDVLRKVSGLKPIADELGVTLAQLAIAWCASNPNVSSVITGATRESQIQENMKAVDVIPLLTPHVLDKIEQVIQSKPKRPESYR >A09p046660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40587852:40589913:-1 gene:A09p046660.1_BraROA transcript:A09p046660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDNPPPAPVPPTSFSKSFATRIFSDVAGDITIVVDGEPFLLHKFPLVARCGKIRKLVAAEMKDTSRTLSHTELRDFPGGSHTFELAMKFCYGINFEITISNVVALRCAAGYLEMTEDHKEENLIARTETYLEQVVFRSLEKSVEVLCSCELLLHPHDIAEAYHVPERCVEAIAMNACREQLVLGLSRLNRTSQRGDSPPEWWIQDLSALRIDYYARVVSAMARTGLRSESIITSLMHYSQESLKGIRNRQERTKLDSGTIENEQRNVVEAIVSLFPNDKVPLSFLFGMLRVGVTINVSVSCRFELEMRIAHQLERVSLDDLLIPVVRDEESMYDVETVHRILVCFLKRIEDEERNEDDNETESLIDSTCHSSLLKVGLIIDAYLAEIAPDPFLSLNKFTDLIEILPDYVRVTHDGLYRAIDMFLKGHPSLTEQECKSLCKFVDTKKLTQEACSHAAQNDRLPVQMVVRVLYSEQLRLKNIVSGESGEEGTVMSSQKLSSGIPSGATSPRDTYASLRRENRELKLEISRVRVRLSELEKEQVLMKQGMMEKSGHGGTLLTSLSKGIGRMSLFGGGAKEEKRRKANRKNRPRLKGKPGINRTESMF >A09p083100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59930095:59930517:1 gene:A09p083100.1_BraROA transcript:A09p083100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILRLMDLTASFLMAVVFLASLVMIDAARSPVEKLNEDPIKCTPCIQNPPPPPSPPPPSCPSPPPPSPPPPSSPPPPKMSYCPPPPKQEVYFSPPPPPPPPPPGDLYPVDHDFGGAAAGEMFTTVKLIALLFIGFMVL >A06p012170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5564346:5568680:-1 gene:A06p012170.1_BraROA transcript:A06p012170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger 8 [Source:Projected from Arabidopsis thaliana (AT1G14660) UniProtKB/Swiss-Prot;Acc:Q3YL57] MTSITDAVVPYFSPEKTSLSLSYSAESGSRPVDAVIFAGVSLVLGTACRQLFNGTRVPYTVVLLVIGIVLGSLEYGTNHSLGKMGHGIRIWNDINPDLLLAVFLPPLLFESSFSMDVHQIKRCLGQMVLLAGPGVLISTFWIGSLLKLTFPYNWDWKTSLLLGALLGATDPVAVVALLKELGASKKMTTIIDGESLMNDGVSVVVFQLFLKMVMGSTSDWSFIIKFLTQNSFGAVGIGVALGIASVFWLRFVFNDIVVQITVTLSVSYFAYYTAQEWAGVSGILTVMTLGMFFAAFARTAFKGENHQSLHHFWEMVAYIANTLVFILSGVIIAVGDFSSRKISYEGASWGFLFLLYLYVQLSRCVMVGVLYPLLRRFGYGLDWKESIILTWSGLRGAVSLSLALSVKQSSGNSYISSETGTRFLFFTGGIVFLTLVVNGSTTQFLLHLLRMDTLTGTKKRILEYTKFQMMNTAFKAFENLGDDEELGSADWHTVIGHIPSLKNLQGEQVDPHDGCEAGNVDPTNIMDIRIRFLNGVQAAYWEMLDDGRITQGTANVLMQSVDEALDLVSTESLCDWRGLKPCVHFPKYYKFLQSRIIPRKFVSYLIVERLESACYISSAFLRAHRIARQQLHGFLGDSDIASVVINESEVEGEEAKQFLEDVRDSFPQVLSVLKTRQVTHYVLNHLNGYIKNLEKVGLLEGKEVSHLHDAVQSDLKKLLRNPPSLKLPNAHDLITTNPLLRPL >A03p015130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5990639:5992733:1 gene:A03p015130.1_BraROA transcript:A03p015130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPELAVSDQILEEKNKNKLQFIEEVTSNADEVQRQVLEEILSRNADVEYLKQHGLQGRTDRETFKHVMPVVTYEDIQPDINRIANGDKSQILCSSPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSLLMPVMSQFVSGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSPHFKNRPDDPYTNYTSPNQTILCPDSYQSMYSQMLCGLCQHNEVLRVGAVFASGFIRAIKFLEKHWPQLVHDIRTGTLNSEITDLSVREAVEEILKPDPRLADFVETECRKTSWQGIITALWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPVCKPSEVSYTLIPTMAYFEFLPVHRNSGVTSSISLPKALTEKEQQDLVDLVDVKLGQEYELVVTTYAGLYRYRVGDVLRVADFKNNAPQFSFICRKNVVLSIDSDKTDEVELQNAVKNAVAHLVPFDASLSEYTSYADTSSIPGHYVLFWELCLNGGTPVPPSVLEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKVVESGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVKTYFSPKCPKWIPGHKQWGK >A06g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4120234:4120555:1 gene:A06g501130.1_BraROA transcript:A06g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLDFHGFSGGFTMVRQFLSRRWSSSEMLQGVEKDGLRMRFSLYVNRYFLRFRSSLFRGGLLLWLGASGGVWRVSPSCGGVEPLGFKGSDVL >A09p067440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53205170:53206800:-1 gene:A09p067440.1_BraROA transcript:A09p067440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLAMNRCSISVCRTADVLLNRPTVSVVRNLKFNRRLIGNCSIASAVPYIVAEDEKYGNKQVISLTPRLYDYILSNVREPEILKQLREETAKMRGSQMQVSPDQAQLLAMLVQILGAERCIEVGVYTGYSSLAVALALPESGRLVACERDSNSLEVAQRYYELAGVSHKVIVKHGLAAESLKSLIENGEGSSYDFAFVDADKRMYQDYFELLLQLVRVGGVIVMDNVLWHGRVADPMVNDAKTISIRNFNKKLMDDERVSISMVPIGDGMTICRKR >A07p024800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14262069:14264051:-1 gene:A07p024800.1_BraROA transcript:A07p024800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNKRIFTFLLVIVFFHGVMMMRSIGYEGEEEQGGGGRERGGFMMKESRQVIKSEGGEMRVVISPRGRIIEKPMHIGFLTMEPKTLFVPQYLDSNLLIFIRQGEATLGVICKDEFGEKRLKGGDIYWIPAGSAFYLLNTGRGQRLHVICSIDPSQSLGFETFQPFYIGGGPSSVLAGFDPDTITSALNVSRPEVQQLMTSQVRGPIVHITEHAPTMWTDFLGLRGEEKHKHLKKLLELKQGTSQEQEDNPWWSWKNIVSSILDVTGEKNRGSGSSKCEDSYNIYDRKNDFENDYGWSKALDYDDYEPLRYSGVGVYLVNLTAGSMMAPHMNPTATEYGIVLSGSGEIQVVLPNGTSAMNMRVSPGDVFWIPRYFAFCQIASRIAPFEFVGFTTSAYKNRPQFLVGSNSLLRSLNLTSLAMAFGVDEGTMKRFVEAQREAVILPTASAAPPHEGEPERFGSDHIFT >A10p039470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21843058:21844596:1 gene:A10p039470.1_BraROA transcript:A10p039470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYVDTHCDSLGDLFPGKFIVMAKRRSWFGWIKRLFICEAKAKSEKPRRLRWVFRRLKLRHQIATPAQETRTLNKATEDQRKHAMNVAIATAAAAEAAVAAAKAAAEVVRMAENAFTSQHFVKKSSDPNLAAIKIQTIVRGRAVRRKVSSNKASTSNFIQRKHLSKTKTEIKEELKIPKRSMCNGQNSWDSSALTKEDIKATWLRKQEGAVKRERMLKYSRSHRERRSPHMLLESLYTKDMGIRSCRLEHWGESKSEMVVVPTKVKLRSLQRQDSGDGQDSPFSFPRRSFSRLEQSLLEDESWFQSGFQPYMSVTESAKEKFRSLSTPRQRAAVMESWLDENKKDGDKVSLWSSFVSEENSKMSSSKKSSLATNKHLLLKY >SC141g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:68088:70442:-1 gene:SC141g500050.1_BraROA transcript:SC141g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGDSSHHGRGDPYWPYTGRTVRTHAVRKREPSNQAGEAGETSPLDHERGNRSESEEQEQTQEDSGQHDHEETQEVENVVQSTGTEESEAQSGGNEQGEHTGCGSNGDTRGCGKAP >A09p052980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46133408:46134141:-1 gene:A09p052980.1_BraROA transcript:A09p052980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGDKAAEIACRVILSAKNRARRRVTSHFVEYGVVVDSKGNAVHEILVVSMLAPWSYRRAYVIELQCHNRKVCLYCVLRTCVDVGAILVEPGHKLKIRTPIDSESSFTFWLDTRCMFQESLDFMCVLNECLELMQAENVEKLISAKSSSPAEAALEGIHASFCPPFKG >A02p055760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33734269:33735930:1 gene:A02p055760.1_BraROA transcript:A02p055760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAKKLSNALVHYWPLSVRVCGFWFIPNEWQLSCNKCGDNPSAGPLGTDDSHTTCSNHTELYSFVSSLEPALPIFVGLSSIGSMGFMKNPMAFLRILESVIQITGYRFIILTAGYEPLEAAIHTIANKPASKRSLQEGVSIFNGKLFCFSGQVPYNWLFRRCAAAIHHGGSGSTAAALHAGIPQIICPFMMDQFYWAEKMTWLGVAPQPLKRNHLLPEESNDESIMEAAQVVAKAVYDALSAETRASAMEIAELLSREDGVSEAVRVLREEVCEVSGSNQT >A02p045070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28344354:28344742:1 gene:A02p045070.1_BraROA transcript:A02p045070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACNRFINRSSVSSLRSAIRSSLHKSPIGTGSSSAGFRIPSKPAASPRFSFSRCPSELGCAQSLLPLHSTVAAARLTSCLSVTSRNSRALSQGTLCCTSPDL >A03p071700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31635611:31638093:-1 gene:A03p071700.1_BraROA transcript:A03p071700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGALLRSASSSSNTYLSPQLRQTRKDLSSFRPENKLNPGQIRFSSPWFKPVGSVAAKCNMHSYAASGGGDAEAEHPVDDKEFVRWFREAWPYLWAHGGCTFVVVISGDILAGPYCDPILKDIAFLHHLGIRFVLVPGTQVQIDQLLSERGREATYVGRYRVTDAASLQAATEAAGAISVMLEAKLSPGPSICNIRRHGDSSRLHDIGVRVDTGNFFAAKRRGVVDGVDFGATGEVKKIDVDRICERLDGGSVVLLRNLGHSSSGEVLNCNTYEVATACALAIGADKLICIMDGPILDESGHLIRFLTLQEADMLVRKRAQQSDIAANYVKAVGDGSMAYQEPPGNGRAATVLGLTLGVGLGSGEQGFAIGGEERLSRQNGYLSELAAAAFVCRGGVKRVHLLDGTITGVLLLELFKRDGMGTMVASDVYEGTRDAKVDDLAGIRRIIKPLEEAGILVRRNDEELLQALDSFVVVEREGQIIACAALFPFFQDKCGEVAAIAVASDCRGQGQGDKLLDYIEKKASSLGLDTLFLLTTRTADWFVRRGFQECAIEVIPETRRQRINLSRKSKYYMKRLLPDKSGISI >A09g512990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39575651:39585415:-1 gene:A09g512990.1_BraROA transcript:A09g512990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHRRPVSADGHTRTHTDSHIRHVCADGQPQTAMDILYLLADTHGRPVCTEQTAHVGQNHPNSPREGQPTWAKVTQTVHGKGKPDESKDQRADMCTDGQPHTSSTHTDVLCVPRDTHRRPVCADEQPRTSCVCWRTPIDTHGQPQTSCVCWRTATDVLCVLADSHGRPVCAGGQPQTSCVYLTDSPLGPKSPKVSCSRSEIGSLGRAMVRGDAPVSWKSDVGAGRDSGRAWAAQGSSMGAQLMVRPRYGRSVKGLSLGFRVENRQGQEQLEAVEDRLGAVIAKRLQGRERHLFGYNSHPFGPMAVPTPSLYKYRALDPEFDTKFLRGTSAKIVRDKPQKEKREPAIQGENWREAWLIQEKPDRVKQGLRRWIDRLRSRDQYMEPNQHGDQNVLKISTEVHVFHHTDRTLYWTVPHASGWELWLEPWPDDRFHCTGLCLHHPVFHLMKNSRDGIAFGHTNPVLGHCYTFLDSTACTARMSVLELQHYPRPDDGIHRTEAWLYRTVCYSKTNNRARIQNGRTNSSPVHRNSILYSTARTARTTSLRLHQYPCPDYRIHQNGARISRTDWHFKSNGQDRFGFVRVELKIGRDTSKLATLDCPACVLAQSVGHASGHNEPGRNLKGIRCLVFTGEIPTRKPHDHQPLNLHLTLNYFDEGESDPRRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSFSISKKTQGESENCFEEFKDFSDSSPIFDEADEEPIEKLTVLQPEHPSSLVLSQHVFEEEPLDIPHQCPCLDTWISLDEVPEPIFDVEDEPDPVFDEEATSIISTFMESHLCFDSGTTIVPSSPAPLLPDLQEHCEKSELVISLSDMFDKISSLDVIRFGLDKIKENCFSKSIFGNMINSFKIFEPDKFLDQQRFQTDIGISSEIILSFDQSLEQIKVFDHFEKYLELDLKQTAFCATKSFDSFVLKENSFDLNSSRHRLITDDLFASSLDLDDFLIKKMQEQNSLETETGFCELDFCDSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDEILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKILERQVQPLRIESIDRAQQPEFWRSFVETGYLDASDRGSVQERYRNSTKPTHQGFTEAWNRIKIVTDEEVMNLPNRRFFSPSIREYQISKGDSCPIKNRPEPKPILHEPKLTCLMLAHVLDDYPKGLDPDLDVLKIEKPFDYFFGRFDVTDRTLYWTVPHASGSELWLEPWPDDRFHCTGLCLHRPVFHLMKNCRDGIAFGHTNPELGHCYTFLDSTACTARIPTIEPESETDVPILLQSIATQFCAVRTARTTSLRLHQYPCPDDRIHQTGAHISRTDWHFKSNGQDRFGFGRVELKIGRDTLKLATLDCHACVLAQSVGHASGYNEPGRNLKGFSPVKVQRDREKDKEKELAPGERTPKGTLNQGPGRFSIQVLGLWPDCSWSDLDVLDRTWTMVRERHREVSGHGKMCGECVIVDRCEILIAYCATCELMLDQGTKRTKSRKGKESAGGSGPVIGDGANPTQVLPTQTGLGNEETGEPLAMFLPTEVQVDNLGEQQEEVREEEGKG >A07p034880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26272962:26274680:-1 gene:A07p034880.1_BraROA transcript:A07p034880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLDPKTMNEINGDDETELGLRAVRLANYITFPMVFKAAIELGVIDALYLAARDDVNGSGSFLKLSEIATRLPTPPSNPEAPVLLDRMLRLLASYSMVKCQIVDGERVYKAEPICKYFLRYNIEEMGTLASQFILELDSVFLNTWAQLKDVVLEGGDAFARANGGLKLFDYMGTDERLSKLFNRTGFSVGVMQKFLEVYKGFEGINVLVDVGGGVGNTLGFVTSKYPNIKGINFDLTCALAQAPSYPNVEHVAGDMFVEIPRGDAIILKRMLHDWNDEDCSKILKNCWKALPENGKVIIMELVIPDEAESKDVQANIAFDMDLLMLTQLSGGKERTKAEYEAMAADSGFASCKFVCPAYHLWVIEFSK >A09p048350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43117826:43120573:1 gene:A09p048350.1_BraROA transcript:A09p048350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFISLLFLPLLSVFILSGSKQAFASDNTLLLNTDHRNSTAQGGLSSLRGKKQRSGCNLFQGRWVFDASYPFYDTSSCPFIDGEFNCGKRPDKQFLKYSWQPASCSIPRFDGAAFLRRWRGKRVMFVGDSLSLNMWESLGCMIHASVPNAKYTFLKRTPLSTITFQEYGVTLYLYRTPYIVDIAKEKVGRVLNLGAIEGGANAWKNMDVLVFNSWHWWTHKGNSQGWDYIRDGSSLVRDMNRLDAFYKGLSTWGRWVDQNVDTTKTKVFFQGISPTHYEGREWNEPRKSCTGQMQPLGGSNYPSGQPASAGVVSKVLGAMKTRVSLLDITTLSQLRKDAHPSTYGGDGGNDCSHWCLPGLPDTWNQLLYAALSM >A03p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15344910:15345529:-1 gene:A03p036650.1_BraROA transcript:A03p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNESDGNYSANVTISSYVVDHEIEQPWNLAWIWVKKETLFGTLGSEGTQHGFVSFSEDADIGTYTYCLNNVTLDLPRQTNLNCIGGTVILPRFVRDEIAKGSTSFQISVSHYNRGYRAQPPYDVTLSTRGFEYELEYDSMEQVIDTHLNTWSTTCILSISKTKKFHEIHNNNDEL >A03p028870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12150485:12151362:-1 gene:A03p028870.1_BraROA transcript:A03p028870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGRSKVKFMCSFGGKILPRPSDGLLKYVGGETRVIAVSPDITFLELTKKLTEMTENDMVLKYQIIPEDLDALVSVKSDEDLKHMMDEYNRHQETPKLRTFLFPTVQLCSPMEPQTIEQRYIEAINGIVRKIKTRPSFTLSASSSPKSESSPDGYGNEQPEMGGSYQLSRLYPMHRVRSSPNISQQQHNYHHHHHHSAYFQQPNYLTCRLRPPPPPPLDFPRGAGWGDPQGGGNGKYGCSEERRFWGRASSVPQSPRNHGFRL >A05p019940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9337071:9337601:1 gene:A05p019940.1_BraROA transcript:A05p019940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQFTGLIFLCIISFFSIGGNADSSLISDLCKHCDDPKLCLSTIKSRPESGEFAATNNQIEIIAISVASSDASATSAYIKEKLSHEDLEPATEATFEDCQKNYQDAVEQLDDSISAMLADAHAEVDVWLHAAISAIESCATELESRGGNDAELSKRNEVFFKLCKNALVINKMLS >A10p025560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16388445:16396624:-1 gene:A10p025560.1_BraROA transcript:A10p025560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPWFPSNGVSGLSPSHLTSGDSSSPFPLIPPDPPDPDFPPLSSASPKSTRASSQTARPTWPAVKSSTPKTVAGPNSFSPAPVLTGSSKPTDPRSGISFPVNFKILQPKHSSPIQTNKTSSPSSNLPHQTTASLSKPLSAATSNFTLNSEKNPFATVNPNPVSASADPPPATDRKSTTTLPTVNPPTKKAPPTTAEPTQDNPPNQPTASSSPLLEKIRKQEDKSLKRLAPVTLSEKGVPRVLIPDSVFQIGAEIHKDFIICYFNGKTPPYTQIQSVLSHMWGKGKRVEIHMNPLSRSMLVRIPSDYLRQKILEKSAWYVGDSMFQAVQWSSSASTSPPNLESIQIWAHLTGVPLDLRHQQGLSLVAGLVGEPKETDDFTKNLVSLTLSHVKVAVNLTKPLPSVVEFVRQSGEVVEVQVTYPWVPPTCTYCKELGHVSRNCLQAPPAPKSSETPAKKAQNAPSASQKGKNVAAPSVAPTVAHSTSNLPPHSASGSTHASASTSSSSFFQPEVNLPPKPATFATSFQTPRKNFPPSPALAHVPKPLTSPLTSIIPSTPPSPPDTFLTPSLKRPRPDPDQKPFPSFTAQLSYFSTISSSNSLPLALPAPSFVNHSSNPFTVLDPDDPIQMSVHAVTHFQQILGPSPAPSPSPMALETKTHLLEVLQTEILGRLPLKTISRFKSVCKTWKSTIESAYFRRLFLSLNRNSSSSSWSLMYARDELVVFHGHKTWDLPKSRVSLIPHSFRGCYIGDCEYMDSSGGLVLITDVSDKASCYVGSPVTQQWVKIPPPPSDPTGDSRVFGLVTRLDEDGVVLSFKVIRIASYHATNDYLSSDLSVLLYSSETGIWTSKVIHIPHQIGNMSNINLNGTFYFGCLGVLGVLLAHDFYSESDQFRVVQLPDYPDYNKDYKRTLTTSGGFVMYVRTLAKKEETVLKIWRLSNDDDSWQLLWEVGFPITGNYAPVAMHPFDVAAVYLWSRHDHHLVSCNLLKRDYTVLGDASNDGHQDCFIDKSICKLSVDELWRSSSYLDEDLNFQVCIWLFQFVIPRWVESVPRPPQAEMIDTTSLLSYAAATHGRMMKDIEEAEYFWNEDDGKSCIGYEGKGDARVLKVMEFDGMVPSLGLNGW >A07p045140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24515393:24515766:1 gene:A07p045140.1_BraROA transcript:A07p045140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSLFVILLLLLLLHFFGSSNSFRVDLFNDMASGSSIPDCTNACGPCRPCKLVVVTSTCSSSEACPVVYKCLCKGKFYHVPSNA >A05p008570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3533409:3536262:-1 gene:A05p008570.1_BraROA transcript:A05p008570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTPVRRLVSSKAMKCFYFSKDKPQDGAAKTRNFDSLQGSGSEFNSSTSTTTSITSSLHVLSETHSNNLKVFALDDLKTATKNFSRSLMIGEGGFGGVFRGIIQNPQDSRKKIDIAGHKEWVTEVNVLGVVEHPNLVKLIGYCAEDDERGIQRLLVYEYVPNRSVQDHLSNRFIVTPLPWSTRMKIAQDTARGLAYLHQGMEFQIIFRDFKSSNILLDENWNAKLSDFGLARMGPSKGVTHVSTAVVGTIGYAAPEYIQTGHLTAKSDVWSYGIFLYELITGRRPFDRNRPRNEQNILEWIRPHLTDIKKFKMIIDPRLEGNYYLKSALKLAAVANRCLMVKAKSRPTMGEVSEMLERIVETSDEVSPALPLVKSLTPKDAFEASRRERVKKRFVELVTGNINKPNKKRTQHIFFHTLLFISRRAQTLKPLASSWTQRRSYVDVYMKWKKDDYLHGVKGLAVNSNGEKVVCVADKNAMNKRGGGVDHSTIEFPFSHQKKVHKAFERHSQIFLLVDQAVLMKNSELILKSRGSTYRFLKERIVEKDLDFDC >A03p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15144997:15145465:1 gene:A03p036190.1_BraROA transcript:A03p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGAAVAKGKKKGVSFSIDCSKPVDDKIMEIASLEKFLQERIKVGGKAGALGDSVTITREKNKITVTSDGQFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNLYELRYFNIAENEGEEED >A05p054210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32190091:32198505:1 gene:A05p054210.1_BraROA transcript:A05p054210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLEMLGSEASMATETRIKSDLCLEIDPPLGETVATAEDWRRALDKVVPAVVVLRTTACRAFDTESAGASYATGFIVDKRRGIILTNRHVVKPGPVVAEATFVNREEIPIYPVYRDPVHDFGFFSYDPSAVQFLSYEEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTIARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKTSSASAFFLPLQRVVRALSFLQKSIDLCTDKPKAVHIPRGTLQMTFVHKGFDEIRRLGLRTGTEQVVRHASPSGETGMLVVDSVVPSGPADKHLESGDVLVRVNGTVLTQFLNLENLIDDSVGQIVELEIERGGQPLTVNVSVQDLHSITPDHFLEVSGAIIHPLSYQQARNFRFPCGLAYVADPGYMLFRAGVPRHAIIKKVANEDISCLADLVSVLSKLSRGARVPLEYMSHSDRHRKKSVLVTIDRHEWYAPPQLYTRNDSTGLWDGKPAIELAAVSQPIDINGLPISQNIPLCHHDTEPMHEVRGVTDTAATMGASSGDGSLNDFGSEAKKQRVEDDSSDGTVANASLYGSELKSDDAMETDNTVLRDYEGATAFSANASLAERAIEPALVMFENLHCNVEIRNLQATSRKSIVTNPCAALNIGSADSPRYRATNMEVIELDTDFGSSFSGALTDEQGRIRAIWGSFSTQIKYSSTTSEDHQFVRGIPVYAISQVLEKIITGGNGPALLINGIKRPMPLVRILEVELYPTLLSKARSFGLSDEWVQILVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMVLAVDKMPVTCYNDIEAACLSLDKGTHSDENLNLTILRQGREMELVVGTDKRDGNGTTRVINWCGCVVQDPHPAVRSLGFLPEEGHGVYVTRWCHGSPANRYGLYALQWIVEVNGKKTPDLNAFADATKELEHGQFVRIRTVHLNGKPRVLTLKQDLHYWPTWELRFDPETALWRRNILKALK >A04p006520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3488638:3491179:1 gene:A04p006520.1_BraROA transcript:A04p006520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDQIESADMNDGENVRVDAPMEIPKISKLDRRIADPRMMKKRMKPESLTSLNNLDDGCLMHILSFLSPIPDRYNTALVCHRWRYLACHPRLWLRVDRFLKDLSQPGVFHDIESAVSAARPGDTILIGAGGNHLVSNIQIKKPLCLIGGGETPDETTLVCSRGSDSALELLSTCKLANLTVKAELGCCLLHRSGRVTIDGCVLQCETNPLDHLSCPIVSTAGEEEEEEDMLSHVEVKETLVEKIKGNSVSVFQTRIEGGAKAVSTSGDLVLQRVRVMYSKAYLYFWFDVDHK >A01p021970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10840156:10841302:1 gene:A01p021970.1_BraROA transcript:A01p021970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLMEFGRKAMFYVRVLSGYEERRIRNYRLQLEKRIQQAQQRKAEINRLPEKVVLSEVRRMVEQMQNLNKQIENTEAQIEDYFKPIDKQAGTVMEVQLESEKKTMGTMMNATQEETIRKIEEAERLARANATAETNMGEKIQDSESSANEKAQAK >A10g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5554849:5555494:-1 gene:A10g502110.1_BraROA transcript:A10g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGECKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A08p018770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12899783:12901501:-1 gene:A08p018770.1_BraROA transcript:A08p018770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRETLKEVGIVGGLVGAQVIYAGNSEVLSQVLSLGVDPLLVAIFCTFASFLLISPLSFLLEREVWPRSLSFKLKTKLVLVSLVGVTLFQWLFFEGMKHTSASMATAMPNLAPAFIFLIAWATGMEKVKLSCMYSRVKMGGTVLCVMGALIMSLMHSTKATSSSLKALPIVPDDVALDKEKILGCLCLFLSICCLSSSLVLQASILVEFPAPVSMFSVVTLIGGFTTVALQYVVKGSMDMGSASVIGLKNLVGYAVLGGLVSGGGLSFNAWVIKRKGPVVVSLFSPIATVVCVVVSAFSRDDSFNLGSFAGMALMFGGLYFVLWAKGKEESGEGDESKEDEEESVLRTEFDLEKPLLR >A01p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2411773:2413595:1 gene:A01p005590.1_BraROA transcript:A01p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSSGNSSQPQAKKQERKSISGSFKAENLIPGVVIGFIIGMLLDLSQQVKLPVKKSRLLSSKTQNQSSVPSNGSEKELKMVLVVRQDLKMRTGKIASQCAHAATGMYAELMKSDRYRLRQWEECGQPKIAVTCKNQQEMNKIAEAAESVGLPTFVVADAGRTEVAAGSRTVLAVGPGPKQLVDSITGKLALL >A05g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29840857:29846932:1 gene:A05g509940.1_BraROA transcript:A05g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPEKSQSNSNSLQRVKVYHLNEDGKWDDRGTGHVSIDYGERSEELSLCVVDEEDNETLLVHPINTEDIYRKQEDTIISWRDPERSTELALSFQETAGCSYVWDQICSMQRNLHFGSLNSETFHSLNSELKELPAVELTTLPLILKIVTESGMTDQMRLTEIILKDHDFFRNLMGVFKICEELENVDGLHMIFNIVKGIILLNSSQILEKIFGDELIMEIIGCLEYDPGVPHSQHHRNFLKEHVVFKEAIPIKDPLVLSKIHQTYRIGYLKDVVLARVVDDAIVANLNSVIHANNAIVVSLLKDDSTFIQELFARLKSPSTSMESKKILVYFLHEFCSLSKSLQVVQQLRLFRDLINEGIFHVIEEVLQIPDKKLVLTGADILMLFLAHDPNLLRSYVVRTEGTPLLGLLLKGMTEDFGEKMHCQFLEIIRTLLDANALSGGVQRANIMDIFYEKHLPELVDVITSSCPDKSANTSEGAAGRIITKPEVLLNICELLCFCIMQDLSRTSRYHSLSDDYVQSYVVKNNVLKPIMDVFIANGNRYNLLNSAVLDLLEHIRKGNATLLLKYIVDTFWDQLAPFQCLASIQAFKLKYEQCLETARPKSTADAVDPRRRVEERALDKEEEDYFNEESDEEDSASASNTQKEKPDSNTEKDQAEPHLSNGVDASPSSSSSSTRSGGLVDYEDDEDDEDYKPPPLRQSEEDEDELLSLKRKSPLVEREQEPSKKTRLGKRENVFTVLCSTLSHAVRTGKKSQGTSESAAKEPEESRSSEENTRSSSDDEGRKEDDGVACCEHGTSSDNGKLNAEESVVVAQKSSPEMAVNGRAATL >A01g504080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12326262:12327068:1 gene:A01g504080.1_BraROA transcript:A01g504080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVCTEVISDHIRSHLEITSHERNLALYHATKQSKEENLKVHVDINTRVRGRGRINNGTNTVLKKEKEKAKNIKNSLTKHGHGHYHVHDVYGEEYAEQESNWHVYTHGSVTGQPESLSHPGEANCQAPPKIVPPWTKDFPLVSSDYTKRLEPEPLRDTFYGHERTYTLERDVRRDAPSHPPKLHDMSERE >A07g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7976279:7976760:-1 gene:A07g504050.1_BraROA transcript:A07g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQRLLIFRTLNSTLCVLSPKSMSDQVVRVIVIPETLIEHAKKLCQVKPVLEEGGNFSGIYMKVQLKPLKWDDGDEE >A05p012320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5338164:5339270:1 gene:A05p012320.1_BraROA transcript:A05p012320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase ATL9 [Source:Projected from Arabidopsis thaliana (AT2G35000) UniProtKB/Swiss-Prot;Acc:O64763] MAIIYNRWIPRSGSLLLLLLLLHSLPYGSGQPTPPVPQTRASDPVVIVITVLFFVIFSMVFGSIFCRRSSVYSRPSVFGLTDADAAVGVVRIRRSAARGLAPEVIESFPTFIYSEVKSVRIGKGGVECAVCLCEFEDEETLRLMPPCCHVFHVDCVDVWLSERSTCPLCRADLVQKQGDGGSDLSSDPGTVSSGSDPERGGLEASDRHLLDGVTWTNSNNITPRSKSTGLASWSITGLLFPRSHSTGHSLVQPVGDLDRFTLRLPDDVHRQLMKRMTIRNVTLPQARSSRSGYRSGSVGSGTGGVFSYGRKDHNHNRRLHSLSFSFSFRSGSVRSTFGGDTVAPPKNFPAATESGERSFERLRPDERV >A06p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26376312:26377043:-1 gene:A06p050060.1_BraROA transcript:A06p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLRPQGWFKKLETKQQQVATKSKKKDGEENNWEMPDGHVPSWTRYYKRIHKELTGEDYVSDYGDDNLSTSDTVA >A03p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13741539:13744894:1 gene:A03p032480.1_BraROA transcript:A03p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EOL1 [Source:Projected from Arabidopsis thaliana (AT4G02680) UniProtKB/TrEMBL;Acc:A0A178V4A7] MRTFYPSDSCSKESHLNSLNPQSWLQVERGKLSSSASSSASLCRESFIKVPEPQILPHYKPLDYVEVLSQIHEELESCSLQERSSLYLLQYQVFRGLGETKLGQRSLRSAWQEATTVHEKVVFGSWLRYEKQGEEVIAELLSSCGKYSEEFVPLDIASYLPVTSPEAASVKVKRSISRNVVFKIEQERIACDRRKIASLSAPFHAMLYGSFTESLLDEIDMSENHVSPSAMRVVRDFSVANVLIGVSKNLLIEVLVFANKFCCERLKDACDRELASLVSSMECAVELMDFALEESSPILAASCLQVFLYELPESLTDERVVEALTRVNRSQVSTMAGKASFSLYSCLTEVSMRLDPRSDRTLSFLEKVVDFAESDRQRMLGFHRLGCTRLLRKEYREAEEAFETAFNLGHVYSATGLARIGYIQGHKLWGYEKLTSVISSVSPPLGWMYQERSLYCEGDKKLEDLEKATELDPTLTYPYMYRAVKLMSEQNAEAALEEINRILGFKLALECLEIRFCLYLGMDDYEAALRDIQAALTLCPDYRMFDGKVAARQLRTLVYEHVESWTTADCWMQLYEKWSNVDDIGSLSVIYQMLEADACKGVLYFRQSLLLLRLKCPEAAMRSLQLAREHASSDHERLVYEGWILYDTGHCEEGLQKAKESIRIKRSFEAYFLQAYALAESSLDPSSSSTVVSLLEDALKCPSDRLRKGQALNNLGSVYVDCEKLDLAADCYINALKVRHTRAHQGLARVHFLRNDKAAAYEEMTRLIEKAQNNASAYEKRSEYCDRELAKSDLEMVTRLDPLRVYPYRYRAAVLMDSRKEKEAIEELSRAIAFKADLHLLHLRAAFHEHNGDVSSALRDCRAALSVDPNHQEMLELHSRVNSHEP >A03p044390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18531835:18533250:-1 gene:A03p044390.1_BraROA transcript:A03p044390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKVRRFRKSRKPNLEKEQEQARSENTAPGVADSGKANEVEDEEDDDFITNEVKRRIKELRRNSFMVLIPEEDEEGEQEDEEESYLGEDEGEEENCSSVWRDVVAEGLQWWGGFDAVYEKYCERMLFFDRLTSRQLKEIAPSPSTPSASKKKLSSPFRCLSLKKTDVPDEEEVEEEERIEQTTEVDPCQDLETAYVAQLCLTWEALHCQYTQLSHLISCQPEAVTCYNHTAQQFQQFLVLLQRFIENEPFEQQGSRAELYARGRNAMPRLLQAPKIQGSDKKEMEKDTDYMVLADDLIRIIESSILTFNVFLKMDKKKKNSNHLNSTTPLQLVQSSIEKKRVKAKELSKKTKGLRKKSWPQTWEGVQLLFAAIDIKLATRVVRMGRISKEQLLWCEEKMKKLSFSGGKLQRHPSPVLFPSSC >A01p048570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27359912:27362060:1 gene:A01p048570.1_BraROA transcript:A01p048570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRVTMILWYWDPGIREEESLMGRLESEIGIGDDSKWMKVSERGSKRAYNNCGKYRGDGEASRYRPAWREDTRLGAQKQQLQRDVREEVRELVKAQADGTEVILDPIDADQGLAAVLGMQEDQVDLDDEDVMDMDEIKAHLLENGIDMDVEDFLEKLLEEEIEEVVKGQEEEQGPPGGDVVKKQGLRKRLFKPIISTAGSTKMRVFNALASPRKQAAAKTGARQGDNSKQTKSKGTSNPKLGH >A08g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13182322:13183212:1 gene:A08g507470.1_BraROA transcript:A08g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRTPPSIIGLLKSWPGSAFSLISRLGGVGCLTITKWGLLIGLLCLKSLLYLYQIKRCGEMARKICFFKDQISKVGVSPKEFIDKYVYMCLDDVEVKLGELEAELSVIKVIVKTLQWLSTVASLVSYIWQLFQRSIVIVSNIVIYMKDSVTKAFMKAILESFTRVLQGLAFNIVA >A03p011820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4731257:4733284:-1 gene:A03p011820.1_BraROA transcript:A03p011820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLFAVFSSVLLLPEPAFGKTRHYTLDIKMHNVTRLCHTKSLVSVNGEFPGPKLIAREGDQLLIKVVNHVPNNISLHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTVVGQRGTLWYHAHISWLRATVYGPLIILPKHGVPYPFHKPHKEVPMVFGEWFNADTEAIIRQATLTGGGPSVSDAYTINGLPGPLYNCSAKDTFRLRVKPGKTYLLRLINAALNDELFFSIANHTVTVVEADAIYVKPFETDTILIAPGQTTNLLLKTKQSYPKASFLMTARPYVTGQGTFDNSTVAGILEYEQPKHTKTSIKKNLQLFTPVLPALNDTNFATKFSNKLRSLNSKKFPANVPLKVDRKFFFTVGLGTNPCNHKNNQTCQGPTNTTMFAASISNISFTMPTKALLQSHYSGQSNGVYSPNFPWSPIVPFNYTGTPPNNTMVSTGTNLMVLPYNTSVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDAKEDPKNFNLVDPIERNTVGVPSGGWAAIRFLADNPGVWFMHCHLEVHTSWGLRMAWLVLDGDKPDQKLLPPPADLPKC >A07p002650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3694944:3695589:-1 gene:A07p002650.1_BraROA transcript:A07p002650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EG45-like domain containing protein 2 [Source:Projected from Arabidopsis thaliana (AT2G18660) UniProtKB/Swiss-Prot;Acc:Q9ZV52] MIKMVLKFVVTVIVFAQILAPIAEAAQGRAVFYDPPYTKSACYGNQYETMVTGVRNNLWQGSRACGRRYRVRCIGPTYNFPRACTGRSVIVKVVDLCREPCNGDLNLSRDAFRVIANTDAGNVRVEYTPI >A03p032190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13624418:13626735:-1 gene:A03p032190.1_BraROA transcript:A03p032190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSTPQLPVIHLSDQTLKPGSEKWVEVRSDVRKALEDYGAFEVSYDRVSEELKESVLEAMKELFQLPVEAKRRNVSPKPYTGYMTHNGISESLGIQDANVLEKVNEFTQLLRPDCEGNKSTSERIHKFSEKMAELDVMVRRMIEGLEVLTKDEKWIRVKPSHNTFVVIAGDSLHGPGDQPNI >A03p034120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14423301:14425889:-1 gene:A03p034120.1_BraROA transcript:A03p034120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYFPLRWESTGDQWWYATPIDYAAANCQYDLVRELLRIDSNNLMKLTSLRRIRRLETVWDDDESHFHDVAACRSRVARKLLASCDEEGSSKANTLIRSGYAGWLMYTAASAGDLGFVRHLLERNPLFVFGEGEYGVTDILYAAARSKNHDVFRLIYDFAVSPRFETGGFDQQNSDVPAAYKREMKNRAVHSASRGGNLVILTELLSDCSVEDVLAFRDKQGSTILHAAAGKGKTLVVKELVASYGPLIMEAIDSQGNTALHVAAYRGHADLVQALISASPSLVSARNNAGDTFLHAGISGFQTPAFERLDKHTELMNRLITSAASNSPCDLVNCRNNEGRTALHLAISGNVPLEFVEMLMSVKSIDINIKDASGMTPLDLIRHKPQSPTSDLLFRRLVSAGGMFSCRDQSITSVVASHLKDRGNFYSPGARFRTSDAEIFLSTRLEAVPDKVVPRHVRSSSCSIEIGQANVTDENHHLKKCRNASVNSATERLKSVFHWPRIIKKQAGHSKNDSEISITSTALETQETTPVPLRQRFSKSSTSSPSPALPNNNKRTLSVRSNQSSPRAKKKRFGSVRTRSSSFSKISIHSSSASSSSSMVDIKQKGVLVDTNIAGPSGFNRPEPVKSNKLKEKQHGSVRRRLKSHYFCFGTSALTVKTPATVLV >A03p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18087318:18091220:-1 gene:A03p043260.1_BraROA transcript:A03p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNFEETNKLPELKLDAKQAQGFLSFFKTLPNDSRAVRFFDRKDYYTAHGENSVFIAQTFYHTTTALRQIGSGANALSSVSISKNMFETIVRDLLLERNDHTVELYEGSGSNWRLVKAGSPGNIGSFEDVLFANNEMQDTPVVVSLFPSFQESRCVVGMAYVDLTRRVLGLAEFLDDSRFTNLESSLVAIGAKECIFPAESGKTNECKSLYESLERCAVMTTERSRQEFKGRDLESDLKRLVKGNIEPVRDLISGFEFATPALGALLSFSELLSDEGNYGNFTIRRYDIGGFMRLDSAAMRALNVMESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLVDLNEITMRLDIVQCFVEEAGLRQDLRQHLKRISDVERLVRSLERRRGGLQHIIKLYQSTIRLPFIKTSLQQYTGEFSSLISKRYIKRLEALSDADHLGKFIDLVEYSVDLDQLENGEYMISSSYDTTLSSLKDQKELLEQQIHELHKKTAIELDLPVDKALKLDKAAQYGHVFRITKKEEPKIRKKLTTQFLVLETRKDGVKFTNTKLKKLGDQYQSVVDDYKSCQKELVDRVVQTVASFSEVFEELAGMLSEMDVLLSFADLAASCPTPYCRPEVTSSDVGDIVLEGSRHPCVEAQDWVNFIPNDCRLMRGESWFQIITGPNMGGKSTFIRQVGVTVLMAQVGSFVPCDKASVSIRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHLVQVKKAPTLFATHFHELTALAQANSEVAGNTVGVANFHVSAHIDTESRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPPSIVINNEESGKRKSREDDAEEVSGGAARAHKFLKEFAEMPLDKMELKDSLKRLHELKEELAKDDVGCHWLKQFL >A10p035560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20382270:20383033:-1 gene:A10p035560.1_BraROA transcript:A10p035560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-YB12 [Source:Projected from Arabidopsis thaliana (AT5G08190) UniProtKB/TrEMBL;Acc:A0A178UHP2] MDPMDIVGKSKEDASLPKATMTKIIKEMLPADVRVARDAQDLLIECCVEFINLISSESNEVCNKEDKRTIAPEHVLKALQVLGFGEYVEEVYAAYEQHRYETMQDSQRSVKMNSGAEMTEEEAAAEQQRMFAEARARMNGGGGGVSVPQPDPEQQVDTQQQSNLQS >A04p039580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22406134:22406706:1 gene:A04p039580.1_BraROA transcript:A04p039580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFHPTSNVEQSNLSITDYRVCRCRTEASLKNKQKLKKKSKNKKTWRSRGRSGKSSRALTRGKSPAWQHMVPSDHTRAA >A04p007110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9035289:9036115:1 gene:A04p007110.1_BraROA transcript:A04p007110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKLLTREMVNSPHHRSYVYNLQMADLESFIMEGKIFKLTNEAYLTLGLREQMPHPCWLYIRHCIIISSIKLSGPCGPMAVDILWMQIYFGFNHSSAETKSHCSVYHGCGYVCMNTWERSYRGLLHFHPGVRKTNEYQIAWKKNPSSVLLTSHQAYTKLIVIITSNQNFNKK >A09p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3249942:3251156:1 gene:A09p006100.1_BraROA transcript:A09p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNYISRHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEECLIIELHAALGNRWSQIATRLPGRTDNEIKNFWNSCLKKKLRRKGIDPTTHKPLISDLQTLNVINQKLTSSEVLKLTGLLNNPHDQSMIVSSQPGSWWFPNQNAAFCLSSNTVSDQIVSLISSMSTSSSTTPITSLNPVPELNYCNNTVPSPTNSIYSAFFGNNYTEASQINNNNNNHVVNHPHDQDMKSWASEILHYNQSSETGLEAEVKPDIAKYYWRSASSSSSPNENAEALLHDADIEWYAKNLQKVHNMAFDQSL >A06p024150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15626580:15627662:-1 gene:A06p024150.1_BraROA transcript:A06p024150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKAKRTFRTIVYKIEDKQVIVEKLGEPEQSYDDFAASLPDNECRYAIYDFDFVTEENCQKSKIFFIAWSPDTAKVRDKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVFKSRTN >A07p050210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26766445:26769254:1 gene:A07p050210.1_BraROA transcript:A07p050210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSAIADVHRVIDPKIWRACAGASVQIPALFSRVYYYPQGHVEHCCPSSSAVTASPIACVVSSIDLLADPITDEVFAHLTLHPAAAAAAQAQFQFPPQSRFEEEDESEKVVTFAKVLTASDANNGGGFSVPRYCADSVFPPLDFQADPPVQKLFITDVHGGVWDFRHIYRGTPRRHLLTTGWSKFVNSKKLICGDSVVFMRKSVHEMFIGVRRAPISNKSGGGSYYGDEYFPGGYYGVKKEDGGEKFRRVGMGKLTAEAVSEAIGKASRGLPFEVVYYPTAGWSEFVVRAEDVEASTNVYWTPGTRVKMAMETEDSSRITWFQGIVSATFQETWKQLQYPHASSGVLSGEEGEMIYYGRGQQTMDPIPYGYTYTTVPAGMQGARHYEFGSYNNSTGFIGENAHPEFNFFSPLPGLGRVSTQMMSFGSPPSDDLSPNSNTTNVSSGNDAAGNSRGISFQLFGKVINVQEPAESGVAESSLCEEDGSKESSDNEVPNEAQLLGRGGEGNERMLESVHPK >SC325g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000170.1:6453:7262:1 gene:SC325g500010.1_BraROA transcript:SC325g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVKNGYDKFKHSKRSKKKIRVSPKSTFRNRFFLTKKLGGRKNRDVRRKLETGRYAATERPSRSRPSACPARSLRSDRARAKARSLRSDRAIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPSARPARSLRSDRARAKARLLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSVAT >A03p007730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3147607:3149109:1 gene:A03p007730.1_BraROA transcript:A03p007730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGKNGKDGGGDEEEDQIPLVLTEEQQRYVDELGRKATTLSRSIQDLRLRLPPPDISQRLPHLLAHSLASNAALALQLDSHSATREQAQVREQTLLEENSAYENAISICEARIEEKTHEADSLLRKLKELEDVEESLKAEQEDAQASLDERYYKSSSESRVPPADDTEAVKSVMLEKLESKKNDLSSMEEKVQELERSWAAIQERALKQPSPAQREKTLDKQLHTLIEQLAAKQAQAEGIVGEIHSNEMELERLNSLWRRYESFNVEGNAARNRFKRTNSDRGFGSDHEVDASHSYLPYSSATRNESQTRLMYLRSAFVVYILALQVLVFIKISF >A10g503660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9361956:9362268:1 gene:A10g503660.1_BraROA transcript:A10g503660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPIDSVCPELPPFFTITTRRNKIQESKLEKLCNLFYNKLSECCFMLKPTILTRSYWPWM >A09p006390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3349853:3352565:-1 gene:A09p006390.1_BraROA transcript:A09p006390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKPNAPPKATRQPAKQKFSSAERSSLYASREAAKVLGTVLRGDSERRAVASIKSLVFSPSVRNKRGTFALVCETLKHLTVIKEVLEIANVLNSKWKRQEPLVYIICYDILFGKEPPLIGDAEKFLMKRKDALVSSLATLLVRKKAETVDELLGVSQVNGPLKPRYVRVNTLKMDVDSAVQELGKLYKVQKDETVPDLLVMPPGSDLHSHHLVKNGRIFLQGKGSAMVAAALEPEADWETIKLSGATNIEVFHGDFLGLAPEDPSFAKVRAILLDPSCSGSGTITDRLDHLLPSHSADNKNYDSIRLHKLAVFQKKALAHALSFPQVERVVYSTCSIHQIENEDVVSSVLPLASSLGFELGTPFPQWQRRGLPVLAGSEHLLRMDPEEDKEGFFIALFTKTNKLDDLKSSEVSERECRRRRRQGHPFLWPKVFFRAWNGRMR >A08p013010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11659551:11660096:-1 gene:A08p013010.1_BraROA transcript:A08p013010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSGRVKLKDKTGSSSAQTLGLNSDIKESGRISQIIVTVLNLKCTEKVYSRAIVRTCSQVLIYNIIKYGSINHVKSYYKPVFQNIPV >A05p042410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25661033:25661989:1 gene:A05p042410.1_BraROA transcript:A05p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGGRRIGVAVDFSECSKKALNWAIDNVVRDGDYLILITVAPNMNYEEGEMQLWETVGSPLIPLSEVSEASVMKKYGVKPDAETLDIANTAARQKSITVVMKIYWGDPREKICEAVEHIPLSSLVIGNRGLGSLKRMIMGSVSNHVVNNVACPVTVVKAHH >A03p051850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20357605:20362050:-1 gene:A03p051850.1_BraROA transcript:A03p051850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSPTPFDHQNTEPKKTFTTSLIPLRSNHFKEDTYFVSELKPSEAKSLQDLKHKLSTSSLAASSSMWGVPLIGGDDRADVILLKFLRARDFKVGDSLRMLEKCLEWREEFKTEKLTEEDLGFKDLEGRVAYMRGYDKEGHPVCYNAYGVFKEREMYERVFGDEEKLNRFLRWRVQVLERGVKLLHFKPGGVNSIIQDNYPEMVATKIFINVPWYFSVIYSMFSPFLTHRTKSKFVISKEGNAAETLYKPEDIPVQYGGLSRPTDSQNGPPKPASEFSIKGGEKVNIQIEGIEGGATISWDIVVGGWDLEYTAEFVPNAEESYAIVVEKPRRMKALDEAVCNSFTTGEAGKLIISVDNSLSRKKKVAAYHYTVRKYTTAV >A09g502430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8235140:8241171:-1 gene:A09g502430.1_BraROA transcript:A09g502430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLGKIEIDPTIYAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRSGTQRLRGVAPGGRSHARFVQWSPFYLFRAPNNLMWPLGKIEIDPTIYAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGLQITSCGTPVPNRDSCMQNAT >A09g516450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48630249:48631852:-1 gene:A09g516450.1_BraROA transcript:A09g516450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEDEQAHMECNRKASEPTTVPASHNPQRSSVSSKSKKQDKGTASSTEKGSVPNQARPSSAKRSDRAIVPLGRYAATELKPKLGCYVATERSSRARAKARSLRNDRAIVPLGRYVATELSQARSLRSDRAIVPLGRYVVTELEPKLGRYVATERSSRSVAT >A02p000420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:235571:238376:1 gene:A02p000420.1_BraROA transcript:A02p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPIQAFLIALLFSSIASAAIVEHVFNVEDVVVKPLCQEQMIPSVNRSLPGPTINVREGDTLVVHVINNSTYNITIHWHGVFQRNSPWMDGANMITQCPIQPGYNFTYRFDITGQEGTLLWHAHVVNLRATLHGAIIIRPRSGRPYPFPKPYKEVPIIFAQWWNVDLAVLQLRPAPIADAFLINGLAGDTYSCSKNRMHNLKVVQGKTYLLRIINAALNTHLFFKIANHNVTVVAIDAAYTTPYVSDVMILTPGQTVDALLTADQPIGLYYMTISAYISAHPIVPVPTDRTINGLIVYEGAALNTSPAKTTMPEGMNQISTAHRFSSNITSLVGGPHWTPVPRHVDEKMFITMGLGLDPCPLGTKCIGPLGQRYAGSLNNRTFAIPQTLSLQEAYFHNISGIYTDDFPNQPPLKFDYTNFEVRGDNEYKMLFPERKTSVKKLKFNSTVELIVQNTGIITSESHPMHLHGFNFYVLGYGFGNYDPINDARKLNLVNPQMRNTVGVPPGGWVVLRFIANNPGAWMFHCHMDAHLPYGIIMAFIVQNGPTPETSLQPPPSNLPQCSRDPKIYESPTTNVDLSY >A02p047550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29650930:29652016:-1 gene:A02p047550.1_BraROA transcript:A02p047550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTVIGLPPYHVFSSEKLEDTTNDFGAASLFCEQGRHSSESERHQNEAEKSLPQSLPQKMEVLSNLRHLHLLENGFRDKPSVMSLLADPSIRGSYAHESAEDGRR >A10g506720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19677950:19678309:-1 gene:A10g506720.1_BraROA transcript:A10g506720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVRRRTVKRRRNEPSIGQTKTTSFKLVHTPLFIYPQNLPSLPVVRELLCVSSRSVRFRTSIYRSPYRFCCPYAVLLSDL >A03p020110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8248415:8250613:1 gene:A03p020110.1_BraROA transcript:A03p020110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSKAIISRFQDYLRINTVQPNPDYYAAANFIKLQAQSISLQYQPIEFVQGKPIVLLKWAGSEPSLPAILLNSHVDVVPFEAEKWDHLPLGAEIDEDGRIYARGTQDMKSVGMQYLEAIRKLVASGYKPLRSVYVTFVPDEEIGGADGVGRFVESLPSPTESYRVFNGERIPWSLQIKAVGQPGHGSKLYDDSASENLTKSIEISVNMVFLKAGTPSPDGFVMNLQPSEAEAGFDIRIPPTADLVALEKRLVEEWAPVARNMSYKLWRFDQNLSGKQLLTGNDNSNPWWGLLQNAVNEAGGSTSEPEIFPASTDSRYFRKAGLPAFGFSPISNTPSLLHDHNEYLSQAEYLKGIDMYVSIIKAFTSYSSP >A10p013360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4844378:4853213:1 gene:A10p013360.1_BraROA transcript:A10p013360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFKKSYLEPKPVLDQLPTKAGSDVASPYQSTTIAIAISGSSKSKKIVKWAVEKFSSDKNVVFKLIHVHLKITSVPTPSGKIVSISEAPEDVAATYRRQVMDETKETLLKPYKRMCERKKVAVELQVLESNSVAVAITREVSKHLISRLVVGRSSHVGLYGNRDVTAKIAAYVSNLCTIYVVSKGVYIISKHSSSDVQMNETITDSGSERTDTSSCSSGSGHTSDAMSNAPKSKSLAMSDKRLQHLPTIVRGVSVRMETSSVDSYGTASMFSDAEKEVSKRSSPETSRTVSWNPPRSYMSSNDNVTQSEDYFTDNQDTFQEIRKLRDELRRAQGMYELAQVEALDASRKLNELHEFEELTLMEHVTKALEEKETPTFEQMRREARDFAHRREAEMKATSEAKEKEKLKESSLVAPKLQYQEFTWEEIKTATSSFSKDLKIGMGAYGDVYKCNLHHTIAAVKVLHSPESTLSKQFDRELEILGKIRHPHLVLLLGACPEQGALVYEYMENGSLEDRLFRVNNSQPILWFVRFRIAWEVASALVFLHKSKPTPIIHRDLKPANILLDHNFVSKVGDVGISTMIQVNPLLTQFTTYKQTSPVGTLCYIDPEYQRTGRLSPKSDVYAFGMIILQLLTALPAIALTYKVEMAMENNDDEELIKILDKKAGDWPMEETRKLAALALYCTEIRAKDRPDLENQILPTLESLNKVAENARTFISYEPKQPPSHFFCPLLKDVMNEPCVASDGYTYDRRAIEEWMADHRTSPVTNLPLQNINLLPNHTLYAAIVEWRCKNPKICVFLIDSDPPMKKICVIFLVLVSFFFSSSACSDETSLLPKSSILQYPSEHKKVDGEEVNLYCTSWRFAAETNNLAPWSTIPAECADYVKDYVMGRGYATDLERVSEEASIFASSVEFSGDGKDIWVFDIDETLLSNLPYYIDHAFGLELFDHSEFDKWVERGVAPPIAPSLKLYQRVIALGYKIFLLTGRKETHRLVTVENLINAGFQNWDKLILRSPDEQHKMATLYKSEKRDEMVKEGYRIRGNSGDQWSDLLGSSISQRSFKLPNPMYYIP >A05p009810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4099743:4101764:1 gene:A05p009810.1_BraROA transcript:A05p009810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLLTIFFLNLLFQETSSQRTNFTYNGFSPLPTDLSLQGITTVTQNGLLLLTNFTVQKTGHAFLTKPIRFKDSPNASAFSFSTTFVFAIHSQIPILSGHGIAFTIAPQPSLPDATASQYIGLFNILNNGNATNNVFAVELDTIRSTEFNDTDDNHVGIDINSLQSESAAHAGWWDEKGEYKNLSLISRKPMQVWVDYDGRTHKIDVRMAPFNEDKPRRVLVSAVRDLSNVLLPDMYVGFSSATGSVLSEHYILGWSFGVNMDAPSLPLSRLPKLPRFVPRKISDFFKIGMPLISLFLIFSVIFLVCFIVRRRRKFAEEHEEWEKEFGKNRFRYKDLYYATKGFKEKDLLGSGGFGSVYKGVMPGTKLEIAVKKVSHESRQGMKQFVAEIVSIGRMSHRNLVPLLGYCRRKGELLLVYDFMPNGSLDRYLYNKPEVTLNWKQRINVILGVASGLFYLHEEWEQVVIHRDVKASNVLLDGDLNGRLGDFGLARLYDHGSDPQTTHVVGTLGYLAPEHTRTGRATTATDVFAFGAFLLEVGCGRRPIEIQHETDETFLLVDWVFGLWNKGNILDSVDPNMGSEYDQKEVEMVLKLGLLCSHPDPRARPGMRQVLQYLRGDAKLPDLSPLDLSGSGMMLGVQDGFSELGMSYSSSAFKGFTGGSSIADSLLSGGR >A04g508340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21798376:21799734:-1 gene:A04g508340.1_BraROA transcript:A04g508340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHEQDKGFFSHHNHPGHGYPPGAYPPPPPGAYPPPHGYPQQGYPPQGYPPQGYPPAAYPPPPGAYPPAGYPGPHRPGLGGGVGGLIAGAATAAAAAMGSHHAGHHGGYGHHHGGKYKKGFFGGGKYKRGKHSMFGGKHKRGKHGMFGGKRGKHGMFGRRKWK >A01p010360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5064721:5065329:1 gene:A01p010360.1_BraROA transcript:A01p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGAWMWNHSKNEELEDDDESWEVKAFEQDTKGNIYGTTWPPRSYTCNFCRREFRSAQALGGHMNVHRRDRASKAHQGPAVRSGGGSGGGRTTFLSSCVPPSTTRIIQSTASNSEGFSHFNQLQNPNGMFGNSSDMVNFYSTTPFPSSNLEFSLLNSSVEVPPRLIQYPTGDDEKAGSMKETTRTSRNEPDLELRLGHKPP >A06p024960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15026933:15031471:1 gene:A06p024960.1_BraROA transcript:A06p024960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIISFVGWLIQKVQKALLQSDVQSKSDKSPVTVAYYGSQAVVSLLLQRELSSEPFSFVAEEDSADLRKDGSQDILERITKLVDDTLATEDILKPIDSTLSTDDILRAIDCGIKMCSFLPIRGGQQVRVSSRHRVSDHRFPHHHALMRMNLRKSVFSKRWWRCFLRSVNAAYGKIKAMLSTLGDPFTRIITPKQLQSLTLPSPNPRSNLFASEEPLSPLLLASSAFGIPDCVIHGFTPANRASHYQNDLKAGSIVRLDRFEVARVAHMYKIAEHQFLIRFIPSTRIVEVQTDAHVIKFDKFMVRRYDHLQVLANTNLELPDVVGEIHSVQGSDLKNSAATSRVVVRFLVEPYLKLYSNCFHDRNVTVYLSLWDEAASTFRGFLKAEDKSHSVMLVTTVNPKLFGGNMYLNSTQGTKFFFDTNIPEITYVGATTAHAYTCVDTLQGIKKKELVSIRDLNSFISNSTEQIQEADFLCKAQIVSVIQENGRCETPDVTGVVRFRVELAVDDGKDSATFVVFDKEMTKLTKHEAAVLALDEDSNGGEDYLPSCLKELTGKEFVFQIRVTPFNFTPNHRTFTVSTITEESTTANHSKEHIGNILPNRGGDLGLAASSSGPSVLGDKIGENADAEKNRKRGRE >A10p016960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2701560:2701808:-1 gene:A10p016960.1_BraROA transcript:A10p016960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDALLHGLALGFTSIWLRSDVQALVTTITTKRRPTELYGVLSDIDSISSFFSVCRFSYISRSLNGPADSIAKAHLCNVPLS >A03g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23842216:23842902:-1 gene:A03g506630.1_BraROA transcript:A03g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFRTEGVSSMSCRSGGSLSFKGNVSIGCLAFGSSPVSVHGDVRLARLHRLGVWVGFFLRSLWVLILSFGGRGSSDKCGLGAEVEGTRLLGVMMCYAYMLNVPGSTVNLVLCFFVSHLCVGSSRPNVGSSCRFLWALGSGVI >A03p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2617223:2623886:1 gene:A03p006170.1_BraROA transcript:A03p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REANMKPISNNNERWEEKLKDLTFNVKEIQDKLLEEILTPNLKTEYLQRFHMDRFDKQLFKKNVPVVTYEDIKPYIDRVVNGESSAVISARLITGFLLSSGTSGGAQKMMPWNHKYLDNLTFAYDLRMHVITKHVKGLEEGKGMMFLFTKQEAITPSGLPARVATSSYFKSDYFKNRPSNWYYSYTSPDEVILCSNNTQSLYCHLLCGLLQRDEVVRMGSIFASVMVRAIKFLETYWEELCSNIRTGRLSEWITDLGCRSSVSLVLGGPRPDLADTIETVCNKSSWEGIVKRLWPNTKYIETVVTGSMGQYVPTLNYYCSDLPLVSTTYGSSETTFGINVDPLCKPEDVSYAFMPNMSYFEFITMDGDKREVVDLQDVKLGCTYEPVVTNFSGLYRMRVGDVLVVTGFYNNAPQFKFVRRENVVLSIDSDKTNEEDLFKALSQAKLVLESSDLILVDFTSYADTSTFPGHYVIYLEIKEKEGENKKNNVELSEEVFSKCCSVMEDSLDNVYKRCRFKDGSVGPLEIRVVRQGMFDSLMDFFISQGASIGQYKTPRCIKSVKALEFMEECVVARYGKGLVPPETSAAENPSWNESDVTAMISSLSRAIEYPTADGHDPVKEELDKSDQLQQDQDQPRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEDAALAYDRAALKFKGTKAKLNFPERVQGPSTTSYVASQSGTDHAPRGGSELMSSPPQLGPSSTTTTTTSWPVNYNQDILQYAQLLTSNSDVDLSYYTSSLFSQQQQPFSTPSSSSSSSLAFQQTQQQQQQQREDEKSYGYHYYNYPRE >A09p070410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54582562:54585298:-1 gene:A09p070410.1_BraROA transcript:A09p070410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAEKAIKKLNFTHLNGKMIRVTHSTRDNAARRSGVGNLFVKNLDKSVDNKTLHDTFSEFGNIVSCKVATDHMGQSRGYGFVQFESEDSAKTATEKLNGSILNDKEIFVGPFLRKEERESASDKTKFTNVYVKNLSETTTDDELKAAFGQYGGISSAVVMRDGDGKSRCFGFVNFEDAGDAARAVEGLNGKKFDDDKEWYVGKAQKKSEREVELSRRYEQGVRETAGNGFDGLNLYVKNLDETVTDEKLRELFAEFGTVTSCKVMRDPSGISKGSGFVALSAASEPSRVLNEMNGKMVSGKPLYVSLAQRKEERRATLQAQFSQMRPAFVPGMSPSVPIFPGGGAPGIGQPMFYGQGPPLIIPHQGGFGYPPQMVPGMRPGFFGPMMQQGPRPGDGPMRHQPQQPMPFMQPQMMPRGRGYRYPPGRNMPEDLMPGGRVPLPFDTNGVPLGQHLDDDALSSSLASSLAQASPAQQRTLLGESLYPLVNLIEHVNAAKVTGMLLEMDQTEVLHLLESPEALNAKVSEALDVLRNVNQPSDLLAA >A03p030470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12792827:12796862:-1 gene:A03p030470.1_BraROA transcript:A03p030470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIANSTDFMVENAVCDFPSTPEEERRIVSELTTESEDNLKEGNLYFVISKRWYTRWEKYVEQPTTNGCESPRPGPIENSDIIENTTSSDDPQLRRLLVEGEHYVLVPQQVWNRLAEWYSGGPPIPRKLICQGFYSRSFSVEVYPLCLLLTDARDDSITAIRLGKQASIKELYEKVCAMTEVSQEKAHIWDYFGKRKGQLLDPSSNKSLEESSLHMDQDILLEVDGSSSSHIAMSSAGNELALVPLEPSRSLVTIAGGPTLSNGHSTTSKFSLFPRITSEDDGCDSLSILGKGERGGLAGLSNLGNTCFMNSALQCLAHTPPIIEYFLQDYSEDINRDNPLGMCGELAIAFGELLKKLWSSGRNAVAPRSFKTKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNKVKRKPYIELKDSDSRPDEEVAEELWNYHKARNDSVIVNVCQGQYKSTLICPVCGKISITFDPFMYLSLPLPSTLTRQITVTLFYCDGSHLPMPYTVTVPKYGSCRDLITALGTACCLTNDESLLLAEIYDHKVFRYFENPLESLNLIKDDEHIVAYRMKQMQKGSGKAKLEILHGGQERAVLESVRGRDVKLFGTPFVTYVNTERLSGPDIDAVISGFLSPLHRVQASSKIHNGVENGHLPNADTVEASGSITSPDTEIEDACDRELSFRIFLTDERGLDFKPLKSDSSLKPGIVTRVLVEWNEGEHENYDSSYLNDLPEVHKTSFSAKKTRQEAISLFSCLEAFLAEEPLGPDDMWFCPGCKEHRQANKKLDLWKLPDILVFHLKRFTYSRYLKNKIETFVDFPINDLDLSKYVKNKNGQSYLYELYAVSNHYGGLGGGHYTAYAKLIDDNKWHHFDDSHVSSVNESEIKNSAAYVLFYRRVGSKIESQTAEVSMADMD >A10p020100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13654690:13656053:1 gene:A10p020100.1_BraROA transcript:A10p020100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCSHCGNVGHNSRTCSSYKTRVIRLFGVHVDARGSSPPPPPPPPSSLLAAAMKKSFSMDCLPACSTSSSSFAGYLSDGLTHRTPDRKKGVPWTEEEHRTFLIGLEKLGKGDWRGISRNFVVFAGNFEEDSTIPGNDRIGSTTDVVWKQGSVNPCLGYQDPEVSEPGDSGELDLDLKLASLRTSESNIRPISVT >A05g503500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10283122:10283298:-1 gene:A05g503500.1_BraROA transcript:A05g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTTDSLKTDTVKLINTATTCLRKTKEKLDEEDDELVKDGHRETHEYGDGGSPRERR >A10p003720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1853974:1855839:-1 gene:A10p003720.1_BraROA transcript:A10p003720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHDWSLTGLVGAFLNLSIAYLLLCTSLFIHVVLRSLGLLGFSLPRFHNGRFGDPNMCIEGDLLACASEKISSVDRLIKSKIPFGSITSDDDSKRFVEMKLVRKNSGDVSNARVKDETEEDASRDEQNVMNLLTVQGVKGKRFVTRRPRKGLKNPRRCRVDYGSLGSVSSSEAFDETVKHPLMQPYDNVFIRANDGRKDDVKTEERTSPSHWPELEKTVSLNSSASLCFVRNVEENSVKELEEALKKERAARAAVCVELDKERSAAASAADEAMAMIHRLQDEKAAIEMEAMQFQRMVEEKSTFDAEEMVILKDILIRREREKHFLEKEVEAYRDLLVEAEESECSLPKENQNKEPPQERRALLVQEHDGTVLGMPYREDKNRYLYTSDSEVAYSRVRDVYMVKEEKENVGKKKNLEESSANNGFIVSGIARKLPPLCRPRKQSLSSSGSRRKSMSAVDYERLKIENEVELLRERLKAVQEERKELTRRASLPPLSSKVRVASKNRGWRRSSVDLHSS >A07g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9955286:9955865:1 gene:A07g504760.1_BraROA transcript:A07g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEERREMKRQKEHYMMLQCAADAQYGIPTRCLCGSRIINEVRGKEEYDNLLGKRFFTCKNYEDDGLHFRHPWVFGVQEEIESLTKRVKESEEVILLVAKLNKQIETLAEQVHDLNVKVRVLQKVCFD >A01g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24231231:24232561:1 gene:A01g508950.1_BraROA transcript:A01g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHQDKSSCSLLGVSPSLISDAATDAFSGRNFPVNFPAKLKFGKARTKKISEDDSLEDTASSPVNSPKVSQVEHIQTLPRKTEDYVSSSFVMRTTRGMVDNQIQIQEGYEQSMTMTRNLGEGNINNNNNNMDLRSRGLCVVPISMLANFNGRF >A08g500910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2342340:2344039:-1 gene:A08g500910.1_BraROA transcript:A08g500910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSTTTFPRSPLSFISPHSLSHLKLSSPKLVTPTSLRTDDDDDEDDMSISSGSDAVGGGGVVRRYYDEEEVFGPTKPTSKSNRGVLNDKNLRIEVPFANRRVTDGESRLRRFAMANSTPGSYLRDERPHTLSSKGSVYWDSNEDIGTPSAPPIMDIGEDDNIAELEKEIEHIEDEICREAGVESHHQQLNIGCIAGDTVSHLYPEFSESARETQTEEAAQIEDISSDELNCHSVRLTTLFRNLQRKRFEMRNLDDEGFLSAQAAIDAIKGTILHQRWLAALMNISVESVPPDLHLSHFSFYVEIRTDLVNTVNEWNRQAGSPTPPGNVSENERRTGLYDYQSMINILRQETWKDNIEAGNI >A03p033380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14128348:14128665:1 gene:A03p033380.1_BraROA transcript:A03p033380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKIQLACLILAFFLLFSRSTATCHYRFPPSGPCKHDDGCKNVCTKPPEDPNFLACITSGLMFGKCCCLVRP >A02p005940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2539145:2539366:1 gene:A02p005940.1_BraROA transcript:A02p005940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSKSIKVIVSLAFVVFLAFAATKIEAARTIDYAALDKDHALACDKLNPKTCKKQEMSHYSKGCEQSEHCRT >A04p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11735540:11736449:-1 gene:A04p019580.1_BraROA transcript:A04p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSILGDLPSFDPHNFSQHRPSDPSNPSKMVPTTYHPTHNRTLPPPHQVITTEVKNILIRSFYQRAEDKMRPKRPASEHLAGEHGNKHFRASSSAQGL >A07p046160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24962844:24965063:-1 gene:A07p046160.1_BraROA transcript:A07p046160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNISLRFKFPPLQSSTFNHTCRKPFSIACSKAGDDGGKGAVDGGKKPRKLSEQSSWEVKDSEGKDYLYRLGAESENMNIAVGARAGMIDDVFIGDFLGKDSDIVFDYRQKATRSFEHLQGDYYIAPTFMVITCDKVAVHIVKNYLASSLNIKIPLILGIWGGKGQGKTFQTELIFKTMGVEPVIMSAGELESDRAGEPGRLIRDRYRTASQVIQNQGKMSVLMINDIDAGLGRFGKIVKIPDNLKLCCDLFPIIYKMTLTEFLALCLGETQMTVNNQIVVGTLMNLADNPTRVSVGQDWRDADTVNRVPLIVTGNDFSRLYAPLIREGRMEKFYWQPTREDIVNIVSRMYEKDGISRKDVVSIVDQFPNQALDFYGALRSRTYDRSILKWVDEVGGIETLEKTLLRRKKTKEVPQFIPPEQTVEALLESGYSLIKEQKLINETKLSKEYMKNIDD >A05p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10465036:10465512:1 gene:A05p021930.1_BraROA transcript:A05p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMPTYKRAYPVYWVVLQAYSTHRVFFFGRWKPNRSFKVCDDKVDIQSLCCGGIVVSDANNGSSKEMSDCCVVGLWMKTCSVHRGTTPKWVVGGAV >A01g510810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30122071:30124132:-1 gene:A01g510810.1_BraROA transcript:A01g510810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQIHLLEQEAYTAVLRAFKAQSDAISWDKESLITDLRRELRVSDDEHRELLSRVNKDDTIQRIRDWRQGGGSQVPSRHATNQGFDVVPSPTFSASRKKQKPFQSYPSFGAAGNRSFNSRVVSGGISANESAEALIGRKVWTKWPEDNNFYEAIITQYNAAEGRHALVYDIHAANETWEWVDLKEIPPEDIRWDGEESGVALNAGLGSGSIRGNRRNYQSNIGRGRGPRIHQPRREFLPTQQNGGGGGDRITSSDDIELFNTDSLVKEVERVFDTAHPDPFELDKAKKMLKEHEQALIAAIARLADTSDGELGHYKHKPTISGKNVDKHFAQSMEIHQIRMTIQWHRDDGKAVCNINGVWLSSLDLEINNVGNLTKKMGD >A07p007220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1132273:1133886:1 gene:A07p007220.1_BraROA transcript:A07p007220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVSERIHVCFFPFMAHGHMIPILDMAKLFSSRGAKSTIITTPSNSKILEKPIEAFRNQNPGLEIGIKIFDFPSVELGLPEGCENVDFITSYQKPDSGDLFLKFLFSTKHMKQQLESFIETTKPSCIVADMFFPWATESAEKFGVPRLVFHGTSFFSLCCSYNMRTHKPHKKVATTSTPFVIPGLPGDIVITAEQANVADEETPMGKFMKEVRESESISFGVLVNSFYELESTYADFYRSFVAKRAWHIGPLSLSNTEIAEKAGRGKKASIDEQECLQWLDSKTPGSVVYMSFGSGTNFTNEQLLEIAAGLEGSGQNFIWVVRKNDNKGENEEWLPEGFEERTKGKGLIIRGWAPQVLILDHKAVGGFVTHCGWNSAIEGIAAGLPMVTWPMGAEQFYNEKLLTKVLKTGVNVGATELVKKGKLISREEVEKAVREVIVGEEAEERRERAKKLGEMAKAAVEEGGSSFKDLNKFMEEVNGRK >A05p017090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7661786:7662685:1 gene:A05p017090.1_BraROA transcript:A05p017090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLPPPQRRASSGFGDPALVFPEFASKQRGLEKVQEEEGEGEDSSYGGKGSFDLSSRFSSPSVSRNGFETPKKTSSLKLPGFREEEETDRSTNSGSFVDREEKRKCPGCFRKCCACTCMFLSIVLIILLLTGLSVNSSVKASLPQVSVTNLRFSRLDYANSSTDLLMNANMKTVLELSNKNDKLLYYSPMKAAVSSENINLGQKRLLGFTQSPGNVTYLSIPTRLRKSKVYDVDATLLRNKEKKLEAVVNVRLSGKLGFDWLGFRIRLPTVIACEDVKQSDVINGLKPMCDVRIFSQ >A10p015470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3623100:3625323:1 gene:A10p015470.1_BraROA transcript:A10p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYENLMVGDGLSDHHRYPFACNELISMIDLGYSRLPKDVKAFIFQDCLSAFHLLPEMNTTAAVSAANLLVKSVESAFPKQKKNLAIVQFKQAKVALKRRTKSHEESIDTPSLPQDVLVHIFSLLDVSSLISSSQVSRSWNLATHEGSLWRSLFNLHFSHDVLTHIHPGFDWKEAFKNECIVYNLSKMLRSGRGYCSYCDTLVWHDNFRCPKKQCQFESGKEQLDPILTHQVVNYLLGITSSSDESNLDESDSVDSGSVESDSVESDSDGGDGPGLWANPKKHTERIPTP >A08p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22748787:22753262:-1 gene:A08p039590.1_BraROA transcript:A08p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENLDKPLLDPDTFTREGVDLGLLPLEEVFEHLRTTPRGLLSEEAEERLKIFGLNRLEEKQENKFLKFLGFMWNPLSWVMEAAALIAIALANSESQGPDWEDFVGIVCLLLINATISFFEENNAGNAAAALMARLALKTRSVLTGESLPVTKKKGDQVFSGSTCKQGEIEAVVIATGSSTFFGKTACLVDSTDVTGHLQQEVPERSSNSPGGPWKFCGLLPLFDPPRHDSGETILRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGHNNNDEHEAIPVDELIEMADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADATDAARSSADIVLTEPGLSVIISAVLTSRAIFQRMKNYTLGFTLLALIWEYDFPPFMVLIIAILNDGTIMTISKDRVRPSPTPESWKLKQIFATGIVIGTYLALVTVLFYWLIVSTTFFEKHFHVKSICNNTEQVSSAVYLQVSIISQALIFVTRSRSWSFLERPGTLLIFAFLVAQLAATLIAVYAKISFANITGIGWGWAGVIWLYSLIFYVPLDVIKFVFHYALSGDAWNLVLDRKTAFTYKKDDVAANVTITQRSHSAEELSGSRSRPSWIAEQTRRRAEIARLVEGHSVSRHLESVVKLKQIDSKMIRAAHT >A06g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17557045:17558953:1 gene:A06g506120.1_BraROA transcript:A06g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLATAPPSLHVFPSICCTRVTVTRLLRVSGRHRSRSFNVGPSTPHRAHGLKLRPKASNRPDRDKHFDRFILLVAALHGINGMSLGPRPSDRAVRLLHVERSQAHETAMADLFLGTSGQIIPDKHSEVESKLDFVKRTLRARRSAGQVDI >A02p046860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29318620:29320642:1 gene:A02p046860.1_BraROA transcript:A02p046860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAGRNGNENYNGVGFNGQRGGGVRPYVRSPVPRLRWTPDLHRCFVNAVDMLGGQHRATPKLVLKMMDVKGLTISHVKSHLQMYRGSKLTLGKPEESSSSSIRRQDTEEDNFHDNLSLHTTNDCLLGFHSFPLSSHSSLRGGRKKEQTSESSGDDDADADFLHTMNMKKTKETTMFRSHHFHKKTEKEKNTWQEHEEEEDLSLSLSLNHHHWRSNGSSVSETSEAVSTCSAPFIFKDCLGSSPKIDLNLDLNLSISLLGS >A05p044750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26860084:26861394:1 gene:A05p044750.1_BraROA transcript:A05p044750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSAMATVHLSSSSLFIQFKGRRYNSISSVESLQRRNVLSISSALTSRGGDMVTPHGKGNDHNSSTFDFKSYMIRKAESVNAALDVCVPLMKPLTIQEAVRYSLLAGGKRVRPLLCIAACELVGGDEATAMSAACAVEMIHTSSLIHDDLPCMDNADLRRGKPTNHKLFGEDMAVLAGDALLALAFENMTVVSSGLVAPDRMVRAVIELAKAIGTKGLVAGQVVDLPSQRISPEDAGMERLEFIHLHKTAALLEAAAVIGVIMGGGTEEEIERLRKYARCIGLLFQVVDDILDVTKSTEELGKSAGKDVMAGKLTYPRLIGLEKSRELAETLSREAKEQLQGFDSNKAAPLVALASYIASRHN >A04p014090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5279188:5281604:-1 gene:A04p014090.1_BraROA transcript:A04p014090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing factor SR-like 1 [Source:Projected from Arabidopsis thaliana (AT5G37370) UniProtKB/Swiss-Prot;Acc:Q8RWB1] MAEIQTNGRAYESLLEKVLSMNIVSSDYFKELYGLKTYHEVIDEIYNQVSHVEPWMGGNCRGPSTAYCLLYKFFTMKLTVKQMHGLLKHTDSPYIRAVGFLYLRYVADAKTLWTWYEPYIKDDEEFAPGSNGRTTTMGVYVRDLLLGLYYFDTLFPRIPVPVMRQIVSNLEKMNLPTKPSGSTGDMSRGSEDTARRPPSVKASLSVSFGQRAPHRASTRGSSPVRRPPPSGYDRNERDEPQRRSPRRSQSRDYYSDRESDRQRERDRERERDRYRERERDYGNDRRSRRDYESRGRRSDYEDDRSRHDRRSRSRSRSRSRSVQIEREPTPKRDYGNKEKAAATVNSNLAKLKDLYGDASNQKGGEEGYGTRRDSSSEEVIKLGGSSWR >A04p038900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22068326:22069691:1 gene:A04p038900.1_BraROA transcript:A04p038900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKLIKSMFQAITRPIQYLIISYLRYVSGSPSLTDTKHDHYVTTLVIEHSYKFLRENILYSSTHAYVFNKLGIHPVNYHVGELTLADSYQGIELSWRIFYNNKSIGRESFELKFDKRHKDLVYNSYLPYVESTAKEMTTIPEVHIYSHSFDTWETKPLEHHSTFETIAMKEELKRGLIHDLDMFVQRKDLYDRAGRPWTRSYLLYGPPGTGKTSLVVAMAKYLNFDVYDLQLSRAVECYFNPRKLLSGVMNNSILLVEDIDEGSTVLVLSKLLSSLTLGTPWGEARIVIFTTKNKDMIDPTLLSRMSMEIYMGHCCFEGFKVLASNYLGLSHVDNDEPHRLYRDIKRLIDGQVITPAQVTVELMESEDVDVVLEGLVTTLESLTSDKIDDDEDEEKHLACLRDL >A10g506060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16461060:16462302:1 gene:A10g506060.1_BraROA transcript:A10g506060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVLFLDEKVIILFANYVIHGFIPAGRAKHYMSSLKAGSIVKVDRFEVARCLSMYKITDHPFLICFISPTIIDEVITDVVEKIRSLQGSDLTKEITRVVIRLLIDSKLQTLINTNNNDFCLHITLQIKEKQIQPYQEIQETLIPTDTNVATTSPPAHSSCLMKIAYMPNVNMPMLFSSYEKYINSFKTY >A07p003240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3392280:3392816:-1 gene:A07p003240.1_BraROA transcript:A07p003240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGKTKIISKPSTPDHRIPPYTCSQTTEKETIRNGSNPDPDLDTNPNSFAAPAPRSYVRPQTTSLKKSVRYKECQRNHAASSGGHVIDGCGAFMSSGKEGTAESLLCAACDFHRSFHRNKIDGMFVVKFNSFGLSPRPLVSRHVSPVMMSFARGGKDPAESSTEDLNSFINLLVVME >A03p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1159979:1161494:1 gene:A03p002530.1_BraROA transcript:A03p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A2 [Source:Projected from Arabidopsis thaliana (AT5G05987) UniProtKB/Swiss-Prot;Acc:Q8GWC3] MDWENVAAEDVIEALREVEWSTPPRSFGEFFSRFAFPRSFSKWKSRLKCNLYYYRTNYFILVILVLGLALITRPLAILGAAFTALSLAFLNDSFAASFNEKFIRTIRLFSPHLAAKMRPPHMPVIRGRSAARKTVYVCGKPRWVFVVTFLTASLVMWFSSCGLLWVLYAFLTSLAVIIVHASVRTPNLKARLNTFREEFRAVWRNYSEL >A03p027000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11242326:11244092:1 gene:A03p027000.1_BraROA transcript:A03p027000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRVAHATLKGPSVVKELIIGMALGLAAGGLWKMHHWNEQRKTRAFYELLEREFKIKKLYLSSSPPYIKKIISSSHQNSPKMTTVAATGINIATPRLVIQPAARLYAPVRLNYPWKFGSMNRMVMSVKATSEGGMISDKVEKSIQEAKETCADDPVSGECVAAWDEVEELSAAASHARDKKKAGGSDPLEEYCSDNPETDECLVMR >A06p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20232336:20233960:1 gene:A06p037410.1_BraROA transcript:A06p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVILFVVLTPGLLFQIPAGGRVVEFGNMQTSGASILVHAIIFFGLITIFTIAINSQSLFGIWFNWFLYRMPLDSLPTTTFLRTFVLANPSAMATSIARFSRRGVASNLIRRYFAAEAAVAVKKEAPKPQLTVSPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITLGFCSNVQERIKIMLDRNQESHCYVVELNRLPAETLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLEVEKFKKSLGISV >A01p006220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3185327:3187556:-1 gene:A01p006220.1_BraROA transcript:A01p006220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLKTLFSMIILVSCHLEYGDGRRILRIKDFITDTNHKNVDYSQVFQKAWKGLCEGEGEGTGGSSLVINENEKYTIQPQLFEGPCVSSYIHIQIDGKIEAPKRPKQWGNKRTESWLMFKNVESLFINGSGVLDPHGENWWRSVRHSKRPRTLSFKQCTDIIYNGLTQYNSPKNHISVYGCTNATLSNLTLLAPEKSPNTDGIGISLSHNIRILDSSIQTGDDCIAITGGRGGSSDINITRVACGPGHGISIGSLGKGDIDDTVENVIVRSCSFWGTQNGARIKTWHGGKGLAKNILFENITVTNTKYPIIIDQHYSNGGTGHVKGNAVKVSDVTFRYIEGTSASKIAIKLDCDENQGCHNIVMEHIKLTSAKPGKKLSAYCKFADVKASFVNIHINCGLYP >A09p073410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55972577:55973629:1 gene:A09p073410.1_BraROA transcript:A09p073410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVIVDRRFCVPGPVDLVMVRDKIASQYGNFVIGDVNGNMMFQVKKPGFGLHKKMILLDSSGSPVLTMKEKSMTLHNRWQVFKGGSTEECDMLYTVKRSSMIQRTTKLEVFLGQNNEEKTCDFRVKGTNWLERSCVVYAGVSDVIVAQHGDIYVVGVDFDAAQEAHAAYRFIGKGQFLSDG >A05p009910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4145346:4147068:-1 gene:A05p009910.1_BraROA transcript:A05p009910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDAFMKILTERGYSFTTTAEREIVRDIKEKLCYIALDYEQELETSNTNSSVEKNYEMPDGQVITIGAERFRCPEVLYQPSMIGMENPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >A06g505150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15113149:15115992:1 gene:A06g505150.1_BraROA transcript:A06g505150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTKAFLAIFLVVLVCVSVEIIARDGANRLRSSVSEEAHGVDMAEKTKIRCRKELKDENKDCLVYISREAAAANGYVKLSVLTGYGHWFGPLLDSTPRRKLISKKKKFTVSAPNFALGPAPRLTPGPAPSTSPSQPSSHSPPDESNSAPVKRKPSVVAPSPSVVLSPAKKYDILMQLIIAVASTAVLTFFLVTLLFLCCFRRNRSPRDGPRDEGQFLHLVDLSPGSNETSPAAANPSRRFFSASSKKKSFLSRMSLKRSGHDQFSTAQASTSSGHPPPLKLPPGRTAAPPPPPPPAAPAPPPPQPPPPPKSKPPPPPKLVRPPPAPPKGAAGKRQGHHSSSGDASDVDSETGAPKTKLKPFFWDKMANPDQKMVWHEISAGSFQFNEEAMESLFGYNDGNKNKSGQRGESSRDSPVQYIQIIDPRKAQNLSILLRALNVTTEEVVEAIKEGNELPVELLQTLLKMAPTTEEELKLRLYSGDVNLLGPAERFLKILVDIPFAFKRIESLLFMISLQEEVSGIKESLSTLEVACKKLRNSRLFLKLLEAVLKTGNRMNVGTFRGDAQAFKLDTLLKLSDVKGTDGKTTLLNFVVLEIIRSEGVRALRLQSKSFSSVRTEEDTNNNNTDSSPQSVERYRSTGLQVVSGLTTELEDVKRAAVIDADGLAATLTNLSGSLTNAREFLKSMEEESDFEKALAGFIERADADIKWLKEEEERIMALVKSSADYFHGKSAKNEGLRLFAIVRDFLIMLEKVCRHVKETTTSATKTRTHSGKKETQVMMQEDSHQPSTDNIQQRLFPAIAERRDDSSDDSDDE >A07g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1319030:1320487:-1 gene:A07g500670.1_BraROA transcript:A07g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQMLLFELKAGRSKENVAWGSIRLEFLCAREVSIDTTYGWCYTSFSKCYRMLQRGFVFFTCASFNNDKAVGVLRYCVQLCVYDGTYTVGFAAFDGQMTNQRTFSRSRATYRPQFGGPDQRPLPQCLKDLVGSTFTFQLKFSLSLLLKASVLHHGMIPERTCLELKQLKTKSSTDFAKL >A01g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14007719:14009803:1 gene:A01g504660.1_BraROA transcript:A01g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSTTAGATVKGRASTSITWAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVYQLQTDDDDSTVSTNLSRVRINEIVESSVPKKKGRLVRLGHRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDPNAFPSENPKHMNFREDISEDFFRRYVLGIALFRRHTDDFFPQYADVFL >A07p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:349790:352942:-1 gene:A07p000970.1_BraROA transcript:A07p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPCPFGDPAPNLSDSELRETAYEILVAACRTTGSRPLTFIPQSPKSDRSNGVSLSPSPSLHRSLTSTAASRVKKALGMKKRSGGGGDVREGESSGQPDRIKKSVTVGELVRVQMRISEQIDSRIRRALLRIASGQLGRRVETMVLPLELLQQLKATDFPDHDEYISWQRRNLKLLEAGLILHPHVPLSKSDKSVQQLKQMIRSGLERPLDTGKITGESQNLRSVVMSLATRSNNDGIGPDTCHWADGFPLNLRIYQMLLESCFDVNDELSVVEEVDEVLELIKKTWPVLGMNQMVHNVCFLWVLFNRYVATGQVENDLLVAAHNLILEVESEAKEANDPKYSKISNSVLSLILDWAEKRLLAYHDTFNIDNVETLETTVSLGISVAKVLGEDASSEYRRKKKNVDSGRDRVDTYIRSSLRMAFSQTKKMVEHSKRSKSRQSSTSNLPALATLAEDIGHLAFNEKAIFSPILKNWHPLAAGVAAATLHSCYGTELKKFVSGITELTPDAIRVLTAADKLEKDLVQIAVQDAVDSDDGGKSVIREMPPFEAEVVIGNLVKSWIKTRVDRLKEWIDRNLQQEAWNPKLNKLGIAPSSVDVLRMVDETLEAFFLLPILLHTVLLPELTSGLDKCMQHYVSKAKSSCGSRNTFLPALPALTRCTVGSRLHGVFKKKEKPMAASNRRKSQLGTSNDSTEILQFCCRINTLHYIRTEIDSSGRKTLNRLPESDIAAFDGKTKIFEQSIGYCSKGVQQLSEATAYKIVFHDLSNVLWDGLYVGEVSSSRVESFLQELERCLEIISSSVHDRVRTRVISDIMRASFDGFLLVLLAGGPSRCFTVQDSDAVDEDFKFLCDLFWSNGDGLPLDLIEKVSTTVKSILPLLRTDTESLIERFKAVCLENHGSNRGKLPLPPTSGPWSPTEANTLLRVLCYRYDESATKFLKRTYNLPRKLT >A07p043140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23351299:23352910:1 gene:A07p043140.1_BraROA transcript:A07p043140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAEQVITGGASSSSYSVFGEETDTEAGDDEVTVTEEVRSGTLFELDLLDCPVCCHALTRPVFQCDNGHIACSSCCTNLRSKCPSCTLPIGVYRNRMMERVVEAVIVPCPNAKHGCTEMFSYGKELVHEKECSFALCYCPRRGCNYAGLCKDLYRHYYNCSSAREYFRCGYNVQAWMHIRDKILVLQEGREGPLVAIQCFEEEQGVYVTVNCIAPCVPGVSEFSFQLSYSSYGGADKTMSFGLGEMNRIQKVCFQTPDKDFMFVPHYFLAGRTSLKMNICVRRRGGEEEEENT >A04p005410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2835472:2837652:-1 gene:A04p005410.1_BraROA transcript:A04p005410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTAEEVKKIVNTLNEAQVPSQEVVEVVVSPPYVFLPLVKSILRPDFYVAAQNCWVKKGGAFTGEVSAEMLVNLDIPWVILGHSERRALLNETNEFVGDKVAYALAQGLKVIACVGETLEQRESGSTMDVVAAQTKAIADRVSNWSNVVIAYEPVWAIGTGKVASPAQAQEVHDELRKWLAKNVSADVAATTRIIYGGSVNGGNCKELGGQADVDGFLVGGASLKPEFIDIIKAAEVKKSA >SC191g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000057.1:141023:145607:-1 gene:SC191g500040.1_BraROA transcript:SC191g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRHRTEHNPTERNQGSSRNLLEDWLRCHGSKRNVLVEA >A07p044070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24080011:24082460:1 gene:A07p044070.1_BraROA transcript:A07p044070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGLGAGLISVWLSGFLLIALSFYGTLLLSPSLHNPYFPRESSTAPRIIIFTALHISSSSFDSQAIHSWLALSSQVKVVLFTQHNNNSSLTDTFGSRLLLDSTVDFTFLGTPFLHSMLARTEAYASDIAVLIDPHTLLLPDFISALNHAHHELDRDWLLVSSSVNIPRFPFHWDQTGHFWRQYNGKRVRFGELQKMISLQSNSSEEANMIMAWNNVDSPLHCGVLPPFLYQRGTHNQWIVNEALSCKRRFVFDATSTISSVSTGNAEKKFDSRNWEYIGNSHLGKLYGSLSKSYALPKLLKCNKRYILVTASDGFRTREKISACISRSKSRSLKLEPVKKDQAVSPLKLPYDLESLLPLVADKNRTVVLSVAGFSYKDMLMSWVCRARRLAVPNFLVCALDDETYQFAILQGLPVFFDPYAPKNISFNDCHFGSKCFQRVTKVKSRTVLKILKMGYNVLLSDVDVYWFRNPLPLLHSFGPSVLVAQSDEYNTTVPINRPRRLNSGFYFARSDEPTIAAMEKVVKHAATSGLSEQPSFYDTLCGEGGVHRLGDDRCVEPQTNLTVHFLDRDLFPNGAYRDIWLKEDVRGECEKKHCYVLHNNWISGRLKKLERQMMKGLWDYDASMRMCV >A03p044280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18494659:18507095:1 gene:A03p044280.1_BraROA transcript:A03p044280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFGFQNCFMFIILCFLSFLCYYLFVKKPSSSRSGFDDLPPSPPSLPIIGHLHLLLSAPTHKALQKLSSKYGPLFHLLIFNIPMVIVSSASVAYEIFRAHDANISSRGPPPIDDSLFAGSTSFISSPYGDHWKFMKKVLVTKLLGPQALERSRDVRADELERVYARLLDKARKEESVEIIKEVVNLTNNSICRMIMGKSCSEENGEAERARSVASESLALGKKIVLGSLLRARFKHLVMLLIKKEMTVVSNKFDELFERILVEHETKQDGHQETDLMEALLAAYGDDKAGYKITRKHIKTLFADLLFAGTDSSAQAAQWAMAEIIKNPNVLKRLREEIDCLVGQGTRLIHETDLPNLPYLQAVVKEVLRLHPPGTVFGRISQKGFRMGEYYIPEETSFAINVYAIMRDPDLWEDPDEFKPERFLQDSSRTAEEEEAERREPLKYIPFGSGRRGCPGSNLAYVFLGTAVGMMVQGFDWRIEGGDKVSMEETVLGLSLTMAHPPKFIPVARTARPLISKCSKPKDSCVDFDLPPSSPSLPIIGHLHLLLSSLTHRSLQKLSSKYGSLLYLRIFSSPIVLVSSASVAYEIFRAHDVNISSRGFPPTEASLFAGSFSFISAPYGEYMKFMKKVLVTNLLGPQALKRSRRVRADELDRFYDNLFEKAVKKETVEIFEEVLKLVNDSICKLIMGRCCPEEEDVVERVKGLAIELDVSSKKILLANLLPPWLKKLVLSLFKKEVKAISNSFDELLEKILAEHEEKQGENHQGKDLMDVLLAAYGEYKITRNHIKSFYVDLLFAGVTNSALPIQWTLAEIINSTNSLERLRVELDSVVGTTRLIQETDLPNLPYLQAVVKEGLRLHPPEPMFERFSQEGCRVGGFYVPEKTSIMVNAYAVMRDPNYWADPDEFKPERFLVAWQEEERREQALKYISFGSGRRGCPGENLAHIFIGTAVGVMVQGFEWRFKEEKVKMEEAVAGLSLTMAHPLKCTPVARTLNPLTSRGSF >A06p048460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25662174:25662567:1 gene:A06p048460.1_BraROA transcript:A06p048460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISGENFMASARGLVKSSMSRIYLLVRRHPKILSWPVKRGGAILPSTGDWIEICPGLRLGIPFMLTDVRVQFLVRTVLSSLWLWTEARVDK >A09p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1138895:1143164:1 gene:A09p001580.1_BraROA transcript:A09p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHDFEADKAVAKEFLANFTDANGRSKYLEILQEVANRKVRAIQIDLQDLINDKGFDDFHEFIGRLTENTRRYVSIFSSAIDELLPEPTEAFPDDDHDILMTQRADDGADNADISDPRQQIPSEIKRFYEVYFKAPSKGRPSTIREVKASHIGQLVRIAGIVTRCSDVKPLMAVAVYTCEDCGHEIYQEVTSRVFMPLFKCPSSRCRVNGKSGNPILQLRASKFLKFQEAKMQELAEHVPKGHIPRSMTVHLRGELTRKVAPGDVVEFSGIFLPIPYTGFKALRAGLVADTYLEATAVTHFKKKYEEYEFQKDEEEQIARLAEDGDIYNKLSRSLAPEIYGHEDIKKALLLLLVGAPHRQLKDGMKIRGDVHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVMRDQVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLELAKHVLHVHQTHESPALGFEPLEPNILRAYISAARRLSPYVPAELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSESVAQSDVDEALRLMQMSKISLYADDRQNAGLDAISDTYSIIRDEAARSNKTHVSYANALNWISRKGYSEAQLKECLEEYAALNVWQIDPNTFDIRFI >A01p003480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1542087:1546092:1 gene:A01p003480.1_BraROA transcript:A01p003480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGGFLPNPSFGAFPETAMDMDFMEELFFDGCWLETTDGKSLKQTTGQQAPSSTNMNDNNNNNNSFLYGYQFSENPSQDHISNGDTGRKFPPGFLKMEDLTNQPMTQVPFDQSAATSSSQAEKFLLEETERGRRYWIAPRTSQGPSSSVKDRLFQAINGLKVQDKDFLIQIWLPIQQEGKNFLTTLEQPHFFNPKYKSLKRYRDVSVSYNFLADEDSKESVGLPGRVFLGKLPEWTPDVRFFRSEEYPRIKEAQRCDVRGSLALPVFESGSGICLGVVEIVTTTQKMNYRPELENICKALEAVNLRSSANMKSPSIYKQFYCAVIPEVSVFLTSVCRSYDLPLALTWAPCARQGRGGSRHSDENFSECVSTLDSACFVLDQQSHNFQEACSEHHLLQGEGIVGKAFKATKLFFVPEVTTFSKTNYPLAHHAKISGLHAALAVPLKNKFNGSVEFVLEFFFPKNCLDTEAQQEMLKSLSVTLQNDFRSLNLVIDKEMELEVVFPVREELLFSGNAETGESLKPLPLEEISHEDSSWISHMINANEKGKGVSLSWEYQKEEFMLTSGWDNNNNQIGSFLSDAEQFQKASNSGGLRLDIDPSFDSASFGVGQTLISDRELRFTSFVHYYVAVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGVQGSIQLDSFYTSFPELSSPNNVSSTGTGTSFKNNDQPSHLNPQTENGVSPAVAPTSSPPSSSCSHSSGSSTCCSTGANQSTNTANTSNTISTRMAENAGAILKRARSEVRLRTVNQEETKSLSRTLSHRAFSEHPLLSNLPRSKSLKAVGGASKVKATFGEAKVRFTFLPTWGFRELQHEIARRFNIDNNIIATFDLKYLDDDKEWVLLTCEADLEECIDIYRSSHSRTIKISVHEASQAKLGGSFGSTGPVPLL >A09p060260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40967164:40970948:1 gene:A09p060260.1_BraROA transcript:A09p060260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITEGTGFITVALDVDIVPPEKSVLNTAAERTLFIAYVSIKVHKILSFTHHDGPTGNSTVSGERKPIKKTVATSATAKPNGKSTASSVTVMKPNETTAVSSANSMNPNAVGAVKPEDSSASGVTQKPSPPVGSPAQGSVVVAQTSPGASSVRSVPSTIVKKKPDVVPARQTTSISLRDDSDDDDLDGDMETADNGDPTDTNITFTRSAKKRVISIMASLKSSSPLKRNTWVRRPFRISGFTNRNVQKISPTPKAVRVIELGPIISEANDLHGTGFLCFSLEGETLEGQLSRQLSTTNPNLLSAVDIYQLSDYMVIPNNRRHKLTPQPFFIRINREASVIKLEHTISGFPAQKFSSLNFMQLIDSATARTYLPDVVGQILIMQDDYPHYPEYQTKLIIGLRINGWMMVKLTLWGNEASLFRQLQAMSHRKYKVVLVTSIIPSICKGKLLLASSSATQFFFDENIKHISGFRSKISIGGE >A04p034480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19937708:19940902:1 gene:A04p034480.1_BraROA transcript:A04p034480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKQSSAAVLVSGNPTPVLLHTSPFTSRVGCIPVSKPYSSQSFTMANLHKRLTSSPEKLNPVLSCSSHEASPLSENKEHVHGISEIIVGVLGGGQLGRMLCQAASQMAIKVMILDPSKNCSASSLSYGHMVDSFDDSATVEAFAKRCGVLTVEIEHVDVETLEKLEKQGVDCQPKASTIRIIQDKYMQKVHFSQHGIPLPEFMEISDIEGAERAGELFGYPLMIKSKRLAYDGRGNAVANSQDGLSSAVTALGGFGRGLYVEKWAPFVKELAVIVARGKDGSMVCYPVVETVHRDNICHIVKAPAEVPYKINKLATDVAQKAVGSLEGAGVFAVELFLTEDGQILLNEVAPRPHNSGHQTIEACYTSQFEQHLRAVVGLPLGDPSMRTPASIMYNILGEDDGEAGFRLAHRLIARALSVPGASVHWYDKPEMRKQRKMGHVTLVGQSMGVLERRLHCILSEQSHQVHETPRVGIIMGSDSDLPVMKDAAKILDMFGVTYEVKIVSAHRTPEIMFSYATSAHSRGVQVIIAGAGGAAHLPGMVASLTPLPVIGVPVRATRLDGVDSLLSIVQMPRGVPVATVAINNSTNAALLAIRMLGISDTDLVSRMRQYQEDMREENMVKGEKLERQGWESYLNQ >A09g513890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42049270:42071688:1 gene:A09g513890.1_BraROA transcript:A09g513890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTNMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMSEFMFLAILSFSNSSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHEPGRTGPSNQTGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENVSGYVCCLFLCGWVYLRFSGGNMDMKHESIGAVKIQEENKWVWPRWVKTALGSCEIWSNQVKGEPLMERAADGGQTARKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKYLGIGLSQDQEPGRRESSNQTGGAGRTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPASLKLGKLPWLTLESKPRPRAVWIREEQGECDFVFHKIWVFLSVLEGS >A10p021340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14366145:14366494:-1 gene:A10p021340.1_BraROA transcript:A10p021340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFLLQWLSQSPMEAPTLEFRFIFFLWNLNFTSYIFIFFLKSSLISSSTIYIYRLLWSCKAVEESRFKKALLDFWESGNVKKDGLDHGL >A06p054870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28755168:28756852:1 gene:A06p054870.1_BraROA transcript:A06p054870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLAHVEMEKLNDSDPPSRIHPEPQRIDHFDPLPDSILLLVFNKISDVKSLGRCCVVSRRFHSLVTQVESDSMARSDPPSRIHPEPQRIDHFDHLPDSILLLVFNKISDVKSLGRCCVVSRRFHSLVTQVENVLIRVDCVISDDDNSSLSSIKSRSAASGPFSNLFRLVVGGIVKPLQALGQFLGARRSCGSSSSSSSSLSISGDEGGEIEQGGVTHHSPTQVLKNFEEIRYLRIELPSGELGIDEGVLLKWRAEFGSTLDHCVILGASSVIQPSPTRVSQPVESSDDTGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLRSLVLSDSDGQGVLCMNKDQLEELRVKPLSASSASKRTLVPALNMRLWYAPTLELPDGTVLKGATLVAIRPSESKKEVSDVLWVSSAAFGEPYKAAVKMLGTRRRLMMIITYKCYNERKQRMS >A06p045690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24487290:24489522:-1 gene:A06p045690.1_BraROA transcript:A06p045690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPGGFALFKVLDEGKLSNVEDLGNVFSSADSARKMVKLKAFDKFDNTSEALEAVAKLLEGAPSKGLRKFLKANCEGETLAVADSKLGNIIKEKLKIDCVHNNAVMELLRGVRSQLSELISGLGDQDLAPMSLGLSHSLARYKLKFSSDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIISDNILYAKSVKLMGNRINAAKLDFSEFLSPSQILADEIEAELKEASVISMGTEVSDLDLMHIRELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLISHGGSLLNLSKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLVGQAPPKSKGKISRSLAAKAALAIRCDALGDGEDNTMGVESRLKVEARLRALEGKDLGRLSGSAKGKPKIEVYDKDKKNGSGGLITPAKTYNTAADSLLGQTSTAENGVKEKKDKKKKKKAEEEEAKTEEPSKKKSKKKKTEVEPEAEEEAKAEEPSKKKKKRKHEEEEADLPAKKKEKKDKKKKKSDV >A05p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17166922:17168475:-1 gene:A05p026840.1_BraROA transcript:A05p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYNQAPSVSAIFSLYTSFSAIMMLFRTIFNDIVPKRIQDHITGKVVDFFFSSYLPSRFTFVIEKEWDSVENITFRAAEVYLPTLLSGLSTGNIVVGSSNLKNPEAQPKLGIPIDINITDEFEGIHLEWTLHSVETKNSPYEKRFFHLTCEKEFRKKIMTEYFTYLTKSADNILRESLKIYTYDRQNSCWLSTIFEHHTTFDTLAIESHLKTRIIDDLDAYSQGKDFFKSVGRAWKRGYLLYGPPGTGKSSMVAAIANYMKYDIYDLQLQSVRDDGELRKILTSIPNRSILLIEDIDCGSGASCKCQIKETKEHCERVSLSGLLNFVDGLWSSCGEGRIIIFTTNHKGELNPALLRPGRMDIHILMGYCTPFVFKKLVALYLKIDDHVLFDPIEKLVLKVSVTPAEVTQQLMMTKNADIALKGLLELLEAKTMKEEEDTIEIEDGDTRKLNQMKKDK >A05g500210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:985641:992069:-1 gene:A05g500210.1_BraROA transcript:A05g500210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTVRFAGFSPLVELSAGTAVKLIRLRVLPSETTGTLRLKSTATDFTSLHRTSNRKRCSVASPPLRKARPSLLSMTLDIGFPENPKKESEARVAEHRSCDDVSRPPQRRSASGYSSHSLAYEGSQRRENHRGKTLRERRAHGQEWHPVRSLTPHNPIQSREIDYHRDREMARRASYQFRGDSHSYRSNKNKSRHSYQSCEEGRRVPSARRSPPPQEASANSHHKTQPYERGIPLPMSSMNLPIVAVENAVGVIRETLSQYTACADPSESAARRERVRLAEAQGTIEGNAIHLAKINEERLLTEEVNGGDKGSGSKTPVLSRLGPLTQEIGLLDNHNSTGTSGPKTRSQDRAHVRERLGPYISEMTISPTRHSDHLAPQRQKTPGSNSIERIPIAARLGPGSSEARIEEVEFQADPGSQRDRIPAKDRIGKTLASPISAAAGKKRKPGRPPGPRKVVSSPVPNLPSGSRKRKLHVEKPPTGRKKQISDGERPRKTVKQKARKMISNAWRGAGQATVRDKLASTRSAISAWNKTQHRNSQEVIQQQKAALNAAFVLVGRDLLIKNLGWVVGNGQDIKVWDDPWLSLSKQVRPMGPPQESTVELRVSDLMSPGTCEWDATKIHRWLPMYEETIRCIKPSQSGALDRIIWLAGMWTDLCSITCLPPSGITAGSLAPWILWSIWKERNKFVFEGISASAESTLSKAIGLAREWINEPNLKPTPSGLVEPINHPPPPNTVTIRSDAAWKGQGNRAGVGCFLIAPSGTKSISACFPFVASTIMAEGLALLEAVKMGICDNLKAIRFESDSSQLIKAVNSGDCIPELYGVVSDILSLISVFDFVSFTWIPREFNIQADRLAKTALAVTDSVVVAGVFNPPN >A07p029010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16318324:16321592:-1 gene:A07p029010.1_BraROA transcript:A07p029010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIASNNKAIKLKVRDQLLQGHEMTTKVQQLLSQDGSDLGPAKDLVEKILGSFNDIISALDSFEPISPSALVTAVEGSQNASCDNDGKLEDSGDSHKKLGPGCSIRISGWFRFGSIFSVFRKKSETWTVESTVLEDTFSWRKYGQKQILNTKFPRSYFRCTHKYTQGCKATKQVQKLESEPRMFSITYIGNHTCNTNEVTPKIKPCIHHDEIITDSEEIQSPSLMTSMKEEEENHHHGSSTESDLQLVWQEMLVFEEEHHHHHEAVYGCGETSTSINGLDSADLWSWQQFSV >A07p027000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15409506:15412280:-1 gene:A07p027000.1_BraROA transcript:A07p027000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITCSFPHKLFNLTLLLWVSHLQATDQSFTNFIFGDSLVDVGNNNYLFTLSKADSSPYGIDFIPSNGQPTGRFTNGRTISDIVGEALGAESAPTPYLEPNTEVNTIHSGINYASGSAGIFDDTGLLFIGRVPLREQVSYFEKSRDYMVRMIGENGTKEMLKKSMFTITIGSNDILNYIQPSIPFFSQDKLPIGVLQDSMVFHLTTHLKRLHELGARKFVVVGVGPLGCIPFARALNLIPAGKCSDQVNQIVCGYNMKLRHSLTTLNNELGYHNTTFVYANSYDLFLKLVLNYRQFGLENADKPCCGGYFPPFTCFKGPNQNSSEAACEERSKFVFWDAYHPTEAANLIVAKALLDGDQTVATPFNIRYLNHL >A02g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21724308:21724600:-1 gene:A02g507830.1_BraROA transcript:A02g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTKLGLEKKSSLCRQNTCSIYSASLLNPKCRVWCLDIDRWYLCTLIDIDIHLSRHFLISIVSTDAHRSIILPLVNL >A02p033390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17774438:17779576:1 gene:A02p033390.1_BraROA transcript:A02p033390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHSTGTFMSFDQILSFAVNPCMNLHYILIVRANEKDITFTATLLIFLTRYQHDCALHLRKLSPPAFPFPASSSGAQPLLHRRSAFSPPGHLSLSAGKLLSLSKYGQISASLSRWSSQILVVKKNTRVALSSRGLGNCLNECLNIGILESEMHRAITIIQDHREREAESWPEFERERSFPAERERWPGGEKAERRWSKG >A03p021660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9227623:9229720:1 gene:A03p021660.1_BraROA transcript:A03p021660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEMDQIYQIDVGNLLAFNPNHRFSSAPSSREELVKECVTEGTKLVQAIADTLFNFPSTESVDGPLVQLPPPTTKLPREKHLPRPKPPTKWEEFALKKGIQKRKKDKIVYDETTDKFKRRHGYDRVNDDNDIPIIEAKASDEPGEDPFAKRLDDKKKRVDKQQKNRLQNLKSAAKAGALPSHVQLAASALPITGTKAQPKKLGKEELGDVAGLAATSTASGGKFDKKLPGEKPPKKQGKHHKYLPVVPRYGWVDEEKEQTNKVLGKLLSKHSHEILNVGKAINMYNDKKEKKKSGRSDKLKPKKDITKKKPYANKANK >A09p015740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8191838:8193921:-1 gene:A09p015740.1_BraROA transcript:A09p015740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPHHHYNRHQPSKTTVTLNVEATSTVTALPSTTTTSTIDNLHFDGFMDSPNQLNFPYDQETNAKIQEALFSHKPHLFMLDTTLPVLEGMFSQNIITNNNNNNNDYDTQTGGRGSVFEQSFLTHNTEQGDMNLPQQERFQVPTMVSNLFSNSTNSNTETVTSYKLLALVGGNVNNISQVDNNNAQDGDIASTFECLRKQELNYDEWINSQQCSNFFFWDNLNINVEGSSLVGNQDPSMTLGSSALSSSFPSSF >A05g500410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1603803:1603997:1 gene:A05g500410.1_BraROA transcript:A05g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTVADGSCDEHVAKDVGLGKAIEEMIPSGEGDKLFWAHWMDVLGYSLNAFRFSNLSFVDGR >A07p005010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2509748:2510550:-1 gene:A07p005010.1_BraROA transcript:A07p005010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENLCFLFNVDQVYNFDVQTVHFFRENKDYSPEIVAEMLRNALVRAMDVYEFLAGRIRVNRSSGSLDVDCNGAGAGFVMAESEYSLEELGDLVYPNPSCAKLVTSQLQSLPKDDQPLFAFQVKAE >A05p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3170966:3172005:1 gene:A05p007820.1_BraROA transcript:A05p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYAHQMERELSGLTSRGNSEMGSRFSIDSGCYMTSLAATIFIASLVTFGVLMVTLLIAISTMLQSCENKNSGIIQVQRRLVVDDESLSYCRILSLHSNLNSLDEEELPLLCRDVALQRIKQGIYLRELNFTIQMVLTYFKTIKPGNDNRDVVVMDIDDINLIKQEVYIEEAKHQRSKLILELYSKLRSQGYSMVLLSRNSTAEQLKSRGYSDWSGLIMREDARQKEELERDYRIVGVIGNHMDVLTGQRSWQSKRLFKLPSLTYNDIFGLQ >A01p008020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3872049:3872537:1 gene:A01p008020.1_BraROA transcript:A01p008020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL14 [Source:Projected from Arabidopsis thaliana (AT4G30370) UniProtKB/Swiss-Prot;Acc:Q9M0C3] MSIPIPYDDPLTTTGEQTPYPAPPKGGNTTNLPTKILSKILVGFIMIPVALTALLFILMSLGFSVFFFALYWFLHRNYRRRLRRHLRHESSDGLSPRCVKSLPQFKFCEATKYGSECVVCIDGFRQGQWCRRLPGCGHVFHRKCVDFWLVKVGTCPICRDRV >A02p027150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:13148418:13149437:-1 gene:A02p027150.1_BraROA transcript:A02p027150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:Projected from Arabidopsis thaliana (AT1G74100) UniProtKB/TrEMBL;Acc:M1EU36] MEPTTTQNGSELELELSEFEKTQKKYQDFIASLPKSKGWRPKEILIQHGGHWWQECLLEGLLHAKDHFQARPTDFLVCSYPKTGTTWLKALTYAIVNRSRFDDATNPLLKRNPHEFVPYVEIDFAFYPTVDVLQDQKNPLFSTHIPNGSLPDSIVNSGCKMVYIWRDPKDTFISMWTFLHKEKSQEGQLASLEESFDMFCKGLSVYGPYLDHVLGYWKAYQENPERILFLRYETMRANPLPFVKRLAEFMGYGFSAEEEEKGVAENVVKLCSFETLKNLEANKGDKEREDRPAVYANSAYFRKGKVGDWANYLTPEMAARIDGLVEEKFRDTGLLEHDQ >A02g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9549209:9549696:1 gene:A02g502880.1_BraROA transcript:A02g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSPDAHEKTRSAHRKTFGCSCLLDVAIRLLASLTLITVIFPMALTVSTLGSSDLRFKLFLFI >A09p011900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6021379:6023581:1 gene:A09p011900.1_BraROA transcript:A09p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMQGQQSAGGGSSTDLNQGDNEPVYNAESSLNTMMNPVDNGPTYESPSSHNWWRLGESSSVSGPSDIKTNHQLQHGGVHAAGYNMRNGPAFLRGSSSNAANMSMDMDSSDDDYGARPSGLVFRHSSYGSSLGSSVQATGESSSGPAASSLDGWGSSCKRKAFEAAAAPSPTLPDCSGLSHYGASSSLSLATPSQTSPNRMEHMFGSGGGGRAVATNAFHSDTSSRPGRRLNLRHPQEYAGFSISHHSGGSMQQSLPLNSPQDMRSSSGENQTNLVHLPALARNIPQFAWDTSLSSRASTSSSGIGMPAELFGPPRSNPEQPPMFAPPVHDQSIWSFTRGNPPSNIDAQQMSPAWIPPPQSAPPPRASELSPWSLFPSVESQSTTSHGPAASLPLLPSVSSNEAATAMPSSSSSSRSHRSRQRRSGLLSERQSELLHLRHLGRSLAADSDGRNHLISEIRQVLTAMRRGENLRIEDYMVFDPLIFQSMTEMHDRHREMRLDVDNMSYEELLALGERIGDVSTGLREDVILKTMKQHKCTSSSAELHQDIEPCCICQEEYAEGDDLGTLECGHEFHKDCIKQWVMLKNLCPICKTVALTT >A05p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2382837:2384550:-1 gene:A05p006020.1_BraROA transcript:A05p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGSSGGAEAVINLGLGSSVPISYHPCFGPHEDLLLLEADDNLVSDIFNGRVTLRGLPDEDAVLCTKSKTYAIKFVGNSNSMFLIPPSNPPGFIQDDAHGKVSVIKLAPGNMELVEVSPKLDKLKQLLLESPFGPGEVDTMMYDDDDGSEKNRVLYTWSDLVNIIQASDEELLKGLESLSAIEVDGYWRVIGESYLDMILRMLLNNCVLNSWSFDDLDEEEVVSALVADEFPTKLAGHCLRVFGSEVKGTNKWKLEPRLVCLHFARQVLREEKMRVERFMEEWKKKIPEGMEEKFEMLEGEVLTERIGIETRVYTFSVRSLPSTPAERFAVLFKHRPKWEWKDLEPYLRDLQVPRLSMEGLLLKYTRRAQSRPDAEPIFSAR >A03g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4374491:4377142:1 gene:A03g501460.1_BraROA transcript:A03g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQGITEFMGSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPVPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3353319:3354749:-1 gene:A07g501640.1_BraROA transcript:A07g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLVSLESMSLSSMDVSVLERLKWLQQQQQVLSTTNASPELLQFHGTNNDELLQNTFSHFQMLRSGFGPNYSMGFGPSHEAMDGCISRTNSCQMDQADTVGVMLKNSEENITISLKNKRKSEVKTREEEKTEKKIKVEAETELNMKARREKISKKMKYLQDLVPGCNKVTGRAGMLDEIINYVQSLQRQVEFLSMKLAVLNPELELAMEDLSVKQFQAYFTNLPVVVASKPSLMVDAPLFPLDQQGSLDLSVINPNQATTIEAPSASWETQSQSLYNTSSLGFQY >A05p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8343152:8344817:-1 gene:A05p018240.1_BraROA transcript:A05p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAI3 [Source:Projected from Arabidopsis thaliana (AT2G29380) UniProtKB/TrEMBL;Acc:A0A178VWK9] MADICYEVLTDASAYESRPLHSGRRQRFPMDKTVAMQEEWEKKNFKRNKLEALTMRNENVSGESPVTEASPRYGVSSVCGRRREMEDAVAIHPSFSSHSEYPQHYFGVYDGHGCSHVAARCRERLHKLVQEELNSDREEEEDEWRKTMERSFTRMDKEVVLLSESVVSANCKCELQTPDCDAVGSTAVVSIITQDKIVVANCGDSRAVLCRNGKPFPLSTDHKPDRPDELDRIEGAGGRVIYWDCPRVLGVLAMSRAIGDNYLKPYVTCEPEVTVTDRTDDDCLILASDGLWDVVSNETACSVARMCLSGGRRRRGTPEQAVETISDKACMEASVLLTKLALARHSSDNVSVVVIDLRRKGHVTGH >A05g505160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14321107:14323799:1 gene:A05g505160.1_BraROA transcript:A05g505160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAPDTPPIHVTSDRQVRNLLEITKTYDVRICVSSRSKVETVSEFREEDDEAGECFEDDDDDLVEDENHDGEEDDGEDDDGEEDDGEEDAGISIVAEADENGEDYSVYGKVEDEDEEDDDMCFEDIKMIEGGRSNGNNIYVNQSFVSKDALLSELRLTAVRFKFSFRIYKSTKTLFVTTCPVCGCQWKRRHCTPKYVGRLFIDRVGIIDGLNPQHITDAMKNMFGMTLDYTTSYISLLYAQTLVRVEKIPCSHAIGAATSVGLHISTLACPVYSKDFLFAGYSENIYPCAGQQVEERTCFPPEIKRGPGRQKKSRWQSWNDVDDLQVSRPEGCPVSRPRFFLPKDIQVSRPVSSLPEDLHVSHPEGRPVSHPGCSLPEDLQFSRPVFSLPEYLHASRLEGRLVSRPRFFLPEDLHVSRPEGRRVSRPGFFLPEDLKVSCPVFSLPEDLQVSRPEDLQLQVTAK >A10p031380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18763161:18764856:1 gene:A10p031380.1_BraROA transcript:A10p031380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSSKSKKKQEEGGARFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAARSMRGTRARTNFVYSDMPPSSSVTSIISPDDPPPPPPPTSAAPPCSNDPVDYMMMFNQYSSTDSPMLQPYSDQVDSSYMFGGSSSCYSNSSSELPPLPSDLSNSCYSQPQWSVDDYSTATYFEGDYVHSPMFSTMPPFFSFYTIAVALLVVANFGMMHTRGQSVSCLNQLAPCLNYLNGTKEVPQVCCNPLKSVIKNNPECLCRMISNRGSSRAEQAGINVNDAQMLPARCGEHVNPIACLTTRSRGSTNSDRSSSTGNSFSQSHWRTTLAFAATLLPFIL >A05p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4627962:4630416:-1 gene:A05p010910.1_BraROA transcript:A05p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein CBSCBSPB2 [Source:Projected from Arabidopsis thaliana (AT2G36500) UniProtKB/Swiss-Prot;Acc:Q9SJQ5] MTSSGRRSIRRGSSASKKPVLHTQESGNSSFNETTSPKPGSPLPEPVSDIERTVKKLRLSKALTIPEGTTVFDACRRMASRRVDAVLLTDTSALLSGIVTDKDIATRVIAEGLRPEHTLVSKVMTRNPIFVTSDSLAIQALEKMVQGKFRHLPVVENGEVIAILDITKCLYDAISRMEKAADQGSALAAAYPFIETLRDHMFKPALSTIITEQKVALASPSDPVFVASKKMRDLKVNSVIIAVGNKILGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECASMETTIVDALHIMHDGKFLHLPVLDKDGYAAACVDVLQITHAAISTVENSSGAVNDMMQKFWDSALTLEQHPPEDYETHSDVSATLLNSEGGGGGKLQSQGSSVMSLFAFKFEDRKGRVHRFNATGESVEEVVSGVMEGCDEVVGSVGVQIMYEDDEGDRVLISGDSDVVAAVGFARSLGRKVLRLHLDFAETVCVEMVKDLSEGSCGGLGRGLVCWRSGVVAGVQHKHLTPQEAYAYVKSIRPRVKLATAQWKAVLEYYYLMVLKTQSSLTDATSALIPRNLEGYDDDSKRSMNVAGNELWVKGVGQAALARISCLWLGLRENHKLSMSGIRVDISLY >A03p048340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21964724:21966723:-1 gene:A03p048340.1_BraROA transcript:A03p048340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) UniProtKB/Swiss-Prot;Acc:Q9ZQR5] MATTTPTPPNKLSLFPLLSLLCFISIFFLLSLSKRASLSSPHPHRSSTLFPPKPHAASLSCDFSHGSWIYDPNPRSATYDGSCKEIFKGWNCLRNNKTNALEISKWRWKPKHCDLPSFDPLNFLETHRDTNIGKHTILSVITNLLRLIFFNSAGFVGDSLNRNMFVSLFCTLKTVTSDLKKWRPAGADRGFTFLRYNLTIAYHRTNLLARYAGQLMLMVVSWKLLDSKRDIELMLMFLKAHGPRLRASMTFSWWAPSKFDPLKSPMLFFEGGRPILPPLPPADGLDRVLSNMVNFVEKTKRPGGILFFRTQSPRHFEGGDWNEGGTCQRLQPLVPREVEELFSVRNNGTNVEVRLVNQHLYNSLENRSGFHVLDITQMSEYRADAHPATSGGKNHDDCMHWCLPGLTDTWNDLFVATLGRIKGL >A01p023680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11708074:11715435:1 gene:A01p023680.1_BraROA transcript:A01p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHLQRAIENPALELKVVSASGLSHVDATDEMDVYAVVSINDDKKQAAKTPIDYDGGPNPTWNHTIKLTVNEEAAREGLLTLKVELFSYWLEGKDDLYLGDVNVSVRELFASDPLPSFANGNVNKMKSLTCPIKVTEGGCTNARLSLLYRLKPVPVKDLYPLASQDHSPSIGRPVYPHSDQTITTKLILEIVIKLAKNIEDVNAFLAMDVYASVAICMDRKVKDRINTPVAFSANTNPKWNQKIKFLIDEKLGQEGRLMLLVELMSHRPLLGDKEIGSVRLPIQQLLSSNPPSSSASGDANGMKLETHALTGPYGKKGVVSFTYRFLAEQIRVATVTTPSTTSQPYIMYLPVKPHSNSSSDPVQVTSSYVAVQQGVISGTSNGLVPIYMPPQYPSHEYQQYSPRKQQPRAQPQPPPQHSQVKPLPQQQFSQIQLLPSFPR >A03p061990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26929033:26932555:1 gene:A03p061990.1_BraROA transcript:A03p061990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVALLVSSAFSVQKDGKVNASSSSFKDSSLFGASITEHGSSSLRFKREHSSRSVLAVRAQTAATSSPSVTKSSSGGKKTLRKGNVVVTGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMPKDSYTVMHLDLASLDSVRQFVDSFRRSEMPLDVLVCNAAVYFPTAKEPTYSAEGFELSVATNHLGHFLLSRLLLDDLKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQELHRRYHEETGVTFASLYPGCIASTGLFREHIPLFRTLFPPFQKYITKGYVSETESGKRLAQVVSDPSLTKSGVYWSWNKASASFENELSEEASDVEKARKVWEISEKLVGTLPPMEGSFLISDAQQAHEEVKQKPNLITGPAGSQANESGCFDCNICLDTALDPVVTLCGHLFCWPCIYKWLHVQLSSLSTDHHRRNNCPVCKSNIAITSLVPLYGRGMSSSVNSKEQDSESTVIPRRPAPSTTLNNPIASEPSLNQTMSPTFHSHRYSPRGFTTTESTDLANAVMMSLLYPVIGMFGDMVYTRVFGTFTNAIAQPYQSQRMMQTDKSLNRVSIFFLCCIILCLLLF >A08g508170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14737564:14739165:-1 gene:A08g508170.1_BraROA transcript:A08g508170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKIYKKQEDYQDLIISFRHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHNSGSRRS >A04p037870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21560455:21563022:-1 gene:A04p037870.1_BraROA transcript:A04p037870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSWILPLLVSSISLCMTLCSSLNSDGLSLLALKSAVDNDPTKVMNHWSESDQTPCHWSGVACTNGRVTSLNLFGKSLSGYIPSELGLLDSLNRLDLAHNNFSKPVPVRLFEPTNLRYIDLSHNSLSGPIPDQIRHLKSLNHLDLSSNRLNGSLPESLAELGSLAGTLNLSFNRFAGEIPPSYGRLPLHVTLDLSHNNLTGKVPQVGSLLNQGPFAFAGNSRLCGFPLQTPCQELEIPNLVAVKPEGTQELQKPNPSVISKEEGKEKQTTGSVTVSLISGVSVVIGAVSLSVWLIRRKHSSSAVYKTEPKTVVSEFDEEGQDGKFVAFGEGFELELEDLLRASAYVMGKSRSGIVYRVVAAEAEPSSSSSAAVVAVRRLSDGNATWRFKEFENEVENIGRVSHPNIVTLRAYYYAEDEKLLITDYISNGSLYSALHGGPPNTRHLLSWAERLHIAQGTARGLMYIHEHSSRKYVHGNLKSSKILLDDELLPHISGFGLTRLVHGYPKLADHSLSTNVQSFASRLSAPPQAAYLAPEARASSGYKSSQKCDVYSFGVILLELLTGRLPDGSSENEGEELVSVVRKWHKEGRSLAEILDPKLLNQELTDKQVIAAIRVALNCTDMNPEMRPRMRSVSESLGRIKTV >SC369g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000211.1:2534:3974:-1 gene:SC369g500010.1_BraROA transcript:SC369g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPIFNPSLRRGAVASLFKRVLKLRQSTLGCEKMKATLSRRLLV >A08p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20530528:20531506:1 gene:A08p034500.1_BraROA transcript:A08p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWDPSTKSTLTRIPLLTTKAGPRDGDAWKQRLKEEYKSLIAYTQMNKSNDNDWFRISASNPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPEIDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDAASSAES >A03p064130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27890277:27895706:1 gene:A03p064130.1_BraROA transcript:A03p064130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGTSECQHLGSLIILLVGQTTLYRSNLAAAQMERSSTFRETIEHPVSSSRSTSPLAQTDVTNFFQCLRFNPKVVAADHKSIRHGDFKRHVNIALGIQGDESPPSTTSPIPEEIKRLKAGLRENNVKARERVKIFNEASSVFNKFFPTVPTKKRSRPEGFSSDRLALGPGLGKMGFQGQTLPGCFEFDEQNVDQRPKSGPINKRTRTSMMDVRSNAIVRAAVIHFSAVCQHGAVNGVVPYGRSDSLSRQTGLAGSRDSDHNSLYNEKRERAINKSNIHDESNSSSPKINVTVRGPRSGSGLPPKLSPVVHNTPSPSNWDISGCTNKPPLLSGVPSRKRMTSNRSSSPPVTQWASQRPQKISRVARRTSLVPFVSSNDDIPSSDNMSDVGCSETSFGFPRRSPSASPRMRLKGVNSLSTTALSGSEEFSPPEIKSKDKGKQSDEVDVKATLNVRKLSVTGLQSRKNKLVSGEELGDGVRRQGRTGRGFGSTRSVNPMGVMRHGTTKQLRSARNSSDKNERIAVSSFFFMNIFIFIVVSDDGHEELLAAVNSAINFALSGSKWSAIFFFISDAHINFVKQLGDFSSMSTTPVGTSSDLDGREKFPEGLTTSRVDSKASHLYQRSISALISEDSASVNEDLQFDGFGADAESEFSALNHVEFNGYRSDKLELDDLEDDGSVIPLKGVDSSPHNRNISNVEDEGIVDEIKTLEEAVYEVIANFATLSILKLKGFKKKEMLNRLLTPALEMKELQEKEFDRLGYEKLIEMAYEKSKTSRLHHSGSGKSSANKISKQAAFAFVKRTLGRCRQFEETGKSCFSESTFKNILVAGLTQIEDNPTDKEDTLSASTPMGSQPSSSLAQNRENYTDSSEHTLREGKDETMWLNRTKERELLLDDVCGTPLSSSTKGKRSDRDKDGASSARGGGTNKIGRPALSNNAKGERKSKTKPKQKATSMFSSPSVSILEQNRTSSSKPTNSNNSEYSNLETLDETEPLDLSGLQIPDGLGGPDDFDAQAGDLSSWLNIDDDALQDNDIDLLGLQIPMDDLSDLKMMV >A10p019330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13275010:13283060:1 gene:A10p019330.1_BraROA transcript:A10p019330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREQTRDKLSDSECTEDEPRRSRIGNLRKKAISCSSKLTHPLKRKGKRKIDYSVPFIEDVRDENEEKIVLKLRQELLNKDLLPSRHDDYHMLLRFLKTMEFSIEKTVTAWEQMLKWRKEFGADRIIHEFNFKELDKVVRHYPQGYHGVDKDGRPIYIERLGKAHPGKLMDVTTIERYLKYHVQEFERALQEKLPACSIAAKRRVTTTTTILDVEGLGMKNFSPTAANLLASIAKVDCNYYPETLHRMFIVNAGIGFRTLLWPAAQKLLDPMTIAKIQLVHHMEVNHVQQTTKTPLHIRDNDSATRMIPPNETLKEEPDSEEYYHSTGSRSPMHTCFVSPHSDKASTSDGDKIITTVESIEPAQGELSQPQSLNTDTESSSSATTSRREGGQVSRYSALREKIKGENIVHLVKILVAFPLMKLFALFAFLLHGYWQRQNYVPVLHDSSINNEMILQCLERLKKLEKDFTETSRIPLKIPEANEKLLTESLERIKSLELDLDKTKSVLHITLTKQLQITEEIESRYQEEEAAQLLFYLLFTCIADISKSRSSFIYTVSWDFCNHRIMNLNFLSSSLFLFLLLSVFSSFISACPVNFEFMNYTIITSQCKGPKYPPKKCCSAFKEFACPYADQLNDFRNDCATTMFSYINLYEKYGTLKKAEELMRVDTLPLDEKAGCCSNTVEVRVLRFKIERELMSVDILFLKKFLLRFDYRTKLNLEALLEDWEPTKDSFLVVRALGMSLLKILLTETKLHG >A09p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44374714:44375435:1 gene:A09p050500.1_BraROA transcript:A09p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPTCGNLLRYGQSQFFCSTCPYVARIERQVEIKKKQLLVKKSIDPVVKKDDIPKGPETEAPCPRCGHDKAYFKTMQIRSADEPESRFYRCVKCEQTWREE >A10p022540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14857841:14861107:-1 gene:A10p022540.1_BraROA transcript:A10p022540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLTSLFLICLTFVFTRDVSASSLLIRSYSRSFNGFAAYLSLAESMKKEVVFVFPSRTYDLDTTRSWDFVGLGERAKRESAKESDVIIGVFDSGIWPESESFHDQGFGPPPQRWKGSCKGGRNFTCNNKLIGAMFYPKNSVSARDDDGHGTHTASTAVGNPVQGASFYGLAQGTARGGAPSARVAAYKVCLKDVGCKDVDILAAYDDAIADGVDVISISISREAPNILSNSFAIGSFHAMTRGVVTVGSAGNYGPDQGTVANVFPWMITVAASATDRRFVDRVVLGNGKASTGLSVNPVNFNGTKFPIVYGQNVSRTCPALQASFCAKDCVDRDLVKGKIVLCDEFLVNKEAYKAGAVGSIVLDTFTRDVSFVFPFPVSSLSLEDYSSVKSYVMSAEKPEAEILRSEEIIDKEAPYVPSFSSRGPSFIIKNLLKPDVSAPGLEILAAYSPEASPSDNPGDKRSVKFSIMSGTSMACPHVAGVAAYVKSFHPDWSPSAIKSAIMTTATPMMNVMKNPDQEFAYGSGHINPTNATDPGLVYELELQDYLKMLCAEGFGPQLLTKILGRNITCSERTEVKDLNYPTMTTFTTALKPFNVTFTRTVTNVGLPNTTFKASVVSPRPEIQISVEPEVLSFGLLKEKKTFVVTVSGKGLKDGSVVTSSLVWSDGGHSVRSPVVAYSINPFG >A04g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2733711:2734878:-1 gene:A04g501010.1_BraROA transcript:A04g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSIIEIGNPAEIYIDLFSDAGGPLSRRLRAPLPSSLFSAPPLSPCLSRTCYWSWAESVLWRSDSLVRVVALSGYLSGTGAVGRESLWVGVDSFSCLLRSLEVASVFPDLGFGLRVTASGVPVRLLLCGSVVVVWLRGCSIGDGHESGSIARLELSTELGQTRSGGGSLIILFHNKCVELSTWLGLHLSCLLGGFSGGCVLNQSSGSSRVSG >A09p074980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56666288:56669671:1 gene:A09p074980.1_BraROA transcript:A09p074980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKQLVVVGFLFSLLLLVDSHTTESISDHEENANAKAVTVKDHKQINRGRRSGSGQNRGRRSCDPLFQYLFGICGRWPFPTTPSPDNPFLPFQPPRQPPRPRPRPPPLVPSPPPPRAPSRPRPRPRPTPPPLVPSPPPPPPTPLVPSPPPPSPPPIFIFPSPPPPVLAFPPPLVPSPPPPQPPPLWLPPPVFTLPPPLDEFPPMPPIIWVPPLDVPVTFLLISVSITESIENQHRHKGKDKQGLVRRHRRAKSRRRSSSRNGEAFATQCDVFFRCVFGTCGQWNFPIVPCPQNPFLPPPPPVVTPVVIPPPTPCVNCVQPSPPPPIPVPCPPPPSPPPPIPVPCPPPPSPPPPAPCITCVTAPAPLPPVPCVTCVTAPAPPPPQPCIVCTTAPAQPPPVACPPPPLPPVIPFVPTPIILPPLPPLFPVMSPPATPTPVPILPPPTPLLPLPPPTPVLPLPPPTPVLPLPPPSAPLPPPLSSSIPSPSLPLVLSPPPPLPGGSVSQPPFMMTPTPVLGGGAPGFIDIPPPVQDLPPILPPPVQDLPSILPPPVQDLPSMLPPPAQDLPSMLPPPAQDLPSMFPPPAQELPPVLPPPVQDFPQILPPPVQEFPPILPPPVQEFPPVFSTPPILQDPPTEPIFSTPPALGELPPQAPVFTTPPEVTNPWLPPEQPPVTSIPTIPENPYPNPDMGSNQLPPPSWDSPPSNR >A08p023260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15309161:15309995:-1 gene:A08p023260.1_BraROA transcript:A08p023260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQLHYNASNVNQIGTGGSLFNNNGGIGIDQSYMNNHNLLSQKDLNQHALFQHQQYRSQSVLDAYMERQKQEIDQFIRVQNERLRYALQEQRRQEMETMLRKMEAKALVLMTQKEEEMSRALSKNMELEDLLRKMEMENQTWQRMARENEAMVATLNSTLEQARERAVAAACHNEDIAVEDEGSCCGGDDFRAKKVSGCCWNCGSNGETRVLFLPCRHLCCCTGCEDGLVLCPMCSAPKKNRIEAFIF >A08p012340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11444352:11446168:1 gene:A08p012340.1_BraROA transcript:A08p012340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTDGDGGEGGSGEGNRGGLSRIRSAPATWIETLLVDDEEDDLKPNLCLTELLTGSSARDSFEFPSSAEQGLYNHQGGGFHRQNSTPADFLSGSGAGTDGYFSNFGIPANYDYLPPNVDISPASKRSREIEAQFSSQMKEEQMSGGVSGMMDINMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRRLQELVPNMDKQTNTADMLEEAVEYVKALQSKIQELTEQQRRCICKPKEEQ >A06g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8318840:8320640:-1 gene:A06g502540.1_BraROA transcript:A06g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSSAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHVTDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVGDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSSCKGDMAAEDGDFGLILADLKSACFLPTYSEDPEGKDPMVGENGSDAAPGSDEAGGEEGASSARCELCGGAEGIERKHRGVVQESLIFRYHVFEMLETSALGLGQDLVRDRFSAYMTCLIRIEHLSGDRKC >A03p017520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7069180:7069485:-1 gene:A03p017520.1_BraROA transcript:A03p017520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKLYFVALLIILSALVSSVQSIRIMDASSDCDFRGPCKKKEDCYARCEVDRPPFNNATCVHKGSSRQ >A06g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14320147:14321061:-1 gene:A06g504690.1_BraROA transcript:A06g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRLKLEMISNWGVGGAFILLFHTVSHFSTVVSYDLISHINYLRVHGAVRVSTVSHGFVYEPYALHEKVPWWRRCLYVTSGSLRSLYSTHELAGVSVAVLNFLQRI >A04p016120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9925008:9926408:1 gene:A04p016120.1_BraROA transcript:A04p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALNVIDVSRVTPSDSPEPFTLSLTFFDLIWYKLHPVERVMFYRLADATRPFFDSVIVPNLKSSLSSSLSHYLPLAGKLVWDSLDKKPSLVYSPNDAVSFTVAESSAEFSLLTGNKPFPTTELYPLVPELQVSDESASAVSFQVTLFPNQGFCIGVTAHHAVLDGKTTTMFLKFWANACKRQQDQTVNASVPQDLIPIYDRTVIKAPGDIETKIMNQLNSFFKMVSGGKEPENPRSLKILPLQELSPDVVRFTLDLTREDIQTLRERLKRESSASSSSPKELRLSTFVVTFSYALTCLIRARGGDPKRPVGYGFAVDCRSLLDPPVPSNYFGNCVSASLGMPLTAETFMGEEGFLSAARMVSDSVEGLDETVALKLPEIMGAFMSSLPPGAQLLSVAGSTRFGVYGLDFEWGKPQRVVIVSIDQGEAISMAEGRDGNGGVEIGFSLKKHEIESLIDLLHQGLKS >A01p001100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:419302:421062:-1 gene:A01p001100.1_BraROA transcript:A01p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEKPSIDAASPRFHSSNKVADINTELSKMKASSLESDVVSLKAALSEKDALLKNLQTEVERSKETEKKLLSSLEDQSRELEESKAEIASLKERIDGSFHSQDSSEDDSSVQDSDIESLKIEMAQAHDAAEASSLKVSDLLEEIKAVKNELKEATEAEMTSKKAMDDLALALKEVATDASQAKEKLAAVETELTAARIDSKEWKEKHEEVKKEAELLKNTSERLRIEAEESLTAWNGKESVFVSIIKRGEDEKSSLLDENNRLLVALVAAENLGKKAKDENQKVRDILKQAISEANVAKEAAGIARAENSNLKDALLDKEEELGDAMREIERVKVNESVANENVKKLKKVLSEFEVAMEEERHRSLSRQDSLQKEVEVRVEEKEEEKKEKKREKKEKKREHNRQDHIDKKMIGKTCSFSLMKLAHAHNHHHHHKHKESVEEETAKGQGDTSHHTDDSGEGNSPSSDSYLFKGSIFDVAETPHAGGAQTHHKRRSSCTFLEEVETINPEDLESLEEGELNDKGAVAAARKKKAFIRRFGDLLVRRKSLSFSHKKESSTDSQDKQQQPQTPTSPSLPQPPLSPEP >A09p022230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12248209:12250040:-1 gene:A09p022230.1_BraROA transcript:A09p022230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFDILSEDFVPTAVFNEPLPWPVINGNKADHEGENLKKTKVMNGRGEREMVLGRNVHTTSLAVTEPESNDEFTGDKEAYMASVLARYRKTLVERTKYHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRPFEVGVLDWFARLWEIERDDYWGYITNCGTEGNLHGILVGREVFPDGILYASSESHYSVFKAARMYRMECERVDTLISGEIDCDDFRRKLLANKDKPAILNVNIGTTVKGAVDDLDLVIKTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFNKPIGSVSVSGHKFVGCPMPCGVQITRMKHIKVLSNNVEYLASRDATIMGSRNGHAPLFLWYTLSRKGYKGFQKEVQKCLRNAHYLKDRLREAGISAMLNELSSTVVFERPKDEEFVRRWQLACQGDIAHVVVMPSVTIEKLDHFLKDLVENRSVWYEDGSQPPCLVKDVGIKNCICPAHK >A05p001900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:480367:482410:1 gene:A05p001900.1_BraROA transcript:A05p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMFRPMILSNLIRSCSRATRSINRSSMMFRLYSTEVEPQLSPDLIKIMDERLSAIEHRNAILQRLINQPEYSQEEFSRANKELRKLRDSMELISSLRAIQKEIDGLKSLVSESSDDKDMLDMAVSELDEAVEEEKRLQTLLLKSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYERYSQKKGWKFDIVDITESDMKGYKEASAAICGASVYGKLKFESGIHRVQRIPITEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGCGGQHANTTNSAVRIIHHPTGIMVSIQDERSQHMNKAKALKVLCAKLYEIERLRLQSSRSKLRSEQIGSGDRSGRIRTYNFPQGRVTDHRVGITHHAIEDMMEGENLDTFIDALLLRQEMDAIASFSSTS >A03p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5289549:5291330:1 gene:A03p013450.1_BraROA transcript:A03p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETQNLHLPLRNRSSLKKPLLIVLLVCITSILLVCTYMYPQHKVKSPACEGLSTRGCQAALSGWLDVHVRKHTDEEVAARVVIRDILRTPPALTSKSKIAFMFLTPGTLPFEKLWDKFLQGQEGRFSVYIHPSRLRPVHISRHFSDREIHSDQVTWGRISMVDAERRLLANALEDPDNQHFVLLSESCIPLHTFDYTYRYLMQGNVSFIDSFEDRGPHGTGRHMDHMLPEIPRQDFRKGAQWFTMKRQHALIVMADNLYYSKFRQFCRPGVEANKNCIADEHYLPTFFHMLDPGGISNWSVTYVDWSERRWHPKTYRARDISLKFLKNITSDDVSVHVTSVGKRGEELHWPCTWNGIRRPCYLFARKFHSDSVNKLVRLFPNYTSTVV >A06g505860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16923397:16929543:1 gene:A06g505860.1_BraROA transcript:A06g505860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRVQSLHSDRTSARARSLRSDRAGRALGRYVATELWLELGRYVTTRARSLRNDRAGRSLGRYVATELWLELGRYVATELGRARGKHGLSLLRSSGDSIRRFDENAWIDVCRCSEEFNRYTATELWLEPGRYIATERDERSRPSETDARSLRSDRAWLELGLFGLNLQGYFFVKTSYCIFYENYIFPFTISFGNTISEDFRVTFSFSSLSRMSQGVSANARPTIFNRGTVRHGRGEFFREIEFVAHSVDPAEADAYWVAMCNVEEPPPEPWVPIRPFSERVVGRPSRSRTHMEVLKGFPQGGCGWKSYFFYVRLDQASVTVECLPSFRRLWGAGVHNPIPLFPEDLCIVRDLLRGGPLFWGHFSPERVRAAVEAHRSRFSSSIDNVMEASFEDTSLSAVYAAGRSSGRGSLDVEEDGEPTVEDPISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSYRFKVRDRFSAYMTCMRAFVLVLDVLKIKRVIELRLFKTASVFVGANRRTGCKVFGGRVRTIYDNFTIFGPDEAADKSLNVFRRVLKRGLRTCVELRRPMRRSESRMRSLTLVTSESSPASSFVAIGRGVSSGLVELAEGVFVIPLIASPCVARCPALIRIDRIVMRPLEIFPLVMDVLVVTRIADIQCLVSRFPSLSAFTASELGLPFSQLLLFVPIGDFFLFRHWFFERGAFPSGSASGPSRMSIDVLVGVVGDIARIQVNVFGFVILRVLCRGRKTFRVPLFDGRFFARWGSEVEPLPADFGGSAGTDRLGPCRGEHLFKLLERRGVGLCIGRGYVRYWNVEIGAAASVKRSLHVIRVIDPCPPSFYRQTVGTEIRTVDFRLNKETRKNPNFPEDPDIC >A04p026660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16039962:16040625:1 gene:A04p026660.1_BraROA transcript:A04p026660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVNPTTGSHNLRGILVGRDNDPWLFPNSPLRPTPRTLTNKNMRVSDLVDSISNFWNLTATKLHLPQYEDQIRLIILNSFNMQDELVWLVLKSETYSTKSVGSNLAAKGLYGDFRCKRCGQEKTDLLLFLQCPFANHIWNLVPALYTPPAQHIDSIT >A02p050500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31152783:31154603:-1 gene:A02p050500.1_BraROA transcript:A02p050500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDMLFSCAKDHTPTLWYADNGERHGTFRGHNGAVWCCDVSRDSLRLITGSADQSAKLWDVKTGQQLFSFKFGSPARSVDFALGDKLAVITTDPFMERTSAIHVKRIAEDPEDQVSESVLVLESPNGRKRINRAVWGPLNQTIVSGGEDAVLRIWDAETGKLLKESDSEVGHKNTITSLTKSADDSHFITGSLDKTAKACLWDMRTLTLLKTYVSGVPVNAVSMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKRYNII >A08p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2170932:2173253:1 gene:A08p003820.1_BraROA transcript:A08p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRDWDPGNQWRSGDYGWLRRFSSNGIEGSRQIGDAYQSYIGKILVRTIQRWMFMVFQFGLRNIRFRGSYFKESDDGIVWIVRWISFNSRSQESSGIILDRITKGILFFYARMGTNRYGFDWIRVQCEVKILGIIWIQGDNHGFITDRFGTNDGVVWVEGIGGKHKERARSYKGVVINGNTGNQQKERDGREYYGKGKGKMVEENDHKWRRVAEKGNKNSINNRGTYRGDGEGSRQRMPRREDERGVTQEERSRVISGKATGQAEDQLVMKGPCVEEREEGELQCSEAKVGKDNKLVEIVQQDAPSLEFQEELAKTQATGAAVISDPMDTENGLQVVNSLIDNVNEVEDGGDTDRIMDMDEIRAVFLEHGVDMDAADLEECSEGEMAEALRELEQASGEDNREVEQVTNVEAEKDMADGDVGKKNGSRKRLFKPTISTAASTKMRLAKALVSPRKRAVGKTGTRHGEPGKQMENKGPSIPKLGPPKP >A02p037850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20234107:20234717:-1 gene:A02p037850.1_BraROA transcript:A02p037850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGERMPKVSGVHGKQTALAGGNAEPRPWPVQERSPWPLAGLPMIGSNISGERHREDSGHGKMCADWVIVDKCEVLIAYCANCELMMD >A03p066240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29302448:29305088:-1 gene:A03p066240.1_BraROA transcript:A03p066240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMLSLGSTSLLPREINKDKLKLGPSGWNPFLRTKSLSRVTMSVSVKPSRFEGITMAPPDPILGVSEAFKADTNELKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGDNKEYLPIEGLAAFNKATAELLFGAGHPVIKEQKVATIQGLSGTGSLRLAAALIERYFPGAKVLISAPTWGNHKNIFNDAKVPWSEYRYYDPKTIGLDFEGMIEDIKEAPEGSFILLHGCAHNPTGIDPTPEQWVKIADVIQEKNHIPFFDVAYQGFASGSLDEDAASVRLFAERGMEFFVAQSYSKNLGLYAERIGAINVVCSSADAATRVKSQLKRIARPMYSNPPVHGARIVANVVGDATMFGEWKAEMEMMAGRIKTVRQSLYDSLVSKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSMAKCEYLADAIIDSYHNVS >A08p027180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17222739:17224821:-1 gene:A08p027180.1_BraROA transcript:A08p027180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGVSESSPVLQQMMAAGNPNWWKESGDMRPPPPLMGHQQGPLPPQMTNNNNYLRPRMMPTLLPHFLPYPSTSSSPSLPNNPNLSSWLERNDLPPESWSLSQLLLGGLMMGEEERLEIMNHHNHHDGQQHHSFQGKMRLENWEEQVLSHQEASMGVVDIKQESIINNNNGHHLICSPNSPPNKSCVTTTTTTSLNSTDDNNNNSMFDFSSNHNGLNFSEGRHTPPDQSSECNSLDIGGSTNKKPRLQPSPSSQSTLKVRKEKLGGRIAALHQLVSPFGKTDTASVLSEAVGYIRFLHSQIEV >A09p060780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50300078:50301320:-1 gene:A09p060780.1_BraROA transcript:A09p060780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSTTFRRIVSPCWRPFGIGEGSIPSSSDDSNGRIDGLLWYKDSGNHLTGEFSMAVVQANNLLEDHSQLESGPISFHESGPEATFVGVYDGHGGPEAARFVNERLFYNMRRCVSDQRGVVSPDVITRAFVATEEEFLGLVQEQWKTKPQIASVGACCLVGVVCNGMLYVANAGDSRVVLGRLESPFKEMKAIQLSTEHNASIESVREELRLLHPNDPNIVVLKHKVWRVKGIIQVSRSIGDAYLKRAEFNQEPLLPKFRVAERFEKPIMRAEPTITVHKIQPEDQFLIFASDGLWEHLSNQEAVDIVNTCPRNGVARRLLKAALQAAAKKREMRYSDLEKIERGIRRHFHDDITVIVVFLHSASFGVRTPVSVRGGGVLALAGNAIL >A05p045380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27157454:27158842:1 gene:A05p045380.1_BraROA transcript:A05p045380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:Projected from Arabidopsis thaliana (AT3G13970) UniProtKB/TrEMBL;Acc:A0A178VDM5] MTKNRITWKESKKTLLVASGFVINQPNSTKSLTSSSNVVLVVVLIFSLSISSMSSVRKIVVHLRATGGAPILKQNKFKISGTDKFAKVIDFLGRQLHSDSLFVYVNSAFSPNPDESVIDLYNNFGIDGKLVVNYACSMAWG >A01p010480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5128898:5134857:-1 gene:A01p010480.1_BraROA transcript:A01p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRELHHQHQQQLQQQQQLQPPPGMLMGSFNRNPNASLMGPTSTSQAMMHHHHRSSSLPFGSLSPHHLQMDQKTLESLGFEGSPSTHQQSMRFGIEQQQQVKKKRGRPRKYTPDANNIALALAPTSPLPSASNSYGGGNDGAGDSGGCGGANSTDPPAKRNRGRPPGSGKKQLDALGGTGGVGFTPHVIEVKTGEDIAMKVVAFTHQGPRAICILSATGAVSSVMFRQSSNPNGVVKYEGPYEIISMSGSFLNTESNGTVTKTGSLSVSLARPDGQVVGGCVAGMLVAGSQVQVVVGSFVPEVKKPKQSAGRVQNTPEPASAPANMLSFGGGGPGSPRSQGQQQHSSESSEENESNSPLHRGSNNNNNNNHHGLFGNSPPQPLHQMPMQQIVGSLLCSVYTSLHQNYPQKSHGGDNLSPATIMSTYPSSLPPHSPSENYVTPPLTVILTVILLVFFFIGFFTLYFCKCFLDAMMQAWRLRHGGEAEATENPIQPPEAPTVNPGLELRIINSFPTFPYSSVKDLREEKYGLECAICLLEFDGDHVLRLLTTCYHVFHQECIDLWFESHKTCPVCRHDLDPPPPENTTITLPNVDEMIIDVIQENSDDDQHHQITTQIDTWPSSGQNSCLNSERSRKEQTLPDKFSRSHSTGHSIVRNKPEEEDRYTLRLPEHVKIKVTRGHGQTESCVTFGEIIRNKCDHRRFGEVSNQTVVTQSEN >A02p015290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6753561:6755754:-1 gene:A02p015290.1_BraROA transcript:A02p015290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFDEYMADEYDMDDLEDEMNAEFDARDIDASDSEVEDFEQLNKAADTSAAQARNGKDIQGIPWDRLSVTRKDYRKTRLEQYKNYENVPHSGEEAGKHCTETEKGSSFYTFRSNSRSVRSTILHFQLRNLVWATSKHDVYLLSNYSISHWSSLTGSRNEILNVKGHVAPSEKHPESLLEGFTETQVSTLAVKERLLVAGGFQGELICKHLDRPGVSFCSRTTYTENAITNAVDIYRNSSGALHFMASNNDCGVRDFDMERYQLVQLFRYLWPVNHSSLSPDGKLVAVVGDDPNGLLVDSSNGQTIGTLKGHLDYSFASAWHPNGVTFATGNQDKTCRIWDTRKTSESVAVLRGNLGAIRSIRFTSDGRYIAMAEPADFVHVYDTTAGYKKEQEIDFFGEISGISFSPDTESLFIGVWDRTYGSLLEYGRTRDFSYLDSLL >A03p070930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31191805:31194059:1 gene:A03p070930.1_BraROA transcript:A03p070930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sks15 [Source:Projected from Arabidopsis thaliana (AT4G37160) UniProtKB/TrEMBL;Acc:A0A178UTS2] MKQTNLLCNLFIGTLLVWLGSVLVKAEDPYLFYTWTVTYGTRSPLGVPQQVILINGQFPGPAIEAVTNNNIVVNLINKLDEPFLITWNGIKQRRTSWQDGVLGTNCPIPPNSNWTYQFQLKDQIGTFTYFASTSMHRASGAFGALNINQRSVITTPYLTPDGDFTLLVTDWFKLSHKDLRKRLDAGYALPLPDALLINGASKGLIFTGQQGKTYKFRVSNVGIATSINFRIQNHTLTLIEVEGAHTLQESYESLDVHVGQSVTVLVTLKASVRDYYIVASSRFTKPVLNTTASLRYLGSKNAVYGPLPVGPTYHIHWSMKQARTIRMNLTANAARPNPQGSYHYGTIPINRTLVLANAATMLYGKLRYTVNRISYINPTTPLKLADWYNISGVFDFKTILSTPTIGPAHFGTSVFDIELHEFVEIVFQNDERSIQSWHMDGTSAFLVGFGSGTWNETMRKRYNLVDAVARHTFQVYPLSWTSILVSLDNKGMWNLRSQIWSRRYLGQELYVRVWNDEKSLYTEADPPLNALYCGLAKRPL >A01p042210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22744502:22747117:-1 gene:A01p042210.1_BraROA transcript:A01p042210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADFGQLNMEEPPPFWGSRGVDCFEKLEQVGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILNKLDHPNVIHLKEIVTSPGRDRDDQGKPDNNKYKGGIYMVFEYMDHDLTGLSDRSTQGFTVPQIKCYMKQLLTGLHYCHIKKVLHRDIKGSNLLIDNEGNLKLADFGLARSYSHDHDGNLTNRVITLWYRPPELLLGATKYGPAIDMWSVGCIFAELLNGKPILPGKTETEQLTKIYELCGSPDENNWPGVSKMPWFEQLKSPRPLRRRLREIYRNFDRHALELLERMLVLDPSQRISAKDALDADYFWTDPLPCDPKSLPKYESSHEFQTKKRRQEMRHNEEAAKKQKMQHPQQHSRWPAQQHGVGQTHAAPHWPAGPNHPINNGPPPQIPAGGPSGHHYYQNPRGPAPGSNGYHTSGNQTGGYNNRSRGGYSSCSFPSQGRGAPYGAGPSGGYGVRPPNYSQSGGQYGGSGRGQNPMGGARNQRYGGWQ >A06p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20750484:20752529:-1 gene:A06p038570.1_BraROA transcript:A06p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNNGNVVPAADPLPGKKTKLMSSRSWVSIVATGESETLDVDKYAIMHRVQIHARDLRILDPNLSYPSTILGRERAVVLNLEHIKAIITADEVLLRDPSDENVIPVLKELERRLPVGNEAQNGQGDGKESSSANNDADAAEEDDSPFEFRALEVFLEAICSFLAARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLSSASSASSSPNCYLTSPTIGSKISRASRASLATARGDENDVEELEMLLEAYFMQIDSTLNRLTTLREYIDDTEDYINIQLDNHRNQLIQLELVLSSGTVCSAFYSLVAGIFGMNIPYTWNDNHGYMFKYVVILTGAICVILFTFIMSHARYKGLVGS >A06p000490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:332436:333761:1 gene:A06p000490.1_BraROA transcript:A06p000490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCL18 [Source:Projected from Arabidopsis thaliana (AT1G55580) UniProtKB/TrEMBL;Acc:A0A178WEV4] MLASFKSSSSSSEDATENPPPPPPLCLASSSAATSAAHHLRRLLFTAADFISQSNVSAAQNILSILSSNSSPYGDSTERLVHLFTKALSVRIGLSENTATWTANEMASSSTVFTSSVCKEQFLFRTKNNNNSDLESCYYLWLNQLTPFIRFSHLTANQAILDATETNNGNGALHILDLDISQGLQWPPLMQALAERSSSNPSSTPPPSLRITGCGRDVTVLNRTGDRLTRFANSLGLQFQFHTLVIAEEDLAGLLLQIRLLALSAVQGESIAVNCVHFLHRFFNDDGDMIFHFLSAIKSLNPRIVTMAEREANHGDPSFLNRFSEALDHFMAIFDSLEATLPPNSRERLTLEQRWFGMEILDVVAAEAAERKQRHRRFEVWEEMMKRHGFANVPIGSFAFSQAKLLLRLHYPSEGYNLQFLNDSLFLGWKNRLLFSVSSWK >A08p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3970818:3972839:1 gene:A08p006850.1_BraROA transcript:A08p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGEHHTVPLSVLLKRESANEKIDNPELVHGQFNQSKKGEDFTFVKTECQRVTGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLAAIPSDLNRDEWVAALPRALVAGFVKTDKDFQERARTSGTTVTFVIVEGWVVSVASVGDSRCILEPAEGGVYYLSADHRLEINQEERDRVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDLDVGEYIVPVPYVKQVKLSSAGGRLIISSDGVWDAISAEEALDCCRGLPPEASAEHIVKAAVGKKGIRDDTTCIVVDILPSEKPAASVPPPKKQGKGMLKSMFKRKSSDSSSNIEKEYAEPDVVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEVKPGEGVSIHAGSANFPKLRPWDGPFLCASCQEKKDAMEGKRSLGDRHSSESD >A09p023940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13237004:13237961:1 gene:A09p023940.1_BraROA transcript:A09p023940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVISGDLKRICETELSLRYLIVVSQNMCSRLMGGRKTVLLDAVSCRVPLVVASQDMRVWFVLKLTDNNLYKICIKHGKIQYAALELLISFRKATSDHLFYRASDGVSEGQFYQVLLYELDAIRKACALLEPNYQPSVTFIVVQKRHHTRIMHILQHFEHVSTCAKGLARTV >A03p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2917703:2919789:-1 gene:A03p007000.1_BraROA transcript:A03p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGFVSEISGNWSKPPSHIVTFASEETKLIQRSFKEAMTTQVRYFPMEKHQIPMEYLTVGFIGAGKMAESIARGMVASGLLPPHHISTAVHSNLSRHEVFQSFGVNVFSSNEEVVEESDVVIFSVKPQVVKKAITELRSKLTKDKLLVSVAAGITLKDLQEWSGHDRFIRVMPNTPAAVGEGASVMSLGTAASEEDGALVAKLFGSVGKMFKADEKMFDAVTGLSGSGPAYVFLAIEALADGGVAAGLPRELALGLASQTVLGAATMVSKTGKHPGVLKDDVTSPGGTTIAGVHELEKGSFRATLMNAVVAAANRSRELSQS >A05p042960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25960597:25962108:-1 gene:A05p042960.1_BraROA transcript:A05p042960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQVALIKQSLFDQGFLDEQFMELEELQDDVNPNFVEEVATLYFKDSARLINSIDQALIGASKVKTECTMFREYCRVGNAEGWQDRRGLRRQHVGPSKRERHLRNRQRFLY >A04p037060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21188879:21190408:1 gene:A04p037060.1_BraROA transcript:A04p037060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYQVLGVTRNATKQEVKEAFRRLAVKYHPDKHAQSPDHVRLNATVRFKLVSEAYEVLNDDRKRASYNAVSDSDCFRRTGGTYSNPYGNRSYGYGYGYSARNRPQGSSFSSAFESTFRYLTTRAFLLNLALAGGMYFAFTAINSSGETLWKMRNSGKSFEEAMDSLEKTKVYKDEG >A07p047630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25547006:25548251:1 gene:A07p047630.1_BraROA transcript:A07p047630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSVTDSQLFHESPVSRDFVKKRKANWFWKLKQWKIDARRRQWIYQWKRASVGEAGLRSLRDKLIDRKTVNDRVSYLESWSIDDHHDGLRCYDDSDDDETDLSASSPTSVLKNKDSDSSNKSVHDCFCCSNQITEEEEEEAFDDAYDNWEDFSDALNSFESDEDSQRKKKSSPHKDKCKQEASPEKAIHHNATSRKKNKKKKKSDQKKEGDGDDEISDCPICSEEMDATDLSFLPCPCGFRLCLFCHKQINENDGRCPACRNKYEQTSSHNSGEVSFQQRGRGTVRLSPSFKGLDIA >A01p049780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27936987:27937684:-1 gene:A01p049780.1_BraROA transcript:A01p049780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKYAGETLDTLKRAIYVRDTTAKSMFEAPCFKSCTLLHKQVLQHWSWFQFGNSVLGCGWTQGDDYLKHAFSMGYGF >A03g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8617853:8618276:1 gene:A03g502750.1_BraROA transcript:A03g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKENVKRGPWSPEEDAKLKDYIEKQGTGGNWITLPRKAGLRRCGKSCRLRWLNYLRPNIRHGDFTEEEDNIICSLFASIGSRLKKSSFNFL >A06p055150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28866312:28867280:-1 gene:A06p055150.1_BraROA transcript:A06p055150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNPKMKHDVFLSFRVKDTRDNFVSHLCGCLRRKRIKTYLFDELPYEERYEESLKAIEVSRVSVIVFSENFGDSKFCLDEVVAILKCKKRFGQIVIPVLYHVDRVDIENQTGSFGEAFAKRQDKADQIKEWKDGFTEAINLPGWSTSHLRDEEMLVNEIALDIESKLLRASRTKVIIEWSLVIINLMLEIPSCVFDQISSTQKPLYALAAMSMSFCNVNVIFILSTLPRRSLS >A10p015670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3507113:3509176:1 gene:A10p015670.1_BraROA transcript:A10p015670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PP2A regulatory subunit TAP46 [Source:Projected from Arabidopsis thaliana (AT5G53000) UniProtKB/Swiss-Prot;Acc:Q8LDQ4] MGGVAMEEMPLSALFEEARKIHLAASESRADQDVVRKGCEMLQKCEDMVGKLGLFSSNETKEDISTNNLKYLLIPFYLAELTEKIKQEDRIPIVKASYAKLKEFFSFCEAMELVPEEELEASSRGGSAPPADRRALKIARFKRQKAAEAKLLEIKERKERCGRSKRASALSTPVESGEEDIPDDDSEEERDAWLATINLAICKAIDLLEMLKREEEMLSAIKEKQLKDGEDVFSRDALDDRTKKAETWHRDAAARVQYSRPAQPITCATFAQDVLEGRASVSQGHEHKHQPLIFGPASIVNGSLSTERERMIAQVFQPSHRMPTMSIEDAGLTEMNIMNDWQEQTKKAIEEATTSWHNDRPLRRKEEDEEDEDEDEEAVMKARAFDDWKDDNPRGAGNKKLTPCG >A03p035300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14834076:14835516:1 gene:A03p035300.1_BraROA transcript:A03p035300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPAPLLCYIKISPVFFSDLKSGRCSSVVEARLLRFWEARNVKRELLPFMYLTRARYGGVGPFQEATGIESLHLNGGSSRSSTVEVKLPCLALTGSLLYVSLREDVSPSSATELTQDAYDKLDVIGIDETQFSGDLYEFCCKYADDDGNTVIVAVVDGDYLRWSFGDVLDIVPIDDSVTKLTARYEVCGQKGFFTVRKTCDTRTELIGGVDVYMAVCLKHYINNQIVIKAICVLSFF >A10p007250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11622775:11625715:1 gene:A10p007250.1_BraROA transcript:A10p007250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSAPRPAKMDVRESISLQNHVSLSLAKNVISTVSKNSNVIFSPASINVVLGIIAAGSAGATKDQILSFLNFPSIDHLNTFSSDIVSAVLADGSANGGPKLSAANGVWIDKSLSFKPSFKQLLDGSYKAASNQADFQTKAVEVIAEVNSWAEKETNGLITEVLPEGSADSMTKLIFANALYFKGTWNEKFDESLTNDGDFHLLDGSSKVTAPFMTSKKKQYVSAYEGFKVLGLPYLQGEDKRQFSMYLYLPDANNGLFDLLDKMVSTPGFLDSHIPRRQIKVGEFKIPKFKFSFGFEASDVLKGLGLTSPFSGEDGLTEMVESPEMGKNLKVSSIFHKACIEVNEEGTEAAAASAGVIKLRGLAMEEEMIDFVADHPFLLVVMENITGVILFIGQISFKFDACSSNRGGHSLTRESSHHQTGRIAAATAVPGRLLHHSRLPFRYLHPSSPLSLLYKKNPKSDITSDKSRFKSKIIGSAGVRCDSVYRIEKCWLKVGMQGSFKIYCYLFVRCENKPHPWTSDEHRDHSRPLPKLENAIENIYKIFKKFQE >A03p056590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24486201:24490840:1 gene:A03p056590.1_BraROA transcript:A03p056590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVQVYHEDGRFGEMEIHPPPREPDDDVLRKKKQREVMEQVKIGIRVTRFSHPSERCPPLAVLTTVSSCGLCFKLDAPASSTAQEPLTLLHSSCLTDNKTAVMSLGEEELHLVAMYSENINNDRPCFWAFTVASGIYDSCLVMLNLRCLGIVFDLDETLVVANTTRTFDDKIEALQRRINNEMDPQRIAVMVAEMKRYQDDKVLLKQYVESDQVVENGEVIKVQSEIVPALSDNHQPLVRPLIRLPEKNIILTRINPMVRDTSVLVRMRPSWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINANDLLSRIVCVKAGFKKSLFNVFLDATCHPKMALVIDDRLKVWDEKDQPRVYVVPAFAPYYSPQAEAAATPVLCVTRNVACGVRGGFFRDFDDTLLQRIAQISYENDVEDIPSPPDVSHYLVPEDDSSGLNGNKDPLAFDGMADAEVERRMKEAISGSSAANIDPRIAAPVQYPMASALSVPAPVPVPVPVVQQPSAMAFPSTQFQQPTSIAKHLVPSEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDAAPSEPPFPQRPPVQAPPPPHVQPRNGWFPVEEEIDPASLRRTVSKEYPLDSESLNRPRHQSFFPKIENSTTQSDRMPHENRRLPKEPLRRDEQLRSNNNPPGSHPFYGEESSWNQSSSRNSDLDFIPGRSVSATENPAEVLHQIAVKCGSKVDYKPGLVATTDLRFSVEAWLSGEKIGEGIGKSRREALRKASEVSIQNLADIYLSRANGDPGSSHRDVSPFANGNIIMGNANALDKQPFARDETAMPVPSRPTDPRLEGSMRHTGSITALRELCASEGREMSFQSQRPLHSDMVHRDELHAQVEIDGRILGEGVGSTWDEARMQAAERALYSMRSMPPLHRRQGSPRSFGGMSNKRLKPNFQRMPSSGRYS >A02p017010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7650375:7651987:1 gene:A02p017010.1_BraROA transcript:A02p017010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLKASQARPTLTKDAISQLPNDLTCQILSLLSTKEAVKTSVLSTRWRHLWLSLPCLELKSREFLDLKNFTSFGDRFFDSTRVTLSDAEFVSLPSLKTMHLEYIEFPNEATFETLVLCSPVLECLKIVVASDDEKVFRVHSRSLKRLTFERVSPFLFDSAGVVIDAPRLCFLNINDNISKSVIIHKLESNAVLQISLLGIVRLEEFDDEFFDDIYEVIVSSKISNIHKFLHGISMARSMKICRGTFKIMCHYSKLEPFPQFSNMSYLDITLYPREFKWLPAFLESFPNLKYLALVMVCDDRKGGFSEDIDQVSFSSVPECLLSSLEFVELIAPVQYDVELKLVKYLLKNSAVLEKLILRLASYNSRRDYMLKKLLKIPRVSTKCKVVIL >A01p054360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30501339:30502357:1 gene:A01p054360.1_BraROA transcript:A01p054360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFILNVFFVLVATTTSLTEHNPKSLSQDQSKTLMLLYFRSLKTPKPLWTLEAQPYGSSATSLAITQPTTPLFSVGPTAANKLKISASLHCMGTYGPPCRNDTCHAYLQTQMTYNTVLASLVKDDVVLSYTSPSTKLAARLPLACMSASEVVAGLPYGTQGILGLGNSSTSSVKVMVSSYKIPFKVALCLPSKPGNDFGPVYIGPPNRKDVRRLLVSTSLVSIPETRERGEDNYYIDVKSIQTSHWGGTKIPYTLLETSIYKALVKAFVGKAQKRKAVYPFTDCFSLKILEENLCFTSLKT >A05g509280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27957819:27958952:1 gene:A05g509280.1_BraROA transcript:A05g509280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRRKPGDRSNSVSLSPLQRVKRDTGGSYENFSATKSKEIYRVADPSLTVSFLTETVLSPLTTTTSPALSLFPQTGSGSTHMWISKLT >A08p017930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12485318:12486114:1 gene:A08p017930.1_BraROA transcript:A08p017930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRQTLKNFSEDSQKTLGKSSNTFFARRLSTKSPGSLPKSSIQNGTYFGYTLKRLLGKYSNAFYARRLLGKFSNAFYATKSSGSLLKSSAQSDTNFGYIFCSAFGRLLRRLSELLEDFLESLRKVFRCFLPKVVQKNDVKWSSSLSMLRNDI >A09p013750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7123959:7126406:-1 gene:A09p013750.1_BraROA transcript:A09p013750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRFGNRFRLGRKIGSGSFGEIYLGTDVQTNEEVAIKLESVKTAHPQLSYESRIYRVVQGGTGIPNIKWFGAEGDYNVLVMDLLGPSLEDLFGYCSRKFTLKTVLMLADQMINRLEFIHSKSYLHRDIKPDNFLMGLGRRSNQVYIIDFGLAKKFRDSSTHRHIPYRENKSLIGTPRYASLNTHLGIEQSRRDDVESLGYILMYFLMGSLPWQGLKAGNKKQKYDKISEKKVSTSIETLCRGHPTEFASYFHYCRSLRFDDKPDYAYLKRLFRNLFIREGFQFDFVFDWTVLKYQQSQPGNPSPRANDGGVGTSSGFNPAVSNAEKRSGLYPSPKQMQAHSNEYILKACLYSIEFPNQRTNLDLNLKQKTKNVNESATAKDKLVPGSFLGRSEGSSSRRVVDSSSREPFSGGSDNANYESALKGIDSLRINSNAGDETAALPQSNGDDNTPPVEPKAKLSD >A03p010010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3983236:3989096:-1 gene:A03p010010.1_BraROA transcript:A03p010010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQLQQQAQLAMVLGSDTAPFETLISHLMSSSNEQRSSAEALFNLAKQTNPDTLALKLAHLLQLSPHPEARAMAAVLLRKLLTRDDAYLWPRLSLPTQSSLKSSMLSCIQREEAKSISKKICDTVSELASGILPENGWPELLPFVFQCVSSDSSKLQESAFLIVAQLSQYVGETLTPHIKHLHGVFLQCLTSESASSDVKIAALNAVISFVQCLSNSNERDRFQDVLPAMIRTLTESLNNGNEATAQEALELLIELAGTEPRFLRRQLLDIVGSMLQIAEAESLEESTRHLAVEFLVTLAEARERAPGMVRKLPQFIDRLFAVLMKMLEDVEDDPAWYSAETEDEDAGETSNYSMGQECLDRLAIALGGNTIVPVAYQQFSAYLVASEWQKHHASLIALAQIAEGCSKVMIKNLEQVVSMVLSQFQSPHPRVRWAAINAIGQLSTDLGPDLQNQHHEIVLPALAAAMDDLQNPRVQAHAASAVLNFSENCTPEILAPYLDGIVSKLLVLLQVRNGKQMVQEGALTALASVADSSQEHFQKYYDVVMPYLKTILMNATDKSKRMLRAKSMECISLVGMAVGKDKFKDDARQVMEVLMSLQGTEMEADDPITSYMLQAWARLCKCLGQDFLPYMDVVMPPLLQSAQLKPDVTITSADSENEAEDSDDESMETIILGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVALTLVPLLKFYFHEEVRRAAVSAMPELMRSAKLAIEKGEAQGRDLSYLKQLSDYIIPNMLEALHKEPDTEICVSMLEAINECLQISGNLLDEGKIRSIVDEVKQVMTASSSRKRERGERANAEDFDAEEGELIKEENEQEEEIFDQVGEILGTLVKTFKASFLPFFDELSSYLTPMWGRDKTAEERRIAICIFDDVAEQCRDAAFKYYDTYLPFVLEACNDESPEVRQAAVYGLGVCAEFGGSVFKPLVGEALSRLNVVIQQPNARQSENAMAYDNAVSAVGKICLFHRDSIDSSQVLPAWLNCLPLSNDVIEAKVVHDQLCSMVERQDVDLLGPNNQYLPKIITVFAEVLTGKDVVTQETAGRMVNILRQLQQTLPPSALGSIWSTLKPEQQHALQSMLSS >A09p032100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19454802:19458800:1 gene:A09p032100.1_BraROA transcript:A09p032100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVIERDMGTETETDTDEDMETDEPMVFEVTEIDLEYEFDAARWCDFTREESPSESRVAELWFETAESYPPSPFAIKLLMMREEVSDEKTEPLSKSEVVRDRESDIDISQDQHCLATDVNETANEMKSGVFNFIQRGGELKNVPNESLLKGGHFYHHIIMSIVTILFGPTISNHVHNDKVKCRTKSSSRQIPRGSTLMKPTASQLAKLDNSRLRMQVDKTKEKGLFSSSGSEAQASKRQKLDGGLLRKVAEKTQEINFVHKAVKKDRTLERNLQHGRTKTTVPQEPDFATSHRANRIRQKDDAKLDQDATSVYRFKARPFNRKIFEAPSLPIRKKSTPKLPEFQEFHLKTSERAMQHSSAVSTGNNYHKGSYKPDTKAFLDGVNREPRRPRAADIAKDDDRKHIFKARPLDNKIVSSRRDIGIFRKSKRETTVSLTQTREFSFRSQKKVQQDLPTDLFSKLSIKPELQPNNGSRLRSPQPEQGSKENRLNSFQAGNERTRTVQGGIWTTGRPNKKAHKGLTRTISTCGSLICFPSSSLSFRVIDMSGFTLPARLFLACPSRALHRLHHSKLMLYCKMSSSSSSSSLTHSITLPSQPTEPVNVAAAAGERDRFVLVQELAKKLRVRDWNFS >A09p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7775874:7782007:1 gene:A09p014910.1_BraROA transcript:A09p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH125 [Source:Projected from Arabidopsis thaliana (AT1G62975) UniProtKB/Swiss-Prot;Acc:Q9LQ08] MDFVTSMFMPDLTDEDGLLFSDSFLLPPLIAYQNHDVCNQITYKNGVSNKKRSLCDTYVASEANNGDGDRESKKMKHRAIERQRRFEVSSLFKSLRSLLPLQYIQGKRSTADHVSQAVNYIKDLQNKIKKLNEKKDRLKKSLPSTLTAHPTAEECTSSLSSSLSTSSSRCSCVGDKHITVMVMPCFVGMEIIISCCLERNKSCLSIALQILAQEERLSVVSCLSTRLQQRFVHTIVSQVGSGIKINISELKDKIVNM >A02p057610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34624621:34626117:1 gene:A02p057610.1_BraROA transcript:A02p057610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSNVHQKPMMYRVQKTVVSAFIFSLSILPLLVSATDEENECGGSNGGGSATEKATILKYKIGAIFSILVAGVLGVCLPIFGLKSESNFFMFVKAFAAGVILATGFVHILPDATESLTSPCLGEESPWGDFPMTDLVAMAAAILTMLIESFASGYLNRSRSENEAKTLPVSTCGDKEEHSHIGSAHTHASQGHAHGSLLVPQDDMRKKIVTQILELGIVVHSVIIGISLGASPSVSTIKPLLVAITFHQLFEGFGLGGCISEAKFGVKKIWIMVLFFALTAPAGIGIGIGVSEIYNENSPMALKVSGFLNAAAAGILIYMALVDLVAPLFMDHKAQSSMKIQLACSLSLILGAGLMSLLAVWA >A05g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8418704:8419415:-1 gene:A05g502670.1_BraROA transcript:A05g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFFYFVECHFCWDCEIPCPTLSYLISTILSTLGLMRLARMDLLLVSIPKGLVLLELDISLYIRHFLSNYPIESSRCLVASSTFDTFSRKGPIPTRRVFWSSYRFSSNRSDTNCWDCEIPCPTLSYLISTILSTLGLMRLARMDLLLVSISKGLVLLELDISLYIRHFLSNYPMWDLD >A08p017870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12412260:12418444:-1 gene:A08p017870.1_BraROA transcript:A08p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLDIKKKFAQRSERVKSVDLHPTEPWILASLYSGTVCIWNYQTQTITKSFEVTELPVRSAKFIPRKQWVVAGADDMYIRVYNYNTMDKVKVFEAHSDYIRCVAVHPTLPYVLSSSDDMLIKLWDWENGWACTQIFEGHSHYVMQVVFNPKDTNTFASASLDRSIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDQTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIILTGSEDGTVRIWHATTYRCFYLKPFCNNADAFVGLENTLNYALERVWAIGYIKSSRRVVIGYDEGTIMVKLGREIPVASMDSSGKIIWAKHNEIQTANIKSIGASFEVTDGERLPLAVKDLGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSGLEFVWSSEGECAVRESSSKIKIFNKNFQERKSIRPTFSAEKIFGGSLLAMCSNDFICFYDWAECRLIQRIDVTVKNLYWAESGDLVAIASDTSFYILKFNRDLVSSHFASGRQTDEEGVEEAFEVLHENDERVRTGIWVGDCFIYNNSSSKLNYCVGGEVTTMYHLDRPMYLLGYIANQSRVYLVDKEFNVIGYTLLLSLIEYKTLVMRGDFDKANEILPTIPKEQHNNVAHFLESRGMIEDALEIATDPDYRFELAIQLGRLEIAKEIAEEVQNESKWKQLGELAMSSGKLQLAEDCMKYATDLSGLLLLYSSLGDAEGMSKLASLAKEQGKNNVAFLCLFMLGRLEDCLQLLVESNRIPEAALMARSYLPSKVSEIVALWRKDLSKVNSKAAESLADPEEYPNLFEDWQVARSVEANAVEARGVYAAAKNYATQADQPFITLVEAFRNLQVEAEEPLENGDRDDEVAEENGDAENEGGEEEENEEEEEVNQEEGVVDEDSTHGSAEGEEEWGTNSKDNQSA >A03p019100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7780153:7783469:-1 gene:A03p019100.1_BraROA transcript:A03p019100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inorganic phosphate transporter 1-5 [Source:Projected from Arabidopsis thaliana (AT2G32830) UniProtKB/Swiss-Prot;Acc:Q8GYF4] MARKGKEVLNALDAAKTQMYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYHVDGSKKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKKVYGITLMVMVLCSLGSGLSFGHSSNGAMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVSLIVSSAFDHAFDAPTYEVDPVGSTVPQADYVWRIVLMFGAIPALLTYYWRMKMPETARYTALVARNTKQAAADMSKVLQVDLIAEEDPQSNPSSSKTNSFGLFSKEFARRHGLHLVGTTTTWLLLDIAYYSSNLFQKDIYSAIGWIPAAETMNAIHEVYTVSRAQTLIALCGTVPGYWFTVALIDYLGRFFIQLMGFIFMTVFMFALAIPYDHWRHRDNRIGFLIMYSLTMFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIVGAFGFLYAAQSTDPKKTDAGYPPGIGVRNSLLMLGGVNFLGIVFTLLVPESKGKSLEELSREHEEQIGGGGGGDGTEIMDVANSGRTVPV >A05p014550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6368391:6370860:1 gene:A05p014550.1_BraROA transcript:A05p014550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQQVQNSTGSIRSSENIEDTFRRMEVNEEQSSQYPDRPGERDCQFFLRTGQCGYGNTCRYNHPLTHLPQGVFYQRDDLPERIGQPDCEYFLKTGACKYGSTCKYHHPKDRNGAGPVLFNVLGYPMRQGEKSCPYYMQKGMCRFGVACKFHHPHPNPQPHNGSHATTYGMSNFPSVGFPYAGGLPMMSMPPATYGAMPPPGTYGGAMPRPQVPHPQTYMPFMVPPPQGWSTYMAGSNPIYNVKTQLDSSSSASVPVAVTSHQHSVSERAECRFFMNTGTCKYGDDCKYTHPRERMLSPNLLNPIALPARSGKPACGNFASGYCKYGANCKFDHPMPLNPYDGTGLTMPSLPTAYAIPVTTHLPSRSDSNALSNGSKPAAENHTSETEKQDDKSEVQDPSQLSGSDSTAISNGNKPATENETSETEVTEKSEVQGSSDSAALSNGNSGAESPSSETKKQEDNPSQPDNSGNQDSSDK >A01g510020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27397637:27400437:-1 gene:A01g510020.1_BraROA transcript:A01g510020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKENSNSSEFPAEIRGNGKPDIRAGGKRLADDTAPHRAGEVGEGGTDARGRGRPRKTGPTRAGPWSIRMEDSVPTRKRGRPRRIPSIDAGSLRSITGMCRCGTLTQARQGPRSVREYTEKFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSDWEEELASPTGSGRAAGPKPDGEQKSPKRSPAENPRRSEALAVDSLSLRAVSLLLLSLRRVSSLSPSLLAASPSLSRPRLSLLAVSSREWWWWPRVVIDHRSRFLLPPTLRSRSRSRSRLKGAGKIESRRVLAGRGRNTLQRRSEPEELGGGPTRAGDFTGSSKKRGGMVRLSCVAVRLHRLSVVTRRFSFRIEPTISGNVNGKEGNATETHGTRNGTHRDVGKIDMCVLNPVPWNPGRKWEGADVSKNLHANAIPMPLYSPNFI >A09p033310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20171064:20174098:-1 gene:A09p033310.1_BraROA transcript:A09p033310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTVLLCCVVLIQLFAGQTDARSSSPWQTLSGDAPLVIARGGFSGLFPDSSLNAYSFAVQTSVPGSALWCDVQLTKDGAGICFPDLKLNKASTVEYVYPNRQKSYPVNGVSTQGWFTIDFSLSDLSNVYLLRGILSRTEKFDGIYPILTVEYVTTQIKPESFWLNVQHDAFYEQQNLSMSNFLISASRIVSINYISSPEVNFFTKIAGRLGRNGPIFVFQFLRKEDIEPTTNRTYGSILSNLTFVKTFASGILVPKSYILPLDDKQYLVPPPPKSLVQDAHEAGLQVYVSGFANDIDIAHDYSSDPVSEYLSFVDNGNFSVDGVLSDFPLTASSSIDCFSHLGENAIKQVEFLVISKNGASGDYPGCTDLAYDKAIKDGADVIDCSVQMSSDGIPFCSRSIDLSNSTMISQTPFAQRSTLVPEISSNGGIYTFSLTWAEIRNLTPAIGNPYRSYTMFRNPNKKNSGKLILLSEFLNLANNSTLLSGVLISVENAVYLREKQGLDVVKAVLDTLTETGYGNGTTKTKVMIQSTNSSVLVEFKKQSKYEAVYKVDEKISDISDSAIEDIKKFANTVVIGKESVFSLLDSFITRQTNVVEKLQKSKLPVYVELFQNEFVSQPYDFFSDPTVEINSYILGAGINGTITEFPFTAARYRRNRCLGEKQTPLYMAPVQPGFLLHAVYAKPPAQAPNPDFTDDDVIEPPLPPVTAKSPTSAPGDSFTNAQAPRPSGQTRLTLSLRFSVFASLLLLWISSPLCS >A04p026360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15899014:15903935:-1 gene:A04p026360.1_BraROA transcript:A04p026360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIGFHNLNQQRTRRVLTSSSSSSSSTVLPHLAPSCQETILSPQDPKPKTDLPSSFSFLRETKPCISCPRNQVTDSVNRVKLRDGRFLAYKERGVPKNEAKYKIILIHGFGSSKEMNFSASKELIEELGVYFLLYDRAGYGDSDPNPERSLQSEALDIEELAHKLQIGPKFYLIGVSMGSYPTWSCLKHIPHRLAGVAFVAPVVNYGWPSLSKKLIKKDYRRGLIKWCFRISKYAPGLLHWWISQKLFPSTTSVLESNQLYFNTSDIEVLKKTTGFPMLTKDELRERSVFDTLKDDFLAGFGQWDFDPSDVRMSGENSVHIWHGKEDKVVPFQLHRCILKKLPWIKYHEIPHGGHLIVHYDGTCDAVLRALLVGENQNKLQSEALREAITTIKGKSEDKKRNFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDSMDVEALKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLEGKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQLFQNVQMSINFLVSLLKKNWQNVRCLYLKSTMGPPQRIF >A09p071900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55322226:55327514:-1 gene:A09p071900.1_BraROA transcript:A09p071900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRGGGGGCCPPMDLMRSEPMQLVQVIVPMESAHLTVSYLGDLGLVQFKDLNSEKSPFQRTYAAQIKRCGEMARKIRFFKDQMSKAGVSPKVILDKDVDIDLDDVEVKLGELEAELVEINANNDKLQRSYNELVEYKLVLEKAGEFFASAHRSATAQQSEIESQQVGEDVLETPLLQEEKSVDPTKQVKLGFLTGLVPREKSMVFERILFRATRGNIFIRQSVIEESVVDPNSGEKAEKNVFVVFYSGERAKSKILKICEAFGANRYPFSEELGRQAQMMTEVSGRLAELKTTISAGLDHRKILLETIGDKFEQWNLKVRKEKAIYHTLNMLSLDVTKKCLVGEGWSPLFAAPEIQEALQRAAVDSNSQVGSIFQVLRTKEMPPTFFRTNKFTTAFQEIVDAYGVAKYQEANPTVFTIVTFPFLFAVMFGDWGHGICLLLATMYLILREKKLSSQKLGDIMEMAFGGRYVIFMMSLFSIYTGLIYNEFFSIPYPLFAPSAYECRDASCRHVEATTIGLIKTRDTYPFGVDPVWHGTRSELPFLNSLKMKMSILLGVAQMNLGIIMSFCNAKFFKSAVNVWFQFVPQMIFLNCLFGYLSVLIIIKWCTGSQADLYHVMIYMFLSPMDDLGENQLFPNQKTVQLTFLFLALVSVPWMLLPKPFILKKQHEARHQGQSYAQLDETDESLQVEITGGSHGHEEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALRYKLLLLAKTPPEHVITNPYALLVQSCPLGVVVSLLREGSPYGVGFQQYIHPYRWDPRLHLCNRGSASGDGDVERVPSRPASSLGGVSEQVLRRRWLQVCSLHFHSSRKRRRVSDDGSFLLSRELLQMPSSACAYIRYEKELVSSRLLSIILFLLLKEKLWMFSTSHVLLLYLSVKKLN >A06p005310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1560850:1561849:1 gene:A06p005310.1_BraROA transcript:A06p005310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSLPQLPSLSELESRMQVMRVSALEDNQTGETFSQRAEWFYQRRPLLLSLCQDLFHGYATLLNRYDQAKPQNPEPVSHENDSNDDTDISSQVEISILSFEQTQITACDKQKVDELVSQLVTANLERDMAQDELLRGEQKFREASETIELLKKLVMLLDMEKEVAVEETVSIGYKLASLLEENKDLATEALFMKKEAVRLARCMLKMRDEHFHQVCVLQSQVYALQSSRESVYENGSSPSCFGLDKSKSKKRKMSQTRSEPGEKKRKSKWLKRLNPFVKFSVSPSPALLHQ >A04p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8770732:8773152:-1 gene:A04p007580.1_BraROA transcript:A04p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNNDTMQKSKFGRICVFCGSSQGKKGSYQDAAVDLGNELVSRNIDLVYGGGSIGLMGIVSQVVHDGGRHVIGVIPKTLMPRELTGETVGEVRAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPEYSPCHESVASKLCWEIERIGYSSED >A03p003420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1496389:1497678:1 gene:A03p003420.1_BraROA transcript:A03p003420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSRTRTKAPRSARIRRNHDVSSSPAKIVADLDDVLLHILSFLPIKTLIKCKRVSKRWRSLITNPNFSNRIISSKHPLPISGLYLKGTRDIEYRFVSLLDDDDEVNEQRLSLSSPLRFADHPSPIIVMQSTNGLLLCKCTCPPNHFNRNFYVYNPTTKQKTLLPPIIDHVALSLAFDPSKSPHYKRFTPLTKDLGEDLFRFLLSLFLKPLPISATPSSGTAQFTAAFPEMIKTEYYTYRKIYSFSVIGFVKGETDAESSYIVLHIPNKAVKYYFISKTFKKLCSFEPSQDDDGFRRSFQFMESLANV >A07p050300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26808283:26810845:-1 gene:A07p050300.1_BraROA transcript:A07p050300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGDRIDDETPLFWSPEYIFELPEPCLPLRQPQNHQHQALHGQQQGISHESWLRGNLVNVRSRVRQFFFSQPMLSSEDPSLFTMNQIGMGQRIGQGNGVEHPTMSYFHEFPNHLQEIQQVSSDAFGSLFPQRFHRFSDDNLPVITTNTTATSLNRQQHCFCAHTLSTREPLLSNRNHNYYDLNQFLAVNTEHSSHIGPSNLIPNGLHNYMPHQTYVPITSAFTINGQQLPPSVLAIRRNEAHNLRTVNNSARHHVNMQRRLHQSSSSFRVSSLYTLLRRRPSANSSIPPQNEVIGSRRRTYESRFQFGEPSSSTRRRRTTPADGSVGSTAINPTEERRVNGLYDPEYQRQGLCIDPHLRNFLNQ >A06p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5140828:5141890:-1 gene:A06p002490.1_BraROA transcript:A06p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVTTPQRGNPDGSSPVQQTGIPVQQTGVPMSQFAPPGYHQANVNISVGTPWRSGLFDCQEDQTNAVMTAILPCVTFGQIAEVLDEGEMTCPLGSFIYLLMMPALCSQWVMGSKYREKMRRRFNLVEAPYSDCATHVLCSCCSLCQEYRELKARNLDPSLGWNGILAQGQYGSEAPGNAPPNQYMTK >A09p078900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58204306:58210234:1 gene:A09p078900.1_BraROA transcript:A09p078900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QLPVPQLIDEATSYMIKMKENVKYLKEKKKSLLGGEVPGKRSEGSSSLLPKLSIHSRDSIIEMNLIIDVSMKRLMLHELMRVFEEEGAQVMTASLQNLNDRTACTIIAQAVICRIGIDPSRIEKREGDREKGEGSSMSPREQRNLREKERRTRMKDLFIILSSHVSPTHRVFTSTSTYRSSDIIHDPIERKGEMGNYSERSSSLLPKLSIYSRDSTIEMNLIMDLNMKRVMLHELVSVFEEEGAQVMNANLQNLNDRMIIYTIVGQAIICRIGIDPSRIEER >A08g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5132565:5133311:-1 gene:A08g502360.1_BraROA transcript:A08g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGRKKEEDSRCGGGDRGGGDGILGSDGYEHAYVLPCAARELATTMYQDLATG >A08p004090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2377858:2378894:1 gene:A08p004090.1_BraROA transcript:A08p004090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALIPSREKAKSVAGLSVSELKEHITPSLQRRLTTSVIGLDRDTCSSSIHPWLSTRWRRATNLDFRCILLGHTRKIVSASQQEGPQLEEKSDGIWKDETNGRNDEASAFLGSTECEQAWFTEKTRFVEIAEAF >A05g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16066848:16067645:-1 gene:A05g505750.1_BraROA transcript:A05g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSTRSNKETQLLFSPDPASLEPSIRKEARSSSIDNNTCSSLDFRQPPSTQALVPSTDTRSPPSTKDTHLPSTDIFHPISIDTSEGHLRNAASQRTYAQGASIPESDTDATCTTLLEHKQKESIYYIYKIMSDLEISDDFGAFWRYLEQAPDMTIELDHRSILREKYISMFTSEHRSTAKRAENPFCHNRLEAQVFTNLQDYPDEF >A10p017260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2541983:2544556:1 gene:A10p017260.1_BraROA transcript:A10p017260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIITKPFLVLLLFLSCYTSSALFTPPDNYLISCGSSQNITYQGRTFVPDSSLHSSLLLKTGNSSVATTSTSTSNASISIYQTARVFSGLASYRFKITSLGRHWIRLHFSPIKNSTWNLNSASITAVTDDFVLINNFSFKNHNGSYIFKEYAVNVTSDLLTLTFIPSNGSVVFVNAIEVVSVPDSLIPDQALALNPSSPFTGLSHLAFETVYRLNMGGPLLTSENDTLGRQWENDAKYLHVNSSVLVVTANPSSIKYSASVTQETAPNMVYATADTMGEEANVASPSFNVTWVLPVEPEFRYFVRVHFCDVVSQALNTLVFNLYVNDDLALGSLDLSTLTNGLNVPYFKDFISNASVESPRLLTVSVGPDSQADITNATMNGLEVLKISNEAKSLSGLSSVKSLLPGGSDSKKTEVIIGSAVGAFAAVLLIAVCCYCCLAASRKKRLTSPQENGNGNGHPWLPLPLYGLSQTLTKSTASHKSNTASCISLASTHLGRVFMFQEIMDATNKFDESSLLGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGMAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGAELPPLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDENLVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCCRPALNPVLPREQVNIAEWAMAWQKKGLLDQIMDSNLTGKVNPASLKKFSETAEKCLAEYGVDRPSMGDVLWNLEYALQLEETSSALMEADDNSTNHIPGIPMAPMEPFDNSMSMMERGGGNSGTGTDDDAEDATTSAVFSQLVHPRGR >A03p039540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16453493:16458926:-1 gene:A03p039540.1_BraROA transcript:A03p039540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCFKKKKKKKKTRDDQNKVVVKERKRERLLRQNQRCVLPLSFLLHPIPLQPLYSFPLRSLFPTPILTNTSMDPPVSDLDKIDDQKEKTGPSFHCHLYDTELVHKISQTLLPGLATACVDNTTGDIFRSPGSVAADVRKEMIEYLTNRSQTFVAEHIVLQGGDADTEASHHPFDIVSDFIDDFATSKRNLFSRVSGWLLSERREDNVDDFAQEMEISGFWLSDHRQGLAETLLKNVDFKNGYHCEMRFQTEGEVSEHVLTCGYRTMDCENEGCNAVFCKKQMESHDSVCPFKIVKCEQGCDERIMRREMDRHCITVCPMKLVNCAFRAVGCLDDVRQCEVQRHQLESVGSHLMCVLKSIYKEASVDDLKPRAEQIQQLSTRLSEARNARSLTNLVKEIDAKLGPLEIKSKSIDKTENAEKKGLEEAEVKGRPEIASEVVSREAEVLVDDVKKVSEAEIAENVNEEGELKAQKLLEIGEFIKEGDNSSGADLLERTETKAPEVVVMDEDREEDESAETKQLRAKDTRGSEEENREIKTDEIKSEAPSRIVVEKEENEEGAETINLSARASGEDREEDESAETKQSKTNETRGLEEEDRETKKPDETKSEAPARIVVEKEENEEGAETINLSVRASGEDREEDENAETKQSKTNETIGLETEVNEENREINKSDEIKNEAPSRIVMDKEENEEGAETINSSATASDEAEALSKSSEVSSMELSISQSPRLRFSSASPRFSAASHHHHHRPSVHLAGRLLTRHKDDAFTSLSSSCMQSKLVSTNYRKISIRACSQVGAAGSDPVLDRISRFQNACWRFLRPHTIRGTALGSTALVARALIENTHLIKWSLVLKALSGLLALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAIAGLLVVGFNFGPFITCLYTLGLFLGTIYSVPPLRMKRFPVAAFLIIATVRGFLLNFGVYHATRAALGLSFQWSAPVAFITSFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLVNYISAISLAFYMPQVFRGSLMIPAHMILASCLIFQTWVLEKANYTKEAIAGYYRFIWNLFYAEYLLFPFF >A02g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10633099:10633422:-1 gene:A02g503210.1_BraROA transcript:A02g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMALHSSLSSASLCYSFISQQPKPSMTVSSASFNNQSTIPISLKRKIVTLVSPETLTAEKVTDVSDTRQPKQVVKQQEEKA >A08g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4142663:4144030:1 gene:A08g501670.1_BraROA transcript:A08g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLWGGIGQKYCGPFSCGSRGTGPRRYWTEAGRAETGCGQTLRPATLFSPRTELNGDRVMSMAYGHSCSLCFFPFDRQSQALVHNSSFLHAIKDSPSSSLLFSFRFFISFRLFTLSSSINDHIASSSSPLHSRASTTMCREFFVYPNSSIRLWAVSDEVLSHDSSPRWFVWYSGVPASQQNLEDTGEICADSRYHFDHGSGSQMTCDSRNHSRFLVPRDMTVGHFIHMLSNRLHRTTSKALFVLVQNTLPQQLLQWTL >A01p030500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13928502:13930539:-1 gene:A01p030500.1_BraROA transcript:A01p030500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALMKSVGVRALKLLSSAVNFRMNQCGETPIGCLRSFSTVMSPPSKAIVYEQHGSPDSVTRLVNLPPVEVRDNDVCVKMIAAPINPSDINRIEGVYPVRPPVPAIGGYEGVGEVYAVGSKTFVVKEESVWHKIDKSCPMEYAATITVNPLTALRMLEDFVSLASGDSLVQNGATSIVGQCVIQLARLRGISTINIIRDRAGSDEARENLKALGADQVFSESQLNVKNVKSLLGELPEPALGFNCVGGNAASLVLKFLREGGTMVTYGGMSKKPITVSTTSFIFKDLELRGFWLQNWLSLGKVKECREMIDYLLGLARDGKLKYETELVPFDEFPVALDKALGKVGRQPKQVITF >A03g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5170225:5170708:1 gene:A03g501690.1_BraROA transcript:A03g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYLSTTNITSSKTLQAKPGSVPCPPCRYNIVFFTKQQHHQEQELYVLELLCSSDVLDTAQSSTLTTIVICKPVVSRTSSRTSHISLGGSDVDEPQRRLMNGSYSRSGLWFRQWTTKAAGKRSWFSTLNDCVTTGGSTC >A08p031750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19362127:19364633:-1 gene:A08p031750.1_BraROA transcript:A08p031750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH6 [Source:Projected from Arabidopsis thaliana (AT4G39170) UniProtKB/Swiss-Prot;Acc:F4JVA6] MSGPVDRFAIPCFEGLLSSEEKKERKSDFEISEDERRTRIGSLKKKAINASTKFKHSLKKKKRRKNEGRVSSVSIEDVRDVEELQAVDEFRQALVMDELLPSKHDDYHMMLRFLKARKFDVEKAKQMWGDMIQWRKEFGTDTIIQDFEFEEIDDVLKYYPQCYHSVDKEGRPVYIERLGKVDPNKLMQVTTIDRYLRYHVKEFERSFMIKFPACTIAAKKHIDSSTTILDVQGVGLKNFSKYARELVTRLQKIDGDNYPETLHQMFIINAGTGFRVIWSTLKSFLDPKTTSKIHMLGNKYQSKLLEVIDSSELPEFLGGTCTCADQGGCMLSDKGPWKNPDILKMVLHGGAHRAKQVVKVLNSEGKVIAYAKPSYPWIKGSDTSTAESGSEAEDVVVSPKAIKNYSHLRLTPVREEAKIGSGETSFAGSLAGYDEYVPMVDKAVDATWKVKPTTTINRVQSKGALVTPNVPKEHESISARVLVFFMAIVMAILAFFRTLSNRAVTKQLPPPTRKPQIEGNTAAKEEEAELLNSVLKKLTELEEKIGALQSKPNEMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDRQEFAQSQKKKKRKHMLCF >A09p016800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8789676:8793963:1 gene:A09p016800.1_BraROA transcript:A09p016800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKQMLLSALGVGVGVGVGLGLASGQAVGKWATGNSTSSNAVTADKMEKEILRQVVDGRESKITFDEFPYYLSEQTRVLLTSAAYVHLKHFDASKYTRNLSPASRAILLSGPAELYQQMLAKALAHFFDAKLLLLDVNDFALKIQSKYGSGNTESSSFKRSPSESALEQLSGLFSSFSILPQREEPKAGGTLRRQSSGMDIKSSPMEGSSNPSKLRRNSSAANISNLASSSNSAPLKRTSSWSFDEKLLIQSLYKVLTYVSKANPIVLYLRDIENLLFRSQRTYNLFQKLLQKLSGPVLILGSRIVDLSSEDAHEIDEKLSAVFPYNIDIRPPDDETHLVSWKSQLERDMNMIQTQDNRNHIMEVLSENDLICDDLESISFEDTKVLSNYIEEIVVSALSYHLMNNKDPEYRNGKLVISSTSLSHGFSLFREGKASGREKLKQNVKEDTSKEPKAELAAAVKPDSKPESVIAASSSKTEPEKEAKSEKAATPKAPEVAPDNEFEKRIRPEVIPAEEINVTFEDIGALDDIKESLQELVMLPLRRPDLFTGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLASKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMSHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPGVENREKILKTLLAKEKVDENLDYKELSMMTEGYTGSDLKNLCTTAAYRPVRELIQQERIKDTEKKKQRETTKTSEDDEGKEERIITLRPLNRQDFKEAKNQVAASFAAEGAGMGELKQWNELYGEGGSRKKEQLTYFL >A02p021980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10359938:10361175:-1 gene:A02p021980.1_BraROA transcript:A02p021980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLYHYRCLLSDSPKKDFHRCPRHPLEHWRGVICSFFTGCPGFSSSSENNGTGGYRRGPCFWISANLGDLSYDSCSSIPRKKLREEEFYLIDGWEIFTYSKEVADARKSLFIACFLKSYCASPLDFGFGSVDLRSPNCFTLSFIDIVVDGKQVVPFHPVPDHSGIVLKRVTVGQARAGCILWNECPNPSRYMYKLLWVGPRDRC >A04p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5632421:5633564:-1 gene:A04p014670.1_BraROA transcript:A04p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like protein 8c [Source:Projected from Arabidopsis thaliana (AT5G37680) UniProtKB/Swiss-Prot;Acc:Q8W4C8] MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKIWDLGGQRRFRTMWERYCRGVSAIVYVIDAADRDSVPISRSELHDLLTKPSLNGIPLLILGNKIDKSEALSKPALVDQLGLDSVADREVCCYMISCKDSINIDAVIDWLIKHSRTAK >A07p025240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14554024:14556347:-1 gene:A07p025240.1_BraROA transcript:A07p025240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 23 [Source:Projected from Arabidopsis thaliana (AT2G28890) UniProtKB/Swiss-Prot;Acc:Q9ZV25] MGNGITKLSKCFTGGGETRRKKEMKILEPDPLDEGLGHSFCYVRPDPTRVSSSKVHSEEDTTTTTFRTISGASVSANAATPLSTSLYDPYGHIDRAAAFESTTSFASIPLQPIPRSSGPIVPGSGPLERGFLSGPIERGFMSGPIDGLGLFSGPLGSESDQFQRSFSHGLANRFGSRKGYLARVLRRAISKTINRGQNSIVAPVKTLKEPDWVFGSDKTRNQHHQPPHNENLTVNSLNFSSEGSLDDDVSLESQNLQWAQGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPDYLLSHLYPAVHRELKGLLWDDPKLDAYPSSDCVDQDSNNSCPSENCEKKSKNDGRKSTKCEESQRRWRCEWDRERLDLDRLLKEKINKRTNGSDPDPDPNSSDVLKALSEALRKTEEAYLENADMILHENPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLGQKAETEYWMGKIRQDLERINEETMIDFDGTCEGERAGLVPNLSAFQLTVDHSTNVEEEVDRIRKEHPDDASAVSNERVKGSLKVTRAFGAGFLKQPKWNNALLEMFQIDYIGTSPYINCLPALYHHRLGSKDQFLILSSDGLYQYFTNEEAVSEVELFITLQPEGDPAQHLVQELLFRAAKKAGMDFNELLEIPQGERRRYHDDVSIVVISLEGRMWKSCV >A05p034440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19334039:19335277:1 gene:A05p034440.1_BraROA transcript:A05p034440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g31790 [Source:Projected from Arabidopsis thaliana (AT1G31790) UniProtKB/Swiss-Prot;Acc:Q9C6R9] MRTLELALSPPPASLIPSFKHSTTQSVGIDVKTTFDAQLLLRRPKHQSPEPVVVLQPHIAIDRPQIPIPRYSTSDILRIMDSLSLPGNEDLYSCLAKESTSECDQRGAYELTVHIMKSSVRPRTTFLNHLLLMHVSCGRLDTARQVFDRMPHRDFHSWAILILGYIEMGDFEEAALLFVSMLKHQNGASKITPWIMGCVLKASAMIRDLGLGQQVHGLCQKLGFIEEQDSCLSGSLIRFYGEFGCLEDANLVLHQLSNADTLVWAAKVSNDYREGEFQQVIRDFIEMGKHGVQKNALVFSSVLKASTWVSDGGRSGGGVHADAIKLGFDSDCLIRCRLIEMYGKYGKVKDAEKAFMSREDETNGSCWNAMVAGYMQNGCYIEAIKLLYQMKATGITVQDRLLNEVKLKPTFR >A08p000390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:212887:215507:-1 gene:A08p000390.1_BraROA transcript:A08p000390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKGDDRFRAVHEDEPLFITGRRTGRVIAYRVFSASVFFCICWIWLYRVTAPVETDENRTGLVRFVWLVMLVTEIWFGLYWIVMQSPRWNPVWRFTFTDRLSRRYGDDLPRLDVFVCTADPVIEPPLMVVNTVLSVAALDYPPEKLAVYLSDDGGSELTFYALAEAAEFAKVWVPYCKRFDVEPRSPAAYLSCKASGFDSPETEEVVRLYKEMAARIETAARLGSIPDEARVKYGDGFSQWDSDATRRNHGTILQILVDGRKSNTVTVPTLVYLSREKRPEHHHHFKAGAMNALIRVSSKITRGKIILNLDCDMYSNNSKSARDALCILLDEKEGKEIAFVQFPQCFENLTKNDLYASMLRVGYDVEFNGLDGNGGPLYIGTGCFHRRDVICGRKYGEVEVEEEEESEYISETEMIKALASCTYEENSQWGKEMGVKYGCPVEDVITGLEIKCRGWKSAYLNPKKKAFVGVAPTNLHQMLVQHRRWSEGDFQVLLSEYSPVWYGQGKIGLGLILGYCCYCLWAPSSVPVLLYSVLTSLCLLKGIPLFPKVWSSWWFIPFGYVTVAANAYSLVEFLWCGGTLRGWWNEQRTWLYRRTSSFLFGFIDTIKKKLGVSESAFVITAKVAEEEAAERYEKEVMEFGVESPMLLLLGTLGMLHLFCLAAAILRLAMTTSRDVQTMGMQFVITGLLVVINWPLYQGMLFRRDKGKMPVTVTVNSVVLALSACTCIAFL >A01p003160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1432347:1434846:-1 gene:A01p003160.1_BraROA transcript:A01p003160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFLSCFSSPSSSNTGLHTHASMNNHSNGTGFSSSTSTTAATTNTSVGQFSEAVSESSGGVMSYSGQILASPNLKVYSFADLVTATKNFKPESMLGQGGFGKVYREVNFLGMLSHPNLVKLLGYCREDKELLLVYEFMPKGSLENHLFRRSEPFPWDLRIKIVIGAARGLAFLHGLQREVIYRDFKASNILLDSNYDAKISDFGLAKLGPSQEKSHVTTRIMGTYGYAAPEYMATGHLYVKSDVYAFGVVLLEVMTGLRAHNPKRPNGQESLVDWLRPELVNKHRVKHIMDKGIVGQYSSKVAAEMGRITLFCIEPDPKNRPHMKEVVDVLEHIQLINVVPDRSSTKSAVASSSRSSPHRYQYAYRAGAAGQRRRPSPRKLGVENEMVA >A09p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15536061:15537754:1 gene:A09p027520.1_BraROA transcript:A09p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLQQHTKDHYFFDSAAKISFFFEVQFYFACIKRFWRRWGIEATKRASINKVGHALHELDPLYKEFTYSSKVSSLVSSFGRHAVHVHFQDGLVRRFVRGENGVTYDQPSPSYEQKDFVPIEMKPGSIIAIHGDLIHQSFENLSPKSRHAYSLHVVESDGCKWAQDNWIRRENTPEPLYSP >A10g504200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10432499:10438624:-1 gene:A10g504200.1_BraROA transcript:A10g504200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSISSKGVLMSSLFIVFNSIHPSNISNTMRCFYSLSYHGCTKGVPISVTILLEHFDEGIHLGSPDEVLIIATDQDVDIKETINKEVKTKERWAEWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQGKLSDCLIRISDSDPPLPPLGHGALLDHELAVHSDFPPQDQGEQLLQDVDIKETINKEVKTEERWAVWSWIVTGPNGWEDFESLTRPVTCTLNGSPLPLGDSKHSSCLLLFYISHSYALHSKLGLSCTDRLVLQGKLSDCLIRM >SC279g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000126.1:28699:30761:1 gene:SC279g500010.1_BraROA transcript:SC279g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRPRIKTEEDSWSVVGCASTEPTNTSYPITTHTPNKTFFKNINFCIFGFSGDFGCPWVILAHGGCLFSTHGRPCVSVSTHRTSVAVRQHTQDVCGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVGCLFSTHRTSVSTRRTSVAVRVCPCVRRPSAHAGRSWLSVCVCVSVSTRRTSVSTHRTSVSTQRTSVAVRQYTKDVCGHPSAHTGRPSVHRGRPWPSVSTHRASVSTRRTSVCVRVSVSTHRMSVSTHRTSVSTHRTSVSHTPDVRGRPRSTHISVLALPVDCSGDFGPRGLSVQYTTGRPSAPARKSVPCPFAHTESWTDPSCWPFPWTVRVLIRVLNSYQHADHKYQHAGPSRGLIRGLSVMLTTHISMLALPVNCPCTDPCTDPCTDPWTDPCTELISAC >A03g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31579146:31586405:-1 gene:A03g509850.1_BraROA transcript:A03g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRGHMLVTSCLLQLLVSFFMEEKCSAACTAWCAETCHQLSNLSFVFCGSKPSCEATPYDIKYPLLSSGRPVTARKTREKFREKERKKREKSCVLVWLRAEDLVSKSLESPKFFSLGFYRLKFISTKFILKLEEIGQEDTMMGSHPGRRITVCNVRCSIFEYLMAMMAGDFTLGRERTSLASDLLTENLGSLSEQTNYRVLLVGLKSLELYPIGALVFFGFRSKAIGSILRTSDRPSRNIDRVISGHLLSGVSQRIDSHGYLTVIFHSRSTETSLNGWSVLTEVIIIMIKFATLMVGFTTVLIERDLWNIIDTSNTSPDSCVIDVKVGVVYDLLSVGGRDGLTVLQDDGSTRSILFATEYHEAYDAKKKKIFTSPLLRELQEGFGSKLFGNECYELLVESQELLQRVEFKLERFHEFKNHMTCTYLLTCLLIRGDMLVTSCLLQLLVSFFMEGKCSAACTAWCAETCHQLSNLSFVFCGSKPSCEATPYDIKYPLLSSGRPVTARKTREKFREKERKKREKSCVLVWLRAEDLVSKSLESPKFFSLGFYRLKFISTKFILKLEEIGHEDTMMGSHPGGRVTACSVRCSIFEYLMAMMSLSEQTNYRVLLVGLKSLELYPIGALVFFGFRSKAIGSILRTSDRPSRNIDRVISGHLRSGVSQQ >A03p057280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24843070:24844084:1 gene:A03p057280.1_BraROA transcript:A03p057280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPTDKISYPQQIAVTCTGVIWSRYSMVITPKNWNLFSVNVAMAGTGMYQLARKIKNDFASDVEPVVAKE >A04p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:891794:893453:-1 gene:A04p001800.1_BraROA transcript:A04p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLFLFSLLFFLFFHSSSSSRSSSESHIFIYGGCSPEKYTPNTPFESNRDTFLSSVVTSSSEASFNSLAVGNDSSSSSSSSAAVFGLYQCREDLRHSDCSKCIQNSVDQITLLCPYSYGASLQLEGCFLRYETNDFLGRQDTSLRYKKCSTKSVENDYDFFKRRDDVLSDLESTQLGYKVSRSGLVEGYAQCVGDLSPSDCTACLAESVGKLKNLCGSAVAAEVYLAQCYAHYWGSGYYDFSSDPTNGDNVGKSIAIIVGVIAGFAILVILLSLCKNNTH >A06p054180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28447029:28449618:-1 gene:A06p054180.1_BraROA transcript:A06p054180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear intron maturase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G46920) UniProtKB/Swiss-Prot;Acc:Q9FJR9] MRRSFSIIAPTKWLKHSIITTPPTLLRSLSYFSPHHHPPPDPDDPTNLLKEDGVSLCSHMWLENFKSPHKTSSNLTSLLRRFELWVLAYQKVSCDELGAYVPRSSIHRPALENLLSLRNSVLDDRFKWGARLDFYIKSPRDKTDYESLSKRKIKALLTTTQPTPFQDRIVQEVLLMILEPVYESRFSQKSFAFRPGRTAHTVLRVIRRNFAGYLWYLKGDLSVVLDGMKVGFVVSSLMRDVRDKKVIDLIKSALVTPVVTTSKVEGDEKKKTKKRKYQKKRVLADDEPKPDPYWLETFFGFAPEEANKSPQWGHCGILSPLLVNVCLDELDRYMEAKVKDFYRPSKSDVIWNSPEGEGSDQGNTSWPEFVPTSGPDKTRKMDYVRYGGHILIGVRGPRADAATLRKELIEFVDQKYMLRLDNENLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFIKGDRDPDPQPCFRMFHATQAHTNAQMNKFLTTVAEWYRFADNRKKIVNFCSYIVRGSLAKLYAAKYKLRSRAKVYKGASRNLSRPLKEKKGLSPEYNNLLRMGLAESIDGLVYTRMSLVPETDYSPFPANWRPEHEKFLMEYLRLDEPKTLEEQKRFIRENGLVSPQDYTSMLVWNYKRNAIPMDQVSILKDQPFLLGSSSNYNRDNDDDDDEDEEKSKEEDDDGLHIARITGITHCSRPLEGYRGYEHCFYSDYSVRYIVKVIIC >A03p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9943869:9945511:-1 gene:A03p023540.1_BraROA transcript:A03p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 63 [Source:Projected from Arabidopsis thaliana (AT2G40640) UniProtKB/Swiss-Prot;Acc:Q58FY4] MSVNDGLDPRFLYHVEDESLRFRVGESGPKSSRELDHRRFETSSFRQGFCGNEKDEMRYSNGDDEVCIRRGSSLVQPGIDSCSSIPAETNRGSKDLGSAGWELVVREEEEEDKASLDRRKVMMSNDSSSQCNTQAKRDFASVEKERGTSVSSWESLKSILSDPVTGALMSDATILPCGHSFGAGGLKEVKRMKACFTCSQPTSEGSEKPNLSLRIVVHAFRQEEESDHVHSLKRRKERSDQHKRTFCIPNITDTPKSSSRGIQCPFSIGDRIIIEGNKRTPPRFVGRKAVIMTHCLNGWYVVKTVDNAESIKLQHCSLAKIPDNSPSEVTVAEMAPSWLSSS >A02p052510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32100978:32114857:-1 gene:A02p052510.1_BraROA transcript:A02p052510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKHPRQEIEAYSLPANSVGKRRYVPVHNSRKRYCTPESSSPDSPAYDVLSNATALVSHNNSAYEDTSGSCVTDDFNDKIKELETVMMGPDSLDLVLDYNDSFDSTSCQETNTWRSTLEAVSRRDLRADLVSCAKAMSENDLMMARSMMEKLRLMVSVSGEPIERLGAYLLEGLVAQLSSSGSSIYKSLNQCPEPASTDLLSYMHILYEVCPYFKFGYMSANGAIAEAMKEENRVHIIDFQIGQGSQWVTLIQAFAARPGGPPRIRITGIDDTTSAYARGGGLNIVGNRLAKLAKQFNVPFEFNSVAVSVSEVRPKNLGVRPGEALAVNFAFVLHHMPDESVSTENHRMVKSLSPKVVTLVEQESNTNTAAFFPRFKETMDYYDAMFESIDVTLPRNHKQRINVEQHCLARDVVNIIACEGADRVERHELLGKWRSRFGMAGFTPYPLSPLVNSTIRSLLRNYSDKYRLEERDGALYLGWMRRDLVASSLSANAREFRITARPGSDITGELSKLFKEACQCVDKSTVLIPKGEFKLGEIEMMGPCKAPIIFVLQGTVRADGNVNGKDFWVAFRRITNFRLNGGGIFDGEGNASWRANNCHKTSLTQCKRLPISIRFDYITDGKVRDITSLDAKNFHINVIGAKNLTFEDIRIVAPEESPNTDGIHVGRSDGIKIINTNIKTGDDCISVGDGMKNLLVERVSCGPGHGISIGSLGLYGHEEDVTGVKVVNCTLRNTDNGVRIKTWPSAACSTTASGIHFENIILHNVSNPILIDQEYCPWNRCNKNKPSSIKLVDIKFKNIKGSSGNKDAVKLLCSKGFPCKNVEIGDIDITYNGKDGPATFQCSNVSPKLVGKQCPKACSSPSIRFDYITDGKVRDITSLDAKNFHINVIGAKNLTFEDIRIVAPEESPNTDGIHVGRSDGIKIINTNIKTGDDCISVGDGMKNLLVERVSCGPGHGISIGSLGLYGHEEDVTGVKVVNCTLRNTDNGVRIKTWPSAACSTTASGIHFENIILHNVSNPILIDQEYCPWNRCNKNKPSSIKLVDIKFKNIKGSSGNKDAVKLLCSKGFPCKNVEIGDIDITYNGKDGPATFQCSNVSPKLVGKQCPKACSSPVTKLPGH >A04p028570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17153779:17156070:-1 gene:A04p028570.1_BraROA transcript:A04p028570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLDLHPVWQALYNKLIQGSGGQLTRGPAMFEGCVFIPQGLIGQCSSSEELRLFCSRLPSVGCSVVSIQYLADTITDAKMIIKPATNTPAASRPATNTTAASRPATNTTAAPAASRPTTNTTAAPAASRPATNTPAASRPATNTTAASRPATNTTAAPAASRPTTNTTAAPAASRPATNTPAASRPATNTTAAPAASRPATNTPAASRPATNTTAASRPATNTTAAPAASRPTTNTTAAPAASRPATNTPAASRPATNTTAAPAASRPATNTTAAPAASRLTILPGFSKVIDTKDLISQECTITAANLGVGGVLPKEQVSLQIKDIHGRVWLFEHRYNNILESHSLANGWKPFLESKKLSPGDSLIFLKTEDGLTVGIRRAPRSLSALFNMGEPLSRIGSGALSVEELSEILGKPFCNKASFDRTLKFDYFPSVDSSWFVVPWDGMREKLLFNWRVGMKVRKMAMVKDQLREVCLQGTVTKVRVPWNRAFWKTLDVNWDYTYGERQAATNMWEIEPVLEETAEPVPEETTEERPSKKPCLGQEGSDTALTLFGFKIEPTSERRA >A03p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4101357:4106331:-1 gene:A03p010280.1_BraROA transcript:A03p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSNFVSMKFSLATALLLLIILLFYQHLSSLNLNLITLSSLSHATSLAPSPSPSMSMEFSILSSNVTLTPGAQKKEKKRNRIEKGLAKSRAAIREAMTSKKYAFEKEKTFVPRGTICICRPNSLSGPKIEKPTNIISLDAGEASGGRRRALNSISCYSSSSDRSPPCSNRFRRSWLRFGVWGSRSVTFLGSPCFSIMPVSFSHPQTFRSTPRQCRRPFPPSLPHLVPGRGNLYPCVCGVPLFSKDSVPISCYLIRSSHSRTSPTRSSLTNAEVDGPLRDSEEIHCAALPLCLFVGPIFSGGPSSPLAEAHHPWLDGRVSIAARVSIPDVCIHSFPSLNQSSWVGPRVITNWAWTANLPYCYSPQTSYTEPRNTVLLLKVPTVLILLKNVVLVLTCLIRISGGFTGALLLRIIAYYLVKKSLSVDSPRPFPFHSCSSFEERIFPPYLLPMEEDVFSASLPSFGFSFITGLLSCVAVCTGPEDATEITMCCLAGKGWPSTSHYVTKFQLSGSVGYAPSTHPSFVLNSLSSSFEDLSFLICIEGQFVDEMERGMSPFAASHPDEAHTFLLPVSVANVVEYLYHPLVTYSREQLHKVFLDYVSVVTHKYPYWNRSLGADHFFVSCHDWAPEVSGSDPELLKNMIRVLCNANTSEGFMPQRDVSIPEINIPLGQLGPPRLSNSSGHDRPILAFFAGRVHGNIRKILLQHWKDKDDEVQVHEYLPRKEDYFKLMAKARFCLCPSGYEVASPRVVATISLGCVPVIISDHYALPFSDVLDWSKFTIHVPSEKIPEIKTILKSVSLRRYKVLQRRVLQVQRHFVINRPSQPFDMLRMILHSVWLRRLNMRVHV >SC151g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000033.1:58031:60495:1 gene:SC151g500020.1_BraROA transcript:SC151g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGSSFVISVSWEDYDDEIGGIVTLRRRSDRDYERKRFFGHQSFGSNWFFWIGKVVGVFEEGFPRLMVMELGRFDRLVNQWYQGSYKEGVVMAIIQRLLYDCSRYAFSDLEKRINVGQYLDFYWICSFGLIIVVSMEPPSMERYGIWVNLYEDFTFGKFLKSVIEFFSSLVQSWDQGPPMLSYKGAVESQGREAGVVVDGNNWRSGQQVMRNRDYKGKGIAYDSNNYEGSKKPGFKRSYGDQDVAYSRNMRPSGRLLPAEAPARQAMATNGLSKLVSQDVGQHLDDQQKLMLDAFRSGKSGEKSQFSGSTARKALTFEGNPSGMATLGLEEAEDVTMEEAETKDLEEITLLSDHLDAAAAEEKTLVNKEEWEGVEEGEKEIMIEGVGTERLTEDVVFTEITVESGAAQLEDVILTEADTVVGEEGQLLEIETQEFTNVNEGKERQANKKKLGKSIGSVMGGTLKKRLVQSVVSPRKKHTAKQGGKMGEKGALPPKSASVRPDPAQD >A09g505030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15343289:15343915:-1 gene:A09g505030.1_BraROA transcript:A09g505030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFIIPNNIKKGEKLMDWDKCKKLPWNIVLLLGAGFAIADGVRTSGLAEVLSKGLVFLETAPYWAIAPTVCLIAATITEFTSNNATTTLLVPLLIEIAKTMRINPLLLMVPGAIGAQFAFLLPTGTPSNIVGFTTGHIEIKDMIKTGLALKIAGTVFLSVLMPTLGAYIFASKAGV >A03p064280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27923188:27930093:-1 gene:A03p064280.1_BraROA transcript:A03p064280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQGQFNNSPRGEDKDVEAGTSSFTEYEESPFDITSTKNAPVERLRRWRQAALVLNASRRFRYTLDLKREEDKKRMLRKMRAHAQAIRAAHLFKAAASRVNGIITSSPPSPGGGDFGIGQEQIVSISRDQNIGALQELGGVKGLSGLLKTNLEKGIHGDDDDISKRKSAFGSNTYPQKKGRSFWRFVWEASQDLTLIILIVAAAASLALGIKTEGIEKGWYDGISIAFAVLLVIVVTATSDYRQSLQFQNLNEEKRNIRLEVTRDGRRVEISIYDIVVPADGVLVAGHSLAVDESSMTGESKIVHKNSTKNPFLMSGCKVADGHGTMLVTGVGVNTEWGLLMASVSEDNGGETPLQVRLNGVATFIGIVGLTVAGVVLFVLVVRYFTGHTKGANGAPQFVGGHTKFDHVLDDLVKIITVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNEMTVVECYTGFQKMDPPDSSSKLPPPFTSILVEGIAHNTTGSVFRSESGEVQVSGSPTERAILNWAIKLGMDFDALRSESSAVHFFPFNSEQKRGGVAVKSPDSTVHVHWKGAAEIVLGSCTHYMDENESPVDMSGDKMAELKNAINDMAARSLRCVAIAFRNFEADKIPTDEDQLSRWVLPEDELVLLAIVGIKDPCRPGVKNSVLLCQKAGVKVMGRSSPNDKLLLVQSLKRKGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKEKSDIIILDDNFESVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAISSGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMDRDPVGRREPLITNIMWRNLFVQAMYQVTVLLVLNFRGISILNLDHKPNAERVKNTVIFNAFVICQIFNEFNARKPDEFNIFQGVLRNHLFVGIICITIVLQVVIVEFLGTFASTIKLDWEMWLICIGIGSISWPLAVIGKCIPVPETPVSQYFRINRWRRNSSG >A01p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10410812:10412031:1 gene:A01p021400.1_BraROA transcript:A01p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQDSDDIMKFLDGMASSDDVLFGFLDGGNHSPEVYPDTGNFAAGEDSDAENDAAGCNSEENKTFWLEQEQLLQATLYRTSSIETKIRQAAKQALKEVRSEGTQCVCRKPVPGGCRSCLRGEVARRLREAGYDCVSCKSKWRSNHEIPAGEHEYLEIVDQSGSKKVEIRVVIELSFRAEFEMARGSEEYKRLVGILPEVYVGKTERLRTLIKILCMAAKKCMKDKKMHMGPWRKHKYMQAKWLGTCERKSMRLVAETKTETEEEDMIAKAKQRVSMLNYGIFENVSAGMGRPAAVAVV >A05p046360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27557188:27558273:-1 gene:A05p046360.1_BraROA transcript:A05p046360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFHSVQHAVKLFNAGLSGGEHLNQRQEQEVLVEETDVNKLKEKIKNAEMAKIEALLELDEAKKTVEHLNHKLEIRNNNMRSDEKGLALRSSSSSSNVRDVSSELGIAKELLQRVAAEEESRQDLDVSSSHNVIVVTSELGFAKESLHRAAEEESELCLLMESLKLELENVKKEHSELKEKEQRETEQAVEELKKEAEDAKRELLQLEEELKIALKEAEEAKAKAAEECLNVQESCYGGGLTETEALRACRDETLKKLEMSEKEIEDIKAATQEALKNAEMAEEATIVVDLELKRRRKAASRMWAKSFPSAKEVDKSKSRSKETCLVKC >A05p048410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:28351800:28352756:-1 gene:A05p048410.1_BraROA transcript:A05p048410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYDQTGTHTVSISRKRKSRARADGTTVADRLKKWKEYNDSVNASSIKQGEKPKRKPPAKGSKKGCMKGKGGPENSRSSFRGVRQRVWGKWVAEIREPNKVSRLWLGTFPTAEEAASAYDEAAMAMYGPLARLNFPQQCVGSESLTSTSSQSEVCRDENKAVLDVKQEDVDCKTRPVSEIKDVKEVCGGDEHGHAYTRLNEFDEEYWSRLSNGLEKLKEEEVIQPQQELDMLTVADYGWPSDMQNEQGFWDPDDSFDIDELLRDIDVGLLTGHEPCQNQCQVVHPGGYDSHPLPMEPQDNNHELFNLSSLDGSLTKF >A06p045930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24622734:24624681:-1 gene:A06p045930.1_BraROA transcript:A06p045930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAGYYPSNVTSDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSVHSQYGSAGGGLIQGEGASHYLQQQQATQQQQMTQQSLMAARSSMMYQQQQQPYATLQHQQLHHSQLGMSSSSGGGSSGLHILQGEAGGFHEFGRGKPEMGSGEGRGGSSGDGGETLYLKSSDDGN >A02g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20979880:20980468:-1 gene:A02g507470.1_BraROA transcript:A02g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQYQEMKDMKKHKKHYDMLGYICDAQYGIPTRCPCGGEIKTDVSPNPKYRHDFDTLPGSRYFTCKNYEDDGMHFRQPWAFGVEDEVRRLRMEMNDMAEEIAKLKRIITSTSRP >A03p002270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1058935:1060102:1 gene:A03p002270.1_BraROA transcript:A03p002270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSRGTDMAKKKEKVDSESDVNCVAAHQHAEFFDKLIELIPARFYLPDETEKKWFPGLSKAQKARAKRKTTENLKKARRDRLDPEKSGLTTLDLLKQKIEKEKKLSHVVADDDDDDDDDSEEEGTDKRKTDSVTYEELRQRLHRKIDELKGGRGGSDRPRSHERRKKIVPNKRKRESGSVEESKVEDKGKGKLDVEEAAKDLTFSYFKIDDDEEHGKDKKKRRVSKSRELERALKLEAAKKDPEKGEVIAKKHSWQAATSRAAGIKVHDDPKLLKQSIHKEKKRHEKNAEKWKERVEGQQKVRVEKQQKRSGNIADRIEQNKQRKIAKREKKLLRPGFEGRKEGFVNEGGK >A10p035790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20464726:20467298:-1 gene:A10p035790.1_BraROA transcript:A10p035790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heparanase-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G07830) UniProtKB/Swiss-Prot;Acc:Q9FF10] MGFKICIFLLLLGCLLQVPETTMARDMKRASIVIQGASRIAETDENFVCATLDWWPHDKCNYDNCPWGYSSVINMDLSRPLLTKAIQAFKPLRIRIGGSLQDQVIYDVGNLQTPCHPFRKMNSGLFGFSKAIVTFGLNALHGRHKLRGNAWGGAWNHVNTQDFINYTVSNGYVIDSWEFGNELSGTGVGASVSAELYGKDVIVLRDIIDKMYKDSKLTKPLLVAPGGFYEQQWYTKLLEISGQDVVDVVTHHIYNLGSGNDPQLVKKILDPSYLSRIAETFKNVNKTIQEHGPWASPWVGESGGAYNSGGRRVSDTFIDSFWYLDQLGMSSKHNTKVYCRQTLVGGFYGLLEKGTFVPNPDYYSALLWHRLMGKGVLSVQTDGPPQLRVYAHCSKGREGVTLLLINLSNQSDFTVSVSNGVNVALNVESKPKKKSLLDTLKKPFSWIGNKASDGYLNREEYHLTPENGELRSKTMVLNGKPLKPTETGDIPNLEPVIRGVNSPVCVSSLSMSFIVLPSFDASACS >A07p018570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11161507:11166302:-1 gene:A07p018570.1_BraROA transcript:A07p018570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSKGNAVKLLSHHNMFLLADEDQKTIRQSRQRCTRLAIWTVETVIGKPKLIRLRSCHGTYLTASSKPFLLGTTGERVTQTQSFNNPMDCQTHWEPGGYGASVKLKSWCGKWMRANGGAPPWRKSVTHDEPPMSKTKNWLLWYVITVDGFDLENVSDGFKSSLSSPLSPHLPGLGFWSAPGSPVCGRPKKSLGRFASLGLRSTSPRWSPKLNMKQKAKTCSFDETETVSAVEFFRKAKAVRICSSHNKYLTADENEETVSQGKNGSTENAQWTVELVSHSYHVIRLKSCYGKYLTASNERFLLGVTGKKVMQLKPSRLDSSVEWEPVREGSKILLKSTYGNYLRANDGPPPRRKSVTHDNPRSATLESISWEVDVVEILINPQLREEMEFTPSPRKSVTPAPHRKPSNFRLSVSHSMTPSSFSYISNSESDESPSKVDGRVIDYHINPSSKAEIKYTPSSKKTLPSPPHRKPSWNPFSLSDKSDSDCDEYLTKSNELTNNHHINHPLNAKMKSNTLHLSQHRKLSNALHSKTLSSLLDLSESDSESPSKSNGQTLLLYDDINLPSKTEMKFTPSPKKMLHPTPYKKPLKSTHSKSSSSVSDKSDSDCDESPSKSDGRAINYHINPPFKSTLSSKKTLYQPPNRKLSNSSHSKTPSSLSDISDSNFDESSSKPNEQTIDYHINKPSKAEMKSTSSSQKMSPHRRPSNFSHSKTPSFIFDRSESDQSDESPSKWDEQTSVYHINPSFKTENKSTPSSKKMLHSPSHSKPSNSLHSKTPSSPSDISDSTSDESPSQSDEKGYHINHSFKFEMIKSTSSSKKPSNSHHSKTSSFFDRSDSDYDESPSNSTLPWKKTLHPPPHKKPSNSPHSKTPSSLSDKSDSESDESPSKLDVQTVDYHINPPFKVAMKSSMSSKKILHGNSVESPPLKPNGRTIYYSIADERHMENKSTAGYFFTFRGNSVAELTQTLREETCLEDVVVCTRNPLSGKLSPLRLQLPPNNGILHLVLLPSSGSLYERRENRF >A04p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16365439:16370668:1 gene:A04p027190.1_BraROA transcript:A04p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLDKLPEMDLMRSEKMTLVQLIIPVESAHRCVTYLGELGLLQFRDLNADKSPFQRTFATQVKRCGEMSRKLRFFKDQIDKAGLRCLPRHEIEPDIELGDLERQLADHEHEVLEMNSNSEKLRLTYNELLEFKIVLQKASGFLVSSNAHAIGDETELHESTYSNNGFIESSSLLEQEMRHEPLNQSGLRFISGIINKDKLLKFERMLFRATRGNMLFNQTPSDEEIMDPSTSEMVEKIVFVVFFSGEQARTKILKICEAFGANCYPVPEDTTKQRQLTREVLSRLSDLEATLDAGTRHRNNALNAVGYSLTKWMTTVRREKAVYDTLNMLNFDVTKKCLVGEGWCPTFAKTQIHEVLQRATFDSNSQVGVIFHVMQAVESPPTYFRTNKLTNAFQEIIDAYGVARYQEANPAVYSVVTYPFLFAVMFGDWGHGLCLLLGALYLLARERKLSTQKLGSFMEMLFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGGSAYKCRDATCSDAYTVGLVKYRDPYPFGVDPSWRGSRTELPYLNSLKMKMSILLGIAQMNLGLILSFFNARFFGSSLDIRYQFIPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTEELGENELFWGQRPLQARFCYCLWLSLPFHGCFFQNLLHSEKFTWRFQGRTYGVLGTSEVDLDVEPDSARGHQEEEFNFSEIFVHQLIHSIEFVLGSVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENILIRLIGVVVFAFATAFILLMMETLSAFLHALRLHWVEFMGKFFHGDGYKFKPFSFALISDDDE >A03p012530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4970348:4971191:1 gene:A03p012530.1_BraROA transcript:A03p012530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HHLLLPETLEKIVEICDLVAVDVQALIRVFDPVDGAVKLVSLKESGFHHVLDRVGFLKASSEEALFMDVEEMKELCCVVVTNVEKGCRIDREDDETVVIPPFNAKQVDPTGAGDSFLGGLVVGLVEGLDVPDAALLGNLFGSLTVEHIGQPKFDLMMLQRVKDEVHRMKKQCNGHSSDHDDFHARITPARFQDSLLQAKLLLNGHSCDRR >A10p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16582915:16585255:-1 gene:A10p025990.1_BraROA transcript:A10p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 5 [Source:Projected from Arabidopsis thaliana (AT4G01420) UniProtKB/Swiss-Prot;Acc:Q7FZF1] MGCVCSKHLGGKRTRHENISLLTSQTIFSDAEVEVLHELFTKLTSCVSSDNVITKEGFQFILTKDTKRRSLSTERMFGLFDMRNDEAIDFGEFVHSLNIFHPNSAQREKALFAFRLYDTRQTGFIEPEEVKEMIIDVLEESELMLTESIIDSIVSKTFEEADRKKDGKIDLEEWENFVARHPLTLKNMTIPFLKDLPRTFPSYLQ >A03g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19767423:19768091:-1 gene:A03g505560.1_BraROA transcript:A03g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAASQIRLFAAWTCVAILAVEGTRVARSSRHHRFSSSPEIPPSDHPSQTGTPSFRQTFTSYHLWNSRNWRESIAVARSHPPTAIPKRKHRMHHHPFRRSTSLSSDKHTQPKRNTKNRKGLNPATQGCRGPHSPETRADDGGTDEAFPPRRQKTGGDGSVRTSASRKNYSKHYSLNHDGPRRRPSNI >A03p008730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3503853:3505106:1 gene:A03p008730.1_BraROA transcript:A03p008730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLMATTRPYVLLSSTRASLHLLFQSPKRPFASFLSTLSCAAAARSSSSRCLSAVLSRGETAAPSSSIFGKGVSGFGVVDIRSRGFSARSSQINDAGSIDQTLMQSMELKIKEQLNAESVSVKDMSGDGRHVCINVVSSAFEGQSAVNRQRMVYKAIWEELQNVVHAVDQMTTKTPSEV >A01p001240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:466046:467032:-1 gene:A01p001240.1_BraROA transcript:A01p001240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DOF4.7 [Source:Projected from Arabidopsis thaliana (AT4G38000) UniProtKB/TrEMBL;Acc:A0A384KID3] MMTSSHQSHTTSFNPRRIKAKAKPPHQISRMGPSPAAQPVLKCPRCDSANTKFCYYNNYSLSQPRHYCKNCRRYWTRGGSLRNVPIGGSTRNKNKPSGLQVISSPPPIVASLSSHELVDGFRMNRAMIDPPATAFAGGFSGYMFSLDPNYNLASSSIESLSSLNQDLHQKLQQQRLFTSMFQQDSPPVMFHNVELLPPSTATTEWAFDRSAIGGATSGSNENNGEREDEEARGT >A09p000430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:257834:260687:1 gene:A09p000430.1_BraROA transcript:A09p000430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTHRRAASRDQLGCMWGFMNMFTFRHGPLSPKLLVDQNHHAAGNHNELDKSNKNRDKAAQDTLVSNVGEERNVKITIIKPSVKKLIAEELFNDKELKKQRENAEAGQLSDSELEGRRRKSQRRKHKTRKNSCDNFSHINTTNIEEPQVHHRTKKSHHASERSLDIDNMIEEFYSEIHRRSTSPAKNHKQDVYKEKLRELVKFLISQKLLHGNRPKENSEILTSKDLMEVFQILGSDEELFLKLLQDPEILVPRQKGEESLSLSGASEQSSLADKRRSSSFFRRKDTPQEVTDDKACEASSDRIYILKPGFSSPDTAPDSQLMRNKLHNERNSSHFFLSEIKRKLKQAIRKEQPGLQRERGFPKNVPTKDHFFLERMAKPSTSQKNNEDDRKQRVSNIYSEAKKHLSEMLNNGDLDSNITSRQVQRTLGRILSLPEYLSPLSSPGRRWEKSSTSHRKSASADYINAVNIKKETPVSQPEDAIIKSADTQDCDLRKETDNAVESLQPTACEKSVDIEDGTANEDKVSSAGSADDVMITNEIGIVTEEASSTLTSHEENQPPLASSVASPSHCLAKSESSAIIDVPEWSSPISVLEPLFIEDDVSPSKMRSQSDEPQVQPWCIHFDDKEDAAAKSREDAVKSITSDKELVFKYVKAVVDAVVSDFKELYLKAQFSDQLLEPALISNVPFCPNQLCHDHELLFDCINEVIMELCCCPPWASFVTPRTRVFSTVKSIVHEVQEAVYWHLLPLPPPRALDQIVRKDMAKAGNWLDIRCEIDCIGFETSELILEELLEEVALNCLNNTEHSLLPAELKIDESILVL >A01g502110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7527089:7529576:1 gene:A01g502110.1_BraROA transcript:A01g502110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDSLPLLLGEWLQISSYASLSYATPLSPAMVIKPLGSEVSPVDGTALSFGELKESRGMIEQVKGHFYSVPALLGTNSLLPMDESEEESVADKGDKSWLKVSLASLKLRASQLGHPMKGLYYCVIYLIPGDYHRIHSPADWIALVRTHFAGRLFLNECATRNIKNLYVENERGYGNKVLWYLLLLVRPTLDPLKQISKRKKLFPTEPPEEQVYDPQGHGVKLEKGKEVGVFNMGSTVVLVFQEPTANSPDGSSSSSDYRFYVKLCLLMTIPRRTCWQAMLLSCSSKTYNFTPNYGTFTMSATSKDVLKAATQLHKRHGRLHNHLLLGSNPMDGATKGMDVDQPTQPRFGDEEKSRKRPWSDCWKYYTSSFPSSFLFLHYRLYAITLFRFIILYSKLST >A03p009630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3860303:3862268:1 gene:A03p009630.1_BraROA transcript:A03p009630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTRVCGEVSKSRSPLTVSTSLTETVNGFHEFKISGYSLTKGVGVGKYVASDTFTVGGHSWAIYFYPDGKSPEDNSSHVSLFIALASEGADVRALFELTLVDQSGNEKHKVHSHFGRALESGPYTLKYRGSMGYKRFFRRDSLETSDYLKDNCLLVRCRVGVVKSCTEGPRDYNISVPVSNLGQQLGSLLESGKGCDVTFEVDGETFSAHKLVLATRSPVFRAQLFGPLRDRDTDRIEIEDVEAPIFKIIYSLKGLIGRDRFLGSLPFFGMCLSRMYHPGSSPVTLLLLNLLTRDKVLSFFLSACLPAWLHECLFLLFLSDVSVWFRQMSKLSIWLLVRLAAMIAVMQTDGFDYLKRSCPSLLTELLKYLARLREHSVTAPGHPKDIVADGCDANGRRVKQRLH >A05p000040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:24756:28176:-1 gene:A05p000040.1_BraROA transcript:A05p000040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGKKKLHGPAGCSTLQEEGMFIHGVQKLSLADLHSLMKPMKKKEPWKQRVLIHCPRRKVTAFSWYWCGEFNMKKGVVFDMESARERSMGEGEVGVDTNSSLSCHDLWSFKELTLVPWLRSQPGLGSGVLIQESAQTKTEHSWLCEEEGYSIKAASSVRRVIAMRREEEVRIKVATLRTTPQHLKQGISVLSLRGSLNAYDPWSVTSICKGSYKGSSVGAILGSLRVKENSSKRLLWYHRLSLTEDVPGCFLASLKWL >A09g514020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42292578:42301025:1 gene:A09g514020.1_BraROA transcript:A09g514020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRADERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDRRALTSHHQGAVKNMDHEVIKKSDIDALIKALKESGKALEAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQANNPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A05g500640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2429812:2432099:1 gene:A05g500640.1_BraROA transcript:A05g500640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKEPLVNTANPLEFTSFTPTTLFDASIYPLRENKLVKKPKEQRRRKTKNNEIRRSLIFSVLKVVVLALYLELGFSLMRKGVLESEFTEDIVRISDDRSHSGRDVGEKVTILEDELMGFAKSINFLRCRGSNSNWRINQDGLMLKSRSVYKSVTEEVNVWGWPLQTGGLFGTGFSSSSFNVLSGRVTNWSEGRFGYSVREANISWEKTKWSTSVLQLDHSTWVLENNLMNPLSIDTDGAVERVNAGGVKTCTKIIAGCAHDEKSEDRFGGDSDVFDVCGDQSSNFEHKFLDDAFRKMSNSIQGVNSHVKELAQRFERVKSLDLHPTEP >A03p037670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15746940:15749104:1 gene:A03p037670.1_BraROA transcript:A03p037670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSCSHCLGSSSKMSVGQENVYPVEVPGSRACLYDLLCSDTPRWTLQMDLQTSSSSDPRDRLEKLLKQPGNKYCADCGSPKPKWVSLNLGVFICIKCSGVHRSLGVHISKVLSVKLDEWTDDQVDMLVACGGNTAVNQRFEACNIDQLKKPKPDSNNEERNDFIRKKYELHQFMDPKDSDLCSHQQPSQVNNPPASLCSSSHRSAKNRIGHALRNSWGRRESDHKGTKKSNSLAGMVEFVGLIKVNVVKGTNLAVRDVMTSDPYVILSLGQQSVKTRVIKNNLNPVWNETLMLSIPEQVPPLKVLVYDKDKFTTDDFMGEAEIDIEPLVSAAIEYETSTIRETRRLGSWLACKEDTSVSDGIVSHEEVKVKQDISLRLQKVERGVLEIQIECLPLTQ >A03p054910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23661806:23662572:1 gene:A03p054910.1_BraROA transcript:A03p054910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKDEELKKVIMDLEEERSDLEDANSELCQKLCESNDEIREAHKELISGLRDLSDESSTIRVKNMGRVDEKPFLKVCEQRFSGEDVALQHARLCSEWQKMVMDSRWEPFKIQRSGDKIEGVVDEEDEKLKNLSEEWGEDVKKAVKTALEELYEYNGSGRYPVPVLWNFQHGRKATVKEGIIAHMKHQIKNLKRKRTFD >A05p014440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6296388:6299445:-1 gene:A05p014440.1_BraROA transcript:A05p014440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHRHRRWHFLLLITISSAISLIGVSSETEVGGFDYGEALSKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYHDAGDHVKFGLPMAFTVTMLSWSVIEYGDSLASTGELSHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRAFKIDENNPGSDLAGETAAAMAAASIVFRTTNPHYSHLLLHHAQQLFEFGDKYRGKYDESLRVVKSYYASVSGYMDELLWGATWLYRATDNDHYMSYVVDMAHQLGGLSWAMSEFSWDVKFAGVQLLASMLIKEDKHKQHSEVLQQYKSKADHYLCSILNKNINGTNVQRTPGGLLYVRQWNNMQYVSTASFLLTVYSDHLRKSNSDLECHEGTVTPDEMLVFAKSQIDYILGSNPMKTSYLVGYGPKYPTRVHHRGASIASYKDYKGFIGCTQGYDYWYGRSEPNPSVLVGALVGGPDDRDNFFDRRDNYVQTEACTYNTAPLVGVFARLIEIEEQKLEEEDVRLVATYRK >A06g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6184506:6186849:-1 gene:A06g501630.1_BraROA transcript:A06g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLQLTYTRLVEEKTAKFQVVLNAELPLKFNLRAQLPSGEIVPVSLEYVNLHRWCHSCRLISHEVDTCPLLTEEQREHHRQTKENNRDQGQQSRLDSTRKGDLSKRLNVAGQKPQSYLERRSGDGTQRDNRDSVWKRIDSRYAPRDDHREKNRQAPRDRDRDRDILPPSKETYNKRRYDDSFAASRQREETRRAERKHVPTAQSTKGERAPEGSEDDWIINGETFDVDEDDLMDEDELLYDENHKEGEFEQTMASNLMDQTGESSIGMENNLKETMADETLNQLGGIAIGPSSSRDHQSPSHPLQSPLKKKKGSPIFHAAGLSLRKRNMLKGRASPKFKEAKDGPSMGFKPSMARSEKMDDGGKEEGRAKTKTKTAKVGSKPPKIPR >A07g504390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8882698:8882922:-1 gene:A07g504390.1_BraROA transcript:A07g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIQFIFFADAMLDPVDFLSLQAIRRSLHDLPGSNFFQSWEFTSDPCGFAGVYCDGDKVISLNLGDPRAGSPG >A05p052010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30146153:30146521:1 gene:A05p052010.1_BraROA transcript:A05p052010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNPNLADFEYKTVENLVYYRDILVGNLTLPSSTLPAKGSAILPCPLVLQIDKFVANLGDILQDILQRKIVIETKANMPGKITVLGIFKAHLNTTSHCKLVLSVPSMEVVDQVCDLEAKL >A09g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10610574:10611698:1 gene:A09g503330.1_BraROA transcript:A09g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNTSKEQTQYQEEQQVEADAEFVDGDKERETSKINEEQEHKKNKEKRKDEAYEKAKEKFQDEDGSKLDKLIQMLYDLNKRVEVIENVLGVADGYESPCNYDDTKGAPNDENEEEENSGGKRNATGDENDEEGISDTQQLTEVNVLRENENTEKITPDEDTEKNDVIYSNIHYSKL >A06p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18110459:18111121:1 gene:A06p033630.1_BraROA transcript:A06p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWLRPEVLSSIFDQSPSVDSLLTTLISVRSGIIDSPRVFLCPVQVYPLFAATGAAVGICAFSLIRNITGNPEVRCTKENRAAGILDNHAEGEKYKENFLRKYVRDKRPEIMPGLNKFFTDPTY >SC133g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:31706:42250:1 gene:SC133g500020.1_BraROA transcript:SC133g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFSREMKTRSSYQVKKGRENEWIWSDWVKTVFGSCGIWSNQKKEEPLKELVIFEDEAVQEITRKSGIEAASEERSKLVKGSEDKRVIRDWKQGKDELYQLVERLKEVWLELTARPEVIQERREQDVIFNFLVNEMCELVQYICDVCEKNKKSIQWKGVTNCKKGRLRKLSKVWFMRRKAWRKDSESGYLSDKMSLKMIKEAAQLVVRGECSYSAYMGESVEDSVVLREQEKEGGADDCITRKEWRAVPAVPSVLSGSIHNSTKRKVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDRRALTSHHQGAVKNMDHEVIKKSDIDALIKALKESGKALEAVPAVPSVLSGSIHNATKRKVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQADQEQGTREPSNQAGEAGRTTPLDHERGNGSESGEQEQNQGDSGHHNKEDGAQSSGNGQGESTGSGESVAQSTGSDESVAQSTGSEESGAQLRKLPWITLVRRSTPKRSMDKGGAVWIRSGHSWKGKATLQPVQACEASQQPASLDFTCFESHFEIPF >A09p017720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9157004:9158467:1 gene:A09p017720.1_BraROA transcript:A09p017720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRDVERGGKQNRGVHNNNNYFYDESSRDTHWTPWLVPAIVVANLAVFIAVMFVNDCPKKITGPNKACVARFLGRFSFQPLRENPLFGPSSSTLERMGALEWRKVVHEHQGWRLVTCMWLHAGIIHLLTNMLSLIFIGIRLEQQFGFIRVGLIYLVSGFGGSILSSLFLQESISVGASGALFGLLGAMLSELLTNWTIYANKAAALVTLLFIIAINLGLGLLPRVDNFAHIGGFLTGLCLGFILLVRPQYGWEASRTNTSVTKRKHSMYQYVLFVVAAVLLVVGLTVGLVMLFKGENGNKHCKWCHRLSCFPTSKWTC >A06p051060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26827676:26831988:1 gene:A06p051060.1_BraROA transcript:A06p051060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDNQIESLHRKHHRSSSSSDEADKSSKRHKHRHHKHHHKRHHRHRRDKKREDELPSGEDEAELMDVTPIGVSNGGGGDDVEEGEILDEEGVANVKTVDSDGESGEIKSDQIQDSDLPVDGVSGNAGSSNGVLTRESKREDKKESGGPSERVGKRSYDNGRSSFSSENSEEKYKSINRSLTESRQYNEVRARSRSKSRVVAEDEFSVRGRHRDSSREYRHDRVDSRRSEGRGRYEGYDREYTREDVERERSKERDMDREGSIRDRDSEGSKRRERDIDRRREREREERREIEADRERRKDKERERSIDRDRRREREGRDRDNERGGSVDRERRREREGDYLRDRDNRRGRSRDRTRYDSRERMREKERESDKDREIQADKEKYKSVEVDNGERSKNENDQDDNDKEFIWKSPEEIEEEELNRIRESIEKFKKKSEQQSELISQDKGKDVVQENSAPDSASFAVVTAANAGAAKAKSDFDPVVGDVAKTSLTAGGPPTMFGISNSEKTQAPAGLGEGSPKSERSADMFHDDIFGESPAANQKVGHMRGKGDGVPMVRSGLHDNWDDAEGYYSYQFGELIDGRYEVIATHGKGVFSTVVRAKDLRAGPAEPDEVAIKIIRNNETMHKAGQTEVQILKKLAGADRDDKRHCVRLLSSFKYRNHLCLVFESLHLNLRELLKKFGRNIGLKLSAVRSYSKQLFIALKHLKNCGVLHCDIKPDNMLVNENKTVLKLCDFGNAMFAGKNEVTPYLVSRFYRSPEIILGLAYDHPLDIWSVGCCLYELYCGKVLFPGATNNDMLRLHMELKGLFPKKMLRKGAFIDQHFDHDLNFYATEEDTVSGKMMKRMIVNVKPKDFGSIIKGYPGEDPKMLAHFRDLLDKMFILDPEKRLTVSQALAHPFITGK >A08g508710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16214834:16216307:1 gene:A08g508710.1_BraROA transcript:A08g508710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYGGLAVTMVVVVVTVQLLGCNFAEGYPEEELVVRLPGQPKVLFRQYAGYVEVDSKTGRSLFYYFVEAATQPETKPLTLWLNGGPGCSSVGGGAFTELGPFYPTGDGRGLRINPMSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDETTAKDMLVFLMRWFSKFPELKARDFFLTGESYAGHYIPQLADAILSYNRQSSGFKINVKGIAIGNPLLKLNTDTSAVYEYLWSHGIISDELRLEIISQCDFNNIPNVSNACIKAATEAGVVSQFIDVDDVLRDLCYPSIVQQELRLKKMVPLFSFSFFNQQNICSSSV >A04g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14371847:14374379:1 gene:A04g506450.1_BraROA transcript:A04g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRLEEVVWIFLSVLVFQIWKTSRTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAESSELPGSCLVLSTQIESKLVFTTYNSVVHETTEMLQMSKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A02p057860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34806931:34808578:1 gene:A02p057860.1_BraROA transcript:A02p057860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLSSCTDRLVTSDHLNSEGGSNESSGECSRAQATTKPIDDKEGSEQEHVIDEEEPLIQSVECRICQEEDSVKNLESPCSCSGSLKYAHRKCVQRWCNEKGDTICEICHKSYQPGYTAPPPPPPDDTVIDMGEDWANGVPLDLNDPRILAMAAAERHFFDADYDEYADSNSSGTAFLRSAALILMALLLLRHAMNLSNNNSDDEEDDPSAFFFLFMLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAAAEVAFMLHSGGGQRRGGLHYAVAPELVPSPQGQQHQPEAAPQ >A06p011220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4130714:4133233:-1 gene:A06p011220.1_BraROA transcript:A06p011220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQQYFVILATVAVLLALGGAIQQVKFHVEKPLSGIAIYKTTFDLNEKKAHVKASPTLLGSNGQHSESVLVEFSSLYPSDDDWIGVFSPAHFMASTCPGDTIKSVGRPRLCSLPIKFQYANVSNPRYKATGVGSMKLQLINQRSDFSFALFSGGLLNPKLVAVSNKVAFENSNAPVYPRLALGKEWNEMTVTWTSGYGPDVAEPVVEWGIKGGKRKLSPARTLTYGRNDLCGPPARTVGWRDPGYIHTSFLKELWPSSKYTYKVGHRLSNGAFIWSKEYHFKSSPFPGQDSLQHVVIFGDMGKAEVDGSNDYNSIQRPSLNTTRQLIKDLNKTDAVFHIGDICYASGYLSQWDQFTAQIEPIASTVPYMIASGNHERDWPYSGSFYHGVDSGGECGVPAETMFYVPNQNRDKLWYSSDYGMFRFCVADTEHDWSKGTDQYKFIEHCLASVDRKKQPWLIFLAHRVLGYSSTSFYAETGYFAERLGRVHLEKLWKKYKVDVAVYGHAHNYERTCPVYQSLCTTYEKANYKSPMDGTIHVVAGGGGAHLAEFSKQQPSWSLFRDYDHGYVKLTAVDHSSLLFEYKKSGDGRVHDSFKISRGYRDDSACGVDSCPATTHAT >A05g503510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10286539:10286946:1 gene:A05g503510.1_BraROA transcript:A05g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNLVNRNVEVFDCRGKKNNKAVEAFAVLIPRIVKAVQSSDKKKDFNVKQYDVSYVPMRALNTSGNDCGAYSLKFIECHLLGLDFSLVNDENIQEARHKITFDLWEAANDEALQYRMSTFKPPKRAPEKMVELF >A09p001500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1110772:1111939:-1 gene:A09p001500.1_BraROA transcript:A09p001500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIVSGEEEEELGVDIEEGVGFNETQDITTTNQEDVLDQVWSGRLSYDHRSENISDDDADDGLINPLMGGDGKQRRERSSLSLDLSDRKFDSVKVKKTRKPSSKPPRPPKGPLLTANDQKLMREIAELAMRKRARIERMRRMKAAKSSSPCSSILAMIVTVIFFVFLIFQGFFTSNASLSSSNSPAPDNNRMVSVQFYNEFAPRERIDPSPTTTFRYKRVSGADNEENTREVTR >A04p006870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3669077:3670787:1 gene:A04p006870.1_BraROA transcript:A04p006870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSEKEAEAYLDARPRYPMDWYKKIAAQTQDHKFAWDVGTGSGQAAIGLVEHYENVVATDINEAQLKRAVKHSRISYHHTPKNMSEDEVVALVGGENSIDLIVAAQAVHFFDLTTFYNIAKRVLRKEGGLIAIWVYNDIIISPEVDPIMKRLVDSTLPFRTPIMNLAFDSYKTLPFPFKSVGMGSEGKPVTLDIPHKLSLKGFIGFLRSWQPAMKAKEQGVELVNEDLINKFEETWGDENQVKDVFYKAHMIVGKIKEVKCESEQVSKDGNKDLLHRTEVGRKQERPQPSDEENRQIKKQNTSKDEAC >A01p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15030285:15034742:1 gene:A01p038010.1_BraROA transcript:A01p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMDLTYITDGRAREATFNLRKEELKQKLYELHVLCDVDTCAVIYNQYDPNPEVWQSTSEVKSVFEKFEMLSEKEKTCRSVNHEEFLHQMIEKARRKRQKLNDQNKEKYMRELMFAFLSGNMEDLSLNNDDHSELCSFIDQYLKQLVHHKNQTLNNPNFEIGQSSSMALDMNIAQTSIAEAGSSSFLVSKPPRSSELTKMWKSVIFSYRSDLPHDNFVTSIPSTSSNEEVYIPVMNQGFVEEMMKIGEQTEKYHTIIMGKKKMDLTYITDGKAREATLNLRKEELKQKLYELHVLCDVDTCAVIYNQYDPNPEVWQSTSEVKSVFEKFEMLSEKEKTCRSVNHEEFLHQMIEKARRKRQKLNDQNKEKYMRELMFAFLSGNMEDLSLNNDDHSELCSFIDQYLKQLVHHKNQTLNNPNFEIGKSSSMALDMNIAQTSIAEAGSSSFLVSKPPRSSELTKMWKSVIFSYRSDLPHDNFVTSIPSTSSNEEVYIPVMNQDEFYHQNQNQNLEQGFVEEMMKIGEQTEKYHTIIMGKKKMDLTYITDGKAREATLNLRKEELKQKLYELHVLCDVDTCAVIYNQYDPNPEVWQLTSEVKSVFEKFEMLSEKEKTCRSVNQEEFLHQMIEKARKKRQKLNDQNKEKYMRELMYRLCSFIDQYLKQLVHHKNQTLNNPKFEIGKSSSMALDMNIAQTCIAEAGSSSFLVSKPPRSSELTKMWKSVIFSYRSDLPHDNFVTSILSTSSNEEVYIPVMNHDESYNQNQNQNLEQGFVEEMMKIGEQTGFPWMEDNNRF >A06p042840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23050238:23052991:1 gene:A06p042840.1_BraROA transcript:A06p042840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-nicotianamine transporter YSL2 [Source:Projected from Arabidopsis thaliana (AT5G24380) UniProtKB/Swiss-Prot;Acc:Q6R3K9] MENERSVEREHNQCYEDDESTDSRKPPPWRKQITVRSIVASVFIGIVYSVICLKLNLTTGLVPNLNISSALLAFVFLKSWTKILQKAGIVTTPFTRQENTIAQTCAVACYSIALAGGFASYLLGLNRRTYELTGVNTQGNNPRGIKEPGVGWMTSFLFVTSFIGLVVLVPLRKVMIIDYKLTYPSGTATAVLINGFHTSKGDKTAKKQIRGFIKSFCLSFFWAFFGWFYSGGDSCGFSQFPTFGLQAWKKSFFFDFSMTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIANLKGEWFPATLPESSMKSLNGYKVFICIALILGDGLYNFLKILIFTARNFHSRLSKTNTINTLAEVPGDGTKESESLKRENEVFVRESIPLWMAFVGYLFFSLVSIVAIPLMFPQLKWYFVLVAYLLAPSLSFCNAYGAGLTDMNMAYNYGKAALFVMAALAGENDGVVAGMVACGLIKSIVSVSADLMHDFKTGHLTLTSPRSMLVAQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAIIGVQGLSALPHNCLELCYGFFAFAVAANLARDFLPEKVGKWIPLPMAMAVPFLVGGSFAIDMCIGSFVVFVWQKVNRKKAEFMVPAVASGLICGDGLWILPSSLLALAKIRPPICMNFTPAH >A07p011270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8803169:8804106:1 gene:A07p011270.1_BraROA transcript:A07p011270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGLSRPAVVKWISAGQRAAVSRKADQTCPAKYPTCLLCNNHLEFRDHIFFLCYFTPIVWRPLSSKLGLKPLTLGMIQLARSQTSWEPSVSNSWLGLLGRWLSKKFGDNGTFRSPVSLLSMIDQSDNHKQDILFQAGDS >A09p052340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45868357:45870860:1 gene:A09p052340.1_BraROA transcript:A09p052340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLVSSTLFLLLLVFLLHMDDAFCAQTEIQETINARRNLEGDDQRSSKMWIPPSKSMHCGGGKAFENMATTYRTDHGHLPGGYLSSLCQPCISYFSNCYHFTCSTTVNCQSINGSPPRCTRYENCNPATGN >A02p039950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25277137:25282701:1 gene:A02p039950.1_BraROA transcript:A02p039950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RESKTPSMTMPMCILLTILFLLCIPGSVHLVRAQNQMGATTHPEEAEALNSIFAAWKIQAPKEWNISGELCSGAAINDDIIIDDKAYNPLIKCDCSLEKSTPCRITALKVYAMDVVGPIPPQLWTLTHLTNLNLAQNYLTGPLSPAIGKLVRMEWLTFGINALSGPFPKEIGLLTKLKSLGIGRNNFAGSIPAEIGNCTELLKIYLSNSGLSGEIPSSFANLVNLEDAAITDLDITGRIPEFIGKWTKLTILQILGTGLTGPIPSSFSNLTSLSELSLGDISNGSSSLEFIKDMKSLTTLVLRNSNLTGTIPSNFGEYLNLTQVDLSFNRLHGPIPASLFNVYQLTYLFLGNNTLNGSLPTKKSQTLSNIDVSYNDLAGTLPSWVSLPNLKLNLVANNFSLEGLGKRVLPGLKCLQKNFPCNRGKGIYSDFSIKCGGPQIRSITGEVFERDDEDLGTASFFVNDVNRWATSSVGLFAGNINNIWVINSLGGELFQTARHSSSSLRYYGLGLENGVYTVKLQFAEIEILGTNAWKGLGRRRFDIYVQGRLVEKDFDIRKTSGDTTVRPVQREYKAHVSENYLEIHLFWAGRGTFTIPVKGTCGPLISTISAKPDFIPTVGNRPPSKKKYATGTVVGAIVGLGLLSIFAGVVIFIIRKSRKRYTDDAELLSMDVKPYTFAYSELKSATQDFNPSNKLGEGGFGSVYRGNLADGREIAVKMLSVGSQHGKGQFVAEIIAISSVLHRNLVKLYGCCYEGDHRLLVYEYLTNGSLDRALFGGILHLDWSTRFEICMGVARGLAYLHEEASVRIVHRDVKASNILLDSKLLPKISDFGLARLYDEKKSHISTRVAGTIGYVAPEYAMRGILTEKTDVYAFGIVALELVSGRKNSDVNLGNEKKYLLEWAWNLHENSCEAELIDHELTEFNMEEVKRVIGIALLCTYSSHSLRPPMSKVVAMLSGDIEVSEVTSKLGYLTDLRSDDTSGSSFSAFQTKETGASAYNSTSFVMPSDSGFKQMLGVKINEGR >A06p056500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29411201:29420234:1 gene:A06p056500.1_BraROA transcript:A06p056500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNFNPTVGSCVWVEDPDEAWIDGEVVEVNGQEIKVLCTSGKHVVTKVSNVYPKDTEAPASGVDDMTRLAYLHEPGVLQNLHSRYDINEIYTYTGSILIAVNPFRRLPHLYSSHMMAQYKGAALGELSPHPFAVADAAYRQMINDGVSQSILVSGESGAGKTESTKLLMRYLAYMGGRAASEGRSVEQKVLEDVQRYKLGEPKAYHYLNQSKCLAVESINDAEEYHATRRAMEVVGISTEEQDAIFSVVAAILHLGNIDFAKGAEIDSSIPKDEKSWFHLKTAAELLSCDEKLLEDSLCKRIMVTRDETITKTLDPEAATLSRDALAKVMYSRLFDCFEQFCINLTNEKLQQHFNQHVFKMEQEEYKKEEINWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTDLFLDKNKDYVIAEHQALLNSSSCSFVASLFPPMSDDSNKSKFSSIGTRFKQQLVSLLEILNTTEPHYIRCIKPNNLLKPGIFENENILQQLRCGGVMEAIRISCAGYPTRKHFDEFLDRFGILAPEVLVKNSDDPDACKKLLAKVGLEGYQIGKTKVFLRAGQMADLDTRRTEVLGRSASIIQRKVRSYLAKKSFILLRNAAKQIQSVCRGYLARSVYEGMRREAAALRIQRDLRMFLARKAYTEIFSAAVSVQAGMRGMVARNELCFRRQTKAAIIIQTWCRGYLARLHYRKLKKATITTQCAWRSKIARGELRKLKMAARETGALQEAKNKLEKQVEELTWRLQLEKRMRTDLEEAKKQESAKAQSSLEELERRCKETEALLIKEREAAKKVSEIAPVIKEVPVVDNELMEKITNENEKLKEMVSSLEMKIDETEKKLQETTKISQDRLKQALEAESKLVKLTTAMQTLEEKILDMEAEKKVMRQQTIASTPVRTALGHPPTAPIKNLENGHHTNLESEFNEAEFTTPIDGNAGKTAAERQIMDVDALIDCVKDNIGFSDNGKPVAAFTIYKCLLHWKCFESEKTNVFDRLIQMIGSAIENEDDNSHLAYWLTNTSALLFLLEKSLKTGGSGATQSKKPPASTSLFGRMAMSFRSSPASGNLAAAAEAAANAVIRPVEAKYPALLFKQQLAAYVEKMFGMVRDNLKKELSTLLSLCIQAPRTSKGGMLRSGRSFGKDSPAVHWQSIIDGLSSLLATLKENHVPLVLIQKIHSQTFSFINVQLFNSLLLRKECCTFSNGEFVKSGLAELELWCCQAKEYAGPSWEELKQIRQAVGFLVIHQKYRISYDEIAHDLCPGLSVQQLYRICTLYWDDSYNTRSVSQEVISSMRALMTEESNDADSDSFLLDDDSSIPFSIEDISSSMQEKDFVGIKPAEELLENPAFVFLH >A06g509320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26892810:26893094:-1 gene:A06g509320.1_BraROA transcript:A06g509320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKEIFYNNELFVRWIHCWKTRNFQKSNLLMRIELLFIDTKLITIQAFIPKHFLPRRIRYWFMLV >A08p039530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22734140:22736387:1 gene:A08p039530.1_BraROA transcript:A08p039530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGSRVACKSLIRAILCILHMLLSSSHRILYIVNDVRYTLIWSLIAWLVVGAWEVTLEKLQTESDNQVMISIEKVFVVVALVFSTCLVKSVIANSLAAWFHVSMYGEKIVESLYYEYLLEVLTGVAAGIKCESDARTEAEKIFQNVAIDNSQFTGLEDLEQFLRKDYALKTLNIFRRTYEANEDILWTLIAALLIPLLGWGPTIYTILIITSSFVVTYFCGQPFYVGDEVEIEEVKMYADELRLMETVFKGIDKQYQYLSNFGLYDKLLKNNSRSELPAWKIDDNEIV >A09p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7042837:7048158:1 gene:A09p013600.1_BraROA transcript:A09p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFFFCTLSSFIFLCIHVCSAADTISANFTLSGDQTLLSSNGTFKMGFFQPGNSANFYIGMWYQELSIQTIVWVANRDKPVSDKNTSVLRISDGNLVLLDGNNLVWSTGLNSSSAHDAVLQDDGNLVLRDDSGSPLWQSFDHLGDTWLPGAKIRYDKRTKKSQRLTSWTSSEDPSPGLFSLELDESTAYKILWNGSTQYWSSGPWNSQSKIFDSVPEMRLNYIYNFSFFSNETESYFTYSLYNHANVSRFAMDVSGQIKQFTWLNNNNQWNLFWSQPRQQCQVYAYCGSFGICNDKSQPFCQCPRGFSPVSQKDWDLKDYSAGCVRNTELQCSRGVINEFLPLTNVKLPDNNSEGLLGSTSCRSACLGNCSCMAYAHEETSNRCLMWSKDVLNLQQLEEDNSEGSMFYLRLSASDMPRNSPSGKSNKVMIIGAVLGSLGVTISSSRGTFKMGFFQPATSSNFYVGMWYQQLPQQTIVWVANRDKPVSDKKTSLLKISNGNLVLLDGNNQTPVWSTGLNSSSAHDAVLQDDGNLVLRDESGSLLWQSFDHPGDTWLPGVKIRYDKRTKKSQRLTSWTSVEDPSPGLFSLELDESTAYKILWNGSTQYWSSGPWNPQSKLFDSVPEMRLNYIFNYSFFSNATESYFTYSIYNTANISRLVMDVSGQIRQSSWLNNNNQWNLFWSQPRQQCLVYSYCGSFGICSSKSQPFCQCPRGFRPKSQKDWDLKDYSAGCVRKTELQCSRGVVNQFLPLTNVKLPDNLEVWGITSFNTCGSACLGNCSCMAYAHDESSNRCLMWTKDVFNLQQFEEDYSEGNTLYLRLSASDMPSSSTSGKSNKAVIFGTVIGSLGAIALVLLAVIIMLRYCRRKRMRGEKSDGTL >A08g508460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15522502:15527961:1 gene:A08g508460.1_BraROA transcript:A08g508460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKYSLRNGIGERRREGLEVALELCQGSLDFRSSSSPSPLPRGSYLLHRRKCCYVLSSSSLFHPIVVIVEAMKVDSLHRLCSSVEPILRRVVSSDFVSLLFLSKSDTINYAWYALTHLRLLKLAEDPATRPINYRIRLRIVRMRQPISSPSSFPLPSVYRLKSVQSNLHQRGIGGLGAEFADIFRRAFASRVFPPYVTSRLGIKHVKGMLLFGPPGTGKTLMARQIRKMLNGKDPKIVNGPEVLSKFVGDASDVHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTKRKDLLDEALLRPGRLEVQVEISLPDEAGRFQILQIHTNKMKENSFLGHDINLQELDTYSHKCVTDVICQYFLAAARTKNYSGAGLEGAVKSATSYALNRQLSMYDLTKPVEEENIKITMEDFLHAIHEVQPAFGASTDDLECCSFFDCIATIDNVVWDSRCNEETFHFLRKSFRCRIFVRAEYFRLLLKQCLFSLKLPNCKSMPLDTRQRDLPPQPCFEDNAARTVPLPELGRPYAAELQARCLQPSPLQSLLWSHHDKESCGKRFSMSDMRKPDSWKLNEIFATGIVLGGYQAVMSVIFFWVIHKTDFCSDKFGVRSIRDNNDELMFAVYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFVIAQLIATLIAVYANWTFAKVKGIGWGWAAVIWVYSILTYIPQDILKFAIRYILSGKAWVSMFDKRHGLQTRQEVNVFPENEGYRELSQIVELSQIVEQAKKKAEIARLREIHTFKGHVESVAKLKGLDIDTSRHHYTL >A09p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9668330:9669958:-1 gene:A09p018430.1_BraROA transcript:A09p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIKFPVVDLSKLIGEERDQTMALINDACENWGFFEIVNHGLPHDLMDNVEKMTKEHYKISMEQKFNDMLKSKGLENLEREVEDVDWESTFYLRHLPQSNLYDIPDMSDEYRTAMKDFGKRLENLAEDLLDLLCENLGLEKGYLKKVFHGTKGPTFGTKVSNYPACPKPEMIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGDWIDVPPLNHSIVINLGDQLEVITNGRYKSVMHRVVTQKEGNRMSIASFYNPGSDAEISPASSLACKETEYPSFVFDDYMKLYAGVKFQPKEPRFEAMKNANAVTELNPTAAVETF >A04g504530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9436866:9438955:1 gene:A04g504530.1_BraROA transcript:A04g504530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSQVLPRVLVVSRRTVRKNKFVDFVGEYHLDLIVRYGCVPVIVPRVTGVHMLLESFKPIHGVLLCEGEDIDPSLYESEISSLSPEELQEIREKHASDTSIDREKDSIELALAKLCLEQNIPYLGICRGSQILNVACGGTLYLDLEKELTNKLPEERRTKHIDYNNYDEHRHVVRIVENSPLHCWFKDSLDGENMEILVNSYHHQGVKRLAQRFVPMAFASDGLMEGFYDPDTYNPEEGKFIMGLQFHPERMRSNDLDEFDYPGCPAAYQEFAKAVIAYQKKLNSSMSVPKTLVLDGEMESKRKILVRCFSLARYMYTRGATGKNPSKSNTALSTEQETRLKEMGATVRNGGSYMKKLKVDEEKRRIVRNMMNKMNIEQLSELMAFYHLIGNMCGEVLEQRLRGNVNECFKDM >A02p029450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15046955:15049478:-1 gene:A02p029450.1_BraROA transcript:A02p029450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRSSLALFILMAIIGAGDTGNVTYDGRSLIIDDQNKFLFFSSIHYLRRKAKSGGIDVIDTYSRAATRQGIVFRTDNEPFKYHMKRYAEMIVKLMKSENLYASQGGPITEKQIENEYGMVTRAFNQEGKSYVKWAAKLAVELETGVPWVMCKQDDAPDPLINACNGRQCGETFKGPNSPNKPAVWTENWTSFYQTFGEKPLKRSAEDISFQVALFIVRDGSFINYYMVVFFIHTLCLF >A01g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15949517:15949991:-1 gene:A01g505310.1_BraROA transcript:A01g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVMFGYNVAYGVTWLSFSTLLSKKKMEWWFVVKLGQLQISNEFDASQVLINPAIPEVDEFKNTYSGDISLTMIQGNEVMPMENNNQLEFSG >A03g509830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31557647:31558374:1 gene:A03g509830.1_BraROA transcript:A03g509830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARENFVLQGLNHHKVISSLHRFQSMEHDLPGLPATQDGQYDDGDDMPGMNSVSAKVDVGGSALEGAPTTLAGPSDLDTKKPPTRASLKMAEKARMA >A05g505510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:15280082:15280777:-1 gene:A05g505510.1_BraROA transcript:A05g505510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFPLLELPEEIQAVVVERAARNSIQDLFGLKASSRSMKALAERRGVYHFLDVLSVPWGLNMPSELLKACYAEGNPSTLYIKGVQFLYTFDFKEEGLSLMKRAADAGYEGAVYTHAMTRAIFWGEGKYLSRIPIESLDRIGKLVRSVKWGWGLWHTPEFKERIALFISHILPKFYSCQCGNPVERDCPCLWHIDVTKDDNMCPHCLWLKEIGLFLREFEPVSLYRDTRKW >A01g500350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1572536:1572892:1 gene:A01g500350.1_BraROA transcript:A01g500350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVSQLIKPNSQTNPETALTVRVISPLRLKSSSLFLSGVSLCFAILFLSPNSSSITPPMRVMVLQCALINPPFMIPTDLPHTLSVSMISRSLFIPLPQIVKSIPVPQILKSKPSDVN >A09p076320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57132572:57133823:1 gene:A09p076320.1_BraROA transcript:A09p076320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial uncoupling protein 3 [Source:Projected from Arabidopsis thaliana (AT1G14140) UniProtKB/Swiss-Prot;Acc:Q9XI74] MRQEGMMGFYKGLSPAIIRHLFYTPIRIIGYENLKGLIVRSDANNGESLPLATKALLGGLSGVMAQVVASPADLVKVRMQADGRLVSQGLKPRYSGPVEAFTRILQAEGVKGLWKGVVPNIQRAFLVNMGELACYDHAKHFVIDKNISGGDNIYAHTLASIMSGLASTTLSCPADVVKTRMMNQGEKGVYRSSYDCLVKTVRFEGVRALWKGFLPTWGRLGPWQFVFWVSYEKFRQLAGLSSF >A01p052500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29468865:29470513:-1 gene:A01p052500.1_BraROA transcript:A01p052500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSRNENFQSGDGASPGKIFIGGLHKDTTNTEFNKHFGKYGEITDSVIMRDRHTGLPRGFGFITFADPSVVDQVIDDTHTFNGKQVEIKRTIPKGAGSGGNQSKDFKTKKIFVGGIPSSVTEDELKDFFAKYGTIVEHQIIRDHETNRSRGFGFVIFDSEEVVDELLSKGNMVDMADTKVEIKKAEPKKSSNRSPPSYGSHPRGRSSFNDSYGGPYGGPYGGFDGGYGPPPGPIRSHGGPPGRFAAGYGYGRGGVGPEFGGGYGGYGGGSLGYRSEPPLGYSSRFGPYGSGFGGEGYGGGGGGGGGYGRGGGEGYGGYGGGPGYGGGFESGGPGGSYEGAGGPGPYGRGYSSSSRYHPYAR >A07p028030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15898177:15900044:-1 gene:A07p028030.1_BraROA transcript:A07p028030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSRSQGSSNIGNGVITPTQIAFIVDRYLHDNRFSKTRSLFRSEASSLLSNSPVRDVLKSYLTLEDILKDYVSLREQKVALDHEKVILEKEKVRVQNLLQGMQNVMNTYNATLTSPPPPPPLPVAAPASQRKNHTISSGCTQDNTPNAMSVSLLGNKRVGCGNFSTPSTSHHQLITRKRKGHETSIEAPSVARKARIGNSTANESNRIPQAEKTVNNFSSQPPSETLTLAKNSSANKSMTGDVSSVADSSLTSNSTCLMTPQKHASSVSGKSNSPQKEVTPTNCTIVTKERFTISPLKQITSYTMERSQLISSSPVKSNLKMSNKRDHVKGRLNFDDTDTDMCLEAAPTTADLASSSLSGSEPEVDLSDIDFSILAEDFSFSELLVDFDIGFEGSTHKTPIETVSWSSPESGNGGSIG >A05g503040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9085051:9086727:-1 gene:A05g503040.1_BraROA transcript:A05g503040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFSIKVLTYVGKKSYMLCGCVHALAIWIYESVPGLGEIYGHRIDGPEVPLLSWHGSRQRINFLNFCAQEKQQHQKVRVRHMILKPMEDRYPKWDEDEPPADLDNMIVDILNDQLNVKFWEVVPPSKYLKGKTHVTAPSVPDTVDESPSAKRKKEKQTAPEMAESHSDMPINNITIQKFLECVNNLNAKVETMDVSVAEKVSKILEASIHTQMEAKMGLLETELKNEMAILREEINVLKGKDDEKIPSNAGNSKVQDDDDTCSNTMSWMVQTKKSSIDGLPIQRVVKKEKKNKKTMPVKEDVKPLKKVKTEKAFSIPELNDQSISTDDWENNLKWEKSVKCRQVLEALVSDVEPRRRRKQQLTKTQVWPFVGNPTVKRIITGVSKEPYDPLSKVDPDRKEKDESGFAYVST >A09g504850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14682408:14688459:1 gene:A09g504850.1_BraROA transcript:A09g504850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFHSFLFSMLSFPTNNIHTSLGEVISKRTTSLCWTGASHPATFESLVVSLGYSATLSVTLRTIICSLRTLGGTLLCDYQQKLSETRVWETMDSEEERNRPGNSYAGLSNLQMRALNDSMSNLLNTGLEAIHQRLDELQGRPTQSRTRTRRDHPRRNSRSDLEIREDPTEVQPFSRTRSTDRAVYRIDPRAPGRDLRMDPRPDDRISQTTGILPRPIRHSRANSQARTQDHREESDSGLILSFLARLGRTARPDQADHDLSNHFDDFMMIDASNYSKGRILKLSEDLGRVISSSVHGSSTINHAGSLTSVLLLTAVDLITTE >A07p049200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26160604:26162298:-1 gene:A07p049200.1_BraROA transcript:A07p049200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQPVPGSGFHYLNSPFGDTTFTKVFVGGLAWETQSEALRRHFEQFGDILEAVVIADKNTGRSKGYGFVTFRDPEAARRACADPTPVIDGRRANCNLASLGRPRPPLPYALIPNMPGRLRPASPYIGNVQGPRGTPFGSYPYQQPLPYNYQQGVVYPYGVAAYGPEYMYSQSQGLYGPYMGQQYLQVYGVPGAVNTPVYQYGQFNQTVPSGQSYTALQGYSVPGSHVLQLGGSTVSAMTTSSMPALQAPYPPGPAPAQSHIIVHPPQFMQSSSSDQTTSLALTGRAQKEKEKWESQGLWLQFSYSSINVQKMLLAQA >A05p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1101729:1104958:-1 gene:A05p003110.1_BraROA transcript:A05p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISQTSCFWEERLKNYNKTKDKIPTMTKVCPEMQEKKVCEAVVVVEAISADVSFASNQFPSYKLGPDDQIVDEPKENEKHPSVKDVVDKETGDLSDQHKRLSVRDLACKFDKNLAAAAKLVDEAKLSEVTSLEGHVMLKKLRDALESMRGRMDGRNKEAVEKAISMVEALAVKLTQHEGGFIQEKTEVKKLASFLKQASEDAKKLVNQERSFACAEIDSARTLVMRLGGAFEEQELCSKASRAQGPVMGMQHELHDLKSQIQEKSAYSIKLQREIAIIKRAEGSKSCPYVLDGAQTLGSCLKIRASSDSNASDISKCSFQWYRAASESSRREAISGANQSVYAPEPYDVGRVIQADILCNGQKFTVTTEGRINTASGLQSRVESLMRKSNSEFTVMVVISQMNGQDHVSRSHVFTVGKARVKLSRGWITKAREIYSNSMQLCGVRGNANAPAKALFWQPRKGLSFLLTFESEQERNAAIVLSRKYAYDCNVTLVGPDD >A07p013630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7364304:7365623:1 gene:A07p013630.1_BraROA transcript:A07p013630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQRKVNNLGGKVATFPYVETIGRALFASSFFFSAWHDYMELRSNWEGTEDYWRPKLGYSGDQIKHLMAVSIIVKTLGGLIFIYGSFFGAFLLLLQQGIATMIHHDFYNHRIDVEELGLFYLKFKRVLNETMSYDAAHNLYKSNFDEQQIEQAVSKFRELADHAVTNPALFGGNEFFRRLLSFIKALAIVGALLFFVTMKHKLSKAKKESKVKTD >A01p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16808284:16819001:1 gene:A01p035850.1_BraROA transcript:A01p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDHTQITRREGRRPDSTPNGIDSLEGGCSAEISFLFGSNRRIGQCKIFVWTTWTREVTWTKEWLRLKETCSTLLEVCVIPWWPFETSEHMRCSYCVGQLPELYGLAHSAGSAGDQLNSSGLSVHVSGNCAGSGQWPGHVGDPCVPMGWWALGIESGAWAVRVGLFWTCPGVVWQFQDVWHARTSSYTLKHKEKQRKDRELVRSNIQPQKWCEGHKEAVLGEIRGEVMNDPLIVRGEEGWPDSTSYGQESLKERGVWECSFMGVGNDPASSISWEHTQITMREGRRPDSTPNGIDSLEGGCSAEISFMGVQGKR >A04p028180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16996843:17002189:1 gene:A04p028180.1_BraROA transcript:A04p028180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNLAACLPSQSESLENEIAILRSLKPHPHIVTFLGDDVSKEGTTSFRNLHLEYLPEGDVANGGTIVNETLLRRYVWCLVSALRHVHSNGIVHCDVKSKNVLVADGGSSVKLADFGSAMALENPTDEIAPRGSPLWMAPEVVRREYQGPESDVWSLGCTVIEMLTGKPAWEDNGFESLSRIGFSNELPFIPVGISELGGDFLEKCLRRDRSQRWSCDQLLEHPFLRQGHHSFFATESSPRCVLDWVSSEFEEEEESDVSRDTVSAVARISKLTTRGGAIWESDGWIEVRSDNYEKLAAKGEYPVLTRAELQLNVSSVSTDDSVLPSGSEESASVMTCELMESNMPGQKIETGHEDMVHDVQMDYYGKKVATASSDCTIKITGVNGGGSQHLATLTGHRGPVWQVAWAHPKFGSILASCSYDGQVILWKEGSQNQWTQAHVFTDHKSSVNSIAWAPYELGLSLACGSSDGNISVFTGRGDGGWDTAKIDQAHPVGVTSVSWAPSTAPGAIVSSGLLEPVYKIASGGCDNTVKVWKLSDGLWKMDCFPALQKHSDWVRDVAWAPNLGLPKSTIASGSQDGKVIIWTVGKEGEQWEGKVLKDFMTPVWRVSWSLTGNMLAVSDGNNNVTVWKEAVDGEWEQVTVLEP >A03g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12761418:12761983:1 gene:A03g503730.1_BraROA transcript:A03g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKREITYLASKGDTRRGKIGEARVVLTPTVSHHRQAFAWRRRKWIWDVNLHACKDFYEAVTT >A05p004720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1823349:1824490:1 gene:A05p004720.1_BraROA transcript:A05p004720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHYLSPSILCIMLTALVSLAGAKVPAIIVFGDSSVDSGNNNFIQTMARANFEPYGRDFPGGRPTGRFCNGRLSSDFTSEAYGLKPTVPAYLDPSYNISDYATGVCFASAGTGYDNSTAGVLGVIPLWKEVEYYKEYQHKLTAYLGRRKAANIIRESLYLVSIGTNDFLENYYTLPDRRSQFSISQYQDFLIGIAEVFLKDLYKLGARKMSFTGISPMGCLPLERVANLDDPFSCATNYNDLAVDFNGRLRRLVRKLNQELSGMKIYFANPYDIIWDIVQNHHVTDNPLTCSDANKFVFWDAFHPTERTNQIVSDYFFKHLKNLFH >A10p029860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18168965:18170224:1 gene:A10p029860.1_BraROA transcript:A10p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPCTIVLKQTMELPLFAALQRTPRVALTLSFVLFSLTIVPALYSLLANPISPLLVSSSETDGPFPSDHMHLSPLNSPSVRTISPVNSPLHAPYHTRHQKSSSGKIPSPNISPIPVPPDHTLSRHQHSSSNQSPSPVNGSIPAPLNSSSVTTSKSRTQIRDNEQRCDLFNGEWIPNDESPYYNMTCWAIQEHQNCMQFGRPDTGFMRWRWKPDGCDLPIFDPNEFLEMVRGKSMGFVGDSISRNQVQSLLCLLSRVEYPEDISSSPDIAFKVWNYTSYNFILHVMWSPFLVKTTKPDPTDPKSNFFSLYLDEYDNKWTSQINQLDFLIISSGHWFYRPLIFYENEKLSGCQYCSLPNTTELPLHYGYTKALRTSLRGILENFTGLAIRFLLK >A02p006960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2962964:2963530:1 gene:A02p006960.1_BraROA transcript:A02p006960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNYDSSSLNSMQQQDYFHLNHYYNNLNPSTNINNLNLIPYPQIHQEFNLQSPGNNSTTSDEATEDIFVINDRKQRRMISNRESARRSRMRKQRHLDELLSQVAWLRSENQQLLDKLNQTSDSNDLVLQENLRLKEENVELRQVITSMKKLGGSTSIQGRYCSSSLDHELDQDFSCITNDPRTHHPS >A04p009620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:7634533:7635126:1 gene:A04p009620.1_BraROA transcript:A04p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRQKKRQNPAKRAWKSFTNMVKSKLRDIEIAATVRESTARVIRFLSCRLIVPFRTRYLENTSYSEKYYSRSSNQTSRRFLNFFSRSHTKTKRRSYEYDDDYSQIYQYQNQSRCEGTSESKEKVVRRKEEKEEDEEGMPEIADSMEDAWRRVVAASPHLRVDERADEFIYKFRESMKMEKERSFLEFQERLKRSA >A05g509640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29222816:29224053:1 gene:A05g509640.1_BraROA transcript:A05g509640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRNQDITTIRDKNKANNACGGNNNKPKLRKGLWSPDEDEKLIRYMLTNGQGCWSDIARNAGLLRCGKSCRLRWINYLRPDLKRGSFSPQEEDLIFHLHSILGNRWSQIATRLPGRTDNEIKNFWNSTLKKRLKNNNTSSGSSPNNSNSNSLEPIDQQVDMGGNSNPMMNSYHHQDDMMIVGNTMHMDSSSFYAPVVSGVGLNQLDPLISVPDHSRYQQMGNTGNNNVNGLGDYGSTVLDPISNRASVENEWFLPPSENTNGIACATSNNLNLEALDLCFSSKNMCHSESFKVGNIMGMENSSWEIENPKIGDWDLDSLIDNNSSFPFLDFQVE >A08g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4488598:4493999:1 gene:A08g501900.1_BraROA transcript:A08g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLVFSNVLLLLSCDAAVFMSPSESPVFSPSSEPSNNDCSTVVYGMFDCLSFLTVGSTDLSPTKTCCEGIKIVLKYNSSCLCVALESSRAIGFDLINNRALAMPSTCNIPIDPHCVSPSKPPTTRLSSAKPGSSPTIIQSPPTLAAQSPAMFAPSPSESGMENMSLSKLFIIIMMISSFVYLLASNDTTSPNTKENQTTIINFSETVLPLNPNCFRNRVHYISSRQSGFSEIISVIFFSSLQEKESAKEKGHSEAVLLNIVAHLEKLDQKFDSRLTEYDTKFGSFSRGLLDTIGDTVKTTVEERLRVLGVRSPIVVNVMVSEDNRQPDSNSGQPASKTPIDKQSEESQPQKTPDKGQSEKNLADDIAKADAKGMVAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPVGRGCRGLGKRNNLAADLERNEAEKKKQEEAELKKKQKKEEADQPIRLHKTAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKVDKSQPYLKPYPELK >SC428g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000270.1:41:1102:-1 gene:SC428g500010.1_BraROA transcript:SC428g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFTGFWLEKIVGWASSRNSGKHGLSLLRSSGDSIRRFDENARTCVVSMFGKVQSLHSDRTLARARSLCSDRAKRVLGRYVATELRLELGRYVATSLAQAQREDTRRYRSRPSFELDARSLCSDRAWLGLGLLVATPQTRRSSLGSGSVAYVAAERDGGRSRPLSSEPNLDRGSVAIRPGATDAGCYVSDPSETDALVATYCAPSLAGLQLLRLATPSERTSVATCGPSLARGRSLRSDRAWLELGRYVATELCACLVAAYRSSLACPRSDFHTRACPRPIWIHVRCLRTIGI >A04p029300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17523564:17524667:-1 gene:A04p029300.1_BraROA transcript:A04p029300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARIPRYAVLDGSPLLQLRRFFILPLKQLCSSFIALIVNAPFSSFSRPPPDPPPYEYPPLEFSLSRHSSGTSRPSRCRPSCVLQSSPYQFVEGSILISFPDLPTHLPVTVLHVHHSSSLSVYLYSVEFIGTRVVWGTLNLGSMVLVVNVPMDRVSFGSTFVASERFLPALEEIPSDICYLLNMILKGIVLPVLSCLKLCLFPIFPLVWSELEAQELLILKGFSSQLMLSSAVDAVSVILWITLGTIIQEAYEIVAMRFLCFVVSSSNGV >A01p056860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32302544:32303565:1 gene:A01p056860.1_BraROA transcript:A01p056860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLRFLVAKVIVLALASSFVSCYDPSPLQDFCVAVDGANGVFVNGKFCKDPKYVKAEDFFTSGLNIAGNTMNRVGSNVTNVNVDRIPGLNTLGVSLVRIDFAPGGQNPPHTHPRATEILVLVEGTLLVGFVTSNQDNNRLFSKVLYPGDVFVFPIGMIHFQVNVGRTNAVAFAGLGSQNPGTITIADAVFGSTPLIMPEILAKAFQLDVNVVRFLEGRFSSKYDRHY >A01p059330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33744114:33745990:1 gene:A01p059330.1_BraROA transcript:A01p059330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATVVKANGGCRRRLLPLKCSVKDYAWGTIGSDSLVYKVYTANCDDRIDSTAKRPYAELWMGTHESGPSYLEEDADGSSGVTLRSWIHENPESLGDRVLEKWGCDLPFLFKVLSVARPLSIQAHPDKVLAKKMHKAHPNLYKDDNHKPEMALAYTQFEALCGFIPLQELKSVIRAIPEIEELVGSEETNQVFCISEHDEEKVKSAVRTIFTLLMSAGPDTTKQIVFKLKRRLHMESQERHLTEKERLVLKLEKQYPNDIGVISAFFFNYIKLNPGEALYLGANEPHAYLFGECIEVMATSDNVVRAGLTSKPLDIQSLCSMLTYKLGFPEILKGTRIRPYITRYLPPFEEFEVDVCDLPSGASTVFPSVPGPSLLLVIHGEGRMSTDASADEVSVGDVLFVPADTEIQLKSSSDLKLYRAGVNNRFLYSL >A08p033500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20117255:20118004:-1 gene:A08p033500.1_BraROA transcript:A08p033500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSISVVASASSRFCHPSSSNGKISVPSASLSLSTGSRRAPFSLSSSTSASSQLLHCSFLSSSLSLASSFSGLSVAFDLSSGASGGLNSQKRRGLVVRAGKAALCQTKRSRSRKSLARTHGFRLRMRTTSGRATIKRRRAKGRWNLCPKSNPSSGKRA >A04p006910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3692678:3695186:-1 gene:A04p006910.1_BraROA transcript:A04p006910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADSKPDLTLPKTFACSAFAACVGEVCTIPLDTAKVRLQLQKSAIAGDVTLPKYRGLLGTVGTIAREEGLRSLWKGIVPGLHRQCLCGGLRIGMYEPVKNLYVGKDHVGDVPLSKKILGGLTTGALGIIVANPTDLVKVRLQAEGKLAAGVQKRYTGALNAYSTIVRQEGVRALWTGLGPNVARNAIINAAELASYDQVKQTILKIPGFTDNVVTHILSGLGAGFFAVCIGSPVDVVKSRMMGDSAYKNTIDCFVKTLQSDGPMAFYKGFIPNFGRLGSWNVIMFLTLEQAKKYVRELESSKK >A07p048430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25872928:25875958:-1 gene:A07p048430.1_BraROA transcript:A07p048430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase domain containing protein [Source: Projected from Oryza sativa (Os06g0717200)] MRLLKTHLLFLHLHYVISISLLCFSPCLASTDMDHLLNLKSSMIGPNGNGLHDWVHSPSPTAHCSFSGVSCDGDARVISLNVSFTPLFGTISPEIGMLNRLVNLTLAANNFSGMLPLEMKSLTSLKVLNISNNVNLNGTFPGEILTPMVDLEVLDAYNNNFTGPLPPEIPGLKKLRHLSLGGNFLTGEIPESYGDIQSLEYLGLNGAGLSGESPAFLSRLKNLKEMYVGYFNSYTGGVPPEFGELTNLEVLDMASCTLTGEIPTTLSNLKHLHTLFLHINNLTGNIPPELSGLISLKSLDLSINQLTGEIPQSFISLGNITLINLFRNNLHGPIPDFIGDMPNLQVLQVWENNFTLELPANLGRNGNLKKLDVSDNHLTGLIPMDLCRGGKLETLVLSNNFFFGSIPEKLGQCKSLNKIRIVKNLLNGTVPEGLFNLPLVTIIELTDNFFSGELPGEMSGDVLDHIYLSNNWFTGLIPPAIGNFKNLQDLFLDRNRFSGNIPREVFELKHLTKINTSANNLTGDIPDSISRCTSLISVDLSRNRIGGDIPKDIHDVINLGTLNLSGNQLTGSIPIGIGKMTSLTTLDLSFNDLSGRVPLGGQFLVFNDTSFAGNPYLCLPHHVSCLTRPEQTSDRIHTALFSPSRIVITIVAAITALILISVAIRQMNKKKHERSLSWKLTAFQRLDFKAEDVLECLQEENIIGKGGAGIVYRGSMPNNVDVAIKRLVGRGTGRSDHGFTAEIQTLGRIRHRHIVRLLGYVANKDTNLLLYEYMPNGSLGELLHGSKGGHLQWETRHRVAVEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLVDGAASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGKKPVGEFGEGVDIVRWVRNTEGEIPQPSDAATVVAIVDQRLTGYPLTSVIHVFKIAMMCVEDEAATRPTMREVVHMLTNPPKSVTNLIAF >A09p029330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17531648:17534117:1 gene:A09p029330.1_BraROA transcript:A09p029330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLSIRKPPNSSFIFPKFAPLLVRHRFTLPLLKPRSVRVVASLSGASWVSQASKDKYGGWSLIQDDPPLPHSKKWRNVVITGVGSSLALLLATLAYFSVSRKGFRFSFSNPLHSSSVDLNQNETEESVEKTSASASDSEGVDYVSDTVDTSSSAVKAHPITTPVSVDAAQQEAIAVLKKLKIIEDDVVADELCTRREYARWLVRLNSLLERNPKHRIVPAVALAGSSVPAYEDVNITDPDFEYIQALAEAGITSSKLSGYDISFHPQKFVSRLDLVNWKAELECDFHPEIMQEISRTKVDYIDTKDLNPDMSLGFYLDFLMGDKSTIRNVFGRIKRFQPSRPVTKAQAAVALTTGKMAKAIAEELSRLEAEAFSQKAEMEEIKSELLEKGEIRQLWDEKLQVERSRGVEMEELYLSRVNELEQEKVSQLKWFAERLKEKAAIDCQKQLVTSLREDIDEMSQRLTTDESVYMVEHSELQKMLSELQSKLESVLDKRSILEAEVEALRILRTWVEDEAKASQARAKVLEEAGRRWKWNDHS >A05p005470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2113835:2116467:-1 gene:A05p005470.1_BraROA transcript:A05p005470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La-related protein 6B [Source:Projected from Arabidopsis thaliana (AT2G43970) UniProtKB/Swiss-Prot;Acc:O80567] MADQQTLDSDDLSHSTSSDPSLLRSLSSSRLNAGAPEFVPGRTTPPPRMIIPPPPPHGMLHMYHHQRPFNVPVLGPVPIQPHHHPHRFHHNRPQNQHYVPVRSHQQEPDSVVKKRDHKRESKNNDQTNEAGASSVVIDPKTGLPEDTIQKIVNQVEYYFSDLNLATTDHLMRFISKDPEGYVPIQVVASFKKVKAVVSGNSQLATVLQNSAKLVVSEDGKKVRRLNPVTEAALEELQIYRIQSRIVIAENLPEDHCYQNLMKIFSTVGSVKNIRTCQPQITGNAVPPAARSAKSDGNLFSNKVHAFVEYETVELAEKAVAELNEAGNWRSSLKVRLMLKPQTKEPKQGQGRGRKGHDVEVEHEEDEATASEQQQQQPIEKLSEECSGEWDTHVPEQAVIGEEQGNEKAAGQRKGRSRGRGKGRGRGQPHQNQNQNHNHNHNGRGNHHNHNHHHQHQVGTPPSNNQMNSMEQQPKQQPPGPRMPDGTRGFSMGRGKPITVQAD >A02p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4426504:4427704:1 gene:A02p010280.1_BraROA transcript:A02p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MAATQLTASPVTVSARSLASLRASSAKFSSFGTLKPGTLRQSHFRPLVVRAASVVAPKYTSIKPLGDRVLVKIKEAEEKTMGGILLPSTAQSKPQGGEVVAVGEGRTIGKNKIDITVPTGAQIIYSKYAGTEVEFNDVKHLILKEDDIVGLLETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTETTKEKPSIGTVIAVGPGSLDEEGKLQPLPISNGSTVLYSKYAGNDFKGKDGSNYIALRASDVMAILS >A07p049190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26158797:26160147:1 gene:A07p049190.1_BraROA transcript:A07p049190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP domain-containing protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G76450) UniProtKB/Swiss-Prot;Acc:Q9S720] MAAITPWLSSPQSFSNPRVSTRYSSRRCTSLPALVTSQEHHQILSRDQVGMKRREVMLQLASSAFFLPFIVSPAFAETSIVASEAFRVYTDEANKFEISIPQDWQVGQAAEPTGFKSITAFYPEETSSYNVSVAITGLGPDFTRMESFGKVEAFAETLVSGLDRSWQKPAGVTAKLVDSRSSKGFYYIEYTLQNPGEARKHLYSAIGMATNGWYNRLYTVTGQFTDEESADQSSKIQKAVKSFKFI >A05p007330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2940195:2941648:-1 gene:A05p007330.1_BraROA transcript:A05p007330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staphylococcal-like nuclease CAN2 [Source:Projected from Arabidopsis thaliana (AT2G40410) UniProtKB/Swiss-Prot;Acc:F4IH31] MGNALGYLYGKCCKPTTDDDSLGPHGVSAATVGVSALAHDLFNFEITSQVPEGLGRYVVSSRKAQANWYRKILEAWKQAKPPPQTAEDASRLVTEILKRHQKADVEGLLSFYGLPLPHTLVELSTEAPPDSLPEGVLFEFQTLPVDPKAVADGDTITVYVSTSDPVVSSSVPREVNVAAVKRAKARERKDYTKADAHHQKIIDSGFRVLNIQNEEVLARKFRIRLRGIDAPESQMPFGKEAQEELLKIVQWKSLKVLVYGEDRYGRCVGDLYCNGVFVQEAMLKKGLAWHYVAYDKRIVLAKWEKEARQKRIGLWASSNPEKPWDWRKNNRRE >A08p014620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9875039:9878564:1 gene:A08p014620.1_BraROA transcript:A08p014620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQTQIEDTRIMSSCASLIFLFLFLFLRASAQDPTYLGYNCPDTATYSSRSTYFTNLRTVLSSLSSQNASYSTGFQNATAGEDPDMVNGLFLCRGDVSAEVCRNCVAFVVKDTLDRCPEEENVVLYYDQCMVRYSNRNILSTVNTEGSLVLKNTKNVTSNQKDRFRDLVLSTLNPAAVEAASSSRKFDARKANWTASQTLYGLVQCTPDLSREDCLSCLQQSINQLSIDRTGERFVVPSCSARYELYLFYNESATIKPSPPPQLLSTPPQPEKGGSSTGLVVAIVVAIMVAVLLFIAGYCFLAKGSNKAYHISYALDGDNITTADSLQIDYRSIQTATADFSENNKIGQGGFGEVYKGTLLDGTDVAVKKLSKSSGQGEAEFKNEVVLVAKLQHRNLVKLLGFCLQGEERVLVYDGYMSPEYAMHGQYSMKSDVYSFGVLVLEILSGKRNSSFYPTDGIHNFVSYAWRLWTNGTPLDLLDPVIVDNCQRNEVVRCIHISLLCIQEDPVDRPTLSNIVLMLTSNTVTLPVPRKPSIFFQSRPRKDPLDSVDDASITDLYPR >A07p011920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8400625:8405030:1 gene:A07p011920.1_BraROA transcript:A07p011920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVKRRQEAGRRKLEEFRKQKAEREKKASRNSTAQPVDNTVADSDGEVAAAASISNGPLSQSPETSFNQTQSKSSSSSSKRDESVGTSSSLELRGSSNDLTVNNRRPEALVPDIDREKQSSGDLNRASTLRESASPSSLLSTSTQMHGSGLISSRKDSLQPTTRMAESTQENGELRGGSSIVQKPTLSSSYLFNSPDTSSRDSRSSEYGVNITSSYSPKSEASVKKNRPSFLDSLNISRAPETQYQESETHGDLVASSRTGSQFVGGDGFGTSSLPYPSGKTDSNGPSLKSGASDSHYPFENLRSPVFPVANGVMPGFSDYSMPKQNDDFSTLEQHIEDLTQEKFSLQRDLDASRALAESLASENSSMTDTYNQQRSIVNQLKHDMEKLHQQIQAQMGELESVRNEYANAQLECNAADERSQILASEVISLEDKALRLRSNELKLERELESAQAEMSSYKYALYSLYLHLYLMFGPKNTTRNSICNFWDNIILILTINFYRKKLQSLEKDRQDLQSTVKALQEEKKVLQTMVQKASSGGKSTALDKSSTSSKHASTSTEGLAISDTMTGSSNQETDSTDLLGSDLSNTAIINETGQLTLEGFPLSVPADQMRLIENINTLIAELAIEKEELVQALSTELSKTARVKELNKELSRKLEVQTQRLELLTAQNMAIDNVSPAKQPDSHVVQERPPIADEGDEVVERVLGWIMKMFPGGPSKRRTSKLL >A08p042600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23856477:23863244:-1 gene:A08p042600.1_BraROA transcript:A08p042600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase lambda [Source:Projected from Arabidopsis thaliana (AT1G10520) UniProtKB/Swiss-Prot;Acc:Q9FNY4] MASSSSSSLCLHSLPKASSGLNQWRGRLRFEFRGSSAFLSPAVVFLQQSPRLSAIRVSSEGSSRRRGYNESQAVSGFSNAKVQQIASNVLPVGSFVVVTFVLICFIQLTVLWKVVEKFMSPKTSSAGDSKSSTQGVKWSIGAGTNLLQGFAAKVDRENKQRLNEFAKELRSFRSVDMSGCNFGDEGLFFLAESLGYNQTVEEVSFSANGITAAGVKAFDGVLQSNIMLKVLNLSGNPIGDEGAKTLCATLMENSSIEILQLNSTDLGDEGAKEIAELLKRNSTLRVIELNNNMIDYSGFTSLAGALLENNTIRNLHLNGNYGGALGANALSKGLEGNKSLRELHLHGNSIGDEGIRALMAGLSSHKGKLALLDLGNNSITAKGAFYVAEYIKRSKCLVWLNLYMNDIGDEGTEKVADALKQNRSIATIDIGGNNIHAEGVNAIAQALKDNAIITTLEVGYNPIGPDGAKALSEILKFHGNVKTLKLGWCQIAAKGAEYVADMLRYNNTISVLDLRANGLRDEGASCLARSLKVVNEALTSVDLGFNEIRDDGAFSIAQALKANEDVTVTSINLGNNFITKFGQSALTDARDHKRFRRSDSIRLIETRVTPASDGTVVHKICRSVLLGEYGANLRNKMAPKRGRNRSPSPDRNGMFAGMVVFMVDTGVQRRRLQIWKQKLVQMGGAVIEEGSLTKKVTHVLAMTPEALVGKFQKEPLSRFKGRLLRYQWLEDSLTSGEKANEDLYLLKFEDPNKKSLPARSGSEDQPSTPKRARDYSPDSSDTIGLETQNNTQGSLTSCSVPSTSPSPGEGNAETPTTSPQSEPTSVYKPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKFPTKIESVDQLKHLPGIGKSLTDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGHRTLDDLKNEDSLTHAQRLGLKYFDDIRTRIPRQEVQEMEQLLQRVGEEVLPGVDIVCGGSYRRGKATCGDLDIVITHPDGQSHKGFLTKFVKRLKEINFLREDLIFSTHSEEGTGSGVDTYFGLCTYPGQELRRRIDLKVYPRDIHAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHSSSGNRGGKASASMKLSTEKQVFDFLGFPWLEPHERNL >A01p023070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11385736:11388809:1 gene:A01p023070.1_BraROA transcript:A01p023070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAFSLSFSQSSLTLPNRPLSSPNAAPRNLRFCGLRREAFGFSPPKQLNSCRFQVKSRRIEVSAAAGGNGAPSNKSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVSAAGYDRQGVADHASNLATKIRNNLTNSMKALGVDILTGFGSVLGPQKVKYGKDNVITAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRVLINPRKIDYHTGVFASKITPAKDGRPVMIELIDAKTKEHKDTLEVDAALIATGRAPFTNGLGLENINVATQRGFIPVDERMRVIDGNGKLVPNLYCIGDANGKLMLAHAASAQGISVVEQVTGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFKVSIAKTSFKANTKALAENEGEGLAKMIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKLAVHAHPTLSEVVDELFKAAKVESPASTTPQSVKAAV >A01p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24482570:24485537:1 gene:A01p044230.1_BraROA transcript:A01p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSRDLYNFARASSADQNSSVFDASQYDFFGKNLDEMSLGGLDDDEVIAPVLGHPGADADDEYHLFDKSEAGGFGSLSDMDDLATTFAKLNRVVTGPKHPGVIGDRGSGSFSRESSSATDWTHDAELTSWLDERDQEANRWSSQSQSSAQPLYRTSSYPQRPPQLQHHNSEPIILPEPTFTSFPPPGSRSQQTSLGNLHRAPSLPGGSQFNFTPLSSSSSHLSGLPQWPQYSGNLTRHASCGPTLGNMAQPHWFTDPGLLQQQHQQLPPRNDLLAQHMMALQQRQSYAQLAAIQSQLCSSYPSPSSRKVPFGVGDVREQHKHKSSSHRSRKNKGISQQASSDAASQKSESGLQFRSKYMTSEEIESILKMKHSNSHSNDPYVNDYYHQARLAKKSRATISHFYPSQLKDHQPRSRNSSEQHQQVHVDALGKITLPYIRRPRALLEVDSSPGSADQKGSGKHLEQEPLVAARVTIEDALGVLIDIVDIDRHLQSTRPQEGGALVKRKRQILLEVLATALQLADPFSKTNQTAKDDVVFLRIATLPKGRKMLTKYIQLLVPGTEIARVVCMAIFRHLRFLFGGLPSDGLAAETVVSLAKAVTVCVQAMDLRALSACLAAVVCSSEQPPLRPIGSSAGDGASVVLISLLERAAEVVVEVPPRVNHDGLWRASFDEFFNLLTKYCRSKYETIRSQNQGSAADVLEVAIKREMPAELLRASIRHTSDDQRNYLLNFGRKPSAIISESASHARGGQINSESVRGLSKREREVITLNL >A01p023320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11518343:11519789:-1 gene:A01p023320.1_BraROA transcript:A01p023320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINTRNATMVRPAAETPSTYLWNSNVDLIIVRYHSSSVYFYRPNGASNFFDPHVLKEALAKALVPFYPFAGSLRRDENGRIEIDCNAAGALFIVADTPSVIDDLGDFAPSVNLSKLVPHVDYSPGIHTFPLLVLQVTFFKCGGVSLGVGIQHHVSDGLSSIHFINSWSDMARSLDLTLPPFIDRTLLRAREPPHPTFHHVEYQPSPSMKIPLHPDNTTVSIFKLSRDLLVALKAKAKEDGNTVCYSSYETLAAHVWRSVTKARVFPDDQVTKLYIATDGRSRLRPQLPPGYLGNVVFTATPLAAAGDLLSKPTSYVAGLIHDVLARMDDEYLRSALDYLEMQPDLSALIRGAQTYNCPNLGITSWVRLPIYDADFGWGRPVFMGPSVIAFEGLSFVLPSPTNDGSLSLFIALRTEHMKLFEKYLYEI >A03g500520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1519727:1520904:1 gene:A03g500520.1_BraROA transcript:A03g500520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSSMKAAAEGKKLPRSDLMDTAKRMFEEQRRMVKISVEGYDTSMIPARPYNKCCQQQMFLFPTLRRRRRRQALALDGTDMGGWNVTVKVLPHDDLEFTTDQLAAMSISHFKKTRSEGVSIRGYDNSLPSNDIKSALTKHFASCGEITDVFVLKRRAIIYFFGWHAISKAVELSGSNVGGCELVVKALPVPKRNLGPPPPPSLLPFGYTIPAECVEAAHKIHMAQKMTAE >A06p033640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:18117192:18117371:1 gene:A06p033640.1_BraROA transcript:A06p033640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGSSIRINICHRKISSLCLNSNRFGRHDARRGVAVTSSLHHLKAKRGTHIERFISSS >A02p045020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28309256:28313505:1 gene:A02p045020.1_BraROA transcript:A02p045020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNHNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHYTGSGSGGHGSGSPGDVPDHVAGGDGYASEDFVAGSSSSSRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNYVNTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDMIPDEGEDVPMDQQEPEAENIPVETLMQSSDSVHQTLASSSRQAPSILEIDECESMDSTNSTTGEPTSIAAAASSSSSILEETTQPQLQSFPILYPAYFSPYYPFPYPIWPAGYVPEPTKKEETHEILRPTAVHSKAPINVDELLGMSKLSLGESKKNGEFDQSLSLKLGTRQSAFQPNPSSDSSDVTNVFVCDPIVWGCSRESLNLVRGFAFTTRWPHRSSQLHSSHMSTRSVMQSQQDTVTPFQILGGEAQVVQIMLKSEERVIAKPGSMCYMSGSVEMENTYTPEQQVGVLQWIFGKSVTSVVLRNTGPNDGFVGVAAPYFARILPIDLAMFGGEILCQPDAFLCSVSDVKVVNSVDQRARNIVAAGAEGFLRQRISGQGLAFILAGGSGEVLSQPECLFVVFASSPDITIPNMLVVQKVLEVGEVLTIDVSCIAALTPSIDVQIKYNTPLRRAVFGGDNVVMATLTGPGIVFIQSLPFHRLSQRIARSVTSPNMRENPRLMIQIALFAFLAYVVILSSLLLTEEV >A02p032050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16809002:16811217:1 gene:A02p032050.1_BraROA transcript:A02p032050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g80480 [Source:Projected from Arabidopsis thaliana (AT1G80480) UniProtKB/TrEMBL;Acc:Q9M8L6] MATLSKLEMATTFLAFTSPRSATALNYLFSSAAVPIFSRRIAKPVSVRAKPSLLYHPVVNSNRLRKFSSVSASASASAPPQTDDSDVTTKIPPDNRIPATIITGFLGSGKTTLLNHILTRDHGKRIAVIENEFGEVDIDGSLVASKSVGAEDIVMLNNGCLCCTVRGDLVRMISELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEEEIFNDVKLDGVVTLVDAKHARLHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGESELGSVVQRIKTINSMAQMTRTNYGNVDLDYVLGIGGFDLERIESSVTTEDDKKGHDECHDEHHHDHDHHHHDHHHHNEHEHNHSHDHDHTHDPGVSSVSIVCEGSLDLDKANMWLGTLLMERSEDIYRMKGLLSVHTMEERFVFQGVHDIFQGSPDRLWGKDEARVNKMVFIGKNLNREELEKGFKACLI >A07p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15769765:15771437:-1 gene:A07p027690.1_BraROA transcript:A07p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFDDRYGNTRLYVGRLSSRTRTRDLERLFSRYGRVRDVDMKRDYAFVEFSDPRDADDARHYLDGRDFDGSRITVEASRGAPRGSRDSRDNGSRGPPPGSGRCFNCGVDGHWARDCTAGDWKNKCYRCGERGHIERNCKNSASPKKARGGGSYSRSPVKSRSPRRRRSPSRSRSYSRGRSYSRSRSPVRRERSVEDRSLSPKAMERSVSPRGKDQSMSPERRVVDASPKREKQEGSDYEGSPKVNGNGKSSVSPIVGGEESPAGLDGQDRSPIDDEPELNRSSPKGSESP >A09g515620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46081728:46084412:1 gene:A09g515620.1_BraROA transcript:A09g515620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVSSMLSRSFMSSPSLFALRGKHHNMNRGVYGYSNVAAAEDTITPPVKVEHTQLLIGGKFVDAASGKTFPTLDPRTGEVIAQVAEGDVEDVNRAVSAARKAFDEGPWPRMTAYERSKILLRFADLVDKHNDEIAAIETWDNGKPFEQSSKIEVPMLARVFLTMLVSWADKIHGMTVPGDGSHHVQTLHEPIGVAGQIIPWNFPLLMLSWKLGPALACGNTVVLKTAEQTPLSALLVGRLLHEAGLPEGVVNIVSGFGPTAGAAIASHMDIDKVAFTGSTDVGKIILQLASKSNLKAVTLELGGKSPFIVCEDADVDQAVELAHFALFFNQGQCCCAGSRTFVHERVYDEFVEKAKARAIKRAVGDPFKSGIEQGPQVDSEQFKKILKFIKHGVESGATLQAGGDRFGSKGYYIQPTVFSDVKDDMLIATDEIFGPVQTILKFKNLDEVIARANNSRYGLAAGVFTQNLDTANRLMRALRVGSVWINCFDVFDATIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTAIKNPAWL >A02p017210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7738360:7740967:1 gene:A02p017210.1_BraROA transcript:A02p017210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKNKTRDMVEEEEEPLSPCSRLFNSPDFNCAIIVTMGCKVKGNPPAIIEGLKHTLANHPRFSSILDMKNGKKGKPRWVRTKVRVEEHVIVPDIEPNTENPDQYLEDYISKLTTVPLDLSKPLWEIHVLCLKTSNAESIGILKIHHSLGDGMSLMSLFLACTRKTSDPEALPTVAVQKKQFGPSCYTGFFNKIWWDTETPLLAKPGSELKPKKFVYRIISFDDVKLVKNAMNMTVNDVLLGVTQAGLSRYLSRRYDQEATPKSKDLMRRIRLRSAIMINLRPNTGIEALADMMAKKSKCKWGNLFGYILLPFSLGLETDPLEYLRRAKVTVDRKKQSLEAVFSMAFFKLILKVMGLKASVVLVRKVIHSTTLSFSNVVGPKEEITFHGHPLSYIAPSVFGHPHALTLHFQSYENKVIISVTADPTVIPDPHKMCDDLVESLKLIKSSVLERGLYEME >A06g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2869728:2871348:-1 gene:A06g500660.1_BraROA transcript:A06g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLPIPPSPPPPQLWPRRQRNARGRLSCVGSLEREEGPQTTTRRLETVKFLRLLGVEFPLNRFLSLDFRFEKQAYSFCWIPSLRRPRLFFNSTAEFDGNRNLLHEITRLSPKAKTNSSLALLSQDVQSNIAPASSEPYGRTTIRGTKAATSSQARPHGAALQHWELRCFSYIFFLLCDLILARFPPSSIQGTSNWVGRFHLTETCSNEHGFSHSNYQKKKKKLLSQIYTAGKHSLIGHKLIGSPFGNLEYMDGTFLPAELSMNLFKISEKVVVDATNKGNIARLINH >A05p006130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2419706:2420617:-1 gene:A05p006130.1_BraROA transcript:A05p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPDSPPTHDPIPDRDQPEPASATDPKLPSVPKSSRRLPPPCWSLEETTALIDGYREKWHALGRGNLKANHWEDVAEAVAAACPGVAPRKTAVQCRHKMEKLRQRYRAEIQRARSVPVARFVSSWVHYKRMEAMEITKPDGEENQFSGGGPTPRFFNRNGGGGGGGIRIRIPTGVSIAQPGPRIEPKSFGISTNPRPGRVVGTGSSYGARVVGGGSEMKMMSDDTIGSAIKLLGDAMLRTEEKKMEMTREIEGMRMEMEMKRTKMVLESQQRIVEAFARTMSENLEEEKKKMKKARRVSSVE >A05p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11028881:11030185:1 gene:A05p022860.1_BraROA transcript:A05p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSFRPKHTNCFCSGRKPVRDSIRFDSVAAEVMANIESEAIDFEPEEDDLMDEDAGAADVSPRAAAHPRLRSAIAGANGDSTDRKTKGRGFREERDSDRHRRLSSRDFDSLGSDGGPGPQRSIEGWIILVTGVHEEAQEDDLSNAFADFGEIKSLHLNLDRRTGFVKGYALVEYEKSEEAQNAIKAMNGAELLTQNVTVDWAFSSGPSAGSYRRKNLRSGRSQRSRSPRRRF >SC178g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000048.1:363711:366907:-1 gene:SC178g500180.1_BraROA transcript:SC178g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTNGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTERSIRVTDVGEKKARRMFGIA >A05p001110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:87755:88038:-1 gene:A05p001110.1_BraROA transcript:A05p001110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRDLASTKMSVNSRRARVACVIPSRLSSTSSRRALHAIHELDQGPTRPRHGTTLLQSLRGRYVGSAKGIIDLLSRGWLAQADA >A03p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4072923:4074888:-1 gene:A03p010180.1_BraROA transcript:A03p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMANEEKPKKKKSLMNFYKFSTTTSKQSLINPKSTPNKVQIPPSSLYQEEVSKSIVVQSSKTQNHLRRDIFELKTTSNERKKGGGGGGAAEEGRKSVSHVERDTEARIAAAAEMLTVRILAADMPGFMQAHAFRCARTTLDSLEKFSSKHMAFNLKKEFDKGYGPAWHCIVGSSFGSFVTHSTGCFIYFSMDKLYILLFKTKVRPASPH >A09p022430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12344603:12344911:1 gene:A09p022430.1_BraROA transcript:A09p022430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNSMMACLAWIFKGSSNEESRQGAEVQSLVSSPLMAEALAIRSSTTMAADLEIPNLNIFRSSELSTPRCRKRKLMGSSRISIKSPLCLTQSPFRFCHDL >A05p001010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:22723:23247:1 gene:A05p001010.1_BraROA transcript:A05p001010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSQSSSKNQEKKKGSRVCEKIFRAVTSPVRTVRRISTKPSPNHHHHQAEAVRVKFSETAKPITNIEQKKTLITRVETTLKTDERFTDYIKKAKLKIRAPTRNDDVSDTSEKETRDHHHHHHHHVPISRVSRESSSGRSSDRFSEYINKAKMKFRSSSTVARANTTHDSFKY >A05p005540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2134583:2134912:1 gene:A05p005540.1_BraROA transcript:A05p005540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARGOS-like protein [Source:Projected from Arabidopsis thaliana (AT2G44080) UniProtKB/Swiss-Prot;Acc:Q8RXL7] MDTTRDNRKDMSFRGSAQAPMMSKQEYIRTSSQGSKPRKLVTASYFSLESVVVLVGLTASLLILPLILPPLPPPPFMLLLIPIGIMFLLMVLAFMPSSSNAKHVTNTYM >A06p038050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20546937:20548127:-1 gene:A06p038050.1_BraROA transcript:A06p038050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSAATPFVFILLLSISSVTVHGASHHHTAAPAPAVDCSTLIINMADCLDFVTAGGTSAKPKSSCCAGLKTVLKADAECLCEAFKNSAAFGITLNMTKAATLPTACKLHAPSISNCGLSMTPTMAPVSMTPTMAPGLAPGGAVAAGPGAAGTTLAPTPSQGNDGSCLIPISFTTLFSALFFVLFLSRM >A09p055410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47418479:47424033:-1 gene:A09p055410.1_BraROA transcript:A09p055410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-4B [Source:Projected from Arabidopsis thaliana (AT3G50240) UniProtKB/Swiss-Prot;Acc:Q94LW7] MELHSSSSSTESCSVKVAVNVRPLIGDEISQGCRECVSVSPVTPQVQMGTHSFTFDHVYGGSNGSPSSLMFNECVAPLVHGLFHGYNATVLAYGQTGSGKTYTMGTAFKDGSSNGLIPQVMTSLFNKIESVKHQMGFQLHVSFIEILKEEVLDLLDSVRLANGAPGKVGLSKSPVQIRESPNGVITLSGATEVSIATKEEMASCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQTRKITPISVVEDTIDEDMGEEYSCAKLHLVDLAGSERAKRTGSGGARLKEGIHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVANKDLICSEMQKMRQQLQNLQAALCARGETPSKEVQVMRKKIMKLESANDELSRELLIYRSKRGTLDYCSMNTLEDGASLTKDDGLKRGFESMDSDYKMSEATSGGISEDIDGAAKEWEHALRQNNMGNELSELSKRLEEKESEMKICGAGTEIIRQHFEKKMMELEKEKRTVQVERDLLLDEVEKLAASSDRQAQVARDSHAQKLKALETQISNLKKKQENQVEALKQKQKSEDAAKRLQAEIQCIKAQKVQLQHKMKQEADQFRQWKASQEKELLQLKKEGRKTEHERLKLEALNRRQKMVLHRKTEEAAMATKRLKELLESRKSSVAGNGQPPTKKVKEKTLQRWLDNELEVMTKVHQVRSQYEKQIQVRAALAEELTSLKREMDFASSPHQEKNGQFRFLSPKTRLERIATLESMLDVSSNALTAMASQLSEAEEREHSLHTKTRWNSIKSMTDAKCLLQYVFNSTAEARCKIWEKDMDIKEKKEQLNDLLCLLQLSEVQNREILKEKKTREHTLSIALASSSPSSVTSRSSSKHYGDNNASNQSSPPSYHRAAKHLKYAAPGAVNVSVRESAALLEEKRKMKAMKKMGQSGKLWKWKRSHHQWLLQFKWKWQKPWKLSEWIKHNDETTMLFMSKNHHNDGDDYSWSRRH >A08p003540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2014518:2015321:1 gene:A08p003540.1_BraROA transcript:A08p003540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYQSKLAPKYDFLREKNMERTIVSGLGASIIAHACGTTAEKQVLRDAGVLEKLVVLLDGSLSQREACLESLAMVLKSNHEVVSCFVGLEGGRYLSFVTELTKDSFLTIMFNVFVFSPCQKEDLHQLAYEANAVKTIVDILKTESELHPKRLQGLFQSLAELSSKLEDCRCTFLSLQVLDLLFDALRHKNADVSVKL >A02p054960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33307183:33307965:1 gene:A02p054960.1_BraROA transcript:A02p054960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTLFWRTETNQKGKIVSRLSHSHLKNRIENRSEMVNRSQQSLFLIGSPDETDKIKRAETSQQAGAIAGAKAAAVAAVASAIPTLAAVRVFPWAKANLNYTAQALIISSASIAAFFITADKTILQGARRNTEAQMKKVQQESK >A07p034790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18864075:18868756:-1 gene:A07p034790.1_BraROA transcript:A07p034790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSYSNAADHNLEQALNTLKKGTQLLKYGRKGKPKFYPFRLSSDEKSLIWISSSGEKRLKLASVSKIVPGQRTAVFQRYLRPEKDYLSFSLLYNGKKKSLDLICKDKVEAEVWIGGLKTLISGGQGGRSKIDGWSGGNISVDASRDVTSSSQSSSSASASQGHSSPGTPFNFDPIPSPKSTEPEQSHVALDSKNMQTKVSGSDGFRVSVSSAQSSSSHGSGADDSDALGDVYIWGEVICDNVVKVGIDKNASYLTTRTDVLVPKPLESNIVLDVHQIACGVRHASFVTRQGEVFTWGEESGGRLGHGIGKDVFHPRLVESLTSCDFVACGEFHTCAVTLSGELYTWGDGTHNVGLLGHGSDVSHWIPKRIAGPLEGLHVASVTCGPWHTALVTSHGRLFTFGDGTFGVLGHGDKETVQYPREVESLSGLRTIAVSCGVWHTAAVVEIIVTQSNSSSVSSGKLFTWGDGDKNRLGHGDKDPRLKPTCVPALIDYNFHKIACGHSLTVGLTTSGQVFTMGSTVYGQLGNVQTDGKLPCLVEDKLASEFVEEISCGAYHVAALTSRNEVYTWGKGANGRLGHGDLEDRKVPTLVEALRDRHVKYVACGSNYTAAICLHKWVSGAEQSQCSSCRLAFGFTRKRHNCYNCGLVHCHSCSSKKAFRAALAPSAGRLYRVCDSCYVKLSKVSEISETTNRRNSVVPRLSGENKDRLDKSEIRLAKFGASNMDLIKQLDSKAAKQGKKSDNFALGRNSQLPSLMQLKDAVQSNIGRSTPKLVQAPSGINSRSVSPFSRRSSPPRSATPMPSTSGIYFPVGVADNMKKTNEILNQEIIKLRTQVDSLTQKCELQEVELKNSVKKTQEALALAEEESAKSRAAKEAIKSLIAQLKDVAEKLPPGESIKLGLDQNGFHFPEENGFHSSRSESMTSSISSVAPFDFAFANASWSNLQSPKHTPRAGERNNNNNNTAYPSDPRLSSSGSVISERHEPFQFHNNGSSQTGAENNTDQVEAEWIEQYEPGVYITLVALHDGTRDLRRVRFSRRRFGEHQAETWWSENREKVYEKYNVRVSEKPTASQTDRDEEEDVTQ >A03p005050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2111000:2115323:1 gene:A03p005050.1_BraROA transcript:A03p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT5G11110) UniProtKB/TrEMBL;Acc:W8QNB0] MVGNDWVNSYLEAILAAEPGIGDSKSSLLLRERGHFSPSRYFVEEVITGFDETDLHRSWVQAAATRSPQERNTRLENLCWRIWNLARQKKKVEGKNAKRAAKRHLLREKARKEVTADMSEDFSEGEKADVPGEIPTLSDGNTKGRMSRVSSVDVFENLFAQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVTAPDVDSSYSEPTEMLNPLDTDSTEQEHGESSGAYIVRIPFGPKDKYVPKELLWPHIPEFVDRALSYIMKISKALDEEIFEGGGGQVWPVSIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLLKQGRPKEEINANYKIMRRIEAEELCLDASEIIITSTRQEIDEQWRLYDGFDPVLERKLRARMIRGVSCLGRFMPRTVVIPPGMEFHHIVPHDVDADGDDEDPQSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNLVTLVKAFGECRPLRELANLTLIMGNRNDIDELSTTNSSVLLSILKLIDKYDLYGQVAMPKHHKQSDVPEIYRLAAKTKGVFINPAFIEPFGLTLIEAGAHGLPTVATINGGPVDIHRVLDNGLLVDPHDQQAISDALLKLVSDKHLWTRCRQNGLKNIHLFSWPEHCKTYLARIASCKQRSPKWQRVEFENSDADSPSDSLRDIHDISLNLKLSLDGEKSSVDTNLDAEDTTTAERKAKLEKAVSTLSQKKISTEKIDSKMPTLKRRKHIFVISVDCSTNSDLLSVVKTVMDVAGDSTGFILSTSMTVSETHTTLLSGGLKPQDFDAVICNSGSELYFTASASSEDNKTVLPYTHDPDYHSHIEFRWGGENLRKTLIRWISSVEEKKKMKQGEILSEDEASSTNYCLSFKVKDPASIPPMKELRKLMRIQALRCNVVYCQNGARLNVIPVLASRSQALRYLLVRWGIDLSNMVVFVGDSGDTDYEGLLGGVHKTVILKGIASDLRELHGNRSYPMEDVTPLNSPNITEAEECGCDAIKVALEKLGINLRKP >A09p057680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48537810:48542702:1 gene:A09p057680.1_BraROA transcript:A09p057680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTMSNSPPKSILRFGLQNFSSTGSTPQTVLTMPALSPTMSHGNIAKWLKKEGDKVEVGDVLCEIETDKATVELESQEEGYLDKVLVTEGSKDIPVNAPTAIMVEEEDDIQNVSAPGEDGRVGKEETSTHQEMKPEESTQKKGSIQPDTSDLPPHVVLEMPALSPTMNQGNIAKWWKKEGDKIEVGDVIGEIETDKATLEFDSLEEGYLAKILVPEGSKDVAVGKPIALIVEDAESIEAIKSSSGRGSEVETEKQPPQSVVDISGGKKAGFAKISPAAKLLILEHGLEASSIEASGPYGTLLKSDVVAAIASGKTAKRSVSTEKKQPSKDNLSKSSSISQPELKSYATLSDDYEDFPNSQICKIIAKRLLESKQKIPHLYLSSDVVLDPLIAFRKELHENHGVKVSVNDIIIKAVAVALRNVRQANAFWDAEKGEIVMLEDVDISIAVATEKGLMTPIIRNADQKSISAISLEVKELAQKARSGKLAPHEFQGGTFSISNLGMYPVDQFCAIINPPQAGILAVGGGNKVVEAVIGADEPSVVTKMNVTLSADHRIFDGQVGASFLAELRSNFEDVRRLLL >A03p049860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21406776:21408178:1 gene:A03p049860.1_BraROA transcript:A03p049860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EESEMVRTPCCKEEGIKKGAWTPEEDQKLIAYLQLHGEGGWRTLPEKAGLKRCGKSCRLRWANYLRPDIKRGEFTPEEDDTIIKLHALKGNKWAAIATCLAGRTDNEIKNYWNTNLKKRLKQRGIDPTTHKPINSTDQTGSEPKHHKLGSSGSARLLNRVASKYSVDSNRDLLTGIIIGNSTNIADISQNTGDVDSPTKNSTSTLLNQMAAASSGFISILTNNTSTSPGFSDNCSFSDGFTEFFSNEEISGMYTNVDNVGLMEELEDILSYDGADVGDIKDSPEVDVTDDMDFLDSWNKEDDLDLEKFVSSLDSKIGVFV >A01p045190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25561798:25563983:1 gene:A01p045190.1_BraROA transcript:A01p045190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGIHPYHQQWPPTGAPPPPSAVSSAPPPHPPPVHHHHPPPPGLADRPPYDELRTIFIAGLPDDVKERELLNLLRWLPGYEASQVNFKGEKPMGFALFSTAQFALAARDALQHLVFDAESKSVLHAEMAKKNLFVKRGIVGDSNAYDQSKRLRTGGGDCTHSVYSPSPFHPPPPQVWGPPHGYLSPAAPPYDPYGGYHAPPVPMPPSAPIAAPSSYVPVQNVKDNPPCNTLFIGNLGENINEEELRSLLSAQPGFKQMKILRQERHTVCFIEFQDVNSATNVHHNLQGAVIPSSGSNPYGKRKEGGGHSFFPSPSANGAQGALTYQ >A05p054480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32052892:32056934:1 gene:A05p054480.1_BraROA transcript:A05p054480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNHFTNSRSNLSTNSDAAEAVRNHHQQQPPGVTFARRTSSGRYVNYSRDDLDSELGSVDFTNYTVHIPPTPDNQPMDPSISQKVEEQYVSSSLFTGGFNSVTRAHLMDKVIDSETSHPQMAGAKGSSCAIPGCDVKVMSDGRGQDLLPCECDFKICRDCFVDAVKAGGGMCPGCKEPYRNTDLTDLAEDGHQKQQRPMLPPPSGGSKMERRLSLMKSTKSGLMRSQTGDFDHNRWLFETSGTYGYGNAFWTKDGNLGSEKDGHGMGPQDLMSRPWRPLTRKLQIPAAVISPYRLLIFIRIVVLALFLMWRIKHQNPDAVWLWGMSVVCELWFAFSWLLDQLPKLCPINRATDLNVLKEKFETPTPSNPTGKSDLPGLDMFVSTADPDKEPPLVTSNTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDSYFSLKRDPYKNKVKADFVKDRRKVKREYDEYKVRINGLPDSIRRRSDAYHAREEIKAMKQQRQNKEDEIVEPVKIPKATWMADGTHWPGTWLNSAPDHSRSDHAGIIQVMLKPPSDEPLHGVSEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHHPGCCSCCFPRKKKKKIPEENRSLRMGGDSDDDEEMNLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVQNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGTRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPRMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLIYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVIQGLLKVVAGIEISFTLTSKSGGDDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIVYVWSGLIAITISLLWVAVNPPAGSTQIGGSFTFP >A02p011260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4874468:4882883:1 gene:A02p011260.1_BraROA transcript:A02p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKAGKPLKVKPDEDCLIHLSHACIDNGKKGETALLYVTVDGKKLVIGKLSQGSISQISLYLIFDQEFELSHSLETGSVHFIGYKSPNMDDEECYSSSDSSSEEEVEVPATDTANGNDGAAASSVVKDSRLTRNQRPSLLKNGWMIEMMRKMILGTQKKAIIEIILNEHYVDEMFLHVKPSGDSEDEKFERLIERLLLEGPVAVSFDYFPRAKVKAGQTLKVKPGENCLIHISQASLGKAKKGVFGLLYATVDDKKLLLGTLSQDSFPHINFDHLLFDREFELSHTLERGYVHFIGHQRNKKKRANESSKTPEIKEMNKSIKELSEAVKTLQQIILTKANLPEEKETPKKKEEDCCCFGCEEATGNNEQTIFVKGFENLRPRAEIKNALSNFFGSCGEITRVYVPIECKNRLPLGFAFIDLRKGEGNEKALALNGSYMGGKELEVTMASHRDEYYGFTDFHGCERCPVFLDHIYYPSSIKHRRSMMKMWLSRFIEETVVTPEEKKQEDESKDDDVSSLVCSFTGTIKTREILSSMEATPSRTTKTNPMHHQKGANEASDSILFTLMSRVEVKAGKPLKVKPDDDCLIHLSQASLDKGKKGEAALLYVTVDGKKLVIGTLVQDTIPQISFDLVFEKEFELSHSSKGSVHFIGYKSPNIDEEEDFPSDSEEEEEEVEVPATSTVTTNGAAPASSMDVDEDDSEEEEDDSEEEEDTPKKPEPSNKKRPIESATPVSAKKAKPAATPQKTEEKKKGGHTATPHPAKKGGKSPMNANQSPKSGGQSSGSGGNKKPFNNSGKQFGSNNKGNKGKGGKGRA >A09p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2362373:2364099:-1 gene:A09p004020.1_BraROA transcript:A09p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVISALLLHVLSFSVCVQGFYRRGGHHPGGHMGPWINAHATFYGGGDASGTMGGACGYGNLYGQGYGTETAALSTALFDNGLSCGACFELKCVNDPQWCIQGRSIVVTATNFCPPGGACDPPNHHFDLSQPIYEHIALYKSGIIPVMYRRVRCRRSGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSMKGSRSKWQLMSRNWGQNWQSNSYLNGQSLSFVVTTSDRRSVVSFNFAPSGWSFGQTYTGGQFRY >A07p016940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10348296:10352087:-1 gene:A07p016940.1_BraROA transcript:A07p016940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HK3 [Source:Projected from Arabidopsis thaliana (AT1G27320) UniProtKB/TrEMBL;Acc:A0A178WL02] MSLFHVLGFCLKIGQLFWMLCCWFLSWFLDADKSPLDPDKTKMKNHNKMCFLWNKISTSGLKIPPSFSHHLFGSVRFGKTFWRKVLVAWVVSWVLISFWTFWCLTSQAMDKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHAKFPSAIDQRTFSEYTDRTSFERPLTSGVAYAVRVLHSERQEFERQQGWTIRRMEQNPVHKDDYDTEALEPSPVQQEYAPVIFAQDTVSHVISLDMLSGKEDRENVLRARRSGKGVLTAPFPLIKTNRLGVILTFAVYKRDLPSNATPKERIEATNGYLGGVFDIETLVENLLQQLASKQTILVNVYDTTNHSQPISMYGSHVSADGLEHVSPLNFGDPFRKHEMRCRYLGQFKQKPPWPVQSMVTSFGILVIALLVAHIFHATLSRIRRAEEDCHKMELLKKKAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDVTQQDYVRTAQASGKALVSLINEVLDQAKIESGKVELEEVRFDLRGILDDVLSLFSGKSQEKGLELAVYISDRVPEMLIGDPGRFRQILTNLMGNSIKFTEKGHIFVTVHLVDELLDSSDVETSSSSSSTENTLSGLPVADRKRSWQNFKAFSSNGHRSLALAPSEINLVVSVEDTGVGIPVEAQSRIFTPFMQVGPSISRTHGGTGIGLSISKCLVGLMKGEIGFSSTPKVGSTFTFTAVFGNGVHSTERKSELHNNNQPEFEGMKAVLVDHRPARAQVSWYHFQRLGIRVELVTSVDQALRFMKTCATTVDMILVEQEVWNKEADVFVKDPLVPSPKLFLLANSIDTSVSDTLRNVIDPPVLIVKPLRASMLAATLQRGLGIGKRETPQRKGPPALILRNLLLGRKILIVDDNNVNLRVAAGALKKYGADVVCAESGVKAISLLKPPHEFDACFMDIQMPEMDGFEATRRIRGMEEEMNNGEALTVEEGKRSRWHLPVLAMTADVIQATHEKCLKCGMDGYVSKPFEAEQLYREVSRFFNSPSDTES >A05p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6542885:6547055:-1 gene:A05p014870.1_BraROA transcript:A05p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLSMADTLINNPQETDYTYDHPPEEDNTPIDLSLLRINSFNNSSDRRRANSSPPQFPSHGSFSSSSAAATSPVKRPSPEPTKEADEPRRKKLFPSPPETKEDEEMSNRLGYKKVPLPADCNPARIRSSPVYKRSLSDTFGSRVAQETAPSGNIVSSLPPRPRRPVFGRSVSDLSPAPSMSLLGSSSREANHASQETAEANKMLYVIKDGVRELDQWCNKLLHYSEAVKQDDTPKEEVESREDEQEKECKEGVKVDRVGEAFVVEINCPCGRNYRTLFSGRDCYYKLLYRVMLRATCFTPSLSRAYCPLFALFLRIPSVHHPHHPSSSPFRGYHSSLQVKGSRDRRRILVDHNRHLCTIPEAAKQPPASTSENLSRKARKKAIQQSPESMLKQKLDMCSRNGNVLEALRLYDEAKRNGLQLSQYHYNVLLYVCSLTDGVTESPSANPGLSRGFDIFKQMIVDKVVPNEATFTNGARLAVAKDDPEMAFDMVKQMKAFGIQPRLRSYGPALFGFCRKGDAEKAYEVDAHMIESEVVPEEPELTALLKVSMETKNAEKVYETLQRLRDLVRQVSKPTFDAIEEWFKSEAAAKAGVEKWDVKRIREGVVSGGGGWHGQGWLGTGKWNVTRTEMDENGVCKCCKEKLVCIDINPVETENFAASLTRLACQKEVKASFNQFQEWLARHGPFDAVIDGANMGLVNQRSFSFFQLNNVVQRFQQISPSKRLPLVILHKSRVVGGPATYPKNRALLEKWKNAGALYATPPGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRISVSREDGLKLHMPPPYSIIIQESEDGRWHVPMSVEDDLQTSRQWLCARRSKTH >A04g508360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21806511:21808808:-1 gene:A04g508360.1_BraROA transcript:A04g508360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCIFIRKAQVAVRVSANLKKFNWLTCEEQITEIMLTKIKIPLPDMLSAVLALDSSVLDIDQVENLIKFCPTKEEMELIYTGDKEMLGKCEQFFLELMKVPRIEAKLRVFGFKITFASQAEDLKSCLNTINAATKEVKESAKLRQSMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKVDAHISLISIIPECTFVLESCWILLMTLFTWKLPKIELKTLAEEMQAADKGLKKVVQELVASENDGAISLGFRKVLKEFLDIAEAEVRLLASLHKESGGNADRAVKWSVHGPIGQ >A03p013870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5474855:5476837:1 gene:A03p013870.1_BraROA transcript:A03p013870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARSMIALFSLVILLQIIVLAHRATSETNTEFIFQGFKVNQSKLQLEEDATITPNGLLRLTNRHSDRAGTAFYNKPVRLLDNNSTVRSFSTSFVFVIIPSSSNNGGFGFTFTLSPTPNRPDAETAQYLGLLNEDDDGDPDNHVFAVEFDTVQGYKDSTDRIGNHIGLNFNSLSSHVQEPVAYYDKEGHKEDFQLTSGEPIQVFMDYHGRTRTLTITVYPTRLGSKPTTPLISQKVPKLLEIVQEEMFVGFTAATGDKSSAHYVMGWSFSTGPVPAATLNLTDLPPPPRNTAKKRGLNKEIISLVVSLTTVITIMLVLLFILMMYKRRLQEEVLEDWELDHPHRFRYKDLYAATDGFKDNRIIGTGGFGTVYKGIITTTSSPSPSSDEIAVKKITPNSIQGVREFVAEIESLGRLRHKNLVNLQGWCKHGNDLLLIYDYIPNGSLDSLLYSKPRLCGVVLTWDARFQIAKGIASGLLYLHEEWEKIVIHRDVKPSNVLIDDQMNPRLGDFGLARLYERGSLSHTTVVVGTIGYMAPELTRNGNSSSASDVFAFGVVLLEIVSGRKPTDSGSFFLADWVMEMHASGQVLRAVDSRLGSGYDEEEARLALVVGLLCCHPKRGSRPAMRMVLRYLNGDEGVPEVEVYSHSSSRYSIPSDLKE >A03p043730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18299697:18304619:-1 gene:A03p043730.1_BraROA transcript:A03p043730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTASCSASLTSASPFFRHFPLSYSSLSSSTGALRAPGRNLRRVASPASTGRRVFLRRGLRVPSTAGRGVNRQLSRLSVRAVATQPAPSYPDVGQDEAEKLGFEKVSEEFISECKSKATLFKHKKTGCEVMSVSNEDENKVFGIVLRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVDDVHTFQQEGWHYELNDPSEDISYKGVVFNEMKGVYSQPDNILGRIAQQAISPDNTYGVDSGGDPKDIPNLTFEEFKEFHRKYYHPSNARIWFYGDDDPLQRLRILSEYLDMFEASPSRDTSKIETQKLFSEPIRIVEKYPAGRDGDLKKKNMVCINWLLSEKPLDLETQLALGFLDHLMLGTPASPLRKILLESGLGEALVSSGMSDELLQPQFSVGMKGVSEDNVQKVEELIMSTLKKLAEEGFESDAVEASMNTIEFSLRENNTGSFPRGLSLMLQSIAKWIYDMDPFEPLKYTEPLKALKARIAEEGSKAVFSPLIEQFILNNSHRVTIEMQPDPEKASQEEAEEKSILEKVKAGMTEEDLAELARATEELRLKQETPDPPEALRCVPSLNLSDIPKEPTYVPTEVGDINGVKVLRHDLFTNDIVYAEVVFDMGSLKHELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPLTSSVRGKDEPCSKIIVRGKSMAGRADDLFNLMNCLLQEVQFTDHQRFKQFVSQSIARMENRLRGSGHGVAAARMDAMLNIAGWMSEQMGGLSYLEFLRTLEKKVDEDWEGISSSLEEIRRSLLAKNGCIVNMTADGKSLSNIEKSLEKFLDLLPENPSGGLVTWDGRLPLRNEAIVIPTQVNYVGKAGNIYSTGYELDGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDSHSGVFSFLSYRDPNLLKTLDIYDGTGDFLRGLDVDQETLTKAIIGTIGDVGSYQLPDAKGYSSLMRHLLGVTDEERLRKREEILTTSLKDFKDFAEAIDVVRDNGVAVAVASAEDIDAANNARSNFFEVKKAL >A03p031760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13422144:13426208:-1 gene:A03p031760.1_BraROA transcript:A03p031760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPNMFESHHMFDMTTKSTSDNDFGITGSREDDFETKSGTEVTTENPSGEELQDPNQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRDLGLEPLQIKFWFQNKRTQMKAQHERHDNQILKSDNDKLRAENNRYKEALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISAIAAKYVGKPIGSSFAPLAIQGPSRSLDLEVGNFGNQAGFVGEMYGTGDILRSVSIPSESDKPMIIELAVAAMEELVRMAQVGDPLWVSTDNSNEILNEEDYFRTFPRGIGPKPLGLRSEASRESAVVIMNHINLVEILMDVNQWSCVFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHSDGSWAVVDVSLDSLRPNPISRTRRRPSGCLVQELPNGYSKVTWVEHMEVDDRSVHTMYKPLVHSGLAFGARRWVSTLERQCERLASSMASNIPASDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWTTMSSTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRSEWDILSNGGMVQEMAHIANGREPGNCVSLLRVNSGNSSQSNMLILQESCTDASGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGSVGGGGDGNREVVSSSTASESCGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVAGDRGGGGP >A03p037200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15572100:15573543:1 gene:A03p037200.1_BraROA transcript:A03p037200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVWRWVLGLIYILAVAMIWIAASFVVQSVVGAGVSPFLITFICNSLFVVYLPLFEIGRYLEDAYGSILFWRSKRSHLLELVESEKDVLLGGGGVVVSSDVSEGSGVVVREEEGDGSGIESGVESVELDGSGEICAKGLDEKGRWTRMRVAKVSLVICPFWFLAQLTFNLSLKYTTVTSNTILSSSSSLFTFLVSLIFLGEKFTWLKLFSVLLCMSGTIIVSLGDSESNSTATAKNPLLGDVLSLLSAAFYAVYITLIRKKLPDDDEKNGRFSMAQLLGFLGLFNFFIFLPVALILNFTKRERFNALTLKQLGLVVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAIVDTLAGNKPSFTDYIGAAAVMVGFAGINIPAEMFCRSKETCIELEPGTSFTDPPQVVSDSIRVDSSETLAS >A01p008150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3923331:3925503:1 gene:A01p008150.1_BraROA transcript:A01p008150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANSFDLWQKDVFFSAAEEVQESADAMESAYRVWIKEKRQGRVTVESDQLCIELQAALSTAKWQLEEFERAVRLSHGHCRDDTTLTRHKQFVNAIENQIYRVHSALQEALTENGKQHPLRLVDLNKEERDDLAMFLSGSSLTSESSISLRDSSTSSCVIDIDERGSPESADAVIRVQQADKRSGTRRTWSSPNVPNVTALRVNVPVDVEEEEERKRLVFDVEDTPKEKGSKPLFGYNLIFDRVRCYQRRFRVPFSRPVQLVLSFTLIFLENLNCGFENSQGHLTDSSVLSTYVRCNMIQILQEGREVKSFVKSKWQCLFVSLWSRQSMSPGPLHLLRKSMVRISD >A05g501900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6330179:6332368:1 gene:A05g501900.1_BraROA transcript:A05g501900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKRWDPGIRDGDRADLILHDQLTNGGIQGLRRDGLEIVMGIRKEIFEILWILQIRDQRRGISGAIKMDRNIFTGCNLDCDYLISLFYLIGIDLLYLIGDWVLWYLWRSRSGTIKSRRYGLIVRYLVPSHHGNYRGGGETSRYRTSRRDEGSSGATGAEGGGPVARSRPSMEQLRGEQRQRNTGPEVREEGEIQGTGAVDAATATEEFQLELAKTQAEGSEAIMEATKEEMGLLQLRGMRENQDDMELEAIDMELEALNATLLESGVELAPEDEFQTLSEEEAEKVSEFTSGHEYSEEMEGLVCGEDGNSKDTETGDMARRQGHRKRLFKPIVNTAGSNKMRTASALLSPRKRAVAKVGTRHGDNGKPPESKGTSYPKQTNLKL >A02p000990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:493222:496351:-1 gene:A02p000990.1_BraROA transcript:A02p000990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRRYAFRGGGVTIEEFDEEDGHDYDDEEEADDTEEEEEEEEDDDTEEEEEEDEESQIRVHTSVSHTPRASQEESEEKEKQIRSAEGVCSTSGSQEEEDAAWKPSEGEGTSCLICMEVWTNGGDHQVCCLPCGHLYGFSCIKKWLKQPRSAGKCPQCNRTCGLRDVRKIFASRIAAVDDDAHKRLLFLEGKLNSIEQKSAIWSSKEAQWRKREAELRSEVNKLKKKIAYMESTTNATLRESNVASQEKYTPCNKIYQEQNGHAPSCSFRHQGERLVNGGRIFDIDGGRQILLLARRLSGVGGTFVLSQMSLHSGEIDDIMLPPTTRAIKDLHISPHNNGLAVFGSLGKKLSVISLESHNTVLSYDLPAAPWSCSWDRSSSHHIYAGLQNGMVLVFDMRQTMGPLASLAGLTSNPVHTIHHLSANSTPTTDVCSLLSASSIGLCQWNINGSEGRPSLVPETGNPGVCISSSYCPWSDHVVASYRPRVGSSDDTVSTQPTLTQTGANTIGVDGFHVCLRRRGFCFQKLSSTHASVDTIRLPRTAIVDFGEGGRKQLFASCEESTRQLILQDPSTFAVSQRFSLASHHPLQDVKYANVNGYTLLGLLTDDRLQLLRNEQTYM >A04g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11975256:11975747:-1 gene:A04g505710.1_BraROA transcript:A04g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNEQDELPKATQREAELQRQIMICKVKKSSTNTLTTWSRAPRSSASSYRRILPFETRTKPSTRRVTRSVDSGLKFALCRLWRRLTPEQARISQLRRRAETHQRAKRPRMLRPTTWRTATWSPSPIKKHQTEQRERSLL >A09g506140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:18520743:18520985:-1 gene:A09g506140.1_BraROA transcript:A09g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLSEDSQEVHTTSRRLPGSPDDRNRSPDDFQTTSRRLPGSPDDFQTTSRRLPGSPYDRNGSPDDFHFSRLEDFLEVV >A09g517620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52911984:52912263:1 gene:A09g517620.1_BraROA transcript:A09g517620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKRAVPKELSPSPARSSPLGAGYSYGVSRVNNLLNGYAQGFSLGGYGLRMDGRFSPIGAGRSGGCPQGSLEVQVSMGT >A08p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22986600:22992347:1 gene:A08p040260.1_BraROA transcript:A08p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDPAQAMSRGGSMRRSINRSVSRASRNLEDIFSSSSRRTKSVNEDEEALKWAAIEKLPTYSRLRTSLMPALGKDDIYSNQILNKEVDVTKLDGDDRQKFIDMVFKVAEQDNERILTKLRNRINRVGIKLPTVEVKYEHLTVKADCYTGNRSLPSLLNSVRNMGESVLGMVGIQFAKKAQLTILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDKSLDISGDVTYNGYRLNEFVPIKTSAYISQNDLHVGIMTVKETLDFSARCQGIGTRYDLLNELARREKDAGIFPEADVDLFMKASAAQGVKSSIITDYTLKILGLDICKDTIVGDDMMRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLNEATVVISLLQPAPETFDLFDDIILLSEGQIVYQGARDQILEFFESFGFKCPERKGTADFLQEVTSKKDQEQYWVDERRPYRYIPVHEFATKFKNFHVGTKLSHELSVPFEKSKGHKAALVFDKYSVKKTELLKSCWDKEWMLMKRNSFFYVFKTVQIIIIAAITSTVFLRTEMNTRNESDANMYVSALLFGMIVNMFNGLAEMAMTIQRLPVFYKQRDLLFHPPWTYTLPTFLLGIPISIFETTAWMGVTYYSIGFAPEADRFFKQFLVVFLIQQMAAGIFRLIASICRTMTIANTGGMLVLLVVFLTGGFLLPRREIPVWWRWAFWASPLSYAFSAISNTELLAPRWMNKMSSDNTTRLGTAVLNIWDVFDDKNWYWVGVGALLAFAVLFNCLFTLALTYLDALGKPQAILPKEEDHDGSKKEIPMENMNTKKGMVLPFTPLALSFDDVKYFVDMPAEMRDQGVQETRLQLLKGVTSTFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRVSGFPKKQETFARISGYCEQTDIHSPQVTVRESLIFSAFLRLDKEVSKDEKMMFVDQVMELVELVDLRDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGPLGRNSHKIVEYFEAIPGVPKIPEKYNPATWMLEASSLAAELKLGLVQELSVPPQGASDLYFATQFSQNTWGQYKSCLWKQWWTYWRSPDYNVVRFIFTLATSLLIGTIFWQIGGKKSNVQDLTMVLGAIYAAVIFVGVNNCSTVQPMVAVERTVFYREKAAGMYSAIPYAISQVTCELPYVLVQTIYYSLIVYVLVGFEWKASKFLWFLFINYFSFLYWTYYGMMTVSLTPNQQVASIFASAFYGIFNLFSGFFIPRPKIPKWWIWYYWICPVAWTVYGLITSQYGDVDTPIALPGGPPGLTVKQYLKDQYGFESSFLGPVAAVLVAFPVFFAFIFAFCIKTLNFQTR >SC191g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000057.1:18758:20566:1 gene:SC191g500010.1_BraROA transcript:SC191g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTYGYSFGASMIAKTIETSHCVADIARMDRDPNVGRRNQHESSPAPAEANQSPHLDREGGRDSETQEDGQDGTGLSEEEEESVSGSHNQGDQSQGEGEAQAEAPEP >A01g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9473152:9474990:-1 gene:A01g502890.1_BraROA transcript:A01g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGTNPDTSGEEPALTPPPPPPLLSSEFMSSVIARLAHQEEVQKTINDQLAAIVAALSASTGNSQPLRRYLFNTNPPTPTEGRTTNPVDPTLQLAETLVADALPAAMLQVTKTTVRTASFTSSTKTGRNGTPFIGKPILQVNPPEQQRQPRSPRSIRQQVLPRPHQGLLNPDEDPPAARQRIEVIRAQPESSSDEESDLEEALDPLDLRTLLKRKTASTNDKNLGPSDLRVELNAKRTKHALSPGSSLASTDDDPIVDLRDQLNARINDLRTKLDRKKA >A10g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3924401:3924723:1 gene:A10g501360.1_BraROA transcript:A10g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSNFRKLNRISTRNNQIVIITSIILRLHEGKLSLTTTHGTRFYFDNELISYNASKRGINCYSKPHSKRYQSTFLKFTLQFSTSIN >A05p017500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8288908:8290622:1 gene:A05p017500.1_BraROA transcript:A05p017500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLIEIQSVTLCPLDLLNLGRVRSYIALYQHLKNMLRLSLGPRVTRGVDKAEGELSQQEIYVNASSYALSIALIGVGDGRWEDMRKFDDKIPKREFDNFKVDVVLRLSSLSFLDALKKHLITASYVDDETSMLHEMAKNAWITVLGETTSHNSVNLTWPLV >A08g500570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1436918:1439307:1 gene:A08g500570.1_BraROA transcript:A08g500570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSHQSMGSGSRNARGYEFGRTYVVRPKGKHQATLVWLHGLGDNGSSSSQLMESLHLPNIKWICPTAPTRPVSSLGGFTCTAWFDVGEISEDGHDDMESLDASASHIANLLCSEPADVKVGIGGFSMGAAISLYSATCYALGRYGTGHSYPINLRAVVGLSGWLPGWKSIRNKIESSYEAARPDDVVPYRFGEKSAQSLGMAGFRQTVFKPYQGLGHYTVPKEMDEVVHWLTTRLGLEGSR >A09g514240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42827153:42827705:1 gene:A09g514240.1_BraROA transcript:A09g514240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSYEKALRKKESRCPTKARNRSLRSDQALVPLGRYVATERSSSLELRSDRAQPSSVATARPTRSLRSDRAQAKAWSLRSDRALVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQTFERYLPKTVASSVHVSRHSNSSIKLSGLETAENS >A09g514180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42737240:42748055:-1 gene:A09g514180.1_BraROA transcript:A09g514180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSYLRLSTLDLSMGRDKRGELDRLSLAGKEKSALALVEACCCFRLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGDATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCRMLSKAYINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSEGDGSNESGAQSIWEGIREENWTGCHWLERRRVL >A05g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19210030:19212941:-1 gene:A05g506830.1_BraROA transcript:A05g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRAWERGLTATPQGRSSWERGFESDTPRSLAFSSSDQEKSPQSEVSERGRKVAPAGSDIMGATPRSRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATFPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERKEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTARVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVDAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSAS >A07p028790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16249774:16250791:1 gene:A07p028790.1_BraROA transcript:A07p028790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVFIVDLEGKVYSCIHCKTNLTKDQDIMSKSFKSRGGQAYLFSEVVNVSTGNKEDRMMITGLHTVTDIFCVGCGLNVGWKYVTAHDVSQKYKEGKSVLELYKIVGPHDSNDLVCQQVSQLMIQ >A10p002510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1319559:1320110:-1 gene:A10p002510.1_BraROA transcript:A10p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLENVGHADAAGGKVKEVHYRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDSAAREFRGSKATTNFPFSGGVDAGNAFGFGTHVTAREGVCGNNNVSGVLAPKVTGFFLDLPHATASREELVRGFPVRFEPMEMGLSIGLRTTVEVKRDAADYKLGRDLNLELSLAPSMDV >A02p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1232433:1233806:1 gene:A02p002900.1_BraROA transcript:A02p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASSQGSSMAMTNGDVSRTLNPAAPLFFPQNPYLHHYYFSSPQILFISDTNFPPSSSIVVYYPLWYINLNPTWFEATQELPPLHSQDPIQELTPPPTSRKKVFGWERSSRHDRNKLVWRRKIHYQAESNGDTTVMLRNIPNKYTREMLIQFLDEHCEEENNKEEDEENAYDFLYLPIDFQSQMNKGYAFVNFTKAEAVSKFKAACNNKPWCCFGSRKILEIAHARIQGKDKLVKHFEQMIYPAEAYSAVTFIPARRGPKSTGLTIVIGKCTEAAISV >A06g509900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29815615:29820491:-1 gene:A06g509900.1_BraROA transcript:A06g509900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNKIQASCKKNYLLSLGADCRVGEWKNLENFVITPAGGGYRPTNHPYKLSFMKFTSIKPYEYNNIDMFLDLVEFETILSGQLDNNLLIDVVGQAIDIGENLSDVSPIKFAWSVLVKVLHTWISFSHQFGSSLEMVLTDINGVKIHASCKQELLQRVERQCGVGEWKVITNFTLRPASGLNRPTNHVYKMEFMEQTSITDGNLTCNNMFIHLHDFDNIKNGFCDERFLIDVIGEVLDFRGQNIVKFERKEVTKVEFNLRDIKNQRIQCCITGKSAEIFSEKVKQSNREWKVTNAFDSTLVLINPDIKEAKALRQKFQGDATTVEMCQHINEKIVIHEKRQKWSQYPFRTIQEMKYCDKGGNYRVICSVYAVDTINGWYYYACADCQNKVIKPTILFDEPEVPSWWCEFCQRIVTKVTPRYKLELLVQDQTGETKFTLLDSVATSIVKISAAKVVKGLLEKVEIQAMLPPEIVEIVGKSYGFGISVDENNNSSGLEKIEAMKVWGLKDILWKRTKSLHQNSTTSRKKQCTNVFKINESG >A02g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21325938:21326731:1 gene:A02g507680.1_BraROA transcript:A02g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSTGSFLPSYKRSCSPLLLSHHKSESKLCREFPEAENPSRRPLSLLRRLSFLLLSPSVISLLSPSSSLVPCGGGGGGCSRLAVVVRSRRSLVAFAMCIVCDTDNGWRLVNESKYKFK >A07p028160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15966677:15970008:-1 gene:A07p028160.1_BraROA transcript:A07p028160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAARLFLLPSLTNHPSGLIVQEKRRSNMGALAQFVSWIPEDDLLLKNAIEAGASLESLAKGAVQFSRRFSIRELQDRWHALLYDPVVSAEAALRMAELERTNPNFPTKFTRTGSKENKSSSKKRRAEQLRSTYHSLRKKFRTESFNSLDLGFLVPSNDSHFMDNGDGAHLGLEDSHMDIIHNAFPDILADGGCVTNHVVPEDNLQGNISYVGGENLAFTEQTGPSGCDAVHQGSKQKLEISAHEPKTTMASTDCFLAQLSTSLFEDEEPFMEVDGKEVDKSYYDGLSSLLVSPANVKSSGALPISNTEQALPVGAVPNGHHAMIPELYGTSAVSLIECKPVSDLSSFDPHPEVVNGVICCLLNQEDPDIPCNDDILLSNTSHPMSVSSLARRNFKDISSPMTSSVRDLSAARERSEGHTQKKVPGRLQGSSSQGKPEIGQPSQSTKFRALTSPPETHNKVGPAQASCSNTLLSDDGAKVGNKEMVSGKRIVGFDEHGSYSEKEIGNCKEVEGVVLPVNEVPQAKDDDDDVDLIEILERELEITTHTEADEEVFESDEDLPNYSDIEAMILDMDLDPDDQDNFDLEVSKYQSQEMKRTIMRLEQAAYSYMQRAIASRGAFAVLYGRYSKHYIKKPEVLVGRSTEDLSVDIDLGREKRGSKISRRQYAISVNEKEVDPGQSLILKSDCLLEIRGMPFIFETNQSRMKEYLKGTGKGN >A03g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19067688:19069006:-1 gene:A03g505300.1_BraROA transcript:A03g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCITCLDTAHLGKAARLSRKQMRDSKRIGSELRLRPLVYNWIRIEVGNGRMTRFWNDNWSPYGKLSEFLNLPQRSRLGIQETATLDDLYRDGVWSLPAARSEQQVLLQVYLTSLSLNSLDDRYIWSLNSSTQEFSTGLVYGELKHHNQPTQWHKAVWSPRGIPRQKFLAWLLVQNRCPTRDWLLGWGLPTDPLCLLCNVAPESRDHIYYQCPYSWSVWTRIANRAGHRPDQDWNREMINMQNLQGPRHLKIIRLLAWQCMLYYIWAERNSRLHRHIFKPPDSIVKQIEATIRSKISALRDQSPRLSSSICCVERITQLLLSSTALGWALLLLVGSPLCVII >A02g511720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31633325:31633710:1 gene:A02g511720.1_BraROA transcript:A02g511720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKLGSWEKAVKLLYEMEGSGIVAVWTSSYNLVIKKSRQSEVALRVYEHIVGRECKADTSTYLSLVRSCVWDEVKGILKKVEPDVSLYNRGYTWDVF >A10p015040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3830950:3831770:1 gene:A10p015040.1_BraROA transcript:A10p015040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHWLSAILESENTYIVSIVCRRWEFANCRSILFESDIENHVKQAGKNVIRLIHDLGLFLSLKEDQHPS >A07p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24784628:24789597:-1 gene:A07p045740.1_BraROA transcript:A07p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) UniProtKB/TrEMBL;Acc:F4IDC2] MSEPKPTKEMKALTTSEIASFASSLGLPSSLPSSGFNDSDFRKPPKPQKRKNPKKEGDTSKPNPKEAKKQTSKDAAPAKQTVNTKPKPKADFLSIDDENSGFKAKKFEKFKALPKLPLVKASLLSSEWYNDAEELEEKVFSRKVAVGDVMGVVEVKREMGERLMWQYAEDFVASKGKSGDMKMVISAQKSGTVADKITAFEIMVGENPIANMRSLDALLGMVTSKVGKRFAFKGLKALSEILIRHFFSYSPMLLPDRKLKTLLQRPLNSIPETKDGYSLLLFWYWEECLKQRYERFVNALDESSKDMLPELKDKALKTIYFMLTSKSEQERKLLVSLVNKLGDPQNKSASNADFHLTNLLADHPNMKAVVIDEVDSFLFRPHLGLRAKYHAVNFLSQIRLSHKGEDPKVAKRLIDVYFALFKVLTTEANKKPGADDKAADKKNANSKDSKEDKAADSPVELDSRILSALLTGVNRAFPYVSTDEADDIIDSQTPVLFKLVHSKNFNVGVQSLMLLDKISSKNKIAEMFIGLLLRAMKNDINIKRVAAFSKRILQVALQQPPQYACGCLFLLSEVLKSRPPLWNMVVQRESVVEEEDVEHFEDAKDEDDIDPIKEAEKEKNDVEEDKITSKDDDDDDSSDDEEALAVRQSDEEEDDDASDDGEELFIKETPKETIEVSNDSGKKIQAPLKSSCLPGGYDPRHREPSYCNGDRVSWWELVVLASHAHPSVATMAATLLSGTTIVYNGNPLNDLSLTAFLDKFMEKKPKQNTWHGGSQIEPSKKLDMSNQMIGSDILRLAEEDVSPEDLVFHKFYVNKMNSTKQSKKKKKKKLPEEEAAEELYDVNDGDGGGDYDSDVEFEAGDESDNEEIENLLDDVDDDAVEEEGGEYDYDDLDKVVGDDDELVDDASDAEMDDTEMDMLDGEDVDEDGDDVGDDGGDEDDDDDGGGGVGGNKKKKEKGKRKSPFASLEEYEHLIDEDDSKSKRKETSEPKKKKKKKTTKASE >A06p047090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25068337:25070154:1 gene:A06p047090.1_BraROA transcript:A06p047090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTDTIFELPLNCGIEIGIGNIVFVRGDGVTSLCDYRFRSRQFSLRGFDIFEMYSDRVVAETVTKNTVKNRLNGGSGDGRQVTRKRGRQEDDKWEHDLFDDDTKPRVSNRKVDPRDLRLKLQKKHHGLQSRLGGVSLGGRDLREKLSGTKNPQPRNTNLQKSTREATRPAVKNGSGETKSETRAALNKAAGTSVDSFLESLGLEKYSTSFQVEEVDMDALMHMTDDDLKALLIPMGPRKKILLALGSKR >A06p025160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14937430:14940092:-1 gene:A06p025160.1_BraROA transcript:A06p025160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 9 [Source:Projected from Arabidopsis thaliana (AT3G44680) UniProtKB/Swiss-Prot;Acc:Q8H0W2] MRSKDKISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLILAYGLHSKMEVYRPHKAYPIEMAQFHSPDYVEFLQRINPENKDLFPNEMARYNLGEDCPVFEDMFEFCQIYAGATIDAARRLNNKLCDIAINWAGGLHHAKKCDASGFCYINDLVLGILELLKHHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKFGDKFFPGTGDVKEIGEREGKFYAINVPLRDGIDDSSFNRLFRAIISKVVEIYQPGAIVLQCGADSLARDRLGCFNLSIDGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWTVETGILLDTELPNEIPDNDYIKYFGPDYSLKIPGGHIENLNTKSYISTIKAQILDNLRYIQHAPSVQMQEVPPDFYIPDFDEDERNPDVRVDQRSRDKQIQRDDEYFDGDKDNDAS >A01p043580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24828825:24829920:1 gene:A01p043580.1_BraROA transcript:A01p043580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREAMRNTKINVLALVGLILLNSINPISSLSVTVEEDECVQEYVLYEGDTVSGNFVVVDHDVFWGPDHPGIDFTLLLIDAPPFSLEHLDPVNVKIAELRESLESVVAEQKYLKARDTRHRHTNESTRKRVIFYTVGEYIFLAAASGLQILYIRKLFSKSVAYNRV >A02p020310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9512683:9513730:1 gene:A02p020310.1_BraROA transcript:A02p020310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAINITNVTALDNPAPFVSPFQFEISYECLTSLKDDLEWKLIYVGSAEDETYDQTLESVLVGPVNVGNYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFLRVGYYVNNDYEDEQLREEPPTKVLIDKVQRNILSDKPRVTKFPINFHPEDEQTATAPPPEPSDEQPDVNDASQVSPDQPPKPQESPQES >A01p004030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1743696:1744127:-1 gene:A01p004030.1_BraROA transcript:A01p004030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNNKIGSVVRIRQMVKQWQKKAHIGSNKEEPVSDVPPGHVAVSVGENRRRYVVRAKHLNHPIFRRLLAEAEEEYGFSSVGPLAFPCDESLFEEIIKVVSRSDSYATLEDIRRCSHVGMAKKLEYLCESRPLLPGIAEKSVC >A09p051070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44650728:44654879:-1 gene:A09p051070.1_BraROA transcript:A09p051070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SELSSPNAHGEGKGRRGRRSLTIQSRMEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGESRSKAEEDRIVLSEIDILKRKLLEPDVPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDNLLLKRTGYLAVTLFLDEDHDLIILIVNTIQKDLRSDNYLVVCAALNAVCRLINEETIPAVLPQVVDLLNHGKEAVRKKAIMALHRFHRKSPSSVSHLVSNFRRKLCDSDPGVMGATLCPLFDLISEDVASYKDLVSSFVGILKQVTERKLPKSYDYHQMPAPFIQIKLLKIMALLSSGDKSASEMMYMVLGDLFRKCDSSTNIGNAILYECIRCISCIIPSPKLLEDAAEAISKFLKSDSHNLKYMGIDGLGRLIKISSDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQIMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDDADNKLRLSAVESYLQIISEPKLPSLFLQVISWVLGEYGTADGKYSASYISGKLCDVADAYSSDETVKGYAVTALMKIYAFEIASGRKVDVLPECQSLIEELLASHSTDLQQRAYELQALLALDARAVESILPLDASCEDIEVDKDLSFLNGYIQQAIESGAQPYVSERERSGGMFETTDYHSQDHHEVTSHALRFEAYELPKPSVPSQASTTALVPVPEPSYYSEPHQPISTSSSVSERESSEIKLRLDGVKQKWGRPSYQSSTSASSSTPPQAANGTSTHSDGGGVASSSSSKPRSSYESKKPEIDPEKQRLAASLFGGSSSSRSDRKSSSGGGHKPAKGTANKAATVPKENPIPVPDLLDFDEPTGTSDVAATDPFKELEGLMESSSQDGGGSTDVMGLYSTDASAPVKTTTSVDSLLSELSDSSRGTYHQSQTTKGPNSKEALEKDALVRQMGVNPTSQNPTLFKDLLG >A10p007710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10962602:10964398:1 gene:A10p007710.1_BraROA transcript:A10p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATAMGLLIQMLSARVGVATGRHLAELCRDEYPTWARYVLWSMAELALIGADIQEVIGSAIAIQILSRGVLPLWAGVVITASDCFLFLFLENYGVRKLEAVFAVLIATMGLSFAWMFGETKPSGKELMIGILLPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRQIDPKRKSRVQEALNYYLIESSLALFFSFMINLFVTTVFAKGFYGTEKANNIGLVNAGQYLQEKFGGGLLPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWMRAVITRSCAIVPTMIVAIVFNTSEASLDVLNEWLNVLQSVQIPFALLPLLTLVSKEEVMGDFKIGPILQRIAWTVAALVMIINGYLLLDFFVSEVNGFMFGVTVCVWTTAYVAFIVYLISHSNFIPSPWSSSSSIELPKRVTVSNS >A08p037980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22072118:22073504:1 gene:A08p037980.1_BraROA transcript:A08p037980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKLRQSQRSQAKKWSTLMLVLLMLFMLTIVLLMLLSFGVFSLPIDTFDEYSPTDLSSFRRAATERSEGIGKRGDQWTEILSWEPRAFLYHGFLSKEECEYLISLAKPYMVKSTVVDSQTGKSKDSRVRTSSGTFLRRGRDKVIKTIEKRIADYTFIPADHGEGLQVLHYEEGQKYEPHYDYFVDEFNTKNGGQRMATMLMYLSDVEEGGETVFPAANMNFSSVPWYNELSECGKKGLSVKPRMGDALLFWSMRPDATLDPSSLHGGCPVIKGNKWSSTKWMHVGEYKI >A10p002630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1359989:1362599:-1 gene:A10p002630.1_BraROA transcript:A10p002630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKSWYDRGAKIYQAEKYRKGACQNCGAMTHTAKACMDRPRKIGAKYTNKNIAPDEKIESFELDYDGKRDRWNGYDPSTYHRVVDLYEAKEDARKKYLKEQQIKKLEEKNNNQEGDDATSDGDEEDDDLRVDEAKVDESRQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDINSAHYDPKTRSMREDPLPDADPNDKFYLGDNQYRNSGQALEFKQMNIHSWEAFDKGQDMHMQAAPSQAELLYKNFKVAKDKLKTHTKDTIMEKYGNASTEDEIPMELLLGQSERQVEYDRAGRIIKGQEVILPKSKYEEDVLANNHTTVWGSWWRDHQWGYRCCQQTIRNSYCTGSAGIEAAEASLDLMKANIARKEACEESPKKVEEKKMAAWGTDVPEDLELNEEALANALKKEDERKREEKDERKRKYNVKYTNDVTPEEMEAYRMKRVHHEDPMKDFM >A05g509980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30159570:30160318:1 gene:A05g509980.1_BraROA transcript:A05g509980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFLRFIVAKVILLALASSFVSCHDLLFKTSACVAVDDANGVFVNGKFCKDPKYVKAEDFFTSELNIAGNTINRVGSNVTNVNVDKIPGLNTLEVSLVRIDFAPGGQKRNDTFSSERWEDERGCPLIMPEILAFQLDVNMVRYLEARFSSNHGGHY >A09g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28233055:28241387:-1 gene:A09g509690.1_BraROA transcript:A09g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINFNLKIHIRSNDTTSPNTKENQTTIINFSETVLPLNPNCFRNRVHYNSSRQSGFSEIISVIFFSSFHWLSPQISVIVFSGIFRGFALSFSKEKERAKEKGRSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFGSFSRGLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMVSEDNRHPDSNSGQSEKNLADDIAKADAKGMGSKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGRGCRGLGKRNNLVADLERNEAELKKKQKKEEAELKRKKKQEEAELKKKQKKEEAELKKKKKQEEADLKKKKKQEEADLKKDIPASKRTRSAVMFDIAQPNLKPYPKIGKYLISQPIRLHKTAVKPIHLHKAAVKFPRTLKSILTMSSSSFTSGNYYRRRRNTERGTPKECWCGAPSDIFTSGSETNPGRLYYSCAKGYHKSHLFKWADECLVEEVEDIKAVINGMNRDISELRVKVARLANGVCLRNVVVCVAGMAILCYYYFSIRGTM >A01p019570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9604367:9606246:1 gene:A01p019570.1_BraROA transcript:A01p019570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPIPTAISSTAKALLHRHSPKNGIIAPSFRLCKFRAFSSAVDYREILRTGLHGIKPDEAVDLFGYMAESRPLPNIIEFNKVLTAIAKMKSYETVIRLWSIVEDDEGVEISPDIYTCNILVKCFIRCCCHPCVAMSFLGKMMKLGVEPDVVTGSLLVNGFCQINRFDEAFYVAEQMKKMGVTLNVVVYTILIDGLCRNRFLNEAINVFGLMEKEGVAPNVFTYTSLISGLCNACKLSEASHVLRQMISRKIDPNVVTFTTLINAYVKAGKFSEFWELYQEMIKMSIDPNVVTYTSVINGLCMHNHVDRAKKAFEDMQRNGCAPNVLTYSTLANGLFKSNRFNEGIELLKEMSDSGVAENTVSRNTLIQGCIQAGKISSAQELFERMPSDGLPPNIRSYNIVLAGLFVYGKVKKALSTYELMQKTRNDLDIVTYTIMIHWMCKDGKADEAFKLFFSLSSKGVEPDDKAYTAMISGLKKNGMLARAHALTRAYQKYKRNRGSFQSAKGY >A09p075450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56825944:56829781:1 gene:A09p075450.1_BraROA transcript:A09p075450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALSNLMLAIHEKKTSSLDLYRPLRNYVTFTYSEREAQLIEDDLETLKQLRSDVERVPDPSPSARRDLLISYYKALCLVETRFPISPDQHHVNAVSFLWYDAFKQKQKASQQNIHLEKAAVLFNLGASYSQIALGCDRTTVEGRRQASHAFIGAAGAFAWVRDNESVKAMIGQSSTTVDVRVECVGMLERLMVAQAQECVFENTIAKGSTPGVSAKIASQAGIYYEEALAALIVSPLKDHFEKGWISHVQMKAALFYSEACYRYGMELHEKEEIAEEIARLKSGASRLAEAKKSSRGAPAQLVEAMNKLQANINCNLDRAVKENDRVYLMRVPSPSSLSPLPTFSMVKPMNMTEILDASKEKMFAILVPDNSAKALSRYTEMVDDVIRTQAERLQQASELTRVRLKEMDLPDSILAVDGNSTLPTDLKDDVEAVQISGGPAGLEGELQQLRDLKRVNQELLVQTEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAGESDVKIERSVRENSALMSILDRRPIEAAVPTLAKPMMSLDATEDAIVGNLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSYEDMFRKEMSKYDHICQDISQNIEVQEQLLMQIQAQNEEFSTLFNLEDYKASREKCYKQIQAAISKYREIKENVNEGLKFYVTLQDAITNVKQQCGDFVMTRSIQCREMIEDVQRQMSGLSFQDHRSSGPYPSVHQRTASSSPPPPETQNPSHPHPHPQAPYYMPAEQQPRPGCTIPPYGPPPPYHTPHSQAPPQPYPPQAPQQPYPSWQQGPYYDPQGQQPRPPYTAQNPYPPPHQDGGYYRQ >A06p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000036.1:111638:113226:-1 gene:A06p029960.1_BraROA transcript:A06p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDVIVYCQTLYFGYLWFTIRPCFNLADPCSFILSSDPYAAYYIIIQHPPHRSSSPYNLKHGHSRPRDHVPFLTMAFLYMYMANTPIPLLDTLNLHVYLSNLYDCMSTHSWVHAPRLSLYVQVLFSYASLYKCVCVSTPSCGPLLPSSLASQDYMVWYLRCLAGQPYLYVWSDGFIDHAIRVPGQNLITHVWLKFSLNSWEKVILLSNIYSQSSSEVMDCVRPTFTTAKSRPSHGQVMAKSWPSRL >A07p047330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25434505:25438419:1 gene:A07p047330.1_BraROA transcript:A07p047330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEYQRSFGRTLLNLRRDSVHSVAESSTTEPTQMEAELESFQRRVAERFADLNASTSEDLLSLEWVGKLLDSFLLCQEEFRVVIVSNHRELVTKPPMDRMVSEYFDRSVKALDVCNAIRDGVERIRQWQKLIEIVVCAFDSKKPLGEGQFRRAKKTLIELAIGMLDDKEASSSSSVTQHRNRSFGRNKEKTIGHFRSLSWSVSRSWSASKQLQALGNGLAPPRAGDVTATSGLAVPVYTMTSVLLFVMWALVAAIPCQDRGLQVHFNVPRSFQWGGSLMSLHDRIIEESRKRERRNSCGLLKEINQIERSSRLMGELVDSVQFPLSEEKEVGVRERVEELGKVHEALKNGLDPFERKVREVFHRIVRSRTEGLESRKNKMDPKITTRTRRLMDVVEINDYPGSGANSRHTRPYCPDC >A03p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1973730:1975110:-1 gene:A03p004690.1_BraROA transcript:A03p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLGRDQYVYMAKLSEQAERYEEMVQFMEQLVTGGATPSSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHATLVKGYRSKVETELSSVCEGILKLLDSHLIPSAAASESKVFYLKMKGDYHRYMAEFKSGDERKVAAEDTMGAYKAAQDIAAADMAPTHPIRLGLALNFSVFYYEILNSSDKACNMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >A04p034770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20075492:20079746:-1 gene:A04p034770.1_BraROA transcript:A04p034770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGANPLAVAPITRRRIGDSLETPTTTTSERPSVSSDYCNIVNISLPDLDDVDAAATVGTNGNGACSSPSSSSSGSHYHHYHHHHHHPTIRYLLRGGKLKLPFVCDGGFFGRNVGRRILGLLMVLVVASLFVRVSLMSGHVHRRDLNELVVVRALHEDWSMAQRAMTENVASEKLPIPEIWQKPESGNYRQCASRPKNRSRLRRKTNGYLLVHANGGLNQMRTGICDMVAAAKIMNATLVLPLLDHESFWTDPSTFKDIFDWRHFMNVLKDDVDITEYLPPRYAAMKPLLKAPVSWSKASYYRTEMLPLLKKHKVIKFTHTDSRLANNGLPPSIQRLRCRANYQALAYSKEIEELGEVLVNRLRNNSQPFIALHLRYEKDMLAFTGCSHNLTSQEAEELRIMRYNVKHWKEKEIDSRERRVQGGCPMSPREAAVFLKAMGYPSSTTVYIVAGEIYGGNSMDAFREEYPNVFSHSTLATEEELEPFKAYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFKKTINPDRLNFVKLIDRLDGGVMSWDEFSSEVKRLHGGRMGAPYARLAGEFPRLEENFYANPQPDCICNKSQSQSEQVWKPSLRTGSDLSNPLTPIRFDSTQLQAKDSNQAWSLLQSKIIMGFWTLMEGLLLFANALAILNEDRFLAPKGWTLAELHQTGKRNSLKGQIVGLIHACQYMRLPLMLFNLIVIVFKLFSG >A10p006090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9565124:9565597:1 gene:A10p006090.1_BraROA transcript:A10p006090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQVPPKAPLPKPLIRIEPNPIRSGVTSIYTDAAWNSSSESARLGWIIDALVSPSHHSATSDHMSSPLMAKALAVRSAINFALSCGIDAISLLSDSQTLISTINHIEMKLEIFNILRDIYLNTSSFKTIWFIFISRSVNDKADSVAKKAMWPLNPF >A05p007700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3097826:3100514:1 gene:A05p007700.1_BraROA transcript:A05p007700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLWRTRARLLVVGSAVCGGSGAAFIASSEDPSRTLKLCTNIPVRLFRNTVTAASIAFDYEYSLSGLAEGSNERAKVKHEVHLRSAQKLQELCFKNGGIYIKLGQHIGQLDYLVPEEYVHTMRESMLNKCPVSSYEQVCEVFKKEVGETPDKVFAEFDPVPIASASLAQVHVARTHSGEKVAVKVQHSHLTDSAAADTAAVGVIVNTLHRIFPSFDYRWLLDEMSESLPKELDFMVEAKNNEKCLDNFRKISPHIAEYVYAPTIYWNLSSSKLLTMEFMDGAQVNDVAKLKKLGIQPYEVSKLVSQTFAEMMFKHGFVHCDPHAANLIVRPSGKRNIYGKQKPQLVLLDHGLYKELDFNTRFNYASLWKALVFSDANAIKKHSEKLGAGDDLYVLFAGILTMRPWKQVIDTSVDHLVIQGTKEDRSELQMYASQYFPQISELLRRLPRVILLMLKTNDCLRSVNNELLQGSSLESFLIIGKVSSEAVLEAKWSEKKSLMRWLNVWLEGLSVEARLWVMQFALWVLQVRKALTL >A04g505600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11846696:11847004:1 gene:A04g505600.1_BraROA transcript:A04g505600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVLNKNYPADFDPKKIPRLLKPKNHQKKNRFMLPVPARCNKCGNYMSEGTKFNRRVEQVTEETYLGIEIYRFYFKCTNCSTELTIKTDPRNCGYLLFA >A09g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7464407:7466870:-1 gene:A09g502140.1_BraROA transcript:A09g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAALAPAAIPAPSPTGPLGVMNVAELVRQPGRDHLSYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKKAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRFNDFVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKKLNEQMMEMMKRMYPNEVFPNIQDP >A09p068160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53526138:53528237:1 gene:A09p068160.1_BraROA transcript:A09p068160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASASLLHPMDTDSAIPRDRDCGRSQFGCEHYKRRCKIRAPCCNLIFPCRHCHNDAANALPDPKERHDLVRQNVKQVICSVCEAEQEVAQVCSNCGVCMGEYFCNICKFFDDETSKEQFHCDDCGICRVGGRDNFFHCQSCGACYSMGLRDNHSCIENATKNSCPVCYEFLFDSVKAAHVMRCGHTMHMGCFKQMITEQQYRCPICYKSMMDMSSSWQLLDAEIRATEMPSEYNYEIEILCNDCNKSSKAMFHILGHKCAHCGSYNTRRISAPQDPPPQGETERQVSEPRE >A05p003450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1281144:1281785:-1 gene:A05p003450.1_BraROA transcript:A05p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable calcium-binding protein CML35 [Source:Projected from Arabidopsis thaliana (AT2G41410) UniProtKB/Swiss-Prot;Acc:P30188] MKLAASLKRVFRSKSKGSVSRSEPSSFSSAASSSSSDGGSYGKLKPGPAATPVSVLPQSSGDFYSELVEAFKLIDRDDDGVVSRKDLAALLSRLSPEPPSPEEVSMMLREVDADGCISLEELASRVAGTSSGEGCIETEEMREVFEFFDADRDGRISAEELHRVFGVIGDERCTLEDCERMIATVDRNGDGFVRFDDFRRMMELQAPATNDHH >A09p023400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12813849:12816811:-1 gene:A09p023400.1_BraROA transcript:A09p023400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRILTIKPQTKKRKMCTTENYFVLDPRGATFSDLIRLLFSSDLRNRRFIHSSEDRLEDNDPCKFRRRWIIFVSIVIQKLMILLRKPLYFFGFSLGFWFNLLYINGGFFMILPNLFKGKIIWPEETSSTFASLNGNLDGRVELDGRIERGSKRYKAMLSIMASKLSYENINFVSSVLHNHWKMDLLGFYSCWNGYQEQRSTEVLVIKDASTDPNLIVVSFRGTDPFDAYDWCTDLDLSWYEIKNVGKIHGGFMKALGLQKEGGWPKEVNVDQTQKETTQYAYYTIKSHLIEILNQNPKSKFILTGHSLGGALAVLFTGVLMMHEEEQMLDKLEGVYTFGQPRVGDEEFGKFMKDSLKKYEVNYERYVYCNDMVPRLPFDDKTLMFKHFGACRYYDSFYRGKIEEEEPNKNYFNILWAIPKIMNSVWEMIRSFIIPYWKGEEYREGWFLKCYRVLGLLIPGIPAHAPNEYVNVTLLGNLFDSHHD >A07g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10980072:10983968:1 gene:A07g505080.1_BraROA transcript:A07g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTVFGEEAAVHVEDEPVIGEFHQDPDSDSSGDDDSETESNDDQTRPRQRRSRGGMRSQSRGSSSHVQDSVSPHSSYDTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAQLVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFANSDDTLSIYHHFGHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIVTLADCMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQPQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDQISALEAQMAAQQASYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A08p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12281299:12283307:1 gene:A08p017680.1_BraROA transcript:A08p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRSAREKLEREQRERKERAKLKLVREKKAKDAAIKQREAIEASQRARRLDAIEAQIKADEHMQESLIAGGGVVFERVFQAVPFQGIGDKIKLPPSCFTELSDQGAFDKGPLYFELSVDYRDNKKTTHSGVLEFTAEDGTVGLPPHVWSNLFSAQDPMDVPLVQIRYIRLPKGTYAKLQPDNLGFSDLPNHKAILETILRQHATLSMDDVLSVSYGQVSYKLQVLELKPASSVSVLETDIEVDIVSPEIVSDQPSQHVLRPLLFGKPESGTVEEGRYDYYKFTIDDSTLEKVIAGSVKVIVKIDVEKDGADTDLYVSKHPVLFPSLNQHEWSSHDVGSKTLILESKERALSSGTYSIGVYGFKGTVKYQVSVLVQESSNGAKVGERAVSSSSDVDTVECRNCKHSIPSRSIALHEVYCSRHNVVCNHPGCGIVLRVEEAKNHLHCEKCGQALQPTEMEKHLKVFHEPLSCCCGVVLEKEQMVQHQARDCPLRLIACRFCGDMVEAGNDAADVRDRMRGMSEHESTCGSRTAPCDSCGRSVMLKDMDIHQIAVHGKSS >A01p004220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1811771:1814441:1 gene:A01p004220.1_BraROA transcript:A01p004220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLHSPIKILPFAFKSALLPLSQRWPSSLPSIFKVSLSTMSNNLNGHVTMPSEPQRAYQVVVAATKQMGIGKDGKLPWSLPTDLKFFKELTLTTSDSSKKNAVVMGRKTWESIPTKYKPLSGRLNVVLTRSSGFDIANAENVVTCRSIDSALDLLAGPPYRLSIEKVFVIGGGEVLRDSLNGARCEAIHLTEIDTSVECDTFVPEIDASAYQPWCSSLPVCENGLRFSFTTYVRVKSDESKEALQVDWRKFSSLLPKKVFERHEEFLYLNMVNEIISSGNVKNDRTGTGTLSKFGCQMTFNLRRSFPLLTTKRVFWRGVVEELLWFISGSTNAKLLQEKGIHIWDGNASREYLDGIGLTEREEGDLGPVYGFQWRHFGAKYTDMHADYTGQGFDQLLDVINKIKNNPDDRRIIMSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYSLLTCILAHVCDLVPGDFIHVIGDAHVYKNHVRPLQEQLENPPKPFPVMKINPEKKHIDSFVAADFELIGYDPHKKIDMKMAV >A09g509620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28149972:28159588:-1 gene:A09g509620.1_BraROA transcript:A09g509620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKPQKNQTNSKAYTSSIPNSSHKKPSKVPKLLISPEDEDRLRRLLLSFRRTASPVRAPVRNSLISTGNSLAKSFQMIRLNSTLSSLRDGATFESALDWLCLNFPSHELPLWFSCGASRGSVSVISTKRKDWNQPAESSHKVQEKREESEVLVQVKGRRDEEDTLSSCHSSQADWIRQYRTRLEEEEMESSDVEERTKEYLKAFGFGSWIVVVLKKAITWVKFIELNPLETLLKVSGPRPFDVIAEEYCLERSDAVKAKQKGDTSRQKQAGLAICKLKQELSGISEAMLESEFLREQAFEDATEKEVKPPKRDDVHESVNADALSIQLLDDMNLDANPVGSRSSEKIQPKALPLSSPGQELAISHDIFDDMELGDLFSEDVPPYEPSPHELLGRQKKEIMRELRDEKNLGKLEGIWKKGEAQKIPKALLHRLCQKSGWEAPKFNKATWEGSKFSYTISVMCKSSGCGKSRQAGGLVTIKRSHQVEDFASIEDAQNRVASFALHKLFSDLPVHSAITEPYASLVLVWKQEESLGIQSREEERREKFVDSLLEAHNFTLTALPNGMHGVIPLVDSCVKESDDLNVVKSNHRAKRNISMEAVCSSLKRRQENNKKTQKYKRCSSYIRNKKNDILHHLKEKDVLVVCGETGSGKTTQVPQYILDDMIDSGHGGYCNIICTQPWVMAAISVAQKVADERCESPPGLGDSLDGYQGDKTLKDVTHIIVDEVHERALKSDFLLIILKRLIEKQSCDKSSHKLKVILMSATVHASQFSRYFGQCPVLTAQGRMHPLGSVKVRGRKKNPMLAGWGDNYLVTEDCLNSTYVSSNYESYSDRTKENLKRLNDDIIDYKLLEEFICHIDTSYEEGAILVFLPFSWTYYRLASASAFSVAHTEQKKVIVATNIAETSITMDDVVYVIDSGKHKENRYNPQMKLSSMVEDWISKANARQRAGRAGRVKPGLWFSLYTRHRFEKLMRPYQALEPPSEGAINSAVSLFHKVGAIEGDKALTPLGHHLAKLPVDVLIGKKQNVDRVKVPLLSDNRRVREGIHAAERFCDSKFMSSSVMRTISDLRIEFGTLLADIGLINLRKSKTEEKPFNMYSQEPEVVKAVLCVGLCPNIAEGLVNRLAKPEKQTQRYAVWHDGRREVHIHPTSINKTCKAFQYPFLVFLEKVESKKIVNLRDTTIVSPFSILLFGGSVDVQHHSGSVTIDGWLKLAAPAQTAVLFKELQLTLHSILKDLIRNPEKSGIVHIEVVKSMVHLLIQEGKTATRMN >A07g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6483051:6483665:-1 gene:A07g503270.1_BraROA transcript:A07g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNKWLNLKNENLRLQHDLVQSREQYEDLAEELAVEVRRDVRQRVRQEVLQRVAVSNKPKIVHQCNNMKVRHEVLKHGCAAGTRKETNRCISNCVRPSKKQHRM >A02p005140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2224616:2226361:-1 gene:A02p005140.1_BraROA transcript:A02p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGSDPGSYPIPPASVHLSPFSSLAPPPSARLHFARRRTQPLQSSPRYTSLKSLLDDPTSSARSIGRDEALAWELFTLHQRVMLVAVIGAAAAESKKNGVIRQLRKSIDLKDQVLTGMQQKLDDLCQQLSLVKVSGDGDDLESKFKEKFGSENVKFVECGCLLCDQHHHSSPAVQDKASTNLVVEAEQEERRLSYLSDWCSSVTSAAELHFDNLSLDQDMLSLRKECQEKDATIKDLTSFLQLTNKACSKRETELEEIVRRKKTIIKKLKRDVLLLEEKVTQLTRLQRPSYSAAPSNTFEFPMRVDNLLYDLDVSTASSSSDSDTPANTPRRTLLEEDAPVVSIKEEPLALEQTYKSAPAKSMASLVKSVKPSSVVSPLTTTRKPVAASSSSSSRMRGASSAGDSKKSRRPVQVAPRASSSGSHKRWV >A08g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7187726:7188554:1 gene:A08g504400.1_BraROA transcript:A08g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICVLTDDHGRPVCADGRPVCTDGRPVCTDGRPVCADGRPVCTDGHTDTHGRPACADGRPVCADGRPGTSSDVLRVLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSHGRPACADGRPAFADERPVCADGRPVCTDGHTDTHGQPRTSCVTHTDSHGRPVCADGRHVCTEQTAHVGQNHPNSPRSVLKCVLMDSRTSCVC >A09g513650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41549493:41549999:1 gene:A09g513650.1_BraROA transcript:A09g513650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQVLEYHMEFLETFGCIWSSKEVFKVIIGRTTHRSDLTGVTLATSLERLLEVARSFVSRRHEIALGATSRSDPARSLPKPGATCRSDEPRSLRPPGGGSSLFLEKPPKTFGKSSL >A03p056780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24646843:24647690:1 gene:A03p056780.1_BraROA transcript:A03p056780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKVYRDVVGIAFYVAPEVLRRSYKKEISTWSAGIILYILLCGVPPFWSEHPWIRGGEEASDNPIDSAALSLMRQFRAMSKLKKLALKVIAESLSEEEMKGLSQGRCIDTDWIKSFQYFDKDNSG >A09p013220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6768192:6769832:-1 gene:A09p013220.1_BraROA transcript:A09p013220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable polyol transporter 3 [Source:Projected from Arabidopsis thaliana (AT2G18480) UniProtKB/Swiss-Prot;Acc:Q9ZNS0] MVHAGGHNSPAGSNPNPTHMNKFAFGCAVVASIISIIFGYDTGVMSGARIFIQDDLKINDDQVEVLMGILNLCALVGSLTAGKTSDVIGRRYTIALSSVIFLVGSVVMGYGPNYAVLMVGRCIAGVGVGFALMIAPVYSAEIASASHRGFLTSLPELCISLGILLGYVSNYFFGKLTLKLGWRLMLGLAAIPSMILAFGILKMPESPRWLVMQGRLEEAKKIMVLISNTEEEAEERFRDILAAAEIEEDGAVKAVGRGVWRELVINPTPAVRLILIAAVGIHFFEHATGIEAVVLYSPKIFEKAGVTSKDKLLLATVGVGLTKAVFIVIATFLLDKVGRRKLLLTSTGGMVFASTSLAIGLTIVHRFGRLVWALRLSIVSAYTFVAFFSIGLGPITWVYSSEIFPLRLRAQGASIGVAVNRIMNATVSMSFLSIMKTITMGGVFFMFAGIAVVAWWFFFFMLPETKGLPLEEIEKLFGGGDARGDREGLEIQAKRVNNKI >A09g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22269369:22270566:1 gene:A09g507730.1_BraROA transcript:A09g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRILGVDLILILRRMNWIENKKYENCIIFRNKVLASTDTLFCLPVLCKKFMAYNEILKTFEDQEELKEGYGNGEEACREDKEQRALHCPECMLNHSSLNKKNTQLRIFEWSYITVSSGISGLMLLSIYYRFHQRHIYKAKKEEDEHDNLKPASIAGGGNCSKS >A02p012980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5680081:5681625:-1 gene:A02p012980.1_BraROA transcript:A02p012980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRLVHGAAPPLTAVERFLYGQKNDTLCPKKQDCSRDRHPPIMKKTKAIETKNDNKENLTFGPRKDKYLVANGRISIGDMVVKDATRDYQNPTKKRPCKNLIKGQWTAEEDSYEFVNIRTYMYRKLIRLVRQLGERKWAMISEKLEGRAGKQCRERWHNHLRPDIKKDGWSEEEERVLVEAHKRIGNKWAEIAKLIPGRTENSIKNHWNATKRRQNSKRKQKRRQENADNDDSDLSPAAKRPCILQDYIISVYNNVENKASDDNKNENSISVLSTPNLDQTYSDGDSTSSVLGDPYDEELVYLQNIFANHPVSLDNIGLNQTSDEVNMIKNPSSHKSVWTHHQDQATVAVPENKPHLASDIYLSYLLNGTASSSYSNPHFPSSSSSTSSTTVEQGGHSELLVPHANSTGEKREMDLMEMLSGSIQGGNKCFPLF >A04p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5235959:5240871:-1 gene:A04p013980.1_BraROA transcript:A04p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPKHPLEDPPSSPSSSASEKEIESEEEEEEEGQHLHTIFSSEEDEPPRSGFKEDVCGSSESQLTGRKRLTTNSAISNVAKKVYTQGGKMGTTNTPCFTRLFSEKDEIVLLQGIIDSQVKNPLDYKRRVYQSMKASFSFDVTLDQFKDKIGTLKKKYTRSEMRGGEASLLNPHEQKRGLTKKRDLVTPPKGKNAQEASKRGDTKKAPLVEKESTGWDDESSFFLGMDFLKEKWTKLPAETKKGTQEKMKKLHANELECQKYEEMLKVMKDKCARDKVELLNKRNTSMANNMKHPLEDPPSLSSSAAGEFLFISSQEEDEEDQQHTIFSSDEGEPEDPPPRSSSEAQLFGRRISTNVRSKRARRMYTQGEKMGADHRRLFSEKDEIVLLQSIIDSKGKNPLGDNRSLYESMKGSFSFDITLRQFSEKFRTMRRKYTTKEMGGEQASASNPHQQKCFQLSKAIWGVEGIAFESANGKSKESKKVDLVTPRKGKNNVQDGSKREDMKKSPHVVIKSDWDESSFFLGMDFLKEKWTKVPTETKKKTQEKMKKLHANELECQKYEEMLKDMKVKCEHDKVELLHEVTSLIMAPPPRHSQSSSKAPARERESQESIQKANHTTMANNLKHPFEDPPSSPSSSAGEKEIEFVSSQEDEDEEGHQHTIFSSDEDEPEDPPPRSSGEAQLSGRKRLSEGISSSNVSSKRAKKGQKMGTKKRLFSEKDEIVLLQGIVDYKGKNPLEDKRSLYESMKGSFSSDVTLDQFRDKIRHMNNKYTAKEKSGEQQASILNPHQQKCFQLSKAIWGADGIAFQSANGKLKKSEGRVSKKRDLVSSLKGKKAQEASKRGDMKKSSLVEEGSDWDENSFFLGMDFLKEKWTKLPTETKKGTQEKMKKLHANELECQKFEKMLKTMKDKCAHDKVELLNEVTSLIMAAD >A05p050030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28967919:28974729:1 gene:A05p050030.1_BraROA transcript:A05p050030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKFSLRLLVDEKKNKVVVAEACRDFVDVLFSLLTLPMGTIVRLLEKHNLHQMRLGCFHNIYKSVSDMTIHDFETEACRTMLLYPKSTKEIHCRRLKLNIDDTEATKFFICPLNFSRDSCKKYSNFNTSRCSCGDLMTKQFQVSEEDQIGSPIENNEDGVFVSCRSSYIITDNLRVTQNSLGVIANELNVLGYADCNDLQEILLDVGYKEVLALLGNFFASEFPLSLTFLSKHHLKWSRPHAVKDECVKGGHECNVKIFVRKFDRKILYAECSQDFIDSLLGLLVLPLDLAWSLTNINPVLGCVGNLCRSECREASASTPWEIPYYYTCSKQILDIPPQPTVEYSYLLSLGPVPPVPSFVRHVKGLVIRRVIPMDPKVESETPSKYGFGFVKRGMRFIVSDDLVITPMSSSSTIGLFMKSKINNMSDFEEQEINIYKAELINILRASLISRSALTTGLSSILAKDPKQSPQNSLYVPYPLRMICAAVTAILTPPRADVLEEVQAGYQIGNDVDGVFVSCRLLILLGVKLFFSLKLLIDEEQNRVVLAETCKDLADVLCSLLTLPMGTIVRLLEKHHQNPQSSLIVGCFHNLYKSVSDMGVDNFKTPGCKNLLLHPRSMKESHCRKLKLNVDDTEATKFFVCPNFVSVESCCKVYSNVSTSRCICGNSMAREFQVEDGEQDKVDGVFLSCRTSYIVTDDMKVAVNSMGLVLNVLNGLGYSGFDKLQEVLIDVLTLLGCLFTSDAPLTDTFLRKHCMARKSKVLTPLVQESRVAGEANEVITLKVYVRKSDKAILYAECREEFVDFLFTFLVIPLEFAWELSVDHLNMGCVGNLRRSVKELSFEQRREAMLPYYYSCRTQLLDLVIQETPQYECLVSRRSYNSSRLSKTIKKSVLGDGERVAKLTPVVTSDSASIGLVKGETNFIVSDDLVVTAMNSSSTISLLSKLQMNISDIEEQVISIGKAQAISLLRASLITTSALSNGLSTFLSKMKLKEAAPSTFKIPKSEKIL >A08p004060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2359080:2367806:-1 gene:A08p004060.1_BraROA transcript:A08p004060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDDGTARKVKKVHITYDDVIYSVQVTYGTALQAPRRGSVGPMSVEFTLESDEYITALSGYALSTQDVVTSLTFTTNKKTYGPYGNKFGYQISAPEKTGKQIAGFHGTKDNILNSIDVHYAPIPTGTGGSETGSGVQKLPGEGLVGGTAWDDGSDHDGVTNIYVASTLLGIKNVMFGYMKDGQSKQGGHHGGDPTKQEIVINHPDEHLVSVEGWYESSSKFIMGIQFKTNYKICASMGYRYEGGNDYKFTHQVQDKKIIGFHGFASNHLNSIGAYFAPLSSTTTLPIGTGGSGAQKLGAQGVTGGSAWDDGSYHDGVTKIVVRTCTLGVQFVNFFYDNDIGAVHGAPGDPTGSTQHIVINHPDEHLVSIEGWYISNYISGIRFKTNQKTSVYIGYEYTGSGTIFTLQVKDKKIIGFHGFASDHLNSIGAYFVPVLSTPTLPIVLPKRFGAVWDDGTHDKVKKIFIGLGQDVIASVKFEYINGSGVVNGVEHGTPTLLGFEEFTLELGLDEYITALSAYVETLSTRDVVTSLTFTTSKKNYGPYGNKSGFQIFSPGETGKQIAGFHGTSGNVLNSISGYYAPIPTYKLVAVGGTGGSAWDDGSDHDGVTKITVRTGGVGVQYVKFDYVKAGQPKQGTLHGVHGSRGSTREIVINHPDEHLVSVEGWYDSSNVILGIQFKTNLKTSDYLGYEFEGTGTKFTLQVKDKKIIGFHGFASDHLNSIGAYFVLLPSTTTTLNPIVPQKKLGAVWDDRTHDKVKKVFVGLGQDGIASVKFEYINGSGVVNGVENGTPTLLGFEEFTLGPYEYVTALSAYTKTLSTQDIVTSLTFTTNKKTYGPYGNKSGFLFPFPEETGKQIAGFHGTGGNVLNSIQVHYAPIPTVQKLDAQGGTGGTKWDDGSDHDGVTNIYVRSNMDGIQYVSFDYLKAGQPKQGAHHGGSGSRGSKGEIAINHPDEQVVSVEGWYDSANVICGVRFRTNQKIYDYMGYKFDGTGTKFTLKVQDKKIIGFHGFATNQLISLGAYFAPLSSATAPPIVTPKEVEAKGGDFNS >A07p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8727784:8730585:1 gene:A07p011440.1_BraROA transcript:A07p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) UniProtKB/TrEMBL;Acc:F4J7P7] MARGVALRCCNGRKSSTLLYSSIAKVSLSFSPETLFASFPIQPRRQNFSRLFCNLSSVPNTVDEKKYTEVFNRRMAMAGLKPHHRIALGVSGGPDSVALCVLTAKWKTEGLSGVSKTDGFIDGLVAVVVDHGLRQESRDEAELVCSRVSDMGIRCEIARCDWVNGRPKQGHLQEAARDMRYQMISNVCFRQQIGVLLIAHHADDQAELFILRLSRGSGVLGLAGTSFASEFFSQDLELDVKHMKNRSILLVRPLLDLWKEDMYKICQWGRQDWVEDPTNLSQLYVRNRIRTSIGNLQSDTFKSELQAVISECRRTRSFVDKVCTDLINQTVSVTDKGYAVLDLERLNPTEVKDICLSKYLTAVLQFISQRQRPIRGNTLKLLLNYIRAIPCRTSLTAAGCYLSPAPGSKGTKVIVSCFLDSSLPSKTEILNICFNEAQKRPTSDDFGQIISAAKTFSDQNRVSDVQFLDAASESVLSKARELNILSESTYTTIGLLQRDETNRFITKKEENKSVDESEDHGTNVASSSDKVHLLPGRDLYLMNRLLIRWDLSRHQCDEARCGKCPVRTATTMEVRHMVESDWLYLAELSRSLNRNHSTSSSHKALRSLKSIPAAARRSFLVLVNHCGLLLSVPAIGFSYCPCLKASTVFLPRVPLGGGFSSFL >A09g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5468278:5468665:-1 gene:A09g501530.1_BraROA transcript:A09g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTTNLRNPLCHEVGDTGNLTCLRRDQNAVAKTETKPLRIREDKDDQKRERRRCSGDCMRRRAGGGELRQGRLECEVPPMKKVRMEVVWKRKGHDLL >A04g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10672414:10672988:-1 gene:A04g504920.1_BraROA transcript:A04g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRLVHRMCSVNTILQVEVIKANRTLPLSNKPNGRPILQSRRAFDTSSHVTFGALKKIAMFRYFLDQPPCYNSWPKASLTFRQVPLEQNFLNSNQADAPSNQAEYIPAAERDGDEADVTTPTIRKHVTTAQMSSQRDEDEVSRCCHLIGLHLS >A07p016420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10101930:10102469:1 gene:A07p016420.1_BraROA transcript:A07p016420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLISSCPPSSLVLVSRLHQFSAGIKNSEISVGRQVSPTRRTLIAKPCCFNLPQEPILSEALKEPIAFVGGMFAGLLRLDLNDEPLKEWVTRTVEASGITEEDVGGGGMASSEEESPQQIEIE >A05p017350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7819317:7821364:1 gene:A05p017350.1_BraROA transcript:A05p017350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQRFSSLAFIALLAYFAFLASAELHIQPKPVKRLCRTHESITVNGQFPGPTLEVRNGDSLAITVINKAHSVLLCFWDRHGIRQLRNPWADGPEYITQCPIRPGQSYTYRFTIEDQEGTLWWHAHSRWLRATVYGALIIYPRLGSPYPFHMPKRDIPILLGEWWDRNPMDVLRQAQFTGAAANVSDAYTINGQPGDLYRCSRSETVRFPIFPGETVQLRVINAGLNQELFFSVANHQLTVVETDSAYTKPFTTSVIMIGPGQTTNVLLTANQRPGRYYMAARAYNSANAPFDNTTTTAILEYVNAPTRRGRGRGQIAPVFPVLPGFNDTATATAFTNRLRYWKRAPVPLQVDENLFFTVGLGLINCSNPNSPRCQGPNGTRFAASINNQSFVLPRRNSIMQAYYQGMPGIFTTDFPPVPPVQFDYTGNVSRGLWQPVKGTKAYKLKYKANVQIVLQDTSIVTPENHPMHLHGYQFYVVGSGFGNFNPRTDPARFNLFDPPERNTIGTPPGGWVAIRFVADNPGAWFMHCHIDSHLGWGLAMVFLVENGRGQLQSVQAPPLDLPRC >A09p063190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51395776:51398497:1 gene:A09p063190.1_BraROA transcript:A09p063190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFCSSSKPTSSSSSSSPPPSSYSRLLLLVTLLPLSLACFAFVLQWRGGLDDPVTHWSTTDHREFPGMASTHEKQQRSLRGRSNSDSGCVDLLGQSSAPSFPYFRDWSFDYQSDLNPKICITTSTSAGLEQTLPWLFYHKVIGVSTFYLFVEGKAAAPNVSRVLESIPGVKVIHRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIKMAQDSGMEWIIHLDTDELIYPSGTSYEYSLRKLLGNISADVDAVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPRDVYFGNYKEATRGNPNYFLTYGNGKAAARVQNHLRPNGAHRWHNYRKSPKEIKLEESAILHYTYPKFSDLTSRRDRCGCKPTKVDVKRCFMLEFDRAVIIQELREAGVFSSVVTSAHMSLNNSSTVSISRESSQMTGRRRVLESHLDIDSESQASAIPPQSPPGLEATQMETLSE >A09p037090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25008581:25013634:-1 gene:A09p037090.1_BraROA transcript:A09p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDRQLGACAALEQYKTMKTTEAELLGLPIPCFDYEPQDLGRTDSRCYDRWWTRGPWMLRFLGAFGPCNDQTSWDPELVVGNLWVPDPEVLSWIHEPRIKVLKGPHSATLGEATLGTCWGIAFYLSEAGHYRVPVQHSAFCRKPLSDIEGAGVGENPSARFCYFPRTSVHARGFLLLLQGSAFFFGLGTVVETRFIPRPLVFGDHCLLFMKVFSTSTKRVVTFIHWSDQVLLVESFPFLGREYGPGIEPRVPSGGDPGNPFTRDPGIRVPGYFFPTVCPKYLDFCHQSRGIACALKSTGVPLKSELILNLGKDQSLRVQSISDSNFFYLPGDDKAGALSCGSRAGPKDMTGSRRRMDSLASRSDSSPDPGAGSEQDVTAPTPYAYASSSPIGPASSVSEDDLVEWRQNYSLPSSFILRVPSPEERVSNFIPGQIAVYEAFSIMISPSQLNPPSWRLLLPIQNPGDLENYPSELTRFCTPTIWSLLMGNEGRLHLRPRSGLPIVEELPRSDRKGSAFIKKWKEQYVFMALPGHSYHWNFIAGTHPPIPEEEDIVVRARQLPLDRRHVPFLLSDSALRRSMSGDTSNDPFVAYQKAAKSMSAKKGVCEQNRIRR >A03g508240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28639528:28641057:1 gene:A03g508240.1_BraROA transcript:A03g508240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLRRNTLAYLIGSASKKEEEEEGHSTSESWTCREFPLDQALLLMESNSVPSSYLSSPFLISYSPPLDNASIAAAAVRLRYVSGRICLFLRLTLRVGFSGLVLYYQWVFKFFVWGLKVLTIGFELAVSVNEVEALYELFKKLICSIIDDGLIHKEELQLAIFQAPYGDNLFLDRFEEFIHALSVFHPYAPIEEKIDFAFRLYDLRQTGFIEREEVHQMVAAILMESEMILSDEFLTMIIDKTFADADSDKDGKISKEEWKVYVLKHPTLLKNMTLPYLKYQIFSFV >A01g500800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2909207:2910676:1 gene:A01g500800.1_BraROA transcript:A01g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVSRNNEDVPKQLGSGQLFGSPHSGGLSSGSPSLVGNCSRGNNFQNMGAPPTTQQWGTPPNVQHWGTPPNMQHWGTPPNGALDSDLPRKVV >A07p012950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7775796:7783239:1 gene:A07p012950.1_BraROA transcript:A07p012950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKETAIRTGEASLPLLFRHVSPGPGYSTMQFRLFHFWDARKNIKGGPGILLGIEMLMIDAEGTLAQGFIGQNRRNQYEKELQGGRIYTLTNFYASNSKVMYHVADQRLVICISHASALSKVEEDIEDILTERFRIHSFSDFEANCDLRGDLHGKALHQRPVLCTNDGLASRKVMVHLQLKDFRLKFDASAATPTVLLITTVNPKSLDGKLCLSSMSSSKVFLDEKVDPTKEYLAWLVDHEPFWNPSVTSLVNPVEVAYFDGIATIDDVNLGTEWYYIACKDCQTKLNRRPTTLLCLKCGNENATTVANYRVELSIYDNEEQCTFIILGDAGKDLTGRKATELINAYVQKNGRDAAELKVSLLQCFIDTIGHTKKFRMKVAHYNFTSTRLSLTATKIVSPADLPPKNLPLNTPPALLVNFIIRPPRAEYDPEHDLLEKDFMMKGRWYQRKDLEIKNSRGDVLQCSHYMPVERPEGKPLPCVIYCHGNSGCRADGSEAAIMLLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLKAVVEFLRQDGNISLIGLWGRSMGAVTSLMYGAEDPSIAGMILDSPFSDLVDLMMELVDTYKFRLPKFTVKFAIQFMRRAIQKKAKFDITDLNTIKVAKSSFVPVLFGHALDDDFIRPHHSDLIYEAYVGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLRPPEVTGPTFYDPLDEYLAKGSWGTMHDTTIPQSSVQKSLAGSSISEAINEVRVKRPMSRTDVPSNVTSNGSPSETKEKENHDGREDSSSSPDMISFDLSNGDHDQYPPQLAMALDDDQYLEYHLEDIPSNAEEEERMLMKAVMESLKDLEVQSLEKKEFPEKRVLGSNSFQTAQEALLSRKESTSTQANQPETTDTASSPATVGGDVAPSSSESKAPNGTSDSLTSPVDASVPGCTSQEERENGDMSADTKATVTVERTSSASGKVFDGLIRKWDLNFFKNNK >A01p016880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8349137:8351276:-1 gene:A01p016880.1_BraROA transcript:A01p016880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 31 [Source:Projected from Arabidopsis thaliana (AT4G24530) UniProtKB/Swiss-Prot;Acc:Q7Y030] MKQLQSLNQSQRIALAGVLVLLFPIFSPNLFRPLGRASPSLFSEWNAPRPRHLRLLEGALHRQISIRQQVELWSPLPDQSWKPCTQSFTGSPLPEKSQGFLQVFLDGGLNQQRMGICDAVAVAKILNVTLVIPRLEVNPVWQDSSSFADIFDVDHFITVLKDEVRIVRELPTQYAWSTRDYYATGIRATRIKTAPTHASAEWYVENVLPVIQSYGIAAVAPFSHRLAFDNVPESIQRLRCKVNFEALNFVPRIRELGDALVHRLRNPPSSSKTSGDTDPTERVNTIAKSGAGKFVVLHLRFDKDMAAHSGCDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRNKGRCPLTPEEIGLLLSALGFTNNTRLYLASHQVYGGEARISTLRKLFPVLENKKSLASPEELAEVEGKASLMAAVDYYVSMKSDIFISASPGNMHNALLAHRAYLNLKTINPNMILLGQVLVNKSLVWSEFEGAVVNGHKNRQGQLRLRKQKQSIYTYPAPDCMCKAA >A06p042160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22652553:22654021:-1 gene:A06p042160.1_BraROA transcript:A06p042160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNQALEKMKMLVGMEVEDEERAAEEESSLSFMEDLNRNCALTTKQRFYGFAICLSAGLACTLLSMLVFFNPIKFGFTFTLGNLMALGSTAFLIGPQRQVTMMLDPARIYATALYLASIIIALFCALYVRNKLLTLLAIILEFSGLIWYSLSYIPFARTMVSKVFMTCFDTEF >A06p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000096.1:39090:43350:1 gene:A06p029830.1_BraROA transcript:A06p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGVRVFPLAHTGRLWLPISTHISTLVFGLSTLALPVDCLGDFGPCGLSVQHTQDVRGCPPTHTGCLWLSVAVRQHTHDVCGCPCVSVCVLQHTEDVRGCPSVHISALHTGRPWVSASTHRMSVAVRVYHTLALPVDCLADFGPRGLSVQYTKDVCGCPPAHTGRPWLSISTHISTLVLGLSTLAHPGTVWVILAHVGCFSVHTGRNIGRQWLSVSTHRTSVAVRVCPCVSVSTHRTFLAVHQYTYQHAVLWTQHTGPSRGLFRVILAHKGSHTGHSWLFISTHISTLVLGISTLGNPVDCLGDVAPRGLSVQYTLDVCGCPPAHIGRPWCLSAHTGRPCVSVSTQWTSVAVHQYTYQHAGPQTQHAGPSRGLFGTHRTSVGVRQHTQDVRGCSTLAFPVDSLGDFGPRGMSVQYTQDVHGCPSAHTGRPWVSISTHMTFVCVRQHIKDVSSCPCVCPCVSVSKHRTYVAVHQYTYQHYTQDVRGCPQAHTGRPWVSVSTHRTSVCVRQHTQVVRGCPSVDISACWSLDSARWPFPWTVWVILAHVGCLFSTQRTSVGVRQHTHEVCGCLCVSVCVRLCPSAHTGCPWLSISTHITTLVLGLSKLVLGLSTLALPVECLGDFGPRGLSVQYTQDIRGCPSAHTGRSCVLVSTHRASVAVRVCPSAHRTSVAVHQYTYQHVVPWTQHAGPFGTVWVILATWAVCSVLTGRPLVSASTHSTSVAVHGCPLAHIGRPWLFVWVILAHLGCLFSTHRTSVAVHQHTKDIHGCPCVSVCVRVSPSAHTGRLRLSISKHISTLVLRLSTLALPVDCSGDFGPRGLSVQYTQDIRGCPSAHTGRPCVSVSTHRSSVAVRVCPSAHTGRLLLSISTHISTLVLGLSTLTLPVDCSGDFGLCGLSVQYTQDVRGCPSAHTGPSCVSVSTHRTSVAVRVCPCVSVSTHRTSVAVHQYTYQHDVRGCPPTHIGRPWLSVSTHRTSVAVRVCPFVSVSTHRTFLAVHQYTYQHAVLWTQHTGPSRGLFGTHRTSVAVHQYTYQHVGPWNQHAGNPVDCLGDVAPRGLSVQYTLDVRGYPPAHIGRPWVFVSTHRTSVCVRQHTQVVRGCPCVSVSTHRTSVAVHQYTYQHAGP >A06p039060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20972704:20975910:1 gene:A06p039060.1_BraROA transcript:A06p039060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALGKLNLEEAPPIWGSRSVDCFEKIKLISHGTYGEVYMAKEIETGEIVALKKIRTNDEREGFPVTAIREIKILKKLHHRNVINLKEVVYSPSPDNDDLRDDNNKYKGEIYMVFEYMDHDLAGLAYRPGTRFTAPQIKCCMKQLLTGLHYCHMKHLLHRDIKGSNLLIDKKGNLKLADFTLARHLSLDFTGTLTNQVTTLWYRAPELLLGATEYGPAVDMWSVACLFAELLNGEPIFPGKTEIDQLKKIYELCGSPDENNWPGVSEMPLYERFKPSLPLKSRVIETYGHFDAHALELLECMLVLDPPKRISAKDALDSDYFWSEPLPCESKRLLASYKSPHELQTKKKRKSMPRKTARLVREISLETICVDDDDDVYRVLRFGLKPFECERGRNSPVKDDGCRIGQLNLEEPPPVCGVDCFEKLEQIGEGSYGSNLLVDNEGSLKLADFGLARSFSNDHTENLTNHVITLIGYWFGSVIG >A02p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4835502:4835933:1 gene:A02p011150.1_BraROA transcript:A02p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFKHLVVVKFKEDAKVDEILKGSENLVSQIDSVKSFEWGEDKESHEMLRQGFTHAFSMTFEDKDAYVSFTKHPLHVEFSAAFTAVIEKIVILDFTVAAVKSPVVVPP >A10p037690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21219480:21221659:1 gene:A10p037690.1_BraROA transcript:A10p037690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L10-interacting MYB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G05800) UniProtKB/Swiss-Prot;Acc:Q9FFJ8] ESEKKSRSASPANPRPQCGHSIAVAFSVRFPEFFEIKMRPKAVWEPEYHRVFLDLCVEQTMLGNKPGTHFSKEGWRNILSSFQEQTGAMYDRMQLKNHWDTMSRQWKIWCRLVQTGYMGWDPETNTFGASNEEWDYYLQENPDAGQYMLSVPQDLEKLEIIFAGSNNVEVRDNDDEVLRVRKRRRSGHHEEEEAEEEDNRSMCSSSNPQTKGYWSPSTHELFLDLLVQETLKGNRPDTHFNKEGWKTIFETINERTGLGYTRGQLKNHWDCTRKAWKIWCQLVGDEGMRWDPETGSFGATEEEWRNYIRENPRAGQFRHKEVPHADKLSIIFSGVIEPGETYTPPSRKKLLLRERSESPRLRGDEADYEEKPAKRLVSDGVLQESPVCVEMESAKRMYCIGECIESLNAMKEVEEGSDLYMFALDQFLKREYREIFLELKKPSLRIAWLQRLQSAAVSHTTT >A09p059400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49691003:49693276:-1 gene:A09p059400.1_BraROA transcript:A09p059400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase IV.2 [Source:Projected from Arabidopsis thaliana (AT3G53810) UniProtKB/Swiss-Prot;Acc:Q9M345] MFIKLILFFLLSQTLRSSSQILTYNGFNPPTDISLQGLATVTPNGLLKLTNTTVQKTGHAFYTKPIRFKDSPNATVSSFSTTFVFAIYSQIPTLSGHGIAFVISPSPALPYALPSQYIGLFNNSNNGNDTNHVFAVEFDTIQSNEFGDPNDNHVGIDINGLRSVKYSTAGYWNENNKFQNLNLISRKLMQVWINYDKLSNKIDVTMSPYGSDKPSKPLITYVTDLSPVLLQDMYVGFASATGSVISEHYIAGWSFRLNGEAPSLTSSNLPKLPRFEPRRISDFYKIGMPLISLFLIFSVISLAFYVARRRKKFEEELDDWETEFGKNRFRFKELYHATKGFKEKDLLGSGGFGRVYRGILPTTKIEVAVKRVSHDSKQGMKEFVAEIVSTGRMSHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYNNPERTLDWKQRSKIVKGVASGLFYLHEEWEQVVIHRDVKASNVLLDADFNGRLGDFGLARLYDHGSDPQTTHVVGTLGYLAPEHSRTGRATTATDVYAFGAFLLEVVSGRRPIELHSESDDTFLLVEWVFGLWLRGNIMEAKDPNLGCDGYDLEEVEMVLKLGLLCSHSDPLARPSMRLVLQYLRGDMSLPELTPLDLSAGNGMNLGGREGFSGIAMSYSSSVFNGFTGVSSIADSLLSGGR >A03g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17319222:17321117:1 gene:A03g504810.1_BraROA transcript:A03g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKPKILILKFLWFIKSVRQTTYLGSRLAVDDLPFSRLAVDDLPGSCLVNAEKFDFPRRLTFQSRRLNFQSSKITDFKVNCKNNLCVDQTTYNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKSKRLLRSPDDFEEVQTTLPEDF >A02g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15065626:15066411:-1 gene:A02g504660.1_BraROA transcript:A02g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETIIRLEVTVNVDEVKTLALNNDNNSEIELRHEKDYSWLISVEEGDRGIQAKILDPYGYIWALSHTKAKNTCKHCYCSSPIHLFDSLCTHPRTLRWIKLDSPTSAYVQELNVSSKLEEKYKTFVITCNDHVDNRSQDFKTIPFPYHGLTSLMQYMINVYCYMVVIRWANLIIRFKTKEIEKRVL >A02g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4566510:4567813:-1 gene:A02g501530.1_BraROA transcript:A02g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPPPNCGFWSFGGQKCDKSSVPTILRSLSDHTRRRDRARAITTPSDLTVSGRSSENLTAILAWFNNSGSEDGGINKCVIERFDKEAAEMYKRSFKYVWVLEVPHCAILIIDSTTLVLKLGLAEVDWRQKRELLLEKKVKGVDVKEALRLQKENNFVISDVRPEAEYKDGHPPGAINVEMYRLIREWTPWDIARHLGSAFFGIFSGTE >A06p035920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19467901:19469159:1 gene:A06p035920.1_BraROA transcript:A06p035920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLREFAHRTGNGSGTPVLEESNGEELMRVQPSVAVALGNRSLESPGTLYITSRKLIWLSDVDMAKGYAVDFLSISLHAVSRDQEAYSSPCIYTQIEVEEDEDEDEDEEDEDDTESTGALDLSKIREMRLVPSDSTQLDTLFDVFCECAELNPEPIEEEEGEHNWVFSADQVVVGGGAEEDGEWPISQSPTSVIGLSIGDEGGLAQPMLELQINDQRFDDAEEMVHESETKDH >A09p073020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55789336:55796521:1 gene:A09p073020.1_BraROA transcript:A09p073020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGNGFTAGSGFLKGKSKKGQELLPTIAVYVTGCFSGTPIILTKTLQLGSRKKQSRRMALDSIPEYETAEPQKNTSSKLHFQAREYANYKEEYEGDICTGKVTSAWMGRCHGSMQVKEQVSISKKSNVMRSNTNYSLSILLSPLLMLMLASKVVTLSGLCQSESCGGIKIQYPFGIQERCYLNEWYKVECRNSTIPFLSKMGREVVNISFEGDEDGGYYSYRQSFGSIRVKSQITSFGCSRNGQESKPVLNLTDSPFFFGRGNSLVAVGCNSKASLTNIEPAKLECELNCTATVAFLTDEVYMSSNATEPQSFFAKGYSTVTIRWVVKTTNLSFLNSLNCIDSEEYDSLPYKTQQRRACICNNITISGSNYANCACNRGYTGNPYLLNGCQGVGSGIGILVLVSGVWWLRKFLKKRRITQKKRKCFKRNGGLLLQQQLNTRESNVEKTKIFTSRELEKATENFSENRILGQGGQGTVYKGMLVDGRTVAVKKSKAVDEDKLEEFINEVVILSQVNHRHVVKLLGCCLETEVPVLVYEFIPNGNLFQHIHEESDDYTMIWGVRLRIAVDIAGALSYLHSAASSPIYHRDVKSTNILLDEKYRAKVSDFGTSRTVTVDQTHWTTLVSGTVGYMDPEYYGSSQYTDKSDVYSFGVVLVELITGEKPVLTLSNTQEIKGLADHFRAAMKEDKFFDIMDARIRDACKPEQVMAVANLARRCLNSKGKKRPYMREVFAELEKISSSQEVKTENDNGDDEEDEEEGMDMIVMADSWTIGITGPASSIVASASSLDVEPLLKTHGPLK >A07p000260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:805255:807170:-1 gene:A07p000260.1_BraROA transcript:A07p000260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEAHANLAVPAFRTEKVAKTQTQNGHGTVWRFGGADKAAKAATVTLRGVIYMLFDNCSKDVKKTILPLGHGDPSVYPCFRTCIEAEDAVVNVLRSGKSNSYCPGAGILPARRAVADYLNRDLPNKLTPDDIFLTAGCNQGIELVFESLARPNANILLPRPGFPHYDARAVYSGLEIRKFDLLPDREWEIDLEGVEAVADENTVAIVVINPNNPCGNVYSHDHLHKVAETARKLGIMVITDEVYDQTIFGDNPFVPMAKFASIVPVLTLGGISKGWVVPGWKIGWIALNDPEGVFESTKVVQSIKQSLDITPDPSTIIQAALPEILEKVDKNFFAKKNKILKHNVDLVCDRLKDIPCVVCPKKPESCTYLLTKLELSLLDDIKDDIDFCVKLAREENLVFLPGEALGLKNWMRITIGVEAHMLEDALERLKGFCTRHTKKTVTETESPSSV >A04p007030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3759256:3759591:-1 gene:A04p007030.1_BraROA transcript:A04p007030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKSAKMKQLATPTRRGSDDIEAKEREAIRNRRTGKVDQRYRENPGEKPPPPSKRNATRRQKPNSPVQREREPPEAKAGYTRRIDRSIPETKLPKEIGDGDRGLNSSSSS >A01p035040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000015.1:975009:975968:-1 gene:A01p035040.1_BraROA transcript:A01p035040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRARAKARSLGSDRAIVPLGRYVATELEPKLGRYVATQRDECLRPSDRPTRSLCSDRARAKARSLRSDRALVSLGRYVATGLEPKIGRCVAIEPFRTSIRHQSLHSRQTFEFYLPKTVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNRSMVLAWPTRKDKCQVSADKYGSFEDNCNFPFLLFRAATQLGLAVLGLLELGISPTALEPRLIPCSKRSYANLE >A09p023540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12877551:12878198:1 gene:A09p023540.1_BraROA transcript:A09p023540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMVLARFSATTSLVPDPDNILLCAVFNRVIFSGRTHCYLLLDPSLLSSSVHKSLLLLWNEDVVLSLKLFLPQFEDVAGSVSFINLTMKLNFRLHKAGICRLTLKIGGFGWSIYGKGEAHDSQGSSISLSAGSSLANEAIKMLETLQFGQTRRLSSLIS >A04p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22697695:22700929:-1 gene:A04p040250.1_BraROA transcript:A04p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAADHHMSFSSTSVSGFVCVSFSGYQSGRQFMADESVVCNPSTSQSLIIPRMKTMKRTGRIRFFGYDHVEKHHKILAMIRPPGHDGRTVDHQVLTLLGGGTEKATWRMAECGIPCASSRDRQSLCINGVFYYIELVSDWSLDGMIICFDVTSEKFSSVKFARDLYPVRRDYPGRLLDFNGKLALVPSDSLRDTSKCIVMWVLQDSEWSKLVYILPPMWKAVVGPKECLEIVGVTGPNEFVMSPIYSSDPFHVYYCDFEKGTVKRVVIQGMGAFGSGRRYYVRTCLNHVPQSPPKPTMKSQDRETSSLDTDQQHSSLPMDLMMDIFSRLSLKSIAICRCVSKQWGSVLGHSGLRLACVEDNKVIFLSSPQPQSSSPTPSPPVAADYHMSFSFDHPVQDISTSLNGFVCVYSSGCRSVNAKKSMEGEELVICNPSTGQSLTVPRMNSSRMTRFFGYDTIEKLHKVLGMIWLQDDRTVDHQVLTLGVGGGGTTWRTTGCGVPCSTWQRQNICINGVIYYVGRECDQMIVCFDVRSEKYIFVKFPDMIFWPVVLDFYGKLALVVLVSGIALTATSESIVMWVLQDPGRCTWSKRVYILPPMWKDVVDPREHLEIVGGTGPDELVMSPRYSSQPFHFYYCNFLKETVTRVVIQGMAFGTGRRYSIHTYLNHVEDVKRMEL >A04p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10520589:10522722:1 gene:A04p017120.1_BraROA transcript:A04p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANANVSSVLQLLFLLIILSGNAVDSTSIVKYLPGFEGPLPFELETGYVGVGVGEEEQVQLFYYFIKSERNPEKDPLLLWLSGGPGCSSISGLLYENGPVTVKFEVYNGTLPSLIATTYSWTKISSIIYLDQPVGTGFSYSRTHLASKPSDSGEVKLVHEFLQKWLNKHQEFLSNPFYAGGDSYSGMVLPALVQEISKGNYLCCKPPINLQGYVLGNPVTDIEFDHNHRIPFTHGMALISDELYESMKRICKGEYETVDPSNKECLKLVEEYHKCTDRINYSLVTTPLCEDDPSPDCYDYRYVLTTYWANDESVRRALHINKESKGKWVRCDWDMAYTNDIKSSVPYHMNNSINGYPSLIFSGDHDMYVPSLGTQAWIRSLNYSVTDDWRPWMIGDQIAGYTRTYANNMTFGGGHTPEYKPEESYIMFQRWISGQPL >A03p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15277189:15282287:-1 gene:A03p036490.1_BraROA transcript:A03p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSAARRRINAIHSHLVTSSRSSPLLLSSNPTAGEFCLDNGYSVVLPEKLSTGKWNVYRSARSPFKLVSRFPDHPDIATLHDNFEHAVHDFRDYKYLGTRVRVDGTVGDYKWMTYGEVGTARTALGSGLVHHGITTGSSVGIYFINRPEWLIVDQACASYSYVSVPLYDTLGPDAVKFIVNHANVQAIFCVAETLNSLLSGLSEMPSVRLVVVVGGLNESLPSLPPSAGVKVVSYSVLLNQGRSNPQPFCPPKPDDVATLCYTSGTTGTPKGVVLTHANLIANVAGSSFSVKFFSSDIYISYLPLAHIYERANQILAVYFGVAVGFYQGDNMKLLDDLAALRPTVFSSVPRLYNRIYDGITNAVKTSGGLKERLFNAAYNAKKQALLNGKSASPIWDRLVFNKIKDRLGGRVRFMTSGASPLSPEVLEFLKICFGGRVSEGYGMTETSCVISGMDEGDNLTGHVGSPNPACEVKLVDVPEMNYTSADEPHPRGEICVRGPIIFRGYYKDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCRFVGQCFIYGDSFNSSLVAVVSVDPDVLKSWAASEGIKGDLRELCNNPRVKAAVLSDMDAVGRESQLRGFEFAKAVTLVLEPFTLENGLLTPTFKIKRPQAKEYFAEAITNMYKELAASDPTANKAL >A01g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2457624:2459711:-1 gene:A01g500650.1_BraROA transcript:A01g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKYGGVLTHAGKVALSRVKSRSRLKILITGKEGKPKTKTLNYYSQISPLILLRYYDDVACVLKKMCLDAKAPHLSSILPPTLPWKYYMLLDESTLPPTFIDSPIHFNIYKL >A04p025960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15705639:15706431:-1 gene:A04p025960.1_BraROA transcript:A04p025960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKADHATANRIYDEFDPVFNWKSEQGFEILTINLPGFRKEQLKVQVTSTRQVRVMGERHAGASRWVRLRKEFPIPANINVDSIAAIFVGTSLVVKLPRLEPMGKQTSPIVTTAATPPPVHKEAEKVQPTKPTREKEAELEKHAEKAQLPTPSREEDKKRAEKEEALKGNVCDGVKQDYRSKMNAYKENLGGYMTMMKNNQRELTVGVVAPAAAVLLLSIGFYAGHMFSS >A09g512000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35293922:35295272:-1 gene:A09g512000.1_BraROA transcript:A09g512000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSWEPLYSGVHRDRSKNKSDYGWYTTKFKIDDSELKNKGGQPTVRVSTLATHCMSGSMDNTMETDMVAMMRRAHCHCQDPASVTFSVLSLAMHFHGWLSFFITLYYKLPLKQDRTGYYEYVVFHTRDVDITKRLDYSSAIAVLGFSLIVSILRTFDVRVEAARVMVSAPVLALVTTTYCWNMIVCVAMGVAQHFLWARWAALSRHPSNWKLWVVVIASVPLIILWWSFIRDDAEFRTSSLLKKSKTKA >A06p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3182400:3185387:1 gene:A06p009080.1_BraROA transcript:A06p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLNTRRRLVLLLATVSCFSLRLCLGEDRITFTTPIKDSNSDTLLCKSGVFRFGFFTPVNSTSRLRYVGIWYDKMPIQTVVWVANKDSPINDTSGVVSISSKDGNLVVTDGRNRTLWSTNVTVPVAPTTTWVQLMDTGNLALQDSRNNGETLWESFKHPYNSFLPKMTLGTNNRTGENLKLTSWKSYTDPSTGEYTAGLAPYTFPELLIWKNNVPIWRSGPWNGQVFVGLPDVDSLLFLDGFNLNNDNQGTVSMSYANGSFMYHFNLDPDGAIYQRDWRAPLRDWRIGVRFPKTDCDAYGKCGPYGICNSREETQCKCVKGFVPRNETEWDARNWSNGCVRRAMLKCNASNGGGGGKEDGFMKLEKMKVPINAIQSLANEQACPQQCTDNCSCTAYAYDKGIGCMLWSGSLVDMQSFVGSGIDLNIRLAHSELKTHSSLAIVITASVLGVAFVAAVCVLLACRRFRKRPEPKKDRSAEIMFKRMEELTSGNESASNQVKLKELPLFEFQVLATATDSFSLRNKLGQGGFGPVYKLFFPDPLKQKILDWKTRLNIMEGICRGLLYLHRDSRLKIIHRDLKASNILLDDNLNPKISDFGLARVFRANEDEANTRRVVGTYGYMSPEYAMEGLFSEKSDVFSLGVILLEIISGRRISHKEENNLNLLAYAWKLWNEGEAASLADPSVFDESFEKEITKCVQIGLLCVQEVANDRPNVSTVIWMLTTENTNLKEPKQPAIIARRGASEAESSDQSSQKVSVNDVSLTAVTGR >A03p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6777593:6779902:1 gene:A03p016990.1_BraROA transcript:A03p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Fe] 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G51100) UniProtKB/Swiss-Prot;Acc:Q9LU64] MELEDYSVDPEELRHRTSDEIVTYRIYNATATSSSSTVIDLEDPCSVTGHKSMMMTTTSSFLWSCSLLPSQGPNRQTQWKRHEKRQLSRKVAVSGVVRAGFELKPPPYPLDALEPHMSRETLDYHWGKHHKTYVENLNKQILGTDLDGLSLEEVVLLSYNRGNMLPVFNNAAQAWNHEFFWESIQPGGGGKPSGDLLRLIERDFGSFDDFVERFKAAASSNFGSGWTWLAYKANRLDVANAVNPLPKEEDKKLVIVKTPNAVNPLVWDYSPLLTIDTWEHAYYLDFENRRVEYINTFMEKLVSWETVSTRLESAMARAVQREQEGTDTEDEENPDDEEPEVYLDDASEEVD >A03p016210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6446352:6449489:1 gene:A03p016210.1_BraROA transcript:A03p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLSFLNATGILLQSSGQIRRRSHTVSRKDLPALSAKAVSSQRLSLSPTSSLWPSRNDGCDDRFLSPPDVSSPMRSVTVRSQFSTPLIASNDEWGTWTALFATGAFGIWSEKTTVGRAVSGALVSTLIGLAASNLGIISSDSPAFAIVLNFLLPLAVPLLLFRADLRRVVKSTGKLLLAFLIGSVATTVGTVLAYYLVPMKALGPDSWKIAAALMGRHIGGAVNYVAISNALGVSPSVLAAGLAADNVITAVYFTSLFAIGSKIPAEVLPPPTSEEAEARKDSETKNKIPVLLIAIGIAVSLAICKAGALITKYLGISGGSLPAITAVVVVLATIFPSQFAHLAPSGEAMALILMQVFFTVIGASGNIWSVVNTAPSIFLFALVQIGTHLAIILSVGKLLNIELRLLLLASNANVGGPTTAAGMATAKGWNSLIVPGILAGIFGIAIATFIGIAFGAKVLKFM >A05g503410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9996134:9996334:1 gene:A05g503410.1_BraROA transcript:A05g503410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKYLWKHDIDDYKDYFSSARTWEQIRCKKEAVNWSNGVWFKQGIPRCTFIVWLAIQNRLSTGD >A05g505740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16060453:16067393:1 gene:A05g505740.1_BraROA transcript:A05g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIKYDMLFKHFSICGMMSHEKGYCPSLDIRARIQPPLERSGVFSRVQLPQEQILHLQSSQQSSRQSSLMGREPQHRYTSSQTTRHDLRTNLSGSNYESRGSQSRAWEDRDQRGHHSDRVMRRRHEYNQSNRDGGTQHARPEHAATSREIVPYEHVSAPSTADNNAGGSSRKLASPIVTPVREPHMVENVTLRDRGDARSLTFSPLRETEPSGAKDQMIEALHDMEMVDQQDGGMSDAEGNEDDLLGLDLTEMENNDGRDEPLDLRGRPSGTKSTRNRKLGVKRSAPGLKPLEHMSSDLLHYVDDPPGHAGLPSHHVQESKGQPCLALSINRSSD >A06p016620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7443744:7445039:1 gene:A06p016620.1_BraROA transcript:A06p016620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMECSATRRSSSGKPSFSLTCSRLSQYLKENGSFGDLSLGMSCKPETNGMSRKPTTTMSLFPCEASNVGSMAAAQDVKPKNLFPRQPSFSSSSSSIPKEDVPKIAQTTTTRSLKPEPQTAPLTIFYGGQVIVFNDFSAEKAKEVMNLASKGTANTFTGFTSTLNNNIAPTPNQVPHLMKTASQDPKQTSSAAMACELPIARRASLHRFLAKRKDRVTSKAPYQLSDPAKAFSKPQTGNSTTSWLGLAADM >A08p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9176159:9176468:-1 gene:A08p011070.1_BraROA transcript:A08p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYYRSWIDQPHLDPNTRLLTEEYLRGITEFMGLVERQPEAKTVIKFGIIMEKLIMNMVVLANLSLRL >A09p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13638149:13638783:-1 gene:A09p024620.1_BraROA transcript:A09p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTYLLTCLLIRSHMLCTYLLTCLLIRSHMLVTSCLLQLLVSFFMEGKCSAACAAWCVEACHQLSNLSFVFCGSKPSSEATPYDIKYPLLSSGRPVRRVFSLKVISTKFILKLEEIGKP >A10p037950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21329055:21329702:-1 gene:A10p037950.1_BraROA transcript:A10p037950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.B3 [Source:Projected from Arabidopsis thaliana (AT5G05380) TAIR;Acc:AT5G05380] MANPSTLPISDHSGGSPPQQPVSTPAFRTFLSRLSASIRQSLSQRRPWLELVDRSAISRPDSLADAYSRIRRNIPYFKVNYVTIVSVVLALSLLSHPLSLLVLLCLFGAWIFLYLFRQSDQPLVVLGRTFSDREMLAVLVILTIVVVFLTSVGSLLTTALMIGFGIVCLHGAFRAPEDLFLDEQEPANIGLLSFLSGAATSAAVAAASAPASARV >A09p022130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12203620:12205292:-1 gene:A09p022130.1_BraROA transcript:A09p022130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTIPIDNGDALKNAEADSQRTLYPYVTGTSIVAIKYKDGVLMASDMGGSYGSTLRYKNIERVKAIGKHSLLGASGEISDFQEILRYLDELVLNDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNTLVLGGVKNGESYLGMVSMIGVSFEDNHVATGFGNHLARPILRDEWRADLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGVTVSQPYSLKTFWEFQAFHNPTAGAQGSW >A05g505790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16221332:16225542:1 gene:A05g505790.1_BraROA transcript:A05g505790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVSELSPRTNVAICVLMDSHERHVCADGQPHTATDVLCVLADTHRRPMCTEQTADVGQNPSRGLFGTSVAVFGCPSAHIGRPWLSVCVCVCPSAHKGRPWFSISTNIRTLVLGLSTLTLPVGCSGDFLQRGLSFQYTQDVCGCPPAHTGRLWVSASTHKPSVAVHVCPFVSVSTHRTSVAVHQHTHDVRGCPCVSVSTRLRLSVCVRQKIHDVRGCPCVSVTTHRTSVAVRQQTQDVRGSRWPFRWTVRVILAHVGCLFNTHRTSVGVCQHTDVCVCPSAHTRLPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPLLSVCVRLCLSAHTGRMWLFVNTYRTSVAVRGCPSAHVCGCPCVSVSIYRMSVVVCVCLSAHIGRLWLSVSTDRTSVAVRVCLCVSVSTHRTYVAVHQYTYQHVGPWTQHADPFPWTVRVILAHVDCLFSTQRTSVGVCQHTQDVYCCLSAHTGRLWLSMCVRQHTSAAVSVCLGVSVSTHRTSVVVRVCPSTHTGRLWLSISTHIRTLVLGLSTLALPVDCLGDFRPRGLSVQYTQDVRWCLPVHTGRPWLSVAVRQHTQDVRGCLCVSVSTPRTSVDVHQYTYQHAGPWTQHAGPSRGLFGTSVAVLGCPSAHTGRLWLSVCVRVCPSAHKGRLWLSISTNISMLVLGLSTLTLPVDCSGDFLQRGLSVQYTDDVCGCRPAHKGRPWLSTCVRLCPSAHTGRPWLSVSKHMTSVAVRVCPSAHVRGCPCVSISTYRTSVVVRVCLLAHTGRLWLSVRTHRTSVAVRVYPCVSVSTHRTSVAVHQYTYQHVGPWTQHADPSRGLFGCFWPMWAVCSVHTGRPWVSVSTHRTSVGVCQHTHDVCGCQCVSVCVRQYTQDVRGCPCVSVSTHRTFVAVRQHTQDVRGCPCVSVAVRQHIQDFCGCPCVSVSTRLRLSVCVRQQTSAAVRVCPSAHTGCPLLSVCVCLHTQDVCGCPSAHTGRPWLSVCVCVCPSAHTRRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVRGCLPAHTRRLWLSVSTHRTSVAVRVCPSAHVRGCPCVYGCVRKHTQDVCGCPCVSVNTHRTSVAVHQYTYQHAGPWTQDAGPSHGLTSVAVRKQTQDVRGCLCVSVGTPTTSVAVHQYTYQHAGPWTQHAGPSHGLFGTQRTSVAVHQYKYQYVGPWTQHPDPFRGLFE >A01p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5435833:5437669:-1 gene:A01p011190.1_BraROA transcript:A01p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAPIVRFYSCRGVASEINPHANPFAIFTNDQNRNANAEREKSSSRFQLIRNASKVSPSSFQHSMSRTSSHFCDLDLDNEEEEEEDDNIYYIEEGGTKEGDEQHSDKPQQPPILKKRASRLSIILLDQGLFTVYKRLFVTSLFLNVIALVLAATGRFTYARNRAALFSLANILVLTLCRSEAFLRLVFYLTVKILGHSFVPLRIKTAVTSLLQSLGGIHSGCGVSSIAWLIYALVLTLKDRDNASTAIIAVASAILSLLCLTSLAAFPLVRHLHHNVFERVHRFSGWAALGLVWAFIVLTISYDPKSRSYTDDLGSKLIKTQEFWFTLAITVAVVLPWLSVRRVPVDVSSLSGHASLIKFRGGVKSGILGRISPSPLSEWHAFGIISDGKTSHMMLAGAVGDFTKSLVSKPPTHLWVRTVHFAGLPYLVNLYDKVLLVATGSGICVFLSFLMQQSKAEVYLIWVAKGLDDNFGSEIVNRIKDYPHQDRIIVHDTAILGRPNVSEMSVKASKKFEAQVVIVTSNPEGSRDVVNACKASGVPAFGPIWDS >A03p010170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4069692:4070024:1 gene:A03p010170.1_BraROA transcript:A03p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRSTTSFRRQGSSGLIWNDRFLSGEIRNDERKEDRRNDHRDGSMAATTATVKRSASDGGRSNGGRLEISPALDPPSPEISAGCGFCSMFSSNRRRRRRGRSSSAGSS >A04p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20876148:20876821:1 gene:A04p035610.1_BraROA transcript:A04p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILKAQLNINPKIRYKSQAIIVFISDNTMHTKEETQWVREPTSNSETFQPQQPRIKVHELREKSKTDLSAQLQDFKAELALLRVAKVTGGAPNKLSKIKVKQKLALREAYKTKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREEKKEIYFPIRKYAIKV >A03p016920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6758277:6760665:1 gene:A03p016920.1_BraROA transcript:A03p016920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLQEVDAGEKPASSPSSSSAIGTSMNTTDIVLQTSSPKLSQKQDYIFHGGRRHVRPYYFEFISHVNKRWTGKTIVDLFADEFKGRPRDYYVGAVKCGRIKVDGETVPVSYIVRSSQKITHFVHSLECVEGFGHEPPVMTDDVQILVNEPDVVTVCKPASVPVHPCGQYRKNTVVGILDAEHDLGPLFPIHRLNRLVSGLLIIARTAAKADFFRKQIEGGMVKKRYIAKVIGVFPEDEKVVDANINYNGSEGRSTAEDANSSGGDKKVKGKPACTKFTRIGTNGIHSLVLCEPVTGRTHQIRVHLQYTGHPIANDPLYLNHDVDNLETKIAKRIDADERKMASPNDYVYSSEDFSIDPMCTNCPKLIPQGYEEHDEALWLHCVRYSGTGWEYECPYPSWASL >A10p010370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5760825:5761007:1 gene:A10p010370.1_BraROA transcript:A10p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYTLWKERNGRRHQKPWFTAAQLTCSIDKTMRNGITSLKYGRDHKLKGLRRRWLEVAP >A08g503640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6401724:6403047:1 gene:A08g503640.1_BraROA transcript:A08g503640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIRLNHKVFLWVCSAVHSTCKDYGEESHGWSYLCKPSGRNTLAAAFRPRKTMHVVKILRLMAAVSTFRMALLLAEVTLQTHTWLIAICFFVVSINGRTQDNTVSYLFSRSSSLAVIAEYSIFPCKYTFLS >A08p003100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1761995:1763598:-1 gene:A08p003100.1_BraROA transcript:A08p003100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISELPDELLLKILSIVPTKVAVSTSILSKRWRFLWMWLPELEYSDSQGKSLLALRDFINKSLPLHRSPVIERLCLDLWEESHDSKVNPEDIKLWVETAVSRHVRELEVSYLSESNANMFPSGFFTCESLVVLKLRYMTLMDVPSTGCCCLPSLKTLQLESLTYVGVDSLQNLLSMCHVLEDLEVRFIQDEYPQMFTVIFPLLRRLTLSLPDCEWDLDEYEIDTPFLEYLKLEDWNESLWLFKKNMPSLREAYVHVESYALKSVVRSITSVKRLTVCSEVEEDQDVYGFVFDQLEHLELCVCKDDSLNLLVQFLKDSSNLRALDISILDCHGDKKTDDKFAWYKLSCVPECVLPSLQVFNWSRYIGRPRDRDIAFYVLRNAPNLRTATFRFEQGVPNPKTLKMELTLFPPASSTSHLEQARGSNATTDKLPKLAEPSGGDKEMS >A07p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10277771:10278958:-1 gene:A07p016720.1_BraROA transcript:A07p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSWIIPGLTDDVAALCLSRIPRSNFRLLSQVCRRWKTFLKSEHFNAVRKLAGRMEEFMCVLMEDKPGTSVYWEVFDSSGNNLGQIPNIPDPGPLKWGYGVTVLNEKILFIGGFTGVLALPLLPLIWRKLGDMNIPRYIFSLAEVDGLLYVVQGFSNDGYCLFNTEVYNPQTNQWSLIDGPNMQVAIGFAFSFKSKLYVLDNGTATIDMYDTKTKTWEMLESDELAAVYSYTVVRNKVYFLDSERPGRLGVFDPEENSWTRVFVPTEPGGFQSKLGQWNNKVLLFLRGSVGKTIINDFDKEEGSKWRDCDQIKLSGYHVYSVLIKF >A04p023050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13964810:13965762:1 gene:A04p023050.1_BraROA transcript:A04p023050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHKTTILLFSFSLSIERRRPYLPSRNPNSSASLTLTRSIEGRKTNLETEPSRKIFPLEELHSATNMFNYDNKLGEGRFGIRRLKVWRNREDIDSATEVEIRKKVL >A06p038940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20917240:20919550:-1 gene:A06p038940.1_BraROA transcript:A06p038940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLGLPGQWAEDELESSDHYTTKIGGLPDWPPLPDDIPKPDLLNCCSCGSKLSLVAQVYSPISTEEERTLYIFGCLMPKCGASEQSWRALSVQKAIKEKESIDSEDLPVASPKDTPSKTHWLDDDGDDDDEDFDFESLAKAIAEAATTVASNSKKPKSKPSGNASSGTKAKPSPLKSVDQVKVETGAVLPCFYICTKEEKVSTKDVERVSMSYSSMSIKDKETSKNDESEAEEAWEDEKYEYDKALNADRTYLKFKKRLDANSEQCFRYSYGGKPLLAREDLKSPDKCRHCDSPMVFEMQLMSPLIYFLHEGVVDEGLKQSLDCWDWMTLIVYTCSKSCATAVNGDWVVAEGCIAVQGILPVSSDDDGVAKKEENPSLVKIICGIFGKKFPPSSWELIQGAMKKIQTKLYPPNLDFRSNSANNNKDKEGEDKGEKVKEAATRSLEVSKEAIEESATLAGGVIGEAVHKTAEKVTKQTSHDEM >A01p049380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27751102:27751495:-1 gene:A01p049380.1_BraROA transcript:A01p049380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFVSGQNIIRNMEDGFTIRKPSRIHSRACARSLNEAKRKGCHSGYGKRRTKVLLWIKRMRVSRRFLSKFRETNKIDKHI >A02p057340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34482365:34487541:-1 gene:A02p057340.1_BraROA transcript:A02p057340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLFKDDEEGAELFRDAKDLIASGDHTKALEILEDLLLFYAPEEGSSLLHNEQATIFSKLSREATKNPNLAFTYLLASVSCLAGHVNLSRLCAERLHQLGQHLGSMMYYKECVRIGKQSLYVSRGIEEERRKKMEFMIKDAELRILECKTETIRTDEAKFVETKRSPEPVNKGLRLFWIGLDVKIKRELMKVSIEKLRGFVHSSEGSLKALEKVLAYAKEHKRWTTWVCRTICLADFSKAEECKAHFEQQHGADFKPELEKDVVVRIGKNWGHKIKGGGWEALDTVAAVEMIKTQLEDVKAFTTEARKKGWSNQWPLVEDENRSSLLKEVKLLLVSLYEHQILSCSIRDWVMRFPVKHLRKLEVSEESINDCHIVETPQSVCFLERYELSQILAFLGTIKCERHDGREQVCRAVDSVLDRIRIKESVELDEQFSLMLLDKRLLKSNSAAPFDGCVDDGKIKLIENPDVHYAKAQAQGDDMISWLGDSSSVDKSFPKPIREHNLETWVAVLRAVQYTCKALRTKYVMKEHVLEYEAALTFVENLCMLEDKRRENAQDDRRKKSYASLLCDRCEERVPKEKNSLTARLFLCAVRDVFEGGWDPTFDLEDCMSRVREGKGLRDDIVLKYIELLKSAVTEKVLRIDAKILLIDNSRIRLLDKLTSLSAFDNRSYMLQLLKPFLLSEISYMESKAKSEAAEAYLLLEEERKSLKEEKKSQAKKKKTSKSTKITSTSMASPVEQKPSIDHEPGGTSQSPKTMEEDSITMEPEDTLGTEKGPLEISSTNDIQEGATKVNTGVMQNMPEEGSLSGHLESDLDMTLKQITSTSMASPVDKTIEHESSVKLEPEEDSMEPERGRVEATTVDRDDMKNMAGEDSLSKILEPVLEGATARYNSALDTTLKALLSINVLKKQVLNYNKQPVHDNLEEQVLCALQILFTAAVSEEIKTEGVYSLILRDLLVSLEAVNCMSSGAAEVLVTILESWHCWKKSERESLVTRLFTLEENERMSCRKCGRKPNYPEQSSYGIVVASDSIRNVKCAFGDIKFVDVLKVTRMNYKMLCDIKTGGCGTSNCVHHVISRCPPIFIIVLEWEKSETEKEISETTKALEWEIDISRLYEGLEPNTNYRLVSMVGYGDEDEEHICMAYEKNQWVNLRRESLAGEVVGSWKSVVRFCGEKKIRPEILFYEAARSVMT >A10g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19402765:19404019:-1 gene:A10g506650.1_BraROA transcript:A10g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQLFRSIALRAILLSLQDRVAQVYGGGLVHMDFSKDHMDRVGYEIYTIMDINLLTPLHLIYAENSSDSGKVHSTVRRKWLDGDEFIISSMAEIEKLAEDGRTALLKIDYTKELMNRNFDLRRSMFGDACLGAMNIEMVEVARKVGAAAKFTGSGGCFLPRWAISDQTSRRRISRDLRLRKHNLVVLDPEISV >A02p049410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30650678:30652108:1 gene:A02p049410.1_BraROA transcript:A02p049410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g02580 [Source:Projected from Arabidopsis thaliana (AT2G02580) UniProtKB/TrEMBL;Acc:Q5E922] MILDHFSGPRELSYNYLDIAFSPYDDYWKELRRMCVQELFSAKRVHSIQPIKEEEVKKLIESVAESASQGSTVNLSEKFLALTVSVICKAAFGLSFHGTVLNNNSFDKLFREAFLFLGSFSASDFFPSGGWIVDRLTGLQERRERSVRDLDAFYEQMFDLHKQENKDGVEDFVDLLLRLEKEETILGNGKLTRNHIKAILMNVLLGAISTSAITMTWAMSELTRNPRAMKKVQSEIKNQIGNKSMITLDDIEQLHYLKMVIKETWRLHPPAPLLVPREVMSEFEINGYMIQPKTLLYVNAWAIRRDPETWKDPEMFLPERFMDNNIDAKGQNFELLPFGSGRRICPGMYMGTTMVEFGLANMLFQFDWKLPEDMVVKDMDMEESHGLTVGMKNDLLLVPVKYREH >A02p038330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21904948:21907805:1 gene:A02p038330.1_BraROA transcript:A02p038330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQCLVLSGDWVCGEGGKWDFIIEKNRMGRTVQMYEGIGVKELEGNVLHEFRVDEARHRKVKEGINLFPKFELKAACVDDEFVDDSGMGFVSPEESRFLGRKDVGYASRKGNVTSTASKTRVINIVDDDEFVRQVERVEEKLMGESGKGESSKIEEDNSCNLATDEEENLEMDEIPLRPRGYDKEFWSPLLKGDFGGSYEVNVVFNEDEIVEGLTKKKGPHTYFCDTGSCFDHYVEVGGGSGGGGSGGEAKEAKKKLVKNKCGRCRCEGHNRTNCAVPI >A10p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20957545:20960983:-1 gene:A10p036980.1_BraROA transcript:A10p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-YA10 [Source:Projected from Arabidopsis thaliana (AT5G06510) UniProtKB/TrEMBL;Acc:A0A178URE3] MTRPKLKIAWIEDRKKRNIACQKRMKGLMKMAEELTILSDTKACLTFFNRDDGKLVAWPSQEEAESLIDRFYALPEIQRNMYADDQESYIKTITKKIEKKLEHSQKVVEELEMDHLMFQIQNGRMLADLSQTEVEKLMSYASKKITVLTRELGAEHPYTSVDEPFLGDEIPKATDVAPERDDEEYMKANEGESSKSAMQTEYCKEREEIFSPPQASCLWNIAFGPPALTQESLSSDSFAGVKVVTPETESEQGGGKSSRDHVSKPHVAFAMQSSCFEFGFAQPTIYKKHPDHVDQYYGVISGYGSQISPNRVMLPLKMETEEDGTIYVNSKQYHGIIRRRQSRAKALLKNKINNFRKQPYMHHSRHLHAMRRPRGSGGRFLNTKKADAALQSKPSNPQSFEVFHAKIRTMTSSMETYGPNVSSSDVTSMNHFLSSSVHSIGGNMVMPSKWIAEAMDIGCCKLKT >A10g503280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8558686:8560487:-1 gene:A10g503280.1_BraROA transcript:A10g503280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKVAKKGSSSAIAYEELIVPKMEFVPHSVHPAENEAWWVAHYGSMTPPKEKSFPVLTHRGVEKEDASRSTDEFLATMRSFYHIPDAVEFRVPYPGECANSPPEGYFTCYEAFVVRCRLWFPIPEIFVRVLDRFEVSISQLNPLAIQHLIGILILRYEHGLSLSVDHFEALLRLQLVKDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNDRPFINPLAPFPEDTIEVRDLLRNGPFFWTSFTPKRVRRALRFVHPGPASVADTGSDSEPDDQSPAVAPPAVPESSSWKGKDIDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPPGFDAPPPAKESARPKIVAEGSRIINGGLNLLGSAIEASHREAMIYRFKAEKAERDLARVQGEILEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSAGSLWRTRADEYVFEDEMSLMKSGMNERAHAEALIPPIDERIQGFWDSIPVSPDTEEVSTGFPDGGEEVDRPADAFGASLSGDFDFGL >A09p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1610799:1613191:-1 gene:A09p002280.1_BraROA transcript:A09p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVALRNPTSKRILPFSSQIYSRCGGSITSSPPPAISHSIGGDDLSPPSGFGASLWRSMATFTRNKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSGPDGPMPQTKEHILLARQVGVPSLVCFLNKVDVVDDPELLELVEMELRELLSFYKFPGDDIPIIRGSALSALQGTNDEIGRQAILKLMDAVDEYIPDPVRVLDKPFLMPIEDVFSIQGRGTVATGRIEQGVIKVGEEVEILGLKDGPPMKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDIQRGMVIAKPGSCKTYKKFEAEIYVLTKDEGGRHTAFLSNYRPQFYLRTADITGRVELPEEVKMVMPGDNVTAVFELIMPVPLEIGQRFALREGGRTVGAGVVSKVMT >A09g510860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33270169:33270510:-1 gene:A09g510860.1_BraROA transcript:A09g510860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKDKTSSCRAQHLSAGLTALISYISRGVKRRNLSGNGILDKPNSWVDRTPFSARQLPLELFRERIPFEN >A09p051570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45447425:45448741:-1 gene:A09p051570.1_BraROA transcript:A09p051570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEEPPMAVQIQPDIPTLSAEESSEAVSVGVTVITGYLGAGKSTLVNYILNGKHGKKIAVILNEFGEEIGVERAMINEGEGGALVEEWVELANGCICCTVKHSLVQALEQLVQRKDRRSLLLLSRACRLDHILLETTGLANPAPLASILWLDDQLESEVKLDCIVTVVDAKNLRFQLNERRDSSSFPEAFNQIAFADTVIMNKVDLISQEESDELEKEIHSINSLANVIRSVRCQVDLSNILDCQAYDSTVKSHKLLFSVYMFEYYLFF >A03p069450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30525994:30526602:1 gene:A03p069450.1_BraROA transcript:A03p069450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQAMDTENSGAITFDELKAGLRKYGSTLKDTEIHDLMEAADVDNSGTIDYSEFIAATIHINKLEREEHLVAAFQYFDKDGSGYITIDELQQACVEHSMTDVFLEDIIKEVDQNNDGQIDYGEFVEMMQKGNTGVGRRTMRNSLNISMRDA >A10p030310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18341989:18343349:-1 gene:A10p030310.1_BraROA transcript:A10p030310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKEFRTHLEETLPEWRDKFLCYKPLKKLLKYYPYPPPADDSSNSDQIDSRQVFADTTNLSSAEDDGVRPAEDLQDSFVRILNEELEKFNDFYVDKEEDFVIRLQELKERIEKIKEKNCKNGEFASESEFSEEMMDIRRDLVSIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLPFTQVVLHQPFFTTEPLTRLVRECEANLELLFPSEAEVVESSSNAVSTSHQNNSPRISAQTSSTLGDENLDIYRSTLAAMRAIRGLQKASSTYNPLSFSSLLKNEDDETVTAENSPNSENLQSKDESEKEDNGPSP >A10p017560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2396474:2401283:1 gene:A10p017560.1_BraROA transcript:A10p017560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLSSVAQSVASVDALYSLIQADPCILQKVDVLPFVHTPLHEASSTGKIDLAVELMILQPSFAKKLNKDGLSPLHLAVENQHVELAQELIKFDPSLVRIRGRGGTTPLHLVAEKGDADLLTEFLFVCPESIRDANVNGETALHITVKNDRHEELEVLRGWMQRMLISDALSTEKHVLNTRDRDGNTALHLAAYKNDMKELLECMSLNRNIQNKSGMTALDVLRANGSHMNIKETEKIIQHSGGKTRDSVTTVNTMSVFLKTPVSFWEHCSTGLARYKSNMTDGTRNALLVITALIITATYQTAVQPDDDEDFIKSNDVVSKIVLLWGFNTIAYFLSMALTFILIPVGGAYTWWYICITLPLDCSYVLSTYMKYNLRPNEIPISLIYIYYVIVILGFLVGLLVFYVRWRRTTRKSGPEPKRELISEGFKTVV >A06p041750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22459858:22462105:-1 gene:A06p041750.1_BraROA transcript:A06p041750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCRGSFKDKVYEGNNSRPEENSRTTTNDHSPTAEQDFPKEDSNNNPVPVKEPFIRRNMDNQAYYVLGHKTPNIRDLYTLSRKLGQGQFGTTYLCTEVATGVDYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMEVCAGGELFDRIIQRGHYSERKAAELTKIVVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFKDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGDVDFESDPWPVISDSAKDLISKMLCSRPSERLTAHEVLRHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALKVIAESLSEEEIAGLRAMFEAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMEAADVDNSGTIDYSEFIAATIHLNKLDREEHLVSAFQYFDKDGSGYITIDELQQSCVEHGMTDVFLEDVIKEVDQDNDGRIDYGEFVAMMQKGNAGVGRRTMKNSLNISMRDV >A09p082560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59738022:59743168:1 gene:A09p082560.1_BraROA transcript:A09p082560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLREEVVPGRICTVISMLLRKIGQADLAMKLMHSKTGIGYERMGGDNTNVPRASNSSYLKPLPPEPHDRGATIDIPLDSEKDLRAKEMELQAKENELNRKEQELKRREDAIAKTGVVIEEKNWPDFFPLIHHDIPNEIPIHLQKIQYVAFTTLLGLVGCLLWNIVAVTVAWIKGGGPTIWLMSIIYFIAGVPGAYVLWYRPLYRASRTDSALKFGTFFVFYVFHIAFCGFAAVAPPVIFQGKSLTGFLPALELLTTNGAVGILYFIGAGFFCIETLLNIWVIQQVYAYFRGSGKAAQMKREAATSTLMQVPETERPFTFLRDSTHSSSVRARFEAVESGPKFKEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPEAYRAAKGSASSDQKPGPVPFFAAGVSSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDFTPAYIFEDDVKHFHTIQKQACDKFDPSFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLHDYDQEMLLKFSTECANSVVPAYIPIVEKRKDMEFTEKHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGTEEWKLLDACINPKEWI >A09p077790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57685967:57686715:-1 gene:A09p077790.1_BraROA transcript:A09p077790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYLDTVKSCENLETPDAAELISAMAAGWNAKLIVETWSYGDAIASSIGLNVASQHANAKHVCIVQNARSESAYLQAIQESSSPSNLPETIIAEEPENAMKEIQGIDFLVVDWRNKEFAAGALRSAAFGSRGAVVVCRNGYSRSSSGFSWRRALREREVVRTVTLPVTGGIEIAHVAAWNSGKIEKSKRRWITHIDQRSGEEHVFSI >A03p048230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22021713:22023611:1 gene:A03p048230.1_BraROA transcript:A03p048230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRNNKKKCGGSKKKKTTSDQSDAIKSITDWLFVGSSSSPPPDDFAVTINTASLRRGEKLVFELHSHSNRSDGFLSPSKLVQRAHTNGVKVLSLTDHDTMAGIPEAVEAARRFGIKIIPGIEISTLFRVESGSEEPVHILAYYGASGPAMYDELENFLGKIREGRFVRGREMVSKLNKLKVPLKWEHVTRIAGEDVAPGRMHVARALLEGGYVENLRQAFTKYLHDDGPAYATGSEPKSEEAVRLICKTGGVAVLAHPWALKDHVSVIRRLKDAGLHGVEVYRSDGKLEVFSELADTYSLLKLGGSDYHGKGGRNESELGSVNLPVKALQDFLKVGRPIWCEAIKATMRTFLEQPSDSNLSNILRFDRARILKGSSSWSCGGELMDRCLAIWLTNDERENDEFEALRLKLSCVFISSKGSCVTVGA >A03p010740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4308898:4311243:1 gene:A03p010740.1_BraROA transcript:A03p010740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSQIPRSNPDRVSSPTSSKSVTQTVNGSHQFVIQGYRLAKGMGVGKHIASDNFSVGGYQWGIFFYPDGKNPEDNSAYVSVFVALASEGTEVRALFELALVDQSGKGNHKVHSHFERSLDSGPYTLKYKGSMWGYKRFYRRTQLETSDFLKDDCLIINCTVGVVVSEVQCPRLHSIRVPDSELGSHFGFLLDSMEGSDVTFDIAGEKFQAHKLVLAARSPFFKSKFFTEPEENNREVTINNLEPKVFKALLQFMYKDSLPEDVEPVAAHTYDLLKLPEMYETLIVKLLAAADKYNLNRLRLLCESHICKGVSVKSVAKILALADRYKATELKDVCLKFTAENLAAVLETDAYQQLKDECLSLQSELLKAVAGYEEASNSTGGAKSQSVWAQLSDGGGDTSSRHVRQRTT >A04g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8906993:8908666:-1 gene:A04g504320.1_BraROA transcript:A04g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAAPIDQFFNDVVSTGLIATLTCHTYRAYLAVSPDGQTIVTGAGDETLRSWFSGFDMTASGSHRPARYGAGGFNPLGLLQVPGSRFRNSYYLYRDDDT >A01p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2226542:2230518:1 gene:A01p005220.1_BraROA transcript:A01p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCELVPCSFFFLFGRIPCSFLLILSFNYNVTLTANLPGNFSWSWILPYIYITSTTSLSNPLTSTKNMDVTDFFTGEIATELLKQLVLISAKAWKYKSIADRLIILIETIQPTIHEIQYSGVELPPHRQAQIGMLAGTLEKGKKLTERVLSSRRWNMYRQFTLAKKMEKLEKTISDFMKNQILTHILADVHQHRANADVRFDRVERSLTGLEEQLGSMKIGGGGMITDAMKIAEATMEIETSSDDEKFGVGLEMGKRKVKKMMFNAEGGLFGISGMGGVGKTTLARDLEHDNEVRCYFENRILFLTVSQSPILDELRTRIWGFLTGCESVNNVPDWNLQYNGGVKTKKLVILDDVWTRKALDSLTSNLPSCTILVVSRSKLADPNATYDVEVLREDEAISLFCLCAFGQKTIPPGFDKDLVKKVAGECKGLPLALKVTGASLKDRPEMYWQGALQRLSKGEPADETHETRLLLHMEASLTGLDETARECFLDLGAFPEDRKIPVDILINMWIEVHDLDEAVAFATLVDLSHKNLLTLGKDPRLGSTYASYYDVFVTQHDVLRDLALHLSNKGKINRRKRLLMPKREKELPKTWGKNWEMDDMDWSDFDMDFPKAEVLLLNFSSDKYVLPPFLSKMTRLKVLVIINNGMSPAILRDFSMFANLSKLRSLWLERVHVPELYNTTIPMKHLHKMSLILCKINNSFDQTGVDVSSIFPKLGDLTIDHCDDLVTLPSSICKMTSLNSLSITNCPRLGELPKNLSKLLALELLRLYACPELKALPDEICELPQLKYLDISQCVSMSCLPEDIGKLKTLEKIDMRECYISGRVKSAVSLESLRHVICDKDVAFIWEEVERAVPGLKVEAAEKCFSLDWLDE >A09g503990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12513662:12513946:-1 gene:A09g503990.1_BraROA transcript:A09g503990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGINPDWQWTINRLQRMGVKGMDTVLAKMLLQSVIYHLWRERNARRHHHTWATTDQLRRVIDKAIRNRIVSLRYKFDHKYGGLLQRWFEITL >A02p012050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5262458:5263527:1 gene:A02p012050.1_BraROA transcript:A02p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKLNLIHRLGSLTNGFGMILIIRYNNNGVASANKAPEENVGSCSPGDSSVAKIMGSGLSSIDNPSNQQSSGSERATQREAALMKFRLKRKERCFEKKVRYHSRRKLAEQRPRVKGQFIRKRDDSNQEMSVRRLTTAESTKMV >A09p059120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49812943:49814124:1 gene:A09p059120.1_BraROA transcript:A09p059120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPFSQTKLLVILVAFACVFSSGSEAWSWSWSSGSGSGSGSGWESHGSGGSASGSGTNPDGSHWSWKWDTRSGWRWRSDSNHTKPGSSNHNVTKPEGSSNHNVTKPEGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPEGSSNHNVTKPGSSNHNVTKPGSSNHNHNVTMPGSSHHNHNETKPGSSKHNDSRSGSDDNDSRNPVFATPREVVVGGSRGWNYGVDLEEWASKTTFHVGDVLVFEYNNMTNGRHDVYLQTNLWSYRTCNFESRNKIASSEENGSKESFKFTLAMSQPYSFACGEDNGYYCRTYNMKFSVLPGA >A03p052490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20034783:20039887:-1 gene:A03p052490.1_BraROA transcript:A03p052490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive linolenate hydroperoxide lyase [Source:Projected from Arabidopsis thaliana (AT4G15440) UniProtKB/Swiss-Prot;Acc:B3LF83] MFALFIYLPRYLQLHTQIKRKDISKKIVRSKKIFLRTMASASLPPPPPSSTSLTSQQSSPPPSQLPIRKMPGSYGLPLVGPLSDRLDYFWFQGPDKFFKSRSEKYKSTVFRTNIPPSFPFLGNVNPNIVAVLDVKSFSYLFDMDLVDKRDVLIGDFRPSLDFYGGIRVGVYLDTTEPKHAKAKSFAMEILKRSSEVWLRELLSNLDTFWETVESDISKNDDGASYLIGLQRCIFNFLSTALTGANPSVSPDIAENGWKMLDKWLAIQVIPTTKIGILQPLEEIFLHSWAYPFFLVAGDYQKLYNFISQNAGDVIRLGDEEYGLTRDETVHNLLFVLGFNAYGGFSVFLPSLIGRIAGDTSGLQERLRTEVRKVCGSGSGLNFRTVNEMELVKSVVYETLRLNPPVPLQYARARDDFKISSHDAVYEVKKGELLCGYQPLVMRDANIFEDPEEFKPDRFVGQTGAKLLNYLFWSNGPQTGTPSVSNKQCAAKDMVTITAALIIANLFLRYDKITGDAGSIKTVVKAT >A08p008060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5292901:5294374:1 gene:A08p008060.1_BraROA transcript:A08p008060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIILVVAQLCIIVSPTTTMGGWPKPSEVSNEEKLVNTGQAQPHLYAGKFNFGDSKVWKCTYNNGSGVAISISYPSPPQPPSQKPPTPSSPPTPKMAPPLPKPSPPRPSPKKSPPPPKPSSPPPKPSSPPPTPKKSPPPPKPSPPPPTPKKSPPPPKPSPPPTPKMSQPSPTPSPPRPTPKKSPPNPSSLTPNESPPPAKTSILIIHSPPPPHEPIPAQSPPKEPTTPSTQWPPYRNWNPLGHFINCITEFGPSAVCKQQIEVSYYTGRFRVSDYCCNLFVNMRNECSDVILGFYDDRYFLPLLRCTCHVKIY >A10p015520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3584172:3585108:1 gene:A10p015520.1_BraROA transcript:A10p015520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPERFDQTNQGSSSSIPSKRKRGRPRSDEVQQLNPPVTENLIGRMVSGVVEGSFEAGYFLNAKVADTDKQFKGIVFLPGKVTPVTPTTDLFPQAKMYAREVPSLNQQTPTTQSTKDAGNQTDILPMDTQMKDVGVSSAEDKLTEPEGQTLSLMPQFASDDAPKDDHTVLRSEACGATLETNPTQATGCSSTLSLDLFQNETKRSELTEDEESPKDAETRGMEGKTVSPVDDVPEELQLELGNKKMSATATAAETNPDQATSSKSELLPNLFDSREVDDNDS >A02p028340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14337670:14339789:1 gene:A02p028340.1_BraROA transcript:A02p028340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLTFLPENAVSPKHTPPPNKRKNREINHTNQTQKPHKPQKQKAVAPQKQPSSWDQIKNLLTCKQIEGSRIHDPSKNSQPGPSTTTHLLSPSKLGSSCSSICRFRDVAHGNTRVVDRADHSPDVANSATPDSETRLLTRKPGQHGSSSSSRSLRYGSTTSSATTSFRAMQFRKLSGCYECHMIVDPSKYPISPRVRACSQCGEVFPKLESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDSPICKIERILKVQNTQSTIQRFEDCRDAVKARALQTTRKDARCAADGNELLRFHCTTLTCSLGSRGSSSLCSNLPNCGVCNVIRHGFQGKSGTGGANAGVRTTASSGRADDLLRCSDDARRVMLVCRVIAGSVKRVDSTAAEKKSPVEDNSTVGVSSTGGVFDSVAVNAGAYSNLEELVVYNPRAILPCFVVIYKVVES >A01g502330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8099706:8100652:-1 gene:A01g502330.1_BraROA transcript:A01g502330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRRHLRRRLPLPRQLVIVFHRLHPLLSSPCLSSLLGMSGSDGVSSAGNNWNQRVFVSSGPPARRDRSVIALGALDLFLVADCAWIWVKSKIRDPPEASTSRAVSLLLRRLAPAGAPPRSSVSLARMTTPMVYLSEKVLRTTLRVDVSCGKSEALFCHQVTYFKEYFSPSVLQFPFQSSRQIADMLTFWLSSVLTWAFLCRRPPPAELRGVSQALLSMPARSHFGEARSNSPSSLDRCFKPVD >A04g505270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11357404:11358284:-1 gene:A04g505270.1_BraROA transcript:A04g505270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNSTICNDRYFYSETCFYFYRFGATTGWVFSADEKGARLLLLESSSTLEVFKRMVLEDFDMEEDSLPDLELSYLPNELINTSTCPPVIIANDRQLQNFVGFVQKCISTRLCVTSKAKVENLNEPDFDLNKSPADSSSAQEEGNSVDRGNEPAPVFVERQCEKKKEKIRRVE >A06p052770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27875510:27876475:1 gene:A06p052770.1_BraROA transcript:A06p052770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKMVCLVSRTGRQFQRYNKGRRQVVGCIPYRFKLSSDGKISDEVEVLVISSQKGHAMMFPKGGWELDESVEEAASRESLEEAGVLGNVEHQLGKWDFLSKSRGTYYEGLMFPMLVTEQLELWPEQHARQRIWMNVVEAREACRDWWMKEALDVLVARLSSPMIQPKEEKTLSISIETMC >A02p005790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2491484:2492680:-1 gene:A02p005790.1_BraROA transcript:A02p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELC-like [Source:Projected from Arabidopsis thaliana (AT5G13860) UniProtKB/Swiss-Prot;Acc:Q9FFY6] MAPPPPSDPAKMQQIQQFLTSVLSQRGPSALPYAETTKWLIRQHLLTLISSHSSLEPKTASFTHNDGRSAILLQADGTIPMPFQGVSYNIPVVIWLLESYPRDPPRVYVNPTRDMIIKRPHSNVSPSGLVSLPYLHAWVYPSSNLLDLASQLSAAFSRDPPLYSQRRPQSSGSGYARPSPSPSIGSGYSRPSPSPPIGSGYARPPPPSYQQQQRTDDAAEVYKRNAINKLVEMIHVDLVMMRSAREAEAEGLLSLQANLKRREEDLNNGLQEMAREKETLEQELQVISMNTDVLDSWVRDNQGKSNSLLADLDVDSAFVCKETMSKQMLECTASDLAIEDVVYSMDKSFRDGLLPFDQYLRSVRLLSREQFFHRATAEKVKGMQMEAQVASIAARLQL >A04p024270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14705165:14707954:1 gene:A04p024270.1_BraROA transcript:A04p024270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLVALLLLFLFVFTTGEERTSAGSVDSASEIQLDQLNAKIRALESQIDEKSREVKGREELVADKDKLLHERQDKLSSLETQLSSLMKKGSSDSVQVLEKAKAKATELEKQVEVLRNFLEQKNKEKESTEARRSEADKKLNKLNSRLEKIYVHICVLFYANINKKVLQLHKTKEEQKGKIRKLERALKISEEEMLRMRHEAATKARELQEVHGSWLPHWLAVHWVHFQTVAGTHWDAHGKPVMEKVTQKVTQAKTQAEKWAEPHMVNVKTKYIPAIKETVKTHVEPHVQTLSTKAKEAYHASKSAVTPHIVKFQEHVDPYYQGAKKFSKPYVDQVATATKPHVDKVRATMKPYTKKAVHYYKEFLKTATTYHHQVQANIERKLKSHELAAPFATKEFIWFTASALLALPIFVVYKFLSQRQRSLLDTLIAMLVVGPKGIILTSE >A09g510850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33267948:33269430:-1 gene:A09g510850.1_BraROA transcript:A09g510850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGHQLLLSVIESVQSLLVAAQSLGLNSDIKESGRISQIIVIILNLKCIGSGYKSCEVLLYTHSPESSRITVNCSCDTEQDHEDTMMGSHTGGRVTACSIRCSILEYLMEMMVIFISPLGSVSLGGFPGLVYIYIYYKQPVDERPYSRMGRNTISQRFVDQKPRGDRKYSENLGSTIEEHRPCHFWLSTIGGVTRVVSEHGYTMLEWDLFQIFFESKCVARITKICWLVPSF >A03g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19371045:19372898:1 gene:A03g505450.1_BraROA transcript:A03g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQLMNLNATELCLGLPGGTKAVESPAKSSVRNKRGFSETMDLMLNLQAQVVGWPPVRNYRKNMMTQQKTSGEEEASSEKAGNGGGAALVKVSMDGAPYLRKVDLTMYKSYQDLSDALAKMFSSFTMGNYGAQGMIDFMNESKLMNLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVQSCKRLRIMKGSEAIGLAPRAMEKYCKNRS >A01p021830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10640304:10641681:1 gene:A01p021830.1_BraROA transcript:A01p021830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPLKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVTTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGSTSQGGGCCG >A09p008620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4429757:4431295:-1 gene:A09p008620.1_BraROA transcript:A09p008620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET5 [Source:Projected from Arabidopsis thaliana (AT5G62850) UniProtKB/Swiss-Prot;Acc:Q9FM10] MTDAHTARTIVGIIGNVISFGLFCSPIPTMIKIWKMKSVSEFKPDPYLATVLNCMMWSFYGLPFVHPDSLLVVTINGTGLFMELVYVTIFFIFATSAIRRKITIAMVVEMIFMAVVIFCTLYFLHTTKQRSMLIGIMCIVFNVIMYASPLTVMRLVIKTKSVKYMPFFLSLASFMNGVVWVIYACLKFDPYILIPNGLGSLSGLVQLILYATYYKTTNWNDEDGDKEKRFTNAEIQLDRA >A09g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15524705:15528247:1 gene:A09g505140.1_BraROA transcript:A09g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSKPRVSASESTSIRLAGLGLLFDGLCGWVKSIPNSKSLPSSLMVEWLIFDEFACARLTCPCRSLFFFVVGHPNLATYPEDWKESARIVALQKQDHWEDFTRERIQRSVDRIASQNWISDSLPHINRSTLKRLSLFTRAKQKEINRARTMKQLPDLSLIVAGKIGAKKGTSGSKVAPSEPGVSATTPVASEQALAGVSSQQKNSKRKKRDGDARRESNEEKNTEHAGAEGSSKKGGKKRKAGDLSSGDIPKKKKLKKKDSALLRPSSVCEEELQALVPEVTPEVGTSDDEDETIALRQRRREKRSVDEGSRRVSVGDQGVSGNPREPSDSEGQRGHLLAESPALIAEGSETRVIGRPKETPEDGFKFEFKRDLPLAFHPEDCGRLLQLIKGGPDQLPPVKDLVFKDEYEHAASSSIKNQGDWNVLVEKYDTALKRAKEQIRAGEEAKRKPEEALRIALRDKSDAIAREKTLWKAFDETRTSDAAELHLCKQMMTDLENAVDKLQKDKALLEKTRATESIKYAEEMNRLRKSRKYEVTHERIRVMIAMIAKAEKRFHRIFLREDQKDKYDDARCLHSQAFGTRRCLEQIKESGVVITQETIDFFAGQEKYYEGEAARLEVKEIPREDLRLSPLVLESRFLIDEIWRQIDPFGSNVDLIDSEAAAALLTPFVDRAPRSEDPMREPAMTAVSATRNADENVDLAEQKSADAADHCPDRFPSKGV >A04p022610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13634463:13635581:1 gene:A04p022610.1_BraROA transcript:A04p022610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT2G22670) UniProtKB/TrEMBL;Acc:F4IKE6] MSYQLLSVDKEGLATSPGLKERNYMGLSDCSSVDSSTIPNVDKKSSLNFKATELRLGLPESSQSPQRETDFGLLSPRTPDEKLLFPLLPCKDHASGNKRGYLAKSGSNNAPASKAQVVGWPPIRSYRKNTMASSTSKNTNEVGLGPLFVKVSMDGAPYLRKVDLRTYTCYQHLSSALEKMFSCFTLGQCGLHGAHGRERMSEVKLKDLLHGSEFVLTYEDKDGDWMLVGDVPWEIFTESCRKLKIMKGSDSIGLAPSAVEKSKNKD >A10p018950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13092710:13093945:1 gene:A10p018950.1_BraROA transcript:A10p018950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPGSPGKSTEGHAKSGGGGGDPSKPQPKKWLCCMQSPAVDS >A01g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21078268:21078812:-1 gene:A01g507240.1_BraROA transcript:A01g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVSGVLLKHTNTDVKIAGEHMSSLLKVTKSFPNSPTSCYSLPTSFTKFADGVKKQHHREGGLLWEWRVQPLEKKLPMKKSFVHGIEFGAKALRKLGREHSKV >A02p019700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9166026:9167585:1 gene:A02p019700.1_BraROA transcript:A02p019700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AS2 [Source:Projected from Arabidopsis thaliana (AT1G65620) UniProtKB/TrEMBL;Acc:A0A178WIA0] MSFAHREPQNPKRRKMASSSTNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQIDLSCAKSELSKYQSLGILAATHQSLGINLLAGANADGSTTATVRDHHYHHHQFFPREQMFGGLDVPTGNNYDGGILAIGQISQFQQPRAAAGDDGRRTVDPS >A07p023090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13424818:13425852:-1 gene:A07p023090.1_BraROA transcript:A07p023090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLVTFHKLTCSFCFGPSSAPAYLTSGRRRRFWSVSAPQTMADLVKTHARRDGEEERFQALEQDAFINNPTNDLVSDGINAVANRLSKWVVAGLFGSVLLLRHDGAALWAVIGSISNSALSAALKRILNQERPVATLRSDPGMPSSHAQSISFISVFTIFSVVEWLGTNELSLFLSGLILALASYFTWLRVSQKLHTTSQVVVGAIFGSVYSTLWYITWNSLVLEAFASSFSVQVAVFAISAASALGFAIYVLLNWFKDDR >A07p012220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8267839:8271291:1 gene:A07p012220.1_BraROA transcript:A07p012220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLSDGELNKAAAAGEEATTETHYDFDLFVIGAGSGGVRAARFSANNGAKVGICELPFHPISSEEIGGVGGTCVIRGCVPKKILVYGATYGGELEDARNYGWEINGNVDFNWKKLLQKKTDEILRLNNIYKRLLANAAVKLYEGEGRIVGPNEVEVRQIDGTKISYTAKHILIATGSRAQKPNIPGHELAITSDEALSLEEFPKRAIVLGGGYIAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRALVARNLEGRGINLHPQTSLAELIKTDDGIKVISSHGEEFVADVVLFATGRIPNTKRLNLEAVGVELDQAGAVKVVDEYSRTNIPSIWAVGDATNRINLTPVALMEATCFANTVFGGKPTKADYTNVACAVFCIPPLAVVGLSEEEAVEKATGVILVFTSGFNPMKNTISGRQEKTLMKLIVDEKTDKGIAIALKCGATKAQFDSTVGIHPSSAEEFVTMRTVTRRIAYKAKPQTSL >A06p035610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19266923:19268962:-1 gene:A06p035610.1_BraROA transcript:A06p035610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNLRRVLLIVAVVSWCLVSTLEASEGDADPLYKSCVDQCQKTGCVGDNCFQHCKFSADGKAIDGPWYMQEPLYLRWKQWDCQSDCQYECMMTREEERKRNGEKPTKYFGKWPLKHVYGIQEPLSVAFSALDLAIQFHGWVSYFILVYYNLPLQPNRKTYYEYNGLLHIYAIIVMNSLFWSGVCHSRDVALTERLDYSSATVLAGFSLILAIIRSFSIHDKSAKVMVTVPILAVVATHILYLNFYNLDEGLHRKVIFGIGAVELVVWGVWAALTSHPSKWKLRAFFVSSILTMCLRMLDFPPYKGYVDAHALWRAAGIPLSYLWWSFACDDAVFRTTVLLKKSK >A01p016840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8329532:8332886:-1 gene:A01p016840.1_BraROA transcript:A01p016840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKAYKPEEEAASAAKAAKLRALQSQFMANHHNKIYTKEAVDLSTKLLEINPEAYTAWNYRKLAVEDKLSRIESDPDLVKTILDEELRVVESALKQNFKSYGAWHHRKWVLSKGHSSVGNELRLLDQFQKLDTRNFHAWNYRRFVVELTKRSEQDELEYTDDMIGSDFSNYSAWHNRSVLLSSLLTRKADGFLPNKKIPDEYKLVQNAIFTDEDDQSGWFYHLWLLDQTVNVETPLLTSSWPLHGSRINLSAGCSNGSFSKLTTFGSESGSFPLVLYFDQAVCGVSSSTVTIDSELKGNEDLVWEPVSKKNSQVSCVWVARLKYISSEPCFGKEYKVKVRVGNSPGIVSSRGCNFSASYEFVFTAHVHDTVEESSQDGIVSWKDGFDIWDAESKDLNALATLDRSNAQMDSKWRQEAIAEEIDLFRELPDSKIGKLTLARLLMAKAMISDDAVKGVHYNEILELCKDLMALDSSHYQYYKDEHSVALLRKVTSSTEDLSGHLFRCRNMNNSVCLRLNNLSLSRIASVEKLLFVQMLDLSHNELHSTEGLEAMQLLSCLNLSHNRIRSFSALDSLRHLKQLRVLDVSHNHIGEHSVDTTRYLCSSPLSNSEWPQDEVGSQMPSLVTKYWDAYFVLRDLNLKQLDITGNVIAGDEFSSFVLQVVPKLVWLDGKKLEI >A01p054980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30806870:30808600:1 gene:A01p054980.1_BraROA transcript:A01p054980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APX2 [Source:Projected from Arabidopsis thaliana (AT3G09640) UniProtKB/TrEMBL;Acc:A0A178VH43] MVKKSYPEVKEEYKKAVQRCKRKLRGLIAEKHCAPIVLRLAWHSAGTFDKKTKTGGPFGTIRLPQELADDANKGLDIAVRLLEPIKDLFPILSYADFYQLAGVVAVEITGGPEIPFHPGRLDKVEPPPEGRLPQATKGVDHLREVFGRMGLNDKDIVALSGGHTLGRCHKERSGFEGPWTQDPLIFDNSYFKELLSGEKQGLLQLPTDKALLEDPIFRPLVERYAADEDAFFEEYTKAHLKLSELGFADKE >A10g503970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9844705:9847372:-1 gene:A10g503970.1_BraROA transcript:A10g503970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLCICGQWISKESLQWEFLVDLKRNASIISIEEDLLYEDLMKIVSEDFSVKEEEISLSYGFSLDMKCIIESFPPLSIGNTRQLRTFISKTRAFDGTCRLCVKVSTDPVSCNTQASDTFASTVPLNANPAILSTVQSEKQSLLYEGVSTVPLNALPDFSTDSASCNIQASDTFASTVPLNANPVILPTVQSEKQSFLYEGVSTVPLNALPDFSPVHIGLSPNTRVAGDIKNIDELSCPPPATKKKSGRPPTKRKRSVGEFGVPGSKSQSHKCSRCGTGGHNKITCQRPIG >A08p024340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15861725:15862521:1 gene:A08p024340.1_BraROA transcript:A08p024340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSEWSSGCESGWTLYLDHSTSVGLKTEEEAKFHGTKTMCTKKKMKIMEKNIYEEDSVKTVNSLVPKKQNKRENKGRDYEKITRFLMILLMLHKSVGGNIIDQTFTRKFIGLFARRLGNSLSVSGLCLSEIMLSTHTCLKRLTICVCVLKQVGQSSNPRTLCLFAYENKDSK >A07g507430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20091382:20091729:1 gene:A07g507430.1_BraROA transcript:A07g507430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQTAIAAFIPPTWVKLINHKLIPEFQKSIPLVVLAKIERSRGKSEEGEKLPYWGCDLARLASTHRFW >A02g510100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27036208:27036623:1 gene:A02g510100.1_BraROA transcript:A02g510100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRSRIRVSCFALSSSQRPSLDIKGLEKLQMEGGGGGGCRCGGCGYRGGTREDEELVVIMDVVMVDAMVVDVDTEVEDVRRRMWWWMPWMWMLLWWMWIRKWKM >A01p051810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29036626:29038737:1 gene:A01p051810.1_BraROA transcript:A01p051810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKHQNDYMDEGDDFKTPQPLEKKKKKKKKKTTPIETESPRSIDITFKQISEKSDRIPPIVAYFSSGYDPSAQSRESPKVAVYQTASRKRTRVVVSPRGSNVEFVGSSHNGEQIARQTCVSVLGVLDKETQTLRILPIAHQKVLRLDTRVKGNEAGDSEAAEEDGEEEKADPSLHTFWTKRAILDDKKRKARILRDDPEAQKALEGKLDKVEVNTSALENTSAVVARNIPPHNASATNPSEAYPIEQIIENGEWSSLQDVYWLLQEEAGAATDGYPAFVRNRLYRLRDNKDETEKETAAGILTFITHLIKFKDVNSMVGLESARSHRFPPTIREKCNRLFKDSETSRLPDDKINLLISYVLVLTLHVDKFKTDFEDIAKDLRMSSVDLRKHFENLGCKFAVENSIRVATLPVPLKFPQIMRRRTKRR >A04p022230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13389846:13391146:1 gene:A04p022230.1_BraROA transcript:A04p022230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSDPHHSTADLLSWPQIHHSSAANPSNQPSDDIGDVLGGGGRTTNKEAESLNKNVSYRKNCCGHKLKEMTGSDIFSDNGNVTNGDPTHTSRIHYHHDQDSQISFSGEENNNAAATPKKPTTTPSPEAAKLKELGRSVQTQADSKSKKKQLSSSKTKAITGRDIFASPPPPETQVAATKQQVKGNKNLEESAKASNSSRKIHHNNPKFQQLTSNGIFKSDKIPPGYSEKMHSSAKSREMRGNNIFADGKSEYRDYYGGARRPPGGESSLSLV >A04p011860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6405390:6405848:1 gene:A04p011860.1_BraROA transcript:A04p011860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPSSHTPRSDISPPLTAKGHHCAPDVETNPVVETQPRRSPDAPSRATRRSAGESHAPPPPSDVRRSHRSWPPLVRRREAAAASPPPVIFR >A02p000840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:423070:423801:-1 gene:A02p000840.1_BraROA transcript:A02p000840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRTFMSSNLFIFFLVMATTNGQAPAPTPSGPTNITAVLEKAGQYTMFIRLLKSTQAADQINTQLNSSSSQGLTVFAPTDNAFSGLKSGTLNSLSDQQKVQLVQFHVLPTLLTMPQFQTVSNPLRTQAGDGQNGKFPLNITSSGNQVNITTGVVSATVANSVYSDKQLAVYQVDQVLLPLAMFGSSSAPAPAPEKGGLVSKGSAPSGDDGGDSTYSSDTERIRYGLISTTMAAIAAYFLWI >A10g504010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9926410:9926901:1 gene:A10g504010.1_BraROA transcript:A10g504010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSEDETLFGNNDDSDYSETEDLIRHDQAELSLERCSPVHYPPQPEVEFGFPQVCYCGAQPVLATSNTRNDQGRRYYTCANKDDGDCHIFKWWDDAVMDEMRARDVHVFQLAVSPNLDECVRSL >A02p059400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35448873:35450588:1 gene:A02p059400.1_BraROA transcript:A02p059400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEDVPLHCIHYNYRQRKERERERSSLNPTMASLKVPTNVPLPEEDAEQLHKAFAGWGTNEKLIISILAHRTSAQRSLIRSAYAAAYNEDLLKALDKELSSDFERVVMLWTLDPAERDAFLAKESTKMFTKNNWVLVEIACTRCPLDLFKVKQAYQARYKKSLEEDVAQHTSGDLRKLLLPLVSTFRYEGDEVNMRLARSEAKLLHEKVSEKAFSDDDFIRILTTRSKAQLGATLNHYNNEYGNAINKHLKEDSDDEYLKLLRAAITCLTYPEKHFEKVLRLAINKMGTDEWALTRVVTTRTEVDMERIKEEYQRRNSIPLHHAVAKDTSGDYEDMLVSLLGHGDV >A10p034610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20089589:20092315:-1 gene:A10p034610.1_BraROA transcript:A10p034610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSLPSKSLLFRLSLLPPRRSITNSFSTTTVTSPSGSTLSSSSSRRHHHDEDSRSVRVAVWWDFDKCTLPATANAFKLSQSITSAIRSSGIKGPVTITAFGDVLNLPRSNQEALSSTGITLTHVPQGGKNSADRSLITDLMCWISQNPPPAHVFLISNDEGFASVLHRLRMSNYNVLLASKATSAPGVLCSAASIMWDWEGLIKGDNVNVNVKYFNQPPDGPYNSWYGHYRVPLLDPFATTTDEEARIVPKEVVEKIRVVLRLFPKGVSVSELRGELVKSNVVLDKEFYGYKSFVRFLQSMPDVFQVSRGSDGLFVVRCVNEKAIPKRVDSVDEKIKEKVSANASTPKLMTDGEVGGERRGRDVSLGKKQENDKNVKEKATESSQESVLVGQKDGKADDKAMETNQVSVIAGSEEDSVEDGFFQKLKRVWSGSPEMESERMTENKSGDKDERVVNDVKAEDKDLKSSSQGTDSSSQISPSFVGESVEDVKSRTDEVGNSVKNASPGFFSRLLKSFKFWGRDTDSSGNQKLVQVHDIFANESSWDDVESFIHSPRGFIIVSHSRTREMIAKNLQREGPSCLKQLDESTMLHFVTLLISHKKWIEENPSSSPPFSVIKDSSSSHHGHASNGLISILSDCAKSQDGEKKFKNVAHAGVSVGCKSNALADCEKLIKKMTEKSPEGYNLIRFRKDFLEEYGYHLAVEKLGYKNLQSLIQVVPGVRISSGYVLPSASSGKSTKEDESDSSFEELGPVSDATSNDKTTRKLSVYEPSLSDDEDSGSERDIPRKKKHVMSENDKDSSLLQILDSYYKSNGEVKMDKPEERKIVNNVRKPKPSKTYSFVKDSEVQ >A07p036190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19482375:19490307:-1 gene:A07p036190.1_BraROA transcript:A07p036190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTRCLPLIWLFLLTACAGRTLYPLPGKGGKSAKLPIQTLRPYNIAHRGSNGEIPEETAAAYLRAIEEGADFIETDVLSSKDGVLICFHDYTLDETTNVASHKEFADRNRTYEVQGSNKTGFFTFDFTLKELKKLRTKQRFSFRDQQYNGKYPIITFEEFITIAQDAPRVVGIYPEIKNPVLVNQHVKWPGGKRFEDKVVETLKKYGYGGSYLSKKWLKRPLFIQSFAPSSLVYISNLIDSPKVLLIDDVTILTEDTNQTYAEITSDVYFNYIKQYVVGIGPWKDTVVQVSNNYTQAPTDLVKRAHVHNLQVHPYTYRNENEFLHLNFSQDPYKEYEYWINEIGVDGLFTDFTGSLHNYQEWTSPLSETSKSPRQLLGQIASLTQKVVRQGKQDNHLGVRDVDIQSAPRNIVETRSAASSGPERTAPQDSKTITDIPSILLNKALKANLDSPDFEPLQRDATKKDKETLRTPYPLPGVGAKGGKLPIQTSRPYNIAHRGSNGEIPEETAAAYLRAIEEGTDFIETDVLSTKDGALICFHDVILDETTNVASHKEFFDRKRTYEVQGFNITGFFTFDFTLKELKKLRTKQRYSFRDQQYNGKYPIITFEEFITIARDAPRVVGIYPEIKNPVLMNQHVKWPGGKRFEDKVVETLKKYGYGGSYLSKKWLKRPLFIQSFAPSSLVYITKLTDSPKVLLIDDVTVLTEDTNQTYAEITSDVYFNYIKQYVVGIGPWKDTVVPVNNNYIQAPTDLVKRAHAHNLQVHPYTYRNENEFLHLNFSQDPYKEYEYWINEIGVDGLFTDFTGSLHNYQEWTSPLSETSKSPRQLLSQIASLTQKVVQQGKQDNHLGLRLHARSASALGVRASDELFGDSGCDVLLFCGVDPCNPPLSAFPNLSK >A01p041760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22482647:22483687:1 gene:A01p041760.1_BraROA transcript:A01p041760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNQRRAPRHLALGLADPQIIVPETAYAEAQQNNRRSLIGRVLSPRRVDLHGLLDRLPLDWHADRSRLRGRIIGGGKFQFLLCYKKVVRFESGEVKVVSLSYEDIACNTARFRFCRNCGGLKHLKKSCTLVWVDVPDPNERALSPPPPDASFDGSAEDNGERGTSSGTLEGELEPAGEDVEHQQTQGLDGVDGGEGKQVQSEMVGTSAEGSKRKFEAVEEDDGVLEKRIRGSSNETEGLGVNPKPLGEE >A09p050610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44441022:44441489:1 gene:A09p050610.1_BraROA transcript:A09p050610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVMIVVALLILVALSSNSDMVVEAQLGPGDCYDCCATGCVQRDPKKTSKCEYQCAKRCGRGGKRASG >A01p043890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24637184:24638071:-1 gene:A01p043890.1_BraROA transcript:A01p043890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDDFVEDDEMNMIDEDQASDSEAESLSDSDDNDITEKLSEPTKTAVYNRDGLLDKLQDISWPEDVDWTHKLTVEIEQGQAVDVNDDLAREMAFYTQALEGTRQAFEKLQEMGLPFLRPADYYAEMVKSDTHMEKVKSKLLYEKKQMEEAEERRKARDNKKMAKEVQSQKMKERAKQKKDEIESVKKWRKQRQQSGFSEKGGAGELDLEFGNGKSFQRGGGGKKRPGVSPGDRSGGKGKAASRMNNKKREFRDSKFGHGGRKGLSKQNTAETTNDFKGGFRGGKAGGNKRQKR >A07p047710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25566895:25568087:-1 gene:A07p047710.1_BraROA transcript:A07p047710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAECWEFSGERKRLLEKKPSFSQTCSRLSRYLKEKGSFGDLSLGMTCNGGGNVAVTRQQPTMMNLFPVEDSSDVKQKNDVIPRQSSFSSSSSSGAKKEVEKITETKSVKVESQSSAPLTIFYGGQVMVFDDFPAEKAKQVIDLAHKGSAKSFTAELNRNQSAYTQKEIASTTPVPVSSPVKTAAPEPIQTNKSSLACELPIARRASLHRFLEKRKDRISSKAPYQIDGSTEASSKHSTALLGSQ >A02p015140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6760828:6762002:-1 gene:A02p015140.1_BraROA transcript:A02p015140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LLG1 [Source:Projected from Arabidopsis thaliana (AT5G56170) UniProtKB/TrEMBL;Acc:A0A178USL6] MKRHYGVLESQDLVIGRNLLQAKKKCSLNLEFMNYTIITSQCKGPKFPAKECCSSFLDFACPYADQLNDLSTDCASTMFSYINIYGKYPAGLFANQCHGNKIGLECPDMPPSSAADVNTATATTASSRIWMTAALFVTVLLFRGRESHM >A03p031060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13069918:13074331:-1 gene:A03p031060.1_BraROA transcript:A03p031060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGNPAGGKSAADQIKKDLTVQNTRLSSSSLSDTPELKKPRTLTESPSWEDFDNRLLQETQRLEFKLRFINSPRPVVFTYSNIKAKDGSSLAVELVKVATDRRVTSGPLSSSQIEIVALDAGFTAGSWTLEEFNRKIVMPREDKRLLLTGDLILTLKDGVGVIEDVSFTDASSGLSEVWRLEGIPKNRIEASLLAGNDIHTVKDIRRRIAIDPDALHQILEGFLSKETLDTIVSHAMHCVLDGDKFYAYEVKDQGVFLLFNSFYELVQVSFDGVTSLNCHQLTSNQKELINHMKPEAYKNVELFVPVYRSDSLDHNTLWQSNCLAPEFSAFGSDDSDIYQIRPTDPPCVSLDKYDVVIRYDESEMSNGFISHLHAALCQKEISVARASLSKPVDVVPKCRVMITFLNYKCDSYGLLEFSERLLKKEVQASQIFYRLTLRHSIDERKKLERFSFQYQKRMWWNVLQKVAQEPDEIVIAMYVISGFLTLSESELMRKIVRDVSKLLCDNDKEKMIGMDTQVDEVLSLLRIESLDVRGIGIWGTAGIGKTAITEKIFRRISVQYKTCVFLKNLHEQVEEKGQVTMREEFLSKILEVEASLLRIFDINKSFLRSKLRCKKVLVVLDDVNDCKDIETFLGDLKYLGGGSRIIITSRNRRVFVQTEMDHIYEVKPLDISSSLRFLDDGTSMTSANYRKQSLELVIYANGNPEVLHYMKSRFQKEFDQLSQEVLQTSPICIPRILRSCYGLDENEMNILLDIACFFRKMDRDGVAMLLDGCGFFAHVGFRNLFDKSLLTISHNLLNMHRFIQATGREIVRQESGNEPGKRSRLWNAEEIMDVFLNDTLMNFPEISPNVKQLYMGGTIIQEIPPSIKNLVLLEILDLENSKHLVNLPTSICKLKHLETLNLSGCSSLERFPGLSRKMKCLKSLDLSRTAIKELHSSVSYLTALEELRLTECRNLASLPDDVWSLRFKVEFRQIDTEKFSRLWNRLGWLKKVQIS >A03p033170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14031890:14037211:1 gene:A03p033170.1_BraROA transcript:A03p033170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) UniProtKB/TrEMBL;Acc:F4JG57] MVSPLCDSQLLNHRPSISPTSSHSVIAATKFLRHNHLLSSSPFLGAKIKNGFKLGLSGCSSSCSLRIRRRSTSVNASLGGLLSGIFKTSDNGESTRQQYASVVASVNRLETEISSLSDSDLRGRTDALKQRAQQGESMDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTPEQRKENYLCDITYVTNSELGFDYLRDNLATESNSCFSVEELVLRDFNYCVIDEVDSILIDEARTPLIISGPAEKPSEQYYKAAKIASAFERDIHYTVDEKQKTVLLTEQGYEDAEEILDVKDLYDPREQWASYLLNAIKAKELFLRDVNYIIRTKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNESITLASISYQNFFLQFPKLCGMTGTASTESAEFESIYKLKTTIVPTNKPMIRKDESDVVFKAVNGKWRAVVVEISRMHKTGRAVLVGTTSVEQSDELSQLLQEAGITHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVKPTDGVFVSVKKAPPKRTWKVNEKLFPCKLSNEKVKLAEEAVQSAVEAWGQKSLTELEAEERLSYSCEKGPVQDEVIGKLRNAFLEIAKEYKGFTDEERKKVVEAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGMMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEFDEVLNSQRDRVYTERRRALVSDSLEPLIIEYAELTMDDILEANIGPDTPKESWDLEKLIAKVQQYCYLLNDLTPDLLKSQGSSYEGLQDYLRARGRDAYLQKREIVEKEAPGLMKDAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFQPVMVKKDQDKKSQNGKPSKQVDKPNQVGVADEPSSVASA >A09g513980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42237024:42237744:1 gene:A09g513980.1_BraROA transcript:A09g513980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPEHGEKRPCCSEPTLVGLSAGVGAVVLLVQETHKEGHHLSHEETGGPKTLELKANGDPVGLSADVGIVVLLEDGELVGLSADVGIVVLPVQNSLKLTFKLVTILMSHFLIEDQQEVGKLKEDLSDQSEEAVTPHCSYQPDARIIQSGTFLAKQSHDGGKLWSFKVPLHVETSREQCKGWLREGMAWRIQVLCTPHQHSRN >A02p040560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25636965:25638460:-1 gene:A02p040560.1_BraROA transcript:A02p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMFRLMGSEDFSDRQRCIWVNGPVIVGSGPSGLATAACLREEGVPFVVLERAECIASLWQKRTYDRLKLHLPKKVCQLPKMPFPENYPDYPTKRQFIDYLESYATRFDISPQFNECVQSARYEKTSGLWRIKTVKSSPSPSSAVSGSEMEYICRWLVVATGENAERVVPEIDGLTTEFDGEVIHSCEYKSGEKYRGKSVLVVGCGNSGMEVSLDLANHSANPSMVVRSSVHVLPREILGKSTFEISMILMKWLPLWLVDKILLILAWLVLGNLTKYGLKRPKMGPMELKVLTGKTPVLDIGAMEKIKSSQVDIVPGIKRFSRSHVELVDGQILDLDAVVLATGYRSNVPSWLQENDLFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAISIAQDIGNVWREETKRRKMRTRVGHRRCISVA >A08p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10494396:10495095:-1 gene:A08p016160.1_BraROA transcript:A08p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTNLVLNKKTLNQCLPKSTARFVCSTDDNKSMKTFSAVAALSSILLSSPMPAAADISGLTPCKESKQFAKREKQQIKKLQSSLKLYAPESAPALALNAQIEKTKRRFDNYGKYGLLCGADGLPHLIVNGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDEKKPAMKEIIIDVPLASRLIFRGFIWPVAAYRALLNGDLIAKDV >A06g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25062040:25063688:1 gene:A06g508840.1_BraROA transcript:A06g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDFTLPSGETIEVEFTYENLQKHCFRCYSLGHEKDACPLVEDSRERDRSPHRLSTSQKNTMASLDENRRKYEERRNRKSNQNRQMRESSSTFSKRNYYEDRRTDSRHNSRRNQSYEPFTSEYRRGREDYNLGRSFSRESGARAGINPRNSDFPSKTTEAHDRARANSNRRLEERRAPSHDSVLQAPTLPGPIPHSTDLRRALSRRDEGEVSAEQVSSGRRPIKERLMLADNPHSTDLRRSLTGRDNGGDSGGPSLADRPPVKQRLSLPSNGKALRTNQGTSTGSSHLQDIEIQYFEEIMEPPRLSNNRPSGSRPPGTLHSPMEQISPIRSLSEDRRHVTLRLGPQPVENQQNSPIQAGLSDGQGIVTRSVAKRKEGKAPPKKRYNPSPVNGISLKKRRAEVQVDILSSSSNFIDTSISMFQRSMLV >A09p079310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58420484:58422562:1 gene:A09p079310.1_BraROA transcript:A09p079310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTADLIWNSPHFFNAIKERELELRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPLLNRLGTLIINNNRITRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVIHKLKSLLVLDFIKVKAKERAEAAALFSTKEAEEEVRKVSQQEVQKVSDATEESEAPKVVAPTQEQILAIKAAIINSQTIEEIARLEQALKFGQVPAGLIVPDPASGVNDGSGPMEDYDLSRTLWSLVKFVVAGIENVAVALLQSVVAAAGMLTEIISYKLHGHARFLSLL >A04p023870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14513211:14514742:1 gene:A04p023870.1_BraROA transcript:A04p023870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF3-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G24060) UniProtKB/Swiss-Prot;Acc:O82234] MAGITSTVGFNAVFAGITKTLPFSVPSIDSKLYGLRLSTAELPIPNSPRRGLAVTCRYGGGGGGYRFSGDSRRGRPKEAEVDEALDIASIRSATVRLIDGQQNMLGLVSKDEAVRRAEDAELDLVILSPDADPPVVKMMDYSKYRYEQQKKKKDQQKKTTRMDLKELKMGYNIDQHDYSVRMKAAQKFLQDGDKVKVIVSMKGRENEFRNIAIELLRRFQTEVGELATEESKNFRDRNLFIILVPNKEMIKKPQEPSSRKKKKIAAENEALPAENEVSTAAENEISASEITTGGV >A03p047120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22568934:22571775:-1 gene:A03p047120.1_BraROA transcript:A03p047120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G25230) UniProtKB/TrEMBL;Acc:B3H746] MDEDFEMPPVGGINGDDEMDFGDDASFLKVGEEKEIQQGLKKKLLKEGEGFETPENGDEVEVHYTGTLLDGTKFDSSLDRGTPFKFTLGQGQVIKGWDIGIKTMKKGENAVFTIPSELAYGESGSPPTIPANATLQFDVELLSWSSVKDICKDGGVFKKIVAAGEKWEMPKDLDEVLVKYVAKLEDGTVVGKSDGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQCKTFFFFNCVFIDLGMVLHIISYSNLLINATDGFGEKGKPASGGEAAVPPNATLEIELELVSWKTVSEVTDDNKVIKKILKEGEGYERPNEGAVVKVKLIGKLGDGTVFLKKGHGEGEEPFEFKTDEEQVIDGLDKAVLKMKKGEVALVTIDPEYAFGSTESKQELAVVPPNSTLYYEVDLVSFDKERESWDMNTEEKIEAAGKKKEEGNAKFKAGKYALASKRYEKAVKYIEYDSSFSEEEKKQAKALKVACNLNDAACKLKLEEYRQAEKLCTKVLELESTNVKALYRRAQAYMELADLDLAEFDVKKALEVDPDNREVKVEQRRLKEKMKEFNKKEAKFYGNMFAKLSVKVKQQHRGECGSLALDVFLFSVPLPNFD >A04p039150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22153409:22156956:-1 gene:A04p039150.1_BraROA transcript:A04p039150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:Projected from Arabidopsis thaliana (AT2G44110) UniProtKB/TrEMBL;Acc:A0A178VQX0] MAGGGTSLEYTPTWVVALVCSVIVSISFAVERGLHRAGKHFDKNNQKQLSGALQKVKEELMLLGFISLLLSVSQSRIAKICISKELSEKLLPCKKPKEDKSLNDNSHFQLSFTGRHLLAGDSGAGDYCSQKGKAPLMSISALHELHIFIFVLAIAHIIFCLLTILFGTMKIMQWRKWEDKVLEKDYNADEVIQKKYTAVREHDFIRGRFLGVGKADACFGWVHSFMKQFLASVNESDYITMRLGFVTTHCKTNPKFNFHKYLIRALNSDFKKVVGISWYLWVFVVLFLLLNIAAWHVYFWLAFLPLILLLAVGMKLEHIITDLAHEVAEKHIAVEGDLVVRPSDDLFWFKSPRLVLFLIHFILFQNSFELAFIFFIFAQYGFDSCIMGQVKFIIPRLVIGVLVQLLCSYSTLPLYALVAQMGSSFKGAIFTDQAQQQIAGWAKSAKMAKKKGSTHAGSSHGGSPNPIATPSPKSIQLQSLLVKGSSQQSHLSEKKPEIIFFCQEDHTAAGERSGDFLEDPLATYSAADTIANSEDESVPLELKTFLQEHWSEAAFKQGVKESRDNFLNFMRVLKIGESGIRLKDLPAPLRVAVAYMPLYRECVKAGGRLLSQKLRGQLMEAVKQLQGVDVETEEVYKGHHHLMAVLEHHLPIFLVKGSSH >A10p001210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:639968:640174:-1 gene:A10p001210.1_BraROA transcript:A10p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLTQILHPTNLEFSSSSSPMLLTSSHSSSPHYKSPRPTTLDTIKMSPLHEDPQDSRVMIDFF >SC360g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000202.1:1409:2048:-1 gene:SC360g500010.1_BraROA transcript:SC360g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVRQHTQDICVCPAAHTGRLCEAVRECPCVSVSTHRTFVAVHQYTYQHIGLWTQHGCPSHGLLGTSVAVRVCLCMSVTIDKTSVGVRQHTQDDVRGCLWLSVSKHRMPVAVHVCPCVSVNTHWTSVAVHQYTYQHAGPWTQHGGPSRGLFG >A06p041870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22532536:22540135:-1 gene:A06p041870.1_BraROA transcript:A06p041870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSVSERTFLVPILAVVATQLLFIHSVASVNDTNAYLYHKCLEKDGKYKSKSLYEKNLNSLISNTSVKDYLYGVYGYRPDTVYMVIQCRGDSYGSKCDTCLSTAYSELRKRCPMNKGAIVWFDRCLLRISPTTFINEMDLKNKFYMYNMKKVRDPASFNAKTKALLTKLTKEATRKGSERSPAQEYYEPGDMKLDGKMKLYGMVQCTRLIWNTDCKKCLDTIIGELPNCCDGKEGGRVVSGSCNFRMENISQRVYTRKTSTVSNTSVKDYLDGVYGYRPDTVYMVIQCRGDAYGSRCDTCLSTAYSELRKRCPMNKGAIVWFDQCLLRISPTTFINEMDLKNKFYMYNMKKVRDPASFNAKTKALLTKLTKEATRKGSERSPAQEYYEPGDMKLDGKMKLYGMVQCTRLIWNTDCKKCLDTIIGELPNCCDGKEGGRVVSGSCNFRYEIYPFLDSTQR >A03p022190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9334074:9335939:-1 gene:A03p022190.1_BraROA transcript:A03p022190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASLISCCTRLHAPTSRLSLFRRSHHNKLTPSSTRCFSHNVIADFDGTLTRYRVNGVRGQSIIHGILQQGNADYDAKREALYEHYHPLEFSPLIPLEEKTKLMEEWWSKTHDLLIEGGLTFDAIKQSVSSSSIAFRDGVVELFEFLEEKEIPVLIFSAGLADVIEEVLRQKLHRTFKNVKIVSNRMVFDDDGRLVSFKGKLIHVLNKNEHALDMAAPLHDQLGVDNGEEGEENAYVKQRTNVLLLGDHMGDLRMSDGLNYESRISIGFLNDNVEKSLESYRKAFDIVYLNDAPMWGVLEIASQLFPTEAS >A06g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4328810:4329116:1 gene:A06g501210.1_BraROA transcript:A06g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLTFDTSRIGILHGCVDFYLFTSVQCLGTYRLKPCALFPIRIIRPLNRLSLKQEAIPKWRVRIPEIGGAGRR >A09g501140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3754123:3755319:1 gene:A09g501140.1_BraROA transcript:A09g501140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTERISTIEGLEKGNAPIKSSDGSGGAYFMQDQSGHKYVSVFKPIDEEPMAVNNPHGLPLSLDGEGLKKGTQVGEGAFREVAAYLLDYPITGPRSSPNDQPGFAGVPPTTMVKCLHKDFNHPNGYSFSQENAKIGSLQMFVSNVGTCEDMGYGAFPVDQVHKISVLDIRLANADRHGGNILVSRDGNDGQIVLTPIDHGYCFPNKFEDCTFEWLYWPQAKEPYFLETLEYIKALDAEQDIELLKFHGWEIPPSCARVFRISTMLLKKGAAKGLTPFAIGSIMCRETLEKESVIEQIICDAEAIASEETTEEEFISTVSAVMDRCLDQYSLN >A04p027590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16609968:16611952:-1 gene:A04p027590.1_BraROA transcript:A04p027590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSLVLCVLTILADFTTISEARIPGVYSGGGWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFELKCASDPKWCHSGSPSIFVTATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKRGGMRFTINGFRYFNLVLVTNVAGAGNIVRLSVKGTRTSWMSMSRNWGQNWQSNSVLVGQALSFRVTASDRRSSTSWNIAPAHWQFGQTFTGKNFRV >A08g501020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2583638:2583931:-1 gene:A08g501020.1_BraROA transcript:A08g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKGKTRLLCSTRICKITFGQTIPFPNLHFHGPSPSHALSPNLSLNSAAAADPTQPPDPSQLPSSAISIGDKVPDSTLSYLDLTTVLSKESPSPP >A08p000920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:539610:545212:-1 gene:A08p000920.1_BraROA transcript:A08p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSQLANWLSLGPNGQTTLFLTHYPFFFPTSQLFLKYCAMEEVKGQRGNGTTDADFVLQWGERKRVRCMKVKKDQSRKSSDCLSNKRKLISRAVSSDRGSPSRHLNRPNKVVDSPGNVRRSFVASPEKEDRYYTTRGSMGVDESGKIIKEPVKETKKHVWPKLFITLSNKEKEEDFLAMKGCKLPQRPKKRAKLVQKTLLLVSPGTWLSDLCKERYEVREKKTSKKRPRGLKAMGSMESDSE >A04p027770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16750105:16752762:1 gene:A04p027770.1_BraROA transcript:A04p027770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEPHSQVLVEETSSVRILTLNRPKHLNALSLNMITRLLQLFLAYEEDPSVKLVILKGQGRAFCAGGDVPAIVRDIRLGKWRRSADFMSLGYNLHYVMATYCKAQVSILNGIVMGGGVGVCVHGRFRIATENTVFAMPETSLGIFPDVGASYFLSRLPGFFWLDGAEMLACGLATHFVPSTRLTALEADLCRVGSSDPVTYASTILDAYTQHPHPKQKSACHRQIFNGNLCHQFLISINFSLCRLDVIDKCFSRRTVEEIISALEREATHKPDDWISATIGALKKASPASVKISLRSVRFEDASQACNDGKDKLTVIVLFKFQIREGRLQGLGQCLIRENRMVSHVMKGDIRKDFVEGCRAILIDKDRNPKWDPRRLEDMKDNMVDKYFKRVEEEDGWEDLKLPPRKHLPASAIAKL >A05g501230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4731613:4737200:1 gene:A05g501230.1_BraROA transcript:A05g501230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPERPLRATYQGRSRSRATRWSDCTKSLAFSRPETHNSLSERPLAATQRGRSRILERPVQSDREKSLAILVPGDKKSLSERPLAATQRGRSRSLERRAEVAARLNFTRTYDFSRAFWSFHYAQIHKNSLEKFISWEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIGGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A07p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2751021:2752881:1 gene:A07p004630.1_BraROA transcript:A07p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G17650) UniProtKB/Swiss-Prot;Acc:Q9SEY5] MRILLSKRAIRTLTPRFQRLWSTHSSFSTSGSGGFSDDSKPESWKTMEGLLRSPANFSPLSPITFLERSAKAYRDRTSVVFGSVEHTWLQTYHRCLRLASALTHLGISPGDVVAVLAPNVPAMHELHFAVPMAGLILCPLNTRLDTSTLSVLLQHSEAKILFVDHHLLEVAHGALAKSDRTRKTPKLVLISQSNDDDDEDRSSSFHSNYSFDYHYEDLVKSGDSEFEVIKPRNEWDPISINYTSGTTSRPKGVVWSHRGAYLNSLATVFLHQMPVSPVYLWMVPMFHCNGWCLIWGVAAQGGTNICLRKVSPKLIFKSIATHKVTHMGGAPTVLNMIVSSPVRERRPLPHRVEIMTGGSPPMPQILAKMEELGFNVSHLYGLTETYGPGTHCVWKPEWDSLSLEERAKLKSRQGVQHLGLEGLEVKDPVTMETVPSDGVTMGEVMFRGNTVMSGYFKDLEATRKAFEGGWFHSGDLAVKHPDGYIEVKDRLKDVIISGGENISTVEVERVLCSHKAVFEAAVVARPDNHWGQTPCGFVKLKEGFDCVKPEEIIEFCRDHLPHYMAPKTIVFGDLPKTSTGKVQKYLLRKRADEMGSL >A04p017690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10729492:10729887:1 gene:A04p017690.1_BraROA transcript:A04p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFYIQLYKIVDDPSSDQIISWSKSSPNIFVVWDLKKLRRDILFKSSGVLGRNLSEFIAKLRSHGFRSVLKGFGELEFEHDDFTRGLVTKKTKIIKAFSDRFDAQIKAIKCRFKAKKASSSLKVEHLFP >A09p010360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5313338:5316093:-1 gene:A09p010360.1_BraROA transcript:A09p010360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVNMEVSWLRVLLDNISSYLSISSMDTLYSNPGHKYYTRGEDIAKLLQPVLENLVGSDASPSELLNNGFEELSQYIDELREQFQSWEPLSSRIFYLATSRVYHQVLQIESLATKLRESSLEVFQLLKHCEQHLPADLVSPSFEDCIELVKLVGRDEVSYTIDLALIDQKEDNGPTSEVLVKIAESVGLRSNQEILIEGVVLASLKENAELTENNTEAEFIEGLISLITHMHDHLTNIKQSQLGCSVPVPPDFRCPLSLELMTDPVIVTSGQTYERAFIEKWFDMGLMVCPKTRQPLTQTSLTPNFIVKAFISNWCESNNVNLPDPLELVQSSQPFPLLVEPASDDDDDECDSQPLSLVDESERGSSLSPMKIGGNGRTESLDATKCKKLRQVLSRSVSAPGIVFELDSKTERNTPPPAPAPPAPADTSVSQARVETVRRLPSARHFHHPGIIPATIRETGSSSSIESEVKKLIEDLKSSSLEAQREATARIRILSRNSTDNRIVIARCGAIDSLVNLLYSTDERIQADAVTCLLNLSINDNNKSVIADSGAIEPLIHVLRTGNLEAKENSAATLFSLSVIEENKTKIGEAGAIEPLVELLGNGSLRGKKDAATALFNLSIHHENKAKVIEAGAVRYLVELMDPAAGMVEKAVVVLANLATVKEGKVAIGEEGGIPVLVEVVELGSARGKENATAALLQLSMHSQRFCNSIIREGAIPPLVALTKSGTARAKEKAHNLLKYFKSQKQGQRRG >A06p044200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23757607:23759697:-1 gene:A06p044200.1_BraROA transcript:A06p044200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKRKRDAGTAVEDSADGSDKSSTGNSFFDIYGPEAKPELVFKGPETTLNLQDVQGLVTWVLSEGYMPNWVFIKNKPLIPKVVMLYLPGLDAALYLSQSKALANLKSCCGNPIPLLALSCVVDEMNTIDTLLTCKGKKKKKTLTHSVEPPLLVSKPEACNLVGKSFTEVTKDIPFPVTYYTLSRKEMEQNGYNFKTEFISTLPAPSGSCPQEILALDCEMCTTKDGSELTRVTLVDIQGQVLLDKLVKPANHITDYLTMYSGITAEMMEGVTTTIKDIQEEFLKLVFKETVLVGHSLENDLYSLKISHNLVIDTSVLYKHPRSGSHKTKLRVLAKKFLAREIQMSESGHDSVEDAKAAMDLAH >A04g503510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7654388:7655584:-1 gene:A04g503510.1_BraROA transcript:A04g503510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLHCLCEGALFLGGRNVRCGGKLIGVDILLLNSQVWCKDSRGDKQTNAWLGAAGHALRTEWTVFKIRQALQNSVFN >A06g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10069194:10071135:1 gene:A06g503180.1_BraROA transcript:A06g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKLTLSLLQSIVSFFSTVVILLLSPFGSTVSLSVGSTVSLSVRSAVSLSEIHRLSPCGDRSSLSVEICHHLRRDPSSSPLSSIVTLSHASSDKQLRESRFKTSKMLAPLHDSHWRALCLEPDKNKQCNLAICLMRMGRIPEAKSLIDAVRDSSAEIEFGDEPFTKSYDRAVEMLAEVEYKDPEDGLSDKFYAGCSFANETMKENKAPRNANRNHSHVPPSPASVRQTEMRQQRFVGILSLLYIVAFLAKNKSECLQEEWGVLEKSPEAALDVDQTIFVAVLW >A05g501270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4799623:4799820:1 gene:A05g501270.1_BraROA transcript:A05g501270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDSGQRLGGADWYLLTPTLIWKVSKPNLVRQIHLSVWFLSAGASKNNRSRKIKELDKKVGHKL >A03p059530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25855342:25858273:1 gene:A03p059530.1_BraROA transcript:A03p059530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTAQIHVLGGIGFAASSKRNLNAKANLKPRSAFFGTRTGPFSAQTSAFLKMNTRKGSRYAVGPVRVANEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVDEEAKQVSYRVVKDDNGNVKLECPAIGKQFAAEEISAQVLRKLVDDASRFLNEKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRCKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQEVVRKLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNSTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQDITITGASTLPKDEVEQMVQEAERFAKDDKEKREAIDTKNQADSVVYQTEKQLKELGEKIPGEVKEKVEAKLQELKDKLASGTTQEIKDTMAALNQEVMQIGQSMYNQPGAGAGAAGAGPSPGGEDASSAASASKGGDDVIDADFTDSK >A04p033170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19322872:19324151:1 gene:A04p033170.1_BraROA transcript:A04p033170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRKRKFDRVDFLGDYVMAFKNGIHTDIIVKPGGDGPGIPAHKSILAVKSKVFGYMLDSDECKTSEKSITIPDMSYEELKALLEFFYSGILSPTSKHTRALYLAADKYEIPYLQEVCRDHLISSTTLSNVLDILEMSTIPSDNRLKNWATIFIVIHMQEIVYTSKYKLFVHQNPDLGLDITQLFVDALKSEFGYTDQQLRSAIQPKP >A09p046160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40337008:40339355:-1 gene:A09p046160.1_BraROA transcript:A09p046160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMITDANAFLVALLLLSLSHIPFSSSTIQQDFVMCLVDNSDASFPMDSSFFTRDLNASSYKMALETSAQNLRYLMPSNPKPEFIFEPLYETHVQAAVACAKKLQLHLRLRSGGHDYEGLSYVSEMETAFVIVDLSKLRHINVDLESNTAWVHAGASIGEVYYRIQEKSKVHGFPAGLCTSLGIGGHIIGGAYGSMMRKFGLGADNVLDARIVDADGKILNRAAMGEDVFWAIRGGGGGSFGVILAWKIKLVPVPETVTVFTVTKTLEQDGTRILYKWQQVADKLDEDLFIRAIIQPASKTPKSKERTISVSYQGQFLGDVNRLMQVMQRSFPQLGLMKKDCVETSWIKSVMYIAGFPSTAPPEALLDGKSLFKNYFKAKSDYVEEAIPIEGLEGLWKKLLEEDSPLTIWNPYGGMMAKIPETETPFPHRSGTLFKIQWLTLWQDGKASEAKHMEWMRDMYSYMEQYVSKNPRAAYVNYRDLDLGMNGKGSDAREWGNKYFKGNFERLVKIKAKFDPENFFRHEQSIPTGLE >A07p037510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20065292:20071734:-1 gene:A07p037510.1_BraROA transcript:A07p037510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLREDIQKLSKAVQDFVTQVTSNHVDVFDNPNDDEYDLDLAYNKEYEEFFSEQNIGPSYGVFDEADIRGGQYSFDNQHGDPIFDVYDVDVKDNGSFFDIQSVVSNLDKFDERVMEQVTTEKVEVESLKFNYPVYVQETPTLSPENFAPIYEVIRDFNINDTSLPFQTMMETGGRSDNYFWESSRSNNREDHMDGRTSELIHIHKQRAGNTFLDLQQKHMNYGAWRKNFNRHISREPPDRISLSQHQGCVRQLWSMGIMRLFQPQDEKNWDEEDHYEKWVRIFPSFIESAADLIQRRGRRDMQCKFNKAQVILMDMPIVFQAMAYISVTTLAFAMNYAFFFMANESKPSQSKYSILKILSYNIMVVDILLMETKIHGAVAILKQVKMLDTGWYDTFQRWDISCVPAGDHDEILVHNGTTSELLVLFYTTDLSMAQSGNSTEVLDLPQFSDLSILWCFTWDELSGQKSLCRHHLQCGLIIQDVNFNVSEMLEQTGTVFSHQEHCPMPKHVDFSKLAKHLLVNDDMLECTLPCVSFLTYSEIFWYTRIDVDSHTATGRGNIYRFKFEGRCMVLFLESELPILPLLFGVIGSRQMTKDSCTSSMVLWCAQYTIQNICPSEEIAFSLRFDILPFLVLSPCLMQGMQREVLVVQLVKFSKHELSAVVLLKWVDLQCKGHLKRILMKYLVEAELRPVHKNIIICVVMIVPNSSHEYDQVDTFGKDTLKHVMISSLGFVWELLLKHGAVFSSSFCELESSYGEYIGQHELRFVFHVNAIKGVVMCLIAPPSDIFSSLVIGGWCLVHPRRERALYAIAVQEKRFHDKRRTEFLNWWPLGIDKMGSNYRSTTLICWNNVSCASGKPLCFILVNDIIFLHGYTSLRSRTSVMNEFLDHLNRPMYHVLDSVGPKCGIQSLPHMTKNILLDINKVNWLNKADTCTNNELLDSSKSTLHLISHPPSSSSSLSKKEGSFSRPSSTASITVVANGYPVARRPSTSSDRNGNKPVVIVGARSRNHSRRVTAMPVVQQPGRLTPEWPSWLASVAGEAIKGWVPRYADSYEKLDKIGQGTYSSVYKARDLETGKVVAMKKVRFVNMDPESVKFMAREILILRKLDHPNVMKLEGLVTSRLSGSLYLVFEYMEHDLAGLAATPGIKFSETQIKCYMQQLFRGLEHCHRRGILHRDIKGSNLLINNEGVLKIGDFGLANFYRGDGDLQLTSRVVTLWYRAPELLLGATEYGPAIDLWSAGCILTELFAGKPIMPGRTEVEQMHKIFKLCGSPSEDYWKRATLPLATSFKPSHPYKPVLAETFSHFPASALTLINKLLAIEPEKRGSAASALRSEFFTTEPLPADPSNLPRYQPSKELDAKLRNEEARKLRAEDKKRRRGETVTRGQPKDVKTAQTPELMAAGQSKVTCISHKFKTDEEGGTGFRIEPPRRGGSQQNGYAQASSVVHPSVADTEWNRGGSIKRQTNADMKSRIVQTGNLSGGSNRDYSTGNAPMKNRIIYSGPLMPPGGNLEEMLKEHEKQIQQAVRKARVEKYG >A03g501030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3299779:3300759:1 gene:A03g501030.1_BraROA transcript:A03g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSTQEKRYRVGYALAAKKEHSFIQPSLIEHSRQRGIDLIKLDPTKPLLEQGKLDCVIHKLYDLDWKQNLHEFREKCPNVPVVDSPEDIEKLHNRVSMLEVITQLNFPVSESERFGVPKQVVVMDPTVLSCGGGGGEGLGELEFPVIAKPLDADGSATSHKMFLIYDQEGMKILKAPIVLQEFVNHGGVIFKVYVVGDYVKCVKRRSLPDISEEKIGTSKGSLPFSQISNLTATQEEKNKEYGEDRSLEKVEMPPSSFLEELAKAMRRSMGLNLFNFDVIRDARDADRYLIIDINYFPGYAKMPCYEPVLTDFFWDMVTKKSHHV >A02p015890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7019204:7020340:1 gene:A02p015890.1_BraROA transcript:A02p015890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLHRLVKPALVRRSSSVSLLISNGFSTTSLRQTPPCSIIGAKPCLPLEFFYRSGLGTLIIANANVADMSCLVRLKKKVPVDLVHNDPDDTMVTIGASHGWVASLKDDGILRLHDDLNPYASYTDPKRIPLPPLVTLPHCQTKIITNVSMSSSSPEDDEDCVVAVKFLGPQLSFCKPAGKSKPEWTNIKIENPCFYSSRVMFSKKDNMFRIPGAGGHRIGSLDLCEPNDKLKLQCVGFENLPPKLPTPLMDSCCMSQHLVESTSTGETFLVKQYRKTAKIVEGVAQMKTQFLMVFKLDDEGNAVYTQDMGDLTMFLSMSEPFCVPSTSFPGLLPNYVYILDFDETAMVSLEDGFPLVATNGKTDAPYYIPPQNILD >A07p000340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:744203:746938:-1 gene:A07p000340.1_BraROA transcript:A07p000340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKLIRDLLITRQPLFPRLAHQRRAGERLGGFMPAIGYSINRRFSIFSDLSKKIRGEAESNPEFQKTVKELKEKAEELKGVKEDLKVKTKEKTEQLYKQVDGVWTEAESVAKKVSSSVKDTFSAATEEVKESFKLGKEENAESASSSGTGNSKEENHQQQQQSGTTEGGQHTLFEKIKSSISSPLDIARKGIDIVKDELRGGTPKKKHLEYTPPPPFTGVKSTRTDLVVTPTKQSKWQKKWESLREKMQAHPAFKRLSGISEPVVNKSQEIAEDVVERWETSDNPIVHKIQDLNEAVFNETDSGSTYKEIRRRDPSFSLTDFAAEVHEAIKPVLSAYSKGDAETLKKYCSPEVIERCTAELAALKGHDLFFDHKILHISEVEVEETKMMGTTPTIIVRFQTQEIFCVRDKKGNIKEGGQDTIHSVYYKWAMQQVEAGEESMYPIWRLRDICKLGAAQALI >A07g507690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21259522:21262446:1 gene:A07g507690.1_BraROA transcript:A07g507690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSYQESSSLLLQDLKVTIKEASLIFPFQETLTHERKSMFLSNVDQVLNFDVQTVHFFRPNKDFPPEIVSEMLRKALVRAMDVYEFLAGRLRLNPSSGRLDVDCNGAGAGFVTAASEYTLEELGDLVYPNPAFAKLVTSQLQTLPKDDQPLFAFQFQNISRQLSLPSKREALINTSMQRPYSSQSSFSTTCHIPTPRVKIQDSETTTTVFEATSEHLDFNIFKISSQQILRLKEKASESISSTGCVRATGFNVVTALVWRCKALSFVEEEDLEKESTILYAVDIRGRLNPQLPSSYTGNAVLTAYAKAKRKALLEEPFGRIVEMVGEGANRITDEYARSAIDWGEMYKGFPHGEVLVSSWWKLGFAEVEYPWGKPKYCCPVVYHRKDIVLLFPDIDGDSKGVYVLAALPSKEMAKFQNWFEDTLS >A08p000050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18138:22838:-1 gene:A08p000050.1_BraROA transcript:A08p000050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQKKLNQVLEGSKMMEEEYPEELRTPPVSLVALFGCSELHSSITNHLHSQQPPINALAFPDFSHLPLLLLAHRDVINNPSFRDPLSSDSSSSPSAAVPSGGILKRDWLLKHRTKVPALVAAFFPSNHISGDPTQWLQVCSNLDTLKSVIRPKNIKLVVVVVQSSPHEVISEDRLLALRKRAELDSKYVLFLNHSELPLSLPRIASAFSDLALSYYREEARRIKSRIEKRSSTSLDLIVRYCFKVAVYAEFRRDWGEALKFYEDAYHSLHEMIGTSTRLPPIQRLVEIKTIAEQLHFKISTLLLHGGKLSEAVIWFHQHKSSYDKVVGSTEYNFLHWEWMSRQFLVFAELLETSSATVQNFSSLNQGTAEIPLTEFEFYPAYYYQLAAHYLQNKKSALELLLSMSVAAQQIGGSSESITPSVYVGQFSQLREKGEALTLHFITDEEYIRYAISEAKRFQGSFEIVAWLKKSYESFTNLKSRRMAAFCAFEIAREYFGLSDPSNAKFFFDIAANLYRQEGWVSLLWEVLGYLRECSRNLGALKAFVELSLEMVALPVTSCDDFGNLRDKTYGPGGPATVSRRESIHREVFTLMCRETELISSTEESGFKLASDSPLHLEIDLVSPLRPVLLASVAFHEQMIKPRALCSITLSLLSHLPLPVEIDHLEVQFNQSTCNFVVRNSQKPLVASPSSTVQSGSQVENEQSLVLVPNNWLRLTYAIKPEQSGKLECLSVLAKLGPRFTICSRAESPAAMEDSPVWKHENCVQSLPTKDPILAVFGQKATQVEEPEPQVDVSLGASGPALVGENFTMPIVVTSKGHAVHSGELKINLVDVGGGGLFSPREAEPFSLESHHVEICGIDGAEGNDESESETGNIKKIQQSFGLVSVPDLKEGETWSCKLEIKWHRAKPVMLFVSLGYLPQGNEANAQKVHIHKSLQIEGKMPLFITNRFMLPYRRDHLLLNRIKPAPDSEDMSSLPLNEKSVLVVSAKNCTEIALKLMCMSIELDDEQGKTSCLIQQGGGGGETSDSANLAPGEEFKKVFTVIPTMRTPKLSLGSVHMKWRRQGDYTEDACVSTKHKLAEVNVDASPLVMSLNCPPYAILGESFTYAITICNQTQLLQEAKFALADAQSFVLSGSHSNTVSVLPKSEHVLSYKLVPLTCGQQQLPKITLTSVRYSAEFQPSMIASSVFVFPSAPQANSTAK >A06p047510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25223504:25226039:1 gene:A06p047510.1_BraROA transcript:A06p047510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLSPYRIKSFNSMPFCRFRMAHTADSVNPRDVCIVGVARTPMGGFLGSLSSLPATKLGSVAIAAALKRANVDPSLVQEVVFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKAVMIAAQSIQLGINDVVVAGGMESMSNTPKYLAEARKGSRFGHDSLVDGMLKDGLWDVYNDCGMGSCAELCAEKFQITREQQDDYAVQSFERGIAAQEAGAFTWEITPVEVSGGRGRPSTIVDKDEGLGKFDAAKLRKLRPSFKENGGTVTAGNASSISDGAAALVLVSGEKALQLGLQVLAKVKGYGDAAQEPEFFTTAPALAIPKAIAHAGLESSQVDYYEINEAFAVVALANQKLLGITPEKVNVNGGAVSLGHPLGCSGARILITLLGILKNRNGKYGVGGVCNGGGGASALVLELV >A09p072180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55451858:55453599:1 gene:A09p072180.1_BraROA transcript:A09p072180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNQIRFTNPSQTAAEQVSYDFVPANLAAVKPFRLYSGLLPWWFLPPMPISDPRKRPIRFARSFLSRHAAKEELSQAVSRLESLTEQGIRLPFEVLASLLQRCGETRSLKQGKWIHRHLKITGFKRPNTLLSNHLIGMYMKCGKPIDACKVFDRMLTRNLYSWNNMVSGLVKSGMLVRAREVFDSMPERDVVSWNSMVVGYARSGNVNEALWFYRELRRSGIKCNEFSFAGLLTTCVKSRELQLTRQAHGQVMVAGFLSNVVLSCSIMDAYAKCGEMESAKRCFDEVAVKDNYIWTTLISGYARVGDMEAANELFIELPEKDPVSWDALISGYVRQGSGDRALQLFREMIALRVKPEQFTFSSCLCASASSLGHGKQIHGYMIRTNVGPNAIVISSLIDIYSRSGSLEAGERVFNLCGDKQDCVVWNTMISSLAQHGLSHKALRVLDDMIKLRVHPNRTTLAVILSACSHSGLVEEGARCFDSLTADYGIVPDQEHYSCLIDLLGRAGCFEELMSRIEKMPFRPDEHIWNAILGVSRIHGNMELEKKVAEELIKLDAEQKEEASRR >A01p059380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33755250:33757844:-1 gene:A01p059380.1_BraROA transcript:A01p059380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERRSVITLGGKGSSFSSSSVYKVASGVANVRIDSSAIERFSTKPLPLIKGSSFSIPEELTNEEIRASLAVLLNKLLLAKSGSSSSSTVRSVLPVKIMEILNSKDETFGFGDVEVTEGENVVLEKSCATLVGLCSVIDHKSTVLSQIVDSVAALSCEATKSDITSFSSLDSGDGFGNKDAISVAGDLKVLLNGSKAVGKFEIEEVSKIPRIHGKFRDVVRSVHSDARVELNSGGGNSGVGEALGATLSALCVPIKRLGECSFLRAKLCFESIVNEDLRKLLSERGCVEYENLKSGVKLALVEEDPCRLAHKLNECLGIVWRIIGLEAATAYFALAGGDLFATKEESKSVDAEKEVLKGDKKKKKKNEKKAVLGKGTSVVFEFIKERLVSSNEAANGGDQVEQILNLYNPEGHCFDDLLAKVKEIVESNENRRLPKLPKGTRDFAQGKMIIREKAFSIIQNVFKKHGATALDTPVFELRETLMGKYGEASKLIYDLADQLLDGMLEICGVPAEKFRTICSSIDKLDKQTFEQVKREMVEEKGLSSEVADRIGSFVKEKGAPMELLNKLREEGSEFLGNKSSKEALDELFNLPRHRFW >A02p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8211012:8213931:-1 gene:A02p018010.1_BraROA transcript:A02p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLPSLTSLLFPATTRYPAALRRSLCLRNRPASGFATAPSGTASPETKSEVGRLPRSDQAVTPRSQDFNAWYLDVIASAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTVGGGNELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATPEEAEKEAKQMIEIYTRFAFEETAIPVIAGRKSKMETFAGADTTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFQDENGERQHVWQTSWAVSTRFVGGIIMTHGDDTGLMLPPKIAPIQVVIVPIWKKDSEKTEVLNAASSVKEVLQTAGVRVKLDDTTERTAGWKFNFWEMKGVPIRIEIGPRDVSNNSVVVSRRDIPGKAGKVFGISMEPSTLVAYVKEKLDEIQSSLLEKAISFRDSNIVDVNSYEELKAAISSGKWARGPWSASDSDEQRVKEETGATIRCFPFEQTLGSKTCLMTGNPAEEVAIFAKSY >A09p030630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18690801:18694907:1 gene:A09p030630.1_BraROA transcript:A09p030630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKANKLSSVFISRIKNSAQSSIPSAATIKNRDIPGTSQAVKDLLRSKISSSGTEVPLSDQISTLLHVSSLEKTDDNILKIPSFNAKIPIDISFRSKELSRERKERRVYKKNALSRRFAKIFRDSARKLGTDATFGAFDRVAKEMSVTEYNAMVGVYFELAEKSHDLEYALDHIGKAFEVLKTMRDRGFQIEESVYGPVLEYLIDMDMVDEFRGFKDVISEASPGLVEKLGYYEMLLWIQLGDGEKVEELCGDIDGNGDCLTLLQESYLLALCKREQKDHLQRLFEIIDITKVRSSDLLANIFGYLSKFSLDSFARKFLWELRESVTFADEGVKNVSDLISIYSKCTPNLTVEDTILKFNKMHEELDVMPSSTSYEKLVKYSCDSNEVVTALDVVEKMGEAGVTITADILHSLLHAIDEVLEFNLVRRIHSIMCTNSVKPSSENFRSMIRLCTRIRDFEGAYNMLGNLKNFNLEPNSSMFNCILSGYFREKNVCSALMVVKQMKEAGVKPDSITYGYLINNCNREDTITKYYNEMKQAGVQATKRIYMSLIDAYAASGQFEKAKQVLLDPDVPARYQNELKSELISALASHGKRADALILYEEMKETKCQVDPKSIISLIEYCDSNGDLNTLVQLADDLQDDHSWIDGFFRMILFSVRNRKSSNVLDLLKRNEVRLSKKDIHVEYHFDEVFWAIAETEPTDVKLGMDLLRYIKEELGFVPSRKSLDFLLHACVNAKDMKNGLLVWKEYQSAALPCNVLLAAGDLEGAKTLATKIPKEDKDVQHIIEESQIKRDSYSILKHTRLVGSAQVLTVEKKT >A07p012890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7821958:7830034:1 gene:A07p012890.1_BraROA transcript:A07p012890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G23610) TAIR;Acc:AT3G23610] MSGPQCCENPPALNPVSGSGHVEKLGGLDAYVSGSLDSKLCVILISDDKGFEDTKPVIEAIKSKGITAIGAAGMSKVVVELSKQELVQAAVLLHPSFVTVDDIKGGKVPIAILGAEIDQLSPPALLKQFEEILASKPEVNSYVKIHPKVTHGWTVRYKTDDPEAVKAAEEAHKEMLDWFVTYAMLHGNGNGYVEAEASSRDRGSSSSSSLPAMDEYNETVKNQIQALVRVIKVARAYREDNVPSLIQEGLYLGSVAAACNKDLLKSYNVTHILTVASSLRPAHPDDFLYKVVRVVDKEDTNLEVYFDECFDFIDEAKKLGGSVLVHCFVGKSRSVTVVVAYLMKKHGMTLAQALQHVQSIRPVASPNAGFIKQLQDLEKSLQGKQERIAQSQA >A09g502150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7488226:7489143:-1 gene:A09g502150.1_BraROA transcript:A09g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPFSPSCDFNQCVLVLLRAEGLVSKSFESSKFFSLGFYRLKFISTKFILKLEEIVLNIIKYGSINHVKSYYKHVFQNIPV >A10p021370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14376970:14379218:1 gene:A10p021370.1_BraROA transcript:A10p021370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSRPRRIGVGGAVVAAGSVWEARMQSDDEASVNNAIVKATHLQAVDKNCNKQMSLKEGVNGKRPAWKTDVKLARPRSIGGTTPPATPRRSIGTTPPMTPRRSISSENDKSLTLSVSVKKARSDLVEGGEKTPGRVTKTRLEMSTAIVKSGELETVALRKVNSLPAQSSEKTNEKTEDVDLKTEETVDEKKQITEDVCQEIVVFADSKEDDQIDNGDHEEEEGEEEEKKSVDVKEMNVPKEISKNRVVDVEIKKYSQSHNRVSPSPSAVRKTPPPVIKRAASVYTVPPSTGTFADKEENFTHSQSKLQSLVDLVMWRDASRSALVFGLGTFLIVSSSYANDLNFSFISVVAYVGLIYLGVMFVFKAVIRRGVVEEEERHRGVGVREEDVKRMLRLIMPYLNESLLQLRALFSGDPSTTLKMGVVLFVLARCGSSITLWNLAKFGFLGAFTVPKIFISYSTHFSAYGKFWMRRFRDAWESCSHKKAVALALFTLVWNLSSVVARVWAAFMLFVAFRYYQQRMIWTTDQEDDLDDEEHVDDDHVEEEELAPKPIHKPKRATYTMMPNKLKKIS >A10g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4077658:4078098:1 gene:A10g501470.1_BraROA transcript:A10g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEGFDENARTGVVLTFGKVQSLHSDRTLARARSLRSDRAGRSLGRYVATELCACLVAAYRSSLACPRSDFHTRACPRPIWIHVRCLRTIDIDSVVTDFDPNRVTY >A02p018600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8583547:8583926:1 gene:A02p018600.1_BraROA transcript:A02p018600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIHIVSRVNIVGIRANLVRLMSHLAKSSFATGSYAPFQTFLFEYFNFKINSYYLMLVGTTVSWTNIPPVAIVLLLSTAPVVVHLSPQDL >A06p053410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28157727:28161415:-1 gene:A06p053410.1_BraROA transcript:A06p053410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQVRVIEARNLPAMDLNGFSDPYVRLQLGKHRSRTKVVKKNLNPKWGDDFTFRVDDLKDELVVSVLDEDKYFNDDFVGQVRVPVSQVFDAENQSLGSVWYPLLPKKKGSKKDCGDILLGIWFSQKNSVVDLNSIADQRTSDVGMETSADPSTCASPCRSEDAASSSKDSQKSLAGRIAQIFQKNGSDAASAAPTPSISRSIDASEPSSEMSRSIFSLELSEDESSPASFEEVMKAMESRDQEVEFPSNLPGGILVDQLFMITPSDLNTVLFAPDSSFYASLTELQGTTEVQITPWKLENEGESAKRVVSYLKAATKLIKAVKGTEEQTYHKADGEVYSVLAIVSTPDVPFGSTFKVEVLYCISPGPELPSGEQCSRLVISWRLNFLQSTMMKGMIENGARQGLKDSFEQYATLLAQNVKPVDSKDIGVNKEQALSSLHAEPQSDWKLAVQYFANFTVFSTFLIGVYVFVHIMLSLPSAIQGLEFSGLDLPDSIGEFVVSGVLVLQCERVLQLISRFMQARKQKGSDHGVKAYGDGWLLTVALIEGVDLAAVDPSGHCDPYIVFTSNGKTRTSSIKFQKSHPLWNEIFEFDAMADPPSVLNIEVYDFDGPFDEAVSLGHAEINFVRSNISDLADVWIPLQGKLAQACQSKLHLRIFLDHTGGGDVVRDYLTKMEKEVGKKINVRSPQTNSAFQKLFSLPQEEFLINDFTCHLKRKMPLQGRLFLSARIVGFYASLFGNKTKFFFLWEDIEDIQVLPPTLASMGSPTVVMTLRPNRGTDARIGAKTHDEEGRLKFHFHSFVSFNVAQKTIMALWKAKSLTPEQKVQAVEEESEQKLQSEESGSFLGIDDVRFSEVYSLTLSVPVSFFMELFGGGEVDRKAMERACCQSYSCSPWESEKADVYERQTYYRDKRISRYRGEVTSTQQKTLVPDKNGWLVEEVMTLHGVPLGDYFNLHLRYQMEEVASKPNTTYVRVYFGIEWLKSSRHQKRVTKNILVNLQDRLKMVFGFLEKEYSSRQQQQVA >A03p049880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21418947:21426540:-1 gene:A03p049880.1_BraROA transcript:A03p049880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVERYVKIGIWCIQEEPGLRPNMRTITQMLEGVTQVRDPPNPCPLSSQNIINGSIPVGESLTASESQQFSSSWRSPSGDFAFGFRKIQPNDGFTLSIWFDRIPDKTIVWHAQAVNTTTGLVPAGSNVTLTADRGLVLTDPRGQQLWSSSLPPSNGSVSQGRINDAGNFGLLSETTEDSGEFLWSSFAHPTDTLLPTQMMGLEPSKPVKIASPFLLASDVSSDSKSVRLPNFTGITSTTTTMTLQCSIITYQVKTLCTSPHPSSQSLAQFLKFDLSQKGIEVGRDLSSRLTETSFSKGRYRLHLGNDGDLRLLTLNPETLLESDTYFAYYASNTKNQNPGTRLVFNESGYMYVLQRNNSRFYIKQDVPVSSKDFYHRAVLHFDGVFAQYYHPKGGDGGWRWAWSQPENICAKGFGPDLAANEVGNLACGFNNICILEDNQRPRCECPERFVLSDPSDSYGDCKPDFEMHSCGAKSNQTDVSLYEFVTLEKTNWPSGDYKKYSNYNEERCKASCLNDCFCAAVVFRTICWKKKFPLSYGHRSPTGGSDTFIKVRKLTAGVPNTGRRGKGRDWLIITCSVLLGTSALMNFILLYMNRNKKRMAKKPNQRRYTGAATAHDLNLRVFTYRELVVATGDFVEELGRGAFGIVYKGVLKVSGDSEVSVAVKKLDRVAQESEKEFKNEVKVIGQIHHKNLVKLIGFCNEGQSRLIVYEFLPNGTLASFLFRHLEALDNMDLVERYVKIAIWCIQEEPGKRPNMRKVTQMLEGVAQVNDPPNPSPYNTFTCAESMSN >A01p058940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33687137:33688296:1 gene:A01p058940.1_BraROA transcript:A01p058940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVTTAEVCDANQDLIRSGQLRALQPSFQIYGRRQIFSGPVVTVKVFEDNGLIRQFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIIVNGCIRDVDEINGCDIGVRALASHPIKASKKGLGEQRVPLNIAGTRICDGEWLYADTDGILVSQVELSV >A03p069660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30608798:30614189:1 gene:A03p069660.1_BraROA transcript:A03p069660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKKLVEKAGKKPGGSPSEGLRANDVEPRVVLHYGIPSGAHLFAYDPVQKILAVSTKDGRIKLFGKNQTQALLVSEEASTSKFIEFVQNQGLLLNVNSKNQIEVWDLEKKLMSHVHVFNGEITSLRVMQHTPYFYVGDSSGNVSVLKIDLDSNQVIQMDYTIPYLASNGSPVEASDDTSVVSILPQPTAETKRILLVFSSGFITLWDIKESKPVLKTGGNGMVKQEAKKATCACWVCPSGSKVAVGYSNGDILIWSMTESFSMVCKLNLGYKAEKTPINSLKWVYAEGKASRVYATGSSSNSLQVVLLNDQTEVKMVKLGLHVSEPCVGMEMIMADAKQDSLLVLGKSGRVYAYDDYMIEKYLIQSQSKSPPSLPKESVVKLAFSDSCSGVTVGKFLTNSSHLLNLSDEDYAQLAKYTAPFLPSQIVSKEASRSAHFPGFTKVKNVYITGHSDGSIGVWDMSCPFLVPVLFLKEQADQDISSRGTAALTALHFDSNSRLLVSGDQNGMVRLYRFKPEPYLTENSFIPFQGSSKKGNNHIVHSVKHIKLTGSITCIQKSQNSKHLAIGSDQGHVSLVDVEEATVLYTKHIASDICPGITSLQFESCSVQGFEKSVLVVAMRDSSVFALDSDTGNMIGTNMVKPKKPFKALFMQILDGKQDSSGNGESIVEDVSTRQPSVLLCSEKAVYIYPLSQVVQGVKKVLHKKKFSSPSICSASTFYGTSGVGLILVFSDGTVEIRSLPELSLLKQISIRGFTYSSPKQNSLPEITISASWDGDLVMVNGDDELIVSSVLPQKETFRLVESLSRVYKKDNAVCQDGTTASAVASSPKEKKGMFGSVFKTKPKRAADTETTESTKETIEDLSKIFTTANFPWNNNVERSRESNVVTRVGEEEELDIDDIDIEDDDHHQQQELPKEQGILSGISKQKLASKFTSFKGKLKQMTAKNEKSVEEKHEEKSGASVDQIKKKYGFASSSEEMGAAKMAQSKLQDNLKKLQGISLKTTEMEDTAKSFSSTAKELLNAVEFNKQSSKS >A01p005980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3086390:3086901:-1 gene:A01p005980.1_BraROA transcript:A01p005980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEYVMLILLMMIVFSPFLPPLQFFGSSDGIILTGPDFTRKFNTVESNTADSVEIRVCDAIGVVYMAESLDACEKLILERDMLMLSTTTTRSFFVTKETAQVVNKYICRPNVAVMRVPIHTDHLYGLITRGLLFYTFVFLLLRFFS >A05p003190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1153568:1155357:-1 gene:A05p003190.1_BraROA transcript:A05p003190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLHSSVRHGLSLSLGVISVISWGVAEIPQIMTNYCEKSTEGLSIAFLTTWIIGDIFNLLGCLMEPATLPTQFYMALLYTVTTSVLFVQSIYYGHIYPRLKNRRNQMVEAERISNICSDAKIPSRWRNSCSDATPCGVQTTPITMIPGSHRTSFTGRELFYTSARSLSSSHTPPAGSVLAQRMARGQSEPTLEEPLLPDDATFPSMPPSTKSLLCVVSVFMFLVTFNLSSLLSEPRTMALGESDRVFVVRAARKLLQVTSGNLAEHSGGENSKIGMWLGWAMAFIYMGGRLPQICLNMRRGHVEGLNPLMFFFALVGNMTYVGSILVASVEWSKIAPNLPWLVDAGGCVILDFLILLQFFHFRCRKDTDSEKKYETAEAV >A09p053190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:46256511:46256945:-1 gene:A09p053190.1_BraROA transcript:A09p053190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHDGSAELCKPPLSVTKSRRRRNGLNLLFSKARVALVKLRKPPPPPPPPPRIRTGDDGSRVASISRIIPTEHASRSIFTESLQTTVPLLQSRATYDGESRHQSSDKAIVDGTVKTKRRGPETMKKKVLRRRHRHLRADRTPE >A03p020190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8294429:8296288:-1 gene:A03p020190.1_BraROA transcript:A03p020190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDGGFHEVEKDGKLFLVYHHPKYRPIPQIKSPSSSAEVANHDHPPLPLFICPLERWLRNSSRDSRLVLINSSPESVLSGKVGDHHVLPLFWCNNKKFGDDYSECGMCSNSNVGTDYYFCAYCLRKYHKECVESPLKIKHPYYPHHSSLELYYYKELTVCIRCGREMAGGLMYHCNVDQTFMHPVCAMKPIPIIIDQQKIHDHPLTFFSRPDSLICNVCGLLEKDPPYVCLRCNFVAHGGCVECPHTIKISRHHHRISRVSYLRYEKWPCGVCRQSIDGNYGAYTCKECDDYYAVHSKCALGKDVWDGEELKGVPEKNDMAKDAPPFCKVFEGVVHYFLHDHHLRLEKNILYDENKFCEACVMPIIEDEFYSCAECDFILHETCLKARRRIQHALHPHPLTLKATNNYVSSSCSACFRCCGGFVYECPKHECYFKLDVRCALISEPFDYQGHEHPLFLSLHPKEYVLCEVCKSKCNDSPLSCIKCAFIICIKCATLPYKVSWYKKDEHFLTLSWGEERCEKYWCEECEDIVERLFYWCNDCCTILHTHCLFSKEPYLKPGQVLKIGEKVFQILARCNISRPICYYCMKPCQGKRFTRDNLTVCSLSCASNYTYDYEED >A07p036760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19688421:19688908:1 gene:A07p036760.1_BraROA transcript:A07p036760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G73177) UniProtKB/Swiss-Prot;Acc:Q8L981] MAEVSLGMLIDIVDEEWMRDTLPDDDLPLPPVLAVRTDDTEETNQETQQVDGEAWHDLALDTQ >A05p021400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10170890:10175744:-1 gene:A05p021400.1_BraROA transcript:A05p021400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53165) UniProtKB/TrEMBL;Acc:F4HPR9] VHFELKTAKTQVGSFRLDGIVTWVGSGRVIEVFIHSSRKKSNRGKEEEHLFFSISPWCSGERKRGRRSLSVYYIRATSEKMDGVAGLQEAAGARFSHVELIGRGSFGDVYKAFDSELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQPGHPLDEISIACITRDLLHAVEYLHTEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITMIEMAKGEPPLADLHPMRVLFIIPRESPPQLDEHFSRPLKEFVSFCLKKAPAERPSAKELLKHRFIKSARKSPKLLERIRERPKYQVKEDEDSPTRDPKSPAESSGTVRVARDDRGHGTSGTRLDQWSSLGISSLFLVITMLRTCSYSFQGRTIKNAGWDFSIGGSQSAGTVRALKPPQSRERRQEVTSDQSFQKSSRASASQLSSTSGAAVPEISEGGFLKRDSYQNDCQEEDDSSLSGSGTVVIRSPRSSQSSSVFRDLSSGSTSRYTSFDDASTSGTVVVRGQNDDSGSPRTPKSRLGLQERSSSASEDSIANLAEAKVALEAGFRRGNARERLGNGKVNKRREQAKDSSDHLRSSRDDSDKQKPLIRSQQVSDDEDESELASLSASLSLLLLPSLKEAVGGDTSKGSVGHRVSRALVKMEREKPGSSEAFIAKLIEQLGSSKEVSVKEVQDMAIRVFGKTVNNDAENKRKQASKEFASNTNVSPLGRFLFSRWLGQTSRDLNPS >A07g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1851920:1856257:-1 gene:A07g500960.1_BraROA transcript:A07g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIQLSQQHFSHISNTLSARLCSPPEKSLSAGVLLSGQLLRCSAFAPPALSLLSTRPPLSLRRKTPLSLKFRPTLSLSLTVVLSDSCGEKGKQIKTLKSKEHHFKVLICLPFSPQESERTTVRERLRVGRNLRERGVFRRRERGGLVERRLSAGGAKAEHRRSWPERGTPAERAFSGGEHNRADNVLEI >A10p020160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13708727:13711689:-1 gene:A10p020160.1_BraROA transcript:A10p020160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILLCGDPLGRLNQLFKRVQSVSKSAGPFDALICVGQFFPDSPELLDDFLDYAQGRAQIPIPTYFTGDYGVSAPNILSATAKKAENQGFKMDGLEVCHNLFWLRGSGKFTLHGLSVAYLSGRESCDGQFGKYSQDDVDALRALADDSGVVDLAKESDIPPAHVSDSSCCDSNVSELVKEVKPRYHIAGSMGVFYAREPYLNVDSSHVTRFLGLAQVGNKNKQKFLHALSPTPTSTMSPSELSAKPPNTTLCPYTLEKGAADSKKRSNDDASDSQYWRYDVSKRQKNGSDGEKLCFKFVCSGSCPRGESCHFQHNAEAREQCRRGVCLDLIIKGKCEKGPECSYKHEFQDLSVQRKPRSENANRSKECWFCLSSPSVESHLIVSVGESFYCALPKGSLVDDHILIIPIEHLPNTLALSPEGESELSRYRNGLRNCYKSQGNDAVFFELVSKRVSHANLQVVPVPSSRARLLPNIFSLAAEKLGFKLVTKKFSDSSEGRKYLQKEYDAALGLFYVELPDGTVLSHTLEENEVFPAQFGREVLAGLLKIPDRADWRNCKISQEEEAKLVEDFKKQFQEFDPFE >A07g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14655648:14656953:-1 gene:A07g506180.1_BraROA transcript:A07g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDGVADKHRRSSRKTMATRKDEGGSEVRRRSSRQTMKSPSPTPEASKRLINGPKAAAVVEAVMRDAGLVTETVLPKAGGELIPAIEGYDAGSLLAEDVRGDSIPERGKSCVHVVLMEEVGDEVVHEQGNVGLLKETRKPADVDEVMKVCPADAVGDRAEAPFRVIRVGGLYENLRNGDCGPKAVKFLEMHSTGDRNPKMAGLTYDLMDIFRKHYAMDIYKGVVVPLYLR >A03p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15162419:15165524:1 gene:A03p036240.1_BraROA transcript:A03p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRNSDVKVRSKDSDDSDEDYEISDEDEEEEEEEYEEAVDLSEFDDCDLKEYDEGSFDGCDVSEAVEEEGFNEVEEEEDDDVMLRNVEWPKVKTGPRGNRKITGCKSRKVDEVVASDNEDVDLDDKDDEEMGESRKGVKAGCLDGIGLGKRRRVFYEVEDEDGEEEEEERDVESASCEKVDLDSGRNGEDGEMRLEEQENVSHESEKEDDGDYDEDEDGDEEFTADEEDVSLDEEEEEEEEEEEEKVCKRNKRKQRSRGGRKRRNGRKRRGKKTKEGVDEDEDDDDCLPVRKKVKTRSSRPRRRCTVPSDSDIASSGESDFEYTISEEEREQVREASSLLRSSVTSIRKTTVHKALPQLRKSPVKKVEKKIEQVKRDVMKNVCGICLSEEDMRRLKGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTISKPARSTPGVDLREVLIPVPERDQVYQPTEEELRSYLDPYENTICSECHQGEDDGLMLLCDLCDSSAHTYCVGLGREVPEGNWYCEVCRPVALGSASSQTHTTSEQQGVSGFYTRPSPLVVSGQYQDPSLLVSPRTPFSNGEYLFSSRLPNSDVQGSSPSGLGATTLSRRRTLHRHIQNIINGDRLVNMGSGGGGTSMIANSSDGFVSTQIGHGREREAPQPSLQTGMSLYTISEERLPNNNPLISAHEPELLSSRLDHFGSVEAVGRFPSDIFHGNRPSDLGLHHGLAQGEPLFGNQQHLRGYMPNTMPFTGGEQLQQRVSAHLKNLSRQINLGQATFDEISTCSLHTILAACGLEHKSSEVHFVPPPVTCLHNDMRPCSSSSNNSSSSSLMKGCCYSCFDSFVEDVVKMILDTRQPHWLRLGLH >A02g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10990798:10997632:1 gene:A02g503340.1_BraROA transcript:A02g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCELMRMEWICSSLIQSQRSCLPPLMLTVSPLSDTTSRLAIRFSTIHSVYVIQPTFHALTKPVLQSRFGFSGSRFAAWPSQYGHASSSFPHLADVIGELTAVKSTVIDVLQGKERVLATIKLGDSMMLLVLTPTNYGGRSDRSRPQRGEKRGSWPESKLVSSSLIEDVKISLHRRGREMTGSTWIHLVLRDSSQHRRRTSS >SC140g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:281427:283223:-1 gene:SC140g500100.1_BraROA transcript:SC140g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPLPEILVRVLDRFEVAITLLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTESDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMHPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLNLLGSAIEEVHREAMVYRFKGEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYVNLKNAFTSVGDFRECCGSVGSLWRTQADDYAFEEEMSLMKSGMSDRAHAEALIPPIDERIRGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A06p013570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6134667:6136610:-1 gene:A06p013570.1_BraROA transcript:A06p013570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hsp70b [Source:Projected from Arabidopsis thaliana (AT1G16030) UniProtKB/TrEMBL;Acc:A0A178W9N7] MATKSDKAIGIDLGTTYSCVGVWINDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVALNPHNTVFDAKRLIGRRFTDPSVQSDMRHWPFKVVSGPGDKPMIVVSYKNEEKQFSPEEISSMVLVKMKEVAESFLGHTVKNAVVTVPAYFNDSQRQATKDAGSISGLNVLRIINEPTAAAIAYGLDKKGTKTGERNVLIFDLGGGTFDVSLLTIEEGVFEVKATAGDTHLGGEDFDNRLVNHFVAEFKRKHKKDVSGNARALRRLRTACERAKRTLSSTAQTTIEIDSLHEGVDFYATISRARFEEMNMDLFRKCMDPVEKVLRDAKLDKSRVHEVVLVGGSTRIPKIQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGSDKVQDLLLLDVAPLSLGIETAGGVMTVLIPRNTTVPCKKEQVFSTYADNQPGVLIQVYEGERARTKDNNLLGTFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNQITITNDKGRLSKEEIEKMVQDAEKYKAEDEQVKKRVEAKNSLENYAYNMRNTVRDEKLAQKLDQEGKQKIEKAIDETIEWIEGNQLAEVDEFEFKLKELEGICNPIISKMYQAGAGAGYPDGGMPASGGSGGAGGPKIEEVD >A01p045140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23943195:23944808:1 gene:A01p045140.1_BraROA transcript:A01p045140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIIISIQSQTIVTRRHLFLLMICGLIFGIWREAIKVLTLLTSSLLIWKIGYHISRVSSYSLQYLIVQQFEGSIRLIDLRQSALCDSHSKFYGTSTWTRVHYQRSSFVINMKMILYIFDKFECCLSEEWLQVLTGAESPGGDANGNSFDHTTKLLHLAWHPTENSIACLRPTVCTYTMLEEKKKHPQMEKEALATCETE >A05g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11272785:11274773:-1 gene:A05g504030.1_BraROA transcript:A05g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGPVVVQSSFYALRLDVVGKIPFVQGSDLKDAQVMTRVVVRFVIEPGLISSGDRTQSVMVVTTVNPKIFGGNLLLNSTPATQFYFDTNFPAIAEFTASLGDPVGEAFPCINTKEGIRKKKHVSIGDLNKFFTNSDEHGEMLQLTLDETFNTGEALIVGGEAGEAFAYAINKVESEKDDTNPDGVKDKEVLHKRT >A06p056930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29615378:29616483:1 gene:A06p056930.1_BraROA transcript:A06p056930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTDSVLVPLSVFIAVGYHVFLWNTFKHNPSRTSLGIDSSKRKSWFRDIKEGDDKTGMLAVQSLRNKKMVTILTASVSILILLSLAAVTNNAFKASHLFTTADDIVFGSKNPTIFVLKYASTSLLLAVSFFFSSLAVSYLMDANFLINAIAKKHEGDCDYELTGTGSFREYTRLVLERGFFMAMIGNRVMCVSVPLLLWMFGPLPVFVSSLGLVWVLYQIDFPAVAKISVCK >A08p031850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19397059:19399675:1 gene:A08p031850.1_BraROA transcript:A08p031850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 20 [Source:Projected from Arabidopsis thaliana (AT4G39070) UniProtKB/Swiss-Prot;Acc:Q0IGM7] MKIWCDVCDKEEASVFCCADEAALCNGCDRHVHFANKLAGKHQRFSLTSHTFKDAPLCDICGKRRALLFCQEDRAILCRECDIPIHQANEHTKKHNRFLLTGVKISASPSTYPKASNSNSTAVLSRAKTRPKSVSGEVPSSASSEVFASSPSTTTSNYYYGLDENYHQVSDSGSGSGGTGSISEYLMETLPGWRVEDLLEHPSCVSHEDNIISTNNNESYMVYDGSLQYHHQGFWEQKPFS >A07p050900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27091983:27092341:-1 gene:A07p050900.1_BraROA transcript:A07p050900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFPVAVAVADANKLSKTEENVETGEWSERKFELPELWKSVVGRTFVRFVGVTRSDEIVLYSSSLQYLVYYNTERNTVVRVDIQGMEAFMGFQIFTLQDHIEDVRLMKV >A01g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18063283:18064522:1 gene:A01g506120.1_BraROA transcript:A01g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISAFLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A07p015220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9467723:9469268:-1 gene:A07p015220.1_BraROA transcript:A07p015220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGFEMESYSRKWCVVFVLLALASSVAKAQQVPCYFIFGDSLVDNGNNNGLVSFARANYFPYGIDFGGPTGRFSNGKTTVDEIAELLGFKDYIPAYNTVSGRQILTGVNYASAAAGIREETGRQLGQRISFSGQVRNYQTTVQQVVSVLGGEAQAADYLKKCIYSVGIGSNDYLNNYFMPTFYSSSRQFTPEQFADDLISRYTTQLNALYNYGARKFALIGVGAIGCSPNALSRSRDSKTCDDRINSANQIFNNKLRSMVDQLNNNHPDARFTYINAYGIFQDMITNPSRFGFTTTNAGCCGIGRNAGQITCLPGQRPCGNRNAYVFWDAFHPTEAANVVIARRSYTAQSPSDAYPIDISSLARL >A02p028640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14441651:14445031:1 gene:A02p028640.1_BraROA transcript:A02p028640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAFAVNARSQIFAFPAISFRLRINTLRQSSSSLLLLNKTFSNQRPESRPRSSSSSSSPISATMGSSKDRLQYPSARRDDSVVEDYHGLKIKDPYRWLEDPDAEEVKEFVQNQVRLTDSVLENCETKEKLRHNITSLIDHPRYGSPFRRGDRFFYFHNTGLQAHSVLYMQGDLEAEPEVLLDPNTLSDDGTVSLNTLSISEDAKYLAYGLSSSGSDWVTIKVIKIEDKKVEPDTLSWVKFSGITWTHDTKGFFYGRYPAPKDGEGIDAGTETNSNLYHEMYYHFLGTDQSQDILCWRDHENPKYMFGAEVTDDGKYLIMSIGEGCDPVNKLYYCDLSSLSGGLESFRGSSTFLPFTKLIDSFDAQYIVISNDETLFTFLTNKDAPKYKLVRVDLKEPTSWTDVIEEHEKDVLESACVVNGNRLVVCYMSDVKHILQIRDLESGSLLHQLDVDIGSVSDVSARRKDNAFFFSFTSYLTPGVIYKCDLANGSPEVKVFREVDVPGFDREAFQATQVFYPSKDGTKIPMFIVAKKGIKLDGSHPCLLYAYGGFNISITPSFSASRIVLSKHLGVVFCFANIRGGGEYGEEWHKAGSLAKKQNCFDDFIAGAEYLVSAGYTQPSKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSENEKEFHWLIKYSPLHNVKRPWEQQTGSLVQYPSTMLLTADHDDRVVPLHSLKLLATMQHVLCTSLENSPQTNPIIGRIEVKAGHGAGRPTQKIIDEAADRYSFMAKMVNASWTE >A01p031460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000064.1:66043:69037:-1 gene:A01p031460.1_BraROA transcript:A01p031460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKFTTACQDAPDVLSLDFGQPRAHCFRTNTVSGLAKAGSLVAFSLTLFVSGFGDIRKLCYTRTSVCVRQHTQDVRGRPSAHTGRPAVHPYTYQHAPWTVRDVRQHTQDVRGCPCVSGCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISACWPFLWTVRDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLSVMLTTHISMLALPVDCPDPCTDPCTELISAC >A02g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:723463:727797:-1 gene:A02g500200.1_BraROA transcript:A02g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHNSFSSSTRNPTLLSLSLSVCHRPLHMAELIGGEVMTEAAKQLFMVSSKAWRAKDTASNLAAMITDLQPTISEIRNSGVTLPPHRQAQLGIFSKTLEKCKKLTGKVLRSRRWNMVRQVYLVNKMEGLEKQISRFLRWQILAHILADVHLLRAESDARFNKIDRSLDSLDVQMTDVLVGVHHLQATSEVRFDRIDRNFDRVSEMLGTFEVRFDSIRGGGELIRDGLRTAETTMMQEDDDVGDFRVGLDMGKRMVKELLFKLEDEGKLIGISGMSGSGKTTLARDIMQDQEVQGHFENQILFLTVSQSPILEVLRSHIWSFLTSCTRQTRKLVILDDVWTRRSLDQLFFKTPGTTTLVVSRSKLADPEATYHVELLNEDEATSLFCLSAFDQTSIPSGFNIKLVKQIVEECKGLPLALKVIGGSLKDQPEIYWQGAVKRLSRGEPADETHESRVFSQMEATLETLDLKTRECFLDLGAFPEDKKIPLDVIINMWVELHDLEEETAFAVLVDLSDKNLLTLVKDPRLGTLYTGYYDIFVMQHDVIRDLTIHLSNRGDVSRRVRLLMPKRELGLPREWERNRGMTETDWFDMELPKAEVLILNFTSDNYVMPPFISKMGRLRALVIINNGMSFAHLSDFPSFTSLAKLRSLWLEMVHVPELSNSMVPLKSLYKLSLIFCKVNSSFNQRALDMAEVFPNLSGLTIDHCDDLVELPPTICGIASVNSISITNCPGFVELPKNLSKLRSLELLRLYACRELESLPEEICEVPSLKYLDVSQCMSLSSLPEELGKLSKLGKIDMRECSVSSIPSSAVSLTSLRHVICDEDGLCMWEEVKKAVPGLLVEAPDTCLSMDWKEKR >A08p041420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23367372:23370159:1 gene:A08p041420.1_BraROA transcript:A08p041420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISLFMSKIDRVWLWRFFAALSLFLHSPICYSDKAPNYSFMRDATGSPTTSYYDYIIIGGGTAGCPLAATLSQNSSVLLLERGGAPYNNPNITRLSAFGAALSDLSEASPSQRFISEDGVINARARVLGGGSALNAGFYTRAGTKYVRNMGWDGGLANESYSWVEAKVAFQPPMGRWQTAVRDGLLEVGIVPNNGFTYDHINGTKFGGTIFDHNGDRHTAADLLEYADPNGITVLLHATVHRILFRTRGTTKPIANGVVYRDRTGQAHRAYLKEGSSNEIIVSAGTLGSPQLLMLSGVGPSAQLQAQNITVVMDQPHVGQGMYDNPMNAVFIPSPVPVEVSLIEVVGITGEGTYVEAAGGENFGGGGSSGSGSSARDYYAMFSPKATLLESNSMKLSSAQPFQGGFILEKVMGPLSTGHLELRTRNPNDNPVVTFNYFQHPDDLKRCVRGIQTIERVVQSKAFARFKYADMPFEYLLNLTASTPVNLRPPRSGPGASLPPSAEEFCQHTVTTIWHYHGGCVVGRVVDGDYKVIGIDRLRVIDMSTVGYCPGTNPQATVMMLGRYMGVKIMRERLINK >A02g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8444226:8445080:1 gene:A02g502580.1_BraROA transcript:A02g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRGWDPGIYGVKRIWEDHFPDNQIGQIWNQEENLVTRFIWDSRRFFGGREESGIDSIWLLSSFSDWIWKSKERPKGIQGTGFVFIKTRFSLMIYAVRNHKSQLVGKGGDMKNGEGIRKRLKIYVPHLDNSDLIKSYSMTLTGRAYAIGETTEVDLDYGKIRVVIDDFKELCFDFTESLRETKL >A01p053910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29774688:29778563:1 gene:A01p053910.1_BraROA transcript:A01p053910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQQATGITCAINGNFSGGKAQEIAVARGKILDLLRPDENGKIQTIHSVEVFGAIRSLAQFRLTGGQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAVDPKGRAVMVGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTICYSVCGVDCGFDNPVFAAVELDYSEADQDPTGQASSEAQKHLTFYELDLGLNHVSRKWSEPVDNGANMLVTVPGGADGPSGVLVCAENFVIYKNQGHADVRAVIPRRADLPAERGVLVVSAAMHKQKTTFFFLLQTEYGDVFKATLDHDGDHVSELKIKYFDTIPVAASICVLKLGFLFAASEFGNHGLYQFQAIGDEPDVESSSSNLMETEDGFQPVFFQPRRLKNLVRIDQVESLMPLMDMKVLNLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGQPSAVWTVKKNVSDEFDAYIVVSFTNATLVLSIGETVEEVNDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRSIVKVGYNRLQVVIALSGGELIYFEADMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTVRILSLDPDDCLQILSVQSVSSAPESLLFLEVQASIGGDDGADHPASLFLNSGLQNGVLFRTVVDMVTGQLSDSRSRFLGLKPPKLFAISVRGRSAMLCLSSRPWLGYIHRGHFHLTPLSYETLEFAAPFSSDQCAEGVVSVAGDALRIFMFDRLGETFNETMVPLRYTPRKFVVHPKKKLLVIVESDQGAFTAEEREAARKECFQAGGAGENGNGSADQMENGGDDEDKEDPLCDEQYGYPKAESEKWVSCIRVVDPKTADTTCLLELQDNEAAYSVCTVNFHDKEYGTLLAVGTVKGMQFWPKKRLVAGFIHIYRFVEEGRKLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIISIQTYRDRIYVGDIQESFHYCKYRRDENQLYIFADDCVPRWLTASHHVDFDTMAGADKFGNIYFVRLPQDVSEEIEEDPTGGKIKWEQGKLNGAPNKVDEIVQFHVGDVVTCLQKASMIPGGSESIMYGTVMGSIGALHAFTSRDDVDFFSHLEMHMRQEYPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPIDLQRKIADELDRTPAEILKKLEDARNKII >A01p016120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7993107:7993631:-1 gene:A01p016120.1_BraROA transcript:A01p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLAKCFNGLQERYESVLEAKSIFKDDLTSPLNGSECSVEPIKKSRCLQRAKRIDRSLRFEDEDEEMAKPLKPRKVVRFQLEKNKIFEPNKPVRYEFEPEEKPLEEKEGSNKVEGKEEVVRVKIKMTKQEAQRLLAKCKDDNVLDLEHVVDQIAYFSNHQLQLDVVVVASKL >A01p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5538721:5540363:1 gene:A01p011390.1_BraROA transcript:A01p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFLQEMPAAVVDGHDQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVVCLKHCFFSTTSKDELFLNLVMEYVPESLYRVLKHYSTANQRMPLVYVKLYMYQIFRGLAYIHNVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKQLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPHYTDFRFPQIKAHPWHKIFHKRMPPEAIDFASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPFPPLFNFKQEVAGASPELVNKLIPDHIKRQLGLSFLNQSGT >A09g516840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49565934:49568317:1 gene:A09g516840.1_BraROA transcript:A09g516840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKQMSSKRDDDTIPTSQSSPYSPKALKHPRSLPRSLHYLFREQRLLFILVGILIGSTFFILQPSLSRLSPAESTSLIARSASSTDYSPSRMSFNYGGGKTGRVPVGINPRRLRIVVTGGAGFVGSHLVDKLIGRGDEVIVIDNFFTGRKENLVHLFSNPRFELIRHDVVEPILLETNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTIRKHPMTVYGDGKQTRSFQYVSDLVDGLVALMENDHVGPFNLGNPGEFTMLELAEVVKEVIDTSATIEFKPNTADDPHKRKPDISKAKELLNWEPKISLRDGLPRMVSDFRNRILNEDEGKGL >A03p056720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24564607:24565961:-1 gene:A03p056720.1_BraROA transcript:A03p056720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNVIQSSVRVSSATSLTFSSTIKSFVTPSLCLATHRLSLSPPANLNLLRIPSSPPSLRRGFRSGRIAAMASSAPGSVNKPEEEWRAILSPEQFRILRQKGTEYPGTGEYNKVFTDGIYSCAGCETPLYKSATKFDSGCGWPAFFDGIPGAINRTADPDGRRIEITCAACGGHLGHVFKGEGFPTPTDERHCVNSVSLKFAPGNAAL >A03p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17009803:17011862:-1 gene:A03p040780.1_BraROA transcript:A03p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVLRTGPCLVNIKIEMDQIVNVNEFQELARQALPKMYYDYYSGAAEDKHTLKENVEAFSRIMFRPRVLVDVSKIDMSTRILGYPISAPIMIAPTGNHMLAHPQGETVTAKAAAACNTIMIVSCMSSCTLEEVASSCNAVRFLQIYVFERRDVTAQVVKRAEKAGYKAIVLTVDVPKLGRREADIQNKMIPPKLRNFEGLTEVQPSEGSGVEAFASRALDASLNWKDIEWLRSITKLPILIKGILTPEDALKAVEAGVDGIVVSNHGGRQLDYSPATITVLEEVVHVVKGRIPVLLDGGVRRGTDVFKALALGAQAVLIGRPIVYGLAAKGEDGVKKVIEMLKNEFELTMALSGCPTIGDITRNHVRTEDERLKSNL >A03p040330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16803529:16806068:1 gene:A03p040330.1_BraROA transcript:A03p040330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGKLLTVFVCLVSTVALVNAGDPYFYYTWNVTYGTASPLGIPQQVILINGQFPGPNLNSTSNNNVVINVFNNLDEPFLLTWSGLQHRKNSWQDGVTGTSCPIPAGTNYTYHFQPKDQIGSYFYYPSTALHRFSGGFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTKSHTALKNFLDSGRTLGSPDGVLINGKSGKVGGQDKPLFTMKPGKTYKYRICNVGFKSTLNFRIQGHKMKLVEMEGSHVLQNDYDSLDVHVGQCFAVLVTADQAAKNYYMVASTRFLKKEVSTVGVMSYEGSTVQPSSELPKAPVGWAWSLNQYRSFRWNLTASAARPNPQGSYHYGKINITRTIKLANTKSVVNGKVRFGFNGVSHVDTETPLKLAEYFEMSEKVFKYNVIKDEPAAKVTTLTVEPNVLNITFRTFVEIVFENHEKSMQSFHLDGYSFFAVAWTPEKRKNYNLLDAVSRHTVQVYPKSWSAILLTFDNAGMWNIRSENWERRYLGQQLYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPTPYTI >A10p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9211724:9212140:-1 gene:A10p005210.1_BraROA transcript:A10p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRRDRHWKLSSFGFTATESLEIAARRRHGNWTCEEADRFLGFVSPATEILATSGHGGDAIIGFDLLSSKPLFMNPSECSNSYSSKLKAPKPVTCSSST >A05g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6188992:6190265:-1 gene:A05g501830.1_BraROA transcript:A05g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKTKSTSMMYSIKGIYWIASGICEPMLTSKPWLDPSLMGEAKILVEVKQDKSFPRKLALEDQSGSMSMCLTSIRCTWCCDVGADVACGGVIRCVEVIKLCVDVLVGILGVLGVNLLIALYPLYIEAQVNLPIKFCT >A02p056660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34133304:34134246:1 gene:A02p056660.1_BraROA transcript:A02p056660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPERKAREKTCSSSSSYLLRCFGISRKIHSHKQMLDDGAGGQEKKKKTRSRWFSRATAFRVKNCEITTTTICETKKHNLTIEDDKQNLFRVIRQVTDPKNITAVGQHETKEKNTSQQRDINPEPLTLSGYDMCCEQASVRVGKLEPTKPVRSGPKREKNSRVRKASWVDPVIGISIIMLTLMIMLMWGRLCAVLCTCTWCYFLPRLKRKRVGGGKAEGKDVLDLNSAAYKKKIVLDGFLVRQQRRVLM >A08p018530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12761865:12763057:1 gene:A08p018530.1_BraROA transcript:A08p018530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNARDEANSVHNNNNASPLEDNDGEIHSRHHHQPMSSDTAPPPPPELMGQSPPQSPRATQSPLMFAPQVPVLPLQRPDEIHIPNPSWMQAPSYEEACNEQGIPTMITWCHGGKEIAVEGSWDNWKTRSRLQRSGKDFTIMKVLPSGVYEYRFIVDGQWRHAPELPLARDDAGNTFNLLDLQDYVPEDIESISGFEPPQSPEASYSSLLLGAEDYSKEPPLVPPHLQMTLLNLPPASPDIPSPLPRPQHVILNHLYMQKGKSGPSVVALGSTHRFLAKYVTVVLYKSLQR >A09g513100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39789461:39789849:1 gene:A09g513100.1_BraROA transcript:A09g513100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLISALVNTDLPLTVYKMGFIDLTIITPYEFNVAFYVDVVGQAIFIGELQTLQCSRKERKKIKFTLGGIK >A04g508270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21529739:21530009:-1 gene:A04g508270.1_BraROA transcript:A04g508270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSVFHHLMSRSPSTTTPPRRSLMVDETRPAVVAVAVVRRRQGQTWRLEEAWPLIFFR >A09p067320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53149996:53150935:-1 gene:A09p067320.1_BraROA transcript:A09p067320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEFEWIRLPLKPLCLRKFGESPKNNRIFNNKDTTYEEVITKATAAAHEWLREQSTIPKPKVTVPTPSHHGPTSYTSINSDAAWRSDMHLAGLGWTIKEGNLTSSFLSHCYFVNSPLVAEGLALREALTCCIAKGIRAMHCNSDSLQIIRAINEEAPMPEIYGIVSDILNLVFAFDFITFVWIQRSNDKAVDILAKQALLNAPFVSPPMNPGG >A09g511970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35267800:35268961:-1 gene:A09g511970.1_BraROA transcript:A09g511970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYYINKPPSTETTLKPFLGRKPVTMDPSGAYEVFLKQYQILEDLIRSTATKEELSAFFALENKRWPTRKDTWRDNKAELVPRQHRQESVDNCWAIGIFRQWYSLSVQMGLPTSDLSVKKLTLGISYLHKSEEHGLANWDAVISYMVGNGFVNDMRIHYKPKGHAEEETYETYLLHLRSRGPIAVSFCAFPSYNVNPGFRILCPTPVEIARSAFEYNYSKHVALLMGRGVDVEGNEYWELFESSGRKWGDSGFVRLAMHQGLIDFAVEMEM >A01p001250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:470150:476826:-1 gene:A01p001250.1_BraROA transcript:A01p001250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cinnamyl alcohol dehydrogenase 6 [Source:Projected from Arabidopsis thaliana (AT4G37970) UniProtKB/Swiss-Prot;Acc:O65621] MRRVSNVLGIVSWLVDFVSNQNYTHRLDLVSVNEAKPNPIFMTGLIGLDIAIGSDNFQNRTTINWSKLERNKSEIMQRLGEKYQSVEAFGWAARDSSGHLSPFVFSRRETGEEEVRVKVLYCGVCHSDLHCLKNEWHSSIYPLVPGHEIVGEVTEIGRKVSKFSIGDKIGVGCIVDSCRACESCREDQENYCTKSVATYNGVHYDGTVNYGGYSDHIVVDECYAVKIPHTLPLASAAPLLCAGISMYSPMKYFGLAGQGKHIGIVGLGGLGHIGVRFAKAFGSKVTVVSSTAGKSKDALENFGADGFLVSTNEDQMQAAMGTMDGIIDTVSASHPILPLVGLLKPNGKLVLLGATEKPFDLHAFSLILGRKSIAGSAIGGIKETQEMINFAAEHGIKAEIETISMEYVNTAMDRLAKGDVRYRFVIDIANTLAVPETEAFGLAAKDESGVLSPFRFSRRETGEKDVRLKVLFCGICHTDVCMARNEWGFTTYPLVPGHEIVGVVTEVGAKVIKFKAGDKVGVGYMLSSCRSCDICTDDQENHCPKMIMTSGGKYYDDTMTYGGYSDHLVCEEDYIIRIPENLALDAAAPLLCAGVTVYSPLKYHGLDKPGIHIGVVGLGGLGHVAVKFAKAMGIKVTVISSLESKRDEAINRLGADLFLVSRDPEEMKDAMGTMDSIIDTVSATHPLLPLLGLLKYKGKLIMVGAPDKPLDLPALPLILGKKMLVGSMTGGIKESQEMVDFAGKHNITADIELISADYVNTAMERLEKGDVRYRFVIDVANTLKPTP >A09g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21529292:21536716:1 gene:A09g507390.1_BraROA transcript:A09g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWSTTWSSWRHLEAFGAQKGVFRVVIGRARHGSDQSGATPPSRSDLPIRATLPERQGEVARVLVTRRRENEHGATSRSDTARSLPKPGATLPERQGDVARVFITRRRENEPRATSRSDTARSLPKPGATYRSDGLRSLRLLFLLELVISQGPFGATKRRIIFVLRKNHQKPLESDLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEISDSKLDHPRSNPYIPEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQHKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPEVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNKFRALENQFAAMNTQQNRLGARLKGRSSWERRSHSDQSRSLALATFCAPKTPSERPLGAST >A07p041190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22253955:22255994:-1 gene:A07p041190.1_BraROA transcript:A07p041190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSQKTNIRDRERALSSPLASLDGGKVATACLVHNHRLQETVRMRIISMASTIRPSLLGCVSASSPRFPVVSRNLPRSTLSFSHVTQPKLLTLRRSVSCLGVAESGKASTAATEEDLLKWVKDDNRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVIELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELIKAKGGKVTREPGAVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSIKFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKTAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAVKLFGGKITREPGPLPGISTKITACLDPDGWKSVFVDNVDFLKELE >A05p003170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1146807:1149486:1 gene:A05p003170.1_BraROA transcript:A05p003170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSPDLESTGSGTTTKRSSVSSGSRSRTRREFLTRFTNSEHFTDKLQDWFSLSLQNSESNEPVFDPPFELVELQKFDYALEGVSFQQLTRMPNAVYASTSASMEANAYLAIEDFLHATVKTLWEAFWSQEDEPVPFSVGCLYNQNLKFYQAEQALALGKLEDLSATGVLLKNPRHPHGKWDHILELALLRPDIESDHHQPSLPLLGEALFYALRILIARSVSRLDFSQSSNCVFILVADTQYGCVVKVEGDVSKLDFDVNSVYDCAAEWIKNHSKISVSPVDRIWNKLGNANWGDIGTLQVVFATYHSIMQFFGPPRHSIEDLAADHTSRLHSRRQERHLGEEASLNENGAFRSPEIVEVQEEQEERGESMKLEVGSVLWLEDSNYQKGYQISHVSLPHHIASPVEDDDDSGRAVFLYVGSPPSQLEPAWEDMNLWYQVQRQTKVLSVMKQRGLSSKYLPELHGSGRVIHPGQCQKPSSGGRCDHPCCGTPILATTPVGETVADLVNEGRFGPEEAIRCCHDCLSALSSSSSGGIRHGDIRPENVVYVTSGVRQPYFVLIGWGHAVLEDRDRPAMNLHFSSTYALQEGKLCAASDAESLIYMLYFCCGGDLPDLDSVEGALQWRETSWSKRLIQQKLGDVSTILKAFSDYVDSLCGTPYPLDCDIWLRRLKRNLSEDHGKDIETSG >A05p054330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32296087:32297633:-1 gene:A05p054330.1_BraROA transcript:A05p054330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLLLLLLAALSPPATSHYSSSTSSPSSSSVSEWRPARATYYAATNPRDLVGGACGYGDLVKSGYGMATVGLSETLFERGQICGACFELRCVDDLRWCIPGTSIIVTATNFCAPNYGFDPDGGGHCNPPNKHFVLPIEAFEKIAIWKAGNMPVQYRRINCRKEGSIRFTVDGGGIFISVLITNVAGSGDISAVKIKGSRTGWLPMGRNWGQNWHINADLKNQALSFEVTSSDKSTVTAYNVAPRNWDYGQTFEGKQFETP >A06p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4349734:4351749:-1 gene:A06p011680.1_BraROA transcript:A06p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELEELVEFLSSPSPPVKKAAVEIVSGLTGSEEGLQSLSKYSEILLPSLSRLLSESKEVSEPSAQALVNLSQNSELARKMIQMGLIRVAMDMLYKPESCITRLLVMLLVNLTQLDDGVASLLQIEDEKMRGLHIMKLVRSFCRSSGETADDQFEHVGSILVNISKTEDGRKMLLEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCSFEAKNQLENILLISEFLWPALLLPVAGSKIYSEEDISKMPPELGSALAIEREPVTDADIRVQTLEAIYLIILQEAGRRAFWSVNGPRILQVGYEYEEDSKVMRAYEQVGSLVCSTLYLSISLDHWLTLLDLRKITLLVLGCLILNQLVEESGGPEESPSEPTKADS >A07g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1238951:1240190:1 gene:A07g500610.1_BraROA transcript:A07g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSVQVVAPCHETDVAAPLPQVLPAGSSTTPILVEDKEKAADSMPLPPARKEIVLVLRAPSAVLATQPKRRKRKLAKSGDGETSQRGGSSLALGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVGDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQPRRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGRMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSVCFLPTCSEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A06p034590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18709090:18711896:-1 gene:A06p034590.1_BraROA transcript:A06p034590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTGRQEPAGQGEHDDEPLTPTARLFTAPEFNCYITAVIGLKSKINRDVFIEGLEQTLLKHPRFSSILVNDSSQEQKWVRTKVVAGDHVIIPKIELEDANADAFLESYVSDLTTIPLDTSKPLWEVHLLDLKTSDAQNVVVLKIHHSVGDGMSLMSLVHACTRKTSNHEELPSLPNENRLSSKSMAGYSRLIWMVMLVWNTLCDALKFIATTMFLKDTDTPIKGDFRLSKSKRMCLVHRTVDLEDIKLIKNAMKMTVNDVLLGVAQAALSEYLERRYGEKEKKAGEHESKRNSSNMLKEIRLRACLLVNIRPTTGDLANMMAKGSKCKWGNWIGYMLFPLSLALHDDPLEHLRRVKSIIQRKKNSLEALLTFTSTKILIKMLGVV >A01g508970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24273596:24275068:-1 gene:A01g508970.1_BraROA transcript:A01g508970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDKKWALGLLIFCLLGFVSAQSGDTDKAKKIREAAIFTISFVACDSPSGHQLLWSIFKTLRMFCAYQTLSFSSNAFRALIYIESLEVKAPSFFRSLYFLTPVAKMTYPAVPAASAAIATVPYSTFNSLRLGRSIQSIVGRLIRFLDSRNINKNGEFMGIPILLLDELGADLLRRGATRHDFSSSTILETLMNHKANIRALPPLSLKREEKWSAAFWKMVTWSLQDEDGTRDEEDEEKCYLEYPNVEDVD >A06p003460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4681735:4682769:-1 gene:A06p003460.1_BraROA transcript:A06p003460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEHAKAETPTVSSGCFLPLKKRMKLHNNPPNNALEVVVSGSAKYKGVVQLPNGHWGAQIYTDHKRIWLGTFRSADVAAAAYDSASIKIRSYDANMYRNFPWSEFTVHEPAFQNEHTTEAVLSMIKDGTYQRKYREFLKKVQSKIVGGYNIFRSKEDQESKKCFSCTELFRKELTPSDVGKLNRLVIPKKYAVRCLPLLRDDQKEREEGEIVEDVEVVFYDREMRQWKFRYCYWRSGQSFVFTSGWNGFVKEKSLKEKDTIVFYTCDVPTLEGQRKKFLMIDVHCSSDEGSAVCDEEVNETVRYSSEGGVKTENFSNSKLEEGEETKSVENKGGFMLFGVRIQ >A05g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10169042:10169684:-1 gene:A05g503490.1_BraROA transcript:A05g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDPNRRRLSVSSHFARLLHRIKDRDRRPTTSLWLLTEIPPPSPPKPTIKTEDESRGPHGVSSHFCRLLRQIKDQNRRPTTSLWLLTEIPPPSPPKPTIETEDESGDPHGVSSHFSHLLRQIKDRNRRPTTSLWLLTEIPPPSCECRRLCPC >A04p002750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1323984:1325420:1 gene:A04p002750.1_BraROA transcript:A04p002750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSEEILQCMSSSLSQIKWRIKPSSKRRLDIDVLALCTGMRPVVMIDYGGKLPELQTRLLSLLELLQEALPVFKSLRVMVIEDMIYLINVRTLPKWLSSEPELFFVDLEQDPPQMVKQSKESDLGMQFRSIQKLFSSTFPLHGSNNDTTTALDEANSSLFIDLSCCLHDTKVTIPTLNGWLLDYPVVYLFGTDHIEDAIYNLSTKSLRIFKVLVQRNCATGEDSYSEELTSFSVPYDLSMGGSKEVWAESFLERMSSRWEECKHIWSSLDLQVSECYPQAMVL >A10p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12650230:12653630:-1 gene:A10p018010.1_BraROA transcript:A10p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKDILTTLHKLDGGEYGNVYSLPALSDPRAFTGVPVIVDLTAMRDALKSLGHDPNKINPRVLVDLLFDHSDQVYGAKPEIWGKGMQLESDRNWERFMFLKWGSSAFYNMFINKARVLALRIRSGSVSNGYTHPHGGSSARVNLMHLQYKGMRENPKRAFQVYMVELDIQVPLAYDPFAEAQDTDAPGAKEYIHVRIQQRNGKKSLTTVQGLKKEYSYEKILKDLKKDFCCNGNVVQDKVLGKIIQLQGDQRKKVSHFLVQTGIAKKDQIKIHGF >A06p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17310614:17312607:-1 gene:A06p032480.1_BraROA transcript:A06p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLMSFPFITDLSVLVFNQMALIFHSFKSRYINFRYVFQIWKSSGTTYQLVMTYNSVVRPTTYNSVVRPTTYNSVVRPTTYIRLNFRSSQKTYFKVNCKNNLCINQTTSSTDKASPSTQNLKRKIKILVFLAECGEKVREMLCLVYKNGERRRLNRFWEH >A02p018090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8251364:8258175:-1 gene:A02p018090.1_BraROA transcript:A02p018090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLGVSQFLYLFFNVVVIVLSLMFLKLFKRCWISPVRAQTKLRQNGFVGPATSFPLRKVFVYWLGIEPFVYVADPEFLSDIERCIGEELGKTKCDDWTRHRHMITPAFSPLNLKGMANMMVESTTNMLDRWAIQINSGNPVFDMEKEIVVTAGEISAKTSFGVTGENATQFLKNLRAMQLALFHSHRYVGVPFSGILDFKQTLEAKGVSLLKAEKGEFTATELVDECKTFFFAGVETTALALTWTFMLLAIHPKWQDTLRDEIRQVIGDSEIEYKKLAGLKKSNSFRHRFPPTTQQLFHLLPPPFSIVFGVRNWVMQMSWVMNEVLRLYPSALNAQRQARRDIEVNGRVIPNGTNIWIDVVAMHHDVDLWGDDFNEFKPERFDGDLYGGCKNKMGFMPFGFGGRMCIGRNMAFMEYKILFSLVLSRFEISFSPGYRHSPKHVFSLRPGYDLPLIVRAL >A09g504060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12671257:12672530:1 gene:A09g504060.1_BraROA transcript:A09g504060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSFSLRRFVERRRRLSFSPSYRRRRVSSTSSGAYRGLLIYGCKSSCRFNLEFLYRGFEIDLGGVSFYGCKASCGFDLGMVSTVCFSFCSNQYGFVVQMDLGEDRRDTKREKDYVNMLGYVADSEYGIPTRCPCGGRIIDEVRGKEDYNTLPGKRFFTCKNYQGDGFHYRQPWVIGVQEEIERMTKRVEEAEQVINGVPKLNNQLETLEAQVKILTVQVDNLHVQVTDMEKMECLSKRLEEAEEVIKGVPDLNKKIETLEAQVEFLTGQVDNLTAHVETLEKLCFE >A06p044590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23945433:23949790:1 gene:A06p044590.1_BraROA transcript:A06p044590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transport protein 8 [Source:Projected from Arabidopsis thaliana (AT5G26250) UniProtKB/Swiss-Prot;Acc:Q9SBA7] MAGGFVAFSGDSPAFQAKMTVYVFICVVIAAVGGLIFGYDIGISGGVTAMDDFLMKFFPAVYERKKQAHEDNYCKYDNQFLQLFTSSLYLAALVASFFASATCSKLGRKPTMQLASVFFLIGVGLASGAANMYMLIMGRILLGFGVGFGNQAVPLFLSEIAPARLRGGLNIVFQLMITIGILIASVVNYFTSSIHPYGWRLALGGAGIPALILLFGSLLICETPTSLIERNKTEEGKATLKKIRGVEDVDEEYQSLVNACEFARQVKDPYRRLMKPESRPPLVIGLLLQLFQQFTGINAIMFYAPVLFQTVGFGNNAALLSAVITGSVNVVSTLVGILLVDRTGRRFLLLQAAVQMLSCQLVIGIILAKDLGVKGTLGKTEAMIVVILVCVYVMGFAWSWGPLGWLIPSETFPLETRTEGYALAVSCNMFFTFVIAQAFLSMLCAMKSGIFFFFSAWIVVMGLFALFFVPETKGVSIDDIKDSVWKRHWYWKSAWIVVMGLFALFFVPETKGVSIDDIKDSVWKRHWYWKRFMHQNDEHD >A09p069200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53987010:53987305:-1 gene:A09p069200.1_BraROA transcript:A09p069200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSHKSFMIKKKLAKKMRQNRPIPHWIRLRTDNTIRLVIFCFVYSMVDRFSTTQSVGTGAEPNLDSKRLRLRIVEARLFPRLLSF >A01g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20107387:20108775:-1 gene:A01g506860.1_BraROA transcript:A01g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMFSPSTTTTKSSSSEISRWVTTSSVAISAHPFLGGLEPAKEDAYWHGDATHRRTGTVGSFIQGFISPGRFEKYMFDMKPGSVYKLRNFYGSRNKTVFRVAGDQAVFVLLGDAGRELTGRPASELVRSYFEANGNAGVDQEAPIPEALTSTIGQRRKFCVKVTEHNFSGKTRSLTVTKILDLDTPPATVSSEGNQTTAPSDVSSENRVVSAEAGKRTCDSSEVEKAKRPKCGH >A05p034430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19332692:19333557:1 gene:A05p034430.1_BraROA transcript:A05p034430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKEDFEEHAEKVKKLTASPSNEDLLILYGLYKQATVGPVTTSRPGMFSMKERAKWDAWKAVEGKSTDEAMSDYITKVKQLLEAEASSASA >A03p058850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25551561:25552734:1 gene:A03p058850.1_BraROA transcript:A03p058850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEHKHDESVTASEPAVEVVERESLMDKISEKLHHGGDSSSSDEDEKKKKSSESPSSMKSKVYRLFGREKPVHKVLGGGKPADIFMWKNKKMSGGVLGGATAAWVLFELMEYHFLTLLCHVMIVVLAVLFLWSNATMFINKSPPKIPEVHIPEEPILQLASGLRVEINRGFSSLREIASGRDLKKFLAAIAGLWFVSILGGWFNFLTLAYIALVLLFTVPLAYDKYEDKVDPLGEKAMIEIKKQYAVLDEKVLSKIPLGPLKNKKKD >A03p018040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7365351:7365569:-1 gene:A03p018040.1_BraROA transcript:A03p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQIVLVIILVVIFLIVLDVTQVEARRMRPFPDAVDEIKLLFQALQRGPVTGSGPNGCTNIPRGSGRCRNG >A01g502140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7618358:7618773:1 gene:A01g502140.1_BraROA transcript:A01g502140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPKNLSILFVFSVFYLRSQLLSLLCHQSIGTTEELLSISRIHGDEPNGETCLHLTRAIRFREASDCYIS >A02p020420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9554789:9557512:1 gene:A02p020420.1_BraROA transcript:A02p020420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLFLSPSKSMLSRIIWILFVIPSCVFSVDKLHQRCSGPFRCGDQRELYYPFWRSERENCGHPDFKLDCRGEFAELNISSVKYRILSMSYGSPVISLGRSDYIGNLCPADTGDAPFDQSVLQITRDNDLLTLYYDCNSFSLPATTGSNFFGELGCEDDIGDRKSYYVTRNISSSLPNEISGLINKAICRRDVTIPVSIKALKEMEGSSSPDSLEKALEQGFELEVSPDCSRCFKSGGACGYDQTSNSFVCYCEDKPYSSICGDEGLSTAAKAGIGSACGLVGLFLIAVVLFCLFRRRKKTQTDQYTSKDLPVTSYSSRETSSYPTSTTISSSSNHSLLPSISNLQNGSTYFGVQVFSYEELEEATDNFSRELGDGGFGTVYYDGRAVAVKRLYERSLKRVEQFKNEIDILKSLKHPNLVILYGCTSRHSRELLLVYEYISNGTLADHLHGDRAQPRPLCWPVRLNVAIETASALSFLHTSGIIHRDVKTTNILLDDDYTVKVADFGLSRLFPMDQTHISTAPQGTPGYVDPEYYQCYRLNEKSDVYSFGVVLTELISSKEAVDITRHRHDINLANMAVSKIQNNALHELVDPSLGFAKDPEVKRKMMAVAELAFRCLQQEREVRPSMDEIVEILKRIKGENRVESSSPDVVDIERSGGDDVGLLRHCHSVPPPISPETDKWTSSSDTAASSF >A05p023250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11251886:11254703:-1 gene:A05p023250.1_BraROA transcript:A05p023250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLAFPLSPTHSSLPPLIHSSQTSQFNLGLVNDNMDNPFENQEWNMINPHGGGGEGGEIPKVADFLGVSKSDNHQADLVPYNDIHQTNDSDYYFQTNSLLPTVVTCASNTPNNYELQESAHNLQSLTLSMGSAGDAAAAAAAVKASPGETSADNSSSTTNISGGTTVEAAPRRTVETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPITNYEKEVEEMKNMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALSSNFHQYGAASGSSSGLQLQPYPLSIQQPFEHLHHQPLLTLQNNNDVSQYNTNVHDSYSYIQTQLHLHQQQTNNYLQSSSHNSQLYNAYLQSNPGLLHGFVSDNNNTPAVYGNNGIGIGSTSTVGTSAEEEFPTVKVDYDMPPLGGATGCERWTNGEHGQGSNPGGVFTMWNE >A08p034560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20548020:20549733:-1 gene:A08p034560.1_BraROA transcript:A08p034560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIVSSYHPCLAPPSPVLRQRLLCHRIPSIRLPSHRSTTSLGLFTCAAVSRESEMAAAAKEDGQDPRIPKIASSIRVIPDFPKPGIMFQDITTLLLDTEAFKDTIDIFVERYKGKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGKVISEEYSLEYGTDKIEMHVGAVEPGERAIIIDDLIATGGTLAAAIRLLERVGVKIVECACVIELPELKGREKLGEAPLFILVTSDAA >A05g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29717770:29718693:-1 gene:A05g509930.1_BraROA transcript:A05g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative defensin-like protein 73 [Source:Projected from Arabidopsis thaliana (AT3G06985) UniProtKB/Swiss-Prot;Acc:P82759] MKINCKTGFMSFLMIASVLIIFLVAPEKVEAEPQCIGLCGMIFDCPTACIRMGYQSGQCVGWENPDQSFGNQSADVRKTVVFCLVDIYIMIVLPKNIYIYIYIYIYNDWESIPYLEGLNSTQARLVIYANRISQARTGAPIDPDT >A02p049620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30739400:30740951:-1 gene:A02p049620.1_BraROA transcript:A02p049620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDGNGGGVWLGGGGGGGERVHEEEDGAAQFKPMLEGGDWFSNQPQELQSHHQDFRFLGGGGFAFNPIDSYSSCSPSQAFSLDMSHQLSFLAAANNNNHFDNNNNAFEFGSDSGFLGHIQAPMGFGSLMQLSSVPELCGGGGGFTPLETEGFGSLASGGFVGSRAKVLKPLEVLASSGAQPTLFQKRAAMRQSSGRRKLSDDGEMDETGVDVSGLNYESDELNESGKADESVQNGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPNGSLPLTSSSFHPLTPTPQTLSCRVKEELCPSSLPSPKGQQARVEVRLREGRAVNIHMFCGRRPGLLLATMKALDNLGLDVQQAVISCFNGFALDVFRAEQCQEGQEILPDQIKAVLFDTAGYAGMI >A01p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28399254:28401136:1 gene:A01p050430.1_BraROA transcript:A01p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSKTQLPLTLPVINFSIPNLKPETPEWNSARAQVRRALQDYGCFEALFDGASAELRKALFEASEEAFDLPLETKLSTKESDEIYKGYVGQVSTIPLYEGMGFDGADSPQVVDELTYKLWPQGNITFSKNVQSFTENLISLDMKVRTMIMQSFGLDKYIEEHLNSAKNHFRLLKYRGLDENTEEQLGLDPHIDRHFLTILCQNDVVDGLEIKTKEGEDWIKAKPSQDSSFLVIAGASLHVLLNGRVFPPLHRVVITGKKDRYTAGLFLLPKKELIINAFEEMVDDEHPRLYKPFDYNAYFKFTYTDTKKRDLSALKTYCSLSKEN >A02g503810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12756110:12756738:-1 gene:A02g503810.1_BraROA transcript:A02g503810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGKLMHKNIIEIKGYYWTQSLQLLSYEFVSGEAYTDISMGTSALFASALDRCVLSGKLKSTLGYTALKFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYAEDDVMVLSETVREGLEEGRVEEFVDGRLRANFPAEEAIPVLKLGLVCGS >A09p048820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43359284:43359623:-1 gene:A09p048820.1_BraROA transcript:A09p048820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAKRKITQDGFILELSLKFLFLLPFSSPSTDSKEIGDTNVKRTEGCQVHVGVEKGH >A09g503560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11151210:11157543:-1 gene:A09g503560.1_BraROA transcript:A09g503560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKSNMGLRGIMEINAKIANRLVINDCFHIIQPKTFDSLLRTVSRLKVDSLIDHLPSLIRYLITQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVLECHMEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEVARVSMARRHEAKPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDSNHNASSELATQKLILRHFSPESALISLRTGSTIFYTTTFVLGALKTPNIRKRTSDEASMQHRIIYRG >A09g513880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42020237:42025189:-1 gene:A09g513880.1_BraROA transcript:A09g513880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKSKTLSVAVTLKGGTNYLLWSRLVKAAVGSKGLWSHISVGTSGAGQTMRDGEGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGNCMNSPLGYSLAASYIDRTDGYLSHRGNQSDGAHENEGSVSPDQQGMQNEDETEERDQFEVQSERGEEGEVLNEAATREPGVEIDPLMETVHIGLTVQGRTRPYGPYTSRQVKPRPRPLEDKRGAALQAGTAGKARASLSKILDAPSMWEL >A05p041130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25024048:25026258:-1 gene:A05p041130.1_BraROA transcript:A05p041130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSIPQLVPNLTSATMVDGSPRAVALDTELLASVPDTKLRLMCSFGGHIMPRPHDMALTYSSGETRLVVVDRRSSLASLRSRLSTMLLNGRSFTLKYQLPNEDLDSLVTITTDEDLENMIEEYDRATLSATTSATHRIRLFLFANKLETAATMGSLLNSAKSETWFVDALNQPGLLPRGLSDSAAVNNTLVNLDEETDVQELETVVGGENNKRGDYITKNVMSHQEMHMSSMPDSPMLDTTGGSSSSSPSTANLPTIHVRVSEEQFTQMSFSNVHTQSPLEDGIGLMENQPMMGYNNAPIDNVTAVRNCQASSDDDRSDPGVMVGYKKPPLPMQPLLIPLRGAGGYGLTSPDSIASDTSISSATSVSKPLYYQEQAPAIPKVPITQPETTLVQTSHGIPPHETTPVQTTPLILSQSGTYTTVDQQHQLPVQQSILHQGVQYIPHPSQCIPVYSHQHPVYVMSVPQSQQYVPSRTPPLYPNPRPEAAQNVYQAVISQNPQVHQIQQQQLQQPHHQYMSYSGGPVETMYFHAQRPPVSNAIPLVSPYQSMTPAAAAAALADMSKQMTLNNDKEQQHMAASQPL >A05p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1050892:1052951:1 gene:A05p002950.1_BraROA transcript:A05p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQERMSLSSSSSSSSSSSSSSSLSLSTMAIDGELWMVAEERAQEILNAIQPVFVSDKSRNEIIDYVQTLIKDRLGIEVFLFGSVPLKTYLPDGDIDLTVLTPQDKEEDLANALCSMLRAEDGGESDFHVTDVQYIPAQVKVIKCSIRNIAVDISFNQMAGLCALCFLEQVDQIFGKDHLFKRSIILIKAWCYYESRILGANTGLISTYALAVLVLHIINISYSSLSGPLAVLFKFLDYYASFDWDSYCVTVNGPVPISSLPDMPSNDAEVVLNEKFFRECIELYSVPTKAVEANGHYFPVKHFNIVDPLKHSNNLGRSVTKGNLQRIRHAFTLGARKLKDVLSVPGEAMGWKLEKFFCSSLERNGKGQRQDVEEPVVAFGTGRAEASELRGDFEGYFKSLVYGKGFHGETQHKWIPQGQGQDHTSSWDIVRWFVAGQKNDFHRRNMNGSSNSLQNMRRSRGTGTYIPEMSQQSYTERFSGKPSTVNSLPSASQSQLVKQNI >A01p036120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16632204:16633960:-1 gene:A01p036120.1_BraROA transcript:A01p036120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein AUXIN RESPONSE 4 [Source:Projected from Arabidopsis thaliana (AT1G54990) UniProtKB/Swiss-Prot;Acc:Q9FZ33] MAIITEEEEEETKPLVPPGSKESTMKNPFPFWFYFTIIFSLATLLFVSLSLYSSQNDPRSWFLSLPPALRQHYSDGRTLKVQVNPDELPIQVFVAESGPAQSENVIVVHGLGLSSYAFREMIQSLGSKGIHGVAIDLPGNGFSDKSMVVVGGDREIGFVGRVKEVYGLIQEKGVFWAFDHMVETGDLPYEEIIKLQNSKRRSLKAIELGSEETAKVLDQVIDTLGLSPVHLVLHDSALGLASNWVSENPQSVRSITLIDSSINPALPLWVLHIPVIREVLLGFSFAFKMLVSLRCSKDMTLSQIEAHRILLKGSNGREAVLGSLKKLNHSFDIALWGNSDAVNGIPMQVIWSKQVSDVWSEEGQRVSKALPKAKFVTHSGSRWPQESKSSELTGYIADFVSAFPISIKRVPEEPIPEKVQKILDEAKAGGDHDHAHAGYTDAYGLGEGWTT >A07p030840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17133197:17134509:1 gene:A07p030840.1_BraROA transcript:A07p030840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGEKSLIVSFGEMLIDFVPTVSGVSLAEAPGFIKAPGGAPANVAIAVSRLGGRAAFVGKLGDDEFGHMLAGILKQNGVSAEGINFDTGARTALAFVTLRSDGEREFMFYRNPSADMLLRPDELNLDLIKSAKVFHYGSISLIVEPCRSAHLKAMEVAKEAGALLSYDPNLRLPLWPSKEEAKKQILSIWDKAEVIKVSDEELMFLTGSDNVDDETALSLWHDNLKLLLVTLGEKGCKYYTKSFRGSVDPFHVNTVDTTGAGDSFVGALLCNIVDDRSVLEDEARLREVLRFANACGAITTTKKGAIPALPTVSEVQTLLNGN >A05p053650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31045616:31047435:-1 gene:A05p053650.1_BraROA transcript:A05p053650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSKANLSTLAEKCKTIIVSNWKGYLNTIKPEDKARSFATSSHIIHTSKVKYVMRRGKPYLWVPESEPHNVNIMFDERGSFSVAHPYPGPLAALLKSRGKVPNRVALTGEIIPVKEKRIEAVNKYVEEAIQSEMRAISETPYSVRSILSSSDHMYASRCESLKALVDGGSEKYVIYKFVPSSCMFIDANGANREIDLKVLELSKADPLGAWSTNLVDGINKDESRRRALILFCLYYLDINARDAYMVSVDTKGFDLLGKVPSEEEAGDEYQWREFRFEFEEETKDVEAFCHQLVEMEQEVVNKFTDHTGL >A01g506970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20389811:20393551:1 gene:A01g506970.1_BraROA transcript:A01g506970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGKGKSSISIWDDLFRGEEKPTPGWIMERLVRGKKYKDRLTRLRLSLLVLVEGILCPTCGTTNIRPEIVSMLGDLDAFLKYPWGRESFLLTVRSTKARSAVNYVKDTMALQGFTHAMVLVTVTACPSIIIKTGGADPLADSNLSSEEIIRRVVDRKVVVNIVSVKSVDQLGQVTEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLEAATTAIGHLETTVTGEFDKINQLLKSGLRGADMGATYGFSPGRHSSPFPGQNDDFNYTKVDPDRHTTHSGVPQSTPRDGEDVAATETASVGLGQNLDEGERGEGLSPGKQTESTHGAEFRAETGVQHVGDADIGHDPINVEVGEQGGDAEMGHDPINVENPSHSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKILSEAGIDKTSSDVNPEKVGLDAVHDDRGEAAVGNKGDDVDEDDVTITKVQAGHKNTDAADGQVDGGRRFSRRTHISTKRYTPPAPTVRKKDGNKKVVRQTDDNPAPPKRVKKVAAEPSNPKPRPQEKHTFIGGFSPFTPPTPAAREAFLMTMAEAKSNVPSLGSISSIASLDDLFHCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFTDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYTLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVVKDVVNPISIMMPHMVSRFCLTSRPRELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTLGMFKVVPPNPAV >A05p038640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23700802:23720058:-1 gene:A05p038640.1_BraROA transcript:A05p038640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVVMMILLCLISLLCFVTFFKKPKDSSDLPPSPPSMPIIGHLHLLLSSLIHKSFQKISSNYGPLLHLRIFNVPIVLVSSASVANEIFKSHDVNISSRGLPPIDESLFFGSSGFFSAPHGDYWKFMKKLIVAKLLGPQAIERSRTIREEELERFYFDLLEKAMKKETVEIRREAMKFTNNSTCKMIIGRRCWEENGEGERVRGLITESIALTKKVLFATLLRKPLEKLGIPLFKKEIMDISSRYNEVLESFLVEHETKLEKHHQGKDLMDVLLEAKEDENAEYKITRDHIKSLFVELFLGGTDTSKQTIQWTMAIIINNPKVIERLREEMDSVVGKSRLIQETDLPNFPYLQAVIKEGLRMYPPVPLFGRRLQEGCKMGGFYVAEKTTLVVNGYAIMRDPNYWEDPDEFKPERFIGEQGDEIREQVLKYLSFGSGRRGCPGSNLAYIFLGTAIGMMIQCFDWRIKEVTVNLEETLSGMVLTMAHPLKCTPVPRICSFPKPKDGFELPPSPPSLPIIGHLHLLLTGSTHKALQKLSSRYGPLFHLNIFSVPVIFVSSASVAYEIFREHDVNFSFRGTPPIDESLLVGSFGFFTAPYGDYWKFMKKIMVTKLLGPQALERSRGIRDYERERLYASLLDKAVRNESVEIGKEAMKFANNIICKMIMGRSCNEENGEAERVRDLVAESTALTMKIFVANMFQRPLKKLGITLFRNEIMSVSCRFDEVLERILEEHEKKKDDDQDMDLVDVLLETCRDETAEYRITRNHIKSLFLDLVIAGSDTSRHATQWTMAEIINNPKVLERLREEIGSVVGETRLVQETDLENLPYLQATVKEGLRLHPPGALFARSSREGCKIRGFYVPENTPLVVNAYAVMRDPDSWENPNEFKPERFIGFPCSRQQDERDHALKYIPFGSGRRGCPGSNLSYIIVGTAIGVMVQCFDWKIKADEFDMDEAPRALVLTMARPLKCIPVARTCRFQVPDLESDVTELSDIILKKQQGQSYVPLEETYESLHVETSGGSDGHGYVSRILRYRQSSMKKSSFSLGKTKEPRVGCDFPPSPPSLPVIGHLHLLLSTLVHKSLQKISSNYGPFLHLRIFNTPIILVSSASVAYEIFRAHDVNVSSRGVPAVDGSLLFGSSGVLNAPCGDYWKFMKKLMVTKLLGPQAQEQSRGIRADEINRFYGKLLNKARKKESVDVGKEAMNLVNNIMCMMSMGRRFSEEDGEAERLKGLVTEWSGLIKRMFLAVLFRRQLEKIGISLFKNEIMRVSNRCDEMLERVLVGHKEEPDKDQGKDMMDVLLAAYEDKKAEYKITMNHIKAFFVELLFGAIDTSSTTILWAMAEIINNPNVLEKLRKELDSVVGQTRLIQETDIPNLPYLQAVVKETLRLHPPGPLVPREFQKECEIGGFYIPEKTRLVVNVYDIMRDPDLWEDPLKFMPERFLASSKSGQEDERKEKILKYLPFGSGRRGCPGSALGYIVVGTAIGVIVHGFEWIIDGDKVNMEEVMEGVILTMAHPLKFTPVARYVPLP >A05g507670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21801890:21804756:-1 gene:A05g507670.1_BraROA transcript:A05g507670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLWQSKRLEEEERTPGGDHQFQTEIRELLILQSWPMTMTLCLLDSEKKLEMLVDPDMHVNYTEAKVEQLIQVALLCTPMQRPMMSDFVRMLEDDGLAEKRDEWQNQVGSGAVLDSTENLHARTITSRTQPRTYRLHQLMENHPNGNGNGGGDGAFPELHNVDANGNAHHNLVQNANVQDNLVQNANVQQNFEAMLQFDENEAMLQHDENEAVQEEEDEDAEMQALIQLIEDTLSEDMIMEHEENIAVVNGEDMIMEHAVIADGVAAEIDGAAVQQENIAEVALVQNEEIQNEGVPNQDDDDVDEAEV >A04p032210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18820288:18824260:1 gene:A04p032210.1_BraROA transcript:A04p032210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEEYEEWVHQPIVSKEGPRFFKSDFWEFLTLTRWWVVPVIWLPVSLWCISMSVRMGLSLAEIVPLIALGIFIWTFIEYTLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDHLRLVFPPTATLILCFPFWNIVKLFTTPSVTPVLFGGGMLGYVMYDVTHYYLHHAQPTKAVTKNLKKYHLNHHFRIQDKGFVDRVGYIREASFEILHKHCREKTSVPLTILHVFTHIAGEKMMSSSDHDISNAVLKSIVMFPEEKHFGTVEGNAKLHPGKNKCPYSDMSSSLEAMASMLMEFIQEFTQSNTLHQSLTEFRPEHKNFQLVMARDQSVPLCDILSLVELIGCYTEWDWTSENIVAPLLKMLGIPLSVNFAVSVVSLLGQLSSIGVDADGCENKEISNLREKLSAFLQCETTLKAGFAVQIATVCSLLKTLQLDFSTVFRLKTAMLPGCGDQSLSASANLVTKWFSLLSDEQRVYTKLLLLYDSFVQKVIKSSKILGMSNEGCIEVLFSNFFP >A01p027900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18624883:18626313:1 gene:A01p027900.1_BraROA transcript:A01p027900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRIFSFSKLDSFHGGDMAMRLPFPSTHRRIPTLPSLASFTPRRRNVAMASAKRSPKRLKYSTPRFTKEGEFVSIEVDPSGADSWKLEPVIELLKQGAVGVIPTDTVYAIVCDCKNHSAVERLRRIKKIESSKPLSILCRSLRDIDTYTMGFPRGDGHGHANVFRAVKHCLPGPYTFILTASKELPKQCVGYGTTSVKYASRKNVGVRISDDAVCQAILQSMDAPLICTSVKGPKENEWMIDPTIIGDIYGPEGLDFLVDGGVRVAEPSTIVDMTGPYPKVIREGKGPILPWMVVEEEDESSLRQDLIASGT >A01p057390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32472156:32474240:1 gene:A01p057390.1_BraROA transcript:A01p057390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPQKQRRVAMVALPPLNSELLKETINKVDKCMERLQELQYTIAGGTKVVSGVNLSPRSTRIYLKTSLRCKQETLRIKNATNKKSPLGKFPASSPGDWRKMSLPAMLLGETVNEILQASQVTRDIVDALAPKKSRKSEEDGCPKTPETQQKSLEPNPKTVSSNIKARRKKEKQKNKRSEPTSPASIHKARSRIVFKIVSPQTKPEKKAQVKGDGENSFRHLANRVSPKHKPWVKKAVLFPNPLFISGSSTQQAKFSRTMSPVIARSNKETPQKFLIRSPPSTSASKFQVKIKSPPKVSVSPNRSRSNLARKSPTRSVTLEKKSPKLYTAAKIRRSFTPTRNGSNVSRKSSVSPKRVTLQAFISPSRNGGDVGKKSPKPSISSTRVGKKTSTAAKLRRSFSPSRLAMRLVSPLKSRKSVGKCDDHDEMGMMVSGLKQRPVIVPKRFSMGRI >A09p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19260022:19260760:-1 gene:A09p031810.1_BraROA transcript:A09p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSGAILCQISVYKDMLDQVNLEIEANIQVTREIESDIVKCSEIESSLSVKESDLTRSFLASQYELTGLISVTGDSRNSLKLLDDEIRRLRNEHSEILRRITEKREGFVKMCFEFQREICVDEDSELRSLLCEREFLENEVRVLEEKNSDVQNSILAYMEDEMINLLSD >A08p024530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15950586:15951031:-1 gene:A08p024530.1_BraROA transcript:A08p024530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMITVMVVAIAFFMIGSDNVNMATAQLCGANLSGLVNECQRYVSNAGPNSPPPSRSCCALIRPIDIPCGCRYVTRDVMNTFDMDKLIYVARSCGKKIPSGYKCGSYTIPAA >A09g500420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1854365:1854980:-1 gene:A09g500420.1_BraROA transcript:A09g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLVVDRSTRHGFVLLMPGSLMVTGVLDVTLANDVMLMFLAPFYASRQWCKALPLQLLSFDFMFSILQKTHPHYLVNRVEVVCIVVLATINHNSFPNWTEIPHLTCQLKNQLELAVVAPFVEIGA >A01p058490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33332670:33335753:-1 gene:A01p058490.1_BraROA transcript:A01p058490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASTVPSSSSSSSVSSIDTSHDCDSPRGGDADHELLHASVSVSVSSSSSSASIQRILGLIRSEDPDSRLFAAREIRRLTKTSHRCRRHFSQAVEPLVSMLRLLDSPESHHEAALLALLNLAVKYEKNKVSIVEAGALEPIMNFLQSNSPTLQEYASASLLTLSASANNKPIIGANGVIPLLVKVIKHGSPQAKADAVMALSNLSTLSTNLTMILATKPLSPVLNLLKSSKKSSKTSEKCCSLIESLMVSNEEARTGLVSDEGGVLAVVEVLENGSLQAKEHAVGVLLTLCQSDRSKYREPILKEGVIPGLLELTVQGTSKSRTKAQRLLCLLRDSDSPRSEVQPDTIENIVSSLISHIDGDDQSGKAKKMLAEMVQVSMEKSLRHLQERASTLVRP >A07p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5972773:5973271:-1 gene:A07p009650.1_BraROA transcript:A07p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLGSIDHRMQGCRSLTKIGQTSMNQALMVLATNPNHFFTYIVGLFVNQALMTCLKNLIPCIPSPKISNVELS >A06g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22278448:22279179:-1 gene:A06g508030.1_BraROA transcript:A06g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHHFITWRFAGLLHHFVKYAGLRAKVLLSTALYGAGDVAQIRRTHGSEQKFPWPPFLSL >A07p037320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19963347:19965523:-1 gene:A07p037320.1_BraROA transcript:A07p037320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFETEKTAKDAAVVETQPPSEDFDQPSPLRKIISVASIAAGLQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPVSGMIVQPIVGYHSDRCTSRFGRRRPFIAAGAALVAVAVFLIGYAADIGHKMGDKLEQKSPRVRAIGIFAFGFWILDVANNTLQGPCRAFLADLSAGDAKRTRVANGFFSFFMAVGNVLGYAAGSYTNLHKMFPFAMTKACDIYCANLKSCFFLSITLLIIVTVSSLWYVKDKQWSPAVNSGDEKTSSVPFFGEILGAFKVMQRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGDSVGDDRMLKLYNRGVHAGALGLMLQSIVLLFMSLGVEWIGRKVGGAKRLWGIVNFILAIGLAMTVLISKQAEDHRKTAGDFAGPSSGVRAGALSLFAVLGIPLAITFSIPFALASIFSNSSGAGQGLSIGVLNLAIVIPQMIVSLGGGSFDALFGGGNLPVFVVGAIAAAISGVLALTVLPSPPPDAPALKSGAMGFH >A05g506350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18154371:18155162:-1 gene:A05g506350.1_BraROA transcript:A05g506350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRRSLEKALCSSYSPGAFTSAKICSSSSSSSPPLQKAVAVDAKSVVTVEFQRQKAKRLQEYFKQKNLEAAAQGPFFGFQPKNEISNGRWAMFGFAVGLLTDYKVCDRLRPCRQC >A02g509820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26272358:26272686:-1 gene:A02g509820.1_BraROA transcript:A02g509820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAGQALSLSLSHHAELLSLLILHLARGWRGEVVVVSLKTEEVRRRGAAVVVQAVSSQWTVKTKLYGRPV >A08p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17020417:17020741:-1 gene:A08p026810.1_BraROA transcript:A08p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGSHQATLDRLYVWEKKLYDEVKSGERVRIAYGKKRLALKNHDVKGDDSSSVDKRYSQRSTHSDEGFDTLNRVNLPKD >A05p008120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3298134:3298764:-1 gene:A05p008120.1_BraROA transcript:A05p008120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRKFCIAVGMVMVMTITTTVDSSKPPSRSHGDATEQLLDKSNQSLPPVVGRERGCLAFVMEKIQGQNKLCLSSFPLGFCKSKMLTCITPFQNESTMD >A09p022180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12219094:12222211:-1 gene:A09p022180.1_BraROA transcript:A09p022180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVLLLSFIALALLEAYGETDMQALLVFKSQISEEKRAVLSSWNRSSHLCNWKGVTCGRKHKRVTHLNLENLSLDVVISPSIGNLSFLISLDLNGNSFGGTIPQEVGNLFRLEYLDMGINSLRGQVPNSLYNCSRLSSLRLDYNHLGGSVSSEIGSLTKLVDLNLYRNNLSGKLPASLGNLTSLNQLTLSYNKLEGEIPGDLAKLTRIEDLQLVSNNFSGVFPPAIYNLSSLNYLGLAYNNFLGRLRPDFGILLPNLITCNLGRNYLTGVIPTTITNISTLQRLGMNENSLTGSIPSTFGEIPNLKWLLLSSNSLGSDSSSRDFEFLTSLINCTQLEKLAVGWNRLGGDFPVDISNLSANLIALEVGGNLISGSLPHDIGNLISLQTLTFDQNMLSGPLPTSLGKLLNLRVVTLSSNRLSGEVPSFISNFTMLETLDLANNSFQGMVPPSLGKCSNLLHLRMDSNQLEGEIPREITQIQRLILLDMSGNSLVGSLPEDIGKLEKLITLAVADNKLSGKLPQSIGKCLTMEYLYLEGNSFDGDVPDMKRLVGLKEVDLSKNNLSGGIPEYLTNFSKLEYLNLSFNKFNGRVPIFQNTTMVSIFGNKDLCGGVKEFQLNPCLTQEPLAEAKRSSHLKKIAVGVGVGVAFILLMLFVALIWCGEESPMNRLATSEAAKELVSIRERFFKATRTVRR >A09p027690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16720407:16723461:1 gene:A09p027690.1_BraROA transcript:A09p027690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLQTWRKAYGALKDTTKVGLVRVNSDYADLDVAIVKATNHVECPPKDRHLRKIFAATAVTRARADVAYCIHALSRRLHKTRNWTVALKTLIVIHRLLREGDPTFREELLNFSQRGRILQISNFKDDSGPIAWDCSAWVRTYALFLEERLECFRVLRYDTEAEPLTKATPGHDKGYSRTRDLDGEEILLQLPALQQLLYRLIGCKPEGAANHNHVIQYALALVLKESFKVYCAINDGIINLIDKFFEMPKHEAVTSLEIYKRAGQQARSLSEFYEACKGLELARNFQFPVLREPPQSFLTTMEEYIKEAPRAVDAPAEPLLLTYRPDDGLEDTEPSHEEREVVLPSDDVVLVSEETEHSPPPPPSATTESQNIIDTDDLLGLNTAAPDASAIEDQNALALAIISTDGGNASTPRSFQANDYDPTGWELALVTTPSNDISAATDRQLAGGLDTLTLNSLYDDGAYIASQRPVYGAPAPNPFEVHDPFATSNGTLPPQQPAVNNPFGAYQPTYGQHQLQLALAPNPQANNNSSNPFGDFGEFPVSQQPNTSGFGDFAVNRHNNPFRSTGLI >A07p000230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:808995:809327:-1 gene:A07p000230.1_BraROA transcript:A07p000230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNYTLYICFQLHGEVKKHELQKLVGVYYGDNFEDTVECTCTLKSKCMTSKCHCLSAKIWCGDSCGCKAPHCANQKLPETLKEHDEVVVNVQVGAAVQTLRVPLRAARE >A05p026100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13849424:13849966:-1 gene:A05p026100.1_BraROA transcript:A05p026100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGLWPVCYAPTSTLSISLELLGTLNQVLGRFSIQDRTWTMVRENHREDSGHGKMCGEWVIVDRCEVLIAYCATCELMLD >A03g508990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29694075:29698243:1 gene:A03g508990.1_BraROA transcript:A03g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVTAWGHIFSDHIFSDNIFSNYDFQKVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSHPEKFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNSDYIKDLENPRCEVTTEMAAFWEKMRVDIDTGPSIEQITEAFYNCDEWSRDDRMWLGYLAIYAGYIEGKKFSSATSASLARLVMDLKKFENYPWGRVAFKVLMDSLKTKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPIPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKAFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSFVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEEPGCEWGESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADNLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPYDKMKSKELTAWVQKDPSHKLPLKKKPRRCPSRFYQVLRTPLEWLTDHQMDAFINLLRQRYQNHPEHFRSDRMCFLDHVFSRHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNKHWIAIWISIPKRHIVVWDSIVSHISPKELDEVMEPFVTMVPYLLVECALSDEQKSFLCAMNGKTKTMMRTWQRRLGDVNRVRG >A08p034680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20581287:20586240:1 gene:A08p034680.1_BraROA transcript:A08p034680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRSPAPVPVGSRRRDNKWSSRWGPDDKEKEKETKVDYNNKEEVQSETQSVIGSLRDSETRDKWRPRHRMEQVQSGGPASYRAAPGFGLDKGRSEGRSEGPNIGFTVGRGRARGTWSAFFGAGGFLRNESVPGKPAPTCRYVRGKLLDLYRNQKPDRMPIDMEEVDSVTQVALIEPLAFIAPGVEEEESLKGIWKGRITSSEAHTSPGEESLAEESMGETKVDGALLGVMSGDSVSMHNSNSGVLGSHNGGLWGASESDQVSRGSPEAVRSAFDKSSVLDADEPIVTGKLQQPDIEVNHSEGTLPPEEFMFSYIDPQGVIQGPFIGSDIISWFEQGFFGTDLQVRLATAPEGTPFQDLGSVMSYLKTESMQAHINDQIIELEQTSRKANSEIGLSFAPVQESNGSALGHDNVQIQSKSEAYVKPPHVDDRSFLDFSAQDEEIVFPGRARVSGYGSAKSSTSMHDALMGVSGHPAIPVESAKSEPIRSLEYQIMQQEQLMQLADRARHNTLLEEHRHIDPLWPSNRNDQLLGAHPGIHRSHSSAGFRPVDFHQQQQRPPFEDHFSHLERNLSYQQQLNQELFEQGLPFERSTSGLNLDAVKGLNLSELRDAQMQSSGRLGNSTPGFSHQNPHIQLGEPHFSEMEPRKERWHGADTQLAGGWPETQFHRSNTEADHHKMRSEMRRVGEDSNSWMVDGHTDEKSKQLFMELLHQRPGHQPLESPSMNRGEPYDRMAASGFGFADHGGRQNASSSFGSHASSDEHVNGLPGDGNYMGSLQRNNSLLSGSIDGGRKNETKDFSNMLGMSKDVNDIRTWNNAPPKKEGAGLMSFEAQDRMGKQAVMDSLVQGEVPVATLGRQSSSSISESYSDNLVGEVRKDRLVVPSHGQVSVLLKRPPSSHSSSPHEGLLEQMSDAANRTAVGNKGSKASFSEMLKNSSSSSSMKKVAAEPSSDPNEGNKGGGGKKKGKKGRQLDPALLGFKVTSNRLMGEIHRADDF >A01p005140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2194107:2196951:-1 gene:A01p005140.1_BraROA transcript:A01p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKTSVVSSITRPSWVLLLLAFTVLAILSHQISSNSFLPLFISTTTTTRIHDPVTCSGFFTHDPSPKRIVMSITEFGGVGDGKTSNTEAFRRAVRHLRGFAAEGGAQLNVPKGTWLSGSFNLTSNFTLFLEQGAVILGSQDPEEWPIIEPLPSYGRGRERPGGRHISLIHGDNLTNVVITGENGTIDGQGKMWWELWWNRTLVHTRGHLIEIKNSHNILISNLTLLNSPFWTVHPVYCSNVVIRDMTILAPMNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGMAMARPSSNIIIRRISGTTRTCSGVGIGSEMSGGIFNITIQDIHVWDSAAGLRIKTDVGRGGYISNITISNVLLEKVKVPIRFSRGSNDHPDDKWDPKAMPRVNGIYISNVVSVDSRKAPMLLGVEGGTFQDICLRNVTLLGLPESEKWKCKDVSGYASDVFPLSCPQLLQKEGSVSQCL >A09g517880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53832405:53833787:1 gene:A09g517880.1_BraROA transcript:A09g517880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQSQTQTTPPGTPKRSPFTGIFHKLKTNLAFRSKLAEVNGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAVTGAVYGVPMPVQPMKSIAAVAISSTAEEFGIPEIMAAGICTGGILFVLGISGLMQFVFNVIPLSVVRGIQLSQGLAFAMSAVKYVRKEQNFSKSKSVGDRPWFGLDGLVLALACVLFIVLVNGDGEQEEEEEEEEERNGSRRRRRVWIRKVVSNVPSALLIFLLGVVLAFIRKPSIVYGIKFGPSKIKLVRMDKEAWKNGFLKGAIPQLPLSVLNSVVAVCKLSHDLFPEKKFSATSVSMTVGLMNMVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGSSLVGIMEKFLVGVLGALLLFAGIELAMAARDMNTKGDAFVMLVCTAVSLGSNAAIGFVAGIVLYVVLWMRNYGRVKPTGLPLRVDQHP >A10p004440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2165375:2165575:1 gene:A10p004440.1_BraROA transcript:A10p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPAHQSSPVKGCTFSLIAFLAHHQPSTAGCDESVHGSSEVNWKNFAAPLRIDGKQTRYCPLST >A03p016760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6682103:6684466:-1 gene:A03p016760.1_BraROA transcript:A03p016760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRFSIFAFALLFGLSLAEDPAISYVYELSYVTASPLGVPQQVIAVNGIFPGPVVTATTNYNVEVNVFNRLDEPLLLTWNGIEMRRNSWQDGVLGTNCPIPPQWNFTYSFQVKDQIGSFFYFPSLNFQRASGGFGPIVIDNQYRIPLPFATPDGEFTFMIGDWYTQNHTVLRSVLDSGKELAMPDGVLINGKGPYKYNSSVPDGIQHETVNVDPGKTYRIRVHNVGVSTSLNFRIQSHKLLLVETEGRYTSQTNFTDFDIHVGQSYSFLVTMDQNASSDYYIVASARFVDEEVWQRVTGVGILHYSNSKGPASGPLPVPLTDVSHPWTVMNQQRAIKQNTSSSGARPNPQGSYHYGQINITGTYIFRSMPPTIINGSLRATLNGVSFLNPSTPMRLADKHRVKGVYKMDFPSRPVDSRPPRVGSSIINATYRRFVQIIFQNNDTKVQSFHIDGYSFYVVAMDFGNWTEERKGSYNNWDAIARSTIEVYPGAWTAVLMSLDNAGVWNLRVENLDRWYLGQETYIRIINPEENGKTEMGQPKNVLYCGALKSLQKQQVHSSASSLLNGNLILIFSFLMVLLAS >A01p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4382747:4387491:-1 gene:A01p009140.1_BraROA transcript:A01p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNPAGSVTGSDIIDAKIEEHQLCGSNKCPSCGHKLEGKPQNWVGLPAGVKFDPTDQELIEHLEAKVLVKEMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDNSMHGSSSSGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGTHEEEREGELVVSKIFYQTQPRQCNWSSSTSSLNAIGGGGGEANSGGGGEANKMRRGSGTTSGGSCSSSREIMNVNPTNRSDEVGGVGGGAMAVAAAAAAVVAGLPSYAMDQLSFLPFMKSFDEAHQQQQDQERDEQHNDGKMGGRSASGLEELIMGCSSSNTHHDVKDGSSSMGNQQEAEWLKYSTTFWPAPDSSDNQDHHG >A03p032200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13629564:13630779:1 gene:A03p032200.1_BraROA transcript:A03p032200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDSDGLQGISRVASGAGEPILMHKLRLHPTNMGEAKVLVEMELDRYFSKLIALDDKHSSIFFVNVEYTWIPSTCLRDVVVPELPSTLSNASMDCQGTPVTMFTSISSSSHVQNKNQAVSPNSLNILPILVDSHSTPTITPAMEFSPSNIIYNEVQGSLVVDLLITSPQVSGFESPYRFIVL >A03p028140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11765994:11768378:1 gene:A03p028140.1_BraROA transcript:A03p028140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Axial regulator YABBY 5 [Source:Projected from Arabidopsis thaliana (AT2G26580) UniProtKB/Swiss-Prot;Acc:Q8GW46] MANSATAAEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAALQSLSRPNFQVTPYAMPEYGSSSRGNTKISSRISARTISEQRIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNKQAKLA >A05p014570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6377340:6380177:1 gene:A05p014570.1_BraROA transcript:A05p014570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase 2-3 [Source:Projected from Arabidopsis thaliana (AT2G32920) UniProtKB/Swiss-Prot;Acc:O48773] MYIIKSPLTLLTLLCLSFGFLNLTNALYGSSSPVVQLTASNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTWEKVASVLKGVATVAAIDADAHQSAAQDYGIQGFPTIKVFVPGKPPVDYQGARDAKSIANFAYKQIKALLSDRLEGKSKPSGGGSSEKKSEPSASVELNSSNFDELVIKSNDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGKVKLGHVNCDVEQSIMSRFKVQGFPTIMVFGVDKSSPYAYDGARSASAIESFATELVEASAGPVEVTELTGPDVMEKKCGSAAICFVSFLPDILDSKAEGRNKYLEMLLSVAEKFKRHPYSFVWVAAVTQPDLEKRVNVGGYGYPAMVAMNVKKGVYAPLKSAFELQHLLEFVKDAGAGGKGNVPMNGTPEIVETKAWDGKDGEVMEEDEFSLEELMGGDEDANVGTKDEL >A02g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:121316:122390:-1 gene:A02g500060.1_BraROA transcript:A02g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEDKQNARPGNPKHSHRSCVEKKSLTLKRLNETVRFKKELEQKEGIRQSSCVLHKTNKFFQGRHIGNKRDVKVAIKTILEVDLIEVKGDRRKSVLFNAGRVAKGLRHLDEKYCKETWEILSSVVVM >A09p046150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40330212:40331349:1 gene:A09p046150.1_BraROA transcript:A09p046150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFPGFGAWITQNTQHPTKSEKNVKSKPMTQAKTHEERDETKEQLKLWRDANKKEQYHEPPPTVKVRTDHSSGLSDMKMEFTLGLPPQVAYDVLTNQDNITYSREIKGRPLLKAVSRKVIPEKDEDYQGSMLDVKVEKELSWNFLFLSGTIPIRLHVLEDPKTLYVRNVSLSLTRINVHYMKQQNGIRLMENFEGRFTVEPVYVDAERLCKHRKPKSQEEYRKCSGGKGLIASKIKMNQTFRPASPWDLPLVSSYVRRFTVNTTKKVAEDFQMRAGDIRGF >A03g506860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24391904:24392484:-1 gene:A03g506860.1_BraROA transcript:A03g506860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFISFCSGYMAPEYALYGQFSVKTDVFSFGVLVIEIITGKRSNNGGSNDDEDSENLLTWVWRSWREDIIKSVIDPSLSTGSTNEILRCIHIGLLCVQESPATRPTMASVALMLNSDSFTLPTPTWPAFVSESVMPQNVSSSSTEELQMSSNDVTISELCPR >A05p047120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27839362:27844063:1 gene:A05p047120.1_BraROA transcript:A05p047120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVQPPVTPPIDPNGKRSEAVLLELCEKVLSLEGSICDEALKLFTETKPILSANMANIGSGTREEVERFWFAFVLYSVKMLTVRKQVDGQSVSGDNKFNLCQILRALKLNIVDFFKELPQFAVKAGPVLCELYGADWENRLQAKELQANFVHLSLLSKYYKRGYQEFFLTYDANAEKTSANSASYLPDSYRFGWLLFLALRNHAFSRFKDLVTCTNGLVSILAILIIHVPCRFRNFSIQDSSRFVKKGDKEVDLVASLCKIYDASEDELRKIMDQANNLIETILKKKPSSASTCKIDKLDNINPDGLTYFEDLLDETSISTSLITLEKDYEDSVCNKGELDERVFINEEDSLLGSGSLSAGAVNVTGVKRKIDSLSSPARTFISPLSPHKSPAAKTNTISGAIKLTATPVSTAMTTAKWLRTVICPLLPKPSPGLEHFLKSCDRDITTDVTRRAHVILEAIFPNSSLGDRCAGGSLQPVNLMDDIWAEQRRLEAVKLCYRVLEAMCIAEAQILHANNLNSLLTNERFHRCMLACSAELVLATHKSITMLFPAVLERTGITAFDLCKVIESFIRHEDSLPRELRRHLNSLEERLLESMVWEKGSSMYNSLIVARPLLALEINRLGLLAEPMPSLDAIAALINFSEGSNHAPSIQKHETCPGQNGDMKSPKRQCTDYRSILVERNSFTSPVKDRLLAFGNVKSKMLPPPLQSAFASPTRPNPGGGGETCAETGINIFFTKINKLAAVRINGMVERLQLSQQIRESVYRLFQHVLAQRTSLLFNRHIDQIILCCFYGVAKISQMSLTFREIIYNYRKQPQCKPLVFRSVYVDSHQSRRQGQGRVGPDHVDIITFYNEIFIPAVKPLLVEIIPVKKDQAMEANNKPEGHCPGSPKVSVFPSVPDMSPKKVSAVHNVYVSPLRGSKMDALISHSSKSYYACVGESTHAYQSPSKDLSAINNRLNNCSTNRKRTLKFDAEAGLVSDSMVGNSLYLQKQNQNGSDALSSGGAPLKTEPVDSS >A03p047680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22260242:22261025:-1 gene:A03p047680.1_BraROA transcript:A03p047680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLSLIVVILIASEVAARDLADSSAENKNNEREEGMQTDQYGGYPGRGYGGYPGGGYGGNRGGGYGGNRGGGYGGRGGYGGRGRGYCRYGCCYRGYYGGCSRCCAYAGEAVQTQPESTDPAH >SC203g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:75508:82853:1 gene:SC203g500030.1_BraROA transcript:SC203g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKKQEDCLDQDLIISFHHPLNLICYCFIFEKIEFKSSRVDQVSSFAALFEYFVSLFES >A03p049740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21329259:21346513:-1 gene:A03p049740.1_BraROA transcript:A03p049740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioalkylmalate synthase 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23020) UniProtKB/Swiss-Prot;Acc:Q9FN52] MISISPTASTMVVQSVVHFASSFPSLGLNRPYKRPSLSTSCCSSLSNKAGTGATDFKPIVERWPEYIPNKLPDKNYVRVLDTTLRDGEQSPGAALTPPQKLEIARQLAKLRVDIMEVGFPASSEEEFETIKTIAKTVGNEVDEETGYVPVICGLARCNHRDIEAVWEAVKYAKRQTIIIFISTSDIHMKFKLKKTKEEVIQMAVSSVSYAKSLGFNDIIFGCEDACRSEKEFLCKILGEAIKVGATTVNIGDTVGINMPQETWELVSYLKANTPGIDDVVLSVHCHNDLGVATANAIAGICAGARQVDVTVNGIGERSGNAALEEVVVALKCRGSYVMDGVYTRIDTRQIMATSNMVNFLYKTWRNDNVRSFWPFMIKGQNTFILEVQEYTGLRVQAHKPIVGANCFVHESDLHQDVILKSRRTYEILSPEDVGVVKTENFGIARGKLSGRHAVKDRITDADLKALVTCGESGDEISSDKLNGSNVLIELLTLIKKNIFLSTILQIEISRHLTYSDMIFTTGSTVAVQSVVHFASSFHSPGLTRPYKKPSLFTTCCSSFSKKVGTESSNMCVYIPNKLPDKKYVRVFDTTLRDGEQAPGAALTPPQKLEIARQLAKLRIDIMEVGFPASSEEEFETVKTIAKTVGNEMDEETGYLPVICAVARCKQRDIKAVWEAVKYAKRPTILIFISTSDIHMKYKLKKTGEEVIQMAVSSVRFAKSLGFNEIQFGCEDAGRSEKDFLCKILGEAIKAGATTVNLADTVGINMPQEIGELVSYLKANTPGIDDVVFSIHCHNDLGVATANAIAGVCAGARQVDVTVNGIGERSGNAPLEEVVMALKCGGASTMDGVYTGIDTSQIMATSKMVQEYTGLYVQSHKPIVGANYFAHKSDLNQAGIFKNQGTYSPEDVEVVKSQN >SC139g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:49851:52358:1 gene:SC139g500030.1_BraROA transcript:SC139g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNELSMVEETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLTEVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVNEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEICKLVEHMCDVWEINKKPDRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLESKGADEPVTKEEWDEFVKYDQEPGRREPSNQTGGAGRTTPLDPERGNGTESGEQEQNQEDSGIHDQDTSQEVENNVQSSGEVDEVQSSGEVDEVQSSREEQVGPAGSEEEQVEPAS >A05p055080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32521824:32523036:-1 gene:A05p055080.1_BraROA transcript:A05p055080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKRLVVACFFLVLLLAEANAQGLKVGFYSKTCPHAEGIVKKVVFAAMKKAPTLGAPLLRMFFHDCFVRGCDGSVLLDSSNNQAEKNAVPNLSLRGFGIIDDSKAALEKVCPGIVSCSDILALVARDAMVALEGPTWEVETGRRDGRVSNINEVNLPSPFDNIAKLITDFRTKGLNEKDLVVLSGGHTIGMGHCPLMSNRLYNFTGRGDSDPSLDSEYATNLRKKCKPTDTTTALEMDPGSFKTFDVSYFKLVAKRRGLFQSDAALLDNSKTRAHVLEQARGSTFFHDFGVSMVKMGRTGVLTGRAGEIRKMCRVPN >A03p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16795728:16797261:-1 gene:A03p040310.1_BraROA transcript:A03p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDAPNSLASSVNSQREAFFVQSGMNHSVPESVEGVGSPQRSNSVDSLKAETPTRKGFGLKKWRRIKRDGPVKDEATTTPADDEGSKLLKRGLTGLVNPPLKHVDLSSVEARQSSEGSVGSVNMAVHHHHLVQGVANGFSTEPGVMFSVGQGFEKSEEGSGNYVAAKNVVAGKVVSGSEGKIWRDTIMNPSQKPCSSVESDLRSSDFVFSSGAVSDANNHGGNDERKEVQTYSRSQNGEQDEDGDGECKSKNNHYWADKDQIADSIRNLAALHDALWKELKSFQELGKESLPLPSDKPKNENCREEKSSSSESQVLILKQKVKHLQHKLEEARADLNEKEARIQELEYSKIESELEGVFRRRMEAEIKHLVLTRSLSPSLQVLEEQQPSKVHSLTEDPEPNRGNLLGKTCKSSFYFLIQLILLVFILRLLVLQCSPASRLVVPPT >A06g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2488880:2490039:-1 gene:A06g500610.1_BraROA transcript:A06g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKKTQTLCRVSFTKRSLRRLRHLHLRWSLFPHNRLCLRHLYRNSNLSPASSTIIHLHLFLTRQTRNRFRHSHIRLQLQQREQQPLQTQLLQALWKTVTTIQVADKEKGVVLETTKTKKQSKLKLYVNKSVLKEFPMMAKTVANQAQRDQKRPQSRQVWSQGEEAGLKIWPFTEHFTSEKPLFCDFSQIFVGYKDLSCTVQMILKFYGHMISLNKVGSDAMSICGY >A04g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6243941:6254441:-1 gene:A04g502700.1_BraROA transcript:A04g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNIGQLDENLILKILSLVPIKTVVSTSVLSKEWKSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKPDAVDVVNWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGLMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSCEHSVKFFTIKVPSLQRLRIYDDNDEDEFGGYVIDTPSLKYLEIGYLGCPQFSLNAPGLVAAYIGRVSNVISESLVSVRRLVLNVSTSMTIYPPTGCIFCQLVYLQIYTHEPGWYDLLTWMLEHSPKLQVLNLVGKYRINPDYHVLGWEWNKPKSVPECLLPHLETFVWRRYDWKGEKEEEVATYLLNNARGLKNATFSTGPIEPGQLDKLKQRRRTRKKLDGVLKASNTCHLVFNVIAEEMNIGQLDENLILKILSLVPIKTVVSTSVLSKEWQFRWKSVPTLKFNSEDYQSEHQTFSEIVYKSILSYEPEVQDSFHLSFGSDKADAVDVVHWIKTAFALHLRTLVLEFLIYPYEVDEFIFTSSLCTCDTLVTLKLGSLILVDIPAPGSMKSLKTLHLIHAFYTNDESICNLLSGCPRLEELVVERSFEHSVKFFTIKVPSLQRLRIYDDNDEDEFVGYVIDTASLKYLEIGYLGCPQFSLNAPGLVVAYIGRVSNVISESPVSVRRLVLNVSTLMTIYPPTGCIFYQLVYPQIYTHEPGWYDLLTWMLEHSPKLQVLKLVGKYRINPDNHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNARGLKNATFSTGPIEPGQLDKLKQRRRTCKKLEGVLKASNTCHLVFNSLPCSSPRTPYILAPRSVYAFTLLPLSRCSIKMEIFHFSQSSQLSSKLLYLSAET >A01p016660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8224572:8224901:1 gene:A01p016660.1_BraROA transcript:A01p016660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYSKMDSTDQTPNPVDHQTRKPDPEPAEMKPRLSRNRSVAASEHPNHSPVKPAVSMMRRSSSVSSATTWPLPFIEGDDKEKVHEKKKSNNIKKKAFVKACKRFFGIS >A06p022260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12363181:12363632:1 gene:A06p022260.1_BraROA transcript:A06p022260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIAAEPRSIENAIRCGGLAPKKTVYIKNILSRLQNERGRLSFDYLCGLLVEEVKTELYHYKGIEPTTIKIPSLFSFLFFVFGIYFLCIKKISKASGWVPKTADMNKTYGHLNRRIPYELKFDLNCLLYI >A09p006700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3520019:3520609:-1 gene:A09p006700.1_BraROA transcript:A09p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic20-II [Source:Projected from Arabidopsis thaliana (AT2G47840) UniProtKB/TrEMBL;Acc:A0A178VYR0] MASMCLSLHQTLKPLAAPRPTPRSFPTRRPSTLTTRASYTPTPATERVISIASYALPFFNSLQYGRFLFAQYPRLGLLVEPIFPLLNLYRSVPGASFVAFFGLYLGVVRNTSFSRYVRFNAMQAVTLDVLLAVPVLLTRILDPGHGGGGFGMKAMMWGHTGVFVFSFMCFVYGVVSCLVGKTPYIPLVADAAGRQL >A08p042160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23697397:23702173:-1 gene:A08p042160.1_BraROA transcript:A08p042160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIASIVEKVKLFAKSSQDLVSSHFAFHEPPSPQSPIDILKRLQREAFSDIRKLRDRQEKMERVISSKGGPFQESSTNVRGEVDVLGAMLMMGDAEEESLDGVRPGLLSRFVFETRLRERDKLVAELVAGVSGNQLSLAKVFYKAEISDWLSAVAVPVGARFRDIDAALVSSYQGMNLTEVSELGPPLLNQHNGSAIGLTVRKSNMAASLAQSITGLEGEQGLDTTNRCFRTFGQVNCHILRGVKLSLLGCHQISSPFNSLRYSAGAITVPVSFLRRGFATDPEPPSAPPLEMSRGVNHVSSSSSIALRFDSLMDECTRVGGWIEVQNSREKQVKWSVSITDKPEDEVGWGMSVGGIFVDGSRNHDQFQVESYLKFNIGHRFSLSPGLVYLTNSKERTVAFSCFSLKLCSGGEDTITFSAPVKDSETLLSKNGVFRFGFFTPVNSTSRLSYVGIWYDKIPAQTVVWVANKDTPVRDTSGVVSISEDGNLVVKDGLNRLLWSTNITSKMAPNATLVQLMDTGNLRLVDSRSNGETLWESFKHPYNSFLPLMSLGTNNENLKLTSWRSDVDPSTGNYTAGLAPFAYPELLIWKNNVPIWRSGPWNGQGFIGLPDVDGFSLNNDYQGTVSLSYGNDSFMFHLDPDGVLYQREYSRASTRGLNIATCDAYGKCGPFASCSSRELPPCNCVKGFAPKNQREWDDGNWSDGCVRIVSLRCKKENNVSSNRGKGDGFLRLQKMKVPVSAERSLANEKDCAKQCLENCSCTAYAYVQGIGCMVWSGNLVDMQSFLPSGIDLYIRIAHSEKRHNYVAIVICVAFVAAAFLLLACQKFKKRSAAPESRRRPELMFNRMEPATSENESPYTFKPNEFPLFEFQVLAKATDHFSHRNKLGQGGFGPVYKGKLPEGQEIAVKRLSRASRQGLEELMNEVVVISKLQHRNLVRVLGCCIEGEERLLVYEYMPNKSLDAYLFDPSKQKILDWKTRFNIMEGICRGLLYLHRDSRLRIIHRDLKASNILLDDNLNPKISDFGLARVFEANEDEVAETRRVVGTYGYMSPEYAMEGYFSEKSDVFSLGVIFLEIISGRRNSHKEENNLNLLAYAWNLWNDGEAASLANPIVFDECFEKEIAKCVQIGLLCVQELANDRPNVSNVIWMLTTENAHLPEAKQPAFIARREVSVAGSSDRSSQMVTINDASLTAITGR >A09g509530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28038389:28039237:-1 gene:A09g509530.1_BraROA transcript:A09g509530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGARRGVPGNIACIPDYHKELGGYNALEYLSNSIGVGPRKEPCPSAGNSAALPPAKQPEKMLTLHQGVMVLISSTHLEKMGVKEAGEHMLPLQLIEKKKAKPLGFSFQVPDLGFQVPDPRATRINKSHQHAHGKVDSRRGDESSSDIQKTLGVARPSGGR >A09g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6293568:6294334:-1 gene:A09g501710.1_BraROA transcript:A09g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHGRFAATSSNFLLVLAAYTNQKAKSFYLFIYFTIKFSSSINSQSREMIKALFLPQILTHVRQRKHEVLSVHGHVFSSEKQPGSLLEGFTKTQIRFLD >A07g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5093495:5094017:-1 gene:A07g502410.1_BraROA transcript:A07g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPYQEMKDMTKHKKHYDMLGYICDAQYGIPTRCPCGGEIKIDVSPNPKYRHDFDTLPGSRYFTCKNYEDDGMHFRQPWAFGVEDEVRRLRMEVNDMAEEIAKLKRIITSTSRP >A09g509910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28823056:28827392:-1 gene:A09g509910.1_BraROA transcript:A09g509910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATPEEFKKMFATYEKRPEEQDKLVNTLTKQLSVPAEPPKSAGKGSTSPPHSIEQDSRGNDLPENPENLPPPAKDLEDNETEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAWEGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSKRRQFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQQNHPGDKRAKSAPGEDKVESSINANASDVEDRHKSEAHATTQPEHPENSTTTRIYFNPTQENSKQNIYHINKPRKAARDSKPPTASPVKVPGQRSAERIRGTIHFLATIGKPGWNLLGIRGNRDGIPEPLNLLVNRRDKRLSMGMFTHTTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRGEGILEVPILNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRLSLQHLALHASEIPLRFLRFEAVDHGFSMARLNGRAQQAQALQNRLACYKHIHRNNHQKILKKLTPLMMRDPSATTLGLADSFKKNFFHELKFEINFLTTDINFRGTNLCLSVPLTS >A06g506100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17327305:17330592:-1 gene:A06g506100.1_BraROA transcript:A06g506100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQRGITEFMRLVHRQPEAKTHVWTHLYLSGFTRSYKIWYHHGKTDYEHGSTSEPQPAVRLEEPNRTDAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVSLVQTQVYDEVSQLQTDDDDSTLVPKKKGRLVGLSRRSRSAAPSSAPPPYVDLEVLTAQLKDKDDRISALETQMAAQQAGFETQKKLNEEMMEMMKRMYPNEVFPNIQDP >A01p009200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4436239:4437855:-1 gene:A01p009200.1_BraROA transcript:A01p009200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:Projected from Arabidopsis thaliana (AT4G29140) UniProtKB/TrEMBL;Acc:A0A178UVA9] MCNSSTTTTTGTGTAVTENQQSRTDIFLDRLSIKTLEQPTKRNLQHCDNLGSPLMSEAVTEAKTLFTLAFPIAVAALVLYLRSAVSMFFLGRLGDLELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAHRYKLLSLTLHRTVVFLLVCCVPISVLWLNVGKISVYLHQDPDIAQLAQTYLIFSLPDLLTNTLLHPIRNYLRAQAIIHPVTLATISGAVFHLPANLFLVSYLRLGLTGVAVASSLTNLFVVAFLICYVWASGLHVPTWTDPTWDCLRGWGPLLRLAGPSCVSVCLEWWWYEIMTVLCGLLVNPRSTVAAMGVLIQTTSFLYVFPSSLSFAVSSRVGNELGANRPKTAKLSAMLSIVFAAATGVTAAAFAYSVRNVWGRVFTGDDEILRLTAAALPILGLCEIGNCPQTVGCGVVRGTARPSTAANVNLGAFYLVGMPVAVGLGFWAGIGFNGLWLGLLAAQISCAGLMLYVVGTTNWVLEAEKAQTLTCAETVENDLIKTVANTIGDDGESDEAQPLIRITVLY >A10p032750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19278641:19281845:1 gene:A10p032750.1_BraROA transcript:A10p032750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSNGIAERKSTNGGREVVINVPGEEASRVSFKMDSPETEKAVSITKSSSPEISKLSGSPKKPPRPPNPSIEGLTQRKSFARSVYSKPKSRFVEPSCPVDARNLEGDVKEPLGAVISISRGSPNNKSTRSVGSATPNKPTEVEKDEDEEIYKKVKLSKEMRRRVSTLTLIELAFFIAVLSSLVASLTIDVLITYTVWGLEVWKWCVLVMVTFSGMLVTNWFMHLVVFLIETNFLLRRKVLYFVHGLKKSVQVFIWLSLILIAWVFLFNRDVDRSPTATKILTAITRTLISLLTGAFLWLVKTLLLKILAANFNVVNFFDRIQESVFHQYVLQTLSGPPLMEEAERVGREPSTGHLSFTSVGKKGTVKGKKVIDMGKVHKMKREKVSAWTMRVLVEAVRTSGLSTISDTLDETAYGDAKEQAERGEITSEMEALAAAYHVFRNVAQPCFSYIEEEDLLRFMIKEEVDLVFPLFDGAAETGRITRKAFTEWVVKVYTSRKALAHSLNDTKTAVKQLNKLVTAILIVITIVIWLLLLEVATTKVLLFFSTQLVALAFIIGSTCKNLFESIVFVFVMHPFDVGDRCVVEGVPMLVEEMNLLSTVFLKLDNEKVYYPNSVLATKPISNYFRSPDMGETVEFSIAFSTPVSKIAHLKERIAEYLEQNPQHWSPIHTVVVKEIENMNKLKMALYSNHTITFQEYRERNIRRTEQSLAIKKMLEDLHIDYTLLPQQVLLTKLDKN >A03p070210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30869804:30872137:1 gene:A03p070210.1_BraROA transcript:A03p070210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKETVDQSLREMRDTFASGRTRSVKWRKTQLEAIIEMVKDNEDKMCDVLFQDLGKHSIEAFRDELGFVMRSATTALNSLDKWVVPRKSNLPLLFYPATGKVISEPYGTVLVLSSWNFPISLSLDPMIGAISAGNTVLLKASELSPNASALLAKTIPSYLDNKAIKVIEGGPDVATILLQHQWDKIFFTGSPRIGKIIMAAAAEHLTPVTLELGGKCPTIIDHHSVSKDMKSVVKRISGGKWGSCSGQACISVDYILVEQSFASSLIEMFKPVIRSFFGENPKESGCVARIVTKKHFQRLSRLLNDPRVQASIVYGGSMDEEKLYVEPTILLNPPLDSEIMNEEIFGPVLPIITLRDIQESIGFIKSKPKPLAIYAFTMDEKLKTRILSETSSGSVTFNDVMIQYMCDALPFGGVGESGMGRYHGKYSFECFSHEKAIMEGSLAMDLEARYPPWNNFKLTFIRLAFREAYFKLVLLMLGLKR >A09p009470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4879429:4883147:1 gene:A09p009470.1_BraROA transcript:A09p009470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWESESDSGVVGGGREYGNEVLSSNKHGSVRTDGFELRGQSWFVATDISSDLLVKICDMNFHLHKYPLLSRSGKMNRLIYESPREPDPTILILDDLPGGPEAFELASKFCYGVPVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACSNPKGIRWPYTGKSPSLSSSPKTTNNFASSSPKWNETKDSSLYCSPSRNNNNNQHVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELLGAAIMHYAGKWLPGVIKEGAPAPSTTTGGGGDEMSVSCGSNSSGGSSSHDNWKGGLHMVLSGKPNAHQDSTTFLAGHVTSPKEQRMIVESLISIIPPQKDSVTCTFLLRLLRVASMLKVAPALTTELEKRVGMQLEQATLQDLLIKGETMYDVDLVQRLLEHFLVQEQTEGSSPSRMSPSRGMYAEAGVPRGNSISGNNSNNSQNAKMRVARLVDGYLTEVARDRNLPLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTSLKESTTLGEAMGTTYQPMIPNRKTLIEATPQSFQARWAAAKKDINTLKFEIETVKTKYVELQNEMEAMQRQFEKTGKVKTSTSSSSAWSSGWKKLSKFTKMTVPESPDVSRGEQAGGVDPQPARKPRRWRNSIS >A01g511260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31478796:31479918:-1 gene:A01g511260.1_BraROA transcript:A01g511260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVKPILISAVDLAVQVIQASNEARLFKKEWDVVKIKLQSMRVSDPPRHLNRRSCASKVSRCVRQVHPELMAILYTGTLDDDACEAARSLRSMASDNPRCAEIMIRNGLCSVFVKILKQGSMRVQAEVACATSVLVSSFSESQDLFAQHDVIQLLLSLLTSKLEEDSWHMKAMAAKALRELAKGNSSISKSITDSKRFLRFADLLEIQDREVRLISLMVLIEITSVAEMDSSLRRHSAFKCKSPVFKAIVNQFHKLIEENGDMVLLIPYITLIGNLARSFRASDTSMIEQLVKLLGLRDREVLREAIVALTKFAIPCNYLHIDHSRAIVEAGAAKRLIELSSLGCEIRIPVLELLKF >A04p008250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8427707:8430278:1 gene:A04p008250.1_BraROA transcript:A04p008250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTENWTGWFTEFGGAIPNRPVEDLAFSVARFIQNGGSFMNYYMYHGGTNFDRTSGEFITTSYDYDAPLDEYGLLREPKYSHLKELHKIIKLCEPALVSVDPTINSLGNKQEAHVFKSKTSCAAFLSNYDTSYPAKVMFRGFPYDLPPWSISILPDCKTEYHNTAKIRSPSILMKMVPTSTRLSWESYNEAIPSSGDYGTFARDGLLEQISMTRDKTDYFWYLTDITISSNEGFLKTGEDPLLTICSAGHALHVFVNGQLAGTSYGALSSPKLTFSQRIKLREGVNKLAILSTAVGLPNAGVHYETWNTGVLGPATLNGVNSGAWDMSKWKWSYKIGTKGEAMSLHTTTGSSSVEWTEGSFVAVKQPLTWYKERFPRLTYQMLFPNIHVILMTKSNWLAIIMY >A05p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2926644:2929950:-1 gene:A05p007270.1_BraROA transcript:A05p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIWIMGLTSLLVFFSWAVSICDSLQESVLEDDNNGSFFTVSSFRYPKSQVRPYDTRYIRVDLPPWFSSLNVAMESDVDISAKSVSKISKSLLPVICFRDGSPPLPDASTNALKGLELGRLFNGSFEGAQDIEIAEQCYPMQKNISLRLTNEQISPGAWYVGLFNGIGVTRTQGKMIVSSSAFSFSANITVEGCKTATMWGPSCNQTIHPLSCSRFDNQTGSVVSCSDSSPNSCLTGAETKTYALDVDGISEQLVITASNVKVDSNESYLMCYARFGAIASETLHNYAGDIHKAPLVINKPKVGRWYIVASFSGTTDSSSKVCFSLNVKVLGCPVGKAGPNCGQQIYMLQAVMRRGWLTPFESYYLPVDDASSPSDSTTDFPLEPILSNVSSLPLDTSTWTYFLMNIPQGGAGGHIHFRLASDSAKRYEVYLRFGGLPTVEDRDYYYANQTSASRSMFFSLYNSSREKVDFYVLYAREGTWSLGLRQLSESTADRGYKGPPTLVSLSLERCPRRCSSHGNCRYAFDASGLTSYSFCSCDRTHGGFDCSIEVVSQQGHIIQSIALIASNAAALLPAYWALRQREYPEWVLFTSSGISSALYHACDVGTWCVLTYNVLQFMDFWLSFMAVIGTFVYLSTADEAVKRTIHTVVAILTALLALTKATRASNVIIVLAIGSLGLLIGFLVEFITKYRSYCGSAGFSMNMLDSIWHFTIYTSSFFFLCSKIAIVNNENQTLQGADNYELTRQDSLPRN >A05p017480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7891062:7895447:1 gene:A05p017480.1_BraROA transcript:A05p017480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPPLVLISMAFSYVFLRFLHHFMLQKRASEASSVASEIASSDLASSSSPIKRRRVEITDSAPENSSIVAASGSSSVVQQQRDMAFGNSNRQEIDEDLHSRQLAVYGRETMRRLFASNVLISGMHGLGAEIAKNLILAGVKSVTLHDERVVELWDLSSNFVFSEDDVGKNRADASVHKLQDLNNAVVVSSLTTRLTKEHLSGFQVVVFSDISLEKAIEFNDYCHSHQPPIAFVKADVRGLFGSVFCDFGPEFAVLDVDGEEPHTGIIASISNENQAFISCVDDERLEFQDGDLVVFSEVEGMTELNDGKPRKIKSARPYSFTLEEDTTGYGTYVKGGIVTQVKQPKLLNFKPLREALSDPGDFLFSDFSKFDRPPLLHLAFQALDRFTSEAGRFPVAGSEEDAQQLISIATSINTGQGDLKVDNVDHKLLRHFAFGAKAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPSEPLDSSDVAPRNSRYDAQISVFGAKFQQKLEDAKVFTVGSGALGCEFLKNMALMGVSCGDQGKLTVTDDDIIEKSNLSRQFLFRDWNIGQAKSTVAASAAAAINPKFNIEALQNRVGAETENVFDDAFWENLTVVVNALDNVNARLYVDSRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSSPVEYTNSMMSAGDAQARDTLERIVECLDKEKCENFQDCLTWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPRPLQYSSSDPSLLNFITATAILRAETFGIPVPEWTKDPKAAAEAIDNVIVPDFEPRKDAKIVTDEKATTLTTASVDDAAFINDLIAKLEKCRHNLSPDFRMKPIQFEKDDDTNYHMDVIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEAYRNTFANLALPLFSMAEPVPPKVVKHRDMAWTVWDRWVLKGNPTLREVLQWLEDKGLNAYSISCGSCLLFNSMFPRHKERMDKKVVDLARDIAKVELPPYRRHLDVVVACEDEDDNDVDIPLVSIYFR >A09p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44807082:44812515:1 gene:A09p049460.1_BraROA transcript:A09p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSRSPSDQHSPPANKILSSGSPFQRRMSSSERVPCDFCGERTAVLFCRADAAKLCLSCDHHVHKANLLSRKHVRSRICDSCGNEPVSVRCFTDNLVWCQECDWDVHGSCSHVRSAVDGFSGCPSALELAALLGVDLEGRKQEKEVPLMTMESFGMELDSWSFGSNVLQELIVPVTDDTTTFKKRSSSCGRYKQVVCKQLEKLLNGEDNDGDRVGGGGEAKEGIMVPVMPERLGWARDADDSEFIHQPPTTSFSSLISGCQSTTQIWDFNLGQSREPEDTIRTEAAECVTKDAASFKVNSIVKLLNDACSTKAKRVKEIFQDGYKRSTSGQVPATSENNNNLPITFGSNTSNELCFTENIGGTSCNKATRVVTTKADLEQLAQNRGNAMQRYKEKRKNRRYDKTIRYESRKARADTRLRVKGRFTLADQRIRVQHATHYRSRTFKTLSSSVNQTLQHRLTEALDRKAQINPVRVIIKKLRDPDQSLQTLQASEWMRKGRICGPTPEDFEKMREVGLRMKASPFNSMLSLREMEENNVAPDSLMVNEVLKIYAAESKVESMARFMRMWSGEEGIKLERETMAAMANAYAKAGSTKKAIEMYGESKGEVHRLWEDECKKKEKLEADEYRNVISSLLKLDDVEGAEKVYGEWEPDGPKLDLSIPGLLISRFCAERNELRVGELMSSIGKKRNGMHLRMGSLAFPYKYKPPPPLSLIRNSRAKLCRKIPETGSPSRRRSLSLSLSLSLSPRCLSFFSVSSPHLSSLSLSPRRVQPRMVVVAAWCCRSQIPFLTPLIFRSRSRLRNEIRTTEELVGSMEMNRNGIRTTEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDPTGTQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGTVIANAEGVYEQLRVSGQDQINKKSSYRGVVEFQRFRNGGNGKSDIRAGGKRFVGDTTPHRAGKAGEGESDAGRRQAQLHGGDIPAKK >A01p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4549971:4554259:1 gene:A01p009410.1_BraROA transcript:A01p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMGVTMEVGNDGVAVITISNPPVNSLASPIISGLKEKFQDANQRSDVKAIVLTGNGGRFSGGFDINVFQQVHKTGDISLMPEVSIDLVCNLMEDSRKPLVAAVEGLALGGGLELAMACHARVAAPKAQLGLPELTLGVIPGFGGTQRLPRLVGLAKATDMILLSKSISSEEGQKFGLVDALVPSGDLLSTSRKWALDIAEGRKPFLRSLHRTDKIGSLSEARAILKDTRQLAKKIAPNMPQHHACIDVIEEGIIHGGYSGVLKEAEVFKQLVMSDTAKALVHVFFAQRATSKVPNVTDVGLKPRPMKKVAVIGGGLMGSGIATALLLSNTRVVLKEINPDYLQKGLKSVEANLKSLVSRGKLTQDKAGKALSLLKGVLDYAEFKDVDMVIEAVIENIQLKQKIFKEIEEICPPHCILASNTSTIDLNVIGEKINSKDRIVGAHFFSPAHIMTLLEIVRTENTSAQVILDLMSLGKAIKKVPVVVGNCIGFAVNRTFFPYTQGAHMLVNLGVDLFRVDRVITSFGLPLGPFQELYSLWDDLLRRLGDLAGHRIGMAVKEIYAKAYGDRMFRSPLTELLIKSGRNGKINGRGYYIYEKGSKPKPDPSVLSVVEESRKLTNIMPGGKPISVTDKEIVEMILFPVVNEACRVLDEGVVIRASDLDVASVLGMSFPSYRGGIIFWADTVGPKYIYERLKRLSETYGGFFKPSRYLEERAMNGMLLSEPKASSRSRM >A02p004980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2156397:2156822:1 gene:A02p004980.1_BraROA transcript:A02p004980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAFCAERENYIVLVSLFDADEMFIRNLLCHFEKLNTQNHVFICSASELLYDLSRRGHQVIDADMFIKSKTSYSDSVKEAMGNAYVVKKCLELGYSTWLFKSNAILVDEGLLHDRIRSGYGFYESCGVLIVQSSLVTQKL >A09p028550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17117967:17122627:-1 gene:A09p028550.1_BraROA transcript:A09p028550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSSQMVPEWHEAYMDYNHLKSLLKEIVKFKRKNNPPHGHGHHLHRKLTIYRTFSGLLAKSGRKRHPHGHGGAQIGPFSDSDDDIEEGIKSAPILVHSASHGYETTFLMAAEEGGEYETVFFRRLDDEFNKVEKFYKEKVEEVMKEAVMLNKQMDALIAFRVKVEHPDGWPWEERTVEMTRLASNVDISAAAVAASTPAGARSMKLGAQALEAIQEGGSSKAGKSDEDEDEDDVEKEEDKVIFEDISRLNAARPSSIEVLDRVKINNTKETPRSTIKHVLKSSNPELKFSRDNLRRVEEKLRRAFVEFYQKLRLLKSYSFLNVLAFSKILKKYDKVTSRNATKSYMKMIDNSYLGGSDEVIRLMERVEATFIKHFTNANRTKGMNILRPQAQRERHRITFSTGFLGGCMFSLVVALFAIIRTRNILQEDGHKKYMNTMFPLYSLFGFIVLHILMYAANIYYWRRYRVNYSFIFGFKQGTELSYRQVLLVGFSIGVLALLCVIANLDMEVDPETNDYKALTELLPLILLIVMFIVLVLPFNIFYRSSRLFFLTCLFHCLAAPLYKVTLPDFLLGDQLTSQVQALRSVQFYICHYGWGDYKLRQNTCTDSDTYNAFLFIVAVVPYVCRLLQCLRRLFEEKNAEQGYNGIKYFLTIVAVCLRTAYSVDKDNQFVWRMLAGIFSAIAAIFCTYWDLVLDWGLLNRTSKNRWLRDKLLIPQKKVYFIAMILNVLLRFAWVQTVLDFNFSFMHKQTMVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKTVPLPFNYDEDDDKDN >A01g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5495680:5496540:-1 gene:A01g501480.1_BraROA transcript:A01g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGGFFSISWLAFVSGVSLASSVNQSATVSGDTPDLSVMSAGLSPFYFEARIRWFSFIFATVVTLLFWGVASLDGGGLPASFFSNGEGKY >A05p053400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30848166:30849320:1 gene:A05p053400.1_BraROA transcript:A05p053400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKFACPVLEINLISAQDLAPISKNMKTYSVAWINTNPMRKLTTRVDQANRANPIWNEKFVFRVDDKILDVDASSIVIEIYAAAWAKDALVGTVNVLLSDLFAPWSGFGDGDDGGGGNNNMRLVTLQIRRPSGRLQGFLRLGVALLDGGQRSMPLSVEVFDGSRRDSKRDDAKMMHRRTNSDQTDLTTSTNDYGVKTGVVSGGGGSGGGGGGGGGVDSMVNGSLCSSDIGPSASVVAAAIAQGLYNRQKTTVKAVAGKEDASSILEGKTEGIEHRVERWRAEKSAGRAVEAAGSSDDSSGKGGGGRRQRRRRRRRKEKQRRRNGEGKKGLFSCFGNVFGCEISITCGGGSGGGEGDSTKKKYNNNKVVNLSAVDETFSHSAT >A02g511620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31111768:31113252:-1 gene:A02g511620.1_BraROA transcript:A02g511620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNETPSRATNRSVDGSNADIFISPRFKSAAALAGWDEEDLIIASFVVEDTPERSSSKRRRRSNLLSKTSPPSSGSRRRQRIKQSSNQFPVVDLDEVIRREEEKSAEKKKRKNKETKTETKEEKKAEKDEKILPEEKKSTSVVLPCIDKLRDELSCAICLEICYEPSTTTCGHSFCKKCLRSAADKCGRKCPKCRQLIGNGKYCTVNTVLWNTIQLLFPKEVEAQRAAASANFLSKETPSPRDSNQRLRARNRETALQARLQREDISRLLVSEERSERRRRGGSVRLDQDSDAAFALRLQRQEFASAFGVTAAGATSSSSSSSSSDVSLSRARANLRAMASRASRRQ >A07g509110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26550233:26551316:1 gene:A07g509110.1_BraROA transcript:A07g509110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICQHTLFTINTHSLIFSHLSQSNTNKTQRRSKFIMSTTGQIIRCKAAVCWEAGKPLVMEEVEVAPPQKHEVRIKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECGDCPHCHSEESNMCDLLRINTERGGMIHDGESRFSINGKPIYHFLGTSTFSEYTVVHSGQVAKINPEAPLDKVCIVSCGLSTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARIAGAGRIIGVDLNPKRFEEG >A01p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26050518:26053154:-1 gene:A01p046250.1_BraROA transcript:A01p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLFPPLVTAQIDGKPPKADEKVDYSNLPCPVPYDELHREAYMSLKSETFEGLRFDFSKGLNQRFSLSHSVMMGPTEVPSQSPDTTIKIPTAHYEFGANYFDPKLMLVGRVMTDGRLNARVKADLSDKLILKANAQLTGEPHMSHAVFNFDYMGKDYRAQLQLGNSALVGATYIQSVTPRLSLGGEVFWAGVPRKSGIGYAARYETDQMVASAQVASTGNVVMNYVQKISEKVSLATDFVYNYFSRDVVASVGYDYILRQSRVRGKIDSNGVTSALLEERLSMGLNFLLSAEVDHKKKDYKFGFGLTVG >A03p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1829192:1830731:-1 gene:A03p004310.1_BraROA transcript:A03p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble inorganic pyrophosphatase 6, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G09650) UniProtKB/Swiss-Prot;Acc:Q9LXC9] MAATRVITAASATTSCFLAKRAFVLPAKRSCGGGALCFSRRAFVLKSKRPFSCSAIYNPQVKVKDEGQPETLDYRVFFLDGSGKKVSPWHDIPLTLGDGVFNFIVEIPKESKAKMEVATDEDFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSQANAEVEGAFGDNDPVDVVEIGETQRKIGEVLKIKPLAALAMIDEGELDWKIVAISLDDPKAHLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGDKPANKEYALKIIHETNESWAKLVKRSVDAGDLSLF >A02p022580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10788174:10789671:-1 gene:A02p022580.1_BraROA transcript:A02p022580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLVICIVHAIFIPCFSFDVSGMDLPLTLDYYKSTCPTVFDVIRKEMECIVKEDPRNAAIIIRLHFHDCFVQGCDGSVLLDETESLKGEKKASPNINSLKGYKIIDRIKNIIESECPGVVSCADLLTISARDATILVGGPYWDVPVGRKDSKTASYALATTNLPTPDEGLISIIAKFYYQGLSVEDMVALIGAHTIGMAQCRNFRSRIYGDFRVTTALNPVSATYLARLQEICPESSGEGDRNVTAMDNVTPNLFDNSIYHTLLRGEGLLSSDQAMYTSMFGIQTRRIVSKYAENPVAFFEQFSKSMVKMGNILNSKSLVDGEVRRNCRFVNT >A07p009190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:158567:163657:1 gene:A07p009190.1_BraROA transcript:A07p009190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRNKEFQSQVIFSTNETCNKTRSRKKSSPLPSKHLHLLNPKCRVWCLDIDRWYLCTSIDINLHLSRHFLISIVSTDAHRSIILPLVDLLVHKYKVNALPWEYRSQDSRISDRYLELQTGFHESKLNGGCHQVLFTSFRPISKSSLRKQALKIAASKSRFELFYLSLYESSLNGVTFQTCLKNPIPCIPSPKTSGYVWFSVGNQLWLLHTVQGKSTKVKDSIEVSMVKPSCSAMILGRILTDSPVSHMEWGNSTPLTTHGIANFPGSLFFVNVILCFIFSLT >A03p004440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1877593:1878749:1 gene:A03p004440.1_BraROA transcript:A03p004440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVSLILEHKYEQLQQVSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRHQLTEFELCVLGNLCPETAEEAVAMVPSLKTKGRAHSDEAIEKMLNDLSLVKRFE >A08g502800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5717417:5718120:1 gene:A08g502800.1_BraROA transcript:A08g502800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIKKVRKTSVLLDIITSRRAVDTASRQSIVALVIVTYLRLYLESSKVLGDIIVYLYRFGNTHAKPFPDKNSVKDMVNPWLGNEFDQTEIKQVMTTASMCIYHIATMRPDINRQKPCQGGAVIVNTCDLQDHTTTLYLNELIYHRR >A09p047830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41492313:41493121:-1 gene:A09p047830.1_BraROA transcript:A09p047830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGSKRSLFEDLSSSPPLSKKLRRFSSSSSSLLLLPHLAALFPDMDTHILERAIEECGDDLDSAIRSLNQLRLDKSSESSLIQEEAKVEGGGSSGKEEVLNMDGNEWVELFVREMMNASDMKDAKDRASRALQALEKSINARAGSDAAMQISLQQENLMLRQQLEAIVQENSLLKRAVVTQQKRQKETEDQSQELQRLRQMVTQYQEQLRTLEVNNYALTLHLKQAQQNNSSIPGRYNPDVF >A01p007110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3561360:3564369:-1 gene:A01p007110.1_BraROA transcript:A01p007110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLVALLLLALVFTTTVFADAGEKPEVVDAAGSDGSSKIQLDQLNSKIRALETQIDEKTREVKGKDELVAEKEKLLKQKEDKIASLQTEVSSLQGSSDSAKELGKAQERVVELGKQVEVLRNFLEQKNKEKASKEARTKEAEKKLSEVNSSLGKLQKTNEEQKNKIGKLERAIKMAEEEMLRTKLEATSKAKALLEAHGSWLPPWLAVHWSSFQSYTETHWEAHGKPVVETVILKVTEAKAQADKWAEPHVETIKTKYIPAVKETVATHVQPHVRTLSIKAKEAYHASKSAVSPHIVTVQEIVDPYYQEAKKFSKPYVEQVATATKPHVDKIKVAVKPYTTKVVIVYTEFLESATTYHNQVQAHVEQKLKSHELTEAFATSEFVWFAASALLALPIFFAYRILVSLFCTKAKTPVKHPHHHGRRKTKKGHSHVEK >A03p074070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32184312:32187356:-1 gene:A03p074070.1_BraROA transcript:A03p074070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKKSSHVALPVILTRLKQKQEEWARCRFDFRKVWADVYAKNHHKSLDHRSFYFKQQDSKNLSTKGLVAEIKDISDRKHKEDPLHAIAVGIRPSFTPDLEFSYSDTQVHADLYQLIKYYCEEICASEQSDKVMKLWVTFLEPIFGVPSRSQTSEAMIDAAKSKDNQEQQDACEAVKDSTCDVSVVSTAHTKENPPVQGNSVAQETILQDKLHVRAAMDIEDTQPPKPVSPPRKDLLMEGVENHSKVSDVIMGEQKVEREEGELSPTESYEQDNYEVYRDNGVESVQKLTDNVGSNKEQEHKEGAVCMEAGAKSNALPKDDGNKITQKLSEANENASGSKFGGHVSSDEEHKGAMKCDRLDSEDGSFLTISERYLQPVKPLAKHVPVKLQVSESNSPNDSRVFYGNDSFYVLFRLHQMLYERIQSAKIHSERKWKVPDPDNTSPDSYTRFLDALYNLLDGSSDNTKFEDECRAIIGAQSYVLFTLDKLVQKFVKHLHVVAADETDTKLLQLYTYENYRKPGRFFDIVYHENARALLHDQNIYRIEYSSAQTRLAIQLMNNGNDQPEVTAVTVEPGFANYLQNDFLSLLPDEEIPGLFLKRNKAKMSGPDESSGILRAMEGLKIINEVGCKMACSSSKVKYEPNTSDLLYRRKQKKPNGLDKDKTPSSSEISRKKRLSRFHMCMNRRLAALP >A02p031930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16757842:16764692:-1 gene:A02p031930.1_BraROA transcript:A02p031930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g26000 [Source:Projected from Arabidopsis thaliana (AT2G26000) UniProtKB/TrEMBL;Acc:O80996] MMPSTSTLIAGEDSVVPPLASVSGESSLSDMTQTVHFSSGNPRIGETRGVMHLFPDDAVSPSSSSSSSSILPIGRNPLVCVLGVPNHMTYADFCQFCGSFIQHILEMRTVRNDGIENRYNILIRFDSQESADTYYQHFRGKRFNSLEEEVCRLLFTLDVQFTGYSGSIDHSQPSSAGPVEQPTCPVCLERLDQDTGGILTTMCNHSFHCSCISNWPDSSCPVCRYCQQQPENSVCCVCQTTENLWMCVICGVVGCGRYKGAHARTHWEETDHCYSLELETQRVWDYAGDNYVHRLIQSKTDGKLVELNSHGSLSKDGCGSCEYSDSGMTDALLNSKVDMIISEYNELLQAQLENQKQYFEKLLQNVKEETEQKVSEAANKAISQRLQKLQARRDRCFKEKQFLEDLNENLMKNKDVWSTKITEMEEREKKAVQVKDEKIERLEEQLGKLMAQMDGGESEGSELKEEVKDGTVLPISADSTTTSCGSEKVKNASKNKSNRRKDQKETMEELPDHLVWDIFKNLHKTNDRNSLSLSCKRFYSLDNEQRQSLRIGCGLVPAPDALLSLCKRFPNLSKVEIVYSGWMSKLGKQLDDQGLLLLSTNCHSLSDLTLSYCTFITDVGIRHLSSCTKLSSLKLNFAPRITGCGVLSVAVGCKKLKILHLIRCVNVASVEWLEYFGKLEVLEELCIKNCRGVGEGDLVKLGTIWRKLRVLKFEVDANYRNMKDYDQLAAERWRKQLVLCDNLVELSLVNCVIAPGRGLACVLRKCKSLEKLHLDMCIGVSDSDIIALVQEAKQLRSISLRVPSDFTLPLLNNATMRLTDESLSAIAQHCSKLESFKVSFSDGEFPSLLSFTLQGIITLIKKCPIRELSLDHVCSFNDVGMEALCSAQNLEILELVHCQEVSDEGLVLVSQFPSLTVLKLSKCLGVTDDGLIPLAKTHRLELLVVEDCPQVSRKGVNGAATSCYKKKKLSKCLGVTDNGVRPLAKTHKLELLVEDCPQVSIRGVNGATTSVSFN >A08p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13369829:13371395:-1 gene:A08p019660.1_BraROA transcript:A08p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDTSKPVVVAEEANPNPTDHPIDRYHEEGDDAEEGEIAGGEGDGDESSKSAVPQSHPLEHSWTFWFDNPSVKLKQATWGSSLRSVFTFSTVEEFWSLFNNMRGPSKLAGGADFYCFKHNIEPKWEDPICANGGKWTMNFPKEKSDKPWLYTLLALIGEQFDHGDEICGAVVNVRGKQERISIWTKNASNEAAQVSIGKQWKEFIDYNNSIGFIIHEDAKKLDRGAKSAYTA >A08g500610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1639789:1641796:-1 gene:A08g500610.1_BraROA transcript:A08g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVEAVIDKIRIETDLLDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTINPSVIRLRWKMMLLAKSSLTIYIYSDPTAAERLYRRKMSCRKSHQLGKHKV >A10p023510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15347059:15351863:1 gene:A10p023510.1_BraROA transcript:A10p023510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCSSYLTGSDQASLHLEISLSSSVENLLKRIYSKHNHPQIKAETRRRLSSVSQELASETLRKVFNASCVKRTLDGFINYLLDQAVSSVYISPRRCSGGSPVLSPRTPGKKSCRLFHDMSLLDSEVPSPKSLKLEVHGGSQLLALGELEFKKAFLLLSYIPGQSLGQVTTAEKIRQWNNLPMVEYEAAVWNHLGRFHCSPKDRRVPLEWDNGNTRYYQCLVTPDGSYRFKGPLVEKSGTHLHNVLGDENVLTVKFADVPGQETYCNDIYSAYIEIAKNGIRVGLRRYQFFVFKDGGKEEKKKDFSTKGVKCYFIRTGSTASYDMRNPYIFSGKSIHEARMHFMHVHTLPTLAKYMARFSLILSKTRKLEVDMSGITLQQIDDIHCHDQDRNDVLDKNGKPCIHSDGTGYISEDLARMCPTDLFKGKRIRSVNNKQASNVKEPPLLIQFRMFHSGYAVKGTFLLNKKLPPRTVQVRPSMVKVSKDPALSEFSTTFNSLEVVTTSNPPRRTKLSRNLVALLNYGGVPNDFFLDILHNTLEESKTVFENVHAAMKAAYNYGEMDEYNALRMIMLGMPLDEPHLKDQLSILLKTQRNDLKAGKLLVTESYYLMGTVDPTGKLKQNEVCVILESGQISGEVLVYRNPGLHFGDIHVLKATYVKALEEYVGNSKYGVFFPQIGPRSLGDEIAGGDFDGDMYFISRNPKLLEHFKPSEPWVSSSPPNRIYAGTAPSELTPEMLEEKLFRMFLEARFNSSNVVGAAADSWLTIMDRYLTLGDERAKEKAGMKKQILKAIDVYYDALDAPKNGAKVYLPLYLRFESFPHYMEYKHKKSFNSTSILGLIYDTVSQNEEEPPPCEIKKLPCFEDELVPESHMEKWGGWYENYKDEMEQAMKTDENNKKELASEVIKKYKQDLDWYRGSVINKNQCNGFYKQLICGTVSSQEFYGGAEFKDIKRNLDAFYPQALALYNIVYDYAILKNNVRYCGFVWRVAGRVLCDFYLEKKVDGEGEESFSAAGSVVKKLYG >A05p050420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29339693:29343874:1 gene:A05p050420.1_BraROA transcript:A05p050420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EITAGNYSEDEIHAMLLDCSMNPDEAAQRLLLQDPFLEVKKKRDKRKENLSNKDSVEPQWRSGGPGRGSRGGRMNFSSRHSSHDGAGAKNSFRKENSPKQVADPSTSTSQQQIKTKDNALVCSLPPVMDKSSVGLTSGSPDGAPSAVESSKNRVALGSNAVHTEQKSANSLPLSRPSSSEVRFTSSNSKPVSEQHLGQSKLQNRSRGVGKATVNDAYVPSPASSHSNSTGSRPSSNYSNRSHHTVGPQRVKEWKPKPVNHTTTQGSGASATAEALAVPTEASEKSVEDVVSSAEGTSRLQRQLEDLQIQRQHVIIPNHILVPEAERTKFSFGSFDAGFSITSSSVAFPENAPLSQNSQEVEDSLEADELSHLNVHSTEKDEENNVHSESLSQVPNDMAGEGIAASNAAQVYDVSKQESLLESESNQNSFDHVPCNIIGPVPPAPASQHPQFENAADPQARDALRIPNFVVQQPFDTASYYAQFYRSGPDSDGRVSPFVSPGVASKFNGNVTVLPPHSSQTMQEGGNNLVLSTASPTPLVTQPSGLMQSSIPVTQQPVPFLRPPGLHMSHYPPNYMPYGHYFSPFYLPHPAMQQFLSNGAFAQQPQASSVYPAPPPPPGAATGGKYTLPHHKPGNNNTGNLTHVGVPGGYGPPSHGSFPAEYNPNSGASAGNSTSNEDLNTLQLKENNGYSTTGQQSEALPVWIAGPGRDVPSSFYGLQHHGQHVTYAPAQAAHVTFPGMYHPGQAVTAAGGVHHPLLQQSQGVAGAEMVAPGPPNVFQQPQQTPMNWPSNY >A04g505940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12389620:12390884:1 gene:A04g505940.1_BraROA transcript:A04g505940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHGEGIEEEQISRIEKGIEKDCHGGIETIICTSPSIVCLTQKLIAELIGTYFIIFSGCGVVVVNVLYGGKVTFPGICVTWGLIVMVMIYSVGHISGAHFNPAVTICFAIFRRFPWYQVPSYIGAQLAGSLLASLTLRLMFKVTPEAFFGTTPADSAARALASEIIISFLLMFVISGVATDSRAIGELAGIAVGMTIILNVFVAGPISGASMNPARSLGPAIVMGVYKGIWVYIVGPIIGIVAGGFVYNFIRFTDKPLGELTKSSSFLRKASSNNNASSSNS >A01g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24239988:24240715:1 gene:A01g508960.1_BraROA transcript:A01g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILFIIVLDKSELQSCDVCLKCLSTSPVLQTGVPDIRHSTFEFLRVGRNSVIHEFIPAGRANHYMTSLKAGSIVEVDRFEVARCSSMYKIIDHPFLIHFISPTIIYEVITGAPEINLQS >A10p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20315732:20318672:1 gene:A10p035330.1_BraROA transcript:A10p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPRDSQQTTTVSVHGVRTTTKGYKVIIRYYVFEKGSICTAWLVFRLQSNGRMLKYKMIGPKSRFQSSMLLHLGCLYLCIFWSEHQLVEFCFTDDPGESEIPIVVYKVGECMQELIKLWKEYESSQPDKSGDFANNGPTLEVQIPAEYVTATNRRVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPTLQELRATIRVLPSQDYYTSKLRNNVRSRSWGAGIGCSYKVERCYILKKGGGTIELEPSLTHSSEPTLAPMAVDKSMTTRAAASNAQRQQMFVREVTIQYNLCNEPWIKYSISAVADKGLKKPLFTSARLKKGEVLYLETHSSRYELCFAGEKTMKAFQASQQQHSSSEEATEMDNNNNSVMFSLNVFHTDSDNSVIDVFRWSRCKKPLPQKLMRSIGFPLPPDHVEVLEENLDWEDVQWSQTGVWIAGKEYTLARVHFLAPN >A07p048860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26027089:26027763:-1 gene:A07p048860.1_BraROA transcript:A07p048860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQINFEGLRELHDCANYLLDHCPKTRESLSEQGQEKWTEQVSEASLRMLDICSVSKDVMTLVKHSLHDLQLTLRGNESSDVNEKIAAYNQYKNRLKKEILKCLNCLKGMIGGRVEMPRELNLLFVAEVLKEVREAVVTMVESLFSLGCIPWLEKRSSKGSLSSIFTSRSSDWLDDIWDETAVQSAATRLEAAEIAVEELEIELESIFRRLIHTRVSLLNIITS >A08p032220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19535809:19536999:-1 gene:A08p032220.1_BraROA transcript:A08p032220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHVSEMFDCSHGHKIKKRRQLQTVEIKVKMDCEGCERKVRRSVEGMKGVSSVSLEPKAHKVTVVGYVEPNKVVSRMAHRTGKKVELWPYVPYDVVAHPYASGVYDKKAPSGYVRRADDPGVSQLARASSTEVRYTTAFSDENPAACVVM >A07p050750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27038252:27040911:1 gene:A07p050750.1_BraROA transcript:A07p050750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVEVINTDDYISKLSNDLLLEILSKSSTEEVIKTSILSKRWRNVWKKTSCLCLDMRRIEKTTTLLPGVFHQAANSVTKVIQDHCGHLERCTIYHDSRQCENGMLEFWIQSLVNVKHIKDLTLENFSPGSKSNNRSNVTLDLPPRSFSHPSLTSLSLSQYNLKAPHAFLVCWNLKNLKLIGISAEVEVFNAVLVACTSLQVLALEITCHRKDGILKIDNHNLKFLYLSCSRIKGVEVSSPSLDIISIGSLSCEMENFIIASPRLHFNRNYWATGKFFPHTSYYISCPYQGEASIGHEIMMNGSNVCMKMFASMSVSVDLTNAKEVEILRQVLAVWPGDMQEVEILFKSNNAARKESESSIGNTQNAFWEDTKPFPSAQFRAYTVWLSNFSGSNEEFALASRMIMQGTVARCMIIKPSTVSPANKLEIEAAIARLKELPKGHENLRIVMF >A03g508440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28833483:28834859:1 gene:A03g508440.1_BraROA transcript:A03g508440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIDAEGTVAQGFIGQNRRTQYEKELRLGTEWYYIACKDCQTKLNRGPTTMLCPKCGNENATAVANYRVEMYVYDNEEQCTFIILGDAGKELTGRKATELIDAYVEDNGGDGAELEIPLPQCLIDTIGQTKKFRIKQFCHQRILPPLKTPPHSEVKIPDLPESSGGVSSASDEQKKAKRAKLSG >SC139g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:1509:3480:-1 gene:SC139g500010.1_BraROA transcript:SC139g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICESPSLNSKSSCLIRREVTCSIIFSLSCFSLRSFKKLYIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKSFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEELLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDTASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKVEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTLVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDEKIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A07p035100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19017118:19018978:-1 gene:A07p035100.1_BraROA transcript:A07p035100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEAVDLRSTEVPISPSLKGPDFSYQAALPEIRNLLRCECETHKLPLALWCETNVNKEDPTNVTLSRSCKETQLSPSTPSQEVDFLRIKVSYEEEKIRFRMQNSQDVSRYDLKYLDEDDEWVLLRCDDDVEECVDVCRSFPGKTIKLCFSSLLIIYKNVLISVEAFLDSKKRHFRRRDNDV >A04g508140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20798704:20801714:1 gene:A04g508140.1_BraROA transcript:A04g508140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKPKKSKSPASKSASKASPSKDSPVKSVDYDSDSPHSEFVSDAHNDIPVDVVAQLPLVSSDLDSPPVPPSDQAVIVDSLTDPSGKTEVDLPMVSSSDKTVIADPSADPSSVQEVIPMILLESSSVIQATCSSVLPSNSLQTTNDGSYLEPAVQAPIAEDEKKSSCSHLAEAIDNTVTPSVVPEEGETRGRKTRRSKSRGKQKWVVATPPIPSQQQDQADKLGTDGILVSHKGDPHIQNCHLELSLQTKLGTREDKAVGESSGARATPPEDTPHLKAALQRSGSRSSHASHSDVQPDSSDVESSDPELEEGEFSC >A08p041240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:23315947:23316513:1 gene:A08p041240.1_BraROA transcript:A08p041240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVENQQESSFPVKRKSDLCSQEEDNVASKAQKLDPSSNSTCSESKDGEVNGSGVENLAAEEKKEGGDGEEDEDEDEDEDDEEEEEEEEVDRKGKGISREDKGKGKMIEVEESDDSDDDDDEDDEDGDEYDESDLSDDPLAEVDLDNILPSRTRRRSIQPGVFISNDRVGGVNEDDADDDSSDDSDA >A02p043010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26980083:26980564:1 gene:A02p043010.1_BraROA transcript:A02p043010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLHRVTYPIFTEDGSRESERIRHIYMAVCSLLVPSDCLIHVVINQIWGRKFWGRKCMIFVRKLGEFSYIFHIPDAATRSWVLQRGLWHVDDYICLLILVSLWFLYLYRKQHDSGIVTLKNIPSQLYSIIRIKWIASGLGKPSYVN >A06g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15968671:15971599:-1 gene:A06g505460.1_BraROA transcript:A06g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYLLVVWKSSGSRLDFLEVFQIWKTSGTTYLLVVWKSSGSRMDFLKVVWTSCKVVWKSSELPKSLLTKSSELSGSCLEVLSRHRLVLQLKQKTSRFSYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNSLAYIRLLQAHRITNESHPPIIVSFYDSMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10g505650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14382511:14384033:1 gene:A10g505650.1_BraROA transcript:A10g505650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVMYDLADDYGLLFSPQTAVSALYSQLKELQKKDADMKERDKMLYSKGDTDSTSKLVARDTDLPLAATLLKAYAKVEPLTIAELNYLLSLLHPRTLTSYVPGELLALTWTKGGVMLHAPNAVKLQHTVSAIAYRVETAIADGTAEGTFFRFDGVVTKLHSLRASEAGQMLAEGVNPEDFKMPPFTTHIEAKTYTFQFSTFTITLILDERDRVPVPDVVDNIGNDDGDDMPDGNPIPVKVETGGSSGDQAAFNAGTDPVGVCRRRRPTHLLRWLRRRVWLEID >A02p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1087954:1092462:1 gene:A02p002610.1_BraROA transcript:A02p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAQSLNNQSSVLRINIMVVDDDPVSREIVSRMLERSKYRDPSMEITVIAVRDAREALSTLKIQRNNIDLIVTDYYMPGMNGLQLKQQITRQFGNFPVIVMSSDTNKEQESLACGSVCFLPKPIKPTDLPKIYQVAFTYKRKGKSISRTEHNHMDTNVSIPQQIQLLPEQANVSKTKKNKEFSSKSDSRSVNSFNGSCDSTDGSRKNRKRKSNGDFGDDDESLPQPSKKSKLSWSDYLHDLFLQAIHHIGLDKAVPKKILEFMDVSYLTRENVASHLQKYRNFLRKVAENSGMLHGRGMEPYHSNYTTSSSWYDTGLNNKSSYSKPRHGLGQSRLLSNTCEPVRFNQMPYNHMNRLSTYEPHRTGSNLTMPIKSNLSFSTQPLQNEGSRSFLEPTVTANKTGQTSQVLGFGQHGMLAINGNNFNDNTMSSYASSTSNQPRINSHGSSTPNQPGLRSYGCSISNQPGMSSYASVSPNQLGMSSYGSLTPYQRGMSSLGSFTPTQPGMSSHRSLTPTQQGMSSYGSLSPSQPGVSTQPGMSSKGSLTPTQRGMSSYGSLTSYQPALSTHGSLFPNQPGISSHTSLPPTQPGMSSFGSLTTNHSGMSSYESLTPTQPGPSNISYGLLLNNENTAYKPQPHASTTIQLDNLSMYDDLGNINEIPCDLSNFDFDHDKQQEEAVSANKFEIPANLETELNQTSSLEEDGDWTFLNISQGHFNEKTSNTFAAPETNDPTFNKNPNHAQEQDVPDFDWSLLDLENLANENDFMDSMFTNDNSMFTNDMN >A09p034460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20853531:20862170:-1 gene:A09p034460.1_BraROA transcript:A09p034460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT3.8 [Source:Projected from Arabidopsis thaliana (AT4G10540) UniProtKB/Swiss-Prot;Acc:Q9SZY3] MLGMKNYRIFVVLTLLIILNGQSSLVARAGADRKVHIVYLGEKQHDDPEFVTESHHQMLWSLLGSKEDAHDSMVYSYRHGFSGFAAKLTKSQAKQIADLPEVVHVTPDSFYELTTTRTWDYLGLSAATPKNLLNDSNMGEEVIIGVVDTGVWPESEVFNDNGIGPVPKHWKGGCESGEDFNSSHCNKKLIGAKYFINGFLADNESFNETESLDFISPRDYDGHGTHVATIAGGSVLPGISYKGLAGGTVRGGAPRARIAMYKACWDDSGCYSADILKAMDEAIHDGVDVLSLSLGYRVPYFPETDVRDAIATGAFHAVLKGITVVCSGGNSGPAAQTVGNAAPWILTVAATTLDRSFPTPLTLGNNKVILGQAMYTGPELGFTGLVYPENPGNSNETKRSGAVSSAARYVKNAGGVGVIIARNPGDTLSPCLDDFPCVAVDYELGTNILLYIRSSGSPVVKIQPSKTLIGQPVGTKVAEFSSRGPNSIAPAILKPDIAAPGVSILAATNTNTTFNDRGFIMLSGTSMAAPAISGVVALLKATHRDWSPAAIRSAIVTTAWRTDPSGERIFAEGSPRKLADPFDYGGGLVNPEKAAKPGLVYDLGIEDYVLYMCTVGYNESSISQLVGKGTVCSNPIPSVLDFNLPSITIPNLKEEVTLTRTLTNVGPVDSVYKVAVEPPLGVQVTVTPETLVFNSTNKKVSFKVRVSTTHKTNTGYYFGSLTWSDYVHNVTIPLSVRTQILHNYYDEN >A09g509540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28042475:28043962:1 gene:A09g509540.1_BraROA transcript:A09g509540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDNADIVQTPLNEGSSTDLHTPAADVSAANVPANAAALEEFKKMFATYEKRSEEQDKLVSTLTKQVETLTARTRAIRLCRTTKVHGKRLDFATPLDRPGTSRERPSGQNPSEKSPAKKRNSESPPLPAKDLEVNEVEHVDLDPSSVSNDTEEDGDRHPRRTRSRSAWESSPERTKMKDIISWLTTCLEEIMQDIVRMQTERVAEATTLPSIDRNISTSIDHDPSPSIPTNSIPDSYTRAEFDKIVQEIYGTLGTSLDRLDRRCDDIYFPWNNTVGTKICTVDFCLN >A07g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17992120:17996079:-1 gene:A07g506980.1_BraROA transcript:A07g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRFLLCFLFVSNVYATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAFMDEMQNFTTMIVDMVKKENLFASQGGPIILAQIENEYGNVMGPYGESGKAYIKWCANMAQSLDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFVPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMERTLTYGNISTIDFGNSASATIYKTEEGSSCFFGNGNEKSDATISFQGESYVVPAWSVTILPDCKNEAYNTAKITTQTSMMVKKPNEAEDTPSTLKWSWRPENMDNFLLKGKGESTQTQLFDQKVVTNDQSDYLWYMTTVKFKKRDPFLGKNMSLRVNSTAHVLHAFVNGKHIGSQHAENDKFNYVFEKDVKFKSGRNVIALLSITVGLANYGAFFESKPAGITGPIFITGRNGDETIVKDLSAHKWSYKTGLIGFESQLFRTESMSKWSVESVPFNRTMTWYKTTFKSPLGNDPVVVDLMGLGKGTAWVLQSNMLRYHVPRSFLNAEGDNTLVLFEEMGGNPSLVSFQTTRVGSVCANVYEKNIIELSCDRKPISAIKFASFGNPYGNCGSFVKGTCESSNNTVDILTQECVGKEKCSIDVSSEKFGAPDCSGAARRLAVEAIC >A03p021270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8783466:8784314:1 gene:A03p021270.1_BraROA transcript:A03p021270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDDYIDMEINLSSSSSTSHSSSFISFDVTSSPPQNREFEFQMCSSAVASGESTTCPADDLFYKGQLLPLHLPPRLQMVQKLLASSSSSSTAAKDTPISPRAAAFLPGRFSSSEIEVRGQDELSENLGKSKKIKQSLITQKLKASRAYIRSLFSRQGCSDSSEIGIKNSKSSKNKNPLGKQESSNPPLSHRSSFSGVIQRHSQAKCSSLSSSSRSSLSSSFSFGSNGSLDLQTLMRSSNASSEVDNSIEGAIKHCKQSFTTRKSNVAESEFSSSRASVST >A02g510890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28894271:28894717:-1 gene:A02g510890.1_BraROA transcript:A02g510890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPLFVHPSQVSRVFISSHFVTGAIRFQGPSYLFVSVKSKICILSGSVSSCNLDVGARAVYARSTSSQALPFGIINVGFDYIMLVVVTYSGIHLMLPTVLQWMSKTLSFSFVITCFMFCFMMFIKPSRISLVLILLPLSLAPVIMV >A03p035000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:54:1527:1 gene:A03p035000.1_BraROA transcript:A03p035000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRKGFYETKHVEHKGQVDLVTETDKKCEELVFNHLKQLFPNHKFIGEETTAANGVSELTDEPTWIVDPVDGTTNFTLILMVTLYQSLLQASLFGTIINSLTHQLFTGVQGKGAFLNGKPIKVSTQSELVTALLLAEAGVKRDKATLDDATNRINSLLTKVRNVRICGSCALDLCSVACGRADIFYEIEFGGPWDVAAGVVIVREAGGLIFDPSGKDLDITSQRVAASNASLKELFVEALRLTNV >A08p003020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1722267:1725930:1 gene:A08p003020.1_BraROA transcript:A08p003020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAASRARALKGSLSRGLGPARYASSSAVATSSSSQGFLGWLTGGSSGSLTSLDMPLQGVSLPPPLADRVEPNKLKITTLPNGLKIASEMSPNPAASVGLYVDCGSIYEAPYFHGATHLLERMAFKSTTNRSHLRLVREIEAIGGNTSASASREQMSYTIDALKTYVPEMVEVLIDSVRNPAFLDWEVNEELRKMKVEIAELAKNPMGFLMEAVHSAGYSGALANPLYAPESALHKLNGELLEEFMTENFTAARMVLAASGVEHEDLLKVAEPLTSDLPNVPRQAEPKSQYTGGDFRQHSGGEATHFALAFEVPGWKNEKEALIASVLQMLMGGGGSFSAGGPGKGMHSWLYLRILNEYQQVQSCTAFTSIFDNTGLFGIYGCSSPEFAAKAIELAAKELKDVAGGKVNQKHLDRAKAATKSAVLMNLESRMIAAEDIGRQILTYGERKPVEQFLKAVDELTLKDITDFTSKIISKPLTMGSFGDVLSVPSYDTVSSKFC >A09p002080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1518021:1523234:1 gene:A09p002080.1_BraROA transcript:A09p002080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 3.2 [Source:Projected from Arabidopsis thaliana (AT4G02700) UniProtKB/Swiss-Prot;Acc:O04289] FAFDQSHSISSSQQRKYKNMCKRALQYPQVETPPPQPFLRSLKNTLNEILFADDPFRKIRNEPKMSKKIELGLRHVFPILEWARGYNLDYLKSDVISGITIASLAIPQGISYAQLANLPPILGLYSSFVPPMVYAIMGSSRDLAVGTVAVASLLTAAMLGKEVSAVENPKLYLHLAFTATFFAGLMQTCLGLLRLGFLVEILSHAAIIGFMAGAATVVCLQQLKGLLGLSHFTHSTDVVSVLRSIFSQSHMWRWESGILGCCFLFFLLTTKYISKKRPKLFWISAMAPLVSVIFGSLFVYFLHAQFHGIQIIGELKKGINPPSITHLVFTSPYVTLALKTGIITGVLALAEGIAVGRSFAMYKNYNIDGNKEMIAFGMMNIFGSFSSCYLTTGPFSRSAVNYNAGCKTAVSNVVMAVAVAVTLLFLTPLFFYTPLVVLSSIIIAAMLGLVDYEAAMHLWKLDKFDFFVCLSAFLGVVFGTIEIGLILSVGISVLRLLLFVGRPKIYLMGKIQNTEIYRNIEQYPQATTLSGLIILHIDGPIYFANSSYLRDRIGRWIDEEEEKLRKSEENSLQYIILDLSAVGNIDTSGISMLEEVNKILGRRDLKLVIANPGAELMKKLSKSKFIETIGKDWIHLTVAEAVTACDFMLHTAKPDSPEKISGVPEFNNV >A05p028300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000147.1:8816:13807:1 gene:A05p028300.1_BraROA transcript:A05p028300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRARVVKSHECSKVVSCQRVSGTKRYELPKVANIKRYENQEARLAKWCMFQTVSFGTGLRLTRPIGSRPKAGSGKGVRWAIEPDSIGRSHLDSIRIDGLVFGDDPDLFDTVGRFIRFMIMLTEELRMVLVKPRSREGSVSERLCNVWLDDARDELVIVYETVKKLCIESHVSKSRGQGSGLTSVAVHQYTNQHVGPWTQHADPSRGLFWMSVAVRVCPFVSVSTHRTSEAVHQYTYQHVSGRLWLSISTHISTLVLGLSTLALPVDCSAHTGRQWLSVCVRVCPSAHTGRPWLSVITHISTLVLGLSTLTLLVDCSGDIGPRGLSVQYTQDVRGYLPAHTRRPCVSVSTHMTSVGVRQHTQDVCVCPSAHTGRLWLSVCVRQHTQDVCGCPSVHISALHTGRPWVSASTHRTSVAVRVCPCVSICVRQHTQDVRGCPSVHISSRWSLDSARWPSPWTVWVIFGPRGLYVQYTQDVCGCPPAHTGRTWLSVCVRVCACVSVSIHRTSVCVRQHTQDVRGCPCVSVSTQDVRGCPSVHISARWSLDSARWPFPWTVRVILAHVGCLFSTHRTSVGVRQHTQDVCGCVSAHTGRSWLSVCVRVCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPPVDCSGDFGPLGLSVQYTQDVCGCPQAHTGRPWLFVAVRQHTHDVRGCPCVSVCVHQHTQDVCGCPSVHISALWSLDSARWPFPWTARVILAYMCCLFSRHRTSVGVRQHTQDIRACPSAHTGRPWLSVCVCVWPCVSLCGRVWPSAHTGHPWLSISTHISTFVMSPILDRIGRTGHGAGRRTSQLTGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVMDLRQKDKEKAKEKEKEVAPGDRTPKVRGKDSLILRPWPDQIPMKDQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >A03g504830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17388130:17389823:1 gene:A03g504830.1_BraROA transcript:A03g504830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FILVWLAFGNNAVIFIDTKVCLPQETPAIVKSYYDKRIVGCPSGEGEEEHDVVWFWLEKGKSFECPVCTHWKWLVLVDLPMVTSSSFVTMWRRIVSSGLKTLASDLTASSPPCRSIATTVRPAGSYLAASRSAISASSSVIPRHFSSESVETVAKKKVEDVMPIATGHEKEELEAELEVISNLLHFVQMRVYCNSICDHDCHLYNHSWRNNLA >A06p010070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3595768:3595977:-1 gene:A06p010070.1_BraROA transcript:A06p010070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVLLHPVKTASSLLSSLLMSLHHQNFGEEAIIDIFSRSNSTPKIVFYKVTNEAFLWKITKGNLKCS >A05p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5387894:5390201:1 gene:A05p012440.1_BraROA transcript:A05p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34850) TAIR;Acc:AT2G34850] MLNLGRARIQGRQNRSMSFEVDKLNERLLAPESFLNFWIQKFTHLGGLWSVGLDYADQKKNNDYTGKIVLVISLTAMCILVLKQSPTFDTPSVFSQHEPGVTHVLVTGGAGYIGSHTALRLLRDSYRVTIVDNLSRGNLGAVKILQQLFPEPGRLQFIYADLGDAHAVNKIFSENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDIILDFSKKSNMAVMILRLVVESLLCVCVYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIIPGLQIKGTDYKTLDGTCVRDYIDVTDLVDAHVKALEKAKPSRVGIFNVGTGKGSSVKEFVEACKKATGVDIKVDYLERRAGDYAEVYSNPRKIKEELNWTAKHTNLKESLETAWRWQKLHRNGYESSSFSSTSLFSAY >A01p058260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33190718:33195218:1 gene:A01p058260.1_BraROA transcript:A01p058260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNVKKASPEFATSETFVWWDMDSCPLPSGYDPCRLGPRIDTELKNLGYNGPLTIIGIGNLEGVPHDFLKALSSGGVVIKQLQLGSNMILCVNEALNSSESRFQPPLTMMVISAVHADLLEEVVSVFYIRGSLYNLLLAYPYVTEHADPEPSSLLVDNFGGEWVWDRFGLLKDSGSANEIRRQDTGCEMHFCGLCDLSFPSFDDFTTHFKTPPHADKPYLAKVKAKRLEFIEFDNYFKDDDEDDDIPKTEKARTTTIAGKKIQVWPSFCPLPNGYDPSRVGPRIDTELKNQGYNGPLTIIGIGNLEGVPHDFLKALSSGGVVIKQLPLGSDMMSCVRRALFSREPRFDPPLSMMLITAVHADLLEDVICVPRASPTLLVDNFGGEWVWDRLGLLKDPGSPNYDTRRQDTGCESHCCGLCDDFSSDSFDDFKAHLDSPQHADKGKKFKAALLCKSSSLKKKSTAKPKKPSKASFSNKSTDFSLTNLTSKDILLFPWWLQSKRLETAEEAECSLPKNATTMSS >SC283g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000130.1:24902:25763:1 gene:SC283g500030.1_BraROA transcript:SC283g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDTLSQRCISTYQNQLSSCEFTLFLNQELGCLNHHRESHKTHFSLHNDPCYTSCRLRTRYVQWYYAMAISHCILNHTTLPVDYGLTCPSDDMQWHKTFVFTFLVVGELHISHSLCT >A05p016210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7205281:7206701:1 gene:A05p016210.1_BraROA transcript:A05p016210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKFHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGMLKPGMVVTFAPSGLTTEVKSVEMHHESLVEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKEIEKEPKFLKNGDAGMVKMTPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVDKKDPTGAKVTKAAVKKGAK >A07p016130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9940090:9941325:1 gene:A07p016130.1_BraROA transcript:A07p016130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KCLYTENIRSGLVNQFSSLQEIFGKGTKEIEPKQEHEKRVILETIVSPLPRERNAMSVSFLSMLLRAAIYLETTVACRLDLEKRMGLQLPQAVLDDLLIPSYSFTGEHSLFDTDTVQRILMNYLEFEVEGVRLSNNGVDLAGDMERVGKLMETYLAEIASDRNVSLQKFTGLAELIPEQSRVTEDGMYRAVDIYLKVTMQGSSSHRMLFSAYSAVCVVLRVILDAVFKEAYDVLVVQFHMAPSCDLYRHSIPYVVVVAHPNMSDVERKKVCSLMDCQKLYIT >A01p029930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21132841:21134157:-1 gene:A01p029930.1_BraROA transcript:A01p029930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSLILADLGVVAIPQGEANWKFHTVFMAVEAEQTCRLDYSAPDGDVTACVPITKQPASDHPFLKNHKIQMKPNYYPEEFFDDNKVSSTKSGKERHKYPSVMTSHEHDITYVNVDKNYRAKDTTNVWEPKINKRNEFIFSQIWLNSLFGQYLISIEDGWQADLYGDNNTRLY >A03p061810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26819385:26823978:-1 gene:A03p061810.1_BraROA transcript:A03p061810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFADANAEDSGAGTKEYVHIRVQQRNGKKSLTTVQGLKKEYSYSKILKDLKKEFCCNGTVVQDSKLGQVIQLQGDQRKNVSTFLVQAGLVKKDNIKIHGKEMEEIFALIVSMILIAAVIPLFLWKRRVDSRSREEDAAPPPQVQARENVGHAAGGGGRRMRRRPAASAGASSSPASNVQENISGSEDEEEDEAGGNQARASKKKEKKRQEREEQRQAEEAARESRSTKQDWYAEMRRKKDEEREAEERKLEEEEKARQAKEEEAAALEFDKWKGEFSVDAEGTTEEVEGGNQDLLSEFVEYIKKQKCVPLEDLAAEFHLRTQECINRIASLESIGRLSGVMDDRGKYIYISMEEMNAVADYIKRQGRVSISHLASKSNQFIDLEPKVQHELTEDISSVVEEISVSYIHNGPLALDTLLRTFKLPPAKMVFKRYVEIGRVALVNYGKDHGKLVVIVDVVDQNRALVDAPDMERIQMNFKRLSLTDIVIEINRVPKKKALIEAMEKADVKNKWEKSSWGRKLIVQKRRASLNDFDRFKIMLAKIKKAGVVRQELAKLKKEITA >A08g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8943549:8945238:-1 gene:A08g505310.1_BraROA transcript:A08g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEQDDPSEFTPRETELQRQIDGLQSQVTDLHKARETTENPELSSEIQSLNEKLGEHSKQLELSAKKLNQLQTESAALRDQNKAPNTASNKKHRFNTRVQPMGSLSTPNTGKGTTNATPASGAARATREGIEDHQIYDLEKRIQGLRKQEPGQDPIPAIRKRRGDLVNTLRQMGQQVKWPPKMKAPDSFRNPVLWCDFHRDHGHKTEDCVPLKIEVNELLQKGHLREFLSEKAKAHLSKEASGKSKGDAPSSPPRQDRVIHVISGGP >A07g504980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10665673:10666235:1 gene:A07g504980.1_BraROA transcript:A07g504980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDKDSVKCECPLGFKGDGFKKCEVVVDLSISMLLLTDINECKERKACQCPECSCKNTWGSYECSCSGFTSEISVGGRLAYNVIIGTCSRWGQYMDSEIRAIMAQYMPLDSQPKVPNHVNDEHA >A08p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22852501:22859329:1 gene:A08p039880.1_BraROA transcript:A08p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSRGRRSPSVSGSSSRSSSSSRSRSSGSRSLSRSRSLSSSSRSVSSGSRSPPPRGKRSSVLLTLQWTSNFRKAAPIQESLVLHVDSLSRNVNEGHLKEIFGNYGEVVHVELAMDRAVNLPKGYAYVEFKARADAEKALLFMDGGQIDGNVVKAKFTLPSRQKLSPPPKPVSTAPKREAPKPDNAAAGIEKDRPMRSRETSPRRRSPLPRRLPDSPPRRRPSPPIRRRGDTPPRRRAASPPRGRSPSSPPPRRQRSPLRGSPRRIRGSPVRRRSPPPLRRRSPPRRLRSPLRRSPIRRRSRSPIRRPGRSRSRSISPRRGRGPAGRRGRSSSSYSSSPSPRRIPRKISRSRSPKRSCLRIQKKLKVGELATCHRKLLSRHGGESRLLRNLSSRRFKTRRFSTLQCLKNVEEEGEGRKVTSLSGRFSLSDHWSPEVSSFHENGFSQISKETTGRAVHALCVKGLVRTSVLHINTLINMYTKFGRVKPARHLFDEMPVRNEASWNTMMSGLVRVGLYREGVGFFKEMCGLGVMPSGFVIASLVTACGRGGCMFGEGVQVHGFVAKSGLMSDVYVSTAVLHLYGVYGLVSCSRKVFEEMPVRNVVSWTSLMVGYSDKGEAEEVIGIYKGMRGEGVGCNENSMSLVISSCGLLKDESLGCQIIGEVIKSGLERKLAVENSLVSMFGNLGKVDCAKYIFDQMSERDTISWNSIAAAYAQNGHCEESLWVFHLMRHFHGEVNSTTVSTLLSVLGHVDYHKWGRGIHGLVFKMGFDSVVCVCNTLLRMYAGAGRSEEAELVFNQMPAKDLISWNSLMACFVEDGRSLDALGLLCSMIRTGKSANYVSFTSALAACFSPEFRGKGRILHGLLMVTGLFDNQIIGNALVSMYGKIGKMSESRRVLLQMPRRDEVAWNALIGGYAEDEDTDKALAAFQTMRVEGVPANYITVVSVLGACLTPGDLLERGKPLHAYIVSAGFESDEHVKNSLITMYAKCGDLSSSHDLFNTLDNRNIITWNAMLAANAHHGHGEEVLKLVSKMRSLGLNLDQFSFSEGLSAAAKLAVLEEGQQLHGLAVKLGFEQDCFIFNAAADMYNKCGELDEAVKMLPPSGNRSLPSWNILISAFGRHGYFEKVCETFHEMVESGIKPGHVTFVSLLTACSHGGLVDQGLAYYDMIARDFGRPAIEHCVCVIDLLGRSGRLAEAETFISNMPMKPNDLVWRSLLASCKIHGDLDRGRRAAEHLSKLEPEDDSVYVLSSNMFATTGRWEDVESVRNQMGFKNIKKKQACSWVKQKDKVSRFGIGDRTHPQTLEIYEKLEDIKKLIKESGYVADTSGALQDTDEEQKEQNLWNHSERLALAYALMSTPEGCTVRIFKNLRICSDCHSVYKFVSKVVGRRIVLRDQYRFHHFESGMCSCKDYW >A06g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8789464:8791176:1 gene:A06g502690.1_BraROA transcript:A06g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLTFDAAENFRKGVQGSLLGFWIHFSYRRQHLGSNPVEEIDSKLAVLVFFATPASSSSSLLPLSPILLLSIYSDMLFSSGLLRLAGDLTTARRASVNPLEEKRDLGVHGLSETESVAASPGAFLTVLQTLCRRFAILCSVYGAFGSGELLLFADRQGILGCPVVKPPWRLESLTFAVALALPTWSVAGLCRFPTACFHTVKLKSLSRLVVVGIPGVGSVVWADAELGHLFRLMRLQPSAQGALWLSVFFET >A08p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13768994:13769312:-1 gene:A08p020330.1_BraROA transcript:A08p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLSTEFYLERSVNKAHSQILSSPELVSHAERQLKEAIHEVLYKFVRNEEMMELSNRHMCPGSIKRRDKV >A05p038950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23871691:23873490:-1 gene:A05p038950.1_BraROA transcript:A05p038950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFVSQTPGVRNYNYKLTPKVFVTCFIGAFGGLIFGYDLGISGGVTQMEPFLEEFFPYVYKKMKNAHENEYCRFDSQLLTLFTSSLYLAALVSSLFASTITRVFGRKWSMFLGGFTFFIGSAFNGFAQNIAMLLIGRILLGFGVGFANQSVPVYLSEMAPPNLRGAFNNGFQVAIIFGIVVATIINYFTAQLKGNIGWRISLGLACVPAMMIMIGALILPDTPNSLIERGFTEDAKKMLQSIRGTSEVDEEFQDLIDASEESKQVKHPWKNIMLPRYRPQLIMTCFIPFFQQLTGINVITFYAPVLFQTLGFGSKASLLSAMVTGIIELLCTFVSVFTVDRFGRRVLFLQGGIQMLISQIAIGVMIGVKFGTVGTGNIGKTDANLIVALICIYVAGFAWSWGPLGWLVPSEISPLEIRSAAQAINVAVNMFFTFLVAQLFLTMLCHMKFGLFFFFAVFVFIMTIFIYLMLPETKNVPIEEMNRVWKAHWFWGRFIPDEAVGVSAAEMQQKSV >A08g501280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:3289866:3290258:-1 gene:A08g501280.1_BraROA transcript:A08g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWRLMFTKLIDVSEVKDDSMKYVVDMEKKHCICNFFDINKIPCIHTIVATKRYKRDENHYVDAFYSTETWAKAYAESIHPGGEISTPIYPENIDEFSCQPPATKKSSGRPPTKRKISVGEFGVPGSKS >A01p000620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:251929:253014:-1 gene:A01p000620.1_BraROA transcript:A01p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSKSRSSAATSGKDPPRDKKMKKSSLATEPTSISILSLPDDLLINCLARVSRLYYHFLSRVSKRFRSIIASPELYATRSRLNRTEKCIYLYLRFPFDPKTYWLTLSGLPCRNVANGSSGYYMEQIPCPNYLRPAQSSTLVSVGSDIYKIGGANHLHECKIWKRNYCVSVSVLDCRTHTWRQAPSMGMARNANATATLFDGKIYVAGGCDEEYVSKPNWIEAFDLETQTWVPVTNPRIFRLYEEDRVKGFEAKSVSLEGKLYIFGDEAAVYNPEEGKWSELGREMYGMFRVERGCHCVIDDVLFLWDRYKRVFKWYDSKSYLWKDLIGVEGLPELSPDPQVCQVEMVDLGGKIGFLWDQ >A05g510320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:31813571:31813921:1 gene:A05g510320.1_BraROA transcript:A05g510320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGVFFLFFFFFFLLSPALSYGSGSGIESLSRGMLDSAKEPEFFEWMRGIRRRIHENPEIGFQEFKTSQLIRDELDLLGVKYKWPVAKTGVVAWIGSGSKPVFGLRADMDALPL >A06p011380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4192922:4197592:1 gene:A06p011380.1_BraROA transcript:A06p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF guanine-nucleotide exchange factor GNOM [Source:Projected from Arabidopsis thaliana (AT1G13980) UniProtKB/Swiss-Prot;Acc:Q42510] MGRLKLHSGINAIEEEPEDFESSESSNTTTLACMIDTEVAAVLAVMRRNVRWGGRYMSGEDQLEHSLIQSLKALRKQLFSWNQPWHTISPMLYLQPFLDVIRSDETGAPITSIALSSVYKILSLNVIDQNTTNIEDAMHLIVDSVTSCRFEVTDPASEEVVLMKILQVLLACMKNRASVMLSNQHVCTVLNTCFRVVHQSGMKGELLQRVARHTMHELVRCIFSHLPDVDRTETTLVNRSETINQEKAGVDNDYAIVTKPVEDGNANSEYDTENSVATFVSGAQSLMDDGPAGPGSRKPASPYDLHIMTEPYGVPSMVEIFHFLCSLLNMVEHVGMGARANTISFDEDVPLFALNMINSAIELGGASIRHHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLRTELKLQLEAFFSCVILRLAQGKYGPSYQQQEVAMEALVNFCRQKSFMVEMYANLDCDITCSNVFEELSNLISKSTFPVNSPLSAMHILALDGLIAVIQGMAERISNGLTGLDLGPVHLDEYTPFWMVKCDNYSDPNHWVSFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLNEFAGTFDFQYMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYMQSPEILANKDAALVLSYSIIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLSELYHSICNNEIRTTPEQGSGFPEMTPSRWIDLMHKSKKTAPYILADSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAIAKISACHHLEDVLDDLVVSLCKFTTLLNPSSADEPVLAFGDDPKARMATITIFTIANKYGDYIRTGWRNILDCILRLHKLGLLPARVATDAADESELSSEQGQGKPLANSLSSAHLQAMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTESKFLQAESLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIATIAQSTVMPCNLVHKAIFGLLRICQRLLPYKESLADELLRSLQLVLKLDARVADAYCEDIALEVSRLVKANANHIRSQAGWRTITSLLSITARHPEASEAGFNAVSFVMSEGTHLYPANYVLCVDAARQFAESRVGQSERSIRALDLMGDSLEHLAKWARTAKENMGEEDFAKTSQDFGEMWLRLVQGLRKVCLDQREDVRNHALQSLQKCLGGVDGINLAHSMWSQCFDKVIFTVLDDLLEIASGSQKDYRNMEGTLLLAIKLLSKVFLQLLQELSQLSTFCKLWLGVLTRMEKYMKVKVRSKKSDKLQETVPELLKNILLVMKTKGVLLQRSALGGDSLWELTWLHVNNIAPSMRLELFPDQESAEPCDDESNGLASAEAGAT >A06p004420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1154382:1156403:1 gene:A06p004420.1_BraROA transcript:A06p004420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQFNMTNAQEGFMWGISSSDDSGGGSRRIEKQLPLPQPSLLLENPISMDKKDAKGKKRTKRNGKNHVEDSPDHEIHIWTERERRKKMRDMFSKLHALLPQLPPKADKSTIVDEAVSSIKSLEQTLQKLQMKKLEKLQYSSASNTTTSPTTLLTPISHHSQILPVGAAPADCYSREAFLADQISSSITNLPYPCNDPTAAFDIWSSSNVVLNICGNEAFFNICCPKDKSGVFTNVCYLFEKYNIEVVFANVSSNVYRSTYVIQAQVSPSYENQLLGDGFGVGDIFKQAANELALYFSSP >A06p002840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4951941:4953658:-1 gene:A06p002840.1_BraROA transcript:A06p002840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLRQVVAGILTITMFVMLGQMLHRDYFDAVQVQGDAHDIVFHGSKVSLEEDGLVRSSEGPWMENSHQLKPCWSLSQPDEEVSSKGYVTFSLTNGPEYHISQITDAVMVAKHLGATLVLPDIRGSKPGDEMNFEDVYDVDKLVKSLESVVKVVKKLPSHVSLRDIAIVKVPSRVAEDYIKEHIDPIFKSKGNIRVTTYFPSVNLRKSSLDGETDPVSCLAMFGSLELQPGVSDLVESMIQRLKKSDGRFIAIDLRVEILEKKNCHETGGMGSKTCYNAQEIALFLRKLGFGSDTTIYLTQPRWESSLNILKDIFPKTFTKEAIMPADKKSKYLELENSEYENVIDFYISSRSDVFVPAIPGLFYANTVGKRIALGKPQVLVPAEISGSSGLSTDYISPYISKKNHLAYSCFC >A09p012560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6400252:6406120:-1 gene:A09p012560.1_BraROA transcript:A09p012560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II family protein [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/TrEMBL;Acc:F4INI6] MLQSKVFNKKTRGGRIQKQVREVYLRDDIYCGAFSCKSCDTSAARLSSPKIIVVDTNVVLHQIDLLENQAIDNVVVLSVVLDEVKNRNKSVYNRIRTLCSNPAKQFYVFSNHVHKDTYVQAMERETANDHNDRAIRVATLWYQKHLGDTSQVLLVTNDRENKRKAIEEGISAETIEAYVKSIGQPELLDLLAQPTGEDVAMEDADDSRPSKRKLVYLEHKPMSEITAGLHRGIYHQGKLRVNRFNPFEAYVGSESIGEEIIIYGRSNMNRAFDGDIVAVELLPRDQWQGEKALSIAEEDEEEEDDTVHLAPNSADDAPRTSNLAQVTSGDKNANPARPSGRVVGVIRRNWHSYCGSLEPMSLPAGSGGTAHALFVSKDRRIPKIRINTRQLENLLDMRIVVAVDSWDRQSRYPSGHYVRPIGKIGDRETETEVVLIENDVDYSPFSSQVLACLPPLPWSVSAEDVSSPVRQDLRHLLVFSVDPPGCKDIDDALHCTSLPNGNFELGVHIADVTNFVHPGTPLDDEASKRGTSVYLVDRRIDMLPKPLTEDICSLRADVERLAFSVIWEMSPGAEIISTRFTKSIIKSSAALSYVEAQARMDDSRLTDPVTTDLRNMNALAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEQILKLFPSCSLLRRHPTPTREMLEPLLRTAAAIGLSLDVSSSKALADSLDRAVGEDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYLHYGLAAPLYTHFTSPIRRYADVFVHRLLAASLGIYKLPTVFQDRPQLTSVADNLNYRHRNAQMASRASVELHTLIYFKNRPTDAEARVVKIRSNGFIVFVPKYGIEGPVYLTAKGEKGVGDWYVDEEKQKIVKMDGSLSYSVLQTVRIHMEVVEPQPNRPKLELTLL >A04p037180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21256247:21257063:-1 gene:A04p037180.1_BraROA transcript:A04p037180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BHLH100 [Source:Projected from Arabidopsis thaliana (AT2G41240) UniProtKB/TrEMBL;Acc:A0A384LFW4] MCALVPPLFPNFGWPCGDQGFYVNDDVANTFLDFPLPDLEVAHQNVSSERHRTSGLENPVVMKKLNHNASERGRRKKINAMFSALRSCLPSTNQSKKLSVSATVSQALEYIPELQEQFNMLIKKKDELSFRISGQKDLLNTNQNAKPEKGVTGYASTVSATNLGETGLMVQISSLQTVKCSFGNVLSGLEEDGLVLVDASSSRSQGERLFYTLHLQMDNCNLNFEELRSRLLYLYEKC >A02p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32263471:32266029:1 gene:A02p052850.1_BraROA transcript:A02p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELFMNTQLHPPPEIAAHFEQPSSSSTMLNWSLMDPNLTQHSPTQDYFSWRKSTELQQKQSIFDSALSCGGGDGFIIRELIGKLGNSSATPNRTTPLTEFSGDPGFAERAARFSCFGSRSFNGRTNSSLPVNNNGKIVNSVKLTRVSSTPALNGLVSPMVPAGEISRKRKHLTKVKSKETPLPTVSPAPSFSKTAEGKGGKRRRQDEEKEDNTKGPEPPKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLEDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNDTRLDFNVDALVSKDVMIPSSSNRLHEAGLQAESLSHHSYNNNSQLHTNVSSSNMMLPSPVNSVETSTLASSFTHLPTLTQFTDSISQYQIFSQEDLQSIVGMGVAHNPNHESQNMKIEL >A02p031860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16739508:16740481:1 gene:A02p031860.1_BraROA transcript:A02p031860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGVDRAVEALLRGQESANRLKTVLEHQRTSSVSIEPLFDTVLDSFSFALSLFASSNPQPHCESSQNKPTPVVARKSPKKNSHGEEGLVQYNHDSPTPICNDCFSWRKYGQKKIKTSSHQRCYYRCAYAKDRNCNATKRVQQIQDSPSVYRTTYVGKHMCEVNAFLQPNEDIVNGSKMIRFDKTDQAMPDPVMPQLVPVEQEAIIIEGTDQIMNLECGSNEFLVDDDQLWEYQFPPCSPGDFMFLDDLSEFDYNPFHV >A01p003780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1646419:1648246:-1 gene:A01p003780.1_BraROA transcript:A01p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNTSARRVDLRSTSKRSDSEYMYNETRANNEEVLKISEEKRKSSRRSVSLPSIDILLDEKPGWPFLKIAQAHRCHTRNVSVVNWVMSLPDRFPHHQQNLNSETSFVKKQLKDILKDNNKWFSYNVLKTATSDFSQENLIGKGGCSEVYKGVLKDGKGIAVKILKSSSKEAKTNFVHEIDIISSLSHQNISQLLGVCVQDNDLISVYNLSSKGSLEETLHDFGLSMWGPTTSSRYLIQGDVVGTFGYLAPEYFMYGKVSDKVDVYAFGVVLLELISGRDPISLENPKGEESLVMWAKPLIETGNENELLDPEVTEISDETQFRRMVLAATHCLTRSATHRPSIKQILRLLRGVDDVEKWSKRINEEENGDCFDDEVYPNTRAELHLSLAMMLEVEDDESVSISPMERSNNSLFSSCCSSRELCYMETE >A09p051690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45503476:45505545:-1 gene:A09p051690.1_BraROA transcript:A09p051690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl phosphate kinase [Source:Projected from Arabidopsis thaliana (AT1G26640) UniProtKB/Swiss-Prot;Acc:Q8H1F7] MELNLSESPTRSVRCIVKLGGAAITRKNELEEIHDENLEAVACQLRQAMTEGSAPSKVIGMDWSKRPRSSEIACDVDDLGDQDSSEFSKFVVVHGAGSFGHFQASRSGVHKGGLEKPIVKAGFVATRISVTNLNLEIVRALAREGIPTIGMSPFSCGWTTSKRDVASADLSTVAKTIDSGFVPVLHGDAVLDNILGCTILSGDVIIRHLADHLKPEYVVFLTDVYGVFDRPPSENDAVLLKEIAVGEDGSWKVVNPVLDLTNKKVQTSVAAHDTTGGMETKIAEAAMIAKLGIDVYIVKAATPHSQRALNGELRESVPDDWLGTIIRFSK >A10p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17452396:17454350:1 gene:A10p028050.1_BraROA transcript:A10p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRDGELLPDDVVELILERLPVVSLLRFMSVSKTWKSTIESPSFKQRQLMITSRKSRGPDVLYVTGFGNEEDEIKEEEANMVVGSCVIRSLKFPTRSDKVCYGTCDGLVCLFSNRHPSVVFNPATRWRRSFPLPRVQPLIISHFKARSNLFCYTQEPQLGFGKDKVRGTYKPVWLFNSSEFDLDNATTCEVFDFTTNAWRRGPPLVIHDLHTKSYVFDSCPKSPEYPVCFQTLTSLLDVAKVKLPIGTTTESLWHLQDPWELMEYFSETSLHQLEKNRLDQLILPKVMQVNHFGRSGRTKWTHLVNEDTTDWSNEHPQDVFIAGDKSHHSQFRSSNRFSVLGCECC >A01p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17498792:17501890:1 gene:A01p037450.1_BraROA transcript:A01p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQPSTDTRPSSSIDPNRSTTIDTTPRQKIDVQGTVIPDADATGAAQPPIDRQTPLTYRVRLLSINNDYINTLRPPHKPLANTPEPKPNPLNNSPESVQEEQEFEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRISIEKPFVETYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPEKFAIPCVVKGVEFPHSMCDTGASVSILPRIMADQLGLTIKPSTESFTFVNLSEKRSGDIIRDLEVQIGNALVPVDFHVLDIELNWNSSLLLGRSFLATVGAVCDMNKNKLCLTLIDPNIHYDPIRPKRKDINSVDYGKELSFIGACHCVAEYVLEYETEYAESIDTPTFPSIDSNVSMVTDDRNNTSLDAVGQTVVSMKVSVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKLTNTFPTSFDAVHSTSVNTHPRPAKQPLTSIDTPKGTSIDTPKGTSIDIRAAAKIQEQENIPFPNRFIDTYINRFAPPKPPTHIRANTQAKKMNILPSTSTEKSMKSNHLKNKSSAEITLPSINVTVSTSIDTTLNSNLSISKLNDYANIDYDFLTPDEFGIFRDTDGNARAMDGRILQVSREDISDILQVANGPDNLFSQQRGTPDVIQTDPNSHAGVATTEINPDLSGQPKGQASIDGTTETSIDRVTPTSIDRDEPTSIDRRYECGNRVFDMYGARKFTWEQRDEYGVYRDDRGHARGVAGEMIPVTKENIRKILERASLFEESHICLPEHATSFTPTRLAPELYTKEEIDEMVVGICGAQEKLGEELKTLVDDTHQPLDRGYNELFRCMAEMRTEIESLRQQLEKEATTSASIDAPRETSINVSLSTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYFPLNNNVDWLSTKIELLQQDLDTIR >A01p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8541141:8545116:1 gene:A01p017310.1_BraROA transcript:A01p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MICRORCHIDIA 7 [Source:Projected from Arabidopsis thaliana (AT4G24970) UniProtKB/Swiss-Prot;Acc:F4JRS4] MDNDAKQENLQTSTPPPPPAGFPVEETVTLIDLCSGEDDSDLVEQDVQRRYNSGGAKRPRGSSASDMNNAKKPTADELKFVHPEGFGQPIPPPPHVTHTIPADPCNVFTTEASSGRVGSCKQFWKAGDYEGAPGGNWDLSSGGFDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVASGATYVNVDMLENKKEGNRMLLIEDNGGGMNPEKMRQCMSLGYSAKSKLANTIGQYGNGFKTSTMRLGADVIVFSRCLGKDGKSSTQSIGLLSYTFLRSTGKEDIVVPMLDYERRDTEWSKIVRSSLSDWDKNVKTIIQWSPFSSEDDLLRQFALMNEHGTRIIIYNLWEDDQGMLELDFDADPHDIQLRGVNRDEKNIKMGAQFPNSRHFLTYKHSLRSYASILYLRIPPSFRIILRGRDVEHHNVVNDMMQTEQITYRPQYGADSYVKDSNMSAVVVLGFVKDAKHHVDVQGFNVYHKNRLIKPFWRIWNATGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLETRLIQMQKIYWNTNCHKIGYAPRRHPKAGNDYGHTNTSPENDHEEYSPSGFKTRASDKFYSSSYPNHRGDNGGSGNDSSLYLQEELRRERERSKALEAEVELARQKIEEMSKEQENLIAIFTEERDRRDVEEESLRNKLEEASNTIEELLNKIKMLEGSKGPSWRR >A02p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26918035:26919390:-1 gene:A02p042930.1_BraROA transcript:A02p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGQRRFSSAAEILAGTSAARPRSFKQKEAEYEEDIEEVSEEESEEEESEDESDVKKKGHEALIEVDNPNRAKPKTLKARDLDASKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLDRLALIRQQREEAAKKREEEKAARDAKKVDARK >A06p051550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27114754:27116531:-1 gene:A06p051550.1_BraROA transcript:A06p051550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKISGQNIIRFSFQTNATRIKSLLNATCRVTVTKREMVTLIVATTSDPASINPAAALLAMPGWTAGPILPPDMKSFVNKQTRVIQHDGSIVKEDDLDSRWEEATGEAVDEVIFLSRHTAVSNRPALTVHPIGVLHLKEGESPPQGGKPGWAALTSPRIGPWLRLLKKMAEAHSLVPEFEITLEGTHHGPVTNKPTMFLEIGEFLSFLLYMLSSIIVGVMCIQLMWEGLGLGGGEAVGNWSSETGKRKVLLGIGGGHYAPRHMDVVLKDDVWVGHLLSGYSLPMEEPKPGEKHIGGSWRQSIQAAFEATKASFPGGEIVAHLDHKSFKGWQKKAITEFLAEQNIYVGKPDYFT >A09p027140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15328087:15328841:-1 gene:A09p027140.1_BraROA transcript:A09p027140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSEDDKSEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKIDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRKQTFHSIGRWLNELHTHSDMNVVTILVGNKSDLKDIREVPTAEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMSSQELNKQDPASLSNGKKVVIPSEAQGEAKKGGCCSA >A05g508600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25154808:25157102:-1 gene:A05g508600.1_BraROA transcript:A05g508600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVEDDGFRFRKRKLTASRIEKEEKNFEFKVSAVEPLNGFQTMSGDSKTTDLRSPSLAQKNASLQPEPTRQTSPCPGQGHQTLRPAGETSHDTARELEPSHALEEDGGNGESNANTGGFEEIPDNWSESVDIQSLREQIQILAVACLSESKRDAEIITPFKVAEVMNKTLQRNLSDNGTSTPSGNGELSPDGRRFRKVRECKCLDLFGYLPLTALTETQFNVNNGLNLISRAHQASHGSDSRDKRMIQGSNKGMIMNFVQFDPAPLQAEIPPFLKPIQRHLLSIYNGIVMGFTNHNRELS >A06p013000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5902307:5904719:-1 gene:A06p013000.1_BraROA transcript:A06p013000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGWFFLEKVRRCLRTVFFLVAMLASLLVSSLPLLVAICDVLVPSFLLSSFTCVTCYGAKEHLSRYGFKRSLTDIPLVSVARSFLVICIYSLSDVPGLSHGPYLGTVSLCCVVSVLLLSVKACLFTVTSQLNTEAASFSPSRHHRLHLKKSWGMPVLFLSSVVFALGHTVVAYVTSCRARRKILYHRVDPEAVLLCKSIFSVHQKVPRSPIPMAGKAASKFDGEAKRKPLSHVEGELPVSLLADTDSLFIKLRGLTVHYKLCAPGSPAQSISPNVLEANSSYSTTPETMAGRLKFDRKVLSMAEKSQHHHHHHHYHRSYSSVFNNSSLHDPLLDSSPTSPPLLFKEREEDMMNVFSFEDEEKGVDESGKFGVVLVHGFGGGVFSWRHVMSPLAHDLGCVVTAFDRPGWGLTARPHKIDLEERRLLNPYTLENQVEMLLAFCHEMGFSSVVFVGHDDGGLLALKAAQRLMTINDPIKVVVRGVVLLNVSLSREVVPAFARILLHTSLRKKHLVRPLLRTEIAQVVNRRAWYDPAKMTTDVLRLYKAPLHVEGWDEALHEIGRLSSETVLAPQNAASLLKAVENLPVLVIAGAEDALVPLKSSQAMASKLLNSRLVAVSGCGHLPHEECPKALLAAMSPFITRLVLRPDLQSQ >A01p019580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9606662:9607062:-1 gene:A01p019580.1_BraROA transcript:A01p019580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQRLKKAITDNPKKLGNLIDLVNLPSTLRDFLGQSQISRLGCFMRVWSYIKTNNLQDPKNKNVVNCDEKLKSILLGKQRVELVDLPSLIKLHFTKTPKSN >A03p022500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9443332:9446147:1 gene:A03p022500.1_BraROA transcript:A03p022500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable aquaporin PIP2-6 [Source:Projected from Arabidopsis thaliana (AT2G39010) UniProtKB/Swiss-Prot;Acc:Q9ZV07] MSTDLTEEESLSGKDYQDPPRVKIFEARELGKWSFYRAVIAEFIATLLFLYVTVLTVIGFKSQTDLQTGGGACASVGLLGISWAFGGMIFILVYCTAGISGGHINPAVTFGLFLASKVSLVRAISYIVAQCLGATCGVGLVKVFQKTYYNRYGGGANVLADGYNVGVGVGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFSVFMVHLATIPITGTGINPARSFGAAVIYNNQKAWDDQWIFWVGPFVGAAIAALYHQFVLRAGAMKAYGSVRSQLHELHA >A09p064990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52159557:52164329:-1 gene:A09p064990.1_BraROA transcript:A09p064990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVKIKRSKDIVKFKVRCSRYLYTLCVFDQEKADKLKQSLPPENPSYLLKTLSFHIHFFNLPTLLHHWTFACKSFFPMAPVMKPSRGREPDGSGFQSTNLWVGSLTPDTTESDLADLFGRFGDIDRLTAYSSRCFAFIYYRRVEEAVAAKEALQGANLNGSRIKIEFARPVRSHLYSLLLVAKPCKSLWVGGISSSVPKDVLEAEFRKFGEIESFRFLKDRKTAFIDYFDVDGAVQAKTMNGKRLGGSFLRVDFLRSQGPRKEPRVGSEDSRDGSLGAKHQQYTHSSGDGQASNVLWIGYPPSVQINERLLHNSMILFGEIERCVSYPSRHFSLVEFRSIEEARCAKEGLQGRLFNDPRITIMYQNDNIPPGRGDDTGFYSGVKRSRPEMFISDPPYISSPHSSVVLDPVRPFRGSMERFGPEYGDVVGNEGSWRRSSPSGAGILPSPVPSRRLPIRSNRGSWEGYDPAQLEREPKRTRRDGSVDAFPPMGVDDRITGLDRTYRRRPGRGFPDTDFIWRGVIAKGGTPVCHARCVPIGKGIESELPEMVNCSARTGLDMLAKHYTEAIGFEIVFFLPDSEHDFASYTEFLQYLGSKNRAGVAKLDDGTTLFLVPPSDFLTDVLKVSGRERLYGVVLKLPPPPVPAALSYRQESHTVPPHYMDQSRDSPASANHGLYAAREDQAWGIQEPMKPVAVHNTATPQSGVSLTPELIATLASLLPTNSQPESHQAVGLSNGEAPSQAWNRDQSTVSDSSNHSFQQLGNQYNPVGQLPPPPVHYPPVSSTPSYSGGMVQGGMQYQAALPPPPSDNYSMYSQGSHQAQFQHETPMLNQNYVSVPGAANPGLLGYQGYQQGNYHGLTNNQAYNENPSHSQIAMPPPTQQQPQLAAGQGTSDGENDKSQQYQSTLQLAANLLQQIQQRQQLPSNASTGQGP >A07g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4593547:4601038:-1 gene:A07g502080.1_BraROA transcript:A07g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKVDIAQPNLKPNPKIGKYLISQPIRLHKAAVKVDKNSGNNASLMAIASTLDKLSSKFDLMDARFKKPLVDQKSIDDMVKVAVEERLKVMGIGKNSQNKENLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETLGKDMGPRNNLSNELDKERGMKKLWLRSLEHMLKMRATKAEDLLRRSTRNRTIKDEDAEDKKKTVQAEAVLKKKEKAATKRKADASMKQKQHELKKPKQAELMNEEQAELKNQEQAELMNEELAELKNQEADNEKRKNITTPRANLKRCKVEDSVEDSEFAVMTDEVLAEENEILPDAQCHKSKPSLSDQVAMEGSKRSMKRHMEDVYGADAVEGYNKGKMETTEHYRALLRLAKEQRQSESEWNDASSKHCEAEAELGDVKVIDPDWCKLHEKWMLDD >A02p028680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14466209:14466617:1 gene:A02p028680.1_BraROA transcript:A02p028680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPPSLSSLPPPISLLLPVFVIWELVILAFLVFSAVSLYFKRLELAFILVCVCLLLFVCMKITKQVRIARKKKRRMLLPLSM >A02g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1608045:1609088:-1 gene:A02g500560.1_BraROA transcript:A02g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLSRVISSQNPSYKDVCINTTNHAEVVRVHYDPNECTYETLLDLFWSRHDPTTLNRIYFYTPEQEKLARESLETQQKTLEKKIVTEILPAKKFYKAQEYHQHYLSKGLHVTLFEIRVGRRKGCKGIEEHFKLSGRMMPQPAVATIISYEKLES >A04p024420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14780825:14784208:-1 gene:A04p024420.1_BraROA transcript:A04p024420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVGLTWDLNSFTQLVLTLFLLSFALLFFVKRTAAKYFEVGGGGGSDSDLRRDFMVPDASECSVCGNLTTKKCSRCKSVRYCSAECQRSDWNAGHKLKCKVVKSTTGLKASLFGNGSASKTALSPKLSQIIKPGDVLFPYETFVQYFNWDKPGLAPCGLTNCGNSCFANVVLQCLSWTRPLVAYLLERGHKRECRRNDWCFLCEFETHLERANISRFPFSPMNIISRLPNIGGNLGYGRQEDAHELMRFVIDMMQSVCLDEFGGEKVVPPRAQETTLIQYIFGGLLQSQVQCTVCSNVSDQYENMMDLTVEIHGDAVSLEECLDQFTAKEWLQGDNLYKCDRCNDYVKACKRLSIRSAPNILTVALKRFQGGRFGKLNKRISFPETFDLGPYMSCCGGEGSDVYKLYAVIVHLDMLNASFFGHYICYVKDFRGDWYRIDDSEVEKVELEDVLSQRAYMLLYSRVQARPPSLRSEEVQDDKKTDTLDTEASEDGSVESSGAGTNGASVSSMCNGIISHSENPECKKDSSSSASSTVSEVAERVDSVDSESNPSIDMEHDSGTDHQEEAAANGKEDPTVENQAVDSPCSDITNSSLSATTDSKHREKEDSDTEMIDNAESIQKDGEDKQGSF >A01p000550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:233674:235729:-1 gene:A01p000550.1_BraROA transcript:A01p000550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A12 [Source:Projected from Arabidopsis thaliana (AT4G39510) UniProtKB/TrEMBL;Acc:A0A178V036] MASLSLLEASVALLCFLIFHHLLFKKPHDRFLKNWPVLGMLPGFLIVLHRIYDFSVEVLEQTNLTFPFKGPWFTGMDILVTVDPANIHYILSSNFSNYTKGADFKEVFDVFGEMIFNSDGDMWRNQRRAAQYMLNHQGFQKLSMSATRAKLDDGLVPLFDRFSKKEMVVDLQNVFQRFTFDTTFVLVTGFDPKTLSVEMPEDEYAKALDVLGEGIFFRHVVPKFLWKLQKWIGFGQEKRTMEAGATFDRVSAKYISAKRKEMRTQDQQSNGECHEDLLTSHMKLDTTKYEILNPSDDKFLRDTILAFNLAGRDTTASALSWFFWLLSENPRVVTKIRQEIITNINLHGQHNLDKLVYLQAALYESMRLYPPVPFQRKSPIKPDLLPTGHKVDSNSTIMIFLYALGRMRAVWGEDAMEFKPERWVSQSGCLRHEPSFKFLSFNAGPRTCPGKQLALTLMKTVAVEILQNYDIKVIKGQKIEPDPGLILYMKHGLRRCSAPRDGHKFTTKYSSDCDPTEQFNKLVYLHAALCETMRLYPPVPIERVSPVRSDVLPSGHKQCGGEDASEFQPERWISETGVLRHEPSFKLLAFNAGSRTCLGKKMAMVLMKAVVVEILQNF >A09p009670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4974275:4974745:-1 gene:A09p009670.1_BraROA transcript:A09p009670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EC1.5 [Source:Projected from Arabidopsis thaliana (AT5G64720) UniProtKB/TrEMBL;Acc:A0A178U9F2] MSPNTTSKRSLLTFLTLSYLLSTVHIITVAEARNMQTMTVAAEHSGSGNLVDCWNAALELKSCTDEIVKFFMSRNGTAEPGVTGGIDKDCCGAIGLIGKECWSVMFTSLGLTTMEGNMLREYCDFEAEKLVFSPSPSPAPEALALSPVEITYPGLD >A09g500270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:825897:827499:1 gene:A09g500270.1_BraROA transcript:A09g500270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVSDSIPGSSKQPRDHYSSPPRSPNEVPVTCIIQSRVVSRTKSKSDALNDKVLKLLKSLHRSAITTLNFDIELNRPNLYSELLEKKKTSQNKHTTKMAIKGLYKL >A07p011740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8527704:8529573:-1 gene:A07p011740.1_BraROA transcript:A07p011740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDYSYTQPSSSDEFDNTSLLLAEAAMYADEAESSYNRAQPVHYPPQPVQNFCRGHATAEEMFDFQTQLRLLKDQANETHQNLAKLEKTVCDELAKKKSLVTKCFALVVCLLFCVLVLILGGRALKDYKKSCESSYKEEEELSHLQVIMKMKQNISNQKLLHRLLAKKEPLTELETSLKLKLMSDMFNSRTCEPSHGCIWSCETES >A08p035460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20921927:20924316:-1 gene:A08p035460.1_BraROA transcript:A08p035460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQILPFSYLPPPKSPPLAKQTMYKPLIYDDPSTTTIKPLLSRASSFNGSALGLTAWYHNKRRRSNSDNNCLSALPDRTNGTDGGDNGQQTIAQEVTHAAAETFLLTRLCLKLLSYLGVGYRWITRFMALGCYAFLLMPGFVQVGYYYFFSPYVRRSIVYGDQPRNRLDLYLPKNSNGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGSISDMVKDASCGISYICNHIAEYGGDPNRIYLMGQSAGAHIAACTLVDQVVKESGEGDCVSWSSSQINAYFGLSGGYNLLSLVDHFHSRGLYRSIFLSIMEGEESLSQFSPELVVQNPNLKHIIARLPPIILFHGTADYSIPSDASKSFAETLQRLGGKAEVILYEGKTHTDLFLQDPMRGGVDEMFEDIVSVVLGGDSEVIGKSVDRRRLVPEFMLKLAHWVSPF >A08p022040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:14676609:14677298:-1 gene:A08p022040.1_BraROA transcript:A08p022040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIVKLNPLSVSVKPQMVGSQGYTFLLGAIFGGFPKCSSIAHHQSSTLTRANTKGFLLFSWSLSKLEDCSPLALLLIKASQNSSCRANERPLSTIIRFVGVTTSIKIPLREDSVFGYVVNELCRYLDRLMPYVMVRLGPEGTTILIPMRIEVTEHSTSRYTVTISVEELEGRCNLFRSLLVCGTFELSFDLLSGLSKLVSLSFYYLVLNVFSTIANLLLDVAFIFVIG >A07p036060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19436247:19438063:1 gene:A07p036060.1_BraROA transcript:A07p036060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFCAIFVLLIVLGLNASDGYDCKIVQFIFGDSLSDVGNNRNLPRSLAQANLPFYGIDFGNGLPNGRFTNGRTVSDIIGDKIGLPRPVAFLDPSMNDDVILENGVNYASGGGGILNETGGYFIQRFSLWKQIELFQGTQDVLHSLGARKLMVFGLGPMGCIPLQRALSFDGQCQNKASNLAKRFNKAAATMLQDLETKLPNASYKFGEAYDLVNDVITNPQKYGFDNSDSPCCSFYKIRPALTCIPASTLCKDRSKYVFWDEYHPTDKANELVANILIKRFDFMRADDGPSDSPSPAPDLAPSPDDN >A06p057130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29701224:29702326:-1 gene:A06p057130.1_BraROA transcript:A06p057130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDYRENYSPSSEDSSSVEEETTSSMYSAGKEDKPTEWTDEKHSLYLKSMEASFVDQLYNSLGALGSKIINKDTVGPSTRFGDGGKPSEEQFKVLRDGFWQKMNVRQPEYRLNGRHGRGSHEFLRSPWIKHYKPSPKTLTDRGSSELENQVVSSKGIVICSSGSASSLKQILREGCSSHSRDRDQISLGEEAEVSDQNFVNEVTKGQNGSSKKMKTVISESSSTDQVVPLRKSPANMIT >A03p022330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9373951:9375005:1 gene:A03p022330.1_BraROA transcript:A03p022330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHTKIQPIEASEEEIPFPETMKQMPKSRLKRLFERQFSLKNTSEAAAAPPPLSRGGSGDFEPSSVCLGKMVVNFIEDNNNGEKQRCGRSRCSCFNWSGTESSDDESDWSDDLGASSSREGRVTLKSLVLCSSMCEKSLMADVTKIVETSKNCKRKDESCLKIFVANELVSLGYDAALCKSRWEKSSSYPAGEYKYVDVIIDGERLLIDIDFKPNFEIARATKTYKSILQTLPCIFVGKVDRLQKIIILVCEGAKKSLKKKGLHVPPWRRAEYVKSKWISPYVRGPDAEEDKQEP >A02p019290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8927019:8931655:-1 gene:A02p019290.1_BraROA transcript:A02p019290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR-type G protein 1 [Source:Projected from Arabidopsis thaliana (AT1G64990) UniProtKB/Swiss-Prot;Acc:Q9XIP7] MGYGLAIYEGAVVMASLCLLGWAGLWFLNRRLYKEYEEKRALVQIIFSIVFAFSCNLLQLVLFEIIPVLSREARMVNWKVDLFCLILLLVFMLPYYHCYLMLRNSGVRRERASAAAFLFLTAFLYAFWRMGVHFPMPSSDKGFFTMPQLVSRIGVIGVTLMAVLSGIGAVNLPYSYISLFIREIGEADIISLQRQLMQSTETCIAKKKKIILCQLEVERNLGSEENQKRSSFFRRIVGTVVRSVQDDQKEQDIKILEAEVEGLEELSKQLFLEIYELRQAKDAAAFSRTWRGHVQNLLGYACSIYCVYKMLKSLQSVVFKEAGTKDPVTMMISIFLRWFDIGVDAALLSQYISLLFIGMLIVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRNEYRGIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQSDKHAIE >A08p025100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16247433:16248634:1 gene:A08p025100.1_BraROA transcript:A08p025100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSREARRRKILERGSDRLAFITGHINGVPPPSSDPSSLSQSPLRTSDSSPETIPPRHQIPTDGETAFTSHQENISEASMLANMDHIIHQSRADSLKYTETLAEASSASVPRDTRAQPSPATPSVVDLGASQAFTPLVSFVNTITPKHVGAAVDASEYARMFSSLVIALLVVLSHLGFSSLGSSIVSFRPVFLLLLTDATIVLGRVLLSHHGDPSSASRRETSVMNGQGIADQVGNALEMVMMMKKIMNAVSMDFSLYAVFLICGLLFTQNIFA >A07p000370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:738915:740349:-1 gene:A07p000370.1_BraROA transcript:A07p000370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKLKGAKEIRIKITEMESGLISVDRWKSGSQAYFLTHMHSDHTRGLSAGWSHGPLFCSRTTASLFPSRFPGFDLSLLRVLPLSSSWQSLSLRLPPSPPFHRHPRPPLPRYHLISALLCFFSIDDLDLVLGSVMFIFRGDFGCFLYTGDFRWECDDEEARTTLLAAVNDFPVDILYLDNTYCNPIYSFPSRLLAANLIADLILSHPSHDIVIGVDSLGKEDLLLHLSRTLNIKIWVWPERLRTMHLLGFQDVFTTDTSLTRVRAVPRYSFSIQTLEGLNLMCPTIGIMPSGLPWLKTPFKGDANLSASLLTANNGRKPAAQQRELLLLQGAVHMFHENMYSVNYSDHSCYDEIGDFINLVKPKSMKGIVVSSSCYVDPLYYFGRVCGVSQPPELLLLRPDSREQFRAVRIKSFSTKDETINSKKEKWRKGDGHSSLRRNKKKRARIQVKCAKIAEVD >A07p012620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8011416:8012766:-1 gene:A07p012620.1_BraROA transcript:A07p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTPFHSIIFLFLAFSGGVKISVADEPKTEPVPAPWPEQFHALMFMNKSGSIEIVDLWYDWINGRNFNIIQKQLGKLTYDLEWNNGTSFYYTLDASKTCRTVHFEKHNCSSKLLRLVLEFLFNPIKVGILRPNWLDGANYLGQRNVSGFLCNVWEKVDFLWYYEDVVTKRPVQWTFYTGREAHVMTFEVGAVLEDEKWQAPVYCFHNENKSSE >A09p060080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49962025:49965629:1 gene:A09p060080.1_BraROA transcript:A09p060080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EP3 [Source:Projected from Arabidopsis thaliana (AT3G54420) UniProtKB/TrEMBL;Acc:A0A178VE44] MEKETKSKERDITNPTNIISFSYSGFVIKKIDLVHLEKNLKLKCYWRNSCVILWFWSIQLQEEGLSSGDRRDISRSLGDEEQKTLYAKLGDILLTQTAWDVLRMLVKVEEASVQPHEDTENRHKSRTFPASAMSSNLWEILTVANFYENQLTSFQASDSFFLWPEHVHTFPLRLFIHHFTIFRHNTITSSTILQTKIKMLTHAISKPILVVIILLVLQAFCTTTKAQNCGCSPDLCCSQFGFCGNTSDYCGVGCQQGPCFAPPPANGVSVDEIVTQEFFNGIIDQAESSCAGNGFYSRGAFLEALESYSRFARIGSVDDSRREIAAFFAHVTHETGHFCYIEEINGPSRDYCDENATQYPCNPNKGYYGRGPIQLSWNFNYGPAGTAIGFDGLNAPETVATDPVISFKTALWYWTNRVQPVISQGFGATIRAINGALECDGANSATVQARVRYYTDYCRQLGVDPGNNLTC >A01p007160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3573551:3574117:1 gene:A01p007160.1_BraROA transcript:A01p007160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSAMEAKKSNKIREIVKLQQILKKWRKAAHASKQASSKIDDEENNNKLNRTGSGSASKGIKFLKRTLSFTDVTAVPKGYLAVSVGKEEKRYKIPMDYLSHQAFHALLREAEEEFGFQQAGVLKIPCEVAVFESILKIMEDNKADVYLTTQECRFNATTEEVISYRHPSDIPRTPSHQPHSPMCR >A07p016080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9908104:9909637:-1 gene:A07p016080.1_BraROA transcript:A07p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 10 [Source:Projected from Arabidopsis thaliana (AT1G28470) UniProtKB/Swiss-Prot;Acc:F4HY61] MSWCDDSDDNYDPNLERASNIDHPSIQLKNQSQSCVTSAPDSKIIVDAPIVMTCSSCGQKIHQQDEQVGSIKDLPSLPAGVKFDPSDKEILMHLEAKVSSDKRKLYPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQVRHFFHRPSKAYTTGTRKRRKVSTDEEGHETRWHKTGKTRPILSQAGVAGFKKILVLYTNYGRQKKPEKTNWVMHQYHLGHSEDEKDGEPVLSKVFYQTQPRQCGGSTEPKPKSRVNLNRFSYENHAGFGYEHKGKNEENTQVIREGDVSCSFLSFTCDASKGTLWSEDGETNDVNE >A06p010660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3884878:3889921:-1 gene:A06p010660.1_BraROA transcript:A06p010660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPETKSQTLLDAWDFQGRPADRSKTGGWTSAAMILCIEAVERLTTLGIGVNLVTYLTGTMHLGNATAANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFAAIQATGVSILTLSTIIPGLQPPRCNPTTSSHCVQASGIQLTVLYLALYLTALGTGGVKASVSGFGSDQFDETEPKEQSQMTYFFNRFFFCINVGSLLAVTVLVYMQDDVGRKWGYGLCAFSIVLALSIFLAGTNRYRFKKLIGSPMTQVAVVIVAAWRNRRLELPSDPSYLYDLDDIIAAENSMKSKQKLPHTKQFRSLDKAAIKDQEAAITQNVFNKWTLSTLTDVEEVKQIVRMLPIWATCILFWTVHAQLTTLSVAQSETMDRHIGSFEIPPASMAVFYVGGLLLTTALYDRVAIRLCKNLFNYPQGLRPLQRIGLGLLFAAMGMAVAALVEIKRLRTAHSHGPTVKTLPLGFYLLIPQYLIVGIGEALIYTGQLDFFLRECPKGMKTMSTGLLLSTLALGFFFSSVLVTIVEKFTDKAHPWIADDLNNGRLYNFYWLVAVIVTLNFLIFLVFSKWYVYKDKRLAELGIELEDEPDIPMGHA >A02p008410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3499165:3510382:-1 gene:A02p008410.1_BraROA transcript:A02p008410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRSLLGAKKILSRSVTATTPKGFLAVYVGEDQVQKKRYLVPVSYLSQPSFQDLLSKSEEEFGFDHPLGGLTIPCPEDAFINVTSRLKGKSFKFQFSRHKKMALFRGLLGAKRIINFSVPVTSKKTFSAPKGFLAVYVGGDQVQKKRYLVPISYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINPQKNFQTLQKEMALMRSLLSAKKILGLYTAGASTSKRAASVAPPKGFLAVYVGESQKKRYVVPISYLSQPSFQALLSKAEEEFGFDHPMGGLTIPCPKDTFINTKKLEMALVRSLLGAKKILGAATSKRATSAVPKGFLAVYVGESQKMRYVVPISYLSQPSFQALLSKAEEEFGFDHPMGGLTIPCPEDTFITKTKKTEMALVRSLLGAKKILGRSVTATASTNKRATMAAPPKGFLAVYVGESQKKRYVVPISYLSQPSFQALLSKAEEEFGFDHPMGGLTIPCPEDTFINVTSRLH >A09p020210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10741245:10744946:1 gene:A09p020210.1_BraROA transcript:A09p020210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 1 [Source:Projected from Arabidopsis thaliana (AT1G60440) UniProtKB/Swiss-Prot;Acc:O80765] MDPKDSRFSTQISHLALDIGGTLIKLVYFSPNGDNCEDGSIVVGNNGCSAVQGRLCFAKFETRKIDDCLDFIRLNILQHSGVHHPNGTESDKLSVKATGGGAFKFADLFKEKLGIVFDKEDEMHSLVCGVNFLLKTVPREAFTYLDGQKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKYERISGTSLGGGTFLGLGKLLTKCKSFDELLELSHQGNNRVIDMLVGDIYGGTDYSKIGLSSTAIASSFGKAISDGKELEDYQPEDVARSLLRMISNNIGQIAYLNALRFGLKRIFFGGFFIRGLEYTMDTISVAVHFWSRGEAKAMFLRHEGFLGALGAFTSYKDQSHTNDLKPHHHTVERAVLKCSDDDSFRHIPVSANVNDGEAIEFRRLETTVATSPRILHRNQVYHSSFVEEEGITKACGCPLLPLKSHIKGPAPVSEQDRTDIVDEAITFFRANVFFTNFPIKSPADKLLIYLTFYINVALKRLEGCRTLAVGTKAIINLGLEDIPVPGETGFPFPGLFSLPSSQEEADLFRNYLKQVREETSGRLLSVAYRANGTPNKWWLAFAKRKFINVVLL >A02g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13872934:13874685:1 gene:A02g504190.1_BraROA transcript:A02g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAELGGQIASALQKMSNGTNIDEKVLNECLKEITRALLHSDVSFPLMREMQNNIKKIVNLEELAAGHNKRQIIEQAIFSELCAGKTTTCTKYAYYHQKKGYKPALVCADTFRAGAFDQLKQNATKARIPFYGSYTESYPVKISVEGVDTFKKENCDLIIVDTSGRHKQEATLFEEMRQVAEATELEESLGIPRQSMKMQHAQNREEMHVKRDAKEHNFQRLQQQEHAKVVDISKRAWEFSSFIEFQEKEMKNIMGEREKKMAEMNKRYFEEMLDLEREFDVFGAVHDQERLNDADDADY >A06p054070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28409771:28411117:-1 gene:A06p054070.1_BraROA transcript:A06p054070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQASRLLSRSVAAGTSKSATARGFSTEVPSTIDSTFVESWKKVAPNMDPPQTPSSFMKPRPSTASSIPTKLTVNFVLPYASELSGKEVDMVIIPATTGQMGVLPGHVPTIAELKPGIMSVHEGTDVNKYFVSSGFAFLHANSVADIIAVEAVPLENIDPSQVQKGLAEFTQKLAAASTDLEKAEAQIGVEVHSAMNAALTG >A01p017110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8480443:8481976:-1 gene:A01p017110.1_BraROA transcript:A01p017110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKLFISIVSFLLYAPLMFSSPVPDPEAIVQEVHKSINASVAARRKLGYLSCSTGNPIDDCWRCDSNWEKNRQRLADCAIGFGKNAIGGRDGRIYVVTDSGNDNPVTPKPGTLRHAVIQDEPLWIIFQRDMTIHLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGIHIHDCKPGGNAMVRSSPRHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCDDGLIDAIMGSTAITLSNNYMTHHDKVMLLGHSDTYTRDKNMQITIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPNIRFSKEVTKHEDAPESEWKSWNWRSSGDLLLNGAFFTPSGGASSSSYAKASSLGARPSSLVGPLTVGSGALNCRKGSRC >A01g507460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21409373:21410461:1 gene:A01g507460.1_BraROA transcript:A01g507460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKEIIFVPTRKKKKSSRRKAISKRLDTKLRFDDVFFPFFSCLQMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRKTHRSCCEIQYIHPHIIKEEADADDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCEEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFSVVGDGKTTPLMCVCPEREE >A06g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14821748:14823523:-1 gene:A06g505010.1_BraROA transcript:A06g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVNKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRRMYPNEMFPNIQDP >A03p071880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31711715:31712837:1 gene:A03p071880.1_BraROA transcript:A03p071880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQVIFELKIVWFGASLESHFLFLNRKTTYVDTHHVRCSHNQIIYCKYFTISKIATLTCHTYRVMYVAVSPDGQTIVTGAGDETVRFWNVFPSMKTQNEALRSGSPLFLKNNSNKQSIETPSEAFVSPSLYELEGERAGVPVTSHLFEAMHTCIVSPCTGMEIIGVCLEARNIKQLEIAYCNK >A10p030790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18561886:18566117:1 gene:A10p030790.1_BraROA transcript:A10p030790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKFPLNAKDYKLQEEIGDGVSATVHKALCIPLNEVVAIKVLDLEKCNNDLDGIRREVQTMSLINHPNVLQAHCSFTAGHQLWVVMPYMAGGSCLHIIKSSYQDGFEEPVIATLLRETLRALVYLHAHGHIHRDVKAGNILLDSNGVVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADVWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKAFKEMVGTCLVKDPKKRPTSEKLLKHPFFKQARPPDYLAKTILNGLPPLGERYRTIKSKEADLLMQNKSEYEAHLSQASIRKQKFPLSVEIILLPISMQQEYIRGISAWNFNLEDLKSQAALISDDDSSHAEEPDFNRKQCERQDESALSPERASSSETTPSQDDELNDIQDLESSFASFPIKPLQALKGCFDVGEDEDNATTPDWKDASLMSSGQQHLTKASSIGSLAHTTKEEDTAAQNSYLPRHVISEQKKYSSGSLIPESTYSPKRISMEADREFQLRRYQSERSYSGSLQRTKRDTVDEMSDSPHVEHKGRFKVTSADLSPKGSTNSTFTPFSGGSSSPSSLTTASILPSVQSMLQHNTMQREEILRVIKHLEQTSVKQQPGSPETSVDELLQMTPATARERELQTQLMLMQQSFLSLTEEVKKLKQRNGQVENQLNALTQRND >A02p028940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14573824:14575710:-1 gene:A02p028940.1_BraROA transcript:A02p028940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLALEDVLSELAGEVNDRGLPPGFRFHPTDEELITFYLASKVFHGGLCGIHIAEVDLNRCEPWELPEMAKMGEREWYFYSLRDRKYPTGLRTNRATTAGYWKATGKDKEIFSGGGGGALVGMKKTLVFYKGRAPRGLKSKWVMHEYRLETDLSHRHTCKEEWVMCRVFNKTGDRKNVGIHNQISYLHNTSLSTRHHHLETLPSLLEPSKTLTNFPPLLYYDDTHQNYNNNLLHGISGHNVDELKALINPVVSQLNGVLFSPENNYYNNDEDDNFGVKTEQYSNGGNNDLDVRDYLENPLFQEAGYSLLGLSSSPGPRMLY >A03p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3022631:3023887:1 gene:A03p007260.1_BraROA transcript:A03p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGPWTQEEDQKLLAYIEEHGHGSWRSLPEKAGLRRCGKSCRLRWTNYLRPDIKRGKFNLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKPKNETPLSSLGLTKNAAILSHMAQWESARLEAEARLARESKILHYQTKPSSHHHHGFTHKTLLTTWTSKTNQGYADRQQQQLESPTSTVSFSEMKEPSSAKIELVGSSTCLNLIKEPENDWFNSTVHEFEATEMAGGVDEGFTGLMLAGDSLGRSFSAEKNETVKERSGGGDCSNYYEDNKNYLDSIFSLVDPSTPMMF >A03p033390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14133150:14133466:1 gene:A03p033390.1_BraROA transcript:A03p033390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQKIQFVSLILAFSLLFSQSTGECNYRYPVPPVAICKKNDDCKNACVPPSEDPNYLECITSRSPPKYFGTCCCLLKET >A02g513150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:36054189:36054416:1 gene:A02g513150.1_BraROA transcript:A02g513150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVEGVVEEAVDTVVETVVVVEAMVEIVSMDTMVVVSGGGCRIFIQKTLNIRPHPQDLHPQNLDSCVHIFPCP >A01p025040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20341870:20342708:-1 gene:A01p025040.1_BraROA transcript:A01p025040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITRDLAPRHQRCTEDLQTEAIFRGTPDEKNVTKPLKNTGPSGLIERTENQQRIQTGGGMKNMINTSSLSKRKTTQVHGEKSNDQSRAVTHSKHMGDSPSEKADSQQTISGVIPDRTGHNGQGNGALMVHQNKTVDERMRRLKRKEQMSAESHEKFIPSAILLRDRGTVMIREDGTRSPATLPMYESSQRISDSRKENPSLDLDTLIVTPPIIDDRK >A02p009790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4121511:4122536:-1 gene:A02p009790.1_BraROA transcript:A02p009790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRFKKGTKVEVLTKSSLPSGAWRSAEIMSGNGHYYTVMYDSVDATERVPRKSMRPEPPPLQVLHSWAPGDVLEVFESCSWKMAIVSKVLENDCFMVRLLGSSVKVKATKSDIRVRQSWQDNEWIMVGQGSSRLSAQTSTENLRRKVNLKGDYVSSESKDKLNVSDALGSKKRTYSLVTPHNQTPQVKEEEDRESIASSVGSCNLDGLSTVSFVPIETGSSSDTESSSCRYRSFKIKKKSGFTPKASEAADVHKLELDEYRCSIERLHASGPNITWEQETWITNLRLRLNISNEEHLMQIRNLISDDNSTTYR >A02p012150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5325318:5326800:-1 gene:A02p012150.1_BraROA transcript:A02p012150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNYDDSSDQCVLCRGHLPPLRYTCFTCKITVDIACGMNPWPQVIEHPLCHSHPLIVNGYEVSASCGVCKESNYGLFYLCIECNVYFHVECVRFSQEVNHPCHSNHPLKLIAFDALTDDAEKTCILCANYPRNVCYHCFICDFTSCLRCTRRPPPLVVEDMKTHQHQLIRISKRILYACDVCGLKRDTKYYHGSYICHQCDFVVHGMCIGLPRVISINRHDHRISFTYHIGPDYSKCGVCHRSISQHHGAYSCFVCPNYAVHSRCAIERDVWDGVELEGIPYVIEDVTPYKVVSDGLISHVSHVEHPLKLHKGNILYEWIRCEACRDPVGFDSVFVCEKCCFLLHQKCANLPMKKKFIVDTELYKLEVDKLRVASYCSECATLSDGFKYSSHDENRKVDVRCFSLSEPFVHAGHSHLLYFRKAYYRRSICDACMKMRHGIGRMSILSFYVVTKKRVVKAGVTFVKDN >A06p005390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1611783:1614389:-1 gene:A06p005390.1_BraROA transcript:A06p005390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSQLTGMEKEIVQNLEWLEAQKIEISIDLIAEAKKHLQFLGVVDRNRWLYDGPALKRAIYRYNAYWLPLLAKHSESSSTFEGPLVPPFDCEWVWHCHRLNPVRYKSDCEEFYGRVLDNSGIVSSANGSCKLQTEKLWKRLYPMEPYDLDLDMAISEPISPLEKCTTYDLVSAAKRQSSFYLEVSRANVDSEIIMEQAVGRYKAFLYLIKQNREKTIKLISVPTYDIDLIWHTHQLNPSSYYKDMVKIFGNILQHDDTADSDSSEGMNLDTVFSGTTAQWEETFGQRYWKESMDTTPHTPVVVETEKSGAAARCFAEAAEKGGGEGARCFAVEAEKTSARCFAVEAEKKNARCFAVEAEKNSARCFAVEAEKKNARCLAVEAEKNSARCFALEAEKKNASGVAVEAEKKNARCFAVEAEKNSARCFALEAEKNSARCYAVEAEKKNARCFAITNGKSSARCFAVEVEKKNARCFAVEAEKKSARCFAVEAEKKSARCFAVEAVKKNARCYAMTDGKSSARCYAKATGKNSARCYAVAAEKNGGCGCGNLMENNAKENAPLAEGATAA >A09g503450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10967203:10968089:1 gene:A09g503450.1_BraROA transcript:A09g503450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRFGYLYGSHMPLIRGNISFHFKTSPESILMSLTPRGLRSLTTVLAQYLSEEVRRRFYKNWAKSKKKAFTKYSKKHETEDGKKDIQTHLEKMMKLCTVIRVLTHTQIRKMKGLRQKKAHLNEIQIIVVSARVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKIYRLGKVGEETHTAMTEFDRTEKERFPHYGIVKDDYLLIRGCCVGPKKRVVTLRQTLLKQTSRVALEEEIKLKFIDTSSNGGHGRFQTAEEKAKFYGRVIKA >A09p064610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51990798:51993895:-1 gene:A09p064610.1_BraROA transcript:A09p064610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVIFKPFVSVPSSNHRERKLQNNSINVGVKIQNRFRVVCMGMLAPRKFLQKRRKMEVFKDAADEVDQKRWRGLMLEIESAGSAVSVLRQYKTDGDQGLPRDLVLGTLVRFKQLKKWNLVSELGNFNGAERVLSVLSKMGSSPNVISYTALMESYGRGGKCNNAEAIFRRMQSSGPEPSAVTYQIILKTFVEGNKFKEAEEVFETLLDEKISPLKPDQKMYHMMIYMYKKAGNYEKARKVFSSMAEKGVPKSTVTYNSLMSFETNYKEVSKIYDQMQRSDIQPDVVSYALLIKAYGRARREEEALSVFEEMLDAGVRPTHKAYNILLDAFAISGMVEQAKTVFKSMRRDRFYPDLCSYTTMLSAYVNASDMEGAEKFFKRIKVDGFEPNIVTYGTMIKGYAKANDLDKVMEVYEKMRLSGIKANQTILTTIMDASGRCKDFGSALSWYKEMESCGVPPDQKAKNTTILAGVYRTDDDDDEDNYITSSDEDEDEDEDEEEDDDVDGAKETVLYDKAQEGSLAYVGSQTEELVEL >A05p046830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27746879:27748184:1 gene:A05p046830.1_BraROA transcript:A05p046830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTETMGLAKSETLQSSSCNRLIGRSESLKRDSVMSNGGSAKVKGTLERKKSKSFKEGESYPSWLITEAPGSIAAVRREQVAAQQALRKLKIAHYGRSKSTLTNFTSSKVVPLVHPSPHPHPQRCSFITPTSDPIYVAYHDEEWGVPVHDDKTLFELLTLSGAQVGSDWTSTLRKRHDYRKAFMEFEAEAVAKISEKEMNEISTEYKIEMSKVRGIVENATKILEIKKNFGSLEKYIWGFVNHKPISTNYKFGHKIPVKTSKSESISKDMVRRGFRYVGPTVVHSFMQAAGLTNDHLLTCYRHIPCTLLSTNP >A02g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10039737:10040248:-1 gene:A02g503030.1_BraROA transcript:A02g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLIGANVKAERMKARAEEKSANKLAATKRIAEERRANAEAKLNEMSVRTSERADYIRRSGHLPSSFSFKFPSFSLCW >A09p011000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5559456:5560516:-1 gene:A09p011000.1_BraROA transcript:A09p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASSSLVLFHKPTCNFYFQHSSAPAYISSPRRCSLIFPMNSRRSLCSFSAPKTMAYLVKTHALRDGEEERFQTLQQDAFITNPSNDLVGGGINAAANRLSKWVVAALFGSVLLLRRDGASLWAVIGFISNSLLSVTLKRILNQERPVATSRSDPGMPSSHAQSISFISLFTLFSVMEWLGTNPLSLVLSGLILALASYFTWLRVSQKLHTTSQVVVGAIVGSVFSTLWYITWNSLVLQAFASSFSVQIAVFTVAAASALGSAVYVLVNWSKEDR >A05p020720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9805451:9805675:1 gene:A05p020720.1_BraROA transcript:A05p020720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCFLGCKKKKPSSSSSSQSPGDVKKGKTGKKDGGLRVMSSGTKTTTSRAFSRGKGCLCGDGGGCGGCGGCGG >A02p013450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5891197:5892294:-1 gene:A02p013450.1_BraROA transcript:A02p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELMEQFLALSVSPLIPSLPDYITVDIVAARVPLNHYPTLSRVSKSFRKLIASPKLYKRRSQLGITQHRVYAVLQSRKTRASSFYILHRKVDDCSNRLVVVRSITLKSSCGNYVPVGSKVYVFNGEDALSIDCTSHTSQSIPDIPQRMAYKVVGEKKIYVIGDSVCHVVKEKGGMLRETWKKAVMVFDTETQLWEPKLVKEDMFLGVLWSDSVVMDGKIYMKDYSKGNSFVYEPEERKWELMDEVLNSKAWKGACVVDNVLYYHDLRVLRAYDPKQSCWSVVNGLGDFLVAKTARSRWCHAVNYGEKKLALFFHKNHDGKEVIFCAVIALERRQGGEIWGQMESCDVVNEDGLFDMVKFFSVSL >A01p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20601708:20607575:1 gene:A01p024850.1_BraROA transcript:A01p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRSNSAHMADIKGKGILYEDDDEPIKLTDHDVSQNINEFKLSLIGKILKPKKQSVEKLLQKMPVQWGMEDRITANDLGNGKFLLNFTTEEELNSVLRQGPFHFNFCMFVLVRWEPIVHDDYPWIIPFWTRLIGVPLHLWTENNLREIGSRLGHVHQDTIELIEGRMLLDLDSRRPLKFARKAESPEGDEVTIEIKYEMLFKHCSTCGMLTHEKEYCPSIQRQGVFARVQLQEHRSQQYSKPLVKKEPTALHSKALAGPYLKQSSYATGRHANEERRYALNNPREAHKGHVDRVVRRRDEPSWRKKYGGAREEAKPYDRHIGATWREKKSQSQARHDGNVVRDRLVHVSLDRADGPDDHQRQRASPPPRESAKCVQADCEDPPLQSPVRPSPDQRGLGRTTGTRRIASTIVTPSRGDGLDGNVTKRLKGTPRSQAFDTLTEQDPKPTTENDQVIETLDDMNITEQLDEGLMDSEMLDDDLMGVELAEMEAKCRQGREVRGSDQKSQRLRGRSSRHIKHGYKSSAPLGIQKKKFEILLRGSPQKRSKSDGSSLVIRIEGLGYGILESYAWFEANEVVQAATQDTMNEEPQALWEYSAYGDKKITRRESALHSEVEALRWAMENMLQHSTCQSFGTDCKELIAMVKDPQAWPSFATELERIETLQIWFPDFNITYVPRAHNQTADFLAKTARSFRRELHFVGCSIPVWLPRPPQV >A06p008110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2811308:2811822:-1 gene:A06p008110.1_BraROA transcript:A06p008110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTKTFMTCLLVVTLAVSLSNNLNVLVSGAEKKLSYEHCNALCSPTYDGWSECQSDCAEKGYYYGACASPSPKLPKKCCCQTFI >A03p033460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14168724:14175390:1 gene:A03p033460.1_BraROA transcript:A03p033460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIRSDDSDESEELRSNKRRNSRKKDTDGVSIGSPSSEGIGRGRGRGRGRGRGRGRNSDGADDSKRIRSDDSNDSDRLKEHRSEGGVSIESPSSQGQGRGRVRKSDGGEGSRRTAERRGRERVMSTRNKDDNSDGTKKYAGLTCHQCKNLTDKVDLVFCSKCIKKRYCYDCIKRWYPERTPEEVRDACPFCVGNCNCRACLRQPLLVKQPSEKKDNVKLKQLQYLLVKALPVLRDIYAEQIRELEVESAIRGVPVTESDITWSELHPSERIYCLNTLHIVKHVVALMTIGKGFGPLAQIPLGIVGEQFVPWACGRRGGDEWKEDGFNGGVLEILAAAIPASRMFKGVVLLCPTSLNDLCSTSIANFHRSCLNPDCSSDICLSCCKELRESSHDEKGDGENFSAWKLNPDGSIPCPPKERGGCGTSTLELRRLCECDRVEKLVTNAEEVTLQFRPPDVDIAHECSSCIANSDSSRRQAAFRKDGHDNFLYCPNAVDLSEDDIAHFQSHWMRAEPVIVRNVLDKTPGLSWDPMVMWRACREMDPKAKCKEEAKSVKALDCFDWCEVSQTESMHFVRESGILNLATRLPKESLKPDLGPKTYIAYGFPEELDGGDSVTKLHCDMSDAVNVLTHTAKVDIPPWQYKLVKKAKLRKQQSGQETEASECENKSVKEVENEEAALKKCDGLVGEETLKDKAANEEPSNSSSRPSSSQEDDKMFVSKGECTKTERDDPVEGSCSSKSGYDPKADAGLINEPIAGENNSEVCLKTERLSPTNQSEDDPTVENGLKMPTALSTAPWDTDGSLPQPMESIKEEQLDSPKETEGNVTQSLDGSTSAESIQEQKHDAPKETNGNANESSEGVHGGAVWDIFRREDVPKLIEYLERHKHEFRHFFNEPVESVTHPIHDQTLFLTESQKKQLKEEFDIEPWTFVQHLGEAVFIPAGCPHQVRNIQSCIKVALDFVAPESLEECLRLTQEFRRLPKDHRSNEDKLELKKIVLHAASSAIREAQDLMQNSMTE >A09p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000046.1:92197:93449:-1 gene:A09p038010.1_BraROA transcript:A09p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCPDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADICTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHQYTEGLACDMCTDMVHQVQISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADMCGQLADMIHGKGQHADMCGQHADMSSVHESVHGSVHESVHGKGQHADMCVHGKGQHADMCGQHADMSSVHGSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGQSVCANGQARTSCVC >A10p036650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20824106:20826560:1 gene:A10p036650.1_BraROA transcript:A10p036650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQDDVRNLPIDITFSRLGEWLVDRKRIPADWRKKVAAIRVRISKEFSSLPKEIDPYFQTIDPDVIGYLEAKRIYEILLKTTPESRNIFGRLSGASGVWEAIVRAFEKDHVYLGEAAQIIIQNVNYEIPYLKKQVQKVQQQMSELDRKEADIKRSVALSATKYEEACRELGLQGNNVRRELLETANSLPTTFAKILEVINSESVSAAMEYYSAYVKDVHTEKDKPARVVLENLKDIRENPPSLSVLGAFEALDGDNVQSSENANGTDVAADSIDWDITLDTAEIDWDVSMVEEVDGGNDLGSYEIVDASDIPENKVEGGPEVDVSEISWDVSVETPQVEEIADSSSLESGQEKQIQSIDQVLGSGEERSQLLETEYRNKILDDLYEVKAFLNQRLVELRNEDTLSLQHHVQAVAPMVLQQYSPEIIEPMVVDISMAISLLTNKKTRDLVMILNSKRFLDRLVSELEEKKHREVKLKESLKDVGRRRMELQNSLSSIWPKQEAALVKTRALKELCETSLSSIFEGRPVNIRGEINTLLNAGVSA >A03p005210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2176442:2178318:1 gene:A03p005210.1_BraROA transcript:A03p005210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPDAGDYTIFMGLDKFENEELIKYGFPEDVWFHVDKMSSAHVYLRLHKGQGFDDINEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWSNLKKTASMDVGQVGFHNSKMVRTIRVEKRVNDIVNRLNKTKVERTPDLRAEREAVNAAERAERKQHLREKKKREEIERLEKERQAEMRSYKGLMVTDKMTSNKDIASSNKSLQELEDDFM >A07p021560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12624319:12625017:-1 gene:A07p021560.1_BraROA transcript:A07p021560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A02p002300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:960012:960909:-1 gene:A02p002300.1_BraROA transcript:A02p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVVLPLAVYLLLFVSTTARATDVQYCEENAEYEVKVKEVDISPYPIARGEPATFTISATTGRVISGGKLVIEVTYFGWHVHSETHDLCTQTTCPVETGDFLVAHSQVLPVYTPSGSYSLQMKMIDAQNKELTCFSFSIDIGSAPSVVADM >A08p011640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:71294:80156:1 gene:A08p011640.1_BraROA transcript:A08p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSVTLEIYHRRSNLDKEEAFRIRSGHSWKETRSRSEEKKERENECAWSSWIKTAFESCGIWSNHEKEEPLKVRAAEKDQTASLEEIQVKVEPLTEVAAEEGQTARLEEIQVKVEPLKEVAAEEGQTARLEVNEAKGVIYSLRQGKNELYQLVRRLREVESELSMVKTHTASPSWCQGRRKQDVIFGFLMGEICKLVEHMCDVWEINKKPDRWKRGTSCKKGKLRKLSKMWVMMSRLWRKDIKESMQVGECLYSAYIGESVESSGVMRKLETKGADEPVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQDTSQEVENNVQSSGEVDEVQSSREEQVGPA >A09p083880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60270766:60275847:1 gene:A09p083880.1_BraROA transcript:A09p083880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLANMKLPDSSHLLLYMESRRSQPHGSLALLHAGPVELLYYWFYRAPHDSTTTSSTLATILTTTLSSAELITNNERRADEGKRRNTLYPHFSLHDRLTPKGLFLPLPIHPSYACSQLSVINEDPCGKYRMEEYMFGPGRALTGKWAGGKIGVGFVILHLPKSLIPFYIMYSSAVTKNWSTFDGIMATKPGILTDWPWTPLGNFKYIVIAPWAVHSTYRFVTDDPVDLGYSLVLPFLLFRILHNQVWISLSRYYTTKGKRRILDKGIDFNQVDRETNWDHAAAAGEATSLVEDRRSVDGGDASRRAGGVPLLLAPQSSSPPLSLLPLPFPPPLLYPVIHPFAEHIAYFILFAIPLLTTLLTRTASIASFAGYVIYIDFMNNMGHCNFELIPLTAPHPIPDQLLPVHALV >A05p046470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27601078:27604323:-1 gene:A05p046470.1_BraROA transcript:A05p046470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLHPIILFIACLGFFTSHALAKTDSQDVSALNDAYKSMNSPSKLSGWSSSGGDPCGDSWDGITCKGSSVTEIKVSGRGLSGSLGYQLANLKSLTYLDVSKNNLNGNLPYQLPENLVYLEASENDFNGNVPYSVSLMNDLTYLNFGRNNLNGELSDMFQKLPKLETIDLSSNQLTGKLPQSFANLTGLKTIHLQDNQFKGSINSLRDLPQIDDVNVANNQFTGWIPNELKNIGNLETGGNRWSSSRPPSPPPGTRRVDRNSGGVGLSNKALTTGLIVAASTIGGLIFTAGVIALFARRKNSHHSSHFFDEEKGGTNRSKPLFTPQPSQVLQYDSMDDFKGQNTVDSNASTETKPSSVRRTSSVSFKNSPTFHLIPSSTPVAATSGRFSSLEDSPDTRGVKAFTLVELQNSASGFSPNRLIGEGTLGRVYKAKYEDGRKYAVKEIDSSLLGKRNVEDFSHIVSNISSIHHPNMAELVGYCSEQGRNMLVYEYFTSGSLNRFLHQTDDFSRPLTWNTRIRIALGTAQAIEYLHEVCSPPLVHKNIKSSNILLDSELNPHLSDYGLANFHHRTSQNLGVGYNAPECTDPSAYTLKSDVYSFGVVMLELLTGRRPYDSERPKAEQSLVRWAKPQLKEMGTLEEMVDPSLCGLYVPESVSAFADIVSICVMSEPGLRPPVSNVVEALKRLV >A03p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9968936:9970060:-1 gene:A03p023600.1_BraROA transcript:A03p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNLHSRLTLSSKVEWEKDNRLTEKPVASRTTRPQAATMPRPEASKQSATLKLQDVIKRSHTWTMEARRINEDIYAWRKYGQKQILNSKFPRSYFRCTHKPTQGCNATKQVQKHEQDPSLFQITYIGHHTCNVSDETQAKTEPLDLEIVMDSDNNKLAATISQDHVDPYIQEQGNDISSLIGVGASMVKEEDYNNGDQNKDYCEGSSTYSDLSLVWPDVMMSDDRQHHQNHFYHGEASTTTSYQFSFIDNDQFSSLFDSYCPYEGTSAI >A07p032260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17743182:17744644:-1 gene:A07p032260.1_BraROA transcript:A07p032260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTVSSLQCFFLVLCLSLLVCSNSEDTSKSRKKPILINFGDSNSDTGGVLAGVGLPIGLPHGITFFHKGTGRLGDGRLILDFFCEHLKMPYLSPYLDSLSPNFKRGVNFAVSGATALPMFSFPLAVQIRQYVRFKNRSQELTSSGRRDLIDDNGFKNALYMIDIGQNDLLQALYNSNLTYTTVVEKIPPMLLEIKKAIQTVYLYGGRKFWVHNTGPLGCAPKELAINPHNESDLDPIGCFRVHNDVAKTFNKGLFSLVNEMRAQLKDATLVYIDIYSIKYKLSADSKRYGFVDPLMACCGFGGRPNNYDRKATCGQPGSTICRDVTKAVVWDGVHYTEAANRFVVDAILSNRYTYPKIPLSRFW >A08p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23865607:23870969:-1 gene:A08p042630.1_BraROA transcript:A08p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKVDERIRTLIENGVKLRHRSMFVIVGDKSRDQIVNLHHILSKSMVKSNTSVLWCYKNRLDISSHNKKRSKQLKKMKERGQLDPEKLDAFSLFLDVGEVTHCMYKDSERVLGNTYGMCILQDFEALTPNLLARTIETVEGGGLVVLLLQSLASLTSLCTMVMDVHDRFRTESHSETSGRFNERFLLSLASCKACVVVDDELNILPISSHIRSITKVPAKEDPEGLSEAEQDLKSLKDALSDDFPVGPLIKKCCTLDQGKAVVTFFDAILDKTLRSIVALIASRGRGKSAALGLAVAGAVAAGYSNIYVTAPSPDNLKTFFEFVCKGFDALEYKEHLEYDVVRSVNPDFKKSIVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVKSLLGPYLVFLSSTVSGYEGTGRSLSLKLLQQLEEQSRAPATGVEGSLSGCLFKKIELTESIRYASGDPIESWLNGLLCLDVANCLPSPSCHPLPSQCDLYYVNRDTLFSYHKDSELFLQRMMALCVSSHYKNSPNDLQLLADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISEKSAIRSLRDGHSPHGDQIPWKFCEQFRDLVFPTLSGARIVRIAVHPNAMKMGYGSAAVELLTRYFEGQLASISEGDDELDVEASPVKVTEAAKKASLLEEQIKPRTNLPPLLVPLRDRRPERLHYLGVSFGLTLDLFRFWRKHKFAPFYISQIQSSVTGEHTCMLLKPLNNEEFEVSESDELGFFAPFYKDFRIRFSKLLSDKFKKMDYKLAMSVLNPKINFTEVDSSGSSASGYLKKLDRVFSPYDMERLRAYTDNLVDFNLVYDLCKTLAHHYFQEKLPVSLSYVQASVLLCLGLQESDFSTIERQMQLERGQIHSLLLKVGKKLYKYLNGIAASEIEATFPRLKERVLEPHNVSVDEDLREGAKQVEEQMRAKIELDPELLEQFAIGGKEAEALEKSKISSSGIISIETTKPEYKPKPSGFDKTAKKRGHDNKHSSKSHKKRRA >A05p011340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4817107:4818321:-1 gene:A05p011340.1_BraROA transcript:A05p011340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP18-2 [Source:Projected from Arabidopsis thaliana (AT2G36130) UniProtKB/Swiss-Prot;Acc:Q9SIH1] MTARPEGSPPEVTLETSMGPFTVEMYYKHSPRTCRNFVELSRRGYYDNVLFHRIIKDFIVQGGDPTGTGRGGQSIYGSKFEDEIKPELKHTGAGILSMANAGPNTNGSQFFITLAPAPSLDGKHTIFGRVCRGMEVIKRLGSVQTDNTDRPIHEVKILRTKVID >A05g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8516406:8524430:1 gene:A05g502740.1_BraROA transcript:A05g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQKIHPVSDPEAPPPAHPTAPLVPRGSSRSEHGDPTKESVTQPPLLDTPPRKKRGSCCCRCVCYTLLVIFLLIVIVGAAVAILYLVFRPKLPDYNIDRLELTRFTLNQDSSLSTAFNVTITAKNPNEKIGIYYEDGSRISVLYMQTRLSNGSLPKFYQGHENTTIIFVEMTGYTQNATSLMARLQEQQQLTGSIPLRIRVTQPVRIKLGKLKLMEVKFMVRCGVSVDSLAANNVIRESASHHQFRVVSLSLLHQVTKKAHQSAGSLISDWFGLVLPLIRSDLTSGPAFIRLLGWFSSVSPFITLCFVIAISYTYMGSSISYVLLIWQISKGKVSSMRMRMNLFTWWIRTTPTPCVNINIGGKLGNIDTIELSEGRLLVDLDTRKALVFTKKVGYCNNKEDDQRVELMKSGVFSRVQLLYDTLGRQSLLRDRDDRDHYHSHNACQLFKSSEIMSYRTSHSENQHNNRFERNARRYDYNQERALRRPGDNRWFRPAPRHSRPYVLYEHKNEHKKLQTWRE >A04p009790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7445927:7446274:1 gene:A04p009790.1_BraROA transcript:A04p009790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDFWGSKLCSESLNPLNLLNSKPKRGVVTMVMRTFHIVSRLRRPMLLGFLNSRPCIHETLQQMMKTETPLKTNTLAPVADFVTVHFHICT >A09g507380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21526627:21527806:1 gene:A09g507380.1_BraROA transcript:A09g507380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVETLLVIINSLLSAVLFFQYMRFSEDILPLQHCKTVVMVLGMETEEDVLNLNEDADYLNGDELMDENEDDDEALAVEDTLMSRAETRKKRGGKRRHCRCWKHFAIIGEKYPDGTNDVECKFCKLSYCLNL >A09p068870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53822451:53824960:-1 gene:A09p068870.1_BraROA transcript:A09p068870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERILERERLQMEQIRELDFEELQVEEVDEFRDSEDDDDDLGISHHTRRLLSDNVGADDEHVFNPDVASLHTYLGEVEDTPNRTAFVEGGTVLKIPLFYLEGVVLFPESTLPLRIVQSTFLAAIERAFNQPNAPCTIGVIRVYREGNQFKYATLGTTAEIRQYRRLSDGSFNVITRGQQRFLLNRRWTDVEGFPCGEVHIVEEDVPLRTPRDAFGKLVPISNLRAPSHSSTMALSASFRDTDEMSVGNSEGSFESALSPSEKRLHYSAVDSIMDDWTSSDDDDEVVSTSNIQSADGRTPVSKGKCPKLNRLTSFRKNTDLNRFRMAPRAFWPFWVYRMYDAYHLAQRAADLWKQIVGVPNMEAIVNKPDILSFSIASKIPVSESIRQELLEIDGVSYRLQREIELLESFDRVRCKHCQSVVARRSDMLVMSSDGPLGAYVNPHGYVHEIMTFYKANDIAISGRSVKEDSWFPGYAWTIANCATCETQLGWLFTATSKKLKPSSFWAVRSSQVADDMR >A05p019840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9291861:9293749:-1 gene:A05p019840.1_BraROA transcript:A05p019840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSILISPAIRRRSVSVAMKVAVIGSGISGAVCASTLARNGVSVTIFDSGRGPGGRTSQRREVGEDGKELTFDHGAPFFSVTNSDAMALVHEWESRGFVSQWKQVFGSFDCASNKFLGIIQQEEDANNNNKYVGVPGMNSISKALCNHSGVESMFGTGIAKLEWLEEEIPWLLTDSKGQNLGRFDGVVASDKNIASPRFTQLTGLPPPLDLNLVPELAAKLQDIPVLPCFSLMLAFKEPLSLIPAKGLSFKNSEILSWAHCDSTKPGRSTDSERWILHSTPGYASSVIAKTGLQKLSSETLDKISEEMFKEFQCSGLVSSLPFFMKAHRWGSAFPAKSIAVEERCLWDRNRNLAICGDFCVSPNVQGAILSGLAAASKLLQASSCL >A06g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14315178:14315975:-1 gene:A06g504680.1_BraROA transcript:A06g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDLMNEADADGNGTIDFPEFLNLMARKMKD >A06p002780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4979879:4981841:-1 gene:A06p002780.1_BraROA transcript:A06p002780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNREQRSFTITKTAEMPYPTILTSLLLLLHFTFVACTIPLGSVLYATGSNQSSWSSPSSTFSVSFVPSSPPGSFLAAVSFAGNVTVWSAGSVDSRGSLRLLPSGSLRLISGSNTTVWDSRTDGVGVVSATIDDSGELRLLNNRSIIVWSSFDHPTDTVVQSQNFTAGMVLRSGNYSFQLERRGNLTLKWNNSTTYWSQGLNSSLNMSLSSLSLHTNGLLLIFDSTHSGGAEIVYSVDYGEGSSNFMFLKLDDDGNLRIYSSASRDNGPMTSRWSAVADQCLVYGYCGNFGICTHKDTNPVCLCPSRNFDHVDENDKRKGCKRKVELSDCFDNATMVDLDHTRLITDPADPNSEVGSSSCGSNCLVSSTCLASVSLSDGSGNCWQKQSGGSFITGYESPLVTTTSYVKVCGPVLRNGPLVATKGDRQTSKLKLILGVSAASVVMIIIIVAVIVRAKKARKSDWNSENIEEIVMLKHYSYARVKRMTNSFANALGKGGFGTVYKGKLLDGNQDVAVKILKESKGNGEEFINEVASMSRTSHVNIVSLLGFCYEGNKRAIIYEFMPNGSLDKFISEHMSTKMDWEKLYNIALGISRGLEYLHNRCVSRIVHFDIKPQNILTDKDLCPKIS >A09p031140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18894502:18895618:1 gene:A09p031140.1_BraROA transcript:A09p031140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RBCX protein 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G04330) UniProtKB/Swiss-Prot;Acc:Q94AU9] MESSSSLLHHSYLTYFNPRKLGKPSFSYPLMPKLRTRKPTRICSSKMYVPGFGEASPEAKAAKHLHDFFTYVAVRIVSAQLESYNPEAYLELREFLDTNSVRSAYCKNDFEWDNLQRLAFKNVDRSNTKLMREYVLETSHVETDTDK >A08p034490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20518552:20529273:-1 gene:A08p034490.1_BraROA transcript:A08p034490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARTQALSLLAAANNHGDLAVKLSSLRQVKEILLSLDPSLSAEIFPYLTELHSSREILVRKSLLEIIEEVGLRMMDHSYALVTVLLVLARDEDPIVAKKAVSVGTAFYCSILEEMAMQIFEAFVSVPFHDRGKVDRWVGELWTWMVKFKDVVFSTALEPGSVGVKVLALKFMETFILLFTPDASDPENFSNEGSRQMFNISWLAGGHPILNSATLMSEANRTFGILLDLVQSAGRLPGALTITVVSCDPLGHAFRSKPEPFAILNIFCVSTGLLSDSSLSFSLAVVARKRPVHYNTVLSVLLDFHPNLVTVKGCHAASVQYSIRTALLGFLRCTSSPMIESRDKLLRALRAMNASDVADQAVRQVDKLIRNNERFARENWSGKSNQAISHPNSWDLSKKRKMSQGEDDTINGEAAPKRLRHNTTMHLTPQVKISDSPHGPFSINGISPANHPSDSEPTPVEQMVSMIGALLAEGDRGAASLDILISQLHPDMLADIVITSMKHLPSSPPTLTTSVATPADIVDSMRSPTLQPQLPFDPTLPAGLSVSDVPSLNSAVADPRRDPRRDPRRIDPRRSNSSVAPTSLPVSEGKEPIPVQMDISSLPSNPLSVPAVTAGASSSVHPTTIEHSQNKVVGSSVIKIIDQPDCREDLLTAPSECVYPSKGIPVSPCRDDEGFRETKCRDDLASIPDFNQHSPLESGPDFDLQPPAASDATAEEESYRELASVPSYVELTTEQSKTVGKLALERIIESNRHVCGFDCNNIRMPLIARLIAKIGAGNDVVAILREHISVDHREFKGHELVLHVLYHLHSMANLDTDETSSYSAVYENFLITVARLFLDALPASDKSFSRLFGEAPHLPDSAIKLLDELCSTRHDPTGREICDSERVTQGLGAVWSLILVRPNERKAFLAIALNVTNKLYHLTYTSEHVEKFATDMLLTAVNSEADLSQTGFIAEGIKTEAKSQITSTSDSPSSRTSDTHSQQDLQTSRDASVLSFAEAQRLISLFFALCKKKPSLLRLVFEVYGKAPKTVIQAFHRHIPILIRELGSSYKELLHIISDPPKGSENLLTLVLQILTQELAPSSDLITTVKHLYETKLKDVSILIPLLSSLTKDEVLPIFPPLLNLPPEKFQLALAHILQGSAHTGPALTPAEVLIAIHDVVPEKNGPPLKKITDACSACFEQRTVFTQQVLAKALGQMVNRTPLPLLFMRTVIQAIDAFPTLVDVVMEILSKLVSRQIWRLPKLWPGFLKCVSQTQPHSFPVLLELPMPQLESIMKKFPDLRPSLTAYANQPAIRASLPNSALSVLGLENGQDSRSQMHPSDAASSIHGAALT >A04p028760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17251360:17252097:1 gene:A04p028760.1_BraROA transcript:A04p028760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSGCQRLCDAQLLFVSLSHVFATKRSDLRLELYNNKNNDATTNRTTIVLFVWSTQPPAAASALMFSGNACSFH >A09p061780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50833141:50835288:1 gene:A09p061780.1_BraROA transcript:A09p061780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt-inducible zinc finger 1 [Source:Projected from Arabidopsis thaliana (AT3G55980) UniProtKB/TrEMBL;Acc:A0A1I9LNJ4] KGRGKKEGSFLSPSSQRSTFKEKSFIVSLNPILLINPPSLHLFHSFIITQLSPNFLLPSSLPLSIPRVIRNRAKQSNLCYFFSTMGLLEYAACDDLPSFQREIEEKGLDFDEPGLWYCKKLGSNKMGLEQRTPLMVAAMYGSKKVLTFIVSTGKSDVNRACGEERVTALHCAVAGCSVNMVEVINALLDASASANAVDANGNQPLDLFVKVSRFVASPRKKAVELLLRGGGMINEPVEEEEEEEVKIVSKYPADASLPDINEGVYGSDEFRMYSFKVKPCSRAYSHDWTECAFVHPGENARRRDPRKYPYTCVPCPEFRKGSCPKGDSCEYAHGVFESWLHPAQYKTRLCKDETGCARKVCFFAHKREEMRPVNASTGSAVSQSPLEMMPGLYSSGVATRPVSPMSSSVGSSPRNGGSWQNRVNSLSPPALHLNGGSRLKSTLSARDMDMEMELEMRLRGFNNVEETFGSYVSSPSRNSQMSHQHYPSSPVRQQQPPPPPHHFDSSAAVAAAVMNARSSAFAKRSLSFKPQVASSPSNLSDWGSPSGKLDWGVQGDELSKMRRSVSFGIHGDNNGNNAGRDYRNEPDVSWVNSLVKDSVVSERGGFGMNERVRIMSWAEQMYREKEQTVV >A02p029140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14708942:14720472:1 gene:A02p029140.1_BraROA transcript:A02p029140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELVTKIVVFSVLVEALALRESLGKCRELGLSRIRCESDSAILIKALKTKSSIIGLYGILTDILSLASSFECVSFHWISLMKNAEADKLAKQVLSSELALMATTTLQERKDFDYSYPKEEETDPNTGKKLVMELELGLKVTRTREDVSSSVDFRFSKDPFGPLVLSQETDSRFIIIIHLKGFKKEGIEIEINKEGDRITIRGRKPVEEMVMIRWMAWRNEVEMRAFRKNFLIPDVVDLDKIKARFDDDDATLTITMPKRVKGISGFNLEQAEEEFEEESVGFGDVSEVENREEVEEETEEREESDEHSEIQGEEGFGEMVEDKERESQVNEGEEEGFSGGVDETQIEDDDVEEIQQIGQQELADSDTESEDNVLKQLPDIKEQEDNQEVMEKKVLEEIKNEEIGSGATEEIEEQESDEDSGLKEIPDMIEQEDNQEVMEQKVLEDTKSGDIRSEAVEEIEQEPDGNSGSDTKSKDSGLEKLPDIIEQEDNEEVMEHKVSEETKSKDNGSGAVKEIQERESHEDSALKKLPEIIEQENNQEVMEQKVSEETKSEDNGSGAVKEIQEQESHEDSGLKELQDIIGQKDNRERREHKVSEGTKSDGIVFGAVKEIEEQESDEVGGTSKSRVMEKKKTDDDDGLRKAQGIDESLRRNEESKIQEMVEEETVGQEKDDNLEKSQQTDEEERVGQREFADSNTESGDSSSLRKLPDINQDVMEQKDSQAETKSDDFGSRASKEIEKQEPHEQLDGTSKSRATSDDDGSNKVQGTEEPERCNEESNIQEMVRGDPKEDVDAEMGEGFTPNIERTPVVAETEAKAGELESKKTVAENVEKKHDELVEKKEEKDRRSFIKLQENEEQHSSVTNDVPKPVQETEAPEMDTLAKTNDEEKEKKKSVEMEKKNIGEGFAPNIAETEKKPEDFESEKQGAGDVDKIHKLEEKKKEEDANVRAKGEDRRSLVKLQENKEEHSKGRKRQNKQENIKGLLEEKAPEADTKIVNDIQKPVKEIEVPEVGTLGETGDEGKEKKNIVETETKPEDFESDKLEAEEVDKIDEVVEQKKEEEDAKNDEAGPQSSSIEPQGVGEQLSQGQKRHEKTKELVEEKTPEAEKAIENDTPKRVQEETNDTDSRKPQENIRQQELDEREKESKTQELVKINTNDEEKGTEETITKEQDSYWPNVLGEEKEVQELAEEKPHFSKNRKLKEEEKVPENGDDDDISRKAGDSEEKEEVDSEMGKGFAPNIAETVKKPEDFDFEKLGADEVEKIQKLVENKEEEDDNVRAKDEDRRSLIKMQEDEEPHSKARKRQDIQENIKQLVEEKAPEAETDISNDTLKPVQEINEEVSTLGEAGDEIKEGKEKKKSESESLEVTNRDAKKETDVKMGVPNIAETETKPEEFESDQLKADKIHEPVEKKKEEEKSEGIISLIKTDEVEEQQSQGQKQEGEHEMIEELVEEKTPEAETNISNDTLKPVEERGEGKQKIPKLFQEEETKKQPEEHKEKRVETRGKIDDAVSREVEEIIRQQDLDRCEKESKTQEVVKSKTNDEEKGAGETEIKEQESYGPKILWDEEIAEKKTEFDDHQSSRRDSDSKEEVDVEIGKRFKQNIAETETKAEEFESHKPEPDEVNENDDIHEVAEKKEEDNDKVRRQDEDEDRSLLKLQENEKQHSKRQNRQETNIPTPVQETGNEGKEKKKSVTMEIKNGYPKEEVDAEMGKGFTPNAAETETKPEEFESDEVDEVVAKKEEEDNAKMRRRQIEGSNLNKKFQETKEQQQQEKIKELVEEKTPAAAETTVATQIPKPTQEIEEPEVGTCEEETKDQELYRPKVFGDDDDHHISRKVGDAGQRDSGAKEEVDPEMSTPNISETETKEVEEDDMIHELVENKKKKKVRRQSEDSRLQEEKIKELLEEAETEKPKVEERLETCEENIFSEAETKDQESYRPKILQCEDKIQELAEEKMDFSRKVEEEEETAEKKTEQDIDAMKRKEETQEQVMEEKVSDSGNKTVAEAMHEEDEPGNQFQELIEGEKTSCQGEVKGVESEKNTREVDKRFREAQEVERTDSDDVSGIYGKGELQEEDDRLQRGTSKIEQELVNLNSQMEQELEDENINSSCKDEESEIKTDDVIRKVQGVKEHELSEPKRNHGSKIKEMIQEKIGEVEKEDEVQESHEPNIRKERRCKTKITGTEEPSGQAREEEEKEKFVESRTITEIKDQRPDKPESHEKRYKIQKMVEAGHNEEQKDAVKAKQTSKVVQEIEQQESDELSSSVVQEDKKQETAEKRTKTEDASLRKVQDDEDPEMSKSYKKIQEPVKMGTSDYKEEVKKQDGDDTLRIQEPPDKPNLDELERHSEQGDKIHEPVEMRTHDDYREKFKKQDGDVDEEKVEDDSSDKFHEFEEQKSHEHERQEKRKHFSKEEATDPKESEEHEKPKVVEEKETLERKKTRSRDVQDDAEPEFLKPYKPQEEDKKKVHKLVEKGTSDYREKVKKQDGNDTLISKKQRSTPQYVDEEKLESIADPGEKMEDDNSRKFHEPKSDDDWPQLEREKMKDLVKEEATGPKDKCTAEAGHSDHKEEQHEDTAKTELKAEEDTSEKVQEIEKHKSDELQRSLGQDKMQEIEEKEKTKAMEENETVERRKITEVGDKTQELVEMDTNDYREKGKTQDGDDILRSQETEKLFIVEEEATGPRDKHTGGKEEERDEEVAQTETKVEDETNKEVEENQKEEEEEDEGSLDDPMINIQEIEKEDSHDTEIQEKLDIVQEDFVEEETVDQEDEVAMEAVEANYDEDSSRILQTIKEHEEHKEKRNGPGVEGEDEERIVEKEAYEEALDLKHTGGERYNDHKEEEKFIPKAEVKAEENSSEEEFDELQRSLVQDKMQETEEKGKPRSTEENETVEGRTKTEDDGSLRKVQEGEDPELSKHKRHEEELKKEDEERKIHQSVEDVKGEDKTLDQEDDRVGEAEAVVANNEKDSSRKLHTTTGGEELKQQEEIPDPRVKEGDGERVAEKETKMEKVHVQEPEVKTENGESRRGQKGKQEKNDETRSDDGIVRKVGETKVQESDEKKDQEPEEKIEEPERKEMSNDGVKLVTEEDSLTKGQEFIEKESSKRRPVGQENIQQLKDAEKQEDEGKSDAGVEMQAKIVIRRKDQETKRQKAYELLENEEHDKIPEPVVEGTKNQRVEDREAEEMKRKSEDVSSRKVQKIKEEETDGLEKHRELSGFQENESVDEEEVNEAGGYKEVLETKSMEDSSQTQDVEEKGPDHTEQENIQEIMAEEEEEKNEKEKRMPHVDSKANYDSSEKNETERLRGKKQKEDHQEVVETETSDQMKEEREEKIVGKAVTRDECDSSRKNQDHEEIQSDKIEEMSGLLGKEETSNDKEENRGNRAGAKTTIKDDKKQLLVEIDTSGKAKENTNQETKSRDDSSRENEKQDSHEQKRNYEEQDKKNMGPNYYRSEEDGKEEKETAEAEGTRKKKGIEERESAKMVERHENITDLVEEKMNNQEGEDKKSMSMEVLEKSEDHESTEPEGLRKQDKDREQVQQRSTDNLKYEETTETVKDKESRKTHQLKEERLKEKENRETECEDGSSKRIQEIDKDGSTEPRRVEERDKIQPVDRETSEDEEEEELEVEVEDSEEDWEAEVIQEMDSDEDNDKLRKIRRIKLGFSETVQLPSAYNFKHI >A03p060620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26306486:26310306:-1 gene:A03p060620.1_BraROA transcript:A03p060620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLHYGFIDQDIPLALTLLLLSKSNIVFWFTGNQTEVAALNTIPVRTWSFLVLGTGIGASASASASASASRSRSLLDRKFLSSVPMGENNYYHVDKKKKPKLEEVEQDDMLQHYNQYQNPPTGGQDVQLQAWRRQQNQFLQSQRPRHLPQQQYIQHQGPTIRNPQLQALLHRYRLRQHQQTLQLQLQQQQQLRRLLLQQQIPPNVCPFGGGMCAHRKFMMLLHHIKQRPEDNCITFWRAFVAEYFSPRAKQRLCFSQYKGAGHMLGTLPQGMWQCNHCGTKSGKGVEATFDVLPRLFEIKFASGFVNELLSLEDPRECRVSSGLIVLKYRKLVQTFEYEQCRVVHEGPFLIIFSQDLKILRWEFCVQRHEEFLPRRRIAPKVNQLLQVVAQQDLQTNSNMVLAARRQLANSMELQPLNDLGYPKKYFRALQTYEVVKSMKALMDFTENHKIGPIEGWKRLSEQTERMRLQRQKMQEMEHLWNSGAMNRSAQAQMDGLTGYNNHHHSSAQAAAALTNNQSMLMRLNAVNNQYSDTSTQEGFSSSQHPTPNSNQGHQRQNLATGGFLSSPQMQQQQQRTLNTFQQTHFPEDATEIPVEFSDDNFNDSDKQGSL >A01p046450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26134762:26138513:-1 gene:A01p046450.1_BraROA transcript:A01p046450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:Projected from Arabidopsis thaliana (AT5G50960) UniProtKB/TrEMBL;Acc:A0A178UBK9] MEKGEIPENANEHCPGPQSETAGKSDSCAGCPNQEVCATAPKGPDPDLVAIAERMSTVKHKILVLSGKGGVGKSTFSAQLSFALAGMDHQVGLMDIDICGPSMPKMLALEGHEIHQSNLGWSPVYVEDNLGVMSIGFMLPNSDEAVVWRGPRKNALIKQFLKDVYWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQEVSLIDVRKEVSFCKKVGVPVLGVVENMSGLCQSLADVTFMKVQSELGLSVDVTQDVISCLRINAPELVNFLAYSEVFDRSGGGAERMCREMGVPFLGSVPLDPQLCKAAEQGKSCFEGDNKCSVSAPALKSIIEKVVALIKDEDGAPQAGLARTNKKNLSSSNLFLFYLKRISPSSSILPAEFMDVSARKSQKAGREKLRREKLNEHFVELGNVLDPERPKNDKATILTDTLQLLKELTSEVNKLKSEYTALTDESRELTQEKNDLREEKTSLKSDIENLNLQYQQRLRSMSPWGAAMDHTIMMAPPPSFPYPMPMAMPPGSIPMHHPPMPSYTYFGNQNPSMMPAPYMPYMPPNTVVEQQSVHIPQNNRSREPRAKVSRESRSDKAEDSNDVATQLELKTPGSTSDKDTSQRPEKSKRCKRNSNNNNNSVEESFHSSKCSSSPSVRDNTSSSSVSGGGLKPDDAK >A06g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21463718:21466092:1 gene:A06g507710.1_BraROA transcript:A06g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTTLEWARLRGRSKTGAAKGRSDGQKKENRFQIMMEGHFGSKMRNANKDMGSSYGKKVHGSSWSKKHDGVSPCSKNVDANKDEEGMKFVEQPNVHIGRHPRNDAAQDGLWAPKEVRIDREGLGPFRMEDSVPTRKRGRPRKIPSIDADRLRSVTGVCRCGTLMHANQGTHSVREYTEEFLETTKRCKPKSAEGWCQGIKAELREEIQGKLLDSRSGSGGRKGTYRWVVAISSFKEEMEVEEDLRKRSIMDERTSGECTEPCKCEILVQIVHRPRLVQEYTKEFLDMTEKCKSKPAERMTGKAMAAKAWLLNMLFMSQALNRKRIHRKVKIRRIIWNRQLEVSESLVMIRGRNALLHFCSKPEDWNSGRIPINRGRIGSLAFPYKYKPPPPLSLIRNSRAKLCRKIPETGSPSRRRSLSLSLSLPALPLLLFSLLTASLLSLSLSSPCAAANGGGGRVCDTENGWRLKRKVRKSLRVQEKGNDKEKGKVK >A09p022040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:69457:72145:-1 gene:A09p022040.1_BraROA transcript:A09p022040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARVMKVMARAPVDDGGGRRWPDNALERRELVATGLGKCSLCNKDDQDWQPVELRLSITLSAIIALELANLLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGECKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A08p031620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19301300:19303429:-1 gene:A08p031620.1_BraROA transcript:A08p031620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRVSDTKAIVRILTQTFRASQLSVASLLGVAGFLYALKSGRFRNLNLLFSGTRQDDEDDDDDDDAMLVPGLQNLGNNCFLNVILQALASCKDFRSYLQWVLDDASETDEQFPLTLALSDLLQELCTAGRRQSVSSPRQVMLALTHYVRNFNLTCQQDAAEALLHLISSLQEEIVVCYRPTKTSNLSDIMFSRNLRMVAAPSEEGLNDLKRWHKHLRGPFDGILGSTLMCRTCSSQISLEFQFFHTLPLSPLLYSGSSNIVLGCTLENCLKKFLGAEKVENYFCHRCWHVAAVKYSSAMRAAETDIEKIKNCGGDDKCDCKASHHLQRMPWSNSYSFILKQLTIARFPKLLCVQVQRASLNMFGESVKLSGHVAFPLVLDLSLFSQSSIGLNIEKNEVSQYLNPEASSRNHGGMYRLVTVVEHFGMTGSGHYTVYRSVRVASQEDADCEESRWFSISDSEVGRVSESDVLGAEASLLFYEKL >A01p054970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30809304:30810683:-1 gene:A01p054970.1_BraROA transcript:A01p054970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVRLNIAFSKNGQTCMSCFRRRGPAEPVACRALSLCFALCAFYLLRPVFLFRKFDVWLTWRIVELKARAFRSCSFSVYLAVVNMGKTRGMGAGRKLKRLRINQRWADKQYKKSHQGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >A06g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20337432:20338179:1 gene:A06g507360.1_BraROA transcript:A06g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTNHEIFSSREFIPPKMLKMANLLSDELTTNSIIPKVIIHVLNVQKSLRLDGFQKDSKTDLFGPNGKTDKILAKKKDGFRPGLKGTFLVVAISSDMPTTYRNPSFVELVRHIKQQLKFGSIKRLSAPLVSPFNPSVLPFGEFICLKSD >A05p010150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4256399:4256941:-1 gene:A05p010150.1_BraROA transcript:A05p010150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERSEFEESIKMSDDIARCLMILSQTSMVKQVDVNQYTERDTSNRFECKTCNKRFSSFQALGGHRASRKKPKLSVDQKEVKHVTNNYNGTHIHECSICSQSFGTGQALGGHMRRHRSSVTVEPLQTISPVNSTVPVLKRCSSSKRVLSLDLNLTPLENDLETIFGKTFFPNIDMKFVV >A10p034930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20203090:20204415:1 gene:A10p034930.1_BraROA transcript:A10p034930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLTIALIPILTILFVANVLDATELNVMDKCWRPNPHWRKVRNQLARCSVGFAGKMTGNIGKGVTQYKVTDPSDNPLNPKPGTLRYAATLIKGKKWITFKRNMKINLHKPLLISSFTTLDGRGVSVHISGPACLIVYKATDVIIHGLKIHDCKAHPPSSVMGPDSKVIQLGQVDGDAIRLVTAKKVWIDHNTLYDCEDGLLDVTRGSTDVTVSNNWFRNQDKVMLLGHDDGYVRDKDMRVTVVFNHFGPNCNQRMPRVRHGYAHVANNYYQGWTQYAIGGSMSPRVKSESNYFVAPESGRKEITWKKHSEGDKMQWKFYSVNDYMENGACFGLQKGIGKARPNYGPSQRFTVADAKTVKKLTSSAGALHCTRNSVC >A08p015020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9729870:9731512:-1 gene:A08p015020.1_BraROA transcript:A08p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 33 [Source:Projected from Arabidopsis thaliana (AT5G35670) UniProtKB/TrEMBL;Acc:Q8L8M9] MGVTGGLVRSIFFRNKSFGAHDYNNNGRSNLGEKKRWSSVRSYLCGDEFNSVLAVDDSGSIKDSLDPLLTMSKQLSSDSVLAIQDSASVKLHQEEEEEEDSVSGKNSEVSVTQPLHKEEQSEATETHIPKRHQTTLISKLFLEEDAAVKIQSAFRTYLDAFQAKRGSNEVEETFDKEESEESQGKVSMGTSLEVQTCSSVKAPFLRRKRVRTLQKNNTQVLRIKEEWDDSTVSSTISKSRIQSRIEAMTKRERALAYAFSQQLRICTKKKQVERNSEDESNISWSWLERWMATRVPEIEARTNIQENGTMKSQRLVRKNRSFSSSIGGELESCASNDIPLQFESISEEETEELQREKSKSVPSYKNERRHNRLQARKKDMQQQTKKAKTAPKMGNEHDETSRKINSSSS >A06p005950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1949564:1950795:-1 gene:A06p005950.1_BraROA transcript:A06p005950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLPEEEFKGLSDNFFDDFINHIDFPLEDIDTTNGEGDWDAKFKELEPPPMDMFTTFPSEFNSCGVASKDGIKKNVSALKQSDASAALSGINDTLHQSSSHHDVKVSKLFQSSSPVSVLESSDGSFSPQNSTSQRLTFPVKGLRSKRKRPTTLRRRHLYPFEPEKLTPEESESSEQHAKKKRKIFTTNHTVSSSSEGLNSDGVVRRCTHCETTKTPQWREGPTGPKTLCNACGVRFRSGRLVPEYRPASSPTFIPSVHSNSHRKIIEMRSREGDQFDKRAV >A01p007360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3646370:3648217:1 gene:A01p007360.1_BraROA transcript:A01p007360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMSSSLVLCNCLSFTSQHSVLASSSPISLGYNRFQSISLRGKRRKKLWRIVPSAEKEESHTGNNKRRRSWWQRFFFDDDGNWLGLRDDEIVDETAELEKDDEMSDEEKFETWKRRAEAIVELREGQEEIGGDNNVGDVSKKWEDWIVDSDDSLVESWSRGDEGSEERSELDELSVPERGLVKMVRDMVLGVEEEDILYEDRVFRYASSKSAKFLAVLILIPWALDFLAHDYLLMPFLDRYVKTVPLAAQTLDVRRSQKLEMVKELNREKARYRLEVEIGKTPPLSDDDLWWELRGKALELREEWRLENRKAFANIWSDMVFGISLFVLLYANQGRVALLKFTGYKIINNISDTGKAFLIILITDIFLGYHSESGWETLLEIIMEHYGLEVEQSTITIFICLVPVVIDACVKLWLFKFLPRLSPRVSNIFQEMKRH >A01p055970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31598866:31599788:-1 gene:A01p055970.1_BraROA transcript:A01p055970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRVVLLLCFVFTIPAVVFSDDETIYEILQENGLPLGIFPKGVKDFIFNGESGRFLVYLNQSCDAKYETELHYDENITGTIGYGEIRDLSGILAQDLFLWFPVKGIRVEEPSSGLIVFDVGVVRKQYSLSLFETPRDCVAVRGENKVQSSVFPLYQVDQTLGRDVI >A07p017760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10747866:10756332:-1 gene:A07p017760.1_BraROA transcript:A07p017760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SINRTSIDKPIGAEQIEWLARKLLAGPKGSLISLTSKSEIRYEGILYNINTDESSIGLQNVRSFGTEGRKKDGPQVPPSDKVYEYILFRGTDIKDLQVKASPPVQPAAPPAINNDPAIIQSHYPSPMPASSSLPPAASSPPGQHGMGFQNSMPLYQPGGNVNSWGASPQPPVYWQGFYSPPPNGLPQLHQQSLIRPPHGLPMPSSLQQYPNFNAPTPAGSSTFQGSSLPEPPSSLFPFSSSSQTPAPSSLPFTSLPMTLSSGLQSTMQSAPSPSLASEMAPPLFSNKAPVSLPPALPQDTNLLPFSVPSTRATDTSAGLPLSNKPSVVTAPVAGVSSSVSQDQPKPVLVTPGQLLQSGSAAVSLSPPSNKADKDVEVVQVSSSAGLEQSAPVTSEAQPPILPLPSSARPTQKPNGHSYPTHNGYRGRGRGRGRGAGRSHQVMKFTEDFDFTAMNEKFNKDEVWGHLGKSTNDDGDDDSPIIEESELPKIEVKPVYNKDDFFDSLSSNTNDRDSQNARPRFSELRKLDTETFGEFSRFRGGRGGRGGYGRNGHSRGGYGGRSYGGYNGRGGGGGGGYNGYGGRGQGRGGVSNQRIRMGSDSLVVFARLLGLAIAAVVVYWFLLFKSSFAPRKGLTKTTIHALLMVIGFILVSGEAILVHRWLPGSRKTKKAVHLLLQGVALVSAVIGMWTKFHYESGIFSNFYSLHSWMGLLSVSLFAAQWVTGFLSFWHRGEVRETRSTFLPWHVFLGLYIYGLAIATAETGLLVKLTHLQTKRNLPRRCAEAVVLNGVGLGLVLLCAIVIASAVLPKYQKSHSDEVKELIISSYSHYAITRKNKECLNGDAKRAWLVDKNRIATKILSASDPCPTVWNTNPTRHCPNCHHVIDNSHEVDDWPGLPRGVKFDPSDPEIIWHLLAKTGSLGLTPHPFIDEFIPTVDQDDGICYTHPKNLPGVKHDGTMSHFFHRAIKAYSTGTRKRRKIQDDDLGDVRWHKTGRTKTVVLDGVQRGCKKIMVLYEGKSVKTNWVMHQYHLGTDEDEKDGQYVVSKIFYQQPQQQGVLQKGGDKAEEEVSEDIFAAATTTPKADPVTPRLFTPEPGRQAVPLRSDSHFANDYVTAQEVSLAETSEAMYMEDEVQRNVERPSSEDEPAPETMIHDKEDENDQENKEGEENHQAEEEENLLDSGGSQFILNSQQLVEALALCDDLLQVGSQDANNGGGGGGLRNKQQPCFGDYAHLGGTDDFKRDLEDCQKLVLDPCNIDLDTPPEFRLSQLEFGSQESFLAWGTGKTD >A02p020910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9846313:9850215:1 gene:A02p020910.1_BraROA transcript:A02p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSEWYRCMMPAYLNPNANQPDLHVFNHQLNMLPDLDVASDRKAQYLASRRFNYNIGVPTRLLNFGSDKDEFFGEIFNSEIGDFPSKRQRAETPTKLSLPPWNDSSRLPPLQLCPEQKVPIPMKGFEDVSACARRCFNTPRTPVYSPENGSGCSDVTKVGVMDNSPLDSKHVQGPGTSKTVKPARPHATRVYSFDDFYTVEREIQTEERPLKHEKLGPGVDVVEPMECGEQTKCEVNADSVPMEKRNKRGVSLVERFTEEEIKLHIKSLKEGSTQGGIGETCDQKDLCQLCGNGTLVLPAQPIYCSLCSRKIKDKSSYYIPEEKISDAQHQICNPCYNRCRKNFTLFGVTVARANMLKMFNADNQHTEEWVYCESCEKWQHQICGLYNQQKDIDKTADYICPYCLLKERKSIENTDLGAKDLPETILSHFIERRLSRRLKEERLQTAKANGKSVDDVQEPDDLTLRVVFSADRTSHVNKTFADLLHKEHYPSEFPYRSKVILLFQKVEGVDICIFALFVQEFGSECSQPNQRSIYIWYLDSVKYFRPERVTFSGEALRTFVYHEILIGYLEYCKIRGFTTGYIWACPPRKGEDYIMYSHPKTQQTPNTRKLRQWYQSLLDKATKQRVVTSVTNLYDRFFVSAEESTCNITAARLPYFEGSFWSDNAELLTQVFERESVDELQKKVKSLSRRALKGVKSKDDLDVDDAKNILMMQKLDKLISQNKEDFMVVELNYSCTRCSAVILSGLRWFCGKCKNLQLCERCHDAEEELPGEHTHTMNDKEKHSLSKVQVHGIQSTTTEDNDVILENSMFESRQVFLGFSQKHNYSFDTLRRAKHSSMMILHHLHSSDKHHSENSNSSFFQVTCTTCQKDVSKTIYYSCLICSDCRVCTACYNKKNTVLRLLHLFPMTPSTHGTPPRTVGALGIIEALLHAHTCRTMATGSCSHPKCDDVKTLFSHSAVCEIKKRGACNICNNLRQMISIHAFHCQDPTCSIPRCRDTKEHFFRSGLRH >A09p066580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52787559:52788122:-1 gene:A09p066580.1_BraROA transcript:A09p066580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTVEIDIRSAEGLKLNRRLLKKKTFAVARIGEKSRPSHLDVSGGSSPTWNCKLEMPMSGTEQFIYIEVLFRTNELKSSSTAMKDYGACSSQAAETGLWRPRSEPPAIDGYGGRIVTGVPVWCVLQRPT >A08p043250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24125064:24127152:1 gene:A08p043250.1_BraROA transcript:A08p043250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPTSTSTPETADSAATPTEICEQCGSQDSWVIHSARHRGVLRFFCTHCLLRNHPTSFCPTCFAFYDSSPPHHSCRVSCSKCGSHTHLHCAGGDEESSSPPYLCPPCLNPSSFSFFRPIINADGVRCMDKPLSEAFLCAAKISAFSMSRAVIVANSEAEWKGRECAVAKKRLREAVEDVLKLNEKARLAIAKVKEESGDQDQKPKVIESSGQTADSASYSTETCDYCGSQDSWVIHPARLRGVLRFFCTHCLLRSHPMSFCPTCYDSSPPPHQSRRVSCSDCGSYTHIQCADGDESSSTHYLCPPCRDPISFSFFRPFVDTNGVRFLDKSLSEAFLCATKISVFSMNKAVYFAKMEAERKGKECAVEKKRAREALEYFVKLYEKARSDVDKLREASFEQYPAVQVKQEE >A10p004110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2016282:2019248:-1 gene:A10p004110.1_BraROA transcript:A10p004110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKTKYDRQLRIWGEVGQAALEEASICLLNCGPTGSEALKNLVLGGVGSITVVDGSKVELGDLGNNFMVDEGSVGQSKAKSVCAFLQELNDSVKAKFIEEDPDTLIITNPSFFSHFTLVIATQLVEESMVKLDRICREANVKLVFARSYGLAGFVRVSVKEHTIIDSKPDHFLDDLRLNNPWPELKSFVETIDLNVSDPVAHKHIPYVVILVKMADEWTQSHSGNLPSTREEKKEFKDLVKSKMISMDEDNYKEAIEAAFKVFAPRGISSEIQQISSDTCAEPSSNSSDFWVMVAALKEFVSNEGDGEAPLEGSIPDMTSSTEHYINLQKIYLAKAEADFLVMEERVKNILKKIGRDPSSISKPTIKSFCKNARKLKVCRYRMVEDEFSNPSVTEIQKCLADEDYSGAMGFYILLRAVDRFTANYNKFPGQFDGGMDEDISRLKTTALSLLTDLGCNGSVLPDDLINEMCRFGASELHVVAAFLGGIASQEAIKLVTKQFVPMLGTYIFNGIDHKSQLLAL >A09p005430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2961347:2965104:1 gene:A09p005430.1_BraROA transcript:A09p005430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKEENVVNEFRVRGECLHSALDKVNAHASDVLLFSVQWKDLNEYLESVKGKLKERFRELESKEVELKGQSFALEERAKVVEEAEAKVADLEMKSDGIRMDVEAMKKELNFFTKQVEVSVGESNAEEARLSQLRRLVEECEEERMLKESQLGLKGEELAKLETDIERCCAEVSAEMESLRGAQTHRRQLDEEVERKTKDLTLVQSKLEKCEKLFETRSSELVKTQDELECKREDLGQMEAELERHRVKVITEKDHWERTRDHSRELEEEISRKKKELESVIEQIDSKQMLLETQSSELVSKEKEFEALSLDIGLSEQKVMSLNNDMKEICQRVESKGKELEKVQRLIKERNVHCESLKLLIEERSEELGSKVKQHDEITDDIRKLSLEIVFKEKTLKRAEVFIQQLSEKQDSAEEKLDSTRRDLASCIDEHESMERDLISVKDIYRECLEDLEIKEKELKSVESILTERNKEVEEGQKMMQHLSSSIEELMGQLKLKQEEVCSIKKTIKECSRELKAKRKHRDKVQSTLTDLIAELKSTESQLSSVKQKIQDSLKYFQGLELKEKELSAREARIDHKAQQLISTEQKLPKSSKKTEVKAKKQGNTVQQADLVRDANVRDEKTLQLLLRGHLKKRDQLHLDVLSSIKRSSDPAKLVLETVNGLYAAHQRTEATNLDPKSVQRSSICLLECLMDMSPNPTAEVQGEAFKFATEWKNISLVEAENPVEVLEFLNFLAAFSFAYAFDADQVQRLFDVDFLCKYGLSLCKALGVSALAPPVNNNVLSLEDKPEQQPREAPVINSSDPCSLDVQQSIASPHLPDEDSLRDIEDSTSFSPDEVSTKLRMLKYPGRFVLTCLDDALIGARRRGELSLAEPIVKTFIPLLEELARGVVSTDPGLHSYATKVAREWVSMMGASVEKSELEVWAFLQFIVAFGLRIQPDQNLQLASRVTHFKQAPRLFQSLGLSDAIPNFVTQLLDKAIYIPAIRFMLYFNVTNNFSPLEFLKEHIISLRRSAKEKRTTHESQADAATMRDIMELIEDFQLEIDIPVSLILRFMVPRDIQNLPVQSTHAQASDTVFQSSCIATDVEPDQPVDVETYEAGGSTEFQGQSSHQAGSKRPRVVEDPEGSRPVIRPCFNRPP >A04g502440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5858789:5860746:1 gene:A04g502440.1_BraROA transcript:A04g502440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWLESLLKRNPGGVVEETLMAEEKPNLRTIRIHIKILFIFLSLFFFPQKMFGLLKKSKPQQDIYFPFKTVLEKEQMIFGNKKQFASNGFDFVQKQRKQRKRQNMFDDDEKWVKNGDRPFSKAKRSNRDVFDQNELQTYVSLEKMLHKAIHAIRQLKKKGNINTSPAPKQQSNFYSLSNSDLKTNVLSSDKSKAVKPTSKAHSTRCFKCHMIGHYANKCQEQRPLVTLENENVETEPEKEDPLPIFDDFTYEPMEGILKPTKKNHLPFKKRTKLKEGNDLSRFVDQSIGANHHGDQDVLNKLTEVRSSDRTDQIDRAVPHASRLELWLEPRPEDQTDQTTSRLLRPTRHSKTHSRARISLGREETEDGHAFLSGGPSRQSCKRPYLYPVHPSGSDEPEHYLKGHLLVSQFIFVCCLVVSQRTTFFLGWLANALDSFLGVPEVSFAFSDHIQHPAKVILSDLERIR >A01p058280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33181362:33184097:-1 gene:A01p058280.1_BraROA transcript:A01p058280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and MATH domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT3G03740) UniProtKB/Swiss-Prot;Acc:Q9SRV1] MPSPPTTTSLSVTQTINGSHSFTIKGYSLAKGIGIGKHIASDTFTVGGYEWAIYFYPDGKNPEDNSAYVSVFIALASDGTDVRALFELSLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTLLEASDYLKDDCLKINCTVGVVVSEIDCPRLHSIHVPASDIGSHFGMLLENEDGSDITFNVSGEKFRAHRLVLAARSPVFESEFLDVIGEEEERDIEVTDMEPKVFKALLHYIYKDALIEDAESASSSGSSVGPSASDTLAAKLLGAADKYKLPRLSLMCESVLCKDISVDSVANILALADRYNASALKSVCLKFAAENLIAVMRSDGFDYLREHCPSLQSELLKTVAGCEEELSGGGGGGGKTRSVWGQFSDGGADTNERSVRQQHAWGEVNGAERSQSVWVQVVNANASGRNNDDDNNENGDDDDDPMAED >A01p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1069269:1070990:1 gene:A01p002330.1_BraROA transcript:A01p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSEEKTPSKPASSTQDIPPTPYPDWSNSMQAYYGGGGTPNPFFPSPVGSPSPHPYMWGAQHHMMPPYGTPVPYPAMYPPGAVYAHPGMPMPPASAPTNKETVKEQAPGKKSKGSLKRKGEGGEKAPSGSGNDGVSHSDESVTGGSSDENDENPNHQEQGSVGKPSFGQMLADASSQSNTTGEIQGSVPMKPLAPGTNLNMGMDLWSSQAGVPVKDERELKRQKRKQSNRESARRSRLRKQAECEQLQQRVESLTSENQSLRDELQRLSGECEKLKTQNSSIQDELVRVHGPEAVANLEQNDAGSKDGEGTD >A09g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23241858:23242624:1 gene:A09g508180.1_BraROA transcript:A09g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVETRRSKRKENPTEEEAPRVKFSKTGSGENVEKTTTEESETRAVEVVESTAKTTDESTAKMTDVSMEMTHKTDVSTEKTRKDLSENTAKMTEPFNVVAEAAPTTLNKGPGDEENEETGSGDEENEETASGDEVNEKTASGDEVNEGSEEEQEKTDGEKESSNQDHEDSEEEPPYGKNEVNARSEEEQANGEGEEEEEANENGNPPEPQENYWKNCQFWYYFGMR >A01p020170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9911792:9913338:-1 gene:A01p020170.1_BraROA transcript:A01p020170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPEMTVSKTSCAFFTERHGSDGFHYHKVYNDERDIPEADYHGKTQVADLEVVASLKELLQRMKDGVYTARRLVLISCDKRFRDIVDDLRAKEMVVYFIKPECNVLQVEHNQSIYPKESTTTGIYIVAKTGEEKVQEIKRVMNERIHLERQSQGNSMTLEKYCNEKCTVSARSFKRAGSSFQSQEAKVQERKMMGTTPTIIFKTKEIFRVSNKKGSTHSEQDHQWTMQQTDAWEWSLKDIGK >A01p023610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11685896:11687803:1 gene:A01p023610.1_BraROA transcript:A01p023610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESFIYSFVARGTMILAEYTEFTGNFPSIAAQCLQKLPSSSNSKFTYNCDHHTFNFLFEDGFAYCVVAKDALSKQISIAFLERVKADFKKRYGGGKASTAIPKSLNKEFGPVMKEHMKYIVDHAEEIEKLIKVKAQVSEVKSIMLENIDKAIDRGENLTVLTDKTENLRSQAQEYKKQGTQVRRKLWYQNMKIKLVVLGILLLLVLIIWLSVCHGFNCTD >A05g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19014009:19014783:1 gene:A05g506760.1_BraROA transcript:A05g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATQEEDTKHRLGGAHINLKVKGHIQNPLIFTKPEQSILLIALRLQDGNEVFYRIKSSTQLKKLMDAYDF >A08p033050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19926477:19935997:1 gene:A08p033050.1_BraROA transcript:A08p033050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADKKKKDINIFVLSVFAIICFNLYGVNAIKLPQDEVDALQQIATTLGSKYWKFDAESCTVEKVGLTETPPPLAEQVIECECSPTNETDCHVVKIAFKDHNLPGTLPPEITKLPKLREIDFALNYLNGTIPLEWFTTNLTIISLLVNRLSGEIPKELGNLTSLTFLNLESNAFSGTIPQELGNLVNLGTLMLSSNNLTGNLPASLSKLQNMTDFRINDLQLNGTIPTYIQNWKELERLEIVASGLTGPIPSVISVLNNLKNLRISDIGGPVQPFPYLRNSTEISKLVLKNCNLAGQIPDYLSNFKNLETLDLSFNKLPGVIPSFAHAEKLRILTGNRLEGDVPPELLRDGITVDVSYNNLKWQSSESRSCRPNMNLNLNLFQSTSTKESSKVLPCIDDFKCPRCKYTHLLKGLVMIDLFTIASHLKVHTIDIVTYCLIIIVDSSCLHVNCGGSDLTLKQNKTKILYQGDGEAEGGAAKYYLKHNSYWGFSSTGDYMDDNNFQNTRFTVFVPTSNLSDLYKSARIAPVSLTYFHACLENGKYTVNLDFAEMRFTNDETYNRLGRRVFDIYIQEKLVVKDFNIMEEAKGAQKPITKSFTVNVTNHFLAIRLSWAGKGTTRIPTRGVYGPLISAISIVSDSKPCASPGSGMSLGAKIAIGLGSICLIIFILGVLWFFGCLPKCWQRRKDPNEEELPSGTFTLRQIKFATDDFNPANKIGEGGFGPVFKGVLSDGRVVAVKQLSSKSRQGNREFLNEIGAISCLQHPNLVKLHGFCVERSQLLLVYEYMENNSLAQALFSPKHKQIPLDWPTRFKICCGIAKGLAFLHEESPLKFVHRDIKATNILLDKDLTPKISDFGLARLDEEENTHVSTKVAGTIGYMAPEYALWGYLSFKADVYSYGVLVLEIVAGINNSSFMAAGDEVCLLEWAMGCEESGDLMQVVDERLRPEVDKKEAETLIKVALVCTSASASDRPIMSEVVGMLEGHYPVPEPIPGTSRKSGDIRFKAFKDVRKGMEENSSKTQFSLNSYPSSSSDTHVAGQERKQDDFSVSFVAEKMKHHISYPIIFGATGALVTIILLALGIYTRRRCRLDNNTRERDLRAQGLQTLCFTWRQLQAATNDFDQANKLGEGGFGSVFKGELSDGTIIAVKQLSSKSCQGNREFVNEIGMISGLNHPNLVKLYGCCVEKDQLLLVYEYMENNSLALALSGKSSTNGYMAPEYALWGQLTEKADVYSFGVVAMEIALMLQQTGDIMDIVDPVLEGDFNSKEAVRMIKVALVCTHSSPSLRPTMSEAVQMLEGEIEVTQVMSDHGLYGHNWSISKMRDVDTHGSSSTSGVTDHQTASTMKSSVSGSDLYPSYPESVILKSTVELPSSSIESAWGDSYYTWNQETQPK >A01g504400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13518355:13521905:1 gene:A01g504400.1_BraROA transcript:A01g504400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRILRIPIEKPFDEVYFSHRLWMFFRETKVTEDDIRKMFHQVIKNMRHMITLSKKSDPGKFAIPCVVKDIKLNWNSSLLLGRSFLATAGAVCDMNKNKLCLTLIDPNIHYDPIRPKRKIINSHESEYETEYSESIDTHTFPSIDSNESTVTDDRNNTLLYVDQPVDHFALPNHCYPHFAFQPPSKRGRDDYSIGSWADTGFHESFAVDTLITSPNEEHTEEYDEDYWKEYTNTFPTLFDEVHSTSVDIHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYLKRFAPLKPPPHTRADTQAEKDEHSSVYINRKIHEEHSSQQHKAPDGNARAIDGRILQVSIEDIADILQVANGPDNLFSQQRGTPYVIQTDPNKHVGVAATKINPDLSCQPKGQASIDGTAQTSIDMITPTSTDKDDLTSIDKRYEFGYRAFDMYGARKFTWEQRDEYGVYRYECGHARGVAGEMIHVTKDDIRKLLERTSLFEESHICLPEQATSFTFTRLAPELYTKDEINEMVIGICGAQENLGEELKSLVEDTHQPLDRGYNKLFRSMVEMRTKIESLRQQLEKEATTSASIDAPHAPSIDVSLLTAQIPAEPQYSAEHKDEWEVSYINTRINDVYYPLNNNVEWLNTKIELLQQDLDTIRKKDQQPATSIDVCTITSLDAKISTMNDRLQTYEDMHDRFISPANSFSIDRLRGPWIDGKNLVELLPYTAAEVDKITSKIYTAIATMEERLDKRCDDINFPFDNRIGGLDSHAEWLHKEVKAIKRQLAAQHQISESIDRKRAQSLDGKSPRSTDEHNRIDRRRLYTSRRAADTQKDRAGTRSALTTFKIGYRTSPMYLRRWMTNGQEMMRPQEEDLNIDRRRDVDIARHRQTHDDRCNIFTSIDI >A07p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14216324:14217784:-1 gene:A07p024680.1_BraROA transcript:A07p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCLREGPDHAPRFKATVNFNGEIFESPHYCSILRQAEHSAAEVALGALSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPRYTTFRSGLGHQPVFTGTVELAGITFTGDQAKNKKQAEKNAAMAAWSSLKQLAKEASSAMPEGENIDELEQVIIARALINYRIKENIGTGSSSSAPVPFAKRFFMQSPRPTSPQPCRAATSRILPFICPQPSSRSRSVSAASVERAIASALENRNYRPQQRFATPETAAAHPYVPVRHLRSPCHRGMAPPVTMRTSVPVFSAPPPRPPPHSVNTQQQLPSVYVPSMMRAAPVRIAPPVTIRTAAPVFASVRKEEGPLPVRKVNIQNPPKSMTKVDETQVQEKEERTTLVLPDSLEIEEGSAKPISKSAKETERAAVKGEQETARERLENLKI >A09p040550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22842526:22843030:1 gene:A09p040550.1_BraROA transcript:A09p040550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TDSWEGKDSVLQMMKQVASCLLLAKLCYELNPQPQAYLGEDDQLRPSSPLVRLGKLWSPCLSQYLIRTVDV >A10p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10088003:10090300:1 gene:A10p007140.1_BraROA transcript:A10p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPFLPAQTKSQTRLSSDSKKKNGNKKPSTQPPQTQTLKQTQKLKQKTVSSSSSSSWSQIKNLLSCKQIEGPRVHDPSKITLSSCGSSLCKFSDVIYGNARVIHRSDHSPESSNLGQDGGLLIRKPATRGSSSTVRSNGCGAYTSSSKAMHFRKLSGCYECHMIVDPSRYPISPRIFACPQCGEVFPKLETLEIHQAVRHAVSELGQEDSGRNIVDIIFKSSWLRKDGPLYKIERILKVHNTQRTIQRFEDCRDAVKSHAHASTRKEPRSAADGNELLRFHCTTVSCSLGSRGSTSLCSNIPGCRVCTIIRHGFHAKTLRLGSGSNEIKGVRTTASSGRAHDALRCFDQRRAMLVCRVIAGRVRRGQSDAPEDENDSCSYDSVAGAAGIYTNVDDLAVLNPKAILPCFVVIYKVSET >A10p027390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17236338:17242415:-1 gene:A10p027390.1_BraROA transcript:A10p027390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQATTEIPLIPLNMGQKRWMFLLAIFAVLLSLTSGRGVLKLKSDDDRHVYNHTLTLTLVEYASAVYVSDLTELFNWTCERCNGLTKGFEVIEIIFDVEHCLQAYVGVAKDLNAIIIAFRGTQEHSIQNWVSDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTLRPAVLGAVQRAKISYGANINIIVTGHSMGGAMAAFCGLDLVVNEGEENVQVITFGQPRVGNAAFASYYSLLVPNTFRITHEHDMVPHLPPFYHIFPQKTYHHFPTEVWVRDLGFSSLVLASVEKVCDNTGEDPTCSRSVVGNSISDHLKYFGIDLRCETWRQCTIVMSHEMDRFSRKDSKGNLVMSRTLPSTTDMEHKRWVFLLAIFACLLFFSRGRVLKWKTDDDSPVYNHTLALTLVEYTSAVYMSDLTELFTWTCERCNGLTKGFQVIEIIVDIEHCLQGYVGVAKDLNAIVIAFRGTQEHSIQNWVSDLFWKQLDLNYPDMPDAMVHHGFYSAYHNTTVRPAVLDAVKRAKKFYGENIKIMVTGHSMGGAMAAFCGLDLVVNEGYENVQVMTFGQPRIGNAAFASYYSLLVPNTFRITHDRDIVPHLPPFFYLFPQKTYHHFPTEVWVRDLSVLKIVRFGIEKVCDNTGEDPTCCRSVMGSSISDHLTYFGVELMCETWRQCSIVMGHEVESYSRRDSKGNIFLSRTDPSTEGEFGKFRCGGGRRVL >A07p041860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22566189:22568623:1 gene:A07p041860.1_BraROA transcript:A07p041860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLTRLAVPFLVVVLFMDLCVDTGLSHSTPAREDHVHHHHGGGCSHSHDDHHEEEVKMKLPEELAEEEDMRLCGFGPCLHQDHDHDHESSSNLSGFALWVNALGCSLLVSLASLICLILLPVMFVQGKPAKWFVDALALFGAGAMLGDAFLHQLPHAFGGGHSHSHDHHESHDHDHSHSHSDSPSHSHSIQDLSVGLSVLAGIVVFLLVEKLVRYVEENSSGPNTWGHHHHHAGSKKLKDEDDHNNADKQCSSDATENSSEKVSTGSKDKSLRKRKTCASDGVDKSNSGSETISNGKLDKPEQVEKNSSSLVFGYLNLFSDGVHNFTDGMALGSAFLIYGSVGGWSRTMFLLAHELPQEIGDFGILVRSGFTVTEALFFNFLSALVLVWGNEPGQSSLIEGFTAGGFIYIAVAGVLAEMNNNSGKSTVKNSVCHLISLTLGMSVALVISLLE >A02p020990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9902561:9905838:-1 gene:A02p020990.1_BraROA transcript:A02p020990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRSQKRTPSNDTVSNPKIPLYLTAPQMEVRLEEFELFAIDRLRVLKGVSDGLARGRNPKEMDDLVDTLWKEHMRDPDASLMFNKDIISHFVLRLVYCRSDELKKWFLSMETALFRHRFRLQNFEAQRAIVGEFGLPYKAVTGAELEGLKERLGQVVRSLGQISPTVEAIYYKVPFEEVPDLVASRRVLIQKGYAFVAGSQLVSLVVTQFRSHISKALILTNRKWTTTIREREKDRLTPIVEALSTSYLGPDYSQSTEYAEISLKDIDQVAKSSFPLCMRHLFEKVREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWRAEFTKKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYACQKIILSAPGAGDHHGCPYRHFSEDNLRAALGRMGLSSRGMEGVMDQVRNKHYQLACTMTFEAVYGTSCDAGINHPNQYFEESQKILKSKTPPAPV >A02p007140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3012171:3015407:1 gene:A02p007140.1_BraROA transcript:A02p007140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMALRSAKLLLFSPLHDNHWPPLTFIRFVDKGRRTEWSRKRSMATTAKVDTDDLRRFKDVNLDEAPSRRHVRDSLKDTQLNLDHILFKTPGDGIKTKESYEVNSRGVEIFSKSWLPQASSPRALVCFCHGYGDTCTFFFEDPFLAGIARRLALSGYGVFAMDYPGFGLSQGLHGFIPSFDLLVEDVIEHYSNVKDNPEFSSLPSFLFGQSMGGAVSLKIHFKQPDAWTGAVLVAPMCKIADDMVPPPVLKQILIGLANVLPKHKLVPQKDLAEAAFRDVRKRNMTQYNVICYSGKPRLRTAVEMLRTTQEIEQQLEKVSLPILILHGEADTVTDPSVSRELYQKAKSSDKKIVLYKDAFHSLLEGEPDEMILRVFADIISWLDDHSSQAEGSLVTPPIFLAIRYVIGLKMGALDSVKMFSAQNKIKKDKNASPTECEEQVAQALFDLENTNQELKSELKDLYINQAVNMDIAGNRKAIVIYVPFRLRKSFRKIHPRLVRELEKKFSGKDVIFVATRRIMRPPKKGAAVQRPRNRTLTSVHEAMLEDVAYPAEIVGKRTRYRLDGSKIMKVYLEAKERNNTEYKLESMMGVYRKLTGKDVTFEYPVEA >A09g512020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35318354:35320795:-1 gene:A09g512020.1_BraROA transcript:A09g512020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPINKLLRDAAAIKRIFVTEFSSVRSICRGLCATCSWSSDPHTKCIFSKSTLIQATVYKHLIKADKKWGEMARKICLDNVEVKLGELEAELFVIKAINDNLWRPYNELVEFRYPHNCSYTGKSASWFRSQRNRFLEVSWNYPDPAQLDFGSISRMQRAEGDIQDYCKLYLRGSTCEAFSTQEQEEQAKCRMQNRVQIVTLTGYTDRFMFLAVSPEGKTNANGAGDETFRFWNVFQSMKAQALRSVENINPG >A06g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14438028:14443712:1 gene:A06g504770.1_BraROA transcript:A06g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFLALSSLSLFLVRLSPFRVGGRRSELVVKSQCSPVCYGDVSDLTHLRLRLSISGSRTLSGFDVIGGCDLDEEDSLDEEAEESMDKSVMSLYNDYLSDDDDYFQDGVQSFQVGFLSGGEGESAMLLTFSLAGSAEFSGVSCFSSRFDSPVAMALADVVAISDGIHDRPRSTDQYMEPNQPGDQNVLNISTEVHVFHPTGQTDRAVYWTVPHTSGKELWLEPWPDDRSDHTGACLSRPTSHLKTYGRARIHFGRAGRGDTYLGELDELSELSDTTLELDELSELNETSLELNELSNTEDGAGSAAGRNGTLLSFLVRLSRSFDPSFVGPDQTLESVRFYLLTFVLRFFRFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTRDVADPKRQPFQIDVQQICDNLVKGVDKALKDFSKSQKKSTSICAPVAEPSLFISKKAQGESENHFEELKDFSDSLPIFDESDEELIESLMFCEKDCDLPSLETEFINDNEQANVELTVLQPEHPSPIFDEEDEPGPVFDEEATSITSIAIENYLCFDPGTTPAPLPPDLQEHCEEPSSLNSLPDMFVKVSTDDVICFGLDKMKEFFVSKSVFDNMINSLNIFEPDKCFDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRTFDLDLQQTEFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDGILIQKLLEQKSLETENDFRDLEFCGSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLGLLINDSQTELKLVCSDVGKDIPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDAGLLVFILSIQERQVHPLRIESIGRAQQPQIWRSFVVQTCYLGASNRGSVQEEYLNSPKVFCLDSNVNQKPTHQRFTEASNRMKSFMYEEVMNFPNRISQSMISIRYEILKACLFVRI >A04g503490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7582699:7585919:-1 gene:A04g503490.1_BraROA transcript:A04g503490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase 1-like protein 11 [Source:Projected from Arabidopsis thaliana (AT4G14340) UniProtKB/Swiss-Prot;Acc:Q39050] MPLGRDFESEKKMDHVIGGKFKLGRKLGSGSFGELYLGINIQTGEEVAVKLEAVKTRHPQLQYESKIYMHLQGGTGVPHLKWFGVEGDYSCMVIDLLGPSLEDLFNYCTRKFTLKSVLMLADQLICRVEYTHSRGFLHRDIKPDSFLMGLGRKANQVYIIDYGLAKKYKDFQTQKHIPYRENKNLTGTARYASVNTHLGIGKDCFHLITSVAVLSEAFDNSFSSLLTHFVCMLKEQSRRDDLESLGYVLMYFLRGSLPWQGLKGGTKKQKYDKISEKKMLTPVEILCKSHPSEFTSYFHYCRSLRFEDKPDYSYLRRLFRDLFIREGYQLDYVFDWTTLKYPQTGSTSRPRLTPRPALDPDPPGPPAEKSEKTTVGQDLRGRFSGAIEAFTRRNVSSQGAHGDRSRHRSSDDVPSSSKEVHESDRNPSSKRGVMSPGSSAEPSENRSSRLFSSGSRLATTQQNYESKPSSASGRPGHDDVIRSFELLTIAKNRK >A01g510240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28081080:28085495:1 gene:A01g510240.1_BraROA transcript:A01g510240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIRFRLRPIASNRIDRTIRLCDRKRLIGVQVEDLASSRILICRSKISPPLGFLFADEQSSISLYANLVMILDAISAVLFTSLLLRFLIVEDLCKPKMSINLQTNSSDYVEDFPELAEILQRSRTEWLRSADIDEILRSRPSISLMPPALHATGLFLCDQNAAASDEHLREWETTRLMTTKETVPLYYKSCTLPLNENSVYEKRIYRPYEDLHGAVFVHLRIIDLPQEATSGEDTDHSTASVDYRDVAGHESGGEGDPFSSLNANDGGVKSENDTERKGVDLVLPDASLLSKEIGDSRLAKILSRGGSCEDVVEAIHDELTKIKEEMRNGQVALEKYVITKALTKSIEAYPDSKSQPHVQVALRMRQRGYEEGFNAEDTVPYIICFEQGNTGSASSAGIAERARHPDEVKEDDSRWLVDIDYYLAQQIHPVVSRLCAEIEGTSPERLAECLGLDPSKYGSRSNDARDSDPYTLLGTSDEERYEGCEPLALTCPSCSAVFNCPSITSSVCALISKNTQTEESDSTFWLNPRCPKCERGRLTAAMIANQVKRQLDGFVGKFYKNIMMCDDCQHRTRIPSFHVVDGRERGTVCPTYPRCNGTLVRKYTAADWYNQVSYFCYILDTRSRTLEKKKQMDAGVRVQVDGALAKIEPVAEKAEAIARGFRDRSEFGYLNLQDIAAEGIAVRRTFASLKNYQVNGNKEMMAIRFMNMAGSCTSCYVTTVLATLLFLMPLFYYTPDLILAAIIFSTSL >A01p021910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10868337:10870024:1 gene:A01p021910.1_BraROA transcript:A01p021910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKYWRTKKGSGQLVLAGVNKITVEAAAWMTSEAMSIIVDVNRRIKKAAQDGDIERLYKLVAEDPYILKHFDRVPFCETPLHTAEEKGQTHFPMELMTLKPSLALKLNASGFSPMQLSLRNNHIPMVRGFIAMDIGLVSIKGRGRITPLHHVARLGDAELLGELLCSCPSSIDDLTIKCETAVHIAVKNHRFCWDGLREPTGRRSWTGRMKMVMKLLRGSVKAKAKNLDGKTAMDILQIHQSPLFSPEATSSLFNNARERLVSTTITTLAKYLSKKPSFIEKWNNAFGLTNLRKTRNTSLNSNDSRSVILVVAILIVRIRSAVIGTTTWQGR >A10g500600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2041727:2044032:1 gene:A10g500600.1_BraROA transcript:A10g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELTLFGNTSSRMSMQIHHRVSSVVYQRTLRHIMYLRTQPEVSYLRSIHRDQASAKMPFSADCFLIFSSHLLIQPRKIRKLSLRNQDPVKYSTESQPEKSDPLPDVVQLISVQYVTERPVLHGAGVATIERVLVYFQPKEGHVMNVLWDQATNKFTENSTVDSLIETGDSEHNFKKAIMEQGRGQERHETFRGLEKKLLHTVGYNKNVESEGIKQDGELMNASEIGATNGKKVEEFQKSFFAHRVNISI >A10p021470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14418536:14425453:1 gene:A10p021470.1_BraROA transcript:A10p021470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGAGNRSVSKLVITICVAAILFLPSLSYGAPRGKSSVFSLFNLKEKSRFWSESVFRSEFDDLESSVHSNSGVVNYTKAGTIASYLELMEVDAVYLPVPVNFIFIGFDGKGNQDFKLLPEELERWFSKLDHVFEHTRVPHTKEVPNPFYKTNIEKELRHHLPIISRLNYNFSVHAIQMGEKVTQVIERAINVLARKDDVSRDEENGLRQVDVEMMEFIFSSLVEYFHLGDAYNVFVLNPKRDLKKGIYGYRRGFSDSEISYLKENKDVIKKLLQSGKPSETILAFDMVRKPLYQKHPMLKFSWTNAEETDTAEWYNACQDALNKLEQLSHGKDAAELIQSKVLQLLHGKNEDMKIFLEKGLKAGDISNLNAECLTDTWIGKGRWAFIDLTAGPFSWGPSVGGEGVRTELSLPNVGKTIGAVAEISEDEAEERLQVAIQDKFSVFGEKDHQAVDILLAEIDVYELFAFKHCKGRKVKLALCEELDERMRDLKTELQSLEGDAHDEVHQRKAMEALKRMESWNLFSDEHEEFQNYTVARDTFLAHLGATLWGSMRHIISPSVADGAFHHYEKISFQLVFITQEKVRQIKQLPVDLKALMDGLSSLLLPSQKPMFSQHMLTLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTVRSYLDSSILQYQLQRLNDHTSLKGGHAHSRSTLEIPIFWLINGDPLLIDKHYQAKALSNMVVVVQSEASSWESHLQCNGRSLLWDLRSPVKAAMASVAEHLAGMLPLQLVYSVAHESAIEDWTWSVGCNPFSTTSQGWQISQFQSDTIARSYMITALEESIQAVNSGIHLLRLERTSILWIFFLYACGCVEKQDEKSFKIFKSRERELMNKYKYVISLWRRLSNIAGETRYGDAMRFLYTLEEATSGFVREVNATVEVLHPIHCSKERKVKVEMDMTTVPAMLVVLVLLYAVFKPRAPKPKIN >A05p050660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29451856:29460046:-1 gene:A05p050660.1_BraROA transcript:A05p050660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSLLIVLAVACFVSLISPAVSQTCSTQNILTTQKTPFQTCLDLPVLDSYLHYTYNETNSSLSIAFVATPARPSGWVAWAINPTGTGMAGSQAFVALRSGPGVAPVVKTYNISSYSTLVQGKLAFDFWDLRTEALTGNRVVIHTSVKVPAGEDSVNQVWQIGGNVTNGRIGIHPFTPSNLKSTAVLRFSGSDPPASAPGGGASTTPGQAGGPGNAGIYSLRLVIHCLHPLLELRERLQSHSMKSYRSRFQRIHDLCMDIDGFFRADQQKQFPHLQQWLGAQRARFPAAMELDLTPKLPKQVFGGDGGSYFAWCPEELPMLKEGNIGAAKLALKQYGFAVPFPIFTHLAGRTSVWKALSPEVLQDAFKVDPEVEQLFRSKRTSDAIFFPPSKYNLHIIYVKASPVEEEEKYLERRAYDLRESDTDLMGKGGDEDKQSNGSEPLKLDTFPVWARDVRECEEHFGVSVERGLSSSEVLTRHQIYGLNELEKPEGTSLLKLILEQFNDTLVRILLAAAVVSFVLAFVDGDEGGEMGITAFVEPLVIFLILIVNAIVGIWQETNAEKALEALKEIQSQQATVTRDGVKVSCLPAKELVPGDVVELRVGDKVPADMRVVGLVSSTLRVEQGSLTGESEAVSKTTKAVEENADIQGKKCMVFAGTTVVNGSCVCLVTHTGMSTEIGRVHSQIQEAAQHEEDTPLKKKLNEFGEALTMIIGLVCALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRIGTLRSFNVEGTSFDPRDGEIEDWPVGRMDTNLQMIAKIAAVCNDAYVEKSENQFVARGMPTEAALKVLVEKMGFPEGANKASSLADGNVLRCCGRWSELEQRIATLEFDRDRKSMGVMVDSSSGTKLLLVKGAVENVLERSTHIQLLDGSTQELDQYSRDLILQSLHDMSMSALRCLGFAYSDVPSDFATYDGSEDHPAHQQLLNPSNYSSIESNLTFVGFVGLRDPPRKEVRQAIADCRTAGIRVMVITGDNKSTAEAICREIGVFEADEDISSRSLTGKEFMDVKDQKNHLRQSGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGISGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGMYVGVATVGVFIIWYTHSSFMGIDLSQDGHSLVSYSQLAHWGQCSSWEGFKVSPFTAGSQTFSFESNPCEYFHQGKIKASTLSLSVLVAIEMFNSLNALSEDGSLVTMPPWVNPWLLLAMAVSFGLHFVILYVPFLAQVFGIVPLSLNEWMLVLAVSLPVILIDEVLKFVGRCTSGYRYSPRTPSEKKKTE >A08p037250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21751115:21752542:-1 gene:A08p037250.1_BraROA transcript:A08p037250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRVFIALSGLATDVQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYLCQPVIAGLGEDDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMEAEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEIKERILKGRMD >A07g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22497001:22498173:1 gene:A07g508120.1_BraROA transcript:A07g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFKYPCVEFRMNAIKHELQGQCMIFLPKLIFSGSSVEAGSGMALWVRVLALIIAATCKQRSNIRDEDVLFGT >A01g505820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17441448:17442435:-1 gene:A01g505820.1_BraROA transcript:A01g505820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRPQYLQEFPICSPSNSMQQQKRLYEEEKLRWWLREAENLRWWLGEEEKLRLWLGEEEKLQLWLGEEEKLRLQRSSSGGGSVKKTRSGGGSVKQRTSGGGSVKKRSYGCGSVKKRSYGCGSRSSSGGGSVKKTRSGGGSVKQRTSGGGLVKNRSYNGGSVKKRRSGGGSLERDSVTRSTDDASPIGHRTAFAGAPVREEHKEVSRCGPNGLSRG >A01p042930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25157018:25157436:1 gene:A01p042930.1_BraROA transcript:A01p042930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSYLIAAMGLQVIWSFGLAILDTFALARKKTLVSPVLISLFVVGDWVTATLSLAGASSSAGITVLYFGDLGKCSFEAECWRYQLSVALAFLSWITIAISSLTTLWLLASG >A04g505170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11263878:11264544:1 gene:A04g505170.1_BraROA transcript:A04g505170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVWEALLCILKGKAAIELWLAAMIPYRLQLPNWFVGAHTMPVLYEKYEDEVGGFVDSLLMKFHSHYKKMDTGFLSRIPSGRMFQTMLAYFLMMAIDFTNQSVS >A02p049110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30483794:30488557:1 gene:A02p049110.1_BraROA transcript:A02p049110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKQILSKLPNKKSSKNEHHHRGGREHGGHSSSSSSSHASTSKSSDNGVGKTKHSQTKERSAASSDVNPKSIVNNSNGVFTPYEALPSFKDVPNTEKQTLFIKKLNLCRVVFDFTDPTKNIKEKDIKRQTLLELVDYVNSANGKFSEGSVQEVVRMVSANIFRTLNPQPRENKVIDALDLEEEEPSMDLAWPHLQLVYELFLRFVASPETDTKLAKRYIDQSFVLRLLDLFDSEDPRERDCLKTILHRIYGKFMVHRPFIRKSINNIFYRFVFETEKHNGIAEFLEILGSIINGFALPLKEEHKVFLVRVLIPLHKPKCLQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRQIARCLNSLHFQSDLSLLNFDSIDRKMFKQILGKLPKKPSSAAKFWDSNESQPPDSNNQGEEVLTQRSSSDGDSVSIEVLPRLRDVPISEKQELFLKKLRLCSVVFDFVSEPQLNLKEKEIKRQTLLEVVDYVISSGNAKFPEQVIQEATKMVSANLFSSNTHQQCKNKTPEGLDLEEEQEGGSLNPSWPHLQIVYEFLLRLVASPNTDAKISKKYIDPTFVLKLLDLFDSEDHREREYLKTILHRIYGRFMVHRPFIRKTMNNILYDFILETGKHSGIAEFLEVLGSIINGFALPLKEEHKLFLTRVLVPLHKLKCLPNYHQQLSYCVIQFVEKDCKLADTVIRGLLKYWPVTNSSKEIMFLNELEEILESTQGTEFERCMVPLSRQIAQCLSSSHFQVAERALYLWNNDHVSSLVRQNSRIILPIVLPALEKNGSSHWNQAVKNLTDNVLKVLSDTNPELFEECLRKFQEDQQNAEDNKKKNGETWRQLEEIVASKGHTSMEK >A01g511100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30953007:30954265:1 gene:A01g511100.1_BraROA transcript:A01g511100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACIDLSRIPHIPGRLHATNNPYQRYGPKGFMETKILPNDDLYVRVDLPGVPDDAIRLRVDAVRQKVVFFSGEEVLGDGDNAHDVREYSGTAGLGCDCCEITGVDAKMKDGVLRMILTRVKVKDHDNNNNKCTHFLPPNAGKSGRYDVNSLVMVEVEEHPYVVKGRKDTLATNRTSDGCFRFSVDMPGVCSDDVFVIPNQNEIKFYGENKEVYEHDESCRIFLGAISNRQCCSFGIPLLSHDIAWDAEFGVLKVRVSPPPRNNHN >A08p016410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10656441:10660523:1 gene:A08p016410.1_BraROA transcript:A08p016410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREERTPNSSWWEDVQLHHHTDHANSISTSFYHNNNSKASCEGDDISISTVNASNRFDLMAESSNHHSLRAPNQPTSTSDELHRNHVVYSNNHHWGFLRMPGPGRSLGDQMGHHHHNHITSSKNKSSTAPELSFEPVCDNTNGNVGSTTQTKLGTIKVVGCQSRWIS >A08p022320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14787741:14789907:-1 gene:A08p022320.1_BraROA transcript:A08p022320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRCSSLMELPFSIGNLINLENLDITRCSSLVELPFSIGNITTLKKLELHGCSSLVELPFYIGNMANLKKLELIGCSSLVELPFSIGNMTNLEKLKLDRCSSLMELSSSVGNMANLKDLDMMRCSSIVKLPSSIGNMTNLEDLNLEGCSSLVELPSSIGNMTNLETLILQKCLSLAELPPSIGNMTNLKIMDLKRCSSLVKLPSSIGDMTNVEKLNLDRCSSLVELPFSIGNITSLPMLSLQSCSSLVELPSSIGNMTNLKELQLYNCSRLVELPCSIGNITNLKNLSMGSCSSLVELPYYIGNLTNLEILHLDDCSSLVELPCSIGNMTNIKNLSLSCCSSLVELPSSIGNMTNIKNLCLINCSSFVELTSSIGNMTNLVSLQLFYCSSFIKLPSSIGNLHNLKSLRLNGCSKLKALPVNINMKSLDDLHLGDCWLLKSFPEISTNIRVLKLNGTAIEEIPQSIRSWSRLERLHMSYSEDLGKSQHAFDLITELHLSDKGIQEIAPWVKEMSRLERLVVKGCTKLVSLPHTKLTELSFVNCFKLNQEARDLIVKTSTEEYAVFPGETVPAYYFSYRATGSSVSMKLNGFDTHFPTSLGFKACLLLVTKPDDVDADDGWDPYIYYCIKDKLMVSRLTRDVVLCAGNCLHNQSIWSFTCENWEIKECGLRPPESLAPSC >A06p047420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25182313:25184119:-1 gene:A06p047420.1_BraROA transcript:A06p047420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVKPQKKQAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKFNTPKYRYVVRFTNKDIVAQIVSATITGDIVLASAYAHELPRYGLKAGLTNYAAAYCTSLLLARRVLQMLEMDAEYEGNAEIRLILNKYDNESVGALDGGLDIPHSDKRFAGFNKEGKNLDAEVHRKYIYGGHVADYMKLLMEDEPEKYQSHFSNYLKKDLAPENMEEMYKNVHAAIRADPSIKKSDKEAPKEHKRYNPKKLTYDERKASLIQRVKALNSAIGGDDDDEDEDDE >A01p056680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32166304:32176036:-1 gene:A01p056680.1_BraROA transcript:A01p056680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQDQAAQQPEIAIIVGGSSSSSPPQKLLMSESIQRTSMITNVTNPMELGKETRPTATGMPKANGGSLLDSSDDVEEGGVGDVNEEQEGKCEESDASSREEGQEWEVDSFDDGFDYRPRRNLDPNDEIGQKMHRYRSSMYKSKGFDVDIDSYPGSVLYRQLFPIDLDEPFEYSGANGLTGREYMKSMVDLALERYNKIKGLTVTCESIVRSIIDLACGLKCYITFMARESSNGDLVEYQAKTDKRIWQKKTHVIFCRPTPDPKEEPMDGFFVDGEVYPGRLRYFSPVDLDNQLYPTGLTGRQYMENMVDVALNKYNEMKEGSKVTLESVVRANLSKVNGFKSYITFMAREIDNGDLVEYQAKVGIYADTRDGCAAAAAESYKLWLEHENRTDDITIIILQIKNLIGTMTMKQQDQAAQQPEIVIIVGGSSSSSPPQADHQVKEESTEDVSSSLQSGTHLDLSIPSRPKSSLKSTSSFKSVTTSSPRGILRNLSFKKKAVVAHPESERSSLLSPNLMETPKKTIITAGSTTSPYWKRCLSLPTRHASKLSPVPAEPPNLEKGEKEDCILLQKDSTYPSVTRSLSMPGRNIVIVRSISFDNHKAHVSSEANADQTSPVPTEETDEEIPEEEAVCRICLDVLEEGNTLKMECSCKGDLRLVHEACAIKWFSTKGTRTCDVCRQEVQNLPVTLLRVPTTNQTNNRRARGQQNMQTQTASAWQEFVVLVLISSVCYFFFLEQLLIRDLASKAVYIAGPFSLTLGFLASVFASVLAIREYIWTYAALEFALVGILVRLPAIYAILFAGILGFGIAVCLNSLYLYYFAWRVRVAQNSNPV >A06g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25825551:25826795:1 gene:A06g509080.1_BraROA transcript:A06g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGFNLATRLLRVTPSDTGSRQVSHSHWRRGVDVTRWKAERESFLSFSRKKLGFSPPAISRATPISLHFSLLFSIDSTADFLLHFVFQMDPLEEIRDNKRQLEFLNHLGDVADSEYGMPRRCACGGRMIDELRVKDEFDTQPGKRFFSCVNYEADGLHYRQPWVIGVQEEMVRLRKRVEEADEVIKGVPILNKQIESVEAQVKRLTLLLDKLTGDVYNLTVQVAALE >A01p005060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2171875:2178600:1 gene:A01p005060.1_BraROA transcript:A01p005060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPD1 [Source:Projected from Arabidopsis thaliana (AT4G33495) UniProtKB/TrEMBL;Acc:A0A178V474] MLVLMADPLMVLSLQPPPFLIFSSSSLNRRWGLSRSGIRFSVPEFRLSSQLQLSNSTSPSQSSSSSTTAPDKLDLVSSTQLKDGSHVFRFGDASEVERNLESEEKARCFELEKKQHAKIAEEGVKDGHALVDLDPVSELESPKEKSAVKKKTVKSSTETVSEKEEKAVHASLSSVIKIKDRKRVRSPVKKKKETSSTVDVLGSGDEVEAKVANSIVSVAEAIPTSSTEEKTNENVEPLSSEVMEKVSVNEIRDCETNGYNQITESRVEEQAGPGSSSSQHGSQLNDLKEVIKVSTVELDENLEEMENLMKTFEAEENLVVEPTATVELDVSPDEPVVVSPEENLVVELDVSPDELVVVSPEEKLVVEPTATVELDLSPDELVVVPPEEKLVVEPTAIVELDVSPDELFVVSPEEKLVVEPTATVAVTPDELAAVSPDELVSTSEATNHSVEETAETPVVDTSEVENEGENVASTIEDEVSVIDTKNDNGSISKTVNDTNDEDLQLPEPETAGLQPIEVASDREEIVSKAFYLESGSASLQNPNKALAGREDAYFIFENNWLGVADGVSQWSFEGISEGLYAQELMRNCQKIISDETAEIFDDPVQVLHRSVNETKSSGSSTALIAHLTNNELHIANIGDSGFMVVRNRTVLQKSSPMFHHFCFPLHITRGDDILKLAEVYHVNLEEGDVVITASDGLFDNLYEKEIVSIVCRLLEQGLEPQQRIAELLAAKAQEVGRSETERTPFADAAKEEGHDGYRGGKLDAVTVIVSLPFRQDRKMKSLLRNATTLNPNTKLTTTKLLSLIHQTLTKPFSQSTTIPTKQDRVRDHAYDNYMEVEKKIRKVVKFHSLILSQPQHTIPISLLDTLARRLGLGFKQHEPGAFLLKFPHVFEVYEHPVQRILYCRLTRKALDQIRDEQEAVVAQIPDAVTRLRKLIMMSNTGRIRLEHVRIARSEFGLPEDFEYSVILKHPEFFRLVDGDETRDKYIEIVDREESLSRCAIERVREFEYRTKGIDAEDVRFSFLVNFPPGFKISKYFRIAVWKWQRLPYWSPYEDISGYDLRSLEAQKRLEKRAVACIHELLSLTVEKKITLERIAHFRNVMCLPKRLKEFLLQHQGIFYISTRGNYGKLHTVFLREAYKRGELVEPNEVYLARRKLAELVMMSPRKAKVDGELVRYRNGFDDDEDDSE >A07p051020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27121724:27123372:-1 gene:A07p051020.1_BraROA transcript:A07p051020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLIVALAIATVSVVTAQVPPEKQFRVVNNDEFGDYITEYDASYRFITSPNASFFTRPFQLLFYNTTPSAYVLALRVGLRSEESLMRWIWDANRNNPVGENATLSLGRNGNLVLAESNGQVKWQTNTANKGVTGFEILPNGNIVLHDKNGKFVWQSFDHPTDTLLTGQSLKVNGVNKLVSRKSDMDGSDGPYSMVLDNKGLTMYVNKTGQPLVYGGWPNHDFRGTVTFAVRREFDNLTEPSAYELLLEPAPQPTTTTNPGNNRRLLQSRPIGSGDGTLNLNKINYNGTISYLRLGSDGSLKAFSWFDPATYLTWEESFSFFSTYFVRQCGLPSFCGDYGYCDRGMCVACPTPKGLLGWSDQCSAPKTTQFCNGGKGKAVNYYKIVGVEHFTGPYVNDGQGPTSVSDCKAKCDRDCKCLGYFYKEKDKKCLLAPLLGTLIKDANTSSVAYIKY >A05p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11246322:11248981:-1 gene:A05p023240.1_BraROA transcript:A05p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYFEEESSYESSQGSDLDETDQAWSNEEDGCDGSCSDDNYSMSEYGDDPAEAYPEPEPPDYSHGDTSYQGEYEGETESNISFNKGDECQGEETERDDPETDQEGSWQEEADSEISLEEANEHEENFSKTEEVYEDVDGGEASFQSVKEEVGDESHAEGIPWCEVPYSDQEDEYQDETGLQTSVGNSEENYGGKPDSQQDIAEEEEALSEAGRNDDQPGYVIFAGHHQGPEAYLCWEKDMEHWFDSNQVNEEDKTAIAEDTLTEDAFRKWEQDAYWRLAYDEPEATWQEMKELLYEEYVKGAGDELLNQIRVYTNLEPRRLILAKRPNRKAKLKNAHDLKLYKENPLKLVDVSVQAHEEVQNSLNKLVCSVYDSSKATMICLSSPKRCDTGTSFRKGPMKQKKVILKRDDKAPPKEPSLLKHLSGKDGTTTSSILLQEEPQTSHQTDRPCHWMHQSSFQIRATRDQGSFKEVHLNHQKEFWHETNFTRRPAQPFITAAWNYKKRFTEEEVMNFTSWRFPSPSVCEYRPLKEKLSQTKKRPGPK >A01p009100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4364452:4366116:1 gene:A01p009100.1_BraROA transcript:A01p009100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSAAEKPWGRLEAWREIGAVDGLGDKFELVTDNSTSTGVPIAEGTMSTKQGGKLIIDRRVSGQGESPARSSPVKGFVMGSSVEGEGKVSKPVVHVGAQHVICMADAALFVALSAAVDLSVDACQLFSRKLRKELCHDDQSSLS >A01p056190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33027573:33030401:1 gene:A01p056190.1_BraROA transcript:A01p056190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSTKSKSKRVPLKQKHKVIRKVKEHHKKKAKEAKKLGLNRKPRAEKDPGIPNDWPFKEQELKALEARRARALEEIELKKVARKEKAKKRKLGLVIDEDTKTEEGYGEGKKGDDSTRLVNVRDNSESAFKKELKKVIELSDVILEVLDARDPLGTRCNSMAADAGPNKHHVLVLNKIDLVPREAAEKWLKYLREESPAVAFKCSTQEQRSNVGWKSSKASSKPSSLQTSDCLGADTLIKLLKNYSRSHELKKSITVGIIGLPNVGKSSLINSLKRAHVVNVGATPGLTRSLQEVHLEKNVKLLDCPGVVLLKESSANDASIALRNCKKIEKLEDPVSPVKEILKLCSTQKLVTLYKIPSFEGVDDFLYKVATVRGKLKKGGLVDIEAAARIVLRDWNEGKIPFYTMPPKREQGEHAESKIVPELAKEFNIDEVYSGESSFIGSLKTVNEFNPVEIPSNAPLSFDETMFEDEAKTQTEEQEAGVESGGDDESMGGEEEEEETGKSKSETSRQNRKLYAADSMLNTKVQKAEKSKMKKAKKASGGEDPMDGDYDFKVDYAKNKGTDVDEGDGVQVEAKVPMAELLDLPEE >SC163g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:204385:206208:-1 gene:SC163g500160.1_BraROA transcript:SC163g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGESMIAKTIETSPCVTDIARMDRVKCNEQARHENQHESSPAPVGANQSPHLDREGGRESETQEDGQDGAGLSEEEEESVSVSHNQGEQSQEDEEHQSGVQEPSTQDE >A07g505090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11019577:11027996:1 gene:A07g505090.1_BraROA transcript:A07g505090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLVYELPKELLQQQRKLRRKWPFIQRHCCLLASLPLAFGSQSLSKLELCLNISGRCGINSLDESVREGEVVHDQQEQAYTQSFNCEGGKLSKLELAVPLEAVSPPEPPPETLSPPEPPDPPDAPFTLVFLLLLDTSCAFSQPVSKAPDLESCLLNMAFVFCDEVASLVYVGDTSFVFKYWYPADCSVVLCWCDLIHSTRPLSMIVIVSIESTMGWSISITIFVSLPRPFIQVLSERFSKLMLDDELISLVWYFGLSRGPFTAVCSFFTAVCSSIFVILKSFQLWQLNGLMHHISIHCLASSVMEFVPLPISLSTLCGFVAGSVMLKIRDTSNTEVLIKGFIAMLKIVDCALVAASILEIISLIVVSNFQGVVSLYSLMVVENRGLLDFISCLSSENQSFIFLPPLDLSPETTRYVGGGWSMVDGSDETTQIVFGECLARSAWDTDEIAME >A03p033880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14329595:14334225:1 gene:A03p033880.1_BraROA transcript:A03p033880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMDDGAEAPRGIRIRRNSLLSKQLAVAVKSVRWSYAIFWSSSPTQSGVLEWGEGCYNGELKKRKKRYEVHYKHVLQRSNQLRKLYLCMREGDSTNTISTTDDDDDGYHNCNSKTSMLLSPDDLSDEEWYYVVSMSYVFSPSQCLPGRALATGETIWLCNAQYADSKLFSRSLLARTVVCFPYLGGVIELGVTELISEDPSLLQHIKSCLLETSKPDCPSNNFSAHQDNDDDKKNQMKIKISEVNSVLQENQQIQFGISDLMLDEDLHYKRTVSTLLKYAADKSKMKNSHHRQPELVSSNSGSSFLRWKQPNSDLLLKHSDSQNVLRKILHDVPMMHSVDTKRMSTNKMFGLNQDDPSVKRKENEKFSVLRAMVPTVNEVDKEEILNSTIKYLQELEERVEELESCMGSVNFVGKQRKTKKSLNDSVLIEETSGNYDDSTNIDGNSGETDQVTVLRDETHLRVKLKETEVVMEVRCSYRDYIVADIMETLSKLHMDAFSVRSHTLNGFLTLNLKAKLRGAAVASVGMIKRELRRVIGRPVYYVLIQCGTKEYRSKLSKGHNDNALWNQKFVFDFPMSQWKKLTHIKFKTMDKELFKDGGFVGETIIDLKGIITEGGDRGYMEVKPAPYNVVLDDDTFKGVLKLGFRFTAADKLRRKAWEQKIEGKNSEEAMNSTTLTLMKVPLLRFTAAWASMQGLIISSMHNFFFVSSTISNVLFVARVSQSILSWYRDGQ >A01p010890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5318553:5320764:-1 gene:A01p010890.1_BraROA transcript:A01p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVMEYEKIAKEKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILKDVSEIDVSTRVLGFNISMPIMVAPTAMQKMAHPDGELATARATSAAGTIMTLSTCATCSVEEVASTGPGIRFFQLYVYKDRNVVRQLVKRAEEAGFTAIALTVDTPRFGRREADIKNRFTLPRDLTLKNFEGLDLGEIDKTNDSWLASYAASQVDQSLSWKDIKWLQSITSLPILLKGVLTAEDARVAVEYGAAGIIVSNHGARQLDYVPPTIMALEEVVEAVEGRIPVFLDGGVRRGTDVFKALALGASGVFVGRPTLFSLAADGEAGVRKMLQMLRDELELTMALSGCSSLREISRNHIKTDWDFPHYLPAKL >A09p006310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3323975:3324865:1 gene:A09p006310.1_BraROA transcript:A09p006310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] MRRQPRQRHSPPQSHSSPSSSSSEFEFNISISPRKASSSLCPADELFYKGQLLPLQLSPRLSLVRTLCSSSSSSDYTSSSSSAARDSTESSSSTDSSTSSFPLLHPPPLDCSDSSRPNSVTDEEDVFFKQTKKSGFSLSRLSSVFKKDTKAVFAAAPPSSVKRMSSTAKEVIRKYMKKVKPLYDKLSQKQSTTVTAFKTESSVLKTESSFSGNLMKCTKRGRCAASCPSSMRSSPTHSGVLTRGGFPGQRGGSCTSSSSNNSVSSSMEELQSAIQGAIAHCKNSMLQKSLVSSHEI >A04g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21820382:21820881:1 gene:A04g508390.1_BraROA transcript:A04g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKEEIMAGKHGRKKSTQKKSENSTRARENVAPVVEEHVDEQSDRNNSDDLSPDLCEPSEEIKGRKRKNPSSISGGVSTRTRARKAVSDENEPVGEDVVHEESTRVREKTAVSLSLDSESEDMSAVSSK >A03p021090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8712667:8714319:1 gene:A03p021090.1_BraROA transcript:A03p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARINRSNKPKPEANRSAKDATENHQRPRRFAWNPRVILAFDIITQEFREVPFPDEGYPNSVVGSLNGRLCVFNTSYDLRDDIWVMNEHGVASSWTTIRISLLYRLMKPVCSTKNSEEVLLALDKYMVLYNFETHAWRTLELHGVDLTQGFDVNTYVESLVSPNSYDNNTHEGVEREKEKLRDFRPTARFSEPPSVRLWSFGDAPLYGGRPTYFSEGVAFSTPSSPALGTGRRWLFQHRHRQLLLPGSGGFRSIAGAIYAFGGFEYLEGLVEWFSSGFDFAVDGGR >A08p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1368083:1369041:-1 gene:A08p002400.1_BraROA transcript:A08p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRGQDHGLFANIEYRMIIDEGLEEPPSCPDLVRKTHTHADGSFIDKSAEALVMETEHVVEEMMTQDGSPVGESQPCSTSGTITSKCLLLNQEYIKRGQTRKGEIFYGLGSVQFKTTTTSQFVPALPKRSLDMEMRMCGIETTTDEVKADLNAFKILQPQASVPPASTTQATQPHPDRQAQAPSPAHVSNSRSITMSTSHNQQPI >A08p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20710959:20723516:1 gene:A08p035010.1_BraROA transcript:A08p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G24706) UniProtKB/Swiss-Prot;Acc:F4IAT2] MSLPLLECKYVTEEFVREGKSGSYGSKLPSSVPMLRFLYELCWVLVRGELPIQSCKAVLEKVKFSDDPSKEELASCFADVVTQIAQDLTMSGDHRSRLTKLAKWLVESQTVPQRIFQERCEEEFLWEAEMVKIKAQDLKGKEVRLNTRLLYQQTKFNLLREESEGYAKLITLLCRGSAGSSHNASAATMGIIKSLIGHFDLDPNRVFDIVLDCFELEQDYDTFLNLIPIFPKSHASQILGFKFQYYQRLEVNSPVPSGLYKLTALLVKKNFISLESIYAHLLPKDEEVFEDYNTYSAKRFEEANNIGKINLAATGKDLMEDEKQGDFKVDLYAALDMETEAVAERIPELENNQTLGLLDGFLSVDDWKHANIMFERLAPLNPVAHNHICDGLFRLIEKRITHAYRIARQTRFQNSSSAGSEKIAHAANTSASKTCPDLKEVFQILVTVGPYLYRNTQLLQKICRLLRVYYLSALDLVRSSDGSSNQEGSADEIKHLKEARFRVEEALGTCLLPSLQLIPANPAVGHEIWEVMSLLPYEARYRLYGEWEKDDERNPLLLAARQVAKLDTRWILKRLAKENLKPLGRMVAKLAHANPMTVLRTIVTQIEAYRDMIAPVVDAFKYLTQLEYDILEYVVIERLVQGGRAKLKDDGINLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKRGQGIELVLLQELIQQMANVQYTENLTEDQLDAMAGSETLRYHATSFGMTRNNKALVKSSNRLRDSLLPNDEPKLAIPLLLLIAQHRSLVVVNADAPYIKMVTEQFDRCHGILLQYVDFLSSAVTPATAYAQLVPSLEELVHTYHLEPEVAFLVFRPVMRLFKCRRNGDVSWPLDSGESIDADSDVSKSESSMILDVGTSEKAVTWSDVLDTVRTMLPSKAWNSLSPDLYATFWGLTLYDLHVPRSRYESEISKQHAALKTLEEVADNSSSAITKRKKEKERIQESLDRLTGELKKHEEHVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMADSVYCAMFVHMLHSLGTPYFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLFETLKIAYHWKSAESIYESECGNMPGFAVYYRYPNSQRVTFGQFVKVHWKWCAKITKLLIQCLESNEYMEIRNALIMLTKISGVFPVAKIKNDEREDLKVLATGVAAALSARKSSWVTDEEFGMGYLELKAPPVHTPKHTPSQNGLVGGESTGGRSTANQQPESGGKDQLSKTKIPDGRTENMPPSKSDQGHPKSKGGNPSDAQPSMSKKPVDQKETDESPRISDENPVKAGSKYSEAELKPSSKRGASATSTNKSTKQDFGKDDGKSGKAAGRTSAADNDVIYLGSRQASLTKASPSTAGNGSLATGSSKVKDDGAEATDTQKQSTRTAHSPRHEISTSSRSSDRLQKRANAVEDSDRTSKRRKADSEHKEHDSEARPGDRDRSAEARVDLNKTPSDDQSTQRDQDRAKDKGNERQDRDHRERVERSDKTRADDAVKARDKSMERYGRERSVERGLDKGTTRSYDRNKDERSKDDRSKLRHSEASLEKAHGDDRSLSQGLPPPPPLPPNIVPHSMASKEEPERRAGVTRHSQRLSPRHDERERRRSEENTSVSVEESKRRRDDDFRDRKRDDRETILLKGEEREREREREREKSIPLKDDFEASKRRKIKRDQQVSSAEPGEYSPMPHQSSLSMGLGPSSYEGREQRKSSSSMIQHGGYPEEPSIRLLGKEASSKMARRDPDPMYDREWEEDKRQRAERKRRDRK >A03p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20991998:20993193:1 gene:A03p049080.1_BraROA transcript:A03p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit c1 [Source:Projected from Arabidopsis thaliana (AT2G16510) UniProtKB/Swiss-Prot;Acc:P0DH92] MKRVSNSNIQQTRSSSSTHLKIQKKKNLLNPNLSLSLPSLGSEKMSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >A02p031030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16034116:16039439:1 gene:A02p031030.1_BraROA transcript:A02p031030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVVVVRRETNGDDVEGREALFAQLKALSLELLSLSQTPQKDPAMIPELLSLLRRAPPSSLQSFFHYTLFPLLLLLDAAVACRSEGGGVVVPYRVSDKVAEGVVSCLEELLKKCHVGSVDQMFVIMKKLTSGAVLTPSEASEEFREGIIRCFRAMISGLVPCSDDSCGCKGTLGWPQLSDRGDCQTRKVSEFGLETTGECLVAFLQSHSALAAVGHWLSILLKVADAEASRGHRGSAHLRVQAFMTLRILVAKIGTADVLAFFLPGVVSQMAKVLHVSRAMISGAAGSVDALDQAIRCLAEFLMIVLDDEANSSALGIYDDDTKLQKHESAHSVLDELCSLTTKSQGQRTETTSQEIIKINNGQEKSSQKLSGDSFRVERTKEWLENTTSHVNKLLCETFPHILIHPAGKIRWGFLAAIRGLLSKTPRSLKGARLVMLECVCTLVVDDSDEVSVAAQETLDHLFSERTKYQVESDISKIFTRLLERLPKVVLGNEELPALSVLKQLLVVIYYSGPQFLADHLQSPITASRFLDTFALCLSHNSAYTGSLEKLIADRPTSSTGYLPSITELKVTSRSHAESDQGKLETSSFVLPRMPPWFSYVGSQKLYEILAGILRLVGLSLMAGPVNDGSLAVILDIPLGFFRRLVSEVRVKEYNGEDWETWCNRTGSGQLVRQAATAACILNEMIFGLSEQATDALSRLLRKKSRKGRDKKLSWEVSWNKRAKTHLIDCVGKILHEYQSSQVWDLPVNQTDTTDVEHISLHFLRDTAMLHQVIIEGVGVFSLCLGEDFASSGFLHSSLYLLLESLTCSSFQVRNASDAVLRLLATTSDHPTVRVYDDVGHLVVANADYVVDSICRQLRHLDLNPHVPSVLAAMLSYIGVAHEILPLLEEPMRLVSQELEIVGRQHHPNLTLPFLKAVVEIVKASKSEACLLPDQAKSYSDQVKTKASDAITSAQEKGSNSEDKFNEEEWEHILLELNRSKRYRRTVGSIASSCLVAATPLLASSNQVSCLVALDIIEEGIVTLAKVEEAYRAETETKETMEEVIEFASLYQLKDYMNATDDGADENRLLPAINKIWPFFLACIRNRNPVAVRRCLNVITRVIQTSGGDFFSRRFRNDGQDFWKLLTTSPFHVMTPKHLREENKSVLRLPYRTISESASSSSIAEVSSLKVQAALLNMIAELSRDKRCASALDAVLKKVAGLVVGIACSGVTGLREAALNALRGLACVDSDLIWILLADVYYSLKKKRDLPLPPSPEFPDISTVLPPPPEDSPGRFLYVEYGGRSYGFESEFSSVENIFKKMQSLVFADQMRCS >A04p025040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15157720:15158723:1 gene:A04p025040.1_BraROA transcript:A04p025040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCWKGSSVRRKEKTGLLPVYLNVYDLTPMNAYGYWLGLGVFHSGVEVHGVEYAFGAHEAPSTGIFEVEPKKCPGFTFRKSILVGKTDMAAKEVRVFMEKLAEEYRGNKYNLISRNCNHFCNEVCVKLTQKPIPRWVNRLARLGVLCNCVLPPRFNETKVRRVVKGELSEEERKKRRNRSRSGPLLSTSSSSSTPDSHGSHIRAKSTGNNPTSSTSSSTSGSKKSKKQCAPPTAPRAPDQKSPSVSVKT >A03p065510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28931012:28932617:1 gene:A03p065510.1_BraROA transcript:A03p065510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAIHNGWRASVASESPRKILPLRRSYLNAIPRERVLLGSHVRRAMVISSSKKANLTASRKQRINLQSNGEKELTFSEFLKHPSGMEAVINAKALQSYQLVDDDENTYRCTLPTIQLMSFEVSPVLVLRVIPTQEDCTVELLSCKLEGSELLENQSEMFSAIMTNCMTWNMEDPEPFLEVDVSLKVTLEISTRPFTMLPVSAVESPGNLVMQTLVDTLVPLLLQQLLKDYDEWIQKQQQNLLT >A02p030280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15414163:15415745:1 gene:A02p030280.1_BraROA transcript:A02p030280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g78130 [Source:Projected from Arabidopsis thaliana (AT1G78130) UniProtKB/TrEMBL;Acc:Q9C9R9] MKAETVTLILVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLLRSMVQAVCYPLAAYMSMRHNRAHVIALGAFLWSAATFLVAFSSTFFQVAVSRALNGIGLALVAPAIQSLVADSTDDANRGSAFGWLQLTANLGSILGGLCSVLIAPLTFMGIPGWRVAFHIVGLISVIVGVLVRLFANDPHFVKDGVIVHRVSSKPFSSEVKDLVRESGTVVKIPSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHGKTAVLMGLFVAASSLGGLFGGKMGDYLSTRLPNSGRIILAQISSASAIPLAAILLLFLPDDPSTTAIHGLVLVLLGLFVSWNAPATNNPIFAEIVPEKSRTSVYALDKSFESILSSFAPPVVGILAQHVYGYKPIPQGSSRSAEIATDRENAASLAKALYTSIGIPMAACCFIYSFLYRTYPLDRERARMEAFIDSEMRELLPENSNIDIQFSQES >A08p043730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24286965:24297367:-1 gene:A08p043730.1_BraROA transcript:A08p043730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLNGWVGQQWRSRKSSKLLFSSSPAAKLPSLFLFTPNRHQRCSSAIGSKQPLLPSRSSPSSVTSPAPVSATNRSDPEKKHQIRAATLLSLGSRRQLERFIRRQYCWVDLPMLSLEDLESNHGTPVLTCWYRGWRNEPNASSRRSWFPESPGFEIPKELDPVVGRKDHLGMLANGTPVNIIVGSHVWVEDSDVAWIDGEVEKLTGQEVITAKLSKIYPKDVEAPAGGVDDMTKLSYLHEPGVLQNLKIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELNPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAVTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSRTEQEIEKYKLGHPKTFHYLNQSKCYELVGISDAHDYLATRRAMDIVGISEKEQEAIFRVVAAILHIGNIEFTKGKEVDSSVPKDDKAKFHLKTAAELLMCDLKALEDALCKRVMVTPEEVIKRSLDPESAVTSRDGLAKTVYSRLFDWLVNKINNSIGQDANSKCLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEAIDWSYIEFVDNKDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKAHKRFIKPKLSRTDFTVAHYAGEVLYQSDLFLDKNKDYVIPEHQDLLGASKCPFVVGLFPPLPEETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNLLKPAVFENVNIMQQLRCGGVLEAIRISCAGYPTRKPFFEFVNRFGLLCPSALEGSYDEKVVCKKILDSMGLKGYQIGKTKIFLRAGQMAELDARRTEVLSGAAKKIQRRTRTHQAQKRFTVLRKATISLQALCRGRLSCKVYENLRREAAAVKIQKNGRRYYSRKSYKKLHVSALALQTGLRAMAARKEFRFRKQTKAATIVQAQWRCHRATTYYKKLKNGVIISQTRWRGRLARRELRKLKMASRETGALKEAKDMLEKKVEELTYRVQLEKRLRADLEESKTQETAKLQSSLEEMRKKVEEANALLVKEREAAKKAAEEAPPVIQETQVLVEDTKKIELMTEELESVKATLENEKQRADEAVKKFEEAQESLEDRIKKLEESEKKGQQLQESLTRMEEKCTNLESENKVLRQQAVSMAPNKFLSGRSRSILQRGSESGHLAVDARSSLTQDNNNTLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPPGVNLAMINGAAGGGADTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGASRSVANTAGQQALIAHWQGIVKSLTNFLNTLKSNNVPSFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLSELEHWCFKATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMERFDIADIEPPPLIRENSGFSFLLPVAE >A06p054930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28766933:28769581:-1 gene:A06p054930.1_BraROA transcript:A06p054930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLLRATATVTGVPQLRRPIRAINRQFSTASSSFTAFAKPIGSIGEGGNLISGRQLRPVLLLESSPEKREILKPVRAAAAEGGDSAGETKVGFLGKYPWLVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWSVGLPKRAPINSDILKVLIPVAVCHAIGHVTSNVSFAAVAVSFTHTIKALEPFFNASASQFLLGQPIPITLWLSLAPVVLGVAMASLTELSFNWLGFISAMISNISFTYRSIFSKKAMTDMDSTNVYAYISIIALFVCLPPAIIVEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTGIAIAGVALYSVIKAKIEEEKRQGKTA >A03p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8065058:8066154:-1 gene:A03p019710.1_BraROA transcript:A03p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRFHQYQVVGRALPTEKDVQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPAKLCKRESTKQFHNSKIKFPLVFRKVRPPSRKLKTTYKASKPNLFM >A01p058210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33205654:33207608:1 gene:A01p058210.1_BraROA transcript:A01p058210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Syntaxin of plants 131 [Source:Projected from Arabidopsis thaliana (AT3G03800) UniProtKB/TrEMBL;Acc:A0A1I9LQP3] MNDLLKGSSEFSRDRSNRSDIESAHGPGNSGDLGLAGFFKKVGEIDSQYEKLDKHLKKLQAAHEETKAVTKGPAMKSIKQRMERDVDEVGRISRFIKGKIEELDRENLENRSKPGCGKGTGVDRTRAATTIAIKKKFKDKISEFQTLRQNIHQEYREVVERRMVDRLIETGDSEQIFQKAIMEQGRGQIMDTLAEIQERHDAVRDLEKKLLDLQQVFLDMAVLVDAQGEMLDNIENMVSSAVDHVQSGNNQLTKALKKQKSSRKWMCIAILILLIIIIITVVSVIKPWTQKHGGA >A02p019140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8855390:8856475:1 gene:A02p019140.1_BraROA transcript:A02p019140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTNETLSEKGQSDCKISNNQRTEPSQEWETMAQAWVAAFPDANSVVSATDVETWIGSNFDSLPADLRQRPLSDLVDRFLSMQQYMRQTASEQNEQEGQADQHPARFQRTDQWLPVYSWLESLDSGELVKSKDISEWLDANPEVKEELSSRHSRYHLTHYVKKCHLKILKRKEKKGLIRLSRETAMEVHKEFGEKHHNATLSADPMSNIPKDSDLYRTKLKEAKRRFEILVELEKKLAPHFSRPRIANT >A06p038180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20597511:20599048:1 gene:A06p038180.1_BraROA transcript:A06p038180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRREVRCRCGRWMSAQPGVSSIQCSTCHTVTQLSSSLVDIARGANRVLQGIQQLRRQHKQQQQQPQQQIMAQPPPPPKLLEPLPSPFGKKRAVICGLDYKGKSYSLEGCISDAKSMRTFLVKQMGFPIDSILMLTEDEASPQRIPTKKNIRKAMRWLVEGNRARDSLVFHYSGHGSQQKDYNGDEVDGQDEALAPLDHETEGKIIDDEINKTLVRPLVHGAKLHAVIDACNSGTVLDLPSVCRMERNGFYEWERQTSGRTYKGTNGGTAICLSACDDHEASGYTPVFTGKNAGAMTYSFIKAVKTAGPAPTYGHLLNLMCSAIREAQSRLARDENYTSPEETAEPLLTSSEEFDIYATKFVL >A07p026340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15067648:15079127:1 gene:A07p026340.1_BraROA transcript:A07p026340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein GP210 [Source:Projected from Arabidopsis thaliana (AT5G40480) UniProtKB/Swiss-Prot;Acc:F4KHD8] MVRISSCFFVVVLIVLSIRESSSQLGTGPHITDVNILLPPRMKNPVEYRLQGSDGCFKWSWDHHDILSVTPEFNSSSHCSTSARLKSISPYSGRKETAVYATDIQTGMVIRCKVFIDIFSRIQIFHNSIKLDLDGLSMLRVRAFDNEDNEFSSLVGLQFMWKLMPESGGGTTHHLAHVPLKESPLTDCGGLCGYLDIQKKLEDSGVFADLFVVKGTKIGHEKVSVHLLEAPLTDIADEIVLTVAEAMSLEPRSPVYVLMGASFGYTLKVMRGNVPQAVHLPSSHHRWSALNSSVVQVDSLIGLTKALSLGVTTVVVEDTRVAGHIQGSSINVVTPDAFILYISPWSTSGDPTTESKSFPSSMHWYVVSGRQYLIQMKIFSGRPDAHEIYITETDDIKLYGEETDYWKIVSVPDDLSSEYGWRNSRILKAFSPGLGELTAALTYFNGHQNSKEVLKVVQDIVVCEKVHFTLNSEDDAPKILLPWTPAVYQEMELIVTGGCAKASSDYKWFTSDMSILSVSAYGIIQAKRPGIATVKVVSTFDSQNFDEVIVEVSIPSSMVMLQYFPVETVVGSHLQAAVTMKALNGASFSRCDAFNSLIKWKTESDSFVIVNATAEMMMLEELRSTESSPPCSRAYIYTSSPGRTVLQATLAKEFHYFDKSLSESIDLKATLSIGAYLPLLIRQDSDGNHHGGYWFDKTQEETDIGVSNLYLVPGTYVDVMLLGGPERWDDNVEFTETVTKVNEDEEDLTNGDNIHHNFDHHANMFRVSCQTLGSYKLIFQRGNLVGVDHPLPAVAEAFLSVQCSLPSSVVLIVDEPVNKLSVIRAASQAERAPGRLRVTPVTVSNGQIIRVAAVGISDSGEAFSNSSTLSLRWELSSCDNLASWDDDYNSKMTKTSWERFLALRNESGLCTVRATVSGIDHSGKTQYSSLLPEVSESTLTDAVRLQLVSTLRVTPEFNLVFFNPNAKVNLSMTGGSCLWEAVVNDSRVAEVIRPPSGLQCSQMTLCPKGLGTTLVTVYDIGVSPPLSALAVIKVADIDWIKIASGDEISIMEGSTHSFDLLTGIDDGTTFDSSQYPLMDIMVHIEDDLAEHVSVDGNPLSVGEHVATSSFKVAARRLGITTLYVSARQQSGDKVVSQTIKVEVYAPPRLHPQGIFLVPGASYVLTVEGGPTMNVSVDYTTVDNQVAKIEKSGRLYATSPGNTTIYATIYGSEGTVVCEAVGNAEVGLPAAATLIAQSDTVAVGREMPIFPSFSQGDLLSFYELCRAYRWTIEDEEVLSFHVPSIDVEENAGFVNVVQGRSAGKTRVTIAFSCDFVSPGFYSESRTYEASIVLSVVPDLPLSLGIPMTWVLPPFFTSSSLLPSSPETPKHKDGQSHRGNVVYSLLKDCSSRADVERDTISINGGSIKTTEINNVACIQAKDRTSGRIEIAACVRVAEVAQIRMKSEMIPFHVVDLAVGGELELPINYYDTLGIGFLEAHGVTTYNVETNHRDVVSIKTVNDQTSVYIKGIKHGKALIRVSIGGNLRKADYVLVSVGAHIHPQKPVIHTGNILNFSVTGADHQVSGQWVSSNRSVLSVNAVSGQAKAIGQGSAHVTFEGHGLKLQTKVTVLLGNTIYVDSPRETLTNVHVPTEGYSFPVKFRENTFVNGNRNTFNCQVDPPFIGYAKPWVDLDSGDTRCLFFPYSPEHLVHSMSRSKDTKSHVSFSINASVKEGRQVSGSASALLIGGFSVAWPNKLNINPDSNKTTISVQGNTDVQIHWQSKGRLSISLIKREDYGIAGRALYEVNVLNRSEQFTDIIFITLPATGQSVEIDFSYDTGESLVAPSVRKDGYLFTILWGVLVVIVSVVILMKVIDRPIGPAGATRVATNGVAATAGAPGTPERRSGAVIYHEESPRTPSPFMEYVKRTVDETPYYRREGRRRFNPQNTMELLRRRRRRQDEIGQVLVLLFDDISRTWYSIFRFCRSKCHKNFKMKRNPRKVKWTKAYRAAHGKDMTQDKTFEFEKKRNRPERYDRNVTEDTLKAIKKIDKIRSSREAQHINKRLKPNKQKIFKSEVKEIDQNISLIKAPGSYQQDSEKMKVSVSTSKSVQNEAMEE >A05p008150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3314104:3315670:-1 gene:A05p008150.1_BraROA transcript:A05p008150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCFSSRVKAENPFNDGKSSVLYGLSMSSRKSTVAAAQKTEGEILESTPVKNFTFNELKLATRNFRPDSVIGEGGFGCVFKGWLDETSLTPTKPGTGLVIAVKKLNREGLQGHREWLTEINYLGQLSHPNLVKLIGYCLEDEHHLLVYEFMPKGSLENHLFRMVFEAGSTYFKPLPWFLRIKVALDAAKGIAFLHSDPVKVIYRDIKASNILLDADYNGKLSDFGLARDGPTGDLSYVSTRIMGTYGYAAPEYMSSGHLNARSDVYSFGVVLLEILTGKQALDDNRPANEESLVEWARPHFASKRKVLQIVDARLETQYLPEEAVRLASIAVQCLSLEPKARPTMDQVVRALQQLQDNLGKLTQTDPVNKTQRFKTGAKLPEKRSK >A02p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13693566:13694778:-1 gene:A02p026110.1_BraROA transcript:A02p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVDRLDVEEKFLSMAEDNEDLVKMTLLYFIGYIIIRRKNKGKGLLDPFFLKLVNHLDLCQTFPWDTLSFKYCLDILADKMKEPSERSKPSLNSWNLHCFITLLEIFPFECIANLKSKYRLPVRGAVNTCPRMCKMEFRKTGEKGFSLNGIYISLGTDKDIKSILVPTIDEHHLVAEVQEPETDHSTINNWKLVLMKQKKICWDHLYEKDQSNRVVEVKENTRERNGDNLETNLERSGVELLKKKSDQQGKAIFDLRAQLEALKGQPRDDPQPQYSSPFQPDNETQPQYSSFHQNEEEEENIYSIV >A01p018840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9163048:9164133:1 gene:A01p018840.1_BraROA transcript:A01p018840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G26160) UniProtKB/Swiss-Prot;Acc:Q8LEK4] MSPPTSFRSLYASSSTRTPISPPIRTIPLLNSFNRLYGLSFSTSSSLPSSSSNLVFSTRKQSLSIKVQALTAETEQPKWWEKKAGPNMIDITSTEQFLNALKDAGDRLVIVDFYGTWCGSCRAMFPKLCKTAEEHPEILFLKVNFDENKSLCKSLNVKVLPYFHLYRGSDGQVDSFSCSLAKFKKLRDAIVRHNVRISTDHSSSVSEQIEDSTSSL >A04p032260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18841464:18844146:-1 gene:A04p032260.1_BraROA transcript:A04p032260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVFESLDMDNFQGDLTDVVRGLGSGHMTSSPGPPEGPFAISLSTPPTSDFHVDFPSVANSQMNPFGDPFVSMTDPLLSLPANFSGAGDSKSNNSFAVFPNTTVDDHVKNQCSVFPRIRISQRNIIQDASKCNSPAMATSSVTAASPWGMINVDSPNSPRNCLLVDNNNITSSSSQIQISSCPQNFGITRRKGQGKKVVCIPAPAAASSRSSGEIVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSSSSSSSNPCSKSTIATTSALSRVSQNKEEPNRSHLPFSSTPPPYVAKAVKEEDAEERKDNMEFDDVDDTYRPEFQHQLGDFFADLDELEEDSLTMLLPQGFSGDNSGGGNLDNKRMIPDVFSDFFDDDSPRSL >A03p011810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4729331:4729906:1 gene:A03p011810.1_BraROA transcript:A03p011810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVTGSVNSAKPISLAKAATLLSGFVSSETQASQDVTAYLRRASAAFTELRSFHREIRSANPKSELQELGGAKGIDDLVENETVTGEESVHGRKQDEIKEVKKKRKENNEEDVVEEKVMVKLEDEQRNKERKKKKSKYENVIDEMVNVKLEEDRKERKSKKNKKEDVIDEKLEEERKSKKKRKLPKETDA >A08p026410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16822209:16824342:-1 gene:A08p026410.1_BraROA transcript:A08p026410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRPESESAAKCRDELPVKLEIAEDGLEEEHGPLNKRSKLWSSSPMAPAKYSPFDGPSPLGLSLRKSPSLLDLIQMRLTQSGDPKAGDSGGVNHESKCITAGSNLGPGSIEKLKASNFPASVLKIGQWEYKSRYEGDLVAKCYFAKHKLVWEVLERGLKSKIEIQWSDIVGLKANCPEKGPGTLTLLLSRQPLFFRETNPQPRKHTLWQATSDFTDGQASMYRKHFLQCAEGIMNKHLEKLVQCDHRLLYLSRESEIIMDSPCFDARRSIFEDPSESTKGNNPFGSFNLSKAPSVSGTRNLASPVGAQSSSEHMYLSHEAPSPSSDARANEAVNSRNTTDCGQMGGLRQSMSLSDFLAVLCDPKDTSDSSQVEEVAGLHQSMSVSDFLAVLSDSGNITDSSQIKVPGLQQSMSVSDFVGLLSDSAVGNHPEHMENFNGMKQQLLSDNIQFDAPQDEKSLMPRVDSLFNLLYKDLNGAANSQLNTEVSVGLKSELSDLKGIVPDNNNNRVLDPASSSRPQGMLRKDSFSDLLLHLPRITSLPKFLSNISEEDGGAYNR >A01p008210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3982132:3983699:-1 gene:A01p008210.1_BraROA transcript:A01p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTNQTIFSIFSLLLFSSCVSAQLRTGFYQRSCPNVENIVRNAVRQKFQQTFVTAPATLRLFFHDCFVRGCDASILLASPSERDHPDDMSLAGDGFDTVVKAKQAVDRDPNCRNKVSCADILALATREVVVLTGGPSYPVELGRRDGRLSTKASVQHSLPQPGFNLNQLNTMFNRHGLSQTDMIALSGAHTIGFAHCGKFSKRIYNFSPRTPIDPSLNRGYAFQLRQMCPIRVDPRIAINMDPTSPRTFDNAYFKNLQQGRGLFTSDQVLFTDRRSRATVNMFANSEAAFRQAFISAITKLGRVGVKTGNAGEIRRDCSRVN >A03p028850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12135759:12138770:-1 gene:A03p028850.1_BraROA transcript:A03p028850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRSENHDIEVEGGNYAYERKISGILDDGSVGFQQPLLARNRKNTTSQIAIVGANTCPIESLDYEYFQAFFAFAGCNLILATAAASLCAFIAPAAAGSGIPEVKAYLNGIDAYSILAPSTLFVKIFGSIFGVAARFVVGKEGPMVHTGACIANLLGQGGSRKYKLTWKWLRFFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSLIELCRSGRCGLFGKGGLIMFDVNSGPVLYSTPDLLAVVFLGVVGGVLGSLYNYLVDKVLRTYALINERGPGFKVMLVMAVSILSSCCAFGLPWLSPCTPCPVGTEGKCPSVGRAGIYKSFQCPPNHYNDLSSLLLNTNDDAIRSLFTSRSENEFQISTLAIFFFFVYFLGIITYGIAIPSGLFIPVILAGASYGRLVGRLLGPVSQLDVGLFSLLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADCFNKGVYDQIVTMKGLPYMEDHAEPYMRNLVAKDVVAGPLLSFSRVEKVGVIWQALKMTSHNGFPVIDEPPFTEASELCGIALRSHLLVLLQGKRFSKQKTTFGSQILRSCKARDFAKAGLGKGLKIEDLVISGEEMEMYVDLHPITNTSPYTVLETLSLAKAAILFRQLGLRHLCLVPKTPGRPPIVGILTRHDFMPEHVLGLYPHIDPLK >A02g506480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18288597:18289324:-1 gene:A02g506480.1_BraROA transcript:A02g506480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFPTLPPLSCLPSGLLSHTGFSLACLSLLPDLSHGGCRRSLTEEAPRRDFSDDKHGLTSSDLCCLLPEVAPPKFTIQSDDKHVSVDVRSSKVCGIGLLNNKAQHVFHPFERDNSDVAMITTWVMVLLKLPRLLFEEIEHAKSG >A01g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10475094:10478077:-1 gene:A01g503270.1_BraROA transcript:A01g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAGHIDVYASGALYEGLRGTKTFVLAAHSYALSAHLMFYVVRPTSRSDYYRIGALGMPPRKRVVRTQSASASRKGGDENVPPPAPPIDQDALRQMVQDAARQAAHEAVQQAVQEAARVAAQEVVRQMAAAQQVPPVQVQGHQQPPIQPVRPVQVQGQQQPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEAQKYSKAQPKTERTSESPNMAGDQSGTPSCERCHRYHFGDCVMCFACGRLGHVAKYCRFTKSLVNFPVGFPGELPARFLDELPPVAKVRAIPLNPELV >A08p000480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:256537:256743:-1 gene:A08p000480.1_BraROA transcript:A08p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGRICMLCTCLVLVVVAIGLVFGFGVFKNGYHKIHDTVHLDCDPRLGCNGSSSRRAYGFVPPPNRF >A02p057190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34403145:34411647:-1 gene:A02p057190.1_BraROA transcript:A02p057190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNKSFSNGSTLREHMMSESASGSTLPSLETSNNETVLIGGPDLKREDGAHQIKGERKMIVDEADSTGSGSPLTAVEEAGLILVAMSKGQRPENSQNWNAIDRLLATPNGKEEYYETDLDSDDDNKEVKYKSVAELLTQNVETLTEDNGEDDEDNDADETNLVTREKKCKKEFICDVCGKVFGSYQALGGHRTSHKCKRLKICDKNDQDRELVPNKKNDQDRRHQCGRNDKVRKNGERQFHECPSDPDSPSPRAPSSNNREIPNMDWGAVVPANYSFVSHQASNMSEGHLSLHSMTDNNQESEKLMELKEKEELAKDTASKEKQRYEDTMKEAEKVKELMMKEALRRREAEIKAERDAKEKDELQASLVCPGIQYQYYSWD >A03p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8240779:8242036:-1 gene:A03p020090.1_BraROA transcript:A03p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eyes absent homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) UniProtKB/Swiss-Prot;Acc:O82162] MNANTSQKLEALVSDDGPVNVYIWDMDETLILLRSLLNGTYAESFNGSKDVKRGVEIGEMWEKHILKICDNCFFYEQVEDCNEPFIDSLKEYDDGKDLSRYDFKQDEFASPTDDLNKRKLAYRHRAVAERYEKGLARIVDSGTMSVLDELYEVTDGYTDRWLSSARAFLEQCSNVKEASVDGTDRSSQDIHILVTSGALIPSLVKCLLFRLDTFLRHENVYSSIDVGKLQCFKWIKERYNHPKFRFCAIGDGWEECAAAQTMQWPFVKIDLQPDSSYRFPGVTPKTVSYYFAAVYGNSDTDTNKE >A03p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5110256:5111262:-1 gene:A03p012930.1_BraROA transcript:A03p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGEEEKKKEGSYRYWVREATSDAAPPPLPQKLTNNDVVASNAPALGSLWNQAGTWEEKNLTKWATDRLKELLGSVGSLQFSSGKAEIFDVNRCVGDAFLVSVRNKKRVGYTYELSLKVQVTTYLHTLLATNSGEWSVEEETKKVKGSLDIPEFSFGELDDLEVDVKLSDDKELSQQLKQQIRLDMKQFLEPIRLKLDQFEQELKDRQ >A06p021490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:10651387:10652691:1 gene:A06p021490.1_BraROA transcript:A06p021490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRKRKRSNLYWIERRRRKRKVEKLNFIDDLPESLVLHEIFSKLRNPRDLVSCKNVSKRWNSLISSSSSSSSSSHNNPSLALILNTQPHQYATNDICLEVWKGFDLSNYVDPEFDPPMCVLASCKDVLLCMKSEELYIVNPVTMQWTRLPDPCGATWGLPIGLIGNGTKGLYHVVMLEMSTQSCFRLFSFDSMLGTWKGVGVQHPPWSQSGWCPTQYQALPFNGALHWLAEDGPVVAYNPNHQNTCLLIHRSHDMSHASSYCADAIVSETLTVSTSHLRILQLVASVDRQHLYIWTLADYKRSIWRREHDESISFTDLPWLQAWTFSDLNYMFMSRFVTHSETRKRIIYPKPLCCHPYNPLLVYFCLPESIVSLDVATRQMKLITTLSKSSTQGVHWNEYDKVIPMTMHLDPSLIPDHGHVLQRRRKHRRFF >A06p048940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25895098:25897102:1 gene:A06p048940.1_BraROA transcript:A06p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSSPIVSPLGINIDMSKNLGVKYSSFPKLQLFSVSTPLRTRKPVVTVASSRKNIGAGLASEDKKLLLERYGYDATEFTSQSKKSRRKEEERSGRNSQKEEEVVAVQPRTTHRLLQVLAGTAKRKKLLSLKGMDVRPMMEVVKGAAFGILQAAGGCPTSLRPGRWLDLYSGTGSVGIEAISRGCSEAHFVEMDPWVVSNVLQPNLEHTGFVEASVIHTARVENFLERKDGAFDYISVTPPYMEVDYEVLMDQVSKSPAIGENTFIVVEYPSRTTMLDSCGCLEKVPLYTDRHFVCCQVIRWKQVAVL >A09p065030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52177732:52179437:1 gene:A09p065030.1_BraROA transcript:A09p065030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEREELGSFLTAVPPVDFCCVYGSTLHPNNQDKSKMVDYILGVSDPMQWHSQNLKMNSHHYASWMVHLGGARLITEVADKVGVGVHFNPFVTWNDRKLKYGVVRMNDLVQDILDWNRFYLSGRLQKPLHLLVDNLDIEDVNSVNKRAALSAALLLLPSKFTEEDLYAKICSLSYMGDLRMLFAEDTNKVNKIVKGQFDLFQSMYKPFLQECETKNILRFSSAETNLVQDSSLSSSRSLVSSLPASVRSQMSKLLGEKKILSETGRVSREVCIGSREEAAKCMEKVMKRRVMVSSARQAVSGFLAAGAINATVYLSQKMRKAWNSRS >A02p055460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33622435:33624004:-1 gene:A02p055460.1_BraROA transcript:A02p055460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKMNLWYIIVAIVFFASILVAKNSRKTKNNLPPGPPRLPIIGNLHQLGSKPHRSMFKLSEKYGPLMSLKFGKVSTVVASTPETVKDVLKTFDLDCCSRPYMTYAARITYNLKDLGFSPYSNYWRELRKLTATELYAAKRVQSFQHVRKEEVASFVDFIKQSALLENPVNFSLKLMTLSGSVICRVAFGIRFQGSKIENTYDEVIQGIMEVVGSFAAADYFPVIGKIIDRITGLHSKCEKVFKVMDAFFDQAIQHHLDDESIKDDIIALLLEMERGELGLGGFKLTRSHTKGILLNILAAGIDTSAQTVTWVMTHLIANPRVMKKVQAEVREVIKNKDNITEEDIEQLEYLKLVIKETLRINTLVPLLIPRETSKDIKIAGYDIPKKTWIHVNVWAIHRNPNVWKDPEAFIPERFMENEIDYKGLNFELLPFGSGRRMCPGMGMGLALVHLTLINLLYRFDWKLPEGMKAEDVDIEESYGLVCPKKIPLELIPVLTHWT >A03p040820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17024170:17025526:-1 gene:A03p040820.1_BraROA transcript:A03p040820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNEKGNDDLYSVMGLKKECTTTELRSAYKKLALRWHPDRCSSMGDSEFVDESKKKFQAIQEAYSVLSDSNKRFLYDVGAYNSDDDDHDQNGMGDFLNEMATMMNQSKPSENSSGDSFEQLQDLFHEIFQGDASAFSSSSSSCNASTFTSSCSFVFDTNTQQSPFEHNSMGMNDPFAFDPRAHTFSLGVEHQQDFKKGKNNGGRRNRRKNNAQSATHETSSSNNYGVPTS >A06p007690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2650860:2654547:-1 gene:A06p007690.1_BraROA transcript:A06p007690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEGTEEAGLVVMDNGIARVTLSKPDGIVTGIEYNGIDNLLEVLNEESNRGYWDLVWSGPGTAGTFDVIKGTKFEVIMETEEQIEISFTRKWDPSQEGKAVPLNIDKRFVMLRGSSGFYTYAIYEHLKEWPAFSIAETRIAFKLRKEKFHYMAITDDRQRFMPLPDDRLPDRGQALAYPEAVLLVNPVEPQFKGEVDDKYQYSCENKDITVHGWICTEQPSVGFWLITPSHEYRTGGPQKQNLTSHVGPTALAVFMSAHYTGEDLVPKFSEGEAWKKVFGPVFVYLNSSTDDDNDPLWLWQDAKSQMNVEVESWPYSFPASDDYVKAEQRGNVVGRLLVQDRYVDKDFIAANRGYVGLALPGAAGSWQRECKDYQFWTRTDEEGFFYINGVRPGQYNLYAWIPGFIGDYKYDDIITITPGCYMYMEDLVYQPPRNGATLWEIGFPDRSAAEFYAPDPNPKYINKLYQNHPDRFRQYGLWERYAELYPDKDLVYVVGSSDYSKDWFYAQVTRKKDSKTYQGTTWQIKFELKNIDKDHTYTLRVAIASATFAELQVRVNDANASPLFTSGLIGRDNSIARHGIHGLYWLFNVEVAGSKLVEGENTLFLTQPRSISPFQGIMYDYIRFEAPS >A08p017960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12490782:12491639:-1 gene:A08p017960.1_BraROA transcript:A08p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYFLCFTFSAYCVMAKDALSVYGGGKASAAIAKSLNKEFMPVMKEHMKYIVDHAEEIEKLIKVKAQVSEVKNIMLENIDKAIDRGENLTVLSDKTENLRSQAQEYKKQGTQVRRKLWYQNMKIKLVVLGILLLLVLIIWLSICHGFDCTD >A05p000990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12218:18276:-1 gene:A05p000990.1_BraROA transcript:A05p000990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 33B [Source:Projected from Arabidopsis thaliana (AT2G48110) UniProtKB/Swiss-Prot;Acc:F4IN69] MAVAPLWESVTSVIRSAEEKNVDPLQWALELRLTLSAAGISLPSPDLAHLLVSHIFWENHTPLSWKLLEKAITVTIVPPLLVLALLSHRVIPNRKHHPAAYRLYLELLKRHAFSLMPLIRGSGYHRTMNSIDDILHLSEIFGLPNQEPGSILLAFVFSIVCQLVDASLDDEGLLELTSNQRSNWPHDMEIDGLLKRNDNHGVLEKANTEMAITLIQFLLQNEVTSRILHLASQNMPTHWEDFSQRFSVLTTKSLVVRNSKHINPEALTYLASHTSKFLERESKTIPRGEFHALLSSGSILALTSQHHGTSGSALWLPIDLFFEDIMDGTQAAAASAVENLTGASLVKALQAVNSTTWHDAFLALWLAALRLVQRERDPIEGPVPRTDTFLCVLLSVTPLAVANIIEEEESQWIDQTASSPSNQWKEKKGKCRQGLVNSLQQLGDYESLLTPPLSVQSVANQAAAKAVMFISGITNGSGSYENTSMNESASGYSGNMRHLVVEACISRNLLDTSAYLWPRFANGGTNQVPQGVAGNVSCWSLVMKGSSLTPSLTNSLITTPASSLAEIEKIYEVATTGSEDEKIAAASILCGASLFRGWSIQEHVIIFIVTLLSPQAPANISGSYSHLINCAPFLNVLLVGISPVDCVQIFSLHGVVPLLAGALMPICEAFGSGIPNITWTLPTGEVISSHAVFSTAFILLLRLWRFDHPPLDYVLGDVPPVGPQSSPEYLLLVRNCRLECFGKSPKDRMARRRFSKVIDISVDPIFMDSFPRLKQWYRQHQECMASILSELKTGSPVHHIVDSLLSMMFKKANKGGSQSLTPSSGSSSLSTSGVDDSSDQLKIPAWDILEAAPFVLDAALTACAHGSLSPRELATGLKTLADFLPATLGTMVSYFSSEVTRGLWKPVSMNGTDWPSPAANLASVEQQIELILAATGVDVPRLPADGISAATLPLPLAALVSLTITYKLEKATERFLVLVGPALDALAAACPWPCMPIVTSLWTQKVKRWSDFLIFSASRTVFHHNSDAVIQLLRSCFTCTLSLTPTSQLCSYGGVGALLGHGFGSLYSGGISTAAPGILYIKVHRSIRDVMFLTEEILSLLMFSVKSIATTAEQLKKTKDGLRYGIGQVSLSQAMTRVKLAASLGASLVWISGGLNLVQALIKETLPSWFISVHGEEDELGGMVPMLRGYALAYFAILSSAFAWGVDSSSPASKRRPRVLWLHLEFVVSALEGKISLGCDWATWQAYVTGFVSLMVQCTPAWVLEVDVEVVKRLSKSLRQWNEQDLALALLCAGGLGTMGTATELIVETCHQL >A09p053040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46168096:46171018:1 gene:A09p053040.1_BraROA transcript:A09p053040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich receptor-like protein kinase PERK12 [Source:Projected from Arabidopsis thaliana (AT1G23540) UniProtKB/Swiss-Prot;Acc:Q9ZUE0] MSDSDGSPSSSPPADSAPPPDSSLDNSAPPPTDSTSTPSPPADLSEPPPSDSEPSPPPPDSILPLPWILAPLTDTPPPDSNSPPEDSIIPQPPPTSTKSPSPPTDSETPPAPPYESDNPPPSSDVHHSPPSPSYSSTIPETPPSQSPSTTPLEPTNSPPAPPSDPTNPLLIQPSAPANSPPGASSFLAPPKPNGGSGGGAVVSPSLTVPSKVTPPTNGSNGISSQGKIMVGVAVAGGFAIMALIAVCFLVRRKKKRSIDSYDHPQYLPHPNFSVKSDGFSYGQDPSKVYSGPGGGSMYNSQQQQSSMGNSYGSQPGHQIQSNSMPDSAILGTNQTHFSYQELAEITQGFARHNILGEGGFGCVYKGTLHDGKVVAVKQLKAGSGQGDREFTAEVEIISRVHHRHLVSLVGYCISDQHRLLIYEYVENQTLEHHLHGKGRPVLEWSKRVRIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDEYEAQAIKKHPFYLYQSYDSVADFGLARLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELVTGRKPVDQNQPLGEESLVEWARPLLLRAIETGDYSELIDRRLEKHYVEHEVVRMIETAAACVRHSGPKRPRMAQVVRALDCDEDSGDISNGIKVGQSTTYDSGQYNQEIMKFRKMAFGIDDSTESGMNSGGYSGKSSSDFSGNESETRPFNNRQF >A09g517990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:54245944:54246273:-1 gene:A09g517990.1_BraROA transcript:A09g517990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYVTGTCHIIIGKGNGGDASSFHLRSFLCFGFSVSTTRKAIPVALGGSSLVDSSATSPLEKMEEKGDVSIDGSARGRMTAIWVESLSRWRYGDVSNGGSHRRREATI >A05p010840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4596498:4598823:1 gene:A05p010840.1_BraROA transcript:A05p010840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSLKRGTGGFLVYLLVGFSVAVFSVSYVGDTNPNPHLSSSSSPLSATEKVWPDLKFSWRLVVATLIGFLGSACGTVGGVGGGGIFVPMLTLILGFDTKSAAAISKCMIMGASASSVWYNVRVRHPTKEVPILDYDLALLFQPMLLLGITVGVSLSVVFPYWLITVLIIILFVGTSSRSFFKGIEMWKEETLLKNEIAKQHQGSTVNSRGELLIDTEYEPLYPREEKSELEIIRSNLKWKRLLLLVTLWSAFLLIQNEIKVCSTIYWVLFILQFPVALGVYGFEAVRLYRENKKRLRSGNTESICEATIEWTPLSLIFCALCGLVGGVVGGLLGSGGGFVLGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMSVSILAGFWGQFFIRKLVAILRRASIIVFVLSGVICASALTMGVIGIENSIKMIHNHEFMGFLGFCSSQ >A09p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41472898:41474533:-1 gene:A09p047770.1_BraROA transcript:A09p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETKERNMDLRSSKSDVRTDRRHKRDPRICAGKRDNKDSGLRINESHQTHDHKESYADIQRARGVEMLQQGSHESESKNKVKRNSGYQVHPGERICPSYLQTGLCEHGSNCLFNHPTCKFFRRGFCKDGSDCKFIHAKNNEALRLPNTMRQPLSHPKDRDGAETMRQRDNTEWQRGEAQENVHEHRQRDITECQRYYEMGRRDAQEIVVKQHRQRDITESLRYFEMGRRDAQVIVQQHRQREASGRQRYLEEEKSEEAQENDQEQRLMVTPLDGRFDERRHETESSSMAEKREELFTSLQSVFKDVIDDIAAGYERVGTEHGEGNVGRQRVEAEEIVQEQSNRDGDVL >A05p014430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6292946:6295740:-1 gene:A05p014430.1_BraROA transcript:A05p014430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRARRICDELRVCREEAIFYLEGFDWDLDAAMEACRSKTLDLPSLTVEPPPEKEKSSPKVQSPLPSTTTGSQSRNELIVQFISFAVGSDVQDATSYLERNDWDLDRAVLQFCDERRPTPSQRKLNRKLGREEEQVPKAIPPMVSSHMKVQESTSLVQDGEEKLSLVKESAEGTLSSVSSSQLTNTPEEQLSQWKEEGIKSFFKVAIKASREAAIACLSHCKWNQEDAISYFFGDYTEAIQDSTSPVKESAVAVPSSMSSSQLMSWPEEQLSRLKEEIIKSFRDVVMVASREDAEACLDYGEWNQKDAISYFFRDYAEANPEIARSQADGKAVDEEAGGGYFSKAKAKAHVKEISSPKQTREDSRTSEQPSRWKDELINSFVELADGVVTRDVATVYLTLSNWNVEKAFSCLLEETSQVQASHGSPNKNYLEEDETGSSSSSSNADSTDTGNATVASSLADENVKDEDVEEELSPAPITTTTTSTVELEIILDDGESGVQVWIIFRSDQTVRDIRNRIAWFRPEDKRDYYLKSDTGVEYRDLDTTVHSITSGSRGSTILHQMYST >A09g506770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20004635:20006063:1 gene:A09g506770.1_BraROA transcript:A09g506770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHDMSLNPNQAVVGKLSENDWGVQAIVSWVLAEVFGTQNLSIVAEEDTDSLSKSESLGLLDSVSNAVNEALSEARKYGLPKPDKPLGSHDILKAIGRCNSTGGPKGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKVLLGVLGCPNYSVKKERLHAEVFIKFAQSSYKEKIWDHAAGVVIVEEAGGVVTDAGGRKLDFSKGVYLEGLDRGIIACSRLTTSS >A07p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1786112:1788213:1 gene:A07p006150.1_BraROA transcript:A07p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFTLLADLKAGGCSNTAESTLMHGLVSANRQLRFRHRLSEGSTTLRPYLLNCSDSNLTITYLRWQTPTDSSLLSAIRSTITDRLPGPQRVMLNLRLKRDVNVYVSMFDSLALAIHNKFESYWREPKIVLVTSINPKIVGGIQQDDSWCYIGCSNYIGYQMGFANAVASLSYRVALSVSDHTDSAAFLAFDMEVDKLTNIQASEAVQIVGSGVDAKVDTDLPQSLADIVGNTYTFQLKEGATVLELEAALPEVDVPDQMPKLITRSMLQLSHLLPMIHQLDVQLRPKNNLLWMRMSQIKHVWNN >A01p039080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14949733:14950222:1 gene:A01p039080.1_BraROA transcript:A01p039080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRYNFSSSVLVDDGSWPRCGRYGGSNPFSSERHRVQAVPGSNKCAVLVVAEGKASADTPRQAVLEWVIIFKSESLGRPKLPGSRLSVELGSFFRGKLLLRTWWSFMIAIL >A03p057010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24736215:24737276:-1 gene:A03p057010.1_BraROA transcript:A03p057010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGFKFGVLMFKKIRERLKSFRVRNIIFLLQPFERKKENVLNIFDIEDFLGSLLRENLLESLLRDDFLGSLLRDDFLETSSGRLLDDLLKTFDLGGKPNFFQNLGGNPKFY >A09g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14153878:14154367:1 gene:A09g504650.1_BraROA transcript:A09g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRKRYGTQPDSICVIKVLLNSIPSPPPLLPRFLIQCSTLLPDGESTYINRTQCQTPLAYQRKPETSRRKENRRKRVRHSRRRKAATEERRRMKAVKDDKEKAIDDGDEDREERSLMESGTNLRPVTRITMATRRTLS >A03p023060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9723888:9726665:-1 gene:A03p023060.1_BraROA transcript:A03p023060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDIKKCRLSSVTVDDVIEQVMPYITDPKDRDSASLVCRRWFEIDSETREHVTMALCYTSTPDRLSRRFPNLRSIKLKGKPRAAMFNLIPENWGGFVTPWVNEIASSLRRLKSVHFRRMIVSDLDLDVLAKARLDELEALKLDKCSGFSTDGLFSIVKHCRKMKTLLMEESSFVEKDGNWLHELALHNTSLEVLNFYMTEFAKINAKDLESIARNCRSLVSVKIGDFEMLELVGFFKAATNLEEFCGGSLNEEIGRPEKYMNLTFPPKLCCLGLSYMGPNEMPILFPFAAQIRKLDLIYALLATEDHCTLIQKCPNLEVLETRNVIGDRGLEVLGQCCKKLKRLRIERGEDEQGMEDEEGLVSQRGLVALAQGCQELEYMAVYVSDITNESLESIGTYLKNLCDFRLVLLDQEERITDLPLDNGVRSLLIGCKKLRRFAFYLRQGGLTDVGLSYIGQYSPNVRWMLLGYVGESDEGLMEFSRGCPKLQKLEMRGCCFSERAIAAAVLKIPSLRYLWVQGYRASTTGQDLRLMSRPYWNIELIPARKVPEVNQLGEVREMEHPAHILAYYSLAGERTDCPPTVKVLREA >A05p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1557816:1561285:-1 gene:A05p004020.1_BraROA transcript:A05p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVIDQSPPPPDPDPIQNPNPAIHPRRVPFEHGLLPIQNLLFTDPIQTLAPIKQKLTSSATNNRVGSPAISEALSISSDHARLVLETLASVLHSETDPLVVAKPEEVDSIGADVRDLVLFMYVQSYKKLMPRTHKDSAAVADVWPSASAFDGYLSALSPIQARSLVSLGSACSLWLSVRNDFNVEKMLIEGGSLVRSNSRRFMPSPADEEAHQLSYLQKHIANIISLLADPVEGEGDESSVLSLESFEHIRFLLHFGDKGSDVPSLSQTTPFFKNSNPAMPAVPVPASQVHDWLLKMIASAMENISDRISGKENGPSNASGQEAATAVASEALNKVSSNDRGPCIIEGVSKTSLFKQASDLKGRSVKVANCHDSVIYLLAPLRYATVYGCSDSTIVLGAAGKAVRVEHCERVHVIAATKRICIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSDLEKHMTEVGIVPTINRWNESLALGAVDPHDSLSHPNGASDKQSESASSMDPNQFTNFLIPNWFAGEALGSTKDNPFPLPAAYIAVQQTSLKNLEETRQSLRETPLEDNRKRELTTAFHMHFKDWLYATGNIRQLYCLQGD >A04p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7754273:7757139:-1 gene:A04p009390.1_BraROA transcript:A04p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase 4, peroxisomal [Source:Projected from Arabidopsis thaliana (AT3G51840) UniProtKB/Swiss-Prot;Acc:Q96329] MTVLSSADRDNAEKKVKSSYFDLPSMEISAAFPQATPASKFPPCTSDYYNFNDLLTPEEQAVRKRVREFMEKEVAPIMTEYWEKAEFPFHIIPKFGALGVAGGSIKGYGCPGLSITANAIATAEISRVDASCGTFNLVHTSLGMLTIALCGSEAQKQKYLPDLAQMKTVTCWALTEPDNGSDASALTTTATKVEGGWVLEGQKRWIGNSTFADLLVILARNTTTNQVNGFLVQKDAPGLTVTKIPNKIGLRIVQNGDILLKNVFVPDEERLPGVNSFQDTSKVLAVSRVMVAWQPIGISMGVYDMCHRYLMERKQFGAPLAAFQLNQQKLVKMLGNVQAMFMMGWRLCKLYESGQMTPGQASLGKAWISSKARETASLGRELLGGNGVVGDFLVAKAFGDLEPIFTYEGTYDINTLVTGREVTGIASFKPPASRGRSRL >A04g501550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3786294:3790656:1 gene:A04g501550.1_BraROA transcript:A04g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERPLKATYQGRSRSRATRWSDCTKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEEDPLAVNEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRTNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHIGYELKNLHTKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWSLVY >A09g516230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47947703:47949543:1 gene:A09g516230.1_BraROA transcript:A09g516230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPASPASAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAQEFKWNSDDTLSIYHHFVHKVIDNYGKQMYEWKKKWEVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTKVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISVLETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A07p016870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10334508:10335831:-1 gene:A07p016870.1_BraROA transcript:A07p016870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMRSPFLWDLENLILPNSSKPENDKDQLATATEWETDKGEGIESMFPCFNGLGRVSNCSTTSLWHTPVSKSSQSTSTNSSSPGVKQRMLASESSPGDSCCNIQVKASVASAESDLSLKLGKRTYSEELWGRSNNNDISAVSVKLLTPPSVVSRKKSKTCGQSMQVPRCQIDGCELDLSSAKDYHRKHRVCENHSKCPKVTVSGIERRFCQQCSRFHAVSEFDETKRSCRKRLSHHNARRRKPQGVFPFNPDRVYDRRQHTNMLWNGLSLDTRSEEKFAWETTYDIKPTQTESGFTLSFQRGNGSEQQLFASSSHSYSAYQTSGGFSAGKPKFQLHGQGWCLHFCTGPFVIRCGRILGSPP >A05p055190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32611527:32613714:-1 gene:A05p055190.1_BraROA transcript:A05p055190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKQTNVMFMVLALVSALVFLQSEAGNYCPLENINSIPGCFDALKLATGKDISKLTRACCRAVFSVSSDTCYLLLFPGKAYPIKIFRDICLNQTDVMFMVLALVSALVFLQSEAGNYCSTENINSIPGCFDALKLASGKDYRRLTRDCCRAVFSVIPDTCFLLLFPGKAYPIKMFRNICLNVNYVSAASPF >A03p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:614872:617035:1 gene:A03p001190.1_BraROA transcript:A03p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G03300) UniProtKB/Swiss-Prot;Acc:Q9LZG0] MASSNNYDGILLGMGNPLLDISAVVDEAFLTKYDVKLNNAILAEEKHLPMYDEMSSKFNVEYIAGGATQNSIKVAQWMLQIPGATSYMGSIGKDKYGEAMKKDATAAGLNVHYYEDESAPTGTCGVCVVGGERSLIANLSAANCYKVDHLKKPENWALVEKAKFYYIAGFFLTVSPESIQLVSEHAAANNKVFTMNLSAPFICEFFKDAQEKFLPYMDFVFGNETEAITFSRVHGWETEDVEQIAIKISQLPKATGTYKRTTVITQGADPVVVAEDGKVTKYPVISLPKEKLVDTNGAGDAFVGGFMSQLVKEKSIEECVKAGCYASNVVIQRSGCTYPEKPDFN >A01g510610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29183527:29187015:1 gene:A01g510610.1_BraROA transcript:A01g510610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYRSWMDKPHLDPNTNLLTEEYDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A03p065640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29006083:29006655:-1 gene:A03p065640.1_BraROA transcript:A03p065640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVTAMEAKKSNKIRDIVKLQQILKKWRKVAQASKQANNNKINNEEDINNNLTKTGSGSASKSIKFLKRTLSFTDVTAVPKGYLAVSVGKEEKRYKIPTDYLSHQAFHALLREAEEEFGFQQAGVLKIPCEVAVFESILKIMEDNKSDEYLTTQECRFNATTDEVVTHRHPSDCPRTPSLQPHSPMCR >A02p003320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1380685:1381026:1 gene:A02p003320.1_BraROA transcript:A02p003320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSGLENQESQPPNQVAPGFVGAIEEQYKKLRDHAEAYPYVWGSYTVVYGGLFLWTAYRWRKLRRTEDRVRGLQTKLRKLVQDEQAAVTASKSEKSLEKSSSVSDKTPVP >A06p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3257560:3259188:1 gene:A06p009260.1_BraROA transcript:A06p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTTTSSVLVPKTVLPVSHPTRSPTLSSGIRLTGKWNPLLQSISSRRAAIVKAATVDSDYSSRRSSSNEQRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTIDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKQRNNSKYQSKRYERKRDGPPPPEQQRKPRQAPPPASDSS >A02g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2406449:2408822:-1 gene:A02g500790.1_BraROA transcript:A02g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPASLSAVMSARHVRRRLPLPEDVTKHLLDLSSLCWCAEDRVVEEFVMEQPIPPYLFAFAVGELEFREVGPRTRVYAESADVEVLDAAEVEFAGAEEMIRQGEKLFGEYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGGQVVAHELAHSWTGNLITNVNNEHFWLNEGFTTYAERRIVEVVQGADIATLNMGIGWRGLKDEMERFKDNLECTKLKNNQQGVDPDDVYSQVPYEKGFQFVLRIERQVGRTAFDEFLKKYIATFKFKSIDTDTFLEFLKANIPGIEKEINLELWTEGVGIPEDAYEPVSTIYTKIISLAEEFKQGKMPSEDEVAEWKGQEWELYLENLPKSCEPSQVIALDKRYRLAESKDYEVKVSFLQLAISSKCREYHGEVEKTLKTVGRIKYLRPLFNALAQSGGTEEKQLAKQVFAEARETYHPIAQGVVESILSKYI >A10p027330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17224954:17226807:-1 gene:A10p027330.1_BraROA transcript:A10p027330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVIGNPQTKKIYRTELTYRELGFRFGSQVISGESRNRVSFLTHQSSKWKEIKIQSSPRSVRCEAIVSDQAPFLKPTPKSRSLEGVKLFVGLPLDTVSDCNNVNHLKAITAGLKALKLLGVEGIELPIFWGVVEKEAPGKYEWSGYLAVAEIVKKVGLKLHASLSFHGSKNPEISLPDWVAKIGEEAEGGIYFTDRYGKQYKDCLSFAVDDVPVLDGKTPMEVYGGFCESFKSAFSDYMGNTITGITLGLGPDGELRYPSHQHETNLSGAGEFQCYDKHMLSALKHYAVSTGNPLWGLGGPHDAPAYDQQPHSTPFFSDGGSWESQYGDFFLTWYSSLLTSHADRVLSVASSAFKGTGVSLCGKLPLLHQWNKLRSHPSELTAGFYSSGGHDRYEAIAEIFAKNSCRMIIPGMDLSDEHQSPASLSSPESLLAHIKTSCKRQGVVVSGQNSAALVPGGFERIVENLKDESVGVDLFTYQRMGAVFFSPEHFHAFTVFVRNMNQFELSSYDQAVEGDKAKTMSIGSGTTGAASLQTA >A08g507840.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13771464:13771694:-1 gene:A08g507840.1_BraROA transcript:A08g507840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERATKDVISDERCKDMKKQRDKKRQNKINKPWNNPRAMKDSIKVRSPNQILKTKTIRDYRRQTSDEQNNIYDN >A01p002490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1125777:1128303:1 gene:A01p002490.1_BraROA transcript:A01p002490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINITQRIEKLPHSYKMHSAMCLELKKLVDRVMRIFPDIEDARPGSSTGIQTLCLINKALEKAKLLLQYCSESSKLYMAVTGEAILSRGCRAKKLLEQSLSDIRSMVPTVLATKITQVVQDLRSTELALESSEEEAGKAVRELMQRSTASSVSSDEVRDFHYAALKLHLSTPEAIVIERKSLKSLFAKLGECEVNKRQILKYLLCLLKKHEKIIWRDHKENSFTHLNDSVCASAAEAGCSEEEHNGTLPEHFKCPLSLTVMYDPVIISSGHTFERMWVQKWFDEGNESCPKTRRTLDDFTMKPNVAMKEQISKWCSRNGLHVQDPTTKQTKSSHNLDFSIASFGSSLYNLDFSSRDFSSSFSTDSPSKGGYFMPMQTIASESGTEVTDSSQSEVEIEPLSELTKLPWEGQVKTIQDVRKRFEDNNSRAFQSMSPSKFLEPLVTFLKNAAGTNGDTIKSGLALLLTFLSGNRRAIEALEEDVFETLSVFLGFELLVAEEALNVLEVLSNQPHSLSKITLSSLMKMVESGPEHLQEQAMITLKNLSSSNEICPEMVTLGFVKNLTLFLQQSVFSKQSIIIMRNLCNTEKGRVCITETPGCLASIAELLDSNVPEEQENAISILLQLCVEKIEYCNLVVREGVDIYPSLFLISNNGTEEAKVGASELLRALEEVDFNREEEEEEEEVAGETATNTSQVVAPDMHHDQPIITTPSPKKSCLFGLSFSILKKKKR >A07p035440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19179065:19181402:1 gene:A07p035440.1_BraROA transcript:A07p035440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNTDRRAIWGVPEKLQLHIAMLTLQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGITANQAFYLLGLDYTSPTFASSMQNSVPAITFLMAALLRIEKVRINRRDGISKVLGTALCVAGASVITLYKGPTIYTPTSHLHAHLLTTNSAILAPLGDAAPKNWTLGCLYLIGHCLSWSGWLVFQAPVLKSYPARLSVTSYTLLFGIIQFVIIAAFCERDSQAWVFHSGWELFTILYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYFVLYGKSEERKFAALEKAMIQSSAEHVIERAPVSRGSVKSSITAPLLHQSTDNV >A10p027430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17269146:17272542:-1 gene:A10p027430.1_BraROA transcript:A10p027430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGSSGGESHDTSTQRKLPPASSMLWVRNLRRYIGSGAGLGSEALMELEIKRILFEIFKEKQLKSQESSTIPSFYKKKPEEGSISQRVQKLAKHRFLKKQSDLLLNSDDLDALWNCLRESCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRQFFSPSNFMKFEKDDAGRIAILPFYLYVLRTVSLTQARIDMSEHDQDSDGFLQSHEMESYIMGLIPNLAQLRDMLETFRQTYCRIAAQKFFFFCDPNRRGKACIKKILLSNCLQELMELHQESEEEVTETEQAENWFSLTSAQRVCDMFLALDKDASGSLSKQELKEYADGTLTEIFIERVFDEHVRRGKSSRVNSREMDFDSFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPSDPLKITLNDLLGCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPPEEESQ >A05p010310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4332221:4333591:1 gene:A05p010310.1_BraROA transcript:A05p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASSLALSTFNPNSLPFSVSRPSSLLPPSVSFKLNSVFSSSSSAKCTSPASRFLRNVAVTEDFSVEEEDGSFADDVAPPPPQEQSFSADLKLFVGNLPFNVDSAQLAQLFESAGNVEMVEVIYDKVTGRSRGFGFVTMSSVSEVEAAAQQFNGYELDGRQLRVNAGPPPPKREDSFSRGPRSSFGGGSGGGGGSGNRVYVGNLSWGVDDMALESLFGEQGKVVEARVIYDRDSGRSKGFGFVTYNSAQEVQNAIQTLNGADLDGRQIRVSEAEARPPRRQF >A09p006350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3337052:3338612:1 gene:A09p006350.1_BraROA transcript:A09p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAYNPRTVEEVFRDFKGRRSGMIKALTSDVQEFYRLCDPEKENLCLYGRPDEHWEVNLPAEEVPPELPEPVLGINFARDGMQEKDWLSLVAVHSDAWLLAVAFFFGARFGFDKADRKRLFNMMNDLPSIFEVVAGTAKKPSKEKSSVSNNSSNRSKSNSKRGSEPRPKLTKPEPKDEEEEEEEGVEEEEDEDDEQGETQCGACGESYAADEFWICCDLCENWFHGKCVKITPARAEHIKQYKCPSCSNKRARS >A05p010320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4348585:4352800:1 gene:A05p010320.1_BraROA transcript:A05p010320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAEKMQISRFKRICVFCGSSQGKKSSYQDAAVELGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGIIPKTLMPRELTGETVGEVRAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVEEGFISTTAREIIVSAPTAKELVKKLEEYAPCHESGPKILPQRMMNVSRPAVHPVDTVPVAPPANDRPPARMKDVQGMPGTTGGLILRLSQFVPALISVSVMITTSDYRSATAFCCLVLAVSLQSMWSLSLFIIDAYALLVKRSLRNHLIVQCFTVGDGITSTLTFAAASASAGITVLINDLNKCNVNHCTRFETAVAMAFISWFAVSPSFILNFWSLASF >A09p049920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44034699:44035830:-1 gene:A09p049920.1_BraROA transcript:A09p049920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEDVQDPRIPKIASSIRVIPDFPKPGIMFQDITTLLLDTEAFKDTIDIFVERYKGKGISVVAGVEARGFIFGPPIALAIGAKFVPMRKPKKLPGKVISEEYSLEYGTDKIEMHVGAVEPGERAIIIDDLIATGGTLAAAIRLLERVGVTIAECACVIELPVLKGREKLGETPLFILVTSDAA >A02p057810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34773180:34778848:1 gene:A02p057810.1_BraROA transcript:A02p057810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKKIQDQMESLTHIPPGYRFHPTDEELVDYYLKKKVAFPGMQVDVIKDVDLYKIEPWDIQELCGRGTGEEREWYFFSHKDKKYPTGTRTNRATGSGFWKATGRDKAIYSKQELVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGPPHEEGWVVCRAFKKKLTTMSYNNPRTMMGSSGQESNWFTHQMHVTNGSYYHLPDLESPRIASNNSFNECLFFSMFLLLLASKYKHLKIHPQTCVNPNMKKEVQSQKVDHVAMKPTKSGLEETFEQIQKIKITLSSKNAKNLEKVCADLVHGAKDDRLRTKGPVRMFTKEPYQHMDRFELRIHKRVIDLLALLMWSSKSLPSPLNLNQMKSFSHIPPGYRFHPTDDELVDYFLKKKVAFPGM >A02p040720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25711343:25714468:1 gene:A02p040720.1_BraROA transcript:A02p040720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLYRRSLPSPPAIDFSSAEGKKIFSEALQKGTMEGFFRLISYFQTQSEPAYCGLASLSVVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEVVKAKGISFGKVVCLAHCTGAKVEAFRTNQTTIADFRNFVIKCSSSENCHLISSYDRGVFKQTGSGHFSPIGGYNAERDMALILDVARFKYPPHWVPLKLLWEAMDSIDQSTGKRRGFMLISRPHREPGLLYTLCCKDESWINIAKYLKEDVPRLVSSQHVDSVEKIISVVFKSLPSNFNTFIRWVAEIRITEDAKENLSAEEKSRLNLKQVVLKEVHETELFKHISKFLSSVGYEDSMTFAAAKACCQGAEILSGCSSIEFCCREVKCVNGAVEVEGTVVTGVVVRDGSEQNVDLLVPSTQTDCEYGPEATYPAGNDLFTVLLLALPPQTWSGIKDQALMNEMKQLISMAFLPTMLQEEVLHLRRQLQLLKRCQENKEEEDLAAPAY >A02p029110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14694667:14695941:1 gene:A02p029110.1_BraROA transcript:A02p029110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHRMKRKELQAMCIKHGVPANLKKTEMVCRLTSLLEDGQSKNMLETTVKKTQVESVQKELAVEEFDGYCEGELVKVTLSGNQEPIRTDITEAAMELGSEKLSLLVTEAYKDAYAKSLVIKEEEEKEENVVGSRKVKKVKFSPESENQVFEFTRSLKKLPRRKNARTCSSQGGGSIELRRSKRTASKGATVAAGCNGNSASGIVKPEKVSSSLVEEHKVPRGKDDSKVEVVLRRSKRFANDIIKNTNGTLLNSSKRVTRRRGA >A09p023920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13234514:13235268:1 gene:A09p023920.1_BraROA transcript:A09p023920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA4 [Source:Projected from Arabidopsis thaliana (AT5G43700) UniProtKB/TrEMBL;Acc:A0A384LA06] MENVGVCDEFVNLKATELRLGLPGTEDGGEEERQKVSCCKSNKRAFPETEKDIESTGKTETASPPKAQIVGWPPVRSYRKNNIQTKKNESEGQGMYVKVSMDGAPYLRKIDLTVYKQYPELMKSLENMFKFSVGEYCEREGYKGSEFVPTYEDKDGDWMLVGDVPWEMFVSSCKRLRIMKGSEAKGLGCGV >A10p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3788146:3788626:-1 gene:A10p015100.1_BraROA transcript:A10p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVKSKMWELHGLQSLKLVVLHGLRSFSGVLSGVQADLIALSWSAEAMVDLKFRNVIFECCSGKVAEIFSNPLSHPPNYHAIHSIIRHIHSMPGSNLQFSFTSDQRLRLQSYVAWNGPRWLTAVLSEEATAA >A05p018080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8240411:8248037:1 gene:A05p018080.1_BraROA transcript:A05p018080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase U1 [Source:Projected from Arabidopsis thaliana (AT2G29490) UniProtKB/Swiss-Prot;Acc:Q9ZW30] MARFWAKFIDEQVSSKSREGERGRIEEAREKFAFLEKEVTGKDFFGGKTIGFVDMVAGSIIPFCLARLWEGMRIDVIPEDEFPELNRWIKNLKDIESVRECIPHREKQIEHMMKRIINTLRL >A03p059690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25905163:25909598:-1 gene:A03p059690.1_BraROA transcript:A03p059690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLDLGLSSLFLLISLVLPVVAFVVRRKWRLAALRREEIRRLLIHASEEAARAELESSVEFSSVYVPNSFQCPVCYSPASTRCSRCKAVRYCSGKCQIIHWRQGHRDECHPASVLYNTDDEKSDCDLKFREGNEGLTPDETLLLHTEQVTTNVQVREAILSNPVISPEDGGGESADNKDELMDKEEAVSVAETSGSSFSGFSSSPRNDSGDDDDISFCESSSSSDSEISEPPRDDHVSVESAETCFSNIDDVTSKPLSPKFVQLVESANDLASLPKLSLNKPGGDVGQNPSESSSLVSSVGTDGHQRSADPSLLNSSDFWGTALGSVERVSETCDGSKSGKSSLHLSFGSSRDISAAKISEPRNNNLKETTRAGLGTRNFSGEVNSRERIAKRSDEAKISLPRSSSLDVPSPLNPTVLSTVTLQKSKSTSAGSGFMLAPMKVGEVQRLSSKASDTRECADAMKHSALGAKSGRALDHQKQNGLDVHRINSLNGRNGMKASVLKAVDQWTRPKSLAENEIAGNHSHKGLFSYELFSKLYTSKIEFQPCGLINCGNSCFANVVFQCLMFTAPLTTYFLQQLHSRTCPNKEQCFTCGFEKLVLMAKEGKSSLSPSGLLSQLQSIGIRLGNGKQEDAHEFLRFVVDTMQSVCIKASGCDMPKTKKLEDTTLIGLTFGGYLRSKIKCMKCQEKSERREKMMDLTVEIDGDISTLEEALHRFTRTEILDGENKYKCGSCKSYERAKKKLKITEPPNVLTIALKRFQSGKFGKLNKLVRFPETLDLTPYVSGGSEKSHDYKLYGVIVHLDTMNAAFSGHYVCYVRNSQNKWYKADDSTVVTSDVESVWTKGAYMLFYARCSPTPPRLVLCNKSEASNKKSSVPVVPKATVSRSVSTASPVLSSNTPGGDRPGNIQSFYSSFQRLQRILEEDSYSDSSSLFDSTSDECSCSTDSTSMDDFADFIFGDNQGRAHGQSEAPSPTSSSSSSSPPFTRHSRLGDLSRSCQETCRNSRHRVSLGRER >A08g504110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6694749:6696221:1 gene:A08g504110.1_BraROA transcript:A08g504110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYLPDPLTTSTKPSLIKEEERFDEETLSLQAERILYSVTFPMVFKTALELGVIDTIVAAQGVWLSASDIALRLPTKPTNPKAPVLLDRMLVLLASHSILTSRMVEAGENGQTGNGERLYAAEPVCMFFLNRGEGSGSLASLFMVALSEVYFKSWTHLKDMVLEGKDAFTSAHGMKLFEYIGSKEPFGELFNRAMSESSTLTMKKVLEVYRGFEDVNTLVDVGGGIGTVINLVLSKYPHIKGINFDLPSVLAHPSVYPGVENVSGDMFKEIPNGDAIFLKWILHDWTDEDCIKILKNCWQSLSEGGKVIIVEMTTPENTKINDFSSNIVYAMDMFMLTQCSGGKERSFSQIKNLACDSGFVRCEIKCHAYSYCIIELHK >A05p030250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15429924:15430443:-1 gene:A05p030250.1_BraROA transcript:A05p030250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKARKWEETGRSVPSATLVQVRCILMCARRKVKDPCLREIQMFKVHELIKVSNQSITTVAGTCVTNQMVQKGDVADLIRSCSFEVDPGFTVGLRMSSWSEKKSSGRSVWSSGRGSSQSSILDVRGLIRYTAWSVCTVWSEE >A09p071940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55353191:55355659:1 gene:A09p071940.1_BraROA transcript:A09p071940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-ribulose kinase [Source:Projected from Arabidopsis thaliana (AT2G21370) UniProtKB/Swiss-Prot;Acc:Q8L794] MELGEESNQPQIHTQKGGNESHIESERVRKMLMLRQVQISSFKLFQSPKQPGCCSSRSVTLPRTRLYRNRSGLRAMGGNGLEKLYLGMDFGTSGARFTVIDEQGVIRAEGKREYPPFMREESMDWVSSWKATLFSLLEDIPITLPSLPFLYNQSCPDALPEVKSIAPANHTVCSGSSTLCKLVSWWNLKLPNRESAVLLHQADWLLWLLHGRLGVSDYNNALKVGYDPESESYPSWLISQPYSQLLPVVQAPGTSIGNLKDSIRRQFGFPDDCIVCTGTTDSIAAFLAARATEPGKAVTSLGSTLAIKLLSTKRVDDARYGVYSHRLDDKWLVGGASNTGGAILRQLFSDEQLERLSREINPTVASPLDYYPLKSSGERFPIADPNLAPRLLPRPNSDVEYLHGILESIARIEGKGYKLLKEMGATEAEEVLTAGGGAKNDKWIKIRERVLGLPVSKAVHTEASYGASLLALKGAKQKRGL >A04p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11074639:11077830:1 gene:A04p018410.1_BraROA transcript:A04p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKTAILTGEACSPLLFRHVSPGLGDSTLQFRFIHFWEARKNVKGGPCIFLGIEMLMIDEEGTLAQGFIGQNRRNQYEKELERGSIYTLTNFYASNSKVMYHVADQNLVICISHVSALSKVEENIEGILTKRFRIHSFSDFEANCDLRGDIHDVVGHLKLVDGQPLHQRLVLCTKDDSTSRRVMVHLQLKNGPVMNIYLWDEAAENFCLKFDACAATRTVLLVTTVNPKRLGGKLRLSSMSYSRVFLDEEVDSPKEYLTWLTTNPSATSSVNPVEVVKAETLTISEIAVFIKRQPAKENGGDGTELEVPLPQCFIDTIEQIKKFRIKVAHYNFTSTRLSLTATRIVSSAVLLLKNPPLNTPPIPLSTTQNNRAANCSGNLRRG >A09g507470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21586439:21590689:1 gene:A09g507470.1_BraROA transcript:A09g507470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQDLEDHSLPKCENLPTEIWKLITGNKHSTGADKNSHIRHPSIRYLHMMLVHAFYPRKQAGTVTEEDMRLLCPAIRPYAQPGVLPLPSIDIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLRFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTILEIPGAVSFNIPHDYFLGEHGPLDPIQAAPSRRRSVPTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAFANTSNPQPPPPPDALGFPLTSAQLQRKWRNPPTQPSTSGNKSPSLASSDSEDEIDEVESQPWYGGSDFKKKELKYWSTTWSSWRHLEAFGAQKGVFRVVIERARHGSDQSGATPPSRSDLPIRATLPERQGEVARVLVTRRRENEHGATSRSDTARSLPKPGATLPERQGEVARVFITRRRENEPGATSHSDTARSLPKPGATYRSDGLRSLRVLFLLELVISQGPFGATKRRIIFVLRKNHQKPLESDLFESIDQYIIEILCSYLFHVFLYMINLKSNMGLRGIIEISDSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICLRSLENS >A06g503190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10079369:10082147:-1 gene:A06g503190.1_BraROA transcript:A06g503190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRVKEANDSGSVRPDNLQVSCLCLAVDDLPGSDPPRIVNADVIFAIDFEICFLKRLKVKSLVLHLTGLFQKFDLSGRLTFQSSVRTLYNKKLPNEEKSDIKTYQNTQIYYERKTSSEDFHEVQMTSRKSRRLPGSRLEDFLKVVWKTSWKSSSALYFRRLTCKSSQKSSRSEKKAYQIQILKICISKNLQMT >A05p042130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25499159:25505484:1 gene:A05p042130.1_BraROA transcript:A05p042130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVADDDDSVQIVGLLDQTLNSIDGVSVHEATEALDRLSTELPHFPYRLLSIASGGENPSQRVAAATYLKNFTRRNTGSEGIISEVSKEFKDQLLQALLHAEPALLKVLLELFHIVVVSEFVKKDAWPELVLELRSAIEKSSLISCSESSWSTVNALMVLLTVVKPFQYFLQPKLVKEPVPQQLESISNEILVPLVAVFHRLVDKALTTHEWGEVETEKTLHIISKCLYFSVKSHMPSTLSPLLGSFCRDMFRILDSLSFDWSVIPSDGYLLRLKTGKRSLLLFGTLVSRHRKYSDKLVPEIVKCSMKIVKHSSNISKLGSLAERIISLAFDVISRVMEIGPGWRLLSPHFSLLLDSAIFPALALNERDISEWEEDADEFIRKNLPSELEEISGWREDLFTARKSAMNLLGVIAMSKGPPVSTTNKTSSAASKRKKGEKNRINNQRRSMGDLLVLPFLSKFSVPSKSNILDASTSAAYFGVLMAYGGLQEFIQEQNPEYVASLVRTRVLPIYSTSDCSPYLVASANWVLGELASCLPEDMNVDVFSSLLKALAMPDQEEISCYPVRVSAAGGICSLLENEYTPPEWLPLLQIIIGRIGKEDEEDSILFQLLKSVVESGSQDIAMHIPYIVSSLVSNMLNFIDPSEDQWSQATVGGLETLAAMSQTYEISKPETDEEENEATEIWLTGQGTISKALSALLQHAWLATDVPPTSCIDHFSKMLWFIALASTNCNVAVELRLADLLAVWADLLASWDGWEESEDLSVFDCIEEVVSISTKYGFRSFLYRDMPSPPAMPVRPRSVVESIGSFVSKAILEYPSATRRACSCVHTLLHVPDYSSDIEGVRKSLAVVFSEAAFSHFLQLREKPCSLWRPLLLAISSCYISHPDVVECVLEKVVSGGFELWVSSLAFSYSLTLDASPSIASEVKLYVLTLVKVIELLLVVRQGNATDDLVRKCFVSLMDASRRLEEIVEETDDDEDDGEPEEEEEESDENDSNDEDSESDDECKETEEEFLERYAKAAAELEDSEVIEEADEEDNEREIDLGHLDEIDTQKLVLSLMERHHQKVVKLVPSEVISTFLNAFPAYTGFFT >A10p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5484539:5485197:-1 gene:A10p012960.1_BraROA transcript:A10p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWFQRRRVAKKDQWAKCKQREQPVAVSYRRERHPQTLKHTVTYSFQRTHTRRNLHKESNSDRLAHPTDTKPAARGGSATDDAVVQRRKLEIAASNSSSRDRVHPFNRQAHIEQRDLLSTPPPT >A06p000460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:345787:348583:-1 gene:A06p000460.1_BraROA transcript:A06p000460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYGDGNFMDMVSSRWAPPPSTSLSDNGLNPISDIFLQTNQSKQRPGLVDRVAARIGRNIPPIRTDNLSPCAVVFKDPKTVPFGIEISPGLSPSVMLQSRSQLINPYSFPNDEPPETVENSGDDHAKTMIFNKDVPYQPMHFDLPPQEALIPSHVDPIGAPLVASFESEVADDTDTKLMSLDDESESQDEEESNEDEDTDDPSKLGRKRRIDEEEDDDIADEHEVKPSSPKRRKYDEVSNMMTALRASNNPRVRLRMETEEAQPNDGHRWRKYGQKIVKGNPNPRSYYKCSHKGCIMKKHVERAADDLRMLLVTYYGKHGHAAPLARSSSSSGPKNLYRSSVPARLGRPPFSSSSAAQDMRSFPYPSASAPRDMKRFGYPSALAPQAMRPFPSSLNPGVDMTHLYKTGLSKLPSLPVNQNHGFMGQNAEPWVNQNHGFMGQNAEPQVNQNHGFMAQNAEPWVNQNHGFMDQNAEPRVNQNHGFMSQNAEPRVNQNHGFMGQNAEPWSNQNHGFMGQNDEPWINQNHGFMGQNAEPWVNQHHGFMGQNAEPRVNQNHGFMGQNVEPQVNQNHGFMGQNVEPQVNQNHGFMVQNDEPWLNQNHGFMGQNDEPWLNQNHGLMDQNDEPWVNQNHGFMGQNNEPWLNQNYGFMGQNDEPKTDHVIPDGTEVYKGLRERMLANLGVKR >A08p027790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17478735:17480888:1 gene:A08p027790.1_BraROA transcript:A08p027790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTTAALAFTLTLVSVSATVSLYRWRRRGEDLEHRINELEKSLGSVSEKSASERRGRVKAQQALREALAQSESHDSHSTIYPMRPIGTIQSCFSTRNGTPRQPLLVSLARASLVFDPALVPPASLEGLGEYSHCWIIYVFHLNTDIEKLWRKPSQSKLKAKVRVPRLNGERKGVFATRSPHRPCPIGLTVAKVEEIQKDKVLLSGVDLVDGTPVLDIKPYLPYSDSIQGASVPNWVQEDCLLAVASVSFSDVFSSSITSCWKLIEKKSLYGSADEFKSLISQVLSWDIRSMSQRNKPHDTSGADSTVYHLILEGLDVSYMIDNESNILVQDVSLPNNLEDVAET >A03g506580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23761602:23764065:1 gene:A03g506580.1_BraROA transcript:A03g506580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPATAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGRGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A06p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20797059:20798944:1 gene:A06p038680.1_BraROA transcript:A06p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKLPRSLSTSSSTPFASSAFKPHRKNIPSRNLILIIIAVSAILLLLLTLIIYSTVSKSSHNAPHKPPSSAAPPPPKTPSPPSPPPIAQIRLACNATRFPDHCFSSLSKPGLVPPDPKPVQIIHSAISLSFESLKSGQSHIKSILDSSAGNKNRTNIATICLEILSYSQHRTESTSAAVASDGGGIKDGRAWMSAALAYQYDCWSGLKTVNDTKQVVDTITFLGDLVKLTGTALGMMVSFDSFGDDVASWIPPATERDGFWEKTKPGSGTVKDASLGFPSGLKEDVTVCKDGGGKGCGYTTVQEAVDAAPATNGTVKFVIRIKEGVYEETVRVPFEKKNVVFIGDGMGKTVITGSLNVGQPGMTTFNSATVGVLGDGFMARDLTIENTAGSDAHQAVAFRSDSDYSILENCEFLGNQDTVYSHSLRQFYKQCRIVGNVDFIFGNSAAVFQDCSILIASKNSKLDQGGGANNAITAHGRIDAAQSTGFVFLNCSINGTEEYMKEFLANPKGHTNYLGRPWKEFSRTVFVNCNLESLVSPEGWMPWDGDFALKTLYYGEYKNTGPGSVRSKRVPWSSEIPEKHVDVYSVASFIQADAWASKSA >A03p040340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:16809092:16809418:1 gene:A03p040340.1_BraROA transcript:A03p040340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGKKAAWHVASPLMAKGLAIREALIFCKAHGLQTCRLESDCSHLIKALNRKGPLTELHGVLSDIAKLSSSPPLSISFAWIPKNQNIVADSLAKTAICMVETFMAPT >A08p030180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18502822:18505435:-1 gene:A08p030180.1_BraROA transcript:A08p030180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDDPIVLSFDSHLSFLSYISSASALRQEPYVLETTVSGFSKKPIVSMKSIAFHKLPNLETVAVITKAKGANAYSWDDRGRGFVEVRDFGVPDTAKSISWCGDNICLGIRKEYVILNTANGTLSEVFPSSRVSPPLVRLLRSPYPLIQTVVLQIIRHLDKSNSAVIVDLDNSAHVLFPVSIGAQIVQLTASGNFEEALALCKLLPPEDSSLRAAKESSIHTRFAHYFFENGSYEEAMEHFLASQVDITHVLSMYPSIILPKTTMIPQPDKMVDEASLYRGSSGISDDMESSSPRYYLESEGNAALESKKMKKATSEGTEEVISDAVGKSMKSNKGRGVILPLNSGAREMAAILDTALLQALLHTGQSGAAVDLLKGVNYCDVNICEEILMKSKIYSALLELFKSNSMHHEALKLLKQLLEDSKSNQSKTSFL >A03p037990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15863799:15867908:-1 gene:A03p037990.1_BraROA transcript:A03p037990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAARPLVTVQGLDNDMTTDQSPTVVLPNVMTAPVRPDIVSFVHAQISNNSRQPYAVSTKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNQCRGGRMFAPTKVYRLWHRRVNVNMKRHAIVSAIAATAVPALVVARGHKIENVPELPLVVSDSAEAVVKTSAAIKVLKQIGAYDDAEKAKESIGIRSGVGKMRNRRYVSRKGPLVVYGTEGSKIVKAFRNLPGVELCHVERLNLLKLAPGGHLGRFVVWTKSAFEKLEGIYGSFEKGSEKKKGYVLPRAKMVNADLARIINSDEVQSVVKPVEKDATRKVMKKNPLKNLNVMLKLNPYAKTAKRMSLLAEAERVKAKKEKLTKKRKTVTKEEALAIKAAGKSWYQNMISDSDYTEFDNFTKWLGASHPSQCKKLPNKKTQNEKPVSFWFGLTGLFIYFKETTSRRRKTAASPPPPPESGFVLVLLMSTEQERLHPGSDAVDDCDASEVEVKMSEFSAEPLSGQDQELVGCLASSAGDLATEKESVNGGLDLSIEAQNVESEKKKVSGKQGSKAESLDDTESFMALEPYESKDESALDNDSAPVRSKVKFLSDSDLVWAKVRSHPWWPGQVFDASASSEKAKKHFKKGSFLVTYFGDCSFAWNDATKIKPFRQHFSQLAKQSSLPDFVDAVEAALEEVSRRVEFGLACSCVGKEVFERVKSQKVMNPGVREESSRVQGGDKVSSVVVFEPAKLVEYVKGLACAPRYDASDELMFVSQRARLLAFSRWKGFIELPEFETLQGSLESAPKMKESAPEPKKSTKRRKTEDKDDGVFEYEDTAVLKKKEKKTLAEFIAEIRLSKSNGKRSHGEKKRKVVDTKLTKSAKKMKVNVQKEDSGSPVSLKNDQKVTPKKAKTSFGIGASILRVANQMHCSTTPTGLVPCSKSNGSGKSLQGRSKPEALSKRKTSPNETLSSIHSASATKASNEKANLISIDKLQSGEFEHVEDSKLEESGDLKGSSEEQMANKDKEEAANVVGEMTTEESNLTEEKTTGSVLKEQLVNKN >A08p024960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16178409:16182205:-1 gene:A08p024960.1_BraROA transcript:A08p024960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPCLTEETAKTVLRQVEFYFSDSNLPIDDFLKKTVTQSEDGLVSLALICSFSKMRGYLKLGDSKGDEIPEDTIKAVADTLRTSKALKVSEDGQKVGRSTEMLKLEDLIEQLNARTVAASPFSFDVKRDDVEAFFSQYGKVNSLRLPRHVAETRVFCGVALVEFPTEEDAQNVIKQKLVFAGLDLDMKPKKEFDDEREKDEEKFADYRPQKGSANQKNGSDHKSGSDYEANYPKGLIISFTLKRSDEEGTTEEKSSEEPTAKTMDENESKPADTENTDQVQGQGTEGEDEEKSPDSPTKNGEREEKGALATHKDNKEVVLREDLKAVFGKFGDVKFVDFKMGAETGYLRFDEPEASQKARAAAVLAKEGGLSVKNFIAVLEPLTGEAEKEYWGLLRSKDKGGRGGRGGKRGGGRGRFGRKRGSDSPGGRWNKAQKWICFSEPYIRSPLFSIDPYDHCLLLLLKAYYDPKGTDFGIM >A08p046140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25167746:25172738:1 gene:A08p046140.1_BraROA transcript:A08p046140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFISLLLLLIISLSSSSFAQPSFRPKALVLPVTKDQTTLQYTTVINQRTPLVPASVVFDLGGRNLWVDCDRGYVSSTYRSPRCRSAVCSRAGSDGCSQCFSPPRPGCNNNTCSGTPDNTVTRTATSGEIATDVVSIQSTNGSNPGRVVQIPDLVFVCGATFLLQGLASGTVGMAGMGRHNIGLPSQFAAAFSFNRKFAVCLTSGRGVAFFGNGPYVFLPGIPISTVATTPLLINPVSTASAFPSGEKSSEYFIGVTAIKIDEKTVPINATLLKIDGTTGVGGTKISTVNPYTVLETSIYNTFTSAYAREAAGRNITRVASVAPFGACFSTENVGVTRLGYAVPEIQLVLHSNDVVWRIFGANSMVSVSDDVICLGFVDGGVNARTSVVIGGYQLEDNLIEFDLATLLLCIFSLSSSSSLAKQSPRPNALVLPITKDKTTLQYTTVINSGTSRNEPGTPLVAASLVFDLGGRHLWVETDTYYWSTSFQTSHCNSPMCYRAGSHGCSRCYSDYRPGCNADPCTLTFKNPVNGMVDTGDIASEAVFIQSTNGSNPGRVVKIPSLIFSLGTRDLLNGLANGTVGMAGMGRHSVIGLPSQFAKAFGFNRKFAVCLPSSSSSSGGRGVAFFGGNGPYVFLPGIKISQLTTTPLLVNPVLVADPIIYEPFVYGEKSPEYFIGVTAIKIAEKTVPINTRLLKINGTTGLGGTKLSTVNPYTVLETSIFKAVTSAFVREATARNITRVPSVKPFGACVSTKNVVVTRLGYAVPEIQLVLQSKDVVWRIFGANSMVSVHSDVICLGFVDGGVNAETSVIIGGLQLEDNLIEIDLAKNTLGFSSTLLGRQTNCANFNFASTA >A09p006130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3261300:3264713:-1 gene:A09p006130.1_BraROA transcript:A09p006130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIKLSFPAHTPPLSVIAALHISSSPVTTDSSSAAATVPTFIFSDGRKLSGTSVLLRYVARSAPSLPDFYGHDAFESSQIDEWVDYACVFSSGSEFENACSRVDNYLQSATFLVGHSLSIADVAVWSALSGSGPRWESLRKSKKYQNLVRWFNSISLEYAEPLSKVAAYTLKKGSGKPVAASKSKDQQTDANDKGKPDVDLPGAVMGKVKLRFAPEPSGYLHIGHAKAALLNKYFAERYQGEVIVRFDDTNPAKESNEFVDNLVKDIGTLGIKYERVTYTSDYFPELMDMAEKLMREGKAYVDDTPREQMQKERMDGIDSKCRNHSVEENLKLWKEMIEGSERGLQCCVRGKLDMQDPNKAMRDPVYYRCNPMSHHRIGNKYKIYPTYDFACPFVDSIEGITHALRSSEYHDRNAQYYKVLEDMGLRRVEIYEFSRLNLVYTLLSKRKLLWFVQQGLVGGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEERRVLLTLTDGPDEPFVRLIPKHKKFEGAGEKATTFTKRIWIEGADASAISVGEEVTLMDWGNAIVKETTKDEEGRVTALSGVLNLQGSVKTTKLKLTWLPEIDELVKLTLTDFDYLITKKKLEEDDEVATFVNPYTKKETLALGDSNMRSLKCGDVIQLERKGYYRCDVPFVKPSKPIVLFSIPDGRQHQPLSAAK >A02g511320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30117832:30120332:1 gene:A02g511320.1_BraROA transcript:A02g511320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNLYGCAIRRKCQRRSTMSFTRRLSMSSWIHLLTHTTEGEHEFMSILYSPGMGPLNNEDVTNPKTKNIRLHVKRVFISDDFDGELFPRYLSFVKGIVDSNDLPLNVSREILQEGRIVRIMRKRLIRKTFDMIQEISESENKEDYKKFWENFGRFIKLGCIEDTGNHKRITPLLRFYSSKNEEELTSLDEYIENMGENQKAIYYLATDSLKSAKSAPFLEKLIQKDIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKEREAKQEFNLLCDWMKQQLGDKVAKVQVSNRLSSSPCVLVSGKFGTSTWRHIKLGVHEGRRILEINPDHPIIKDLNAACKNAPESSEATRVVDLLYDTAIISSGFTPDSPAELGNKIYEQWLLEEDGAELKKKKARVLVKE >A04p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2820929:2822415:-1 gene:A04p005380.1_BraROA transcript:A04p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGILEVNLISGKALKRSDFLGKIDPYVEIKYKGQTRKSSVVKDGGRNPTWNEKLKWRVEFPGSGTDYKLTIKVMDHDTFSADDFIGEATVYVKELLEMGVENGKMELRPTKYNVVDSDLSFVGEITIGLSYSVVQENGMEGEEFGGWKHSQF >A06p014810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6607997:6609253:-1 gene:A06p014810.1_BraROA transcript:A06p014810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNENAKAQPLEKSNFTRRCSLLSRYLKEKGSLGNINLGLIRKPNPPGKQHKADSETKTLDVFQRVLKGEPSPGKANEDSNLSSQLTIFFGGHVLVYNEFPTDKAKEILEVAKQAKPVTDINIKTQINVENNDNKSNMVLPDLNEPTNSVDIINQQNQVVERIARRASLHRFFAKRKDRAVARAPYQVNQNVGQHHYPPKPETAHGRSLKSGQSSKAPEEDVAQTMSQPKPEGDKYMSIETEEEGQCSKDLQLRL >A05g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29061290:29062177:1 gene:A05g509500.1_BraROA transcript:A05g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSIMVRLSKNINSSFKAPLHIYTYTTSESSVDILFNSSSPSAAPVTSIYS >A09p033520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20286358:20289151:-1 gene:A09p033520.1_BraROA transcript:A09p033520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVALDGRWTRWNKRGRKEYLLVTFTQKSLDVCSRSRGTPRMPVPAVKIFKETTTYFRICPNLHIFLSQTPGEGSISLTIEKNPLNPSSILYAHGTSSAWINLRLGRFRTRTNDNRKTIDKLFQLPGTVSTDINTRMDNMLTELNTKYDIVSNHIKRIDVQLPQTAESVKRQQGMLPGKSVMNPRVEHCNATELRCEKAEGKEPEQLSAETAPSAEERTKQHASSEVTAPDEPIEIPPVRVYVPKVPYPIPPRYLMDPISAEQLAGFRKMVRRLLQNISFEQVWEIRPLH >A01p035480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22982747:22984153:-1 gene:A01p035480.1_BraROA transcript:A01p035480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSPANNIHASCESLKEPKLTSNLNQFNLLVFGLGIQWIGFLFQFEVNQHPVAEVMSVLLKSGQSASREGAAEKRNICRSMQNSRHRSIWMPECGPSIFYDQFRPRSHHILPIYPLKTKNPILLFSKPLLMAMLLTIMERESLGLE >A03p047270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22475989:22478261:-1 gene:A03p047270.1_BraROA transcript:A03p047270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Indole-3-glycerol phosphate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G04400) UniProtKB/Swiss-Prot;Acc:P49572] MDALVPVQSAGQRLPLRLVSPSLHRCIGSVSIRRPVSGFAMDTRISSRSPSQFTIRSQQSDLKESLGGVASSSDVRIKEWEVDMYQNELAISQGIRIRRKPPSKAPLGYSGPFELRLLNGQVADSPSNILEEITWFKDQEVSRMKELNPLEALKKAVENAPPTRDFVGALRMAHQRTGFPGLIAEVKKASPSRGILKENFDPVEIAQAYERGGAACLSVLTDQKYFKGGFENLEAIRSAGVKCPLLCKEFVVDPWQIYYARAKGADAVLLIAAILTDLEITYLLKLSKKLGLAALVEVHDEREMGRVLGIEGIELVGINNRSLETFKVDISNTKKLLEGEHGRQIREKDMIVVGESGLFTPDDIAYVQDAGVKAVLVGESIVKQNDPEKGIAGLFGRNISQT >A09p052930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46095844:46097311:1 gene:A09p052930.1_BraROA transcript:A09p052930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent alkenal/one oxidoreductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G23740) UniProtKB/Swiss-Prot;Acc:Q9ZUC1] MNTALATTTATTPALRRETPLLRHCSLAKPSVFRLNRVGFKSGVQTIGKSLLKISASSQSASAAVNVATNASIPSEMKAWVYSEYGGVDVLKLESNIAVPVVNDDQVLIKVVAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSAVKDFKEGDEVYANVSEKALEGPKQSGSLAEYTAVEEKLLALKPKNIDFAQAAGLPLAIETADEGLVRTEFSAGKSILVLNGAGGVGSLAIQLAKHVYGASKVAATASTGKLELVRSLGADLAIDYTKENIEDLTEKFDVVFDAIGMCDKAVKVIKEGGKVVALTGAVTPPGFRFVVTSNGEVLKKLNPYIESGKVKPVVDPKGPFPFSRVADAFSYLETNHATGKVVVYPIP >A08g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6471389:6471987:1 gene:A08g503750.1_BraROA transcript:A08g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMMAGLNGLAEEDQAKMASMIDQLQLRDSLRMYNSLVERCFVDCVDTFTRKTLQKQEETCVMRCAEKFLKNTMRVSMRFCELNQNAPTQD >A09p079370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58445015:58446016:1 gene:A09p079370.1_BraROA transcript:A09p079370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMSSGLLTGLLLFFLVLVMIQVGEAILQDMPPTDTRCVCQEINSNVDIISEDHSTISVKLNFPYNKNISINVGRCKTTQKEKIEGMEVEIRKLEGTVKAIHENLLKLINGDADVITVSANTNTLLASYSVMAVIICIMVSVYQVVCLKAYIEANKLT >A05p001210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:118993:121693:1 gene:A05p001210.1_BraROA transcript:A05p001210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDVVKIDYNYKGDNALKELERITSKAAEVQDNILCGILEQNKDTQYLSKYMKGSKDVLEFKRSVPIITYKDVCPYIQRIANGEDSSLITGHLITEILCSSGTSGGEPKLMPTISEDLDRRTFVYNLINPIANKYLEGLDKGKTMYLNFVKAETSTPCGLPIRTVLTSYYKSKHFQCRPYDPFNDLTSPIQTILCEDSNQSMYCQLLAALIHRHKVMRLGAVFASAFLRAISYLERKWSQLCQDIRTGHLSSMITDPGCQMAMSSLLASPNPNLADEVEEICGRPSWKGILCQLWPQAKFIEAVVTGSMAQYIPALEFFSQGKIPLVCPMYASSETYFGVNVKPLSKPSDVVFTLLPNMCYFEFIPLGKNGTLSFDVEDEEVVPCDKVVDLVDVKLGRYYELVVTTFAGLYRYRIGDVLQVAGFYNKAPQFKFICRRNVVLSIDLDKTNEEDLHRSITLAKKKLENKAFLAEYTSYADTSSVPGHYVLFWEIQWLEPDDEEEKLLMEECCIAVEEELDYIYRQCRKRDRSVGPLEIRVVKAGTFEKLMDMIISQGGSLNQYKTPRCVKSNSAMLKLLDGHVTASFFSPRDPTWSA >A07p033780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18450618:18451242:-1 gene:A07p033780.1_BraROA transcript:A07p033780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVMLSSVTLKPAGFTVEKMSARGLPSLTRASPSSFRIVASGVKKIKTDKPFGVNGSMDLRDGVDASGRKGKGYGVYKFVDKYGANVDGYSPIYNEDEWSASGDVYKGGVTGLAIWAVTLAGILAGGALLVYNTSALAQ >A04p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18996937:18998776:-1 gene:A04p032480.1_BraROA transcript:A04p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLVLCLCVYLLFTQGAEGSIADFDCVEIYKQPAFQHPLLKNHKIQETFSSYEDLEKSDNYEKKEQCPKGTVPIFRQRNGSESFHLNTPEHLGQHFATIETMLDGSIYRGAETEISVHSLNLQDNQYSKSQIWLENGPSGQLNSIQAGWAVHPRLYGDSVTRFTIYWTDVSSGNWGLKLGNEVIGYWPKELFTELNKGASLVRYGGNTFMSTDEISPPMGNAHFPVADFLKTAHFKNVLIIDSNYKRVYVEDKKIRRYADIFECFRVTYWGYSKSSGVSFSFGGPGGKCGV >A09p046680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40595536:40597423:1 gene:A09p046680.1_BraROA transcript:A09p046680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPHSNGNLPKLDDGRESNGYGDGVILGLDGGATSTVCVCVPFFPYGDRFPEPIPILARAVAGCTNRNSVGETAAKDSLEQVISEALVQSGSDKSHVRGVCLGVSGVNHPSDQEMVESWIRGMFPSHVKVYVQNDAIVALASGTMGKLHGCVLIAGTGCIAYGFDEDGREARASGAGPILGDWGSGYGISAQALTAVIRAHDGRGPETMLTSTILKALGLSSPDELIGWTYADPSWARIAALVPQVVTCAEAGDEISDKILVDAADDLALSVKAVVQRLGLCGKDGTASFPVVMVGGVLNANMKWDIGKEVSKRINKHFPGAQTIIPKVEPAVGAALLAMNFLAVKNDVS >A10p028960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17840332:17842005:1 gene:A10p028960.1_BraROA transcript:A10p028960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQFTPAKSLCRSTNPSSDYLTLTNPDPVLIFVIFFSFVGFSYCRQKSRFPRGFVGNSSMEELKISSRPSICFRPINPSDLERLEQIHRDIFPIRYESEFFQNVVNGGDILSWAAVDRSRPDGLSDELIGFVTAKIGPAKETEISDLIRYNSCKGEETLVYILTLGVVESYRKRGIAKLLIKEVIKYASSIPVCRGVYLHVIAHNSPAIRLYKRMSFRCVRRLHGFYIINGQHFDSFLFVYFINGSRSPCSPFDLVVFVLNYMRSGIKSVASRLTMKHEEKGLKWIKCKDITRCLLPTQTKRNLASERVSSGYDYV >A01p022730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11187234:11188583:-1 gene:A01p022730.1_BraROA transcript:A01p022730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILFLSLKNSLFYRIIWILFVIPYCVSSADELHRRCSQSFSCGDQTDLFYPFWIPSRVECGHPDFQLQCSERFAEVSISSVKFRILEANSTSRVIRLARSDYIGGFCPQHSINAQFNENVLPFVPGTDNLTIYYGCSPNFPRSFPLLVGELDCGGDDEKSYYVTTNLSSPLLSEIGGFLNDFSGQCKRNVSIPASGPALITLQKNTTQDNLKKTLQEGFNVGLNHECLMCIASDGACGYNRISNESICHCIHQTYSLTCRKKGFSAAAKAGMEIAFGLALLFLIVGWLCSIRCRKKAHEAQYTSKDIPPAKEDIITCS >A05p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4232711:4238119:-1 gene:A05p010120.1_BraROA transcript:A05p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILKSKSSWPRTVVRKWLNLRSGAYEFHSDYPVKGKMEPTQSRRKSCSDGDYYKIMPEKFPGLLGQGNGDLKQSTGEQHVTRVDDKLDLKMFVGTWNVGGKSPHDGLDLKDWLQSPADADIYVLGFQEIVPLNAGNVLGAEDNGPVAKWLSLIREALNNNNHNLSQNDLQLSKNHRTSFELTKSSQQPRLSFSSISDDNPVSCNSTTPQGYSLAASKQMVGIFLCVWVRDDLRKRITNLKVSCVGRGIMGYLGNKGSISISMSVHETSLCFVCTHLTSGEKEGDEVRRNLDVTEILKRTRFSRSSKDSRPETIMDHDKVIWLGDLNYRLRASSDVHEQLNNNDWEALLEKDQLKIEQRAGRVFQGWEEGRIYFAPTYKYLINSDNYVAQTEKSKEKRRTPAWCDRILWKGDGMKQIWYTRGESRFSDHRPVQSLFSVHIDLTLNQSNRKTKPNNQNHRPNPVLPYTCHGKVQAEEILLLTRAQSCLHCCFEDRLVVTLEESFREQLRDMSFSNQSFWMGKSSELHNEGKVASYDNASKRPHQWLLDGSELLPNKKHAMEAPTSNLFSEVINSNVPAWGNTFCFQSSPPGQFTERLFDTVTASSANINDKSVHPVNTEHSFGLSMAHTLEEDPQGGVSYGGIRKVKVSQVKDSDSFVSTTNAYRVDENAMCMGLAFGKEEENMIAESYERESSVFISMGQPYSKGDENIYNDNNSFASDLAFDKADTNLISMGQGSISTLVGRDGVYNRSSNVVQESCNKGQSTLISFDANNSGYEFLMSQPTPHLSETPTNVLGKDATFSSIDVSVKNEETKASKKLQSNSFPSNVRSLLSTGMLDGVPVKYIAWSREKELHGVIKGSGYQCGCDSCNSSKVVNAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTPQDLLFNVIPTMTGSTINQKSFRLWKESYLAATRELQRIYGKEEGYLL >A05p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4566548:4566956:-1 gene:A05p010780.1_BraROA transcript:A05p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDPEHPIGLERCFKKFEGKPWQLEVLCCVEDPSNCFPGFETEKCIECPPLRKGDAPSPSPGAAPGPI >A04p006260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3231421:3233610:-1 gene:A04p006260.1_BraROA transcript:A04p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREESASIGDCKAPLEETGEELGLASKPETLDGEVDVPAEEPCVEDVSGSGVGSVTKGVSSESDDVVKTDAFSEPETERDADVKPVNGGGSHESAGEEGNEVIDEEANHVAEQNVQTERPQQSSVVDGETLSVAGQEKESDDANVDVDSKQANEENVGSETNNGKDSESVQVPEESTQETNPAAETCGEENGEAMDVDYTVEETLETVVLDDAGSEASMVPTQDVPIAEADSNVVKGMEVDERKDNADMAANPKSSSEDAAPREVEQLDQNGLFDPRSDITNFIDFSGVSSWSGNVQDLKTESGNLSSLKEDKKATLTAEEVATEEDGDKVSSHAEGDENASSEAHVATECPEEASVAVLGSDANQDTMREEGDTTHEAPNIDQNQQEEDTVMEENPDNSDYAEAGTDSGIKTNGVKRKADVLSEEDSLGEGRKTVSLAKVSFAQRPSFKIGACIARAASQMAGSPSVLKGSSNLGDETLSVESFVSQLHSAATDPVKENPVSELATGFFLDFRNSSASQQFVPEKASSKRGRPSNSSAAGGTEAFEFEEMGDTYWTDRVIHNGGEEQTPAATEKENYQVVPVELKPAQVKRTRRPYRRRQSQISYPLPSVSDKPADFDENAPAELIMYFSETDTIPSEKNLSKMFRHFGPIRDSQTEVDEEKNRARVVFRKGADADVAYKSAGKFNIFGKKAVNYELSFTITETFKVKPYVVSLGEEEAAVSLPA >A01p005300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2277738:2278964:-1 gene:A01p005300.1_BraROA transcript:A01p005300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAGKRKSRDEQDYTVTLASTAFPMDDLSDDVLERVLSWLPTSSFLRMTSVCKRWKSTKTSKSFNLACSQVPLRDPWFFMITNDSKSSTFVYDSTENSWKSLNHNRNRRDFIPVASSGGLLCFRCSVSGGFLLCNPITGSSRDLPSPISDDNSKPLQAVAMTALTPSSYKLVTISGEAPNLCFRFYESDSGSWSKELNLVKKNDGDEYNDDNDSGTVYFLSKTGHVVVASNNLQRSPSKQYSSVITVKDNVETVYFLSSHGTIIACDLTNKCYTELPKLLPPFLEYSIDLVECNGTMFVVLLSEFYESASLRIWKLENQSWVHVAMLPPAMSHELYGREGDINCVGGADDKILVCFNTSPPEVYCRYFVYDLVAQEWSELPKCFKDGEAVEFVSALSFQPRIEATV >A03p004930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2055293:2057961:-1 gene:A03p004930.1_BraROA transcript:A03p004930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELRRISETSRSAIVLILLLCVHVAHSFYLPGVAPQDFEKGDELKVKVNKLTSIKTQLPYSYYSLPFCQPKKIVDSTENLGEVLRGDRIENAPYSFKMREAQMCNVLCRVTLDEKTAKAFKEKIDDEYRVNMILDNLPLVNPVDRGLGSPDVVYQIGYHVGLKGQYAGSKEQKFFMHNHLAFTVRYHRDVQTDSARIVGFEVKPYSIKHEYDGKWSEKTRLTTCDPHTKRLVVSSLTPQEVEPKKEIIFTYDESEVKWASRWDAYLLMNDNQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNELETQEEAQEETGWKLVHGDVFRIPTNSDLLCVYVGTGVQCLGMVFVTMIFAMLGFLSPSNRGGLMTAMLLLWVFMGLFAGYASSRLYKMFKGTEWKRIAFRTAFLFPAVVSSIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGAYLGFKKPAVDDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILPSIWLNQFYYIFGFLFLVFVILIVTCAEITVVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFHTKLQITKLVSAMLYFGYMLIASCAFFVLTGTIGFYACLWFTRLIYSSVKID >A02p000700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:376164:382177:1 gene:A02p000700.1_BraROA transcript:A02p000700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGQKRMEVVGEPPADKRACNSQDFTSGGSSAQAQQANGNTDADMDTSSSASPSSRSDGEQDREEEEEEESDYGSCDSDDADPRRRVLQRYQRGRSTGDQLKLKSLASRLSEENDPSLQLTGLTELCEVLSFCTEDSLSIVMADLLSRVLVKLAKHESNADIMLLAIRAVTYLCDVYPRSVAFLVKHETIPALCQRLLTIEYLDVAEQCLQALEKISRDQPVACLNAGTIMAVLSYIDFFSTSIQRVAVSTVVNICRKLPPEPPSPVMDAVPVLCNLLQYEDRQLVESVAICLTKIADQVSQSPAMLDQLCSHGLIHQSTHLLNLNSRTTLSQPVYNGVIGLLRKLSSGSTLAFRTLYELNIGYRLKEIISTYDISHSVSSTQPIHPCSNQVHEVLKLVIELLPASPVGDNQLALEKESFLVDQPNLLQQFGADMLPVMTQVLKSGASVYVSYGCLSAIHKLTCLSKSDDLVELLNNANISSTFVLAGIFSRKDHHVVVVALQIAEVLLEKYRDAFLNSFIKEGVFFAIAALLTSDRGQQINPVSGFIQGSVPKEIVKCLCQSFEGSVSSSSQTCKVGNDSVYILATRIKESFFGREVFDSQKGLTDVLQNLKNLSAELNDLVTVPVDAHVLHGERFFSIWNQIMARLKERESVSTFEFTESGVVKALANYLSNGLYERKLSKGDPECDSLPLVGNRFEVFTRLLWSDGEATPSALIQKLQNSLSSLENYPIVLSQFLKQRNCFAAIPNGRCISYPVLRVRFAKAEGETCLRDYSPNFVTVDPLCYLDAVSQCLWPQVNLEPLNSVEAKDQAIECQSSQLQSTSISCQGESSSHMEIDCPNASQLQEDQDHLIDSGEENSSSSKEEDVRPRLLFRLEGLELDPSLTVYQAILSHKLKSENETTNDSKLSGHHTITYERAPQLAVSHENLFPLRSMDNDEHHPFLSYLFAHRLGLRHKGTSPPEYAILFLLKSLEGMNRFLFQLICHERINAFGEGRLESLDDLTVQVRPVPYAEFVSSKLTEKLEQQLRDSFAVSPCGLPPWFNDLMASCPFLFSFEVKSKYFRLAAFGPQQVHNQPQHLGSSNVHGSLPRKKFLACREKILESAAKMMELHGTQKVAVEVAYSEEVGTGLGPTLEFYTLVSRAFQNPDLGMWRSDPSSLAGKPMVPPSGLFPRPWSATSAAFPGVLQKFVLLGTVVAKALQDGRVLDIPFSKTFYKLILGQELSSFDIHFVDPELCKTLVELQALARRRKVISESQSDVRAAKCDLSFRGTKIEDLCLDFSLPGYTDYVLSPRFANDMVNLGNLEEYVKAIVNATVCNGIKKQVEAFRSGFNKVFPIEHLKIFNEEELETLLCGERDLFNMNEVLDHIKFDHGYTSSSPPVQNLLEIMHEFDKEQQRAFLQFVTGCPRLPPGGLASLSPKLTIVRKHGSDSSETDLPSVMTCANYLKLPPYSSKEKMKEKLIYAITEGQGSFHLS >A06g502760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8975118:8976179:1 gene:A06g502760.1_BraROA transcript:A06g502760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKSKADTKSSKLAVNKKPSKAAAKDPNKPKRPSSAFFEEGPKEDEEESDKSVSEVNDEDDADDGSDEEEDDD >A05p054750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31327105:31329087:-1 gene:A05p054750.1_BraROA transcript:A05p054750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RGP1 [Source:Projected from Arabidopsis thaliana (AT3G02230) UniProtKB/TrEMBL;Acc:A0A178VK37] FDLLERVFIDHVLTFTHVTQIHRTCRICYKTNNDALLQVHLSFKQRLSLLLVQFSIMVEPANTVGIPLNHTALLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIAVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSSPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQSAKLSKEAVTVQQCYLELSKLVKEKLSPIDPYFDKLADAMVTWIEAWDELNPATKA >A02p055440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33613509:33614255:-1 gene:A02p055440.1_BraROA transcript:A02p055440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSRDKQLPSDTSCIASSSTAFPCQDSLNKSQEQGFKDEEADANDSSQELNLIDCIDSQSCNGSDTSTEQKLFSCNYCQRTFYSSQALGGHQNAHKRERTLAKRGQRMAASASAFGHPYGFSPVPFHGQYNNRSLGIQAHSMSHKLSSYNGFGGHYGHVNWSRQPLDQQPAIGRILVGSQPLEQWRGEGVLLSTNQEEQQKLDLSLKL >A02g500400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1336282:1340888:1 gene:A02g500400.1_BraROA transcript:A02g500400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVPKIAGFHPLMGGGGGLFQPAPGGPGGLPANLSGWMANQSVVPHPSAAPSGPMGLGSPNNAGAILKRPQTPSGPIPMEYQTADSNHVSKRSRPYGTSEEGGNIPVNILPVTYAGHPHGHNNTLSPDDLPKVVVTTLAHGSPVMSIDFHPIQQIVLLVGTIGGDVYLWDLGARQRITEKGFDVWKLDACSKELQASLNADATASVNHVAWSPDGTLFGTMPTLLQLFIFSKSIGVAYSKSLVHIYSFQGGSDIRNHLEIEAHTGSVSHLAFSYPNKQLSVVTCGDDRIIKVWDAVTGEKRYTFEGHEAPVFSVCPHYKENIQFVFSTATDGKIKAWLYDNVGSRVDYDAPGHSSTRMAYSSDGTRLFSCGTNKEGESFLVEWNESEGSIKRTYLGLGQRAAGIVQFDTTRNRFLAAGDESTIKIWDMDNTNPLTTIHADGGLPASPCVRFNREGILLAVSTNDHGVRILATDDGIRLLRTAETRSFAPVMKVPAGGGGFGSSSANAGITMADRTNSFAAMENNEVRTLVDGKPRIADDLGERSRACKVTEITEPSQCCSMRLADNVPVTKVSRLIYTNSGSGVLALASNAVHKLWKWQKSDHNLAGKATANAQPVLWQPASGIMMTNETSDTNPEDAIPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPLDNNIIAIGMEDSSIQIYNVRTDEVKTKLNGHQNRITGLAFSQALNILVSSGADSQLCVWSMDGWEKQSNKYLQVQHGRSLPAVSDTRVQFHLDQIHLLVVHETQIAIYDAQKLDWWMQWVRKEATGPITSATYSCDSQSIFVSFENGSVDVLTASNLRLRCRINPTAYLPPNPSSRVYPLVIAAHPSETNQFAVGLNNGAVHVVEPSETEGKWGTSPPLENGAAVPDN >A06p019780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9670125:9673525:-1 gene:A06p019780.1_BraROA transcript:A06p019780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASEDRRGKWKRRKRGGRRPKQEDEDMEEEDEDNNNEEMEDADNADELHQNGGATPDPGPGVNEVVEDSGTRVSDFPSVVRRLVIRPHASVTAVVAAERAGLIGESRGQGSLPSLENISYGQLQALSTVPADSVSLDTERSDGASSAYVISPPLIMEGEGVVKRFGDKVHVLPMHSDWFAPNTVDRLERQVVPQFFSGKSPNHTPESYMKFRNAIVSKYTDNPEKTLTISDCQGLVDGVDDEDFARVFRFLDHWGIINYCATSLCHPEPSRDISDVREDTNGEIHVPSAALTSIESLIKFDKPICGHKSDEVSDVDLPDLDIRIREHLCDNHCNHCSRPLPTVYFQSQKKEDVLLCSDCFHHARFVAGHSCIDFVKVDTTKDYRDQDGENWSDQETLLLLEAVELYNENWVQIADHVGSKSKAQCILHFLRLPVEDGLLDNVELPGVTDPVNPTNGFDHKGTVSNGALPGSSEQESETEINLPFVKSPNPVMALVAFLASAVGPRVASSCAHESLMVLSADDRSKTEGKDPSLIDETTCQNGAEAPTPLPQDKVMAAFRAGLSAAATKAKLFADHEEREIQRLSANIVNHQLKRMELKLKQFAEIETLLMKECEQVEKTRQRFAAERARMVSARFGSPGQTSNTNNLQGMSLSTGGGNNVNTLLQQQQQQGSASSSQPSIIPGFSNNPQLHAQMQFMARQQQQQQAFSFGPRLPLNAIQTNAGSTPSLFGNNQLNNNPAGPASINQPPFSHPMVRSSTGSASGSGLGLN >A08g508960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17005312:17010020:-1 gene:A08g508960.1_BraROA transcript:A08g508960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPELSAADRKMAMLYISHSGPTERLARIERVKQGISENMAASSVHLTRITKELDKGKGHVFSYTELLESQQCGNSSQCVAPLQIRDKSDEDRESSASKFSTRSEPVVPSGFQLGPSSEGRVTGNLGANKAQRRRPPSWKRRAVSGSLQPPVSTSTPQNTELAQSSKRKAGFLTLNGHLKETGEGLHVDPGESHLWSKPPVSWVKCNIGSSWDSSSLFGGAGWIIRDAHGKALLHSRRSFNHVLSAVQMDLMALAWATSAVVDLKLKNVIFEFSSAEAAMIIQNPLLSPFNYKNCYEILRSVQQAIVRSKLQLVSVTSNNAASAIAVSVTRDLRHHSYVASNGPQWLAPLLSAEAAPR >A08g510720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25094047:25095724:1 gene:A08g510720.1_BraROA transcript:A08g510720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNKVPNVPGSPALSALLKVSVIGGLGLYAITNSLYNVEGGHRAVMFNRLTGIKEKVYPEGTHFMLPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLRVLTRPMGDRLPQIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFDIALDDVSITTLTFGKEFTAAIEAKQVAAQEAERAKFIGEAKSAQLIGQAIANNQAFITLRKIEAAREIAQTIAQSANKVYLSSNDLLLNLQGMNLEPGPKK >A06g500280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1278217:1290097:-1 gene:A06g500280.1_BraROA transcript:A06g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFRIYTQLKKMSSTKAFQEQVHGGFISRKLLLHNPFDHNTQQTFMVAPSPLITHENNLSGNVLMLLSVLICGIICCLGLHYIIRCAFRRTSSFMISEPISSLSTPHGSSNKGIKTKALNMFPVVSYSTEMNLPGVGEECVICLSDFVSGEKLRLLPKCNHGFHVHCIDKWLQQHLTCPSCRHCLAETCQKILGDSSQVTATPSESIISNKMSSNELPSSAQAFHEQVLSGFISRKLLMHNTQRAHAVAPTPPISHENNASGAVLVLLSVLICGIIFCLGLHYLICLAFRRYSSFMISEPVSSLSTQRDSSHKGIKKKALRMFPVVSYSHELNLSGIGEECVICLSDFVSGEKLRLLPKCNHGFHVCCIDKWLQQHLTCPKCRHSLVDTCQKILGDFSQVDQVTAGPTENVIVRIAPLEPEGRIGKVHGCLLQLKAHGSLEAIQGDLIC >A02p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6222036:6223314:-1 gene:A02p014200.1_BraROA transcript:A02p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRNRRERDSDRRRVRDDRDRRRDRDDRDRDREDRERDHRGIRSKKSRSRTPDHHARPPRHARSPERYRSRSRSIDRDRSLSLWIKQYSDDESSSCVSKVVFTAILPPGSVLMSFRDQTCTLRA >A08g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15199261:15201180:1 gene:A08g508330.1_BraROA transcript:A08g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNESVDWQFSGSDEGKAASEASLSTYTSKLFALCDPQGKAILPPRGETAETSHTAERAVVKAVLFGTGNAYAPSIGLPAAKRAVADYLNRDLPKQLSPDDVFMTVGCKQAIELAVDTLAKPNANILLPKPGYPSNLIRSIFKHLEVRNYEFLREKNYEIDLDSVRAAADENTFAIFIINPHNPNGNTYSEAHLKQLALLARELGIMVVSDEVFRWSVFGSNPFVPMGKFSSIVPVVTLGSISKGWSVPGWRTGWIALHDLDGVFKSKNVLAAIKQFLDLNSKPPTVIQAAIPTILEKTGKDFFQRRQSFLKVATEFAYYKLKSIPSLTCYMKPEACTFFWTELNLSSFVDIEDDEDFCEKLATEENLVLLPGIAFTLKNWVRHSIDMDTPTLEDAFDRLKSFCDRHSISGETPRKAVNGVN >A04p017660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10716447:10719315:-1 gene:A04p017660.1_BraROA transcript:A04p017660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOS2 [Source:Projected from Arabidopsis thaliana (AT5G35410) UniProtKB/TrEMBL;Acc:A0A384KKJ4] MDQKKRITTKKTRKLGKYEVGRTIGEGSFAKVKFARNTDTGENVAIKIMAKSTILKNKMADQIKREISIMKIVRHPNMVRLYEVLASPSKIYIVLEFVTGGELFDRIVHKGRLEESEARKYFQQLIDAIAHCHCKGVYHRDLKPENLLLDNNGNLKVSDFGLSALPEQGVELLRTTCGTPNYAAPEVLNGQGYDGSAADIWSCGVILFVIMAGFLPFSETDLPSLYRKISAAEFSCPQWFSADVKSLIQRILDPNPNTRIQIQGIRKHSWFRINYMPTRAKEEEEVNLDDVRAVFDGIEGSYVAENIERRYEGPLMMNAFEMITLSQGLNLSALFDRRQDFVKRQTRFVSRREPSVIIANIEAVATSMGFRAHTRNFKTRLEGLSSIKAGQFAVVIEVYEVAPSLFMVDVRKAAGETLEYHKFYKKLCEKLENIIWRATEGMPKPELFRTITF >A07g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5707663:5708255:-1 gene:A07g502770.1_BraROA transcript:A07g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNTRHQTWYCKLPRITPLLQCNPILHLISCFVEHSPNVLFSFLGLSEEHPQYVGEVDFLEWFLQWNPKESLKKIQPLPFSSSIFSPIRFLSTCIGSGASSECVLVVSGGSAEGFGLSAMIRAILIFGNCTRNVRGNRRSMGTGGLGGCGCLAAKS >A09p018370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9609852:9611647:1 gene:A09p018370.1_BraROA transcript:A09p018370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDEKKGGEASGQTSDKEMGLSRKNSDSSFSPTEDDDEDEEKKPQLGPMIALKEQLEKDKDDESLRRWKEQLIGVVDLEDVGETPDPVVKILDLTVRSPNREDMVLTIPDDGLPNPKGPWFTIKEGSKYTLVFNFRVTNNIVSGLRYNNTVWKTGVKVDSTKAMLGTFSPQAEPYQHVMPEEITPSGIFARGSYSARTKFIDDDNKCYLEINYTFDIRKNWQ >A09g510300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30715087:30718552:1 gene:A09g510300.1_BraROA transcript:A09g510300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDYFAILGRMRPLTRVLSLSAVTASELGLLLRQLFLLVPIEDFLLLHHWFVERRAIPSGSASGPSWMSVDILVGIVGDVAGIQVDVLDFIILRTFCGRQRTLRVSVLTRRSFPRGSRPIEWGCEVKSFPADLVVPQGRIARVLAVNVSTCLVKVANIFLNSSNAAALAGAFAADTSAAGVLRSVMLPPLIGVCMLSASSESRRTLVSQRSQISANMIYLGFDPFSSMLKGHFTRADHVEVDERKKNRSMRISAIDRYQEMPRQMKINIDRCTQVPSIDVETPDTRHFGFSRLKTQGQAKLTKCSDEFLT >A07p021010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12359618:12363101:-1 gene:A07p021010.1_BraROA transcript:A07p021010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REEVIRSRPILCVSLFSLSLSPSKAITGGVTPRREPDGFTVQSKALPAHRISSSFRPPSSILTDSRRISPSSSESNDTSATIGKQEVRPEGSKAAKARKNNTQGLKSIDEIKTVMELKKDDLMRKKNLSKLAILDTLLTKPGTLSLLKMGRYSYSQPSCSSGYGGENSSNNASEFSETEDLIRLDQEELSLRYGDTAPYPQQYPPQPEVEFGFPKFATVVVHRRWLHHTQGLIQGGDTIPARMWMMENAMCISDKRVRRLEQLVCDLAKKKSGFINGFEVCIGGMVVVLVLMGVVIAFK >A06p007230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2505768:2508411:1 gene:A06p007230.1_BraROA transcript:A06p007230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGSRFLSSPPASSSSVYRTPLFSQVSVCKGNTMPLFELFRLANANVEYAQDENTSPPVDEVVELVWENGQISTQSQSSRSRNIPPPQSSHQARARELGTGSKATMVDEIPMSVPSLMTGLSQDDDLVPWLNPHHQSLDGYCSDLLHDVSPVNVNEQESDAFPRRSNGDESAPAASSSQFNGFDSHSLYGTGRARDPVSQPAKPDQFTQRQEPLVTSNKPGLLNFSHFLRTAALAKTNNVSKEKSPQSPPNVFQTRVLGAKDKVLNEPKDNNQKTCLVSEDSNRKEQESEKAVVCSSVGSGNSLDGPSESPLKRKHLDVQDIECHSEDVEGESGDGRKETAPSRTGIGSKRSRSAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKSLQLQVQFMSMASGYYMPPVMFPPGMGHHYQAAAMAMGMGMPYAMGLPDMSRGGPSVNNGPQFQVPGMQQPVAMAIPRVSAGGFFGSSTVEMNKSDDGSTRDLSGGTKDQTTTKDNNSLRPIKRKQASSDQFCGSL >A04p032050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18734743:18737820:-1 gene:A04p032050.1_BraROA transcript:A04p032050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein G [Source:Projected from Arabidopsis thaliana (AT2G34470) UniProtKB/TrEMBL;Acc:F4IHW4] MGAFRFHQYQVVGRALPTEKDVQPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNFGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVPAKLCKRESTKQFHNSKIKFPLVFRKVRPPTRKLKTTYKASKPNLFMKPEGGGGDTSWVGPDGRVYHSHDGLAPHSHEPIYSPGYFSRRAPPLNDRNFSERAFTVGIGGPVGTGKTALMLALCRVLRDKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNMFKTDLLLCESGGDNLAANFSRELADYIIYIIDVSAGDKIPRKGGPGITQADLLVINKTDLAAAVGADLSVMERDALRMRDGGPFVFAQVKHGLGVEEIVNHVMNSWEQATGNKRH >A02p001860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:788809:790988:-1 gene:A02p001860.1_BraROA transcript:A02p001860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSTSLLAFLLGFLIVALTMKKRKEPKKNMLMTSHTRNLLLPPGPKPWPLIGNLPEILWRKKPVFRWIHAIMEELNTDIACIPLANTNVIPVTSPRIAREILKKQDSIFATRPLTMGTEYCSRGYLTIAVEPQGEQWKKMRRVVASHVTSQKSFKWTLEKRTEEADNLIRYINNLCVKNQGNGFEVIDLRLVVRQYCGNIARKMLFGVRHFGKGSEDGFGPGFEEIEHVDSLFTVVTHIYAFALSDYVPWLRFLDLEGHEKVMSGAMRNISKYNDSFVDQRLKQWRDGKMKEPQDFLDMFILAKDTNGNPALSDEEIKAQVTELMLATVDNPSNAAEWAMAEMINQPNIMQKAVEEIDRVVGKDRFVLESDISNLNYVKACVKEAFRLHPVAPFNLTHMSTADAVVDGYFIPKGSHVLISRLGIGRNPNVWDKPLKFDPERHMGNNKNVELNDPDLNIISFSAGRRGCMGSNIGSAMTYMLLARLIQGFTWSSVHGEDKIDISESKSDLFMAKPLHAIATPRLAPQIYST >A03g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24427987:24429764:-1 gene:A03g506870.1_BraROA transcript:A03g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDFVSPHSSYHTSPSPLVAPAAPAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFIHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A09g512830.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:38489647:38490360:1 gene:A09g512830.1_BraROA transcript:A09g512830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQQKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNETDFISVSPAKITKDGKDANVPAYGRGFRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKRERGEETEEKCG >A04g507520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18861394:18866423:-1 gene:A04g507520.1_BraROA transcript:A04g507520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRPVVRTRETGTSRGLLKRSNLPEETTKTPGETIMEKEGVQSSPLVTTGQDLGLKKLPRQETETRGTEGEAEKETDMMLLTELEISALNKSQRTDELPEKTRDETVQQRKAREAKELDKEINEYADLAEHAMTQEMIDNDDLLEEHEEEIEDPPETEPHVREEDMEDERIEALSQMSPEPQISKQKSVSKMLSQKESRVDEPHTSIPSLWNCQTDASWINKDEKAGLGFVFMHAGTPMLYGARELPRVTSSLHAEAEGLIWAMQEILKTRNRSVQFELDCEQLVKLIQSEKDWPSMAAEIDEIKALSLAFLDISIIHIPRSSNVCADSLAKGGRSRGINPQHVDSSAPYWLASYAGQNRAT >A08p005900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3401172:3401721:1 gene:A08p005900.1_BraROA transcript:A08p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSKTKALDPPLHALGFEIDELSPTRVTGRLPVSPICCQPFKVLHGGVSALIAESLASMGAHMASGFKRVAGIQLSINHVKSADLGDLVFAEASPVSTGKTIQVWEVKLWKSKEGSENRTLISSSRVTLLCNLPVPDHVKDASDPLKLISKL >A09p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6881999:6882492:1 gene:A09p013420.1_BraROA transcript:A09p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNVEDLKILAEQWFYQGVEFAQHIPKNQLYAAVGALLLTTIFLFSLRLFRRTKSNNVLPSRLISGSGKTVLFYQ >A03p054110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23214961:23215598:-1 gene:A03p054110.1_BraROA transcript:A03p054110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTRKPYFIEEEDDGLVSLAEMEAGVSSPSSPCYKNMNQYHPQNYYYNYHQYSVSSPRSVVVSGKFHDFRFDNSCFGQQSVPHFLDSCFLCKKRLGHNKDIFMYRGDTPFCSEECREEQIKRDESKEKKKNLSSSVKAMRRNEKRSSSSSPTRSRDYAFRTGTVAAA >A03p068360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29937904:29939414:1 gene:A03p068360.1_BraROA transcript:A03p068360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLSNSLPIPRLPLHTSSLNPCLPSSFSLPPRRSTFSPLVSASAVFAAPSGVNNSVPGKNGGYTVGDFMTGKQHLHVVKPTTSVDDALELLVEKKVTGLPVIDDDWNLVGVVSDYDLLALDSISGRSSQNDTNMFPNVDSSWKTFNELQKLISKTHGKVVGDLMTPSPLVVRGSTNLEDAARLLLETKFRRLPVVNSDGKLIGILTRGNVVRAALQIKRETENST >A03p064260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27915754:27917037:-1 gene:A03p064260.1_BraROA transcript:A03p064260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIRL7 [Source:Projected from Arabidopsis thaliana (AT4G29880) UniProtKB/TrEMBL;Acc:A0A178UXC0] MICEEAYQYQQLHAQNDHMMTMMMMDLSQSPLSSPILSKNTDNNEEERLEDVNLSCMALQSLPNPSLNLGIICKLDLSNNHIKKIPESLTARLLNLVALDIHSNQIKALPNSIGCLSKLKILNVSGNFLVYLPKTIQNCRSLEELNANFNELIRLPDSIGLELTNLRKLCVNSNKLITLPTSITYLTSLRVLDARLNCLMILPEDLENLINLEILNVSQNFQYLTTLPSSIGLLMNLLELDISYNKITVLPESIGCMRRLKKLSAEGNPIVSPPIEVVEQSLQAVREYLSQKMNGRLVNASPKKKSWGFRKLVKYGTFNGRSRAWTREEREGLIMPEYRPIDILASNRFPGISPRRIFSPRTYFSR >A06p051170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26898601:26902146:-1 gene:A06p051170.1_BraROA transcript:A06p051170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT3G25740) UniProtKB/TrEMBL;Acc:Q24JL4] MDAASSVDESSTSTDSVHTPAKIPPATARKSPSPASLYRMGSGSSVVLDSENGVEAESRKLPSSRFKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEEEAARAYDVAAHRFRGRDAVTNFKADTTLDNGEEVEFLNAHSKAEIVDMLRKHTYREELEQMKRNLHGKGNTKETAFAAVRVATGFKTAETLFEKAVTPSDVGKLNRLVIPKHQAEKHFPLPLSGDVSVRGTLLNFEDVNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKRLCAGDLISFKRSNGQDQQLYIGWKSKSESDQDTGRVVVRLFGVDITSFKSQNDVLTPPIYFAGAPANLSSLLLSVKKKKSSFSPRISRIQELQNTLQVKRNAPLVCGRVSPRLPVPDHIPKPPYLESSEPPKISSEHQFPDSNGIVKMKAACELAARVLNYAGTLVKPFVTTDEIDKAVHKMVIESGAYPSPLGYGGFPKSVCTSVNECMFHGVPDSRQLQDGDIINIDVAVYLDGYHGDTSKTFLCGDVDESLIQLVKVTEECLEKGISVCRDGASFNEIGKIISEHAEKHGYNMERFIGHGVGTVLHSEPLIYLHKNYDFEIGYMIEGQTFTLEPILTIGTTEFVTWPDKWTILTADGGPAAQFEHTILITESGAEILTTS >A06p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19772912:19774183:-1 gene:A06p036470.1_BraROA transcript:A06p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSLYQVLEYHMEFLRTFGCIWSSKEVFKVIIGRAAHGSDQSAATPSKRPYQSDREESLAFSSPGDARTSPERPLAATQRGRSRSLERLVGATSRGRCALSDYLHSRCFDISQNWFDNLLYYNICLRSLENS >A09p031700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19189244:19190815:-1 gene:A09p031700.1_BraROA transcript:A09p031700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHCFSGSARVSCIVSLDIVWCLECSKSSHLKVNKSLRKSTRKISFPCMTLRSVLANLMLVSGTCISGDEQRFPDPAEKERGVSLCSMIKDNVGKDFSLPIYFNEQISSLKKCFEDLEYSYLPDQASEYRKSVMNLIIVYKEIAGGPIFDLYDDDGWIQYNDNKIAAMRFLLVEGNSLEIGNSHSNDCDTSWALKKTGRIRASTHNRNDEHMDIPSSTLMLITWVKAKGEQNYI >A08g501560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4018718:4019377:-1 gene:A08g501560.1_BraROA transcript:A08g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHMIIQNRKPKSSKRDTPFLTRLSRARKYTIADVRRCIRSNQKEVTDKECRNVFRHARLRGLANHPLRTLRSYADEMKVCLSYGNREAHYIEGVKHLFALHDRTKGMRHLKLSAKRNYKKGKYLYALLKLLAGDHDEGMNLLDVHKWRSNTYVVDKLWKQVKRSLHEVPIIKNNFYGMNMILIMPPRACELDKLDNRCSKCFYYKEMAKFMELVHRG >A04p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10042285:10044661:1 gene:A04p016330.1_BraROA transcript:A04p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLFTLCFLPDQSLSGFSSPNRSGHSVFFLELEAKMETAMEVYNDVEMSTPPIKRRKKKSKVWEHFTIGVTEPGCRRAFCKGCNQSFAYSSGTKVAGTSHLKRHIDKGTCPALLHHTHDNNDNDNSQPMMTTPKSDHTPRRRYRTQNTYVPFDQDKCRHEIAKMIIMHDYPLHMVEHPGFVSFVQALHPQFDNVSFNNVQGDCVATYLSEKQNVIKSLEGIPGRLCLTLDMWTSKLTLGYVFITGHFIDSDWKIQKKLLNVLMEPYPESEEALSLAVSNCVSEWGLEGKLFSVAFNHPASKTAVENIRSLLCVRNPGVLDGQLVIGNCVARTFSGLAKDVLDKGKDVIKKIRDSVKHVKTSESHEERFVELKEQLQVPSDKVLCLDDKTQWNTTYNMLVAASELKEVFSCLDTADPDFKQPPSAEDWMHVESLCTFLKPLYEAASTLQSSGNPSAVTFFHEVWKTQSDLSRAIAGEDPYVAGIAKTMKEKVDKYWRECSLVLAMAVVMDPRFKMKLVEFSFSKIFGEDAGKNIKTVDDGIHELFNEYMALPAPLKPASEADGLSDFDNYILETTGQNLKSELDQYLDETLLPRVQEFDVLDWWKQNKLKYPTLCKMARDILSIPVSAAAFDYVFDMEPREMDEYKTSLRPETVEALICAREWLLESDASSQQMSSAVVNAEA >A01p010220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4990653:4992153:-1 gene:A01p010220.1_BraROA transcript:A01p010220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFLSLDGKIVAWSSSFRSTTPKPDEAMRVLEGKAFKLDSLTARQLSFACLYLASANWFGEVLVRFWSLRGHKTFKMMKIGAVAGENHEQMVGAKRRHHRGRPSPDEASRGQPTMNPCSRSQATFADE >A08g502630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5541577:5544071:-1 gene:A08g502630.1_BraROA transcript:A08g502630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGWSGLTLDSSSLDLVIPKRFKKNYTHRRLSTPLTMSTTGDDVDDNKTKISNAARDFRFPVSLSGICDRQDNDISSGVAGENDCAVPGEDFFSDEKSRVCYLEDAGFCVKKEVQDDRTDVHTGLNLRTRSDQSVTDDEESYEMEDKCAKNESIKLQDELKKVTIENQKLRELLTQASNSYTSLQMHIVSLMQQQQKQQNKAIEATENHEETIVPRQFLDLVPSRAPGEAEDVSNSSTEDRTRSGGSSAAERRNNEVRDGKRLGREESPETESNKVQKVNNSDQPGEAIMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMITGCPVRKQVQRCAEDRSILITTYEGNHNHPLPPAAVAMASTTTAAANMLLSGSMSSHDGMMNPTNLLARAVLPCSTSMATISASAPFPTVTLDLTHSPPSPNGSNSSPSTAAANNNNHHNSLIQRPQQQQMTNIPPSMLPHVIGQALYNQSKFSGLQFSGCSPSAAFSQPHAVANTITALTTDPNFTAALAAVITSMINGSKHHEGKGNDRTVLYKLRRTEYCKE >A06p010700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3919561:3919974:1 gene:A06p010700.1_BraROA transcript:A06p010700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELP [Source:Projected from Arabidopsis thaliana (AT1G12090) UniProtKB/TrEMBL;Acc:A0A178W1A4] MASSSIALFLVFNLLFFTTISACGSCTPCGGGCPSPKPRPKPTPKPSPSSGMGKCPKDTLQLGVCANVLNGLLDLTLGKPPVEPCCSLIQGLADVEAAVCLCTALKANVLGINLNLPISLSLLLNVCSKQVPPGFQC >A07g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4177381:4177981:-1 gene:A07g501990.1_BraROA transcript:A07g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNPPSMFSDWVDLHSSLLLACSVFELQKHQEACCSIKLYSGLKPQSTSFLTKWATEFEYELNPGKASWSRVKMMPIGTPTETERKTLGNSLP >A04p035030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20259867:20261457:1 gene:A04p035030.1_BraROA transcript:A04p035030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRSISCSAMGALILGCLLLQASNSNAQLRPDFYFRTCPGVFLIVGKVIVEELGSDPRIAASLLRLHFHDCFVNGCDASVLLDNSTSFRSEKDAAPNANSARGFDVVDRMKAEIEEVCPGTVSCADVLAIAAQISVLLSGGPWWPVSLGRRDGSQAFFDLSNTALPSPFATLAELKTVFRDAGLNRTSDLVALSGAHTFGRAQCIVITPRLYNFNGTNKPDPSINPTFLTQLRKLCPENGNPTVLANFDLATPNRFDSHYYTNLRQGKGVIQSDQELFSTPGADTIPLVELYSKNTFEFFKAFAKSMVRMGKLKPLTGTQGEVRLNCRVVNSRTRGVETEDDGVVSSI >A08p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15512752:15513390:-1 gene:A08p023580.1_BraROA transcript:A08p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLVKKLGFVFLLVSAFALSFAGRPSILIYIQDDTHQELVERRIHEHERILRMNSRDYGHFSPKHKLHRPPSKLIPN >A09g517040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50107572:50108614:1 gene:A09g517040.1_BraROA transcript:A09g517040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERYHSPSAISRNSGSYREDKRRKSTSTSLSTRDARDMRNSGGQGRDMINSSRQDGRQSHQGKEVWSRLEVPRKSDEDLYRTRGRNKEHTHTHSRYTSRKEVSQSRITPSLEWRQRHSLEDSRNRDANNKGANTRATINYVSRHVQHDRTEKPRATEDSQKTISDTRVSLETGECAANRGASTGLAKTEEEKSRRLKGKAVATDSPTSKNTAELIASANRSAKLIISEPSEQPQHNSGRSKRYGSSPLEHSDKYMDLEMSQVWDLDIPLTEVELAEVDNLILETERLEMDENMLDIDNDDLLGDSPDREGYPTPT >A05p044880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26917907:26924017:-1 gene:A05p044880.1_BraROA transcript:A05p044880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITNVNEYEAIAKEKLPKMVYDYYASGAEDQWTLQENRNAFARILFRPRILIDVTKIDMTTTILGFKISMPIMTLSSWTTSSVEEVASTGPGIRFFQIRKVVEQLVRRAERAGFKAIANDSGLASYAGGQIDRTLSWKDVQWLQTITNMPILVKGVLTGEDGQLLLSSCSKDSDSGWSSRDHCIKPCSFGYRSAAVSASAAAAAPMKTVVVRFANANADVAADAAKDYCSFRRRRKLSFYRRQPFGRGVFLRQRNEQELTQNVDAAAGTCGNQTNSPYVPATISALEEAVKATQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLQMLRDEFELTMALSGCRSLSEITRNHIINI >A09p028580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17131327:17134719:-1 gene:A09p028580.1_BraROA transcript:A09p028580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGGEYETVFFRRLDDEFNKVEKFYKEKVDEVMKEAVMLNKQMDALIAFRVKVEHPDGWPWKERTVEMTRLASDVDISAAAVAASTPAGVRSTKLGAQALEAIQEGGSSSTGKSDEDEDDDDKEKEEEKVVYDTAASDISRLSAARPSPIEVLDRVKINNTKETPRSTIKSVLKPSNPELKFSRDNLKRVEEKLRRAFVEFYHKLRLLKSYSFLNVLAFSKILKKYDKVSSRNATKSYMKMVDNSYLGGSDEVIRLMERVEATFIKHFTNANRTKGMNILRPKAKRERHRITFSTGFLGGCMFSLVVALFAIIRTRNILQEEGQKQYMNTMFPLYSLFGFIVLHILMYAANIYYWTRYRVNYSFIFGFKQGTELRYRQVLLVGFSIGVLALLCVIANLDMEVDPETNDYKGLTELLPLILFIGLFIVLVLPFNIFYRSSRLFFLTCLFHCLAAPLYKVTLPDFLLGDQLTSQVQALRSVQFYICHYGWGDYKLRQNTCTDSDTYNAFLFIVAVVPYVCRLLQCLRRLFEEKNAEQGYNGIKYFLTIVAVCLRTAYSVDKDNQFVWRMLAGIFSAIAAIFCTYWDLVLDWGLLNRTSKNRWLRDKLLIPQKKVYFIAMILNVLLRFAWVQTVLDFNFSFMHRQTMVAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKTVPLPFNYDEDDDKDN >A07p043210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23460845:23462238:1 gene:A07p043210.1_BraROA transcript:A07p043210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein c [Source:Projected from Arabidopsis thaliana (AT1G69700) UniProtKB/Swiss-Prot;Acc:Q9S784] MPSHSGDDNVLQVLIKNFDVLALPLVALVYPLYASVKAIETKSLAEDEQWLTYWVLYAIISLFELIFSKLLEWFPIWPFLKLVGICWLVLPQFNGAEHVYRHFIRPFYMNPQRASTNIWYVPQKKFNFFPKRDDDDILTAAEKYMEKHGTNAFERMIVRKDSYERGRRGGGGGSNNYMIVDDDYRY >A01p059090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33881468:33882931:-1 gene:A01p059090.1_BraROA transcript:A01p059090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKLRNANVPVPELDDLSEQLPSETRPEMLTRQTSMSQRAMSSPLTSAANLSNLLPTGTLLAFQLLTPVFTSNGVCDHATRHYIMLDRHCLLATSCFVSSFTDSVKAEDGTIYYGFVTDKGMWVVDYPDPSGLGLPDLSKYRMRFVDWIHATLSVWCLERLR >A09g509100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25339379:25342374:1 gene:A09g509100.1_BraROA transcript:A09g509100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSASTHRTSGAVRVCPCVSVCVRQHKQDIRGCPSVHISARWSLDSARWPFPWTVRVIFGPRGLFVQYTQDVRGCPPAHTGRSWLFMAVRQHTQDVRGCPCVSVCVCVCPSAHTGRPWVSVSTHRWSVCVRQHTQDVRVCPSAHTGRPWLSVCICVSLSAHTGRLWLSISRHISTLVLGLSKLTLPVDCSGDFGPRGLCVQYTQDVRGFLPVHTGRPRLSECVHVCPSVSVSTHKTYVAVHQYTYQHVGPWTKHAGPSLHTGRPWVSASTDRTFVAVCGCPSAHTGRSWLSVCVRLCPSAHTRRPWVSVSTHRTSEAVRVCPCVSVSTNKISVAVHQYTYQHAGPWTQHADPSRGLFGHSWVSVSTHRTSVCVRQHTQDVRGCPCVSVCVRQHTQDVRGLSISTHISTLVLGLSTLTLPVDCLGDFGQHGCLLSTHRTSVGVRQHPHDFSGCSCVSVCVRLCPSAHTGRPWLSISTHISTLVLGLLTLALPVDCLGDFWPHRTSVGVRQHTHDVCGCPSAHTGRPFVSVSTHDVHGCPRVSVCVRQHTQDVRGCPSVHISACWSLDSGCCPFLWTVWVFFGSRGLSVQYTQDVRGCPPAHTGRPWLSFSTHRTSVAVRVCLCVSVSTHRTSLGVRQHTHDIGGCPCVSVCVRQHTQDDRGCPSVHISPRWSLDLSTLTLPVDCSGDFWPTWAVCSVHTGRPWVSASTHRTSVAVRAQDVCGCRSVHISARWSLDSAFWPFPWTVWVILAHVDCLFSTHRTSMGVRQHTQDVRGCLWLSVSPHRTSVAVCVCVCVRQHTQDISWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQNVCGCPSAHTGRPCVSVSTHRTFMAVRVCPSAHTGRPWLSISTHISTLVLGHSTLTFPVDCSCDFGPHGLSVQYTQDVCGCPPAHTGRQWLSVCVRVCPSMSVSTHRMSVVVHQYTYQQRWSLDSAR >A07g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3221137:3226961:1 gene:A07g501600.1_BraROA transcript:A07g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPDSGATRVTVPTACGFDISYFSLSFYILRYSRQKKTIGDFCAFGLCMTSRHTRRNAQGELVTFTNKELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTLLKNNQGHVFNMEQATAGHIQNQNKRQPQSNQQAVPANENSQPYELKGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQSFAETILVAEENREQSASFEVIAPSEPAETPPVRIYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRKLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLFSDEDPSTDPTKFRGNSRVKQKVQKKRIKGDPTMTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGEAAGWRTRMNAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSRATRETTSHYGICPNYPIFFSQPPVALIYHIFLFLFTYYAILDRKKPLETFVLLDCKGRRLHLSHHREDYPELSFYLFYLHE >A03g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26122798:26125876:1 gene:A03g507360.1_BraROA transcript:A03g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGKSTFASDSNSEKPKGVEADSLPGPIKPIGAPYVSSGLTIGDPHSKTAKGQTSVSSGLTKPIGKNLNGTIIHTTKTGVSSGVRGKAAVSSGVKGKAIVSDVGEVMAFKDVKFGPNQGELRFRLIHFWEARNVLTKVIIGLEMLLIDQEETVIQGFIPAGRIETYLPHMEAGGIYRLNSFYGSKNKTLYRVADPSVTITFSSTSVLSDLEDSLVCIPEDRFRFRGYEEFDAACDLKGDLYDYVGHIKLVNGQVLSDSIVIDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAAFDFSGKIKASGGTARVILVTTLNPKRFGGALTLSTMTSSRVFLDSDVQATRDYLTWLNSNLAVANRVDADVVTKTETVTIGELFSFMKQEAAKVAWFECIATIGDVAHGSAWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVAQYLARISVYYNDDHASFVLLGDAGQELTGKKASELVESYFEANEDVGDDHLVPVPQALIDSIGQTHKFIVKVSNHNLTGKTQSLTVTKVLTPEVPELQGNLVGNVILPDAHEPLQKGVAEDGPSTRFEESDGQGVKRTADNVEAEDPKRAKCG >A09p023170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12751114:12751977:-1 gene:A09p023170.1_BraROA transcript:A09p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc-finger homeodomain protein 13 [Source:Projected from Arabidopsis thaliana (AT5G42780) UniProtKB/Swiss-Prot;Acc:Q9FMY7] MDETKSKIEEKCRRRTKATPICRETGDHVHSPPTRITKSTRPTHAPPPNLESIFRLTPEPRYGECRKNQAASAGTTAYDGCGEFVSANPGEDSFDCAACGCHRSFHRKESLSDGILEVLKISPSQFRQIFCSPYGEAEEKKRIAMDKSPEEEEAARAKRLKTKFTAEQTEKMRSYAEKVGWKLSSEGRERVREFCDGIGVTRKNLRVWMNNHKEANGRVDEEEEGRVKRFKTKFTAEQTERMRSYAEKLRWKVGPEDREETEVFCNEIGVNRNNFMIWMNNHKEGRD >A06p049160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25988967:25994075:-1 gene:A06p049160.1_BraROA transcript:A06p049160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNLIKLHNNDYDIHDNWNLVKSHKREVQDNNIGQAPHEKSSRFRESFQVPKEENQTTMAGRLCQRDGWIMTAMVAVVISDVGMNTLFKAASSKGMSSYVFLVYSYGIGALLLLPSPFLTHRSRSLQPLKFSVLCKMGLLGYTGIKYSSPTLASAMSNLTPAFTFIFALLFGKSLDDYFSCIISMEKVSIKKNSSIAKVVGTIVSIVGALVVTLYHGPIIFAASQPSVHLPQPLSPPPSRPLNSNWVIGGCLLALEYTLIAISYIIQTHIMREYASEFALALSHNICVSVSCAFVSLFVETNNPSAWIMRSSIMLICIVATGMVNSTGYVVESWTVRHKGPLFLAMFRPLAILTAVVLGAIFLGDSLYLGSVIGGTLISIGFYTVMWGKAKEEKSEIDTHHAASSSHSKRVPLLMNYAAEKQVYAGRSSS >A05g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2779651:2781407:-1 gene:A05g500740.1_BraROA transcript:A05g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFKNQDQQQIHVGRLSTKGGNDATRLRFIDPLWKLKWFLNIGSQARLKKSIATIDKFVYRLIITKRKEQNTAIREDILSRFLVEREKDPERMNDKYLRDIILSFDRWEGHNRCISLLIRDVTSSHEETTDGKGFVESIDEEALDQMQYLHAALSETLRLYTAVPVSEEKGNVYYISYAIGRMTYIWGQQAEEFKPERWLKDGVFQPESPFKFISFHAGPGICPGKDFAYRQMKIVSMALLHFRIVDEKNLISNSKVGEKNEQGLYLVDNESMRDNNSWLHSSLLSNKIRFHIRLSIGYAIDFGDFVFALYRRGDLHIPMY >A06p000650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:183655:191207:-1 gene:A06p000650.1_BraROA transcript:A06p000650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIIFLAVQILLLTIVSSTGDDGENFARTIDRKLLGLHRKEKLTHFKVYWHDILSGPNPSSIMIQPPITNSTYFGGINMIDNALTAKVTRNSTLLGQAQGFYAGAAQKELGFLMAMNFAFKRGKYNGSTITILGRNTAMSEVREMPIVGGSGLFRFARGYVEARTKWVDLKTLDATVEYIQILLLSVVSSTRDDGENFARTIDRKLLGLRRKEKLTHFKVYWHDMISGPNPTSIIIQPPVKKYSTTYFGGISMIDNALTAKVSRNSTLIGKAQGFYAGAAQKELGLIMAMNFVFKIGKYNGSTITILGRNSAMSEVREMPIVGGSGLFRFARGYVEARTKWTRNLDATLIFFLAVQILVLAVVSSTGDIFARTIDRKLLGLHSKEKLTHFKVYWHDILSGPNPTSIMIQPPVTKSTTYFGGITMIDNALTAKVPTNSTLVGQAQGFYAGAAQKELGFLMAMNFVFKTGKYNGSTITILGRNTPFSEVREMPIVGGSGLFRFARGYVEARTKWFNLKNGDATVEYSCYVLHY >A03p041290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17263306:17265487:1 gene:A03p041290.1_BraROA transcript:A03p041290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRKKVLIPIAHGTEPLEALAMITVLRRSGAYVTVASVENQVGVDACHGIKMVADTLLSDITNSTFDLIMLPGGLPGGETLKNCKPLENMVKKQETDGRLNAAICCAPALALGTWGLLEGKKATCYPVFMEKLAATCGTASESRVEIDGKIVTSRGPGTTIEFSLTLIEKLCGKQTAVDVSSILLPRPNPGEEFTFTELNQINWTFEDTPQILVPIAEGSDEIEAISVVDILRRAKANVVIASVSNSLEVVGSHKANLVADVLLDEVLEKSFDMIMLPGGLNGASRLSRCEKLVNMLKKQAEANKPYGGICASPAYVFEPHGLLKGKKATTHPVVSNRLSDQSHVDHRVVVDGNLITSRAPGTAMECALAIVEKFYGREKALQLAKATLV >A07p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:633974:638693:1 gene:A07p000560.1_BraROA transcript:A07p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQGDMLAIHRGDGNRDDFLRYPKKMDVEDEYKTEAFRDGDEQQNNLVVERDGLQTKDGENDEQQITCEKNDCSSPKVDALEDLKASCEEEVGFCSPESVDREDEDEPLERVNRDEEEQSVLERDEKVLGFVSRDNKESASDSSPDTKVSNGHFDVVGTEEDTGTSNRCENGDGNRVFGKDGEDNPQVDNSVIRKMEMIQVTGEQDSPEAVKSAGETSQNVYFNGPVLPQSPSQGHRRAQSEIGTPGHRRTNSFQKLKTQMQKAWRGVSNLRDDNRPTFNPEVLANQKRQWYQLHSSKALLDQTKYKEPTSLFEHFIIVGLHPETDLKPVEEAFRRRKKWETEMSRYEVADYRILRHRGPQFPVLQPQILFKYPPGKKVAMRPKDLAAFCFPGGVKARLLERSPSLSDLNELVYGQEHLGTDDSSFIFSFKVADDATLYGVCLHVSEIVQRPPGVLSTASPLHPSGGGSRFLVSAPRCYCLLTRVPFFELHFEMLNSMIAQERLKRITEFVSEMSLAAACHSPSVSRMNSCVSSPRSNPADWMASAIPVDGVMALTAAAAGLISDSDIASFAEPQSPDSVVASDTSDASQIKEIERDGRKVFHCYDDNSSEVFENHLGTPERTSQSFENGNASPEVTCTDPRIQPIERYESCESVFSSARSVLSDEVDEISNSENGFGDDLVLEWAKEHNNDALQLICGYHSLAIPSRGSEVVFQPLEHLQSIAYTRPPVSALGLSEEFVYSSDSSEINARLAAAEEAMGLSMWTTATVCRILSLETILSLLAGVLLEKQIVVICPNLGVLSAIVLSLVPMIRPFQWQSLLLPVLPGRMFDFLEAPVPFLVGIHSKPTDWKVKTSNLILVNIINNQVKICNMPALPQRRELMAQLTPIHATLAHHSSTARKHPVYKCNQVQAEAATKFLGVMRDYMESLCSDLHSHTITSVQSNSDRVSLLLKDSFIDSFSGRDRPFIKLFVDTQLFSVLSDSRLSSFENGGL >A09p017960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9359343:9361439:1 gene:A09p017960.1_BraROA transcript:A09p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-specific histone demethylase 1 homolog 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) UniProtKB/Swiss-Prot;Acc:Q8VXV7] MEQNQEIRPESPENPKMATDNLTNAQASPTKEAATPSNTDGKIDKDVAAADPNPTPAAKLQPSESDEEVDLPSISESLGEEESSDLVTEPQSQNPNPLEPGPRARKRRRRKRFFTEINANPAFPRNRRNSVGKELDSEAITAMSVGFPVNSLTEEEIEANVVSIIGGKEQANYIVVRNHIIALWRSNVSNWLTRDHALESIRLEHKTLVDTAYKFLLEHGYINFGLAPVIKEAKLRSFDGLEPPNVVVVGAGLAGLVAARQLLSMGFRVLVLEGRDRPGGRVKTRKMRGGDGVEAMADVGGSVLTGINGNPLGVLARQLGLPLHKVRDICPLYLPSGELVDVGVDSKIEASFNKLLDRVCKLRQSMIEEIKSVDVPLGEALETFRLVYGVAEDQEERMLFDWHLANLEYANATLLGNLSMAYWDQDDPYEMGGDHCFIPGGNETFVHALAENLPVFYGNVVESIRYGSDGVVVYAGDKEFSCDMALCTVPLGVLKKGGIGFVPELPEKKKEAIQRLGYGLLNKVAMLFPYNFWGEEIDTFGRLTEDSSTRGEFFLFYSYSSVSGGPLLVALVAGDAAERFETMSPTDSVKRVLQILRGIYHPKGIVVPDPVQALCSRWGQDKFSYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNKQYPATMHGAFLSGMREAANILRVARRRASESASNLAK >A08p008960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4571994:4574766:1 gene:A08p008960.1_BraROA transcript:A08p008960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PAM71-homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G13590) UniProtKB/Swiss-Prot;Acc:Q9T0H9] MKNCFQLLLYAHIKTNSIHDLHFKLYKKGRQAGVGRWFADRMQHGIRKGGKLEHFGAGSLTFSNVKSLLVAEGLALLAAMEAAISLDFKQISFESDSSRLMTAIKDGSTVSDLHEILGDFSLLSLVFNSSKIRRKSPSFFLSFWVSCLSNQSNDSLNPLLPWIQSKRTMTVTGVSNSANSTALASVYLVRKLPFLSLSESLPFPKISRKPASPTPLRCRWTSRSDSLCGKFRVHASNAGVGSGSEEDGSQSSSLDRSHATSSESLKPQGPFPYSLSIALVLLACGLVFSLITFVKGGPSSVLAAVAKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYEKTLVLLGSMGALSLMTILSVVIGKVFQSVPAQFQTTLPIGEYAAIALLMFFGLKSIKDAWDLPQAEAKNGEESGIELGEYTEAEELVKEKASKKLTNPLEILWKSFSLVFFAEWGDRSMLATVALGAAQSPWGVASGAIAGHLVATLLAIMGGAFLANYISEKLVGYVGGALFLVFAAATFFGVF >A03p024540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10350087:10351728:1 gene:A03p024540.1_BraROA transcript:A03p024540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLERLSKKPPSLVDLCVRLAIDNVRYIGYVGGVDFQLLEKILQHCTLEQLMHIEDSTQDTDLSPVTNELWKRFYEKQYGAKNLSFVMEKMERSKVSFKWRELYEAKLKVVEEDEKEAVDRLKQRYKNEDARKQSRQTKLCAKTPPMKKPFWGNSGTSYNLSNVKSNIMKKAKLDVLKSQEVKNLTAIKRNSIQKGFSSPPKRTGLSANLPSTSRSNSCGEQVKNLTAVKRNTTQKPFSISAPKRTGLSSTAPSTSRSGEGNLPPKTINSLLGTAPSTSRSNSYGEGNLPPKRINSLTGTAPPTSRSNFGEGKLHPKRNSLPGVAPSTSRNTATKRKHVM >A06g502450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8259266:8266340:1 gene:A06g502450.1_BraROA transcript:A06g502450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQKKEAAETRKGSSEEEAVLDKATLTNLVSALQNISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSADVEKSLSVTSSPRRRSPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAAKSPVPPQRKEKAVKSPVPAQQKQQKSVKSPALAETPAKKNSELEKDTVVRRILGDDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKRRKKRLSYRRRRKKKRLSYSGLRNKMSSSSSVSGNTYFHRRHVERRTPKQCWCGEPAELCTSASRANPGRLYYCCRKGYIKRHLFKWADECLVEEVEDMKSVMSDMTKGISDLRVDVGRLEKELGKAEKMKCLMFPVVMDLQRGIPRTCDCGGATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKIIECLRMKS >A06p012940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5874222:5875268:1 gene:A06p012940.1_BraROA transcript:A06p012940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQIFTNPSHGLAQVWFSKRISCKTRLSRVLATPPSSTLLHMDENNNNLPKSIKGFDSRGSLNNLHADSMLRQANTVGIIGGISTDSTLNFVRKLEDWSSKGGKSSLPFVLCSDPKLNKELLLYEENSYPSLYHRVKRTPADENQIVENLRNKRRSLERCGAKLILMPCHIAHTWYDEVCEGSSVPMLHMGDCIVKELEEAKMKPLEAGNPLRVGVMASSATLSAGFYQERLQNNGFEAVLPDKATMDHTVIPAIEAMKRDDMEGARNLLRIALQVLLVQAVNVVLLGSDEMRELLPGDDPLLKKCVDPMDALARSAIKWAGSL >A06p044140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23731472:23734141:-1 gene:A06p044140.1_BraROA transcript:A06p044140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKKEMEGNLANDYLSSNHGMMTSQRRICVFTGPVIVGAGPSGLATAACLKEKGITSVLLERSNCIASLWQLKTYDRLSLHLPKQFCELPLMPFPADFPTYPTKQQFIEYLEDYARRFDIRPEFGQTVESAEFDEKLGMWRVRSVGKEGMTEYVCRWLVAATGENAEPVVPRFEGMEKFAATGVVKHTSHYKTGGDFAGKRVLVVGCGNSGMEVCLDLCNFGAQPSLVVRDAVHVLPREMLGTSTFGLSMLLLRWLPIRLVDRFLLVVSRFILGDTTLLGLNRPRLGPLELKNLTGKTPVLDVGTLAKIKTGDIKVCSGIRRLKRHEVEFDNGKKERFDAIILATGYKSNVPSWLKENKMFSKKDGFPIQELPEGWRGECGLYAVGFTKRGISGASMDAKRIAQDIYECSRKSDQAHRHIQVFMSSKPDQA >A01g511450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33000710:33006103:-1 gene:A01g511450.1_BraROA transcript:A01g511450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQQEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAAEDLGGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSGSPRNLLGIFRGNSEELGGILTYLTEYPHGHGQTWFNRSWNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQACYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A06p050020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26355542:26359093:1 gene:A06p050020.1_BraROA transcript:A06p050020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDSTSIDYVMEKASGPHFSGLRLDGLLSSPSKSANSSPSPFRSGVPSFSQISDPAAPNQPFVIGVTGGTASGKTTVCDMIIQQLHDHRIVLVNQDSFYRGLTSEELERVQEYNFDHPGSSSGSLSDYAFDTEQLLHCIDTLKSGQPYQIPIYDFKTHQRKSDAFRQVNACDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLARRIRRDTVERGRDVDSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHIHTKLGQHDLCKIYPNLFVIQSTFQIRGMHTLIREKDISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYSGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGTQLIYEKLPSDISERHVLLLDPVLGTGNCASQAIELLMRKGVPESHIIFLNLISAPEGIHCICKRFPLLKIVTSEIDQCLNEDFRVIPGLGEYGDRYFGTDE >A03p000620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:405581:406819:1 gene:A03p000620.1_BraROA transcript:A03p000620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein A1 [Source:Projected from Arabidopsis thaliana (AT5G02040) UniProtKB/Swiss-Prot;Acc:Q9LZM7] MDWGNVTAEDLVDALREVDWSSPPRPLSEFFSRFTVPKSLAKWDSRLKCNLYYYRTNYFIMIVFILGLGFLTRPLAILSAFLTAVSVAFLNDSFAGSFSEKATRTIRRFSPQLAAKMRPPLAPVIRGRPSAKRAIYICGQPRWVFVLISSLVSFALWYISCGLFTVSLALLIGLLATILHATLRTPNLKARLNTFREEFRAVWRNYSEI >A05p043390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26174552:26190638:-1 gene:A05p043390.1_BraROA transcript:A05p043390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTSPLTKPKPFFLSSPPRPLTAPPPLNLAGISLTHNQKLAPFKLPSLVSSAFKKRDVAASAAAASETGDDYRRIMLSDVMVKKKTDKAVWWEREWTSMDFGAVAVVVSMHLLSLLAPFQFNWRAVSVAFVLYIVTGLLGITLSFHRNLTHRSFKLPKWLEYLFAYCGAQALQGHPIDWVSTHRYHHQFCDSDKDPHSPLEGFWFSHMNWMFDTNTITQRVGEPNNVGDLEKQPFYQFLKNTYIWHPVALAAALYAMGGFPFIVWGMGVRIVWVYHITWLVNSACHVWGNQAWNTGDLWVAALAFGEGWHNNHHAFEFSARHGLEWWQFDMTWYVVRFLQAIGLATEVKLPSEAQKQRMALTTVSPFSPFVKKHNPNSNNNSALLAYHTPNPTSKQGRSVIHKEQMFVAVHGVPVLVESSWRLPMSDVVVVRKKSAFLERSWNSRDVRKLVRVVGVHLLSLLAPFHFNWSAFKLCLWLRGAPIEWVSNHRYHHKHCDTQRDPHSPTQGFWFSHMTWIFDTGSIFNQCGGYENVNDLAREPFYRFLHRTFVLHLMAYALLLYLCGGMPFLVWGINDTGGDNKDSCGRQFQG >A08p018190.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12606183:12607019:1 gene:A08p018190.1_BraROA transcript:A08p018190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRDPNPVTNRPGSFSDPGGFAINSRIMFTAIIIIVFFVILMLSLHLYSRCYLHRSRRFHIRRLNHSRRAAAAMTFFADPSSSTSAVTTRGLDPSVVKSLPTFTFSAAAASDAIECAVCLSEFEESEPGRVLPNCKHAFHVECIDMWFLSHSSCPLCRSLVEPFAGGVKTAAEEVAISISDPVSGDTNDVIGAGTSDHEDSRGKPAAIEVSTRNLGESENELSRSNSFRSRVISSTRIFSKERRSASSSSSIGFPPPPVSSMPMTELDIESGGEEPR >A02p059430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35470168:35474199:1 gene:A02p059430.1_BraROA transcript:A02p059430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT5G65070) UniProtKB/TrEMBL;Acc:F4KGH9] MGRRKVEIKRIESKSSRQVTFCKRRNGLIEKARQLSVLCESSVAILMVSSTGKLYTSSYGDRFVEKLWGSNAIDQETLDWNGCHLFYAIFCMEKIIKRYEIQHADELKNLDLEEKFRKYLSYKELVDIVQCKCEEAKVDDASVESLNSLEEQFKAALSVTRARKTQLMMEFLKNLEEKEKLLREENQILASQLTKMEKKRLPETEGEGAMSSENSSRNSPPETLPLLK >A07g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3633115:3633740:1 gene:A07g501750.1_BraROA transcript:A07g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVGDQKQEAPPVINEVDGLEGQEELCFINANGTWYKKEPNFQYQNNYQQRPLYNNQQGGYQANQSPQTQGSSFQAQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNNHS >A06p002160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5293845:5295866:1 gene:A06p002160.1_BraROA transcript:A06p002160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAEKSNNSAPATPPPYFWGDMPEEEYYTSQGVRNTKSYFETPNGKLFTQSFLPLDGEIKGTVYMSHGYGSDTSWMFQKICMSFSNWGYAVFAADLLGHGRSDGIRCYLGDMEKVAATSLCFFKHVRDSEPYKHLPAFLFGESMGGLATLLMYFQSEPDTWTGLIFSAPLFVIPEDMKPSKTHLFAYGLLFGLADTWAAMPDNKMVGKAIHDPEKLKIIAANPQRYTGKPRVGTMRELLRKTLYVQENFGRVTAPFLTVHGTADGVTCPSSSKLLYEKASSDDKTLKLYDGMYHSLIQGEPDENVAIVLKDMREWIDERVERYGSK >A03p037050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15525282:15529459:1 gene:A03p037050.1_BraROA transcript:A03p037050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKGTTTMEVGADGVAVITLVNPPVNSLSFDVLYSLKSNYEEALSRNDVKAIVVTGAKGKFSGGFDISGFGEIQKGTMKEPKVGYISIDILTDLLEAAKKPSVAAIDGLALGGGLELSMACHARISAPGAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMILTSKPVKAEEGHSLGLIDAVVPPAELLNAARRWALDIAERRKPWVSSVLKTDKLPPLGEAREILKFAKDQTRRQAPNMKHPLMCLEAVEVGIVSGSRAGLEKEAQVGSEVINLDTTKGLIHVFFSQRGTTKVPGVTDRGLVPRKINKVAIIGGGLMGSGIATALILSNYSVILKEVNEKFLEAGIGRVKANLQSRVKKGKMSKEKFEKTMSLLKGSLDYESFRDVDMVIEAVIENISLKQQIFADLEKYCPQHCILASNTSTIDLNKIGERTKSQDRIIGAHFFSPAHVMPLLEIVRTNHTSAQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAAMFLVEHGTDPYLIDKAVSKFGMPMGPFRLCDLVGFGVAIATATQFIENFPERTYKSMIIPLMQEDKRAGEATRKGFYLYDDRRKAKPDPEIKKYIDKARGVSGAKPDPKLEKLSEKEIIEMTFFPVVNEACRVFAEGIAVKAADLDIAGIFGMGFPPYRGGIMFWADSIGSKYIYSKLEEWSKAYGEFFKPCAFLAERGSKGAPLSAPLEQSRSRL >A04p028890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17291096:17297676:-1 gene:A04p028890.1_BraROA transcript:A04p028890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREEEQQKRDDSRFNQTLKNVQGFLKGRSIPGKVLLTRRPDPTPEPISPTYHRSLSENDAGRNERSDNPVEVEDHNSSKKQDNTYAGKLRSNSSSGEQLAKQVQNLKIGGRSSDYARVMKFNKVLSETTVILEKLRELAWSGVPHYMRPDVWRLLLGYAPPNSDRREAVLRRKRLEYLESVGQFYDLPDSERSDDEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVIFLSEYLEGDVDSWSMSDLSAAKVSDVEADCYWCLTKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVARHMEEQGLEFLQFAFRWYNCLLIREIPFSLINRLWDTYLAEGDALPDFLVYIYASFLLTWSDELKKLDFQEMVMFLQHLPTQTWTDQELEMVLSRAYMWHSCLVHRFLLFIIWLSSFQDVAAAHSNVNEHTSKSTTAELANPPGIGVSGPIQVSPSVIPKYTSPALPWTPPMYPTFPDTYEPKLTGKCTADFQAISSIINTAASDCSQPFAALVGNVICCPQFVSLLHIFQGQHDVKSDKLVLPDAVATDCFSDIVSILVSKRANMTIPELCSVTSSNLTGGSCPVQDVATFEKVVNSSKLLDACRTVDPLKECCRPVCQGAIMEASLIISGHQTTGGGDKIPLGGSNNVNALNDCKNVVFSYISRKLEADKANTAFRILSSCKVNKACPLEFKEPTEVIKACRNVAAPSPSCCSSLNAYISGIRNQMLITNKQAIVCATVIGSMLRKGGVMTNIYELCDVDLKDFSVQGCLLRSYPADLIFDNTTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPTSQTLRNHGFRGGGAFGALRLIIILVFMLYGVVRH >A01g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11282924:11284523:-1 gene:A01g503690.1_BraROA transcript:A01g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGAKAHVVEGIVLSEFLDLEVQWPAREETLMDYQYIIKHYESRRNHDKLKVSLAMVNRRMRSIWRKARQLKDFQSG >A03p034600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14589560:14590687:1 gene:A03p034600.1_BraROA transcript:A03p034600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVMLGELVSLNMSNGVGEGGRSQSRESHGKRELFQGSDFAYVLARMAVAQICENVEVNVSQKGVRFSSFQESALDTLTCVAVQYIQSIGKSAQFYANVAGRVEGNSLDIVQALEDLGSGSGVSDGECCLGDSGIVKDVIRYTGEVEEVPFVYALPRFPINKEKRGAPSFSEVGAEPPYEHIPVWLPAFPETNVGTIEGNGPSLPSIQHSFNGGGLEVLKSPKSARKSTQGEEEMEGNPFLAAPLRFVENEVSPVVRLLELSNEVVGINHVSDKHTSNNNSHIPVLEASTPCDDINRKNKLSESEDGEKKGGARPQQTLLCFKIGTLKRPSCLAMKGSVQGRRWFQEGEVKREKKSETEENGERFDIGPDVVI >A03p054550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23449725:23451642:-1 gene:A03p054550.1_BraROA transcript:A03p054550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G18060) UniProtKB/Swiss-Prot;Acc:Q8L7W0] MDAFRRQASKLRDQVAKQQLAVIKQFSGTGYESSDVMVIDELEMQRHHHLEKLYRSTRSAKEFQRELIKAAETFTTIGLRHIEAGTKLAEDCCKYGTENSQNIDENILAKAAAIYGDARKHVEKEQEDFNKLLSSQVLDPLRAMVAGSPLEDARHLAQRYSRMRQEAETHAAEVSRRQVRVKEAPIPENVAKLQLAEAKMQELKANMAVLGKEATAALAAVESQQHRLTFQRLVAMVEGEKNYHLRIAAVLSDIEAEMVTEKQHKESAPPAIPTENSSEKTSYFLAEVVHPFSAASEKELDLYKGDYVVVRKVSESGWAEGECKGKAGWFPMAYIEKRQRLPTSNFAAEVY >A10p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8922119:8923951:1 gene:A10p004590.1_BraROA transcript:A10p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDTTEQSNREVVPPRLHLRPVRPMESGIGLPYAPENWPKPGDTWRWKVGPRITGKGTFLDRYLYPPKHLPGLSNTETLRKGTAFRSRLSLERYIRLAFPDADVRKFFASFSWAVPSTDGMKRSILPVYTSDEDPMDANVSDIMVCKAGNDKCGSLMPPPSETEPMPCDICCSEPSFCTDCSCILCCKSISVEHEGYSYVKCEAVASEGRVCGHVAHINCALRAYMAGTVGGSIGLDAEYYCRRCDARKDLVPHVNRFLEICKTVEYQGDVEKILNLGICVLRGSQRVDAKELLNCIESTVIKLKCGTSLEDLWNDDTPTIWSDFSESGEGKDNDDAVQSLQDVTPIEPMPFNHEAEMHKLEEEIREVLKALREAQESEYQIAEVRLHAQKECLGDLYRQLEEEKSELSRRVSGSEADSLMTNVLKRLDQIRKEVTKLKEMEEVAKGFGRTPKGILEEYFHLTIEE >A05p020870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9865791:9866000:1 gene:A05p020870.1_BraROA transcript:A05p020870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCFLSRNEKEAQDVKNGKKGKKDGSLVVSKPSKKRSGKGDSGGGGGCCCGGGDGGCGGCGGGCGGGD >A05p043630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26301528:26302250:1 gene:A05p043630.1_BraROA transcript:A05p043630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:Projected from Arabidopsis thaliana (AT3G15580) UniProtKB/TrEMBL;Acc:A0A178VBL7] MKSFKEELTLDERLAESREIIAKYPTRIPVIAEKYSKTDLPAIEKKKFLVPRDMSVGQFIYILSARLHLSPGKALFVFVNNTLPQTAALMDSIYETYKDEDGFVYMCYSSEKTFG >A08g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3375521:3376686:-1 gene:A08g501330.1_BraROA transcript:A08g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRAFSDLKSGQCSSVVEARLLRFWEARNVKCGGEIKWMDLLMVDVKATMMQVTISSSQSDIYLILYVDVIGEILGVKIDSRHTAIELLTFLTLTENMDCKFYSTLSSVLQFTFRRPTTLSANKVNQLPV >A02g510970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29130902:29132491:1 gene:A02g510970.1_BraROA transcript:A02g510970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFCSSSLPPEPSSSSPRPTKRSKAVEPAGSSSASEVPVENQVSESGETELGSSDPQTMDLEKPVLTDVPVMEIYPEADTKPEADVLATPTVTGEVVTDGKKSKAGKKRTKTPWAKLLSQYYQNAQCSPPPPPVGNIEDPVTTTSEPNDAQSRKAYTIEDYLKITEEQIKASSPGKSQTEDQIQTQEPAVQSQPEVTSRETMAASPPRQD >A10g500110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:316657:317199:1 gene:A10g500110.1_BraROA transcript:A10g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMREANLASMPLDLSSSSEIVNKEHSLLSTFAGLQTGNKDWPPTDDAKTCPPLKTKAQLTLWINRARSYHYKKRLECFTEFGVGEKLLEPETTMAQKPDLSSGKKNNVSKLLVYKGRVSKGCKFSTPDIFSCTMMDLPFSIINVFANHPAESCRINNSSVRNWVSQSQHSHPWLLLCG >A03p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9184176:9184502:1 gene:A03p013530.1_BraROA transcript:A03p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIRKKELVTIGDLHTYLSNSNAQSQEADFLYKSRIVGVLQQNGWTYVSCTGCSKKLDKYGTSCVSPMSMSKSKK >A05p008770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3632925:3633539:1 gene:A05p008770.1_BraROA transcript:A05p008770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHDLSINSLSYHSTEDEEDKSCKNSLFFGLFDDSESTRLRSGSMKRQYSDMGDIYHRVYEERHDDVNYGDGDDEGSKVDMRVLMLLEYMRELYVGQLQVLKKMFPGGAKDEFLGFFNKIGDAMSQFKKDSRHLTKSKTMQRSLSVNLGSTELRDKRFKVTTVEAGGAPAGGAGGAAGGAGTSGAAGGGKGGSAAGQGQPKK >A03p020060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8227057:8228158:-1 gene:A03p020060.1_BraROA transcript:A03p020060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKRICATIEFLTRRDKRDQNLFPTEPINKTSSPIYFHQTIVKPWSRHRQGNTPVSMAKTWERDDVVCVIDMSWVLLSVQEYLVLYVLPDSYVDPEYKDYGGELFLNGEVIPRTPVWTPERQRRIVRFMTPILRYNDRTRNVRGRESMH >A08p016920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11893702:11896113:-1 gene:A08p016920.1_BraROA transcript:A08p016920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIPTKGILKSEALQKYIFETTAYPREHEELKKLREATVHKYGNLSEMKVPVDEGHFLSMLIKMMNAKDTLELGVFTGYSLLTTALALPEDGRITAIDIDKEAYELGLEFIKNAGVDHKINFIQSDGLQALDKMLNKNPRPEFDFAFVDANKTNYANAYERLMKLVKIGGIIAFDNTLWFGYVAEEEEDVPEHLRVCRKALMELNKQLASDPHIEISQVSIGDGVTLCRRLM >A02p033360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17742885:17745074:1 gene:A02p033360.1_BraROA transcript:A02p033360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRHGWQRPFHTLQIVGAVIFSALVASFYVFLGFFLGNRIAIITLLSLFSFVAGSVIALFVRCTAIDPTDKTSAKKRRRAKSKGVLMKLRVKVVLSQVVVRFFRRLERKILRNFIRRTYLDPWKSSVQLEPLLPFPLVMKDDAVTPDPKEEEDDISYCSLCDLEVKRSSKHCRTCNRCVEGFDHHCSWLNNCVGKRNYTTFILLMVFVLLMLMIEGGTAVAVFVRCFVDKKGVETELKRRLHVEFPRWVLATISVMLVLLTAYGSAAMGQLFLFHVVLIRKCSNTCQGMRTYDYILAMREENQFTEVDPFDELDSSSDESSDFDSPERPRQTLISKFMCSKTNENHQKRLSIKVEGDGRSPSSTLINKKPGFHVTINPWKLITLSSEKALQAAEKARERLRKTKPVSESGEDSLKPLPLETKFGLLLDPDNNNSVLQPSIPEAVKLHVSPGKFSSPRRRFSGSSSTTVPSPKQKYRSNFDLKLTEVSKELESYISRQVLCSVIKQDGSEASPR >A03p034230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14462284:14464119:1 gene:A03p034230.1_BraROA transcript:A03p034230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g01580 [Source:Projected from Arabidopsis thaliana (AT3G01580) UniProtKB/Swiss-Prot;Acc:Q9SS97] MFRCEEKPDNFTIPVALKACVELRQIKCGEIIHAFINKDASLASDLYVGSALLDMYAKCGRMTQALRVFDELEEKPDIVTWSSMVSGFERNGFPFEAVEFFRRMATSSHVSPDRVTLITLVSACTKLSDSKLGRCVHGFVMRRGFEKDLSLVNSLLNCYAKSGAFKEAVHLFKVMAEKDVISWSTVIACYVQNGAAAEALRVFNEMMGSGTEPDAATMLSVFQACAASHDLEQGRKSHELAIRKGIEAEVKVSTALVDMYMKCFSPEEAYAVFSRIPKKDVVSWVALISGFTLNGMAHRSVEEFSKMLFENNTRPDSILMVKVLKSCSDLGFLEQAECFHSYVIKFGFDSNPFIGASLVELYSRCGSLGSACKVFDEITLKDVVVWTSLITGYGIHGKGTKALETFTQMVESSEVEPNEVTFLSVLSACSHSGLIHEGLRIFELMVSQYGLVPNLEHYAVLVDLLGRVGKLDTAIEITKRMPFSPTPQVLGTLLGACRIHQNDEMAETVAKKLFELEPNHAGYYMLMSNMYGVKGEWENVEKLRNAVRNRGIKKGLAESLIEIKRKVHRFVADDNMHPENELVYELLKELDLHMKQDFEDSAYFQTEGGSL >A02p059990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35779943:35783451:1 gene:A02p059990.1_BraROA transcript:A02p059990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSILLPRTRRGIPLSEVLLNRSWSSLLETQRLYVEARLTTEIPESDSDTSFCHFPHVEPNRRNHIFTLLLTAVISPVLSAQSLPDGGDHDRFKRRDPLNSFRYYNGSFNVQDKHYWAATFFTGIHGYAFAGAFLIAGVCLGLYAAFFNKRRRVSSTQRRYLDRYYLPLFLLLLLFMSLSVAATGIVIAANQSSKSRTEEMKETIDKTGEDVDRNIRTVITSLTRIQYLLLPYDQTTTHLLNVTSHRLKKGSQLIQSFVHHNGPTIDLAIQISYVTHLVIASTNLFVLLLALVPILLHWHPGFIMVIFLCWILTTLSWALTGFDFFLHTFAEDVCSAFSGFVQNPQNSTLTNIFPCMDPLHSDKTLMEVSLMIRSFITELNSKVASSMRSYALTDRSRTVSSSAPPESGLICDPFLGQQINSYTPQSCSNGAIPIGQFPNVLSRFTCHDKDPPETCRITGKFIPEAAYLKVYAYSNSAQGMLDILPSLQNLMQCLHVKDTLSSIVSNQCKPFRASMYRLWACMLALSLIMKVTVLLFLARAYQERGKSFAWFSIHPTSEEEVRQVNI >A05p047850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28100059:28103454:-1 gene:A05p047850.1_BraROA transcript:A05p047850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGD1 [Source:Projected from Arabidopsis thaliana (AT3G11670) UniProtKB/TrEMBL;Acc:A0A178VKL1] MAKEIQSQSPPSSTANGITFSSSSSSSPSLSMMLSSTNAFSLLSKGWREVRDSADADLQLMRKRANSVKNLASTFDREIENFLNNSAMSAFPVVSSSPSSAFGNEIGIMKKLEPKISEFRRVYSAPEISRKVMERWGPAKAKLGMDLSAIKKAIVSEMDLDERELEMRRRRDRDRFREFYAEREGEGEGSFGDWKPIRSLKSRFKEFEKRSSLELLIGFKNSELMEKLKASFQSLYKETDEAKDVPPLDVPELLASLVRQSEPFLDQIGVRKDLCDRVVENLYKCKSQHLWRLPSSQASDLVENDNHVDDLDMRIASVLQSTGHHYDGGFWTDFLKPETPESKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQSVTLVVPWLCESDQELVYPNNLTFSSPEEQESYIRTWLEERIGFKADFKISFYPGKFSKERRSIFPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKHGAIQAFFVNHVNNWVTRAYCDKVVLRLSGATQDLPKSVICNVHGVNPKFLMIGEKIAEERSRGEQAFSKGAYFLGKMVWAKGYRELIDLMAKHKSDLGGFNLDVYGNGEDAVEVQRAAQKLDLNLNFLKGRDHADDALHKYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVNKVKEAMSKEPLPLTPEQMYNLSWEAATQRFMEYSDLDKILNDEDGGKRMRKSRSVPSFNEVIDGGLAFTHYVLTGNDFLRLCSGATPKTKDYDMQHCKDLKLVPPQAHKPVYGW >A09p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5765048:5766961:-1 gene:A09p011420.1_BraROA transcript:A09p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F14 [Source:Projected from Arabidopsis thaliana (AT1G49860) UniProtKB/Swiss-Prot;Acc:Q9C6C8] MEDQKMKLHCGFIFSNWTAMFGLHEKSLDFELVFVDWVAGEARTKTFLSNLNPFGQVPVLEDGDLKLFESKAITRYLAEQYKDVGTDLLPNDAKERAIVSMWMEIDTNQFLPLASTLIRELVTKPYQGLATDFTSVQENKEKLSEVLNIYEARLGESCYLAGESFTLADLHHLPPIHYMLKMEEEGVKDLIYSRPNVTAWVEKMQSRPAWLKTVIKGDHIFELMKQHRMPMQLDSPCQEMTGLAQKTAMVTENT >A09p009130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4665598:4668659:-1 gene:A09p009130.1_BraROA transcript:A09p009130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G63540) TAIR;Acc:AT5G63540] MPRRRLRLQHYSSDDDEEEGEDEIGASGIGDSAQSIGRAPSIQPESSSASNLNPNPVEPEVEIIDVSGNPTPSPPDSSIPVDPSEAEVENGYDSPMAEALSRMGIKLKTEWWVTCLSGLEVSVPQFSRLEVAAKAKHCFEQFLFCDMNLCGGGVLPRNVASMVLEELPGPFVLQVDEIVNIGCALKGRYENANAGLKRCLKLSMTDGVQRVFGMEYRPVKDLQVLAPAGLKIVVSSVQVRHGLLMLVPETIQVLGGMVEELEEARKRLVIEVNKPPRGIRTRNRDVASLATRASLAAWSLNDNDTGNQVNSSTSGDASHVQANGQGMDSSFTFHYDISSLIYIRVTTINRKFAVFLSGRWLLLETFSNMIHPFPFETINSLLTKTLMAGNSVNVTRTHISPRTMSNPPASTNVEATVSRVEHMQIDTAATHGERTFSGLHSTSSNIHMTASTPRTSCSGTRPFYNSGCENTLDQQTSNVTSFVEEMHIDNGSERDTTSPVYGSGSGAVADDEVRNMVVDLETPSVMSTNPETPFTYLAEMSQNWAVMKDTMPFVQGRIKCFLTGVKRFQFKQQSTFELICYVDDGSLICEILLHNDVVQRRIGHSSMEVTAALSSSAPTKENAMMKEKLKGFQLFLADFEGMMVVEMNRTSQYPVAIEMNQGCSSTDARLLFERIKSSGIAPPVVVLSP >A08p011760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:553799:556259:1 gene:A08p011760.1_BraROA transcript:A08p011760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKHESIGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKIRNPAGESLPIRLVELEEQLLLIMRGGMELNLVSKSKTKRIQVFMIKLRTMFGLVEKWMRFSLVEK >A02p007440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3134839:3153434:1 gene:A02p007440.1_BraROA transcript:A02p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFCSEHRDIDCDVFVPYVGRCGLIAREERLARVTETPSLRRILSLSPSVTSERVACSIRVVFLLEKKMKPLIKTKDSKLSAASRAAVNKVLDRINDRGNKKSDDTAQNCDSAKLDKGKQTVTDNALEDMERGQFGYCDDDDEEMNDSDWEDCPIPSPAKSVDVDVDDNKELTIELDDLPPDAKRQKNTYRATAQDKERAELVHKVHLLCLLARGRIVDNACNDPLIQASLLSLLPSYLTKIPNLGKVTVRDVAPLLRWVRGNFAVRCTPSSEKSFRTSLAFALESRRGTSEELGALSVALLRALKLTTRFVSILDVASLKPGADKDESPGPKKAKTKHGIFRNSTLMVPKHQAISSHPNKSSEPQHENPLGSDQLQDTKANSSCEAGTSSKSDGTRRRGDVEFEMQLAMALAATATVNNNQQRTEATEKKKTTKASDGLSIPDQVMSTAFGSKKVDSPLCWAEVYCNGENMDGRWVHVDALNGTIDAEQNVEAAASACKMFLRYVVAFSGGGAKDVTRRYCTKWHTISSKRVNSSWWDMVLAPLRELEAASSLIRLANRASSSSFGVRTAVEDIELATRALTEPLPTNQQAYKSHELYAIEKWLHKNQILHPKGPVLGFCAGHSVYPRTCVQTLRTKESWLRDGLQLKANEVPLKILKRNAKVKKVKDFGDGNKDSEDGSRCMELYGKWQMEPLCLPHANERGQVDVWSEKCLPPGTVHLRFPRIFSIAKRFGIDYAPAMVGFEYKSGRATPVFEGIVVCTEFKDTILEAYAEEREMREEEERKRNEAQAASRWYQLLSSILTRERLKNRYANNSEDDVVKTRSLETKPETVVREENVKTPKKQGGVKRGRSGGRKSRSEDENREHGDGEGDEHEHVFLDEEETFDEKTSVKTKRCKCGFSVQVEQILHPCSGSVVKFLPGFEGPLPFELETGYIGVGEEEKVQLFYYFIKSEKNPKEDPLLIWLSGGPGCSSATGLFFENGPVTFNVEEGYNEGSGPALLSTTHSWTKVANIIFLDQPVGTGFSYATTQLLDTPSDSGEAKQIHEFIRKWLSKHTEFISNPFYVAGDSYSGKIIPATVQEISKGNDLGFKPQGYVLGNPVTDFEFDHNHCIPFAHGMALISDELYESLKRNCKGNYENIDPHNTECLKHHDKYLKCISSINVVHILMPRCDPPLFLQRRFILLRNVSAPLIADGCYIYTDLIASLWANDESVRKALHVVKGSIEKWVRCSSGKPYDFDIKSSVPYHMNNSIKGYRSLIFSGDHDLVVPFPSTQAWIRSLGYSIIDEWRPWMVHNQIAGYTRTYANNMTYATVKASLLGGGHTLEFKPNESFIIFPLSTKTRWIVDEKGQRVKLACVNWPAHLQPAVAEGLSKQPLDSISKKIVSMGFNCVRLTWPLDLMTNDTLALKITVKQSFESLKLFDDALGIQTHNPKILNLPIFNAFQEVVSNLGQNGLMVILDNHLTYPGWCCSDNDLDAFFGYPNFDPVIWAKGLGKMATLFRNVTNVIGMSLRNEPRGTRDYPDLWFRFMPKGAEAVHAANPEVLVILSGIDFDTNLSFLRDRFFNVSFTDKLVFEQHWYSFSHEGGAWVKHNSNDICAKIIGEVNHNGGFLLDRGFPLILSEFGTDERGVDVSGNRYMNCLVAWAAEKDLDWAVWALTGDYYLRTGTKHMVETYGVLDATWKNVRNSTYLQKLSGIQHPFRGPGLQEKKLVLHPHTGLCVTTNHSGNVPTLRLELCTKSEPSTFNSKEGILWINKMCVETPDVAGQKVKLGVGSKCSKLRQISATKMHLSFKTSNGLLLCLDVDERDNSIVANPCKCLTKDASCDPASQWFKI >A06p057750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30005832:30007760:1 gene:A06p057750.1_BraROA transcript:A06p057750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLLKASPVLDKSEWVKGQRVLFRQPSSAAVVLRNRATSLTVRAASSYADELVKTAGLVPLVGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHDIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVASYTLKLLRNRIPPAFLSGGQSELEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRAENVNAAQTTLLTRAKANSLAQLGKYTGEGESEEAKEGMFVKGYTY >A10p005660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9404980:9405720:-1 gene:A10p005660.1_BraROA transcript:A10p005660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGFVGRGVSFSSSSSCNHSVGTEIRTVDFRLNKETRKTLISQRTRISANYHTSSNQDTRITTIKNKKSKKRAKKILFRICHKNGDIPYFPIFTIIFKTSVFIRGNLTFILPCGPSVNRAAAYGFGLGNRRMGLESCFRSLWAVFRHDTFTTNFPRFLIRVV >A06g504670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14303473:14304592:1 gene:A06g504670.1_BraROA transcript:A06g504670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNKHSNSPNGRVGPNALSNSPIGQVGPNAPSNSSRRAIRRRGNELDAFRRTSTDQSLVLYRLELPLELYNKSCKDSLSRMKFMCEFRFPQLLIPIFLVVQVPSSSKRFHQEGLFHLLASSGTDVPTTSGTLRP >A08p031640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19326241:19330192:-1 gene:A08p031640.1_BraROA transcript:A08p031640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKRDGRQETVHFDKITARLKKLSYGLSVDHCDPVLVSQKVCAGVYKGVTTTQLDELAAETAAAMTANHPDYASLAARIAVSNLHKNTKKSFSETIKDMYNHVNERSGLASPLIADDVFEIIMKNATRLDSEIIYDRDFEYDYFGFKTLERSYLLKVHGKVVERPQHMLMRVSIGIHKENIDSAIKTYHLMSQRWFTHASPTLFNSGTPRAQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGILPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLELRKNHGKEEHRARDLFYGLWIPDLFMERVQSDGQWSLFCPNEAPGLADCWGTDFERLYTQYEKEGKAKKVVQAQQLWYEILTSQVETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKDVPLDSHPSKIVGSLGSKNRYFDFDKLAEVTATVTVNLNKIIDVNHYPVETAKTSNMRHRPIGIGVQGLADAFILLGMPFDSPEAQQLNKDIFETIYYHALKSSSEIATKEGTYETYQGSPVSKGILQPDMWNVTPSDRWDWAALRDMISKNGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTDMGLWSPTLKNKIIYENGSVINVPEIPDELKAIYRTVWEIKQRTVVDMAVDRGCFIDQSQSLNIHMDKPNFAKLTSLHFHTWKKGLKTGMYYLRSRAAADAIKFTVDTAMLKEKPDVSKEDEGTEEDNETKMAQMVCSLTNREDCLSCGS >A05g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9522354:9525172:-1 gene:A05g503250.1_BraROA transcript:A05g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSSDYDEKVLFLKDLSLGPHEAQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEQGTVIQGFISPGRIETYLPKMKRGSVYKLDNFYGSRNKSVFRVADHTVTVSFSWNSELTVLLDCPSQFDDDRFRFHSYEEFQANCDLKGDLYDVVGHMKLVNGQSIHEAPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAAREFCKKFKSYEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPDIAEQVNAEVVTKRETMTIGEIFSYIEQESAKDAFFECTATIDDVVHGSSWYYIACSGCHSKVSKGPTSLLCTNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEGVNLEAPIPEALISTISQKHKFCVKVTEHNLSGKTRSLTVTKILSLDTPPATASSEDNHTTATSEETSQNRVDSVDGSKGACCSSELERAKRRKCGN >A01p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10846156:10849002:-1 gene:A01p021950.1_BraROA transcript:A01p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVKIIAKNFMDMVASLTAIKLDKLYNNVFICEAILRSLPPLAKKYVLQLLFIDDPVPCTRIEEWVLPDGLSKHRVAIDRLIQLRIFTETVDRREGTCFSLNPTFQNNLQKHIISGGVLPREPMNSSNGIKLPSLQELETYALQQWECFLLQLINSGQGEKLTGISSSMMKVFQRGLLSQRDRDGPRLTESGFQFLLMDTNAQLWYIIREYISNAEERDVDPADLISFLLELSFHVTGEAYNLNTLTDVQRTTLKDLADLGLVKLQQGRKDSWFIPTKLATNLSVSLADSSVRKEGYVMMETNFRMYAYSTSKLQCEILRLFARIEYQLPNLIACAVTKESLYNAFDNGITSDQIITFLQQNSHPRCADRVPSIPENVTDQIRLWESDLKRIEMTQAHFYDEFPSKDVFEGACNFARQWGGLLWEDSKRMRLVVKSEVHNQMREYLHTQGN >A06g501950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6935265:6935954:1 gene:A06g501950.1_BraROA transcript:A06g501950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATMNIRDFGSARIAFPGFNEVGREDHFYRSANLIYLNDWVDEVSAVRTFRLKCYSSGNPEAIYLRGMYEFFILHLVDEGREKIHLAGERGCELAQFVDGMLNLAFSFDHRGIVHNYPAFTRQHVYKMFQIICSWQLSGHWDYDKPGMFLSVAERIDPNVPCDCWCSHIDPPEFEISLDGSRSRWKCDRCFWNCAAWDFCYQIHLTARTWPIED >A04p008510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8189707:8190006:-1 gene:A04p008510.1_BraROA transcript:A04p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKNGKRVRDESPAKTAESVVADQRFKRGSSPTHSSSSFYSCLSTTEEKKEEVASSWIDEEPSVLVLVGCRRCLMYTMVMQKTLRCLNCKCNDQLILF >A03p009810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3909122:3913028:-1 gene:A03p009810.1_BraROA transcript:A03p009810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRGSRSFPERKGQKRKLEEGPPAEDPQISPSTTTDAAPHAALLSEVAAQVSVLNSAFSWKESDRAAAKRAAQVLAELAKNAEDLVSVIVDGGAVPALTTHLQSPPYCEGDLAHKPFEHEVEKGSAFALGLLAIKPEYQNLIVDKGALPHLVSLLKRSKDCSTSRALNSVIRRAADAITNLAHENSSIKTRVRLEGGIPPLVELLEYSDSKVQRAAAGALRTLAFKNDDNKNLIVECNSLPTLILMLGSEDAAIHYEAVGVIGNLVHSSPNIKKEVISSGALQPVIGLLSSCCPESQREAALLLGQFASTDSDCKVHIVQRGAVRPLIEMLQSADVQLKEMSAFALGRLAQDSHNQAGIAHSGGLEPLLKLLSSRNGSLQHNAAFALYGLADNEDNVSGFIRVGGVQKLQDEEFIVQATKDCVSKTLKRLEEKIHGRVLRHLLYLMRISEKSIQRRVGLALAHFCSPEDQRTIFIDENGICLLTGLELLLGLLGSTNTKQQLDGAAALYRLANKSMALSPVDAAPPSPTQRVYLGEQYVNNATLSDVTFLVEGRKFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIKWEVFELMMRFIYTGSVDITIEISQDLLRAADQYLLEGLKRLCEYTIAQDITLENVGSMYDLSEAFHAMSLRQACILFILEHFEKLSSMHGQNELVQRTIPEIREYFCRALKKSTTNLQSLRL >A09p014170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7276185:7276414:-1 gene:A09p014170.1_BraROA transcript:A09p014170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKILKKDKGTFTTFQAGRTTAQCYNCIWIAEADGLHGFMPEPPILLFKWSK >A04p039090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22129641:22130943:-1 gene:A04p039090.1_BraROA transcript:A04p039090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHVVGCQYWITHHCQRRCLFFPSETDLNGCQYWITDKIGFHRFRLVKSLTSSLITVRAVVCFLHPKLICTRR >A03g508090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28311313:28311652:-1 gene:A03g508090.1_BraROA transcript:A03g508090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYESEAGENAILVSSHIDTFLNVCGAGDCSSCVAVMLELARSVSQSAHRFKNSVIFLFNTGEEEGFNGAHSFIT >A09g513270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40359118:40359731:1 gene:A09g513270.1_BraROA transcript:A09g513270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSFGFYENQQIDLVIHGFIPANGASHYRPNLKPDSIVKLDRSEASGSDLKNNAATTRVVVCLLIEPYVKFYFSAVRFIAASLAATAIFSMNACSFRRRGSLRQRLRPNATSASERR >A10p023900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15540780:15545609:1 gene:A10p023900.1_BraROA transcript:A10p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEASCKEKLSSFRIKELKDVLTQLGLSKQGKKQDLVERVLTHLSDGQAARLWSKKNTMAREDVAKLVDDTYRKMQVSGASDLASKGQVSSDTSTLRVKGEPEDSFRQEVKVRCVCGSSLETDSMIQCEDPRCHVWQHVGCVIVPEKSMDANPPLPETFYCEVCRLTRADPFLVTVAHPLYPVRLTPTTISSDGTTPMQSVERTFQITRSDKDLLAKQEYDVQAWCMLLNDKVVFRMQWPQFAELQINGMLVRTISRPGSQLLGANGRDDGPTIKPYIKDGINRIILSGGDSRRFCFGVRLVKRRTLQQVLNLIPEETKGETFEDALARVRRCIGGGGGDDNADSDSDIEVVADFFGVNLRCPMSGSRIKVAGRFLPCVHMGGFDLEWQCPICLKNYSVEHVIVDPYFNRITSKMKHCDEDVTEIEVKPDGSWRVKSKKERELSQWHLPDGSLCPSADEVKRKMETLIPVKQEGFSDGPTPLKLGIRKNRNGVWEVRRPNTNGLSSSNKVGYQDKNVIPMSSSATGSGRDRDDASVSQDAIGSFDFGTNGIEHDSISMNVDSSYNIPDRNQAGEDVNNDVIVLSDSDEDNDVVITTPGPSYSGCRADGGVNFPLNPSGIINSYNEDPHTMAGGSSRLGGFNDDDEFVTPLWSFPSETPEAPGFPLFGCDTDVSEGLLGLHHHGGPLNCGPEINGDYTMAPETSMASEAVANDGGLVDNPLAFGRDDPSLQIFLPTKPDASAQNGFINQADMSNGIRSDDWISLRLGDSAGGNHGEATSANGLNSNQQMSTREGSIDATTETASLLLGMNDNNKAKRQRSDKPFSFPRQKRSVRPRMFLSIDSDSE >A03p055520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23961145:23961746:-1 gene:A03p055520.1_BraROA transcript:A03p055520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRAFAIIGLVQAQDQEDTPSWNYDVRLWNGTYELFISNLDTSESISHDNASNKSSLFGVCSPPKG >A01p001960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:896478:897432:1 gene:A01p001960.1_BraROA transcript:A01p001960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGDFEDCIVMKDRSTGRSRGFGYVTFASSQDASSIGITVMSALILEVKVATPKEEMRHPAKKATRIFVERYGEITDLYMPKDPKRSGHRGFGFATFAENGVADVYPGDLMKSVDKSWDMECRAQDNQDQTGGTGHTNNAHLTNIIIELLALSVVLKLHLSDRFIESSMINLFVMFLSLWE >A01p048650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27386069:27388467:-1 gene:A01p048650.1_BraROA transcript:A01p048650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKTLVLTYIYLLIYIILSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGFVAFLLIRVFKVVAPVKMTFEIYATCVVPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFIMAVICGTDKPRCDVFSNMLLVSVGVVISSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPINSLYYIAPCSFVFLAFPWYVLEKPTMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDVKASQPTADSLPDRINKEWKMEKKSSDKFNPDVGGESPRVGGEINDEEAPLITSRLSHIGRTQLGNHAA >A02p030410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15482531:15484284:-1 gene:A02p030410.1_BraROA transcript:A02p030410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:9-cis-epoxycarotenoid dioxygenase NCED9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G78390) UniProtKB/Swiss-Prot;Acc:Q9M9F5] MASTSSASRPNLKSLSFSSSSTLRNKKPTPCSVSYPVNKTFPISSSLQPPATYKPMSWKKLCKDVASLIPNTTNQAQRTAATILNAVENAMVSYERRHHPLPKTADPAVQIAGNFFPVPEQPVQHNLPVTGTLPECIQGVYVRNGANPLHKPISGHHLFDGDGMVHAVRFNNGSVSYSCRFTETNRLVQERELGRSIFPKAIGELHGHLGIAKLMLFNARGLSGLIDTTRGLGVANTGLIYFNGYLLAMSEDDLPYHVIITQTGDLRTSGRYDFNGQLKTTMIAHPKLDPETGELFALSYDVVSKPYLKYFRFTSNGEKSPDVEIPLDEPTMIHDFAITENFVVIPDQQVVFRLTEMVRGGSPVVYDKEKRSRFDTDEVVVVGSCMTPPDSVFKEHDETLQSVLSEIRLNLKTGESTRRPVIISEELNLEAGMVNRNLLGRKTRFVITEPWPKVSGFAKVDLSTGDVQKYVYGDGKYGGEPLFLPEVSGCGEDCGYIMVFVHDEEKVKSELQIINAVSMKLEATVTLPSRVPYGFHGTFMSNDDLMKQALC >A04p035680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20852404:20855401:1 gene:A04p035680.1_BraROA transcript:A04p035680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPATRDGDEEISLLVFNGDGDRSWQLNFDDFQVSPEHKEKKSPSKLHNCLGCLGPEDNVADYYQQQVEMLEGFTEMDELAERGFVPGMSKEEQDNLAKSETLAIRISNIANMVLFAAKVYASVTSGSLAIVASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTMVSSQKEFSLTKEQESWVVGIMLSVTLVKLLLVLYCRSFSNEIVKAYAQDHFFDVITNIIGLIAVILANYFDTWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPEYLQKLTYLCWNHHREIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGEALQEKLEQLQEIERAFVHLDYEYTHKPEHAINSPRLVLTFSKRKKQKPDFQDSDMIRSSPFRTSQVHGAKPSEVYGFVGCISTVVVTVIFLIWAYVPDKLLESIRIRYYPSRYWALATPTYLMMTLLLGLAFYIGLNFTTTPHPTSLNTLFYEYSRELGEFDPQMEEEDERPIDPTSDIDITSLSNQTRSRAGNLQT >A03p037680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15750164:15753257:1 gene:A03p037680.1_BraROA transcript:A03p037680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAHADDADDYSRPTGETYHAEKALPSGDFYTGQWRDSLPHGHGKYLWTDGCMYVGEWHRGKTMGKGRFSWPSGATYEGDFKNGYMDGKGTYIDSSGDLYRGSWVMNLRHGQGTKSYVNGDCYDGEWRRGLQDGHGRYQWKNENHYIGQWKNGTMNGNGTMIWSNGNRYDGSWEDSAPKGNGTFRWSDGSFYVGVWSKDPEEQNGTYYPTTASGNFEWQPQQVFCVDLSECVVCTCQRIPVLPSQKMPVWYGSSESSSGNKTKSSGSSERPRRRSVDGRVSNGEMELRNNGSGYLQVDDTESNRSSPLRPLRIQPAKKQGQTISKGHKNYDLMLNLQLGIRHSVGRPAPATSLDLKASAFDPKEKLWTKFPSEGSKYTPPHQSVEFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDGYMIKTMKKAETKVLIRMLPAYYNHVRACENTLVTKFFGLHCVKLTGTAQKKVRFVIMGNLFCTGHSIHRRFDLKGSSHGRLTTKPESEIDPNTTLKDLDLNFIFRLQKNWFQEFCRQVDKDCEFLEQERIMDYSLLVGLHFREASKDSATPTSGARTPTGNPDSGNPRLSRADMDRFLLDASKLASIKLGINMPARVERTMRRSDCENQLVGEPTGEFYDVILYFGVIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSRRFKDFIFKIFAEDT >A04g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7403710:7404525:-1 gene:A04g503390.1_BraROA transcript:A04g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPEHLRELKVAELIDKNRRDWDREKVQRTIPHCEEQILNIKLSKEGAPDKIIWLKTTTGEYTTKSGPDGPKMLTPDRDSVGGTRTLDYVKASSPEDVISRAISSAREWLEAQEPPVSGKGQHVVGEPTLTNCYKVQTDAAWREDIR >A09p078450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58058351:58059339:-1 gene:A09p078450.1_BraROA transcript:A09p078450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKRNQAQFGDVEAGQETMNLDKFFEDVENVKDDMKGIETLYKKLQDSNEECKTVHNAKKVKELRAKMDGDVGQVLKRVKMIKQKLEALEKANANSRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQGLRSRMNDEYKETVERRYFTITGEQADEQTIDNLIASGESENFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLLELHQVFLDMAALVEAQGQQLNNIESHVAKASSFVRRGTEQLQDAREYQKSSRKWTCYAIILFIVVFILLLIPALPHIMLMLK >A08p036680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21465307:21465833:-1 gene:A08p036680.1_BraROA transcript:A08p036680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQTLDLEIQVNMTADRFFNSFKKKEGNFTDKTEAVSVHRDDPKSNSSIQIWNFIVDGKMEQVKEKIEVDEENKSVSFLAIEGDVLEQYKSYKITLDVVPRDGVCVAKWTWEYEKIHDDVPIPTKYIAFVADYTRDLETRLLSES >A09g511410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34275759:34276210:-1 gene:A09g511410.1_BraROA transcript:A09g511410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTPCLQKACLVYLFHVLNTGNDNMVFLFLYVDQVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGEE >A04p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11412649:11414544:1 gene:A04p019110.1_BraROA transcript:A04p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVTPPQRSDLGSNYGLRVYQTWKGSNIFCLQGRFKFGPDARSLGLTISLIVVPVIVFCIFVASKLMDDFSDTWGVSIVTVAVVFTIYDIILLMLTSGRDPGIIPRNSHPPEPEVIDGNSGTSQTPRLPRVKEVEVNGNIFKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIAQRNYRFFFMFVFSTTLLCVYVLAFCCVYIRKIKESEDITIWKAMLKTPASIALIIYTFICMWFVGGLTGFHLYLISTNQTTYENFRYSYDRRSNPHNKGVVDNFKEIFCSAIPPSKNSFRAMVPREAAPMPSRSAVGGGFMSPNMGRGNDEIEMGRKGVWAMAEHGDDKNGSNNERFHVNDDELGDIRTTTDDDEQSGSRPNIHPRHSSWEMSPEVMALASRRT >A08p014010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9381116:9381385:1 gene:A08p014010.1_BraROA transcript:A08p014010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLSSLISTMNSMFSAFDALFAELMVGKNLMASSFNATATTKPASPQTQTQVQKNEKTTNKRTGLMQKTPRFALELDGLHCFETIVRS >A10g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6609082:6612354:-1 gene:A10g502410.1_BraROA transcript:A10g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGARKFTWEQRDEYEVYRAERGHARGVAGEMIPVTKDNIRKILERASLFEERHICLPEHATSFTPTRLAPELYTKEEIDEMVFDICGAQEKLGEELKTLVDDTHQPLDRGYNELFRCMAEMRTRIESLRQQLEKEATTSASIDAPRATSINVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIDLLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMTYLNKLSSQLLDAQTDIENITKQKILQAKSASIDRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASNDRTKAKSIDGNSPRSTNEHIIASIDAESTLIGEQPIHKSMQKELTELSAYAYDNIGWHQVSIDNIQERLHNISKVLEKMDDKWTRNDEATRNSTKDAKADQPINYTLTLNRHFTRADHLEVDERKNNRSMRISADDRYQEMPRQMKINIDRCTQVPSIDVETPDATFWIQQTSKPRPSQINKMP >SC105g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000012.1:200675:201530:-1 gene:SC105g500040.1_BraROA transcript:SC105g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIRGAYPNYTEDQLSALKENDEIGLVVDFTGVGDNEVFSDSELEKGEFNEDSVSSEYSSNSD >A01p056650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32143072:32153314:1 gene:A01p056650.1_BraROA transcript:A01p056650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIVTMSKGCEVEVCSEEEGFKGVWFRAVLEENPTKSGLTKLRVRYTDFLTNSESSPLTNSVDQRFIRSVPPEDLQNSVVLKEGTVVDAKYKNGWWKGFIVKKISEGEKFLVYFNSPPDLYEFERNQLRAHLDYMNWKWAVPKTEELKKSMSFPGAMVEVTGAYVKTGWFPALMVTKVKDDIENKFLVKDLSQKLSLINGDERTPPPIINAHCVRPAPPTSSVDEEYQLNERVEALCGHGWYPGLVKGALSENRYLVRMDITKEDREFKHSELRPLMVWEHGDWRERPKILTCSGARPLAKANIVAATGESMAHAITNNKNPQGTTPPVTPIAEESVSLATPSPIITIQTRTEGEKSSEKTVNKTRLLIGLTNDSTQQKIPTRSRSQREQEQPSGLNETGNVSATLEGRVRQSGPKEKIVNHFGANKTMAPGKATQQMETFMNPHDIRKTLTEAGYGVLKMKRRDPRVIITWPVTSIAKRSATQSPVISATPLKRADATTVGKITPRKTPDPKMNLNGFGKDSTPHKVPNEENSEARSRKRKSQQPSSAGTSNGSNAMINGASKSICNNAEVVVQPLSAWIMGLPFSKTLPFWKTYESSGFEYFPQRPHFSPLLKDKEDLRELLAVGMIVTFYGLLDEVKGLKLDDPMSKLNDLRVKFAKLKNHGFNIKSPQGRINKLLFLKEVRAKKAEKQQRFENCMEKEESESRKLQSKRAGMKRKICELQTQDKVAKVRMEAAEEKIADMKSHAEKIGQEIEEMEVEFQKVVSALCFVMCTSGTMLKGCEVEVCSKKEGLEVAWFRAVLEENPTKNQQNKLRVRYTTRLNNDGSSPLTETVEQRSIRSIPPKDLQNSVVLEEGSVVDVNHKHGWLVGFIVKEKSESDKFLIYLDSPPDIFEFKRNQLRAHLDWTGSKWIVPETKEPNKSMFHPGAMVEVSYVVSDKMEFAWLPALTVSVVKDDDKNKFLVKYLSQKFSTSDEPIQIPVVDAHRVRPAPPPASSTEEYTLSETVEVWHRLAWHRGSVMGIRSENIYFVSIEFTKEEREFKHSELRPLMVWEHGVWRKGPKQMAVKENIIGTCSGAKPLTKANNVAATGESSKKKAHAVMNDKTPQGTTPPVTSTAGESVSLVTPSPIITITSLIQTRTGGKKSSENPLNKTRIQIGLTNDPTRRKMPKEKNMEATSSKRQREQVVQHSHLNKTDGDVDAQPFFTWIGNVYATLEDRIRQSLQKGKLVKETPKKPIRRSSFGDKPMNPHDNGQILTRAVSQRRIENKRANSMVNDKAPRVITSIAGTSNGSNVVQPLSAWIMGLPFAKTLPFWKTYESAGFECFPQRPHFIPLRKDKENLRELSAVGMIVTFYGLLDEVKALKLDDPMSKLKDLSVKFATLEKHGFNIKSPQGIINKLLSLKDVRAKKVEKQQRFENNIEKEEIESRKLQYKRAGLKRKICELQTQSEVAKVKMEAAEENIAAMKSHTKKIGREIENVALEFQKVVSAS >A10p031340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18746763:18748814:1 gene:A10p031340.1_BraROA transcript:A10p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNDDKDPDHNDKSIIEEKADVVSDAHPPDESDGNSKENVDAGNAETEHDPEDKVESQGEEIQQTLESLSEELDQLLSSLSLHKEEHKDDNTEEKEKGDHEDDDYFQIPQFVGKFLDLLEDKLSKYDSGEPKTVWYQDQEEVSSLLEAVDRVSNLMRLLLNTKSCLDHHEPLINHAGSIQQRAMAFLEDEFRILLEESVIKETTDDTGSQRKSTEDAVVSQDNDQVTAPEPGDQEIEYPGYSEDVVALLRKIAEKMKAGGYGWECREVYLVGRRNILMRTLKQNCEFEKVSIDEVQKMSWGELEREIPIWTKTFKNCSSFFFPGELKLAEKIFPGEEGSLFCIVAHGLTIQFLGFAEAVAMTKRSTEKLFKILDIYETLRDSFPPMEELFPEELRSELRNEVTSVRSRLGESAINIFSDLENSIKSDSSKTPVPGGAVHPLTRYTMNYLKYSCEYKDTLEQVFKSHSKMEQEEEEVPANNSACSAFASQLMRIMDLLDGNMEAKSKLYKDIPLSCIFMMNNGRYIVQKIKGSAEIHEVMGDTWCRRRSSELRNYHKSYQRETWGKLLGFLGHEGLMHNGKIVKPNLKERFKSFNATFDEIHKTQTTWVINDEQLQSELRVSITAVMIPAYRAFMARFGQYLDPGRQTEKYVKYQPEDIEDLIDELFDGNTSSSSTATAKRRT >A08g503030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5881903:5885873:1 gene:A08g503030.1_BraROA transcript:A08g503030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTYVSHINFDLIPFRFKVRDMFSAYMTCMQYYPCVGCTRAISMRWLSLFRMLRSVPSSFLSPRLSLSLHGNILFLLDIHHYLGNLTFIFQFRENYLLPQAKIDRHSIDGLDFVTKSKTSLRGSYCKAPMVTPIDTKRGIDPPRLGERHEVRSTPRGVGPGEFDRMHRPTRRTGKLDGMLSPTRKTGELDGVIDPTRGTSELDDMVGATRRTGELDGAFVPTRQTGELDGEFVPTRPFSELECSSNSITVFDPNTFAELCRMRETEKNMSGWRKICIALVIKVDGVLIGHKQEPHPTPRYVKMVEDLRCFFASPWGREPFLKTIACMPSDDPVGTLVRRLKQHTFRLQGFPLSLQLVAFHAIPQILSYIPAPIDQKTIMDLEDDYLPQHPSISSRDIRRVEFDPDHVVTPIIPIESQTQLGWGVWPNDPKVDSVIYMEQLIADHHSFNKEVWPGGVTSEPLIVKPKQRVKKKVSASIKQSLKPRQVKKKHPSIRKQRRISSYFTHATKTTFTNEQLTEIVIKLQSNMKNLKQLIKRIKKKSHGRQTPFHERLSRRKKTATPQQTDQPGPELHDAPIDQVELTKLVLYDRMTTQWRQMIYQNVSTPSSVNMQLNFTVWLHKSFLHLSVLLPTTSHHPPLQIDPMLSNPPSTPLISTTLLTTTSLLSTLLLNTVQIMGLSLRFILQPISSC >A02p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2270074:2270761:1 gene:A02p005220.1_BraROA transcript:A02p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYKQEHVYKHPWERVSAASWRKFADPENKRILSHILEVDTLNRKLDTDTGKLHTTRALTIHAPGPWFLHKIIGQDICHCVESTVVDGKSRSMQLTTKNITLKKFIEVEERIRYDPHPENPSAWTVCSQETSIRIKPLSALASMAEKVEQKCAEKFMQNSVKGREVMERICRYMEAESAPV >A07p000540.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:643910:644095:-1 gene:A07p000540.1_BraROA transcript:A07p000540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKIQDQAGPIEVQDQAGPIQFRSFDHNRTGLIIYTCDLGSDSFPIQAGSEYSAPFHLR >A03g503950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13876839:13878457:-1 gene:A03g503950.1_BraROA transcript:A03g503950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFNILADLKTGTSLQHLLIRVHPLDHSLTFMTGLEYMISDPTAIVPTIDAKNQNDLLRQSPQWYTIFHTTSLSANLALAKDTTIFSDFSSGTSALAALIFGRHLMVANAGDGKAVLCRNGEAIDMSQDHTPIYLP >A08p014170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9482188:9483136:-1 gene:A08p014170.1_BraROA transcript:A08p014170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRVESLATGRKGPTGLRFGFNRSQAQNRFVGQNQNQNQQNLQSNQQAAPAADLNIMMQQILQGLQIRGKALNQVNTYINTRMENMFTELNRKYDTVRNHIKRIDVQLAQTAESVKKTDKNPRAEHCNAIELRCEKADGKETKQLSA >A07g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7147427:7149099:1 gene:A07g503680.1_BraROA transcript:A07g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPPDPDPPPRSSVVPQFGSFALGSIPFQSSSLPVSDSARNGASPSFDSFVDKASLTGAQSVHLSDQGAAVQNQAPVSFQGVSSSSKLPVQDSSDSGLNPTGTVPKKRKGKSTVVDGNVVKPVGTPPPAQREGKQIMASTSENHVSSDRQAQLSDHAVDLTLLGTARGEFASPPKHSSDPASSSMAIDAVVSPPKHSSDPASSSMAIDAVVISKGSKSQFETSNSPVLDIVIANPHNSMVALLSSGSSSPSNRKKKKRKYGSLQSPVTRDILPLEWKNNHHLN >A07p027330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15621629:15622272:1 gene:A07p027330.1_BraROA transcript:A07p027330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASIVNHQPNVIDSQAICLHSICLVDGSWTFTVNFSGYGWVWKDMPGKTQLMSTKNKSIRKSSLHSKLKTLVWAMENMLSHSTCQNFRTNWKNLIAMIGNQKCDQHFDRAKGN >A08p019090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13077514:13080310:1 gene:A08p019090.1_BraROA transcript:A08p019090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVLGGLKMIDQFINFVIRPPRAEYDPDQYLWEKDFSIGGTKCKRQDLEAIAYKFKGSHLALQSLHSFIFFGQYYSSSLRYILPCGCRADANEAAMVLLPSNITVFTLDFSGSGLSDGDYVSLGWHEKDDLKTVVSYLRSSNQVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKVAVQYMRRVIQKKAKFNIMDLNCLKVSPKTFIPALFGHASGDKFIQPHHSDHILKCYAGDKNIIKFDGDHNSSRPQFYYDSVLIFFYNVLRPPQVSSACSSKLESYSGAGLDEVVCTPPLDQNFLYEIISGLRSACIDVASSSSSAPPASLTTKPTNELISEAMPIMDTDDLLVADNDQNIDEPEGMPIDQFEEGCSFTSSNRESWGRCSSLGGSEEDESLAVGEGDQVEKADIGNTEQKPIESTRQQEEEEKEERKEKKMKKGVETDAKKPKREKLERLEAFSKRLRLGIIKRVNHGRHRSSQP >A05p010230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4291048:4292493:-1 gene:A05p010230.1_BraROA transcript:A05p010230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLRKYHSRVRSLNRAISNDASEVDKRARALRVLNIISSKPDEASSRQNHLGLVKDFLRTDSKHLRDDLGLGRTKHGVSSVLEEVLLEDSSVLKCSFDAYGLSSALSSCGSDNDFRKGYGFHCLALKSGFTSDVYVGSSLVVLYRDSGEVDNARKVFVEMPEKNVVSWTAMISGFAQEWRVDICLNLYSKMRNSTSEQPNDYTFTALLSACTGSGALGQGRSVHCQTLKTGFKSCLHISNALISMYCKCGDLKDAFRVFDQFLDKDVVSWNSMIAGYAQHGLATQAIELFEVVMPKSGTKPDAITYLGVLSSCRHAGMVKEGREFFNSMSERGLKPQLNHYSCLIDLLGRFGLLQEALELIETMPMEPNAVIWGSLLFSCRVHGDVWMGIRAAEERLMLEPECAAAHVQLANLYASVRYYKEAAMVRKAMKDKGLKTSPGCSWIEIDNDVYMFKAEDGSNCRMVEIVYVLHCLVDHMEFL >A02p056370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34049461:34050825:1 gene:A02p056370.1_BraROA transcript:A02p056370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKTGGGLSWSRDDNIAFERALVVYTDETDNRWEKIADSVPEKTLAQVIEHYKSLLHDITMIESGIVPLPDYNDVPIETNVRERSIEERSINHKCEYKQEDEPKPKRRKAVPWTPLEHSQFLLGLKKYGKGDWRSISRHVVLTRTPTQVASHAQKYFARHRATNRSRQRHNIHDVNVSESSNISAMKAPITCQDAKAASQPSVDHQAYETPAIWNTEATSPTSLDHHVFGTPTIWNMQAASHPSVNVPVYGAPTIGQSMVGPYVLPYGTDMNLFTPPYMAYGAQHHSTPYSPVPSAPFNTWTVPDNMTNIPTSR >A02g502920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9720495:9720885:1 gene:A02g502920.1_BraROA transcript:A02g502920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAHLPSSSSSFDFTNGFGFINLDFELNYGLLIRLFDFELVLIEGHEILCFTREQLLQLKEAIQVSGASDLFGEEQSWGRSVRR >A06p007450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2576848:2579621:-1 gene:A06p007450.1_BraROA transcript:A06p007450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 2B [Source:Projected from Arabidopsis thaliana (AT1G09720) UniProtKB/Swiss-Prot;Acc:F4I131] MLQRAASNAYSWWWASHIRTKQSKWLEHNLQDMEEKVHYTLKIINEDGDTFAIRAEMYYRKRPEIVNFVEEAFRSYRALAERYDHLSTELQSANHMIATAFPEHVPFPLDDDDDDDDDNPEKPPKPLHLIPSGANIPEVPEIPKKEFKSQSLMLRKGPGDIKTSASSCVLVSSGLSKEEALEEIDKIHKGILVLQTEKEFVRSSYEQAYERYWSLEDEVTEMQKRVVSLQDEFGVGAEIEDGEAKTLVASTALTSCKETIAKLEERQKRFAEDAETEKERIVSATERFEALRNKFEMVKVTNQEATKTGQESKKESREVELSENLTNEEFAEKIDELVDKVVSLETTASSHTALVKTLRSDTDELHEHIRCVEEDKASLVSDSIDMKRRVTALEDELSKVRDLFQRVEEQNNDLQKHLVDANGTAKHLSGKLQEVKMEEEPSKDSEDTEIKNEDEIKEAIQETVQEEKEETKDSETESTCFGTEGGEEDEERRNWRQLLPEDGVEDREKVLLDEYTSVLKDYREVKRKLSEVEKKNRDGFFELALQLRELKNAVSCEDSEFHFLRQKPATPGKDSAKSMSVSHSSNSSISMAPHHQQGGEVKRTPQRAKEEEVKVKFEVTDETPRKKIPTVEDKVRGEIDAVLEENIEFWLRFSTSVHQIQKYQTAVHDLKAELSKLSSNASEAKPIYRHLREIRTELQLWLENSAVLRDELEGRYETLCNIKDEVSRMTSQSGGGTKVNDTEISGYQAAKFHGEILNMKQENKRVSNELQGGLERAQTLRIDVERVVCKLEEGLGMSNAAATRSLRKNTSSSSSSPRKPRIPLRSFLFGVKLKKYKQKQPSSIFACVSPSPALNKQCSYLVPPEKLPMSP >A06p057950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30081073:30085143:-1 gene:A06p057950.1_BraROA transcript:A06p057950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:Projected from Arabidopsis thaliana (AT4G38590) UniProtKB/TrEMBL;Acc:F4JUE3] MKSRTIYLIGILLLISLCLKAGYAKEEKNDDENNKKKNKKGVTYDGTSLIINGKRELLYSGSVHYPRSTPDMWPSIIEKARVGRLNTIQTYVFWNVHEPEQGKWDFKGRFDLVKFIKLIHEKGLYVTIRLGPFIQAEWNHGGLPVWLREVPDVYFRTDNEPFKEHTERYVRKILGILKEEKLFASQGGPIILGQIENEYNAVRLAYKENGERYIKWAANLVESMNLGIPWVMCKQDNAPGNIINACNGRHCGDTFPGPKRPDMPSLWTENWTTQFRVFGDPTTNRRSEDIAFAVSRFFSKNGSHVNYYMYHGGTNFGRTSAHFVTTRYYDHAPLDEYGMEKDPKYGHLKHVHRALELCKKALLWGHPRFQKLGPDTEVRYYEQPGTNVCAAFLANNNTREPNTVKFKGQKFVLPSRSISILPDCKTVVYNTAQIVAQHSWRNFVKSEKSSKGLKFDMYSENVPSKLEGDTLIPGELYYLTKDKTDYAWYTTSIKIKEDDLPDKKGVKTILRVASLGHAAIVYVNGEYVGNKHGSHEMKSFVFKKPVKLKPGDNHISILGVLTGFPDSGSYMEKRFAGPRGASIIGLKSGERDLTENNHWGHLAGLEGEKKEVYTEQGSKKVKWVKNGERKPLTWYKTYFETPEGENTVAIRMNGMGKGLIWVNGIGIGRYWMSFLSPTGQPTQSEYHIPRTFMKKKEKNMLVIFEEEPVVKLEAIDFVLVNRDTICSFVEENYPASVKYWKRQGPNIVPRNKDMRLKSLIKCPPGKQIVSVEFASFGDPTGTCGNFTMGKCSASKSKEVVEKKCLGKSRCSIVVERETFEDKQCQDIVKTLAVQVKCEKKQGNEQKHKREDAEEEDDDEEEEEEEEEEEEEEKEREGNNQDLKDREKRKQDH >A03p047860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22176381:22178838:-1 gene:A03p047860.1_BraROA transcript:A03p047860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:12-oxophytodienoate reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) UniProtKB/Swiss-Prot;Acc:Q9FUP0] MAAQGNSTDTLFSPYKMGRFDLSHRVVLAPMTRCRALNGVPNAALAEYYAQRTTPGGFLISEGTMISPGSAGFPHVPGIYSEEQVEAWKPVVEAVHSKGGFIFCQLWHVGRASHPLYQPNGGLPISSTDKAISERWRVLLPDGSHAKYPKPRPLHASEIPRVVEDYCNSAVNAIRAGFDGIEIHGAHGYLIDQFMKNGINDRTDQYGGSIENRCRFLLQVVKGVVSAIGASKVGVRISPAIDHLDATDSDPLSLGLAVVDLLNKLQDDTGSKLAYLHVTQPRYHAYGQTESGRQGSDEEEAKLMKSLRTAYNGTFMSSGGFNKELGMQAIQQGGADLVSFGRLFIANPDLVSRFKVGAKLNKYNRKTFYTQDPVVGYTDYSFLAPASRL >A08p018740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12874381:12878758:-1 gene:A08p018740.1_BraROA transcript:A08p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSQGSSSHVQDSVSPHSSYHTSPSLLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTFTHFPLEKQHLWFRQFAVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAFTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEIHGAPIFDVYEDELNTPEPIDILDLIIYNMYNEYMPFELCLFVIESSIEFLRSVLRSKKSFRLCNQQVRSLSRSRNSFRLLKGVEEEVMAKSSFKQEHDLEKRSAEAARIREKYPDRIPVIVEKAEKSDIPTIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGALMSAVYEEKKEEDGFLYVTYSGENTFGY >A09p012710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6501864:6503401:-1 gene:A09p012710.1_BraROA transcript:A09p012710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSWDQLGEITSVAQLTGVDAVKLIGMIVTAANTARMHKKNCRQFAQHLKLIGNLLEQLKISEMKKTRPEVQEPLEGLEDALRRSYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQDEIDNVRVRERLEVIDSDQREYTLDEEDRKVQDVILKQESTREAAASVLKRTLSRSYPEMGFCEALKTENVKLQVRVRVMTRTNVDDETEKDDSYDVIYPKKSSTRGLESSQRQEEWHTDLLDCCSEPTLCLKTFFFPCGTLAKISTVATNKQITSSEACSELMVYSLMLSCCCYTCSIRKKLRKTLNIKGGSIDDFLSHLMCCCCALVQELREVEFHGASYAEKKKKNMTPPLPQFMEE >A03p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1053255:1055535:1 gene:A03p002250.1_BraROA transcript:A03p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSGQRVRLVKCPKCLKILQEDEDVPVYQCGGCSAILQAKRRSTAPKTASSAGETERAQASESSNTAPSAGETKGAQASELPSTPPSAGEAERAQASESPNAATSGGEAERDQASEHPNTAPSGEAERAQASEHPNAAPSAGEAEGVQASEHLNTATSGGEAEGVQASESPNAAPSEGEAEGAQTSEHLNTATSAGEAEGGQASEPPSEPETNNVSSSSGQDTVLASPSGQEDEKDQDGSMESTEKQLDGLELSQGEERNEIQLRELSLGDSDKNEEEDNSSRLKSDMKSVAGTSSGSLNDDPVMDARRTSDSSSDVFHKLEAEISPDASPIEEEQQDQEDHVFHPHRDSTDDLPANKTSSAYDGSKSSSDEREDQEENQQWNALQNIRYPQTFKEQGGSSSSTFSGKRPSGTTTHKERHQYKSLQLEGPGGRLGRQGRRHVSEQLRPDVPLYPREPYTRLSPSSYPSHDVFDRYSRAHSLQMPPPYEGVDHMYHNNNTRARERGQGSRFSGEMTNHPGWYSSQMYSSYSSYSASPQRLMEQQPEYYPRWSHEIVSDAEDHQRTRHEARLRRQQPVAKRHIRPTAGGAPFVSCYSCSENLQLPVDFLIFKRKYHLLRCGTCTTVLRFSLQSRTHLVPAVTHDINANRSSNPENPSSSAAQEEEDEEEEEIPVARGSPLHRLMGYSTVSQVFKASQRPPSV >A07p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10759795:10760421:-1 gene:A07p017780.1_BraROA transcript:A07p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMSVSWIQHYLLRHSSFWDRSRHFQELNAKIQVEPVHDQRLGDDKFLWRHDTEVYKDYFSATRTWECCKKAKNMLSTGDRMRQWKITQGCVQCGERD >A02p010310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4440499:4441059:-1 gene:A02p010310.1_BraROA transcript:A02p010310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDSPLEALALEYASFGCLAVVNNVWTWIAVVTAAVSFWRIRVTTIKVEDGHGCVLLEGSKASKPEQETGHYQPQAVAGAVGETATAQGKETVVWEPLVCDEGVTKGKLTMYYEVGVEGERCVDGDGEVTDVSYGGGLGHCGEWWERWERVLKMRTGDDDWYRYVDVTVLNGSVVRLRDDKRNP >A01p054650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30949253:30952323:1 gene:A01p054650.1_BraROA transcript:A01p054650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSLLSSSPSPLCKSRFSPSRTDFISFSPRKALSSSTSPAILSLSVKHNRQRNSFKVKSVASPTETASEFDEMVSGTKRKYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLTGGMLVPVEGPESPLFALEINPEKAREEFRSASQANGGTGVKDFMDGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAISKVIQFLESPEYNMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGKEDNKPDAADKLERLRERMVKVRELFRDTESTEFVIVTIPTVMAVSESSRLSASLKKESVPVKRLVVNQIIPPSSSDCKFCSIKRKDQMRALDMIREDSELSGRIERRPLPEFLSVVSPVSKLDSGKRCVSLYCYRQFLFPGNNDSDSIDFAGFRLQESDGSDSIVGSFSGLIRNQVFDLRSCSSSVQSTFDLKICGVGSHFALTPTEAVVLFRWRARAPLMVFQSRRGGLVTRVPRSEDTTRVLFTHLAGRKRRLSGLGRWD >A07p034560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18754000:18755518:-1 gene:A07p034560.1_BraROA transcript:A07p034560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHFQGPVTTNPKQVLTKEEQVADENMVSSQAESIVNTLAFPMVLKAALELGVIDTIAAAGNGAWLSPSEITVRLPTKPTNPEAPVLLDRMLRLLVSHSMLKCRMVKSREKGRTGKMERVYAAEPVCKYFLKDSDGSGSLASLLIMFHDQVIFKTWTKLKDVILEGRDAFSNAHGMRIFEYINLDERFGELFHQAMSESSTMVMKKVLEVYRGFEGVNTLVDVGGANGTILGLITSKYPHIKGVNFDLAQVLTNAPFYPGVEHVSGDMFIEVPKGDAIFMKWILHDWADEHCIKILKNCWKSLPEKGKVIIVERVTPTEPKGGDFLSDIMFAMDLLMLTQCSGGKERSLSQFENLAFGSGFIRCEVICLVYSYSVIEFRK >SC206g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000065.1:4979:6566:-1 gene:SC206g500010.1_BraROA transcript:SC206g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTER >A06g509220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26415569:26418193:1 gene:A06g509220.1_BraROA transcript:A06g509220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLMEQQLKDIVVGETCAELRHQLDINYPVHNGIVQNWEDMEHVWDHAFYNELKINPSECKILLTDPPLNPSKNREKMIETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITAYLVDLLSRRGYDYKRECELGLETTILVKNYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEIQDRYLDTVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINREDYLEEGIGCLNKMSQA >A02g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8182862:8183842:1 gene:A02g502530.1_BraROA transcript:A02g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVVPSDKSADSYSVSLLLSPVVSVWDCIVRKMRYTYVPEWTNREVMDNSSLMRKQDQPIVGNGKKKKKKQGKDEADRIKQAEKKKRRLEKNLAASLAIRAELEKKKQRIKEGHQEGDEESLAKKKKQDKDELERVKRAEKKKIRLEKSLANSAAIRAELEKKKLKKLEEQRRLDEEGAAIAEAAALHVLLGEDSDDSCRTMLNQETGFKPWDCTAKLNLSAGGRNGFFPHLAVHRSRVRDCNWSVSYESFARGCDSNNMGISADLISAQAVSSLQISENASVDAVVFNGMFRR >A09p062610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51151258:51152947:-1 gene:A09p062610.1_BraROA transcript:A09p062610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTIARTGSTRHINKSKMANPRRTVTENHRFSPLINLLKKPQAFPLLLSFFLFLTWITLRLQHSSHLSSSSSHTKSAAKSHHHPDSEVLDGDDKVNLIRFDTASISPVRKDDRGWLLDPVALARDSQLHGGAATCVSIHVGEIKPGELRGNHRHHTCNETFVIWGAKTRFRLENHKVEKGYAEVLIGEDEVAVAVSPSGTAHAIVNVDPVRSTFLIGCQDSNMHNSSTSDYKVWKDL >A09p011340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5711823:5713868:1 gene:A09p011340.1_BraROA transcript:A09p011340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNDWSFTRLAFTALLMLLHPKETSSFVGSTVCQSDHFTYKKDYQRGSSFAINGNPVDRIHFCEAMLIHKAKGCVLGDSLRDFCYLLGGRRFLEEKHVEDSENNEAESENRHVKVSLAASGFLLFCCAICCPCFHKERKANSHEVLPKESNSVHQVSSFEMSPSSDKIPPSPFRAPPSPSRVPQSPSRYAMSPRPSRMGPLSLTMSQIQTATNNFSDDNQIGEGGFGIVYKGILEDRQVVAIKRAKKVFSYSFLVEHFENLRKEFKSEVDLLSKIGHRNLVKLLGYVDKGDERLIITEYVRNGTLRDHLDERQIIHRDIKSSNILLTDGMRAKVADFGFARGGPSDSNQTHILTQVKGTVGYLDPEYMRTYQLTAKSDVYSFGILLVEILTGRRPVEAKKPHDERITVRWAFDKYNEGKVLELVDPKARERLDEKILKKMFSLAFQCAAPTRKERPDMEAVGKQLWAIRSTYLRRSVEQK >A05p013950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6102324:6103391:1 gene:A05p013950.1_BraROA transcript:A05p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISELEMEKAESELELGLGLSLGGGTAAKIGKPGGGGAWGESGRLLTAKDFPSVGSKRAADSASHAGASPPRSSSQVVGWPPIGSHRMNSLASKSAREEEGEAGKKKVKDDETKDVNKKVQVGFIKVNIDGVAIGRKVDLNAHSSYENLAQTLEDMFFRGNPGTIGLTGQFTKPLRLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFITSVKRLRVMKTSEANGLAARHQESNERQRK >A03p071410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31433775:31435141:1 gene:A03p071410.1_BraROA transcript:A03p071410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAVSDLKPFKTMWKIRVKIIRLWKQYSASGGLTIEMVLIDSNGVKINTSVKKDLVNQFDSFLSQGSSKILINFSLNPSCGSYRTTINPYRIGFLSTTRVRSCDDLPDALTGFEPVNYTDILDGTLNTDYLVDVIGQIVELTPIEVVSANGKETHKLTVELHNEKLNHVVVLWRTMQFLVSSYWFQNFRYKLHLVVLDNTGNSKFLLFDAIAMQILNCPCNELRLRILEILGGFQCVLHRTTKHKYTIKFNESSLFRKIQPVNDLCGALVRVGDFIATKLAQPANIYNSIMYSLEFSLINLAFELFI >A03p017770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7237902:7238757:1 gene:A03p017770.1_BraROA transcript:A03p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVYGPHFASPKRALVTLIEKGVAFETVPVDLMKGEHKQPAYLALQPFGTVPAVVDGDYKIFESRAVMRYVAEKYRSQGPDLLGKTVEERGQVEQWLDVEATTYHPPLLNLTLHIMFASVMGFPSDEKLIKESEEKLSAVLDVYEAHLSKSKYLAGDFVSLADLAHLPFTDYLVGPIGKAYMIKDRKHVSAWWDSISSRPAWKETLEKYSFPA >A06p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4766603:4776251:1 gene:A06p003270.1_BraROA transcript:A06p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALSDICEIIEENPINFSENLVWICRQCPHESTSVSRSHLNALLAVARIISRNVDMSENHGKLAVLDFLHAVPTSFRRSFWPCSFTLESITAFYRTFLGYVSCLSDEFGSKVVEIAEEAIGDDVDMDPAISRAFLVALSHNGFPSIQQSDGDELITMLLHQFSTNENASCSGGSFSVFRQQVASFEDESIESLEKQEITFKLMAHVLDKVKVDSKLCDQVSSIAKRQLQSMSAFLKSRRQDWNDQDSVLKTGVDAKLFVYQAAAKMKIKSLASLETDGKTYKKLAMETLTLLFDAAEACLTSVWRKMKACEELFISLLCGIAEMAVAKGRCLPHGLFVRLKPLVLAVCAQPDTWVRNQSNIFESVSKICCEIIESGWAKDRALVNTFIVGLASRIRETNDYEEQVGREKEDPTVPLNVIKLLADISLAVKKPEVADMVFPFFIESLEEGDTSTPGALRLQLLDAVSRIAILGFEKSYRETVVLMTRGYLREVLTVASVESKTSEPKSRTNIETLAAGFLTIANGLMNTKRRADYRHRLLSLCSDVSLASESKTGGSGADMLGPLLPAVAEICSDLDPTSNVEPSLLKLFRNLWFYIALFGLAPPIVKPQPARGPYMWNTQWSLAVQRISQGTPPLVVSSVKWLEDEFELNALRSSDSSLRIGNKKVASTQRTALAIALGGRVEVSALNTISGVKATYLLAVAILEIVRFTSNGGILNGGSSVSASRSAFSCVFEYLKSPNLTPAVSQCLTAIVHRAFQAAVSWLEDRISLTGKDDSIRESTMNAHACFLIKNMSQRDEHIRDISVNLLNQIRDKFPQVLWSSSCLDSLLFSVHDNAPSMVVNDPAWIAAVRSLYQKVVREWIYISLSYAPCTIQGLLQDKLCKANTLQRTQTTTDVVSLLNEIKIGTGENEIWSGTKTANIPAVMDAAVAASGENLKASEAFNLEILGSGFVNAMYKCKHTGQISGLVRLGNSIGNDTLINSSVRSLQQIVNTSTNGGITDKSQFRETCSQATAVLLSNLQAGEPKTDIKGFSRLLRLLCWSPAYILTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWTWTIDSKRGLFASDVRYYGPAAKLKPQLAPGEPEESPDSDPVDQIVAHRLWLGFLIDRFEVIRHSSVEQLLLFGRLLQRSTSLDWCFTHHPAATGTFFSLMLLGLKFCSCQKQGNMHKFRHGLELLEDRIYRASLGWFSRQTEWYDVNIPNFCQSEAQSVSIFAQYLLNERSDFSQSDSNEIAHENGKLAELIVWGKMDNYAVGKEKRKQLLLILCQHESDRLDVWAQPICSKDSPYSRLKVSSGKWTEHAKTAFLVDPRIAISLVSRFPANIALKSEVTQLVQAHIVDLRSIPEALPYFLTPKTVEENSVLLQQLPHWAACSITQALEFLTPNYKGHPRVMAYILRVLESYPPERVTFFMPQLVQSLRYDEGRLVEGYLLRAAQRSDIFAHILTWHLQGESFQETVKDGAFDKNASFQAILSEVQQHIIDGFTPKALDLFNREFDFFEKVTSISGVLFPLPKEERRAGIRRELEKIKMQGEDLYLPTAPNKLVKGIQIDSGIPLQSAAKVPIMITFNVVDRDGNHNDVKPQACIFKVGDDCRQDVLALQVISLLRDIFEAVGLILYLFPYGVLPTGAGRGIIEVVPNTRSRSQMGESTDGGLYEIFQQEFGPVGSPSFETARGNFLTSSAGYAVASLLLQPKDRHNGNLLFDNMGRLVHIDFGFIFETSPGGNMRFESAHFKLSHEMTQLLDPSGDMKSETWHQFVSLCVQGYLAARRYMEGIISTVEMMVESGLPCFSRGNPIEKLRKRFHPEMSEREAAHFMINVCTDAYNKWTTFGYDLIQYLQQGIEK >A04p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17868746:17871690:1 gene:A04p030050.1_BraROA transcript:A04p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMEEKQSSPVSEKQQSFNQTEPKEKQQSFGCAETNQPRKARALEKQVSFQGVNVQPSRLGRSMEKQLSFRGVENNQKRGKVMEKLPSFGKAPSMERQKSFRGGFLEKQKSFRVVMERQLSFIGERRKKTESPGKRGDSPLHIAARTGNLGKVKELIRGGSCGEELRELLSKQNLEGETPLYTAAENGHSFVVEEMLKHMCLETGSIAARNGFDPFHVAAKQGHLEVLKKLLETFPNLAMTTDLSCTTALHTAATQGHIDVVNLLLETDSNLAKIAKNNGKTALHSAARMGHVEVVKSLIGNDPSIGFRTDKKGQTALHMAVKGQNDEIVVELVKPDVAVLSVEDNKGNTPLHIATNKGRVKIVQCLVSFEGINLNPINKAGDTPLDIAEKIGNGELVSVLKEAGAATAKDLGKPQNPAKQLKQTVSDIKHEVQSQLQQSRQTGVRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTIPGQYEEDPSKGALLGQAHIANRAPFLVFFIFDSLALFISLAVVVVQTSVVVIEQKAKKKLVFVINKLMWCACLFISIAFVSLSYIVVGKEDMWLAVCATVIGGTIMLPTIGAMCYCVVMHRMEESKLRSIRKERSKSQSFSMSRMASDSEIMNGEYNKRMYAL >A03p017180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6897569:6898838:-1 gene:A03p017180.1_BraROA transcript:A03p017180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGSSRLGGGAAARAGEGGVVPLPEGIRPLLRRRLEEMKKRSHASVLKGNQTQSKKELLRHGSSEDGEEAEENDDSLKLSAKVAPAPDHHVEDKKEVIYEKISSIKDVKNGEKVVKKQDEKIDDKKMVKTRESRWKPKITTQENESIVKKEKKERKGKRFGMALPRKYLANVTAPCYAGAGRGCMGNNTDHSRVVQEKSSQ >A01g510340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28249755:28251026:-1 gene:A01g510340.1_BraROA transcript:A01g510340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDIFTNTLFINDQKNILALTLFLSLSRDPLFFLSLSLDLFSSSLSPIHRRSTVSPPSPPSLTKAWISRLSSFSSYFLRFCDLGLLRNHTKALCLSAGAGHAPMAMAQIELSDVTAVELVDSLPLERRADPHNLHFSTVRLISRLLGILMMLCFRGGSWRRWRGRYLTHRFLQLRWSLHLRYIILFIAAKRNRLCNLRHKKKLKNVNVYKFCTILKLTTRYCDTSTELLDKPKLIIQRKEEFSEIENSHLAEVL >A01p055380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31163689:31190491:-1 gene:A01p055380.1_BraROA transcript:A01p055380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIARRSIPTLMFLLFLSSNVLADASTTTVFTFLGFRRNQTEIQTEQAATIQETDGLLRLTNRDHNVTGTAFYREPIRLRDGSSNKLCSFSTSFVFVIIPSSPGNGGFGFTFTLSPTPNRPGAESAQYLGLLNRSSNGDPSNHVFAVEFDTVQGFKDGDDRRGNHVGLDFNNLSSAVQEPIIYHDTDDRKEDFQLESGEPIQAHLDYDGPTDSLNVTVYPTRIGFKPETPMILRQVLNLSQIVTKEEVYVGFTSATGKGQSSAHYVMGWSFASSCGESPVSSWLNVSLLPRPPPNVRSKKGYDSPVVALIASLSIVTLFLLALLFIFVMYKRRIEEGEALEDWEIDYPRRFNYKDLYLATKRFKESEIIGSGGFGVVYRGNLSSLGAVAVKKITQTSQQGFREFVAEIESLGRLSHKNLVNLQGWCKHKKDLLLVYDYIPNGSLDSLLYTTPRRNGVVLTWEERFEIIKGVASGLLYLHEEWEQVVIHRDVKASNVLVDAEMNAKLGDFGLARLYERGTLTQTTKVVGTLGYMAPELTRNGKGSTASDVFAFGVLLLEIVCGKRPTNTENFFLADWVMDFHTNGGVLRAVDQKLGSSFNGREAKLALVVGLLCCHQKPTARPTMRNVIRYLNGDEDLPEIDGNLGFSDSSRDHLRANVACNVSSDIALSSTTFSFSTESASSPGRHPQGNQQRRTNSYTAPEKSEDNWNNRKDREDSYSQRSRRDDYHRQGTGKAKAGYGKNIEERTSLRKRFHPYQHQRDEYHAPSRYHQPRNMIYRETQRPSQDPLRMPPPPPPVERNERRDDGHREESSASKVAQPISARETPLRNDQLELPREVVDEALGELREAMTQYTLCADPTESAARKERMRRAEEEGDFEETAIQMARTALATKAATIEKDRPIESAERIPALLRLGSPILTPARQGDPQNDSPQRTPALLRLGNSVIPPSPEANLKKKESTRRKPGRPPGKKTVQSSPKNVAGPSLRKRRVLNPRIPSCKRKLPASQRPSGSDQRQTSNRPGDSRAEWLREQIPEPSDVPKEVRAMTAPGINHVIMQSDAAWRSDSQRAGLGWTVIENELNSDYMSHCLYVPSPLVAEALALREAMAHCRAQGILQLHCQMDSQQLVKVLISKSPTPEIYGVVSDILLLASSFLSISYEWFPRSKNNVADALAKQALYNVCLVFPNLDNGVTRLVIKGEEVVEDPAEKEGDVRRAVVIAEARLISTKMSSSSMVSVFLIIEILLQLSVPFGSVKMVLGCFPLKGKKKRGSVSMKRLDLEESSKPIALPEPPPKVPSRNLQSAPPSFRTRAKPSNVEMSSSRTRVMSAPSSIHGVAERDLLSHEEQETSPQPLPLPSPRTGSSLKNWGSFKSFNGSSGRLSSSAVSGPLPLPPSVSVRSFSYDEVVSACSAFATDRCVSEGLSSVMYMASFGDEAASTTSLKKVEATVVRLNVITQSIREFTNEVNTLASLQHQNLCKLLGYHAREGSETRMLVYERLALGSLDRLLHGRSDGPPLDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQVDKDFSAKLSGYGCVGNTPPETETSNSSALANLSVETLERGVLTPKSNVWSYGIVLLEMLTGRKNMDGSYPKEEKNLVKWSRAFLSDDCRLSLIMDPQLKGRFPAKAARSIADIAQRCLQVEPSERPTMRNIVEQLKVIQDMKYSCRFPLREPAPVAARKHMGRSSSLNTIVWTPGAAPLRSSFSPSPPAGRPSVSPTRGRGLVFPARVCSSLEMSREEVRRLSSGSGRRTSLEGAYRTFMAFAHYCSIECFLNNGKQLELLQLVIGLPIATILQIRCPMVSSHLTVPNKTHKQQYLSLSPQVSLLKDDVELDFSDVFGPLPEEAGDVAFDEPAVIHTRSHSLVGPSSIGSHSFKLSKITLLETEDSVDLVECIERESSPFSGTDDTDSDGSPEKDVVVKVPGVVGLDDFEVMKVVGKGAFGKVYQVRKKETSEIFAMKVMRKDKIMEKNHAEYMKAERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFRSNSMCGTTEYMAPEIVRGKGHDKAADWWSVGILLYEMLTGKPPFMGSRGKIEQKIVKDKIKLPQFLSSEAHSLLKGLLQKEPERRLGSGPSGAGEIKEHKWFKGMNWKKLEAREVKPSFKPEISGRQCIANFDKCWTEMSVLDSPANSPSSDPKANPFTNFTYVRPPPSFLQHISRHCFLQLGKQEDLLLLDIENMVCSECHVACKNVKPLKKHMSLTITPPLKDNVDLDFSDVFGPLPQESSSDVVFDEPAVVHTRSHSLVGPSSIVDLDSEKAPEGDVAEVSGEVGIEDFDILKVVGQGAFGKVFQVRKKDTSEIFAMKVMRKDKIMEKNHAEYMKAERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYHQGLFREDLARVYTAEIVSAVSHLHENGIMHRDLKPENILMDTDGHVMLTDFGLAKEFEENTRSNSMCGTTEYMAPEIVRGKGHDKAADWWSVGILLYEMLTGKPPFMGSRGKIQQKIVKDKIKLPQFLSTEAHALLKGLLQKEPERRLGSGPRGAGEIKEHKWFKGINWKKLEAREVKPSFKPEIYIILCVLWSLLSQHVYSFLSLNKTKCL >A08p031740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19359255:19361810:1 gene:A08p031740.1_BraROA transcript:A08p031740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol/phosphatidylcholine transfer protein SFH2 [Source:Projected from Arabidopsis thaliana (AT4G39180) UniProtKB/Swiss-Prot;Acc:F4JVA9] MAEISEDEKRLTKLCSLKKKAINATNKFKHSMTKRGRRHSRVRCVSIVDEIDTEELRQVDAFRQALILEELLPSKHDDHHMMLRFLRARKFDIEKAKQMWADMLNWRKDYGADTIMEDFDFGEIDEVVKYYPQGYHGVDKEGRPVYIERLGQVDAVKLMKVTTIDRYVKYHVKEFEKTFTVKFPACSIAAKRHIDQSTTILDVQGVGLNNFNKAAKDLLQSIQKIDSDNYPETLNRMFIINAGYGFRLVWSGVKSFLDPKTTAKIHLVQNGEGRCPRKSSSGIEEKTISVCKNVTEEKREVFEPEETYKKAAAAMEKFIDKTVDTGAYPTQEHHKAKNIVPDPKDLLLSPAVESEGYLYGSMMALLMGIVGVMRLTKNMPRKLTQGNVYPDGVTVMPTQEYRAMVKKMTDLEEKCKSMEAAQVAISMEREKVLDAALRRVDQLELQLSETKKALDETMTRQHEIMAYIEKKKKKKRKWIPEKT >A04p016170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9946945:9948659:-1 gene:A04p016170.1_BraROA transcript:A04p016170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGASVTRREVNPGRKYGIMLNNNSNSWKCIFCKKDFNAGISRLKQHLRGDHRNAKACNLCPLHVRKDSNQKQCYIPYALVMTLVDEEDELEEDLDEDDLGYEDVEYDDEDENIGVSEDEF >A08p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9524092:9525798:-1 gene:A08p014230.1_BraROA transcript:A08p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIYSTQALNSTHFLTSSPSSSTSKQVFFYRRHQSQTNRRFNTIITCAAQQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKALKSGIAVEKPIYNHVTGLLDAPELIQPPKILVIEGLHPMFDERVRELLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKYFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFNYEPDTYFDHEVSVLEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQLIANKATAPAEAAKA >A07p023140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13444481:13446738:-1 gene:A07p023140.1_BraROA transcript:A07p023140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNVKKARVSWPSDSMLCQVKMFKTEDYPAKVGAQTCSKSKASKDLQRGTVYSTRPPNIPLIKWKLPPKNSSILKVKIAKYIGDESTETGSENLRIAKVLEPFYPHRSLIPSRPFISPAAVQVDDTNTPTIRVTPIEDDEDQPALQSSIAPPSVFGLGPDLSLTALAALLNTEEEGSLVNADLLVKFLTDPTIINNLLNAAAKPLETGNNNNTTKPPPQHVTTSSANSPPGNGVTPFLAAAQSIVSHVPTQPMAPLVLNTYPSSCAVKPLPRVEKSLETSSVVVSEPQCRSGTWNMSRVEESAGTGTDAQSAYPMNITRDDYFKNLIREHGGVVAPATNNNKRRVDNNNNNNDDKKKALAVKVKSQKPCMFFGRGRGCKLGESCLYLHDPSKRNLLTDNVAAAAPRAQRLKFRT >A10p032990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19358386:19360287:-1 gene:A10p032990.1_BraROA transcript:A10p032990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPIRNPNPRLEFLKPLLTDSTKQFLAQHRTGRTDFSDFESIFTRLLQDHRPDPPLELLWFYSAVVFHSSKQDFGEDSVRLTRSLFQMLVPLSDSFSGAKRAAMLSPLVYNLIRLVKTRKEEVSELLDAIVSYVSAYCGDEERGGCGGGEDEDDLLMVTGFSFADLSRVWVVVDEVGVGDCLEMFMPFVGERLRREVGSESCSVGCLAGIVASQLLLLNLCLRFDSGEMRKDLRESVVQMINAFHSCYFFDGILKMLLVEPYLHLTPLLGPEDEAALIETVTEAVIESAESLFLNPGSGNSQRSLQLKNVAINWLFLCAKASIRKTEDQEETSRYMNMFSSSRIPYHLVNWVISQGEVIRDADTLLNSTPVSFIEWLLSLEEQGVRVFDCDHSKNYAKTVVHWSRPDLSLEATLLKQRDGVDQDAEMADHLIVSSISISSGSSTRKRKEERLDKEGETKTKLFKHRHNNFQERSRLQPFVFSDRLVNGTEVGVSDMEL >A04g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3449012:3451720:-1 gene:A04g501370.1_BraROA transcript:A04g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSRMGPSAHPIYALGVIIMATMVAAYEPLTYSLPPLPSYSPSPKVEYNTPPLPNVYNSPSPPPYYSPSPKVDYKSPPPPYVYTSPPPPPYYSPAPKAEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVHYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYNSPPPPYYSPSPKVHYKSPPPPYVYSSPPPPYHSPSPKVHYKSPPPPYVYSSPPPPYYSPSPKVHYKSPPHPHVCVCPPPPPCYSPSPKIIYKSPPPPYVYNSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPYYSPSPKVSYKSPPPPYVYTSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYAYSSPPPPYYSPSPKVEYKSPPPSPSYY >A04g506830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15582746:15584396:1 gene:A04g506830.1_BraROA transcript:A04g506830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSANDGKTKVKAMFTATNPTTQCKSCGIYEERPLLYMIHLTNGSFVPLISQLRVYTYTSRTKNSMLLFSAMDATQVGDGEFPQTMKHPSMKFSPTVCLEVFVATLKTLQLLREIFLKALSVPMDYNIFQANQTRSIVVVSLFYFREKSHEVLINLSLLVLDEPTSGLDATAALRLVQTLAGMAHGKGKTVVTSIHQPSRRAFQMFDTVLLLSEGRCLFYGKGRDAMAYFDSVRFSPAFPMNPADFLLHLANGRERSRSNGSGASWTLLDLVREIA >A03p012330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4907622:4908586:-1 gene:A03p012330.1_BraROA transcript:A03p012330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETVKLISMEGFEFIIDREAAMVSQTIRSMLTSPGGFSESKDGVVTFPDISTTILEKICQYFYWSLQYSRGKETEFHIEPELTLELMMAANYLHT >A01p039540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14260767:14262717:1 gene:A01p039540.1_BraROA transcript:A01p039540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-carboxy-1,4-naphthoquinone phytyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G60600) UniProtKB/Swiss-Prot;Acc:Q0WUA3] MMKLVSLCDISNGFVPKTSTGLFVRPKIRKLPRGVIITRLPVFDSNARENLIAKRTRINLSVGPVFCKTYEEEEIPKAKLIWRAIKLPIYSVALVPLTVGASAAYLETGLVLVRCYATLMLSSVLIITWLNLSNDVYDFDTGADKNKRESVVNMVGSRAGTFAAAITSLVLGVSGLIWISWTASNIRAILLLASAILCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLLGGGSSEMRHLPLSSRVLSSSLLVGFTTSLILFCSHFHQVEGDLAVGKFSPLVRLGTEKGAFVVRWAIRLLYLMLLVLGLTKILPVTCTLLCFLTFPVGNLVSSYVENHHKEDEKIFMAKYYCVRLHALFGAALSLGLVIAR >A02p044150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27804959:27808637:-1 gene:A02p044150.1_BraROA transcript:A02p044150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTEGNVIASLMNDLEAITPHTAHNSLLTLRDDVLGGSEPGLQSAEKDLEPKKSMGQEELPAIQLSEPTTEAFPEVGGWETVHGKKHGLETEEDEVLVVSPSRFSHLQDIEEDIGEEEETVEGNATEGEEGEILENKTEGQRSQRTQATRGKKLVNGHARVSDAGNLEGWKIRGQRSRHFGELHRTIMAIAPPHPDRGTDIVMRNHGDDDYQ >A07p008550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:388979:390703:-1 gene:A07p008550.1_BraROA transcript:A07p008550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein At2g13690 [Source:Projected from Arabidopsis thaliana (AT2G13690) UniProtKB/Swiss-Prot;Acc:Q9SKH2] MMPIGDSDRRKPTYSGTGPLCWRRLWCCAFAVSSASPDIRSISARNHIQAKTQPQKHQRTTKSAFIGRIDHRYGESEWEKRRSFGAGAELGGWKSLMWRILAFLRKLWNSCLRKVMGVYRAIDVLEQTKHLDHKHVAAGLKFSKAILSYLKYFEVVPWNEDKEERLRRVLALYNFDDAATEEILARFNSNETENTQENLSKQMVWSITSCSDTNPRNQLKSLVNGILCKSSVYEKEQPEINKEDIYKAGKYCVDSLVKLFEEGSNSKSGKPLIEGISREGENIIWLLEIMIDWEIAEEFVEIWGKERKLVEMYEEVSPMVRYELSIVTGLMFIEIGKWRVQCGGEARAGLVDMWFKPMLLDFGWLQMGKKGLDMREVEEGMGQTLLTPIEEALSSVHGMFQVVL >A06p057620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29959957:29960741:1 gene:A06p057620.1_BraROA transcript:A06p057620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTIVDHIDQQNNLDADFGLAQNRELLDRDVNPLLERKWYVYGVVMALLMWIVGVMRLTKNMPRRLAKANVYPDGVRVMPTQEYMAMAKKMADLEEKYDSVDAQAVVSREKEKVLDAALGCVDQLQLQLSETKKALDETMTRQHEMMALIEKKKKKKRKRKFLLKGCFGGVSSASSL >A01p047930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27038173:27038831:1 gene:A01p047930.1_BraROA transcript:A01p047930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVTREKIEKREKREKGDLGSGERPARERACRRRSPLSFHASYRVWISSPFLPIASNCGVSVSWREDAVAGLRSRFREVEATTAPPTPALVAERWKFLQLRRLWLKLPGDEALRQRFRKVKKEVGYGGGSKVLWAPAKRGFRWSGTAVW >A05p034550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19425138:19428504:-1 gene:A05p034550.1_BraROA transcript:A05p034550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPSRQFCPTILTKTKLVHSFQSSFCFRFLRYNGFSILTPTRNASIGSSDRTQSKRFSLSPVSKVKTSNAEWLENWNRSQKRNQPKPPKIVVNYRKEGRLTSGDSVSRDGDGVTMEKIVEKLRKYGYMEGDDSKEIEQERRIEKGSVEDIFYVEEGMLPDTRGGFSEESVLGEVGFPWEKISAEKRKELESEWTAKKESRYSLAEMTLPESELRRLRNLTFRTVSKMKIRGAGVTQVVVDAIKEKWKSAEIVRLKIEGASALNMRKMHETLERKTGGLVIWRSGTSISLYRGVSYELPAGKWNKQKREEKPSPSSLPATTSVVESRDEEVLLPQRDEETTSVEKKDQEPEVEYEDEIEELLDGLGPRFKDWTGDHPLPIDADLLPGAVPGYEPPFRILPYGVRSSLGPKEATALRRLARFLPPHFALGRSRQLQGLATAMVTLWEKSMLAKIAIKRGVQLTTSERMAEELKKLTGGILLSRNKDYLVFYRGKNFLSREVAEALVEQEKFVRSLQDEEEEARLRGSSALIVPSTEPSNKLVSAGTLGETLDATGKWGKNLDDGHHAEEVKHEVEKLRHENLVRKLEKKLAFAERKLLKAERGLAKVEECLHPAEQRADLESITDEERFMFRKLGLKMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIIVKAKTFEGVKKVALALEAESGGILVSIDKVSKGYAIIVYRGKDYKRPPMLRPKNLLTKRKALARSIELQRREGLIKHISAMHARAEQLRAELEQMEKIADNGDEDDEETEETDVRILEEVDAYSETYGEVSEIDDEDWDSDESETRFGDDFVPYAEDLQTKSEDVHRQESSSAASKH >A07p027400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15645577:15646540:-1 gene:A07p027400.1_BraROA transcript:A07p027400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGHKIYPGRGIRFIRSDSQVFLFINSKCKHYFHNKLKPSKLAWTTMYRKQHKKDAAQEAVKKRRRATKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALRDIKERIKKTKDEKKAKKAEFASKQQKIQAKIPKAAAKGGPKLGGGGGKR >A03p015370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6092317:6098515:1 gene:A03p015370.1_BraROA transcript:A03p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 79B6 [Source:Projected from Arabidopsis thaliana (AT5G54010) UniProtKB/Swiss-Prot;Acc:Q9FN26] MGSKFHAFMFPWFGFGHMTAFLHLANKLAEKGHKITFLLPKKGLKQLEPLNLFPKSIVFHILTIPSVDGLPDGAETTSDIPITLGSFLASAMDRTRGQVEEAVRLGKPDLIFFDFAHWIPDIAREYGAKSVNFVTISAACVAISFVPGRSPDELAIPPPGYPSSKVLLHGQETSSLSFLSYPFGDGVTFYERIMTGLVKCDVISIRTCQEMEGKFCDFIEREFQRKVLLTGPMLPEPDNIKPLEDQWSHWLNRFKPGSVVYCSLGSQIILEKEQFQELCLGMELTGLPFLVAVKPPKGASTIQEALPKGFEERVKERGVVWGEWVQQPLILAHPSIGCFVSHCGFGSMWESLLNDCQIVFIPHLGEQIFNTRLMSEELEVSVEVKREETGWFSKESLSGAVRSVMDKDTELGNLVRRNHAKWKESLLSSGIISEMGSKFHAFMFPWFGFGHMTAFLHLANKLAEKGHKITFLLPKKALKQLEPLNLFPECIVFHTLTIPSVDGLPDGAETTADIPITLGGFLASAMDRTRGQVEEAVRLGKPDLIFFDFAHWIPEVAKEYGAKCVNFLTISAACVAISFVPGRSPDELTVPPPGYPSSKVVLRGQETNFLSFLSYPFGDGVTFYERIMTGLVKCDGISIRTCQEMEGKFCDFIEREFQRKVLLTGPMLPEPDNIKPLEDRWHQWLNRFEPGSVVYCSLGSQIILEKEEFQELCLGMELTGLPFLVAVKPPKGASTIQEALPEGFEERVKERGVVWGEWVQQPLILAHPSIGCFVSHCGFGSMWESLLNDCQIVFIPHLGEQIFNSRLMSEELEVSVEVKREETGWFSKENISGAVRSVMDNDTELGNLVRRNHAKLKESLLSSGIISGYANNDLIDILQEGYLQITTGLKSYDVISVRTCKEIEGKFCDNIASQYKERERKKKKKFHSFMYPWFAFGHMTPYLHLTNKLAEKGNRITFLLPKKAQKLLEHLNLFPQYIVFHPHTIPHVDGLPPGAQTASDITVSLGKFLTQAMDLTRDQVFVDLACWVPEMAKA >A07p003660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3147534:3147860:1 gene:A07p003660.1_BraROA transcript:A07p003660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRSTQAASIKQILKRCSSLGKKKNVNGCYYNQEDDSFPQDVPKGHFPVYVGPDRSRYIVPISWLGHSEFQTLLRLAEEEFGFEHNMGLIIPCDEVFFKSLISMFR >A09g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15468440:15470151:-1 gene:A09g505130.1_BraROA transcript:A09g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMELNPSCIARVSFVCAWVIKRFGITGVCWVLTYLVKYFRGGGRLKPRLRGSLAKVVSLKRSLKIAAVEDSMLRCVGWKDVPSEKVRPQRIKRERFPWCVLLLGVEGHSEALSDGVRCGLSLVALELALCVVELAIVYSSCELCVCLGDQAFWCHWCALDADVLGEVLPWRWKIEA >A01g510590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29077408:29081336:-1 gene:A01g510590.1_BraROA transcript:A01g510590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMGSWETKLTENHDQMLTQNRFLEITNKFLYHKMQVTSKSVDQNSLNSIMNLPARHRKCHLYKTIHNILRRHRLRLILFLFLFVSYQTLFPLDNYLFILSNYLVLNCVNWITFPLDWNGLRLHRSKMVRLTIWDNEAANFRELNRISTRKNQIVIITSIIPRLHEGNKLNKKFMSN >A08g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2023619:2024011:1 gene:A08g500780.1_BraROA transcript:A08g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHYQPTEQQPVFLPFQNKLPQKNEFVSLSKCFDNMMKHDPSTQMVEDKVCMSNPMNDLISRLILFVSYMSEEDLKKYPSRKNEEPELKDQETSITSGQ >A06p014260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6427095:6427635:-1 gene:A06p014260.1_BraROA transcript:A06p014260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVKHVQVFHLLCLCSVIFFFVLSVNVSSEAVPPEDKTATVWLYRIKRSGNDYWSKLKETLGRGHSRFFPPNIDFRGKDDAADMGTGEKMKEAVTRSFEHSKDTVEEAARSAAEVACDAAEAVKEKVKRSVSGGETNQQQSEGTNEL >A07g505140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11092298:11092813:1 gene:A07g505140.1_BraROA transcript:A07g505140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGKTNSKTSTNRRRCVNSRSCGDACRNWLIRSRVLWIVSGEVKCMESSEEDFDDSDEWSF >A09p022550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12398297:12400422:-1 gene:A09p022550.1_BraROA transcript:A09p022550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAENEKTALEASVVVIEKDLEAPGKDVVVESQAELNGTDVGEASDKAVEASMDVSKPEEEAVPSVAETCVEGASPSGVQNPKGVLPGKKKVVKTVKKLVKKKVLKGGASASAAAAAAAADPSLGESDKGTKKVAADSEEEDDDMESNADEETVKSVGKRLLKGKKVQGALKTTTLKEADEGTPQNGLENNNSSLAVNEQTNGVEKPSEQKNEDQAGMAGGRRRKRRRGGKQVSGPNKKQMKEEVVVAAATDATQKSIEVEEKKQPGDDLEKDGQGNVKHAGLIFMCNAKTRPDCFRFSVMGVQEKRKDYVMSIKPGVKLFLYDYDLKLLYGVFQASSAGGMKLERNAFGGSFPAQVRFKVVSDCIPLPESEFKKAIKENYNNRNKFKTELTRKQVFKLTKLFRPAALPAQLTHTLPVPVPRPAERKRSDNDRYAPGSSRSHGRSYNAAPPPRREEPPRREEPPRDLYLTESEYRTYGLRRAEPAQHYPVPPPESSYRLDSYRPSVVDHERLLRQVEIERHHDRREVLLPERSYHPSYDHVLSSRRLAPEPPLESYRRDPYHRVEYRSPERLHRTTYLPSSGREDDDLYSRYVTPDSLAEYYRSSSSRRYPPSIAESEFLPPSSITSRYAYSGSLPYSHR >A05p012720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5567338:5568282:1 gene:A05p012720.1_BraROA transcript:A05p012720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVKVKANPKSTHQEDSPSVKSTPEIEKKYVHRVYDAIAPHFSSTRYAKWPRVAAFLESLPSGSVILDAGCGNGKYLGLNPNCFFIGCDISSPLIRICSEKGQEVVVADAVHLPYREGFGDAAISIAVLHHLSTEERRTSAVNELVRVVKPGGFVLITVWAAEQEDESLLTKWTPLSPKYVEEWVGPGSPMDSPRVRNNPCFGLESIPESDVSVKEEKDKSEMSHQQEYFVPWHLPYHRAEVSGASASALASGLAKKDDKKGAVVYNRYYHVFSEGELERLASGVGNAMVVDRFYDKSNWCIVLQKEALNQDH >A03p056160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24270478:24277212:1 gene:A03p056160.1_BraROA transcript:A03p056160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTCVFSVVFFLLFLSLPLPSLSQPSHSVYNSFLKCFSKRTKTPQPQIAKNVFSPTNPAYSSVLRAYIRNARFNTSSTPKPTIIVTPRSYSHVSAAVLCSKPLNFVFKIRSGGHDYDGLSYISDKPFFVLDMSNIRDVSVDVAENSAWISAGATLGEYMAGGAVDIVHKWQFVGPKTDRNLFMRMLIQPVTRNKVKTVRASVVALFLGKADDVVSLLSKELPELALKKENCTEMTWFQSALWWDNRVNATQTDPKVFLDRNLDSSSFGKRNYKEGEVYGRMYFGKNFDRLVKIKTKVDPRNFFRNEQSIPTLPRKRSKRIISTMIKTQTFVSVLLSLFFFYSLPFSSLAAAPSSASVYESFVQCFSDKTKSPQAQVAKNVFSPTNPSYSSVLRAYIRNARFNTSSTPKPTIIVTPRSYSHVSAAVLCSKPLNFVFKIRSGGHDYDGLSYISDKPFFILDMSNLRDVTVNITDQTAWISAGATLGEVYYGIWRESKVHGFPAGVCPTVGVGGHLSGGGYGNMVRKYGLSVDYVEDAKIVDVKGRVLDRKAMGEDLFWAISGGGGGSFGVVLGYKIRLVLVPPVVTVFRVEQYMDSGAVDMVHKWQFVGPKTDRNLFMRMLIQPTTKNKVKTVRASVVALFLGGADDVVSLLAKEFAELGLKKEACKEMTWIQSALWWDNDENATQTDPKVFLDRNLDSASFGKRNYKEGKVYGRKYFGKNFDRLVKIKTAVDPDNFFRNEQSIPTLPRKA >A10p029600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18086362:18087012:1 gene:A10p029600.1_BraROA transcript:A10p029600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYNAFVSREEMMGLDRSNDLVVCPKPRRVGVLANNLTRPLRLHMSQAAADLCDSEAGAELLDIIRRKEDNGTIGPYFLGSPPSRAANPLAQDARFRDEKINPLSPNSPLLQPNSSTAFPSPSPSSSRGCVRMKFGLKPPAVRVEGFDCLNRDRQSSSIPAMA >A03p059980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25947866:25950620:-1 gene:A03p059980.1_BraROA transcript:A03p059980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYKITRDEATELLLMDPFPHRENVDVLRLPTRRGNEIVAMYVRYPMAVTTLLYSHGNAADIGQMYELFVELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEETYGAKQENIILYGQSVGSGPTVDLATRLPRLRASILHSPILSGLRVMYPVKRTYWFDIYKNIDKISLVRCPVLVIHGTADDVVDFSHGKQLWELCQEKYEPLWLKGGNHCDLELFPEYIGHLKKFVNAVEKSASKRNSSFSRRSVEGGSEQPPRHSVDAPPRKSKDGREKPRKSVDRLRFQGYKLSHVERPEKLKIPFEEMEKARRSVDIYRDKPQPMERARFKWEHTHRLQIHIVMIQARKKKQGTEQREVSRFGRLTLSLSICFDLHKSPKWRRKQMRKRREAKDEGEEQEEVKKRVEVMKAAAQAWLSRSQNSESTVLEFEARRKQAFVRGKPSRFKMEALLSTTKKHRHHSFLDWEYGQSLWDPYEILSVFKKLERALTLEEHTFSADYAIKKKNMDCRKSLKSLFTSSSSRRF >A08p023610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15546968:15548389:-1 gene:A08p023610.1_BraROA transcript:A08p023610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVIGKSCVAGYESVWLSRWIRRGSAYGLKAHSNRDAKCSMKTHLPDDDGQLWKESTGSIKLKAKTLNRSLDLFPNLGFRSKDKETDEDFTKNQSSTETDSLQTDKLPLSGSNSSKGLRILQGKERVEIIPDINKEPLIVADKEGETSSSATQRMDVEHFVNNTILPKECKRLRLTDTNCRSQVKRLKTNASENETNSMMVVEEGPSVEKMNYFFRRIFKHGSGRNQESSTSRNRNLTMGGEREDVKALHPWIQRWCKKKSTETHERRGGQQVNPKSFALQKQFPRIAAMALMGKALRRFKPEWS >A09g514950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44543072:44544339:-1 gene:A09g514950.1_BraROA transcript:A09g514950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEIRESLEEEVSELNFPRSPRDSRPCAAAVAGRKRSYLASMSRCEYDSYRSRIRFLYSSISLTLLLLYRLHQSGLPAITHLKVRVYREQKRSLKEKRETASLPVEKLVTYIGSFGGKGKTSKPTIIGAGSRELSLDLTLAQR >A01p056020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31587671:31589255:1 gene:A01p056020.1_BraROA transcript:A01p056020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEFFDSDPTVIAKTKELKREIKKLLRTIEEDDDLSVQTINQLQETLSDFKRATIKKMAKSSSLEMLETVSCPEEFRCPLSNELMRDPVVLASGQTYDKLFIQKWLSSGNRTCPKTQQVLSHTGLTPNLLISDMISKWCKKVGVETMNQYQSNTISNREVLNSLLCKVSSSNLQDQKSAAKELRLLTRKGTVFRAFFGESSDQITRLVNPLLSLNHDEHLQEDVITTLLNISIHDDNNKKLVCENPNVIPLLIDALKRGTVATRSNAAAAIFTLSALDSNKSLIGKSGVLKPLIDLLEEGNPLAIKDVAAAIFTLCISHENRSRAVKDGAVRVLGEKISDGLYVDELLAILAMLVTHWKAVEELGDLGGVSWLLKITRESECKRNKENAIVILHTVCFSDRTKWKEIKEEESSYGTITKLAREGTSRAQRKANGILDRLRKAMNLTHTA >A02p043270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27101913:27104292:-1 gene:A02p043270.1_BraROA transcript:A02p043270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALHPSSLRASPPNPLLRPSNRQSHHITTNARQPTRRRSFISASSSAVSAPKRETDPKKRVVITGMGLVSVFGNDVDAYYEKLLSGESGISLIDRFDASKFPTRFGGQIRGFSSEGYIDGKNERRLDDCLKYCIVAGKKALESANLGGDKLNTIDKQKAGVLVGTGMGGLTVFSDGVQALIEKGHRRISPFFIPYAITNMGSALLAIDLGLMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKQRDGFVMGEGAGVLVMESLEHAMKRGAPIVAEYLGGAVNCDAHHMTDPRADGLGVSSCIESCLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKSTSGIKINATKSMIGHCLGAAGGLEAIATVKAINTGWLHPSINQFNPEPAVDFDTVANEKKQHEPYQTRLGSVDITQWSLSLPSNPDFLRPFRSSGPSVRSPPSSSSQLLGSQVERFLPFSFLFLLVIVNFCSTLIGH >A09p044900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39635521:39639459:-1 gene:A09p044900.1_BraROA transcript:A09p044900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGEARSKAEEDRIVLSEIDILKRKLLEPDIPKRKMKEYIIRLVYIEMLGHDASFGYIHAVKMTHDDNLLLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLRSDNYLVVCAALNAICRLINEETIPAVLPQDLVSSFVSILKQVTERRLPKSYDYHQMPAPFIQIKLLKIMALLGSGDKSASEIMYMVLGDLFRKCDSSTNIGNAILYECIRSISCIIPSPKLLEAAADAISKFLKSDSHNLKYMGIDGLGRLIKISPDIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNQWFIQIMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDDADSKLRLSAVESYLQIMSEPKLPSLFLQVISWVLGEYGTADGKYSASYISGKLCDVADAYSSDETVKGYAVSALMKIYAFEIASGRKVDVLPECQSLIEELLASHSTDLQQRAYELQALLALDARAVESILPLDASCEDIEVDKDLSFLNGYIQQAIESGAQPYISERERSGMLETTDYHSQDHHEVPSHALRFEAYERPKPSSLPTQASTELVPVPEPSYYSEAHQPISTSSVSERESSEIKLRLDGVKQKWGRPSYQSSTSASSTPPQAANGTSSHPDVGVGSSSLKPRSSYESKKPEIDPEKQRLAASLFGGSSSSRSDRKSSSGGHKPAKGTASKPPKENPIPVQPPPDLLDFGEPTATGVTATDPFKELEGLMDSSSQDGGSTDVMGVLYSDAAPVTTTTSVDSLLSELSDSSKGNPGTYQSQTSKGPNSKEALEKDALVRQMGVNPTSQNPTLFKDLLG >A09p082480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59709220:59717434:-1 gene:A09p082480.1_BraROA transcript:A09p082480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSFTMGCVSSKHRLFRRKSTLKESSVKRSSAIDSPRIDDGIQQGDGFDRSSSRGEAKDKLVDSETLSSTRFNDHPEIVDHVFQAVHDQELARASSAVVEPDLEIGPNVTKPKLDRWNSRDSKVHMDHDQELTRGLSDVVKHDLEIGPNVLKQKLDQWNSNDSKVRLVESQKLSSKRFSDHHHVEKEPENLGAEASVQAVPWEVKKNQSVIVSRDIELKQEWPTWLVSVAGEALVDWAPRRASTFEKLEKIGQGTYSSVYRARDLIHNKIVALKKVRFDLNDIESVKFMAREIIVMRRLDHPNVLKLEALITDHVSSSLYLVFEYMDHDLLGLSSLPGVKFSEPQVKCYMQQLLSGLEHCHSRGVLHRDIKGSNLLIDRNGVLKIADFGLATFFDPAKTIPLTSHVVTLWYRPPELLLGASHYGVGVDLWSTGCILGELYAGKPILPGKTEVEQLHKIFKLCGSPSESYWRKHNLPSSAGFRSRVPYRRKLSEMFKDFPTSVLLLLETLLSIDPDHRNSADSALESEYFKTKPFACDPSCLPKYPPSKEIDANERDEGKTQRQMVEKQERQESQAISHKRKYVPPIKANHLSVTIEKQYLDLKRRNDSFKSFKEERTPNGQVPGYQNMNTRNNQTGERGSHSGPFMSKRNMAKSTIHVKENVPAPRYPPSRVNPKILTVSLSSKALLDQPVIDRRVYNRADTMDSRRMTTPVDLAWYNPSDSNIYMSRPLLAQPSRVDQMLEEHDIQLQEFNRQAKKSHEKAKLIKHTTLSRLAMSSLLHTFKQTLKPCSTFPSSSSSQTSSTQELEPTRKPPKSSLSQQLLRLDDSYFLPSKHESKISNTQVEDSNLLPEETHKRSIEFDEEDDEISIEFGRPGLNRVEFDYSGPYEPLVLSSIGEIPIIQVPASINCRLLEHQREGVKFLFNLYRNNHGGILGDDMGLGKTIQTIAFLAGVYGKDSDATGTDTSVSDSQKGPVLIICPSSVIHNWESEFSRWASFFKVSVYHGANRDTILEKLNARGVEVLVTSFDTFRIQGPVLSEINWEIVIADEAHRLKNEKSKLYEACLKIKTKKRLGLTGTVMQNKITELFNLFEWVAPGSLGTREHFREYYDEPLKQGQRATAPERFVHIAEKRKQHLVSVLSKYMLRRTKEETIGHLMMGKEDNVVFCQMSELQKRVYQRMLQLPEIQCLVNKDKPCACGSPLKQSECCRRIVPDGPIWSYIHRDSPDGCDSCPFCLVLPCLVKLQQVSNHLELVKPNARDEPEKQKKDADFVSAVFGSDIDLAGGVAASESFMDLSDVKHCGKMRALEKLMASWISKGDKILLFSYSVRMLDILEKFLIRKGYSFARLDGSTPTNLRQSLVDDFNASPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPSHDLQAQDRSFRYGQKRHVVVFRLLAAGSLEELVYTRQVYKQQLSNIAVAGKMETRYFEGVQDCKEFQGELFGISNLFRDLSDKLFTSEIVELRKDSKKGVSDDEEEILCSSKQETQEPMLGDLGIVYAHRNEDIINGGRTATSTSSQRFNGEGDSNENLECADHKKKKKRKGGSEEEEGMSFSKRERKREKYKMLAEFKGMEIMEFSRWVLSASPFVREKLLQEFSDRVKYQTS >A02p049710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30771932:30773837:-1 gene:A02p049710.1_BraROA transcript:A02p049710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDEAHDVETKASALIAGVHHRPGFGSGSGHGHGLSASVPLLGVNWKRRRMPRQRRSSSSFNLLSFPTLPPSSSHVPTPLPARKIDTRRLRFLFQKELKNSDVSSLRRIILPKKAAEAHLPALESKEGIPIKMEDLDGLHVWTFKYRYWPNNNSRMYVLENTGDFVNAHGLQQGDFIMVYQNLYPNNYVIQARKASEEENLTSFEEDDVYTDLTKIENTVVNDLLIQDYNHHYNSDNGKCSYYYPIIDDVTATATTASFVYDTTALTSNDTPLDFLGGLTMRTNNYYSKVGSFEGFGSVENISLDDF >A04p016340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10046285:10047727:1 gene:A04p016340.1_BraROA transcript:A04p016340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPHVEVAVVDISVPRINAWNSDQLPIYEPGLEDIVKQCRGTNLFFSTDVEKHVREADIVFVSVNTPTKTTGLGAGKAADLTYWESAARMIADVSVSDKIVVEKSTVPVKTAEAIEKILMHNSKGIKFQILSNPEFLAEGTAISDLFNPDRVLIGGRETPEGFKAVQTLKEVYANWVPEGQIITTNLWSAELSKLAANAFLAQRISSVNAMSALCESTGADVTQVAYAVGTDSRIGPKFLNASVGFGGSCFQKDILNLVYICQCNGLPEVAEYWKQVIKINDYQKNRFVNRIVSSMFNTVSNKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEEQIQRDLSMKKFDWDHPLHLQPMSPTTVKQVSVKWDAYEATKDAHAVCVLTEWDEFKSLDYQKIFDNMQKPAFIFDGRNVLNVDKLREIGFIVYSIGKPLDAWVKDMPAFV >A01p030250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000017.1:3086:5028:-1 gene:A01p030250.1_BraROA transcript:A01p030250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWSLHSDRAWLGFGCCIATGRRVCAVTELGLFGLNPKGYFFVKTLFWYFLRKLHLSFYYLFRKYDLRGFSGGNSVVTVFDPNKKLSIFLSTLFLYSLRRSVKMSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWIAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLAMMRSFYHIPDAVEFRVPRRGECANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSLDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKFISNFNSGKKLPNDHPFINPLAPFPEDIIAVRDLLRNGPFFWNSFTLKRVRRALRFVQPGPASPANTGSDSEPDDQNPVEAPKAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDLNLAYGDGSGSSEAPILDFDDFFAGLPPGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRKAERKGKREIV >A07g501030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2023326:2024797:-1 gene:A07g501030.1_BraROA transcript:A07g501030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDHRVEKPEASAQNDLSVKTNDSHLLAARKHSEDDGISSMDRLPHSYEREEGERTSQTMRRIPCREATKDPNFCNTKTTAHCLGEYYAPGQGSAADGSSQANDIDGKKKIKWARNNLQEYNRKSNDDPKVSIQSYGNEIDVWSEGIILIILLCGVPLFWAANILLIVSSI >A09p063940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51708746:51710036:1 gene:A09p063940.1_BraROA transcript:A09p063940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVRAAILKPQGYSSNVKTALLFHSIPILQRKHKSNSETRNKKLSRSRAKQDLRRNVNAFAEHLFGMWSDGFDYSDKRTSWFEKQYFRVCKRNRTGSRYIHQRLDKSCFDFSGVDDECEIEYFLRSALGASYKERKSRWRACHFYGSNRQEEGEAHSWRYSNNNNNRGGSSWRQRVDQEEEKEEEEDKQSSTEVNDLDSSEQSHRKTLGLSSSGPLTLGDVKNAYRVCALKWHPDLHHDSTKDAAEEKFKLCSVAYESLCEKFAMK >A01p002570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1159495:1162162:1 gene:A01p002570.1_BraROA transcript:A01p002570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRCPHSFIQLLFVSALVIGFEGAGSVPVPDSNCYALDNSSRLVDFMISLTWVDQSSWIGHPFEYDGKEFDLVVKFCKDVETRGQAGYVDFGRFDPLSYFVSSSGKFDFAQGFYHGDLTNCEHSYDKLGRTAQVNIICGNCVDGRCKGGLGCICSVTQDSTCRVTVELAIPCEKPGPRVFKGFTVGLHPRSWELIYNGMTQFGFDKPRREFSFKTEQTHLTLYMTAIASLSTLVGKPIVKVSPETGLNVKISGSSLTGNHPTTLSPSTLVLDWNCEKSRRSPYEVNVTIPVDGYDPVQFFLTKLCEYNQGAEGGSAKGWAIFGVFSCVSLVAFTLFCCGGFIYKTRVERVRGIDALPGMSLLSGLLETVSISKKWTQKVFIYDISSLRVEVDKATQELKRSTTLLPMKSHGTALPRLLLKHQRRDQVKGHMVLSNLLIAFARGSVSVNGMVCS >A01p036550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16350434:16352209:-1 gene:A01p036550.1_BraROA transcript:A01p036550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTIPIDNGDSVKTAEADSQRTLYPYVTGTSIVAIKYKDGVLMASDMGGSYGSTLRYKNIERMKAIGKHSLLGASGEISDFQEILRYLDELVLNDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNTLVLGGVKNGESYLGMVSMIGVSFEDNHVATGFGNHLARPILRDEWRADLSFEDGVKLLEKCMRVLLYRDRSAINKLQIAKITEEGVTVSQPYSLKTFWEFKAFNNPTAGAEGSW >A01p012420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6094959:6095553:1 gene:A01p012420.1_BraROA transcript:A01p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lectin-like protein [Source:Projected from Arabidopsis thaliana (AT4G19850) UniProtKB/TrEMBL;Acc:F4JTZ6] MMEFDIQKYWIEGKAKKNCFMLYARDLAISLAEFQTNNNWSWFTDIDQTSSDARIEVAKLEWVAWLEVFGNFEMENLTPNSLYEVVFVVKLVDPAQGWEVPVYFKLVLPTGETKERQENMTMLGRNRWVEILAGEFRTSPEYIFGKIEFSMYEVKGGLWKSGLVVKGVAIRPKN >A09p046550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40534148:40537657:-1 gene:A09p046550.1_BraROA transcript:A09p046550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g30610, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G30610) UniProtKB/Swiss-Prot;Acc:Q9SA76] MAVMISTNSFVVKASLFDESRNFRCNSRRRPVFPQAWFNGRRFVPLKSKKNVILCLSLNAKEVSEFKPSFDQYLQVMESVKTARNKKKVDGLVIDKAEDDGENGGDRRRLVLGRDVEDVKTKDEGFRRRYSRQEMVSGEKRDDGRGFKRNEENGIHSGETSSVTAPEDESFRRRKYVKQDMVKYQRSPDASRGVERGSKADVVGERRFHRTDKDVKWSKSGGSSSVAAPEDESFNRRYVKQEMVSYHRSPDASKGIERGSKADTVGDRRFQGIAKDVKWSKSGESSVIVREDEGFRRQNPKQEMMRYQRDNGTSRGSERGSRGDGLDLLAEERRIERLAIERHELRSSKLSRTRKVGAKKDDDDSLFDMESPAFRFSDESSDIVDKPATSRLEMEDRIEKLAKEVYFARLNGADINMPEWLFSKAIRSAKIRYTDYTIMRLIHFLGKLGNWRRVLQVIEWLQRQDRFKSNKLRIIYTTALNVLGKSRRPVEALNVFHAMMLQISSYPDMVAYRSIAVTLGQAGHIKELFHVIDTMRSPPKKKFKPTTLAKWDPRLEPDVVVYNAVLNACVQRKQWEGAFWVLQQLKQRGQKPSPVTYGLVMEVMLACEKYNLVHEFFRKMQKSSIPNALAYRVLVNTLWKEGKTDEAIETVEDMESRGIVGSAALYYDLARCLCSAGRCSEGLNMIKKICRVANKPLVVTYTGLIQACLDSGNVKNAAYIFDQMKEVCKPNLVTCNIMLKAYLQQDGLFEEGRELFQKMLEDGNNIESSSDFESRVLPDTYTFNTMLEACAEHKKWDDFGYAYREMLRHGYHFNAKRHLKMVLEASRAGQEEVMEATWEHLRRSNRIPPSPLIKERFCRKLEKGDHATAISSLAVLNGNIEETELRAWSSDAWSKVLYRFEKDSVLRLMDDVNRRVGLRSESSDSVLGNLLSSCKEFLKNRTQVL >A08p030080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18440701:18441968:1 gene:A08p030080.1_BraROA transcript:A08p030080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVLERVKWLQQQQMVSPEFFEILGSDGREELKRVESYLGNNNDEWQSFRHYETIDGCISRTSSFQMEQVKNNEENRATALQHKRKTEGKTEKIENKKNKKIKTEDETESSMKGKSNMSNSETSSEIQKRDYIHVRARRGEATDRHSLAERARREKLSKKMKCLQDIVPGCNKVTGKAGMLDEIINYVQSLQQQVEFLSMKLSVLNPELEYHINELSTKQFQACFTDLPEAVSKQSMMVDASSFPLQHQESLDYSVINSIQTTTLGSKDQTSSGWEIHSQSLYNNLRTDSDSSFFSLK >A09p041730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23605097:23606950:-1 gene:A09p041730.1_BraROA transcript:A09p041730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKITENVWYTQHCPYYYVTPISKINYLVQLSHPNLVKLIGYCLEDEQLLLLYEFMHKDVEVDDVQLNTSRRDLVLCCQQSWWLSLKMQVEFSLLHKACGVVGYHVDARYAEMEKDKLRRVNQEACGVAETMWTQDAELAWDKLEEQVYTVEKKARDKHGEQVYALRNKCISRKGKNMEITIKELWSRSHDCSMRHWDRTEEPFFLELKSLHFFIRSCLGHRHLLRSCSTRKLSDFGLVKDCSKGETSYVITRVMGTFGYAAPQYVSTDRDARRFSRKWD >A04p000300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:155598:159044:1 gene:A04p000300.1_BraROA transcript:A04p000300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:Projected from Arabidopsis thaliana (AT3G63150) UniProtKB/TrEMBL;Acc:A0A178VC39] MLGGFGGKSSSGGRKSLRVAVAGDKGTGKSSLISAVASETFPDNVPRVLPPTTLPADAYPDYIPITIIDTPSSIDNRIKLIEEFRKADVVILTYACDQPATLDRLSSYWLPELRRLQIKAPVIVVGCKLDLRDERSPVRLEDIMAPIMKEYREIETCIECSALTLIQAPDVFYYALKAVLHPTFPLFDQEKQCLKPRLRRAVQRIFNFCDQDLDGALNDAELNDFQVNCFGAPLDPVELMGVKRVVQERQPDGVTDLGLTLPGFLFLFSLFIERGRPETAWAILRKFGYNDSLELNTDLLTVPAKHSPDQSIELTNETMDFLRGFFQLYDLDNDGALRPDELDDLFQTAPDSPWLETPYKDAAEKTPGGKLTINGFLSEWALMTLLDPRKTLANLIYIGYGHDPASAFNVTRKRSVDRKKQRTERNVFQCFVFGPKKSGKSALLDSFLERKFSDGYKATVGERYAANVVDQPGGCKKTLILREIPEDRVKKFLANKEALAACDVAVVVYDSSDVYSWRKAREMLMEVARKGEESGYGTPCVLVAAKDDLDAYPMSVQESDRVCMELGIDIPVSVSMKDDERNSLFRKIVSTAENPHMSVPETESGRRSKNIRQVVNSSLLFVSVGTAVGFAGLAAYRAYSARKNA >SC105g500030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000012.1:189133:189420:-1 gene:SC105g500030.1_BraROA transcript:SC105g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQREIPRTCDFGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLAAIKAELDDMKKDITEIIKLLNVLG >A04p027650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:16651590:16653239:1 gene:A04p027650.1_BraROA transcript:A04p027650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPIPKNFVFQSTPSTRSSPNSGRNTGIRSSSSNDLVSNMFKDSSWALQFKKGVEEASKFLPKSTQLVIDVENDHHYVPYRITGEKYHWREDEHLPEERSKKQPAVYADDPELSEMFDKILLFGDPKENPKCILNETSQKEPPKASPSPKGETTTYPRGQKPTAYVQEIPDLRSLLLSCAQAVSVNDHRRAEGLLRLVRQHSSSYGDGTERLAHYFANSLEARLAGTGTQVYTALSSKKTSAADMLKAYQTYVSVCPFKKIGIVFANHTIQQLVKKTMPDTIHIIDFGISYGFQWHSLVHRLAWRRGSSCKLRITGIDLPQRGFRPANGVIETGHRLAKYCKKFNVPFEYNAIAQKWETIKLEDLKLQEGEFVAVNSLFRFRNLLDETVAVDSPRDVVLRLIQKIKPNIFIPSILSGSYNSPFFVTRFREVLYHFSSLFDMCDTTLTREDPMRVVFEKEFYGREIMNVVACEGTARVERPESYKQWQGRIVRAGFRQLPVEKELVQKLRLMVGKEYKSKEFDVDQDGNWFLQGWKGRLVYASSFWVSA >A05p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5513553:5514334:1 gene:A05p012650.1_BraROA transcript:A05p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLPGDLANHEETKKGSYVLTRMALGERGSKVTRNPHVVSVCPKARNPHMVSDCQPSNPPGPEPAGTNTHYPRTQHQRRDNFKLGEGVKHSVATGGIRTRVRIGVLTTSRSLAHHPVVSNQ >A02p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2434490:2438031:1 gene:A02p005610.1_BraROA transcript:A02p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFQRKKPPPTEKPPPPSEELPDDDDTQNKSKNHKKPSGGKAKWSCVDSCCWFIGCVCLTWWFLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLKKEGLKAKHPVVFIPGIVTGGLELWEGKQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPAGIRIRAVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVSTNGGKKAVIVPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVAGLFSAEAKDVAVARAIAPGFLDTDIFRLQTLQHVMRMTRTWDSTMSMIPKGGDTIWGGLDWAPEKGHICSGKKKSSNKTRGEAGENSVSKTKPVNYGRIISFGKDVAEAPPSEIENIDFRGAVKGQSIPNNTCRDVWTEYHDMGIGGIKAIAEYKVYTADAVIDLLHYVAPKMMARGAAHFSYGIADDLDDPKYEHHRHWSNPLETKLPNAPEMEIYSLYGVGIPTERSYIYKLNQSPDSCIPFHIFTSAHEEDEESCLKAGVYNVDGDETVPVLSAGFMCAKAWRGKTRFNPSGIKTYIREYNHSPPANLLEGRGTQSGAHVDIMGNFALIEDIMRVATGGNGSDLGHDQVHSGIFEWSERIDLKL >A08p035080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20756937:20758337:-1 gene:A08p035080.1_BraROA transcript:A08p035080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKRDRPVTLSKTKKKGREHKEIIVNGIREAVEKYSSVYVFSFENMRNIKFKEFRQQFRHNGRFFLGSNKVMQVALGRSASDEMRPGIFKVSKMLRGDAGLLVTDMPKEEVESLFNAYEDSDFSRTGSTAVETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGTVELLSDFVVCEEGKPLSPESSRILRLLGIKLATFKLNLVCRWSPSDFELYREGLDLSDVETS >A06p006200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2078072:2079283:1 gene:A06p006200.1_BraROA transcript:A06p006200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-1,6-galactosyltransferase GALT29A [Source:Projected from Arabidopsis thaliana (AT1G08280) UniProtKB/Swiss-Prot;Acc:Q9SGD2] MKRSVRPLFSALLFCFLAATLICRVAIRRRSFSFSSAIAELGSTSGFVTTAEEEEVAFNETLLEFAAVDPGEPKFNQEVDLISDYDNTRRSHRRYFSSMATPIGGRRRRSRRYVPSKFPVTNLPSSLQVSRYWSEFKRNLRIWTRTKKAYEPRIILDLIRLIKNPIESHRNGVVSVSRYSSCAVVGNSGTLLNSQYGDVIDKHEIVIRLNNAKTQRFENKVGSKTSVSFINSNILHQCARRERCNCHPYGDSVPIVMYICQPIHLLDYTVCKPSHKAPLVITDPRFDVLCARIVKYYSVKKFLEEKRDEGFGDWSKGHEGSLFHYSSGMQAVMFAVGVCERVSVFGFGKSNLTKHHYHTNQKAELKLHDYEAEYRLYHDLENNPRAIPFLPKTFKIPKVKVYH >A03g508720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29429199:29429393:-1 gene:A03g508720.1_BraROA transcript:A03g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKKAYYRPKAPVAKRAVRVPHSSLPEIRGVLNCDLTVTDRIGDDTSGERNLSDISGERHLS >A02p028440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14379346:14379755:-1 gene:A02p028440.1_BraROA transcript:A02p028440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKDKSLFVIEHLDLTFCPSLNLLGMNQFSASHGLVDKQNMRKRKGNTMIDCLLSLQETHETQHDYYTDIVIKGFVVVTRK >A09g518830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57551362:57553202:1 gene:A09g518830.1_BraROA transcript:A09g518830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFSVAKPSLQGFSEFSGLRNSSALPFGKKSSSDEFVSFVSFQTSAMGSNGGYRKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPSGDSALSVDGKIIKIVSDRNPSNLPWGELGIDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVCGVNAELYSHEDTIISNASCTTNCLAPFVKMCKNADHCCDVFNMVGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRDAAANELKGILDVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >A03p016270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6478285:6479781:1 gene:A03p016270.1_BraROA transcript:A03p016270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP96A4 [Source:Projected from Arabidopsis thaliana (AT5G52320) UniProtKB/TrEMBL;Acc:A0A178UE51] MAMIIGLLDIIIAFIFFLVLYCLFRHMRTQTPFLTNWPVLGMLPGLLLQIHRIHDWLTQVLEAANMTFRFKGPWRSGTDILVTVDPVNVHYILSSHFVNYPKGEEFQKIFEFLGDGIFNVDSGLWEDMRNSSHAIFSNKDFQSFSVSTSVSKLRQGLVPVLENAYEKNILVDLQDLFQRFLFDTSSILMTGYDPRSLSIEMPKVEFCAAVEGVVDGIFYRHIKPVFLWKLQSWIGVGIEKKMRKGIEVFDRLLGKIISAKRKEIKNHGKGDAMDILTYYMTINTEKYKLLKPSDDKFMRDIILGFLLAGRDTVSSALTWFFWLLSKNPEATAKIRDEINKKMPVFDPTELHKLVYLEGAVCEALRLYPPVLFNHKSPAKPDVLPSGHRVDENWKIVISIYALGRMKSVWGDDAEEFRPERWISDSGTLRHEPSYKFLALSSGPRTCLGKKLTFLQIKTAAVEIIRKYDFKVIEGHKPKPVSSVLLRMQDGLKVSVTKI >A06p023680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15126355:15127598:-1 gene:A06p023680.1_BraROA transcript:A06p023680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSRNRSSIGMGTPSFIDLKKQASFFFKEKLKTARLALTDVTPLQLMTEEATDGESCGPNTQTLGSISKAAFEFEDYLQIVNVLHKRLAKFDQRNWRMAYNSLIVVEHLLTHGPESVSDEFQGDKDVISQMQSFQQIDEKGFNWGLSVRRKSEKVLRLLEKGDLLKEERKRARELSRGIQGFGSFNRKPSKSEVLQESSSCMKCNSNFTKYIEDDQEINTIVSPNVTGHFPQPLVIDPNEESGRSMKENMDPEDEENTEINPLLGCDKKEGQDLVEEEENHPFTDDENKHIVSLLD >A04p028950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17337503:17343099:-1 gene:A04p028950.1_BraROA transcript:A04p028950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRFRSENAAGKPSSVEATRIWASKVIEDFRASGNEVYTFEHNLSNTERGVIHQMCRKMGIQSKSSGQGNQRRLSIFKDMYRNGKNKGAKEKSNKEKLRCVSFPPEADAILQELFTYYPPCDGDTAATSFNKYVGKSGKQGQWKDDFFRKPQMSGDEILDKVASLSSRLRNDRALQEISKLRSRLPITSFRDAITSAVESNQVILISGETGCGKTTQVPQYLLDHMWSSKRETCKIVCTQPRRISAMSVSERISCERGESIGDNIGYKVRLQSKGGRHSSVVFCTNGILLRVLVGKGSGSYVSDITHIIVDEIHERDCYSDFMLAIIRDLLPSNPHLRLILMSATLDAERFSGYFGGCPVVRVPGFTYPVRTLYLEDVLSILKSGGDNHLSSANLSIPDQKLDLTDEDKLALDEAIILAWTNDEFDALLDLVSSQGSPEIYNYQHQSTLLTPLMVFAGKGRISDVCMLLSFGADWNLKSKDGMTALELAETENQLEAAQIIREHAESSQSNSQQGQQLLDKYMATINPEQVDVSLIQQLMRKICGDSEDGGAILVFLPGWDDINKTRQRLLDSPIFSDGSKFNIICLHSMVPAGEQKKVFSRPPRGCRKIVLATNIAESAVTIDDVVYVIDSGRMKEKSYDPYSNVSTLQSSWVSKANAKQREGRAGRCQPGICYHLYSRLRAASMPDFKVPEIKRMPVEELCLQVKILDPNCKTNDFLQKLLDPPVDQSIANALSILQDIGALTPEEELTELGEKFGHLPVHPLISKMLFFAVLVNCLDPALTLACAADYKEPFTMPMSPVERQKAATAKLELASLCGGDSDHLAVVAAFDCWKNAKERGLAAEFCSQYFVSPSAMKMLDQMRSQLESELKRHGIIPNDISSCSQNSRDPGILRAVLAVGLYPMVGRLCPSFGNNRRSLVETASGAKVRVHSLSNNFNLSSKKYDESLVVFDEITRGDGGMHIRNCTVARDLPLLLVSTEIAVAPTERSDADDSDGEEEEEEDEETGEEEDEEEGMNIHKAESRREENMMSSPENSVKLVVDRWLPFKTTALEVAQMYILRERLTASILFKVRHPRENLPPHLGASMYAIARILSYDGHAGLSSPSESMRPKHSRTEMYETGGWEEKPNSFLNSLFWSLSLKESKPSSSGHVNRNRQHGFNTVPTEVASMHTQQNIKQRNPKSAINGDPGKKKEKMYVNHTNGIHQPEAASIAKQSKHRSGNGSGSGNKKENMPSDQVYGNQQPNSAPREGASPVANHQISKKTKNRPANISDFGKKKTQYVPKIDLHKKEREDKVEQRGNA >A02p035820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20449697:20449948:-1 gene:A02p035820.1_BraROA transcript:A02p035820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNTNTKLDHLDEARSEFGWISWESFQLRWTKCSSLGPLVRVQTWVGQGGRPKVLMGRGVHATNHMLW >A04p003060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1504469:1505357:-1 gene:A04p003060.1_BraROA transcript:A04p003060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRAYIFCCRFFALSFASSFNRSSAGVFSQPESQMASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKARVGIQHRVTKDDAMKWFQVKYEGVILNKSQNITG >A10p011060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6612574:6614682:-1 gene:A10p011060.1_BraROA transcript:A10p011060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSASSAKSTECNAVRILTHEEFAAKHPHPPFPFYDKIDRSVEPTIDRQSESDIDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNNSPESVQEEQESEGRRLRKRNEKIPKNLKREANDKEMDGFTKRVLRISIEKPFDEAYFTHRLWMFFSETKVTEEEIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDIGASRKVINSVDYGKELGFIGACHCGADYESEYETEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPKNCYQHFAFQPPSKRGHDDYSIGSWADSGFYESFAVDTVIISPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRPAKQPLISIDTPKGTSIDIRAAAKIQEQENIPSPTRFIYTYINRFAPPKPPTHIKANTQEKKMNTLPSTSTERSMKSNHLKNKSPAEITMPSIDVTVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGICRDTDGNTRAMDGRILQVSREDIADILQVANGPDNLFS >A09p075210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56766472:56770410:1 gene:A09p075210.1_BraROA transcript:A09p075210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-type lectin-domain containing receptor kinase S.1 [Source:Projected from Arabidopsis thaliana (AT1G15530) UniProtKB/Swiss-Prot;Acc:Q9M9E0] MRRQWRRPQLSPPPPPPLLIFILTVTTLLPPSSSLDFLYNNFTSAANMTDLILIQDSRVESTFIRLINESNQFSLGRVFHPQKLSIIPDPTRNRTRLSSFSTSFVFSILPDISSSPGFGLCFVLSNSTSPPGAIASQNFGLFPNVPSRFPAPLIAVEFDTGLNGEVNDIDGNHIGIDLNSILSVKDQTAGYYDSVNGSFVPVDMRNGQNIHAWIDFDGPNFEINVTIAPAGLRRPRRPTLTFRDPVIANYVSADMFVGFSASKTTWVEVRRILAWSLSDTGAPREINTTGLPVFFLDSPSSSLSTGAIAGIVVGCVVFICLLGAVGYFIWWKLIREEEEEEAEEWELEFWPHRFSYEDLSAATDSFSNDRLLGSGGFGKVYRGVLSNSNEVAVKCVNHDSKQGLREFMAEIESMGRLQHKNLVQMRGWCRRKNELMLVYDYMPNGSLNQWIFDNPKESMPWRLRRQVINDVAEGLNYLHHGWEQVVIHRDIKSSNILLDSDMRGRLGDFGLAKLYEHGGAPNTTRVVGTLGYLAPELASASSPTEASDVYSFGVVVLEVVCGRRPIEYAEEEDMVLVDWVRDLYGGGVVVSAADERVRAECETGDEIELLLKLGLACCHPDPAKRPTMREIVSLLIGSPQEDLLTGLTPVAAADTASPSSQSIQGISKITEGYNPATWMLEVSTTSQEAALGVDFAQLYKNSDLYKYEKQRAYQGAKPTSSRIKTQKICISQMYGSFLTFTFYGMMAVAMTPNHHMASVVFSAFYGIWNLFSGFLLPRPFGDITQPMADGTSVKQFSRDLIYGFREGFLGVVAAMNVIFPLAFAIIFAVGIKTFNFQKR >A01p055880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31661550:31665131:-1 gene:A01p055880.1_BraROA transcript:A01p055880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYLPKYLNAFLLAFATFAVVFAIFIAKDPNTSHHLYFSTSSSSLWTSSFSSAFITEKRKRNGSNPGSLSWKRDKKVEAELATARALIREAQLNPNSTTSSPLRDEDYVPHGEIYRNPYAFHRSYLLMEKMFKIYVYEEGDPPIFHYGLCKDIYSMEGLFLNFMENDVLTYRTRDPDKAHVYFLPFSVVMILHHLFDPVVRDKAVLERVIVDYVQIISEKYPYWNTSDGFDHFMLSCHDWGHRATWYVKKLFFNSIRVLCNANISEYFNPEKDAPFPEINLQTGEINNLTGGLDPMSRTTLAFFAGQSHGKIRPVLLSHWKEKDKDILVYEDLPGELDYKEMMRKSRFCICPSGHEVASPRVPEAIYSGCVPVLISENYVLPFSDVLNWEKFSVSVSVKEIPELKRILMDIPEDRYKKLYEGVKQVQRHILVNDPPKRYDQKILIRVTMTDDKARAKAMKTAVKFKGVSAVEIKGDHRNQIEVTGVEVDMIGLTNTLRRKVACAELVSVNKVEPPKPEEDKKPEEKKPEEKKPDEAKPEEKKPDEKKPEEEKQEPCHCHPPCHQPCHQQPWPYGYSAPSSYHHPCDPYGYNARDYIGEPVYNHEPNCTIL >A10p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22340383:22343507:-1 gene:A10p040590.1_BraROA transcript:A10p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTPLKTNILNSSSVYKVRVVLRVRPFLPREISDVQPCVSVIDGHHDRDDSEVAVHLKDPLSCRKECYQLDALFHGRDDDDENVKQIFDREVNPLIQAIFNGFNATVLAFGATGSGKTFTMQGTDELPGLMPLAMSTILSICEKTASRAEVSYYEVYMDRCWDLLEVKANEVAIWEDKDGQVHLKGLSSIPVNSMPEFHEAYSCGVQRRKVAHTGLNDVSSRSHGVLVISVLSEGGVTGKINLIDLAGNEDNRRTGNEGLRLQESAKINQSLFALSNVVYALNNNLPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESLRTVSLAARSRHISNVVSMNPKVETPKVKVDMEAKLQAWLESKGKTKSSHRMVAIRSPLMGTNQSSISRSSVKKLGVHRSAVAENVKLTGKEQRNAFVSARNLFSGETTDASHLWEPIQNLHLASPTKEDERDTSGEESLLVSEASLRDNQLEVEKNYTELSPLREALSPIDSNAMTPKASVLSGNAKNLQMSGTCQKFNAWSTNLKTSLINEYIHFLNTANREELLELKGIGEKMAEYIIELRETSPVKSLADLEKLGFTSRQVHNLFKRATEGILEKPLAASTTTP >A06p044270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23817782:23818469:1 gene:A06p044270.1_BraROA transcript:A06p044270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKRLELRLAPPCHQLTHNSNINGSKQRSLTKETSFVSNNRVEAAPVVGWPPVRSSRRYLTSQLKEEMKKIESDEERELYVKINMEGVPIGRKVNLSAYNNYQQLSHAVDQLFKKDSSDLNRQYTLVYEDTEGDKVLVGDVPWE >A10p027540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17330718:17333151:1 gene:A10p027540.1_BraROA transcript:A10p027540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCDCFYWNQENSELELDSSESKPFSLPSPLPRWPQGTGFATGKINLGEIQVVKVTEFDRVWKCSKTRGGKSRCASFYKPVGIPEGFHCLGHYCQQNNQPLRGFVLAAQANDPDHHADRPALKKPVNYTLVWNSDSDCYFWLPNPPVGYKAVGVIVTDDAEEPDTEEVRCVREDLTETCETRERILGVGSFNVWSTEPCSRGIWSRGVAVGSFFCSTNDNKADMNISCLKNLDPSLQAMPNLDQVHALIQHYGPTVYFHPEETYLPSSVPWFFKNGALLYRFGTPEGEPINSTGSNLPSGGKNDGSYWIDLPQEDEEVANNLKKGNIESSELYVHVKPALGGIFTDVVMWIFCPFNGPATLKIGLLTVPMNRIGEHVGDWEHFTFRISNFNGELTQMFFSQHSGGGWVDVSDLEFVKGSNKPVVYSSKHGHASFPHPGMYLQGSSKLGIGVRNDVGKSEFVVDSSERYRVVAAEYLGEGVVSEPCWLQYMREWGPTIVYDSAAEIDKIINLLPLIVRFSIENLFPIALYGEEGPTGPKEKDNWEGDEMC >A08p030800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18840256:18840637:-1 gene:A08p030800.1_BraROA transcript:A08p030800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSTLLIITLLLCTATQTCTARPESADFASITISPADIRSLEMIESKLHEAADESCEKDDNEDCLMRRTLTAHLDFFFFLIKQQFH >A09g505890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18326107:18327618:-1 gene:A09g505890.1_BraROA transcript:A09g505890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLYKTSTPSTRNGAVDSQVKSNPRNNLIYGQHHCGKGRNARGIITVRHRGGGHKRLYRKIDFRRNTKDIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIVSGTEVPIKMGNALPLSAISSSTFRKPYALEEACTVWEGVLIDQKEESTSTDMPLGTAIHNIEITLGKGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPVTPWGYPALGRRTRKRKKYSETLILRRRSK >A08g508840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16563308:16565663:1 gene:A08g508840.1_BraROA transcript:A08g508840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSGYAGPRQPRTGGKIVRPRRNSVLRTPYDRPAPRSRDPPQQNPSWISRLVYKPATAIASGAGKFISSVVFSESSSSSSEGEDSSSDIEGDEDVEKNITEFAEDETMDLVNAQQSTIQRLGSKRVIEQLLMQETFAREEGDRLIDIIKARVVDHPSALASNEGRHSDNGLTSEVNAGEMSSKAVMEAKRWLEEKKSASNSKSKATEDGAGSPVDVARSYMRSRLPLGSPAANPPPPPPDEPRSKRRSHRVGRLDEKQRCCEEERCRFTGTYTELRKHAQSEHPDSRPSKIDPARKLNWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDVASNEGSWWTSCTRRTEEEQGAEGVAVLAMITPTLINRLPL >A03p016120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6415648:6416281:-1 gene:A03p016120.1_BraROA transcript:A03p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQKSVLQLSVHEERIRKKAWKTVSKCSGVTSVAMDDKTGKMTVVGEIDVPKLVKKLRKICTADIVSVEVVKPPEKKESEKPKQPEVIVNPVAYWSNQYQYHPATYASSYCPPCGYSRVVVEEPGPCVIL >A03p072400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32016029:32018352:-1 gene:A03p072400.1_BraROA transcript:A03p072400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g42310, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42310) UniProtKB/Swiss-Prot;Acc:Q8L844] MLLMQPPLVSSRFHSLYYIPRHHHRFFHRPISAFSATASSSYFSSWNGLDASEKEEEEEEEELSTLVRRRYDFSPLLKFLSRFGPVELVLDSVNLEPLPAVSLDPVEFELAESYKAVPAPYWHSLLKSLCSSRSSLGLAYAVVSWLERHNLCFSYELLYSILIHALGRSEKLYEAFLLSQKQTLTPLTYNALIGACARNNDIDKALNLISRMRQDGYQSDFVNYSLVIQALTRSNKIDSALLQSLYREIKHDKLELDVQLVNDLIMGFAKSGDPSRALQLLGMAQSTGLSAKTATLVSIISALANSGRTLEAEALFEELRQSGIKPRTKAYNALLRGYVKTGPLRDAESMVSEMEKSGVSPDEHTYSLLIDAYVNAGRWESARIVLQEMEAGDVQPNSFVFSRLLAGYRDRGEWQKTFQVLKEMKSIGVKPDRQFYNVVIDTFGKFNCLDHAMSTFDRMLSEGIEPDRVTWNTLIDCHCKHGRHIVAQEMFESMEKRGCLPCATTYNIMINSYGDQERWDDMKRLLGKMKSQGVLPNVVTHTTLVDVYGKSGRFNDAIDCLEEMKSVGLKPSSTMYNALINAYAQRGLSEQAVNAFRVMTSDGLKPSLLALNSLINAFGEDRRDAEAFAVLQYMKENGVNPDVVTYTTLMKALIRVDKFQQVPGVYEEMIMSGCKPDRKARSMLRSALRYMKQTLRAS >A09p041240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23267802:23273561:-1 gene:A09p041240.1_BraROA transcript:A09p041240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative 1-phosphatidylinositol-3-phosphate 5-kinase FAB1D [Source:Projected from Arabidopsis thaliana (AT1G34260) UniProtKB/Swiss-Prot;Acc:Q9XID0] MTTTNSLSDSERSLSGECSVDGNSYERGNEDECSSHGSQEDVDSPLKDKKVDRQYTLERKSKSMPSDIQRDIYILGEKSKDNGVESVQFLSDREDDGAEMDAPPIWEPPEPLDPEDELEDTADGDDCCRRGSSSLGESKDGSSNQRKFNEENRRAMLAVANSKFNFIVSQLIQSAGFSMEEGESWSEIVARLCWEAASLLKPDIDGKPVDPAEYIKVKCIATGSCNESEVFKGLVFKKHAALKQMPTKYEHPRIMLVEGVLGQPLSGFSSLQSMDKVGSTDKDVYVKPVVDIITALKPDIMLVEKSVSRDIQLSINEQKVTLVLDMKLHRLQRISRCIGSPIIPLESLSSQKLKHCDSFRIEKIVEEHNAVGEAEKKPTKTLMFLEGCPTRLGCTILLKGSHSERLKKVKEVVQDSFNLAYHLILEASFLADRQTMFSTIFPKEATSCVMETEKVPLSPSPGKSSLEAIDIPFSNGFDERSIQINGESDGEKAENWDSGGDHVFSHEPYNPVIFTGFSSLSAKLSKYFGLVENPESVPVTMDTDSIRESAEDATEKDERPLLLDPGLPVNTNSDDGERSPTENDIETTLESQSILVLVSKRNAFNGMMCDQSHFSHIKFYKHFDVRLDRFLRDMFIQRSQCRTCGETPEAHLYYYAHQNKQLTIQIKRISVPKCLPGEAKGKIWMWSRCGKCKTKNGTRKSTKRVLISTAARSLSFGKFLELSFTQPTFLNRLCSCGHSLDKDFLHFFGLGSMVAMLSYSQVTSYTVSLPPMMLEPSIFIKVGWLEKEFHGVFTKGISLFEDATSFLKRLRSQFTNSDLSYQCALKLLSNVDELLNHERRLFQENIKMSFENAKTMDDVSHKLLRLNRMRWELLFQALTWNYRLQSLVLSNRLLPSSGEKKINEEGVETNLEAGLTRYENKDKVSDSGSNEGMDEPLVEDKEIPIVGASVGEMEESEDNELQTLSSPGPDTTSPINDHFDTHLAVNIHSANEQELIPDSGDPPDGKVAASNGPHILGWDEWFWLPFEDLRSKPIVDVEKEYLLKFEYVNSFTQDNLHAVNQIITEEGSRLRISLRDEDFIVSDYEDEVSSLIACALAHLSNADNRLPLSRCIHGSLEGFLDKDQDSKQTVDREVSRFSSESTSRLEIPPPEVLVTFGSLKSVGKPKYSIVCLYADDFRDLRKRCCSSELDYIASLSRCKPWDAKGGKSKSVFAKTLDDRFIVKEIKKTEYESFVTFAPEYFKYMKDSYDLGNQTCLAKVLGIYQVTVRQPKSGKEVRHDLMVMENLSFGRKITRQYDLKGALHARFTATSANGAEDVLLDQNFVNDMNKSPLYVSKTSKQNLQRAVYNDTAFLTSINVMDYSLLVGVDDESHELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVQPTVISPIDYKTRFRKFMKTHFLCVPDQWCVQSKESDS >A09p010090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5167630:5169002:1 gene:A09p010090.1_BraROA transcript:A09p010090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDAKLKDYIENSGTGGNWIALPQKIGLRRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYVTIGSRWSIIAAQLSGRTDNDIKNYWNTRLKKKLLNKQRKEFQEARMKQEMVMMKRQQEGHDHINGSTDLYLKNMFGSSPWPLLQQLPHHQVPLVMMEPTSCNYYQTSPSCNLEQKPLITFKNMVKIEEEPERTNPYNPQHQNSITNPFDVSFSQLLLDPNYYLGSGGGAEGDFAIMSSSTNSPLPNTSGDQNEHQQQEILQWFGSSNLQTEASSDMFLNNIGNLETNEDTRFYSSLAGVGAALAGGTTSTSADQSTISWEDITSLVNSEDASYFNGPN >A06g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11576873:11578116:-1 gene:A06g503670.1_BraROA transcript:A06g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSRNRSSIGMGTPSFIDLKKQASFFFKEKLKTARLALTDVTPLQLMTEEATDGESCGPNTQTLGSISKAAFEFEDYLQIVNVLHKRLAKFDQRNWRMAYNSLIVVEHLLTHGPESVSDEFQGDKDVISQMQSFQQIDEKGFNWGLSVRRKSEKVLRLLEKGDLLKEERKRARELSRGIQGFGSFNRKPSKSEVLQESSSCMKCNSNFTKYIEDDQEINTIVSPNVTGHFPQPLVIDPNEESGRSMKENMDPEDEENTEINPLLGCDKKEGQDLVEEEENHPFTDDENKHIVSLLD >A06g506790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18878728:18879186:1 gene:A06g506790.1_BraROA transcript:A06g506790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSFHLPFIEAHIQPYSTTSVLLAMKSVQNYGMIYCASSLAEIWQITKPSSFGSYIWQEPIDEIGMILSSSLCIKLMTLW >A01p014970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7255019:7256081:1 gene:A01p014970.1_BraROA transcript:A01p014970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSIHRRRVPTPVGNGGRSLRTKRASRYVFDKHGSKYTNQVFERSFSESNLNRRRDGDGNCMRQPSPVMSGLPTEESDPIVYLPRIRSEVMASSPSLLGFSSPSSPFPTNQEGNKRKVVINVAVEGSPGPVRTMVNLSCNVEETIKLVLDNYRKEGRTPKLDQGAAFELHQSHFSIQCLDKREIIGEIGSRSFYLRKRDHETGVSFAGISPVRTSLIPSSNLIESCIAQFIGKILRRTRKLWNILVCTQ >A03p029840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12548281:12549790:-1 gene:A03p029840.1_BraROA transcript:A03p029840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERIDSDETETRRRMNLSPEVDDYIKDTIEHSLGLPISIESLQKKLLAAEESQRRLRDQYLALLSRSKEKDQVLDRVRSEASMNAQALKKFVEENQKLAEECGNLLRQCKKWERECLLYHQDRDALMEFGNESDERARDAEARVRELEEEVARMSEELQLCKQRQIGIEQVDNNCSPQEEDLLDSVLGSLISKDENTIGRLFLEANVQDQSCQALLSKWDRLKPSTQKVLSLVSVAKKFEKERECIIQNLAKAEQEAELVSIQNRKLDKENRKLLRQQQQQSPLGSSETSHKSASTKSNKRRCPKMMSSPIEKMLEFSGSPEISRKPLTPVWDNSADSRMNMK >A01p004460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1910250:1914213:-1 gene:A01p004460.1_BraROA transcript:A01p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLTEIATLEEKYIEICKKHGTVPNTSILSAFFEAEDKKSRNQRCTMNLLVDRVMYDDFHPILELCNEINTSEVEGIDLSVRSSCSLEDQYVLSLIRSVDQKLRLVDVHDCFGTTFWRDVFSQGLSCEVLNVRSLHFRKLNIAGEFAQLHTLILDSNRVTGFGEGCFSAMPNLSFLSMCDTVVSDLWTASAALLKLPSLEVLRFQIWICCSESSTIPLKPQSSPDENMFDESNSPIEADFSDVFEQMGPDLTVEETLSMDDLNAEVFMREKVMKGKMPDQTNDLGDVGLKYISTKASPICSKKHYRIYMINSLPKLKVLDNLAIRKSDRDRATETYSENFEHLPYKRKNKESVVRVLEQRETRSNKWRSQSSYTRSLCAARMGSSAWPLLHSVPSFSRVQDESRSLSPRQFEYHPLDPSLMVYGTLDGEVVVLNHENGKILRYIPSYGAQSSILGLCWLKTYPSMVIAGSANGSLKLYDIQKASSTLNATTHTASGFVTFDEFDQLTSVHVNSTDKLFLASGYSKDVALYDIGSGTRLQVFASMHQEHINVVKFSNHSPSIFATSSFDKDVKLWDLRQDPSQPCYTASSTKGNVMVCFSPDDRYLLASAVDNEVRQLLTVDGRLHLNFDIVPTGSSMNYTRSYYMNGNDYIISGSCDESMVRVCCAQTGRRLRDVSLEGNGSEFSMMFVQSLRGDPFREFNMSVLATYTRPSSVSEIVKVNMLAPRDNIEEQSCGLHCYPSNSMGG >A08g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5850785:5851453:1 gene:A08g502990.1_BraROA transcript:A08g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPGVPPELSKGRYGHVSTHLDNPTCPSCAQGNGNEGVSNKLLSEVTLDQPARMTLYTTLSPARLLSHILVDSPHLLWVRLYSCGFATSPMDT >A09g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11110678:11111864:-1 gene:A09g503540.1_BraROA transcript:A09g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELGHLVISLPKGESLGASERRQMAETLLLFGFEKLWELLVRESDRFKGVDPQFTELKSELEKLRIFLRDADIKKHRNEMVRNTVKITKEIIYDAEDIIETFVLKEQLGNTGGIKKRIRQLACIMADRWKIAFKMESLSKRIAKERQREIRQTFSSDNEDHLVGLEKNIEILVGKLMEEDSSQVVSITGMGGIGKTTLARQVFNHEKIKSHFSRLAWVCVSQKLTRKYVWQTILRKLMPGHREAEMTEDELQEKINQFLETQKALIVLDDIWTQEDWNIIKPMFPRKRGNIL >A10p013960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4452957:4453466:-1 gene:A10p013960.1_BraROA transcript:A10p013960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKTACNSTTYPDKCYKSLSSYSSTIKSDPIKLCTTALKLNVNSAKEAASVISKLLKKSQKSAAGRKNKMLPEKLILRDCLEEMRDTIVELKQAITEMKTLRDGDSVPEHMTNVRTWVSAALTDEGTCTDGFEEIKVNKETKKKVTKVVEELATTTSNTLALITNLSY >A03p018270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7454960:7456555:-1 gene:A03p018270.1_BraROA transcript:A03p018270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKNPFSMKPPRPPPSSLAASTSAESQIRNPNPNPNSNASPSTSNSPITMSPEDQLLSRSTHLTRPELLRRRSHNLKQLAKCYRDHYWALMEDLKAQHREYYWRYGVSPFKDEQNQPSKRRRVDGGGESGDAVEGSGDNGGNNDGVKVDEYANSNSGSCMYGCKAKAMPLTKYCQLHILKDSKQKLYTGCTNVIKRAPAGPLLCGKPTLASTVPALCNVHFQKSQKLVAKALKDAGHSVSSASKPPPKLHVIVAAFVHHIQAKRKNPRIEGKLKSEVKEENIS >A08p032390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19610670:19612227:1 gene:A08p032390.1_BraROA transcript:A08p032390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF1A [Source:Projected from Arabidopsis thaliana (AT4G14220) UniProtKB/Swiss-Prot;Acc:Q4TU14] MSSFSYASAFNLSEKSPPFNPAIASSSSSSSAFDDDDTDDACSICLESFTPQDPATVTSCKHEYHLQCIIEWSQRSKECPICWQFFVLKDPLSQELLAAVDKERLMKTTNMRSPSSPRSSIPHSNEEDFHSEEEESSFDEQFLRHLTEAAHRRCLLRTREAPIPSNDPTPTDMPNLGHTSVVISHVQHQNANPPSPADTSNGSRISPGPSPSEASSLPEAIKSKLAAASARYKESISKSKQGLKEKLLARNNSVKELSKGVQREMNAGIAGVTRMMERFDIASKRFGGSTTSGFNFSFKGKREEAEATSNNGNKNRIP >A01p021090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10304614:10305671:-1 gene:A01p021090.1_BraROA transcript:A01p021090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCSNNLLGILNFFTFLLSVPILSAGIWLGKNAATECERFLDKPIVVIGIFLMFVSIAGLVGACCRVSCLLWLYLCAMFLLILLGFCFTIFAFAVTNRGAGEVLSDRGYKEYRVGDYSNWLQKRVSNAKNWDRIKSCLIYSNVCSSFSTRYASVNVEEFYKTNLNALQSGCCKPSNDCNFTYVGPTNWTKTTGPYTNEDCNVWDNRPGTLCYNCQACKAGLLDNLKNSWKKVAKVNIVFLVFLIIVYSVGCCAFRNNRKRSYY >A03p033650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14252620:14255147:1 gene:A03p033650.1_BraROA transcript:A03p033650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENAAANAICSICYEDLKPVAENLQSISACGHVFHELCLQQWFEYCPSTNKRNCPICKQKCLLKDPFRLYFQSSGNQTDSIASQKVEEDPVLLRGEVKRLQGKIQNLTSALEGQQKNNLEVSDQLHQCKEQLKEDKARRWEALQEISTTQHLLKVKSEECVQLTSKCAKLQDRTMALAKELAALKLVSDLSLDEDDVMKLAMLGNNAKTKDTIDTLVKSLVIRNRSYKELLAKCNQLGRGEARSSEKLEKAMEKMDRLKKRVRELEIIAEESENRALRDIKVSKNCSDRQVSKPATESFVSFRMPPSGNIVEKISTPLGKFEKNDGFTIPESCLRGRGDSISGKPESVIEIDDDVPETTNSGFRYSDSVMKDEKSEDSNVHDDPVIKDMKFNIRESPASSFSTRNNGAGDIWLSSGNNQNLRRWETSPSLGGYVSGKNDLISVGPDGKGGRIKVLRSKPQFSNANASSGSGKRFKVGSKTSGSSSQGCLQIEHFFGKSNR >A07p015850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9785357:9786323:-1 gene:A07p015850.1_BraROA transcript:A07p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLITAGSILIRPNLRLRQSHLTISSSPSLSLSSSSHRKISRLIKVNAMETKAETISGGVPNNTMKLLFVEMGVGYDQHGQDVTSAAMKACKNAISSNSIPAFRRGSIPGVSFGEMKLQIKLGVPRSLHHQLDLDKVKSIFPYGEIVNVEVVDGGLICSSGVLVEEMGDKNEDCYIVNVAVYVGY >A10p033840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19739354:19742647:-1 gene:A10p033840.1_BraROA transcript:A10p033840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMETDQIEEMDVEVLSSMWPDDVGTQADNQFNVEKPAGDSDTLKEVDIAEKRTMADLKRLPELLNTTDQGSSQLTNLVRQWEYMQDHAVRLLREELKILTRQREEAEAKELKIIEEHNFETEEPENVPVLDETSHLFRRFRQKKRDELVDSKRVVIDEEFDTVAYWKQKALSLEKMLEASTERERRLIEKLNESLKTMESHSAPVEELTQNLKRAEGFLHFILQNAPIVMGHQDKDLRYLFIYNKFPSLREQDILGKTDVEIFHGGGVKESEDFKREVLEKGKASKREITFETELFGSKTFLIYVEPVYNKAREKIGINYMGMEVTDQVRKREKMAKLREDNAVRKAMESELTKTIHITEETMRAKQMLATMSHEIRSPLSGVVGMAEILSTTKLDKEQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLKKDLTLEGNIADEVPILVVGDVLRIRQILTNLISNAIKFTHRGKVGIKLKVISQPSFASDKEQNETSVWICCDVYDTGIGIPENALPCLFKKYMQASADHARKYGGTGLGLAICKQLVELMGGQLTVTSQVNLGSTFTFILPYKVATSDDHSDDQDEFSDMVDHHQPEPDDTTEGYFQFKPLLGSIYSNGGPVMSNNFLPHKAMLTSPIKLINGSVADPSNSSGQSQTVQVENGGYMDESESAHQYGNGNGHRCPSKESESCSSSQASSEMESELTVSSPREEEKTETEVKETSQPKILLVEDNKINIMVAKSMMKQLGYTMDIANNGVEAINAVKDTSYDLVLMDVCMPVMDGLKATRLIRSYEESGNWDAAIEAGVDIKTSENDQGCERSTDRLPIVAMTANTLAESSEECYANGMDSFISKPVTLQKLKECLQQYLQ >A08p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19502085:19503680:1 gene:A08p032140.1_BraROA transcript:A08p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSSVWSKPGAWALEAEEQDAELQQQQQSSLASNQTAPSSDFPSLAAAATTKTKKKKGQTLSLAEFSTYKAAAAPQTERLTQAELVSLPTGPRERSAEELERSKGFRSYGPREDSTSRWGSSRASEEGERRGGGGFGRDRESMGPSRADETDDWAAGKKAFGGRGPSDGFERRERGPGGGFFDSQSKADEVDSWVSSKPRFVPSSNNGGGGGGGGGGDRFEKRGSFESLSRTRDSQFGGGSESDTWGRRREESGAPSAPGGGGGGSSRPRLVLQPRTLPVAAPVVVDVKPESPVAVERPVIVERPKGANPFGNARPREEVLAEKGQDWKEIDEKLEAVKLKEVAEKPDERSPGKMGFGLGNGRKDDRTDGSWRKSSEQTEETPAVEEANKEEPAKEEAGKKEAEDEN >A02p039540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23490568:23497414:1 gene:A02p039540.1_BraROA transcript:A02p039540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRRFQRKNEIENLELERDSEILRDPLELIRHAHSECYPWFDANSKSSEKEHLVNQYTCLMLAAYLFGRWIMDFRFKIQFSTCQHFGTNRKNGLSEEGMEEALRNASAISDQRQKIEQYKLILSSVISSNDLLQSKHFIDHILSDDVPLVVSRQLLQSFAQELGRLEAETQKEIALFTLTQIQPRVVSFEEQALVIREKLAALYESEQEWSRAAQMLSGIDLDSGMRAVDENFKLSKCIQIARLYLEDDDAVNAGTYINKASFLVSSSQNEVLNLQYKVCYARILDMKRKFLEAALRYYGISQIEQRHIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLCKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKASLPDKSTVLDRAMIEHNLLSASKLYTNIRFDELGTLLGIDPIKAEKIASNMICQDRMRGSIDQEEAVIHFEDDIEELQQWDHQISGVCQALNEILDGMAKKVKGKYDGDKSTGVGSLAFNAGDLKLRATMTDATLVAGPTLNGLSLAVEKPGFFIVEYNVPKKDVRFQFMNTVRIAEKPLNLTYIHSRADNRTIVDGSLLIDPSNKLSVNHMVGTNNCKLKYTYAHGKIATFEPCYDFAKNAWDFAVSRRVYGDDVVKATYQTSSKLLGMEWSRTSKSTGSFKVCASVNLAEEVKTPKLTAETTWNLEM >A10p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:817125:818444:-1 gene:A10p001570.1_BraROA transcript:A10p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKIQKRLAASVMKCGKGKVWLDPNESSDISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKIAKMKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLKKYRESKKIDKHMYHDMYMRVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKHARREERLAKGPGGDVAPVTAPPATAAATTTAQSAEVPKKKSKK >A04g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18790572:18791214:1 gene:A04g507510.1_BraROA transcript:A04g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVGIVKSRVQLYLILLVRYCPLWALGKPAWIYFWFPSQKASLLYHILPFKLRITFISCLTTDFQNRYPFITQRVFRSFLQISRQPGSDTNCWDCEIPCPTLSYLISTILSTLGLRQARMDLLLVSFPKGLVLLELDISLYIRHSLSNSPM >A06p002400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5183370:5185864:1 gene:A06p002400.1_BraROA transcript:A06p002400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDDHHRHHNQEAPPPPLPQSMAANPRQVPPPRQHQQQEHLVYDYFFASENIPGTTLEDTPPPPQAKPAPPQPASPSTDEDDELEDEEEEEEDEPVVERKPPVVVEEKPKRVEEPSVELEKVANFRGMKKPIGGERRGGGRFPATATNLGNVFNELDDNFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLPNADVGKDDFDSEENETHATVLDKLLAWEKKLYDEVKSGELMKIEYQRKVAHLNRVKKRGGHSDSLERAKAAVSQLHTRYIVDMQSMDSTVSEINRLRDEQLYVKLLHLVEAMGKMWEMMQMHHQRQAEISKVLRSLDISQAVKETNDHHHERTIQLLAVVQEWHTQFCRMIDNQKMYIKSLGGWLKLNLIPIESTLKEKVSSPPRVPNPAIQKLLHVWYDRLDKIPDEMARTAIINFAAVVSTIMQQQEEEMKLRDRCEETRKELGRKIRQFEDWYHKYMQKRGPEDMNADGSEGDNEHKDEVVVRQFNVEQIKKRLEEEEEAYQRQSQQVREKSIASLRTRLPELFQAMSEVAYSCSGMYRAVVAYVTQRQSQSERHQKPPSQGQTSVRTDVRAE >A02g503140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:10322423:10322644:-1 gene:A02g503140.1_BraROA transcript:A02g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHFVFPKSSRKTPPMKGLELQCSPLKPLFKIPTDLPHPTIRLISRCLFISSCLLPQILPLSFSPQIVSSKS >A08p044420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24559348:24561579:-1 gene:A08p044420.1_BraROA transcript:A08p044420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVMNIEEGASLPAKNTFQVFMCSIIAAVGGLMFGYDIGISGGVTSMDTFLIKFFHHVYEKKHRVHENNYCKFDDQLLQLFISSLYLAGIFASLAASYLSRRFGRKPIIMSASAFFLCGAILNFFAQELGMLIGGRILLGCGIGFGNQTVPLFISEIAPARIRGGLNLMFQFLITIGILAASYVNFLTSTVKDGWRYSLGGAAVPALILLIGSFFIHETPASLIERGKDEEGKRVLRKIRGLEDIELEFNEIKRATEISNKVKSPFKELFTKSENRPPLVCGTLLQFFQQFTGINVVMFYAPVLFQTMGSGNNASLISTVVTNGVNAVATIIAVVMVDRLGRKFFLVEGATQMTATQISIGALLLKHLHLIGPITSRSVPLIVLILICIYVSGFAWSWGPLGWLVPSEIYPLEVRNAGYFCAVAMNMVCTFVIGQFFLSALCRFRSALFFFFAVMNVIMGLFVIFFLPETKGVPIEDMAEQRWKKHWHWRKYFKQN >A03p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14724090:14725301:-1 gene:A03p035010.1_BraROA transcript:A03p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EXORDIUM-like 6 [Source:Projected from Arabidopsis thaliana (AT3G02970) UniProtKB/Swiss-Prot;Acc:Q9M8T8] MSKNSLNNKFICFSKEKNLRKHIQGHKKRKKVLNVLVMASSSSSSLFVITFLLFSPLCFSRESPSQIPDGTLNLSLLWYGQFTPVQKERVRDFIESLNFDAKEGLDPKVSAWWKVVEGYQERYEVKEIYRQKSSSRTVAPKIKVKVVRSYVDEKMMFGKDLTIDNGEKLVETAVGNMSKVVPVVLLSSQVRAHHVGFCNGTCQEYGLTIKSNIKGQEKKQPYVMVSDPEVQCPGECAWPFHIANKGPHGMTYQPPSGEIGADALIIQLATGLADVATNPAITESLFKSEPPYSTDGNHTSSIYIVDPATKCFRVFGSGAFPGFTGRIRVDPLTGGAFNSHGINHLKFLIPSIWDPKTKSCYTPM >A08p023980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15696460:15698173:1 gene:A08p023980.1_BraROA transcript:A08p023980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASKLHIFLFPYMAHGHMIPTLDMAKLFSTKGAKSTILTTPLNAKILENPIKSFNQDNPGLEDITIHILHFPCTELGLPQGCENTDFFFSNPDLNTGDLNRKFLLSMEYFKEQLEQLLQTVKPDCLVANMFLPWATKLAEKFGVPRLVFHGTGYFSLCASHCLRLHKPYKSVASSSEPFVIPELPGDIVITEEQVIEKEEESVMGKFMKELRDSERSSFGVLVNSFHELEPAYSDFYKSSVAKRAWSIGPLSLGNREFKEKAERGKKASIDEHECLKWLDSKRRESVIYLSFGTMLSFNNEQLVEIAAGLDMSGHDFIWVVNKSGSQGDKEEWLPERFEEKMKGRGLIIRGWAPQVVILDHQAVGGFLTHCGWNSLLEGVASGLPMVTWPIGAEQFYNEKLVTQVLKTGVSVGVKKMMKPDGDFITKEKVEKAVREVMAGEEMRKRAKQLADMAKDAVREGGSSDIEVNRLMEELKLVRLQKEEEKRS >A02p050790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31307591:31310885:1 gene:A02p050790.1_BraROA transcript:A02p050790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSETLRNKCAACYKQFNKMEHLVEHMKISYHSGHEPTCGVCKKHCRSFESLREHLIGPLPKQECKNIFSILGCRFCLMILETPNARRIHQERCQFSSVNAGLTTRMAALGIRDKDMIDYTSSRSPKVVALSCKMVGGGSDGSLDLCARVCITDEGDNVVFHTYVKPSMAVTNYRYEKTGIRPENLRDAMPLKHAQRKIQEFLCNGEPMWKIRPRGGKGRILVGHGLDHDLDRLQLEYPSSMIRDTAKYPPLMKTSKLSNSLKYLTRAYLGYDVHVGIQDPYEDCVATMRLYTRMRYQKHKIEAYPLAADAHNRSNQVVWRQNEFERMSPDEMLSISRSDYYCWCLDSLA >A02g502610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8539649:8540194:-1 gene:A02g502610.1_BraROA transcript:A02g502610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGPNSYAGLDLDARQGGMSFTTCKNLVYQPATRRPPRLGRPILARPPILTTVTTFRRSSKGEDSSLLFKTERVSLKNRPAGRTHGSRLQRGESPHAGQIEKKRQWFGKKRTNH >A07p043910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24011074:24013129:-1 gene:A07p043910.1_BraROA transcript:A07p043910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLFFFSLLALLMVTSCRAAGETAYRELDILGELENLDVPQDDIDDDVTFFDFSLFTSQFSGKNLVNVDSFGAAGDGVSDDTQAFVSAWKIACSAPRSVLLVPQGRSYLVNATKFNGPCQENLIIQIDGTIVAPDDPSQWNPRFQRVWLEFSKLQGVIFQGNGVIDGSGTKWWAASCKKNKSNPCIGAPTALTIESSSNVYVRGLTIRNSQQMHLIIARSNTVRVSRVMVTSPGDSPNTDGIHITASTNVIVQDCKISTGDDCVSIVNASSRIKMKKIYCGPGHGISIGSLGRGNSTATVSAIVLDTAVLKNTTNGLRIKTWQGGNGYVKGVRFENVEMHDVANPIIIDQFYCDSPSTCQNQTSAVHITEIMYRNITGTTKSKNAIKFACSDAVPCSHIVLNNVNLEGNDGKVEAYCNSAEGFGYGVVHPSADCLDSHDNKGLDQTQYLSETVLVGEDAKNAHDEL >A01p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9631161:9632709:-1 gene:A01p019620.1_BraROA transcript:A01p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVEATAATALRSVILRARKAAERVGRDPERVRVVAVSKTKPVSLIRQIYDAGHRCFGENYVQEFIDKAPQLPEDIEWHFVGHLQSNKAKTLLAGVPNLAMVHGVDGEKVANHLDRAVSSLGRHPLKVLVQVNTSGEALANCVFLKIDAAKSGVEPSSVVELARHVNMQCPNLVFSGLMTIGMPDYTSTPENFRTLTNCRAEVCKALGMSEDQFELSMGMSGDFEQAIEMGSTNVRVGSTIFGPRDYPKKST >A07p017200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10443226:10445201:-1 gene:A07p017200.1_BraROA transcript:A07p017200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDNFYLPEEQIKNSPSRKDGIDEATETTLRIYGCDLIQEAGILLRLPQAVMATGQVLFHRFYCKKSLAKFDVKIVAASCVWLASKLEENPKKARQVIIVFHRMECRRENLPLDHLDLFSKKYSELKVELSRTERHILKEMGFVCHVEHPHKFISNYLATLETPPELRQEAWNLANDSLRTTLCVRFRSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKSGIDEVCRVLAHLYSLPKAQYISVCKDGKPFTFSSRSANSQSQSATKDFSPAVGDAVDTKCPSGSESKDGKITTPHEMATDAKKSDTESNSLPIVGDSREERRKVGESDREKERGRERDRVSRSHRDRGRDSDKESDRERDKLKERSHHRSRDRLKDSGDRSRHHSSRDRDYRESSHSSKDRRSRHH >A03p047950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22148137:22151285:1 gene:A03p047950.1_BraROA transcript:A03p047950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRSNRVFLLICILSSLLLVAAGISIAEEDEWGRTSVEGRFMAEESGENSSLVLAAKRTKRKDPTENFKLYTGGWNISNSHYIFSVAYTALPFAVIAGVWFVFFGLSLSLICFCYCCCARQPYGYSRIAYALSLILLISFTIAAIVGCVFLYTGQGKFHASTTDTLDYVVRQANFTSENLRNVSDYLNAAEKVDVQSLVLPGDVLTKINDIQRKINSSATTLSVKTMENQDKIQSVLNNMRLALILIAAVMLFLAFIGFLLSIFGLQCLVYTLVILGWILVTGTFILCGVFLILHNVVGDTCVAMDQWVQHPTAHTALDDILPCVDNATARETLTQTKLVTYQLVNLLDSAINTMTNRNFPPQARPFYYNQSGPLMPLLCNPFNADLSDHQCQPGEVHLSNATEVWKNYTCQIITVGTCSTQGRMTPKLYTQMAAAVNVSCGLYKYGPFLADLRGCNFVRSTFTDIERDHCPGLKRYTRWIYVGLMLVSTAVMLSLVFWVIYARERRHRVYTKDYIATHSEAPRDKGP >A10p025970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16572603:16573886:-1 gene:A10p025970.1_BraROA transcript:A10p025970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGLPCCVNQRPTFRPKKRKHHPSGTNVSGLSISDHVVEKPFTATLVSYNLREAVKLPYGEDINQWLAIHTIDFYNQVNVLYATLKEFCTTTTCPIMNAGSLYEYRWADGIAIKKPITVSAPEYVGYLMNWIVTQIDDETIFPQTPEATFPPNFKDFVKVILKRLFRVYAHIYHCHFQNVVNLKEEAHLNTCFEHLVLFTSEYQLIDEAEMEPLKELVGEVLKP >A01p020120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9883990:9884508:1 gene:A01p020120.1_BraROA transcript:A01p020120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKAVMGETDMKQTMKEDALSLAAKALDCFDVTEPTQIARFIKKEFDRKYGLGWQCIAGTHFGSFLTHCSGCFIHFSVGNLTILLFKGSAGEVASGPTV >A07p029960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16760787:16761296:1 gene:A07p029960.1_BraROA transcript:A07p029960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIEIREQQPVDLWRSGEARGKAEAGKIFGGSFMATARGSVGTLLHLGSTTLCGGVMTGGVNQRTADNDHALRFLETSPRKAPHRRSAPAAISTVKSSGTTPHLWMQLLLLRACCRSQDPIFQSRCVLVFGLGSRP >A03p066260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29318202:29318741:1 gene:A03p066260.1_BraROA transcript:A03p066260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAVLNPQDCLKHPLSHMKHPRNPSACPNRQKKTVSNRTRRSPPRKQTSPSPPVAPPLPKGTVKMSPNNNNNVVVGQVRILKRGEGIPKKTADLVVEKTDLVSTRRIGPDPGSMPSQIRLPARKAKTVPFYAGPVTMTSPPPSDVPLPAFFAAKKSVSLFQATDATNEIIRMLRINIA >A05p009890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4134991:4136738:1 gene:A05p009890.1_BraROA transcript:A05p009890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:Projected from Arabidopsis thaliana (AT2G37640) UniProtKB/TrEMBL;Acc:A0A178VPK3] MAATAFGIRFMWLAVTASLMLTVTNAKIPGVYTGGPWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGFSCGACFELKCTDDPRWCVPGNPSILVTATNFCPPNFAQPSDDGGWCNPPREHFDLAMPMFLKIGLYRAGIVPVSYRRVPCRKLGGIRFTINGFRYFNLVLVTNVAGAGDINGVSVKGSKTDWVRMSRNWGQNWQSNAVLIGQALSFRVTASDRRSSTSWNVAPPSWQFGQTFSGKNFRV >A01p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:265319:268381:1 gene:A01p000670.1_BraROA transcript:A01p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRTALIALFLVAFLQNAAAQKRPQSIVKPRGAVATDDGRCSEIGMSALQQGGNAIDASVAAALCLGVVSPASSGIGGGAFIVVKIAGGEAIAYDSRETAPLRATENMYGSNPDLKKKGILSAGVPGELAGLYTAWKQHGKLPWKQLVTPAEKLAEGGFRISKYLYMQLNATRADVLADKGLSELYVSNGQFKKPGTIIHNRKLAFTLKQIAENGQKAFYNGTVGVNLASDISKAGGIITLKDLQSYRVKVRKPLSANILGYELLGMPPPSSGGAAMMLVLNILSQYGIPSGVSGSLGVHRLIEALKHAFAVRMNLADPDFVDVTKVVSDMLSPEFAKDLKTKINDDKTFDPKYYGGMWNQINHHGTCHFSIIDSERNAVSMTSSVNGYFGAVMLSPSTGIVLNNQMDDFTIPAKSSGDLNVPPPAPASFIRPGKRPLSSMSPTIVLKDGKVKAVVGASGGANIIAATTEVFLNHFFLNMDPLSSVLAPRIYHQLIPNKISFENLTTVFGDHFEIPKETRVVLEKKGHVLTPMTGATIVQFIVQESDGNVGGMSKLLAVSDPRKGGFPSGY >A09p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4359588:4367472:-1 gene:A09p008470.1_BraROA transcript:A09p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEKAVKEALNALYHHPDDTVRVADNLLHDSSSNLETLIFCSQTLRSKVQRDFEELPPGAFQKLRESLTTLLKKFHKGPPKVRTQISIAVAALAVHVPAADWGDSGIVSWLRDEMNMHPEYVPGFLELLTVLPEETFNYKIAARPDRRRQFENELTSQMEAALSILTACLNITELKEQVLEAFASWLRLRHGIPGAVLACHPLVHAALSSLNCDPLSEASVNVISELIHYTASPSSGGISAQTPLIQVIVPQILSLKAHLRDSSKDEEDVKAIGRLFADVGDSYVELIAAGSDESMVIVHALLEVTSHPEFDIASMTFNFWHSLQLTLTKRDSYSSLGSEASVEAERNRRQHIFRPAYESLVSLVSVSSDTPQVGFRVQYPEDYQGLSYEDLKEFKQTRYAVADVLIDAALILGGDTTLKILYMKLLEANAQTGNNFQEWRPAEAILFCIWAISNYVSVVEAEVMPQVMALLQNLPQQAQLLQTACLLVGAYSKWLNAAPASVSILPSIIRILMSGMGTSEDCAAAAALAFRHICDDCRKNLCGYFEDLYTIYCMAINGAGGYKVSAEDSLNLVEALGMVVTELPLDQARSALEKLCFSVASPLEEAAKEDLDKKHARELTVHIDRFAFLFRYVNHPEAVAAEINKHWAIFRVIFDARPWDMRTMESLCRACKYAVRTSGRYIINTIGEMLAKIQFHYQQHHQPCFLYLSSEVIKIFGSDPSCADYLKNLIESLFAHTTCLMTSIKEVTARPDIADDCFLLASRCLRYCPHLFIPSPIFSQIVDCAIIGMTVQHREACHSILTFLSDVFDLEKSVNEEQFVRIRDSVMIPRGATITRILISSLAGALPSSRLDTVTYTLLALTRTYGSQAVGWAKESVSLIPRTAVTETESAKFLQALSDVTYGADVNSLIGHAEELSDVCRRNRTVQELVQAALKPLELNLVAPVS >A03p025970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10948929:10949873:1 gene:A03p025970.1_BraROA transcript:A03p025970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFGLIQLLTMSFITKHRLCKLLQRMCQQRKQSMLLQGTKLGNTLEIRINGKVRHPFSIIESRSISIGIEQYPISGDDELLGTHVFKESTCFRQHHPLFAESTNDVPHPIKQCPSFFFFVVVKKNPQKNVPSNWVHYESLA >A01p053050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30287575:30291290:-1 gene:A01p053050.1_BraROA transcript:A01p053050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emb2742 [Source:Projected from Arabidopsis thaliana (AT3G12670) UniProtKB/TrEMBL;Acc:A0A384K8P2] MKYVLVTGGVVSGLGKGVTASSIGLLLQACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDSTLTRDNNLTTGKIYQSVIDKERKGDYLGRTVQVVPHITDAIQEWIERVANVPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYKVGPGNFCLVHVSLVPVLSVVGEQKTKPTQHSVRELRSLGLTPNILACRSTKALEENVKTKLSQFCHVPEENIVTLYDVPNIWHVPLLLRDQKAHGAILKELNLLGDLIPSAICSKAVEADVTEWTERTKIYDSLQDPVRIAMVGKYTGLTDSYLSVLKALLHASVACHKKLVVEWVAASDLEEITAHETPDVHKAAWDLLKGADGILVPGGFGDRGVQGKILATKYARENQVPFLGICLGMQLAVVEFARSILGYKDANSTEFEPETSSPCVIFMPEGSTTHMGGTMRLGSRRTHFQVPDCKTAKLYGNAKYVDERHRHRYEVNPDMIPEIENAGLSFVGKDGTGRRMEIVELQNHPYFVGVQFHPEFKSRPGKPSALFLGLIAAASGCLEAVLQGGKMNKVLINGMANGTAMGKFYQNGNVYSNGNGLHH >A07p010520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6598260:6599583:-1 gene:A07p010520.1_BraROA transcript:A07p010520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase OXI1 [Source:Projected from Arabidopsis thaliana (AT3G25250) UniProtKB/Swiss-Prot;Acc:Q9LSF1] MQSPTLDFNRLEVLSLLGRGAKGVVFLVKDKEDDKQLALKVILREAIEKKKKTTKKDEYRRVSFEQEVLSRFDHPLFPSLHGVISTDKVIGYAIDYCPGKNLNSLRIMQSESMFSDEIIRFYAAELVLALEYLHNQGIVYRDLKPDNVMIQDNGHLMLVDFDLSTNLPPRTPSPSPSTATTPSPGRRKKRLFRFVSFCSSRISSEEESNSMRLSSSSSSTLAVSDSSGEKSNSFVGTEEYVAPEVITGGGHDFAVDWWSLGVVLYEMLYGTTPFRGSNRKETFFRILSKPPNLVGETTLLRDLIRRLLEKDPSRRISVEEIKGHEFFRGVDWEKVLLVSRPPYIPALDDGGDQSRDGNTKMDVENIVQEIFAARDEREKQKDDNSNADMKIKGGEWVKGLNNNNDLESDNNFLVF >A05g504390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12135933:12136492:1 gene:A05g504390.1_BraROA transcript:A05g504390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFCALRPAILVLLESGIKVVIVTLGSNGALLCSKGNPNKALNINRKFSGEIFRRVQLICSPNRFSEPGLKHGSSLFAMHFPTVPAKVKKLTGAGDCLVGGTVASLSDGLDLFQSLAVGIASAKAAVESEDNVPPEFNLNLLTDDAELVYSGARMLLAHQSML >A10g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7011639:7013834:-1 gene:A10g502540.1_BraROA transcript:A10g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKLRRIVGLYNWKTDETRPRPCKRENLKLGAKRSTGKFAGKVPGKFTGDNPAIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCPSAHAGRPSVHTGRPSAHKGRPSAHAGRPWLSVCVRVSVSTHRTSLSTHRTSVSTHRTSVAVRQYTQDVRDRPSVHISACWPFLWTVRDVCQHTQDVRACPLAHTDCPWTDPCTELISACCQYTYQHAGPSRGLSVMLTTHISMLALPVDCPCTDPCTDPCTDRGLIRVLNSYQHADHTYQHAGPRPWLSVMLTTHISMLALPVDCPCTDPSCWPTSVAVRVLIRGLIRVLNSYQHADHTYQHAGPSRGLSVMLTTHISMLALPVDCPCTDFGQLMHHVSTHISMLALP >A10p010210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5847698:5851927:1 gene:A10p010210.1_BraROA transcript:A10p010210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSENQPSSPASPSSAGFNTDQLPFSTSQNSENFSDEEAEVDPQIIRDEPEEPEEEEEDGEDLFNDNFLQDYGKRDEQDQYESVGLDDSVDDDRDLSQIALDRRAADAVLDARESRFANRKLPHLLHDNDSDEWNYRPSKRARAAVPPRGSGGDPDGNPSSPGTSQPDVSMTDQTDDYQDEDDNDDEAEFEMYRIQGSLREWVMRDEVRRFIAKKFRDFLLTYVKPNSENGEYVRLINEMVSANKCSLEIDYKEFIHVHPNIAIWLADAPQPVLEVMEEVSEKVIFDLHPNYKNIHQKIYVRVTNLPVNDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAVLGPFFQNSYSEVKVGSCSECQSKGPFTVNVEQTIYRNYQKLTIQESPGTVPAGRLPRHKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVTKKQDLFSAYKLTQEDKTQIEELSKDPRIVERIIKSIAPSIYGHEDIKTAIALAMFGGQEKNIKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKSFAQNVELTDPILSRFDILCVVKDVVDPVTDEMLAEFVVNSHFKSQPKGGKMDDSEPQDGVQGSSGSSDPEVLPQNLLRKYLTYSKLYVFPKLSEIDAKKLETVYANLRRESMNGQGVSIATRHLESMIRMSEAHARMHLRQYVTEEDVNMAIRVLLDSFISTQKFGVQRTLRESFKRYITYKKDYNSLLLVLLKELVKNAMKFEEIITGSNSGLPFIEVKIEELQTKANEYDIADLRPFFSSTDFAKAHFELDQVLGVIKCPRRLVTW >A03g505490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19450193:19454056:1 gene:A03g505490.1_BraROA transcript:A03g505490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLHVTPRRGIIVGRAGMDADKETRKEATGLWLVDAVSHGAVILTGCKAERFILEKNGNNVGRKKMKCLGESSSFNFKGRSYEGGIITSMSKVLSEDSEVRAIIETPALGPASFSVLCPWISGLDMKKRMSRYSRTANLITIVRDRGSRRDRENITAGLRQSLRILIAAGAEEVGTHRSDGQKLICKGVDEKLIEEFLDSVSAEEGPKAMTENWSVYSSAHQMGSCRIGVDEDEGAIDLDGESWEADKLFVCDGSVLPSAVGVNPMITIMSTAYCISTRIVKSICINEL >A10p031300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18734254:18735531:-1 gene:A10p031300.1_BraROA transcript:A10p031300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLAKLLISAVAVFVLVSASFATSEMPFMVVHKKATLNRLKSGAERVLVSFDIYNQGSATAYDVTLVDNTWIKKTFEVVNGNTSRSWERLDAGGILSHSFELEAKVKGPFYGAPAVVTFRIPTKTALQQAYSTPIALDILADKPPTDPLALAKRILAKYGSLVSVISMVVLFIYLVATPSKSNLAKAGSKKKR >A08p029280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18157606:18160249:-1 gene:A08p029280.1_BraROA transcript:A08p029280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATNNSTSSPSLSPRHVSDSPRHVSSPSAAQSRRQVSSPWTQIVRGESEPPPTISAAAAAPSKAPIEPIASVAPPAALLTVEAAAGEDKSEGNAEKKPAWSRPSNGASEIGPVMGASSWPALSEATKAPSNKPSSDSSIADVPSSVSQGAASASVPAPKQAGRANNPNPTPNHSRQRSFKRNGASGSTANGTASQPSAQGSLVEGTSHNPSPRGQNQKNGFASQPHGGADNQRDSHRNQNGNHHHQNHGGRRNQEHGNQNWNFHRSFNGRDGNAQSPRGAPAFVRYAPPPPPPPVQAIPPQFMAAQSFGSPVPYPPELAPPFYPGMPFVAPLSPGPVFYHVQDPPLNIKLQNQIHYYFSEENLIKDTYLRDQMDDQGFAPLHVIAGFRKVAELTDSIQEIVEALQGSPFVEVQGDGIRKRHNWQLWLIPSPQSVDAVASRVGNLSIGQSSAEPIGGSGSQLQPPEAENKAVADGQPQSSGADPVSNRNGSGGANR >A07g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14860606:14861879:1 gene:A07g506250.1_BraROA transcript:A07g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTALTLSFPRGSATRRGFVVKKIESLTVSELNSYVLNFPLQSSSSLEKYATLKQPMRGAISHDQSAHVNCYGGFHSLTCAKGHNNPGDDMRGAGPVSQISSAGVHIVLPDTSTVLTMLFLLTAEASLEWSSMKASHEIGVSAVSNMLLPNYLQRMPQLRKVASLRRSNVVAKICSIVLHLDKEWSYKYSTVAF >SC300g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000145.1:20295:21496:-1 gene:SC300g500030.1_BraROA transcript:SC300g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTSWGANCWGQNRSRRNQCLKVRKSQHNRFYEKKSSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRARAKLGRYVATEHPSRSVATDRARAKARSLRSDRAIVPLGRYVATELSQARSQRSDRAQAKARSLRSDRALVPLGRYVATELKPKLGRYVATELKPKLGRYVATKLKPKLGRYVATELGQARSRPARSLCSDRAIVPLGRYEATGLEPEFGLCVAIEPFRTLIRYQSLHSLQTFECYLPKTVASSVHKPWKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNCEDREKWNISIFML >A09p025890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15001485:15006098:-1 gene:A09p025890.1_BraROA transcript:A09p025890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFSRGTKDSPSSSASPSPRSYPSTSPASSSAVTGPPRPIRLVYCDEKGKFRMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLLGRSNGFQVASTHKPCTKGLWLWSSPIKRTALDGSEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEASLDRLSLVTQMTKHIRVKASGGTSSRSELGQFSPIFVWLLRDFYLDLVEDNRKISPRDYLEIALRPVQGSGGDIGSKNEIRDSIRALFPDRECFPLVRPLTNEKDLQRLDQIPLEDLRAEFGAGLDALTKFVFEKTRPKQLGGTVMTGPILVGITQSYLDALNNGAVPTITSSWQSVEETECRRAYDSGIEAYLAAFDQSKAPEEGALREEHEDAVRKALAMFNANAVGAGLARKKYEELLHKDLKKKFEDYKKNAFMEADLRCTSTIQSMEKQLRAACHASNANMDNVVKVLEARLSDYEASCHGPGKWQKLSVFLQQSLEGPIYDLTKRLIDNIAIEKNSLAVKFRSVEEAMKHVKQQLNDSEKSKLEYQKRYDESNIDKKKLEDVYRERITKLQGENRSLNERCSTLVKTVESKQEDIKEWKRKYDQFVLKQKAVEDQLKSDMEVLRTRSTTSDARLSAAREQAKSAQEETEEWKRKYDYAVGEARSALQKAASVQERSGKETQLREDVLREEFTLTLVEKDEEIKEKATKIEKAEQSLTVLRSELKAAELKIKSFDVETASLKLELREMIDRLDSANTKALAYEKEANKLEQEKIRLEQKYRSDFERFDEVQERCKTAEIEAKRATELADKARTDAVTSQKEKSESQRLAMERLTQIERAGRHAENLERQKNDLEDELHRLRVSEMDAVSKVTVLEARVGEREKEIESLLKVTNAQRAHNVKSLEKLLDEERKAHIAANRRAEALSLELQAAQASVDNLQQELAQARLKETALDNKIRAASSSRGKRTRVEDVVDMDIGEASDRILKTNKRSRSARGDDDSGAYEDGVSVSRGGEDEEEAEDYKKLTVQNLRHELTKYDYGHLILNKGHQNKKEILALYEAHVLPKKEEERKRQREATS >A08p042800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23947911:23949002:1 gene:A08p042800.1_BraROA transcript:A08p042800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQNFKRTGSLEKSFEGTPKIGKPDRPLEGERPGGTKVSNMFGGTREKCVGCDKTVYPIEKVSVNGTLYHKSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGGDNAGKDKVDAA >A05p031350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18542855:18543563:1 gene:A05p031350.1_BraROA transcript:A05p031350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YCESRARAEHDYSDHRHERLVERQLRRPGDNRWSDSGSGHSQRYVPYQTMKPQIWKEKISRVGCSSSNTSTDLEVMDLGLMKPPTRTEIYHYIWLENLLVMKMLLEFYTCFDFSPMEKETCATDFPHMENEIHNNDLNKGQIIEALPDMNIGGSGVGAQLHDASMDVDEIDDQDEDLLGEELKEMEEPHHTASSSNAMAKGSKAIS >A02p040010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25296430:25301390:-1 gene:A02p040010.1_BraROA transcript:A02p040010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSVVFQLTPTRTRCDLLIIDNGKAEKIATGLLDPFLAHLKTAQDQVSKGGYSIVLKPKDSDNAAWFTKATIQRFVRFVSNPDVLERVYTLETEIMQMREAIGIQHISKVALPVVEDNLRAKRAESTKGNRSLLKFNEEKAIVLYETRKKVLQKEQGMAFARAVAAGFQVDDMLPLISFAKSFGASRLMDACLKFMDLWKKKHESGQWLEIEATQPNISPTNASGTMLANAANMRRNSWPGTPENNNDVKSPTKVNKEHVQGQHPQPMYAPWPVHSPPGTFPVFQGYTMQGMPYYPYPSPYPSTDDSRRSSGQRKAKKHHSSCSEDSSGSEDQGREKGKSGRRRKSGKVVIRNINYINSKKQDHSGTESDAEEVAIVVECYNVGKERETKGTEAADTGDWQAFQTLLLQDADREERTVDHMMEKDRQGTGKYDDPLAHDKREAEERYQERDTQNGTVTRRIRGSSDSFMVHQRENGFENPSDPLNLNGFDNLGNGLDKRSSSVNMDDDSYIVTREAGSSTRNALDIGSEISSYHQADGKRNKISYEPHDLSLMPERETEKLSAGYDPALDFGSKALKKKNNKEAGVTKRDPTTRLSNDATDKRKASGVIRKGRPTKMNPLDEARARADKLRNFKADLLIIKKEKEEEERKRIEGLKIARQKRIAAKSNSTVVGQSQLSAQQTRKNLSNRFSPGAPRASKFSDTEPGSLSSPLQRRLPIRSASLGSNEPQKVPKNSKLSSGSKSTENRLTRSISPLPPSKRENIASSNRLTRSTSPLPLYKRETRISLDSQNESVSRTRPKMGSAPSSAVRSLHMAGSRLTRSISPLPLSKRETRVSLDSQNKSVSRTRRLSEPKTGNNSAPSSAVRSLRTIASKKASDAPETKKISAIVNYDIAKIASLPELKIKPPKGPNNVLVKGAEKIKSSASEIEPFGNKNKPLSQNAVDETPVIEKTVVMVLPSSARSISAAQTEESKLVPGYSTIHDCCPSAGADKKAVETMQESGNDLVLIRPETLSDLITETPKFLTVQSVVEKPYEAPHARVSSLEVPGNSECSKAPGPSCHSNETAQETVKALAAEKKISEALEKSQSKESATKGLRKLLKFGKKSRSSSTDKYHTKSNSAAAVSSNKDHESAVTAATTSEAFTLKNLISQDETPTAATASQKSSRHFSLLSPFKNKKRVS >A02p016640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7470812:7471078:-1 gene:A02p016640.1_BraROA transcript:A02p016640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSVKSIIRNKLIVWCSHPDGNSRPSLREATQVLNLESPLPYLPQKMPVPMCYISPTCFTVSSSRGLGSSYVLKLVTNSQYAATNI >A09p015500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8059299:8065326:1 gene:A09p015500.1_BraROA transcript:A09p015500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGVSEPERCGCDTCVQHRTFITQETEPSKVNGLSVLVSSGLFEALGSTSDQSSGTETPPLAPPPPELAQSVDDAHGDASSKSSPVQTIFSFPPVVSSQPARDLVPTGSLPGPFIGFPSPFPASGPSISSSSSQASVTNLVGSRLSRWEPPVQAPPFSSTASTSSTLASLVTPWGSVQAPVQASAPNTASAFPPPFSYPGGGGGLARPGLSQIVSSPFGPTHNFGVCASRTTPKDPFPGFSVDYLPRGPFGPNPQTTTLLSDGGTEQGSKYPRYAPTPDFDSSGGPAKLVMSISASDSHGHKSHEELRWEDYGDKKGTVGSFPPRAHKPRKESRWKDYRNGDKGGFDHSPSLFAPPSIPHRRPQMRTIVQPHGDMSSFPFGYNTPTAFQSPHELVGVSSPASGCTACGATSSSSPSSHLGFNVATNPPSAATSLPGLFFSTYGSFPFLFATPNLSAYGTTATPSVQAYPTMFGTPNLAAQGTTAAQSYLTMFGTPSLAAQATTTTPAAQPYPTMFGTPSLAAQGTTTTPAVQPYPIIMFGTPNLGAQGITPAAQACPVHGLTLLPFGAMIIRSLFCLRVTNQFEDRETSMRIDVSEPVLCGCDTCVQHRTLCMSNTQETEPSNVIVSSVTPVPVSSGPVQALGSTSDQSSETETPLAPPPVTTPVYPEPAQSDDSTISKSPPAVTPVSSEPAQASGSTQGTAFGFGAFAARKSSFFGASSTSEVNGSSPFSFAPPVTSVSSAPVQALGTTTTSTTAASSTSSPPVHSSSSPTTFQFAPAFTSVAPSGPSTLGPMQAPTFARPDVGVSPTAPSGYFGQNQFSAPKNPFGSYLHPAFGGRHPSNLFGPNPQTTIPVSGRSPFWTGVETEQGSRYPRYAPTPDFDSSGGPAKLVMSISASDSHGHKSHEELRWEDYKKGDKGGFGWFPAAHTSPFSSPTVSPSLFASPSIPHRRPQMRTVVQPHGDMTCFPFGYTFPTAVQRPHEPAAVSSPASGCTACGATSSSSPSGHVGLNGTTTNPPSAAASLPGLFYSTYGSCPLLFGTPNIAAYGATTAPTVQAYAVIFGTNFTPQGATATPAVQLYPMMFGTPNLAAQGTATTPAVQAYPMMFGTPNLAAQGTTATPAVQPYPMMFGTQNLAAQGSATAPAVQPYPTMFGTPNPGAQGTTATPAVQPYPTMFGVTQATTTPAAQPSYPMMFGTPNLGAQGITPAAQAYPPVHGLSVPFAAMSLKHPS >A09p013250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6779711:6780970:-1 gene:A09p013250.1_BraROA transcript:A09p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRLYLHFLRRFSTAVTDSPTTASPAAITVSKAKSKLRKVHDPDKALAIYNSVSSNPSSPLSSRYAMELTVRRLARSHRFSDVEALIESRKKDPQIKTESFLSTLIRSYGRASMFDHAMRTFEEMEQLGTPRSVVSFNALLAACLHSDLFERVPQLFDEMPQRYRNITPDKVSYGMLIKSYCDAGSVDKAMETMRVMEEKGVEVNIISFTTILGSLYKNGQTDVAESLWSEMVSKGCELDNTVYNVRLMNAAKESPERVKELMEEMSSVGLKPDTISYNYLMTAYCVKGMMGEAKKVYEGLDEKEGCCANAATFRTLIFHLCINGLYDQGLVVFKKSALVHKIPDFKTCKHLTEGLVRNNRMEDARGVARIVKKKYPPRLVTEWKKLEESLGLYTKASAAGSSRTSQVSDQESDADA >A03p066110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29240228:29241598:1 gene:A03p066110.1_BraROA transcript:A03p066110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glutathione peroxidase 7, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G31870) UniProtKB/Swiss-Prot;Acc:Q9SZ54] MASSSYAPFSAVFSGFAATKPNPPPTCSAFLVPKRRSNSRNLKNGVSLKSWNKHGFQFTSRNLSVYARATEEKTVHDFTVKDISGKDVSLDKFKGKPLLIVNVASKCGLTSSNYTELSQLYDKYRNQGFEILAFPCNQFGGQEPESNPDIKRFVCTRFKAEFPIFDKVDVNGPSTAPIYQFLKSKSGGFLGDLIKWNFEKFLVDKKGNVVQRYPPTTSPLQIEKDIQKLLVA >A09g509950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28934038:28934562:-1 gene:A09g509950.1_BraROA transcript:A09g509950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A05p017950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8180121:8191093:-1 gene:A05p017950.1_BraROA transcript:A05p017950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomethylpyrimidine synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29630) UniProtKB/Swiss-Prot;Acc:O82392] MHLDSGCVVPVRLSSNEFHPLHSSDPQLHLPDMSSVHSSTLMSVVCNNNNKNHSAWPKLPNSSLLPGFDVVVQAAAVRFKKDTTTTRATLTFDPPTTNSERAKQRKHTIDPSSPDFQPIPSFEECFPKSTKEHIEVVHEESGHVLKVPFRRVHLSGGEPAFDNYDTSGPQNVNPHDGLAKLRKEWIDRREKLGTPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRKFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVDGIAENLTWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMNKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKQHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDIRKYAEENGYGTAEEALRKGMEAMSQEFNVAKKTISGEQHGEVGGEIYLPESYGKGGNKTSLTQVLVPVRLYLNKAQNVGEEASAVADLLSLGPRLKPWFHHFYQFVGYPPCAYNILVSELKLLNQVTLSLHHISFACICFVFI >A02p027030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13078987:13080347:1 gene:A02p027030.1_BraROA transcript:A02p027030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILTRLPVKSLMRFMCVSKLWLTLISSRYFTHRFLTVPSPRLYMCLWDVNNYLDTEILSSAPQAANTTTTTTTPSAFLVDHDLTTPRMGSHILQNLGGFMCYVYWNKPRIYNPATRQLVTLPFKKSDHMIVPPGGKKIVRYYFGYDALNHKYKVVSSISVHLKQNMEVISSENWVFVLEGGVCSWKKAALTSPDFCPHVPCKMEGLCIDGYLAGTATSCLKGFRMWVFWSMVENQLFLTKQILKTRVSWLYGLWKMPGARNGRARVWLCSLLNGILSIPKDFLFPFHILSYDIQNNDMRKIEIRGIPDRWFNMDEEAEVCVDVMFMDQSESVISSDFVSSLDWTERDNHDTYIHRSLPLSVSLPIL >A10g503520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9172538:9174481:1 gene:A10g503520.1_BraROA transcript:A10g503520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGARTATLYQLRDMFVTFLNTCFVASPKGLWEHSWKSMSEDILHKRQRILGHTNLELDDETLEQYTLIEVEKLMRMQDRSLNDIKEMPKIKHVLLKELGNSLWNQEMDYNVAEETLKHDTLEVPPEMNQN >A05p054720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31301492:31318557:1 gene:A05p054720.1_BraROA transcript:A05p054720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transport protein BIG [Source:Projected from Arabidopsis thaliana (AT3G02260) UniProtKB/Swiss-Prot;Acc:Q9SRU2] MADDLANLCRFLFDETGLTSSTSSSDLFSQRIRSDDSIKRGLRYFYLLLRSGIAPIGGDGDSSSVKLRFETWSDSQLQALVSISQAILLLSRSLLVDQVEPIVLGVIQEVMEFSLSFLEKSNFTQNDLKMEINMEMLLEIASFDGNEKQYDILSPVSPAEAAELWPTFSAEHESMELHSLVKCTFQGGRCSNEEKPVDRLLISLMSECIESDVQTHSVVKPSFQQDYGNLNPLTRHLAVVHLGCVCRLIMVCKELVQLPSVLDEKTIDHAFLDKLSFCLRILKLLGRLSKDVQSIENDGTLLQAVATFTDALPKLFRVFSDFTTHTSTEGNIEGLSLALVEGFLNLVQLIFGKSSLFQNVQACVSASIVNNLDASVWRYDVSVCNLMPPIAYFPRSVMYTLRLVQDLNRQTYHIQDLRVLESEVDCENPNSSADSVYFHLRQEKIPLLKGFTVEDIMRVIFPSSSQWVDNLFHLVYFLHLEGVKLRPKVERTYSSLRSNSFAEVESQISHDDEALFGNLFSEDRRSLCSIEPNDHPPAAVSSNLPLQAVKELLNFLRVCIFCKEWVPSIYEDGCKKLDTCHIDILLNILGSSFEDKASDGGGCMLQDEGKPGHVAFELLLNFLRGRALSDSLESYLFQKILAVENGEFEYNDKTLALLAHTLLCRPGLAGAQLRAKAYGGFVSFIADRARVICAEGSSVKELNSCLPSAFHIEILLMAFHLSDEAEKANFSNLIASCLHKVDTPSGICDGPQLSSWAMLISRLLVLLHHMLLHPNTCPTSLMLDLRSKLREVRSSGSNLHVTVDHLSSWASLVARGITDSWAEEESVNHLMSQMIDFSPHPPTFQIDVSAAKTLNLDYRDLSASLSRILGLWKGKKAGKVEDLIVERYIFILSWDIARVNCALDSQPSLHINYQNVDICSTVDMIYTSHLLVGDSNIVGKNMKFRDILIGVLNQLHAAPEKAVEDLGWDFIREGSWLSLLLYFINGGVWGYCKKNSCSEIDPFWRECTSIDAKYIATAEGVLSCLMETDDFTELLKMLSSLASKYLQVYRKAFLATFSTWTHHGHSSPSLLLLKHTLFGKSLQAEYTKIGDNSLHLQCISYLSKLDALGDGRGSGVLWKVFWEFMVHGFPTSLQTSSAILLSSILSIRCIVLTIDGLLKLRKSKEIFGADSHVLQQILNSIMAIKFDQVFQSFHEKCEDINQNICAMLEIPDFTELFLMKDMEGFVRDINAEKIDKSNVLDGVITQIVDVMDSLSKDSSKSDIFKFYLGVDSVSEHTMEFYRLQRGDLSVFIDSLDYCSSELVNVKVLNFLVDLLSVAISPDVRRRIQQKFIDMDLTTLSGWLERTLLGSVVEENDGKKTAKGNSLPLREAAMNFVNCLVSSANDVQTTELQNHLFEAILISLETAFISFDSHMAMSYFHFVLQLAKEDSLMKMVLKRTIMLMEKLAADEKLLPGLKFLFGVIGSLLSNRSPSPGTSSCGKSLATNKNTATGPLVPKLAGTAKKSETLALPLDQEGSSISLECDVTSVDEDEDDGTSDGEVASLDKEDEEDANSERYLASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFSGIGSAPALGTNNLQSFLPLSEDVEQLAESDSDVDEDSFEEENHVVLSIPKETQYKMSLLLEELGIEDRVLELFSSLLPSITSKRDSGLPRDKQVSLGKDKVLSFDTDLLQLKKAYKSGSLDLKIKADYANSKDLKSLLTSGSLAKSLLSVSMRGRLAVGEGDKVAIFDVGQLIGQATPATINADKANVKPLSRNIVRFEIVHLSFNSVVENYLAVAGLEDCQILTLNHRGEVIDRLAVELALHGAYIRRIDWVPGSQVQLMVVTNKFVKIYDLSQDIISPTQYFTLPDDMIVDATLFVAHRGRIFLLVLSEQGSLYRFELSLGGNAGATPLKETVQILGKDVAGKGSSVYFSLTYRLLFISYHDGSSFVGRLSSDATSVTETSAMFEEDSDGKQSVAGLHRWKELLGGSGLFICFSTVKSNAALAVSLRGDGVCAQNLRHPTGSSSPMVGITAYKPLSKDNVHCLVLHDDGSLQIYSLVRNGVDPDSNFSAEKVKRLGSKILNNKTFAGEKPEFPLDFFEKAFCITADVRLGSDAIRNGDSEGAKQSLASDDGFIESPSPMGFKISVSNPNPDIVMVGIRVHVGTTSASSIPSEVTIFQRSIKMDEGMRCWYDIPFTVAESLLADEDIVISVGPTTSGTALPRIDSLEVYGRAKDEFGWKEKMDAVIDMEARVLGHGLLLPGSSKKRALTRSASIEEQVIADGLKLLSIYYSVCRPRQEVELSELKCKQLLETIFESDREILLQTAACRVLQSIFPKKEIYYQVKDTMRLLGVVKVTSILSSRLGISGTGGSIVEEFSAQMRAVSKIALTRKSNFSVFLEMNGSEVVDNLMQVLWGILDTEPLDTPTMNNIVMSSVELIYSYAECLASQGKDTGVHSVAPAVQLLKALTLSPNESVQTSSSLAISSRLLQVPFPKQTMLTTDELVDNVTTPPVPSRTAGGNTHVVIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACHEVLDADRLPPPHTRDHPMTAIPIDVESLGADTNEIQFSADEGIADLLPAITSSVPQASAPSIHVLEPGESAEFSAPVTDPVSISASMRAVNSLILSEFLQELSGWMDTSSGVQAIPIMQLFYRLSSAIGGAFMDSSKPEEISLEKLVKWLLAEINLSKPFDASTRSSFGEIVILVFMFFTLMLRSWHQPGSDGSTSRAGGSTDVHDRRSVQSSTVVTSQSSLDVQVRDDFTSQLLRACSCLRSQEFVNYLMNILQQLVHVFKSRTANVEARGSSSGCGAMLTVRRDLPAGNYSPFFSDSYAKAHRADLFVDYHRLLLENVFRLVYTLVRPEKQEKMGEKEKVYRNASSKDLKLDGFQDALCSYINNPHTAFVRRYARRLFLHLCGSKTQYYSVRDSWQFSNEVKNLYKHVEKSGGFENNVSYERSVKIVKSLSTIAEVALARPRNWQKYCLRHGDFLSFLLNGVFHFAEESVIQTLKLLNLAFYQGKDVSGSVQKAEASDVLTGSNRSGPQSVDSKKKKKGEDAHDSGLDKSYVDMEGVVDIFSAKGGDLLKQFIDFFLLEWNSSSVRTEAKSVIYGLWHHGRQSFKESLLAALLQKVRDLPVYGQNIVEYTELVCLLLGKAPESNSKQTINELVDRCLNPEVIRCIFETLHSQNELIANHPNSRIYNTLSNLVEFDGYYLESEPCVACSSPDVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVSDLSELKNNWSLWKRAKSCHLSFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFIFDNMENDEDMKRGLAAIESESENAHRRYQQLLGFKKPLLKIVSSIGETEMDSQHKDTVQQMMASLPGPSCNKINRKVALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHQKNSNFSSGASRCVVSKTPNNCYGCATTFVTQCLEILQMLSKHPRSRKQLVAAGILSELFENNIHQGPKTARAQARAALGTFSEGDLNAVSELNNLVQKKIMYCLEHHRSMDIALATREEMLLLSEVCSLTDEFWESRLRLVFQLLFSSIKLGAKHPAISEHIILPCLKIISVACTPPKPDTTEKETMIGKTAPTVQEKDEKAPGISKSSSETEDNNSDVSQKTLDIQLVSYFEWEKGASYLDFVRRQYKASQSIRGASQKSRTHRSDFLALKYALRWKRRTSRASKGGLQAFELGSWVTELILSACSQSIRSEMCTLVSLLSAQSSPRRYRLINLLIGLLPATLAAGESSAEYFDLLFKMIETQDSLLFLTVRGCLTTICKLISQEVGNIESLERSLQIDISQGFTLHKLLELLGKFLEFILEQLCNLICPSKPEAVYMLILNKSHTQEEFIRGSMTKSPYSSADIGPLMRDVKNKICQQLDLLGLLEDDYGMELLVAGNIISLDLSVAQVYELVWKKSNQSSTSLTNSALLASNAAPSRDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEIEFAIAGAVREYGGLEILLDMIKSLRDDFKSNEEEMVAVLDLLNHCCKIRENRRALLRLGALSLLLETARRAFSVDAMEPAEGILLIVESLTLEANEKWLAALKLPSVPLILSMLRGLSMGHLPTQTCIDEGGILPLLHALEGVAGENEIGARAENLLDTLADKEGKGDGFLGEKVCALRDATKNEMRRRALKKRQELLKGLGMHQEVSSDGGERIVVSQPILEGFEDVEEEGDGLACMVCREGYKLRPTDLLGVYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGAMLRNNESLCNSLFPVKGPLVPLAQYLRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSADCRGGGRDSNSRFLPYMFQMARHLLDQGGPSQRTNMAKCVSSYISSSTSTATVPSSDSRPITPGSQLSSTGAEETVQFMMVNSLLSESYESWLQHRRVFLQRGIYHTFMQHAHGRAASRAAESSSSGGKSQDAETLTGDELLSIVKPMLVYTGMIEQLQQFFKPKKPAQVEPNKKEGTSSGVELEPWEFVMKEKLLNVKDMVGFSKELISWLDDINSATDLQEAFDIVGVLADVLSGGVTHCDEFVRSAIVAGNGKG >A09p003260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1940836:1942646:1 gene:A09p003260.1_BraROA transcript:A09p003260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFNAQVLAEKLAKLNSSQASIETLSHWCIFHMNKAKHVVETWGRQFHCSPREQRLAYLYLANDILQNSRRRGSEFVGEFWSVLPDALRDVIENGDDSERKSALRLVNIWEERKVFGSSGQILKEEILRKLPDNGGVLPLNLKQANATPLERVVSAVEALHGGQINLDDVVGKCTSIVGYLEKAAQEVERDVSSGHTPGAAVVKEVQGQHTILRDCIEQLVAMETSRTSLISHLREALQEQEHKLEQVRNHLQIARFQSDRTGDLCKQLIDHGSSSQPPEEVIKVSFTASAPVMFASNPPTQPVVVVDPRKTEAAAMVAKLTASTSSAEMLSYVLSSFASENNPPAATETHPPEKRPKLQHNTSTSTTSPPPPPPPPAFQLQPQFLQPLQPPGPVNHTPFSYTIATTTQQQQQQQQGPWIPGLTSLPTTSAPSDNNSYQKKFQGQDGFYGTSNSPSSMAPVTRQ >A03p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4956428:4958431:1 gene:A03p012480.1_BraROA transcript:A03p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNPILTGYVVAALSIYAIWFYFLSRKLTGPKVLPIVGSLPYLIANRNRVHDWIADNLRAAGGTYQTSTMVIPFVAKKQGFYTVTCHPKNVEHILKTRFDNYPKGPMWRAAFHDLLGQGIFNSDGDTWLMQRKTAALEFTTRTLRQAMARWVNGAIKNRLWLILDQAVKDNKPVDLQDLFLRLTFDNICGLTFGKDPETLSPDLPVNPFSVAFDTATEATLKRLLYTGFLWRIQKAMGIGSEDKLKKSLEIVETYMNDAIDARKNSPSDDLLSRFMKKRDVNGNVLATDVLQRIALNFVLAGRDTSSVALSWFFWLVMNNSEVEKKIVDELSTILKETRGSDQGKWAEKPLEFDEADRLVYLKAALAETLRLYPSVPQDFKYVVADDVLPDGTFVPKGSTVTYSIYSIGRMKTIWGQDCLEFRPERWLTAEGDRFETPNDSYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSPVPGHRVEQKMSLSLFMKNGLHVYLQPRGEVVSA >A08g500940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2415815:2418952:1 gene:A08g500940.1_BraROA transcript:A08g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDFPQRLYSVGHEPFPNKSIAYYSNDSKLFPALKEALEADEWEELKNSKVGVFLKFHEMKFGWASRLVHYILCFQLDCKNKFELWSLVGVEPLWFSLHEFEEITGLNCEYVKNLENPLVEVTADMKAFWAQLGVNFDRGPSQRRLQENMLKQTRTKNFTMKDYSEMFPRWDGELEDEKADNIVKAMFSSGWAWEQSHWPLVGTKLWTNVKVEIHPMKTEAGQMKRSLKTVSPSRTQSDAESRKKARESPGLDVETMKGEIVRWLTGLTSNMVEGLSRCENTLKIQSHMIEGLTTQVGAVEKMVREGWKEDHTKAGSSTDVPEANKSDEDKAKKDSAEGSKGDESKGEESRAEESRAEESKAAETAPKGMTTRAKARDTQATVSESENENGGISVVVVDKEQSHIDYGSVKKLKQVRKLRAARIVARAKSERQRRLAATQQSPFDGNSTAKVIIPNQPKQGQRYNPFANPDRQKLSALLDWVKLDPKWRQKVKGSSSDWFYILLTPTKWLIDTHPEWFRSDRICMLDAVFTQMWTAKYSEFLASPANPDGSGKLLPPGDLDYYTGEEPAYSRSNKTWALEIDDIYAPLLVKNDHWVACWISIPRRRIVIWDSDLAYATDAEIAKAVKPIAHMLPYMLRMLSTGAERELYTVDFTHERESGVPQNKQSGDCGVYCLKYIECHALGMPFPPHELCDKKIKTIRSQMASEIFDETRINGTEKRDYKHLGVYD >A09p078230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57947802:57949476:-1 gene:A09p078230.1_BraROA transcript:A09p078230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIYTTEQHQQQFIQSTNMKLLCLTFVFLVSTTLTAATPPDATVYQNFLQCFTTQTRALPNTLADVVFPQTAAGYTPALRNYIRNARFNTTASPKPAIVIVARSEAHVQAAVVCTKTLKFQLKTRSGGHDYEGVSYTSNLPFFLLDMSSFRDITVEGETAWIGAGVTLGEKNLDENAVEMVHKWQFVAPKTDPGLFMRVLLQPVTRNKVETVRASVLALFLGKSSERTARSSRGYSPCCGGTTTTTLRWLNPRYSSSVTWTRRLNGKRKSDFVEKEITKEGLDYLMKKMIEVGKIGLVFNPYGGKVSEVATTKTPFPHRTKLYKVQHSMNWKDPGPEAETSFLEKAKSFYSYMAPFVTKNPRHTYLNYRDLDIGVNSHGPNAYREGEVYGRKYFGENFDRLVKVKSTVDPENFFRDEQSVPTLPSKPANTPAKPAL >A05p008560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3529391:3533229:1 gene:A05p008560.1_BraROA transcript:A05p008560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVPSDQSFYIESEEEDDRKDYEEEDDDDQSHSDSSDANDDNQTHTKPSSYTTAWPQSYRQSIDLYSSVPSPNIGFLGNNSVTRFGSSFLSSSLIRRHTPESLPAVTKPLLEADEQALPPPPKHRLSSHGLLSPAPSRRGSMRKDEKVFMVSHEIPMSRNSSYGQAVLNGLNVLCGVGILSTPYAAKEGGWLGLMILFIYGLLSFYTGILLRYCLDSESDLETYPDIGQAAFGTTGRIFVSIVLYLELYACCVEYIILESDNLSSLFPNAAFGIGGFELDARHLFAILTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLVDEVGIHSKGTTLNLSTLPVAIGLYGYCYSGHAVFPNIYTSMAKPTQYPALWNLYSDVCRCGCYGLYNVWRSNRITVYSQLASRVESTYALTISPVALSLEELIPERHNKSHWYAIAIRTALVFSTLLVGLSIPFFGLVMSLIGSLLTMLVTLILPPVCFLSIVRGKVTSTQMMLCVLIIIIGAISSVIGSYSALSKIIQKLSS >A01g511000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30756056:30767292:1 gene:A01g511000.1_BraROA transcript:A01g511000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGDLRLNPLGGDTQVEILCNDWYVFRFQRDVIMIVPGMQCRKSNLVTPLKIVGQDPINVMVEDRDAAFAMNVAQRCVRGESWTGEFPVKSKSGKIFSAVTTCSPFYDDDGSLVGIISITSDIAPYLHSRISLSKSKQGETISSPARNSFASKLGINSHQPIQAALASKISDLASKVSNKVRSKMRGGESSATLSDGGTLDSHHSDHSVFGVTLSDHRDDAAPSGVSSPRGDFIQSPFGVFTCKDSTDGKTAIHKILPSKSEECLVNKDFSWPWKGNEQEGSKGRPAHYVRSWVHNDQEKDKSHQINPFSGAKSESNKPTIDEGGSLWSSSINANSTSNASSCGSTSSDSDCLEYEILWDDLKIKEQIGKGSCGTVYHGLWFGSDVAVKMFSKQEYSEEVMQSFRQEVSLMKRLKHPNVLLFMGAVSSPQHLCIVSEFLPRSSSHIALINLQWKSLPSTTEEHITIGLEAAYSPPIIHRDLKSSNLLVDRNCTVKVADFGLSCIKHETYLATKSGRGTPQWMAPEVLRNESANEKSDIYSFGVVLWELATGKIPWETLNSMQVIGAVGFMNQRLEIPKDIDPLWISLMESYVHISQLMLTLNQSVFLRSLVYSARQRYNAETYIPSTDGETKRSAKEVYNTVPSYFSRFDRTMETPPAEQLLKKILELEENQEHLKQEMSRLKVSTEIRQRSHSVSPHRPPRRNIGGDGGSSWRKSGAASFRHASPLRKDSRIQGPINLRAGVGGGPGGGDGGRSAGKFTDKQNAMAEKLYGYSAAEALGENPINVIADDRDAAFAMNIARRCVRGESWTGEFPVKSKSGERFSAVTTCSPFYDDDGTLIGIICITSNTAPYLNPRISLAKLKAEEGETSFVPARNSFASKLGLDSKEAVISKLGLDSDQPIQTAIASKISNLASKVSNKVRSKMRAGESSSATLSEGGSGDSHHSDHGGVFGATHSDHRDDAASSGASTPRGDFVQSPFGVFTCNEEKFHSKPFKDSSDESDEKPAIHKVLTSKAEEWMVKKGLSWPWKGNEQEGSKGRPTHSVWPWVQNGQGKDKTRQIIPSSVVKSESLAFESNKPATNNEGGSMWSSSLNATSTSSASSCGSTSSSVMNKIDDTDSDGLEYEILWEDLTIGEQIGQGSCGTVYHGLWFGSEVKMEDECVENKQSRAAASCSSVSEGSGGSSSFLKSPPSVASPPPTVSPTPRRTSGPIRRAKGGWTPEEDETLRQAVCKFNGKSWKKIAEFFPDRTEVQCLHRWQKVLNPDLIKGPWTQQEDEKIIELVEKYGPAKWSIISDSLPGRIGKQCRERWHNHLNPGINKDAWTPEEELALMNAHQVHGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKSEFLLANGSLPPAAATNGVPSCFQRRLSVSVAQTSSGRTQINKPREEVAAASPMAGFEEYVRSSQLPKPEPSPENGYHLNNKKPQPEDHHMASEADKQRMYGYECGCSPSASSPVIFFTPPPPSREEYSSNGSAPRSPESFLREAARTFPNTPSIFRKRRRKAVVVPDNNKTDEEEAAKEVVDEKVNGISETLDCEEKENSGSNAYNLSPPYRIRSKRTAVFKSRQLEFISPEEEKVDDETKSSEKDKFA >A03p021970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8876714:8878680:-1 gene:A03p021970.1_BraROA transcript:A03p021970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY33 [Source:Projected from Arabidopsis thaliana (AT2G38470) UniProtKB/TrEMBL;Acc:A0A384L4W4] MAASSLLHIDNNTTRQNMSGSANWSRRSSASLEDLEIPNFRSFAPSSVSISPSTCFSPSVFLDSPAFVASSANVLASPTTGALITNESNQKNVTKEEKNNNINYFDFSFQTQSSALSAPTTTATNSSIFQSQEQERKNQSDQWSQTLNNSNTHQAVSYNVREQRKGEDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLEGQITEIVYKGSHNHPKPQSTRRSSSSSSSTFHSAVFNASLDNSFSHSDSLAIQQDDNTTSGSVGDDEFERGSSVVSREEECGSKPEAKRWKGESETNGGNGNGSKTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASQDLRAVITTYEGKHNHDVPAARGSGYATNRPVQDPSSAPIRPAAIAGHSNYTTSSRAPYTLQMLQNNNTNAGPYGYTMNNNNNNNLQTQRNDFAGGFSRAKEEPNDESSSFFDSFLS >A07p027190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15535258:15536871:-1 gene:A07p027190.1_BraROA transcript:A07p027190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSCLILFLSLASSSLAVDPSNQPIYESFLKCFSNQTCTAPDKLCGVVLPQSSASFTPTLRAYIRNARYNTSTSPKPLIVIAARSEAHVQATVLCTKSLNFQLKTRSGGHDYDGVSYISNRPYFVLDMSYLRNITVDMADGGGSAWVGAGATLGEFVAPKTSPDLFMRLLLQPKTKNTTQTVRASPDSASFLKRKSDYVETEISKEGLGFLFTKLMETGKLGLVFNPYGGIMCQVPTTKTPFPHRKRLFKVQHSMNWKDAGIEAENSFMEKTRSFYSYMAPFVTKNPRHTYLNYRDLEIGTNSHGPNSYREAEVYGRKYFGENFDRLVKVKTAVDPENFFRDEQSVPTLPTKPSMR >SC140g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:333678:335748:-1 gene:SC140g500130.1_BraROA transcript:SC140g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDPSATTLGLADSFDQDPNKVLNGKGCQLTYRDFEAVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPACVLFLDSTMDQDREWLLLGRDQRAIMSNPPCLVLGRMHRVRHELHLRNDELFGCNFRKNNLYEVIFTKKYSLGFKPNDRPPRSLRSDRVIVPLGRYVATELSQARSLRSDRAIVPLGRYVATERSSRSRPSDRPAWSLRSDRARAKARSLRSDRAIVPLGRYVATELKPKLATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSVHVSRYSNSSIKLRGLETAENS >A05p023760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11529879:11532441:-1 gene:A05p023760.1_BraROA transcript:A05p023760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLFFPLSVLTISCLSRNTQKQQKERFRRDQIDSITSVTAMRNWENPVMLACNTASKKNLPSCIVFIIIFITLLCPAVMSQVVVPGSDADCLLRFKYTLANGSVFFSWDPSTSPCQGNDANWFGVLCSNYVWGLQLEGLELTGKLDLDPLVPMKNLRTISFMNNEFDGPMPQVKRLTALRSLYLSNNRFSGEIPALAFQDMPHLKKILLANNAFRGTIPSSLASLPRLVEVRLNGNQFQGQIPHFKQKDLKLASFENNDLAGPIPESLQNMDPGSFAGNKDLCGPPLSPCSISPPVIPVDPRTHPSPPLSSPQGNKTGSFNTIAIIMIVLGLLLVIIALVFCFIQSRRRRNFLSAYSSSGKESVESYTYHEPVVRTNKPAESLVNHARRRSTPDPGGRLLFVRDNVQRFGLQDLLKASAEVLGSGTFGASYKAAISSGQTLVVKRYKHMNNVGRNEFHEHMRRLGRLNHPNLLPLVAYYYRKEEKLLVTQFMSNNSLASHLHTNHSADQPGLDWITRLKIIKGVAKGLYYLFNELPTLTIPHGHIKSSNVVLDESLEPLLTDYALRPVMGSEHAHNFMTAYKTPEYGPAKGQVLTKKTDVWCLGVLILEVLTGRFPENYLSQGYDPNMSLVTWVSDMVKEKKTGDVFDKEMEGKKNCKGEMINLLKIGLRCCEEKEERRMEMREAVEMIEMLREGESDDEFGSIDQRVSNDLYSSMLWDDDDSLNR >A04p032420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18973012:18974874:1 gene:A04p032420.1_BraROA transcript:A04p032420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA [Source:Projected from Arabidopsis thaliana (AT2G35210) UniProtKB/TrEMBL;Acc:A0A178VQN0] MASENLNDKVAVFKKLKSKSDNKICFDCNAKSPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMVYGGNSRAHVFFKQHGWSGEGKADTKYTSRAAESYKQTLAREVAKSNAEELLDLPPPDSTTKQVPNGLSTIKTTEEAPKESINNNTKVPVSPRVSKSVKKPLGAKKTGKTGGLGARKLTTKSSETLYDQKPEESVVVQAAYSSANSSPSAKSARSSFSSRFDYADGVQTREQYMSNGPQVFGHVAPPKSTGFFEEFEMNGGGGFQKKPITSSSRIQETDEARKKFSNAKSISSAQYFGNENNAMDLEAKSSLKKFSGSTAISSAELFGDDDGDFPLDLSAGDLINRLSLQAQQDMSSLKNMAEETKKKLSSVASSLWV >A04p009120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7884659:7885632:1 gene:A04p009120.1_BraROA transcript:A04p009120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSTFYHPLCAHHNHRTARHSVRSLTVVSCRQQKSPEESGGVIQRTVIRMISEAGKIGKNLKPEKKGDMKDLMLMSLSFAVYVYISQLMVPVLAMYPQCPIVHHDPMQYWSDGESRTSGNIDFHFTISIRGNTTVLRRLTLKPNDAVGT >A07p035710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19286448:19286894:1 gene:A07p035710.1_BraROA transcript:A07p035710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPIRFLRNSVLVALGGFMTINVVSAAAFGAFRLATEEKRKKSGLPCGACRGKGFYICKVCKGDATIKWSPLYDPVCINPCLCPTCDGHRVQRCLNCLGKGYW >A03g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13392043:13393118:-1 gene:A03g503820.1_BraROA transcript:A03g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRVFIEKDIQPTIDYFNWLNSNLEIAKRVNVSGCQTKATRGPSLLMCAKCGNTNVSGVAKYLAKISVYNINDQAVFVLLGDAGKLGAGHQMSAPRALIDTIGQTHKVRVKVSNLNFTGKIQAITVTKIVSPKVLPPVPTPTEIPFDAEDKVALLSVSVVDGSGFNADDGNESTSNRDESKKAKCPKHGK >A05p048650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28442680:28443703:-1 gene:A05p048650.1_BraROA transcript:A05p048650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDDSDWFSRWEQDLPSPDELIPLSQSLISPDLALAFDIRSPGQQPHHHHPSTPQANSSAEFAADSSDLGGAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGGGLSSGGGGGSDPATDRLFASSPVPAHFLHPNRSDHFMPSFVPIATLQQQQQMVAAAAANSHLQPPQFHHRSQIAAGHFGSPTANGKFDASFLARQTQTQLRMSTPTLHSPVSNYVEDLESANAIGGRAVLTFLQETLRQVLCSVYQFNIDS >A09p023570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12891234:12891530:-1 gene:A09p023570.1_BraROA transcript:A09p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIAITQLATGLSVLAGAVLVKSVLDQKPMAGGPFPRCPTCNGTGRVTCFCSRWSDGDIGCRRCSGSGRAACSNCGGTGTGTPLPVQITVRPPSRPF >A10p016030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3321447:3322523:1 gene:A10p016030.1_BraROA transcript:A10p016030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLGTHAGFTRRTLSPKGEDLLQLRRLRFSSGCVPERSMSPVRNLSSNITTTGSSLKVKDSTLNSLVPESPNLFSPELPDLAQPPEPPDPPDAPSEPQDVPSSTTPAPVSLDMTYPSSPPISTDLCGTRARTFPSRSRRSSPPFSFISILHLLPTSITAMFLLPELQKRLFSATASYVASPLAAETLALRNAMISALQCGINALLIFSDFQILTNLVNSRGRHLEIAVLFNLLSALFTAVEFKFIPRLVINRADLVARQTPCLMYKK >A03p024850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10459673:10461879:1 gene:A03p024850.1_BraROA transcript:A03p024850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable serine/threonine-protein kinase PBL8 [Source:Projected from Arabidopsis thaliana (AT5G01020) UniProtKB/Swiss-Prot;Acc:Q8GXZ3] MGNCNTRDEASVLTPQAQAQAQAQAQAQQLQRKHSRSVSDLSDPSTPDSRTPPSVIPFTLFELETITKSFRPDYILGEGGFGTVYKGYIDDNLRLGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHYNLVKLIGYCCEDDHRLLVYEFMLRGSLENHLFRKTTAPLSWSRRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLEMLTGRKSVDKTRPRKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSVKAAQKACSLAYYCLSQNPKARPLMSDVVETLQPLQCTSDSLIPGATTGAAFAMGGVPDYRMHRRFAKNVGPGAICRSSPNQSCSPGGPAACRVR >A10g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17290118:17291766:-1 gene:A10g506230.1_BraROA transcript:A10g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNKAAALAKFLERKLQDPNVLSSIDPDLIELAVKNSRHIISSRTSSSGRRIQHVVSFVDVEITVDEDAKLKRPNKKLKL >A07g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14256151:14258808:-1 gene:A07g506050.1_BraROA transcript:A07g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEKNKKSRQGKLGGASSVAKRKGGVDDEADPGTVSCFIITTNIATSVNTTTRRINTATRVREMGTSHTCTTKLRCVGMGSMVFVISKLEGAEAED >A05p019820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9288970:9290933:1 gene:A05p019820.1_BraROA transcript:A05p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMNSQTSYGVVGNSNEEKRVIEKARSLLNWLTERNFDKIKDEIVQTIIRASPSAFVIKSLVCFILDMAMVDSTANVLFARLSVYLFQSLPPFPSADCHGEITTFERLFLCKCRMELEHSSPQTDRHAPLVYVDESDCWRFIKTVRLLAEIFKNRMLSQTTRQSIIQVLMNPIFPPERNTDSMNCFLSFVGVLADFKFCDENFKQLVQNSRAVELESSYNEQVTLRKEAEDALARNEGELDLMKRLLESYKEDKCKLHLHAQGLEQEYQNESKLRKETECALAIERESIAKVRLRLETLENEHNNLRLKAEELESNYTDELILRKESEVSLDKERNELEAMTQVFETCKIEQENLTSQVRTWQDKYDQELSVRKETEDSLSRQKEELEIVKGLLEAYNQEADAMREERDSALQTVQELTRKHLEERQPPPSFYCPITQEVMKDPHFAADGFTYEAEAIKKWFSTGHETSPMTNLQLPHRNLVPNRALRSAIQDLI >A09g518110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55267561:55270541:1 gene:A09g518110.1_BraROA transcript:A09g518110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENCMVLSGDWVCSDVGTWDFVIEKNRMGRMVEIYDGIGCKELAGNVLREFKLDEERYGVTLSYWPPTSFELATGIRTPPVMITNHGAVKYFCDHQKVKGGMNLFAKFELKTDSVDTEVVDDSGMAFVSPEAARFVEKRDFGSASSKKGYVSSAASKNRVIHRGSDTRFDFVSEKAASLGGMGDLGSGSSKKRNVTSPYKKSRVIDISDEDELVREVEKLEETIMSEGGKGGTSLGEDESGVINPHGAARDVDIPEEVSSQVVYPPNTKRQPGRRRKTRIPSTGEIRAPKKKVTKNKCGRCREEGHNRTNCCVPI >A06g500450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1958041:1958364:1 gene:A06g500450.1_BraROA transcript:A06g500450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDRCEYLGNSIEGATAYVEPISPLYSGDSGFIALSPLCDHYNDQMRIDSLTTCFDEEIIESLYQNLFSSALCLQIEEPGDGSDIPLFCALPWSTHAAFQAIEKH >A06p032230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17220171:17220592:1 gene:A06p032230.1_BraROA transcript:A06p032230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGEDEPKIFSKENNFFEILEEGQKVDIVKYIDYMSAFQAGGNFLMLYKRHVRWQNNQTGTPIRFLLLTICTFLHLGDGFDACKFLINELKASVPIQKKEVYANVEIWKRILRFWKS >A08p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13121310:13130242:-1 gene:A08p019190.1_BraROA transcript:A08p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDSDFQNQNLHLAGEANTKFPPVSLPRFDFDEHLRFDSLVETEAFLGIEGNEDSNWIEDFSRGSSGVVFSSAATESCAISRHTNVWSEATSSESVEMLLNSVGQDDQVIVREKDNSIRKSGELGCTMDQFEPGLETILSKEETPTNPSVDDTPGDSCKTDAAQEQVPLKDDSPTLVEEEPEDNAILASNTAAVPVEVVDTACHDKIGTETTHSLLDQTVAENNAVLAHVSSAGLDSVGTETTDSVHNQTLTEEASMEENSVVLPSDTGTVEAVDTGGHANIRTETTDSLLDQTEDEANTESRMEIDCSHGTVQTGVSASGELNNHNQTTLLPEVFNDENDISDHTAKSDLKDMELSDVTVLERGDQALSALEVAEPDVSGTQCQDLPVSSANTSATVEASLELTGVLPNTTSSEHESTFQTQTHTEILRVETSESVNVSLMDSMVESTYGDVSTKGDNKEGSARISYLKQSMELAVNANDRDQDAKSSQVLSESFVSESVGYVSRDSASKLVESNSQSDTIPKENPGTMIDIKECEAFPLKPEESQHLSQDGASAVSLTASVDLHMVTTSSEANEQVNFSVTEKVLSGEPENCQTVSPVEASNSGIHIAQQASKHTEDTQQSTQFLDGCPTSEGSKDAVDDDAAGQVLPQQCEETISEKNLTEVVDVPETRSILDKDALNENPKASSLANLRSEAVADCQEEDKTAASGRIMTSATSVSYPADPDAKSSQVLSESVVSESVGYVIRDSASKLVESNSQSDTIPIDKSGTMTDGKECEAFPLKPEESQHLSQDGAPAASLTSAVDLHMVPTSSEANEQVNFSVTGKVSSAEPENCQTVPPVEASNSGGHIVQQPRKQTEDTHQSSQFVEGCPASEGPKDAVDADAAGQVLPQQCEGRILEENLTEVVNVPESQSVLDNDAINENPSASSLAKTATGGIKTVATPVSHPTDSWNKRMCTHPKYIPVLADIAGGVIEVGVSCASTSSEPFVKSHVTGTENAATDLGSHVISSPARKMTELQLNKTEDQNTLSLMATESPVLDRNPTSSSGLNLTSDTRKAVEISETTLVSPMVVGSLSKSSLEKTAAKSSKTKSERKPRGTPKSAGKETSRKGNSVKGAAPFQHFQSAGKANAVNQSSGSSIQITHSTEKQQCLQTPVLNSFGTLSAPTTSLPDMNSTAPSSIFRRPFTDSQQVQLRAQIFVYGGLIQGTAPDEAYMISAFGGADGGRGTWEKAWRACAVRAQRMRVSSPETPLQSRAGKTETPSMSHTSSKVSSATKPIIPLSSPLWSLSTPLETLQSRSIQRGSAAAPLPSSSHAHQAASVTNIGHNTAWMSPLPYPSPWLASPQTSGFDVGSRFPVFPITESVKLTPTKESSLPYSGGKHVLSGTSGNVFKGTQTLEPASTVVAPAQHSTGTKSRKRKKMPVSVESDPSILNSLQQTEVVVSPLVSISTPVPITAAPGSLTSNAGTLASVDSISAVPMNLVSTFPGKKLKSSLQSPIFGGNLVSEVKQRSVLPADTIDKLNEAKMHAEDASALATAAVSHSEYVWKQIEQQRLAGLQPETQGRLASAAVAIAAAAAVAKAAAAAANVAANAAFQAKLMAEEASLPSVSYQGNELHKSNDVLTQGQGTPASVLKGEGAVVSSSPFLSAAREAAKKRVEAATAATKRAENVESIVKAAELASEAVSQAGILVSMGHPPSLNKLVEVGPSNYWRQAQESEKAQPCKVGVLEKETETTSDRGFASPSTAHTELDGSVRAADGLGLVSATGKKTNGQKGHISADVAKHTAVVFEPEVGSKSSIDTQTESEQIMKKTNDECIKEGSHVEVFKEGPELRTAWYSANVLSLEDGKAYVLFNDLSVEQGTDKLKEWVALKGEGDEAPKIRTARSITALPYEGTRKRRRAAIGDPVWKIGDRVDSWVHDSWLEGVITEKNKNDENTVTVHFPAQGETLTIKVWNLRPSLVWKDGRWIECSTSGENISSSHEGDTPKEKRPRLGAPSPVAEGKDTKMETVVGPDLGKPPQTGVLDLGVSETTFNIGRKEGNPGPLRMKRTGLQTQGAKVIYGVPKPGKTRKFMDVSKHYISEASNQTRKQKEPAKAVKPIVPQNPGPGSWRLPSKPREKQTTTTTKPKTFKPAPKTKEKPVAAPRIIPRKDSRSTTSSNMESEDAVGQSGENKGPASTSRDPAKGTGEEQITSSSQQGQDSSSSTTTGKGKVAPTAGRLPKIEEAKALDDNSSKASDGMEPRRSVRRIQPTSRFMCASLQLLEGLQTSMMPSKIPSMSHSRSHQSQRKQQVGNGRSKS >A05p004480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1759004:1760368:1 gene:A05p004480.1_BraROA transcript:A05p004480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RLP29 [Source:Projected from Arabidopsis thaliana (AT2G42800) UniProtKB/TrEMBL;Acc:A0A178VT48] MKTKRALPSPTSLLFHLLITPLFLCEANTVSMPPSESETLFTIMDSMSSDQQWRRSHPNPCAPGSSWPGIECKTGPDRLPHVSRLDFGSAPNPSCKSSASFPHLIFSLPFLQSVFFFNCFTHLPTTIIFPIKLLTNSSLQQLSLRSNPSLSGQIPPLISSLTSLQILTLSQNKLTGAIPPVLFSLKNLLHLDLSYNKLTGTIPLQLGSLNSLLGLDLSYNSLTGLIPHTISQLGTLQKLDLSSNALSGAIPQGLEKLRSLSFIALSNNRLRGAFPKGISKLESLQYFIMDNNPMHAPLPVELGLLPKLQEIQLENSGYSGVIPESYTRLMNLSSLSLANNKLTGEIPSGFDSLPHVFHLNLSRNSLIGVVPFDSSFLRRLGKNLDLSGNRGLCLNPEDEFSVVKTGVGVCGRNVTSGPSPKISQASTSRCCYGSCLLANALFQVVLFLGLRHHR >A02g510660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:28328542:28328865:1 gene:A02g510660.1_BraROA transcript:A02g510660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEILSKLLVTCMRSVRTCKKWNSLSKTRSFVEKYIAEETSREFSVVMVMNKDVCMMGVNLTGTHSNKFDLSIERKGKLIMRYNNLYIESVVKPRVFHSDGLLLFV >A09p034200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20650515:20652092:1 gene:A09p034200.1_BraROA transcript:A09p034200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNFAFTGDDDAASVSSKQLVLLASICSGILMCKLVYDFAAYISPLRFNAYPKLDSKVRMEWNNRGFSTFHAVFVSVASIYLLVISDQFDENVHGDSVVNSTTSLSEAVMGISLGYFIADLTMIFWHFPTLGGIEYVFHHCLSMFSIILSVTSGQAQFYIFIVLLSEATTPFVNLRWYLDTSGQKCSKAYTLNGIALFLGWLVARILLFIYYFVHMYFHFHQVKQVFPLGFYSLLTVAPVLSVMNLLWFWKITKGLIKTISKARHRE >A03p030410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12750942:12752533:-1 gene:A03p030410.1_BraROA transcript:A03p030410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNGGVPPGFRFHPTDEELLHYYLKKKISYHKFEMEVIREVDLNKLEPWDLQERCKIGSTPQNEWYFFSHKDRKYPTGSRTNRATHAGFWKATGRDKCIRNSYKKIGMRKTLVFYKGRAPHGQKTDWIMHEYRLEDTDDPQGNPSEDGWVVCRVFMKKNLFKVVTEGGSSINSTDQYNHDASNNNNSLQARSFMHRDSPYQLVRNHGATTLELNKPDLTLHQYPPIFHKPPSLGFDYSAGLPRDCESAASEGLQYQQACEPGLEVGTCETVASHNHQQGLGEWSMMDRLVTCHMGNEDSSRGIRFEDGNNNSSSVVQPVPETNQLSLRSEMDFWGYSK >A04p016160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9942692:9944114:1 gene:A04p016160.1_BraROA transcript:A04p016160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRGKQKKRLPSGIYGKFLMDSNGNQKGLTSVENQISDNQVPTDDFARTGKTGEELGVASRLLTPSLVLLIAELPVPHRLLTPLSSYIPIAHIFPSSRKVIAHFPNIEDSSSTSSRDESYPTLSLPPFVIAHFSGRAFLPTSLPRSHRSSIH >A05p009650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4030206:4031865:-1 gene:A05p009650.1_BraROA transcript:A05p009650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCAMNCQFSSAVKLRNDVASLRIRSRDFAFGGSVKELKVPVLRINKKQRSRLLMVNMSHSNVEPLSSVVAATEPTEEEGGSILGKGDNVESLGADEPTKLVTAHGGGGGGNGGFNNGGGGGGGGDDGGEDYEEKEFGPLLKFEEVMRETEARGAKLPSDMLEAAKTFGIRKLILLRYLDLQSSAGLLGFAIRSWSMLRNRMLADPSFLFKIGTEIVIDSCCATVAEVQKRGKDFWAEFELYVADLLVGVVVNVALVGMLAPFVRFGQPSASSGFLGPMLNAYNALPSSVFEAERPGCSFSAQQRLATYFYKGIMYGAVGFGCGIVGQGIANLIMTAKRSINKSEEDIPVPPLIKSAALWGVFLSVSSNTRYQIINGLERVVEASPFAKKVPPVALAFTVGVRFANNIYGGMQFVDWARLSGCQ >A03p052080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20227486:20230606:-1 gene:A03p052080.1_BraROA transcript:A03p052080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTTTKNVCGDKWYLNLDKPEEALKVLGFIAIFVIRTLLHHAMKPLGQPYLTTDFAVRLNLFPFSLLDDIPKPILTCYVPQIGLILGNLPKFREAFSGPYSTTLNNIIEFGMICHMFVMGLEMNPSALLRPPTKDAFMAYTSMLTTFAIAFATTPFLHYTKTAPFVFSLALSLMASSTGSPILTRVISNLKIRKSDLGKLASAAGVHTDMISTLFYCFGFIFFPTERPLPRPLHRFFRALLMFCLFLAQVTFTSIVSPIFLNWVNNENPEGKPLKGSHLVMSLAFVVLICSFPTWPPESMYNPILSAFTAGLFLPNQGRMSKWIINKINYLLSTVFYPIFFFWVGFIIHMRNFDIGDKMAWARFFSLLGTVIAGKVVGTVLCGVLLGYHVRETASLGLLLTTKGHFHVYLAALAIRTNRVKNTTGAMMIFVIVLTVVYSPFVVMDIIKRARKRVPVHIMALQWLDPTTELRVLMGLHGPHNIGSTLNLMEICHGGREPGSIYYATDMVELTDEIAATLKKDGRSGQNSDDSVTVTDRTVTEMRESITAAVNGYGELRSGQGVTVRRMLALSTFMTMAHDICGLADELMVSIIILPFHKRRSPDGTLDSGHTGFRHVNRKILKNAPCSIGILVDRSFGQTEEAWRPGASMDIAIIFIGGRDDREALAFAAQVARHPAVKLSVIRFLEDKSSQNAQKRSSILNRASVVEQEEEMKLDDECFAEFYERYIAGGGRVSYMEKHLTNSSETFTALKSLDGEYGLVIVGRGGGRASSGLTTGLNDWQQCPELGPIGDVLSGSDFSHNTSMLIIQQQRTRGQLEGLHDDFTIL >A03p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9789098:9791233:1 gene:A03p023240.1_BraROA transcript:A03p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKEEISKSTRFWSSLWRGIKTVFVLFTMFLSFLLVSAPIFLAVADALLPSALLHRLSSPANLSSHLTNYDFRHSLIDIPLISIVRSAVILCVYGLCDGPKLSRGPYLTITMICSVSSLIYVSLKAAFVFGEPANVDGGGSYFRAAEVALFLCSSVLAIGHIVVAYRTSCKERRKLLVFKIDIEAVSACKNVYIPKDPPTREAQVETYIKRLNTTSSSLPFGQLLRFQVFRQIQWIVCKGGRNR >A01p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20354533:20355829:1 gene:A01p025000.1_BraROA transcript:A01p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LIFEGUARD 1 [Source:Projected from Arabidopsis thaliana (AT4G14730) UniProtKB/Swiss-Prot;Acc:F4JIE8] KLLTPKKKKIKFITITPFSSSLYINTFLVTSFSPRKSKDLIFSLSFRIFSTTMEKSDIESGVVIGGKELYPKMTESTELRWAFIRKVYAILTLQLIVTVGVSSVVFFVGEISVFITTTTPGLVVFFVSLLLPLLMLWPLIVFAKKHPVNLIILMLFTLSISFAVGLCCSFSKGKIVLEAAVLTATMVVGLTIYTFWAVRRGHDFSFLAPFLFGSLLIILVFATIQVFHPLGKLSSMIFSCVASVCFCGYIIYDTNQLIKKLNYDEYIHAAISLYLDVINLFLNLVGILVHT >A05p003510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1290993:1293580:1 gene:A05p003510.1_BraROA transcript:A05p003510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPT [Source:Projected from Arabidopsis thaliana (AT2G41490) UniProtKB/TrEMBL;Acc:A0A178VV44] MAARKRPSPTSISTKPDTSEPKTTRYTPDNNVFHLSPPKLRSIFVISTLLCSLHLYLLCFHYTVDAELKRSVLINAALSLVGFFVTLKMIPVAARYVLRRNMFGFDINKRGTPQGEVKVPESLGIVVGVVFLIVAIIFQYFNFTEDSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLVLPSFATLPLLMAYAGHTTIVIPKPLVAYVGLEVLDLGRIYKLYMGLLAVFCTNSINIHAGLNGLEIGQTVVTAAAILIHNVMQIGSSTDPEYHQAHAFSIYLTQPLMATSLALLAFNWYPSSVFVGDTYTVFAGMTMAVVGILGHFSETLLIFFLPQVLNFLLSLPQLAGIVKCPRHRLPRFDPATGLLTGTKDGTLVNVYLRLFGPKSEKSLCIHLLVFQALACAFCFLLRHFLAGWYK >A08p008340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4888162:4888834:1 gene:A08p008340.1_BraROA transcript:A08p008340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWSRSFRLLRPSSFRRKPSAVTCLSDALQPILLCGTCVMGPELSRITSASISLSLTCSSSPGLFVLGGTHRDTPCRLLEWTASGVDFTGSRWPDQGLVSLASPVAPVGVAFGMVCGSLDHSPVESSIDLCCLMQVPTSCLFRDLLKLNVCSWQLALISFLDARI >A09g514100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42521036:42530607:1 gene:A09g514100.1_BraROA transcript:A09g514100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSILINGVLSLSDMIMESTKDWKHEPEPEELVAEDATLKNIWKQEEYISTMAGDQKGKLTKEERLLLKSMNAQMQQMLDTNMGEFRKELRQKFLQQTDDLRQQNKKRMDKQKLEPRPPDSVPNESSKHKWYKEEEAGRGQQSYKQPAHTLSRPHQASRTPKSNIHSSYNQIVTKSQLYVFTGEGDYLKWERTMEKWLCYNKILKRDALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVQRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKEKSETEQECSLFLSQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEVNMVASVPRMFVKVSTEVIKRFGLDKNLNQKSSLIKNVFKIKIITFLVLELELKHSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKAEKCTGKKRGYTDESLAKLEMQQSNLGSCLAVNFDIGAVRGSYLSNPKELSNKLNCYGNYTHQGLTSNWNLVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFKSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKHEEDKRFKPPDLSQERHQDEYQGAFPQPLVSPFDPHTLRNLSLLIRFCKDYPICSRASS >A03g505290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19054290:19054571:-1 gene:A03g505290.1_BraROA transcript:A03g505290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWRGCRSMSTCGVVDGTTCKRTVQQQIRLWRCDSSRNFDVGLLGSKLWVRPKQIPTRTQSEALTRRWFEFRFVPVEGKLRWAVNGGAAAQG >A06p040310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21800578:21803206:-1 gene:A06p040310.1_BraROA transcript:A06p040310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP65 [Source:Projected from Arabidopsis thaliana (AT5G67530) UniProtKB/Swiss-Prot;Acc:Q9FJX0] MGKKQHSKDRMFITKTEWATEWGGAKSKENRTPFKSLPFYCCALTFLPFEDPVCTTDGSVFELTTIVPYIRKFGKHPVTGAPLKGDDLIPLVFHKNSEGEFHCPVLNKVFTEFTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTEEPFTRADLITIQNPNAVDSKITVEFDHVKNGLKLEDEELKKMNSDPAYNINASGDIKHMLADLGTDKAKEIALHGGGGNKARNERAAAIAAILESRSKIKESSKADEPKQTYSVVDAASASVHGRSASAAKAGSSDKTAARIAMHMSGDRAPINTKMVKSRYSSGAASRSFTSTAFTPVTENDFELIKVEKNPTKKGYVQFRTTHGDLNIELHCDIAPRACENFITLCERGYYNGVAFHRSIRNFMIQGGDPTGTGKGGESIWGKPFKDEPNSKQLHSGRGVVSMANSGPHTNGSQFFILYKSATHLNYKHTVFGGVVGGLSTLAAMESVPVDETDRPLEEIKIIEANVFVNPYTEPDEEEEEKEKAEKEKNEDKDIEKVGSWYSNPGSGTAEGGAGTGGVGKYLKAKSNAATKETSGATDSDIAATAVSKKRKTTSASGSTGFKDFSGW >A06p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27531711:27534406:1 gene:A06p052030.1_BraROA transcript:A06p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLQSHVLPFTFLLLLLLLPLLSESQLPPSESKTLFDIQKQLQYPHILQSWTSTANFCNLPSSPSFRVLCSKGHITELTITGNRTSKLSGRFEELFTLLTKLPSLNTLSLTSLGISGPLSPKIITKIPPSLQSLNLSSNFISGNIPKEISSLKNLKSLVLTDNLLNGSVFDLRGLSNLQELALGGNNLGPELPSLPSNLISVSLRNNSFRSKLSEQIKKMNKLQSLDLSSNEFTGSIPEFLFSLPSLQTLSLAQNMFSGSLPNSTCSSSKVRTLDVSHNLLTGKLPSCYSSKSFKNQTVLFSFNCLSLNGTPNAKYQRPLSFCQNQASKAVAVEPVPKVEEKDSARSKLGLVILVIIGVVILAAILVVSVLIILRRRRSESEEDTFEVNNNNNDRHASDKASVCSNTTTSTKSLPDSRRVPQTMRSAVIGLPPYYMFSLEELEEATDDFDAASLLCEQLYRGRLREGIAVTVRCIKLKQKSLPQSLAQQMEVLSKLRHMHLVSVLGHCIASNQGHNQHAGHTIFIVQEYISNGSLKDFLTDCRKKEVLQWPQRMAIAIGVARGIQFLHMGVAPGIFGNNLDIENILLDGTLTVKISGYTIPLPSKVGAESNQVKTPRSNEDGEKEDVYQFGVILLQIITGKVVAAGSSEMGSLKLQLENGLRDEPSVLSSLADPAVRGSYAYESLRTTVEFAINCLCEDQSKRPSIEDVVWNLQYTIQVQQGWTNSGNHEATMKAIYE >SC177g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:199793:204318:-1 gene:SC177g500060.1_BraROA transcript:SC177g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERLGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVIEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCSQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKDWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIRECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPTNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEETQEVESGAQSGGNEQGEPAGNEQGEPTGLREEAQENQDVDIKETINKEVKTEERWAVWSWIVTGPNGCEDFESLTRPVTCTLNGSPLPLGDSKHPSCLLLFYISHSYALHSKLGLSCTDRLV >A05p047140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27847429:27848668:1 gene:A05p047140.1_BraROA transcript:A05p047140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFTLRKIGVPPNSANLTEARRRVFDFFRAACRSLPTVMDIYNLQDVVAPSQLRFAISAQIRNNAHVTDPKALPLISLSLWVLGGDLLRAERRLCLRSIVILTCFNYHLSLKVESFTFWIVWRMKCLLYWVGSFTPLLSAKAVLPCGSFFEGSFLCFWMQSSRALLALFLCAFRMQSILFPDDSLLLLQVIDLLLFKGMEELTDIVDHAKQRHHIIGQYVVGEGLVQNTGSKDQGKSDFLKNFYTSNYV >A03p018700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7640907:7641140:1 gene:A03p018700.1_BraROA transcript:A03p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRLICLIVLLFTLVFVSSKATRIHAAETPKNFSGPSRGDRELLHSYATRLIGSGGFKPEKRKIPTGSNHLHNKR >A10p017350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2488852:2489745:1 gene:A10p017350.1_BraROA transcript:A10p017350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLSRLSRLCPGKPSLVGRSSYSLLFSNGFSSSLLQTAPGFVVRANPCGEGLGKLLFQKNTESWFTHLEKKVPLELVDRDELGTVGSCNGWVATLKDDGILRLQDDLNPAASHTHPKHIPLPPLVTLPHCQTQIITNVSMSSSSPEDEDCVVAVKFLGPQLSFCRPAQNQPEWTNVKIEDPCFFSSRVMFSKKDDMFRIPGSGGNLIGSWNPNKHKKNPKIQRLQYQNLPKLTKIKRELLDLCWTSEHLVESRTTGETFLVKWFRKTSRRLALMKTKALMVFKIDEEGNAVYTQD >A08p009990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7693250:7694501:-1 gene:A08p009990.1_BraROA transcript:A08p009990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKRTSIDDTTDTSSDESIEETIDTSLLELIDTTGPEAVDLHDQHPELSEPSFTRRYDETYSPHKEKAKSRLDQAFTENQKIAANLGGKIDLVHCELIKLFEIISEHIKRLDSPLAQIAIAKEGRRTSSRKN >A06g501340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4882263:4882767:-1 gene:A06g501340.1_BraROA transcript:A06g501340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSPVRNNNDRRGRNVRSRYVSDFEMNGADYAPQPKRRKKGECPLDTLRLKEEVSGLFLKPVSKKDAPDYFDIVKPPMDLSTIRDKVRKVEYRNREQFRSDVWQIQLNAHLYRDQLAEAEKGIDR >A02p040400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25543865:25545102:-1 gene:A02p040400.1_BraROA transcript:A02p040400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYISTFVMCLKSKHFIPQRSVMALESWFMDDSNEDQRLPHHRNPKEFVTVDYLADLGVVHWKLNPENYENDSKLSKIREERGYDYMDLLDLCPEKVSNYEEKLKNFFTEHIHKDEEIRYCLEGSGYFDVRDKDDRWIRILMKPGDLIVLPAGIYHRFTLDTSNYIKLMRLFVGEPVWTPYNRPQEEHPVRKEYIKSLTQKFGETIRGY >A04g507040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16626734:16627326:1 gene:A04g507040.1_BraROA transcript:A04g507040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELTSSHRARKAGSTMKKKSENDKKKRGVSDNKQPNPCNLLEIGLSTILKLLSQVITYVLWRERNFRIFRDTSSSQQASISRIDRMIRDRLLSIPPRPRPPPAPSLMQLFFSFSLSPV >A09p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5615041:5621601:1 gene:A09p011160.1_BraROA transcript:A09p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGLSLLIYVIVSVTTCLSLESVNGVYVKYGTEARVVPDKLNVHLVPHSHDDVGWLKTVDQYFIGSNNSIQKACVRNVMDSVMDSLRRDPNRKFVFAEMAFFTRWWGEQNPETHEQVKKLVNSGQLEFVNGGWSMNDEATCHYIDMIDQMTLGHRFIMQTFNITPRAAWQIDPFGHSSVQAYLMGAELGFDSLHFARIDYQDREKRKDEKSLEFVWRGSETFASSSQIFTNTFPVHYSPPSGFHYEITDDYAPLQDDPLLDAFNIKEAVDNFANVTRGNHVMWTMGDDFQYQFAESWFRQMDRLIHYVNKDGRVNAVYSTPSLYVDAKNAAKNITWPLKTHDFFPYADRDSAYWTGYFTSRPAFKRYVRSLSGYYLAARQLEFLVGKKSGGPNTCRLGDALAIAQHHDGVTGTAKQHVTNDYAKRLAHGASEAEAVVNSALAHLMNKARTKPGISLTQCSSMNMSYCPVTEETISGQKSLILVAYNSLAWNRTEIVRIPVNDAGLNVEDSSGKTLDAQYIPMDNVTSNLRSNYSKAYLGVSSQQIPKYWLVFKATVPPLGWNTFFISKATGEGSDKNKHSPGTFSPMKGITEIGPGNLKLVFSQDSGLLKQMHNSRTGANILVDQNYLWYASNVGDSKNSQVSGAYIFRPNASLAYSVSSKPELQIVRGPLVDEVHQQFSPWVSQVIRVYKDKEHAEFEYTIGPLPVGKDYVGKEVITRMVANMSTDKTFYTDSNGRDFLKRVRDNRTDWTLKVNEPIAGNYYPLNLGMYTKDKKVELSVLVDRATGGGSIKDGELELMLHRRTCMDDSRGVEESLEETVCINGTCTGLTVRGNYYVSINPVGGEGARWRRGTGQEIYTPLLMAFTHENKEKWKGSNSVKGNAMDPHYAFPPNVALITLEELDLGNVLLRLAHLYEAGEESEYSKVAKVELKKLFPGKTIKGVKEMSLVATQEKAKMKEKMKWKVEGEAEQSQSSSHPQKGGPLDSSALVVELAPMEIRTFLLQFSQKQPAKQQRRRNSFWAHSKFFFSSASRFDFPVKTENIAGLQQKYTQSGGVRPFGLSTLIVGFDPYSRVPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNFKETSGQETVKLAIRALLEVVESGGKNIEVAVMTREESGLRQLEEGEIDAIVAEIEAEKAAAEAAKKGPQKET >A01g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21877024:21877540:1 gene:A01g507740.1_BraROA transcript:A01g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFKRLQSTSTYKHSEYTQISSLSVNRANQYRRVTIDFFQLTEQPTEQAARALEQAVESDGASGGSDRASDGQIKKQREREREREREREREREREREREIQFKLSHGRSIGSRTCFCKWGMEVAVEEADMTA >A03g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:89349:92388:1 gene:A03g500010.1_BraROA transcript:A03g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSGAMPVDSVTPREWRSDLLVVLPIRTKRLDIFPKDVQKQISEAKRMGTLPDLSAMLFAQLGLIGGEGSSVAVPRVDAIPPSNTHNAGKGKKRKRGGSGTERSVEETSDVPPSGELQTKKKRKRTKKKSADEGSGNLERPTETEGGNVQEEELRPEEEVSADRALGEEDDEEEAVDGQESEASLGDAGSDNLEEESEGSPLLIRGRGDEADGEERLPAPISPYAEGPSTAFEGETPNRGNLVAEDNAPLLVLSDTSAEGSRRGNEGENVGMLEEVPRSDEMHVSPVARESSVRASELSALNDRESDRED >A02p009240.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3907326:3908333:1 gene:A02p009240.1_BraROA transcript:A02p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHPIADADERNPFGSLSADEFYAKHSVSHSSAFITNPRGLKLFTQWWSPLPPTPPIGIVAVVHGFTGESSWFLQLTSILFAKSGFITCAIDHQGHGFSDGLVAHIPDINPVVDDCISFFDDFRSRQETPDLPCFLYSESLGGAIALYVSLRQRGVWDGLILNGAMCGISRKFKPPWPLEHLLFVVANLIPTWRVIPTRGSIPDVSFKEPWKRKLALASPRRTVARPRAATAYELIRICNELQERFEEVEVPLLIVHGGGDVVCDPACVEELHRRAASEDKTIKIYPEMWHQMVGESEENVDLVYGDILNWLKSRAESAAERKRLGRAAVDGGA >A05g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7956507:7961597:1 gene:A05g502560.1_BraROA transcript:A05g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQCLTFLFLFLLLQNATSASPLPLRRRPVHNNSTHSNFAKHPRRTVVFPVNRSSCDLFAGEWVRDATYPLYRVEECGRGMIDPGFDCQTYGRPDSDYLKFRWKPFNCDVPRFNGVKFLQKMRNKTVMFVGDSLGRNQWESLMCMISSSAPFIRTNLIHEDPLSTFKILDYNVKVSFYRAPYLVDIDKIHGKTTLKLDEISVDASDAWRTADVLLFNTGHWWSHTGSLRGWEQMETGGRYYGDMDRLVALRKGLRTWSNWVLRYINSPLTRVFFLSVSPTHYNPNEWTSRAKASTIAQGGKSCYGQTTPYSGTTYPTSSYVNQKKVIDEVVKEMDSHVSLMDITMLSALRIDGHPSIYSGDLNPSLKRFPDRSSDCSHWCLPGLPDTWNQLFYAALMF >A09g514270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42894902:42895806:-1 gene:A09g514270.1_BraROA transcript:A09g514270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKPINYNPKRTKFRKQHRGRLKGISSRGNRICFGRYALQTLEPAWITSRQIEAGRRAMTRNIRRGGKIWVRIFPDKPVTVRPAETRMGSGKGSPEYWVAVVKPADNSGARKLMCFRIIGASNRRYAHIGDVIVAVIKEAIPNTTLERSEVVRAVIVRTCKELKRKNGTIIRYDDNAAVVIDQEGNPKGTRVFGAIPRELRQLNFTKIVSLAPEVL >A05p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6217769:6220290:1 gene:A05p014280.1_BraROA transcript:A05p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMETPGSSSKMLGRDRFHGNADFGNEDNVCNQTGEVFSDEFLKDYSAQRKASKNVEKSHYGDFNRALGIQRVNSGVSDVADSPWMYQTASDVSLPVKLKLLCSFGGRILPRSGDGKLSYIGGETRIISTYKHVGLNELMQKTFAICNHPHTIKYQLPGEDLDALISVRSDEDLLHMIEEYQEAEEKAGSKRIRVFLVSLTENKNINQNTDIEHYQYLSALNGFVDVSPQKSLSGKSQATTQFGTASDYSPPLSHRDSPTSSHYMHGIQIPYDLPSPSSAHKRSNTDTSYFVNPYGVYDNNFPFMVAPNFPQQNPFLFETNHPERNFHRSPGGNVFPHPQTGPAYTGSGKLMLKNVVSDPQLHDKSQIDNRFEAVTKPPWQMVREKSPSLAMSFGSEIWEESDFSFKNPQLVNNETQLTTEVNKWMNHEDPSSFDLASKQLGVSESSNSFSQSYHRVVRIASIGSQDSGSSVFSLSTNTNENLADCLVRKENLDVLPCTQISSNDLYRKNLLGERVLVEDVTNDTTIVPHVHIKTDDNNYYTREGENTSVCSESRIEEKYGKGNITDDAVGEAGICNVQIIKNTDLEDLHELGSGTFGTVYYGKWRGTDVAIKRIKNSCFSGRSSEQERQTKDFWREARILANLHHPNVVAFYGVVPKGPGESMATVTEFMVNGSLRHALHRKDRSLDRRKKLMITLDAAFGMEYLHLKNIVHFDLKCDNLLVNLRDTQRPICKVTTPVSKIW >A06p014140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6381135:6384584:1 gene:A06p014140.1_BraROA transcript:A06p014140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGETVFLTVGWENFVKDNELEDGKMMEFIYDCDRTFYVVIFGHGGVSELRVFPQAVVDVGDYATGEEEEEEEKNKTVDEDWMSCLRNEKLENILLIPSGTVQDHWPASLKDFVHTLRSLSFPREQADLQTILGDVNVTPLSTVLSQGMNLKKKHEVEVLSSVVSSVVNSVGESTVVDVGSGQGYLAQVLSFQYKHSVVAIDSSSHHGNVSDARAARIGKHFASQMRKSGLNLFSVSSGNKCPDAPTTITCRVLSTEMLKSLTNVPPEDNGLDLDAGALNEGPKRSCSLVLAGLHACGDLSVTMLRTFMECEEVKAVVSIGCCYNLLSEKASEDSCYKCGFPMSAGLKSLGFSLGKNARDLACQSAERWSSLGEDAGLQNFELHSFHTDVNKSMRHPSSNPNSYSSFEKFCLSAFSRLNLEHPQDLDLTATWNEADAFTELIGPYWSIRAALGPVLETLILLDRLMFLQEQGDSLEVSMLPIFDPTISPRNVAVIAKRL >A09g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20096291:20096994:1 gene:A09g506800.1_BraROA transcript:A09g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQDTLLWIAQARLFHPRIQAYVWLVASLFWIIPSKTFSSIIVSWSVLQVGIAFIYVVLLALISSLLPNIIINLRAVLRNDVFAIVKTLCSIIFFLYGEEIWRLVSMLLFGVRLPVSLTGRSSGLNRGGSRFNRWTPIRRLRPAVRIGGVTNLGQVQVEIQEEPEAEPVDIHVQLVMVQIDGDGVAEDNQPKLKITKELKITNQIMEEAQNVTSRSGVTS >A09p010110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5175347:5176944:-1 gene:A09p010110.1_BraROA transcript:A09p010110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLSTKVTSNGHGQDSSYFLGWEEYEKNPYDEMKNPNGMIQMGLAENQLCFDLIESWLAKNQDAASLKRNGQSIFRELALFQDYYGMPEFKKAMAEFMEEIRGNRVTFDPKKIVLAAGSTSANETLMFCLAEPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFQITESALQQAYQQALKLDLKVKGVLVTNPSNPLGTALTRRELNLLVDFITSKNIHLISDEIYSGTMFGFEQFISVMDVLQDKKLENTEVSKRVHIVYSLSKDLGLPGFRVGAIYSNDEMIVSAATKMSSFGLVSSQTQYLLSALLSDKKFTSQYLEENQKRLRSRQKRLVSGLESSGITSLRSNAGLFCWVDMRHLLDTNTFEAELDLWKKIVYNVKLNISPGSSCHCTEPGWFRVCFANMSEETLDLALKRLKTFVESTDCGRMISRKSHERLKSLRKKTVSNWVFRVSWSDRVPDER >A06p043020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23131630:23135447:-1 gene:A06p043020.1_BraROA transcript:A06p043020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TRANSPARENT TESTA GLABRA 1 [Source:Projected from Arabidopsis thaliana (AT5G24520) UniProtKB/Swiss-Prot;Acc:Q9XGN1] MHEGQLRSGQLRLQIATDTDRGTFYRRQESYTESNPSHIFSGERERETMDNSAPDSLPRSETAVTYDSPYPLYAMSFSSSTHRIAVGSFLEDYNNRIDILSFDSDSMSLKPLPSLSFEHPYPPTKLMFSPPSLRRSGGGDLLASSGDFLRLWEVNEDSSSAEPVSVLNNSKTSEFCAPLTSFDWNDVEPKRLGTCSIDTTCTIWDVERSVVETQLIAHDKEVHDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRCMATILMDSNKVVILDIRSPTMPVAELERHQGSVNAIAWAPQSCKHICSGGDDAQALIWELPTMAGPNGIDPMSVYSAGSEINQLQWSSSLPDWIGIAFANKMQLLR >A09p030100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17903742:17905677:1 gene:A09p030100.1_BraROA transcript:A09p030100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNENQAPEKLQGKFQATVVCCILGVGALVSWNSILTIADYYYLVFPDYHPSRVLPLVYQPFALGTIVILAYHESKIDTRKRNLFGYILFTISTFLLIVLDLATKGRGGVGPYIGLCLIVASFGLSDATVQGGMIGDLSLMCPEFIQSFMAGLAVAGALTSGLRLITKAAFDNSNDGQRKGAMIFLGISTFIEFLCVMLYAYVFPKLPIVKYYRRKAALEGSKTVSADLAAAGIQNQSDLTDDDSKNQRLSNKELLLENIDYAVNLALIYVLTLSIFPGFLYENTGQHGLGAWYALVLVAMYNCWDLVGRYTPLVKWLKIENRKLLTVAVLSRYLLVPAFYFTAKYGDQGWMIMLISVLGLTNGHLTVCILTAAPKGYKGPEQNALGNLLVIFLLGGIFAGVALDWLWLIGKKDAF >A08p000420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:239129:239605:1 gene:A08p000420.1_BraROA transcript:A08p000420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BOLA1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G55805) UniProtKB/Swiss-Prot;Acc:Q682I1] MFSSSIRSIIVTGLHRTPILKSPANPPRLFTSVPRYFHSSSTRFSDSRIAMSSADKTGSNTGAIENRASRIKEKLEKELEPVELVIEDVSYQHAGHAGMKGRGTDEETHFNVKIVSKGFEGMNLVKRHRLVYDLLREELDSGLHALSIVSKTPSESTR >A02p031770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16672500:16673247:1 gene:A02p031770.1_BraROA transcript:A02p031770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSHHLSQQGAITKRMTSIEEMDGMDVLSSDKIGTLILNKLTVGKSMVEECMELPVKQEKVSLKYKRTATTYTDANGNWHRVSKGASEQTAFTSKKDYGKGEREEQLVQAQRTLHGLQPAQPSEMFNDKSTYRELSEIADQAKRRAEVAGQGSKQLINP >A03p019260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7852587:7854139:-1 gene:A03p019260.1_BraROA transcript:A03p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESEAFATTAPLATVTGQRKVRNDLEETLSKPYLARALAAPDTEHPNGTEGHDSKGMSVMQQHVAFFDQNGDGIDSVTLVSTQSPSWLSSPLLPVYIDNIHKAKHGSDSSTYDTEGRFVPANLENIFSKYALTVSNKLSFKELWNLTEGNRIAIDPFGWLVPQQVSNKVEWLLLYLLAKDEEGFVSKEAVRGCFDGSLFEYCAKQNKEKANSRKQD >A07g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8995373:8998586:-1 gene:A07g504460.1_BraROA transcript:A07g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSVKRYLSRSTSENLRFESSVSAMQTKQQHMHIDEIPVDLIIEILLRLPTKSIARCRCVSKLWGSILRRTDFAELFMTRSQARPQILFSFRKRDFFFFLTPQVQNKSSLVAKNHIKLPVVGSSHISGPVRGFVCLTNYRIGMKETVSATVIYNLSTGQAIHLPNVKTSSDSVKSYLGFDPTDKQFKVLLITSTPGVSDEHQVLTLGTPNHSWRNVKCCCISYCYPHSNDGICINGGFYYVAAVNMDPFVNAIVCFDVKSEKLRIVNKAKEDMRLWSDSTLVNYKGKLGAFVGDGPGVGGVVTGQTTSFVLWVLVDAEKHEWSKHLYVLPPLWKNVVAKSELYFVGVTGEDEIVFSTLYISDPFYVYYYNIESNTVTRVEIQGMSKDHTDITIEQKRMIVHAHGHCQHILSVFSVKLIKNSCRRTRKETLSAINTRRQDALTVSRRSTQAQPTSTENSETIPIDLITEILLRLPAKSIAKCRCVSKSWAVNSNYFP >A10g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19267560:19268038:-1 gene:A10g506630.1_BraROA transcript:A10g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDVLISGTIIQILNHVHPQKHRREVRLLRESKEHRVWTSPDSGKELCRKNSAFQHPFSNFCDQDSFFSSLFFEQKY >A03g500690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2204331:2204561:1 gene:A03g500690.1_BraROA transcript:A03g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAYSLPLPANIAITATIAGTVIAWQRTSAAPYAVVHMSSSATKARSNAVISSPAGVSTTALAAPDSTATWRYLL >A05p044470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26699855:26700096:1 gene:A05p044470.1_BraROA transcript:A05p044470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMENIARLVLIILKGVKMDDKGNVYVADTLNLAIIGDSDVTTITGGKSYVAGL >A01p050400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28387748:28390424:-1 gene:A01p050400.1_BraROA transcript:A01p050400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDYDITEEDMVIDEAQGYPIAYAKICRDLDASPYRNGPPFTFMPYILQQNESSRCREADQMFPVIDPKARPATKPKIFLSLLWKQLNHLGNAGFDPAVIRIDPYGNVLYFHADSASPLAWGFDHWFPISRGGLTVPSNLRIVQWQARKNKKDKLEFLVPWWDLQVGISVNQFLSIFAASSSDFKRRAFSFLFREGETEELNGSQTVDSHRFPQHFIESKDKFGLASAAVVVSRREPYDPSLVLRSLDHNRQTPENETPDLMKNPYQAIVAARDSLRHREEAQSMRVEMKKLDDEMNDLKRKNSEEQVSIQELESELIKRRRRAEKCRKLAEAQCSYRNTLEKMIRDAMHQSVVYKEQVRLNQAASGALMARLEAQKAICDGSEKELHKKFKEREELENQVRPELEKARKRSRILVNDEDELLLEDRDKKLSLYLPGTSEDTSLQKELRVYLEEEEHKAAAASEKHGEIEEVEEEQKTLEDVEEKLEEGKRGSRSFRAFHVFKEPENEEDEESRRERGKGNVEKWLHVLLESNSKDNLQGERSNKIDEVIEKLDHKFPLLEKVNEEEEDVAEEAKNKVETRGESSSRRSRTSFDLKNTPEKSGRDKVVKRSESARAFRRIPSSPSLFFGMKKGNDLVRKKPVVSGHDEENEYLAKNNFIKSSFQTIKRAVKF >A06g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19584221:19584814:-1 gene:A06g507060.1_BraROA transcript:A06g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAGSKCLNTFQELKFWRKYFIDIASSTDEDKLQLLEAMTGVSRNNEDIPKQLGLGLSSGSSSSVGNNSGGQSSPGLWGPGFQQWGAPPNQQQWGTPPNAQQWDTPPNPQQWSVQPMGHTANWTALERTANCTIMGRTANCTTVGHTAECSTLGHTTECSAMGHTTES >A05g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18905339:18906880:1 gene:A05g506710.1_BraROA transcript:A05g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFASTGALLSDCSDTTISIDVQKNPSIDITLYATMLTFFSSWFQMSSSETNARNRELRSKRRFDETSSSSNPQRHPWPSPENTPFDVPGYANSKAAINSKECRQHPLSDDWDDYDSLFYNAWLGISNEPTKFLDRPILKKLGIEGDLRCMPDEAFLRNPRNMSRRPTSIRRTRTRDAQAPPLPDFPNIPDIPMQDQGDFQRFVVDALQAIWARVSCHSRRATGAQAPAPAARRDPSPEDDEATDEDTD >A03p046690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19742673:19743026:1 gene:A03p046690.1_BraROA transcript:A03p046690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVSLKLPLLIFILVITSNFGAEARELTEVEVMAGSSSDTTIANTLDPAHPPCQRDIDCTLKCPKGGFCNDPLGLCDCS >A09g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15275747:15277103:-1 gene:A09g505010.1_BraROA transcript:A09g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDFLNPRQSPPLGVRATATLSDLHRDGAWLLRPARTENQGDLDMAEKMMKEMDCVGLCPDVITYTGVLENGEDLSKLVKLGGVSLSECFSCVANTVKCMKRWEAAEKIFRLVLVRGIRPDGLACSLVIRELCLLERYHDCFLVIEKADVVSTIDTDVHLVL >A07p021880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12863969:12868244:-1 gene:A07p021880.1_BraROA transcript:A07p021880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSSLSWISFLLLLFLVHGQHQSGFVSIDCGIPDDSSYNDETTDIKYVSESTFVESGTSKSIAPELKANTSLARQFHNLRSFPEGKRNCYKVLPQQGKGFKYLIRTRFMYGNYDGLGKAPPAFDLYLGVNLWDSIVLDNSTTIITKEIIHTPSLDHFHVCLVDKNRGTPFLSVLEVRFLKNNTYETPYEALMLFRRWDLGSTSNLPVRYKDDVYDRIWMPSRFKNHMILNTSLPIDQNYNNRFNPASVVMSTATRAINASDYIILFWEPADPRLKFYVYMHFAEVQVLTRNQTREFTVYYNNDTRLAEKFRPSYLYTDTVFTPDPVTGPIHEFSFVQTSGEMLPPIINAMEIYQVNEFFQLSTDQEDVDAMTKIKDKYRVKKNWQGDPCVPVDYSWEGLDCNHSDNITNPRVISLNLSFSGLTGQIDPAFSNLTSIKKLDLAGNNLTGKVPDFLANLPNLTELNLEGNKLIGIIPRKLLERSKDGSLSLRYGGNPELCSSDSCEKTKKNNGYIIPVVASVIGLLVLFTALALFWHFKKRSHKGTNDANTGPLNTAQRYFKYSEVVSITNNFERVTAKEVLVKYTMESQMEIKLQSRYFLKNQLKVEILMRVHHTNLTSLIGYCNEGNNMVLIYEFMANGNLGDYLSGKMSFILSWEERLKISLDAAQGLEYLHYGCKPPIVHRDVKPTNILLNEKIQAKIADFGLSRSFSVEGSDQISTVVAGTIGYLDPEYYSTRQMNEKSDVYSFGVVLLEVITGQPVIASSRREKRHISDQVSSMLAKGNIKGIVDQRLGERYDAGSAWKMAELSLACTEQRSTHRPTMSQVVMGLKQIFDGRVNDHNNQGDSTKMVTVNLNSEMGPQAR >A04p031170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18442112:18444969:1 gene:A04p031170.1_BraROA transcript:A04p031170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDVISSQDQISIDDITSPLSAQIFDFCDPQQFEETFNQSSEVNSVSNILDKSGSFQDTNTTTTTENSNTNMNNIFQDDEDDNNNADLSIVFDSHDDFENDIAASIDFSSSPLQYPLIDQLLTTTNQDQFDFSSGTQIVHQLPNIPHSGDNLALPAVSSIAPPLLPLGVFEEDCLSSVPSYNLGLNPNPCSFFRTSGLPAYMSTGLLSSDNSSGLYPGHIHLGPDFNKPRDQLMDFQTDNGGLFCPDSIKGIFNPGDLQVLNGVENQSQLVIPQTHPTLGPVEITGLEDSTLNKVVKLSPEQRKERINRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGELTKQGSSSHYDDEDGVGLKDEEQLVDSSDIFAHISGPNSFKCNYSIQSWI >A05p008000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3260385:3264141:1 gene:A05p008000.1_BraROA transcript:A05p008000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFATNRDLRKTPTLIKISAVILATAAFFYLGKHLSNDGYKQLVFFSSSSSENSIPEVSISPNSNKTFNLSSIIPPNHTEIETAQPIPSPVTATETKANPPPIPIPIPIPIASPPPPAPIRTFGVLDANGVMSDDFEVGDFEDEAIEESRNKTEIVELRNDGVSRPRVRVKKFAMCRESMREYIPCLDNADAVKKLRSTERGEKFERHCPVKGRGLNCLVPSPKGYRQPIPWPRSRDEVWFSNVPHTRLVEDKGGQNWISRDPRDKSKFKFPGGGTQFIHGADEYLDQISKMVSDITFGKRIRVALDVGCGVASFGAYLLSRNVLTMSVAPKDVHENQIQFALERGVPAMAAAFATRRLLYPSQAFDLIHCSRCRINWTRDDGIFLLEINRMLRAGGYFAWAAQPVYKHEAALEEQWTEMLNLTTSLCWKLVKKEGYIAIWQKPLNNNCYLSREAGTKPPLCDESDDPDNVWYSCSIFLYTNLKPCISRIPENGSGGSVPSWPARLHTPPDRLQTITFDSYIARKELFKAESRFWNEIVGGYIRALKWRKMKLRNVLDMRAGFGGFAAALNDHKLDCWVLSVVPVSGPNTLPVIYDRGLLGVMHDWCEPFDTYPRTYDFLHASGLFSVERKRCEMSTILLEMDRILRPGGRAYIRDTIDVMDEIQEITKAMGWHTSLRDTSEGPHSSYRILTCEKRLPRA >A03p047810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22203214:22204065:1 gene:A03p047810.1_BraROA transcript:A03p047810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDCVPTRMKRKEIDRVNDDFSDFSLSSPASKIRRLDLDLPPIMEEEVVMEDHDHIQSSPQNEERAIVLFKPPPQHHHQPNLFVDRSLISGLKNRFLHDVGVADEEDYKANKEQAVVPWNPSHYQFLESKATFQEPREPEIVELDGDDTMMEEADMDVEEKENSSVTSGSSVSLPEWQQPQQQQQLHHCMMPQLPQTNSTPISWFR >A06p055960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29189452:29191273:-1 gene:A06p055960.1_BraROA transcript:A06p055960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFKKVIAMNIFRKKTTPKDALRTSKREMAVATRGIEREISSLQLEAATKILARQLVRLRQQITNLQGSRAQIRGVTTHTQALYASTSISSGMKGATKAMTAMNKIEMMSEAIDETLDKDEAEEETEDLTNQVLDEIGVGVASQLSSAPKGRIATKTAAPPATTATTTNNNSSESSEVDELERRLASLRRI >A05p012400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5365983:5368022:1 gene:A05p012400.1_BraROA transcript:A05p012400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE1 [Source:Projected from Arabidopsis thaliana (AT2G34900) UniProtKB/Swiss-Prot;Acc:Q84XV2] MSVNVKEEPVLVPNCDVVENSELEVLNGDEESKLEDYGTCVDVITERVNQLEQKVVEVEQFYSTKDGAGQTNTSKSNSGGKKVAISQPSKCSSAGKEKTRGKHVSSPELMRQFATIIRQIAQQKWAWPFLEPVDVEGLGLDDYHKVIEKPMDLGTIRTKMEGSEYINVREIYADVRLVFKNAMRYNEEKHDVYVMAESLLEKFEEKWLTIMPKLVEEEKKQAEEEAQDRASKQLAVEAAQAEKARDLSNELYEIDQELEKLREIVVQKCRKLSTQEKKGLSAALGRLSPEDLSKALKMVSEGNPHFPAGAPEVELDIDLQSDVTLWRLKVFVQEALKAANKSSGGGGTNAQNNNNGGEMNKTAAKRRREITEAIKANKVKKAKKA >A02p048780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30320329:30322143:1 gene:A02p048780.1_BraROA transcript:A02p048780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQDCIFTILSYEMTGDLAEFLLFCSCTILRHDVLGQETLLNLFLRNYLPYNLYDKAERLRSKAPRFEAHSNQQAVRIGDLELSNVQVRFETFSVNMTHNLIVRLRHKQNRTTQH >A05p025790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14010642:14011569:1 gene:A05p025790.1_BraROA transcript:A05p025790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGQALKIWARGLTCILVAIVRVGIGHRVQGSCLAAVRPAKTQLKMVPQRSGTNGLRPHSMGNHLPMIKIPRPQEELCSERTPTRLFIELQQTKSIKHQLKKSISFGNRYKTSGPKFIDQQV >A08g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9469580:9470284:1 gene:A08g505640.1_BraROA transcript:A08g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKVIAAVYGPREIQYKSQQKNGHILVLCEYIMVLCASNEDHLVVEPLPPPAGAKPGERVSFSGYII >A05p037270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21162181:21165875:1 gene:A05p037270.1_BraROA transcript:A05p037270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGSSSCPRPLPSKAEMSRGAYLAWEDLTVVIPNFSDGPTRRLLQRLNGYAEPGRIMAIMGPSGSGKSTLLDSLAGRLARNVIMTGNLLLNGKKARLDYGLVAYVTQEDILLGTLTVRETITYSARLRLPSDMSNEEVSDIVEGTIMELGLQDCADRAIGNWHARGVSGGERKRVSIALEILIRPQILFLDEPTSGLDSASAFFVIQTLRNIARDGRTVISSIHQPSSEVFALFDDLFLLSSGESVYFGEAKSAVEFFAESGFPCPKKRNPSDHFLRCINSDFDTVTATLKGSHRIQDTPATSDPLMNLATPVIRARLVENYRRSKYAKSAKSRIQELSNIDGSEMEVRRGSEASWWRQLRTLTARSFINMCRDVGYYWTRIVSYIVVSISVGTIFYDVGYSYTSILARVSCGGFITGFMTFMSIGGFPSFLEEMKVFYKERMSGYYGVSVYILSNYISSFPFLVSLSVITGTITYNLVKFRPGFSHYAFFCLNIFFSVSVIESLMMVVASLVPNFLMGLVTGAGLIGIIMMTSGFFRLLPDLPKIFWRYPVSYISYGSWAIQGGYKNDFLGLEFEPLFPGEPKMTGEEVINKIFRVKVTHSKWWDLAAVVGILVCYRLLFFVVLKLKERAGPALKAIQAKRTMRNLDRRPSFKRMPSLSLSLSSMSSRRHQPFRSLSSQEGLNSPAHY >A03p057030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24740688:24749182:1 gene:A03p057030.1_BraROA transcript:A03p057030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLALAQSPPPLHCWSELPLDLMQLIFERLGFTDFERAKSVCSSWLSGSRQSKPNNKIPWMILLPKKKNYFLLFNPEDREEKLYKTQHLGDDFAHSVCFATCRSWLLMAILPVERLYILNLLTLERINLPISCGSYNTILWIDERSKDYLVIGEDLAYFKKGDNSWKKISEQLSGSLKGMVFKDHKLYGLTKEELRIFDFSGEFPMQVSRVNVGGGMRSPEPWKFYELRRRNNVVLTLGGDVLIVRSKSPCMSYSWNFRIFKMDSSNGKIKWKEIFSLGDEAIILDLGITVLAKDQEGITNNSIYFTAHEMDWFDNDIFVFNLDTNKVEQLPQLVSSISFSEAHWFLPSFRNGTYPARDPPWWPFGCPNVEKLRTRHLFHPIHHNLHPGLLDLRLLVSSERKKTKTLFSPIFRLMASHVVFTMEVTRSGPKRNLHCWSELPLDLMRLVFDRLGFADFERARTDKNYGLLFNPEEKDKFYDTQDLVNDFGKSSCVATYKSWLLMITYTEEVYLYNPYILDLLTRERINLLSFESDYGFISPVLWIDEKTKDYLVVRMCNEENAVSIRKGDNSWKPITQLQFPCIYECYNMVYKDHKLYCLDHYNLTIFDFSGHVSSQVFEISVDGCVNRTTVAGAIRHLGTIPCKRYLACYKNSMVVTVDGDVLILNSVRESMSYIWDFKIYKMGSLTGSKWEEIFSFEPGAQQPNVLRLSQPVLAIHEEIQPATTYYHLDSSHKQSLHGQEYTHCVGSEQHGQNKTILLELGITVLAKDIEGIKRNSIYFNGFDSVNPYDENDILIFNLDTKKVEKLNQFVCLSVQCSNARWFLPTFKRE >A10p023620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15408284:15409665:-1 gene:A10p023620.1_BraROA transcript:A10p023620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGTRNHGGRMDFHGGSVTGATTEKLSEMPCFHKRYSKGLMTMETALELETWNHEDQHVFNTNGRLNEYYTTYKEAEIRDLTRGLAEATKVKKNIARLRDDAQTIRSRLRSSHLAMVDGTYIESDYEVIKEPETTRDLYLVPQANKGKKAELGVAIYDMKDNLLEKESCVICFDEDIDSDLMFSVDTCRHRFCVNCVKQHLTVKLLDGTIPNCLHHGCTTQLSVDTCGKLLTPEMCVKWKERTKENSVPYNERVYCPYKNCSYLMSRTELVLGSACGHRKCLKCGCSFCFYCKAPWHSMLSCTDYKKLHSNTQNAKLISLANLSGWRQCGKCNHMVERSGGCGHMTCRCGYHFCYDCGVGMNSLFIHSCRISRDDQVSQPRIMSETDATTAALQAILNASINFFFIIFFCFIMYKVLG >A03p019470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7944222:7944728:1 gene:A03p019470.1_BraROA transcript:A03p019470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRSNAEGKRSLREMSEEEEQEEDDDDTFVEEDGEEEEEEASEKKQKGKATSSSGVCCQVERCTADMSRAKQYHKRHKVCEFHAKAPVVRISGGYQRFCQQCSRFHELREFDEAKRSCRRRLAGHNERRRKSTNE >A10g501710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4707491:4707910:1 gene:A10g501710.1_BraROA transcript:A10g501710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AATEESIFEFHIRYLLLRMDYQQEWWLLEDFTMDINKMAATKCNSAVRSCSDVVTTTT >A07p043810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23965266:23969597:-1 gene:A07p043810.1_BraROA transcript:A07p043810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFGKCFTFCCAKTSPEGDEMATDAYEAAIKGLKDLLSKKTDLGNVAAEKIKELTQELKELDSSNSDAVERIKSGFTHFKTQKYLKDSARFNDLAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPFDQKRHSGVGAAVEYAVVHLKVENIVVIGHSCCGGIKGLMSIEDDAAPTQSDFIENWVKIGASARNKIKEEHQDLDYDEQCNKCEKEAVNVSLGNLLSYPFVRAAVVKNTLAIRGAHYNFVKGTFDLWELDFKTTPAYAFS >A06p019710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9643025:9646104:-1 gene:A06p019710.1_BraROA transcript:A06p019710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPECPVCLQSYDGESTLPRVLSCGHTACEECLTNIPTKFPDTIRCPACTVLVKFPPQGPSALPKNIDLLRLFPSSSNPTPDSTRNSKNSSFEFVTRSWPDEFHAAWKDRVLLLDAVSVEREGSTESASSSRLCGCLNKGDGDGDSKVSLLRVGSFQRRDDGCDSVFEFSYLLRMISCLWGMEEGERDELDVIMRVEERGVSKVFGLWGDLKSGVLYLVGQKLTDFSLEEFEDISEDDASCLAVIGMQLCEALLSLHKDGIFVGCLSVSCVKFDEFGNAFVDLIELLETGRNVYGLISEETSSCSKPVGALEMGLVLKRLVENGIFVSFEVLFELLKKQNLLITQASSECMVSCSSDVWPVCVLLLMLLGGKRFSEEMIESVSGVDAKECEGKIEDILVLYTGLMEKLSSTLESKLSGKFKPMVEILRLCCCLDPQARPVVTDLWKCNRELVMNHRLSSMFALEKKKPKKRKEFCLVLGELCRLVVVGSKELEEDLPGMESGCGAEEGKLDKDFVGRLSEGKIKSKDLRGHQDSVTGLAVGDGFLFSSSLDRNIHIWSLKDFSHVHTFKGHQDKVMALIYIKGAESVCVSGDGGGGIFVWSTSFPLEEQPLRKWYEPKDWRYSGIHALAYSEDGYVYSGSGDNTIKAWSLQDGKLVCTMTGHKSVVSTLVVLNGVLYSGSWDGTVRLWSLSDHSFLTVLGEETQGIVKSILSLAADEGTLVAAYQNGDIQIWRDDTSMKSMQIQSSAILSVALNGKWLFTGGWDKTVNIQELSGDEISLECTHVGSIPGSSVVTSLLYWEGKLFAGFADKIIKVDSHSLSNHVSSFALMKTSVLLLRMVCNKSVLSEISRYIVVDVNKNFSFSSCS >A03p068070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29770515:29776367:-1 gene:A03p068070.1_BraROA transcript:A03p068070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLETLNHHQVIPNYFHNEASSTAASLLQPFSIKLFSILSLCLENPYKPQYNGGIIVNPDLQNGSQGWAQFANAKVDFREFGGNKFVVARGRNQSYDSVSQKIYLEKGLLYTFSAWLQVSKGKAPVIAVFKKNGEYKHAGSVIAESKCWSMLKGGLTIDESGPAELYFESEDTTVEIWVDSVSLQPFTQEEWNAHHEQTIHRTRKRGMRIRAVNSLGEPIPNATISIVQNRLGFSFGCEVEKYILVNQAYQNWFTKRFTVTTFANEMKWYSTEAVRGKEDYTTADAMLRFFKQHGIAVRGHNIVWNDPKYQLSWLSSLSGNDLYNAVKRRVSSVVSRYKGQLLSWDVVNENLHFSFFESKMGPQASYNIFALAHSIDPRTPMFMNEFNTLEQPGDSASSPARYLGKLRELQSIRVAGNIQLGIGLESHFNTPNIPYMRSALDTLAATGLPIWLTEVDVQAPPNVQAKYFEQVLREGHAHPQVKGMVTWSGYSPSGCYKMCLTDGNFRNLPTGDAVDKLLREWGGLRGQTTGLTDADGFFEASLFHGDYDISIAHPLTNSTASHSFKLTSDDSQPSRFVVHKEMKNFNNGFFPSMLFLLICLVYTGLANDPFSHSHSLKTECIMKPPRSIAEQELILLSHSDEDDSDLEWEIDENGAIREMAQRIQLQKGIIYSFSAWVKLRGGNDKKVGIVFRSESGRLVHGGEVRAKQGCWSLLKGGIVPNVSGPVDIFFKSEDREAKISVTKLSLKQFSKKEWKLKQDQLIEKIRKNKVRFEVTYNNKTAVKDAVISLKQTKPFFLLGCAMNFRILQSEGYRKWFASRFAITSFTNEMKWYTTEKVRGQENYTAADSMLKFAEENDILVRGHTVLWDDPKMQPSWVENIKDPEDVKNVTLNRINSVMKRYKGKLTGWDVVNENLHWDYFEKMLGVNASSRFYNLAYKLDPDVTLFVNEYNTIENPGEVTATPVKVKDKMEEILAYQGNENIKGAIGAQGHFSPIQPNLAYMRSALDTLGSLGLPVWITELDMPKCPNQAKYIEEILREAYSHPAVEGIIIFAGPEVSGFDKLTLADKDFNITETGDVIDKLLKEWHQKTSDIPNIFMVDHENEEEDVSLLHGLYNVNVSHPQIKNLSTSLCLEVTKEIGPRQVVRVVINA >A02p012730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5586241:5587041:1 gene:A02p012730.1_BraROA transcript:A02p012730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNYEDGGVYYDPDETMFNNDEDGGIYFDPEDHELIKYHLLPKLETYLQPKSKDEECEDFIVMKNVYDKEPWLLDHTNHPLFKKNEWFYFVTRTQVSVKNIGRGRNSKRRIAGDNDGGSWKPNAKKYIEDEERKKTIIGKKQTLKFTKSDNNKRQKRGDGTSAAVPGSTSSWIMYEYSLPDENTFQELVLCKIRKISNSKDEEVEAVDVTHDAEDGTGELVERFARTGLDDQQTTEKYDQQEPPMYAPSQSSDPPARLGNKSLYQ >A08p038500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22271396:22274011:1 gene:A08p038500.1_BraROA transcript:A08p038500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDKLTSSRVAIIGAGVSGLAAAKHLAHHNPIVFEASDSIGGVWNSCTYETTKLQSTRVDYEFSDFPWPNRDDTTFPSYVEILDYLESYAKHFDLLKFMKFGSKVIEVRYTGDGGTPQMADLGAYSNSFPEKPVWEVAVQNGDAGEIQWYAFEFVVVCTGKYGDVPRIPTFPEKKGPEIFKGKVMHSMDYCKLEKEEAYRLLRGKKVAVIGFKKSAIDLALESALANQGEGGQACTMVVRTTHWVVPHYWVWGLPFFLFYSTRASQFLHDRPNQSFLKTFFCLLFSLLRAVVSKFIESYVTWKLPLEKYGLKPDHSFEEDYASCQMAIMPENFFEEADKGMIRFKKTSKWCFYDQGIEFEDGTMLEADVVILATGYDGKKKLKAIVPEPFRSWLEFPCGVMPLYRGTIHPLIPNMGFVGYVQSNSNLHTSELRSLWLSRLVDGKFKLPSKEKMLDQFSKEMDVMRKSSRFYKRHCISTFSIQHADDLCNDMGLDPRRKSNLFLEAFSPYGSQDYRLNQKETN >A10p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1487489:1491784:1 gene:A10p002860.1_BraROA transcript:A10p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPSTPRNTGGGDDNVSVAEYLISTFVGEKSFPRRLITGTSSSSFRRSNGYTRHLAKLLIHLSWCLQELLQDSDDDQASSIYKRAVNATYILSVFLKHLIENGKSDGLEELHLSLDESEPVPHGFVMDQDIQNFVMHSVLNFIGSTEVSPNSYVLHQELLNFMIVAMSMQLISGPSPGPRDANSFIDAAMSQEKSLVCLAIRRLLLNYISRTPPNAKTYLYSDGDSPGILERVGSAAATFVLLPLNYLVNNSGDGSKHPLAEFSLHVLLILTNYHKPIMSDESLTDKSDDSATSESISKGHAFSSGNTFSKALANARDVEFDRSDVEGNAYPGPHVRIPFASLFDTLGTCLADEGAVLLLNSLLQGNSDFKEYVLVRTDLDTMLMPILETLYNASKRTSSNQIYMMLIVLLILSQDSSFNSSIHKMILPSVPWYKEHLLHQTSLGSLIVIILIRTVQHNLSKLRDVYLQTTCLATLANMAPHAHHLSAYASQRLVSLFYMLSRKYAINSLSSMTLHAAELQIFTDFLRLVLDILNAILTYALPRNPEVLDFFNNRMDSQRSDREWPVQKVLEFIIDSCRFWRGEGMKMFTQLHFSYEQESHPEEFFIPYVWQLAFSRCGFSFNPDAINLFPVPHQVEKQEEDRRGEEVEKGKEKKVQELIIEQIIVLDP >A01p004780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2054717:2055782:1 gene:A01p004780.1_BraROA transcript:A01p004780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPLSKTSPPIYPHQAQNQNHCYSTELEHRHYSEPSCTKRGIGQENLNLVRQLTRWDEIKLGGSELKIEQLPQYQWPQSPRHGTVKEPKQIGQQSSSGGVSLCVFFLSSVVTAPSASTTAFDASSGSLRP >A08p046230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25202686:25207703:-1 gene:A08p046230.1_BraROA transcript:A08p046230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTLERHSLLQFGQLSKLSFDNRPPSNAAESSELRNELGADGDWGEKEFILSQDFFCTPDYITPDNQNLMSDLNISMDHSPCPRSPVKLTSAKSKRCRQDSFTFNTSDSTWASKYRVDEQEDDDIDIDEIMVDKTERTGYVSRSAVALRSRVMPPPCLKNPYVMNESDTATDPFGYQRSKCASFLPASMGGDGLSRYLTDFHEIQQIGAGNFSRVFKVLKRIDGCLYAVKHSTRKLYLDSERCKAMMEVQALAALGFHENVVGYYNSWFENEQLYIQLELCDHSLSKKSSLRISEREILVIMHQIAKALQFVHEKGIAHLDVKPDNIYIKNGVCKLGDFGCATRLDKSLPVEEGDARYMPQEVLNENYEHLDKVDIFSLGVTVYELIRGSPLTESRNKSLNIKQGKLPLLPGHSLQLQQLLKTMMDRDPCRRPSARELMEHPMFDRIRGWTVEIIVWIINGEEQLKWRTEVATGEAEVQMESHSDGESSEMVGDWDFLPPPVKGTRVSENDRGGGGGRVLPPWADPSYEWGGGKWKVDGRKNRKNKKKEKESDLSVEDVMKEYSSLPPQIAEWYWCIEYVAKYVKDLRCILDVMNMGFPTTNDYGSRINEILSLRILESFFDPAAAAAATVVVGPRIEFDLSLSSTHVLNAILQHVTVSELRPGMPELSNFNLLPFFAHKNMSLPPCALEVLRDVSAMEDQTSAAPTMEANDPVFRDDRSEHRRYVCEEMAIDEEQVHTGFEQTNMKDKDEVVVIDHEDSPPVQRDEVIVIDGNGTSAEQLINKDNVVVIDDEDSPSVQRDEVVVIDGDDTTAEKLLNKGNTTRETSSPGLDVRVKCTKDGAWLISESDRDPPSSRPENVCWKCERVGGASLLICSRSECAAKVHKECLNCPAHFDEDDNFHCPMCWYDRVTMEYRESQKLMSCAKRRLVKFLPLLSRASKRLR >A05p013160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5730755:5731616:-1 gene:A05p013160.1_BraROA transcript:A05p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPESCLRSISVCESSSGSVLVKGETSDSVSKHVLVEQSETKRQQRDENDSRMGKDGVGCCNVVHEVEKDTSTRVSASVLRESDASAKEKAEEFHVVDLSSCGGESDNGQSICRICHVGSDQTPDRVSGKTTVVTLELIQIGCKCKNELGLAHFHCAEAWFKLRGNSVCEICGCTAKNVTVSLTEEEWSEVLVDTRVDERRRRGSRQSCCILIVFMLTIILLHWLFKKFSTKTK >A06p028730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:24184:24938:1 gene:A06p028730.1_BraROA transcript:A06p028730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLRIITSLAPSSLVTSRCKGFYKDHQPDQVSGVSRQEAVQSSLGKYHCLSLTKDVPGQFLASLKWLRSLLRGGDPNHFSKMAVKSVERGRLQTGSMKR >A06p045040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24180129:24186251:-1 gene:A06p045040.1_BraROA transcript:A06p045040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKESVHRLEKESGFFFNTKYFDEKVLAGEWDEVEKYLSGFTKLDDNRYSMKIFFEIRKQKYLEALDKQDKAKAVEILVQDLRVFSTFNEELYKEITQLLTLHNFRENEQLSKYGDTKTARTIMLGELKKLIEANPLFRDKLTFPTLRSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCAVPNGPLAPSQLNQPITTLTKPTAFPSLGAHAPFPPGAAVAAAANAGALASWMAAAASGASTVQAAVVTPASIPMPQNQVSILKRPPATPGVVDYQNQDHELMKRLRPAPSVEEVTYPAPRQHAPPVSLEDLPLKAALALHQGSTVTSMEFHPMQNTLLLGMVLPPVGSATGEIALWELAAREKLVSRPFKIWDMANCSPPLQALIAKETPMSVIRVAWSPDGNFIGVAYAKHLIHLYAFSGPNELRQHAEVDAHVGAVNDLAFANPNRQLCVVTCGDDQLIKVWDASGRKHFTFEGHEAPVYSICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDVFLVEWNESEGSIKRTYLGFQKKLAGMVQFDTSKNHFLAVGEDAQIKFWDMDNINVLTSTDAEGGLPALPRLRFNREGNLLAVTTADNGFKILANQAGFRSLRAMETSAFERMRTPADSSLTKAAVTFPNFVVPGAPVASVSCKVELGSPVRPSPMLNGVDPPKPRVDDLADNPRPWQLAEILDPAQCRQATLPDTGSSTKVVRLLYTNSGAGILALGFNGIQRLWKWVRNEQNPSGKATAAAVPQHWQPNSGLLMTNDVSGVNLEEANPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMPPPPPSSFLAFHPQDNNIIAVGMEDSTIHIYNVRVDEVKSKLKGHLKRITGLAFSTTLSILVSSAADALICFWSIDTWEKRKSVVIPMPAGKVAVGDTRVQFHVDQIRILAVHETQLAILDASKMECIRQWIPQDSLSSHITSAVYACNSQLIYATFRDGNIGVFDADTLGLRCRISPSAYLPQVNQGLSPLVVAAHPQEPNQFAVGLNDGSVKVIEPTEAEGKWGMVPPSEAINTSPSTTSNQTP >A02p059500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35525478:35526962:-1 gene:A02p059500.1_BraROA transcript:A02p059500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G65165) UniProtKB/Swiss-Prot;Acc:Q9FJP9] MLRLLQRRICSQAAEKVRLSSSLSGNGDFPILKGHKAAQDLSKDTLKSHETIKDKEGQHKVAKKEFKIYRWNPDKPNTKPFLQSFFVDVSTCGPMVLDVLQKIKAEEDPSLSYRRSCREGICGSCSMNIDGTNTVACLKPINSDTSKPTIITPLPHMYVIKDLVIDLTNFYQQYKSVEPWLKTRKPPKDGREHLQSPNDRKKLDGLYECILCACCTTSCPSYWWNPEEFPGPATLLQAYRWISDSRDEFREERLQAITEDERKVYRCRAIKNCTATCPKGLNPASAILKMKAKHSLPDPVKRIERA >A01p057250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31890295:31892939:1 gene:A01p057250.1_BraROA transcript:A01p057250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETAQTADESLFSENIRVRIEPIKEKIPKHVLKVIEEELAKLVMLESSSSCVESTYDYLDWLTMLPWGNFSDENFDIQKAEKILDNDHYGLSHVKERILEFIAVRRLKGTSKGKVICLSGPPGVGKTSIGRSIARALGLKFFRFSVGGLSDVDEIKGLRRSYDGALPGKMVKCLRSVGTLNPLVLIDEIDKLGKSSSDDPGSALLELLDPEQNADFRDLYLDVTIDLSKVLFVCTANVLDKIPTPLLDRMEVINISGYITDDKMHIARDHLLKTTCMECGIKPEQVVVRDAALRSLIENYCREPGVRNLQKHIEKIYRKIALKLVREGASAETPEVSVKNFMIDESNLADYVGKPVLYADKIYEQTPVGVVMGLSTKSTGGSTLYIETTSVEEGEGKGGLHITGQLGDVMKESAEIAHTVARRIMLEKEPKNLFFANSKLHLHIPEGATPKDGPSAGCTMITSFLSLAMKKPVRKDLAMTVEVTLTGRILPIGGVKEKTIAARRSQVKMMIFPEGNRRDFDELACNLKEGLDVHFVDEYEQIFELAFGYNMGSPPLNFRRSLTSFMWDLLSGCFQ >A06p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22675112:22685159:-1 gene:A06p042190.1_BraROA transcript:A06p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIFVTLSLECYFGNKNLKHGEGNSSSSGKALVKVKLEKDKHVSSDDGSSSGKALVTVKLEKEESEVLVASGVMKRKRISRLVEKSRRFSAKSESSLDKQKTCHRRGMTTRWNTERIDKAERALFEILKEKGASFERPVPRAELRVSARRRIGDTGLLDHLLKHIDGNVTPGGAERFRRCHNTEGTMQYWLESADLIKIKLESGVCDSNWAPPSWWKLPNVNNIIKLEPGVLDPSESPAKLKEEMDKMRSEIKELVSDLALIKRESGIPDLDSIPLAQWKIQCSSKESSAVSSKLREEIDKMKSDLKKHISKPELPNNADANEKLIKDFMSWRVKTEKQIAEISNSLASTQCMVKELVSWKDKVEKQLVGISNSQNGRQANGSNSFSPDPQSWEHLLHSANLDDFTGDGFEPWDVDTDLIDALPEAEAVRPDTYLLPPNARKSSLQDHMWFEEQSVLNSEMQRTESCMTRGDSRSSNQDKAELTPGSSMTAGPRSDIEDPNILRIIMCLFFQETLKELVSWKAKAEQQLMEIFSKIKLGLAKPKLKIFFNPRRITRSTDSSSSSSSSSSSLVSSILLKIALKLKIKSFRYSSAPSLAGTFVVTKEEEMEEFVDHYIVLGLPSGEEAQNLSEKEISKAYRLKALDLHPDKRRDDPDAHEKFQRLKTSYEVLKDEKARKLFDDLLRIQREKQHKKSQVDSKRRKMMSDLEERERRSGFAPSHAASRPYDEEERIARKLKEEVDRIRAKHAKKRGGFETPPESGGGDDGKRREDRSGGGASAQLDKERVLKVSWETIGEGYSAGRLREVFSEFGEVEDVVIRSTKKKCSALIVMATKEGAVAATRTLCGDLSNPLLVVPLQRAAQTDFSTAKKSAEAEPQSNIVGAGYQAYEDQVMERLKKTRERQRESSSAILLPRLLRFFFLIFDSSSLCSIHLPLLCSSSGSLSNLRFSFDGQKQAFVVVGRVSTGTEAKLKVLYGSGARKFARSFSFFALLLLPPLLKLNHKNTKDHSQTMANKPRTRWVLPYKTKNVEDDYSLGKVLGQGQFGTTHLCTHNQTGQKLACKSIPKRKLLCQEDYDDVLREIQIMHHLSEYPNVVRIQDTYEDSNSVHLVMELCEGGELFDRIVKKGHYSEREAAKLMKTIVGVVETCHSLGVLHRDLKPENFLFSSCDEDASLKSTDFGLSVFCKPGATFSELVGSAYYVAPEVLHKHYSRECDVWSAGVILYILLCGFPPFWAESEIGIFRKILQGKLNFETNPWPSISESAKDLIKKMLEGNPKKRLTAHQVLCHPWIVDDTVAPDKPLDCAVVSRLKKFSAMNKLKKMALRVIAERLSEEEIGGLKEMFKMIDTDDSGTITFEELKDSMKRVGSELMESEIQELLHAADVDESGTIDYGEFLAATIHLNKLEREENLVAAFSFFDKDASGYITIDELQQAWKEFGINDSHLDEMIKDIDQDNDGQIDYGEFVAMMRKGNGNGGIGRRTMRNTLSFENPLPDESING >A04g506390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13908681:13908937:1 gene:A04g506390.1_BraROA transcript:A04g506390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSCKKTIHIYSIKKSKASSQQKIKNYAVGVFPRFYELKFIWCAKVGCPSPINSSAAS >A08p029640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18320249:18320622:1 gene:A08p029640.1_BraROA transcript:A08p029640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCSYFLVFTIIFSACLMVERAEGKYCEITIDKEQTCIHSLCVQDCYALYNGVAHCVDDPEVPGSNLNCRCKYNC >A10p040690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22377334:22378755:-1 gene:A10p040690.1_BraROA transcript:A10p040690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPTVLVTGASGRTGQIVYKKLKERSDKFVAKGLVRTPEGKEKIGGEDDVFIGDIRDADSITPAIQGIDALVILTSAVPKMKPGFDPTKGGRPEFIFDDGQYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPDHPLNKLGNGNILIWKRKAEQYLADSGTPYTIIRAGGLLDKEGGARELLVGKDDELLQTDTKTVPRADVAEVCIQALLFEEAKNKAFDLGSKPEGTSSPTNDFKALFSQVTARF >A01g508750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23841211:23841654:-1 gene:A01g508750.1_BraROA transcript:A01g508750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQHLCPLHRDREKLTDDTRKCFRDTFYRLAKNSHHKSESEFLEDITSRYRNQKTELETNSIDRAVANLTFNKMESNMRNMHPPKMR >A07p042920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23227519:23229154:-1 gene:A07p042920.1_BraROA transcript:A07p042920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGGFSRTNHEPSPSDDHQFHSMNALEILRETVRILRYNLAAFTLTLLLLICPVSAILLPNLLVDQSVVNSLTIRLLLLAKSSGLPLLPFVRNSCQKFSETAVSSAACFPLFVTLSLLSRAAVVYSVDCTYSRRRVVAAKFVVIMQRLWKRLVFTYLWVCVVIVVCLTSFCVFLVAVCSSFYVLGFSPDFNAYGAILIGLGFSVVFANVIIICNTTIVISILEDVSGPRALVRANDLIKGQTQVGLLIFLGSTIGLTFVEGLFEHRVKILSYGDGSSRIWEGPLLVVMYSFVVLIDTMMSAVFYFSCRSYSMEAVEALEASGGGTQPIMEMRVIMLNHSFAFPNHAGFYTQREGAKHTPMIIGCRSCGQVKLPHFCCCRGASAIPDQQTSLTTE >A05p047990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28178367:28179184:1 gene:A05p047990.1_BraROA transcript:A05p047990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGDDKTDIGMVVIRGNSIVTVEALEPVGRS >A06p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22905205:22907561:-1 gene:A06p042630.1_BraROA transcript:A06p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEFLFTHIFFSVAAQFRPEVIASKDFIVMGVVFISSSAARSPLGLSTDLRTQRSSLKKPSIVAFKADDSIPTNSSLIIDKQKEKRVVATRRKPCKDTKTTKSLDQNVAPSCPLDYNEAAARLESIYKLSPPPATSLEEEDGIDGSSKLRVPRRRKRKESGEEKKVVVRNNVKKEKRLTLDKRIALKRNVQEKPVNASSSAREKVTKKQQEEEKIERLVRDYSASNDIDSLDWKKMKIPPVVSSAEHTWLFKLMQPMKALLQVKDELQKSMGREPREAEIAGEINMSVAEVKRKIEIGRAARNKLIKTNLRLVLFVMNKYFQDLTNGPKFQDLCQAGMRGLITAIDRFEPKRKLRLSTYGLFWIRHAVIRSMTTSNFTRVPFGLESVRVEIYKAKMELLFEFGRLPTEEEVVKRLKISPERYREVLRAAKPVYSLNSKHAVTQEEFIKGITDVDGVGADNRRQLALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHQARVDYLRQYII >A09p078090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57902337:57903209:1 gene:A09p078090.1_BraROA transcript:A09p078090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQNLCLLALFVAIFSYTTSAARFNFNHFDGSNLVFLGDAELGSTADGSSLSGALSMTRDTSRFSHGQGKYASEIPFKPSNSSSTTYSFKTSFTFSISPRRKTNPAPGHGLAFIVVPTIDNDGAAGKGFLGLGDKYKSWVEYDNVTKLVTVTIAPAYLSKPKKPLIETQIDLSKVFLGNMFTGFSGSMGREVERHDIWTWRFENNAPKETKPVQSG >A01p043940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24628523:24629202:-1 gene:A01p043940.1_BraROA transcript:A01p043940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRVLVLLTVFMAVMSSTRVSAQSSCTTALISMSPCLNYITGNTTSPSQQCCSQLGNVVRSSPDCLCQALNGGGSQLGINVNQTQALALPRACNVQTPPVSGCSNGGGSTADSPTDSPNSSGPGNGSKTVPVGEGDGSSSDGSSIEISYRLLTFLSVASYIAIFLKY >A02p005130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2220885:2222832:-1 gene:A02p005130.1_BraROA transcript:A02p005130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFETRMNMDREKEEVIANGVKMRALMQKLSPSIRIPSSSSPASRHLHNLSAHDYPVFTPSYGDEPVPAFHRKNLTSSENLDESCVGGEDQDLRHTLRSQTLHFRSVSSCNDYKQRGFDTKSFKNSNLVVPLTDSHSAAVTSQPRNSGGRVLSWLFPKLKRKHKSNSIFNSPSRTERSEEVPEKLKRELMEANRSRDAALTQVSEMKSSLREFNEKLKYLESYCDGLKKALVSREKMPVSEDVMVERFLQIVSESRLSIKQFLKSLVAEIDEEDSTLISNINTLLQPHNLSFTSKHSKIIQYHLEAIMSQSIYQDFENCVFQKNGKPKFLDQEQDRKAKFSSFASLRNLSWNEVMEKGTKYHSEEFSRFCDEKMNLIITTLKWTRPWSEQMLQAFFVAAKCVWLLHLLAFSLKPALGILRVEENRVFESSYMEDMGGDRDRQRSTGSCRPVRVKVMVMPGFYVQDMVLRCKVICRY >A09p009100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4648323:4649978:1 gene:A09p009100.1_BraROA transcript:A09p009100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIARFSRRGVASTLIRRCFAAEAALAARTEPPKPKLTVSPSPDRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVWDGSSVWNGAVLRGDLNKITLGFCSNVQERCVVHAAWSSPTGLPFIFEFTGLPAETLIDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETRSILEAGSVVPPGRRIPSGELWGGNPARFIRTLTNEETLEIPKLAVAINHLSGDYFSEFLPYSTVYLSHLESLFRVS >A06p054280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28481548:28487884:-1 gene:A06p054280.1_BraROA transcript:A06p054280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYKKILGLLHSISRRSKALAAAASGRGECLTGSLSTQGTDHLVSFQGRELTVTEEKAFPKDDQSKPCKFTAFMGYKAGMTHIVRDVEKPGSKLHKKETCEAVTIIETPAMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWAKSKKKAFTGYAKQYETEEGKKSIQSQLEKMKKYGTVIRVLAHTQIRKMKGLKQKKAHMMEIQINGGTIAQKVDFAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTELNKKIYRLGKVGQETHTAMTEYDRTEKDVTPMGGFAHYGVVKDDYLMIKGCCMGPKKRVVTLRQSLLTQTSRLAMEQINLKFIDTSSKMGHGKFQTTQEKNKFYGLKAFPKDDQSKPCKFTAFMGYKAGITHIVRDVEKPGSKLHKKETCEAVTIIETPAMVVVGVVAYVKTPRGLRSLNTVWAQHLSEEVRRRFYKNWAKSKKKAFTGYAKQYETEEGKKSIQSQLEKMKKYGTVIRVLAHTQIRKMKGLKQKKAHMMEIQINGGTIAQKVDFAYSFFEKQIPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTELNKKIYRLGKVGQETHTAMTEYDRTEKDVTPMGGFAHYGVVKDDYLMIKGCCMGPKKRVVTLRQSLLTQTSRLAMEQINLKFIDTSSKMGHGKFQTTQEKNKFYGRASAKA >A08g500870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2230227:2231225:-1 gene:A08g500870.1_BraROA transcript:A08g500870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSCLLALFLRLSCEKHMKGWSFFRLRKGQQLVLRQAHLIFNPSPAASHVQNPAEKPREFQREREKEEQKKSKKIGGDLIFNLSSVCYLEKDQKPQAYLGEEDQLRPFSPLVHLGKLWSLTSVRGRVKLEVSSPVHSVSWSIQPCSSGTQVLSKPVSRLIF >A06p000130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:517804:519494:1 gene:A06p000130.1_BraROA transcript:A06p000130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSVMKDLSLFRRGVSVSVYEGAWVATAVSILLIFLFLFRIFKFRFFASPSPSIPDSVSLAQIQSRTRRVVSDDDLKWLIQNLEESNEVWEHVIHKTNDRVSYSAKRCKPTDGGPMKYLSVTVFEDCSAEMLKDFYMDNDFRKQWDKTVVDHHQLQVDSSTGIEIGRTLKKFPLLTSREYVLAWKLWHGNSGSFYCFTKECDHDMVPRQRKYVRVSHFRSGWRIRRVPGRNACEIKMFHQENAGLNVEMAKLAFSKGIWSYVSKMDNAFRNYVAISHTPQGSVLSALTLIAKVPSELESQTVDVTASMGGEEILTRVAKQKKLLRKPSKKLIAKGLVLVGGAAICLSRGHSALGAKVALAYLLTKLNKRATPLKQTTHNTSI >A03p046940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19878676:19881092:-1 gene:A03p046940.1_BraROA transcript:A03p046940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITITGDGPTGDIACDGYHKYKEDVRLMYNMRLDAFRLSISWPRLIPSGRGPVNPKGLRFYKNLIDELMRYGIETHVTLYHNDLPQALEDEYGGWIDQKIINDFTAFADVCFREFGSKVKSWWTINEPNMLAWGGYDLGVTPPMHCSPPFGIGNCSRGNSSTEPYIALHNMLLAHASTARLYKYKDKQHGSVGITLFAYWMVPYTSSVEDKIATQRAKAFYLAWVLHPLVFGDYPDVMKRTVGERLPSFSKEESELVKGSSDFLGLIHYTTLYTAQLSSSIHAGDFYSDMNASLLPIGNSTLFKFDVLPWGLEGVLEYIEKNYGNLPVYILENGQPTNQHSSLNDVGRVEYLHAYIAAVLNSVRNGSDIRGYFQWSFMDMFEFINPNYTYGLYYVNFSDPKRERSPKTSALWYSALLKGKTVSLQKLKNRSMSSSPGVSCQ >A07p021290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12460937:12465639:1 gene:A07p021290.1_BraROA transcript:A07p021290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINKSVTIAELIKRRIPGIHQHTSIGSIDITDIWESKEEGLLPIETTRHVLVITITLSKKELNTSAVGYQCPIPIELGKPFVEIDYEGRGIFLPCITLQHYAMMSWVSSANPVADAESIVPKAIRDGAMDATIDHKNGCMVSNETGDIYSTNEPQTELNSRIAFCLNMHNKAVRALRCPPNTRKEKESDEKRRKSWLSIWLRKTMMTFREG >A10p027300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17206560:17211856:1 gene:A10p027300.1_BraROA transcript:A10p027300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGHGKCSTVYKGRKKKTIEYFACKSVEKSRKSKVLQEVRILHSLNHPNVLKFYAWYETSAHMWLVLEYCVGGDLRTLLQQDTKLPEDSVYGLAYDLVIALLFLHSKGITYCDLKPSNILLDENGHIKLCDFGLARKLDDISKSPSTGKRGTPYYMAPELYEDGGVHSFASDLWALGCVLYECYTGRPPFVAREFTQLVKSIHSDPTPPLPGNPSRSFVNLIESLLIKDPAQRIQWADLCGHAFWKSKINLVQLPPQPTFDKMIGIHPKPCLSEHNGDRPNKTPQKSREKDPKGGSRHNENNTQGSRGHETPQKGTPAGSKVQTKLPSKATEEKHGGRPGANRQVNILRLSRIAKANLQKENEKENYRRPLPNSNENCAEVKIQNTDMELDFDEDNDEEVPDESEGNENTPCAKDERVLNQNESHQRQGVRSNNVPDENSSPNETPTSAEAKDCQEEQSEPIEVSAALPCASPLVKTHRGREVSGLTVNHDSSKTPNSISDVLWHLSDLSVRPVMPSKKSDKEAVPSLSFEAPQPSDFGKMGKQELEPLNNRIITVLSGSSAGISEKQNLIRYLETLSGNADAANILTNGPIMLVLVKVLRLSKTPAFRVQIASLIGLLIRHSTSIEDDLANSGILDSLTNGLRDKHEKVRRFSMAALGELLFYISTQNEHKDFKPTESPSKEIRSASGWQVSNALISLVTSTLRKGEDDLTQLYALRTIENICSQGAYWATRFSSQDLISNLCYIYRAVGKQESMRQTAGSCLVRLARFNPPCIQTVVEKLSLKEIASSFVKGSAREQQGRDDFRITLLQVLECIAEDAPLVKQNAEIIIREILPSLAAIYNGNKDGDARFLCLKIWFDSLTILLTECTDIEQQTSEDLKSVSNSHFLPLYPALIQDEDPIPAYAQKLLVMLVEFDYIKISNILHQNTVSQCFEFLLGDLSSANVNNVKLCLALASAPEMETKLLSQLKVVRRIGNLLEFVNAKDMEDFLEPTLSLCRAFLLRSLGNKKGLSSNYSKEPMLLSESSFTFEVDPQECIRDIADFGSNIGLFLHLAGLDDTSIAVADIASECVVLLLKAASREATTGFLTNLPKITPILDSWGRGNSTEMQLLVLKRILHCLGYACKQYLSHAMILSISGHDVNKINAIVSEIKNSDVAGLSSVASLVVVELQRLPHR >A07p006940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1363667:1365754:1 gene:A07p006940.1_BraROA transcript:A07p006940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEHSLSPKDSFVFKLPKKSPLVLRMVVLSFVMVCAVYICSICLKQIGVVPSAGFLNVEVFERPCPEPNIEPWDIPYVHYPKPKTYSREECSCNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKDRRANVSTIFETLDKVYNLDWLSSASKNECTSAVGLKWMLNQGLMKHHEEIVEYFKTRGVSAIFLFRRNLLRRMISVLANSYDRDAKLLNGTHKSHTHSPKEAEILARYKPVINTTLLIGDLKKVQEMTTNALSYFNTTRHIFLYYEDVVKNRTRLDDVQEFLKVPKLSLKSRQVKIHHGPLSQHVQNWNEVQTTLKGTDYENFLLEDYRK >A04p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17052680:17053498:1 gene:A04p028280.1_BraROA transcript:A04p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGSSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNVSKMLQELPVNQRADAVNSLVFEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQHEQPLQSHHQVLELDQDEKALLLNNNNINNCNNDNNNLGYAMSSGQFNSNFASPSSIMQMQMQMQDPLKQESLWT >A01p037800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15157744:15163071:-1 gene:A01p037800.1_BraROA transcript:A01p037800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSNNGFQGNLPFSFGEMKKIEFLDLSHNNFSGTLPIKLLTSCYKLFTWKLSYNSFTGQIFSQPTKLTSMMVLIADNNQFTGIGDGLLNSTGLVYFDLSNNLLQGVIPSWFGGFHFMYFSASDNLINGTIPSSLFNIPFKLLDLSRNKFSGSLPSNFSGRDMGLLYLYDNEFSGLVPSTLLENAMLLDMRSVRASRGMFASIGVEAKLFVFLDLPLEFYLDYSGYLDFSVEFTSKRRYDSYTGESFDFIFGLDFSDIELSGEIPRELGDLQRMRALNLSYNSLSGSIPSQGNFFTLDETNYIGNPFLCGSPVNRSCDDNNTTGEKETNYRRKDGGVAIDMEMFYWSLGTSYTVILMTFIVLLNDREEKMTEPSCRVLLLRNNRLRGHIP >A02g510540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27819651:27820503:1 gene:A02g510540.1_BraROA transcript:A02g510540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQILAKPIQLSDQVMKAADEASSFKQECAEFKAKTEKLAGILCQVARASSDLYERPTHHIIDDTEQMLDQAFSLVLKCRVNGITKRVFTIIPSIRPKRVSINSRAWRDLLVLVPSLLTRTRPKQVKRIEISDQHW >A01g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11390834:11391541:1 gene:A01g503700.1_BraROA transcript:A01g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKLRSLHMQAGYFSTPYLELISTLAKATVAGKLYYEKALSFSVQQEVSSFSCIACDDSNAVDVVRYRLELSVSDQTDEAVSHQTDEAVFVTFDTEILNRQTFELLKLHILWSAH >A09p002070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1511016:1513649:1 gene:A09p002070.1_BraROA transcript:A09p002070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLRRAIGAVKDQTSVGLAKVGGRSSSLTELEVAIVKATRHDEYPAEEKYVREILSLTSYSRNYVSACVSILSRRLNRTKNWSVALKALILIQRMLTQGDKAYEEEIFFATRRGTRLLNMSDFRDADGSDSWDYSAFVRTYALYLDERLDFRMQRRKGKNGDGGGGGDDDSGDEEEDDHRETNANVRSRALVVKSKPVKEMKTEKIFIRVQHLQQLLDRFLACRPTGNAKNNRVVIVALYPIVKDSFQIYCNVTEIMGVLIDRFMELDVHDSIKVYDIFSRVSKQLDELDPFHGWCKKMGVARSSEYPELEKITQKKLDIMDEFIKDKSLLAAQALMSPSRKSNKSEEEEVKDIQEDLNAVKALPAPEQEEEEEEKEETETKKDVQEVVSRQDQEGDLLDLTGEAGDTTLSVGDSLALALFDGAVATEAASGPGWEAFDDDSADWETALVKSATRLSAQKSELGGGFDHLLLDGMYQYGAVNAAVNAYGSSGSASSVAFGSAGRPAASMLALPAPPPTSNGNGGRSLVTMDPFAASLEVVPPSYVQMSDMEKKQRLVMEEQMMWDQYNRNGRLGHMQNQQQHFHVPYFMGPYSYTPHY >A03p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15015195:15017768:1 gene:A03p035810.1_BraROA transcript:A03p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKTEETELNQLENQVENGGGGVWEYLCLVRKLKVRRSEFVLKHGLSILNDPGKRSSLGPDEWTLYEQVAIAAMDCQSLGAAQNCIKVLQKKFPESKRVGKLEALLLEAKGMWEEAEKAYSILLEDNPLDQVIHKRKVAMAKAQGKPSLAIEHLNKYLEVFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTLPLYHLAYAEVLYTIGGHENLIAARKYYASTIDLTGGKSTRALFGICLCGSAIAQLSKGRNKEDKDMAAPELQSLAATALEKEYKQKAPAKLNLLSSALRSLKL >A05p005330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2069343:2071195:1 gene:A05p005330.1_BraROA transcript:A05p005330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNAGQPAADTEMSEAPSNPSPQVPGIENIPATLSHGGKFIQYNIFGNIFEVTVKYKPPIMPIGKGAYGIVCSAMNSETNESVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPLRNAFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEEELEFLNENAKRYIKQLPPYPRQSLTDKFPNVHPLAIDLIEKMLTFDPRRRITVLDALAHPYLNSLHDISDEPECTVPFNFDFEQHALSEEQMKELIYREALTFNPEYQQIV >A09p081370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59245082:59245676:1 gene:A09p081370.1_BraROA transcript:A09p081370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVVNRESYKKLERKKSNVLVEGYVETLATLDNQDDLTRAKSLTDDDLEDLKGCLDLGFGFSYDEIPELCNTLPALELCYSMRRRFSDDKNNNKSPESSSVDAPSPPIANWKISSPGDNPDDVKARLKYWAQAVACTVQLCKGDPRKALEGLGLN >A09p075640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56897678:56898483:-1 gene:A09p075640.1_BraROA transcript:A09p075640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVVSRTGRQFQRYNKGRRQVVGCIPYRLKTSSDGIVSDEFEVLVISSQKGHALMFPKGGWELDESIEEAASRESLEEAGVIGDVERQLGKWDFLSKSRGTFYEGLMFPMLVKEELELWPEQHLRQRMWMKVDEAREACRDWWMKEALDVLVHRLSSPPPSVMKPMEEDKTVPLISIC >A09p061880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50864103:50874310:-1 gene:A09p061880.1_BraROA transcript:A09p061880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKISPPLLPFCESSTMEAGYYSFSKDATSAPMLSHFDYIVIGGGTAGCAIAATLSQNATVLVLERGGSPYDNPTATDIGNFLSTFLNTTPNSWSQLFISEDGVFNTRARVLGGGTVLNAGFYSRAEDEFVAETGWVREEVEAAYEWVEKKLVFEPQIKGWQTAFIDGLLEVGVTPYNGFTYEHVHGTKVGGTIFDPDGRRHTAANLLEYADPQKITVYLHASVHKILFTTTGNMRPKANGVIFRDANGVFHTAKLAAHSALNEVILSAGAIASPQLLMLSGVGPASHLADHGVEPVILDQPMVGQGMCDNPMNAVLIPSPEPVEVSLVQVAGIPHFGSYIEGGSGLSVSISLWHSFFGAVINLLNEMKLPTKTLSRFFKLLDLRVNVTTQAGGMVQKVDWPISRGHLELRNTNPDDNPSVTFNYYQEQEDLNNCVEGLSTIIKVIDSKKYSKYMFPGVTGRGLLDFILGLPINLRPRHINSLFDLKQYCKDTVMTIYHYHGGCQVGKVVDNDYKVLGIDALRVIDASTFLKTPGTNPQATIMMLGSVAVFVFQGSCYSDKAGYYSFLRDAKSAPTLSHYDYIVIGGGTAGCALAATLSQNATVLLLERGGSPYDNPLATDIGNFLNTFLNTTPNSWSQLFISEDGVFNSRARVLGGGTVINAGFYSRAEADFVAESGWDREEVEAAYEWVEKKLVFEPQIKGWQTAFIDGLLEAGVTPYNGFTYKHIYGTKVGGTILDPDGRRHTAADLLEYADPKKITVYLHASAHKILFTTTGTMRPKANGVIFQDANGVFHTAKLAAHNAQNEVLLSAGAIASPQLLLLSGVGPAAHLADHGVDPVILDHPMVGQGMGDNPMNAVVIPSPKPVEVSLVQVAGIPHFGSYIEGLSGLSLSISLTHSFFDGVINLLNEIKLPTKTLSNFFKLLDLRFNITTQAGGMIQKVYGPISRGHLELRNTNPDDNPSVTFNYYQDPEDLNNCVEGLSTIIKVINSQNYSKYKFPGVTGRGLLDLILALPINLRPRHINSLFDLKQYCKDTVMTIYHYHGGCQVGKVVDNDYKVLGVDALRVVDASTFLKTPGTNPQATIMMLGRYVGQKILRERADFLETKEEL >A09g515860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46830204:46830610:1 gene:A09g515860.1_BraROA transcript:A09g515860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLSSRTRALTNLSSGRKAPIHHLLSALSSSVQDGVDVRSRSPVVVALRRVSLTSASPHLKPMTAPSRVVLSAFAAIGINSHCCVSAPSLPARHRRQRDPTKR >A07g501360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2833750:2834211:1 gene:A07g501360.1_BraROA transcript:A07g501360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRINNTSHIFHVFINGKHIGNQQADNGKFHYVFEKDAKFKSGHNVISLLSITVGLANYGAFFESASVGITGLISIIEEMVMKLLLRTCLLIN >A06p035090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18973453:18975811:-1 gene:A06p035090.1_BraROA transcript:A06p035090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDRQLLSGLLLTLAFVSLQKLCYCDDQTVLYESFDEPFEGRWIVSKNGDYEGVWKHAKSEGHDDYGLLVSEKARKYGIVKELEEPLNLKDGTVVLQYEVRFQEGLECGGAYLKYLRPQDAGWTAEGFNSESPYSIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPHDKLSHVYTAILKPDNEVSILVDGEEKKKANLLSGEDFGPALIPDKTIPDPEDKKPEDWDERAKIPDPSAVKPDDWDEDAPMEIEDEEAEKPEGWLDDEPEEVDDPEATKPEDWDDEEDGMWEAPKIDNPKCETAPGCGEWKRPMKKNPAYKGKWSAPLIDNPAYKGIWKPRDIPNPDYFELDRPDYEPIAAIGIEIWTMQDGILFDNMLIAKDEKVAETYRQTTWKPKFDVEKEKQKAEEEAAGSADGLKSYQAKGCVRPLEQGCRHFFPKCELIEKAEEQPNLTIGVLVSIVVVFFSLFIKLIFGGKKAAATVEKKKPEVGESSKSEEDEAEKKEETAAPRKRQPRRDN >A02g512470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33826263:33827279:1 gene:A02g512470.1_BraROA transcript:A02g512470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLLKLPCSGETSGGARGRRRRLPLLSLFPFCSDSSSVVCAVDMLEPLGRALPRDPLQLLRGVVDPRLVASVLEIQCVCVVEARGVLVRSLLVLDGLYPKIVFAWRGAFCFSSRRRKGLVSSSGLGCFRSWETASLLLVGSCTGFVWSCGYRSHVVMRLHPRRCGKGLSSMAFLSPSHEGDLPLLSSPMYLVELLWLCFVSSLTGPKRSKTETGVLFRIRSSSCSAGWVVSFLLAASEVFYSGLSPSQPVFVFVLNKTRRSSGCVRSLVCRSIHVGLGLGSH >A04g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8667608:8668524:-1 gene:A04g504190.1_BraROA transcript:A04g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQERRNVVNLCVKLRSSHLLRKPGRLRNESRLHTDQVSVSTESHVSVVYLEVTTKAMARSHVRDCDSRTVRNFLEDDRHGVGREGPSIPVFIYITCLRKGKKIREEKGISLKHKLNPSLSFQAYGKVLDIKALEIQAIIDFDCLSHIVIVKLFTGIFREE >A04p010540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7139692:7140629:-1 gene:A04p010540.1_BraROA transcript:A04p010540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRFSNATSGTHISFDIETNAGEILFLSKLVWRNTGNNPDPSFLRGYAKVEPLSISKLNEFIIAAEPQETEFVRTGRVTGIKMDKGWCYVSFSSCTRSSKELAHLLLACPATTQALLECSGILDHLFLPL >A09p067380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53181203:53184361:1 gene:A09p067380.1_BraROA transcript:A09p067380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAIVIVMVMYMIMNIISTEQLLENSKERRNINVQGAYLHVLGDLIQSVGVMIRGGLIWYNPKWKVIDLICTLVLSKGLLETEEVVSVHELHIWAITVGKVLFSCHVKIKQESDDAMVLNKVIDYIRREYRISHVTIQIEQAQSFAFKEEEEEESMQDTVPFLQMLQSEEDPLPFTSFKELLSLQNLQHHWELQSYLSHNETNPVSTSSMEVTRQALSSQELPFSRQQDMTVPSSSSTPNSRRKRKTISNPPEVTRGKRKRRKTKPSKDIEEIENQRINHIAVERNRRRQMNEHISSLRSLLPPSYIQRGDQASIVGGAINYAKVLEQVIKSLELQRRTKQSCGVENRVTCVPRIEATLIQNHVNLKVECRKKQGQLLKGIVSLEKLRLTVLHLNISSLSCSSVSYCFNLKMEDDCKLESAEEITKVAHQIFDT >A06p047760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25334797:25335914:-1 gene:A06p047760.1_BraROA transcript:A06p047760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLVQAASVSYLRYHSTVPTLFKLSSGLLLQKPIFPDSKLTTFQRIFRYTKKASNGSARASLLESPVLWAGRVCVFYGLVKAGLAGSKSNPIVSGLESGGVDVEDDGADLGFSKWIQNIKGKPDKDAADKRKLVSKWHPTTKGTLRRNYRVPSKGEGNRLLKAIASLLSDDDHFRDATSHKGCQIRRESAHGQSVCCNNVRALFDELPTPHLVVEITPFPAGPLTENDYLKAEKLEKVLRSGPNI >A07p050480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26913587:26915875:1 gene:A07p050480.1_BraROA transcript:A07p050480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGEFRESSSPLRTPSHSPNQSLPRPNYVHGDFSPSNNRFSFNSSSDFSLSSSFSNGFYSSDDSSASPPFNGLIPNYNHSSPPFTYHHYDKSVNGDDFGLCNDLYRMKIKEDVVQEDPLASFLETNHTGSSLDPLYFSHYEPRNNGGFFHTQKEPFDTWFNNDQTEDKRDMFGHQTQDSIANSRQFGWPSYPTSNSPYINGQEMLGLGMNLGGGLTREHSAYYRTPTTTSSDMLPLFCQGAQASKVSEPFTSDESFFMEPQRIGVTRGLMSDPTEICHTSLPNVCDIQGYVYLMAKDQHGCRFLQRIFDEGTPVDAMIIFNEVISHVVELMMDPFGNYLMQKLLDVCTEEQRTQIVLVATAEPGQLIRISLNAYGTRVVQRLVETIRTGKQVSMVKSALRPGFLDLIKDLNGNHVIQRCLQCLGTEDNKFIFDAATKFCTEIATHRHGCCVLQKCIAYSMRQQREKLIAEISRNSLLLAQDPFGNYAVQFVIELRIPSAVAMMLAQLKGHYVQLSMQKFSSHMVERCLMHCPESRPQIVRELVSVPHFDHLLQDPYANFVIQAALAATKGPLHASLVEVIRPHSILRNNPYCKRIFSRNLLKK >A06g502550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8329011:8331659:1 gene:A06g502550.1_BraROA transcript:A06g502550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYQKFSISWKGTRFQGPNSGFLLAGTWSVPLSGTRGSGSCLEAGGNDTGVFFPNSLPLISRFCHQSQGITCALKSTGVAHSQHAPLRQDPDPLILLSWVRRKPELIQNLGEDRFLHSFVHPLFKKMNFDLPTSLVGGRVKRCRLFADPLSSPVASFREVSETDSEVIPMAPLKQCGSFVLDDGPCSEIQEQGLKVIQRKYGIHSSVQMRSPLEFEHASDGGTGEIAIFDAYLIAGFRGIVPSLVAEVSSFLGFCPSQLTPLSWKTLMSIQVLGELYGLDTGVHEVLYSYYFAPLTIMPGFYHLQPRDGAPLVEEPSRGTRGNYPFGDNWTSRCVLSGIHSWGSSGEKDVSNPSTFPWSALPDEQGGDFAGLPAPVLYDEYQQAGTRRRHPFYSPPPRLTRATSPAARIKPLPSWTVTGDAPLMGVRQRLLTELFLLRNRVRDSARLELMKEWLEGRTSRRDPEEEYCRYLLCSEGSDHHFGGCPKVDSRSAVESRGPYSAILGEATTGTCWDFTFYLSEAGHYRVPVLHAAFCRKPLSDLEGAGVGENPSARLYYFPRLEK >A05p012240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5314062:5317286:1 gene:A05p012240.1_BraROA transcript:A05p012240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:Projected from Arabidopsis thaliana (AT2G35060) UniProtKB/TrEMBL;Acc:F4IIZ3] MAARVEAATMGGGEIDEESDERGSMWDLDQKLDQSMDEEAGRLRNMYKEKKFSALLLLQLSFQSLGVVYGDLGTSPLYVFYNTFPHGIKDPEDIIGALSLIIYSLTLIPLLKYVFVVCKANDNGQGGTFALYSLLCRHAKVKTIRNQHRTDEELTTYSRSTFHEHSFAAKTKRWLEDRTSRKTALLVLVLVGTCMVIGDGILTPAISVLSAAGGLRVNLPHISNGVVVLVAVVILVSLFSVQHYGTDRVGWLFAPIVFLWFLSIASIGMYNIWKHDTTVLKAFSPVYIYRYFKRGGIDRWTSLGGIMLSITGIEALFADLSHFPVSAVQIAFTAIVFPCLLLAYSGQAAYIRNHPHHVADAFYRSIPGSVYWPMFIIATAAAIVASQATISATFSLIKQALAHGCFPRVKVVHTSRKFLGQIYVPDINWILMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMTLIMILVWRCHWVLVLVFTVLSLVVECTYFSAMLFKVDQGGWVPLVIAAAFLLIMSVWHYGTLKRYEFEMHSRVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKNLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYGDLHKKDDDFEKRLFESLFLFIRLESMMEGGCSDSDDYSICGSQNHFKEKNENVATFDTFDSIESITPVKRVSHTVTASSQMSGGVDELEFINRCRDAGVVHIMGNTVVRARRQARFYKKIAIDYVYAFLRKICREHSVIFNVPQESLLNVGQIFYV >A01p043630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:24785530:24786264:1 gene:A01p043630.1_BraROA transcript:A01p043630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPQLSSQLQSLDNCCIEVGKYGLDGEMDSLRRDKQVLMMELVKVRQQQQSTKMDLTLLEDKLKKTESKQKQMMSFLARAMQNPDFLQQLIEQKEKRKNTEEAIDKKRQRPIDQGKRHVVCVEDYDDGGGGYGRYGKDAGSSSAFFDMKQETYGDMSELDRLAMHIQGLGDQCNKEDVVLDVGKGNEEEQHKERYQDENNEIYGEGFWEDLLNEGQNFDLQGDDEENVDVLIEQLGYLGSSRH >A05p050990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29676396:29678739:1 gene:A05p050990.1_BraROA transcript:A05p050990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHDYSITFLRLSLLCFLSPAASSADYIPSTLDGPFVPVTVPLDTSLRGKAIDLADTDPRVRRHVTGFEPEQISLSLSSDYDSIWVSWITGEFQIGKNVKPLDPTSIDSIVQFGTLRHSLSHEAKGTSLVYSQLYPFDGLLNYTSGIIHHVRITGLKPSTVYYYRCGDPSRHAMSKIHHFRTMPVSSPSSYPSRIAVVGDLGLTYNTTDTVSHLLQNSPDLVLLIGDVSYANLYLTNGTSSDCYSCSFSDTPIHETYQPRWDYWGRFMETLTSKVPLMVVEGNHEIELQAENKTFEAYKSRFAFPFKESGSSSKLYYSFNAGGIHFVMLGAYIAFEKPGEQYEWLKKDLAKFDRSVTPWLVVSWHPPWYSTYTAHYREAECMKEAMEELLYSYGTDIVFNGHVHAYERTNRVYNYELDPCGPVYIVVGDGGNREKMAIEHADEPGKCPDPLTTPDPAMGGEFCGWNFTTTGKFCWDQQPEYSALRESSFGHGILEVKNETWALWTWYRNQDSSSKVGDQIYIVREPDRCHGYNRLVDHC >A08p011380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:46098:66021:-1 gene:A08p011380.1_BraROA transcript:A08p011380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPADGDRNRDEPAEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEEYQDLIISFHHPLNLICYCFNFEKIEFKSSRVDQEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEPRRNRQGQREHAGSEETDNFYERSSHSSGSRRSSPMTRARARKLKEAIGGLIRKSLEQEESSFHLRLFFKAFGMEESKSKTLSVAVTLKGGTNYLVWSRLVKAAVGSKGLWSHISGKALTTQHTPGKSTDGEMIRRSDIDALIKALKENGGNQSDGAHENEGSASPDQQGMRNEDETEERDQFEVQSERGEEGESLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMITYKDDLLIRELFKTLFFASNGFSYTYTARALKSHMVSEPGSSEPELSFRKSTALISAQTKPLKTLPAEFSS >A09p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24457841:24461250:-1 gene:A09p036490.1_BraROA transcript:A09p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPELPRRIHTVGKESSAWHSISYHTCWTLHDALKEALHDDEYEELKESKLGVFIKFQDLGFEWASRLVHYMLGFQLDIKQKYELWSLVGPEPVRFSLLVFENLTGLNCEYIEDLERPQCVVTKELTSFWGMLGVHVEAGPYTEEIIAAFGRCEGWSPDDCKRLAYLAIITGYIEGRKYPTRVSLARLVMELERFENYPWGRVAFKVLMDSVKGKDISGCYTINGFSQALQTRVINFVEKDIGEMFPKWEFDVEDTPAENIIKLMFVKKPWKWTMEHWEVTGIRVNTKTAVVSPAKKKVVKEDSPRPRKKAHKEAPAEASEEAHAESSEEVHTVARSEVTTTVGGLTNEDIKTMLKDVVDAMREGFGTCLKEIKYLSEMVEAVEKKVGNTTKRKGTSSQNRETGTSSQNTTSPPKLTLEPGSESVNGTNARRKRLPEDKGPDVHADTSSSKDKAPEPSLVLLDKNQSTVSDLQKEDARYQDKRDAALALCSAKSDQTRRLADSQQSPYTANITAKVIIPNKKLYPGYNPFAPIVKKKLNELADWLKTCPHYRTALDKKPRTSRTWWYHILRTSLEWLEDCHIDAWINVLRKRYDANPQHFRSERMCFLDHLFAQQWRFNFKDFKDSEPNQNGLGRKLPGGAWNYYAGTIPSFWQSNKVWGTDIDDIYAPMNYSNTHWIAMWISIPKRNIVVFDSICSSISPEELDVVMEPFLYMVPYLLVECSSSDEQRAQYSLEPFTYERLDNILPARAGDCGVYTVKYIECHALGIKFSKKDFAKVNGKSTRDKMAVDIFQEHAHEFLNKDNDANLGAYEA >A04p002870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1376622:1377767:1 gene:A04p002870.1_BraROA transcript:A04p002870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIIAQFIVMGTGILGRAVFQAYRQAIANASKTGVAQEAMQNAVRKAGKAINEQEARQILGVTEQTSWEEILQKYDKLFENNAKAGSFYLQSKVLRAKECLEVVYRSNGTPS >A07p046640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25144513:25150691:-1 gene:A07p046640.1_BraROA transcript:A07p046640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTFFWNVRGLNEISKHRPLNNWLYNRRITFGALLETHVMEINKQAVLSSLGSGWSLFDNYHHSDLGRIWFIFKAPTTARFLHADYQSLTAEVTLENGINFIFTAVYGANEDSTAVIQAWQGAIYLIWQERNRRFHDGQTFPPARIMKSLISLLRIKALALSATGRALGDKLLFLWSGDHLTKKKVTPPLLITETNTTMMRVKGEVKKQLWLSAPLIGVSLLQYSLQVISVMFVGHLGSLPLSAASIATSFASVTGFTFLLGTASALETLCGQAYGAKLYGKLGIQMQRAMFVLLLLSIPLSVIWFNTEHILVLVHQNKDISSVAGSYARYMIPSLFAYGLLQCINRFLQAQNNVFPVFVCSGITTGLHLLLCWLFVLKTSLGYRGAALAISISYWFNVILLSCYVKFSASCSHSWTGFSKEAFHEIYDFSKIAFPSAVMVCLELWSFELLVLASGLLPNPVLETSVLSICLNTSLTIWQVSVGLGGAASIRVSNELGAGNPQVAKLAVYVIVGIAVAEGILVVTVLLSVRKVLGRAFSSDPKIISYAASMIPIVACGNFLDGLQCVLSGVARGCGWQKIGACVNLGSYYLVGVPLGLVLGFHFHIGGRGLWLGIVTALAVQVLCLSLVTIFTNWDKEAKKATNRVGDKDDYVE >A01p004750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2041278:2041475:-1 gene:A01p004750.1_BraROA transcript:A01p004750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRIEMCIELMRIGVEFVVVVAETVKIAWRQHLNHRTPLPPQHLLRQGISPSYPSQFIFGFLP >A08g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13465571:13466602:1 gene:A08g507630.1_BraROA transcript:A08g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIDDLHHPMHQQTELEPSSRSVVRQAFALLVVYLSLGVLIYWMNRDHYVVNQTHPVVDGLYFCIVTMCTIGYGDITPNSVVTKLFSIMFVLVGFGFIDILLSGMVSYVLDLQESYMLDSAKRREEPAKRRSYIIDVKKGRMRIRLKVALALGVVVSCIALGVGIMHFIEKIDWLDSFYLSVMSVTTVGYGDRAFKTLPGRLFAAVWLLVSTLAVARAFLYLAEARVDKRNRERAKRVLCETMSVSQFFAADIDNNGCVSKAEYVIYKLKEMEKITDKDITPISKQFDKLDRCSNGKITLVDLLDSSCGD >A04p021780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13180355:13182016:-1 gene:A04p021780.1_BraROA transcript:A04p021780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLKAPSLPGTRSSANFSNRLVTGRAAFSPVQKLRPSSIHGTTFPRRKVSFRTRTVVQSVLETERSTKTEKPVRLVALVGKGEVSPLKSTSWHEVMLHTARRLKWVDEGYEMLVFDDEILRSNDQRAMNLKQELNQSDILVVVAVNNSESVKWIQTNSNNTKNVICFESSQDLMNRLGGTDFKTGDTDKEGTEVVKTVGDAWERRNSDDIRFCLLVIINAYVRPVPVLQNLRSKGFSTLGCMVKNCGPQILNCLLDPNCRKALQCLNKCSPVDQVCSYRCIASYESPYFEAFSLCVLQKHNCLELDAKIPEKPYVPPMTSFRGKELCHETAEDLFVGWLGDLDWSWRVAAGQNPAYDQFPCQYQLFYRGKGKSSFWYEPVFQVRTLEGKLVWRRRRYSVKRGKTPATFRLSVLDNGVVSNEFWTIVDVCDDLSWGLFHYNGAARVAGQSYTGAVLVTPDGSYPEEKERERLQSALEKCGIKEWELFAVDNCSCENPPLGIPEGSRLHSKISIIKEPDSVQGVEM >A03p071330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31387340:31389649:-1 gene:A03p071330.1_BraROA transcript:A03p071330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 81, subfamily D, polypeptide 5 [Source:Projected from Arabidopsis thaliana (AT4G37320) UniProtKB/TrEMBL;Acc:O23156] MWFNLPHTNKDGSKTVKQQTKEKMDFNPILLLSFLLILISIKFLFKNSTRKLNLPPSPAYSLPFIGHLYLLKHPVQRTLLSLSQSLGDTPIFHLRLGNRLVYVVSSHCVAEECFTRNDVVLANRPELIMGKHVAYDSTIMIAASYGDHWRNLRRVAAVEIFSSHRVNTFMSIRKDEIRRLISHLSRNSLHGFAEVEMKSLLANLAFNNIIRMVAGKRYFGSGTEDNDEAKVVRELISEAVAGAGAGNLADYLPIIRWVTDVEKRAKLLGKRFDGFLQRLVDEKRAEKERGQTLIDHLLSLQEIQPEYYTDIIIKGIIISLVIAGTDTSSITLEWALSNLLNHPKILEKARAEIEDKIGSDRLIDESDIENLPYLQYIVSETLRLYPPVPLLLPHYSSDDCKVAGYDMPRGTMLLTNVWAMHRDPGVWEEAERFKPERFEKEGEAQKLMPFGMGRRACPGVELGKRLVSLALGCLIQCFEWKRVGEELVDMTEDKGLTMPKATQLRAKCKSRVVARKMIQSM >A06p036280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19690660:19693824:-1 gene:A06p036280.1_BraROA transcript:A06p036280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVLASRGLRAKSLCDNKASSFLASFTSSRLNHSIPFATVDAEEISGAHPAEVQSFVQGKWIGSSNYNTLLDPLNGEPFIKVAEVEESGVQPFIESLAQCPKHGLHNPFKSPERYLLYGDISTKAAHMLALPKVSDFFTRLIQRVAPKSYQQAAGEVFVTRKFLENFCGDQVRFLARSFAVPGNHLGQQSHGYRWPYGPVTIVTPFNFPLEIPLLQLMGALYMGNKPLLKVDSKVSIVMEQMMRLLHYCGLPVEDVDFINSDGKTMNKILLEANPRMTLFTGSSRVAEKLALDLKGRIRLEDAGFDWKVLGPDVQEVDYVAWVCDQDAYACSGQKCSAQSMLFVHENWSKTPLLSKLKDLAGRRKLEDLTIGPVLTFTTEAMVEHMENLLQIPGSKLLFGGKPLKNHSIPSIYGALEPTAVYVPIEEILKDSKTYELVTKEIFGPFQIVTEYKKDQLPLVLDALERMHAHLTAAVEVIGNSVNGTTYAGLRGRTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDYGPIPQGWELPPST >A08p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21911085:21912800:1 gene:A08p037590.1_BraROA transcript:A08p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRQRKGISSVDEEDTIDTDCHAFSSRSHPLPPPPNILHINRDPDLVSPDLIPAGSNPNREMEKAESEKKASSVSDVGAWAMNVTSSVGIIMANKQLMSSSGFAFTFATTLTGFHFALTALVGMVSNATGLSASKHVPLWELLWFSLVANTSIAAMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKHYSREVKASVMVVVVGVGICTVTDVKVNAKGFICACTAIFSTSLQQISIGSLQKKYSIGSFELLSKTAPIQALSLLIFGPFVDYFLSGRFITTYKMTYGAIFCILLSCALAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLTLGWLIFDSEMTFKNIAGMFLAVVGMVIYSWAVELDKQRQTKATPHGKNSMTEDEIRLLKEGIEHMNLEDMELGDVKS >A07g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3060792:3063197:-1 gene:A07g501480.1_BraROA transcript:A07g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSRNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKHMYKWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDNSTASTNLSRVRINEIVESVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNI >A03p040300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16792954:16795141:1 gene:A03p040300.1_BraROA transcript:A03p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYQGDAPAEYIEDVDDEMEDVGDDMDEEFRADDDDDLAASDSDVEEFDYSNNKIADTSAEQARKGKDIQGIPWDRLSISREKYRQTRLEQYKNYENVPNSGESSEKVCKITQKGGQFYDFWRNSRSIKSTILHFQLRNLVWATSKHDVYLMSNFLLTHYSSLTSGKKEVLNVRGHVAPSEKHPGSLLEGFTQTQVSTLAVKDDFLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAIEIYNKPSGALHFTASNNDCGVRDFDMERYQLVKHFRFPWPVNHASLSPNGKLLAIVGDNPEGLIVDPNTGKTLETLSGHVDYSFASAWHPDGFTFSTGNQDKTCRVWDIRNLSQSVAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVAKGYETEQEIDFFGEISGISFSPDTEALFIGVWDRTYGSLLEYHRRRNYSYLDSFL >A03p055630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24023058:24026705:-1 gene:A03p055630.1_BraROA transcript:A03p055630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNMENQKVSCHDDELSLNHIVDEEDFRSCCGDEQLWLKETDDNTAKVEKRDELDDEFSVRLFFKGVSITERGDSSSGYSGIGVVQKKLDFYAQDSVANYLALLDGLTVALENNLRSVVAVTDSELLYNQASPLFKMITNKEKLETPIFVALRERVLEKTSSLDGFVLKLAPLCDLDQALTLARVAVGICNVDVVDDKQGENCSICCEDRRAEMMLTLKCTHKSCSYCMKTYVQGRVESSEVPIRCPQVQCNNHLSASECKLFLPVASFRSFEEAKMRCEEFQILPVDDITLHRLARYKRWRRCQQCHVMVELAQGCNHMTCRCGHEFCYCCGGEYREGQQSCTCDFWDDEKEEEYQENTIQELEQWPWDAFSSMPSVMDAYSEQERSQLALIQRFLAGGGFISSPPPQPPCRESSYVEAAMKDIHQLPWLERFVSVITVILQGDTKRTKRRSKVIRRRRRRDICKSHEPLLEIPLDLVIEIFTRLPPKSLMRFKAVSKLWSSIVCSQYFTNLSSSPRIYMWLGFDEEKILVSSSSSPDLDSSFVIDQDLTISAMKGYSVSHVYRGLMCFTNGTNAQIFNTTTRQLVVLPEIEESNIIAEEYKFRKVIYRIGHDPVHDQYKVVCIVSTHNVRRMEHWVFTLGGGVSRQWRKIPSPCPQHSPFTQGLTMNGRMYYLGLVPDLLSPVFVRFDISSEEISVLQNVEDAFWCRYYYTEIIEYDGKLAILDYSDLVKDGVMELWVREDEEKNSWSRKTLVLHPSHMNMVKMHIVHNMSLRVHGTTRNGDVILVPQHITGPDDQFIVLPQVTTLFYVFLYNLQKNQLRKFYIKSNRYNTKRWDVVGFDDIENFMSL >A02p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26320762:26323163:-1 gene:A02p041960.1_BraROA transcript:A02p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSQSSSPSPSPSSLPSPSPGDTFVGSFISLVSKSEIRYEGILYHLNVHDSTLGLKNVRSCGTEGRKKDGPQVPPSDAVYDYILFRGSDIKDLQVNPSPSVQSRKDIQSEKDINQSSQSRPAVSSPPTGYDRGYGLGRGGQWVHSPALTSKPAPTTLHSPAPLGDGGSLKESPASMPMPMPMPSFVQGNKLASTGVPLGMMQHAVSSSSTKHNDQAQIVDMFASPIMGLVDDTTKVVTHTPDVASNLSYSSNPSPLGQAQHRTPPGLASSPSNLAPLSEASLSAPYIQNTYPIAPQAIGKGVYDSQINHRNRSTPYTLPAVTSDSAPVIPDPLSSSPQSFFGMELLQSNTASVGVPSRSLATTHQAPLLPLPVSAHQSWIPSSSAEFSEEFDFEAMNDKFNKSELWGFLGKNNQTNHTEKTAVEPSEEGKTKPAYKKDDFFDTISCNRLDRTARSGQQQQQIQFPEHMRQDPQGFGNHFQRPLQPQPGQGAYLAAQTNYHGGYNNNNNDYSNSGYGYYSGGGRGRGRNTHF >A09p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40521779:40524038:-1 gene:A09p046510.1_BraROA transcript:A09p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UXE1 [Source:Projected from Arabidopsis thaliana (AT1G30620) UniProtKB/TrEMBL;Acc:A0A384K925] MFNFTRARSQARQNRSLSLGGLDYADPKKKNNYFGKFLLTASLTALCIFMLKQSPTFNTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTQFPLKYYHNITSNTLVVLETMAAHGVKTLIYSSTCATYGEPDTMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGIMPGLQIKGTDYKTSDGTCVRDYIDVTDLVDAHVKALQKAKPRKVGIYNVGTGKGSSVKEFVEACKKATGVEIKIDYLPRRPGDYAEVYSDPSKIRKELNWTAKHTNLKESLETAWRWQKLHRNGYGSTSSSVSVY >A09g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18376554:18377690:-1 gene:A09g505980.1_BraROA transcript:A09g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSLLAWHSGPPAGGPHDGLLAQWRIDGAIQVRSNVDPTFYSLVGSGRSGGDHHGSSLLENPYIPYQCMDSYLSSAGIVPFEPFFHAFPRGLEKAAINRIFLILPSRKEEREILFPFRLEMGAEGGNKHTWRAQYNGELCDDLLHGRGLWFKSRMAQLRQGKEYKKDLTPSCMLHLARGDIAQLVELRSCNWVVAITGWVSNCPGGNDSILYLNRWLTFSK >A08p040530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23085712:23086427:1 gene:A08p040530.1_BraROA transcript:A08p040530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVEGKSFKRLSKFLQSPTRSTDQQSRSHFIIKDYHQQSRKLLHHFGEFISNLSLHLHTHLSLSTIGVEFATQNLKVDEKVIKAQIWDTAGQERYRAFTSAYYRGAFENVDRWFKEPQEPHRP >A02p030480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15523581:15525321:-1 gene:A02p030480.1_BraROA transcript:A02p030480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-beta-dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT1G78440) UniProtKB/Swiss-Prot;Acc:Q8LEA2] MAVLSKPAAEPKFGFSPIPVIDISDPESKQAIVKACEDFGFFKVINHGVSSELVSVLEQEAVKFFSLPKSEKTQLAGYPFGYGSREIGRNGDVGWVEYLLMNANLDFGSVPFPGIFRNALSEYTASVRKMTCHVLEMITDGLGIKQRDTLSKLVCDSNTDSMFRLNHYPPCPLINKNTNGGKNVIGFGEHTDPQIISVLRSNNTSGLQISLTDSSWISVPSDPSSFFFNVCDSLQVLTNGRFKSVKHRVLANSRKSRVSMIYFAGPSLTQRIAPLTCLIDNEEESLYEEFTWSEYKNSADNSRLSDNRLQQFKKKPLNDS >A01g500540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2336944:2339830:-1 gene:A01g500540.1_BraROA transcript:A01g500540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGLLGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLLFVWIFLSVSVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPKIKILGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMIMAIL >A03p064950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28302297:28302776:-1 gene:A03p064950.1_BraROA transcript:A03p064950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKSSSLVLITLILATSCLVSESRIARKDLGLDLGGIGVGIGTGIGIGLGGGGSGSGAGAGSGSGGGGGSSSSSSASSSSSSSSGGGGGDAGSEAGSYAGSRAGSGSGRSSGSGRGRGGGGGGGGGGGGRGGGGGSGNGGGYGEGGGYGGGYGGGGD >A09g518740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57025857:57027895:1 gene:A09g518740.1_BraROA transcript:A09g518740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDGFSAPPSSTDASPPFTNPSLTSDLVAAPLPTSSPQSPPSSSQELPVSTPSTVPEGPNGTVPCVSDCVNGTGPHGSDVVTCDNQSPPDTGATASASHDSGISATMENASTDPVSFVPSLGSWAKPLYFKPPATPPEQSTPSGYDPAIVGIQLAAMWPSLNDEILNKPLKGKQSSPSLQPSIEKLPPPELKADGTLRFPWAARLSPQSRNLYRTATPTYRLDGTPEVSIPSKVLRLGPENKDEYIIGKFHRCFLPPGGLVHAVVNKIWGRSCKITCKKQREKDTPSSAAPYLNNPLINGASLTAVSAHDIPLLHQMKELNVPSVVSAAEISQSLQSDLEVAPPLFTVSSDVSVDCQGTPNNTLSPLVDSQSTPITAAIMDSIPSNIINKVVQTPSIVDPLTTSLQVSEFESPSCFTVLEEVDEVESEHMSSLSLTRGGRETKPPIKFQDLEWKTMQGKGKRDRRGRGSSR >SC229g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:40686:47099:-1 gene:SC229g500020.1_BraROA transcript:SC229g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSSPITRAMTRRLKEAVGNILKISKKQEDCLDLVHVQGSLYLSVSQTLILIQVIFCLNLVFSSPLLSRVKRVS >A09p044160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35968058:35972338:-1 gene:A09p044160.1_BraROA transcript:A09p044160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTRSNKEKDLLFSDDPAHLERTIRRGQRSTSLDATTSSSIDTHNQLSTDTRPSSSINANRSTTIDTTPHTSIDTVSSKMVNIIILTRDENGNLYDQAGHLRNATGQKLDAHGTTQESKIEEMLDRVLLGQQQITMDFNGKIDSAYNNLSTKIETLGTQVRKLETQVIQTGETIKRQEAFAREAGADKRKHHVNAIIDDDFWQVVRNEKLEEGDFEIESSMSLGRSQWCRPMSMNSHRSTDHDENRWTDYSRHRSTSSAKSIECNAVRIQTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDHHDTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLASPPEPKPNPLNSSPEPVQEEQETEGRRFRKRKEKIPKNLKREANDKEMDGFTKRILRISIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKSVEFSHSMCDTGASRKVINSMDYGKELGFIGACHCGAEYESEYETKYSESIDTPTFPSIDSNESTVTDDRNNASLDVKHPVDHFAPRNHCYQHFAFQPPSKRGLDDYSIGSCADSGFHESFAVDTVITSPNEEHTKEYDEDCWKERAIEMSLQDERLETHKFTNKFPTLITEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIFAAAKIQEQENIPSTTRFIDTYINRFAPPKPLPHTRADTQAKKMNTLPSTSTGKAMKSNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDKFGIFRDPDANAREIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQRDPNNHVGVATTEINPDLSRQPKGQASINGTTETSIDRVTPTSIDRDDTTSIDIRYEFGNRAFDMYGARKFTWEQRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHTTSFTLTRLAPELYTKDEINEMVFGICGAQEKL >A07g500840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1501923:1502747:-1 gene:A07g500840.1_BraROA transcript:A07g500840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGVKSALLVSQEDPLDLWQPPGYGVSLRVNPGHGGGGSIPGAGNRTWSDSKEDYWGNSLDGHCFEPRIMLQNTYAQQYSKDSQFSEVDREEYQFRTTATVLDYGTQVTDLFQIPGLNS >A01p010040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4900363:4901085:1 gene:A01p010040.1_BraROA transcript:A01p010040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESDYVFLESIKQYLLEESELRLTESMVAQSGTTVHSVRPVYGRNSSFSTLYPCFSESWGDLPLKENDSEDMLVYGILNDAFHGGWEPSSSSSDEDRSSFATVKTENFSAVDSVPAKKTSSVQAPVKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDKAAFRMRGSRALLNFPLRVNSGEPDPVRIKSKRGSSSENRAPKRRRTVASAGQGTDVGLKVKCEIV >A05p008850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3651890:3653513:1 gene:A05p008850.1_BraROA transcript:A05p008850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGIVAGPTAASASLPSSGGGNVEWHVRPPNPKNPVVFFDVSIGGIPAGRIKMELFADIAPKTAENFRQFCTGELRKAGKPLGYKECQFHRVIKDFMIQSGDFLKNDGSGCMSIYGHKFDDENFTAKHTGPGLLSMANSGPDTNGCQFFISCSKCDWLDNKHVVFGRVLGDGLLVVRKIENVAVGPNNRPKLAVVITECGEM >A08p017580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12137522:12141457:-1 gene:A08p017580.1_BraROA transcript:A08p017580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLGLILAFLLALATCKADEEITCEENLPFKCSQPDRLNSSSFEKDFIFGVASSAYQIEGCLGRGLNVWDGFTHRYPNKSGPDHGNGDTTCDSFSYWQKDIDVLDELNATGYRFSIAWSRIIPRGKRSRGVNKDGINYYHGLIDGLIDKGITPFVTLFHWDLPQVLQDEYEGFLDPQIIHDFKDYANLCFQEFGHKVKNWLTINQLYTVPTRGYGAGSDAPGRCSPMVDPTCYAGNSSTEPYIVAHNQLLAHATVVDLYRKNYSHQGGKIGPVMITRWFLPYNDTDPDSIAATERMKEFFLGWFMGPLTNGTYPQIMIDTVGDRLPSFSPEESNLVKDSYDFLGLNYYVTQYAQSSPNPVHWANHTAMMDAGATLTYINASNHSIGPLFEANSDETKNSYYYPKGIYYVMDYFKTKYYNPLIYVTENGISTPGNETRDESMFDYKRIEYLCSHLCFLSKVIKEKRVNVKGYFAWSLGDNYEFDKGFTVRFGLSYIDWNNVTDRDLKLSGKWYQKFISPAIKNPPKKDFLRSSLTFEKNKKFADA >A01p051050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28661128:28662613:-1 gene:A01p051050.1_BraROA transcript:A01p051050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRFLCSLLLFFVAEVTTTKTKGNTTVPALIVFGDSIMDTGNNNDIPTLLKSNFPPYGKEFPGGIPTGRFSDGKVPSDIIANTLGIAKTIPPYLGSKLKPNDLLKGVIFASGGSGYDPLTSKLLSIIPMSDQLQYFQEYLSKIKQHFGEEKVKFILEKSVFLVVASSNDLGETYWARSLEYSRNAYAEYLAYLASEFIKKLSGLGAKKIGVFSAVPVGCVPAQRTLFGGFKRECYETLNKMAIHFNSKLSSSMDALQKQLPSKLVYIDIYETLHDIMKNSSKYGFKVADKGCCGTGRIALAVLCNKLTPFTCSDPSTHVFFDSYHPTEKAYQIITDKLMKKYQKHLSN >A07p010460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6558057:6561037:1 gene:A07p010460.1_BraROA transcript:A07p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDTSGDGLAGRHHCHYSSISAPLVLCYLVMISSLNPVSPPVTIGFSKSSVCLKATVSFTIFSLVHYNLSHCTTSRVQNFATASALPFAPHLCFVTNFCRRHSPSLASCLAGLSVTIYSSDIETAITCQGVYGAKQNRPDLSPSPPAEMTHQQRPCLPPHLGKVIVSRIVSPPLHYVIASPNRRNVPIKHFSRGPYCSKIFWLHLVCLDTISSNYYFQYLGEAVSVSDTFVHSRLYGPLTFFLVVGTTVQECGRTRFARCYVTAPPSHYIVSNIDGSSRSQLCNLQTGVVPLVTTFWDGHGNVEFRGLNSIKPSALSLNFILSASLEIGRRTLNVAYGFGASHLKFLVRGRGRGRGGFGVEYAKAEPFVIFPDITLPDRKSISEDKQFNDRFDKFWKTSIYHLGDGSESLDIERFSDMLKPKNKKSHERGSFYDYLVLRPDNFPKELLGDTPRERPVKRAKWTQDADLHKLEVLEKLEAKLKAEGKEEKKEGVGDGEAEECEGEDSDNGDYDKNKDFDDDDDDYNEPEDNDNEEEY >A03p055080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23727970:23729419:1 gene:A03p055080.1_BraROA transcript:A03p055080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYVGESGFAPLNKTVFTRDQEQMNEENFPFQVVDQSKPTSFLQDFHHLDHDHQFDHHHHHGSTSSNSLLVIQTTSSCVNSSPFEHCSYQDNMVNLVNEHGPMDMKQDNMMMGMIPFGYPPRGITKPMNFVMPDEVSSVSADNDCYKAMSFNKTKPILTRKLSSSSSSSSWKEEKEKTLVKGQWTSEEDRIVIQFVEKYGLRKWSHIAQVLPGRIGKQCRERWHNHLRPDIKKETWSEEEDRVLIQFHKEIGNKWAEIAKRLPGRTENSIKNHWNTTKRRQFSKRKCRSKYPRPSLLQDYIKSLGLGALSSSSVPARGRRRESNKKKDFVAVEEENKKNEDELYGLDRIVPECVFTDDFGFNEKLLEEGCSIDSLLDDLPQPDINTFAHGF >A03p058630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25417064:25418094:-1 gene:A03p058630.1_BraROA transcript:A03p058630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASGVHSYRGKDLRLTLVPHIKHHLKDSNVNVFTDSNATGERLKDLFNHIKNSRISRWCLDELAEIRNCLLRKQLDFVIPIFFKVKTSHVEKQTGDFGKPFPNLQKKHPRLRVLRWKKALKFAAETIGLSYRENSRIPELDFIKNIVEVVNGLLRRIALKDSNNNTTPKIYTGEASNQTVLDVNQLNELLHLSTTKAPEAENLDRFFRTGFMFGFAWKDLIWTMKGGNSFEISPHANSLQFHMNIKSLHTQGNESLRRL >A07p018230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10955542:10956449:-1 gene:A07p018230.1_BraROA transcript:A07p018230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLTSLKRAAAESCRIFQTRSLSHVASMPPPLNSAIDRAISMPPLISPEFDQNQNQNQPGSIDEKSFGFGSPNFGFGGSMELMAVPKKKVSKHKRGIRNGPKALKPVPVIIRCRSCGRVKLPHFFCCSGERGNPTEQGN >A08g507940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14007198:14008928:-1 gene:A08g507940.1_BraROA transcript:A08g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPFLFSPDPDLLDRFVAPFRKRKPSARALCDELVVGVVSDEEVIANKGPPITPLHKRMIMVKAVKRVDEVIPDAHYAITEEFMKRLFDEYRIDYIIHGDDPCVLPDGTDAYALAKKAGRYKKIKRTEGVSSTDIERTFDMETLILLLLRMGLSLIGRDNEKRERVVKASRDITMNIKKVIFQVHRLSKDNKEEVLEKAGKDLEAVREQHFARLMKELQGTDFWKLQRAYSPGVQEYVEDATFYKFGMSGTLCTLDEINSTLLPLSDPSLEPLQINILDYILGLADLTRELMRMAIGRISDGEVKFAQKICQFVRQIHRELLLVVTQMDDSYDMKSKMEVMLQSVIKIE >A03g501550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4647701:4647955:1 gene:A03g501550.1_BraROA transcript:A03g501550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRRKQKKKKLIDHDSGDGRRRGVSGGAPPQNNGLPETVIYSAPQDQYHPPHQHMYPMAPSPPCQHMDEPHPPVCVCYDGFG >A01g510370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28529152:28529992:-1 gene:A01g510370.1_BraROA transcript:A01g510370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMNVLSSSSPSHCTCGHWYVRHGICLACKEKPSLVQSRPFDYIFSGLRLSQEAVSFTKRLTTLISLHTHKKLHLVLDLDHTLVHTCKVSNLSEGEKYLIEGEKPCLKLYQSRIIKVRPFLEDFLKEADKIFNMYVYTKGNLEYAKELDSPVTKTLDLVLGDERGIVIVDDRVNVWPHHKRNLLGITRYQYFKHKDINKVLKPSYAESKRDESESSGALANLLKYLKEIHNGFFSCDVQEELDTKDVRLLIKGPFKPHGC >A05g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25445411:25446585:-1 gene:A05g508660.1_BraROA transcript:A05g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDALRLLYLAWDLVIEILSRVPTTSVRRLRFTCKRWNALFKDQEFIKKHMDKAPKQCKVLTLSDSKVYSMNVNLNGIHDNVVDPQTLLSLNDFHNPEQFKIYKIFHCNGLLLCTTTDLKLVVWNPFTGQIRWIPYSDHYKDDSKFVLGYENNKSCQTYKILRYSLEDYQVVDHGVYDFESHSWKHLNDVVPKNCSLTSKGVSLKGNIYWIAHKNYEEDLLLTFDFQQRSLALSVVREEQLSVLHSSVFNTRPKIEIWMTIHDKIDQTKVVSWSKFLSLELDENNPQIDLTTVSSFFMDEEKKAAVLCDQDYRNKRNTDMVYTVGEDNMLMKIPVGESRLQFLRPVIHNYVPSLVRIQQGGVMTRERKRKNRH >A02p049550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30705354:30706891:1 gene:A02p049550.1_BraROA transcript:A02p049550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFSVPKPSLQGFTEFSGLRSSSASLPFGNKKLSSDEFVSAVTFQTSAMGSSGGYRKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPFDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPSGDAALSVDGKIIKIVSNRNPSLLPWKELGIDIVQGNTLKLEPRRLSLQLQAKDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKHNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFRNSAEKELKGILKVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >A10p000880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:432685:432954:-1 gene:A10p000880.1_BraROA transcript:A10p000880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFQNVLPLMGRQQTLKTTEWVRDLKETTWTACGSALTPVMLREMTTVENPVKHPVFLKNCRNLQMSTIKGDMKNLLLQANSERQTKK >A09p018890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9977108:9977704:-1 gene:A09p018890.1_BraROA transcript:A09p018890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRKPTTMNRSDRYLGSYSYGDSHGTSLTDELELAEEDIWSPAVIHDTETEDSYGGWNLSANSMKSGRVGGLSLTFKSSSNAPSSSPMLVQQIHGGVGEGGEIMRNLASSAPVNVPDWSKIYRVNLVESTHELDGDDEEEPGMMPPHEYLAKSQARRSRKMGGGGASMFEGVGRTLKGRELRRVRDAIWSQTGFYG >A07p019270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11453015:11455477:1 gene:A07p019270.1_BraROA transcript:A07p019270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKLIMLSLLTLWANFTRAGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEVGDDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNHSDFYSVMGFSGGRLSNFGPADMYSVQSSRGPTPRPSNFDESCGMVSSPRFGYYPGPTGSYPAPNPEFSTSAASKSHHTGGKSNSHDAKELHMFVWGSNGSPVSDRPGLPLSGGSNEQAGVSDQGAKEIRMLVSDPPEAAPTNGDFGGEEESGKVKEVPNGLHKLRCNSTAELNPKEAVETGETGPGKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWNVAMPKIIQGSISILSDAGLGMAMFSLGLFMALQPKLIACGNSTATFAMAVRFLTGPAVMAVAAMAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >A07p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13682295:13686847:-1 gene:A07p023580.1_BraROA transcript:A07p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTDNGAELESANGSGVTDALPPPPPVIPPNVEPVRVKTEVAEKKNLRVPMARPGFGSKGQKIQLLTNHFKVNVANLQGHFYHYSCDYSWTNGNASPNNGNDEPSDGDRKRLRRPNRSKSFRVEISYAAKIPLQALANAMRGQESENSQEAIRVLDIILRQHAARQGCLLVRQSFFHNDPSNCEPVGGNILGCRGFHSSFRTTQGGMSLNMDVTTTMIIKPGPLVDFVIANQGVNDPFTVDWFEYRPRNAPKNENGESETVEISVYDYFLRERNLELQYSADLPCINVGKPKRPTYMPLEHCTLIPLQRYTKALNTFQRSALVEKSRQKPQERMNVLSKALKVSNYDTEPLLRSCGISISSNFTQVEGRVLQAPKLKMGCGDELFPRNGRWNFNNKQFVEPTKIDKWAVANFSARCNVRQLVDDLMRIGGMKGVEIAPPFDVFEEGHQFRRAPPLIRVEKMFEEIQSKLPGAPQFILCLLPERKNCDIYGPWKKKNLTEYGIVTQCMAPVRQPNDQYLTNCLLKINAKLGGLNSLLSVERTPAFTVISKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISKYRASVRTQPSKAEMIESLVKKNGTEDDGIIKELLVDFYASSGKRKPEHIIIFRDGVSESQFNQVLNIELDQIIEACKLLDENWNPKFLLLVAQKNHHTKFFQTSSPDNVPPGTIIDSKICHPKNNDFYLCAHAGMIGTTRPTHYHVLYDEIHFSPDELQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTFMKFEDQSETSSSHGGVTAPGPVSVAQLPKLKDNVANSMFFC >A06p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9304366:9304887:1 gene:A06p019180.1_BraROA transcript:A06p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLICFHQLITQLEYFETILRPYLTVDATESLKSVKGAVLEKVLESIIETVKNNPGGHQWKPTRGSEDAILNDKQSYVSPDDLLALTHQYSNEMLQFELEKTRLNSACFVETSPLDSISPVAKFAYSSSRASMDSPIRTRPRRK >A01p047370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26713182:26714747:-1 gene:A01p047370.1_BraROA transcript:A01p047370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVIGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEEAFECIAKNALKSGEEEELYLPDTIDVGTSNQQRSTGCEC >A08g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2463782:2464645:1 gene:A08g500970.1_BraROA transcript:A08g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLKYGTNELISSPPVSTATAIATATSMESTRRLTITSQTELRFRLIHFWESRNITKGRTFIGLELLQCDEQGIVVHFLRSCSMILASSKAETTYSLLNFYASRNKEIYRVTDQMLDDISLFIDGKRLGSTLMTISKGLSSGSKGGPVKIYLWHQAAKDFYK >A03p009290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3721538:3723805:1 gene:A03p009290.1_BraROA transcript:A03p009290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGEEGSRSDPGNETGIEVVASMSRLGLRGGGNESYPERPDEPDCVYYLRTGVCGYGSRCQFNHPPNRPPHFMRTGECKFGASCKYHHPRQGGGGGDSVTSPISFNHMGFPLRPGEKECPYYMRTGQCKFGSTCKFHHPVPPGDQVPSQQQLSTGPAIYPPLQPQPSQQFGVVVPRPQILPGSYVQSPYGTYSQMVLPPGMVSYSGWNPYQPSVSAIPSPGTQPSMGPSSVYGTTPLSPSGPAYQSGPSSNKEHSFPQQPGQPECTYFMKTGDCKFGTSCRYHHPMEAASPKGVALSNIGLPLRPGTAPCSHFAQHKICKLGPACKFDHSMTSSLNDQGTELHSSSSIKPTTTTSGGGSETTGAAGVSSSSSMTVGVSHSERTESKDGDSVSIEVKTSS >A09g516750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49278447:49278942:-1 gene:A09g516750.1_BraROA transcript:A09g516750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLDAKVMRVIVIQKTLIEHAEKVRQVKTVLEEGGNFSGIYKKVQLKPLKWDDEGEE >A03p028280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11853325:11857008:1 gene:A03p028280.1_BraROA transcript:A03p028280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTMILDETNRKNLIFLILQFLHEEGYEKSLHLLEQDSGAFFDYSYFSGFITNGKWKDAEDYLSAFTSPHTNTFSRKMFFDLYKCKFSEAPDRSGGSESANIFSNDLRRIPVFKDDGFEDLVEVIAVDDLRQGLLYFKIPEKTGSVDKALVRASLCVDLRKLAESNPSLCGKLVFPNLNKSALLSLVSLICPNSNVRMGGSKEDLIRLILQFLHEAKYKNTLHRFEQETKVFFNVNYLAEVMKLGEMGKAEEYLAAFTDKHANIYSKAMFLELQKLKWEATTPSGSLDNTSQKTKLLASVGMLAKKNPALKDKLSFPKMAKSRLLTLMKQTMDWWRPHSCSNSKSLEDIPVVPYLCSEPSSLKNELNRTKVGNCKLKEINHPSECSSLVLPDYWSGERIACLTYSPCGDYILALADDATHKLWTWSTGQNEFCKHTPRVLKEDVFPKPRLHQPMSGKTMKNEIATSVEDSTSCFAIKGSYLFSTSGGKIAVFDLKSFERVASFGSPIKPTATYFIFIPVDLLAVGLDDGSILIHCLSSRKIKEKLDGHDQRITCLAFSRCFNVLVSSGADGKLCVWSTKRWLKLTSIDSIQNFCTRRNNVSSLVTQIQFDPYQIELLVVQDKWISRHAAPTLDCLRQWVPDESEAAITSATYSSDGEIICVGFRSESIKILDSMTFLIKCRINLTAFTQPIPSNIRVKVYPAVVAAHPSHPSQISVGLSNGKVIILQPLGRGGWGEADALEDNGDNSDGLEHCY >A10p040290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:22227094:22227654:-1 gene:A10p040290.1_BraROA transcript:A10p040290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLFRQIFLCISSLVLLFSGDVPSAAGAPSTRAMMRERRNKLQTMEFLNAHNTARVASGASHLRWDQGLARFANDWAKQRKSDCKMTHSGGPHGENIFWYQRSVNWTPKRVVATWVDESLHYDRTTNSCGAGKMCGHYTQIIWRTTTAVGCARVKCDNELGFLVVCEYSPGGNYDGESPFDSPK >A09p061270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50550694:50551651:1 gene:A09p061270.1_BraROA transcript:A09p061270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G55520) UniProtKB/TrEMBL;Acc:A0A178VDC9] MGDEIDLSGDGGVLKQIVRRAKPDAIAPSDDFPVVDVHYEGVLAEDGKVFDTTREDNLVFSFELGSGSVIRSWDIALKTMKVGEVAKLTCKPEYAYGSGGSPPDIPPDATLIFEVELVACRPRKGASVGSVSEDRARLEDLKKQREIAAAAKEDDKKKREEAKAAAAARIQAKLDAKKGQGKGKGKGKSK >A09p039120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:172762:173013:-1 gene:A09p039120.1_BraROA transcript:A09p039120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQRMGTIGQGLHPLSRIRPFANTAWVWVGHRPKALPNPSGLSPRTCPKSQWSMASWPDLHGPPPARTRTFGPKPEQSIQLR >A01g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13680110:13680564:-1 gene:A01g504560.1_BraROA transcript:A01g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLTTISFFLSSLLPLLLPSHSFCLRMVLGYSFKSSSLFMCAEKTLVRLRSETEMWMRERRRSGRSSPSLSAGIYPPEIPHRYHMSRTKPPTSRSEQMRSLSTLACAPSVFFSTFN >A03p027130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11306884:11309408:-1 gene:A03p027130.1_BraROA transcript:A03p027130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein C1 [Source:Projected from Arabidopsis thaliana (AT2G47830) UniProtKB/Swiss-Prot;Acc:Q8L725] MNPICRSSLSRLYHHRLHSPLRRQSSPLETTPRFNFSKRWHFGHHTGSDHQHQRPGGAEEGERIFRLGLTADIGLSVGKALTGYLCGSTAIIADAAHSVSDVVLSGVALFSYRAANVPKDKEHPYGHGKFETLGALGISTMLLATGCGIAWHAADLLLSALSAAPEVNHTHGGYHHGIDMTHPTLALTVTIASISIKEGLYWITKRAGEKQGSGLMMANAWHHRSDAISSLVALVGVGGSILGVNFLDPLAALVVSAMIFKAGLETGHQSVLELVDAAIPAQQLEPIRQTILQVEGVKGCHRLRGRRAGSSLYLDVHIVVDPFSSVSVAHEVGEYVRGQINRNHPQVSEVFIHIDPAFLQFSSSMMDHDSIQKESNICKEIKLVEATVSEIFSSQFSEKMMIKRVTPHLLHSKIWLQIEVAMPSTMTIQDAMRAAEDAEKEILKAVSNVARVSIQLSLKNSLPQ >A01g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18271650:18272531:1 gene:A01g506240.1_BraROA transcript:A01g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAPQEPRVSDASLDKPYNHRLDRHGRPFGSRVSSASLCPPGPRNKITPDINQPRRSQEKVKSPDVNQQDHSYTFPPDTRRMSNQYDERERGNRRETRRRSPNLQWRPKSPVLNQEATPPSAPFQVPNHQDNDSEEAPVNPTRKRGRPPKSKESKPSIRLSPKTFSGMGSKKRHLARLQASPGTSSRQSRTSRLSTRQNRTS >A08p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19088015:19090330:1 gene:A08p031240.1_BraROA transcript:A08p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERERGRLLRNLKNHPIESNNKQGNQRSEKTVIRRLVLGLMGAAGSKLEKALGDQFPEGERYFGFENFGNTCYCNSVLQALYFCVPFREQLLEYYTSNKSVADSEENLMTCLADLFSQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNYLLNEVVDILEKEAKATTKPERETSSSSSPEKIANGPKASLANGVHKEPVVTWVHNIFQGILTNETRCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLHAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTVEPYTDVEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIEESAVQTFFGSSQEYSSNTDHGYILFYESLGPTTK >A06g504920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14627227:14628083:1 gene:A06g504920.1_BraROA transcript:A06g504920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQCSIITHQVKTLCTSRHPSSQSLAQFLKFDLSQKPTRLRRLQRLQICSSTQLHRCNSYDYNNGTLMLHYNSSSEDSLYLSTSLLSIS >A06p009280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3261998:3262906:1 gene:A06p009280.1_BraROA transcript:A06p009280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRPE10 [Source:Projected from Arabidopsis thaliana (AT1G11475) UniProtKB/TrEMBL;Acc:A0A178WP73] MIIPVRCFTCGKVIGNKWDAYLDLLQLDYTEGDALDALNLVRYCCRRMLMTHVDLIEKLLNYNTLEKSDNS >A04g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7910719:7914349:1 gene:A04g503700.1_BraROA transcript:A04g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEPPRDWWGVWENAAAVAFKNGRLRIETPVRLSHAESWREGAVIHCKEYRLHPREPDAECTRAGGSTGTQQEKGRVGPLELLTSRFSFRIERTISGNVDDKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSEDSEWEEEPASSTGSGRVAGPKPEGEQKSPVRSLQPEDWNSGRIPINRGRIVTPQNPKPKNKLPPSKSLIRNLEAKPCREFPVIRSPSRRLSLFPRRLFSFSLFAAALLSLSLHRAASLLSLLAAPPFLLSPRRLSLSSPSRSRSRLRKSLRVKEKGNDKEKGNDKEKEMIRKRKVK >A10p016290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3132491:3135618:-1 gene:A10p016290.1_BraROA transcript:A10p016290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATX5 [Source:Projected from Arabidopsis thaliana (AT5G53430) UniProtKB/Swiss-Prot;Acc:Q8GZ42] MIIKRKLKRMPSLKRRSNEEEEAPRGKKKRKVSYNYYPLSLLGEIGAGIVPGKLNGNNGFSALWCKQVSSPVEPDSKSKRVVIDPGRGRDRTAGVSRPPLVRTSRGRVQVLPSRFNDSVLENWRKDSGDEEREEEVEECRKEKVKPKFVPRNHKYNSSAPCHDDDDEDGEMGRYSNDMRKHMSSSRTSTLEEARPKKEGVYGPEDFYAGDLVWGKSGKNEPFWPAIIIDPMTQAPELVLRSCIPDAACVMFFGHSGTENERDYAWVRRGMIFPFVDYVDRFQEQSELRGCNPGDFQMALEEALLADQGFTEKLMQDIHMAAGNQPFDDSVYGWIQEAAGSSQYLDHHMLKKYRNPRACVGCGMVLSFKMAKKMKALLPGDQLLCKPCSRLTKPKQVCGICTKTWNHSDSQRWVRCDGCKIWIHAVCDQISHKHFKDLGETDYYCPTCRAKFNFDLSDSEKQDSKSKPGKNSSSPMVLPDKVIVVCTGVEGIYFPSLHLVVCKCGSCGPERKALSEWERHTGSKAKNWKTSVKVKSSKLPLEEWMMKLAEFHANATAAKPPKRPSIKQRKQRCQIAVHQECYGTRNVRDFTSWVCKACETPEIKRECCLCPVKGMSFLI >A06p010990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4001705:4004723:1 gene:A06p010990.1_BraROA transcript:A06p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATAAPT1 [Source:Projected from Arabidopsis thaliana (AT1G13560) UniProtKB/TrEMBL;Acc:A0A178WA43] MGYIGAHGVAALHRYKYSGVDHSYLAKYVLQPFWTRFVKVFPLWMPPNMITLMGFVFLVTSSLLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFEAMAFGSTAMCGRDTFWFWVISAIPFYGATWEHYFTNTLILPVINGPTEGLALIFVSHFFTAIVGAEWWAQQLGQSIPLFSWVPFVNAIQTSRAVLYMMIAFAVIPTVAFNVSNVYKVVQSRKGSMLLALAMLYPFVVLLGGVLIWDYLSPINLIETYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCLSLVYLPFALANALTARLNDGVPLVDELWVLLGYCIFTVSLYLHFATSVIHEITTALGIYCFRITRKEA >A06p003300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:4754548:4754814:1 gene:A06p003300.1_BraROA transcript:A06p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDPKRIVEVLEKYEAEADQIFLIRSQVTNPESSLTNMEHIVCNNRWWRTTVKLVKEKTLFLSELGALAESVPPGVIRSLVKLKDKA >A01p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16267681:16271400:1 gene:A01p036710.1_BraROA transcript:A01p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQIPDQDTVRLITGSWVHEEESDADDMLEEEWLEFAMSETPLTCPHKRNNVGGSFAVPGSETIPNLQRGIVIREPIIRLASPPREPVNKGKGKVIAKEGDTNAPTLNCHLPELSINRGPYGGNGESSRAVRRRLFNGPTVPTNRAVEVEEHAEAEGETIEPQTVGRQSGVVQPSSLYTWTRFQDSLHDLLNDESSEPVLFARDAPPVFPAATHAACTVHLWRNIKGRFQSQRLASLVGAAARAYTVEGFNKIFLAIQRVSPGCAAYLVDIGFEHWTRAHFSGDHYNIMLCTMLMRLFALRRAKALEHKGTLTPKVPGQVELNFESSTSLAVCGISETEFQVMAQNVVQMWPLLDTVYCRDWCMDRCRKERNNKANVQMVSFPNN >A05p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31447865:31453413:-1 gene:A05p051530.1_BraROA transcript:A05p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSPISQLISIPGAGTFQNPIHHVARKSDSTKRRWHIVAVGLNGETVPMAKFSNRDDAYSSEEDEEHVENNAGEEEDEEELEAVARSSDSDEEVVPEESPVSDEEIAPIEDDYEDEEDDEKVEIGKREKARLREMQKVKKQKLQEMLESQNASIDADMNNKGKGRLKFLLQQTELFAHFAKGDASSSSQKKVKGRGRHASKVTEEEEDEEYLKEEEGGSAASGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLAYLHEYRGISGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRHIREDLLVAGKFDVCVTSFEMAIKEKTALRRFSWRYIIIDEAHRIKNENSLLSKTMRLFSTNYRLLITGTPLQNNLHELWALLNFLLPEVFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEALNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYLYCRIDGNTGGDDRDASIEAYNKPGSEKFVFLLSTRAGGLGINLATADVSAIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRYGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIQFKMDDSADFYDFDDDNKDENKLDFKKIVGDNWNDPPKRERKRNYSEAEYYKQTLRQGAPSKPKEPRIPRMPQLHDFQFFNIQRLTELYEKEVRYLMQTHQKNQLKDTVEEEEPEGGDPLTAEEVEEKEALLEEGFSTWNKRDFNSFLRACEKYGRNDITSIASEMEGKTEEEVERYAQVFRERYKELNDYDRIIKNIERGEARISRKDEIMKALGKKLDRYRNPWLEMKIQYGQNKGKLYNEECDRFMICMIHKLGYGNWDELKTAYRTSPLFRFDWFVKSRTSQELARRCDTLIRLIEKENQELDERERQARKEKKLAKSATPSKRPLGGPSESPSSLKKRKHLR >A08g500550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1364410:1366972:-1 gene:A08g500550.1_BraROA transcript:A08g500550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLVLLSDLQSGGSSSTVEVRLLRFWEARNVCRGGELMGVDMLLLDSQITAVKSTVTDPPQDNNRVMATIRMENDTSVTMSLFDAQAVKIHNQLEQMGVDPRVVVATSVNPKIVGGRLFLNATSGTHIYFDKQTDAGEQLFYRLVEQDTGLPPVAPLLKSYAKVEKLSISELNDFVVTATSQEIDFICAGKVTGVKLDKGWCYVSCSKCFKKLQRYRVEMSIADETGEGLFVAFDGVIAKLHNMRAHEAANLLAGDDVNPEETDAPPFVRDMEGKSYTFQVKVGPYNFTENHQSFTISRILGEGERAPQPEFVEDGGDDDNGDENNGASLVRRKMEGGGCSKSAGPSAKSKKARKA >A05g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5081903:5087095:1 gene:A05g501410.1_BraROA transcript:A05g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEMLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNYLAYIRLFQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRENERYVVSIKSFKLVVHGGCGIDDNGNLLSVALQLKYVFFFLCSHSSSSSSSRIHFEVDPITEQLITILRLSGAMMGICLMKRCCSRLLFLSLFCALMNQNEAISPDGEALMSFRSVVSSADGVVGKWRPEDPDPCNWKGVTCDAKTKRVIALSLTHHKLIGPLPPELGKLDQLRILMLHNNNLYGSIPTALGNCTSLEEIYLHNNFFTGPIPSEMGNLSMLKNLDISNNDLTGAIPVSLGQLEKLTSFNVSNNFLVGKIPSDGLLAQFSKDCFIGNFKLCGKKIDMECPDENSSTGSRSTGGGKTGKLLISASATVGGLLLVALMCFWGCFLYKKLGRDESKSLAIEVGGGASIVMFHGDLPYASKDIIKKLEALNEEHIIGCGGFGTVYKLDMEDGNVFALKRIVKLNGGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLLYDYLPGGSLDQALHEYMQSGRATEKTDVYSFGVLILEVLSGKLPTDTSYIEKGYNVVGWLNFLISENRPREIVDRSCEGVETESLDALLSIATKCASSSPDERPTMHRVVQLLESQVMSPCPSDFYDSSSD >A07p048330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25833891:25834589:-1 gene:A07p048330.1_BraROA transcript:A07p048330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNFVTELSSSHMMETMKRAEIDTTAPFKTVKEAIALFGERVLASQVYSNHLKVMEGEKLEDPAKIETELEETKHNLKRAKEESMQMRNSLSSLKEELERTKQELQKLRVVPEEPVTKVDDTVFKTKFEVLVPDDGLISTSPRLRTMSEKRYVKFLNPTTDDNNGTVVDMFLERHPSMRKKEKKTAKKKSLIPLFMGGIFSKKKVLQ >A01p054210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30551176:30551948:1 gene:A01p054210.1_BraROA transcript:A01p054210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQVIRFKSSVQVFLWEIRRRRRLVGGREEDGEAAGEVEGGGIRAIAVSAEDNDGTLEGSTGEDPPQGLRELDQRHSPRHSCPRNLLVCSALPGTGETRAQVLNHHVWKPIFCVCDFLKPLYCQNKCLDFMTITVWCASFLISYGIELNCIL >A03p059960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25937672:25943543:1 gene:A03p059960.1_BraROA transcript:A03p059960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTTGDRRWGTTRRSGMTILGKVAVPKPINLPSQRLENQGLDPNVEIVPKGTLSWGSKSPLNAWGTSSLSPRTESGPGSPSHLSNRPSSGGSVTRPSTADSDKAHDSSSTAWDSNSRPSSASGVFPSNQASVALQRPHSADTRPGSSHLSRFAESVSETSATWGQHGDTPAKKDGFSLTSGDFPSLGTEKESTEKSAMPQDAGPGTRPASSSGRSAEERGVDCTQEANVRNGDDSAWRRDNQPYSENESRYCREEGQLDSRGLQPYPNANFPHQYDAWRGPPVNNHQGGSWYRGNQPYGPPMGPGGFHVDPFPFYPTQVPPVPGHETGPRGNHAINEKMFRPPILDPYVHPRPGFYHGPVPREGYYGPPMGYGGPNNRDLPFAGRPAGPHAYHQHPGQGRYDTSASSVALERNEPSHSQERQYKILLKPQDGRLKEDEAKREEFLGNRPSTAEKVAPHMQTSKNNRRVNNNETSGEVQPITVENAAREDPSLIQKIEGLNAKTTLNSGTSANKVSTRMPRSGHASDSQNSLPYKQVDPATNKSAELAAISGTTISRRSTQQTQGGADHQAKQRVNSGGNDGWRKTAVMSGSSAVTLAPNPERFAEINVGDTLDTDSIGKPGSGISVEPNDNQRTTMRELARQRAQQRQKEEEERARDQRAKALAKLEELNRRSQLAGEGSVKNLEAAHNASTPDMPEVPLSHSPASREKKTTVTAEDSIEVTEDSGKTLLPSPEDANNEGSTQHDNPPRHHDGAASKQKRLGYKQKQNIVFEKKMAGSPFSEATTEVVDVVPPPEVSNEGVLGHNSVMPAASSVSTESTNTKRKNNRNGKKKHRAEETTAMNPTRATVGKDSKSGDESTEIGRERAPEMEFGSLSVPSLDIKVSGNSSDQISSFTNEESQSRVKHNWKSQHLRRNPRNSVGNKPAEKFSGSSTVIWAPVHPQHKADISTDAGSQKTVPEFSTSSKSQHQGQTSSKSKRVEMERYVAKPIVKEMAEQSVSKNPITTAPEMTENVLQKENCGGEGTGILQPSGSTAGKAGSPSKSRHGNGMQGKHGRDHGSSHQRGSVASTKALEDGQFRGTMNYHRNNQTEQIAVGSSKDHTTCNPDGWNDGWYMPPETHSSAAEEVEANGPGTVAVGKDQGMSIHGKQHASRSNKDGGSNYSDPRKANKRDPSKAHMQQSGHGVGQQDLPVASKESRGPEDHVSHTAVNSNVNRGGNHGGREYTRDKTYVSQKRDVAGYGHQRFASEQKMTSADTPAQTQNRSTTKEVQGEHHPNSMFQKNTDHSHRFGRGHHDSQGGWGSSAQENMNHHHHQRPASNRDRQKQNLHYEYKHVGSHTHDGEQQFKDGSQAEGPPRYREKGQGQQRHGGQKMYQQQRGSAGRNTGHGLTDERN >A03p044930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18764882:18772853:-1 gene:A03p044930.1_BraROA transcript:A03p044930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQQETLFLTKKLDQEPRQDFIFRSKLPDIFIPNHLPLTDYVFQRFSGNGDGDSTATCLIDSATGRIFTYADVQINSQRVAVSIHRLGIRQRDTVMLLLPNSPEFAFSFLAVAYLGAVTTTANPLYTQAEIARQANASNAKMIITKQCYVDKLINFQNDGVLIVCVDDENGTVALADGCVSFTELTQADETELPKPEISPDDTVAIPYSSGTTGLPKGVMITHKSLVTSIAQKVEGENPNLNFTGDDVIICFLPMFHTYAFNALILSAMRTGAAVLIVPRFELNLVMELIQRYKVTVVPVAPPVVLAFVKSQETERYDLSSVRMMISGAATLRKELEEAVLLKFPNAIFGQGYGMTESATVAKSLSFAKNPFKTKSGACGTVIRNADMKVVDTITGVSLPRNKAGEICIRGDQLMKGYLNDPEATATTIDKDGWLHTGDIGYVDDDDEIFIVDRLKELIKFKGYQVAPAELEALLISHPCIEDAAVVAMKDEVAGEVPVAFVVSSEGSQLTEDDVTSYICKQVVHYKRVKMVFFTDSIPKAPSGKILRKDLRARLANGLMNLIACIRVWI >A09p008090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4193960:4194376:-1 gene:A09p008090.1_BraROA transcript:A09p008090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGLLLVLFFLITVKTSVSRPLAWSKGNMSPGQNTQGESGSGRGPNWDYNWGWGSAPGSGWGYGSGSGRSPTGWGRGSGYGYGSGSGSGSGYGYGSGGGGARGGGYGYGSGNGRSGGGGGGSNGEVAALGHGGKYHP >A08p018010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12509502:12510696:-1 gene:A08p018010.1_BraROA transcript:A08p018010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNIENALPISQERPKKLPKLSKNPEICLNDENNIVPPPESTIDYVASENLKPFPDPDSSVQRLLEELASKDWIKVCESLNNTRRFAVHHSSLLLPILEKLMVVMVKAMKNPRSALCKTSIMACSDIFIAFGEKLTLLKTMDDLLLQLLMKASQDKKFVCEEADKALNTMVNSVSRLSLLRKLKAYVRHSNPRVRAKAAVSTSNCVSKMEVNEMEEFGMVVIAQMAADLLNDKLPEAREAARSMVNSVYEKFTWNEGEEGNKQEAWQRFCEKNLTGLNAQAMIKIVSSQ >A07p011000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6884688:6885119:1 gene:A07p011000.1_BraROA transcript:A07p011000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRNQNFIFMLISFLIILATTSFGSALFSAKHVVIVNKLVTRATLIVHCMNKEEDKGVISLGPGDSFDFRFRVNLRKTTVYTCSFAWPGNTATFDIFRADRDDNPQSKVGVCSECIWSIYEPAPCRDRRDGGQPNCFPWAS >A03p020390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8356264:8358239:-1 gene:A03p020390.1_BraROA transcript:A03p020390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVSVVADSKKEEESVDVDSSKDKNRHESTLDLSTEETNNVNDKVPVKEEDLPEAGGTSVKSKTANNNKAVKKKTGTFSRSPRFLSQSSSFGAHAKSIDATPTKANPKPVVANGSKPKATLSSNNGVSAKRNSLVSAPIKKQTMPVKPTSKDAASVPASKLVVEEGSKSTKDETEDKNNEEAPLTTAVVADKVSNPITSKEDDDTRSTTTSTSTPRGRRSSVGSASGFSFRLEERAEKRKEFYMKLEEKIHAKEVEKTTLQAKSKESQEEEIKRLRKSLTFKAGPMPSFYKEPPPKVELKKIPTTRPKSPKLGRRKSSSDATGGETGPRVTKPKDLSSSSSTLKKPITKSQEKTGKAKERKKEGKKEEVEKRREEEKASPVAAKPEDMEPNSNSLHVKAEIMASEVAVGG >A08p043050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24054245:24060134:1 gene:A08p043050.1_BraROA transcript:A08p043050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IPGAM1 [Source:Projected from Arabidopsis thaliana (AT1G09780) UniProtKB/TrEMBL;Acc:A0A178W6V9] MLKLLFAVTTILFTISPSTHGFDPLDPYGEMTIKWDLQQSSPGHHTVLVKIENKQEYRHVEKPGWKLSWHWVNKTVIWDMRGAETTEQGNCSAFASSETLPHCCLRRPTIVDLLPGAPFNMQVSNCCRGGVLTSMSQDRINYVSAFHMTIGSFPGDPGEFIMPYDFDIGVPGYTCGNATSVDPTKYSTDKGRRKTQALATWEAECVYSQTKSSQSPKCCVSLSAFYYQNIVPCPTCSCGCSSSNCVKPGVVPPLLEQKHDPHVEVSPVVQCTNHMCPIHIHWHVKVNYKKYWRVKITATNLNTMRNYSDWNLVVLHPNLNNVTQVFSFNYKPMTPLHKSINDTGMFWGLKFYNDVLLQAGEFGNVQTEILLEKDMGSFTFKDGWGFPRRILFNGDECVMPSPDDYPRLPNSASSSSAFFRSHLKTSDMASNSAWKLDDHPKLPKGKTIALIVLDGWGESAPDEYNCIHTAPTPTMDSLKNGRPDTWTLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLCDIALASGKIFDGEGFKYVSESFEKNTLHFVGLLSDGGVHSRLDQLQLLIKGSAERGAKRIRVHILTDGRDVLDGSSVGFVEILEADLAKLRENGVDAQIASGGGRMYVTLDRYENDWGVVKRGWDAQVLGEAPHKFKNAVEAVKTLRKEPGANDQYLPPFVIVDDAGKAVGPIVDGDAVVTFNFRADRMVMHAKALEYEDFDKFDRVRFPKIRYAGMLEYDGELKLPSRYLVSPPEIDRTSGEYLTHNGVSTFACSETVKFGHVTFFWNGNRSGYFNEKLEQYVEIPSDSGISFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNSDMVGHTGDIDATVVACEAADVAVKMILDAIEQVKGIYVVTADHGNAEDMVKRDKAGKPALDKEGKLQILTSHTLKPVPIAIGGPGLSEGVRFRKDLETPGLANVAATVMNLHGFVAPADYEPTLIEVVE >A07p038360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20422852:20424051:-1 gene:A07p038360.1_BraROA transcript:A07p038360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDNHGAHAQEALRRAKFKFPGRQKIIVSASAAAAASMKTVVVRFADADAVTYYIATACFIGVSCRTRHSYAASDTLRQRNEQELTQNVDAAAATPAATKSPLVCFVVEQGFTKFNRADYTRLRQSKRVVPDGVNAKFLSNHGPLANRQPGSAFISATSD >A10p004850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9052110:9055438:-1 gene:A10p004850.1_BraROA transcript:A10p004850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-glucose pyrophosphorylase small subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G05610) TAIR;Acc:AT1G05610] MQIYSSSYSPYSTKITNLHMIRSSSNHNQERHNYNLKQIFVPNSCPNLSVSNTQQLPLNQSVAAIVFGGGPDSELYPLTKTRSKGAIPIAANYRLIDSVISNCINSDITKIYAITQFNSTSLNSHLTKAYSGFGLGKDRFVEVIAAYQSLEDQGWFQGSADAIRRCLWVFEEFPVTEFLVLPGHHLYRMDYNTLIEDHRRSKADITIVGLSSATDHDSGFGLMEVDSTNLVTKFTISPLKGQQDSISVESRTRSDGTSSCYVPSTGIYVIGREQMVKLLRECLVQAKDMASEIIPGAISHGMKVKAHMFDGYWEDVKSVGAYYRANMESIKSCRFYDKQCPLYTMPRCLPPSSMGEAVITDSIIGDGCILNKCVIRGSVVGMRTRIADDVLIEDSIIVGSNIYEMEVDARRKGKEKKTEIRIGIGEKSRIRRAIVDKNARIGQNVMIINRDNVEESNREAEGYVIREGIIVILRNAVIPNDSII >A07g504810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10160977:10162282:-1 gene:A07g504810.1_BraROA transcript:A07g504810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHFHLFLVTMTVVVSVSAATPAAPAAGGALADECSQDFQKVTLCLDFATGKAPNPSKKCCDAIEDTKERDPKASRDFTELTRRSRIHKQCHVNNNTSGASREVSGNSNDVYGYRRITFHKRWSRHRGSSFRFDHDRLLCLDLA >A01p004560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1948950:1951333:1 gene:A01p004560.1_BraROA transcript:A01p004560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNRSNLFSLFILHLLVPTQVQALNADGVLLLSFKYSILSDPLSVLRNWNYDDKTPCLWTGVTCTELGMPSTPDMFRVTSLVLPNKHLLGSIAPDLFFIPHLRILDLSSNFFNGSLPDSAFNATELSVISLGSNNLSGDLPTSINTVTNLQLLNLSANGFTGKIPLSLSLLKNLTVVSLSKNSFSGDIPGGFEAVEVLDLSSNLLNGSLPQDLGGRSLHYLSLSHNKLSGEIPPGFAAKFPGNATVDLSFNNLTGPIPTSLSLLNQKAESFSGNPELCGKPLKTLCSIPSTLSNPPNIPENTSPAIAVKPRNSAPPTNSSTESPNQTVKSKLKPSTIAGIIVADIVGLAIIGLFVLYVYQVRKRRRSLESTTFSLFTICLDKNEAKKSKPSFVVEVNVTESPDAKTACGSCINGGRYDETSTSESDVENNQQTVQAFDRTDGGRLKQNAQTKLITVDGETRLDLDTLLKASAYVLGTNVTRIVYKAVLENGTAFAVRRIETESCATTKVKDFEREVRAIAKIRHPNLVRLRGFCWGNDEKLLISDYVPNGSLLGSFAATKTSASSSTPTMQHPLSFEARLKIAKGMARGLSYINEKKHVHGNIKPNNILLNSANEPIITDLGLDRLMTPTSSSPCYQPPERCTSEKPSPKWDVYSFGVILLELLTGKTFSVDQDVDQWSELLDGSEAEEKGRFLRLVDGAIRSDVARHEDAAMVCFRLGIECVSSLPQKRPSMKEVVQALEKVSV >A01p046300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26088141:26089830:1 gene:A01p046300.1_BraROA transcript:A01p046300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGRLLLGVGVGFANQSTPVYLSEMAPAKIRGALNICFQVAITSGILVANLINYGTSNMAKNGWRVSLGLAAVPAILMVIGSFFLPDTPNSMLERGKYEEAKQMLKKVRGTENVDHEFQDIRDACEAAKKVEHPWKNIRQSKYRPALVFCSAIPFFQQITGINVIMFYAPVLFKTLGFGDDAALMSAVITGVVNVLATFVSLYSVDRFGRRFLFLEGGIQMFICQILVGSFIGLKFGTTGTGTLTPATADWILVFICVYVAGFAWSWGPLGWLVPSEICPLEIRPAGQAINVSVNMFFTFLIGQFFLTMLCHMKFGLFYFFAGMVAIMTIFIYFLFPETRGVPIEEMGRVWKQHWFWKNYIPDDAVIGGNDEN >A04p021550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13032931:13035632:1 gene:A04p021550.1_BraROA transcript:A04p021550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucose-1-phosphate adenylyltransferase large subunit, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G21590) UniProtKB/Swiss-Prot;Acc:Q9SIK1] MDSSHCFATGKSTSVLPKLTFKNVDNKFWGEKIKSNGFFKRLNSNQFGNRKFKHGIVYAVATSKNPNEAMIVKPSMFEKRKADPKNVAAIILGGGNGAQLFPLTKRAATPAVPVGGCYRLIDIPMSNCINSCINKIFVLTQFNSASLNRHLARTYFGNGINFGGGFVEVLAATQTPGEAGKKWFQGTADAVRKFLWVFEDAKNRNIENILILSGDHLYRMNYMDFVQSHVDSNADVTLSCAPVSESRASNFGLVKIDRGGRVTHFSEKPTGVDLKSMQTDTTMLGLSHEEATDSPYIASMGVYCFKTEALLDLLMQQYPTSNDFGSEVIPAAIRDQDVQGYIFRDYWEDIGTIKTFYEANLALVEERPKFEFYDPDTPFYTSPRFLPPTKTEKCRMVDSIISHGCFMRECSVQRSIIGERSRLDYGVELQDTLMLGADYYQTESEIASLLAEGKVPIGIGQDSKIRKCIIDKNAKIGKNVIIMNKGDVQEADRPEEGFYIRSGITVVVEKATIQDGTVI >A04p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4560316:4562334:1 gene:A04p013650.1_BraROA transcript:A04p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTQIATFLATKHFIRWIDSSVVLTDEHGERIHAMCKRNQMKSVQHVMGKIVSLEPVKTVQVKLHDRKVVQFRLVDSSFVYFSGKELACCLWRKYAEQLEVSVERLQPLVCLIMFAKIGFYRDEVQITNAFDASIVYLDPTMEEAFQFMEKLMEDELPLAFIEKQNGKREVVLQEDDWNDLDIKMIFELFVADQEFNCKIICSIESVNTDWHGSDNVTNGAYTFKILEVWSGDKFLEKESQSEPISMMAHHLLPCPLEV >A10p001650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:854910:856090:-1 gene:A10p001650.1_BraROA transcript:A10p001650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPEVKWAEREDKVYLTVLLPDAKDADVKLDPEGVFEFSAKAGPENQVYELKLELNDKVNVEESKINIGLRSIFCIVEKAEPKWWGKLLCVGGKPPHYVKVDWDKWVDEDEDPATGPGDMDMGGMGGMGGMDFSNFGGMGGMGGMGGLEGLGGMGGMAGLEGLGGMGGLGGMGGMGGPGGMGGMEEFEDSDDEGEEVKSGEKKEEAQAPAPATEETKTEEQTTVKSDK >A04p010650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7080492:7083093:-1 gene:A04p010650.1_BraROA transcript:A04p010650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSCIQRRIRSLCLIFSLCNSVLVFASHAKHLCRPDQKDALWEFKSEFYVDGLHSDGTPVDKKTERWKNNIDCCSWDGISCDPKTGILPDSISNLKYLRVLSLGGCSFYGQIPSSLGNLSYLTNLDLSHNEFIGELPDSMGNLKKLTDLGLDHNKISGNFPHVLLNMSELTQIDIGSNQFEGMLPSNMSSLSKLVYFDINENSFYGSIPPSLFMIPSLIQLYMGRNSFSGPLEIGNISSLSPLGFLALGDNNFNGPIPRFITKLVGLWYLDLALWNTEKGKVDFSIFLHLESLTFLDLSYINTRSRVDLSLFSDLMSLGYLDLSGINLKISPTLHLLSPIEILTLSSCNIAEFPKFLKTQTSLSYLDISANQIKGQVPKWLWRLPLLRYVNISQNSFTGFEGSADVIQRSEILLLDISSNTFQDPFPLLPNSTKFFVGSNNQFSGEIPKTICKLASLDTLVLSDNNFNGSIPRCFENFSTKLSVMHLRNNSLSGTFPEESIGDHLKSLDVGGNRLSGVLPKSLINCTRLEFLNVENNMIHDTFPFWLRLLTNLQVLVLRSNEFHGPISSPAFSLSFPKLRIFDISKNRFTGVLPSYYFAGWTAMSLVIDIVDIMPSRFEGRDSGNLYNSVSMTAKGLVMELVGSGFTIYKTIDVSGNKLQGDIPESISLLKELIVLNMSNNAFTGHISPSLENLTNLQSLDLSQNRLSGEIPPELGKLTFLARMNFSYNMLEGPIPQGTQIQSQSSSSFAENPRLCGVPLQETCGRGDDATTQEQEDEDGEKDQVLSWIAAAIGYVPGVFCGVVIGHILSSYKRDWFMKIFHSFA >A07p036790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19705389:19707531:-1 gene:A07p036790.1_BraROA transcript:A07p036790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFLTGVLAMEGVPNPNHYDKSIVLDVKPLRSLKPVFPNGNQGPPFVGVPPFGPSSTGYSPFFPFGSQPPTQDTPDLNQTQYTPPPSFVPPLQSYRSPTTTASNGPSSSTGAKRGRPKGSGNAKKKDKTVPQEPTLDVQVVKRFSGVFDSGISAAEREDGNVDLVSTVLMRFDAVRRRLSQVEYAKAATAKAAGSLMSNGVRTNMKKRVGTVPGIEVGDIFFSRIEMCLVGLHMQTMAGIDYITSKAAGADEEPLATSIVSAGRYDGEAQDPESLIYSGQGGNADKNKQASDQKLERGNLALERSLRKGNGVRVIRGEEDPASKTGKIYIYDGLYTISESWVEKGKSGCNTFKYRLVRVPGQPPAFGFWKAVHKWKEGLMTPRRGLILPDITSGVESKPVSLVNDFDDEKGPSYFTYISTLKHSEAFRITQQNTATGCSCRGSCAPGDLNCSCIRMNGGDLPYLNGVMLVSRRPMVYECGSTCPCNAGCKNKVIQTGLKFRMEVFKTANRGWGLRSWDPIRAGSFICEYAGEVKDQETLRMDQEEDEYVFDTSRVYNSFKWNYEPALVDEDPSDELSEEFNLPAPLLISAKSFGNVARFMNHSCSPNVLWQPVISEGNGEPVVHIAFFAIRHIPPMAELTYDYGVSLTSESRDGSLLHGKRQCNCGSGRE >A03p012590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4995063:4995992:1 gene:A03p012590.1_BraROA transcript:A03p012590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEEEGEERSMTTRSSLSSFLKVLSSYNSNVLLAALVFLLLVVLFVLLLHFYARFFWSHSDQEFSSAPRRRRRRRRRRTVTTTRIIPPVPLGGFDGGVSPATDAAATNDDKGLDSSVISSIPLFIYEDDEKDEEEEEECVICLGLWEVGEFGRKLRNCGHGFHVECIDMWLSSHSTCPLCRSPVLAVSDQENLKPAINDELDAEEEEEAELRLHLFPVGEDENVAGDRRYSLCLAVMEDNLKTEVDDEGEVRIEVLDEETNGGGSRGHRRSTSSVASSASSSLMRMLSSRSRPECSKVFPSARQDSSP >A10p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19569958:19572482:1 gene:A10p033410.1_BraROA transcript:A10p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-A2-2 [Source:Projected from Arabidopsis thaliana (AT5G11300) UniProtKB/Swiss-Prot;Acc:Q147G5] MDCSSSMHPNANKENVSTSDVQGGFGRITRSRAKKALGGGVSIPPTKPSFKQQKKRSVLQDVSNTSAGHIYSDLLKGGNIKVTRKSLKQCKKAAKGGADVAMEILDDMHTEKSKLAEDLSKIRMAESLNASLSISKDEEITEQQEDGSGAMDFCQVVDIDSNDKDPQCCSLYAADIYDNINVAELQPRPLANYMELVQRDIDPSMRRILIDWIVEVSEDYNLVPDTLYLTVNLIDRFLSNSCIERHRLQLLGATCMLIASKYEELCAPPVEEFCDITANTYTRPEVLSMEIQVLNFVQFKLSVPTTKTFLRRFLRAAQASYKSPLIEMEFLANYLAELTLVEYNFLRFLPSLVAASAVFLARWTLDQTEDPWNPTLQHYTRYEVDELKTAVLAMEELQLNTSGCTLTTTREKYNQPKFKSVAKLTSPKRVSPLFSG >A04p007870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8619022:8627026:-1 gene:A04p007870.1_BraROA transcript:A04p007870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRMFCFVLVMVLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWAKRKEFQVGDSLMFEYDGNVNDVTQVSTRLEYQFCNSLSPKAVYNTGHDLVTLTEQGYHFFITSNRSQCVAGQKLVVFVVHDHPMIPPPPRKILPFGKDYKVGDSNEWTVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKSCDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGLKLRVVVRPLSKSVPKKMQFSPFDRLINSLIILLIMATTTRMFCFVLVMDLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWAKRKEFQVGDSLMFEYDGNVNDVTQVSTRLEYQFCNSLSPKAVYNTGHDLVTLTEQGYHFFITSNRSQCVAGQKLVVFVVHDHPMIPPPPRKILPFGKDYKVGDSNEWTVPEESDFYSKWSEEKQFHVGDNLLFYYNDQVDDVLEINSDLEFKFCDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGLKVRVVVRPLSKSVPKKMQLSPFDRLIKWLHDSFTPHPHH >A10p015780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3455768:3456783:-1 gene:A10p015780.1_BraROA transcript:A10p015780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVAQSRNVIRHVVSRGKAFHKSENAIHHPLLFACQGVRYRKLEVILTTGIEKLGKGGETVKVAPGYFRNHLMPKLLAVPNIDKYAHLIREQRKMRNFEEKEEVKVVHKTSEVQTKEFEKAAKRLANANLVLRKLIDKEKFKNRSSKEDKPDVQTPVTKEEIVSEVARQLCVKIDPDNVVLTEPLTTFGEYEVPLKFPKTIPLPPATVQWILKLKVRGH >A01p001150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:431675:432877:1 gene:A01p001150.1_BraROA transcript:A01p001150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESFSEEPPRNKKKKPSMSPSFSLSSLPDEVALSCMALLSRSDHASLSRVSKRYRSLVASPELYKIRSQLGYSCFYVCLSIHGPYSTPRWFIISREKTVNRLMTPIPPCPSSHPLKGFSVVSLDCGIYVIGGSITRKREYFRSSDPPSSSVLLLDCRTHTWRQVPSMKVARCEAAAGVVDGKIYVFGGCGHNETYGEVFDPKTQTWTTLPSVPDSVKEYVPFKKTMVMGEKIYPVPFWNSPNLLYYSPNEGIWGRRMKDVDVSKIGTKSYCFSMAENVLYSCDDYGNMYWSEPEDSDWNKVEGLGALHNHFYKPLASFIWDTSPCDELLRTFGTNILIFWFKYNPKNTKVDIWCAEISFERLHDKGEIIGKIEWLQSVAGVKGHSSSHLEVLHSAYVNV >A03g508700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29357320:29358015:-1 gene:A03g508700.1_BraROA transcript:A03g508700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMPSQLLKTCYAENNPSTIFVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTQAIFYGDAQYFRRIPRATVDRVGKLVRDAKWGWGLWHTDEFHQIKAMFTSTYVPSFYLCQCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFRDFEPISLFRDTSKW >A09g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18019175:18024108:-1 gene:A09g505730.1_BraROA transcript:A09g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSPEPEVKILVDRDPIKTSFEEWAKPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITRELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGNTLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSDFLTFRGGLDPVTGGLWLTDTAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYSMPPYPYLATDYATQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTNRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGVTAPGETASTSLTWGGGELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIVQGRAVGVTHYLLGGIATTWAFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWIQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSALSLIGGWLHLQPKWKPRVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLSVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGSGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAILFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHKGLYDTINNSIHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNGPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWKDKPVALSIVQARLVGLAHFSDPICIIIIDNKRNLSIMAKKSLIYREKKRQKLEQKYHLIRRSLKKEISEIPSLSEKWKIHGKLQSPPRNSAPTRLHRRCFSTGRPRANYRDFGLSGHILREMVQACLLPGATRSSW >A10p038820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21616086:21619458:1 gene:A10p038820.1_BraROA transcript:A10p038820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSLPSLFLISTLLVLYISKYVLNKRNQRNCFMLHYECYKGKDERKLDTETCAKIVQRNKNLGLEEYRFLLRTMVSSGIGEETYGPRNVLEGREASPTLLDAHSEMDEIMFDTLDKLFHKTKGLVSPSDIDILVVNVSLFAPSPSLTSRVINRYKMREDIKSYNLSGLGCSASVISIDIVQRIFETRENSFALVVSTETMGPHWYCGKDRSMMLSNCLFRAGGSSVLLTNAPRFKNMALMKLVTAVRAHVGSDDEAYSCCIQMEDKDGHPGFLLTKYLQKAAARALTLNLKVLLPRVLPLKELIRYAIVRAFKGITTTKGESRSSGIGLDLKTGLDHFCIHPGGRAIIEGVGKSLGLTEFDIEPARMALHRFGNTSSGGLWYVLGYMEAKKRLKKGDKILMMSMGAGFESNNCVWKVLKDLDDKNVWEDSIDRYPEMSKIPNPFLEKYNWINDDTMSFVRAPPPSRCRPPPDPPPSKLLLVGFETLTSIIPPEPPDPPDLFFVFIHRLRPLASFSSDFSVSLGTLTQTWDFKSPLSDLAINSIGSAASPRPSYLSNKPCEGIVYVPLWNKSFLRKIHCDVCQLKPYLPQCEDVTLSLTLRMRSSLPLYEDDELPVNTLLPRYEDVLVGLSGIVTGDFVLKKVISDAETMTSLALFSAIFDTDLLAMLALETLMSLLCEIVWNCQDAKDVSLVLVRSSLMVGALMICSVLLKAKELSLLRFHIFSDSQVFISTLRLGSGLKEIAGVLHDGRSLATLFNPLSFSFIPCIDYVQVVSLAKASLECMIVKNFVF >A02p052680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32191074:32191866:-1 gene:A02p052680.1_BraROA transcript:A02p052680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLYIKNINLFLLEYMSSVPWYLNSGKSCPKHQRKWKSVPNYTKSWYDRGPEIYQAEKYRKGARKSMSFSYFETLTVNSTVMARGTDGIDTILQPTIFMKQKRMSKEVSRESTCPHVSLIVYISVTYHVVSLCTPAGSVSENGMLYTGVKKLETVMVDAVCFSTFTSFLIVQS >A10p031070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18668386:18669073:1 gene:A10p031070.1_BraROA transcript:A10p031070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVAMPLAHSLSLVCSWTKSNPLSRNTLALPASNAPSKQSLSIRCARVGGVEIPSNKRIEYSLQYIHGIGRTRARQILVDLQMENKITKDMAEEELIVLRDEVSKYMIEGDLRRFNALAIKRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKVSK >A07p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12404266:12416036:1 gene:A07p021100.1_BraROA transcript:A07p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIDSRSGFCKSNSTFYSKRNPLPLPANPSLDVTTFISSQPHRGTTAFIDAATGHRLSFPELWTAVERVADCLHHDIGLKRGHVVLILSPNSIYIPVVSLAVMSLGAVVTTANTLNTAAEISRQLADSNPTLAFTTADLAPKLAGSGISVVLERVGSTRGVDVVGAVSEMMKKERRGKRVRDRVNEEDTAMMLYSSGTTGPSKGVITSHRNLTASVARFVSEKRIGGEVFLCTVPMFHTYGLLCFTMFTVAVGSTVVILRRFDLHGMMAAVEKYSATILALTPPVVVAMTNEADVISAKYDLSSLRTVRCGGAPLSKEVTEGFMEKYPTVDILAGYALTESNGAGASIDTVEESRRYGSVGLLAPGVEARIVDPDTGRVMGVNQTGELWLRGPSISKGYFKNEEATNETINLEGWLKTGDICYIDEDGFLFVVDRLKELIKYKGYQVPPAELEALLVTHPDILDAAVIPFPDKEAGQYPMAYVTRKIESNLSEKQIIDFVSKQVAPYKKIRKVAFINSIPKNPSGKTLQEDQDKTRVKAVDRVAECLHRDVGIRRGDVVLILSPNSIYIPVVCLSVMSLGAVVTTANTLNTAGEISKQIADSNPTLAFTTVQLAPKLPAGISIVLTEEERVGSTPGVNVVGSVSEMMRKEPRGQRVRDRVHQDDTAVMLYSSGTTGPSKGVLSSHGNLTAYVARMLTETSMHGTFICTVPMFHTYGLLTFAMATVAIGSTVVILRRFELNDMMAAVEKYKATTLLLVPPVLVAMVNEADSIKAKYDLSSLKTVRCGGAPLSKEATEGFLEKYPTVNIFQAYALTESNGGGAFIDTVEDSRRFGTSGPLTSDVEARIVDPDTGRFMGINQTGELWLKGPTIAKGYFKNQEATNETINAEGWLKTGDLCYIDEDGFVFVVDRLKELIKYKGYQVPPAELEALLITHPDILDAAVIPFPDKEAGQYPMAYVTRKVESNLSEKQVIEFISKQVAPYKKIRKVAFINSIPKTASGKTLRKDLIKLATSKL >A08g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10139781:10145311:1 gene:A08g505900.1_BraROA transcript:A08g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPWRVPGSVSGKSPPSSAADEPPPPIPTPPDPPDPSSPLSPYQFPPLSASSPSSKLKPSTVQTLKLKGLLVPQPPTPVSQLVDVTMASQDESENLTTGPEEGSETLSSSYTGPGSSTEAPIDTFTASKSQLPPQPYFPTDKNSVLKPSNSSPLTKKAYVPPAQNPNPILLPHHKSSSTNPQTHQAPVLPTPTFNPLPSHNQTRSFNPNPSLVERLRLSEDKSLKRLAPITIAETGRPRILIPDHVFEKGAELHKDFIVCYYNGRPPPFNQIQSVLNHMWGKGKRLEIHNNPINRSTLVRIPSEYLRQKILEKCIWYIGDSMFHTSQWSSEHSMSTPPLKAIRIWAHLTGVPLDLRYQQGLSLVAGLVGEPKETDDFTKNLVSLTVSHVKVEVDLTKPLPDVVEFQRQSGEVVEVQVHYPWTPPTCSHCNELGHPLPASPAPIPRPSLKRSRSSPTLSPPLRSNTNPNSFVPLSSDPVQTLLFSQNPFAPLSSDPSPLLTNCPDTAMGLNDPGKHRIFVNWLHNHRPLFGALLESHVKELSLHPLMTKICPGWHYVSNHASDDDGRIIIIWKDPLIVQVVHQSRQSLTCLVTIPNKDPFYYTAVYASNLAEERIELWTEMFQLHSSYDLQSKAWFVGGDFNQIIRATEHSSPTVVSQDYQMYLLQDSLLQTGLFDLCYYGASHSWKNNQPDMPIAKKLDRQLVNNLAISSFPHAVATFLPPSISDHAPCLLDLAYQLPKAGTQPFKFQNYLTKHPNFTQLVMDAWTQAGNSCCSLADLCWKLKSIKTDLKLLNKNNFSKIQERVSETHRLLEIVQVQALHTPTTQLFQEERDLNERWLFLRQIEECFFLQKSRINSLREGDLNTTYFHRICQVRASYNAIRSFLTASGILVTDPLQMSQLEIAHFQEVLGPLRHHRPPIISHLSWEDSVWVIWFKEVILKGSLHNYWTIKPSQSHSCSWEQTVAQMGSLRGGKAVNLISLLVWQATLYWIWSERNGRIHARPFRTVDVIYKAIDHQIRNKISSFRDTSPSLSSKMMQQWFSTA >A08p027500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17359669:17362519:-1 gene:A08p027500.1_BraROA transcript:A08p027500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVIGGKFKLGRKIGGGSFGELFLGVSVQTGEEVAVKLEPAKTKHPQLHYESKIYMLLQGGTGIPSLKWFGVQGDYNAMVIDLLGPSLEDLFNYCNRKLTLKAVLMLADQLISRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKKYRDLQTHRHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKVSTPIEVLCKSYPPEFVSYFQYCRSLRFEDKPDYSYLRRLFRDLFIREGYQFDYVFDWTALKHPQSSSSARSSSHGRHRVSKPGVGAGPSAEKPERVSVGKEIRDRFSGAVEAFARRNATGASPHENHTRHRTLDDVPSPMKPALNMVSEKGRNTSRYGSASRRAVASGSRPSSSGDQGESRGSSRVASSGGGGRPSLFQRTQAPAGAVTGYESKTASAFNRNRVTASRTARDDALRSFELLSIRK >A02p032340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16944057:16945849:-1 gene:A02p032340.1_BraROA transcript:A02p032340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLVLIVFVAVLGLPWLSYGESVMKYGAVGDGISDDTSALQKAWDSACNGSSKIGSVYVPAGKVFLLKSLHFTGPCKLKPLIFTIDGEMKAQSDPNKWQKGENGIIPWLIFERVEGLVLSGRGLLDGQGKGWWDIHCRDHPGPNCIWLAPTMMTFSNCRNVILKSLRFRNSAQSHILVMGSQNVHIKDVKIKSPEISPNTDGVHITSSSDVSITHSDFATGDDCVSIGDQVHNLSVTFINCGPGHGVSVGSLGRGGTEVEVEDIRVAHVNFTGTTNGARIKTWPGGTGYVRGIEFFDIRFSNVQNPIIIDQFYGCAPNCVQTEKAVHIEKVKYMKMSGTSRTEVAMKLECSGKNACSNVFMRDIDLSPANGIGSVSSTCSFVQGSTQGTIRPSSCFQ >A03p020070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8228381:8230390:1 gene:A03p020070.1_BraROA transcript:A03p020070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLSYTNSASFSRTGLCSSSSSSSSTSINDFLERRRSLRMSFNGGETSRSVKTFAGRSSEGIEKTDGGVGGGGQFAGPAMEVTTLDRGFANSTTVDFPIWDKIGAVVRLTYGIGLYGAMAVAGRFICSVTGIDSSGGFDPSLDALLSGLGYATPPIMALLFILDDEVVKLSPHARAIRDVEDEELRSFFFGMSPWQFILIVVASSVGEELFYRVAVQGALSDIFLRGTQLMTDSRGMAALTGVFPPFVPFAQAFAAVITATLTGSLYFLAASPKDPIYIVALVLRSRRDDFKKLLSAWYEKRQMKKIYSPLLEGLLALYLGIEWVQTDNILAPMMTHGIYSAVILGNGLWKIHDHRRRLRQRIERIRSESGDQ >A07g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9263844:9267850:-1 gene:A07g504590.1_BraROA transcript:A07g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDCPDIPEETEMQPNPDMMFAAGEEPVGVRVLSYQSSSALKRIFNALDEGELDIIRRSSFGKLIEIADKPVFSGRFTRYMLSMQLKTKKKHEAWFRFAGKPVRFSLLEFAIVTGLPCGQFPPKSKMKLKETITEQPYWPSLFGKVDTVTVSSVIKMLYRKTVKDTEIRIKYAYSEDVDGHGRDIFCKKHTLNPAHARNVDKRIDLLVNSVLVEDPVGRINAGNLVYSDEEHDARVESMLVRISRSHRFNNSDFPSGLKKSDVDRMREVVKSTTKPKRAKKFQSNVQDSETSYIVQVVLEKIKAEVASMERNIKVATSGVEAIEQKVGFYSLERARRFFEWSRNHSNVSTKEVSVPASHSSPVADVNAMTIQNVLRDISQYSTPPRSNRMSEAGNLTPSNKAHAGSGYVCVTPVLQSCAQSANSENRSRQNSFHQRLEGRKRQPQCLMYEPSFSLGLTQKAFIPVEVPILPTNQVHHQEPIADINVGDNNEEGQSSWRSKRQKTVPSGLRCIFVIQDISEQTRKYAKLVTKLQGKFVFNILGLAVSAKELLLIVDRPRTYSAKVFDILIRVLRSVMSPLLPPQGSRSDAFLDTKFVPSIMRTFPKFLKSKNKEEAPMLHPTRYYFPLNVANKHWIGIRFDAGSGSIIILDCNLALHKDATLEKIIKPVVQMLPYLARYACQPLGAEPVIQCYDVARPKSVAQSKVPVDSGLMALLMMAYHALYGLDACKTITRELLEEEGKSEY >A03g504290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15051382:15052062:1 gene:A03g504290.1_BraROA transcript:A03g504290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSEEYDIDITSLLQAEADLYSDEADSRDNIAEAVEYLPQPECDDGIPKTCYCGGEAVVETSYTSKDPGRRYFTCGNADDGDCHVWKWWDVAVMEELRDYQRQLREVKDQANESDEKLVKVEQIVGQLAKKKTGIANGYPLLVCVLLSVVFLLCMVIMFKWVAEKENVLTESMEELQEELQRMKMRLSDL >A01p043330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24959439:24960362:1 gene:A01p043330.1_BraROA transcript:A01p043330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVATQAFAPIKAPEINIILAPAAKKASVETHKKNRIRVSETIVFLHNNCAIAWRLILYSSDVQRYIQQHNEVELSALGMAITTVVITISEILKNNGLATEKRREVQTMTLVLFVWFPQVPAAAAAAMYLRLTAASDATFCDRRREIPRSRHK >A06p057040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29663686:29666067:1 gene:A06p057040.1_BraROA transcript:A06p057040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCNFSISQFLFFCFFFAAIASSSSLSRTKPASLHRDAYSLLLFKSKADLWNKLGFFSNKSSSFCQWWGVTCYGNKVVRLVIEDLYLGGQLAPDSVNKLDQLRVLSLKNTSLTGPLPDLSGLVNLKSLFLDHNSFSGSFPLSVLALHRVRTLDLSSNNLTGPIPHGLVFSDRLIYLRLDSNRFIGAVPALNQSSLHSFNVSVNNLTGAVPVTAVLLRFGISSFLENPNLCGEIVHKECNPRSKFFNQSPPEKAPPPPPPFPHGQMADFRETGLSRPSHGKHSRFAVILGFLLAALVLFISVGCLIAAVKRRRDSNDKEKGKESTAVVASDAAETAEVAAAIQMEQESELEEKVKKLQAAKSGSLVFCAGEAHVYTMDQLMTASAELLGRGTVGTTYKALLDSRLIVTVKRLDAIRLAGVGREKFERHMESVGALGHPNLVPLRAYFQAKEERLLIYDYLPNGSLSSLVHGTKSSRAKPLHWTSCLKIAEDVAQGLSYIHQAWQLVHGNLKSSNVLLGPDFEACIADYCLVALATNPPLTSNDGQEDADAIAYKAPEARHKSLSYQSVKADVYSFGILLLELLTGKQPSKLPVLPLDEMMEFVRKVREEGEKNGNWREDRDKFGMLTEVAVACSLASPEQRPTMWQVLKMLQEIKEAAVMEECDLVMDSTNSGSS >A10g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9163214:9164238:-1 gene:A10g503500.1_BraROA transcript:A10g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRRRDSRTRLRRPRDVMLEYTKQVVSLGEFLFELLSEALGLNPNHLKDIDCSKGLRMLCHYYPPCPEPDLTVGASKHSDSSFLTVLLSNQIEGLQVLREGYWFDVPPAPGALIINLGDLLQARARVSVACFFTTGIRPNPRIYGPIRELVSEDNPPKYREITVKEFAAHRSAKGHDGTSDLLHFKI >A05g503890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10992739:10995792:-1 gene:A05g503890.1_BraROA transcript:A05g503890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSNYFHSWIDRPHLDPNTRLLTEEYQRGITEFIGLVHRQPEAKTEDEPVIGEFHQDPDSDSSGDDDSETEFNRSGNGISAWINRMMYSALDKGHPTFTHFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVPKSMNDTVWKELCAHWDKEETKETSSTNFNNRKSDRKGKGIYKHNLGAQSIATLVDRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAALSAPPPYVDPEVLTAQLKDKDDRISALETQMEAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p051940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27496274:27497714:1 gene:A07p051940.1_BraROA transcript:A07p051940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEEIPSMPSTPATTPGTPGAPLFGGFEGKRNGHNGKYTPKSILKSCKCFNVDNEWALEDGRLPPVSCALPPPNVSLYRKLGAEFVGTLILIFAGAATAIVNQKTDGAVTLIGCAASAGLAVMIVILSTGHISGAHLNPAITIAFAALKHFPWKHVPVYIGAQVMASLCAAFALKAVFEPTMSGGVTVPTVGLSQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPATSASMNPVRTLGPAIAANNYRAIWVYLTSPILGALIGAGTYTIVKLPEEDEAPKEKRSFRR >A05p020610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9751950:9753211:-1 gene:A05p020610.1_BraROA transcript:A05p020610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRQLYLEAQGRQCPNLGIDLPLDLVMEILSRVPAKSIKRFCCVSRLWGYILGLPCFTELFLTKSPCRPPLLLFIFENKESIFFFSAPQPQNPGDDSSLVPARYNVHRKHYPKDFSVRVGSPLGGFICRQDKGKVDTIVVSNPVTGESIFLPEVKSKNINIQMIPFLGYDPINKQFKVLCVKFGDVPNTSGDHQILTLGNNKKHLWRTTLCKPHYPKSNGICIDGILYYSSGFDLRTTRVSTIVCFDVRSEKFSFINIDHQCMFMTCACTLINYKGKLGALQFTLSNPRCLVFWVLEDAGKFGRSDLDIVGVTSGGEVVLATMHLLHPFYIYYYNPKGNTFIRVLIQGLEGFVRARD >A03p020600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8463740:8464123:-1 gene:A03p020600.1_BraROA transcript:A03p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKRIASFKNLAKKMKSINTREGGSESTHNESLLINEADVAATKTPTGTFAVYVGEERVRRVVPTSYLNHPLFRMLLDKSHDEFHCLDQKVMLVVPCSLSVFQDVINAIESCNGNFEFGDFVEEFL >A03p030020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12600278:12602041:-1 gene:A03p030020.1_BraROA transcript:A03p030020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor homology region, transmembrane domain- and RING domain-containing protein 4 [Source:Projected from Arabidopsis thaliana (AT4G09560) UniProtKB/Swiss-Prot;Acc:Q0WPW5] MARASILILSLLLIPHLASAKVMLIGKNTSLSFDDIEANFTEPLDACSSLVNRVTVEEEGSTTSSYVLIIRGGCSFEEKIRNAQRAGYKAAIVYDNEDYGFLISMAGNPSGVVIYGTFVSKATGEILRGYAGRADMELWLVPSFETSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRRRVRSLAGGEFHRMGVDTIRKLPTMSFNGVCEEASTSISCAICIEDYRIGDKLRILPCNHKFHVGCVDLWLGQRRSFCPVCKRDARTINIDMPASPSERTPLLTPTSSFLLSSSPSTSFSQSSYDIPSSSTAMQPHTGPMYLPHSRSHTSFQSGSYRGSLPIPVSRSSVDLRNAVSRGSYNSPRSVHSRYTHVLSPGNASTSWIVGSSSSQREHSLNINDSRRSLSHFASASSLPGC >A09g518280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55704279:55707337:1 gene:A09g518280.1_BraROA transcript:A09g518280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQTYVDEHLMCDVGDGQGHHLTAAAIIGHDGSVWAQSANFPQFKPQEMTDIMKDFDEPGHLAPTGLFLAGLKYMVIQGEPGAVIRGKKSNREREK >A07p038270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20367062:20372008:-1 gene:A07p038270.1_BraROA transcript:A07p038270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSSPTQRFSLNNLRSRKPHTPGVEEYEISPYKVSPLIVEMKKKNQWKSRFNALKDTDKLLVIEFTAKWCGPCKSLEAKLEELAAKYTNVEFVKIDVDVLKSVWKEYNLHALPTVVFMKRGQEVDRVVERLSLSDLRARKSRTPNFKVSPFIVEMKKENQWKFQLNALKDTNKLLVIEFTAKWCGPCKFLEPKLEDLAAKYTDVEFVKIDVDVIMSVWKEYNLYTFPAVIFMKRGQEVDRVVGLKLDEIEQKLHKYAYSF >A07p013610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7375745:7376527:1 gene:A07p013610.1_BraROA transcript:A07p013610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDIPGTPGTFTGLVLRISQCVFAAASISYMVSSGSFFNYTAFCYLIAAMGLQVIWSFGLAILDTFALVRKKTLLSPVFVSLFVVGDWVTATLSLAGASSSAGVMVLYFGDLGSCSFEAECWRYQLSVTLAFLSWISISVSSLTTLWLLASSV >A07p030400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16940721:16943108:-1 gene:A07p030400.1_BraROA transcript:A07p030400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVERKRPRGAFLNLFDWPGKSRKKLFSSNISQISEDSKQAKENVHNPSSITRHSVFEVDQSVKNSTFNQGSNTSCCASSVTSDDGNVVKAPSVVARLMGLDCIPQQNLMEPRVNPSLDPYFLRSSHQANTWDANAEHQSDFDGGSWDHLDSRTSKGPHKRMIERFQTETLPPRSAKPISVTHNKLLSPIRNPGFVPSRNPAYVMEAASRMIDSSPRMMARTRVVPSSDSSSPVPLRIRDLKEKLEAAQKASTTCPQVSNDNTRSTKTTASGKSSSELKPPSFTAQAKSSSNTQRHNSLTTSSSSGNKRTSSGQKEKAAEGKNRLAKSQNGLKGASVSGGKNVLKQNHQKQNCRDNQQSRKQMNKVVNKVLVDSGTTSRSSGSTMTSAEKSTSSLPLHRRKNLPRSKKPRNGVQEPVIKRGEKSIKCNISIDGDSSTSKTDQRRETDVISFTFSSSIKGLPSRSQGTKQDAADSSVKYNVIGGDSLNALLEQKLRELTLKIESSSSSLIQEECLSSITDGRANKVVLSPSKLGATTQNSLDNVLTESESVSDCTSFYNNQESQKKKMIRGEEQEVSSFSTLTETDDFALSSNKSYLDCRQDREYGVKESSSDQELTCVSSTESHQTVDEADSATTLDWELEYITEILNSGQL >A03p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8739681:8740458:1 gene:A03p021160.1_BraROA transcript:A03p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLMREGGRMAGGSERKTILVGLALALVLGVAVYLRLWTIDYTLSSDDTERLRRQFDLANREAMDESAEWRRMFDSEAEKASKCNTELALMKESSGNGNAFTLNQKLESLHKENAALLGEIETLKQELEASRLKCRSREAPR >A02g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16116776:16118262:-1 gene:A02g505250.1_BraROA transcript:A02g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNINKKITHTQTTSSKTNIKMTNILLSTFIFSILLLISTATAATFEIINQCGYTVWAAASPGGGRRLNSGQSWALNVPARTSMARIWGRTNCNFDSSGRGRCETGDCTGGLQCTGWGQPPNTLAEYALNQFNNLDFYDISLVDGFNIPMEFSATSSNCKRILCTADINGQCPNVLRAPGGCNNPCTVFKTNEYCCTNGQGSCSPTGYSKFFKDRCPDAYSYPQDDPTSTFTCSNTNYRVVFCPTARLGATGTDQLPIQM >A03g508370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28785778:28786875:-1 gene:A03g508370.1_BraROA transcript:A03g508370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYTRDEDEVDDFDEFDPTPYSGGYDITVIYGRPIPPCDDTCYPLSSAADEDFEYERPEFTSYHDPSAYAEEALNTEYSSYSRPKPRPGFRPGSAGGGHVQGERPDQSYGSGYGGQTEAEYGRRPESGYGGATETEYGRRPEQSYGSGGYGGRSEVESGGYGGRSEVESGGYGGRPEVETGGYGGRSEVESGGYGGRSESGGYGGRTEVEYGRRPESGYGGRSESETGYGSGYEKKPSFGEERSEYERKPSYGRSEDQEEGGYRKPSYGRSEDQVESYIKPSSYGRSEEEEGGGYRKPSYGRSEEQEEGSYRKPSYGRRNDDDDDEERRNRSGDDEEGSYGRKKY >A08p035760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21074905:21077834:-1 gene:A08p035760.1_BraROA transcript:A08p035760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHVRSDDVVGLRVERNLVDEEVKGNNSTDDMNLSDHNTSSENATKRQLPVFLLPNSTSQACNDGDVFEASPVKARRKMIDLQLPAHEYLDTDENDEITICAPYKRSKSGRGDDASHHINSSGSCLDVKNSTGLLADLNEPLTLQGSEPVPHNADVEGQSSQNGCMVLDAGHGGSTQSQRDLHIPSPSDNAVQPQRQSYPATDYSNATFSRERAHREMEARSVNPQASYDSYVESTVASSNAPRLHNDYRPDFIRPWSHLSSPWKNPRCITDQHKEVNHLLKRDFDINLPCDDASVSVDQLGAKAFCLKKEGENKAANVRHCFDLNACASEDDDVSGLHSSLRVKTKGTFSVDLEAPPTLQSEEEEDGERSQVELIKGAAEAIVAISLPDHPDDAASYSSTDVASKSQLSWFADIITSCGDELERKIDGSPQEYSSGEIDYFEAMTLSLQPTKEEDYMPEPLVPENMSFEGTGLNKPRRGKARRGRPKRGDFQRDTLPGLSSLSRHEVTEDIQSFGGLMRLRATGWGKATRRPRRQRCPPATVILT >A06p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2483917:2485116:-1 gene:A06p007200.1_BraROA transcript:A06p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear envelope-associated protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09470) UniProtKB/Swiss-Prot;Acc:Q4PT37] MAASVSLREEDDPLLNDLSEKKQSFRRNVVSLAADLKQARTRLSEQERSCSQEVISRQEAEARVKRMEDEMLELAKELNKKVEQIRASDLATEKYVKEVGEIKSQLAATQATAEASALSAASAQSQCRLLSKHLHERTGSLKEQEDQVTRLGKQLENLQKELEARESSQKQLRDEVSKVEGVASVLLEEDSPKNFERIDKLLKAKDDEIARLRDELKIISAHWRFKTQELEDQVENQRRINQELKKKVLKLEFCLGETRIQTRKLHKIGERNAVAIQELKKQLAAKKQHEAGPFNNQNFWDKSGFKVVVSISMLILVAFSRR >A05p054250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32166459:32178936:-1 gene:A05p054250.1_BraROA transcript:A05p054250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease Dicer homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) UniProtKB/Swiss-Prot;Acc:Q3EBC8] MMKWVSCPCWGTNDDENSGEVADRDPVLLVSGMGGSILHSKKKNSKSEIRVWVRLFLANLAFRQSLWSLYNPKTGYTEPLDEDIEISVPDDDHGLYAIDILDPSWFVKLCHLTEVYHFHDMIEMLVGCGYKKGTTLFGYGYDFRQSNRIDQLMLGLKKKLETAYKTSGERKVTIISHSMGGVMISCFMFLYPEVFSKYVGKWITIATPFQGAPGCINDSLLTGVQFVEGLESFFFVSRWTMHQLLVECPSIYEMMANPDFKWKKQPEIRVWRKKSEKDNDETSVELESFGLMESIDLFNDALKNNELSYGGNKIALPFNFSILEWASKTREILNKVQLPDGVSFYNIYGVAQDTPFDVCYGTETSPIGDLSEICQTMPEYTYVDGDGTVPAESAAAAQFKSVASVGVSGTHRGLLHDKRVFELIQQWLGVEPKKAKRKHSRTHKVADSEIPIPSREVMEEANGDDDELRKNTTLQRRSSILLLLLLVLISLSTTTRVSASIDESGRRVIEARSGQDLVWVVQLSDLHFSVHHPERAIDFRNIVGPALSLINPSLVLITGDLTDGKSKDMLTMKQDEEEWLEYESVMQDVIKTSGLNKTLFYDLRGNHDNFGVPSVNSSVDFFSKYSINGKVARKDNINTITLETSERKHLFVGVDTTMSIGLRGPTNLFGHPTDELLTSLDSQLSQWDDDKPTKPVTKISFGHFPLSFSALTHSKKSLRDVFLKHSVSAYLCGHLHSRFGKNLKRLHRSGGVSLSDNDLFQLNMRRSGGDESATNCSFGASPDAEFWEWEMGDWRKNRAVRIVAIDRGHVSYLDLDFKSSDSHKTIVLPTFPLDSRFMSTSLARHRYECQHMISSSYDAIRAIVFSQSLVVDVVARVYDSTPGFNNLVMEAPMRKHGSTSGASFYSLPWNYRAFEDTLPDRYWLQIEVTDIKGRSTLSELRPFSINGLSSRVSWTWNEFRVMGCQWAALYFPILWSVISCLLLAFFIPKCIIVVFKKQYTLKKFVAKKGLFTLVLWILQDLCRLPVVWFGYVAYLLYLIFFPWFSGEVFTDSGKRTYMTIMGWVVTTGERKHEYVGEPDVMVLVIPHLVFVVIPSLLIVCCFVAERELYKEHIRAVSGKKEDDHNDRGRKKRWQRRSVLFSKRRLVRKSLLLASLALYWKHFKNCWSLARAYEMNVVHFPGYSLVMTIVDMETESADQVSASSPLPFARSYQVEALEKAMKRNTIVYLETGSGKTLIAIMLLRSYAYLFRKPSPCFSVFLVPQVVLVTQQAEALKRHTDLKVGMYWGSMGVDFWDAPTWKQEVDKYEVLVMTPAILLSALRHSFLSLNMIKVLIFDECHHARGNHAYACILKEFYHKELKSATSLVPRIFGMTASPVKTKGENLDSYWKKIHELESLMNSKVYTCANESVLAQFVPFSTPSFKYYTYVEIPSSARAGIIAELEKLAKEHLLALATLDLKSSTVNSIKKRLSKICSSITYCLDELGILMALKAAQSFSVSQNDFVLWGQLGEFSETSIKKFCRDASQAILAYIPDGPYWSVANIERNLEAGLVTSKIVCLVESLLGYSSLEKIRCIIFVERVIAAMVLESFLNEILPTYNSWKTKYVAGNNSGLQSQTRKKQNETVEDFRKGLVNIIVSTSILEEGLDVQSCNLVVGFDPASNICSFIQSQGRARMPNSDYLMMVERGDMDTQSRLKKYISGAKRMREDSLSHSLVPCKPLPDDSSGEVYRVNSTGAIVTLSSSVSLIYFYCSRLPSDEYFKPTPRFDIDKDQGICTLYLPKSCQVKEVSAQGNGNLLKQTACLKACIQLHQAGALTDHLVPDMVLKETVQLKLGKIHYDTEQPSYFPPELVSQFSALSQTTYHFYSIRMKSEFPGNLHFKDVLLGTRVKLEDDIGNTCFRLEDHLGTIAVTLSYVGGFDLTQDEVLLCRRFQITLFRVLLDHSVENLLAALDGLHLRDGPALDYLLVPSTHEQKASLIDWEVIRSVNFTSHKPWERHVDCSAKDASCILHTKDGLFCTCVLQNALVYTPHNGYVYCTRGILSNLNANSVLTMRNSGDVTYMEYYEKRHEVQLNFVDEPLLNGRHIFTLHNNLHMTRKKEKEHDREYVELPPELCHVILAPISVDMIYSYKFMPSVMQRVESLLIALNLKKNIPKVNIPTIKVLEAITTKKCQDQFHLESLETLGDSFLKYAVCQHLFQEYHTHHEGLLSSIKDGMISNVTLCKFGCDKKLQGFIRNECFEPKGWMVPGQSSAAYALVNDYLSESRNMYIARRMNLKRKSVADVVEALIGAYLSEGGELAALTFMNWVGIKVDFTTTMIQREPSIQAEKLVNVRYMESLLNYKFKDKSLLVEALTHGSYMIPEIPRCYQRLEFLGDSVLDYLITKHLYGEYPNLSPGLLTDMRSASVNNECYAQVAVKSNLHKHVLHASHDLHKHISRTVSEFERLSSVQSSFGWESEIAFPKVLGDVIESLAGAIHVDSGYNKEVVFASIKPLLGCMITPETVKLHPVRELTELCQKAQFELSKAKGFENGEAFFTVEVEAKEMSFAHTARASDKKMAKKLAYKEVLNSLKKSLDS >A01p055750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31707077:31709822:1 gene:A01p055750.1_BraROA transcript:A01p055750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYLLLLAIESLRLPLPLPLPLHLSSSLSLSLERVPARRQKMQSDNGKLFIGGISWDTNEERLKEYFSSFGEVIEAVILKDRTTGRARGFGFVVFADPAVAEIVITEKHSIDGRLVEAKKAVPRDDQNTVRSNSSSIQGSPGGPGARTRKIFVGGLPSSVTESDFKTYFEQFGTPTDVVVMYDHNTQRPRGFGFITYDSEEAVEKVLLKTFHELNGKMVEVKRAVPKELSPSPARSPLGAGYSYGVSRVNNLLNGYAQGFSPGAVGGYGLRMDGRFSPVGAGRTGFANFGSGYGMNVNFEQGLSTGFTGGNSFNGNVDYGRGMSPYYIGNTNRFGPGGGYEGGNGGGGGGNSSFFSSVTRNLWGNNGGLNSNSNTYMGGTTSGNNTLGGPFGNSWGAPGGGGGNNGVGNENVKFGYGGNGESGFGLGTRNIGPSKAAPSSSFSSASAGYDGAGLAEFYGNGAVYSDPTWRSTAPETEGPASFSYGIGGGGGGPSSDVSARSSSPGYVGSYKRQSNRGIAT >A09p018570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9743990:9747086:-1 gene:A09p018570.1_BraROA transcript:A09p018570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase 15 [Source:Projected from Arabidopsis thaliana (AT1G62330) UniProtKB/Swiss-Prot;Acc:F4HYR4] MSQERPDEEKPETCDVRVQDRIQGAATPVQSPTRLGPTRFSEITGEKLRNTGSDFIGSIWSWINGDPNRNLKIPVKRGKRKRIRTAKTAVGVIALVGFFIFVNWFMLSQLHEGRAWLRRGFAKNRNPKPNPKPNPDLSPSTKRVSVKVSAESVQHVAKKKMGKPKKQYNGTYGRLLAYAAHALAEGQNKIEPKELWREPKDQALAWKPCADQRSWKPNDGKTGYIMVTANGGINQQRVAVCNIVVLARLLNATLVVPKFMFSDVWTDSSQFEDIYQVEHFIKYLSPDIRIVKKLPKELQSLDLEAIGSLVTDIDIMKEAKPGFYMKHILPLLLKNRVVHFFGFGNRLAFDPIPFQLQRLRCRCNFHALNFVPKIQETGAILVRRLRDSGSHLAPVDPYLVGPKYASFILDKKAGPLHKASKYLAVHLRFEIDMVAHSLCYFGGGDAEKTELSAYREKHFPTLANLTKTKKMPSPEDLRTEGLCPLSPEEAVLMLAGLGFNRKTRVFVAGANIYGGAKRLAALTSLYPNLVTKENVLSETELEPFKNHSSQLAVLDFIACAASDAFAMTDSGSQLSSLVSGYRIYYGAGKMPTIRPNKRRFSDILLKNNTIEWKVFEQRVRKNVRQTKHVLVRPTGRSVYRYPRCKECMCNED >A07g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5855587:5857352:-1 gene:A07g502880.1_BraROA transcript:A07g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFITVLFIIVSYKSELQSCVVCLKCLSASPVPQTGVPGVHHSIFGCLHLGRSSQRTTSGLLRFWDSLNFKKDNEFMRITVLFLDEKVNSVIHGFISAGRANQYMLSLKVGSIVKVDRFEVARCSSMYKIIDHPFLIRFISPTIIDEVITGAHEINLQSLLDYVVGQFRFVHVSDLTKEITRIVIRLLIDPEKKIATPRDTRDNLDRHKDGNNISTCSLLLSYENSLHVQCQQVNIIVFLYEIHKFVYNPLKPKYSELSLIL >A04p010990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6940103:6942583:-1 gene:A04p010990.1_BraROA transcript:A04p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASKRRRINTLIIKKVEKKMRCHEVKSWTFSGLVAAFLDLSVAFSLLCASSLVYLTSKLLGVFGLNLPCPCDGLFSDKNKCFQETLVNLPVKKISSVQRSVISTSPFDSLLYKEDNGGKKRKGERSRHVEFEKDVFRTPEVENASCFDLLTSQSLKKGSFKVKSKRLSFYGLKNHCQSKHSPQSPAEEINHPLLVNSKVMTNVDGGKDLEDVSLRKSVSLCSIGCEDGGTGSNQPERTVSWAGEGTCTSPVDLTHKPIEQVLEEERASRAALALELEKERNAAATAADEALGMILRLQEEKASIEMEARQYQRMIEEKSAFDAEEMSILKEILLRREREKHFLEKEVDTYRQLFLETEQPLPNTPDSKQPTESLDDSFGFDIFTNQMDNRILDGEMKVNGVDEEIVTEQQEREEGTLLSEPVPEFEKVGEDSHDIDCCVHDIHVVKDEDNKVQLNVPSDHVVRDLKLDRSQSVLGTSYVLPPACPQGRRILSPNMRRNSMSAVDYERLKIESEVGLLRGRLRAVQKGREKISFSSKEQSKSVLGDKTSRFWEARRSEPIDSSSPSSTMVKAMSMSLDLHSA >A06p004630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1260482:1260818:1 gene:A06p004630.1_BraROA transcript:A06p004630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRYLVFVFVLFSFLLFVNLSEGRSGGVAEEYWKKIMKNEPLPESIKELLNNPFRTGEERFVKDFKTKSIVIIYHNPNV >A05p018450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8507494:8512044:-1 gene:A05p018450.1_BraROA transcript:A05p018450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose synthase 5 [Source:Projected from Arabidopsis thaliana (AT5G37180) TAIR;Acc:AT5G37180] MINPEIHNTMEMTSGLLGNGIPEAMGQNRGNIKRCLEKYIEKGRRLLKLNQLMDEMEIVINDLVQRKQVMEGDLGKILCFTQEAVVIPPNVAFAVRGNPGNWQYVKVNSSDLSVEALSNTQYLKLKEFLFDDNWAKDDNALEVDFGAFDFTLPRLSLSSSVGNGLSFVSSKLGGRLNDNPQSLVDYLLSLEHQGENLMMNETLNTARKLEMSLILADVFLSELPKETPFQAFELRFKEWGFEKGWGENAGKVKETMRILSEILQAPDPRNIDRFFARIPSIFNVVIFSIHGYFGQNDVLGLPDTGGQVVYILDQVKALEDELLHRINCQGLNFKPQILVVTRLIPDAKDTKCNQELEPITGTKHSNILRIPFVTENGILRRWVSRFDIYPYLEKFTKDATTKILDILEGKPDLVIGNYTDGNLVASLMANKLGITQATIAHALEKTKYEDSDNKWKEFDPKYHFSSQFTADLISMNSADFIIASTYQEIAGSKERAGQYESHMSFTLPGLYRVVSGINVFDPRFNIAAPGADDSIYFPFTSQDRRFTKFHPSVEELLFSQNENDEHIGYLVDKKKPIIFSMARLDVVKNLTGLTEWYAKNKRLRDLVNLVIVGGFFDPSKSKDREEISEIKKMHSLIEKYQLKGQFRWIAAQTDRTRNGELYRCIADTRGAFVQPAHYEAFGLTVIEAMSCGLVTFATNQGGPAEIIMDGVSGFHIDPSDGEESSDKIADFFEKCNIDPNYWNLFSAEGLQRIYECYTWKIYANKLINMGSTYSYWRHLNKDQKLAKQRYIHSFYNLQYKSLVKIIPIVSDIPQPPPPPPKPLVKPSATRGSKRTQSRLSFRLFGA >A05p001850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:463676:467050:-1 gene:A05p001850.1_BraROA transcript:A05p001850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein 1 [Source:Projected from Arabidopsis thaliana (AT2G47070) UniProtKB/Swiss-Prot;Acc:Q9SMX9] MEARVEGEGQHFYGYRGKRSVEWDLNDWKWDGDLFIATPLNPGVSQTMGRQFFPLGNSSNSSSSCSEEGNGNVTREVEKRRRAAAAAATGEDGNNNGGLSLKLGENGYDLNGEREGKKTKLGGGSGTTHRSVCQVESCEADLSKVKDYHRRHKVCEMHSKATSALVAGIMQRFCQQCSRFHVLEEFDEGKRSCRRRLAGHNKRRRKTNPEPAANGNPLSDDNQSSNYLLICLLKILSNMHSANGSSDHQDLMPHLLKSLVSHAGEQLGKNLVELLLQGGSGLLAAPQEDSKQAPEIPRQELYANGNRSEKLQTKVNDFDLNDIYIDSDDGTDLERSSPPTTTTNPATSSPDYPSWIHQTSRNSDSASDQSPSSSSEDAQMRTGRIVFKLFGKEPNDFPPVLRGQILDWLSHTPTDIESYIRPGCIVLTIYLRQAETAWEELSDDMGFSLSKLLDLSDDPLWTSGWIYVRMQNQYAFVFDGQVVVDTSLPLRSYDHSHIISVRPLAVAATGKAQFTVKGINLRRPGTRLLCAVGGKYLIQENDDLKESNECVSFSCDLPITSGRGFMEIEDQGGLSSSFFPFIVVEEDDVCSEIRILETTLEFTDTDSAKLAMEFIHELGWLLRRSKLGVFSLARFKWLIEFSMDREWCAVIRKLLNMFFEGAVGDSSSDAAALSELCLLHRAVRKNSKPMVGIEAWRTSKDTTGFTPEDYARLRGHFSYIHLIQRKINKKSATEDHVVVNIPASSISDREQKETKSGSSALEITHGNNKLQCKLCDHKLVYGTARRSVAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFQPFRWELLDYGTR >A03p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8120432:8123273:1 gene:A03p019810.1_BraROA transcript:A03p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WPP domain-associated protein [Source:Projected from Arabidopsis thaliana (AT2G34730) UniProtKB/Swiss-Prot;Acc:O64584] MEVDEFVMVEDGSSESRDDGLSAEVNGVVKENENVNVNVAFLDDFESYWDDVNIGLMISRVVNDTILRATVAAVEAEAAQKIAEKDLELSRVRETLSLYHVGSEENEVSSDKASLELTDGSLISLKNVARKQLVMLVEELTSLRKYVHVNKAGATVDDDTSGAHEIGSKTVDKMLDSLKSILETVLKRKNDTELPSSWQQEHDFQKVIESAVVTTFVRSLKDEYQQRLLQKEAECSGNKSSLLGNIKEITGLRQELEAIRKALSDHENGDIEAGEVGDRKRVEQLHRKMSASLSSALDTNGKHDVGSVPENFDTLKHLTPIELINHFNTEMNQMKRDHDYEIQEMTEQCFTFKRKYLNLKERGSFSFVGKGKELDALKKKIPSVISKLDKILVEDEKLVSEGKNNAEFKSRLDSLLLENLQLKDSLSEAAEKMSQLYQAEADHQELIRKLELDADDSHVKASIAEDVYSCCVTEFLGQIRSAKEETDLEHSKMRDAYELILKDLESKADCESKDGFVDSCLESLITEECSAVIYKEALKEADKKIAELNVHVAENEEALKSEMVDKERLNEEIHRLECLVKEKEGLVQTAECNLASERKKLEVASQQINDLQSQTEQQHIKIQEKNEALRVMSARELEKIEGYEKKISELREELDLARESWEETKDEKRKTEEKLSATKAEKESIRKQLLSLDLVPQKFMEGFNILEGLVAEKTQKTNSRLKNMHSQLCDLSHQINELKGKASMYKQRFEKKSSDLQKAEAEVDLLGDEVETLLDLLEKIYIALDHYSPVLKHYPGIIEILKLVRRELSGEAKRPPAD >A09p016330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8586757:8589980:1 gene:A09p016330.1_BraROA transcript:A09p016330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVDTQKEGGGHSWGYLRSLVRRKQVDSADEPHSHHHHQLAKALTVPHLIAIGVGATIGAGVYILVGTVAREHSGPSLALSFLIAGIAAALSAFCYAELSSRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALIFGGEDGLPSFLARHQIPCLDVVVDPSAAILVFIVTGLLCLGIKESTFAQGIVTAANVCVLLFVIVAGSYLGFKTGWAGYELSSGFFPFGVDGMFAGSATVFFAFIGFDSVASAAEEVKNPQRDLPIGIGLALFLCCSVYMMVSIVIVGLVPYYAMDPDTPISSAFASHDMQWAVYLITLGAVMALCSALMGALLPQPRILMAMARDGLLPSLFSDVNRRTQVPVKATIATGLCAATLAFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDELPLPASLQDRIDSVSFIPGETKPSHHEGTSDNSNKQPLIGENEASVHFPVMEKQEALGCWALSEKKRRIVAGWSIMLTCIGAFLLSYSASSLNFPGVVRYPLCGVGGSLLLAGLIALSSIDQDDARHTFGHSGGFICPFVPLLPIICILINMYLLVNLGSATWARVSVWLVIGVLVYVFYGRKNSSLANAVYVTTAHAEEIYREHEASLA >A02p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8718286:8721547:1 gene:A02p018860.1_BraROA transcript:A02p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKESPSLQTLSTAKSLSSLFPKPSALINPILTGRRDKPCRRQNFRGRCRITASQSNIAQKGKLLKEKVKRIKVKGFITAEEGLLESLSWSRPLDVITDIRGRSLLVELISAETDTRTVMEEGPVEDYAQRVWFESRNEKYECVFDMPEDFGTVGAIRVQNQHHREMFIKEMKLELPSGSVTFTCNSWVTPKSIDPTKRIFFSNKSYLPSATPEPLKKLRKEELETLQGNNRERVGEFAKYERVYDYDVYNDVGDPEKDERLARPVMGGLSHPYPRRCKTGRKPCEKDPSSEKREGEFYVPRDEEFSSTKGTAFTGKAILAALPSVFPQIEAALLDPNLPFPHFKSIEDLYEVGIDIPKDAGLLPMIPKLIKVVAEAQDNLLQFDPPILLNKDRFSWIRDDEFARQTLAGLNPYCIQLVTEWPLKSKLDPAVYGDPKSLITWDIVEKEIRGVMSVDEALKNKRLFMLDYHDLLLPYVNKVRELDDTTLYASRTLFFLSDDSTLRPVAIELTRPPDVNRPQWSQVFTPGYDATSCWLWNLAKTHAVAHDAGYHQLISHWLRTHCCMEPYIIAANRQLSAMHPIYRLLHPHFRYTLEINARARQSLVNAGGIIETCFWPGKYSLELSSDVYAKLWRFDREGLPADLISRGLAVEDETAEHGLRLTIPDYPFANDGLMLWDALKEWITESVKHYYPDAALITCDEELQAWWSEVRNIGHGDKKDEPWWPVLRTQDDLIGVVTTIAWVASVRVWRVLSQPTNHNKDKNAS >A04p025980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15711295:15716589:1 gene:A04p025980.1_BraROA transcript:A04p025980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Abscisic-aldehyde oxidase [Source:Projected from Arabidopsis thaliana (AT2G27150) UniProtKB/Swiss-Prot;Acc:Q7G9P4] MEGKDLEFAVNGERFKVNSVDPSTTLLDFLRLHTPFKSVKLGCGEGKLFFSYFLFAGGCGACLVMLSKYDPDSDQVKEHSINSCLTLLCSINGCSITTSEGLGNTKNGFHPIHSRFAGFHASQCGFCTPGMCISLYSALSNSSAGGLTVSEAEKSIAGNLCRCTGYRPIVDACKSFAADVDIEDLGLNSFWKKGDSKEAMLKSLPPYNPKDHLVTTFPEFLKKNVHNGLGYRWTTPFSLTELHNILESANAGGSLKLVVGNTGTGYYKDDEGRVDRYVDISRVPEITMIKRDENGIEIGAAVTISNVIDALKEEGKSFAIFKKMAAHMEKIGNRSIRNSGSIGGELVMGPSRKFPSDIATLLLAADASVYVFNGGIIKKVKLHEFLDSTRVLDTKQVLLKVEIPAWTDDAGLLFETYRAAPRSIGNALPYVNAAFFARVSRQMVDECLLAFGSYGGDHSIRATEVERFLTGKLLSHSVLYEAMCLLRGVIVPGKGTSYPEYRKALAVGFLFEFFSPLIDDNNGHSNGRVDPTESLLPFLSSSQQVVESDEFQPVGEAVIKAGAAIQASGEAVFVDDIPTLPDCLHGAFIYSTEPLAKIKSISFSENVTPAGVFAVLTFKDIPIQGQNIGSKTVFGPGPLFAEELTRCAGQRIALVVADTQKHADRAAKLAVVEYDLNNLEEPILTVEDAVKRSSFFDVHPMFYPEPVGDVLEGMKEADRKILLAEFRLGSQYFFYMEPQTALALPDEDNCVKVFSSSQAPEYVHSVIATCLGIPEHNVRVITRRVGGGFGGKAIKSMPVATACALAADKLQRPVKMYLNRKTDMIMAGGRHPMKVTYNVGFRSDGKLTALELTMLIDAGMEPDVSPILPRNIMGPLRKYDWGALSFDVKVCKTNLLSRTAMRAPGEVQGSYIAEAIIENVASSLQMEADEVRKINLHSYNSLRKFYKHISGEAEEYTLPLLWDKVEISSEFEKRGEMVKEFNMRNVWRKRGISRVPIVHQVMQRPTPGRVSILSDGSVVVEVGGIEIGQGLWTKVKQMVAYGLGLTKCAGSDELIERVRVVQADTLGLIQGGFTAGSTTSENSCEAVRLCCVMLVERLKVTMDQMMMEKKSGSVTWNKLIQQAYAQSVNLSASALYTPEFSSMEYLNYGVGVSEANVLTGKTEILRSDIIYDCGKSLNPAVDLGQVEGAFVQGIGFFMMEEYTTDEKGLVVQQGTWDYKIPTVDTIPKQFNVEILNTGHHKNRVLSSKASGEPPLLLAASVHCATRSAIREARKQSLSWNCNDGNRDVSGVDFELPVPATMPVVKGLCGLYSVEKYLEGKICGK >A03p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2599645:2601069:-1 gene:A03p006150.1_BraROA transcript:A03p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRRSNTIYLLLLFFFLVFTSRTSTSASCRRRTVKHLSTTPPSSTPLESRITSKVITFSIVSGILTGLVSALVLAFLVRSIVKYMKQTPILKGPVVFSPELTPKSLHGALGNGIHLLGSDPNGKYHKVVLDNGLVVAVKRLCSPEASGSSTSRNSVKRRLQKELELLAEIRHRNLMSLRAYVRESNEFSLVYDYMPNGSLEDVMTKVRGKELELGWEVRLRVAVGIVQGLQYIHFSCDHQVLHYNLKPTNVMLDSEFEPRLADCGLAKIMAASHTGVSCYSAPESSQSNRYTDKSDIFSFGMILGLLLTGRDPTQPFCVDGASGGSLGQWLKHLQQSGEAREALDKSILGEEVKEDEMLMALRITIICLSDFPADRPSSDELVHMLTQLHSF >A05p005950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2366890:2368218:1 gene:A05p005950.1_BraROA transcript:A05p005950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLKSMATLPKLIQTMRKEAPKHSNPVLPSLRRAFSLYDQINLIDNVPEDQLRFQEFNETSFTVNGVKYEGSLLCVGNLLMSWSPRQFSDITPDSLSIFQTVRPIPGDNTSNTIELLIVGCGRNIHPVTPELRQFVKSIGMKLETVDSRNAASTYNILNEEGRVVAAALLPYGVTS >A01p053060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30293380:30294369:-1 gene:A01p053060.1_BraROA transcript:A01p053060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSFVSHNANALCFSISNPKFNSLSPPKKPNRLASCALNVGLQDVTEVIHNKVLIAAGFSGAIGQLLKPFTSVVFYKKKLDFRTALQAGGFPSTHSSSVVAAATAIAFERGFDDSIFGLTVVYAALIMYDAQGVRREVGKHARVLNKLTANARKGEEEISIKGKEGETLESDEISEEVKLPLKESIGHTEVEVIAGALFGFLVSFGVYSLM >A04g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7712336:7713576:-1 gene:A04g503580.1_BraROA transcript:A04g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKATDSMPPPPARKEIVLALHAPSAVQATQPKSRKRKLDKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFTLATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSAFFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A04g505910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12238814:12243098:1 gene:A04g505910.1_BraROA transcript:A04g505910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHRFRETLKSFFEPHFDHEKGEMLKGTKSEMDEKVKKILGMVESGDIDEDKSKRQVVSELVNEFYIAYQTLYRKYDDLTGEIKKKVHGKGESSSSSSSDSDSDDSSKKKTKRNGKVEKDVVESVTDGQTEAANLEIADLKKKLTKSVEEKEAVDSELEAALVKLKESEEIIRNLKLETEKLEGEKTTALSDSRELHQKLDVAGKTETDLSQTLEDVKKERDQLQTEIDNGIQRFQEAEKIAEDWKTTSGQLKDEVANFKQQVEASEKRVLEISGEIQQAQNTIQELTSELGEMKEKYKEKESEHFSLVELHETHEKESSSQVKELEARVESSEKMIGDLNQNLNNAEEEKKLLSQRVSEISHEIQEAQNTIKELMSESEQLKESHSVKDREIFGLRDIHETHQRESSTRTSELEAQLKSSEQRVSELSASLNVAEEEKKSMSSKNVETTDELKQAQNKVQELMAELAESKDIHIQKESELSALVEVHEAHKRDSSSRVKELETLVESAEQRVQDLSQSLNNAEEEKKLLSQRISDMSNEIKQAQNTIQELMSESGQLKESHTEKDKELLGLRDIHETHQRESSTQLRDLEAQLKSSEQRVSDLNESLKIAEEENKSMSTKISDTSGELERVQITLQELTVESSKLKEKLAEREAELSNLTEKESKSQLQIKELDATVATLELELQSVRTRTVDLETEISGKTTEVEKLEAQNREMVARISELEKTMDERGTELSALTQKLEDKEKQASSTVESLTAEIDGLRAGLESLSAQKEELEKLMESKGDEASMQIKGLEDEINGLGQKVISLESQKAELEIQLEKKSEEISEYMSQITNLREEITSKVKDHDNILEERKCLTEKIKGLEVEIETLQTQRSELDEELRTKTEEILQMQDKINKASADTVKKSEVESELDREKQEKSDLSNQIIDVKKALIEQEAAYNTLGEEHRQIKEMLKEREEALNKLTEDHKEAQRLLEETGNEVSSRDSAIAGHEETMESLRNELEMKGEEIETLMEKISNIEVKLRLSNQKLRVTEQVLTEKEEAFRREEAKHLEEQAVLEKSLKVTHEAYQGMMKEIAEKANTTLDGFQSMSGKFTEKQGKYEKTVMEASKLLWTATNWVIERNHEKEKMKKEMERKVEEIKKLGEKVREDEKEKERKEEEIKKLGEKVREDEKEKERKEEEIMKLGEKVREDEKEKEKKEEEIKKLGEKLREDEKEKERMKETLVGLGEEKREAIRQLCVWIDHHRDCCGSRAKTVAASLRASAIIGDSMEEAGLPENSWLRGYFWSTTFVSVVLGVIVLHYSSPLYFVFAVVLKSNWVISWAKFGGGIKWE >A01p052610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29507538:29512454:1 gene:A01p052610.1_BraROA transcript:A01p052610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLDFLLIQPIYLPLLSFFLNLVLLLILSVSWVYNKSVACENSDGFMKKRSTKMSSTFSKLVVMSCVSLSVFYSVLSLLSCVRWHSNVWTFFDLLLAALTWGTISMYLRGLYTDSHEQKLPYLLRIWWVLYLLISCYRLVVDFVLYRKQELVSVHNVVSELVGVCAGLFLCCSCLWKRGEGERTNLLEEPLLIENEVCDDEVTTPFAKAGFLSLMSFSWMSPLVTLGNEKIIDSKDVPQVDNSDRAENLFRVFRSKLEWDDGERRITTFKLVKALFLTVWRDILLSFLFAFVYTMSCYVAPYLMDSFVQYLNGERQYKYQGYVLVTIFFVAKLVECQTRRHWFFRGGKAGLGMKAVLVSMIYEKGLTLPCHSKQGQTSGEIINLMAVDADRLNAFTWFMHDPWILVLQVSLALWILYKSLGLGSVAAFPAFILVMLANYPFAKLEDKFQSNLMKSKDNRMKKTSEVLLNMRILKLQGWEMKFLSKILDLRHVEAGWLKKFVYNSAAMSSVLWTAPSFISATAFGACVLLKIPLESGKILAALATFRILQSPIYKLPETISMFVQVKVSLGRIASFLCLDDLEKDVVERLTSRSLALEVRNGYFSWDESSSIPTLRDVSFEVSQGMNVAVCGTVGSGKSSLLSSILGEVPKISGTVKVCGRKAYVAQSPWIQSGKVEDNILFGQPMEREWYERVLEACSLNKDLELLPFHDQTVIGERGINLSGGQKQRIQIARALYQNADIYLFDDPFSAVDAHTGSHLFNEVILGILKDKTVIYVTHQVEFLPEADLILVMKDGKITQAGRYNEILDSGTDFMELVGAHTDALATVDTYEQGCASSESTTNKEKEAPSDEEKLEKDSGKPRGGQLVQQEEREKGKVGFTVYKKYMALAYGGAVIPIILLVQILFQILNIGSNYWMTWVTPVSKDVEPPVSGFTLILVYVLLAIASSLCILFRALLVSMTGFKMATELFTQMHLRVFRASMSFFDVTPMGRILNRASTDQSVVDLRLPGQFAYVAIAAINILGIMGVMIQVAWQVLIIFIPVVAASAWELARLAGISRSPLVHHFSETLSGVTTIRSFDQEPRFLGDIMKLNDCLSRLRFHSTGAMEWLCFRLELLSTIAFALSLVIVVSAPEGTVNPSFAGLAITYALNLNSLQSTLVWTLCDLENKMISVERMLQYIDIPSEPSLVIESTRPEKSWPSRGEITISNLQVRYGPHLPMVLHGLTCTFPGGLKTGIVGRTGCGKSTLIQTLFRIVEPTAGEIRIDGIDILTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYSDDQIWEALDKCQLGDEVRKKELKLDSTVSENGQNWSVGQRQLVCLGRVLLKRSKVLVLDEATASVDTATDNLIQETLRQHFRDCTVITIAHRISSVIDSDMVLLLDQGLIKEHDSPGRLLEDKSSYFSKLVAEYTTSSESKFNRSC >A03p055090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23729860:23733755:-1 gene:A03p055090.1_BraROA transcript:A03p055090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESKSPVCNTCGEEIGVKPNGEFFVACHECSFPICKACLEYEFKEGRRICLRCGNPYDENVLDDVEIKTSKHQSTIATHISNAPQDSGIHARHVSTVSTIDSELNDEYGNPIWKNRVDSWKDKKSKKNKKKHAKETKAEDPDAQVPPQQHMEDISLNPEAASATDVLSVVIPIPRTKITSYRIVIIMRLTILALFFHYRITHPVDSAYGLWLTSVICEIWFAFSWVLDQFPKWSPINRETYIDRLSARFEREGEQSQLAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKYSIEPRAPEFYFSLKIDYLRDKVQPSFVKERRAMKRDYEEFKIRMNALVAKAQKTPEEGWTMQDGTSWPGNNTRDHPGMIQVFLGYSGARDVEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPVVGQDVCYVQFPQRFDGIDRSDRYANRNIVFFDVNMRGLDGIQGPVYVGTGCVFRRQALYGYSPPSKPKMLPQSSSSSCCCCPSKKKQTQDPSEMYKDAKREELDAAIFNLGDLDNYDEYERSMLISQTSFEKTFGLSAVFIESTLMENGGVPDSVNPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSITEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGCSGGRLKWLQRMAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLASMLFLGLFISIILTSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGLDTNFTVTSKTAEDLEFGELYIVKWTTLLIPPTSLLIINLVGVVAGFSDALNKGYEAWGPLFGKIFFAFWVVLHLYPFLKGLMGRQNRTPTIVILWSILLASVFSLVWVRINPFVSKTDTTALSQNCLLIDC >A10p009510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4873250:4874002:-1 gene:A10p009510.1_BraROA transcript:A10p009510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OLEO3 [Source:Projected from Arabidopsis thaliana (AT5G51210) UniProtKB/TrEMBL;Acc:A0A384LN43] MKTFSTRGTDKQRGLTSFLPISLIHISSLLTPNILLSNLPLLSSSIFVSERSQQESMANQTRTHQDVIVRDSRSTLDRDHPKTGAQMVKVATGVAAGGSLLVLSGLTLAGTVIALAVATPLLIIFSPVLVPAVITVVLIITGFLASGGFGIAAITAFSWLYRHMTGSGSDQKIESARMKVGSRGYDTKSGQHNIGVHQQHQQAAS >A02p048670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30255479:30257262:-1 gene:A02p048670.1_BraROA transcript:A02p048670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPNEQESSFPIIPGESRNETIPVQETWFSSPNHVIPCLDDDGPSHQSCQSSVLRQSLRPIILKFEELTYTIKIQSGKGSYWFGSQEPKQNRLILNGVSGIVKPGELLAMLGPSGSGKTTLVTALAGRLQGKLSGTVSYNGAPFTSSVKRRTGFVTQDDVLYPHLTVMETLTYTALLRLPKELTRKEKIQQAESVISDLGLTRCCNSVIGGGLIRGISGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAARIVATLRSLARGGRTLVTTIHQPSSRLYRMFDKVLVLSDGSPIYSGVSGRVMEYFGLIGFQPGSSFVNPADFVLDLANGITSDTKQYEQVEINGKLDRLEEQNSVKQSLISAYKKNLYPPLKEEFSRAFPQDQTVNMSRSKTRLTSKIDGQRVGGCNSQFY >A01p008730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4252086:4252397:-1 gene:A01p008730.1_BraROA transcript:A01p008730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFKTIRFIIVFLLMTFSMLSCEGRIRFTHTDCTSDKVDRDEKQLQDTPKRFVQVEKVLKKPRPGRYPARVEDHVNFFMESELFTFSTMMRNVEASLSRMR >A03g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8670019:8670664:1 gene:A03g502790.1_BraROA transcript:A03g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQDTRRLQKVSDDVWDERTGCFVLKNPAYPEEEISRSLKNNPKLEDLAKYSIIGLKPRRADLGMNHHVNNVTYIGWLLEILPQKIIDTHELQVITLDYRRECQQDDVVDSLTTSKNGSATSGTQSHNNTQFLHLLRL >A03p031780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13431204:13442186:-1 gene:A03p031780.1_BraROA transcript:A03p031780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF [Source:Projected from Arabidopsis thaliana (AT4G04910) UniProtKB/TrEMBL;Acc:A0A178UTB9] MGIFEPFRAIGYITSTVPFSVQRLGTETFVTVSVGKAFQIYNCAKLNLVIISPQLPKKIRALASYRDFTFAAFGNEIAVFKRAHQVATWSKHVAKVDLLLLFGEHVLSLDVEGNMFIWAFKGIEEHLAPVGHVELCEKFTPTCIVHPDTYLNKVLVGSQEGPLQLWNINTKKMLYQFKGWGSPVCCCVSSPALDVVAIGCADGKIHVHNLKLDQEIVTFEHASRGAVTALSFSTDGRPLLASGGSFGVISIWNLNKRRLQSVIRDAHDSSVISLNFLANEPVLMSASADNSLKMWIFDTNDGDPRLLRFRSGHSAPPLCIRFYSNGRHILSAGQDRAFRLFSVIQEQQSRELSQRHISRRAKKLRLKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTAEAYVWRLQNFVLGEHILKPCPENPTPIKACAISACGNFAVVGTASGWIERFNLQSGISRGSYLDKSVTKSYSHDGEVIGLACDSTNTLMISAGYHGDVKVWDFKKRELKSRWDVGCSLVKIVYHRVNGLLATVANDFVIRLYDVVALRMVREFRGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVILAKQIDGVHVDVPITALSLSPNMDILATAHSDQNGVYLWVNQSMYSGAPNVDSYASGKNVVNVRLPSVSALRSSEADADDKKMQVSENSESQTAASFLISPEQIPELVTLSLLPKSQWQSLINLDIIKARNKPIEPPKKPEKAPFFLPSIPSLSGDIVFKSNESDDDGEDEDKNKDSKNSMRNFDALESPFSKLLKSSWDSKHFSDFTHYIKGLSPSALDIELRMLEIIDEEVEEELIQRPEFISIGQLLDFFIHEITCRNNFEFMQAVMRLFLKIHGETIRCHPSLQEKARKLLESQSLVWQKMDKLFQSTRCIVTFLSNSHIRDGSIALNAIQRRHARVSTGDMVSVSRFVPPENFELAMLTLELEFVKKGTKSEQVDAALLSTQLKRKYINQVLTVGQKATFEYHGTNYILTVNRADVEGQNQSNGIERGMLSQDTYVVFEASNASGIKIVNQREAASSNIFKHKEFNLESLGIGGLGAEFADIFRRAFASRVFPPHVTSRLGIKHVKGMLLFGPPGTGKTLMARQIGKMLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQRTLGDASELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDEAGRLQILQIHTNKMKENSFLGHDINLQELAARTKNYSGAELEGVVKSATSYALNRQLSMDDLTKPVEEENIKITMEDFLHAIHEVQPAFGASTDDLERCRLNGMVDSGDRHNHIYKRAMLLVEQVKVSTRSPLVTCLLEGPSGSGKTALAATVGIDSDFPYVKIVSAETMIGLHESTKCAHIVKVFEDAYKSPMSIIILDDIERLLEYVAIGPRFSNIISQTLMVLLKRLPPKGKKLLVFGTTSQLTFLDSVGICEAFSITYSVPTLRTEDAKKVLKQLNVFSEDDVEAAAEALDDMPIKKLYMLIEMAAQGEYGGSAEAIYDGREKIKLTHFFDCLQDVVRF >A05g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10040569:10045459:-1 gene:A05g503420.1_BraROA transcript:A05g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTLVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNDIRDDNSTIHFLATIVKIDWDLVGIRRNRYGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKKTNPIDDARSFRNDSRPRRFFPSKRTKKKNFFR >A02p031820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16687252:16689380:1 gene:A02p031820.1_BraROA transcript:A02p031820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGQITLPRAPWLQKSLLRRPIRTPIRFNGRIASVLTNAGSVKASVSQKVIEEEAKVLVGTYARAPVVLSSGKGCKLMDAEGKEYLDCASGIAVNALGHGDPDWLQAVTDQAALLAHVSNVYYTIPQIELAKRLVASSFADRVFFCNSGTEANEAAIKFSRKFQRFTHPEDKEVATSFIAFTNCFHGRTLGALALTSKEQYRTPFEPIMPGVTFLEYGNTQAATDLIRSGKIAAVFVEPIQGEGGVYSATKEFLQSLRSACDAAGSLLVFDEPLAGGLPIGAVLVTEKVAETIKYGDHGSTFAGNPLVCSAAIAVFDKVSKPSFLASVSSKGLYFKDLLVKKLGGNLHVKEVRGKGLIIGVELDVPAGPLVDACRDSGLLILTAGKGNVVRIVPPLIISEEEIERAVEIIFHNLTALD >A03g509120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30015766:30022382:1 gene:A03g509120.1_BraROA transcript:A03g509120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLFFLSPLTPSSPRLSSPKFTTILLAFSGGRSAVRGRRIPSFLLCVFFCLYLLCALLSLRQGELCAREDGVCLSYGCGLQSRAWSSGVFVLLGVGSRVSSGALTPVGSSSRRFSRSLVGVSVRGWLWLGALWQASCFCSVSGYRLSFRSDVVALGLLSPFLIKMWGLAVAVVVSPSSRFGSGFLACLVVFLRKRCFWPVPLLYMSLGFNGCTRSRVGELEAAIFSTLLRTTASSVVRFSSCCRLSISRKLQEPCVSRFEGAFLSGSSWRLVALSVVDSLSENPPFPKDIIDSIVARLPIYLHPIVRQVSKDIKNFVDTKSVYTKRLEISRAEPLLYICLRSHLISKRRDWYELTKKAYNEKFILRSVGLFHGIPGREYSLVTAGSTVYAVGGLHNNERTSDVFSINGVSHDIEVLPKMKEPRFNSKNVVLNQTLYVIGGSLREDSQNWMEALKLKPGSEWESVPCAAYKKDVGFGPTVVMNGKIYHLGYRGECYVYDIKEDRFEEEKALGARFYHGSCVIENRLYALDDDDGIVVYDPTQRAWSVLKVLNLPELKVLSTKLVNYGGNLLIVHNLVAKSIWCTEIALKNREGNMWGDIVTSNEAHHVTNAWDTVIDNCQGFLAFFYKYKPLPPLSLIRNSRAKLCRKIPRQEALAVDDLSLSLPALPLPLFSLLTASLSPLSLLAVSSREWWWWPLGVVDLRSRWDKIPGEGGKKDNAAVGKNRGAGKIESRRVLAGRGRNTLQRRSVPEELGDGPTRAGDFTGSSKKRGGMVRLSCVGPKVPLGVLNNKLNSMI >A09p015380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7989592:7990062:-1 gene:A09p015380.1_BraROA transcript:A09p015380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSESRGEAEKHKPQQHFRHDLKNMISSVTHMGADKAGPSQYEEEKEEDGISAITLAGSNLGATMKTELDDNQGDSYKNGGQELDFLTTFVNSNFQAVNNSIMMGAKYETHDPGVHLDISGVVEKPLMKAPARRSRERKGKTPARRDRRESEHTD >A06p001510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:652541:653909:1 gene:A06p001510.1_BraROA transcript:A06p001510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty-acid-binding protein 3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G53520) UniProtKB/Swiss-Prot;Acc:Q9C8L2] MDGVVLTSVPSALSGVCPNRVNAGSRNHSPNQVSVLRTVNCVSSLSIHPPQNGNSFLRKRHCVGVVKSAASSVGNADEKVEEPATSVKFQRTVALPGCSTALSLLGTGFREKKFAIIGVKVYAAGLYVNESILTGLTAWKGKSADEIQRDSSLFSSIFQDQAEKSLEIVLVRDVDGKTFWDALDEAISPRIKSPSPDDKTALSTFQGIFQNRPLNKGSVILLTWTSPSKMLVSVSSGGLPKDVDAEIESGSVTSALFDVFFGDSPVSPTLKSSVANQLAMVLK >A09g513700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41671901:41672487:-1 gene:A09g513700.1_BraROA transcript:A09g513700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWLSVEMTPTPMLASLLKTSDEIAKLFQTRQGLYGQPSVSVVPDQSAASSGQKLKIGVVLSEGQAPGGHNVISLVRSV >A10g507290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22298250:22300109:-1 gene:A10g507290.1_BraROA transcript:A10g507290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPWFPANSASALSPPLLTPGDRRFLAPPDPPDPDPDNPLSLARFPPLNSPSSLTSNSSKTSRTLLQSCSVTAPKSSSVPPTESFNGKTSTSAISDPVLPRSGNTVPNFDNFKILPPKTSSPIHTNRASNLLPKLPPPSEAPQNANHNPKTVPNPNPVPVFQVPPFTHVPLQTKPTNTESSLAPVTVSDSGRPRVLIPESVFQKGAELHKDFIICYFNGRPPPFNHTQNVLNHLWEKGTRVEIHTNPHTRSMLVRIPSDYLRQKILEKRVWYIGDSMFQAVQWTSSASTTSPPLESIQIWAHLKGIPLDLRHEEGLSLIAGLVGDPKETDDFTLNLVSLTMSHVKVAVDLTKPLPSVVEYTRQSGEVVEVLVTYPWVPPTCSHCNELGHIMKNCLQQPPPKQNPPRRQGKEVETNDTAPKSQRTSKSDRLNPASGPKKVAESSSSVPPEKSSAASYTPHKSSAASPTPHKPPSPLHEPPVSTPTCLPPAVTPLPLIVQPPKMSHPPPPNDPPSATVQPLSLFVSPTSPPEQPKKRPRPCSSQPFPSFTDQLNFFSLPKSPIRPPTPLPSISFQTSFGPNPFDVLSTHGSLPPEEVID >A04g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20765334:20765956:1 gene:A04g508120.1_BraROA transcript:A04g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSYWCYSCNRFVWVSSNTSTEEAPRASLSPPPTRPSLVAAPTALVLRGSDASEAYDRSAFHMYYDDGSDSGLRPLPPSMTEFLLGSGFDRLHVIEIDRIHLESDSQSHCAVCKEIAAGDDDSAAGLTIWRLPGGGFAVGRIPGGDRVMPVVYTEVDGGRLGEERRRVCGSDHETVWMF >A05g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6029851:6032098:-1 gene:A05g501750.1_BraROA transcript:A05g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEVAVGDDWLSPPPKIERDHDQGENQKLSLYQAFSLTTLLADYLLLIAYKGTHISQHHLMYTQKKISTLFGVHYLSHPLLIVKCMINKKASFCIPRIGISRSVRMHIVALIVSAFDLSSLKCRYCASVSTYIFSVAKAEEDNDEKHKPSTQALSYHNVSQEFRVVIPRPKLLPGLHVRSTYGYSHKWFFLGMVSCSTDISLPLCHPVSAMCDWQVWAYLQICSFHELLFFFLKLQPDGFFSH >A01p036600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:143426:145047:1 gene:A01p036600.1_BraROA transcript:A01p036600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNRFRSAHQADIKGKGILYEDDDEPIKLVDRDESFVIKEFGLSLIGKVLNPKKQNVEKLLQTMHSQWGLSERITANDLGNGKFLLNFMSEEDLKSVLRRGPFHYNFCMFVLVRWEPIVHDDYPWIIPFWIQLIGFPLHLWTDTNLRNIGGRLGHVDTLELTEGRMLIEVDSRRPLKFSRKVEYEGDEGYYPTMDVRSRLQHVERPDVFSRVQRAQDMPRQNWSVMLWYDDKSTRGQGPRYWDNDSSRGRHSDRIIRSREDHLRRNRYGRARDNAGPYARPNEKAWQVKPKTHEVGRGQSAVDEDAMIRGATSGEIVPYEQSPEHKSRSMVELAEMRSGEQTSNRKLASTIVTPVRADHPMEENVTVRDRGEARALAFSPNGEPSHADDLIIGALSDMEIMEQSDGALMADGDDGEDLLGLDLMELEDRQPQLRSRQDLGRRSSSRSSRTKKLGDLQADVQRPQFHM >A03p026710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11150016:11150825:-1 gene:A03p026710.1_BraROA transcript:A03p026710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRSIDFPNWILIEYNYTSLLLPHSWNGSASLMLFLRLCISRMSVMLKMQSIGLTILSLLAMRNASYLSEWAKGERGIGKPRNGKRASNQRPTKTLFVINFDPILTKEGDTLIRSLLFREEGVEDVVPQCVS >A04p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7571705:7572966:-1 gene:A04p009740.1_BraROA transcript:A04p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTKRKATMERITKRESAATTFTKRSYGLHSKVSQLCLLTDAQIAVLATPPSSNSNVSFFSFGHSSVDSIVTAFLTGERTAVREARIEDHEDLGICLARKELGLPQWWEDDALLKSKSPQELSLAINSMSTLLSKINELRAEDAKAEPPLKKQKKKKNEETMKKTEPTVEQTLVLPSGSSDRTLDYNNNNVRTEEELDQIMSTCDSFGLPHNNNSNDSLEEIDFDQLIDLDLDFDFDSDKNETMKMTEPLDQPLLLPYDSCASPDNNNTYSTGEMSLDYGIDTSSVFVDDSLLESAMNCVPSVDGFVETITTTQEQLQEETTIALNSGIDQTQTAHLQFLD >A04p005080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2628363:2631755:1 gene:A04p005080.1_BraROA transcript:A04p005080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein LONG AFTER FAR-RED 3 [Source:Projected from Arabidopsis thaliana (AT3G55850) UniProtKB/Swiss-Prot;Acc:A0A1I9LN01] MNRFVVVSAAVFLLISLAYLPLLNQLYWSTLTSLIPPVRVVADLVVTNGTIFTSDKSLPFADSMAIRNGRILKVGSFTTLKDFVGDGTVEVNLEEKVVVPGLIDSHVHLISGGLQMAQVGLRGVSQKEEFCKMVKDAVQNAKEGSWILGGGWNNDFWGGELPSASWIDEISPHNPVWLIRMDGHMALANSLTLKIAGVTSFTEDPVGGTIMRLPSGEPTGLLIDAAMELVTSFVKEVSVDERREALVRASKYALTRGVTTVVDVGRYYPGTTDELSWIDFEDVYLWADSTKKMMIRTCLFFPITTWSRLSDLKLQKGHVLSEWLYLGGVKAFIDGSLGSNSALFYEEYIDTPNNHGLEVMDPEILSNLTMAADKSGLQVAIHAIGDKANDMILDMYESVAAANGERDRRFRIEHAQHLATGSSARFGRHHIVASVQPDHLLDDADTAPKKLGFDRAEKESYLFHSLLNGNALVAFGSDWPVADINPLHSIRTAVKRVPPKWDHAWIPSECISFTDALTAHTISAAQAAFLDDHLGSLSPGKLADFVILSTNSWDEFSKDGSASVLATYVGGKQVHP >A02g500650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1790615:1791961:1 gene:A02g500650.1_BraROA transcript:A02g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVLFVTIFIFFSMTLSSSTNPKHFTLELIHRDSPHSPLYNPKTTLTDRLHSAFLRSISRSRRFNHQTQTDLQSGLIGAGGEFFMSITIGTPPTNVLAIADTGSDLTWVQCKPCQQCYKENGPIFDKEKSSSYKSEPCESRNCNALSTTERGCDEAKGVCKYRYSYGDRSFTRGDVATETISIGSASGSPVSFPGTVFGCGYNNGGNFDETGSGIIGLGGGNLSLISQLGSSISNKFSYCLSHKSSTMNGTSVINLGTSSIPSGASKVSSVISTPLVDKEPQTYYYLTLEAISVGNTKIPYTSSMYYPNDDGVSSAATKGNIIIDSGTTLTLLESGFYDKFGAAVEESVTGAKRVSDPQGLLSHCFKSGSAEIGLPEITVHFSGADVRLSALNAFVKMSEDMVCLSMIPTNEVAIYGNFAQMDFLVGYDLESRRVSFQRMDCSADL >A10p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21249404:21251332:-1 gene:A10p037770.1_BraROA transcript:A10p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLQKISEDRKAVVGSSLTIPDDLVFEILLRLPCKSIARCRCLSKLWASIFDSQDFTDRYLTISSARPQLLFAFQEYGKVFFFSTPQNHEHDNSSPITASYHMSFPVSRVREIYSPISGLVCVKDERILKGMKTPVTVWMICNPSTGKSFSLPRINTRNKRSSVRSFFGYDPVEKQFKVLSMTFATGVINGVIDYTNSTDHQVLTLQAGKLSWRMIECSIPHYPRCNSVCINGVLYYIAENVASSPTYRDMIIVSFDFRSEKFSFIEVAKPFFHSLINFNGKLASVTSDSNYFDRASTCLNLRVLDDIEKNEWSKHVYKLPLSWENVVADADLHCVKVTASNEVVLSGYSQRSPFYVFYYSLEKETIRRVEIQGMEAFTRFQVYTFVDHVEDVRHKVLILISLFSLNDAIYQEHQVLTIETGKLSWRMIECE >A04p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11046317:11047878:1 gene:A04p018340.1_BraROA transcript:A04p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLHLSSPYDHLISQKFPIHDHPLYSTTDHGQCAGCYEGKELSAEFLECPECDIFFHKECIELSPEVITHSFHPQNGFMCCSLCGEQLMLLVYRCLICDFKLDMSCAKNPPLLEIDQPMVDQYKLHLLMKKVSFTCDACGFEGDRSPYMCLPCNFMYHILCVYFPDDSQQRSYSLSPRTWSCGCRYVHADVVACKKIKKARELENKPSKGDDDDDYPFKIVEDKYIDHFTHEHYLAFHKDGKVSDVSKRCQACKLPVVYIPYFCCCKGCEFYLHEGCANLPRKKRSILHNHPLELDGKSTARTEFHAKHFCCSACVASSMMGSSIHVVTSTWIVCKLWVSPVLSCATCGFHLGFDCAQLPKLARHKYDDHLLSLDFVKDETCEGDYWCEICEKKIGGKALFYTCNEDYCCSTFHTHCVIGALHLKLKQCFKLFSHEFVVVENSLAALRHCCICGLECKGIEVIMSKGGDKYLCSSSCLMRNRRLLNNL >A05p055630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32860637:32861159:-1 gene:A05p055630.1_BraROA transcript:A05p055630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRSCQVLFPSSSVDHRLSGDQTQINTSSSSYYKCTEKGCRVKKQVQRLSGDEGVVVTTYQGVHTHPVDTPSDNFHHILTQMHIFPPF >A04g507750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19504196:19505166:1 gene:A04g507750.1_BraROA transcript:A04g507750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTNAGASTGAAAAATTELPPPQPQPQTQRSEMVLHTGSLSFSSHMSREDEEMTRSALSAFRAKEDEIEKRRAEVRERIQAQLGRVEEETRRLSTLREEIESMADPMRKEVSVVRKKIDSVNKELKPLGSTVQKKEREYKEALDTFNEKNREKVQLITKLMEMEQLVGESEKLRMKKLEELSKSIEETV >A10p028470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17669299:17670904:-1 gene:A10p028470.1_BraROA transcript:A10p028470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHFQSSSKTARRGDETEAENDSFTGGWRAPEANQIENMAVEKAMVLWNISNCPIPDGHDPLMVVQRTQAAVEKSGYVRRNGEISITAIGDKLTEVPGEDVMRRLSSIGIALKNADEYQMDLYDWADENPPPGTMMVIDGHEQLGWLAGTLSELEDKGFRILKQWDWAALFSDEQETTTTSLVVNSSVKSPWFCEVCFVAAPSLEDFTTHLKSVKHAYGEWDRHASKNNVDRTDPANLPFGRSNELDLLLNQDMVRRQMLTSGRGCGRRRGPLGPLRPRHTFNLTHSKAS >A07p030040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16787597:16789614:-1 gene:A07p030040.1_BraROA transcript:A07p030040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPKCFHLYLSLLAATATVLIAVADAQAGGQVLQAELWCVAKNNAEDSSLQTAIDWACGQGGADCGPIQQGRACNDPTDIQKMASYVFNNYYLKNGLADDACNFNNNAALTSINPSQGTCRYPSSKRVSNGRVADDTSVGSGQADMSRGRRVSTTWILLVFSILMLLPVPCSAQQETLESGVHHGGFDRGNVVMRPSTLSIKSVIRGWLEKTFRKMTSLKNWRKTVLFVCVLALAVDPLFFFIPVIDSHKFCFTLDKKLGVAVCVLRTLIDVFYVIHFIFHCITELVAPRSRASLRGELVVHSKAIRKRLFFFYF >A03p032940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13958879:13960957:1 gene:A03p032940.1_BraROA transcript:A03p032940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSFGPTGVAKERAEQYQGKVTSYVIIACLVAAIGGSIFGYDIGISGGVTSMDEFLDQFFHTVYEKKKQAHESNYCKYDNQGLAAFTSSLYLAGLVSTLAASPITRNYGRRASIVCGGVSFFIGAALNAGAVNLAMLLAGRILLGVGIGFGNQAVPLYLSEVAPTHLRGGLNMMFQLATTLGIFTANMVNYGTQQLKPWGWRLSLGLAAFPAFLMTLGGYFLPETPNSLVERGLTERGRRVLEKLRGTKNVDAELQDMVDASELANSIKHPFRNILQKQHRPQLVMAICMPMFQILTGINSVLFYAPVLFQTMGFGGNASLYSSALTGAVLVLSTLISISLVDKLGRRALLISGGVQMIICQAIVSVILGVKFGDNQELSKGYSIVVVIFICLFVVAFGWSWGPLGWTIPSEIFPLETRSAGQSITVAVNLLFTFFIAQAFLSLLCAFKFGIFLFFAGWVSVMTIFVYFLLPETKGVPIEEMTLLWKKHWFWKKVLPATNHEAESNNNSSI >A08p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24141255:24143636:-1 gene:A08p043300.1_BraROA transcript:A08p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase alpha chain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G09430) UniProtKB/Swiss-Prot;Acc:O80526] MARKKIREYDSKRLLKEHLKRLANINLQIRSAQVTEATDFNELTNQEAWLSSTKLVVKPDMLFGKRGKSGLVALKLDLAEVSEFVKARLGSEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSDRLGCTVSFSECGGIEIEENWDKVKTVFLPAEKSMTLEVCAPLIATLPLEVRGKIGSFIMGVFAVFQDLDFSFMEMNPFTLVDGEPYPLDMRGELDDTAAFKNFNKWGDIEFPLPFGRVLSPTESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKETRLKAARMHIYVRRGGPNYQTGLARMRALGDELGVPLEVYGPEATMTGICKRAIDCIMLPDA >A06p004010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:981961:985798:-1 gene:A06p004010.1_BraROA transcript:A06p004010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTATSVLIVAISVLLIASSVQSTEQQDFINTHNAARSQVGVPNLVWDATVASYALNYANARKSDCNLVHSTGPYGENLAKGSSSTFSAISAVNLWVAEKPHYNYTTNSCTGGQQCLHYTQVVWRESVKLGCARVQCTNGWWFVTCNYDTPGNYIGDTFTTTSVLIVAISILIIASSVQSTEQQDYLNTHNAARSQVGVPNIVWNATIASYALNYANARRSDCNLVYSAGPYGENLAKGSSSTFSYRPYILYTTLTIRGKHCFHYTQVVWRDSVKLGCARVQCTNGWWFVICNYDTPGNYIGQYPY >A03g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22790182:22791222:1 gene:A03g506360.1_BraROA transcript:A03g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRKSQTERDKKRQAHPVKERKQNNLEFLLISVRRVDRSCGRRVLPRTLSGDSAKRDTEVRVSGTDVLSISGDLRPRDYTVVAKSLRILLTELG >A02p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1261686:1263311:-1 gene:A02p002990.1_BraROA transcript:A02p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKSIQKEKKKVISLAPIAKPLAGKKLQKRTFKLIQKAAGKKCLKRGVKEVVKSIRRGQKGICVIAGNISPIDVITHVPILCEEAGIPYVYVPSKEDLAQAGATKRPTCCVLVMLKPAKGELSAEDLQKLKSDYEQVADDVKELSTSVI >A08g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6191555:6193164:-1 gene:A08g503380.1_BraROA transcript:A08g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRFHHVNYRRIFAIGEEPSRVRVTAYRKPCALRHIFNALDPDEVERIRGSQFGRLLEIADKPSFSGRFGRYIISRQLKVSKKHEAWFVFAEKPIRFSLREFAIVTGLNCGRFAKRSKMRCKSHITEKPYWGELFGTLKEVPVSSVVRMLQKKTVNDKEIRLKYAYLSLLAAVILPTTHTPRISHDQAELIKDLDAFLAFPWGRVSFDMLMTSIKERKEVALLQNTIALKGLVLSLQLVMIEAVPALTDESGEADGDILDDDKSDKKSIHPGHARDIDSEGKAVVHSIIPDDNNIVNTADGVAWSDDKDETIVENLVNLVEERFPFSQTCFPGGVSIVEAFRMRDEAKAEAVNRKNSKPKATSSTIIQEGVDPEFLASMVRDKMKGDVNLLDAKISKVDETLISFQAEIIESLKEIASKIDAIVVKMTLIGEDQPSQYYVSAVNAGTQTTEDISTIINNAVIDTTQITLRSVTLIKRGSDVVLRDRIHKELGNK >A05p015700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6970375:6981145:1 gene:A05p015700.1_BraROA transcript:A05p015700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREDLETILAYLPLVIQDSSLSWPSSHLVEVLEALTKGPSHSRVDSGQTLSDCISDMRQSLSLTSHLSYSALQGYALFFDEKMSKEESSRWFNEVLPAMACLLLRFPSLLELHYLNSDNIINGVETGLRVLGSNKAGIVFLSQELIGALLSCSFFCLFPVDDRSSNSLPNINFDKLFGSLISTGRNEHQENKIRCIAHYFQRISSCIPPGFVSFERKILSSVQDHSGLEAFPDEGFWSTSTVNLCPVEVHTCGLIEDQSVEALEVDFANKYLGGGALRKGCVQEEIRFMINPELIAGMLFLPAMEVSEAIEVVGAERFSHYTGHKGKRCFRRRKTRIVAIDALRHPGISQYKPECLLREANKALCGFLHVCKKDCIDHEGGVGVATGNWGCGAYGGDPEVKSLLQWIAVSQARRPFMSYYTFGFEALHNLNQVTELVISKGWRVGDVWKKLVEYSNQRLRSSKKRREPKAGLELHWMGDGPAGTKEAANSAIWWFSRRTVLMTVPDSGATRPPVALIYHIFCFSLSFYTVFGRRNQTIELETCDLILCKRRRLHLSHHREEPPEPLFYFIYTCFIQSLSDLILMFVIASQSKRQFRCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTKGNSSDAQKIDELTAKVDQLLKNNQGHVFSMEQPTAGHIQNQNQRQPQSNPHAVPATGNSQPDELKGLGMMMQQLLQGQQVQAKALNQVTTEIDTRMGNMFTELNNKYDNLAIHIRKIDVQLAQTVESVKRQQETLPGRTDKNSRTEHCNAIEQPFAETASGAEERAEQSASSGVTAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYIKALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSGSCVNLVSKAILKVVPEKEHGDKGESRLGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVEKMIIPYSTQLTCALKENVRTRQVSVLITIHTYQFGLLCVLVDAVVETDLVSSLQVYVFPEIIFTKAGKTLYREKCIKTADELSKIMAFLLLFIVWRLKSRDFALSTISLS >A04p010820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7008895:7010579:-1 gene:A04p010820.1_BraROA transcript:A04p010820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 83A1 [Source:Projected from Arabidopsis thaliana (AT4G13770) UniProtKB/Swiss-Prot;Acc:P48421] MNAMISIYIKKLCSFHSTVLRMEDIIIGVVALAAVLLFFLSQKSKTKRYKLPPGPRGLPVIGNLHQLSQVNPQRFFYGWAKKYGPIFSYKIGSQTMVVISSAELTKELLKTQDANFANRPQHRGHEFITYGRSDMAMNHYTPYYREMRKMGMNHLFSPTRVATFKHVREEEASTMMAKVEKAAERAEPVDISELMLTFTNSVVCRQAFGKKYNEDGEEMKRFIKILYGTQSVLGKIFFSDFFPFFGFLDDWTGLTAYMKECFERQDTYIQEIIDETLDPNRAKPETESMIDLLMEIYKDQPFASKFTIENVKGVILNIVVAGTDTAAAAVVWGMTYLMKYPQVMKKAQAEVREYAREKGSTFITEDDVKNLPYFRALVKETLRIEPVIPLLIPRACIQDTKIAGYDIPAGTTINVNAWAVSRDEKEWGPNPDEFKPERFLEKDVDFKGTDYEFIPFGSGRRMCPGMRLGAAMLEVPFANLLLNFNFKLPNGIKPEEINMDVMTGLAMHKSDHLKLVPEKVSK >A06p045080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24206037:24207438:-1 gene:A06p045080.1_BraROA transcript:A06p045080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEKTLNPIYIVPEDLLEEIFLRLPLKSILRFKAVSKEWRSIMESRSFAERRMKAEKKNPKILAVGDHRTESRFTLDAGEIEVVCLRGDAAKRPSLTCEGLVCIPVPGCVNILNPSTGEYISFPSGMDPVTRRFDYIFFAAPWWNIFPGYWAMGFGKDEVNGNYKVVRMFFDPTFYCEILDVSIGEWRIVKPPPYRVDPRRKSVCVNGSIYWLEMLDGDSILALDLHTEEFSDVPVPPESSDLDQLVNLQNRLAIATPDTIPVWRLVLWTLDAQQKTWSMTYTINLRIRDPEPSRVWFRPLAVCKEGIFFFCDNKKRLFKYYPKTNELSCISSDICVISDFAENLVSLRPSSPARTSEYLSGFHYEYDAQDDVQGSQLIEKFRWIKKRIPSILITTTVVSAVFFRYFSVSCGSRH >A04g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10593192:10593724:1 gene:A04g504900.1_BraROA transcript:A04g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVPNGVVGSPAFTAYDQVSGITQSTRHARDDIPAMIEKIQKIKTSEFRLYQPNVEEVVHEEQPYKLYVIYELWKAMLL >A08p032620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19711716:19714905:-1 gene:A08p032620.1_BraROA transcript:A08p032620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESFLVSLGTSAIIFVVLMLLFTWLSRRPGNVSVYYPNRILKGMDPWEGSSLTRNPFAWIREAFTSTEQDVVKLSGVDTAVYFVFLSTVLGIFALSALLLLPTLLPLSATDNSLKTSRNVTDTTSNGTFSQLDNLSMANITRRSSRLWAFLGAVYWVSVVTYFMLWKAYKHVAALRAEALMSSEEVLPEQYAILVRDIPSPPNGETQKEFVDSYFREIYPETFYRSLVVTENSKINKIWENLEGYKKKLARAEAVFAATSNRPTNKTGLLGLVGERVDSIDYYTKLINESVAKLEAEQRTVLAEKQQTAAVVFFTDRVTAALAAQSLHCQMVDKWTVTEAPEPRQLIWENLKIKFFSRIVRQYLIYFLVAITILFYMIPIAFVSAITTLGNLQKALPFIKPIVEIAFIRTILQSYLPQIALIVFLAMLPKFLMFLSKSEGIPSQSHAIRAASGKYFYFSVLNVFIGVTLAGSLFDNLKALEKKPNSIVTVLATSLPKNATFFLTYVALKFFVGYGLELSRIIPLIIFHLKKKYLCKTEAEVKEAWYPGDLSYATRVPSDMLILTITFCYSVIAPLILVFGVIYFGLGWLILRNQALKVYVPSYESYGRMWPHIHTRILAALFLFQLVMFGYLGAKLFVWATLLVPLIFISLIFGYVCRQKFYKGFEHTALEVACHELKQRPDLEEVFRAYIPHSLSTHKGDDHQFKGAMSRYQDYAAISAA >A09p052550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45976675:45979250:1 gene:A09p052550.1_BraROA transcript:A09p052550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARL1 [Source:Projected from Arabidopsis thaliana (AT1G24120) UniProtKB/TrEMBL;Acc:A0A384KYZ6] MAANRSKSEKKDAEKQLRRDPYEVLGVLKNSTDQEIKSAYRKLALKYHPDKTANDPVAADMFKEVTFSYNILSDPEKRRQYDTAGFEAVEAEGQELELDLSSLGAVNTVFAALFSKLGVPIKTSVSATILEEALNGRVSVDPLLLGQAVTKKVEKQCAHFYAVTVTEEEVSSGLVCRVESSSKSKFKLLYFDQEANSGLSLALQEDSKRTGKITSAGMYFLGFPVYRLDHTNNSMAQAKDPETAFFKKLDGFQQCELTELKAGTHVFAVYGDNFFKSVNYTIQVLCAASFTQEKEDLRSVEAQILTKRAELAKFETEYREVLVQFTDMTSRYAQEMQSIDELLKQRNEIHSAYTTAPVIKRSSSKNRLNRLRRNSFKKAAAEAPAPTEQEEEEDEEEEEESSRERNKKPSPSDKSETLKKKSKWYKLHLKLDKKKPC >A01g506820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19989801:19992418:-1 gene:A01g506820.1_BraROA transcript:A01g506820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVLVALAAAIGNMLQGWDNATIAGAVIYIKKEFHLEKEPKIEGLIVAMSLIGATMITTFSGPVSDRVGRRSMLILSSLLYFLSSIVMFWSPNVYVLLFARLLDGFGIGLAVTLVPIYISETAPSEIRGLLNTFPQFCGSGGMFLSYCLVFGMSLQESPSWRLMLGVLSIPSILYFVLAAFFLPESPRWLVSKGRMEEARQETETGQELPRKDQIKLYGPEDGQSWMAKPVKGQSSLALASRHGSMLTRGGSMMDPVVTLFGSIHEKLPYENMNASSSRSMVFPNMGSILGLMGRQESQWDPERNSDDSSEQDESLNSPLLSPQATETDEFNQQPPGTMHRRQSSLFMANMGEKATATSIGGGWQLAWKYNEKVGANGKRVNGGLQRMYIHEETANNNNASNMGFSRRGSLLSFQTEADVPAQENGYVQASALVSQASMIPGIKGETAMLPQEIKAGPGWRELKEPGVKRALIVGVGLQILQQFAGINGVMYYTPQILEETGVSSLLTNLGISAESASLLISSLTTLFMLPCILVSMRSLMLSTIPILILSLITLVIGSLVKLGGTANALISTASVMVYLSCFVMGFGAIPNILCSEIFPTSVRGLCITICALTFWICDIIVTYTLPVMLKSLGLAGVFGIYAFVCAVAWVFVYLKVPETKGMPLEVISEFFSVGAKHQDASASFLSDDD >A02g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3178838:3179586:1 gene:A02g501020.1_BraROA transcript:A02g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYLDTVKSCQNLETPDAAELISAMAAGWNAKLIVETLSYGDPIASSVGLNVASQYTNAKHICIVQNTLSESAYLQAIQEASSPLNLPETIVAEEPQSVMKEIQGIDFLVVDWRNKEFAAGALRNAAFGSRGAVVVCRNGSSGFCWTKTLRDRKVVRTVTLPVTGGIEIAHVAARNSEKSENKKRRWITHVDQRSGEEHVFSI >A10g503370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:8723796:8724284:-1 gene:A10g503370.1_BraROA transcript:A10g503370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDMLSFPWGLIMPSQLLKTCYAKNNPNTIYVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTQTIFYGDAQYFRRIPRATVDRVGKLVRDAKWGWGLWHLIDSVL >A04p030940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18347012:18350755:-1 gene:A04p030940.1_BraROA transcript:A04p030940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKTEPKPMFGGVLFLLTLLVWTSECLNRDGQFLLELKNRGFQDSFNRLRNWNGIDETPCNWIGVNCSNNLVVTSLDLSSMNLTGVLAPSIGGLVNLVYLNLAYNELTGDIPREIGNCSNLEVMFLNNNQFGGSIPVEIKNLSALRSFNICNNKLSGPLPEEIGDLHNLEELVAYTNNLTGPLPRSIGRLTKLTTFRAGQNEFSGELPNEIGQCLNLKLLGLAQNLISGELPKEIGKLVKLQEVILWQNKFSGSIPKEIGNLTRLEILALYVNSFVGPIPSEIGNMKSLKKLYLYQNQLNGTIPREIGNLTRAMEIDFSENMLTGEIPVELSKISELKLLYLFQNKLTGTIPNELSDLMNLVKLDLSINSLTGPIPSGFQNLTSMRQLQLFHNSLSGVIPQGLGMYSPLWVVDFSENQLSGKIPPSICNQSNLILLNLGSNRIFGEIPPGVLTCKPLQQLRVVGNRLTGRFPTDLCKLVNLSAIELDQNWFSGPLPAKIEICQKLQRLHLAANRFSSSLPKEISKLSNLVTFNVSSNSLTGPIPSEISNCKMLQRLDLSRNSFIGHLPCELGSLHQLEILRLNDNRLSGNIPFTIGNLTHLTELQMGGNLFSGSIPPQLGSLSSLQIAMNLSYNDFSGQIPPELGNLYLLMYLSLNNNHLSGEIPTTFENLSSLLGCNFSYNNLTGPLPLTPLFQNMTLSSFLGDKGLCGGHLRSCDSNLSSWSNLSPLRSGSARRRRIIVILSSIVGGISLFLIAIVVHFLRQHPVEATKPPYVRDKEPFFEESDIYFVPKERFTVKDILEATKGFHESYIIGKGACGTVYKAVMPSGKTIAVKKLGSNREGGNNNNTDNSFRAEILTLGKIRHRNIVRLYSFCYHQGSNSNLLLYEYMSRGSLGEILHGGKSYGLDWPTRFGIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPVSKSVSAVAGSYGYIAPEYAYTMKVTEKCDIYSFGVVLLELLTGKTPVQPIDQGGDLATWTRNHIRDHSLTSEILDPYLTKVEDDVILAHMITVTKIAVLCTKASPSDRPTMREVVLMLIESGERAGKVIVSATCGDLPPPVQSC >A03g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17197970:17203989:1 gene:A03g504790.1_BraROA transcript:A03g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLLLFPYFILFLILSDFAYSQTLPKQEVDALRAVATALKKSNWKFNVNPCDLTSSDGGWRNPNAGKGFEDAVTCNCSSTVCHVTSIVLKAQDLQGSLPKELAGLPFLQEIDLSRNYLNGSIPPEWGTLPLVNISLLGNRISGPIPKEIGNITTLTSLVLEFNQISGKLPPELGNLQKIERILLSSNYLTGDIPSTFSKLTTLTDFRISDNQFIGVIPDFIKNWTELGKLVIQASGLVGTIPSTIGPLGKLTDLRISDLNGPGSPFPPLQNMTSLKTLILRNSNLTGELPAYLGSITTLKLLDLSFNKLSGPIPATYSALSNVDNIYFTSNMLTGEVPSWMVDKGDKIDLTYNNFSKDPRTAECQKNSVNMFSSTSPLVANNYSNVSCLSNYICPKTLYGLHINCGGNELTINGTKYDADTSDRPIFYDSRNGWVSSNTGNFLDDDRSPKEVTLWTNKSELKIAEPRLYTHARLSAISLTYYALCLGEGNYTGKLEVKDFDIVNEAKGVGRAVVKSFQVMITNGKLEIRLFWAGKGTQAIPSRGVYGSLISAVSVDPNFIPPKEAGTGTGVGSSIGIVVGAVVASTVFLVLLIGGILWWRGCLRPKSQIEKDFKNLDFQISSFSLKQIKVATDNFDPANKIGEGGFGPVHKGKLTDGTVIAVKQLSSKSKQGNREFLNEIGMISALQHPHLVKLYGCCVEGGQLLLVYEYLENNSLARALFGPQETQIRLDWPTRQNICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNPKISDFGLAKLDEDENTHMSTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGRSNTSAQSKAETFYLLDWVHVLREQNKLMEVVDPRLGTDYNREEAKTMIHIGILCTSQVPSDRPSMSTVVSMLEGHSTVDVEKLLEASFNRGNEKDEESVRAMKKHYAMIGGEVMTNVTDQTTTTDGPFTSSSTSTANAGDLYPVKLDSAYWNSRV >A04g503010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6669021:6672670:1 gene:A04g503010.1_BraROA transcript:A04g503010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDAAASCLTDKETGITRRAVADLQAQIESLTAAVAALSTQHATPVFRQERNNQTAIDDEFEEYKNPLSRLRCQPLIRNSNNNDSYFDNKYEDLDIKVYDTRDNDNSYVVQLGGPIFDVSDTEEEGENFSEQNFDPIFDLSDQDDTENFSTHDMANEHVRRRRDEPSYSGKIYAEEIPTFSNEAFVKSNGIIPDFNLKDTSPLCQTMKKTVGQSDNYFWKSSRSNQREDHMDVPKPELIPICDKRAGNTFLDLHKKHMNYGAWRNNFNRHINREPPDRINLSQRQGCVRQQWSTGSMSAILKTQRCLIGEEFVSILQQCVVTPVKQRNDQYWHLHRAHMRLLKKEEVEDCNDEKVGGDVYPTSDEDSSEQTIISLGLEIPLHHSLESILILNKVFLASIHVEIHKNELYMATLVSGRSLHAGESHSYVNVLFERNTTFLACWTNVIMVNWNINESSDSYFKNLIKENHAEVLTAIYDISFLRRLVSSLGSVLVYAFTGGEDSMFLWPLLAPTTSIRILGFSYNITVVFITRILSFLRMHILPLRFRKGSLESVVYWQVRHCGFHKLRIWDVDKLLKNLNDISTTATWRSYVTCVSSKYLWEAYSCVILFHCNTIFLPLPSWIDFSTLLGAISQEVFPFQDPWNKVFQLLANYHLFHVKLRGLVQPPYIILWSLLKILLRSINGEINNHCQFLDFKTCEKIFEDVRRYACGVEWDWHFTKTDSFDLLLVNMQLGVVQSSRFFVDSFSHDVNQVGCSMIYLISIQEEFTLKHRLWRMTVFFPLNLLLLGLCFDVNVGKLGVMRTTSFRFSYDDLMEIGVWCGEWFFRWVWPPSYLRGLVLMGIVDLYGAILPTRDFIQKVIIKEYLQLRDRMHFVVLFPREKTVMCFVNQCDKVLGAKVLEHLEFWVSVHDLHSTRRTRFLHSTSYVIWLEQDECTCDQAPVTLSWKIKRTYEIVG >A06g503710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11626550:11634030:-1 gene:A06g503710.1_BraROA transcript:A06g503710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNRPRTSSSMEIRPRTSQARSIRGDQACTQLGRYVATELSQARSLRSNRARAKARSLRSDRAIVPLGRYVATERSSRSVVTKRPGSSQSSVAPVNHSLVYAWSTRKDKCQFPYINGNRQCEFRFPQSRRSDRAGRSLATSGTIARSLRSDRAGRSLGRYVATERDDRSVARSDELAERSLRSDRADDRSVATDRALARARSLRSDRASLGFGCCIATGWRVCVVTELGLFGLNPKGYFFVKTSYWLFLRKLHLFFYYLFRKYDIRGFSGGNSVVTVFDPNKCLEGFRQTLGLLSSITEPSVTDAVRFSERSSSWHTPLTLPRPTHTGWLCVTRRNRLPSHGFPLGLFRKGSLGDRAGSRTHMEVLKGFPQDGCGWKSYFFYVRLDQASVAVECLPSFRRLWETGVHNPIPPFPEDLCIVRDLLRGGPLFWGHFSPERVRAAVEAHRFRFSSSIDNVMEASFEDTSLSTVYAAGRSSDRGSLDAEEDGEPTISCVEMFETRALGLGQDLGLLSVKVCAVTSRLSFSLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKHCPRILTFATSRSYFEDYTCIFACLKGDNLYDFWAGRGRRQESYIFRRVLKLRGQSCSQDFAIGRGVSSGLVELSEGVFVIPLIASPCVARGPALIRTDRIVMRPLEIFPLVMDVLLVTRFLLFVPIGDFFFFRRWFFERGAFPSGSASGPSWMSVYILVGVVGDIARIQVNVFGFVILRVLCRGRKIFRVPLFDGRFLARVLTGRSFPRESCSIEWGGEVEPLPADFGGSAGTDSLGSCRIHELILFFRPFLIGGEHLFKLLERRGVGLRVGRGYVRYWSVEIGAAASIKRSLHVIRVRQTVGTEIHTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLILNLRMSVTTSSPPCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSAET >A02p025840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13565649:13567648:-1 gene:A02p025840.1_BraROA transcript:A02p025840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSWKNICLPISCINNTNKKTKTTNPSKEKLLLLSRQTSVRSRVSLSDFSSSTISLNDFSNSFLIDIHIFTYEELKTITQGFSKHNYLGEGGFGEVYKGFVDDSLKTGLKSQPVAVKALKQEGGQGHREWLYGGALPWLTRVKILIGAAKGLEFLHKEEKPVIYRDFKPSNILLSSDYSSKLSDFGLATDGSEAEDSNFTKSVMGTEGYAAPEYISAGHLTTMSDVFSFGVVLLEMLTARKAVEKYRSQRGRKLVEWARPMLKDNNKLEQIIDPSLEGRYSFEGIRKAAELAYQCLSHNPKSRPTMTTVVKTLEPILDLKDIQNGPFVYIVQVVGSNEVHDVKCKDDVKVVKEEAKVCPKHQGGRKKRRKHKAMRSRTVYSDTTLYKSLGTSLYTQGGVE >A05p046530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27621252:27623206:-1 gene:A05p046530.1_BraROA transcript:A05p046530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEENKGSISMVEAKLPPGFRFHPRDDELVCDYLVKRNVRSRYQPVVLIEVDLNKCEPWDIPLINCTESARVGGKEWYFYSQKDRKYATGQRTNRATATGYWKATGKDRAIQRNGSLVGMRKTLVFYRGRAPKGRKTDWVMHEFRLQGTFIHHSPKEEWVLCRVFNKNNNEDEKGNNMISCSDETASASMDSYINFDHHHHIINQQVPCFFNLSQNQIGLVYKNPNPLSINPSSDQMVLKALLSQLTNNTKESQSYGEGSSESQLTDVGKPSRGAWKY >A03p010050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4011064:4011435:1 gene:A03p010050.1_BraROA transcript:A03p010050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPYYGSSYLDYLSLPNPHLCFFFMVVFFVFSFTWYLNYESILEDTMNNLKFFIILSPLFLLLLVHFFSGGLSLYVPLPEQDSIHHAGSSPWGVAAVLVVILFMVSYQSDIHEMWFPFGAK >A10g502950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7896913:7898106:-1 gene:A10g502950.1_BraROA transcript:A10g502950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANACKEDIRVLENGNDVGREIGVRKVFEEINSEFREFLIFGPFAVFALKWGDFCSRISLEGYLLYQKRKKFAQDNFSISYILKLLDHDDGSNMSSDSKFLILILMMSLTSCNSARRKIVTSAYLKSIEKLAETENLDAKKLVKKLSMDRLRCMLGGIWHS >A07p014970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9274299:9279452:-1 gene:A07p014970.1_BraROA transcript:A07p014970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANKLMMMSQSRHFSSLLTVFIFICLVCTVSASPSLHPDEVKALKDIASTLGVKHLNLSEDPCLTKTLVITQDVLKEGHNSTIRCDCHFNNNKTCHITHFILKKFSLPGRLPPELSKLHYLESIDLCLNYLYGSIPMEWASLPYLTSISVCANQLSGDIPKGLGKFINLTQLILEANQFSGIIPKELGNLVNLEGLALSSNQLVGSVPETLGRLKNLKNLRFSDNQLNGSIPQFIGDLSKLKRLELYASGLREPIPDSIFRLENLIDLRISDTTAGLGQFPNIISKNLKYLVLRNLNLTGPIPTTIWDLPSQSHGFVSFIDLSFNRLTGEIPANAVAPKYTYLAGNLLSGKIESGPFLTASTNIDLSYNNFTWSPSCREKTNVNTYESSQSRNRLTRLLPCSAISQCQNYSKSLHINCGGPDVTIENSRGRFLYEGDNYGLTGSAMNYHGKNWGFSNTGDFMDDAITEDAYSISSESVVWFTLLSVSSVSAKYPELYQTARRSPLSLAYFAFCFENGSYNVKLHFAEIQFSDEEPYARLAKRFFNIYVQANGTHKEVIKEANTTVTDNTLEIRLYWAGKGTTIIPKRGNYGSLISAISVCPSSESECGVEVTTSPVTKEHKPRTYPLILGIAALILSLVFMIFGAFYWKKCVRNADAGKRGSFSLKQLKVATDNFDPLNKIGEGGFGSVYKGRLPDGTLIAVKKLSSKSCQGNKEFVNEIGMIACLQHPNLVKLYGCCCENNQLLLVYEYLENNCLADALFGRSGLKLEWGTRHKICVGIARGLAFLHEDSAVKIIHRDIKGTNVLLDKDLNSKISDFGLARLHEDEKSHITTRVAGTIGYMAPEYAMRGHLTEKADVYSFGVVAMEIVSGKSNANYTPDNECCVGLLDWAFVLQKKGAFSEILDPKLEGVFGGMEAERMIKVSLLCSNKSPTLRPTMSEVVKMLEGETEIEQIISDPGVYGDELRFKQSSEIVTIPSSCESAYDLYPFSPESIAVSRQ >A06p053700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28262722:28264237:1 gene:A06p053700.1_BraROA transcript:A06p053700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGSFTYSLSHLFSVSLLVFIFHCLCFRFSFVAACSNSTEEQHHHHRKWVGPSGHKVITVSLDGHSQFRSVQDAVESIPKNNNMSIVIKIAPGFYREKVVVPATKPYITFKGAGRDVTVIEWHDRASDRGPDGQQLRTYQTASVTVFANYFSARNITFTNTAPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIASRFGSIAAHGRTCPEEKTGFTFVGCRVTGTGPLYVGRAMGQYSRIVYAYTYFDALVAHGGWDDWDHKSNKSKTAFFGVYNCYGPGAAATTGVSWARALDYESAHPFIAKSFVNGRHWIAPRDV >A09p076630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57488928:57489634:-1 gene:A09p076630.1_BraROA transcript:A09p076630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMFLNFVILSFLIGVAFNLANGADVNHQGQCDSDLECYTMRSCQRGLGYCDQKDGKCKCPKLQAVDTNGADVNHQGQCDSDLECYTMRSCQRGPGYCDQKDGKCKCPK >A09p018120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9433876:9434443:1 gene:A09p018120.1_BraROA transcript:A09p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCCLHQRRRLTTLPNRHLSGTIVQNSDGRFRSDRSSDSDTLISDSLKFRRREKKYPLS >A06g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5274353:5274849:1 gene:A06g501410.1_BraROA transcript:A06g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPRCHFDRHVSSSLEFVSGYSSAKRIFSYQTRAHPPADLHAAAAWILSSSNRISREEVTLLKLIFQSTIYLVWKERNARIFTSVSTSSSGIHLALDRLLRDRLLSFPASPPAGPSLLSLYFASYRPP >A01g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6608485:6608903:1 gene:A01g501830.1_BraROA transcript:A01g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIELQKLIEGNPLFDVKLPFPSFKVSQLRTLINQRLYKVLNILEFNSTRQIMPIIVHDKDGKL >A02p025930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13632912:13636852:1 gene:A02p025930.1_BraROA transcript:A02p025930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTDIQTNEEVAIKLENVKTKHPQLLYESKLYKVLQGGTGVPNIKWYGVEGEYNVLVIDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHQRSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSNHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLKGSLPWQGLKAGNKKQKYERISEKKVSTSIESLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQLSTPPRPNVPGVGQSSGLPPAITSAERPSGGEEARTSSGWPSGNPRRISGQIFNSGNLAKQKAPVSNDPAISKDVMLSSSSFLRATGSSRRAAVSGSREAAVPGTDSEPSNPQITEAGTSSNPKIHGGRSSPIVSSENKKLSSPSRGNTSVMKNYESNLIGIEGLHF >A09g518120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55271290:55275643:-1 gene:A09g518120.1_BraROA transcript:A09g518120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRNLALSRASLVVHTLKDTIPTPLVVTPIIPIESQPQPGWGLFPDDAKDDSVLYLEQLIAGQHSFNKHMWHGGVTSEPIIKKPKIRVKKKAATIKQSLQTSQPSARKQRRISSYFTRSTTQSFTNVQLTEMVIQLSTQVKQLKREMKRRKKRSHARPSSFNKLLSRRKQSKTPPHTPEPSQNQDDAPMETDELPQTTSPIISQYEAQLHRDSADDHLASSPVTDNGIHTESVHVSPNHNNTSAHTSPVHNVHPSQPSPVYATPPLSHLSLASSHTSDHFIHFQSVHVSPNHTNACVHTSPDHNDYSRQVSPVFNQTPQPSQVITHPNDDTDDYDEPPRTPVSKQPPWDELNSVVYDKSDHPNSPKINHILYHGVRIYDPINPDPPIFDSSIPRSLLLLSPQPKTILTSPTKSNDTLPGFAVHATTVNAFTATASSESPPSLPSKVTESPPSLPFKDQNALGVVDLTATKDVESHVPSLEENHLANELFKSPLIPAVTLISPLPGLEWDLFYNTVSTKTDVYHSTPSSFDFSNKFLLDLAKPKQWTSTRIDEILLEMKKIFHDQNILLEELRNKSTLVLDAKSHSPLLNVAAAAIALGTLAWLYAKITSI >A03p049010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20946655:20948505:1 gene:A03p049010.1_BraROA transcript:A03p049010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESIRLHYYLSSPFNIAVYASQNLRKGEKLILSSVLIPKILDDLIISLLFRENRTRFYTGLGHNLICTWKLHRFDNGQSKESLMLLEHTADILKFQDAQICTRLLVIRFIPQTTIDEVIDNAPVINLQTFILRRFDQLQALRNIDLELPVQGSDLKDAEAMPRVVVRFIVEPTVVVYLCLWDDAAEMFKGLINQVIEPSLSWWSVVTTVNPKIFGGNLYINSTPAAKFYFDTNLPAIAEFTARKSSLRSFPLYRYQGWDKKKELVSIRDLNKFISNSDEQTQEADFICKARVVEVLQQNGWSFFSCTGCSRKLDKSGSQDF >A03p012750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5061497:5063944:1 gene:A03p012750.1_BraROA transcript:A03p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 68 [Source:Projected from Arabidopsis thaliana (AT5G58400) UniProtKB/Swiss-Prot;Acc:Q9LVL1] MEYNKQRTMLIAVLLIIMWSCCYSHAQLSSDFYKESCPSLFYAVRREVQRAVTRERRMAASLLRLFFHDCFVNGCDGSILLDDTSSSMGEKTAGPNNNSVRGFDVVDKIKSRVERLCPGVVSCADILAIIARDSVLLLDGPGWSVKLGRRDSTTASFTTANSGVIPPPTSTLDNLINRFRAQGLSSRDMVALSGAHTIGQARCVTFRDRIYNESNNIELSFALSRQRSCSAASGSSDNNEATLDIHSPGRFDLNYYRQLLNHRGLLTSDQVLYSGGSTDSLVVSYSRSLNAFYRDFVRGMVKMGDIKPLTGSSAETSKEEKKTLVRTPRKVERSAHVFIRDLRFIPIRSLVSGNSLPRENRRFHN >A10p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13039784:13040935:1 gene:A10p018750.1_BraROA transcript:A10p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSADSAREPLLPKEQLDPMNTTKSRTTSSSKRRLRRSRSAPRGDCTYDDNDVKTDEPPLHPSQLFNLNPNLRRVIMFLALYLTIGTLCFYLVRNQISGHKTNGVLDAVYFCVVTMTTVGYGDLVPSSSASRLLACAFVFSGMVLVGHLLSRAADYLVEKQESLLVRAFHLRQSSGGPLDVLKELQTNKLRYKCYVTFIVFVVLFIAGTVFLVTFEKMPVIEAFYCVCSTVTTLGYGDRSFNSGTGRLFAVIWILTSTICLAQFLLYVAELNAETKQRELVKWVLTRRITNNDLEAADLDEDRVVEAAEFIVYKLKEMGKIDEKDVAGIMEEFENLDYDESGNLTNSDIVLAQTQR >A04g503120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6809719:6812969:-1 gene:A04g503120.1_BraROA transcript:A04g503120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVISSSPFVCKSPAKGQKDLGFSSFRKPSQISIHGCQRKSISRKIVSVMAPQRSSSTSGSVKTGMTMTEKILAKAAEKSQVVPGDNIWVNVDVLMTHDVCGPGAFGIFKREFGDNAKVVLNLAIKILSLLAFSLIVIVTSTLKVWDSEKIVVIPDHYIFTTDKRANRNVDIMREHCREQNIKYFYDITDLGDFRANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTMRFILDGEMPSYLQAKDLILQIIGEISVAGATYKTMEFSGTTIESLTMEERMTLCNMVVEAGGKNGVIPPDATTFNYVENRTSVPFQPVYSDANASFVADYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKLFHAAGKQVKVPTFLVPATQKVWMDVYALPVPGAGGKTCAQIFEEAGCDTPTSPSCGACLGGPADTYARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVTDPREFLQ >A03p044240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18471784:18475357:1 gene:A03p044240.1_BraROA transcript:A03p044240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLPPLAAAQIDAKTKVDEKVDYSNLPCPVSYDELNREAIMSLKADTFEGFRFDFAKGLNQKFSLCHSVMMGPTEVPSQSPDTTIKIPTAHYEFGANYYDPKLMLVGRVMTDGRVNARVKADLTDRLILKATGQLTNEPHMSHAMFNFDYMGSDYRAQLQLGNGALVGATYIQSVTPRLSLGGEVFWAGVPRKSGMGYAARYETDKMVATAQVASTGTIGMNYVQKISDKVSLATDFAYNYLSRDVVASVGYDYILRQSRVRGKIDSNGVASALLEERLSMGLNFILSAELDHKKKDYKFGFGLTVG >A10g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11852354:11855772:1 gene:A10g504820.1_BraROA transcript:A10g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSQLSILTHPPLSMKKSIDRLTQPSIDRVSPTYRVRLPSINNDYINALRLPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKIMADQLGLTIEPSTESFTFVDLSEKRSVGIIRDLELGRQWFPDTVITSHHEEHTEEYDEDYWKERAIEMSLQDERLEIHNFTNTFQTSFDAVHSTSVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIRSLTRFTDTYINRFAPPKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDHGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQHGTPDVILTDPNNHAGVTTTETNPDLSRQPKGQASIDGIMETSIDRITPTSIDMDNPTSIDRCYECGSRAFDMYGARKFTWEQRDQYGVYRDERGHARSAAGEMIPVTKDSIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVDETHQPLEAYNELFRCMAEMRTEIDSLRQQLDKEATTSASIDAPCSTSIDVSLPTAQILAEPRCSTQHMDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMTYLNKLSSQLLDAQKDIENITNQSFLQEKSASIDRLRGPWIEGKKPVELLLYTAVEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQLQISASIDRTKEKLIDGNSPRSTNEHIIASIDAESTTIGEQLIHKTVESMQKELTDLSAHAYDNIG >A07p008300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4877828:4882638:-1 gene:A07p008300.1_BraROA transcript:A07p008300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-like protein [Source:Projected from Arabidopsis thaliana (AT2G14680) UniProtKB/TrEMBL;Acc:F4IGF6] MDHNLDENESLRARVIQLEHERNELQKDIEQLCMQQAGPSILGVATRMHFQRTASLEQEIEALKMKLANCSREKHNLQEELAEAYRVKAQLADLHAGEVAKNMEAEKQVRFFQGSVAAAFSERDQSVMEAEKAKEKAERMSEKLSEIEMRLEELSSDCLVQKRLNDTLQADVAKLEEQTKVYAEVIEKFYDIRKASLCESLEVNLHDKCASLLDDPKESWTFNDPSTSEYVAALEGELGKVKKTVDNLKSKLRVGLEIENHLKKRVHALEKKNVDGLIVDGIADVRHHHSQLRDYIINLLNEEGLYIKSIFEDLEEKLKLRSSEIQNVVPLQHDLKPDESECRDVHITTAVDSCQHEEPSFGKITAESRADASEALAQALQEKLQEPIFTKIAAESRADASEAFAQALQEKVGALLLLSQQEERYLHEENINAALQRKVDELQRNVLQVTNEKVRTLMELARLRQEYQSLKEYDTIFKMSGTRDGEATEKSGGMVMSNEKEGRLKNMWKKSYINRWIDPSSREGGSHSNTEADYAGNIDYARMKVEYAALKESLESMGHLTTSIRRLRLALLKVKETNDTETAARTCEVAISNILVEATHLKTALGISIPISWSAESDMESVADGESSCDKTDSVSAAGFEMVELVILAAEILKEQHN >A04p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23034525:23035111:1 gene:A04p040830.1_BraROA transcript:A04p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSQDGVVVALDRDEGKTWSPSHHKGGFDFSNGDQGSLGSFFLNGAQRAFQAALALHDLNGVASVLPYHIDSHITMADYFEFVGEHQVPQMPCLYRLERAWHPMSTPFNGNCRLKFTHETNKPFFTSLFRYMRNMDRPMWLS >A10g506010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16156888:16158513:1 gene:A10g506010.1_BraROA transcript:A10g506010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASPEESPDSTHRIDSLNGEQSVYFVPLRWWKDAQESTTSESVDKREVLYTATTGSSYGGPMKLINNIFNSDILFDLRREGDGLLNGETGEASVSGRDFALVSSDMWLQALKWHHDNKNNEKGVKSFSAGGVDRGQFS >A08p006650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3830871:3831721:1 gene:A08p006650.1_BraROA transcript:A08p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAHICLYFVIFLYLYSEGTFTRVNAEGHHGEWCVAKPATKKEKLQQIIDFACSKVNCAAISNGGACYSPEDLLLHASVAMNNYYQAEGRHFWNCNFAGSGIIAITDPSTGNCKYQLKK >A03p051130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20658076:20659223:1 gene:A03p051130.1_BraROA transcript:A03p051130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase 10 [Source:Projected from Arabidopsis thaliana (AT3G50440) UniProtKB/Swiss-Prot;Acc:Q8S9K8] MQTQHMQQQHHHHFVLVHGSCHGAWCWFKLAGKLKGNGQRVTAIELGGSGIDKRRLDEVRSVSEYLEPLMSFMESLPEEEKVVLVGHSYGGIGTSLAMERFPTKISVGIFISAYMPHHESPPSVLIQEYFKRLPDGFAMDCEFTFEEGPGQPPSSVMFGNSFMKEKAYSNCQSEDLELAMTLVKPSRLYPKEMEGKDLLTKERYGSMKRVFIVCEGDNVVPEEIQRWMISNYEPNEVKVVEEAGHMAMITKPQQLSLLLQEIAAKYN >A08g509500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19029621:19031577:1 gene:A08g509500.1_BraROA transcript:A08g509500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKPPQLSFSAASSPLCSLKGSLLTVAVLTFLSLFYLSLNSLRTPPPSPIVLESTIHVPQTKDEDYSDVYHSPDSFRLNYAEMERKFKIYIYPDGDPNTFFQTPRKVTGKYASEGFFFKNIRESHFRTLDPEEADLFFVPVSPHKMRGNGTSYEDMTVIVRDYVDGLIAKYPYWNRTLGADHFFVTCHDVGVRAFEGSPVMIKNTIRVVCSPSYNVGFVPHKDVALPQVLQPFALPAGGNDVENRTLIILLLNGGVTYRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRINRATGHLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCVPVILSDYYDLPFSDILDWRKFAVVLRERDVYDLKQILKNISQSEFVSLHNNLVKVQKHFQWNTPPVKFDAFHMIMYELWLRHHVIKY >A10p024550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15864469:15872084:1 gene:A10p024550.1_BraROA transcript:A10p024550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMRGLSVFISDIRNCQNKEAERLRVDKELGNIRTCFKNEKVLTPYKKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLKLAINTVRNDIIGRNETFQCLALTLVGNIGGRDFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDAINVDGWADRMTQLLDERDLGVLTSSTSLLVALVSNNHEAYSSCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPSTRKSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALSLVIHLDAEKEMMSQCVALLGKFISVREPNIRYLGLENMTRMLMVTDVQDVIKKHQSQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFSMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAASKAREYLDKIAIHETMVKVSAYILGEYGHLLARQPGCSASELFSILHEKLPTVSTPTIPILLSTYAKLLMHTQPRDPELEKKVWAVFKKYESCIDVEIQQRAVEYFELSKKGAAFMDVLAEMPKFPERQSSLIKKAEVVEDTADQSAIKLRAQQQPSNALVLADPQPVSGAPPPLKIPSEPQSVARSISQPNGTSSNTDPQAPSPDLLSDLLGPLAIEAPPGVVSTEQHGPTGTEGVPDEVDGSAIVPVGEQTNNVEVIGNIAERFHALCLKDSGVLYEDPYIQIGIKAEWRGHHGRLVLFLGNKSTNPLTSVQALILPPAHLKLELSPVPDTIPPRAQVQSPLEVMNIRPSRDVAVLDFSYKLGTIVVGAKLRIPAVLNKFLQPLQLTSEEFFPQWRALSGPPLKLQEVVRGVRPLALPEMANLFNSFRVTICPGLDPNPNNLVASTTFYSETTGAMLCLARIETDPADRTQLRMTVGSGDPTLTFELKEFIKEQLIAIPMGSRALVPAAAPPPPVAQPPSPAALADDPGAMLAGLL >A09p014590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7654970:7655396:-1 gene:A09p014590.1_BraROA transcript:A09p014590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLFLSVLSIAAAAVVATVRLQLARDAVSMNIFWQGPQYMLMGIAERFFYLSLLILTLVAYFTTADGKEGWIPDDLDKGHLDYFFWLLVVLGLVNIPVHVFFSVKYTQKKAAV >A06p055560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29013356:29016363:1 gene:A06p055560.1_BraROA transcript:A06p055560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQSRKWMILVATIWIQAFTGTNFDFSTYSSDLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALLYFPLWTVLFAAATMGFVGYGVQWLVITNVISLPYILVFLCCLLAGLSICWFNTVCFVLCIRNFPANRSLALSLTVSFNGVSAALYTLAYNAINPISTQLYLLLNALIPLIVSFAALIPILRQPPLEPLPPDGVRRDSFMFLLLNILAVLNGVYLLLFGSKTSGVTSARLLFGGSILLLILPLCLPGLVYARNWYLHKVHSSFRLEGSGFILVDVDELEIHKGMVTREASFDGYQLLNDDVVLRTAITPVQKSFIEDENNRSCCSNLIRRNQLGMLGEEHPLFLLLCRSDFWLYYIAYFCGGTIGLVYSNNLGQIAQSLGQSSETTTLVTLYSSFSFFGRLLSATPDYIRAKFYFARTGWLAVALFPTTVALFLLASSGSLSALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALVYESHSTAGSKTGSVICMGRDCYFLTFVWWGCLSVIGLGSSVVLFLRTRRAYQRFEQDRIASSMLYS >A02p040200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25431772:25433690:-1 gene:A02p040200.1_BraROA transcript:A02p040200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase I MNS4 [Source:Projected from Arabidopsis thaliana (AT5G43710) UniProtKB/Swiss-Prot;Acc:Q9FG93] MILMESNKLRWGLCYAIFISLTLSSLVRDLGVSAEGVNPHEAKQLRDEVREMFYHAFDGYMNNAFPLDELRPLSCQGEDTLGGYALTLIDSLDTLALLGDRERFTSSVQWIGKNLQFNINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYDNELLVLAEDLARRMLPAFDTPTGIPYGSITSTAGGGTLTLEFGVLSRLTNDPVFEQVAKNAVRGLWARRSTLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYILFGDEEYLYIFQEAYGSAMHHLHKDPW >A10p025100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16133440:16139927:1 gene:A10p025100.1_BraROA transcript:A10p025100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCGLSKSLGFSSSLKKQQGIVTILGTGDSNIPSNTSSAPSLRRTFSADLSSKNWVSQNGFSPMKRISSSEKLRTFAADSSTSGDEEQEEESRSGFDIWAQIQDDKSKKSEEIELGQSDVWSSILSDKKKVSESSNDTVPPPYVHPLMKRASSLSEKSLEICTESLGSETGCEGFSWHASSETGDAEIEVLNVTVTKEDEETETEVVEIEQEPITVPNHTPCIELPRGSFPPPIRSLSSQSGSALHMKTRRDNGRLVLEAVSMPSHNNFSAKRQDGHLLLAFAEISDEFDIASDEEDETAELQWFEEEEEEEEEVQDEFAYKPNGLQYKLPQNQSGLVTVHRLAHKPMGVPKRNSRWPAADEFETKSDVVHSLPPRPRVAQLARSMKPPSTVDDTVGAACFNTCDYSWKPTNTENLDRNTKHQFQAQNYVHKSIGVGHDGWINVMGDLKVDDDAILKSFLAEVGEVERDNEVVRILSCFKLNPFEHLNLSFDSSTDDVKRQYRKISLMVHPDKCKHPQAQEAFGALAKAQQLLLNDQERDYILTQVHAAKQELKMKRKKQLKKDTASKIKSLVDEGKHEQLYEQSEDFQKELKLKVREILTDQEWRRRKMAMRISEEEGRLKKDEEEQKEIRKKKREHEEQWEGTRENRVSSWRDFMKAGKKAKKGETRPPKLKTEDPNKSYVQRPVKKG >A09p061150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50491183:50492797:1 gene:A09p061150.1_BraROA transcript:A09p061150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGILEVDLISGKGLKRSEFFGKIDPYVEIHYKGQTRKSSVDKDGGRNPTWNEKLKWRAEFPGSGGDYKLIVKVMDHDTFSADDPIGEATIYVKELLEMGVEKGTAELRPTKYNVVDTDLSFVGEILLGVSYSVMQDRGMDGEEFGGWKHSQFD >A09p056090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47827889:47829934:-1 gene:A09p056090.1_BraROA transcript:A09p056090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKQSSKGQAWFCSTGLSSDMEVEVDDMTYHLNKFLLMSKSRKLHQLITEQGQSDEKKKKRHEEEVDGYTPHIRLENFPGGPEIFEMVLKVCYGVKVNLSASTAVLLRCAAEELEMTEEYSPDNLILKTENFLSHSVLSNTQETIAALKACESVSSLAESIGITKQCIDSLFSRASSSADPSLFGWPMNNSTKKHSNGSTLEVLSEDLLELNFPIFKRVVQAIKAKDLSDNIIETSLIRYAKKHIPLITSRSSASSTIASENQQRELLETIISYLPVHNSSATSTTRSLFGLLRSAIILNTSEKCRTVLEKKIGSHLEKATLDDLLIPSYSYLNETLYDIDLVERLISHFLENDAVSFLSSPSLTVVGKLIDGVLCEIASDANLKPERFYSLALTIEGCTHAAQNERLPLRAVVKVLFLEQLQLRTLVTAVTEEDGGETAGEAKVDFGVWEKVVKENQVLRLDMDAMRTRLYHLESEYLSLKEVIAKIDKERLYVANARPRKWSISNKLGCKFKTQVCDSHEAKMVDGRCQRR >A07p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21532251:21534085:-1 gene:A07p040660.1_BraROA transcript:A07p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQATTTTFSFSAPTFRSTPPHALTSKRRLSIKASSSSSPGLSSPPLLSLSRSNLKGRAFASDGSTQESPSVVCFGEMLIDFVPTTSGLSLAQAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVRWHDQTAFPVGEDEFGYMLANILKDNNVNNEGMRFDPGARTALAFVTLTNEGEREFMFYRNPSADMLLEESELDLDLIKKAKIFHYGSISLITEPCKSAHIAAAKAAKEAGVILSYDPNLRLPLWPSADNARDEILSIWDTADIIKISEEEIEFLTKGEDPYDDSVVRKLFHPKLKLLLVTEGPEGCRYYTKDFSGRVHGLKVEVVDTTGAGDAFVAGILSQLACDLSLLQDEERLREALMFANACGALTVKERGAIPALPTKEAVLEALLKAVV >A03p025850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10892124:10892960:1 gene:A03p025850.1_BraROA transcript:A03p025850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGSSFKLDNDYEKRKAEAGRIREKYPDRIPVIVEKAEKSDIPNIDKKKYLVPSDLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGEIMSSVYEEKKDQDGFLYITYSGENTFGASSI >A05p015370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6847555:6848385:1 gene:A05p015370.1_BraROA transcript:A05p015370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable CCR4-associated factor 1 homolog 7 [Source:Projected from Arabidopsis thaliana (AT2G32070) UniProtKB/Swiss-Prot;Acc:Q9SKZ2] MSLFLKDDSIQIREVWHDNLESEMALIRDIVDDFPFVAMDTEFPGIVCRPVGTFKTNTEYHYETLRTNVNLLKMIQLGLTFSDERGNLPTCGPDDKKYCIWQFNFREFDLSSDIFAHDSIELLRQSGIDFDKNKRNGVDSRRFAELLMSSGIVLNENVHWVTFHSGYDFGYLLKLLTCRDLPETQVGFFEMIRVYFPRVYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTSCTFRKLQENFFIGSMEKYSGVLYGLGVENGQSVH >A05g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25946780:25948675:1 gene:A05g508780.1_BraROA transcript:A05g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPVHPTDCLSVLDYLRKFHFFWNPLKNTLVGAEANHNLNSQSELHNRGMLGAQHALDPLTTVKACVNNAGIALIQHGWHPKSFITISREINSRAIEKSSKFRLYHWFLVVSLRNIMAYKTSGWLMESTWINVSLDMELFGSNSSDEQLTGVRILRQFAVNQWYSDETLEKIIINVPVVESLGLLILKKLAKDHDNCGNLGNTRGFLPRIIDFTHVDQVLLWDENADVTRSHVLETVVQLVKMLVSTTENTWKCLRKEISEIVFMVSNRRDVLRHGSSRENWWNGGVLKELFNIFFKTCGDDGNQGCVRITAGRLVEALEVPSIRVNVASL >A01p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16039270:16039627:1 gene:A01p040080.1_BraROA transcript:A01p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin-like protein 5 [Source:Projected from Arabidopsis thaliana (AT1G61070) UniProtKB/Swiss-Prot;Acc:Q9C947] MKLSFRFISAVALLFMLLVATGMGPVTAKARMCETSSQLFNGPCLSTTNCANICQNEGFPDGDCKGFRLRCICNRPC >A01p054990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30804469:30806303:1 gene:A01p054990.1_BraROA transcript:A01p054990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPLVTVQGLDGDMTTDQSTTVVLPDVMTAPVRPDIVNFVHAQISNNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRMFAPTKIWRRWHRRVNVNMKRHAIVSAIAATAVPALVMARGHKIENVPEMPLVVSDSAEAVEKTAAAIKVLKQVGAYDDAEKAKDSIGIRSGVGKMRNRRYISRKGPLVVYGTEGAKIVKAFRNITGVELCHVERLNLLKLAPGGHLGRFVIWTKSAFEKLEGIYGSFEKPSEKKKGYVLPRAKMVNADLARIINSDEVQSVVKPIKKDAKRAVMKKNPLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLAKKRKTVTKEEALAIKAAGKSWYQTMISDSDYTEFDNFTKWLGASQ >A03p037960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15856125:15856736:1 gene:A03p037960.1_BraROA transcript:A03p037960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFSGKIESKGLNPGLIVLLVIGGLLVAFLVGNFVLYTYAQKNLPPRKKKPVSKKKMKKEKLKQGVQVPGE >A08p018750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12881755:12882965:-1 gene:A08p018750.1_BraROA transcript:A08p018750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPLSAIPPSRDGFYAINNQFLANGPKGFQELKTLENEDMFIRVDFPGVPQDGMKVVVDDTKTAVTVFALAPKEHKHDSSPRNYIYTTGLVCKCCEISAVAAHMSDGVLRLLLSKTQIATQRPPFLGVPDSEQFVVKDHAWTGSHKVTYGTDPHDPVLTGRVLQPHPWVKQGSLMSYESKQLQNGCLYVRVDMPGVPKERFTVSVKSGMVMVTGDAPAVSHDSGGRFYSGEVAMLSNPIDIPIRQIKIISKNGVIRLIIPPA >A01g501450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5457185:5457809:1 gene:A01g501450.1_BraROA transcript:A01g501450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLCTTRLLQPLFLCHNQCRFRTLLSRPSACKDFRILHQEVNGSKPVLRQCCNFSELLWDADPEATMVQFSFGDCLGALIDRVIINLSLGGIS >A07g504090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8035151:8036055:1 gene:A07g504090.1_BraROA transcript:A07g504090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMIINLSIVTDVAREKAYRLKPRLLGKVSMRPAYRSSKKVLVNDKLEAEVKKNRRMISLKETLL >A06p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:688355:689875:1 gene:A06p001570.1_BraROA transcript:A06p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTIENAAVHGAAVMVYIIMIVVIFILIYIVCKRRSLRSKTHVEGEIKSLDPMINSFSLRQIKAATNNFDTANRIGEGGFGPVHKGKLPDGTIIAVKQLSTGSKQGNREFLNEIGMITALHHPNLVKLYGCCVEGDQLLLVYEYVENNCLARALFGPQETQLRLDWPTRRKICIGVARGLAYLHEESRIKIVHRDIKATNVLLDKEMNSKISDFGLAKLNEDDNTHISTRVAGTFGYMAPEYAMRGHLTDKVDVYSFGIVALEIVHGRSNKINQSASIYNTPYVIDWVTILREQNNLLELVDPRLGSDYNREEAMTMLQVVILCTSPDPSDRPLMSEVVKMLEGKKMVELERLEEASVYRETKRLENMNTMKKYYKMIGSETSMTMTLTDQTTSSKH >A04g501330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3392823:3394445:-1 gene:A04g501330.1_BraROA transcript:A04g501330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFHLPHPLLLYILRFFPRYVSFIQSYVSFIQRDCGFLSLWTLEILVRIAFPTLLPLNSSSDADDDQVCPTPRVKLYVEEIRFGETKPSSSSFKAARKARCKAEKGEGGERGIDGGGAKVLRGGDKGFFGPHSDSDRAAIRLKGHNAQTNFLTPPPPPSLGETPVIDLQTISGCDSGNQSLCSPTSVLRFNVKEETEYQTDLERKPIDLAAEVKPTVGAHVDMNVQSMRLAPGNAARRKDRECKSGNLENSHRFCNETCKETNLASLDVFSFRKSMFGSDFLEHVDINDVSPKSNTSL >A03p045230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18905316:18906661:-1 gene:A03p045230.1_BraROA transcript:A03p045230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNGIAELLEILGSIINGFALPLKEEHKFFLVRALVPLHKANWASVYDRSQLEQSILSPTALLLYSSVCRERLILLLEGLVKYCPMMNSSKEVMFLGELEEVLEATQDVEFKLVWSLYSDNLLYASTVAERALFLCNNDHIRNHKVIMPIVLPALERNTRGHWTKLFRCLVKFQVEEEDKTEVKAKRKRTWKRSEDLADKAVMVPRLVSSVNLASIFESTG >SC177g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:183465:183989:-1 gene:SC177g500050.1_BraROA transcript:SC177g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A07p040040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21263041:21266670:-1 gene:A07p040040.1_BraROA transcript:A07p040040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit FAS1 [Source:Projected from Arabidopsis thaliana (AT1G65470) UniProtKB/Swiss-Prot;Acc:Q9SXY0] MDVENRKTIVAKKRKREPAAIENLSPEEKDAQIQSLRLEMEGLFAYFRQTIVQTQTPDLTDCSSSSVNSSVSLLMEETSLPLSKLVDEIFSKLKEKIGSVTMASVKTAVVSVGQRVSYGVPNADADVLEDEDESCLWCWETRDLKMMPKTVRGLLKVRRTCRKKIHERITAVSAMLDVLQRGETEKSFRTDLNKAAEKLGKVLSEVDIRSFMDNMLQKNSTEIAEKDAKREEKLLLKQLEKTKCEAEKEKKRMERQLLKEKLQLEKEQKLLQKALNDDKEKEEAESRKRIKKQQDESEKEQKRREKEQAELKKQLGVQKQASIMERFLKRSKDTSSTQPKLPSGEVTAQRPSCAKPEDESRTVIQAIDNAFATTCEASVDDIRRDHFASWRRLGHSRIHWGMRRKPKSELFPKLKLSTNNGGEPNMEKQGDECEEKHLGDVSCIRQSESSSSDRKKSRRAMKLLQFDKSFRPGFYGIWPTQSQVVGPRCPLKKDPELDYEVDSDEEWEEEQAGESLSDCENDEEESLEEGCSKADDEEDDSEDDFMVPDGYLSEDEGVQVDRMDLDPSEQDASSSPSKQQDQESQEFRALLHQQKQVQSLTDHALAKTQPLIICNLTHEKVSLLASKDLEGTQKLEQICLRALVVRAFPCSSSLIEISISDIQDEDQETGKSSCSQSTPPSASKAKSIPDSDLPTIVSTIQSCSQGINKVVETLQQKFPDVPKTKLRQKVREISDFEDSRWQIKKEVLTKLGLSPSPDKGVKRPKMISTFFSKRCLPPSTNPPPPAVEEPARLDNENDA >A05p007650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3083859:3084515:-1 gene:A05p007650.1_BraROA transcript:A05p007650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAEETTDVRITRQDRQAAEILVALSRNKRYHPRKGDEKNSSNKKGKAEKEDNSKETMMIIKAWNLAKPDPVENIPSRVAKLVDQFSQPIKKQLTVSDVKEDQRRLMLGKDEVKKKMHPLLTGSEIKRLKEGLDVTVYGPGNVSRTMRFKMWSSTPVLTSGWKGFVDACDLKEHCDFIHIWMFRRRETRELCFVIDKTKYSTITKPLDKEISDQIN >A08p039520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22730371:22731660:1 gene:A08p039520.1_BraROA transcript:A08p039520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNEDGKAPPPEKSNFTRRCSLLSRYLKEKGSFGNIDLGLVRKPGPDLGLPRNSDQQEFIRLIPVKLTRVVYSLYSEKQKVMHKANSELKALNVLGEPSSSFGGKAKATNLSEPSEPVSSQLTIFFGGKVLVYNEFPSDKAKEIIQVAKEARPVTDINIQTQINVQKDHNKSNIVLPDLNEPTDTADVNQQQQQQNQLVERIARRASLHRFFAKRKDRAVARAPYQVNQNGGGHHYPPKPETVPGQQLEQGQSSQPQRPAQPKPECDKDMLMEVKEEGQCSKDLELRL >A01g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9025012:9025898:-1 gene:A01g502660.1_BraROA transcript:A01g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A03p051290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20570414:20570872:-1 gene:A03p051290.1_BraROA transcript:A03p051290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQDKLSSKSFKWFSTKALKLNLSFHNRRASSPKSNSSSSLNSPRSNTDDNNNTKSHHSHDELRRVFSHFDVNSDGFEDFVGLMTRRDLDGNGDGGGELKTAFEMFEVEKG >A09g500460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2043425:2044466:1 gene:A09g500460.1_BraROA transcript:A09g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNRKQPYQLVVAHRRSADHEQTTEHLLLHCPYAAQVWSLIPLAGSFDPGLCLSVSEAAQTSRTWTCLPPSGISSDIFSWVCWNLWTARNKLLFESRPTCAQATATKSLVNAREWLQAQDLANSPQKNTQIQARPPSIPIGTVTCNTDAAWKKESLTAGLAWIFDSASSLTRSDGLLLT >A03p050420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21673689:21680177:-1 gene:A03p050420.1_BraROA transcript:A03p050420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 6B [Source:Projected from Arabidopsis thaliana (AT5G61460) UniProtKB/Swiss-Prot;Acc:Q9FII7] MAKPGARPSASFIKQRSGSGTILRIKLENFMCHSNLQIEFGEWVNFITGQNGSGKSAILTALCVAFGCRAKGTQRASTLKDFIKTGCSYAVVHVEMKNQGEDAFKPEVYGDVIIIERRITESASSTILKDHEGKKVSSRKDELRELVEHYNIDVENPCVVMSQDKSREFLHSGNDKDKFKATLLQQVNDLLQSIYEHLNSATAIVDEMEETIKPIEKEINELRGKIKNMEQVEEIAQKLQQLKKKLAWSWVYDVDRQLQEQTDKIVKLKERIPTCQAKIDWELGKVESLRDRLTKKKAKVACLMDESTAMKREIETCHQSAKTAAREKIALEEEFNHKRNSVQKIKDRVRRLERQVGDINEQTMRNTQAEQSETEEKLRYLEQEIEKAETLLSRLKEEENSLLEKASAGRREMEHIEDMIRDHQKRQNNINSNIYDLKKHQTNKVTAFGGDRVIYLLQAIERHHRRFKKPPIGPIGSHVTLINGNKWASAVEQALGNMLNAFIVTDHKDSLTLRSCANEANYKNLRIIIYDFSRPRLNIPRHMIPQTEHPTILSVLHSDNPTVLNVLVDVSNVERQVLAENYEVGKAVAFGQRLSNLKDVYTLDGYRMFFRGPVQTTLPPIPRKPTRLCASFDDQIKDLEIEASRGQNEINQCMRRKRGAEENLEELELKIRSLKKHRSQAEKVLTTKEFEMRDLKSTVAAENEASSSSSVNELQLEIMKEREEMEEKEAFLEKLQMCLKEAELKANKLNASFENLRESAKGEIDAFEEAENELKKIEKDLQSAEAEKIHYENIMKNKVLPDINEAEANYEELKTKRKESDQKASEICPESEILSLGPWDGSTPEQLSAQINRMNQRLHRENQQFSESIDDLRMMYEKLERKIAKKRKLYQGYREKLMACKTALDSRWGKFQRNASLLRRQLTWQFNSHLGKKGISGHIKVSYENKTLSIEVKMPQDATSNAGRDTKGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDALVDFAIAHGAQWMFITPHDISMVKSHERIKKQQMAAPRS >A04p015600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9667514:9668981:-1 gene:A04p015600.1_BraROA transcript:A04p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMDRISDLPDTLLLRVLSLLPSAKDVVATMVLSKRWEFLWLSVPRLVFDDQDIVEFGKFSRFVDRYLIVNDAPEIETLHFKLSRRYAAQDIIVWMRAANNFTVDEMIIEIDSSSCASPVILPKFLYTECTMLVTLKLNSVILADFSSPMFFPSLKTLSLLSVRYPDEEFASSLLSYCHVLESLEVEKCRDDNVTLFTVRVPSLKRLVLHTSENRDRDDSDGFVINAPCLEYFNIIDHKGGFCVIENDMPNVVEAYVDVTHSHPRMILGSITSVKRLYLCLSTSKDLYPDDTLVHRLVHLTLCTCDTEWLNLLVSMLRDSPSLRALKLEQYHGLPAHHLRAYWNEPSSVPVCLLSSLETLEWVNYEGTEEEKEVVGFMFRNGSCLKKVTISSKSNDCNKKLEMIKELTWSIRCSPTCQLAFD >A01g503340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10664355:10666690:-1 gene:A01g503340.1_BraROA transcript:A01g503340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHLIVFIIIISLLVKASKSDDGFCSAPSPSIDEKTKPIYWKVTNPTLSPSHLQDLPGYTRSVYKRDHALITPESHVYSPLPDWTNTLGAYLITPAMGSHFVMYFAKMKGMSSSGLPPKDIERLVFVVEGAVTLTNTSSSSIKLTVDSYAYLPPNFYHSLDCVESATLVVFERRYEHLGSHTTELIVGSTDKQQLLETPGEVFELRKLLPVSLAYDFNIHIMDFQPGDFLNVKEVHYNQHGLLLLEGQGIYRLGDNWYPVQAGDVIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >A07p033990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18525959:18527042:-1 gene:A07p033990.1_BraROA transcript:A07p033990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light-regulated zinc finger protein 1 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/TrEMBL;Acc:F4IBS4] MKIQCNVCETAEAAVLCCADEAALCLACDEKVHTANKLAGKHQRVPLSVSSSSKPKCDICQEATGFFFCLQDRALLCRKCDVAIHTVNPHVSAHQRFLLTGIRVGLESTTDTGPSTPSNDDKTNERKPPTSEPQKLDLDHHNHQVGLPETKVSDHISTKLPIASSGSAATGSISQWQIDEIFGLTDFDQSYEYMENNGSSKADTSRRGDSDSSSVMRSGEEDGEDNSNCLGGGETSWAVPQIHSPPTASGLNWPRHFNHHSMFVPHLSSSTHNTGSSPNQRVGKRRRF >A09p047600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41357097:41358485:1 gene:A09p047600.1_BraROA transcript:A09p047600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-4-alpha-carbinolamine dehydratase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G29810) UniProtKB/Swiss-Prot;Acc:Q6QJ72] MSRLLLPRLFSISRKQVLAASSFRNQYDGRHRSFVHWTSAAMSQDSATGGSSASGATPFCSFEDLSAKKCVPCNAKDLRAMTEQSAQELLQKVAGWDMANDNGTLKLHRSWTVKSFTKGLDFFKRVADIAESEGHHPDLHLVGWNNVKIDIWTHAIGGLTENDFILAAKINELQVEDLLRKKKVAK >A06p055070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28828616:28829759:1 gene:A06p055070.1_BraROA transcript:A06p055070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGKNIEQAQQSCYLGWMSLQSQRVLDLKQALAQRRSHEGTADAAADDKKLRELTQKIIGDFKDYARKRADLSHRCSSSYYAPSWNTPLENALIWMGGCRPSSFFRLVYALCGSQTEIRVTQFLRNIDGYDASGSGGASLSDLTAEQLAKINVLHVKIIDEEEKMTKKVSSLQEDAADIPISTVAYAEEHVGEPNLAVDQALDKQEEAMATLLAEADNLRVYTLSKIIEVLAPMQAADFLLAGKKLHLSMHAWGALRDRRRRECIIDAADDAGGKEGK >A05p004770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1847062:1848975:1 gene:A05p004770.1_BraROA transcript:A05p004770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTLLHHKISKTLMILLIINFVNLIQTTSAVTNSNSNSHFSRFSRHGSSSSRTKQGFLASVQASMNHAILARSLAFNLTLSHRTTQVHMVDPIHDCLELLDDTLDMLSRITMMRDKASSDDEYEDVHTWLSATLTNQDTCQQSLQEKSNSYKHGIAMDFAARNLTGLLTNSLELFASVKSKGRRLLSEQAHYPRFVTWLKERRLLEASVEELKIDAVVAADGSGTHKTVGEALAASLASSGGRTVIHLKAGTYHENIKIPTKQKNVMLVGDGKDKTIIVGSRSNRGGWTTYQSATVAAMGEGFIARDITFVNSAGPKSEQAVALRVGADKSVVYRCSVEGYQDSLYTHSKRQFYRDTDITGTVDFIFGNSAVVLQSCNIVARKPLPGQRNFLTAQGRSHPEQNTGISIQNCKITAQSMTFLGRPWKEYSRTVVMQSFLDGSIHPSGWSPWSGSGSFGLKTLFYGEFENTGPGSSVSGRVKWAGYHPSLTVKEAEGFTVASFIGGTMWLPSTGVSFDSGLVK >A03p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7280100:7282826:-1 gene:A03p017840.1_BraROA transcript:A03p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSFGANGTVVLAIIFFGCLFAFSTAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVGFTDNERLIGEAAKNQAAVNPERTVFDVKRLIGRKFADKEVQKDRKLVPYQIVDKDGKPYIQVKIKDGETKVFSPEEVSAMILTKMKETAEAYLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDHRIMDYFIKLIKKKHQKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGLDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKSQIDEIVLVGGSTRIPKVQQLLKDFFEGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGNFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNEKGRLSQEEIDRMVKEAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLEGDEKEKIEAATKEALEWLDENQNSEKEEYDEKLKEVEAVCNPIITAVYQRSGGAPGGAGGEGATEEEDESHDEL >A02p014670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6503896:6508618:1 gene:A02p014670.1_BraROA transcript:A02p014670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLMFLLRIYLVSSVLVACSSSGLDLLSPSSSPPPKTSKGFGEVPISSPESHKPGNAPPPKASQPSSPPIAHVKAPPPTDSSGGKPPPGEPIVSVPSAPGSVSSPVSDIPPFPSVALPQPTPSIVPPRNASNNHKPPIEKHIAPLASPPTISIDISPPVHPFIPSSTPVPTSSPTRTSPTTLPAFPIESPAGDNRSHVAAPSNETAKPLPTLPHQDSPTSIAPSSPKFNGHSHHTSSSPPLNHLHHQDRKKIKDSPPPPPPKMSNRKGPISSSMHPISISPSPSPTQAFPLRSSSKPRKLPPLQALPPPPPNSDCSSTVCLDPYTNTPPGSPCGCVWPIQVELRLTMPLYDFFPMVSEFSREISAGVFMKQSQVRIMGANAATQQPDKTILLIDLVPLGDKFDNMTAMLTYQRFYRKKVYIDATTFGQYDVVYVRYPGLPVSPPSGGMTVIDHEPFSRNNNNNGMVKKPFGVDVPKKMRKREINGGSIAVIVLSAAAFIGSVRSLTGSRFSSTSLSFESSIAPFTLSAKTFTASEIVKATSNFAESRVLGEGGFGKVYEGLFDDGTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVNLIGICIEDRNRSLVYELIPNGSVESHLHGVDKESSPLDWEARLKIALGAARGLAYLHEDSNPRVIHRDFKSSNILLEHDFTPKVSDFGLARNALDDEDNRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVSWTRSFLTSREGLEAIIDQSLGQPEIPFDSVAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKELNSVTSLTHDDLGDDNGAESSCGGEGSRRMVRYPLLPSYDSEPGTERGLSVSEMFTGSGRLERVSNSGPLASGGGKRFWQKMRRLSTGSLSEHGSSSLMVRSGSR >SC160g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:33664:40737:-1 gene:SC160g500040.1_BraROA transcript:SC160g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCEEEPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELAMIAEITRRMQNTYNRVQQANETLPGDNAGQERDAVAAGVQRARIGPIRGQRVELRGQPDLSREEPEPDLSREEPDLSREEPNELASLWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPASNHPWRQPIGSGRRPQFKGELVAS >A02p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10191652:10192897:1 gene:A02p021650.1_BraROA transcript:A02p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSM1 [Source:Projected from Arabidopsis thaliana (AT1G67990) UniProtKB/TrEMBL;Acc:A0A178W5L4] MKKRRIEMVIPVDEGIFLSMLLKIINAKNTLELGVFTGYSLLTTALALPEDGRITAIDIDKEAYEVGLEFMKKAGVDHKINFIYCDGMEVLDKLVNDNQEFDFIFADADKPNYINFLERFLKLVKVGGIIAFDNTLWFSFVVEEEESVPEFMRESRAALIEFNKKLALDPRVEISQISVGDGVTLCRRLV >A01p020480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10051278:10052617:-1 gene:A01p020480.1_BraROA transcript:A01p020480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRNGISRHQRVENSRGEGGPNWILIAGGALLSTLSIRFGYKLKQSVDSKPHPDATGGLKPNGTSDRGRCCLHSGSSSCERNKDCCFHTTPGTENVERDHAINEQMVAPSDSLPLVTVPAPSYIKENGVGWAEHMKSYNHQSTCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQEQIIELQNSLNAQMSHSSHLQAQLDATNRDLYESEREVQRLRKAIADHCVGQAGSNGWNGEANGFMDSESNYESPQKGSKDGERVEMLRKEVGELKEVIDGKEYLLRSYKEQKIELSEKVRELQQRLDSQVPNIL >A02g509240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24713853:24715731:1 gene:A02g509240.1_BraROA transcript:A02g509240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESLVEKLVMTQTQVYLTFNEAQSHFQKPKSESRRSSSPEKPCAVITFSSPQPITARDEAVATDHAEVGVRTRPHVPSEVLTSACVSPTRRRRESLRPPFDGAAVIGHRPSAAEKLPPCCRRSTVAAVDFTVSHRHPLLSPPVTSVGDSPVTRQLGRVDPVSQLGDSFNRAMSGSTDFGVASHTSLGDSPVAHPSLSPFQVRPTSRSDYRTGAIGLLGFYHFRFMSYFIFRMLSMLGL >A06p028240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16409552:16412530:1 gene:A06p028240.1_BraROA transcript:A06p028240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSLSRRLPLIHALSSNNCDMEEDDEDRERLVLVVHHHQLHHLVPHLSCLLITTVFITTVHQHSAMLEPPRSSPPFINTAPCWRRALVQPRDVNYDVGGVENVIRWFAVGEIEIHGGTLVFLVIKSSDPFRVLNISKGEGYSVKSRASLVLLLWKRRIGDCGVQRWTLVLAVLYIYIRIRKMRGVSLPSSWVSRRFGVLGSLYFILCGVLDTVSLPSSWVSRRTPFSERGRRVLQFAGLWRGALSSGYQYIRNCDLLIAIIKVSITITYELKFSGLLFLVVVIMTQSQLVSLLERLGMGRTVREITSI >A10g501120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3213725:3213904:-1 gene:A10g501120.1_BraROA transcript:A10g501120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSFYRRLPDFDSRVVGLLTPGSRGSVSSGFAGFCFREAMSTSESLSPAPLDLRICSS >SC212g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000070.1:24136:27216:-1 gene:SC212g500010.1_BraROA transcript:SC212g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMSQIFGFIYSEENGDIGTSTTHVKQPDNQVHHADESKQKDELNREKLVNHDTVEDDEYHVSGEHRKVEEADTKDPTSASIDSSNSESIDIRTSETIDTNICHRSIPSTIPDATTVKLIIDRHAYHNIDRHPHLIVDRPQYLRNDRHKLLSSCPQDIANLTQESIDESSCDLTSDVDKVTLKDFLELEEWLRQKLDDQPASGKGLENSLKADDIDRHKPDEIDRHPPYDIDLQSPSNIDQHTPDCIARYPPDCIDQYSCLDELSGYPIEPELVGRKEHTSGASHLAVPENLRPLLCEEEAVGICKRVKRIHDPVKFVVPYEVFEAESPIPPDKSMELSYYGGVFDDNKYVEASQRGLRFRDEVDEGPAGAPSSDISKSELIDTNTSSSIDTNQIPSIDTRRESEQNDYELGGNIFYEDTTTHSDKSRGKKWRNWKKKKRINEGSQISLIPHFSDDARKSRVRLHKSVGKKGRNWKKRKRTKGGSQLPLTPYFSDSVRKPRVQGKDPRKTSFHRNRRWLASIDRQSIKGIDRHLTVLVNIHIKDSASYPTIDCLFIVSNDCSSYRPMRPCHYQSTALHQHRSIVYPLCRSTLMSS >A02p042450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26666791:26667082:-1 gene:A02p042450.1_BraROA transcript:A02p042450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGRLPEKSSLRHSDKVIFKNHICINPKTFTSKEVFLATSPNTHNFTTKATFSLMTKIHELE >A08p020150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13692201:13694548:1 gene:A08p020150.1_BraROA transcript:A08p020150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCLCSILITTALICGAYFIGNAYIDKEFKERLLRWKITDKMHNATSNTCQNLNKPLGSEALPQGIIVKTSNLETQHLWNYCDSENVTEGNTNRSMSLLAMAVGIKQNELVNKVIQKFPLQDFVVMLFHYDGVLDDWNQYPWSAHAIHVSVMNQTKWWFAKRFLHPDVVAEYEYIFLWDEDLGVGHFNPKRYLSIVKEEGLEISQPGLDPTESEVYHPITARRENLKVHRRIYKDKGGLRCDGNSTDPPCIGWVELMAPVFSRSAWRCSWYMIQNDLIHAWGLDVQLGYCAQGDRKKNVGVVDAEYIVHYGLPTLGGVVNPSSSARNETNPKSGVSQDLSESDGVDNRGKVRMKSSVEMKRFKERWKKAVKDDICWVDPY >SC276g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000123.1:23916:26106:1 gene:SC276g500030.1_BraROA transcript:SC276g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNKWAAIGDFNRVKNLTHRFFLCVVGHNNKARSARESRKVILTALLRPFPMATKRNFKAFSVAAASSPMNGCKSRKLTEKILKEAYAQQKEVEDEENAPMSAFSFKQPLYELEDVIDDDVDEIQSQLDYHEDMACSEKEEKLLLDAFFHKDGASIAKRVSDAITSSLEGKHIAATGAAFVSVTDYYRKLGEFMSLYTNGKMPKALNHLTRLENWESLLKLTQPESWSPNAMYKATNMFASSSKAERFYELFLLPRVREDIRIHKKLHFCLYQSLKKALFKPKGFFCGILLPLCKSGTCTIPEAVIIGSIIHKFSIPEKFSSAALVCLAEMEFLGTRSYFMKAILEKKYALAHLAIDAVAAHFLRFFKETKVMPVIWHQTLLAFVQRYKHELRKEDKKSLTSLLEKQNHELITPEIVRELASSRNRGETVDNSHSASTINNKPIKEDWFDMPQVPMEED >A01p008660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4185514:4187190:1 gene:A01p008660.1_BraROA transcript:A01p008660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PATATIN-like protein 8 [Source:Projected from Arabidopsis thaliana (AT4G29800) TAIR;Acc:AT4G29800] MYRRYDKPPPLAVTSKENKKKKHFVNHTAPNTPGNHDRTQASPTLSTARSHEPFIDSSDGKLSYEIFSILESKFLFGYEDPRLSWIPRSPLRPGADSSDAGPSPRSPLTPNGVVLPGAPSSFRSPRGRICVLSIDGGGMRGLLAGKSLIYLEQMLKEKSGDPNARIADYFDVAAGSGIGGVFSAMMFATRDGNRPMFKAEDTWKFLVDNAESFYRSAGGGGGGGAGAAIKRVIRSGSSSSSSVSAATAKLEKAMKASFADLTLKDTLKPILISCYDLSSTAPLLFSRADALESDSFDFRLRDICRATWAEPGTFDPVRASSVDGKTRCVAVGGGLAMSNPTAAAITHVFHNKQEFPAVKGVEDMLVLSLGTGQLFEVNYDYEQVKNWRVKEWARPMARISGDGSAEFVDQAVAMGFGPYRSSNYVRIQQANGSRLGACGPNVDTDPRAENVKKLTEIADEMLKQNNVESVLFGSKRIGEMSNSDKLEWFASELVIEQQRRSVSASPTVTLKQAVSKTNRNAINATLTLISKER >A08g509300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18239374:18240759:1 gene:A08g509300.1_BraROA transcript:A08g509300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKESSELGNLPTSPMARTCEECLRTPLPPIIGLKYRQLAYGFDVNYNKQLPPTLVPVPSTWSKNYVIGLYGRIGLQCYNLQKGTNLKFKRLEKHSTVRTGYLSYYITLEATDPATGSVCSFQTQFSDAGRRRFSLGARITWFTIASRIKQIRKISSYSITTWTNCFLTSANEPVDDEWEEEDTPGINEFYKGPMPKWFSDEALERDSKKYYVVPESELHDNDWLQLLMEVASFSKADRCLDAYLPLELNSVVVETLEDYTTEPSEKLKADNAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEVALTKEQ >A10p000740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:379696:381180:-1 gene:A10p000740.1_BraROA transcript:A10p000740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAGGAPCRRRRLLRRSAFASLLFFCSIFVSSFSISFCNSLIPVLSMDTYGSSRQPASPPPRFNPRRSLDGLSHSRPPISSTEYMSGSPFVVSLLLSPPLPSAPRRQVSPVCRFESIRSELHSVVDLLQSSSDTLTSTPPQSLTLHLAVLKAPSHFLIWSHRSHRNGFNTSSPFPTHLWFPLWYRFAIHRCISPSLNRYAASPTIGTIVTLRLTCTTDTTKIYVASFPSYSDKILQSFDHLLGFGLYAEASIVKFSSKATTAQKIHSSSTDGITPLSLVAGSIVQECGFARFSRYYVTAASPLHYAVSSIDGSSHSQLYGPVQECGLASSSCCYVIAAPPSHYAVSSIDGSSQSQPYGAPIPILVAETIVQECGHARFARFYVIVASPSHYAVSSIDGSSQSHLCDFPTGAVIFYGVSRNSCFQNPLVGLFNVDFDLCAFLRTRALGLQVKCLYGSLLSLATSIFRHVLVIFVYQFIFEILSSCNRLSPLGL >A06p040990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22110041:22111056:-1 gene:A06p040990.1_BraROA transcript:A06p040990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKTLISIFAVKHHHPQWVVSSELNVRELVPSSNPTLTTAKAPPSSAASTTAREIGYLKGVVTEIIHDPGRGAPLARVAFRHPFRYKKQKELFVAAEGIYTGQFLYCGKKATLVVGNVLPLRAIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNSWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKAD >A07g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17590052:17590571:1 gene:A07g506900.1_BraROA transcript:A07g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLSFSSSLAFLPTTASNGLGYLHLDRIVHCYVKPEYMFWIIRGVYGLLILEWLGLKLTIQTVTLGCVAPEFPLLLIQCWFVYDLVLVLK >A07g501020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1999738:2001991:-1 gene:A07g501020.1_BraROA transcript:A07g501020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGKEEQGMDQVEEDFSIWKKNTPFLYDLMISNPLEWPSLTVHWVPSAPTPYAADPYFNVHKLILGTHTSGGAQDFLMIADAVIPTPDAEPGLGGTNQDPIVPKVEIRQKIRVDGEVNRARCMPQRPTLVGAKTSGCEVFLFDYTKHTSKPQTSDCDPDLRLLGHDKEGYGLSWSPFKEGYLLSGSQDQKICLWDVSATPQDKKLNAMFVYEGHECAVEDVSWHMKNEYLFGSAGDDGRLVIWDTRTNKMQHHVKVHEKEVETISKAKLACPVNYLSFNPFNEWVLATASSDSTVALFDLRKLNVPLHVMSSHEGEVFQVEWDPNHETVLASSGEDRRLMVWDLNRVGEEQLEIELDAEDGPPELLFSHGGHKAKISDFAWNKNEPWVIASVAEDNSLQVWQMAESIYRDEDEADNDEEIKQDTTQQS >A03p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18014107:18015332:-1 gene:A03p043130.1_BraROA transcript:A03p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFGGSSDSEDERTMHQMTKFFNRQRSIHSIFGGGKFADILLWREPKIAATLAIGVSVFWFLMEVVEYNFITLICHASMTSMLIFFIWSTASDFLDWERPMIPEVVLNESSFKELARSFHDRFNRMLSKLLDIACGRDPPLFFLTTISLYILSIIGTYFNFMNLLFIGFISMQTLPVMYELYEDDVDRVLSKLARKMRKLYRKIDSNVLSKIPRGTVKIKKHT >A01p058680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33474917:33476744:1 gene:A01p058680.1_BraROA transcript:A01p058680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVAKSALETIREKGLGGFLRMIREEGFLRCLPDGNLLQTKIHNIGATLVGVDKFGNKYYQKLGDTQYGRHRWVEYASKDRYNASQVPAEWHGWLHFITDHTGDELLSQKPKRYGIEHRENFSGEGDAYIYHSKGHTLNPGQKNWTRYQPWVPTKTK >A08p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7408248:7410742:1 gene:A08p009380.1_BraROA transcript:A08p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTKLQVYPRCLDHRLGFMDHQRVGSRLSCREGNKRVYVHRCESDLEKKKVERGRKREKEGKGLWDSLKSGVTKLGFLTKDEYNQKVQNLEMVFSSIAVQIARYIVTMTSTGAILLIGFQLSGGDGSMNSLVWYSWLGGVIIGTMTGANMVLEDHYRAGPRNVVITGSTRGLGKALAREFLLSGDRVIVTSRSSESVDMTVKELQQNLKEIMSKASESDRKKLGFAKVVGIASDVCKPEDVERLSSFAVEELGSINIWINNAGTNKGFRPLLDFTEEDIKQIVSTNLIGSILCTRGAMEVMSRQDNGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFHGSVAKESQKTKVGLHTASPGMVLTELLLSGSSIKNKQMFNIICELPETVARTLVPRMRVVKGSGKSVNYLTPPRILLAIVTSWLRRGRWFDDQGRALYAAEADRLRNWAENRTRLSLTDAMEMYTENTWVSVFSLSVVCAFIIVSSTTPSSFPGT >A09p017570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9098618:9102224:1 gene:A09p017570.1_BraROA transcript:A09p017570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETESPELNCVYVDTNLGTHLLILVDNHETVSDFKEKLCKEHHQCFPKFGEISVYAVKVERQNTRGLLFDYHLPDSMYLSMAFEGVSHNCWFVSVEAAVSVVDKAELMDADDKCSDLEKNKEIAADSLALDGYNKNQSLEQDLGAEKTTKKRKHGGKIGKKPSADPNDEKNKATASDSLLFEGYTKNQTPGEDLETPVVEKTRKKRKLNTLDGESSLKKPVVDVSQSPAAARTDVDGSHELCGNVASESSPREKLDDILTEATGKEIEMGEKSVEDLEGDKTENNDLVSHPENILDTTSGLTARPDTEKKKKRKKRSEDDINQSSAAATVSEINELPANVDHVDATPESLLISRGNPLAEASQLENDMAENSVDDVAKDKTETDNLESHTAIHLDSNNFEKETEKISNDDINQSSSAAVAAATIITSGDVVNQINEVHANVDLVDATPESLPISRGENLDIHFAEASQKENEMVEKSVEDVGRDNTETDNIASRLENLLETNSGLTPRPDTEKKKKRKKRSKDDINQSSAAIITSMDIVNEINGVPANVDPVDATPASLPMTGGTFAESSQKGDEMVKKTDDDVGSGKAIPSAAENIQTENVEMDDADDAKSVKTTKKKKSKRTKTPAKEDTMFASGAQNVESIEAVDGEGTDNVIRNVLDSLQENDETAENVDTTVKKSSKKSKKKNSSKVVESQVLPVEINNAALEETPLINNPKDTDALFTPVEKDAESDASLLKKSSEIAEDNSLSKKSPIGKVDMGDNFGCSPNKEKQDQVAGGAKSKKEKKKKGLDLHPSGSIAGSLNSVRPKEKKSRGQQPASSGASHLQSRVKSVRSGSVKATVSSKKQEVKKSSKPVVAVDKRKTNFFENAEKCNSSEDEYKKTSDVSSKTPSDYSSDNDSDVTSMSRKQQGNNLAGGANKFEGSLQDLLRRSSSYKKALIRAQSQPDIDDDSPVDCVPDSQGL >A05p009750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4071453:4071692:-1 gene:A05p009750.1_BraROA transcript:A05p009750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYRAVMQIRYGHERSSYYVRLPGDSGRFGRTGLEVSGLGFSSNMASSKTTSTNTTFAVSTGAQSPVHRQVMM >A07p024070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13872402:13873661:-1 gene:A07p024070.1_BraROA transcript:A07p024070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKEIPPAVRVYTVCDESRYLVVRKVPALGCGDDLMRLFATYGEVEECKPMDAEDCEEFTDVYWIKFRLIANARFAKRKLDDSVFLGNRLQISYAPEFETLSDTKDKLETRRKEVLSRSNPQRDKSSVSQVAKPALNQSETDYQFHRRNAPITRVSSDQEYFGSSSMNQTVKTVREKLNKIEESGKQKRSEASSENLQTEPDLKRTRVDNRRRI >A01g503360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10677282:10678700:1 gene:A01g503360.1_BraROA transcript:A01g503360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNVLHLLLVQVIVLLPLLCLSDDFVSSRATYYGSPDCKGNPRGACGYGEFGRDINNGEVSGVSSRLWNNGTGCGACYQVRCKIPPHCNEEGVYVVATDYGEGHGTDFVFSPKAYGRMARPGTEDQLYSFGVVDVEYQRVPCKYDGYNLVYKVHEKSYNPHYLAVLILYVGGVNDILAVEAWQEDCKEWRRMRRVFGAVHDLQNPPRGTLTLRFLVYGSAGINWIQSPNALPADWTAGATYNSNILLT >A09p077110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57289578:57295214:1 gene:A09p077110.1_BraROA transcript:A09p077110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRSVQEWRRAVDVLTSSATEFSGVEDKILPILKYSYDSLDGEMTKSCFLYCSLFPEDDLIDKERLIEYWIGEGFIDEKEGREKAMSQGYEILGTLVRACLLLVEEIRYAAEEYVKMHDVVREMAMWIASDLGKNKERCIVQARAGIREIPKVKNWKDVRRISLMANDIQIISESPDCPQLTTLILRENRSLEEISDGFFQSMPKLLVLDLSDCILSGFRMDMCNLVSLRYLNLSHTSISELPFGLERLKMLTHLNLESTKCLESLDGISGLSSLRTLKLLDSKLRLDMSLMEALKLLEHIEYISVNISTSTLVGEKLFDDPRIGRSIQQVRIGEEESVQVMVLPALDGLHDIFIHSCRMLEEIKIEKTPWNKKPPSCSSRRDEAVATDHAAIGVRTKPLEPPEVSPLCARELHAPPPEIVSAAFAAVSAARPPPHHHRHRVCFMLELCLHFSSAMNSVCGLRFSIPYLAGQLPCYSPLLLSPFQDLTWLLFASNLTQLYVHTSGRLEEIISKEKAASVLENNIIPFKKLQELALANLPELKSIYWNALPFQRLRHIQISGSCPKLRKLPLNSKSVLNVEKLVIECHDKEWLERVEWEDEATRLRFLPLCDQVLKDVSYLSCFKASHIKILEENLAAMQRDMEEHKVSHTDVLRRVEREEDTGSMQRLAEVKCISGEFFQCMLKLVVLNMSFNELKELPEEISGLLALRYLNLSWTKIERLPDGLGRLTRLRHLNLEMTKRLKSVDGLSDVLSLGVLRLQGSIVMLDASTIKELQHLGRLESLNIDISSSSALKKLA >A07p043240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23603672:23604607:1 gene:A07p043240.1_BraROA transcript:A07p043240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKGKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMVKSAGKDAFHLRIRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDNHGAHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADYTRMRQSKRIVPDGVNAKFLSNHGPLAKRQPGSAFISATE >A01p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3425669:3428268:1 gene:A01p006800.1_BraROA transcript:A01p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase 18 [Source:Projected from Arabidopsis thaliana (AT4G31670) UniProtKB/Swiss-Prot;Acc:Q67XW5] MHEVGFPLDLSVLTRLIPTLFFLAVGVFYFLRNTAAKYFDIGAAAAAAGGFDSEVGAEDCTTKCSRCNSVRYCSEECQTSDWSSAHQRWCRDTTTLTPSARNGLRFRASRDCTERDQTKISFKPREVLFPYEEFVKYFNWDYPELAPCGLMNCGNSCFANVILQCLSWTRPLVAYLLERGHRSECMRDDWCFFCAFQTHVERASQSRYPFSPVNIISRLTNIGGTLGYGRQEDAHEFMRYAIDTMQSVCLDEFGGEKMVPPRCQETTLVQYIFGGLLQSQVQCTVCNNVSEQYENMMDLTVEIHGDAASLEECLDQFTAREWLQGDNMYKCDRCSDYVKACKRLTIRRPPNVLTIALKRFKGGRYGKLNKRISFPETLDLRPYMSEGGEGSDVYTLYAVIVHLDMLNASFFGHYICYIKDFSGNWYRIDDSEIESVELEDVLSQRAYMLLYSRIQARSSLPCESLGSEAQEEKETDTLATKPCQKELVESSMVEAIDTRSSTNHAASEDLECEQESSSSSSSSSISSPSSPSVVTSESCTVEGLDSDSNPWIDDDSAIDHQESVVTNGNIDGEVKNHAVGSCSDVTASVPSSCSKPRHPVRDTDTKMIGAQ >A03p052650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19981821:19983378:-1 gene:A03p052650.1_BraROA transcript:A03p052650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDETKSTIDTEKTTVPGGSCTTSKSCWMVQVVLRLFLFAATLTSIVVMVTSKQSKTIPIFPFHTQAKFTHSPAFIYFVVALSVACFYSIISTLATLSAFKKPSSCSAVLLLNLAIMDAVMVGIVASATGASGGVAYIGLKGNKNVRWRKICDLYDTFCHHVGGAIAVSLVASIILLLLSIISVLSLYKRIR >A03g501960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6563964:6565818:-1 gene:A03g501960.1_BraROA transcript:A03g501960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVRLSKRSSATVSPTPASHRRLIRRRLLSQISAPSPPLLMKKKKPKKSPTKSPPKSSPVTKSNPDANDLPTPGTKIVSDAQFGCLTVPVAQQLQDSSDLASVQSDIPTCVKTLRSSVETSDDATVSIDKRSSDVNVQAATADATVVTLSSLAADAKVDSLSPIAADIFPSPSTGADVGGKDEAPPATSAAEVEKNSVSEPNPNQANCPKKQKNELNGRKTRRGRSKVKQAWKEVDKVAAGKSPLPPSQTNLTVPVHSELVQTELHKSQLGTAKDKVVGESSNPPFYLLPVSARSRSGASGSSRSDVQPDSSDVESSDSDLEEGELSKHDLELGFQ >A07p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5917512:5918518:-1 gene:A07p009570.1_BraROA transcript:A07p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLETATTMVNSQLLLSQLKAGWCREDWPGAGAVTNELFNGVTRGARPTNPAMNACVLMDGASGATDNLHDEPIILDYLLLVHHFPRMIQQRTNPVKPMSQHREPTPTSTTATA >A06p052820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27902563:27903593:-1 gene:A06p052820.1_BraROA transcript:A06p052820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEEKEQWRSESVHKMLMVLLPYLHSLFELLSLSRVSPALRNAIRDQTVLWTKVVVDPPLSSRLTDDILWDFTSRSAGKLNTLILRKCSRVTSKGLWRVVDANPLIKKLIVTGCTELVPEGIIACVETLTKNYHKLETLHINGVPGFTKDHLSALSTYLPQEGAIDLEVCPKCDQVRMIPPCSRESCKREGRNERECRGCWYCVPRCMECAVCLGPDTDVEEVGCGGDVLCLDCCQTLPKCRFCNKPYCTGHSSLRQDKTTTDTAMFACQSCDYRTGANASDPIVLD >A03p061100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26501590:26503949:-1 gene:A03p061100.1_BraROA transcript:A03p061100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSVSSEVGALHSSTLSFTEEHMAENVKVHGDGSAELVKSMGDNKYASLMRPAGRYYSAIKDATVCGKGRYTLVKDVDDVENGAYDKPLPCFGCGIGWFSFLMGFVFPFLWYYSTFLYFGNYYRKDPRERAGLAASAITAMGFSLLLLVIVAFSVLEEYYKMNKRFGGKIPTGTPSLALSTIVVVASLLGGASIVHNLYKPNLRLPQMENDEPDKKKESGNKD >A05p015030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6622793:6623211:-1 gene:A05p015030.1_BraROA transcript:A05p015030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQRPVKKLKEKIATKVKLSVKRLILVLLDEEERRMVTDDEDEMKLKYLGVILSETNEEEEVKVKVKTEDKAVKNEEQNKDVKLEEKMNGEETMDDVENGKEKAREGG >A06g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12898895:12899158:1 gene:A06g504160.1_BraROA transcript:A06g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFWVVPVDRCPKQFSRYVATDRTAWSVLRSDRPDGFVRRYVATGSFAGQSLRGDLLSIFFRCFMNVFFSSD >A03p021580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:9196870:9197616:1 gene:A03p021580.1_BraROA transcript:A03p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKINALRRCLLPCITPPTNPTAASSTTTGVSKKRLSTSLRDDIDVQDSASSTASSSEATSFSASVGSGYLSPVAAPQRPSRTMVIGTLFGRRKGHVWFCVQHDRLSVKPLLLLELSITTNQLVHEMDSGLVRVALECPTRAELKSCSLKSVPVWAMFCNGKKSGFAVRRSASEETRVMLKRLESTTVGAGVLPCGSGAVEPDLDEVMYMRASYEHVVGSSDSESFHLINPDANSAQELSIFLLRTSS >A03p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7652837:7654290:1 gene:A03p018730.1_BraROA transcript:A03p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQNLIYSFVARGTVILAEYTEFKGNFTSVAAQCLQKLPSSNNKFTYNCDGHTFNYLVVNGFTYCVVAVESVGRQIPMAFLERVKEDFNKRYGGGKATTAKPNSLNKEFGSKLKEHMQYCVDHPEEINKLAKVKAQVTEVKGVMMENIEKVLDRGEKIELLVDKTEDLRSQAQDFRTQGTKIRRKMWFENMKIKLIVAGIIIALILIIILSVCHGFKCT >A04p016790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10306377:10308626:1 gene:A04p016790.1_BraROA transcript:A04p016790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSTDNKELSEKREIESLAASTGALPLLQRSFSKLADAHTNTVSFQSFKKSFTLSYKTTTCEGDKTVPDSFPRLLEHLGPSLVDLFFVPEKGGGLSWVEFARGYVKCCGRMSASMSYNTLLRVFHLTSQNAGFSSKLEFESDEADCKINGSVSTVELVMFLWMCWTMSWDGRSSRSTDLFLPDISHLVMSALVSCTESGASLDVWDSDVFGLELELPVGKFLTWALTTIPSLTECLSHFCNARLQHCLNAEDGSGPSKSAGGDDSASKTCENTLLTCGRAWAISLTSKNTLSEEILSSCFPCNGDEPNENLLYRSYHHGKGMNRLWDNVQGYHAPILLIVSASGGVEHEATSSERKWVIGAILQQGFENRDTFCGSSGNLFSISPVFHAFSSSGKEKNFAYSHLHPSGRVYDANPKPVGIGFGGTQGNERIFIDEDFAKITIRHHAVDKTYQPGSLFPNQGYLPVEALVSDVEAWALGGKAAKEVQEAYKKREELFTDQRRKIDLKTFTNWEDSPEKMMMDMMGNPNAPAREER >A01p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2107976:2111346:1 gene:A01p004870.1_BraROA transcript:A01p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRTLPLRHASFSLFLSATAISASNAAVSFLLPKPRSLPQRTFCNSAAAAASADAVVVKSNPSPPSVLKWVSRTGRCGELSVEDVGKRVHLCGWVALHRVHGGLTFLNLRDHTGIVQVRTLPDEFPEAHGLVNDMRLEYVVSVEGTVRTRPNESVNKKMKTGSLEVVAEHVEILNPVRSKLPFLVTTSDETKDSIKEDIRLRFRCLDLRRQQMKNNILLRHNVVKLIRRYLEDMHGFIEIETPILSRSTPEGARDYLVPSRIQSGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFMPMEDMLKLNEDLIRKVFSEIKGIQLPNPFPRLTYADAMDRYGSDRPDTRFDLELKDVSNVFTESSFRVFTEAIESGGIIKVLCVPLGAQKYSNSALKKGDVYNEAMKSGAKGLPFLKVLDNGDLEGVAALVSSLDSESKTNFVRQCGAKPGDLILFGVGPATSVNKTLDRLRLFVAHDMDLVDHSKHSILWVTDFPMFEWNEPEQRLEALHHPFTAPRPEDMDDLPSARALAYDMVYNGVEIGGGSLRIYKRDVQEKVLEIIGISAEEVRITLFDYYRKAFSHAVFYKQAEAKFGYLLEALDMGAPPHGGIAYGLDRMVMMLAGASSIRDVIAFPKTTTAQCALTRTPSEVDPKQLQDLSIRTN >A09g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22256950:22264561:-1 gene:A09g507710.1_BraROA transcript:A09g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVRSPLFSPVSGKLQIGVSWYDHSRVMKQKPHSVGVDSPMIRGSSDDWSSSKSATEKVWSCIGWEMNQLEQKRQKTRSNPSDCSEGMFQRQRFLRKQQPISGFALISLFSLPAACGRRLHLSHYREEPPEPLFYFIYTSKVDVRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLCMTSRHTRRNAQGELVTFSNQELARLERTNRQQQGQTDTTMGDHANQEQLTAQLQQMQQQMLQMQQTIQAQQDAAEQAAFARQEQQAQTKEESDCSKKGNSSDAQKIDELTAKVDQLLKNNQGHVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLQFFKHCRETQEEIKVLYTRALSTPALKVLPKVDDPGKFVFPCSIAGTTFKDALCDSEKLKVVPEKEHGDKGESRLFFDEDPNTDPTKFRGNSRVKQKVQKKRVKGDPTVTLIPLKCDENSIEYEVKCKGTSKPFSKVRAILTHELKEKGEAAVKGLLSRGWRTRMVAKSEPPVALRTIMYYLLLRHITISVFKKKKKNEINVMEKGKKEKKHGATGKVEQEVGTKSGVALDGRWTSWNKRGRKQCNLRSNPSDCSEGVFQRQRFLRKQQPISGFALISLFSLPAACGFDISSFSGEGSISLTIEKNPLNPYSILSTHVLFSLCLHQVNHLVPDSRFNLFIKSVIAKVDIRVFCEHIGLDLYCLLSHLDPNESLGIKIDQHREQYHDSGLFYLSDPSSRLALN >A05p053490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30882660:30883100:1 gene:A05p053490.1_BraROA transcript:A05p053490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPAKQSVQCFGRKKTAVAVTHCKPGCGMIKLNGSPIELFQPEILRFKIYEPVLLLGKHRFAGVDMRIRVKGGGHTSQVYAIRQSIAKALVAFYQKYVDEQSKKEVKDILIRYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >A01p055470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31415123:31417739:1 gene:A01p055470.1_BraROA transcript:A01p055470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSGYKKLLLLLFLFIAIFIKNTNGDTQEELSHKARASPDGNMARNVIDGSGVEKALHDIGMADQKRGSSHSKVSVSTVVLFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLVKEGQVHGSGNWVVTGILAGGLFIWLCKQFLEQYGEVSMLDIKGADAAKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLITLAIAVHNIPEGLAVSMVLTSRGVSPQNAMLWSIITSLPQPLVAVPAFLCADAFSKFLPFCTGFAAGCMVWMVIAEVLPDAFKEASPSQVASAATISVASMEAFSTLFESFTHDYNSEDASGFFVSLLFGLGPLLGGGFLVASALTFRLQHALLMGVASGTAFVLGLWRPLQLLLSAKMGFIPLVTLLAVGAVLSHVTSSTILNITSRKKSRAGSLISPVTNFPTSMITLQSLLACGAVGFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGTAVASCVFGATDSWHAALAAAALIGFVGPVSAIGSILAGIDYSGLDHVMMVACGGLLPSFWQVVKRAVKLEIKKGSVGMVLGVACAVVCLTFTRLVCLHTPYCNSAPEAVR >A03p048640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20811549:20812490:-1 gene:A03p048640.1_BraROA transcript:A03p048640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCRDVVEIERCREDNFLVYLVEQNCIKPFCDLLVYSYCLDGLENILRAGEAEKKKPGDVNCYSQLIVDAQGKEKIRNLQRRKDHEFRVLKIYCPLLLED >A09p068130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53512177:53513251:-1 gene:A09p068130.1_BraROA transcript:A09p068130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTNASEETIIDASKEQHETVDEMLARHRQEIKQLQNKETELKKAAAKGSKAEQKAKKKQVEEDISKLSTKLKEKQLKELASQGFSSSTSSSISKDETNEKKGDIDTLVRAIAGVSVTAQQEHSKPSKSVKRREKRAKEEAEREQRIKEEQSNVTSDRMVENQKLEKKLKPLGLTVSEIKPDGHCLYRAVENQLASLSGGASPYTYQKLREMAAAYMREHKTDFIPFFLSETESESESAEERFEKYCREVESTAAWGGQLELGALTHCLRKHIMVFSGSFPDVEMGREYKSGDGSSLKLSYHRHAFGLGEHYNSVVVVNNITG >A05p009150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3762124:3764444:-1 gene:A05p009150.1_BraROA transcript:A05p009150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFSAKSTFQNIPISLLRRCISSTSQTAMAASDEFLGRLPPFEYIPPPYTGPSADVILNQRKEFLSSYTSCLYKKPLNIVDGKMQYLFDESGRRYLDAFAGIAVVNCGHCHPHVVKPVIDQIKRLQHPTTLYLNHAIADFSEALASKLPGDLKVVFFTNSGTEANELALMMAKLYTGYQDIVSIRNGYHGNAAGTMGATGQSMWKFNVVQTGTHHALNPDPYRGVFGSDGEKYARELHDLIQYGTTGHIAGFVFEAIQGVGGIVELAPGYLSAAYDIVKKAGGLFIADEVQSGFTRTGDFWGFEAHNVVPDIVTMAKGIGNGFPLGAVVTTPEIARVLTRRCYFNTFGGNAVATTAGLAVLNVIEKDKLQENASMVGSYLKGRLDQLKEKHEIIGDVRGRGLMLGVELVSDRKLKTPATAETLHIMDQMKELGVLVGKGGFFGNVFRITPPLCFTKDDADYLVEAMDYSVSKM >A06g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4256219:4257425:-1 gene:A06g501170.1_BraROA transcript:A06g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINGECIEDLKTNLLSKVHDEIQKMKIDMMKQFINLGETINHLAEIVREMKAGDGRVNGGTASQPATRIMKGAADLQVPEESMELYDWIYGAPIFDVYDDEEPSYDVFDDAVPINGDEDSSFLGFEYIEKNIQAMDSKIVEDSSFAPLPNKDIFKEFIDVSHIEAVITRIWIQSVAHKTYGRSITAPTHPIQSHELPTSKPKMLNEEQPVPGKPPPLRDKKFTPSCVFRKGVLATSIKGVD >A09p030580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18657812:18659756:1 gene:A09p030580.1_BraROA transcript:A09p030580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIHPMPKIELHAHLNGSIRDTTLLELARVLGEKGVIVFSDVEDVIQKNDRSLDEVFKLFDLIHMITTDHQTVTRITREVVQDFALENVVYLELRTTPKRNDSIGMTKSSYMEAVIKGLRSISEVDVEFVAATDSEKLHNSCDGVGRKKIYVRLLLSIDRRETTESAMETVKLALEMRNVGVVGIDLSGNPLVGEWSTFLPALQFAKDNDLYITLHCGEVPNPKEIQAMLDFKPHRVGHACFFKDQDWEKLKSFRIPVEICLSSNIITKSISSIDIHHFADLYKAKHPLIICTDDFGVFSTTLSNEYSLAVRSFGLGKRETFALAKSGIDATFAEDEVKQQLGLIFDSASPEYV >A09g512030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35334070:35335226:1 gene:A09g512030.1_BraROA transcript:A09g512030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IISYTYQTTQTSLSLSHSKAENSLNSVERVGNYIDLSSEGSEIIESNHVNLRYRPGLPIVLHGLYFSVSPNEKVGVVGRTGAGKSSVLNALFRIVEVEKGRIMIDDCDAAKFGLTDLRRVLSIIPQSPVLFSGTVRFNIDPFDEHNDADLWEALQRAHMKDVIARNPLGLDTEVSEGGENFSVGQRQLLSLVRALLRRSKILVLDEATASVDVRTDTLIQMTIREEFKSCTMLVIAHRLNTIIDCDKILVLSSGQALEYDGPQELLSRDTSAFFGMVHSKGK >A09g511940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35208431:35209086:1 gene:A09g511940.1_BraROA transcript:A09g511940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFRSGRLLGSFHGSLLKYNVPDDFQEVFQTISRKSSESLLTESSPMSFLFITNLSVLVFNQMVLIFYMDMYVVCYIKTSRTTYLLVLDDFQKVFQTTSRKSSDEVFFHIKWSPSLSL >A08p014520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9959465:9959809:1 gene:A08p014520.1_BraROA transcript:A08p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGSVDGIFRNIFEGCISSCDASIERRPYHKNCSCALHKRSCRHKRSEVVWFPITRSWSEGNSMALHLTSSSSSSNLHSLSSSSSISTLASLSSTASLTMSDIDSSIEGLKY >A01p024740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12333448:12335425:1 gene:A01p024740.1_BraROA transcript:A01p024740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g14820 [Source:Projected from Arabidopsis thaliana (AT4G14820) UniProtKB/Swiss-Prot;Acc:O23337] MLTASTVSSTAVQDLLSHCKTLGHIKQLHAHILRTVSDHRRSSYLFNLSSSSSSINLNYSLSLFSSIPSPPEPFLFNLLLRNLSRYCRCGFLEEAFKLFEEMKCSTVMPDEMILCNIVSACARSGNARYNSAIYEFLKENDVRMDAHLLTALVTMYAGAGCMDIAREFYTKMSVRSLFVSTAMVSGFSKAGRLEDARDIFDRMEKKDLVCWTTMISAYAESDHPEEALRVFDEMCRYGTEPDEIALGSVVSACASLGVLEKATWVHEYTHRNGFESSLTVNNALINMYAKCGGLDAARGVFEEMPTKNVVSWSSMINAFSMHGEANDALALFARMKQEHVEPNDVTFVGVLYGCSHSGLVEEGKKIFASMADEYNISPKLEHYGCMVDLYGRANRLPEALAVIESMPMAPNVVIWGSLMSACRVHGEIELGELAAKHVLELEPDHDGALVLMSNIYAREERWDDVRKVRRGMEEKNVLKEKGVSRIDLNGESHEFLIGDKRHKRSDEIYAKLKEVVSELKLAGCVPDCGSVLVDV >A05p027470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25197414:25199082:-1 gene:A05p027470.1_BraROA transcript:A05p027470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHEHSTLYKTRSFLMKFTQANSQNYPKLQVKFKQVNSQNYPKFQVEFKQAKSQCSRREAAGTDWPGRTAMDRASASPSSGCDEMSWGRGIFIENNNQSVSGWWQPVCRRMRGGTSYSTWLAACLSLMQVNTPPPTCQDACLECMQDATQVHTCRSACFGCMRRDTSCLVDPPRVPHVISYATASCTDTPQASVDTKLAGLLTPRSDPMQRATSSFSVHSSDFGPSSNFLIRDKLRIFCSHSDEFNIFNKLQSEFRGKLRAEETSFFQNVELRNRRASKNVLLPRHLSDQFKTSSKYGRTTYSTH >A02p042690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26786456:26789670:1 gene:A02p042690.1_BraROA transcript:A02p042690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAMFMSLFAAMALQSPSLVVALDVHLLRQLAAKHNVTTIVVFGDSSVDPGNNNFLNTDLKGNFPPYGGNFVNHKFTGRLCDGLLAPDFIAEAMGYPPIPAFLDPTLTQADLPRGASFASAGSGYDDLTANISNVWSFSTQATYFLHYKIHLTKLVGPLESAKMINNAIFLMSMGSNDFLQNYLVDFTRQKQFTVEQYIDFLSSRMLDDAKMLHRLGARRLVVVGVPPMGCMPLIKYLKGQKTCVDQLNQIAFSFNSKIIKNLELLQAKIGLKTIYVDAYSTIQEAIKTPRKFGFLEASKGCCGTGTYEYGETCKDMNVCKDPTKYIFWDAVHPTQRMYQIIVKKAIASISEDFLV >A06g508080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22475898:22480106:-1 gene:A06g508080.1_BraROA transcript:A06g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAYYRSWMDKPHLDPNTNLLTEEYSSVFRRNESSVFRRNILTNLIPSELSEDVPRPAARLRRSSVSSSRASGSSHEQNSVPAYIPAPAPAAPPAAAQQDPGVMPVDLLVQQPGREHLPVLHPNPRRGHSTWFTKSKNGISRSINQMMYSMLRFGYSKWSVIPFEERELWFRQFAQEFNWHSDLTETDPVIKGVVDLVEAEIATQSQPLSDDGDSTGASTNLSLLQINEMVEKAVPKRKGGRLVGLARRASSYPASSSQAPYADPMILEELHDKDERIGALEEQNTTILSENATIRSENATILAELASQKKFNAEIMQKLDRLMSSSS >A03p009140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3670920:3674232:1 gene:A03p009140.1_BraROA transcript:A03p009140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPVATLPSPRSAADVFWDDSRPPLWFNPSLFLSPVFDSESFISDLRTFVPFDTLRSELRSHLSSLNGELVDHINRDYADFVNLSAKLVDIDETAVRMRAPLLELREEISAFRGSVEDAHVARASGLNQRNDTGAAREVLESSLDAFRVVSKVEKLIQEQQDGATMRESQSMLLERIASELNRLKFHMTHAQNLPFIENLEKRIQSASVLFDASLRNCFIDGLNNRESNVIYNCLRAYAATDKIKNAEEVFRTTIVAPFIHKVIAYEAYDGTLGDGLENDYRQIKLFVARDCKMLLEISSTDKSGSHVFNFLANSILKEVLSEIQKVKPGVFSPGRPTEFLKNYKASLDFLAYLEGYCPSRSAVTKFRAEAICIEFMKQWNVRVYFSMRFQEIAGGLDSALTSASLVFLQDSDSDKRSSPTLMLRQSVALLESLRSCWKEDVHIFSAADKFLRLALQLLSRYCNWVSSAVNARKSNASLTPGCEWAVSATAEDFVYVIHDVNCIVSEVRGDYLGLISDYLSSCPSEVLDVVRKSMLQGVESLENVLPLVKKTIIEVIVDKSVEELSQLKGIAATCMMSNKPLPIRHSPYVVGLLRPLKAFLEGDKARHYLTHETREELLLGTLTEMTRRYYELAAGRLSDARKTGTYLQKSRQNAQKRAGAAASGVTDHNVSGTEKMCMQLFLDLQEYGRNISALGLNPADIEPYCSLWKCVAPPDRQNTISV >A04p008210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6143122:6143817:1 gene:A04p008210.1_BraROA transcript:A04p008210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFMLEDFPRSLQEGFRSVVPKMSDFVRLIKRLLENSWKTLRRLPKKFSNAFYARRRSTKSIKVFCPKWYKYWICILFELNFGKLLRRPLEDSWKTLGRLLGKSSNVFYVRRLPIKSSGSLSKSSA >A03p018430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7516439:7528342:-1 gene:A03p018430.1_BraROA transcript:A03p018430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MNDVKGTPVNITLGSHVWVEDPEHAWTNGEVTEIKGTNATILTADEKTIVASISSLYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRQTYTGNILIAVNPFQRLPHLYSVHMMEQYKGAAFGELSPHLFAVADTSYRAMINEAKSQSILVSGESGAGKTETTKMLMRYLAFMGGRSDTEGRSVEQQVLEEVKKFKLGDPRTFHYLNQTNCYEVSNVDDAREYLETRNAMDIVGIGQESQDAIFRVVAAILHLGNVNFIKGEDADSSKLRDDKSRYHLQTAAELLMCNEKMLEDSLCKRVIVTPDGNITKPLDPESAALNRDALAKTVYSRIVDKINSSIGQDPNATSLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKGHKRFSKPKLARTAFTVNHYAGDVTYSAEFFLDKNKDYVVAEHQALLDASKCSFVANLFPPLPEDASKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPSIFENDTVLNQLRCGGVLEAIRISCAGYPTKRAFDEFLDRFVMLATHVPEGSDEKAACASICDKMGLKGYQIGKTKIFLRAGQMAELDARRTEVLAGATRLIQRQIRTYLTRKEFLGQKKATIFVQKLLRAQLARKLYQNMRREAASVCIQKNTRAHRARMCYTKLQASATVIQTGLRAMDARNKYRHRRRTKAAIIVQREWRRYQAHEAYNQYKKSTLALQCLWRAKVARKELKKLKMAARETGALKEAKDKLEKRVEELTWRLELEKHQKVDLEEAKAQEIANLQNALNELQEKLDEAQAAIIQEKEAAKIAIEQAPPVIKEVPVVDNTQLELLTSQNNELEVEVEKLKGKLEEFEAVCSELEKDNKASLTEAEDAKSKAIQLQEVIERLQTNQSNLESENQVLRQQALAASTSAVEPEELNSLKDRIAILESENETLRRQTAAVEKTVPNEAVSASPKDLENGHQTEEIQATKEPGTPVTVLAKQRSLTDRQKESHDVLLICLTEERRFDNGRSVAAWIIYKTLLQWRSFELEKTNIFDRIVHKIRSSIEKSQDDTRELTYWLTTSSTLLYLLQSTLKFSNTNNSASRRNRSSQTTLFARLVQGMQSSSLGLETSSGYSGMVGISNDQQMVEAKYPALLFKQHIAAYVEKTYGMIRDSLKKEINPLINLCIHAPRPMRARTLRDVTKGSHLNTTAKQQASYVQWQNITEKLEHTLTLMTENHVPSMITRKLFHQVFSYINVQLFNSLLLRRECCSFSNGEYLKMGLHELEQWCLKTEDEAARSPWDELQHIRQAVKFLVLHQKTQKSLDEITKEICPVLSIPQVYRIGTMFWDDKYGTQGLSPEVIKLMAEDSVNMTYPSFLLDVDSSIPFSVEDVSQSFQSGTISISHVDPPPLLRQRSDFHFLFQTLPENNSTEPINISPIEEEDGVCSSTTAVLLLQSAGATPPLRILLLPDKKNRFLSPVAKRASLNRLNSSVIGNSDEELEEEEDDDWEAEFLGEIDPLEVQPPKKRKKQAKSKALDDTEGMDWCVRARKISLQSIEARGLSSRMEEVMPLKKKKKKKKSKKDKVKSKTVPEDDFDSDEEGLEFLDRSMEEDKMGELRKRVSSLAGGMFEEKKEKTREQLVQRLSQFSGPSDRMKEINLNKAITEAQTAEEVLEVTAETIMAVAKGLTPSPLSPLNIATALHRIAKNMEKVSMMRTRRLAFARQREMSMLVALAMTCLPECSAQGVSNIAWALSKIGGELLYLTEMDRVAEVATSKVEEFNSQNVANIAGAFASMRHSASELFAELSKRAATIIITFKGQEIAQLLWSFASLYEPAGPLLDSLDNAFKGSDQFKCCMTKETSNYDEVSDGASGSPALSFNRDQLGNIAWSYAVLGKLERPFFASIWNILTTLEEQRLSEQYREDVMFASQVYLVNQCLKLEYPHLELSLCHELEEKITRAGKTKRFNQKITSSFQKEVGRLLISTGLDWAKEHDVDGYTVDVALVEKKVALEIDGPTHFSRNTGLPLGHTMLKRRYVTAAGWKVVSLSLQEWEEHEGSHEQLDYLREILNGCL >A08p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18106193:18109800:1 gene:A08p029230.1_BraROA transcript:A08p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKTIVSVMFLFSLLFLLPEQGRKLHANAEESSNDVTDPPKVEEKLGGHGGLSTDSDVVHRESESISKKSLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKDVLGEGDTAKLEIQIKLDKAKKILSIRDRGIGMRKEDLIKNLGTIAKSGTSAFVEKMQSSGDLNLIGQFGVGFYSAYLVADYIEVISKHNDDIQHVWESKADGKFAVSEDTWNEPLGRGTEIRLHLRDEAGEYLEESKLKDLVKRYSEFINFPIHLWASKEVETEVPVEEDESAEEESETTSTEEEKEEDAEEEEGSEKKQKTKKVKETVHEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFSEEKPTAWSHFNAEGDVEFKAVLYVPPKAAHDQYERYYNSNKANLKLFVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEVHDDDKKDMEKSGENDEKKGQYTKFWKDFGKSIKLGIIEDASNRNRLAKLLRFETTKSDGKLTSLDQYIKRMKKGQKDVFYIIGSSKQQLEKSPFLERLIKKGYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKVGKDSKVKELKEAFKELTKWWKESLAGENVDDVKISNRLADTPCIVVTSKFGWSANMERIMQSQTLSDAKKQAYMRGKRVLEINPRHPIIKELKDRVASHPEDKSVKETAQLMYQTALIESGFILNDPKDFAGRIYNSVKSSLKISPDAVAEEEVEADETETSEEATETKSDGGLNIEAEPVEKETPTKDEL >A10g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6782579:6786642:1 gene:A10g502480.1_BraROA transcript:A10g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEAFIRFRDDVTKVEKAKHFLAKPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNSKSPPSSLMVEQSIFDEFGVFSSENKSWSSESCYVPRGLEGECSDCCVAEARSLGGFFSGENQRSVERIASQHWISDSLPHINRSTLKRLSLFTRAEQKEINRARTMKQLPDLSLITAGKIGAKKGTDDSAGVGITDAAPVTAERAPTGGSSQGKNSKKKKSKETRKESNEMDQTDLDNPSKKGGKKRKAVEPPAEDVPRKKKTKKQDFSVPRPSSVCEEELQVLVPDATPEVGTSDDDENETIALRRRRREGPQQNRGASVGGQGISEVPRELPASERQQVPLRGDSSAHITEGSETRVSGRPKETPEDGFKFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSSHGDWNVLVGKYDTALRRAREQNRESEEAKKKAEEALRVSSREKTEAIARERSLRKAFDETRTSDAAELQMCKEAMNNLEVVVDKQQKEKVDLERRMDAESLRHSEEMTRLRKSRRYEPAQTAVSSNQHTDQGVDPAERTSVGAVIQKDGAVPTIVLTDSSAKASKNASSSASSSEDPGKEDELPTETPAANVTDSIPTKFGRVSGPGEGDGSGDKDPPVVD >A09p005890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3156937:3162775:-1 gene:A09p005890.1_BraROA transcript:A09p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDFPLSVVSIVEDVLQHYSTRSNDAGLLLPRKLEESSLRRYEAAAWLRETLGVSSGRDFPAEPSEEDFRLGLRSGIVVEAPDDVADGAALSAFQYFENIRNFLVAIEEMGLPSFEASDMEKGGKSIRIVNCILALKSYSEWKLKGGTGPFRYGSNMKNNFGSRKPFLRKSSEPFMSSMSINHSSTDQPLCSDVGQVSGSINGLVRSFLSDRKPEDIPNVIESVLNKVMEEVQQRLSIHNEMMKSSSKPIQEDDSSCEETVVPSQQCEVRQHEETEENSPSKVVEEKIQRINTEHYEEQEILLNQQKHIQELKQTLSTTKAGMRLLQKKYQEDFFHLGNHVNGLAHAATGYKRVLEENRKLYNLVQDLKGNIRVYCRVRPFLPGEPTALSTVEHIDEGTITIRVPSKYGKEGHKPFMFNKVFGPSAAQEEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTFTMTGPKELTEESLGVNYRALADLFLLSDQRKDTTSYQISVQMLEIYNEQIRNNSQNGINVPEASLMPVSTTDDVIQLMDLGHMNRAVSSTAMNDRSSRSHSCVTVHVQGRDLTSGTIIHGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISSLSQKTSHVPYRNSKLTQLLQDSLEADTLGEAISTLKFAERVGSVELGAARVNKDNSEVKELKEQIINLKMALARKGNGNDAQPTSLPHTPERVSRRRSLETPSVRPKLPNIGNAPSNLRQPFSDTASSRRHTLDLHELMRRRQSLNANEEDRESKSGEWIDKQDLEVQSVTDNESDEGVASDCSDSDLLWRLNVQVNVPRVANKQSSENPKPRKVQTRTTKLSETRSLIPSLIPAPSKRTPNTVNSQPQQRVTRDGKRRLSLGNKDLPRIMPVSTSTSCMFRVSLCQKIKRPNTPEKTRRKNQKKTYKKKMKEEKGKRGFIRPGRRRQRRSVSFSEGNGDEELCKKRILMGERCKPINRSGVLQYDGDGILVPEP >A03p066940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28631047:28632250:-1 gene:A03p066940.1_BraROA transcript:A03p066940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDRAVVIGGGASRYALKPTRITSEDILICLDVDAESMVEMKTTGTNGRPLTRMECVKQAIILFIHNKLSINPDHRFAFATLSKSAAWLKKDFTSDAASAIASLRGLSATKSSGRADLTQLFRVAAQEAKISRAQSRILRVILIYCRSSVRPTHEWPLKQKLFTLDVMYLHDKPSPDNCPQDVYDSLVDAVERVSEYEGYIFESGQGLARSVFKPMSILLSHPQQRCAQDDLDIPKSLAKKVPVVEAASVDDNQIPQAMSQ >A06p041590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22395976:22396765:1 gene:A06p041590.1_BraROA transcript:A06p041590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLFLLKEKKGVLWRGLKVSAIFGLGFCTHNALTIYPNELSKKSQKALAKAKYFEEEYRARLARVKERRKELDENLQICRDGLANVEQLKRELEKAERPEPVETCCESHEESVWSFI >A08p000200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:73159:78400:-1 gene:A08p000200.1_BraROA transcript:A08p000200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP59 [Source:Projected from Arabidopsis thaliana (AT1G53720) UniProtKB/Swiss-Prot;Acc:Q6Q151] MRKITHPRLDLRRCVGVNVEVAFIPSVSILLPKTKPNLIDLLSRTFSEKDEKSTRPPLRPPFRTKTTMSVLIVTSLGDIVIDLHSSKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGLGGDSIYKFLYGEQARFFGDEIHLDLKHSKTGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEIAEGLDTLTRINEAYVDAKNRPYKNIRIKHTYILEDPFEDPTQLAVMIPDASPEGKPKEEVEVDVRLEDDWVPMDEQLGAHELEEIIRAKAAHSSAVVLESIGDIPEAEVKPPENVLFVCKLNPVTEDEDLHTIFSRFGTVISADVIRDFKTGDSLCYAFIEFEEKAACEQAYNKMDNALIDDRRIHVDFSQSVSKLWSQFRQKDSQKGKGNGCFKCGSTDHVAKDCVGGGNQASKFIVKDQNRQHGGGEGYDMVFEGDIAERPKREKSHDKDKIRRRSPHGYGEGKRQDRDEGRSPGGYDEGKRRDKDEGRSGRVYGEGKRRDREEGDREDKAASKYDGRRHDREDVRERDRRYDDGGSREKKQRERESREDEERRRRRRHEEMRDDRWSDKGRRRERRDRKPTNGLLQVTSIEEETNNRRRLEMSNNIVVLDNGGGLIKAGQGGERDPLVVIPNCLVKPLSSKKFIFPPPLSDLDVDIDLTSAAVRRPIDRGYLINPDLQRDIWSHLFSSLLRITPTSSSLLLTEPPLSIPSVQRATDELVFEDFGFSSLYLANPQSLVHLYEASRQPGSILSRTQCSLVVDCGFSFTHAVPVLHNFTLNYAVKRIDLGGKAFTNYLKELVSYRSINVMDQTFLMDDAKEKLCFVSLDLHRDLNLARERRTGNVIKSTYVLPDGVTHTKGYVKDPQSAKSFLTLGLSDGGANTVMDKVEGEKKKADMNKNEIDLTNERFLVPETLFQPADLGMNQAGLAECIVRAVSSCHSYLQPVLYQSIILTGGSTLFPQLKERLERELRPLVPDHFDVKITTQEDPILGVWRGGSLLASSPDFESMCVTKAEYEELGSARCRRRFFH >SC203g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:243520:245328:-1 gene:SC203g500100.1_BraROA transcript:SC203g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPAWVAGHLSFREKLVRRQAEKELAQTVSEFPSSSAQLVAPCHGTGVAAPPPQVLPARSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSLQGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELRGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A01p003850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1681179:1683481:1 gene:A01p003850.1_BraROA transcript:A01p003850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRSPSSSSALKWLGFVTAVWVQAISGNNYTFSNYSDALKSLMNLTQLELNSLSVAKDVGKAFGILAGLASDRLSTPVILLIGCFEGLIGYGVQWLVVSRTIQPLPYWQMCVFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDVCTALFSADPASFLVLLSVVPFAVCLTAVLFLREIPPAASVAEENEESRYFAVFNIVAVVIAVYLQSYDIIGVKTGAISIAFASVLLVLLASPIAIPFHAYVRSLNHEEDAEEPLLRSEIAEETVVVGAAAAADNELPPSPKLLKEEEEKKRPVLGDEHTIVETMLTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYTDVSIFVSMTSIWGFFGRILSGTISEHFIKKYGTPRPLWNAASQIIMAVGYLVMALAMPGSLYIGSMVVGVCYGVRLAITVPTASELFGLKYYGLIYNILVLNLPLGSFLFSGLLAGLLYDAQATPTPGGGNTCVGAHCFRLIFIVMAITSIVGVALDILLAFRTKDIYAKIHGIKNAKKPSGNHR >A09p077190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57268920:57269653:-1 gene:A09p077190.1_BraROA transcript:A09p077190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMNGVPCSSRPMRIGPAASKKGVSGQRGFLQFSQAYLCQSKGVKRLSNPSISLQKKRFPPRSVGLRKVPYDAAGAMASSHTLASLVDHSRLQSNGSLWKEDMPCLGRCVQ >A03g501850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5910158:5911714:1 gene:A03g501850.1_BraROA transcript:A03g501850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMAVGSDDDDGRGKRWSSGRGKRWSSDDGRGKRWSSDDGRRKRLSSDNGPEELLFLFVPPKVVEEELEKAMSAYGFEILQTLIVDTEPDEHRCCLLAPREEGWFSLQELKQRLVKLREVEEAAAQGTRKGIAFDDPQLRRRERKRNQRIHKSFCRDCTYTRENGTASSSKEERDEIL >A04p039300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22200983:22201406:1 gene:A04p039300.1_BraROA transcript:A04p039300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTNSTVPGDYFDCNICLENAQDPLPLIYLNVKECPVCDGKVTDTEVIPVYGNGDDSESSSNAKRITNRAVPFIPGSETVEHFRIGLQALAQGDEFGLSNIVFVNVLIKKKKA >A08p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1475594:1477346:1 gene:A08p002660.1_BraROA transcript:A08p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYDGNFMDDLSPLSSPRIREALAMLDQNENGLNPISKAFSQTNVSPDPQSEQRSGGLRNRMVGFDIPSLEIESISPFANSFRNPILVPSPILVISPGFSLSPFLQSPNMLSNFSSQIIPPCPIPNDAPPETVESSGDAHATMIISNNNLPHGPIDVDLPPQGGSDDIPMEKSVYITSHESNVDPTGPPLVPSFDSDVVAEADFMNTISLESGSKDNDKDREYNQEEDKVKDHNVVIEPPSRKRKFQVNIEENMVSNIIGVTRPKNKTQSVIIQVENEENHPDDGFRWRKYGQKVVTGNPNPRSYYRCTYTGCKVTKHVERSVDNVKLVVATYDGIHEHVPPPERISQSSRKNKSGSSMSQDPSMQTLGLGMLHSSVSTSQLLPSPLAPQMDMMQYYMDGLSKLPSLPVNRSHGVMNRNDEPKIDLVIPDGTEGFQGDKEATIS >A05g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24217702:24220161:1 gene:A05g508320.1_BraROA transcript:A05g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRIHGYNVTEDHCLAHLDLGFKFQDLSDNVDGVLGQTYRSNTEFQTTGLLHLTAQPQGSPVTEVATVAVANWSSLSCASGLQEMDIYLALLLFFIVTLLSLTVTSFLSSIKLLLHDIGRFRFSSLRKEKRRRLESSLTVLLSLWLIVSPASAPEGPPVSLYPTAVSTSPPDLDLLPTPPEKNFAVSYGHGTTGDLPLTGVSQKSRSVTIAPSIDRHTWVSRPLRFRYDISVLRCASLLQIWYAGTLINEPTTSSVVASHVLTSELHRPRTSPERSPELSFRLSTVASPLNGHCNSPSAGTTPASSHQIGIGNHCLVSPQRLNGLVIVLVWTRLGLAHLASKSPAVTTWIIKRIGLSNVLHQVNLYPVSLYRTRGSLFQGLLPKSATVLLGSSNFKFRQLRPQLSLPFAGSTVQECGVARFARYYVIAASPSHYAVSSIDGSSQSRLCSPLTPSPHLIATLPKFSISRLYQLLSFRDCTVDDSVCSPTPAASLHSTAMSGDPSIFRDSFQLRSKPIRWVLQRRSRLFGVLSNAGSRTTCEDFLRVSSLLSHIYLSLVLVMLAYQLSVEYLSGCNQFSPAGV >A09p000480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:284213:286351:1 gene:A09p000480.1_BraROA transcript:A09p000480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G00490) UniProtKB/Swiss-Prot;Acc:O65258] MAISSIHTVVCPVSVKKLGAPARVSARRSRVGEWRGASTLSGARPLVLGESVEDRAPPIEDQLADDDDEPFVHFYERDFAGTASVPVYVMLPLGLIDMNSEVVEPEELLDQLRTLKSVNVDGVMVDCWWGIVESHTPQVYNWSGYKKLFDMIRQLGLKLQVVMSFHECGGNVGDDVHIQLPQWVREIGETNPDIYFTDRAGMRNSECLTWGIDKQRVLRGRTALEVYFDYMRSFRVEFDEFFEEKIITEIEVGLGPCGELRYPSYPAQHGWKYPGIGEFQCYDKYLMKSLKEAAEVRGHSFWGRGPDNTESYNSTPHGTGFFRDGGDYDSYYGRFFLNWYSRVLIDHGDRVLSMANLAFEGNSIAAKLSGIHWWYKTASHAAELTAGFYNPSNRDGYGPIAAMLKKHDAALNFTCVELRTLDQHEDFPEALADPEGLVWQVLNAAWDANIPVASENALPCYDREGYNKILENAKPLNDPDGRHLSCFTYLRLNTTLLESQNFVEFERFVKRMHGEAVSDLGLLPRTTQETKLE >A05p012070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5249225:5250226:1 gene:A05p012070.1_BraROA transcript:A05p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLSRSTASRVANRLFSASRTISPSPLPSHSTLISRRSSPSLFHAIGFIPDSTRLTTIRTRMDRSGGSYSPLNSGSNFSDRAPTEMAPLFPGCDYEHWLIVMDKPGGENATRQQMIDCYVQTLAKIVGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEYKDYGAELFVNGEVVPRPPERQRKMVELTTHRSSDRPNKYNDRTRNVRRRENMR >A09g505520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17485403:17486167:1 gene:A09g505520.1_BraROA transcript:A09g505520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGNGSGYVKAEAQRNAKARFFKILGSGYVLEANVLEARFRKLPQGSDSDSDSEAGSGRPMKLPCNVANAKPKFKIAIYT >A06g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6787307:6788128:-1 gene:A06g501830.1_BraROA transcript:A06g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLEPEIVTAALEKPEGDEEAPVDVRDLKMDEKRRLSEELQDLPYDKLETVVQIVKKSNPELSQQDDEIELDIDSLDIQTLWELYSFVTGYKENLSNKKEEDQGFGSERDAESAHNIIQEPATGTERSRVTESGKAIRMSSSPVRQENKAGGSSSSNSSSSDSGSSSSDSDSDSSSGRGSDTGN >SC229g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000083.1:65893:66974:1 gene:SC229g500040.1_BraROA transcript:SC229g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNLIHTSIFPLLHTMVQVFLLSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVHGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEVRESSPYTSASNESLHQVGFELRVELVLCGSYSSGSDEPGSDTI >A09p025940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14982696:14984384:1 gene:A09p025940.1_BraROA transcript:A09p025940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative ALA-interacting subunit 2 [Source:Projected from Arabidopsis thaliana (AT5G46150) UniProtKB/Swiss-Prot;Acc:Q67YS6] MMEVEGSNTSMNRSPQSSFVRSKALYQFKQQKLPACKPVLTPTSVITVFMLMGFVFIPIGFVTLRASRDAIEIVDRYDVECIPEEYRTNKLSYITDSSILKNCTRYLKVQKYMKAPIFIYYQLDNYYQNHRRYVKSRSDQQLLHGQEYSHTSACEPEESSNGLPIVPCGLIAWSMFNDTFTFARESAKLKVSRSNIAWKSDREHKFGKDVYPFNFQNGSLIGGAKLDPKVPLSDQEDFIVWMRAAALLSFRKLYGRIEEDLEPGNVVVVNLMNNYNTYSFSGQKKLILSTSSWLGGRNDFLGITYLVVGASSIIISIIFMLLHLKNPRPYGDNSWNKKSLSS >A09g515750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46482783:46483961:-1 gene:A09g515750.1_BraROA transcript:A09g515750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAIGSFLKLDSVGRIEQLETSDQAKARGENTIISRKLVQVLTPSTASEGNLGPDPVHLLAIKEVKMELEKCSTVQKWPELRPKRLVSVKPQSVSADTKTSKKDTEKWSEIVSDVYLERLAVNWSSVRNVMDMNAGFGG >A07p019300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:11483878:11484312:-1 gene:A07p019300.1_BraROA transcript:A07p019300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNYHSRGSNVGVIIFTVMITLLTRPIIINASSDSSSANMRKLDEVDPIKCSPSCIQNPPPPSPPPPSPPPPACPPPPALPPPPKKVSPNCPPPPPANFLYITGPPGNLYPVDEQFGAAAGKSFTVVKLAGLIGFGVLWVLVL >A08p012290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11401378:11403623:-1 gene:A08p012290.1_BraROA transcript:A08p012290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMILGGSLLFEILSAWRRLMCVKQVISLAETMKSVFFPRSVHPDDLPVAVDDLHGSLMMIYMEVVHLYDLHGSRLRRLTRKSSVGRFTLKSSMILFRDSGKTLLVLDDFHVSRRTDDFRVSHLEKKVFVLFFNCKTNLRRLTWKSSRSFLYKDKLGLHLIWKNIPTEDFQERLPINLSKSAPDLKNMHIKKNLNDLKTEKMSGRLYRSTFIEHTKYISKWKIRTIWLKTYNEKID >A02p016170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:7215236:7215556:-1 gene:A02p016170.1_BraROA transcript:A02p016170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAEEKVGWEEIVDSRLDGRFDIQEVNEVTAFAYKCISHAPRKRPNMRDAVQVLTRVIKVRHARKHQKKAPLLPPTVAYSVERTGDRSGLSENHRRDNSMDSTLED >A10p038640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21552134:21557344:-1 gene:A10p038640.1_BraROA transcript:A10p038640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g04810, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G04810) UniProtKB/Swiss-Prot;Acc:Q0WMY5] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDVIKVDKETLEMLASLGMADTPGFSEVETQAPAPAFGRPPRRTMVHSVITKGEKKRNRESIERMDNGGSVLSLSTPHFPYSPTILRRHSPVTSISYSLKPQPPQPPPEPPESPDLRRPEKSLGSSSSSSSPPPKIPLKNPLKGLTNPNRSSASPLVQSEVASKVSSFGSALASKLRLSSKLSPPPPPPPPPPPPVEETQLRDDLQTDTKPKETREFRQEGKIFVGNLPTWIKKPDFEEFFRQFGPIETTILIKGHHEVEKNAGFGFIIYAAEKSAMKAVEFDGVEFHGRVLTVKLDDGKRLKTKAEQRARWVEEGEEEDAKMSSKSSWHQEREGSRKTLQRILDSNGDNWQAVVSAFEKINKPSRTEFGLMVKYYGRRGDVHRARETFERMRARGITPTSRIYTSLIHAYAVGRDMEEALSCVRKMKEEGIEMSLVTYSVIVGGFSKAGNAEAADQWFDEAKRIHKSLNASIYGKIIYAHCQACNMERAEALVREMEEEGIDAPIAIYHTMMDGYTMVADEKKCLIVFKRLKVGKIPKALEVSRTMKEQGIRHNLKTYSMMINGFVKLKDWANAFAVFEDMVNDGMKPDVILYNNIIAAFCGMGNMERAVQTVKEMQKLRHRPTTRTFMPIIHGYAKSGDMRKSLEVFDMMRRCGCVPTVHTFNALINGLVEKRQMEKAVEILDEMTLAGVSANEHTYTKIMQGYASVGDTGKAFEYFTRLQNEGLKVDIFTYEALLKACCKSGRMQSALAVTKEMSTRNIPRNSFVYNILIDGWARRGDVWEAADLMQQMKKEGVKPDIHTYTSFISACSKAGDMNRATQTIQEMEAIGVRPNIKTYTTLIKGWARASLPEKALSCYEEMKAMGLKPDKAVYHCLMTSLLSRASMAEGYIYSGVMSICKEMVEAGLIVDMGTAVHWSKCLCKIEGSGGELTETLQKTFPPDWSSHHHHSYLDQVSDVDSEDDDVDGESDDDVNLVSGMLSSK >A08p030390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18636970:18637954:-1 gene:A08p030390.1_BraROA transcript:A08p030390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNKDHNSDSDSDGAPEEFTQEQLEDAALRKIERENKARVAREKKESRRRLAEKITPRKPRKVETFEDIEEEEEHEEDPEALANKGFLSKNIIDFLAQREKQKNGSDSEEEEANKEHPRKKKQKSSGIETVIYKEIPPPECLKTGLDFLKKRKAQVPRSSSILNNSSQALRLVTGAKKQPLRK >A09p068770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53771746:53773773:-1 gene:A09p068770.1_BraROA transcript:A09p068770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLMEKNLELIEVQKLEGHTDRVWNAAWNPVSPILASCSGDNSVRIWEQSSLSRSWSCKTVLEETHTRTVRSCSWSPSGKLLATASFDGTTAIWEDLGDEFECISTLEGHENEVKSVSWNASGSYLATCSRDKSVWIWEVLGGNEYDCASVLNGHTQDVKMVLWHPTLDVLFSCSYDNTIKVWWSEDDDGDYQCVQTLDESSNGHSSTVWAISFNAAGDKMVTCSDDLTLKIWGADISMMHSGEGYAPWTHLCTLSGYHDRTIFSTHWSSEDIIASGAGDDAIGLFVDSKQHDPVNGPSFNLLLKKEKAHEMDINSVQWSSSKESRLLASASDDGMVKIWQLETIP >A05g510370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31989654:31990920:1 gene:A05g510370.1_BraROA transcript:A05g510370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRQGHPEPDSESPPVAASSPRSPKLKASSKLNRWSMARALRSGVKIIDRPINAPHRQATTEESDRKTSVVDGDDVAAGKSIYLVSDGTGWTAEHSVNAALGQFEDRLVNRGCSVNTHLFSWVEDEEKLLEIINQAAKQKAMCFYTLANPSMSKSAKEACDQLGVLSVDILGPIIEGIASHLGVSPSGLTRGAPGRVKTLNDAYFKRIEAIEFTIKQDDGTLPENLGKADIILVGVSRTGKTPLSTYIAQKGYKVANVPFVMGVEPPKTLFEVEPRKVFALTIQLVVLQAIRRTRAKTLGVDTEGENRYSGFDLVRKELDFASKIYAKNPGWAVIDVTNKAIEETAAVILRLYHDSSDSSTSVPCISKRF >A06p014470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6500196:6500684:1 gene:A06p014470.1_BraROA transcript:A06p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASYGVFAESDSYSDDSSGGDRRTRRRMDREEADLTKPVNFVSAGTFMPNQEVVRDYSERSDEIDSEDNVINQFDVNVDEEDNLLPEGAKMEKRGGAKGGKKNDGLGGDIGKFEKATKGIGMKLLAKMGYRGGGLGKNQQGIVAPIEAHLRGRGIRVWVS >A02g507240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20547553:20549276:-1 gene:A02g507240.1_BraROA transcript:A02g507240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGSMMLPVSQAPSMCTGGASSSHHKKFENSLSTEEEDLVPSMEDEVNGEEEKFSSFCRLLVIKMKNKKSYVSLSLYRRPIAILYYSPLINLLFKFILIFLSPRYSLALQVYTTPAQHLDFTWSSPLTMTSASATTSTTTSEQTSYIFRFVEMDRHTEAVKALLELQMKFGVGGIGICGVNGLGKSTLARRAYEHISPLFQDHHYFINDSKKVYSYFLISPNKFIHISLSPRYSLALQVYTTPVQHLDFTWSSPFTMTSTSATTSTTTSGQTSYIFRFVGMDRHTEAVKALLEFQMKVGVG >A01p044280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24449153:24455004:1 gene:A01p044280.1_BraROA transcript:A01p044280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPQRLKRNANQGNSVMSSFIFLFLFPFITGCFTASAQDPTYLGYICPSTPTYTNDSTYFTNLETVLSSLSSPDTSYSTGFQNATAGEDPDMVTGLFLCRGDVSAEVCRNCVGYVVEDTLNRCPEEKEVVLYYDQCMVRYSNKNILSSLSTGGVFEQTNTKNVSISEKDRFRELVLSTLNPAATEAASSSRKFAVSKANWTAAQTLYGLVQCTPDLTREDCLSCLQQSINQLATDQSGARFVVPSCSSRYELYLFYNESATTKPPPPPEVSTPPRPAGKGGKSTVLVVAIVVAIIVVVLLFIAGYCFLAKVAKKAYPKSNAFDGDNITTADSLQIDYRSIQTATADFSEGNMIGQGGFGEVYKGTLLDGTEIAVKKLSKSSGQGESEFKNEVVLVAKLQHRNLVKLLGFCLQGEERVLVYEYVPNKSLDYVLFDPAKQGQLHWTRRYNIISGVARGILYLHQDSRLTIIHRDLKASNILLDTDMNPKIADFGMARIFGLDQTQQNTNRIVGTYGYMSPEYAMHGQYSMKSDVYSFGVLVLEIISGKQNSSFNPTDGIHNFVSYAWRLWTNGAPLDLVDPVIVDNCQRSEVVRCIHISLLCVQEDPVDRPTLSNIILMLTSNTVTLPVPRKPGTFFQSIPRKDPIDSVDNTSVTDLYPY >A09p058750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49394207:49398713:1 gene:A09p058750.1_BraROA transcript:A09p058750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVDKLNSSSPPNSDVNEKKSERHQCPPAKSQITKPRLKRGENHYYFCNVLKYKTKTKQTCSNSLLILEFEFNFTVREMEMEFKELKEAIDQVELVDAHAHNIVSLESSFPFIGTFSEATGDALSFAPHSLSFKRNLREIAQLYGTEVSLEAIEKHRQASGLHAFTSKCFKEAGISALLIDDGLKLDKKQDIEWHRDYVPFVGRVLRVETLAEQILDEEISSSWTLDSFTKAFVERLTSYPFSWSFHEIVALKTVAAYRSGLDIDTHVSKEAAENGLVEVLQAGKPVRIGNKSLIDYIVTLSLEIAERHGLPLQIHTGFGDRDLDLRLANPLHLRTLLEDKRFAKCRIVLLHASYPFSKEASYLSSVYPQVYLDFGLAVPKLSVHGMVSSVKELLDLAPTKKVMFSTDGYASPETYYLGAKKAREVIYLVLRNACASGDLTLMEAIDAAKDIFSRNSIAFYKLNLDVNSSSPQRRISLAPQMKEPDVLEDSSSFVRIIWVDTSGQQRCRAVQANRFNKSVKKSGIGLTHASMGMSSFTDGPAEESKLTGVGEIRLVPDLSTKRTIPWTKQESMVLADMLLKPGEAWEYCPRETLRRVAKVLKDEFDLVMNAGFENEFYLLKNVVREGKEEYVPFDFGPYCSTSSYDAASPLFHEIVPALESLNITVEQFHAESGKGQFEVSLGYTVASHAADNLVYTREVIRSVARKHGLLATFVPKYDLCDIGSGSHVHLSLSKNGENVFPASDKSSAHGMSSTGEEFMAGVLFHLPSILAVIAPLPNSYDRIQPNTWSGAFQCWGKENREAAIRTASPPGAPDGLVTNFEIKTCDGAANPHLSLAIIMAAGIDGLRRHLQLPEPIDTNPADVAATLKRLPESLSEAVEALEKDQVLHELLGQKLLVAITGVRKSEVEYYSKNPDACKQLIHRY >A02p006580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2785430:2786376:-1 gene:A02p006580.1_BraROA transcript:A02p006580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRQASSSSRISDDQITDLISKLRQSIPEIRQNRRSSTVSASKVLQETCNYIRNLHKEADDLSDRLSQLLETIDPNSPQAAIIRSLINE >A08g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16288690:16290265:1 gene:A08g508730.1_BraROA transcript:A08g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPRNQNGARRGRKSNNEARTTIDGETTREGHYLWKDKNCQVMLELVIAELRAGDYRSRMPNAAAKKRIENKYFELAGEKICWDPEITNKICYLRKLWSINGQLMKRTGVAVDQSTGQIDMMQTWWADRIAEYGNKGKFVSVLQKNPLPFKDLLDQIFGEHDVEQDERYSPHMLGQHIQQIQPSLPSNDDTVFDQMQEDQSVEQIADATNDQGSQPPTRRTSARRPSRRRSSFETQVESGFQRVIDTRQDILEELRSRKVQKLSYGDATAVLEKLPIEQLGVFWWAANKLLKNEVDVREAFIKIESQDIKIRYLESLVGIDRYGNPCTHVDLLMTSQNLFQNVGMTGTSSMGTETVRTDFMGLLGMHSSELEESTKNAARVVHIHDDGSDAEKLDLRIIYLLSRGTENLEEDELVELMLLEEEEFMQ >A02p042650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26769706:26771163:1 gene:A02p042650.1_BraROA transcript:A02p042650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlI-2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G45930) UniProtKB/Swiss-Prot;Acc:Q5XF33] MVSLLGTSPSSILSCPRLSSIPLTSPVCFRPGNTFGGKLYRRIQSETKKSRSRHHVLVTNVATGINSIEQAKKIDTKESARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEITVVAGDPYNSDPRDPEFMGKEVRERVRKGEELDVMETKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDSNPKEFRESYLEEQMKLQEQITSARSNLSGVEINQDLKVKISRVCAELDVDGLRGDIVTNRAARALAALKGRDHVTAEDVGIVIPNCLRHRLRKDPLESMDSGIVVTEKFYEVFS >A09p079450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58485481:58486086:1 gene:A09p079450.1_BraROA transcript:A09p079450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNSKAPTDAQTKAPTWVFWDINRCPVPAGVDVRFIGPCIKCDVNNLGYMGPLTIIAIGILTDVPLDVLRAIYFSGIALHHVPTVTQHPKLASFSQPMKFHAWINISTFCMQLSVVTLAY >A08p009220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7292887:7294118:1 gene:A08p009220.1_BraROA transcript:A08p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRRISSAEKGKGIDFALQQPSRSARVKVPQPENAEQLRKHSLTLIGCVTNKLDKHCTKCLKLDHELKECLVARAEARALKAADEGNTSGHPVGTTKDKNSASSHDPDILRARDQPLNPPFQFSASIRNNEKGKSYTNEPQTNSWQERSGHRRSYLASERSGHGSERAPRDREYYRHRQLPAPPSRSFYREVPKQPPMLEDIDSSASKSYPENADRGIPHQIMDESLPHIALQDTVGEVRDAMKQYSQCADPTEREA >A06p044660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23980564:23982098:1 gene:A06p044660.1_BraROA transcript:A06p044660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDAANKGVNGTASAKKPTVIFVLGGPGSGKGTQCAYIVEHYGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAIQENGNDKFLIDGFPRNEENRAAFEKVTEIEPKFVLFFDCPEEEMERRLLGRNQGREDDNIETIRKRFKVFLESSLPVIQYYEAKGKVRKIHAAKPIEDVFQEVKAVFSPEAEKVEA >A07g508490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23399618:23400704:-1 gene:A07g508490.1_BraROA transcript:A07g508490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTTRYIVYELMPNVSLKSYLHGSGSSRGSATTITWPMSMKIALDIARGLEYLHEDFGLAVVNGPKKKNLKLSGKVGQLTEKSEVFAFGKKTVEKLGPGECETIITWAMLYLTDRTKLTNVIDPLLRHDGLETSLPGSSSGGFVRAARTEL >A05p021880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10432090:10433290:-1 gene:A05p021880.1_BraROA transcript:A05p021880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGETIDSIKSIQIRQLLTQAISLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGRDIPIVHRVIKVHERENTGDVDVLTKGDNNYGDDRLLYAEGQQWLHRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >A01g510900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30169012:30170907:1 gene:A01g510900.1_BraROA transcript:A01g510900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLMADTSSRTDVSTDGDTDPRDLGSDRGQTMIAVASDSSGSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTGGNGALAFDAEHSRWLEEKNRQMNELRSALNAHAGDAELLIIVDGVMTHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQVMGLNSLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSDNVASYMGQMAMAMGQLGTLEGFIRQADNLRLQTLQQMIRVLTTRQSARALLAIHDYTSRLRALSSLWLARPRE >A02p000450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:272229:273751:1 gene:A02p000450.1_BraROA transcript:A02p000450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCISGLGEDEVSSFFESSPPLKNMEEIVQKLNAFIHLNSSAGGRRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTENFVKAGYAVIFLFRRGTCQPYSRSLPDDPFLECFKFPDNTNIQVNASHVEAVKVAVMDQQAAVAESRLLKLPFTTIYEYLQMLQLIATALKDFGPCSMFYLAAAVSDFYVPWKSMTEHKIESGSGPLDIRLAQVPKMLSILRTNWAPKAFCISFKLETDSKILIEKATKALRKYKVHAVVANELSTRKEEVVVVSSSGNVVVRCDSEKPGSIVEDNLIRLIVDRHSTYIKEFST >A06p021810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10850163:10851259:1 gene:A06p021810.1_BraROA transcript:A06p021810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAERDQDIPSSRIPIIDLSNPDQELVARAVVKASEEWGVFQLINHGIPTELIQRLQNVGRRFFELSEGEKNEVAKLDDSREGYARRYALDLEKRIGTVDQLFHNVWPPSAVNYSYWPKNPQDYREVNEEYTRQVKMLSEKIMEWLSEGLGLRREAINEVVGGEYLLNVNYYPPCPHPDVIEGLDAHTDISGLTLLLTNEIPGLQVFKDDQWIDVEYIPFAVIVNISDQILRISNGKYKSVLHKTTVDKEKTRMSWAVLVRPTNDMVVGPFTELIGNDPPKFKSMVYKDYIYRKVRNLPFVDLDS >A09p020200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10736024:10737249:1 gene:A09p020200.1_BraROA transcript:A09p020200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIPMDPVILKQNAHQQRAYMTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAVMPDVPEEHKEILRSQGCIMREIEPVYPPDDQVSYARDYYIINYSKLRIWNFIEYNKVVFLDADIQVYDNIDELFDLPDGYLHGVIGCFCEKTWSHTPQYKIGYCQQCPEKVKWPVEMGSPPPLYYNAGMFVFEPNLSTYESLLQTLKVTPPSEFAEQDFLNVFFEKVFKPIPLVYNLIMSVLWRHPENVELENVKVIHYCAPGSKPWKFTGEEAYMDREDVKMLVKRWWDIYNDESLDFKS >A09p051150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45246025:45247528:1 gene:A09p051150.1_BraROA transcript:A09p051150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKSAMLIFLLAMLIASCATAMDMSVVSYDNNHHVVGSSGHRVATGPSHSDSVFDAEAMLIFNSWMAKQGKVYNSVAEKERRLTIFKDNLRFITNRNAENLSYRLGLTRFADISLHEYTELCHGAAPKPPRNHVFMTSSDRYKTSAGDVLPKSVDWRNEGAMSEIKDQGHCRSCWAFSTVGAVEGLNKIVTGVLVTLSEQDLINCNKDNNGCEGGKVETAYEFIVKNGGLGTNKDYPYKAVDGVCDAHLKENNKNFMIDGYENLPANDEHALRKAVAHQPITAIIDSSSREFQLYKSGVFDGTCGTNLNHGVVVVGYGNEDGRDYWIVRNSWGNTWGEAGYMKMARNIVNPRGLCGIAMRASYPLKNSISTDVRSMT >A06g500690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2977159:2978451:1 gene:A06g500690.1_BraROA transcript:A06g500690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKSYLHLTAAAFSEMKVDDNAMDVTTFHLALLYFLLIRDFSTPQHSIRLLLFPYLLTRASASQLRREPEDLSVQFLVITMANSQVFLADLKAGRCSNVAEVQREARNVRKGGELTSVDMLVVDENFKERFACVLPAFSFYQRNAVNKVRFQVLLTFKYSIGYSIEDVHPNGGIKKFKSSVYSNFIFSFRLNQNWFPNPIVLKSCRSYNKVRWLCLQIGFKGYEK >A07p015600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9673950:9675566:-1 gene:A07p015600.1_BraROA transcript:A07p015600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLNFKAPLLSTRRMHNTAVSVRRNKRNNNFTLDSKTSEMGLDQVTEAASVPFTWKQSPGRLKGHDSSPQKVCDQVVTPCLPPGNLSFRAKQVEEDSEDVFSDAHDTHSYFSANHSITGVSGYSVVETKNPSEDPQSRDFMLNRFLPAAKAMTVEQPHYGSNRKPSSFMSEPTIQTRDLVPEEKRKTPNRYDKSTLLPYYDNQDVDNEESEEDEDAVSEEAYLSRRGCGMFPQLCFKESVAMLNTGFKSKQNSPSHDQVKSSKVSQLKSRFQSIKQLALDSVSKHKLGGKVLSPVHPNLTSSASMSSSPYRHTKCMSPFRNGSPFHRDTRKETESLRANRLNKHISNISNASASSLFEKTVYVDTEDSPKTNIMIFPEEVEKKPDTNLDLEELGKTNSSPGRSLLAPPLPKKPSESWLLHNLSSVVNSQTSSRRYTFHPKEESFNENSISVTKWETIVKTSYMHRDHIRYSEELVAHTSLQ >A09g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10778027:10778937:1 gene:A09g503390.1_BraROA transcript:A09g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEINDALAMEICRDTSINSWDGWCSSPACNREDQELMQKLPDSSKSNAVEQHKICFTQFKSEKFATLLLLQDSFGKKLMDRIRPTQPRSCTVLFVRLYHGVSTQLRFLPC >A02p004310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1865535:1865975:1 gene:A02p004310.1_BraROA transcript:A02p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIGKCSKIRHIVKLRQMLRQWRSKARSSSVRRCVPSDVPSGYVAVHVGSSCRRFVVCATHLNHPIIRSLLAKAEEEFGFANHGPLVIPCDESVFEESIRLITRSCWFTCTDSLKKNRRGGTRSKLDRLIESRPLLFTEKTIIW >A05p033510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17360912:17361875:-1 gene:A05p033510.1_BraROA transcript:A05p033510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSSVRVNPSSSKLGHKNGNLNFLCFWFKLAMLVVDKEEAPNSCSSYSQRRHINVKRLTSSKLDWQRTTKLLHGAIAVVIRDERTSSRHGEEHLRN >A09p050860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44571409:44572148:1 gene:A09p050860.1_BraROA transcript:A09p050860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYKPTFRGNRSGYVEKEAGTWNRKRIEAQKRDFLKNSEAEYFRSVLEARFHKLPQGSDSDSSSEARSGHPMKLPCNVGRNCLQVQKDFTV >A07p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10742803:10744174:1 gene:A07p017740.1_BraROA transcript:A07p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HHO3 [Source:Projected from Arabidopsis thaliana (AT1G25550) UniProtKB/Swiss-Prot;Acc:Q9FPE8] MAKKGDNMDYTLKKKRCEEYIEALIEEQKKIQVFKRDLPLCLSLVTQAIESYRKELSEFSRSEHIQPEGSERTTSECEGRDGCIALCEEFVPIKSCERVKDDEAENNVDKKKSEWLQLWNQSPDPQSIEDQTTTVVAAVKENCDAFQKGKLAYSQPLKAITSTPTASSSTAETGGNKKEMEQQKQLQMHRKQRRCWSSELHRRFLHALQKLGGSHVATPKQIRDLMQVDGLTNDEVKSHLQKYRLHTRRPATPTLTNGCENPQQQQLIVVEGIWVPSKDAVNNRVYAPVAVQPPPRSSPSEPRSIQRCKPPTSSSSTHPLHLPLS >A07p005730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1986135:1987238:1 gene:A07p005730.1_BraROA transcript:A07p005730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRILKELRELQRDPPMSCSAGPVGEDMFHWQATIMGPNESPYTGGVFLVTINFSQDYPFKPPKVVFRTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSVCSLLTDPNPDDPLVPEIAHICKTDKAKYEAMARSWTQKYALY >SC330g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000174.1:2248:2772:-1 gene:SC330g500010.1_BraROA transcript:SC330g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVARGMDQEPVGLSAGVGAVVLLVQETNKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >SC124g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000019.1:107037:122302:1 gene:SC124g500060.1_BraROA transcript:SC124g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCLWLFSTFSCPPLKKEGLGSKGYEAVQASLMVILMKKGGLFDMVGSRGWFMEEEGVGVDTNSSKLAMYHGDSRNKQLCKDRAKSRRDLEVCLGANGRVCKVRARPYGLVRTCTDLYGPGQPKSAQLDHLRCFGIVQSPGQVVQRQRIALKRRRQKRLLREEDKRRSWRQDRLSMSCVSCKEEAIVTLYLNRYKGRARFIRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWVLWWRLKHGERRCFWHGGFKEKGQAKKEMLVLKPTAQICPFMMRVALEVQGTSTGSLAKGRKVRDRPGRTRGRTGRTSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQAVKAKGNLVQDAKSVHMMCSLFIINLSKRGRLYPLGMVAGVYVDTQGEWSSPKSRGDCFSKVAVTSVEMGRSKPGL >A10p003110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1592840:1595522:-1 gene:A10p003110.1_BraROA transcript:A10p003110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRKTIVWFRRDLRIEDNPALAAAAREGSVFPVFIWCPEEEGQFHPGRASRWWMKQSLAHLNQSLKSLGSHLTFIKTHSTVSAILDCVRATGATKVVFNHLYDPVSLVRDHNVKEKLMERGISVQGYNGDLLYEPWEINSENGKPFTSFAPYWKKCLDMSVESVMLPPPWRLMPLTAAAEGVWACSIEELGLEDEAEKPSNALLTRAWSPGWSNADKTLTEFIEKQLIDYAKNSKKVVGNSTSMLSPYLHFGEISVRRVFQCVRMKKIIWARDKNTEGEESADLFLRGIGQREYSRYICFNFPFTHEQSLLSHLRFFPWDADVGKFKAWSQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDIIGWQYISGSLPDGHELDRLDNPAIQGAKYDPEGEYIRQWLPELARMPTEWIHHPWDAPSTVLKASGVELGANYAKPIVDIDTARELLTKAISRTREAQIMIGAAPDEIVADSYEALEGNHTVKNLGKKWLKAVIIKA >A03p005240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2196735:2200375:1 gene:A03p005240.1_BraROA transcript:A03p005240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIKINSISIDLAGAANEINMVKCDHFSIRGFVAETRERDHRKCWPFSEESVTLGNQQSYPLPSSSVPKFRWWRCMSCIKDINADGIKDLGLHANSTSISGEKLDETSSVIPSQSKLNPLTITDQEKERDNDIAGSAVVENENVNCERSQKDDQTVAATTLVKKVHPPSMDASTVRNKIRKLASLEQVGIKRSKVSTSSTDTSSWKEKQNGDHATTFASSEIAGVVEDTPPKAIKNRHKDDKVSSESINLGFQRRKTRKVRLLSELIVDPETKANGGSNNIRQEESSSSKARGRKRRVPPENNYVSRKLSKGGATSDQGDSDSADSGFDRDLIKGKKKNRRFQVVDEFVPSLPSQEGVHENDAAGPSKSALSKDKDLVPQRAEKKLKKKKNKPAIKDNEKSSLISFSSINTSSQSTRDLLNEERVGNSLDDRLAAEGYFRKPIPPQVNDRPENAHVRQRDAEASSLQEFGSSSRPNTGGWLRTGVDAVDFSTNNNNNTDKGFADLFSVLQKEASGADRKGKTVMVQEHHEPLGSQSHDRNENTPEEQNDDITLEIAELMAKNQYERCLPDKEDDVSNKQPPTQEETPHRSKNALLIDLNETYDNETSLEDNNNNTSRPQQKPLDFFPLRQPYVPSSPFGIFPPPTQENRFNSIRFSSGHNNPQWLGNVPAMANQHPSSSSYRVLRGCNTCYQSVPHQYREPASSHQIWPPSIVQRPQCHHHQTPVSSFNMDQSTRLGPTNNNTWNLNFVTPNGKQRCDGNRSMDANESSIPALNLLSLMDPRLRSSNAPVDHHGNANFTRRHFPPSKERIGIETGDSSKTAYPSKQSPFNFYSNGYAPEASRKSFPIGPPLGTSSFSFQSAQAAPWSHHHHHQEQINKSKDAVFPGSNDQGRFQILRGSNSVKLPLKEMNQKRKAESSSNASALPPKNSSASFVCSVNRNPADFTVAEPGNVYMLTSENLKVVRKRAPYKTKASLCKEDAMKQSKKTVGPVTENA >A09p081930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59498078:59500126:1 gene:A09p081930.1_BraROA transcript:A09p081930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSSLIPKTPLKRAVTRATVNLSTPLSTIPAARSLFTGGGGRNGEKASKLHKAIGNAGTFTHRLLGASQTNKPALSRVQSMSYQFVADSHAPRAPSLEAEDGQGVVDSSKKGNAETPRKQQMGENIPKKDKIKFLVNTLLDIEDNKEAVYGALDAWVAWERNFPIASLKRVIAILEKEHQWHRMVQVIKWILSKGQGTTMGTYGQLIRALDMDRRAEEAHAIWRKKIGHDLHSVPWQLCLQMTRIYFRNNMLQELVKLFRDLERYDRKPPDKHIVQIVADAYELLGMVEEKERVLEKYSSLFLGAASDEQSRRSSRKKKKPGVTNPEATAEDAVDAKLQEGIKENMDNH >A05p040730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24882727:24890029:-1 gene:A05p040730.1_BraROA transcript:A05p040730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVACSWSRPTGASRISIGGHLSSGFHRSHHLSSSVSFPSSGDASFLVSCSSTSGPSDHNDSSNRSYSRRWYNPLPRRRHADQMPTSRIARDWIDSDTSTVSEDSDRFTVVSYNILGDRNSSYHKDLYSNVSFPYLKWGYRKRLICEELIRLRPDIICMQEVDKYFDLFSMMEKAGYAGSYKRRTGDNIDGCAMFWKADRFRVLERENIEFSQFGMRDNVAQLSVLELRKSKSRRLLVGNIHVLYNPSRGDVKLGQIRSLCSKAHLLSKKWGDIPIVLGGDYNSLPQSPLYNFLASSELNIMKHDKRELSGQRNRLPNQVLEAGGKPSNTITSINRCLSSSWTNEEIRLATGQENSYWAVHPLKLNSSYASVKGSTNTRDSTGEPLATSYHSKFLGTVDYLWYSDGIAPARVLDTLPIDVLCRTRGLPCQELGSDHLALRKEKKKAEEMALSSMTWAYARIIAGTLLGGTLGFYVMHRVEVSYKMKMEEALRQYEKDMKKRQEEENLGHISEDSVTTPKFREVFKDMVGYTSKCKYFRRTSSAFKRFCSSSSSPEPSSSSPPPTKRPKVKIDAAIEFAAAAEPAGSSSAVRFWLRIKDRFRILDRNLETDTKPEADVLETPTIAGEVVTDGENSKAGKKRAKAPWAKLLSQYSQNPTLYHERPCIHCWTTRSIDCNYGDIAEEIIIPSVQFSYTQFLNNGNFVGAASGKTFPALDTRTKEVIANVAEGDAEDINRAMKAARKSIDEGAWP >A09p009180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4751567:4752973:-1 gene:A09p009180.1_BraROA transcript:A09p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase E-1 [Source:Projected from Arabidopsis thaliana (AT5G63610) UniProtKB/Swiss-Prot;Acc:Q84TI6] MGDGSSTRSNSSNSSNSEKPEWLQQYNLVGKIGEGTYGLVFLARTKTQPKRPIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLFNVHINFADMSLYLAFDYAEYDLYEIIRHHRDKVGHSLNQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIMKIADFGLARIFQAPLKPLSDNGVVVTIWYRAPELLLGSKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSSQNPFQVDQLDKIFKILGHPTVDKWPTLANLPHWQSDLQHIQAHKYDSAGLHNVVHLNLKSPAYDLLSKMLEYDPLKRITASQALEHEYFRMEPLPGRNAFVASQPMEKNVNYPTRPVDTNTDFEGTTSINPPQGVAAGNVPGGHGMGSRSMPRPMVAHNMQRMQPQGGVMAYNFPAQAGMNPSVPMQQRGMAQPHQQQQQQLRRKDPGMGMSGYAPPNKNRRL >A06g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1874174:1879376:1 gene:A06g500430.1_BraROA transcript:A06g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLHVSRRHSSQSDRQVLYSPRLPPPVRANSKGDETFHQRVDRHGRYFGDRVTSEISLVRPLKNKITPDNSSGSPPKEEVREGKTEATSSSAATVESTRETKHTTYPASSSTHTRVSGSSSGLAVGSKTSSTTGAKRRGRPPGAKKTRINSMSMGGSAKKMIFSKNTNCIHCGQTETTEHLLLHCPYAAQVWSLIPKAGSFDPGLCLSVSEAAQTSRTWTCLPPSGISSDIFSWVCWNLWTARNKLLFESRPTCAQATATKSLVNAREWLQAQDLANSPQKNTQIQARPPSIPLGTLNAQRKTKRRQAKIKDIHHQ >A10p004170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2045960:2057610:1 gene:A10p004170.1_BraROA transcript:A10p004170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIVRRVTVQYVSRQTSLAAALLRVYFHDCFVRGCDGSVLLKSPNKDAERDAIPNLSLRGYEVVDAAKSALEKKCPGVVSCADVLALVARDAVLVINGPWWPVPLGRRDGRISRKSEVNLPSPFAGIAALKKNFFDKGLNTKDLVVLSGAHTIGISNCGLINSRIYNFTGKGDFDPSMNPSYVRTLKKRCKPTDFRTSVEMDPGSVKKFDSHYFNIVSQKKGLFTSDSTLLDDPETKRYIDTQVATAGSSFNKDFSESMVKLGFVEILTGNKGEIRRKCAFLLIIIYMLIILGAHTIGVSHCSSFTNRLYNFTGRGDQDPALDSEYAANLKSRKCPSLKDNTTIVEMDPGSRKTFDLSYYQLLLKRRGLFQSDSALTTNPTTLSNINQILKGSVEGFFSEFAKSMEKMGRINVKTGSSGVVRKQCSVANR >A09p001590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1143982:1146724:-1 gene:A09p001590.1_BraROA transcript:A09p001590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At4g02075 [Source:Projected from Arabidopsis thaliana (AT4G02075) UniProtKB/TrEMBL;Acc:Q9XF50] MGEVVIIIDETKSSKQRISRCRICHEEEAESFFEVPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQVYRHGYTAIPKPTKMIEEEEVTIREGGGGRRRRRRLVSITESDFTQSNSVATFFRSLTFTLAVLLLMKHTFDVIYGTEEYPFTVFTVLTLKAIGILLPMFIIIQTISAIQKTIRRRQQYPDSEEDTLSSSDDDEDELDDDEEQQQHLA >A06p004690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1277288:1277932:-1 gene:A06p004690.1_BraROA transcript:A06p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL78 [Source:Projected from Arabidopsis thaliana (AT1G49230) UniProtKB/Swiss-Prot;Acc:Q6NQG7] MSTSMSVPTMKQTQIFQDILRSSYARRLLLDQSPSPAPSPYADDTNFDANVVMVLSVLLCALVCSLGLNSVIRCALRCSSLAPSEAGDNQPAARLSNTGVKRKALKSFQTVSYSADLNLPGLDTECAICLSEFVSEERVKLLPTCHHGFHVRCIDKWLSSHSSCPTCRHCLIQTCQKIADCSQTSSLNTTQPPQDSIIVQIAPLQPDRSIYCFR >A07p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18592567:18597541:1 gene:A07p034160.1_BraROA transcript:A07p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIGFPAMKSLDQIRSMALGSAKNLSFSSSRQPHDSVSSGNFSNLKFTAEKLVKEQASMKSDLELAPRIALLRFGSLLSFCVYPNLSLIDVLKSMYGVVASLELCFFESDVYKLKFDPCGYENSKLKKSKDHILALEEKLQNAFNENAKLRVMQKEDEKLWRGMESKFSSTKTLCDQLTETLQHFASQVQEAEKDKEFFESKFSTSAETIDSLKQQMQDLSVRLSSAEEDIKSREKELEELRFEKEQKENSYLSEQCITASLLNVKDATIANSEAAIAEAKVNIENVNFQIEKLRLELTSKEHEAKYLVGVKENLEQEKMDIRLSADNLSEKLINSDQEVKKLEGFIHSLATELGELDKKNLVFMKNFDKLNALYGTHLMLLQKDRDLASDRAQTLFNQLQGELLSVTFQKEGLQSSGNELYEQREELKKAKDSLVSQLAEERCSARQTIEKLESEAKCLVSTNAETEAVVVKLKEEIEALLENLRASENKTEELMLKLSSSELESKENYEKFQADAQRKAEEMEILQKESQSNQLRVDSLSEEVNQLQSVIEEKQLLIHQCKENEKKLDQKTTEDKELLAAAETKLVEAKKQYALMLENKQLELSRHLKELSQRNDQAINEIRRKYDEEKQEIINAEKEKVEKVIKELSTKYDKELSDCKAESKQQLLAIQEDHASLTLSIQKEHENREFNLKAKHDEELRQAQIQAETELTERITTLRNEHDVQLKAFKCQYEDECKKLQDELDLQRSKEERQRALLQMQWRVMSDKDPEEQELNSRKEYSVSSVKVRAPRPASKRTKHATVMQDGDEQDSPFVKAAETPVTKMSKKVENANTRSLMSSQKHHSKVARREYETETNDGRSTKRRKTGGTVMFEEPRRRSTRITPKAKTPRSIAKVAAIRSHPPQSANLGDLFSEGSLNPYADDPYAFD >A03p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17022444:17023270:1 gene:A03p040810.1_BraROA transcript:A03p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNTLQQMIFPDENAPIHRKKSVTAASVKSTKGTVLGQKKPGGARKALNDITNKSGVHPKASSKNKQLASAAKGEINIAGEMFLHDHSKCIKEQQSLWDDHFSADILLHHDSSSVKEKHLKYDKDMMDGKNNLTCEEPEEIPSPKLTDWLKSSTPWRSPIRHGSMVMPSTPLAWRFDSDEFTLKEDFDDFF >A03p065740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29063591:29064549:-1 gene:A03p065740.1_BraROA transcript:A03p065740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHEEEDHRTDLADLKLHIVKKVGAERCRRYFYYLGRFLSHKVTKSEFDKSCHRLLGRENLLPLHNKLIHSILRNASLAKSHHLLVAIRDRPIPLGQNKKVESLLHQPHCRGNRNMENGGGALLDKGKVAAPVSRDEEAREERGRLTLSTTPVVAPLGIPFWEASVGGACRANRADFISCYDSGGLSDTEMLRKRMESIAVAHGLGGVSPECTSMLNNMLDVYLKKLINSCVDLSGARSTSGKQSNSLPIETSNQPSATTQEQHLVSLLDFRAATELNPSQLGEHWPLLRERLLSLDTR >A03p007820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3187003:3187691:-1 gene:A03p007820.1_BraROA transcript:A03p007820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCNFPQNAAFMSREETMGFDCNDLVVCPKPRRVGVGLLIRPLRLHMSQAASDLCDTKAGAELLDIIRRKEDNNGTISSSPPYFYGSPPSRAVNPLAQDARFRDEKLSPLSPNSPFLQPNSATGFPSPSPSSSSSRGCVRMKFGLKPPAVRVEGFDCLNQNSGIPAMA >A08p025440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16403274:16406309:1 gene:A08p025440.1_BraROA transcript:A08p025440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRYKAGLFLIGTVVVIWVTSAEVTQDIFTAYKQPFAVTYLGASLMIVYLPVAFLKDWLCRYLDRRRSSRANDVSSVEVGSPLRHKVIEMGLQGTITKKDSEEDFSSHEEDVRPLIGRGREETQRHGKEIITTKQIVLYGLYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGALLGQDTLNLSKVVAVFVSMAGVVMTTLGKTWAADDSQLNSSLNGQRSLVGDLFGLLSAVSYGLFTVLLKKFAGEEGEGVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMMIHGRHYSAIYILGSTQVFAGFVIANISDLFSKKLESTMPCRVVLLAFKDFKTNHCSTSTEDMEIFITSTLESSKKKGTSYSRNATTTKERCEYPNAIRECNLALEATETFSVLALNKLDYSFRDARIVLNMEPENVSWIGGCRCVK >A09p005050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2790845:2791327:1 gene:A09p005050.1_BraROA transcript:A09p005050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding protein CP1 [Source:Projected from Arabidopsis thaliana (AT5G49480) UniProtKB/Swiss-Prot;Acc:Q9FDX6] MCPSGRLASSTTASNPDFRPAFEIIDADRDGKISSDDLRAFYAGIPRSSSDKDEDEMMMIGTMISVADANKDGFVEFDEFEKVLEAAPRGCRSGDGLMRDVFKVMDKDGDGRLSYGDLKSYMESAGLAANDDEIKAMIRLGGGDLNGGVSFDGLLKIFGC >A09p053080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46189760:46191259:-1 gene:A09p053080.1_BraROA transcript:A09p053080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSLLSTMLLALALSFVCLFSVGHAQELSGNARVSALFAFGDSMLDTGNNNNLRTLTKCNFSPYGRDFAGGKATGRFGNGRVFSDLIAEGLSLRTLLPAYRDPNLSNNDLPTGVCFASGGSGLDERTASSQGVIWVTDQVKDFKEYVTKLNGVVGEQTNALISNAVYLISAGNNDLAITFATGRAQSTISDYTDLMVTWTDNLLKSLYDTGARKFAVLGTLPLGCLPGARDAAGNFLKVCAYPANQWADTFNKKLAAKLNNLGTTLPGAKFVYVDMYNSLLDLINNPQASGFIDAADGCYMPTISPVPCPDASRYVFWDIGHPSEKSYQTISPKIIEELKEKLA >A09p073720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56115415:56116847:-1 gene:A09p073720.1_BraROA transcript:A09p073720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLVLKGTMRAHTDMVTAIATPIDNSDTIVSASRDKSIIVWKLTKDDKSYGVAQRRLTGHSHFVEDVVLSSDGQFALSGSWDGELRLWDLAAGVSTRRFVGHTKDVLSVAFSLDNRQIVSASRDRTIKLWNTLGECKYTISEGGEGHRDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLSNCKLRSTLAGHTGYVSTVAVSPDGSLCASGGKDGVVLLWDLAEGKKLYSLEANSVIHALCFSPNRYWLCAATEHGIKIWDLESKSVVEDLKVDLKAEAEKSDGSGTAATKRKVIYCTSLSWSADGSTLFSGYTDGVIRVWGIGRY >A08g506330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10825019:10825387:-1 gene:A08g506330.1_BraROA transcript:A08g506330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILESFGAFGSAELHRRVRCLAMDGDFLTVRLSLYFDTRYRFELAFQCHRYQVNQHPLAEVMPVLLKRSQSASREEAAEKRKPRRSMQHSARQSMEIPDCGPCIFYDCVKLRSNHKLPECP >A02p050130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30988794:30989570:1 gene:A02p050130.1_BraROA transcript:A02p050130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSLTSFISSISPFKPQTKPAPPPPSLTLPTPTVSHRRKNDLAIEKPPSSTASLSSELASVICPSLAYANTLFFSSSGYNVQVFVEDNESEERLVNRFRREVMRTGVIQECKRRRYFENKQDEKKRRTRDAAKRNKKRRPFAKLTQETREEAAAAATKSKKKDEEEDNWEMPDGDVPS >A03p064500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28049344:28052479:1 gene:A03p064500.1_BraROA transcript:A03p064500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEETTKKKLQTSYFDVVGICCSSEVSLVGEILRPLDGVKEFSVIVPSRTVIVVHDILLISPLQIVKALNKARLEASVRPYGETSLTSQWPSPFAVVSGVLLALSFLKYFYSPLEWFAVVAVVAGVFPILAKAVASVTRFRVDINALTLIAVIATVCMEDYTEAATIVFLFSVADWLESSAAHKASTVMSSLMSLAPRKAVIAETGQEVDVDDVGINTVVSVKAGESIPIDGVVVDGSCDVDEKTLRGESFPVSKQRDSTVLAATINLNGYIKVKTTALARDCVVAKMTKLVEEAQKSQTKTQRFIDKCSRYYTPAVVVVAACFAVIPVLLKVQNLSHWFHLALVVLVSGCPCGLILSTPVATFCALTKAATSGFLIKTGDCLETLAKIRISAFDKTGTITKAEFTVSDFKSLSPNINLKTLLYWVSSIESKSSHPMAAALIDYARSVSVEPTPDRVENFQNFPGEGVYGRIDGQDIYIGNKRIAQRAGCLSTVPGIEANMKRGKTIGYIYIGEELTGSFNLLDGCRHGAAQALQELKSLNIKTAMLTGDNRDAAMSIQEQLGDALDIVHAELLPQDKARIIDEFKSQGPTMMVGDGLNDAPALAKADIGISMGISGSALATETGDIILMSNDINKIPKGMRLARRSHRKVIENVVLSVGIKGAIMVLGLVGYPLIWAAVLADAGTCLLVILNSMMLLRDEREAAPVCYRASPLSPVKLEEPEVEDLEVGLLQKSGETSKKSCCSGCCSGPKDKQTGDLESF >A06g509870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29785031:29785696:-1 gene:A06g509870.1_BraROA transcript:A06g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKVVCLPPSGSSINILPWVCWSLWLSKNQLLFEAKGSTPEEVALKSLTYAREWISAQTHQKPDQALARRVQTNTQEARRIPNLIRCQTDASWQKDTRRAGLGWIFYNGNESILRGSEAQDFVGSPLIAETLACRSSLRHAISTGFENLRVLSDNQTLVRAINSELLITDIFGIIADIKVLSASFSSISFAFVSRSANEEADSLSRQALSHASFVSVTGL >A04p015270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9520803:9521786:1 gene:A04p015270.1_BraROA transcript:A04p015270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVPIRRTDGAPNGAFRTFGLYWCYHCHRTVRIASSNPSEIACPRCLRQFVVEIEMRRPRVTFTPAAPPLDASPETRLLEALSLMFDPPIIGRFGEDPFLRARSRNVEHEPTTPLPHHRRRHSLDNNNDGSLPPPRRTYVILRPTDPTSPLGNRIEPAPPRRMNPRDFFTGGSGLEQLIEQLTQDDRPGPPPAPEPTIDALPTVTITSKHLTNDTSQCTVCMEDFVPGGEATELPCKHIYHKNCITPWLRLHNSCPICRRDLPPPVNNTVTDSQERGNPIREDVPERRRPRWMQFWNMGPSRARYQRVSPEPEETGHRNTRGNRS >A08p044250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24501654:24503297:1 gene:A08p044250.1_BraROA transcript:A08p044250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVLDFHNWRTKNRPICWKTPRTHSLVREADEQEPFLDSENEDSKIPSVVAEDPTRQLKKLMTTKQVNEYVDFLEILNKEDVFVKILKDPNSEFDKQVQIKTSPRVLPKSGSYPLSGSSRPARIEHKQKENWYAPKQNAAVLTFNVSTDASEEHKPIVPSHGSAVINGFRKIKKLLKNSLKDRKQMKKNEKCSPVSEDDYVERYSLLLEQSFRRAGGGDLRSKSLKVSHEEKKSGLRDDNKPQFFRRVSSLSSLEVLGSFLTELPRDSSARKSVDLDTNLVPKKSLLLSETLVRTEKEEEKNEEQEERSQEAETLLSPGLISLENNNHEEEEEDEDVYFCYVKKLLKFSGFLENEVKWYSEDQPLDPSLLYELNIQEEEEEVNKELLFDLVNEAITETRNQSHIDFPKTFSFAYPNRKRFLDEVWRRVEWSLLGLGAQDSDRSLDDIVGRDFTKGDGWMSLRGESEWLTLELEDLIFDELLDEILCAY >A10p011470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11788581:11790165:-1 gene:A10p011470.1_BraROA transcript:A10p011470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYNQVPSVSAIFSLYTSFSAITMLFRTILNEIVPKRIREYVALKAMDLFSSYFQSNFTFVIEQRWEFVENQTFRAAEVYLPTRLAGLSTGKLLVGSSNLKNPAAEPKLGIPVNTKIIDEFEGIHLEWTLHSVETKKYLPEKRYFHLTCKKEFREKIMTDYFTYLAKSAEKIMSHRENLKIYTYNQDRSKWESAIFEHHTTFETLAVEPQLKNTLIEDLDAFSKGKDFFKSVGRAWKRGYLLYGPPGTGKSSMVAAIANHMKYHIYDLQIQSVRDDGELREILTSTKNRSILLIEDIDCGADASRRRQTKKKKDDGGEDDGEPQKGKKKFEVGISLSGLLNFVDGLWSSCGEEKIIIFTTNHKEKLDPALLRPGRMDVHILMDNCTPFVFKKLVAMYLKTDDHALFDPIEKLVLEVSATPAEVTQQLMASKVADIALKGLLEFLKTKMMKKEEDTKVEEDGEIEDAETKEAEQEEET >A07p033600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18371475:18373035:1 gene:A07p033600.1_BraROA transcript:A07p033600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSSMNGSYENRAMCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEYGDHSTKEGSRASVMDIQRNVASSSGMISRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILERACQTLTGENMAAAAVVAVGGYKGNLESSSPHPFSFPPFQDLNIFGNTTEQVLDHHNFHHQNIENHYTGNNAAATNIYLGKRRPSPSFGNDVRKELLMWSDQDQDLSVNQPFDDEHRIQIQMATHISTDLDSLSENNRGKLLERLSPRRSPLTPMMNPNGGLIQGMNSPFG >A05g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20640720:20642873:-1 gene:A05g507280.1_BraROA transcript:A05g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQEDRRHSKRQQEHINMLSFVADSEYGIPKRCPCGGRLINEVRGKEDYDTLSGKWFFTCRNYEADGLHYRQPWVIGVQEELERLTKRVEEAEQVMLGVSNLSKQIERLEVSQTFVALSSLNMLIHSMSRSMTSLCRSIPSRRSALIDNERSRVGGDSVAGHGLEVQVVVCGLITGKCRFCFFLHCRSLMYYVSLCIMFFLQL >A02g512920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35350507:35351859:-1 gene:A02g512920.1_BraROA transcript:A02g512920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSHASRTDWLVDSALTLWKDRISRFSPLSKKLGFLIPAAIFGATANFVGFVHQIDDGLCSRRSKAIPLDFYSKHIQGAEINKPWKLRSDASDKIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIVKEEADADDAPTFSYDYCFLAEVTATNQKDDKMVSKI >A01g506980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20395037:20398173:-1 gene:A01g506980.1_BraROA transcript:A01g506980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKERETEQRVPMAEDGVDGIDEEDWHTFALSETPLTIPLTQPQTKAIPHEVPDYSVTNAGRPKERVLTIPHSPGGIVITERGDPTRVTRRQTGPSDRAKNKRPVEEDTESESESDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDHSEELPVDDGLHWGKFDEALHEMLNNTNTPVFLEGMLHLYSTIGREQVYNHVQHVICVVHLWRNVMAKYKSSRLANLMSAAARAFTVTEFNKKFIEIQKISPNCAAYLVDIERRKLVKQEMQTLWPFGTQQGILPQPNMISYN >A06p022600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12154128:12155952:-1 gene:A06p022600.1_BraROA transcript:A06p022600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRRDDELASFLTVLPPVDFCCVYGSTLHPNNQDKSKMVDYILGVSDPMQWHSQNLKMNSDHYASWMVHLGGARLITEVADKVGVGVHFNPFVNWNDRKLKYGVVRMNDLVQDILDWNRFYLSGRLQKPVHLLVDNLDIEDVNSVNKRAAVSAALLLLPSKFTEEDLYAKICSLSYMGDLRMLFAEDTNKVNKIVKGQFDLFQSMYKPFLEDCETKHLLRFSSAETNLVQDSSLSASRSLVSSLPASVRSQMGKLLGEKKIMSETGRVSGEVCIASREEAANCMEKVMKRRVMVSSARQAVSGFLAAGAINATMYLSQKMRKAWNSRS >A05g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29566828:29568036:-1 gene:A05g509880.1_BraROA transcript:A05g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLCRDIGPKIILSLPPPSRFCSGDPALNLRRGMISRRCIRGDKGSRGDVSILPTLVVLLRATLEGNLFLLDSVDPMLHKKTAECNMVLDESKTCDSRRKKETLVGTLVSVLCFHVKKKKTNVNLQVVFINMLYKRV >A06p010640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3865735:3869549:-1 gene:A06p010640.1_BraROA transcript:A06p010640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYPHNYYNSRYSGDLIETIEKPSCWPALQFEVREIKRELQAFEAWELRIGSSNTIRCASFIAQSVRNLGLTQSYVAAGHPRWLDHIYANDRSTSGVVVMQAVLLGGVVVWNQIAIHRYSLAHSNRDPTTSRHVAVVGAGAAGLVTARELRREGHSVVVLERGSQIGGVWTYTSQVEPDPLSLDPTRPVVHSSVYKSLRTNIPRECMGFTDFPFAARPHDGSRDPRRHPGHSEVLAYLRDFAKEFDVEEMIRFETEVVRAEPAADEENRGKWRVESRSSDGAADEIYDAVVVCNGHYTEPRHAHIAGIDSWPGKQIHSHNYRVPDPFKDQVLAQGSHHYTEACGLVKSLVSSLNSWMVFFLLPLQIVIVIGSSASGVDISRDIAKVAKEVHVSSRSTSPDTYEMLPGYDNLWLHPVIETARIDGSVVFQNGKTVYADTIMHCTGYKYYLPFLDTKGEVTIDDNRVGPLYKHVFPPALSPGLSFIGLPWQITPFPMFELQSKWVAAVLSGRVSLPSQDEMMEDIKAFYNKLEASGIPRRYTHLMPDDSQFEYDNWLADQCDYPRIEKWREEMFYIGFKRIYAQSATYRDNWDDDHLIVEAYDDFVKFMSSYPELLPLLKT >A09p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35429764:35430885:-1 gene:A09p043460.1_BraROA transcript:A09p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNLLRGSVYTGIKRARYGGFGPFHEATGLESFISAVAFSATNDANDAISVVVSLSLLELPPTQAVEFLHFSDSSSSFFKHWPPSWSEHLTSRYMYKRATKKLAEAETLLVAFTEFEERFKESERARFIYKFGLDHIPTWRAEDLYKKFVAFEKQYGDKEETEDATAGKRRFQYEDEVRKNTLNYDSWFDYVTLIILRIRRSQIDLLKQIVAFCGKLLLLFFLKQVERINYALYGDIETKDVERTQDVYMYTKAYLF >A03p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4108402:4109753:-1 gene:A03p010290.1_BraROA transcript:A03p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDGPNYEPETVPCSNSSCKSKIVPGDGSSGPKKIKSQKTRKRRELMSYSELPEYMKDNEYILNYYRAEWSIRDAFFSVFSFHNESLNVWTHLLGFILFVGLTVANIMHHDKFFPVDAKSPGNVTRWPFFVFLGGSMFCLLSSSICHLFCCHSKDLNVFLLRIDYAGITAMIITSFFPPIYYIFQCTPRWYFIYLAGITSMGIFTIITLFTPSLSSPKYRGFRALLFASMGLFGIVPAVHAIVVNWENPQRNVTLMYELGMAVFYLVGTGFYVGRVPERLKPGWFDRVGHSHQIFHVFVMLGALSHYAAALLFLDWRDHVGC >A10p034950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20206133:20207485:-1 gene:A10p034950.1_BraROA transcript:A10p034950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 20 homolog 2 [Source:Projected from Arabidopsis thaliana (AT5G09260) UniProtKB/Swiss-Prot;Acc:Q9FY89] MGNMFVKKPKITEVDRAILSLKTQRGKLGQYQQQLEKVIEAEKQAARDLIREKRKDRALLALKKKRTQEDLLKQVDQWVINVEQQELSAILGEKLSEEDEEEILAEFDNLESQLNVEDMPDVPTTEPVREEDEILDLPDVPTKQPLAPNAAEIASTKRKVLEEPLEA >A02p043170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27056360:27058584:-1 gene:A02p043170.1_BraROA transcript:A02p043170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLCFICLTCLATSTLNQETEFECVSMYTQSALQHPQMKNHQIQTKPSRELLSMLSTSNDDTITKIVSEGSEECPKGQVPIHNPKTSVTNNFIYPQQFTKEGRLLRQDRRVYKRIKNKQRTKTKNIHIYSSMLSQKYKKHTSKGFREYNQHYAIIKTFENTTKKWHGAQGLFDINKPRMGQQQYSKAWIWLNYIQGNVMSSIQFGVAVHTRLYGDDRPRLTTLWMAEKQQNGCYNALCPGGYVQVHKSIYPGMVYHKVSVPGGEHHTVHLSVAEDPVTKNWVLTTGTKMIGYWPRETYMAEGASEVYFGGFAGTSMAQTLSPPMGTGDFPTKDLTRSSFIKQLKYVLPDYTVVDINSNEIEYYANDPNCYNVMFLKYVDFDSRETLTFGGPGGHCRL >A10p024620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15919678:15920101:-1 gene:A10p024620.1_BraROA transcript:A10p024620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGFKHLVVVKFKEDAKVDEILKGLENLVSQIDSVKSFEWGEDNESHEMLRQGFTHAFSMTFENKDAYVSFTGHPLHVEFSAAFTAVIDKIVVMDFTVAAVKSPVVVAP >A02p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11917944:11918652:1 gene:A02p023890.1_BraROA transcript:A02p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVDNLLNKAIATFAQMEMAGIKPTLRAVLTTHAQSNLQHDHREMCDSIPGGAYLQTYSGTSIFDLNVFIAPGFHKLHTFWDNYAFPVEYVFSLAYVFDVLKVHVDQSQTLL >A09p018380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9614326:9616695:-1 gene:A09p018380.1_BraROA transcript:A09p018380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 2 [Source:Projected from Arabidopsis thaliana (AT1G62440) UniProtKB/Swiss-Prot;Acc:O48809] MLLLPSLRPFFFLFILFSACFLHTRAQEGQGDISSDNIKVDPSLKFENPSLRQAYIALQSWKQAIFSDPFNFTANWNGSDVCSYNGVYCAPSPSRPKTRVVAGIDLNHADMAGYLPPELGLLTDLALFHLNSNRFCGTVPTTFKRMKLLYELDLSNNRFVGKFPMVVLSLPSLKFLDLRYNEFEGVIPSKLFDKELDAIFLNHNRFRFGIPENMGNSPVSALVLADNDLGGCIPGSIGLMGKTLNEIILSNDNLTGCLPPQIGNLKNVTVFDVSFNRLSGPLPSSVGNMKSLEQLNVANNRFTGVIPSSICQLSNLENFTYSSNFFTGDAPRCAALSGDNVAVNGSMNCIAGKERQRSAKECSSPASRPVDCNKFGCNNIFSPPPSFRMSPTVRVLPPPPPSSKMSPTFRATPPPPPSSKMSPTFRATPPPPSSKMSPSVKAYPPPSPKYEPSPPPPPSSGMSPTVRAYPPPPPPSPPPPSPPPPYIYSSPPPPPPSPPPPSPPPPYIYSSPPPPPPSPPPPSPPPPYIYSSPPPPPPSPPPPSPPPPYIYSSPPPPPVEYYPPTTQSPPPPPQYWQTPSPSEPYPSPSPPYYQYNSPPPPPTSYTVQSPPPPPPVDCPPVTNSPPPPPVYYPPVTQSPPPPPPVYYPPVTQSPPPPPPVYYPPVTQSPPPPPPPPVYYPPVTQSPPPPPPVYYPPVTQSPPPPPPVYYPPVTQSPPPPPPVEYHPPATPNHSRPPPPHKGCKDGPSNDHPYQTPTPPSPPPPSYDDTPLPPIHGVSYASPPPPSIPYY >A01p001470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:613349:615077:1 gene:A01p001470.1_BraROA transcript:A01p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSVTGNVNGSPSLKELRESKQDRSGFDGEDCLQQSSKLARTIAEDKHLPSSYAAAYSRPMSFHQGIPLARSASLLSSDSRRQEHMLSFSDKPEAFDFSKYVGLDNNKNSLSPFLQQLPPPYCRSSGGGYGSGGMMMSMQGKGPFTLTQWAELEQQALIYKYITANVPVPSSLLISIQKSFYPYRSFPPSSFGWGTFHLGFAGGKMDPEPGRCRRTDGKKWRCSKDAVPDQKYCERHINRGRHRSRKPVEVQPGQTAASKAAAVASRNTASQIPNNRVQNVIYPSTVNLPPKEQRNNNNSSFGFGHVTSPSLLTSSYLDFSSNQNKPEELKSDWTQLSMSIPVASSSPSSTAQDKTTLSPLRLDLPIQSQQETLEAVRKVNTWIPISWGNSLGGPLGEVLNSTTSSPTLGSSPTGVLQKSTFCSLSNSSSVTSPVADNNRNNNVDYFHYTT >A10g502880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7842710:7846418:1 gene:A10g502880.1_BraROA transcript:A10g502880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFLAKPIPRESASEPRLTRSAGLGPLFDGLCGWVKSIPNIGHPNLATYPEDWRESARIVALQKQDHWEDFTRERIQRSVDRIANQSWISEPHPHINQSTSKRLSLFTRAEQKEINRAKTMKQLPDLSLIVAGKIGAKRGASENRVGPSGLEVVEATPIATEQARTGGSSQGKSSKKSKKSAGGPKDSSEPEHPGADGSSKKGGKKRKAGDPPTEDIPKKKRMKKKELAPPRSSSVCEEELQALVPEAIHEVGTSEDDENETIALRRRRRESRVTEEVSRGALAGDLRSTEVPRGISTSGGQRDRLRNESPAHVMEGSETRVSGRPKETPADGFRFEFNRELPLACYPEDCARLLRLVKGGPDQLPSVGDLIFKDEYEHASCSSVKSHGDWNVLVEKYDSSLRRAREQIRESEEAKKRMEEALRVSTREKADAIAREKALRKAFDETRTSDAAELQMCKESMNNLEFVPTDQDIDPAKQASAGAVVLKDGAVPTIVLTDSPAKASKNASSSASSSEDPEKGDDALAGMPTADATAPAPTKFGRVSGPGEGDGRGNEDPPVVD >A07g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1425217:1426013:-1 gene:A07g500730.1_BraROA transcript:A07g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCWFCGKVGHKKVECFAREKSRNMAKKVNKTFTKPKRVEEVSLAKSGLLDEIKDETSEDRCSSVRSDLQEDQEASSVESGHRVVCDTKGKRALGADGEGLMVKETTHEGSLVLNRCWSKGSSTGVSDRYAVLVIPLGRMVMFWSLVCISHGGEKHGVVHISWAGEKHIWYESFQVRNVVATWLLNQKSVLLDRHTKLKGGD >A04g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13079185:13079792:-1 gene:A04g506200.1_BraROA transcript:A04g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLSDCLIEERQEVVTEKVVCNKLKFTTIIKLSNASKILRMKLAHQLSRIWGQESSRNLALNIQLWTAHRISTSLFCILCAFIYVKKFYGKKFQAG >A06p042410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:22780009:22781361:-1 gene:A06p042410.1_BraROA transcript:A06p042410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLILNARRSRESHQWRNLTSPLSNLLLPQTSPPFATSFSSATASDPTPEDEKKRKTFTVHYLINSLGLTPKLAESISSKASFDSKQNPDSVLKLLRSYGFADPQISTIIATYPRFLVENPEKTLRAKLHFLKLNGASSSEITDIVSKVPKILGKRGHVSITGYFDYVKEILQDQDNVKQTNRTRNVSVLRELGVPHKLLLNLLISKAKPVCGKERFEESVKKIVAMGFDPTSKKFVNALYVFYELSEKTIEMKVCIYKKLGFSVDEVWSTFKKWPYLLKYSEKKITQTFETLRECGLTEEEVRAVVKKYPECVGTSEEKIVGSVETFVELGFTGEEALMIIKRHPQCIGLASDTVKSKIEFLVGKMGWGLKDVASTPIVLGFSLEKFILPRCNVIRALMSKRLIGEMPAISSALTSPKLRFLKNFVKKHEEVLPELISIFNGDCVSLA >A01p010350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5046154:5046763:-1 gene:A01p010350.1_BraROA transcript:A01p010350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRTHSNITKTQKTNKQVTLYKTTLGLKSKTKPVKEGTDSLSTACTNVQHLETCVKARVSHLPPALQRRGDRLLPSAKPKPIKKDAQTESSSRLIKSLPDQRRKRQMRPRKENQLGRRRTKMPVKRNRDSSDEKPPSTLKRHDAESKTGDTKLKKTPHPRIKS >A08g501630.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4091294:4091509:-1 gene:A08g501630.1_BraROA transcript:A08g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCIRYAFQEVLYAVWRERNKLMHGDKLLPLPVIKRMIDKGIRNKISLMRVKGIKGMEKLMQFWFLTRM >A08p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:939522:944029:1 gene:A08p001570.1_BraROA transcript:A08p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKCTLASVLGLLLVFTLFQKPITVCGQSIPAVALFTFGDSNFDAGNKQSLTQVNVAQGFWPYGKSRDDPNGKFSDGFIAPDFVATFMEIPIPIPAALKPGVNVSRGASFAVADATLLGAPVQSLTLYQQITKFNEMKATNWNDDFIKQSLFMIYIGANDYLNFTKNNPNADASAQQAFVTSVTNQLKNEISFLYASGARKLMIQTLAPLGCLPIVRQDYRTGMEQCYEPLNNLAIQHNEKIGPMLNEMALNAPDFQFTVFDFYNVILRRITPQRSLGYRFLRTNVSCCGIGTHTAYGCGLPNVHSKLCSYQRSYLFFDGRHNTEKAQESFGHLMFGADPNVIQPMNIRELVTYPVGEPMREAWLPTTSATVQARASSSSTSPGTPELTPLREHDQSLLRHGKRSRRGTDSIGSSLIGDQSLKSSFERSTDN >A07p036460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19564631:19566562:1 gene:A07p036460.1_BraROA transcript:A07p036460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGDLPISVTDIRMENEPDDLAVAEIDVSDEEIDAEDLERRMWKDRVRLKRIKERTKIDSSQAKETPKKVSEQAQRKKMSRAQDGILKYMLKLMEVCKVRGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEEECLAFGNSDNGASNVTETHRRGNNAERRRKTVINSDSEYDVDGREEASGSVSSKDNRRKQTQKEQPTATSQTVRDQDKSEKHRRRKRPRRTVNGQEEEQPETEQRIVLPDMNHVDAPLLEYNINGTTHHQEEGVLGPEENSLELVIPEFNNNYTYLPPVNGQAMIPVEERPMLYGPNTNQVLQFGSGYNFYNPSAVFVHNQEEDLIHTQIEMNPQAPPHNNGFEAPGGVLQPHNLLGNEDSVTGRDLPPQFQSDQDKLLDSNILSPFSDLAFDSNTFFSGFDSYGVDDHLSWFGA >A07p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27341067:27343774:1 gene:A07p051530.1_BraROA transcript:A07p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKSYWRKQRWGTPPQTLTPLMEGPDPDMQDERAKKESSWEAIREWFKVHKGISGNMSSPSVQPHCNSYDVAAKGQDVRLLLGVLGCPLAPISVVGSDLLPDDPLLGSFQIKNVPFETSTAHYIIQQYLAATGCLKRAKAAKNMYATGIMKMSCCETEIAAGKSVKTLGGGGNGRSGDSGCFVLWQMHPGMWSLELVLGGTKLISGSDGKTVWRHTPWLGTHAAKGPQRPLRRLIQGLDPKTTAGLFAKAQCLGERRIGDDDCFVLKVSADRDSLIERNDAGAPAEVIRHALYGYFCQKSGLLIYLEDSHLTRVTTISPEDEAVYWETTIGSSIGDYRDVDGVAVAHCGRAVATVFRFGETSLQYSRTRMEEIWRIDDVVFDVPGLSLDSFIPPADIFEDDNNISTPVYSNVNSR >A10g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7785218:7785614:1 gene:A10g502810.1_BraROA transcript:A10g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIYYGLDDLAAACKAMEGVEVINGLGCKMSCSSYKVKSESILKPNRYEISYFLDTEDFFHRKKKQKKKSENLAQDKSSSQQRNLYIIERFHNLYK >A06g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28080408:28081537:1 gene:A06g509680.1_BraROA transcript:A06g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVLFMDRLITEATIEEAIHNRNRMLQANAPVEEECIRVLDEKTLEKLRNGDLSMVLCRICHDEDLDSNMETPCSCSGSLKYAHRRCVQRWCNEKGNTNCEICHQGFKPDYTAPAPLLELGHVPLHFRGNWAISQREHPRFITVVPADPTFIHDHHHHHHQYPLSSTTSFICCRSLVLIVRTHDRLLLPFKPSIITPFFTNVSVVAILQFMALLILRHTLPLVLTGSNLHVFPLFMLLFLRILGIMLPIYVVTKAVATCRRHSQNFETSDSEDSSDEEAELWRLPQTQSYIIGVP >A03p067670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29748609:29750941:-1 gene:A03p067670.1_BraROA transcript:A03p067670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTLASGDIEPARLRRDLRITCNLGFSCGGFEDIGMRLEGENLVPRGAEENREVVAETAPFQVERPLFQQTQKLVVGYALTPKKKKSFLQPKLEFMARRKGICFVPIDLNRPLSEQGPFDVVLHKLLGKEWQDVIEDYQQKHPEVTVLDPPSAIKRIYNRQSMLQGMADLNVSDCSGSIYVPKQLVVLKDSASSADRVVEAGLKFPLVAKPLWIDGTAKSHQLFLAYDKHSLAELEPPLVLQEFVNHGGVMFKVFVVGDIIKVVRRFSLPNVSSNCEKAKANGVFKFPRVSSAAASADNADLEPSVAELPPKPFLEALVKELRTLLGLRLFNIDMIREHGSKNVFSVIDINYFPGYGKMPDYEPVVVDFFHNLAQAKHKKRHYK >A03p001020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:556768:558115:-1 gene:A03p001020.1_BraROA transcript:A03p001020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFQKSMIAGIQTVPPVEVTKHRKVRSISVGDPLGAGIFQRTLNIVTYYKHAGDSGDRGWLVGGWMKESLGRALTLHPMTAGRLRWRRKTTAADEDGLEVVANDCGVRLVEARFPASLTEFFEMVKRDKGRAEAETVFWTDIDEVDPQFSPLFYVQVTSFESGGYSVGISCSILLADILLETDFLTKWAQIQSSLAQYQTTLKPLFYLPSNKRIYFLTELSRSASVLDRGEPLVFLAKTCSKMSLACVKKAVANRETTRANVFLFVKEQVGDKNSNTTERDGTKVDIHTSDEAISDCDCGDDIEEETDVGVLDLSLSFDDKFEGSSCWTGSISKGLVFGVPSTFGDTKSLVKFVIALPKK >A02g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2262310:2263702:-1 gene:A02g500760.1_BraROA transcript:A02g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G13050) UniProtKB/Swiss-Prot;Acc:Q8L539] MIGARVFCIPTATLERSSSSSIFFFFPKISTRPVSRVSTTVAMSTTSKSQEELDSIFKQKRVVRTTVRKSLKAMNPSLRTQQDDAIQNTVLEAPWFKSCRRLCAYISCKSLNEVDTSRILSKILQHPGTTTTAASMTNVADKKLYVPWVEDKKSNMRMLHISHMDDLIANSMNILEPALVDALGNEREDVLQADEPIDLFILPGLAFDRCGRRLGRGGGYYDTFLKRYEDRAKAKGWSNPLMVALSYSPQILEDGGIPVTPNDVMIDALVTPSGVVPITPPAIERM >A07p031690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17485247:17491449:1 gene:A07p031690.1_BraROA transcript:A07p031690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVSSFETSHVHSRSPTQLEMKKGKIEEKAKTVPFYKLFSFSDFTDVLLMIVGSIGAIGNGLGFPLMTLLFGDLIDTIGRNLFTNDIVELISKICLKFVYLGLGTFVAAFLQVSCWVITGERQAARIRSLYLKTILRQDIVFFDVETNTGEVVGRMSGDTVLILDAMGEKVGKFIQLLATFLAGYALAFVKGWLLTLVMLASIPLLAMAGAATSIISTKASSQQQAAYAKASTIVEQTCGSIRTVASFTREKQAISSYKELINLAYESTVNQGFSTALGLGVMFLVFFCSYALAIWFGGEMILKKGYTGGAVINVMVIVVTTGQAAAYKMFETIKRKPVIDCFDQNGKVLEDIQGKIELRDVCFSYPARPREEVFRGFSLMISSGTTTALVGESGSGKSTVMSLIERFYDPNSGQVLIDGVDLKEFQLKWIRGKIGLVSQEPVLFSSSIMENIGYGKVGATVQEIEAAAKLANAAKFIDKLPRGLETMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAEYERVVQEALDRVMVNRTTVIVAHRLSTVRNADVIAVLHRGKIVEEGSHLELLKDHEGAYSQLIRLQEINTESKRLEISNGQQDGSIRNGGNSGSRMHGDDDESVSALGLLAGQENTEMPKDMPQDVSITRITALNKPEALILTLGTIVCALDGAIFPIFGLFFAKVIMSFFQPPHELRSNSRYWSIIFVLLGVLSLVVYPTHMSLFAVAGGRLVRRIRSMCFEKVVHMEVGWFDEPENSSGAIGARLSADAALIRTLVGDSLALTVKNVATAVVGIIIAFVISWELAVIILVTIPLTGINHYVQIKFMKGFSADAKTKYEEASQVASDAVGSIRTVASFCAEEKVIEMYKKRCEETIKSGMKQGLVAGLGFGLSFFVLYSVYAACFYAGARLVKDGRTTYNGVFEVFLTLTVTTIGISGVSSSAPDSSKAKSAAASIFKIIDRKSKIDTRDESGMVLENVKGDIEFCHISFAYQTRPDIQIFRDLCFFIRAGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDGVELKKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGEEATEAEIVAASELSNAHKFISSIQKGYDTVVGERGIQLSGGQKQRVAIARAIVKEPKILLLDEATSAK >A04g502720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6275169:6275649:-1 gene:A04g502720.1_BraROA transcript:A04g502720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPHSPPLTRTRTIGLKPGHFIELAELLVHFVQLPSYSSLLSLSLYKVKSKFPYVLNLLSRPWNACLDVLRLACTFPRTMAVPTILFRIGGVTLRKDVKL >A06p021510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10661428:10664209:-1 gene:A06p021510.1_BraROA transcript:A06p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSISLILATAVSTAILFLSTISSAAPTSGVRRPFNRIYAFGDSFTDTGNSRSGEGPAGFGHLSSFPYGMTYFLRPTNRYSDGRLTIDFVAQSMNLPFLPPYLSLRSTNGSKSTAADSYGVNFAVSGATVIEHSFFSENNLTLDMTPQSIETELGWFEKYLETLETRDKVSLFKDSLFWIGEIGVNDYAYTVGSAVSSDTIRELSISTHTRSLETLLNKGVRYMLVQGHPATGCLTLTMTLAAQDDRDNLGCVKSVNNQSYTHNLALQSKLEELRIKYPNATIVYADYWNAYRRVIKNPSKYGISEKFKACCGIGEPYNFQVFETCGSASATACKDPSRYINWDGVHLTEGMYKVMADMFLDGSFTRPKFSYLLNKKLNGL >A08p013770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9273867:9275934:1 gene:A08p013770.1_BraROA transcript:A08p013770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEENSKSWPPWLKPLLQEKFFVQCKLHAYSHKSECNMYCLDCTNGPLCSFCLSFHKDHHAIQIRRSSYHDVIRVSEIQKFLDITGVQTYVINSAKVVFLNERPQPRPGKGVVNTCQVCYRSLVDSFRFCSLGCKISGTSKNFDKKRKDWTNNLSDSDDSYSSTTTSNGRLKKNTDMINNNSFTPSTPPLSAVNGRIVKRRKGIPHRAPFGGLIIEY >A03g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3488213:3489175:1 gene:A03g501160.1_BraROA transcript:A03g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETASEQGMVDEAQKVLEEAEALKKLTPRQEPAVDPTKYTAADVRIVKFLYYKTDQRRGAESENQVKRETEEIAVTVEEILTVEVEIVTGIMTTVNMTDTITSHVAMTHEAGVVRVPCLGKDQEIMIAADAMTATKMLQEMVAARGRYRCV >A03g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21450290:21450524:1 gene:A03g505960.1_BraROA transcript:A03g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCELLDMLCATNDLMGRALSGSDTGVLTMTSCKLDGRVSNLQSLLQRRRGK >A07g504440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8985390:8987922:-1 gene:A07g504440.1_BraROA transcript:A07g504440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHQMVYVCVSGEDVPDGFLSHLKGGLESKGFSVFTHEGKMREEAKNRHKILNRIRVSRFVLVIFSDEFGGSAECLDTLVLVMKRMYLGKLRTIPIYYMMHQHEPKYQKGNFGYMLDQLEKAENRKAAGKSVEAMIHTEVRFNEWREALAFVTNKKGFEFHHQGDSLYSTFIEEIAKHIIEGGNGSISEPQETQTKCQLFGTPVYEDEVEEEHYMAREAITKSFPTHIYSLCTVQLTYSVFDIFVSVQGSDESYGF >A05p022940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11080416:11081830:-1 gene:A05p022940.1_BraROA transcript:A05p022940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEEKTVCVTGASGYIASWIVKLLLLRGYTVKASVRDPSPSHDPRKTEHLLALEGAKERLQLFKANLLEEGSFDSAIDGCQGVFHTASPFYHDVKDPQAELLDPAVKGTINVLSTCLKTPSVKRVILTSSIASVAFNGMPRTPETIVDESWFADPEYCRAAKLWYVLSKTLAENAAWKFAKENDLQLVSINAAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFENPTANGRYCLVERVAHYSEVVNILHDLYPDFQLPEKCADEKIYIPTYKVSKEKAESLGVEFVPLEVSIKETVESLQDKGFIRF >A05g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12574741:12575955:-1 gene:A05g504610.1_BraROA transcript:A05g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKVQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVYGSVHGQGPGADSFCTDMVHQFSQKISTTDSPREGPAADITRISPRISTRTAHGTGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLILHGSVHGKGQHADMCTDMVHQLSNISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGHTRISTRTVHWKGQHADMCGQHADMSSVHGSVHGSVHESVHGKGQHADITRISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGKGQHANMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGQSVCANGQARTSCVC >A08p030370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18624763:18626090:-1 gene:A08p030370.1_BraROA transcript:A08p030370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTDKDRRMSLNLNLSMYSIAKPLSQFLDEVSRIKDNHSKLSEIDVYVGKLEEERKKIDVFKRELPLCMLLLNEAIERLKEEASSVMMASNGKLDVGQGSKLENDNKKNWMSSAQLWISNSNSANEEEDRCVTQTPFQTCNNLNPGGAFLPFKPPPAPLSLMTPMMDCNRTEQNHQFNKPLQSHHHIPKKEQRRRWSQDLHRRFVDALRRIGGSQVATPKQIRDEMKVDGLTNDEVKSHLQKYRMHIRKHPLSSSDQPRETQSLISLSRSGSPQSPLVGRGLFNNNGSHISEDDEEEEKSDGRSWRGESDKKRQVVDFEL >A02p058110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34925417:34928444:1 gene:A02p058110.1_BraROA transcript:A02p058110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAPAAKADEPQPHPPKDQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTALVPQMSGGYEEKAKMIQTILFVAGINTLLQTTFGTRLPAVIGASYTFVPTTISIILSGRFSDTSNPVDRFESIMRATQGALIVASTLQMILGFSGLWRNVVRFLSPISAVPLVGLVGFGLYEFGFPGVAKCIEIGLPELLILVFVSQYLPHVIKSGKNVFDRFAVIFAVVIVWIYAHLLTVGGAYNGAAPTTQTSCRTDRAGIIGAAPWIRVPWPFQWGAPSFDAGEAFAMMMASFVALVESTGGFIAVSRYASATMLPPSILSRGVGWQGVAILISGLFGTGAGSSVSIENAGLLALTRVGSRRVVQIAAGFMIFFSILGKFGAVFASVPAPIIAALYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSVFLGLSIPQYFNEYTAIKGYGPVHTGARWFNDMVNVPFSSEPFVAGAVAFFLDNTLHKKDSAIRKDRGKHWWDKFRSFRGDTRSEEFYSLPFNLNKYFPSV >A02g508500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22914259:22914524:1 gene:A02g508500.1_BraROA transcript:A02g508500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSIISKEDSFRSLSSNDDLEGFNEDEMSLDVNWAKSVSLGVSTDSIGGWIVRKGMHTR >A02p035810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20448088:20449361:-1 gene:A02p035810.1_BraROA transcript:A02p035810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGKEKGLEKDSNQPEHTSKATGFTLSPSGYKDSWFMPDDVIMDQLVDEDNEIMGKATDEDVLTIPNGPMTSSRTKKLNEASGGLLKTTSKQEEVSVDDPIGISIDTPFASSIDYSIMISIDALVKLLCGLIECLLRSLDQLSPYS >A10g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5101328:5102348:-1 gene:A10g501930.1_BraROA transcript:A10g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTPPPGYICHRCNVAGHCIHHCPTNGDSNYNVKRVKPPTGIPKSMLVALIHCQVVQLQLLNQKRKDAFDKEIEGLPSTTRSVGEFTSICCFQSFCVTISVYVLSLNFREFLNHKKQGVTGVEEMLSHFLIFTIYVPRSHDIHEISNKTLHSWRRNEETEKKS >A10p022090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14655190:14656816:-1 gene:A10p022090.1_BraROA transcript:A10p022090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTPPPSQQTPNSPHRRVLIVGNYCHDVLIQNNTVVAETLGGAASFISNVLDSSSVSCDLISKVGRDFKYDVAHPPIVAPEKETTVFKAYFDLAADRVLKRVAACDPILPSDIPDSRFDIGMAVGVGGEILPETLEKMVEICDVVAVDIQALIRVFDPVDGAVKLVDLKESGFRHLMGKIGFLKASSDEALFMDVEEMRRLCCVVVTSGEKGCRIYDKDDETVVPPFVAKQVDPTGAGDSFLGGLVVGLVEGLDVPDAALLGNLFGSVTVEHIGQPKFDLTMLQRVKDEVQRRKKQCNLSSSHNNDHDEFHARLSPARFQDSPLQPKLLVNGHLCDRS >A05g503910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11015125:11016748:1 gene:A05g503910.1_BraROA transcript:A05g503910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEEIPTDIVNISEEIPTDNFRRTPHFIRSSPTFFPISLRRNLSFSCDFEVYSSGYSDDIFLGIFRGNSDEHVVLGISSEIRFLGIPSEISEEFPRKNEIPRSYFRGLFSSVCRRNSVIPTTYRRYFPSVCRCFLVVAFRD >A07p023130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13441317:13444106:1 gene:A07p023130.1_BraROA transcript:A07p023130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGTFRVFCVGTADTKLDELRFLAGSVRSNIGAFSNSNSSPKVEVVIVDVSASPDHKEVENVPDFAFVKRDQLLSSYGSAKLPDDRGEAVAIMSQCLENFLKLAVEDNSLAGAIGLGGSGGTSLISSAFRSLPIGVPKAIVSTVASGQTEPYVGTSDLVLIPSVVDVCGLNSVSKVVFSNAAASFAGMVLGRLTISPASDDNKGKCTVGITMFGVTTPCVNAVQRILTRQGYETLVFHATGVGGRAMESLVEQGFIQGVMDVTTTEVADHVVGGVMACDSSRFDVIIEKGIPLVLSVGALDMVNFGGKDTIPSHFQTRKIHVHNEQVSLIRTTVEENKKFARFIADKLNKSTSKVRVCLPERGVSALDAPGMPFWDPEASGTLVNELQSLIHANEDRQVNKYSYHINDPEFAEALVASFLDICPTTFAPRSEGCSETASTREPDVPKPERIPYSPKSFPNAKPETLERTQSILGRLRDQIEKGVAIIGGGAGTGISAKFEEAGGIDLIVIYNSGRFRMAGRGSLAGLLPFADANAVVLEMANEVLPVVKAVPVLAGVCATDPFRRMDYFLKQLESIGFVGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMIAEAHRMGLLTTPYAFNPKEGEEMAKAGADIIVAHMGLTTSGNIGAKTAVSMEESVVRVQAIADAARRFNPDIIVLCHGGPISGPEEAEYVLKRTKGCVHGFYGASSMERLPVEQAITSTVQKYKSIAMK >A10p034310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19934257:19936261:-1 gene:A10p034310.1_BraROA transcript:A10p034310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKASSQECPYPGCFFCVMKEGNPNKRRSCILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIDLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVEAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFSSVASHGEILELAIQLGMSSLEIVYSHFYQYPDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKAEFLPALCKPEFLVSLPVMWGGLVNENSPAGIGLLRTICQHKLGRGPVSSCSGMMEALCNIARSSDDWQYMAIECLLWLLQDPNTSHKVVDKAVPTLVDLAEITSLGDHKKLGDSIVSVLQECDSVGSRSRELIEETVNARQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGDIAGAAEKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLVAISDATRALSLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSMRQNKVPDYAERLVKKQMRAAWLFKEAALKHGGVHRKGEEREVYGNESDDSEWETASESDIGDDGRDHMGLDEEEEEEEVEGHGEKWKNREKSSDKTEKTSSKGMRHGYSIKLAEEDM >A07p045870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24851229:24852339:1 gene:A07p045870.1_BraROA transcript:A07p045870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ELF4-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT1G72630) UniProtKB/Swiss-Prot;Acc:Q94BS8] MSGTDTHTHTRLLPLHNNYRTEQKYSPTKATRSSFLSLKENKNNQDLSLMESRMEGDVFSGFGERHQMDGKVLQSFQKSFVQVQDILDQNRLLINEINQNHESKQADHLGRNVGLIRELNNNIRTVASLYGDLSHSFARSIDASSEGESTGTNNQKRFRSG >A05p019610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9180923:9181592:1 gene:A05p019610.1_BraROA transcript:A05p019610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEEHSVGREGVDPGILEFQAELIKTHAAPTKERDNLLGVDGMDLDEFHNSLLTNGNNENEDGVVNVEEEKQSDDAEAKTLVAGDTVMKQGARKWLFKPSTITGGSTKMKMYQALISPRKRTVAKTGTLQGDNSKQVEDKGISNPKPGLPKP >A03g509080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29925487:29927714:1 gene:A03g509080.1_BraROA transcript:A03g509080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDPTVKGGTYFPITIKKHLRAQEIAARCRLPCIYLVDSGGAYLPKQSEVFPDKENFGRVFYNESVMSSEGIPQIAIVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEVVSAEDLGGATVHCNVSGVSDYFAQDELHGLAIGRNIVKNLQMAAKQGKEGSKNVEYKEPLYDITELRSIAPVDHKQQFDVRSIIARIVDGSEFDEFKRQYGTTLVTGFARIYGQTVGIIGNNGILFNESALKGAHFIELCSQRKIPLVFLQNITGFMVGSRAEANGIAKSGAKMVMAVSCAKVPKITIITGASFGAGNYAMCGRAFSPDFMFMWPNARIGIMGGPQAAGVLSQIERATKKRQGVKWTEEEEEVFKKKTVDAYEREASAYFSTARLWDDGVIDPSDTRKVLGLCLSAALNRPLEDTRFGVFRM >A07p026610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15202387:15204016:1 gene:A07p026610.1_BraROA transcript:A07p026610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAENTNKEMKLSDSMDNNNNMKGTHIHLEVLPKEPALVKPESETPKGLYFLSNLDQNIAVIVRTIYCFKSEERGNEDAVQVIKKALSQVLVHYYPLAGRLTISPEGKLTVDCTEEGVVFVEAEANCEMDEIGDITKPDPETLGKLVYDVVDAKNILEVPPVTAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGQVARGLPLTTPPFSDRTILSARNPPKIENLHQEFEEIEDKSNINSLYSKEPTLHRSFCFDPEKIKKLKLQATENSESLSCTTFEALSAFVWRARTKSLKMLSDQKTKLLFAVDGRAKFEPPLPKGYFGNGIVLTNSICEAGELTEKPLGYAVGLVREAIKMVTDGYMRSAIDYFEVTRARPSLSSTLLITTWSRLGFHTTDFGWGEPVLSGPVALPEKEVTLFLSHGEQRRSINVLLGLPASAMDVFQEQFLQI >A02p013380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5849310:5850364:-1 gene:A02p013380.1_BraROA transcript:A02p013380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSLKLSVVGGRPFSHGGNKLHRDKLLEKRYGVRDMNASAGSICRAALGTPEDHVVVILAHNGPTGLGSQAEDICGRDWFDEGVDHGDHGDPDLEQALRQLKETTELSVPLVVFGHMHKELEGGKGNRKMVVEDSDNKVLYVNGAIVPRVIEIIETPVGAAESESGGTVRAFTLVEILDGKIKKVVESWVQVTGGVAKIVEEMTLFEDVT >A04p022130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13353070:13353653:1 gene:A04p022130.1_BraROA transcript:A04p022130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLLIASQSISTEGNFTSPNLPISSNSESENSRNVMPCSEYEDSNLDSSHNEFLSVLGESSENFISICSVFVKKSSVLSETEHMSSDFSETEQVSSVLELGINRGRERIDLFVFDVWEVKLSDISTDLKSGLVISQGSFLGGSKRSEPEPGPAFRQTDLSDPFSPMPLPYAFVELLGVGGRSREFLH >A04p037590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21439776:21441936:-1 gene:A04p037590.1_BraROA transcript:A04p037590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCCTVLTGLLVWSLFMLSWCEASRNNINRYDHSYGTFKSNSLIKRRDDITRLKSVARASLRLPTTVSVSDFGAKGDGKTDDTQAFVNAWKKACSSSGAVNLLVPEGKTYFLKSLRLNGPCKSVLTVQILGTLSASQQRSDYEDLSKWITFDGVNSLTVDGGATGTVNGNGETWWENSCKRNEAKKCTKAPTALTFYNSKNLRVNNLRVKDAQQIQISIEKCSNVQVSNVEVTAPADSPNTDGIHITNTQNIQVSNSIIGTGDDCISIESGSQNVKINDLTCGPGHGISIGSLGDDNSKAFVSGVTVDGAKLSGTDNGVRIKTYQGGSGTASNIIFQNIQMENVKNPIIIDQDYCDKSKCTEQKSAVQIKNVVYRNISGTSASDIAITFNCSKNYPCQGIVLDKVNIKGGKASCSNANVMDKDGVLPQCKST >A04p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4294367:4294979:-1 gene:A04p013240.1_BraROA transcript:A04p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRFSDKYFGAASKMTKIGQASMNQDLMVVATKPCSLLFDLYPRILCEASLEDCRLKVPFKFFNYSRVEIEREKVINESTQGVTFQTCLKNLIPCIPNPKTSNYVKFSVRGQLWFLQTIKENVYS >A07p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7529123:7529917:-1 gene:A07p013320.1_BraROA transcript:A07p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSPNSSSISIGRRAQFAPAVGLKRSGPTSTASLRKRVCDYINKEIAAGEEEGAGFITPQKMQLNSIEMVEKLVTSELHRMQSTRQREERETVVKHLVSLRVYRCISITHSSGNMWTLHVETYDISKRYWIRPKIFSVSGWLSFFWKHVDTTLIEIVPERQGSV >A03p019940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8176640:8191021:-1 gene:A03p019940.1_BraROA transcript:A03p019940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLKLRPSFLFSFLIFIFLLQKPDLGSASNSPKCISSQRQALLTFKQSLTDPSGRLSSWSGPDCCKWRGVGCDRRTSYVIKIDLRNPNQTLRRNGEFRKGSLGGMINPSLTRLKFLSYLDLSSNDFNFTEIPEFIGNIVSLRYLNLSFSSFSGEVPASLGNLSKLESLDLYAQTFSDDLFTINLRSGNLGWLSGLSSSLTYLNMGFVSLRGAGEAWLEDLSRLEKLKELHLFSCEIWNLPLSFPSSSANLKLLEVLDLSRNSFISPIPNWLFDLTSLRKLTLQSVRLQGTISPGFKKLKLLETLDLSKNELSGEIPAVLGDLPQLKHLDLSENSFEGQIHRFLDALSKNKGNISLLSLSLMFDRLEGTLPESLGALRNLQILELTGNSFTGSIPSSVGNMASLKKLDLSFNSMNGKIPESLGKLEELVDLNLMENKWEGVLLKSHFVNLRSLKSFTLATEANRSLIFKVPSTWVPPFRLELIRIENCQIGPSFPMWLQVQNKLNSVTLRNTGIADKIPHSWFSRIASGVTELHLENNRIKGTLPQNLVFPNLAAFDLSSNNFQGPFPRWTTYATELYLYQNNFSGSLPADIHVLMPQLKNIKLFQNSFTGKISSSLCELTGLETLNLRNNRFSGSLPKCWRPSSELYEIDASENSISGQIPESLCVLPRLSVLLLNQNALEGNIPDTFQNCSGITHVHLGGNKLTGKLPSWLSKLSSLSMLRLPSNSFDGQIPDDLCSAPSLHILDLSENKLSGPVPKCIGNLTAIVQGKSVDEYYEYQEIRDSINLSGNNMYGEIPAGILDLTYLRILNLSRNSVAGSIPGNISKLGHLETLDLSSNKFSGAIPQSLAAISSLRTLNLSYNKLEGSIPKQLKFKDPSIYIGNELLCGKPLSKKCPRKQQNVKKRGQKLDFPNPNIVGLSASLFHGDKDGDFVFSVVLPPVKSTTEPTEASLSTSTFLCYSPPLSTSLRLSPPLRFSPSLCFSPPLSASFLLSPPRRFSPPLAASPLIATSPLLSASLPLCLNLRTDNFFSVISVTGNGDVFLDVQYTREGEMEDERVDLVLERIRNKYDWSSTDWPVLDPEESKMEEPDNHDRGSEADKSVDHTDVVADEETSSVQVAGKGKRKFLDEGAETRKKKVLCKRSAEKFLTFGPETKSFIEGLIRTSVTSLGDVLSMQMANMERVFTERMGKMEIEVSQLKDAISLTGEGSYPSKKETEEAPLNSKAKQAPPKSKGAQAPPKSKGAEAPPKRKGDQPTPTKKNGKKIATETNDFDFGLSTQDLRDLSQATFVDGFDLSQVKAETSTSSPKCISTERQALLTFKQSLTDPSGRLSSWSGPDCCNWRGVGCDRRTSHVIKIDLRNPNQTLRLYGGFKEGSLGGNIHPSLTRLKFLSYLDLSSNDFNFLEIPEFIGNIVSLRYLNLSFSSFSGEVPASFGNLSNLESLDLYAQTFSNDLTTKYLNASNLDRVAFGFVFLIDLPQHGDLSRLSKLKELHLFNCELKNLPLSLPSHANLKLLEVLDLSQNSFSSPIPNWLFDLTSLRKLTLQWVDLQGSIPPGFNKLKLLETLDLSYNALSGEIPAVLGDLPQLKYLDLSRNSLEGQIHMFVDALSKNKGNSSLLSLSLSLNSNSLNGTLPESLGALRNLQILDLSLNSFTGSFPSSVGNMASLKKLALPLNSMNGKIPESLGKLDRLETLDLSSNRFSGAIPQSLAAISSLHTLNLSYNKLEGRIPKNLKFKDPSIYNGNEFLCGKPLPKRCPRKQFSGAIPQSLGAISYQPTLYLSYNKLEGSIPTHLKFKDPSIYIGNELLCGKPLSKKCTRKQQNVVVNIPVYSPKYK >A02p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4574830:4576565:-1 gene:A02p010580.1_BraROA transcript:A02p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSWKHHTLIQALISRGPLKEKEFHSIFTGVTGRNPGDAKKIFDKYLLEINKELSYVHFELRACRDQYDGHVCYGVVNTVSDDQSKLGTKYSVPQIAFFKGIIEAIAHDEAAQGCISGFDALNIRLENQVPSEASSSQQQVPPAFRNFSMSQKDKTLDELVKDAWLCRTRDGNNIGLGIRSLLDLRSWFRNNDVPSCEVCNEAGVKADLCPNEGCTVRIHKYCLKNLSSQRDDRVCSGCGKPWPLGRVAKEEAVEAAVNDDDEEEETDTQATSLRSKKRKIRRHRDPAENVSSQASLASSSGITRRRVTRSAAHLS >A07g501310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2747486:2748768:-1 gene:A07g501310.1_BraROA transcript:A07g501310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREEDERGIFTLGEAKELEMKGGQSKAEATSTDQRLKTRGRKKKTATKDPNKPKRPPSAFFVFLDDFRREFKEANPNNKSVAAVSEVPQSQICKFEINNNNNNLCAKQHQVGKAAGAKWKSMSEEEKAPYATKAETRKSEYNKSMQEYNMKLANGTSRGEDDESKSEVDEAGGASEEEEEDDD >A08p041740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23537446:23539800:1 gene:A08p041740.1_BraROA transcript:A08p041740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPASRRGVVWLGWKLVIAFSVSLCLLALLRIQLQYRSVATLAPPLSVAGNEIALRDYYSGDRPKVAFLFLARRDLPLDFLWDSFFKGVDQANFSVYVHSVPGFVFNEETTRSQYFYNRQLNNSIKVIWGESSMIAAERLLFASALEDLANQRFLLLSDRCAPLYDFGYIYRYIISSPKSFVDSFLHTKETRYSVNMSSVIPEEKWRKGSQWISVIRSHAEVIVNDGIVFPVFKKFCKRQNRRNCIPDEHYVQTLLTMRGLESEMERRTLTYTVWNVSGSKYETQTWHPVTFTFENSGPGQIKEIKVHYIFVCDKHHNHWIYTFE >A09p053790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46564722:46568781:-1 gene:A09p053790.1_BraROA transcript:A09p053790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDRGEAIAIEIAREIPPAVRTRVPRRIRERLLPESSNKTVPCAQDIEDKLLHAHLRRQQFYHNVVRKARAKPRSPSRSSDEELGQRIEARLLAAEQKRLEILAKAQTRLARLDELRQAAKTSVEIRSERERVKLGTQVESRVQKAEANRMRILKASHQKRASAKERTSQSMMRRMARESKYKERVRASINQKRVAAEKKRLGLLEAEKKKARARVQQVRHVANSVSNQREMERSKMRDKLEDKLQRAKRHRSEFLRQRRRQRDSISLYCDMMEQDGDLLSRKLSRCWRCFVSQKKTTLDLAKAYDALKINESLPFEQLAVLIESPSTLKAVNSLLDRFQLRLEASKNVTTAASQPLIMDNIDHLLRRVATPRRKMTPRIRKVKKVTPGRSVAVTTSPKMSRYPVRVVLSAFMILGHPDAVFNGQGDQEAALNDSAKGFVKEFKLLIKVIKEGPVKLSVGESKLRTLRSQLDSFDKAWCAFLNSFVIWKVKDARLLEDDLVRAACQLELSMIQKCKITPEGDDVMLTHDKKAIQIQVTQDQELLTEKVRHLSGNAGVERMESALSETRSKYFEAKENGSPMAHQLACFFSPNSTSSPVQSVASSSKDSVGVGGSKRVVRSLFKDDTSPSSGPSNGTVDEVSKQNELIVNELLHDWDFKFPGDEEDSLKRKVKETMEKAFWDSVMESMKLEEPDYSCISNLMREVRDELCQMVPDTWRVEITETIDLDLLSQLLNSGTLDIDYLGKMLEFALATLRKLSAPVNDRENESTHQSLLEELHRLCQAKDESGNLHAVAIVKGIRFILEQIQELKREIGLGRITMMKPFLKGPAGFDYLTQAFEKRYGPSTQAHDSLPATRRWISTLSSCKDEWEEHINMLSALNVVERSSSMGISLKTGGSFLSTDKTASQSTVTDAAEGQVLECKGERVDLTVRLGLLKLVSQVSGLTLQILPETFLLNLSRLRGTQAEIQKITVVTTSLLIWRQMLASERVVKSESETETMAKKLLNLLDGKEEAGLMEIVEITMSEEGDERKKMMMRGLLRKSLEEGNTVYERVTGCIYKALRGVLLAGNGENGKRVVEREMKKVGVGGGSGGGGLKERVIETGRVLGVVACVSVRVHGPWLAHLMQL >A03g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15493903:15495193:-1 gene:A03g504380.1_BraROA transcript:A03g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICFQSFLITNQFKTQLINSPPPPSWTYKNRYILFLCRSESSKFSHSLKFIKTFLKFAMANRSPETTANGQSSETTVRVLLRFCSNSLLGTRKNKRLRELREMEEFELHHWLSCWRLKDGDEASLEKIHHLGPTENGQIDFMEM >A06p034650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18784075:18784553:1 gene:A06p034650.1_BraROA transcript:A06p034650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADSVSSTSSPESSTYAVKLSYRPEIASYSSQTEFRDLFLVTDVCQKVKEVFEAATRRDASISFAFVKTSILVKLNLKL >A02p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12229788:12231741:-1 gene:A02p025430.1_BraROA transcript:A02p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEEAQKSADVVAVATTDKEVTVPAPVPEKEVTAPVASEEKAVPEKEEKETSEAEAEKSVPVKEEETVVAEKVIAPSPEELEKKALEEFKELVREALNKREFTPPAPPVKEEKTEEKKAAEETKGEEKTEEKKEEEKPEEAVKVEEKSSDDVAPEETKPEEKSEAAAPAETKSEEKEVVQATVTTTEKASGAEEDGTKTVEAIEESIVSVTPPESSAAPVVVETTVVAEPIEPEEVSIYGVPLLQDERSDTILLKFLRARDFKVKEALTMLKNTVQWRKENKIDELVEAEAGEEASEFEKMVFAHGVDKEGHVVIYSSYGEFQNKELFSDKEKLNKFLNWRIQLQEKCVRALDFSSPDAKSSFVYVSDFRNAPGLGKRALWQFIRRAVKQFEDNYPEFATRELFINVPWWYIPYYKTFGSIITSPRTRSKMVLAGPSKSADTIFKYIAPEQVPVKYGGLSNEIGGDSVTEAIVKPAAKYTIELPASEACTLSWELRVLGADVTYGAQFEPTTEGSYDVIVFKNRKIGLTDEPVITGSFKVGEPGKIVITIDNQTSKKKKVLYRFKTQPRSDL >A02p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8336486:8337836:1 gene:A02p018180.1_BraROA transcript:A02p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISGLSDDLLVKILSFVSTKVAVSTSVLSKRWECLWMWVPTLEYDDFEDINTDIKDRYRVSVHKNLLSHRAPIIESLRLKFCLGSLQPEDIKQWVSIAVSRCVRVLSITSLSDDKPDSALPSSLYTCKTLVTLKLEGNKILVDIPPTVCLPSLTTLQLSCVTYLDEASLRMLLSNCPVLEDLVIERDTADDNAKGLVVVVPSLQRLSLQIDGGCCSYDGYVIDTPSLMYFKVEDYRDRDSFSYLIKDMPKLEEADIAVKYGLQEFLESVTSVKRLSIKVLFNNEEESMYCSCIVFSQLKRLKLSICNDDWSKLLFRFLKDSPKLRVLNLDRASRYQRFDEYEYEWSSWDNEWSVTPNCLLKTLETFEFSGCMGRPQERAFLSFFFTNARCLKSTSILR >A02p006080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2584078:2585653:1 gene:A02p006080.1_BraROA transcript:A02p006080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGYSYSSKKTDDICEDVCAQDGSRAAKALSRVRCLLRGLDFKTYILFFTLVPLLIFGAYLHGQKLTYFLRPLWQSPPKPFHTLPHYHHVNVSMQTLCALHGWTHRDTPRRVFDAVLFSNEVDMLTIRWKELHPYVTQFVILESNSTFTGLAKPLVFAANRENFNFVEPRLTYGNVGGRFKRGENPFVEEAYQRIALDQLIRLAGIQEDDLLIMSDVDEIPSAHTINLLRWCDGYPPVLHLQLKNYLYSFEYYVDSKSWRGSVHRYKPGKTRYAHFRQGDVLLADSGWHCSFCFRRISEFVFKMKAYSHNDRVRFSHYLNPKRIQDVICRGTDLFDMIPEEYTFREIIGKLGPIPRSYSAVHLPSHLIEKAESYKYLLPGNCVRESG >A02g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13487107:13487524:-1 gene:A02g504070.1_BraROA transcript:A02g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSSLSLQMKVVTKLGLKTSFAVKTLRQHLLVSIDSTDAHRSINLPLVDLYVVSLGEMSFKLQNAPKS >A09p002950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1770021:1771759:1 gene:A09p002950.1_BraROA transcript:A09p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSRLLRMEKNDEDRTALIFLGTGCSGAVPEFRCLLQPSDPPCHVCSQSLSLLPHLNPNYRCNTSLLIDYCCEEEDGRHYYITIDVGKSFREQVLRWFTFYKIPRIDSIILTHEHADAIHGLDDIRSFQPRGSATDTNPLPVFLSQFTMESISTRFPYLIEKKAKQVPRRVSQLDWRIIEENCDKQFIASGLSFTPLPVMHGEDYVALGFLFGHKSKVAYISDVSRIPPSTEYAISKEGAGQLDLLILDTNIPFKRGLQPTHICFPEALEIIKRLCPKRALLTGMTHDFDHHEYNEMLAEWSLREGIHVQLAHDGLRLPINL >A07g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13848665:13849260:1 gene:A07g505970.1_BraROA transcript:A07g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PGRESDSDLGDLEHAKKLRQVKAVLEEQRTFWNGAGRKFLRDIQEGSTEAAEVGWQKSLVDIIFCRFLIEFAFFIEKCIS >A08p044890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24715394:24716966:1 gene:A08p044890.1_BraROA transcript:A08p044890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKPSGLPPPHPPGRYSAFTPNAMKPESSFPHITPSGSSSDANRFSHDISLMSDNPPKNLGHRRAHSEILTLPDDLSFDSDLGVVGAAAADGPSFSDDTDEDLLSMYLDMDKFNSSEASSSQMCEQPSEPAWNERPRVRHQHSQSMDGTTSIKPEMLMSGSNEDAKKALSAAKLSELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLTLLQRDTNGLSVENNELKLRVQSMEQQFHLQDALNEALKEEVQHLKVLTGQGVSNEPWCFLHDKKRLIDILHSRVKNKTS >A04p029330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17552207:17552618:1 gene:A04p029330.1_BraROA transcript:A04p029330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADDCRPLGFLLGLPFAFLSLLLSIVGVVIWIVGLLLSCLCPCCLCVTILVEMALGLIKAPIHVMEWFTSTIPC >A10g500090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:270048:271144:-1 gene:A10g500090.1_BraROA transcript:A10g500090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKDHHHHHHHHHHPDPLVTSSKSFHMKASAVAPSSASVQLSQSAWLEVRLFYVRIAPCFVENVPDSLTLRHPRRETGASLEVNGVRVPPLQTASLKLRRDRVDRESSEVTYVSTEAVRVTGCVDFEVYDKEEMVLCGNLDRIEGAWSNNGTVSDAKTGWGMDCYVAMRNGSGSSSSSSAFFRPKLGVSSPSVEVYIAGCCGGVPVILTKTIQASPRRKVARHVTLDAIPEDEEVEKEQDVVTTGDEFARQQKVQMMESEVGDYDDESEMKMRYYPEGMYVDEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTSNLRRRFF >A02g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22716062:22716940:1 gene:A02g508320.1_BraROA transcript:A02g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLAASVMPLSYSTIAWAASMSKGVREDVEYGYKEKSTVGMVFNFFSGLGDVAFAYAGQNVVLEIQATIPSTP >A06p047920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25407678:25409596:1 gene:A06p047920.1_BraROA transcript:A06p047920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKDVTPIPKRSSSPSSSDDVAAPLLPKSHGDEVAYDEFNGASFSGAVFNLATTIIGAGIMALPATMKILGLVLGIAMIVVMAFLTDASIEFLLRFSKIKRSRSYGGLMGDSFGRPGRVLLQVAVLVNNIGVLIVYMIIIGDVLAGKTEDGTHHYGVLEGWFGHHWWNGRAAILLITTLGVFAPLACFKRIDSLRFTSALSVALAVVFLVITAGISIMKLISGGVAMPRLLPDVSDLTSFWNLFTVVPVLVTAFICHYNVHSIQNELDDPAQIRPVVRSALMLCSSVYIMTSIFGFLLFGDDTLDDVLANFDTDLGIPLGSVLNDAVRVSYALHLMLVFPIVFYPLRINIDGLLFPSARPLTTSNVRFGCLTAGLISVVFLGANFIPSIWDAFQFTGATAAVCLGFIFPASIILKDRHGRATSRDTTLAVFMIVLAVLSNAIAIYSDAYALFKKNAPRE >A05p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11426220:11427153:-1 gene:A05p023630.1_BraROA transcript:A05p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWFLDNLIFFILRPLLATSFVVCFIALWWFLAWKLVLSHVPLVQEIFGLRHKTFIPKPESRGRISKFYKSITSSQNH >A10p029180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17934808:17936811:-1 gene:A10p029180.1_BraROA transcript:A10p029180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKTILGLSIFFFLLSLAAVTSDLAADRRALIALRDGVHGRPLLWNLTAPPCTWGGVQCNAGRVTALRLPGVGLSGPLPIAIGNLTQLHTVSFRFNSLTGTIPPDFANLTLLRYLYLQGNAFSGEIPSFLFTLPNVIRINLAQNNFSGSIPVNVNSANRLATLYLEDNQLTGPIPEIKIPLQQFNVSSNQLNGSIPDPLSGMPKTAFEGNSLCGKPLAACSGTGNGTETTGKGKSDKLSAGAIAGIVIACVLGLVLLLLLLFCLCRKKKKKENNVESRNIEAAAPVPTSLAKETSAVVANVPPPPLSENGGPASKDLTFFVKSFGEFDLDGLLKASAEVLGKGTLGSSYKASFDHGLVVAVKRLRDVVVPEKEFREKMQALGSISHVNLVTLIAYYFSRDEKLVVFEYMSRGSLSALLHGNKGSGRSPLNWETRAGIALGAARAISYLHSRDATTSHGNIKSSNILLSESYEAKVSDYCLAPMISPTSTPNRIDGYRAPEVTDARRISQKADVYSFGVLILELLTGKSPTHQQLSEEGVDLPRWVSSISEQQSTSDVFDPELTRYQDGDNENMIRLLKIGISCTAQYPDSRPTMPEVTRLIEEVSRSSGSPGPLSD >A05p033570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17308833:17336741:1 gene:A05p033570.1_BraROA transcript:A05p033570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFILCCVLVNCACATIVSHDGRAITIDGHRRVLLSGSIHYPRSTPEMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNIMGPYGEAGKSYIKWCANMAQALDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFTPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMEKTLTYGNISTIDFGNSASATIYTTQEGSSCFFGNGNENSDAAISFRGESYVVPAWSVTILPDCKTEAYNTAKITTQTSMMVKKSNEAEEDPSTLKWSWRPENMDNFLLRGKGESTNTQLFDQKVVSNDQSDYLWYMTTVKFRKRDPFLGKNMSLRVNSTAHVLRVFVNGKHIGSQHAENGKFHYIFEKDAKFKSGRNVISLLSITVGLQNYGAFFESVPVGITGPISIIGRNGDETIVKDLSSHKWSYKTGLNGFENKLFKTESPSKWSFQSVPLNRTMTWYKTTFKAPLGNDPVVVDLLGLGKGTAWVNGNNIGRYWLAFISSSDGCSAKCNYRGAYYAEKYHVPRSFLITEGDNTLVLFEEMGGNPSLVNFQTTIVGSVCANVYEKNVIELSCDRKTISAIKFASFGNPDGNCGSFVKGTCEGSKNAVDILTKECVGKEKCSIDVTAEKFGMWPDLIKKGKEGGLDAIETYVFWNAHEPTRRQYDFSGKLDLIRFLKTIQDEGLYGVLRIGPYACAEWNYGGFPVWLHNMPGMVFRTTNKAYMDEMQNFTTMIVDMVKKEKLFASQGGPIILAQIENEYGNIMGPYGEAGKSYIKWCANMAQALDVGVPWIMCQQNDAPQPMLNTCNGFYCDNFTPNNPNTPKMWTENWTGWFKQWGGKNPHRTTEDVAFSVARFFQRGGTFNNYYMYHGGTNFDRTAGGPYITTSYDYDAPLDEYGNLNQPKYGHLKQLHDVLHSMEKTLTYGNISTIDFGNSASATIYTTQEGSSCFFGNGNENSDAAISFRGESYVVPAWSVTILPDCKTEAYNTAKITTQTSMMVKKSNEAEEDPSTLKWSWRPENMDNFLLRGKGESTNTQLFDQKVVSNDQSDYLWYMTTVKFRKRDPFLGKNMSLRVNSTAHVLRVFVNGKHIGSQHAENGKFHYIFEKDAKFKSGRNVISLLSITVGLQNYGAFFESVPVGITGPISIIGRNGDETIVKDLSSHKWSYKTGLNGFENKLFKTESPSKWSFQSVPLNRTMTWYKTTFKAPLGNDPVVVDLLGLGKGTAWVNGNNIGRYWPAFISSSDGCSAKCNYKGAYYAEKYHVPRSFLITEGDNTLVLFEEMGGNPSLVNFQTTIAGSVCANVYEKNVIKLSCDRKTISAIKFASFGNPDGNCGSFVKGTCEGSKNAVDILTKECVGKEKCSIDVTAEKFGITTQTSMMVKKPNEAEEDPSTLKWSWRQENMDNFLLRGKGESTNTQLFDQKVVSNDQSDYLWYMTTVKFRKRDPFLGTNMSLRVNSTAHVLRVFVNGKHIGSQHAENGKFHYIFEKDAKFKSGRNVISLLSIIVGLQNYGAFFESVPVGITGPISIIGRNGDETIVKDLSSHKWSYKTGLNGFENKLFKTESPSKWSFQSVPLNRTMTWYKTTFKAPLGNDPVVVDLLGLGKGTAWVNGNNIGRYWPAFISSSDGCSAKCNYRGAYYAEKYHVPRSFLITEGDNTLVLFEEMGGNPSLVNFQTTIVGSVCANVYEKNVIELSCDRKTISAIKFASFGNPDGNCGSFVKGTCEGSKNAVDILTKECVGKEKCSIDVTAEKFGITTQTSMMVKKPNEAEEDPSTLKWSWRPENMDNFLLRGKGESTNTQLFDQKVVSNDQSDYLWYMTTVKFRKRDPFLGKNMSLRVNSTAHVLRVFVNGKHIGSQHAENGKFHYIFEKDAKFKSGRNVISLLSITVGLQNYGAFFESVPVGITGPISIIGRNGDETIVKDLSSHKWSYKTGLNGFENKLFKTESPSKWSFQSVPLNRTMTWYKTTFKAPLGNDPVVVDLLGLGKGTAWVNGNNIGRYWPAFISSSDGCSAKCNYRGAYYAEKYHVPRSFLITEGDNTLVLFEEMGGNPSLVNFQTTIVGSVCANVYEKNVIELSCDRKTISAIKFASFGNPDGNCGSFVKGTCEGSKNAVDILTKECVGKEKCSINVTAEKFGVPDCSGAARRLAIEAIC >A03p013950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5537746:5539829:-1 gene:A03p013950.1_BraROA transcript:A03p013950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRRRNEPEFDEFEKLLGEIPKVTSGNDFSPFPMCFSSRRSSPSIIQDLRGGLSAFAEANLNFETPNQALEYPHDTFTPSYNSPSSSPFVYDKFDSRKLDPQMFRKLQHVGYFQNTQPQPHNHALDHQSHINWRSNEEGRMNLQEEHYMSLNPHCLYLYNNNPLMETPPRRDHFDYHRAQDGDESLRSLDGVVRKRMYYPEKILMRSNTAKVINYGAGDDDTQSGRRLWFNEDLAVSLNNLTLQQPPKYNSPAGTKAKIYHMAKDQYGCRFLQRKFAEGDGKDIEMIFNEIIVYISELMVDPFGNYLVQKLLEVCSQDQRMQIVHSITRKQGVLIKISCDMHGTRVVQKMVETVKRQEEVSMIMSALKHGVVSLIKSVNGNHVVQRCLQYLLPHCEKFLFEVVIAHCVDLATDQHGCCVLQKCIGYSKGEQKYHLVTEIASNALLLSQHPFGNYVVQYVLELQLQWAINGILEQLEGNYTELSMQKCSSNVVEKCLRLADNKHLTRIIRELVNYGLLDQVMLDPYGNYVIQAALKRSKGTTAYGLLVDAIKLHVPSLRTNPYGRKVLSALCSKK >A01p039960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16107888:16110299:-1 gene:A01p039960.1_BraROA transcript:A01p039960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSYTVLADLRAGRCSNAAEVRLLKFWEANNINKRGQLMSLEMLLIDEHGAFDRRIGVHLNWVRCHTKHPKIQIFFLGFCDYSTAITGARFGFILDEELKQVKVALGEKISKEGIGNEIDLMISGDGAVSVVMDLSDLKLFWVVFALPSSSEPAPSEKLSSYGSEPKAVLFTSINPKVVGGLIAHKKDKNSCHNEEAEPPCKLSSSIYYGEQEKNSSTTTTTIDFPICISSFKILRFFFIFNLCSTREMERKAIPKAHHEKTVEKASLFSYPNFKMYLVT >A01p005960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3081369:3083036:1 gene:A01p005960.1_BraROA transcript:A01p005960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINLYFHWFDEIQLLIYKTLNVSVYSVLTTLPNEVEAMVSLKRSLAIPERLGWESDPCTPSSWEGVTCITNPIGEGFVIYEIDLADKGLKGYISGMITLLQNLNSLNLSSNCLIGEISSLVNTNLVKLDLSDNHLSGTIHSKISSARLELLNLSKNQFTGWFPNELYSILYRGGILDVSGNKGLCGVGIPSLPQCSLWTMVLHSKLFAAAVLILIGLVASTLKLKIAEYDANNPNFLLVVSYGVFRMRVAFYISLFHFLRVVLLYTISDFSVDDSYVLLRLTASEQILFVLCGVCVIVAALRGTWGQAKSYFMSVILCFCLFSMQLSAAASKAIYNRPWLMNLERLWVVINYSVLVCFYHMSESFDLKGYLIARIGIPLLQAMRSMSLMHYTYLMLAFCYLVSAIMAY >A07p036500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19583919:19585067:1 gene:A07p036500.1_BraROA transcript:A07p036500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT1G73655) UniProtKB/TrEMBL;Acc:A0A178WAL2] MIYHCNFVDKKNLLDMKTAVFMHHTNKITLLFYYSDISYIKKVTTICVPAFDQSSEKMATLFTATTAPTNHRFLTPPQHPRQNLPSQPLSVSFTENPAHASVALQEQQQMTDWVASPVTRRFSVGAGFAWAGFLAFGAVSEQMKSRLDVFQEDNNTRVLEKQEEIVLPNGIRYYDLQVGSGATPSSGYLVVFDVKGQVHGTEQVFVDTFGGKKSLATVMDSRPYSKGICQGVEYVLRSMKAGGKRRVIIPPSLGFGDKNVEFGQGLQIPPSATLDYTIEVDTVYCFQTIV >A03p057600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24996586:24997967:-1 gene:A03p057600.1_BraROA transcript:A03p057600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGRDPFNSGGPFGGPFGSPFGSPFGGPNNGPPNLMSNFFGGRDPFDDPFFTQPFGGGMFQSSFFGPTMDPFAGMRPPPSGFIENHHQPPPQQRRSHGPIIEEIDSDDEGEGDGGKEKKVRLGKHGRSSTETETEVARAEERRNRQMQNMNANAMVNNGQWQPQTSSYSFQSSTVTYGGHDGNYYTSSKTRRTGSDGLTLEESKEANTATREAAHRISRGLHNKGHTVARKLNSDGRVDTTQTLHNLNEDELADFEQSWSGNARMHLPGSSGSFGSGLVNREQPMSLPSTDPSSSSRARAESSRRPKAAMNFRGHGRN >A09p020530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10925761:10926633:1 gene:A09p020530.1_BraROA transcript:A09p020530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTLTLPCFKLYDQPSLLLPRNKSSNQTLLKFNLSPPKPLLISSRANSSPRFRALPETISVKQDDTTADDDSPPATINTKLYFGNLPYNVDSATLAQIIQDFANPELVEVLYNRDTGQSRGFAFVTMSNVEDCNVIIENLDGTEYLGRTLKVNFADKPKPNKEPLYPETEYKLFVGNLSWTVTSESLAGAFRECGEVVGARVVYDGDTGKSRGYGFVCYSSKAEMETALESLDGLELEGRAIRVNLAQGKKY >A08p044310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24522807:24529255:1 gene:A08p044310.1_BraROA transcript:A08p044310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1G07530 protein [Source:Projected from Arabidopsis thaliana (AT1G07530) UniProtKB/TrEMBL;Acc:B9DFJ3] MGLNPYHIQYFCLLPHKEVLKKKTKKRNVLIIMVLQTRLDYGFNGYEVPPTPRAARSPRKSAFKKKSENNQISSSFDLLAAVAGKLLLESGGVSSSSSNNASGDNNEDQCGGKKESGDQMVVEETRCDHHHDNNAERSFFVSEILPKAHEMESFNRSPNPNKDFLFGSTSGITYEYSSEKLGTQELAYEEAKIHNGDCFRSDTNDKKPMLERLNCEPELSRNKDKHHIDLLNGMENNDGENFSARYATKSFRSTLRVGDGRVKKVMSSKYCKVSSNQKDTMVAGSDLDLKPGYYSKKHCFKSLRSEKKYPIKKRRYFDGYTASQSEETVRNEGLSGSPRKAFLSTIACQKQPALQSRDSHVKLGIKSFRVPELSIEVPETATIGSLKRTVLEAVTTILEGGLRIGVLVHGKKVRDDNKMLLQTGISLDTLSDTLSFCLETNPPQSTKPLSPKDSDYMRPCYVPHTITRCLPSLGKHAKPSDSVESDLDSKPSSPFRAKPVYSRALIPVSPPLHAQALNVVPPRKPKRSEVAQRRIRRPFSVAEVEALVQAVERLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLMAHAYWSQQQGKQQLLEGPQNLETSLVKTINLTSLLLLIFHLLLHSLHSLSKVQTFSPSSSFFFFFLWSPKPTKRNIKSPPLVDLSGDFFWVCGSMGSYSGGSLDGFDFTSEFDNLPASNQTVDSTDGFYLDDPLLNFVSFDHSSAPPPETYPQKNLAEDSPDGSFPSGGGFSDYASTATSSDSHWSLDGLENNNRPSWLQTPFPSNFVFQSTSRSTSVNSGGNTASFGSSMFNDNELAMQFKRGVDEASKFLPKSSQLFIDVDSYVPKNSRFDENTSEVFVKKEKKDEAEHHPPPPSNRLTGKKKSHWRDEDEDLAEERSNKQSAVYVEETELSEMFDKILLCGGTGQPVCITDQKFPTEAKTRGKKSTATTTNSNSSKGSKKETADLRTLLVLCAQAVSVDDRRTANEMLRQIREHSSPLGNGSERLAHYFANSLEARLAGTGTQIYTALSSKKTSAADMLKAYQTYISVCPFKKAAIIFANHSIMRLTANADTIHIVDFGISYGFQWPALIHRLSFRPGGPPKLRITGIELPQRGFRPAEGVHETGHRLARYCQRYKVPFEYNAIAQKWETIRVEDLKIEQGEFVVVNSLFRFKNLLDETVVVNSPRDAVLKLIRKANPSVFIQAVLSGSYNAPFFVTRFREALFHYSALFDMCDSKLAREDEMRLMFEKEFYGREIMNVVACEGTERVERPESYKQWQARVIRAGFKQLPLEKELMQNLKLKIENGYDKNFDIDQNGNWLLQGWKGRIVYASSVWVPSSS >A04g501790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4311079:4321988:1 gene:A04g501790.1_BraROA transcript:A04g501790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDLRRNSKPTCDFLNQKPICHITVYAWFVRKDKCHVSADKSEVSEDNHEDWENGISPLLSYDDLRAEGKKWFSYFPNLNGNRWCEFRFPQALKDINKNQKKSTSTRAPVVEPSLFISKKSKDSLPTFVEYDEEPIESLMICEKNCDLLSLESDFMNDDEQAIVELIVLQPEHPSNLEEPLDYPHQRPRLDTRKPLDDDPDPIFDEEQKSGPVFAEEATSIISIIMKSYLCFDPDTTPAPLSSELQEHFSISKRDSGPRKKRHEPKPIIGFRIDLSAFKKDRNQEKWPWNYEVMIHPPKPAILKTAQPSSISQETGGTSKPSNFICADESIECPSRNQVTIDVPMEFECFLSFFHFDELKENPKEAAKCSPHGKPLELVILNEPKMIPQLTSCPNQKHCKDHGLIVSAHHENFPRRASTGERLRTCVHGTWNRTYLRETNSNFQGSLCPNFSFTEFSMNFKSFISDLFPFDTSTMDLRTNPFEEGRNDVPQSTDQYMKPAQHEVQEVLNISTEVHVFHRTGQTDRPVPSRIRPERCFGWNHGRMTPYQNLPFPSNFIF >A05p007690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3095526:3096705:-1 gene:A05p007690.1_BraROA transcript:A05p007690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G40100) UniProtKB/TrEMBL;Acc:A0A178VTY4] MATTTAAAASGIFGIRIQDPSSGAGRVQAKFNFSFGKKKPAPPPKKTKQVQNDGDRLVWFPGANPPEWLDGSMIGDRGFDPFGLGKPAEYLQYDFDGLDQNLAKNVAGELLGVRQESKEINPTPFQPYTEVFGIERFRECELIHGRWAMLGVLGALAVEGLTGIAWQDAGKVELVEGSSYLGQPLPFSLTTLIWIEVLVVGYIEFQRNAELDPEKRIYPGGYFDPLGLAADPEKLDTLKLAEIKHSRLAMIAFLIFGLQAAFTGKGPISFLATFSS >A05p009540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3998974:4000755:-1 gene:A05p009540.1_BraROA transcript:A05p009540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDQIYKLDVGNLLAFNPSHRFPPPPTSREELVKGGNKTIASPSTKLPRQKHVTSSLSLAFCLSLHILNLPRPKPPTKWEEFALKKGIQKRKKDKIVYDETTDKFKRRHGYDRVNDDNDIPIIEAKASDEPGEDPFAKRLDDKKKRVGKQEKNRLQNLKTAAKAGALPSHVQLAVTALPISGTKTQPQKIGKDALGDVAGLAATSTASGGKFDKKNCLYLPVVPRYGMVDEEKEQTNKKEKKKSGRSDKLKAKKDITKKKPYANKAK >A09g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9232223:9236461:1 gene:A09g502740.1_BraROA transcript:A09g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPMPLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTSLSGSLSISTNKGDLLVCLQPPRLSLTPTLLAHKGDLFSVISVSGNGDVLRHADYTRKDEMEDERVDLLLDRIKKNFDWSNTEWPVIEAEETEMEEADTESEADKSVDATDIAADVETSSVHVAGRGKRKIQDEGAETRKKKLLCKRTAEKKQRIDEETKSFIEGLVHSSVNSLGEILREQMASMESMFKERIGNMEIEVSQLREARSLSAEGSVPKSKTDEAAPKTKTVQTPAKKKVNQAQAQAPAKKKDWLKNGIETNEFDFGLSTQELRELSQDTFVDGFDLSQVKVENSKPFNMSPPKLNDEEIDRAGEASADAALVYLRKEDWEKVSTWLIKSKPLRIGPSLLDAEIGTRLMDRTEWLHNSEIDAMMYVYRERTSLKRWKLHRVAFMSVVFSNMIKKEYESFKAGIRKYKLHHLLLQYGKGVLPPHGQTQEIWNVDVDRLYVPVHVSGNHWIALCISFVTRSIDVLDCSGRKRYKELDAFANLVPRIVKADKKT >A06p056960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29628349:29628842:-1 gene:A06p056960.1_BraROA transcript:A06p056960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRWREWWNTMAFPTRRIWNRFTLRVGLRHSGLLRLQNDVSSCEYEDIHIMWNLLHKIEEPTPTRVARIKQRKKACWNLFGSYLCQRF >A01g502910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9486162:9487826:-1 gene:A01g502910.1_BraROA transcript:A01g502910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFRSSFTVAVHGGGPHPPPWQRKSPPKNKASHRVPTGSTPSRSIASNSSTKASTEPASAVANQTSFVAALPEIPAPLSSTAPGGATEVDLLISVPDSSTAAVASLPKDVTVERENPSMVSHQAVAANLLSPETCANKMESPKAVILATVSNPSSVPTVSVDNNRVPILAISAVTGGSPPSSSDQLGGSQEQLQQRKSSADIWKGFDKGKAPIKSLLPITPKRKKLKTVYREVLKSVPPVSSNHPPSLPAAAPLEHHAGQSEFPLGALKPPRADIASTSKTPSNELSKITVHDLSTGSLCVDLS >A09g500260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:819950:821146:1 gene:A09g500260.1_BraROA transcript:A09g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein At4g00950 [Source:Projected from Arabidopsis thaliana (AT4G00950) UniProtKB/Swiss-Prot;Acc:Q9M160] MKTQHKTLVDIKDTHTPLRREETRTKREIQLPHRTMAAEKESEQQENLAAMKLPVLPIKPNSHSHSMSSPIHSSIAASVPFSWEEEPGKPKQHSSSSSSSSSSPLTSYSPSSPQTHKSLELPPRLHSLEKDGGSLTKLNSPITVFDGPYSMTRSRRLDSPSFRMMVKGGGDCYGSFRSDMYGDLDDVDEDTKQENMSSGGSLALVKKRRGLGFFGFSRRSALKRKTEFGRGSYVFPSSVDRESESGKKEVEEGEDKRFGYGDGGGITCSQSSRFCEVNIANISRTGSFSTLPTPPSSSKSHFWTNVYAGLKQVVPWKNKKTTG >A07p043840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23984992:23986953:1 gene:A07p043840.1_BraROA transcript:A07p043840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSTKRFPLYAKDYELFEEVGEGVSATVYRARCIALNENVAIKIMDLEKCRNGLDTIRKEVHIMSLIDHPNLLKAHCSFIDRNSLWIVMPYMSGGSCFHLMKSVYPEGLEQPIIATLLREVLKALVYLHRQGHIHRDVKAGNILVHSRGVVKLGDFGVSACMFDSGERMRTRNTFVGTPCWMAPEVMQQVDGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPRLDYERDKKFSKSFRELIAACLVKDPKKRPTSAKLLKHPFFKHARSTDYLSRKILHGLSPLGDRFKKLKEAEAELFKGINDDKEQLSQHEYMRGISAWNFDLEDLRKQASLNPDNEMCSSDVGDDVPKRKPIVQRSKTMSLDMFKISDKARSDDEFE >A03g503140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9824749:9827036:1 gene:A03g503140.1_BraROA transcript:A03g503140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGRDGDGVDSISSLPDEILQHILSSLKTATAVKTSTLSKRWRHVWSGTPCLYLVWNGHNFKVDSMNKTLARYTAHKMTSFHLYADNINRSIESPDINRSIEFAMSKNVENMLLDIRYYRYNLPEFLYFSSTIKQLTLSVHNYYSDMKVPTSSVSWTSLKKLSLFCCNFSEECMARILSGSPILERLRLDFCSELKVIDVSKSLSLRTLEATISAAGIQIVAPHIRCLRLKSCLYQCTLVDVSSLTEANLEISVMSTEILTDGFPQVIMQKMVEKLQNVETLTFGPNLLKILSVAELHHLPFPSFKVKDLTLETTISQDVIPGLVTVLENSPQLKKLTVQPKLVNGTVLGKSLDDLLDVHGLIYSDQRWRSEAMVFPKILTWDVEPKHVVSFIKLILKTTKTLEKMVLGLGYYLQGRQVEEFLEMVPMLCQDNNVSISNKFNQRGLVSTTVYQVHSGLILGWRKPCPSFVKCNIGSSWLDANRNCGAAWMVRDHMGQPLVHGRRSYSMVASSVEADLLSFQWAIECLATSHFDAVVFESPSYIAGEAILHPESFPQYGAMLNSIRRQLESFRLWSIAFVRKEGNLCVDAIALSVTRDHRYQSYIGRAGPSWLNQLIQEEAAAATNLD >A10p004660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8955404:8956710:-1 gene:A10p004660.1_BraROA transcript:A10p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADDSKSVVCICCDRKVVEVDPKTWKLFNEMVPKERYDEQIEPVEMPHVKSTVLKKLLTYSVADYLDLQSLYAGVIQYVEDKSQEEISYMVRNEDLRSLLKIVKSALYYLEETEKLWSAVRSLLMKSKEEFSQMIAKEDILGLIKLIEVAKPLSYEKLCKAVHNLILSKSIDQLSLEIHNQNLKALIKIFRVARAEKLQTLQDMVVQYVFDKSDEELVQMVSTELPCYQSIYLDVAQYLRVGTTEAEEIFNWPSNVRDIESKNSHRGGSWGW >A02p012960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5675002:5675746:-1 gene:A02p012960.1_BraROA transcript:A02p012960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKQFQQAKTGIEALKSMDANKYLKKVGLGRDDMFFWKQVGKALLCTYTIFGMAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDTEAMEDFVAKGGMIGTAIGPKGVVESEGEADNYQKEMEKKKFDKEAQKLWLRMRNEVMTELHEKGYELE >A10p023760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15490992:15494402:1 gene:A10p023760.1_BraROA transcript:A10p023760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFFSVPLSGDQVLKDVSHLSCFKASYINSLEENLAAMQRDMEELKARQTDVLRRVEREEETKSMQRLAEVNVWLKNVDNVSRQVSYLLSNRTTELQRLCSKKSRSNYGYGKRVSLMLKKVNDLNSKGVFEVVAEPATETSTKEKRLSRSRPDIVGRETILKRALSLLVSGETGSIGLYGVGGVGKSTILREISNKITARFEFVIWVVVSQHLPVKKIQEEIGRKLGFHGEEWNQKEESQKANDIHNFMKDRRFALLLDDVWAKVDLTKVGVPSPTKKNKSKIAFTTRSREVCVQMGVADPIEVQCLAENDAWDLFQMKVGGHASCSKIQETAREVAGRCHGLPLALNVLGETMSCKKKVRQWNDALRVLTSSPRVEFAEKEDEILPILKYSFDSLKVGKLKSCFQYCALFPAGSMLSKNSLIEYWEVEGCVGGFGSRYIAKNRGYENINTLVRAGLLMESDDSTKFVQMHDVVRKMALWVASDLGKNRERWVVEAGVGLRDMVPVQDWTGVRKMSLMNNEIEEISGGHECHQLTTLFLQQNSNLVRISGEFFQYMPMLVVLNMSFTELEELPEQISRLFALRYLNLSRTKIERLPDGLGKLKRLEHLNLETTKRLKSIYGLSNASSLGVLALLDSNVSLDASTIEELQRFERLERLNIDISSSSALKQLLSAPELAYWIEEVCIRDLQSDEACLVLPTTMMQLRKLIIKRCGVLEIDIGRASDDTFLIHPKLGSLSSVTITGCNGLKDLTWLLFVSKLTYLKLQCLDKVEEIISETGEQNAGGTKTPFEKLKRLELSNLPMLKSIYRNPLLFPCLKKIDVERCPKLRKLPLSSGSCLGGDELVISYSDDQWIERVQWEDKATEERFYGHCDNIITAASVGAGYHNLPGACNNGRFLSNPHVCVCASSNLWKETCVDAC >A10g500130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:441953:443799:-1 gene:A10g500130.1_BraROA transcript:A10g500130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLTCRVRPSWFLSQARSPRQPHNKLLHQNEGLSPLQLLFILITSLSTAPSTSPSPSRSFPTSPPMESSAAVTFGSLLPPEPPDPDFDRVFPMDPPVPPVPPDPPPVLLGSAFLCQISPSCSSPVQQKESEAFMPWDLCSDVDVISTQPLLLLLPLAEVSLIRTLTTTERYSVLRYSLVSKLINFVSGEEHDLLKSALRALTIYHQENCVVVLFVASVLVVIADCKRISLHCSSFQSLEDWALKDEILAVNCFLKAAFITLAQAFGGYLFTVMCKSQPKPFLTGKPWSHVDGPSFLSFKLSQDVMMFWNDSFPRFEDVTHPWSFRVKFALPLYEEVTLCSTTLLPHCEAVTWNCVFVAMDSIVSDWSTWLWWSCSQLLGSSKRCFVTSEFVAGFSSIGYDCSVIGSWIEACDPHHSSSRVSEYSVLVVKAILLHKASPSATSFGLSSLQCLSDSIVPLFALRLGMNLNEITGFFILENLVTLFTPLSCCSNLCTAICLAVAFAKGLVPKLCCLNTLV >A04p040980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23119060:23122872:1 gene:A04p040980.1_BraROA transcript:A04p040980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFAAKVEDGVRGENGKPSVGPVYRNLLSEKGFPPIDSDITTAWDIFSKSVKKFPDNKMLGWRRIVDEKVGPYMWKTYKEAYEEVLQIGSALRALGAEPGCRVGIYGVNCPQWIIAMEACAAHTLICVPLYDTLGSGAVDYIVDHAEIDFVFVQETKIKGLLEPDCKCARRLKAIVSFTNVSEEHNLKASEVGVKTYSWFDFLQMGCEKPEETTPPKPFNICTIMYTSGTSGDPKGVVLTHEAVATYIVGMDLFMDQFEDKMTDEDVYLSFLPLAHILDRMNEEYFFRKGASIGYYHGDLNVLRDDIQELKPTYLAGVPRVFERIHEGIQKALQELNPRRRFIFNALYKHKLAWLNRGYSHSKASPMADFIAFRKIRDKLGGRIRLLVSGGAPLSTEIEEFLRVTCCCFVVQGYGLTETLGGTAMGFPDEMCMLGTVGIPAVYNEIRLEEVAEMGYDPLGENPAGEICIRGKCLFSGYYKNPELTQEVMKDGWFHTGDIGEIQSNGVLKIIDRKKNLIKLSQGEYVALENLENIYGQNSLVQDIWVYGDSFKSMLVAVIVPNQETVKRWAKELGFTKPFEELCSLSEFQEHIISELKSTAEKNKLRKFEYIKAVTVETKPFDVARDLVTATLKNRRNNLLKYYQVQVDEMYRKLASKKI >A09p049600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43815348:43815824:-1 gene:A09p049600.1_BraROA transcript:A09p049600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGTESIVLTVKSSRDYMKYKNGITRPEMYLLRRHVNRNTIMIVGSAPGFPHGIIYPVEVDFVSDYCNF >A01p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14687390:14688362:1 gene:A01p038570.1_BraROA transcript:A01p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKQRSVCVVDLTLYAVPRKTLSLLFLFSFTSSLILYSVFFCFLSIITNFFFEEEMGNCQAVDAAALVLQHPDGKIDRYYGPVSVAEIMRMHPGHYVSLIIPLPEADIPAKTRAEEEDGKSQKRVVKFTRVKLLRPTENLVLGHAYRLITSQEVMKVIRAKKYAKTKKHHNETTREKKKPSLEKKVDEVSDKHQNLVRNLSYVEYKKWETNDEKQRAVLTSSGSSKSKTWRPSLQSISETTS >A05g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27196723:27197087:1 gene:A05g509030.1_BraROA transcript:A05g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAVLKIDNSLQSWFKGLPILFLVVETIVQECELARFTSYYVIAASSSHYDVSNFNSFSQSQLSDPLTGATIIFFYRGFRTSCSQNPINRFFNVNFDFFIFFQT >A10p005640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9400109:9400420:1 gene:A10p005640.1_BraROA transcript:A10p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQLICIVFVVLLSSFPATIKSKKLADTCFESLAYCMNLIPRDKKYWEKDCCNVRAKRDQAATKQCSCIIANNPQKYADLVKLFQACGLGNVGNYHNHPCK >A05p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6271119:6272670:1 gene:A05p014370.1_BraROA transcript:A05p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAFRREGRRLLPSIAARPIAATPSPLSSDREEGPLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAVQGRAENSRGLWQPFTALLGDNPSIDVKKSVVVTLSSDKGLCGGINSTVVKVSRALYKLNAGPEKDVKFVIVGEKAKAIMFRDSKNDISLTVTELNKNPLNYAQVSVLADDILKNVEFDALRIVYNKFHSVVAFLPTVATVLSPEIIEKESEVGGKLGELDSYEIEGGETKGEILQNLAEFQFSCVMFNAVLENACSEMGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEAAK >A04p031420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18519077:18520739:1 gene:A04p031420.1_BraROA transcript:A04p031420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSETGLTAANREPMPFTMSLHHQQHNQPPPPPQPQQNSQNMQLSFTGADRTAVYKPMSSDSSPQQYQHNSPGGLNMNVPVMGSEQRVKKRRGRPRKYEPGSGEASLGFVPGPPSYTVSQPSGGDGGGGGASPSVKRMRGRPSGSSNRPKLQALGSTGVGFTPHVLTVNTGEDVSSKIMAFSQNGPRAVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLLENNGHRSRTGGLSVSLSAPDGNVLGGCVAGLLIAASPVQIVVGSFIPDGQKEHVGQMELASPALPRVAPSHVLTTPNSQQARGGMSESSCGGHGSPLHQSAGGPYNNNSNNLSMSWK >A01p058620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33375641:33376688:-1 gene:A01p058620.1_BraROA transcript:A01p058620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEMNDLKKIGLGLTGFGVFFTFLGVIFVFDKGLIAMGNILFLAGVTLTIGIQPAIQFFTKRRNFKGTISFGLGFLLVVFGWPILGLLLESYGFLVLFSGFWPTLAVFLQRIPILGWLLQHPYIRSLLDRYRGRRVPV >A09p073750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56125627:56127517:-1 gene:A09p073750.1_BraROA transcript:A09p073750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKESKSIPLLTSYKMGPFNLSHRCSNRRLRIRVVVAPMTRQRAYGYIAQPQAKLYYTQRTTPGGFLISESCAVSHTTKGYPDIPGIWTREQVEAWKPIVDAVHAKGGIFFCQIWHGGRVFNQDQPNGEAPVSSTDKPLTCNNIYGGQFTPPRRLRTEEIPAIVNDFRVAARNAMEAGFDGVEVHGAHGYLIDQFLKDKVNDRSDQYGGSLENRCRFAVEVVKAVVKEIGSDRVGIRLSPFADYMESGDSNPEALGLYMVQEMNKHGILYCHMVEPRMKLLEEMSECTESLTPMRKAFKGTFIVAGGYSREDGNKVVEEGGADLVGYGRTFLANPDLPRRFELDAPLNKYDRSTFYTSDPVVGYTDYPFLDNTDTSASC >A01p009820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4748584:4749909:1 gene:A01p009820.1_BraROA transcript:A01p009820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) UniProtKB/TrEMBL;Acc:F4JLB7] MATSHLHLFLFFLFTAPSTVCQENNDDAPPQLPPSEQEAVYRVLNSVNPSILWRTTFPDDLCASPSDGVVCDYPDASSSTSLHVTELHLGYVSDYTQNPPCSSNSTLDPLLFTSFKHLRKLFFYKCFTGAPASLPETIPEEFGSVLEELVFIENPSLSGDVGVLIGNFTKLRRLVLTGNGFHGSIPNRISGLVSLEEITLSRNSLTGGFPATSRLKNLKVLDFSHNSLTGNAPDSIGDLTELLKLDLSYNAFSGEIPPGVGKLKKLEFLDLSYNRFGNYGVPLFLAEMPSLKEVYLSGNLLGGRIPEIWKNLEGISGIGFSRMGLHGNIPASMGSSLKSLWYLALDNNNLDGRIPLEFGLLESAREINLENNNLTGQAPFSDGFRDRVGKKLKLSGNPHLLLVKDSVPPLAGEVLSSSAARALVSVCFTTVFMVLYILIKQ >A04p031910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18708975:18714964:1 gene:A04p031910.1_BraROA transcript:A04p031910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKMEIANTKWVAAAASIWIQSFSGASYTFGIYSSLLKSSQSYDQSTLDTVSVCKDIGANVGILSGLFYTAVASGRSGNGRFFSGPWVVIFVGLLQWFVGYGFIWMATSGVIERPPVAVMCFFMFLAGHCQPFFNTAIVVTAVRNFSDYGGTAVGIMKGYIGLSGAVLVQMYHIFCKGDPTNYLLLLAVVPSLLILTTMPFVRTYDTVIANDKKHLNGLSTISLIIVTYLMIVILVENIIGMSMTMKICSFTILVLLLASPLLVAVRAHREEKDRFMSLDFPVTEKTTLLDAPKLNPSPDVNVVMSNDMDVLQAIRTTNFWLLFTAMLCGMGSGLATVNNIRQVGESLCYSTVQLNSLVSLWSIWNFLGRFGSGYISDAYLHSHGWPRPVFMAITLTLMAIGHVVMASGLLGSLYIGSLIVGLAYGSQWALMPTITSEIFGIRHMGTIFYTISIASPVGSYFFSVKVIGYLYDQVASVDDHSCYGNHCFSTSFVIMAAMAMLGSLVAFVLFLRTKKFYATLVAKRILNQDVQRHIEDFLSSFIFQAKISPRLTERDMERVNTKWVAAAASIWIQSFSGATYTFAIYSSILKSSQSYDQSTLDFVSVFKDIGGTLGIFSGLLYTAMASTPHGRGRGPWVVVFVGLVQWFLGFLFMWASVVGLIPKPPVAVMCLFVFLAGHSLPFFNTASVVTAARNFSDYGGTAVGIMQGFLGLSGAILIQLYHAVSGEGNPATFILLLAIVPTLVIFLTMPFVRVYETVRTSDKKHLDGLSVISLIIAAYLMLVITVQNVLGLTRSVQILSFVLVLLLLASPLLVAVRALREEKQMALDHPVLDTSVLLIPRSSNIFPDGDHVVREDSNILEAMSTVNFWLLFLAMLCGMGSGFATINNIRQIGESLRYSTVQLNSLVSLWSIWNFLGRFGAGYISDTFLHKHSWPRPVFMAMTLGVMAVGHVVVASGLQGSLYVGSVLIGMAYGSQWSLMPTITSEIFGIRHMGTIYFTISIAGPVGSYLLSVKVIGYFYDKVASEVDNSCFGSQCFRTSFVIMASVALFGSLVACVLFFRTNKFYKRLVAKRSLK >A02p049160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30513661:30516447:1 gene:A02p049160.1_BraROA transcript:A02p049160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSRAFPLDPRAQEFVPLNPISSHFYFPYTSRPRPPPFPTLPPSSYGLSPMVPRVFTFFNLPPHPMMFSPPPPPPPRPYFNGVSAVQRLPFQSKSPTRSLSLISVPRDVTESTVRRDLEVFGDVRGVQMERISEGIVTVHFYDLRDAKKAVREICGRHMQHQAKVGGSGSVWSLPSSSSPVRGFVSGRPVWAQFVVPATSAVPNGCNQGTLVVFNLDPEVSSIALRQIFQVYGPIKELRETPYKKHQRFVEFYDVRDAAKAFDGMNGEEICGKQVVIEYSRPGGIKNKFRSLRQPHVLFQPFQPAQPPPILAPPMRQSLTLMKDKNKNVSPNNGVAVVEASMGSLCINDDEDNKIRGAESETKSKNVAKWGKKRHMKSMELSQFLISEETMDDPSCRDPRTTLMIKNIPNKYSQKLLLNMLDNHCVNINEAITEGKDEHEAHHQPFPSYDFVYLPMDFNNKCNVGYGFVNMTSPEAAWRLYKAFHLQRWEVFNSQKICQITYARVQGLEDLKEHFKSAKFPCEAELYLPVVFTPPRDGRQLTEPVSININGCIELDSKHREPMDGQDLSVSGSCCGSDHYNSQEDEFSSSSIDGGQSLTVVGDTSF >A04g502560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6101791:6102607:-1 gene:A04g502560.1_BraROA transcript:A04g502560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESAYNCQNQAIVSVNFRFEAPQTTLHPVKAVEDVHRRLCSLSYPKGARLYASEGPKDYNLLGNLTPTSPQLDVKKPQDIVRPESLSLLAEGYDRCVQVCSEYAKTFYLGWDLLLLSLLSFMSTTYMSTFYISIFSLSTNN >A10p013450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4773917:4777387:1 gene:A10p013450.1_BraROA transcript:A10p013450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFFRNSYLETKSVLRQVPKKAGSDLASPSQALNIAIAISGSTKSKNVVKWALEKFSSDKNLVFKLIHVHPKITSVPTPSGRTVSISEAPEDVAATYRRQVMEKTKETLLKPYKKMCERKKVAVELQVLESNSVAVAITREVNQHLISRLVIGGSSHVGLDGNRDVTAKISAYVSELCTVYVVSKGVYILSKTKSSSDGEINETIRDSRSERADTSSYSSSSGHISAALKSKSLVLSNTRLQHLPTIARWVSVRMETSSVDSYETKSMFSDQVSKRSSPETSRTVTWNPPRSYMSSNENVNQGDDYFTDNQDTLHEIRKLKDELRQAQEMYAMAQVETLDASLKLNELEFEELKLKENGTKGLEEKETQKFEQMRRETREVVQKREAREKEDKLKERSLVAPKLQYQEFTWEEIKTATSSFSEDLKIGNGAYGAVYKCKLRHTFAAVKVLHSAESNLSKQFDQEIEILSKIRHPHLVLLLGACPEHGALVYEYMENGSLEDRLYQVNKSQPIPWFVRFRIAWEVASALIFLHKSKPTPIIHRDLKPANILLDQNFVSKVGDVGISTMLQVDPLLTQFTMYKQTSPVGTLCYIDPEYQRSGMLSFKSDVYAFGMIILQLLTALPAIALTYKVETAMGKNDEFIQILDKKAGDWPMEETRKLAALALSCTEIRAKNRPDLETHILPALESFKNVAVKSRNLISSAPNQPPSHFLCPLLKEVMSDPCVAADGYTYDRRAIEEWMEDHCTSPVTNLPLQNINLLPNHSVCAAIGEWRHKNQ >A04g501320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3374649:3375806:-1 gene:A04g501320.1_BraROA transcript:A04g501320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGNKQHGFKELSRAEEAETSYPTSASITTTTSTSINTSTATSIDTTTATSIDRTTSTSTNGTTPTSIDGTTSKSIAHIIPASIDGDSCFRSTPLEIHGRSSCSQDIADSTHKSTDVSCCSLSPDVEREITMEVLELEEFLELEDGEKLGDLDLSREVTMEDFLELEEWLEDMDQNSKKKLDDDQHTSRGDLETSPNASIDRHQHDEIDQQPPHIIDRHPPEIVDRHPLLEELPGYMVELEQVEERMYMSKASHPAVHEHQRPQLCAEEAVGFHKKVKMIHDPVKIVVPCAVFEVEFSIPPDKVVHLSSYVEVLDDHQHVEASQRVLRSRDEVDKGPTEATSIDTDRIPSNDTNKPVSINTTISQSKDTGRIRAEGVRCVWKYF >A01p049110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27615453:27618926:1 gene:A01p049110.1_BraROA transcript:A01p049110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSIGDSLIEIDDKEEVEDPFLAFVDYARAVISPEQDEIEEEEEDVRKKNPSEAVTEVSGPGWGWIASRVLKTCTAYSSGVTAAILLSDLSQGWHEQNKPGMSKKKPELIDQLNKSHRRRRLANTVTIDSIYEKNFLSMNSVLEAVVIKADLLPGTNIFMLTLGDFWSSNTIDLYLHRRYYELVETPNGILRKGREVLITGCYLRTAREGCGTPRLLPTEYLVILLDEDEEEDAIFIVAQLCSDTFSSVSLDDFNNGTSYSLYARIESIGPLESELKFSTAHRRQIALVDADGVKLKFILWGDQVIVANLMSVGSMLGLERPYISSLEESAMEGKDEFCLEYGSATHLYIVPSTLQEETVCVSLSQNQCQGSKLLGSVGVSQVTLPRDAEGSIDFSNYPFRTNITDCRDKTTGINLYGVVTEIFCDPNATGVVFSLKIEDTTGAIWARLHFNSYWSLGRLGLGHVVYVSGLSCKTTKENCLEVLWHEENEKATFINLSCLPAFLTSSCLHKVSTLSQISKQRKPSINICRVKLDEIDQCHSINTRLSHSVCGHFIDEESPPHGGNLHCSFCRVTFNSNGGSEVVRTFHIMITLADEETTKLYAWCTGQSASAILQISPDEFCDLPEDDQLMYPSSLENEWFLVTLANSGGRSLSHKMEATCWEITRALKI >A08p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9344741:9345475:1 gene:A08p013940.1_BraROA transcript:A08p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGDVGLLEDYVASEDIPFIRSLTISSAHRRDTFCWNYTKNDQYTVKSGDIIDDPQVLSLGNICMIDGLWTSTSQFSGCGWAWIDSLGKVQLMGTRNYIR >A03p052840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22663478:22664104:1 gene:A03p052840.1_BraROA transcript:A03p052840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGLKMMRNLGSGCACAKFGRSERWYCVSRREKISFSTPPEVTFSTPPSLVSLLFTSFFGYLWYQTKVCLNLESPVVVLYSAASEQERLWDVLSEKRNQIRILSRQDESKRKKVKQAEIKLIEKAATVLSEEIQV >A01p014520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7050170:7059088:1 gene:A01p014520.1_BraROA transcript:A01p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPSSEQGSREHLLHPEFPPSRFPFLSTVLWFDKSSRGTALLSWSVFFILVVGVPIISHFVLVCSDCDFHHRRPYDAVVQLSLSIFAGISFVSLSYWSRKFGMRRFLFLDKLLDVSDKVRIEYEAEIQRSKKRLMIFVLPSLTLEATYRIWWYISGSDQIPYLINPLLSNVIACTLQLSSWLYRNAIFITVCILYQITCHLQTLRLNDFARCFASEITDVGAALAEHQKIRRNLRIVSHRFRRFILLSLILVTGTQFMALLTTTRASVAVNIYEVGELALCSLILVTGVFICLRSATKITHKAQSVTSLAAKWNVCATVDSFENLHDGETPTASNVESQISTCRNEMDTSDDEEGEGDDELDNTKIHPIYANTISYQKRQALVTYLENNRAGITVYGFLVDRSWLHTIFGVELALLLWLLNKTIVNIA >A07g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23418006:23420954:-1 gene:A07g508530.1_BraROA transcript:A07g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFKAMLDDLDALEKSLSDPAPIHKLRSHVENLAALSKSNPHRRSKVKELSSEVVDSNPYSRLMALQRMGIVENYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLAEINPDVVLESFTMNITTVQGFETFTSSLKNKAFCPNKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNSLKFLLNFGEVSPYLGYNSLKDFFPTMQMRPNPQCSNAACLERQKEYMLAKPARDAAAKAKMEAEAATAVDDGPLHDDNEWNISVVDDENEKDTTKASSSSGALPEGLTRELPEADEYEKAIAIGSGETDEEEDDLEDLKKQLEALNAA >A02p043970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27698795:27700404:-1 gene:A02p043970.1_BraROA transcript:A02p043970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRGRSRKINGGGRQDSTQAVASGIFQINTGVSTFQRLVNTLGTPRDTPELRDKLHKTRLHIGQLVKDTSAKLKEASETDHQTGVNPSKKIADAKLAKDFQAVLKEFQKAQQTAAERETAYAPLVPPSAQPTSYSAGEADKISEQRAQVMESKSQELVLLDNEIAFNEAVIEEREQGIQEIHTQIGEVNEIFKDLAVLVNDQGVMIDDIGTHIDNSRAATSQGRSQLAQAAKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >A01p010530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5150229:5150420:1 gene:A01p010530.1_BraROA transcript:A01p010530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANISHASKSERINRDFEISSAIVQHQHQEEGFAQTSTLTTGELQSSSNEISSTATEPRSHN >A03p018740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:7654830:7657196:-1 gene:A03p018740.1_BraROA transcript:A03p018740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCSMHLNFLLVLLFCCVSPASFDLLVENPVAGLVACSPRQIQALTQFKNEFDTRGCNHSDNSNGVWCDNSTGAITKLQLSDCLSGTLKPNSSLFSLHHLRYLNLSENNFSSSSLPTEFSNLKKLEVLSLSSNGFLGQVPSSFSNLNKLSVLSLSKNDLTGRFPLVWNLTKLVLLDLSDNHFSGPLNPKSALFELHQLRDLFLSNNNFSSSLSSEFGKLSNLEVLYLPSNGFSGQFPPTISNLTKLKVLRLSNNHLSGTLAPNSGLFELHELRFLMLDYNNFSSSLPSEFGNLNNLEFLYLTFNLFSGQIPPTISNLTSLVILFLAENELTGSFPLVWSLSKLSMLDLSDNHFTGTLNPNSGLFELQDLRYLNLGYNNFSSKLPSEFGNLTILEVLSLESNSFTGEIICNRSSLFGLVISYNNFTGQVPPCLSNIPFVILRENNLEGSLPDNFYVDASVQTLDVGRNQLVGQLPKSLINCSSLRVLVVDHNSIKDTFPFRLKALPNLQVFILRSNDFYGSISPPDQGPLGFPELRILEISDNNFTGSLPTNYFLNWKASLPTTNEDEGGLYMAYNKTAYAPIFYTYTDVLDLQYKGISMEQEKILTFYAAIDFSGNKLEGQIPESIGLLKALIALNLSNNTFTGHIPLSLANLTELESLDLSSNQLSGTIPNGLGSLSFLAYINVSHNQLKGEIPQGTQFSGQAESSFEGNAGLCGLPLKERCIGSNVSPTQQPKDEDEEGEVLSWKAAAIGYGAGMLFGLTIAQVIASFKPEWLTKIIGPYKLRNH >A06p021670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10755427:10757401:1 gene:A06p021670.1_BraROA transcript:A06p021670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ and TAZ domain-containing protein 2 [Source:Projected from Arabidopsis thaliana (AT3G48360) UniProtKB/Swiss-Prot;Acc:Q94BN0] MEPVLVAMAVTATSEDDGFSLITDKVSYNLTASDVTIVTSGNRRIPAHSGILASASPVLMNIMKKTVKRYQRYGAKRAIKILGVPCDAVSVFIRFLYSSSLTEDELEKYGIHLLALSHVYMVANLKQRCSRGVVDGLTAENVVDVLQLARLCDAPDVCLKSMRLIQSQFKTVEQTEGWKFLQEHDPVLELDILQFIDDSESRKKRRRRHKKEQNLYMQLSEAMECIEHICTQGCTLVGPTNIVGANKSTTVPFGGKSKPCEAVSTCYGLQLSIRHFAVCKKRSNENGCLRCKRMLQLLRLHSSICDQLESCRVPLCRQFKKRVEQDKRMGEDTKWKLLVRRVVSAKAMSSLCQSSKKNKYDGEAEGLVRNRTGMRWGL >A06p000560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:287065:296584:-1 gene:A06p000560.1_BraROA transcript:A06p000560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) UniProtKB/TrEMBL;Acc:F4I0A4] MPLLSNLISVGEAAMEGDERGVLLACVISGALFALFGSGSFWILWSVNWRPWRLYSWIFARKWPKVLQGPQLDALCGFLSLVAWIVVVSPMAILIGWGCWLIVILDRHIIGLAIIMAGTALLLAFYSIMLWWRTQWQSSRAVALLLLLGVALLCAYELCAVYVTAGAHASQQYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEIGPVACLPEPPDPNELYPRQTSRASHLGLLYLGSLIVLLAYSVLYGLTARESRWLGGITSAAVIVLDWNIGACLYGFKLLQNRVLALFVAGTSRIFLICFGIHYWYLGHCISYIFVASVLSGAAVSRHLSITDPSAARRDALQSTVIRLREGFRRKEQSSSSGSSDGCGSSIKRSSSMDAGHAGCTNEANRTTESCVADTLTRTGSSLECINSDKSVESGRPSLGLHSSSCRSVVQEAEAGTSYSLDKVSDPNNTLVVCSSSGIDSQGYESSTSNSANEQILDLNLALAFQDQLNDPRIASMLQKKAKEGDLELTNLLQNKGLDPDFAVMLKEKKLDPSILALLQRSSLDADRDHRDNTDIAIIDSNSVDNTLPNQISLSEELRLRGLEKWLKLSRLVLHHVAGTPERAWGLFSLVFILETIIVAIFRPKTITIINSSHQQFEFGFSVLLLSPVVCSIMAFLRSLQVEEMALTSKSRKYGFVAWLLSTLVGLSLSFLSKSSVLLGISLTVPLMAACLSIAVPIWMHNGYQFWVPQLSCGDQVRDSRFPRMKGIILWICVVVFVGSVIALGAIISAKPLDDLKYKLFSATENNFTSPYTSSVYLGWAMASGVSLVVTAILPIVSWFATYRFSHSSAVCLVIFSVVLVAFCGTSYMEVVKSRDDQLPTKNDFLAALLPLACIPALLSLCCGMLKWKDDCWILSRGVYVFVSIGLLLLFGAISAVIIVVKPWTIGVSFLLVLLLIVVAIGVIHLWASNSFYLTRKQTSFVCFLAFLLGLAAFLVGWFQHKAFAGASVGYFTFLFLVAGRALAVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLIIYPPFAGAAVSAITLVVAFGFAVSRPCLTLETRNALSGTYSAPQRSASSAALLVGDPSAMRDKAGNLVLPRDDVMKLRDRLRNEERVAGSFFYRMQCRKRFRHEPPTNVDYRRDMCAHARVLALEEAIDTEWVYMWDTFGGYLLLLLGLTAKAEKVQDEVRLKLFLDSIGFSDLSARKISKWKPEDRRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLIPSMPNAGSREAAAMAAAIRAVGGDSVLEDSFARERVSGIAHRIRTAQLERRAQLTGIAGAVCVLDDEPMISGKHCGQMDASVCQSQKISFSITAMIQPDSGPVCLFGTEYQKKVCWEVLVAGSEQGIEAGLVGLRLITKGERQTTVAREWYIGATSITDGRWHTVTITVDADAGEATCYLDGGFDGYQTGLPLSVSSAIWEQGAEVWLGVKPPIDVDAFGRSDSDGAESKMHIMDVFLWGKCLTEDEAASLHAAIGMADLDMIDLNDDNWQWTASPPRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSGRDFLFSADSFSRRHRKSRMETQEEINQRMRSVELAVKEALSARGDKQFTDQEFPPNDRSLFVDTQNPPSKLQVVSEWMRPDSIVKENGSDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSQISEVIITPEYNEEGIYTVRFCIQGEWVPVVIDDWIPCESPGKPAFATSKKFNELWVSIVEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDLRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSVLQVREVDGHRLVQIRNPWANEVEWNGPWSDSSPEWSDRMKHKLKHVPQSNEGIFWMSWQDFQIHFRSIYVCRVYPREMRHSVHGQWRNYSAGGCQDYSSWHQNPQFRLRATGSDASSPIHVFITLTQGVGFSRTTPGFRNYQSSHDSQLFYIGMRILKTRGHRAAYNIFLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASIVLEAL >A03g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29470756:29475087:-1 gene:A03g508760.1_BraROA transcript:A03g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDENSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLHLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A03g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18894058:18894378:-1 gene:A03g505240.1_BraROA transcript:A03g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKGNTYNSAKKIDVLKPQTCVPRNFLGIFRGNSEEPYKFIEIHAHDILFPRINENIPRKFRRIFFFRRNRNIFI >A10g502700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7725585:7727381:1 gene:A10g502700.1_BraROA transcript:A10g502700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYAELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEGDASRSTDEFLAIMRSFYHIPDAVEFRHLIGILILSYEHGLSLSVDHYEALLRLQLVKGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEVPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFNDFFAGLPSGFNAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKSAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIRGFWDSIPVSPDTEEVPIDFRDGGEEVDRPADAFGASLSGDFDFGL >A05g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18069580:18073804:-1 gene:A05g506300.1_BraROA transcript:A05g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKAKHDWNNHVWGVREATSSEFEQSGEEKGEDQAADTERGENSHVAENVDGTADVSRRNKRKHADRGAESRKKNVLCQLAASSKGNIDTDLKNFLEGLVQASFTTFGEKFCQQFSDRLGKIETEVTQLRTASERNEQFETVVTDRLGKIEAEVTQLRTTLVVTELVGKSNKQAAVKNQELKTADSCVNLPCANVTQSSASDLRMGTQEFLESCMKNLPLDTFVKAASLDWLKLPKSLKKAAYSLDWLELPKSMKNPKESLELLKSLKKPAVRLDDRDMELDGEDFSDRCLAIVHPADFKKNAGLAKYTNIGPSMLDGDLAGRIMSASSWLKNYVDPVSRSRHEACSLPKFPCAYLLWGKIADSSYVSWEAKLVMLRNPVLRVVAKYLGHLLLGKTEAGSLTEAEAQLIHYGLPLALRPTYENTDEPPAELSVNMGALFAQMLFERKFRGLHPLDKKPLDESIGSLLTRIFKHHDIDLSDTPCVDTIDRFDAQFFLNTKILHSGKIYCFTMPDGTILHCKLPQPAITSLTSVDNMEFMPPAEVLYTPPPPASKRRRGSSSSGSAQTQCEDDTIPDISVDHTPNPSMEYLLPPYTGQFDSGAPPLDGTQQQQFAWTADTLVKLSTMMQTVWGALVKIRCPPTPSCCRAPQTSEAAGMTGDDAGDEPSDEAADAERGSRLHRRRRAPGQSRSCSPDDHQ >A03p014440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5737338:5737640:-1 gene:A03p014440.1_BraROA transcript:A03p014440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKWLLTSAFTQMFGYSNQISQTSNSPSTRNKIKMMKKEEYPRGFQVPLHYPKYSKSDYEAMDDLSLDLLLKQYGISFEGSLEDKRVFAMESFLWPDQL >A10p013890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4494751:4495852:-1 gene:A10p013890.1_BraROA transcript:A10p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIVWSTTREDLSAQLSPIEAVNFQSEKMQQRKTTTAAGRPSGTDGSDFSYRMVVDSRYTKVTKGRSRLRLLILVQATIYLIGLSCAFMTSTKNAERNTLGIASASIGLLFAFIGDFGCRRSRVNLLRLYTAASTVVMVLSVFSAVRSRLTMEERNSSGTAANLELLGFISAQLGAVVQILAIIVTGSLVNNMSPPTKTE >A06p047350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25155188:25158659:-1 gene:A06p047350.1_BraROA transcript:A06p047350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFGFQNPTLVSFLLVLLLFISNCLASSQNDDVNKDSGSEYDRVPVQVPVGLVLDLGCVEGKIVRSSLSMALSDFYTVHNNYKTRVSLSVRNSHGEPLLALASGVDLHQAVGVEAIIVGSSFLETKLLAELGEKARVPVVSLNSPISSSLSRYSHLIQATHDASSEAKGITAFIHEFGWNSVVLVYEDDDDWRESMQLMVDHFGENEVRVRSKVGFTSSSNDELMMDRLRKVKGLGTSVFVVHSSELVATHLFECAEELGMMGEGFAWILTAKSMNSFHESTGDTREVMEGVVGFKSYIPKSKELQNFTLRWRKSLANDEAVGLEINRLSIAGVWAHDIAWALARAVEVTRMQNVSSSSILLEAIKENRFKGLSGDFQIKDKNFLSDKFEIVNLIGSGERRVGFWNSNGSFSNRRLLSSSAHNNKLETIIWPGGSAQSPNGRNSGEIKRKKLRVLVTSSNRFPRLVKVTTDPATNIVSAEGFCIDVFKASINPFNYDVEFILWRNGSNYDKLAHALYNQKDKYDAAVGDITITSNRLKYVDFTMAFTELGLGIVAPKERSMWVFFQPLTRDLWVTSAAFFVLTGIIVWLIERPENKEFQGSWSEQIGEKLKYNLSRFVVTVWVFAVLILTTSYTATLTSMMTVQQIRFNSNTDFVGHLSGSIIANASLTGPRLRATNTKGLNTSQDYAEALLNKTVAFIVDELPYLNVLLGEKPAQFIMVKSQCTTNGFGFMFQKGDELVHNVSTEIAKLRTEGKLGEIEKGWFENQLPYTTDDTSNPITLYRFRGLFMITGLSSAFALAVLVILWLRDRWKDLVNSVKVFISRLLVHFRILLARTIHPSPLDDHIGESVVQMGQGNRQ >A02p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3512333:3513859:-1 gene:A02p008510.1_BraROA transcript:A02p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAERRDDEIRDAKESANIDNLKSQYVTDSFSDERYSRELKDGLHPLRYKFAIWYTRRTPGVRSQTSYEDNIKKIVEFSTVEGFWASYCHLARSSLLPNPTDLHFFKDGIRPLWEDGANCNGGKWIIRFSKVVSARFWEDLLLALVGDQLDDAENICGAVLSVRINEDIISVWNRNASDHQAVMGLRDSIKRHLKLPHAYVMEYKPHDASLRDNSSYRNTWRG >A09p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19698954:19701230:1 gene:A09p032480.1_BraROA transcript:A09p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTTTNTRGPDSAASPPSSRVGRANSDGIIDTTPLLPSGVSRAASVDGENGIQRSARRQGLREAARFLRHAGSRRMMREPSVMVRETAAEQLEERQSDWAYSKPVVFLDILWNLAFVAIGVAVLILSRDERPSVPLRVWVVGYGVQCGLHMACVCVEYRRRRCRRRSDTRHGSSASSSPSSTQQYVSLAQLEDTGSTRLTLVDAYSICGSKCNFSCAVSTFSNSAKHLESANTMFSFIWWVIGFYWVSAGGQTLSSDSPQLYWLCIIFLGFDVFFVVFCVALACVVGLAVCCCLPCIIAILYAVADEEGASKKDIDQMPKFRYRKISNDEKLPGETTNRGIMTECGTDSPIERSLSSEDAECCICLCEYEDGVELRELPCNHHFHCTCIDKWLHINSRCPLCKFNILKNENEV >A06g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16152649:16153546:1 gene:A06g505620.1_BraROA transcript:A06g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGTLGKVRVSLFSSDYGNRRCKLQFSQRPAIVDRLSRSLEVSINRLRACVSEHIFVGVFWPVSGQRVCTSLGLLFDLWVLYVPCLQHVRIKLYLFGVLCPGPWTSGIVSHTSLIDSPVTHLSFFLISCETHEYMIFGWIRGDGCHNLVSERVPSRDGDQGIRFSMFSKNIYNKNNNNKVFQIARRFISFSNHYAEVVHDLICDVSSTTQFQAIAPRIFDA >A08p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3133676:3135210:1 gene:A08p005460.1_BraROA transcript:A08p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIQERDERPQKSTSRRNGRRKSSKKTISASPTRSQIVVTNANVALTDPSEVAFNVCCLCVYCPLCILWCCIKLPFFCFAKNVNFIKDKSGCGRSLSMRIKDADYSSFLDIDLDEVKYKAHSRLKLNG >A08g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12466088:12469661:-1 gene:A08g507170.1_BraROA transcript:A08g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDIVIVGGGIAGLATSLALHRKGIKSIVLERSESVRSEGAAFGIQTNGWLALQQLGVADKLRLNSLPIHQIRDVMIEKNIKQRESVGPASHGEVRGVIRNDMVRALAHALPVGTIRLGSQIVSVKLDEATSFPIVHLRNGQDIKAKVLIGCDGSNSIVSKFLGLNPTKALGSRAVRGFTNYPNGHVFQQEFIRIKMDNVVSGRLPITHNLVFWFVVMLKCLQ >A09p026140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14826443:14828965:-1 gene:A09p026140.1_BraROA transcript:A09p026140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl-terminal-processing peptidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G46390) UniProtKB/Swiss-Prot;Acc:F4KHG6] MRLLLPFSSPLSATSPPSLPEHPPPSKIEYSGLTKIFKKSVIGTLTGALSLTLVLSSPIPSVAASIDPYLSMTPPSLSPDSSPEDCPNEEEADTEVLEDDVRPQLVTNEGIVEEAWEIVNDSFLDARSNRWTPETWQKQKEEILSSPIKSRSKAHEVIKKMLGSLGDQYTRFLSPDEFSRMSKYDITGIGINLREVSDGGGNAKLKVLGIVLDGPADIAGVKQGDEIVAVNGVDVSGKSSFEVSSLLQGPSKTFVVLKVKHGKCGPLRTIKVQRQVNAQTPVSYRLEKVDNGKISVGYIRLKEFNALARKDLVIAMKRLQDKGASYFVMDLRDNLGGLVQAGIETAKLFLDEGDTVIYTAGRDTETQKTVVADRQPLINAPLIVMVNNRTASASEIVASALHDNCKAILVGERTYGKGLIQSVFELRDGSGVVVTIGKYVTPNHIDINGGGIEPDFRNLPAWDEVKERLSKCNTLQQS >A04p021890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13221296:13221912:-1 gene:A04p021890.1_BraROA transcript:A04p021890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVRSKKFDLIKLPQGRATQFTRMIRYNGKVAIMLSGGFQSYPGRIELWVLEDAAKHGWSNQNFVLPLLADRNTMCQVFCVINDDAGEFVLAPETLQKSMRRVDIGGIAEQDLQYWDVDSDRRTISIFPGQVENLMFLYDNLQNIEMIVSLVESRTVFSNSFSTSVTNSIFCSSVVVIYLGGFIDHILCF >A07p022520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13145875:13148218:-1 gene:A07p022520.1_BraROA transcript:A07p022520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSEKTPSPSSSSHGRKDLEFFKVYLPEFSSHQLVIPQAFINILDKPLPKKVVLVDEIGRLWDVETKIGVVVFRQGWEKFANEHSLEFGDFLLFRYNGESRFDVTIFAKDGCKKDLDRFRVSVEKEPVLVKPVGISIKPEPWEDCGKRVMQNRKRVSVREEASVFGEIEPVHQRNTRKKVNRSRDPREMSWVPEKKHKGSEEPVYKPKNPHFVRNIAVGSLRLLEIPTTFLKANGIELEEGQDIELCDENGKKWPLKIEKHGRGCIFSYDSWLCFCESHNLRNPNKCLFEFIVSSNGTCSQILVRIFRGTLLTTATKSGYHFEISKRVQSEEGEENLKEEQVCNQLQLKSSETQTQALNKRAVLNRIRDHKCIHKIKSLLLTTAGSNKGSTVDADNRWIDSGDVFSCP >A07p000150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:846647:847530:1 gene:A07p000150.1_BraROA transcript:A07p000150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATHSLNLLPKAFPPTLASSLRPNLLRFDSTRHRRSRRQNLSIRSVFTGIVEEMGHVKDLGLADHGGGGFDLKIGARVVLEDVKLGDSIAVNGTCLTVTHFDTEAFTVGLAPETLRKTSLEELERGSLVNLERALQPVSRMGGHVVQGHVDGTGVIVSMEAEGDSLWVKVRADKALLKYIVPKGFVAVDGTSLTVVDVSDGDSCFNFMLVAYTQLNVVIPTKKVGQKVNLEVDIMGKYVERLLLSMNNNTPQENKG >A01p048240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27170440:27176482:1 gene:A01p048240.1_BraROA transcript:A01p048240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKNKLFFSSKKSGSSSPDSSNSPRSVGSNSPIRSDKKKPKSASNDESPNPNPTSGVVGCKQAEIKDGSKKSKGKQLPSPHSIGKSNLSPSSEGPAFVSPIMASSLGLNRIKTRSGPLPRESVFNFRNDGETPPLLSTSKLSKVVTDGGGSKKKEAGSIKLGVEENKDPDGLSPDTGPMRSLSPKLPPASGSRLQNVASSSGTGRSVASGRSGPLRNSDFCTPENSYELENPKESESPRYQALLRMTSAPRKRFPGDIKSFSHELNSKGVRPFPLWKPRRSNNVEEILVLIRAKFDKAKEEVNSDLAVFAADLVGVLEKNAESHPEWEETFEDLLILARSCAMTSPGDFWLQCEGIVQDLDDRRQELPPGVLKQLHTRMLFILTRCTRLLQFHKESWGEEEQAVQLRQSRVLHSLEKLPPTGAGRSSSAAKILKIPSSTKKAFSQEQRGLEWKEDAVVRSVPPISPPENYVLKESESPANIDRMSSWKKLPSPALKTVKEAPASEEQNDIKLEPPNMVRNQQPSDDTAVSVLNCPPAKDYHEQSPKHRHNISWGYWGEQPLISEESSIMCRICEEEVPTTHVEDHSRICTLADKYDQKGLSVDERLMAIAGTLDKIAETFRYKDSLAAAESPDAMKVSNSNLTEECNVLSPRLSDWSRRGSEDMLDCLPETDNSVFMDDMRGLPLMSCKTRFGSKSDLGGMATSSASSMTPRSPIPTPRPDPIEMILGGKGTFHDHDDIPQMSELADIAKCAADAIPGDEQSIPFLLSCLEDLRVVIDRSKFEALTVETFGTRIEKLIREKYLQICELMDDEKVDLSSTVIDEDAPLEDDVARNVHPRDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILINVRNPFVVRFFYSFTDRDNLYLVMEYLNGGDLYSLLRNLGCLEEDIVRVYIAEVVLALEYLHSEGVVHRDVKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPGTSLLDEEESRLPASEHQLERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGIILFELIVGIPPFNAEHPQQIFDNILNRNIPWPHVPEEMSAEAHDIIDRFLTEDPHLRLGARGAAEVKQHSFFKDINWDTLARQKAAFVPASESAIDTSYFRSRYSWNTSDEQFFPSGEVEDYSDADSLSGSSGCSSNRHEEGEVNNFTKMDQNGGTQVEESDGQAEFESGVPVDYSFSNFSFKNLSQLASINYDLLSKGWKDEPQPNSRQK >A09p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10011578:10017849:-1 gene:A09p018960.1_BraROA transcript:A09p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRSSESFRLSLSYGADDLNEDPIRSPSASPFGSPTSITSSACSSPSAVEDPELGFRIDLDWTAGDSEDQVALRLESQLMVALPAPNDTVVVEFNGEGEEVGVVMRVEKRREPLRAVTLMKAAGSGQQYDGVGVLTRLMRSDMMPAAIPAPAIDVASSCGEHWKTVTSLSLSGVGLLVMPVEVTELPLLEKLCLENNKLSVLPPELGKLKNLKVLRVDNNLLISVPAELRQCVGLVELSLEHNKLIRPLLDFRAMAGLRILRLFGNPLEFLPEILPLHQLRQLSLVNVRIVSDENLRSVNVQIETENTSYFGASRHKLSAFSPLIFRSSSCHHPLLASTLVKIMQDEGNRSVIGKDENAVRQLISMITSDNRHVVEQACVALSSLARDVSVAMQLMKCDIMKPTETVLKSAAPDEVISVLQVVVTLAFVSDSVSQKMLTKDMLKSLKSLCAHKNPEVQRQALLAVGNLAFCLENRRILITSESLRELLMRLTVTPEPRVNKAAARALAILGENDILRRSIKGRQVPKQGLRILTMDGGGMKGLATVQILKEIEKGSGKRIHELFDLICGTSTGGMLAIALGVKLMTLEQCEEIYKNLGKLVFAEPVPRDNEAASWREKLDQLYKSSSQSFRVVIHGSKHSADEFERLLKEMCADEDGDLLIESAVKNVPKVFVVSTLVSVMPAQPFIFRNYQYSVGTPEMSYAFSDHSGGSTLTSSTASDQAGYYKQSAFMGSCKHQVWQAIRASSAAPYYLDDFSVDSYRWQDGAIVANNPTIFAIREAQLLWPDTKIDCLVSIGSGSVPTRVRKGGWRYLDTGQVLIESACSVERVEEALSTLLPMLPEIQYFRFNPVDDRCGMELDETDPAIWLKLEAAIDEYIQSNSQVLKNVCERLTLPFLNDEKWCENLKPRFMNGKQPNRRVESSPSLGWRRNVLLVEAQHSPDSGRVNHHARALESFCSSNGIKLSSLHATPGGPKPSPGTAFPTPFNSPLISGSLPTSPLLFTPDHGPQKFNRIDMVPPLSLDGGHAGKTVLSPPSSPPPKRQLYLPLRQMHEKLQNLPQVGIIHLALQNDSNGSILSWQNDVFVVAEPGDLADKFLQSVKFSILSVMQSNRRKAASILSNICSISDLVRIKKCFQVGNIIHRYIGRQTQVMEDDQEIAAFMFRRTVPSTHLTPDDIRWMVGAWRDRIILYSGTFGPTQAVVKAFLDSGAKAVIGPSTEPQETPLITSQGSPFEYNIGENGKFEIGEEEDEEEEEAEREQMEPPTPTATSDWEDSDHEKMNRDDNKCSGLWEDEEEQVSEFVCQLYDMLFRENASVDVALQKALASHRKLRYTCHLPNV >A09g507540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21791280:21792367:1 gene:A09g507540.1_BraROA transcript:A09g507540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYILAPRSVSAFTLRPLSHHSIKMEIFHFSYDLREMAFEGLTRMHRLVLYRCSEELDRYAMTELWLKPGRYRPSGTSARSLRSDRALARARSLCSDQAGRALGRYVATELWRELGRYVATERNDCSRPIGSDVRSLRSDRHRLGLGCKVATGQRVCAVVTQRPSLVRLLLNLQGYYFVKTSYWLSFFYKNYIFIFTISFENTISGDFWVRSVKMSSKKKIAKKGS >A08g502600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5529203:5529695:-1 gene:A08g502600.1_BraROA transcript:A08g502600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAFFLQEVTLHSNDTSVLICLAVVSRIYHNDSRSLRASTLSSIYVSPYNHNQHNHTFTVYAIRENVFFGTQPQTCSINQTTRCDIEPGLLTASSSHQANASNKWDDEPNPAGLEEEGNSC >A08p020490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13851992:13852750:-1 gene:A08p020490.1_BraROA transcript:A08p020490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFRYGFNARGQIKGDSPELVEYTGMKNLINDVEDSVKASLLKKMLKIVLNLRTGSFFSLVGLMFSKLRRTIRAFERTFNSLFVHVGSVWSKPTRETRFGSKQTASGCESKQGA >A10g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10276571:10278693:-1 gene:A10g504160.1_BraROA transcript:A10g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGFILPFYCNDKEELQDQEHITLTAALKSLIHPFALSFKYSQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLPLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQEPGWFLKPPRGLAMAFVESESLDSHPPPTPSVHDHLLVSPAQRLLLSPSLLGAITLTAFMMNRVKKALGGGALDEVRESSPYTSASNESLHQIGFELRVELVLCGSYSSACSLSIQDAQHIPSLHKPSTALVIQTCRAHTLHNLIKTDPSDGWTGWDVRTLYGLEVRTLVLCLHPTSFY >A03p020800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8559225:8561928:-1 gene:A03p020800.1_BraROA transcript:A03p020800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAVKARQIFDSRGNPTVEVDVHTSSGVKVTAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVGNVNSIIGPALIGKDPTQQTAIDNFMVHELDGTQNEWGWCKQKLGANAILAVSLAVCKAGAVVSGIPLYKHIANLAGNPKIVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYSSDKTYDLNFKEENNNGSQKISGDALKDLYKSFVAEYPIVSIEDPFDQDDWEHYAKMTAECGDNVQIVGDDLLVTNPKLLRIEEELGSEAVYAGANFRKPVEPY >A09p066430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52727987:52739782:-1 gene:A09p066430.1_BraROA transcript:A09p066430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDEEKKLSKGHLDDVADKHENRIDAFDIGNPSFLADENSQAGLQAISSVDAVLKDDHFEQVSLREETTSIDSLAQSPKPKATMQNVSPELLHLVDSVIMGKPESLHKLKNLVNGVEKFEPGDDPETTAFLVIDSLLATMGGVESFEEDEDSNPPSVMLNSRAAIVSGELIPSLPCDNDSVSFMSPRTRMVRGLLAILRSCTRNRAMCSMAGLLCVLLRSLEEILSKDHNMKWNASPLFQCIQHLAGHSLNVEDLHRWLQILKTSLSSPLMNALEKAMSGKESRGPASSFEFVGESSGLLGPGETRWPFTNGYAFATWIYIESFADTLNASTAAAAIAAASAAKSGNTSAANVHTGEDTDHMPRLFSFLTPDNNQGIEVYFYAQFLVVESSNGKGSKSSLHFTHAFKPQCWYFIGLEHTCNQGLLGNSESELRLYIDGSLYETLPFEFPRISKPLSSCCIGSNPPPPPTTPGRQHRRRRQCALFAEMGPVYIFKEPIGPERMTRLASRGGDVLPCFGNGAGLPSLATSDHVRTAADESCLLDTELGVHIHLLYHPCLLNGRFCPDASLSGATGTSRRPAEVIGQVHVATRMKAVESFWALAYGGPMSLLPLTVSNVVKDSLEPSSRDLPLSLPTYSLAAPIFRIISLAIQHPGNNQELSRTRGPEILATILGYLLHSLSSLRVEDEELVASIVSVCQSQKINHSLKVQLFRALLLDLKVWSVCSYRLQKKLLSSLQDMVFTEATAMRNADAIQILLDGCRRCYWTIQEKDSVNSCSLDGDVRHMGEVNALVDELLVIIELLMGAASPSFASADVHRLLGFIVDSPQPNQVARVLTLMFRLVVQPNAAKAQTFAEAFITSGGIETLLVLLQREAKTGDDSVVESVAKDGTSVQTDHSEHDQDNEPSMLEQLDSVSQETHGHDDNVESLKETDSVQQEKVPCESDSVTISETMTVDDRTSSISEALFSNNTRNNARSNVDDSDRVVVGIIRLIGALISQGHLKLSLGAESDVMSNLMGSGNGTMFDDKVSLLLFALLKAFQAAPNRLMTDKAYKSLLGASIKASSTEDGLKFYDSVHRFEHPQLLLILLRALPFASKALQNRALQDILFLACTHPENRGSLTKMEEWPEWILEILISNYEKDAGKQSASPGTAEVEDLIHSFLIIMLQYSMRQKDGWKDIEATIHCAEWLSIVGGSSTGEKRIRREESLPIFKRRLLGGLLDFATRELQAQTQVIASASAGFAAESLAPKDAKAGVDNAAQLSVFLVENTIVILMLVEDHLRLQSKQNCAANAIDVSPSPLSLVYPINNQSRTLTTVVESSEVSSSRASVSSDSGGVHLDILASMADPSGQISTAVMERLAAAAAAEPYASVSCAFVSYGSCTMDLAESWKYRSRLWYGVGLPPKPTCFGGGGSGWDSWRSSLQKDANGNWIELPLVKKSVSMLQALLLDESGLGGGLGVGGGSGTGMGGMSALYQLLDSDQPFLCMLRMVLLSLREEDHGEDSLLMKNLSSEDGSSGGVQCPDPVQSDISSQLSPSALLWSVLSPVLNMPISDSKRQRVLVTTCVLYSEVWLAVSRDKRPLRKHYLEAILPPFVAILRRWRPLLAGIQELSTGDGVNPLVVDDHALAADALPIEVYTTLFTKAALSMISPEWAAAFASPPSAMALAMVAAGAAGWEAPAPPAPPVPPALRRDTSLLERKTTKLQTFSSFQKPLQAPKDDTPGRPRDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSSQRRTISDMERLQRWNDSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNMQRSEIDRRIRDDNIVRNRLCMGVRGWRKLVRYLVEMRCFFGTFGDHLCTPKQVFWKLDSLESSSRMRQCLRRNYCGTDHLDAARNCNDQTDNLSSPSTVQFLAAEAISKEIMMYEDDEHDGDEDDLEIEGDVGEHKNEERMSGSLEDAIQLSTGISDPRPLSDQDVVQDSRKVVLKELDERIVLELSSSMVRPLRVVKGTFQITTRRINFLVDISEGQHVDGKSDGSESRNQERDRSWLMSSLHQIYRRRYQLRKSALEIFMVDRSNFLFDFGNTEGRRDAYKTIVQARPPHLNHIYLTTQRPEQLLRRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYVSEVLDLSNQSNYRDLSKPIGALNPERLKKFQEQYSNFEDPIIPKFHYDSHYSNAGAVPELFYLPELLTNENLINFGTTQLGEKLGSVKLPPWAKTPIDFVHKQRMALESEHVSAHLHEWIDLIFGHKQRGKEAIQANNVFFYTTYEGTVDIDKIKDPVQQRATQDKIASFGQTPSQLLTMPHIKRMPLKDVLHMQTIFRNPKEIKPYHVPTPEHCNLPASAIKATSDSVVVVDMNVPAAHIAHHTWQPNTPDGNNAPFIFHHGKAGGALTRMFKGDSDYPQAQAFASFGIRSSSVTAITSDGEVITGGHVDNSIKLVSSDGAKTLETAFGHCGPVTCLALSSDSNFLVTGSRDTTLLLWRLHKGVISQTSESEQNKSSETVPSSTSNTKKRRIEGPIQVLHGHLREIICCCVSSEQGIVVSSSELSSDVLLHSIRNGRLIRRLVGVKAHALCVSSDGVIVVWSRSDNSITTFTINGTLIAKAKLPSSCTISCMELSIDGQSAVISVNSFSDTVEEDSSSGTNEIETLNIPSPSVCFLNLYTLKVFHVMKLGEGQEVTAMALNTDNTNLLVSTEDKQLIIFTNPSLSSKVVDQKLKLGSE >A03p075600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000050.1:52685:53328:1 gene:A03p075600.1_BraROA transcript:A03p075600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVDGMSYLKVHFTRADHVEVDERKNNRSMCISADERYQEMPRQMKIYIDQCTQVPLIDVETSDTRHFGFSRLKTQGQAKLRKCPDEFLT >A09g507360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21466755:21467255:-1 gene:A09g507360.1_BraROA transcript:A09g507360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNAESSSFRRSRSIGGRRMCDCGLPAKIFTAWTNKNPGRKFFGCELYKEMGNEHCKFFEWFDEGEVTGWPKRALIEARDEIREKRRVINELRNRNLELSMELEKKEAAKSNGSEDEMKRLNPNLGWKNKFLKLCCIVSD >A02p035340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19314425:19315614:1 gene:A02p035340.1_BraROA transcript:A02p035340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFHRRKLTSSDLYRGRSSRTTRRKVKHQVSRRKIRSKSNKILSRSFSEPNLHQSIAVEDDGDRLCSTPVVDLPEEIVYLSKIRSEVFASAPSLSGFSSPSSSPINQQVYKREAEKVVINVLVEGSPGPIRILVKLSCSVEETIKMVVDKYRKEGRTPKLDEDLAFELHQSHFSIQCLEKTEIIGEIGSRSFYMRKKEPENMILVIRNLPSSSNLFQSFIAQKIGRIVRRTRKVWNMLVCAQ >A01g510110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27685650:27686494:-1 gene:A01g510110.1_BraROA transcript:A01g510110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHLEGPNIFFLILGLVLNSLVLFCNGGITSSYVKRLEATVDMPLDSDVLRVPPGFNAPQHLLGYAAPRYVQTNHLLLKRDLYGFVVVLSQIITRRGDARTNERNKPHTEPDD >A08p029260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18142197:18145417:1 gene:A08p029260.1_BraROA transcript:A08p029260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSQNSLFLFLLLVFIYGVSSTTFTVVNQCSYTVWPGLLSGAGTAPLPTTGFSLNPTETRVIPIPAAWSGRIWGRTLCTQDPTTGKFTCVTGDCGSSAVECSGSGAAPPATLAEFTLNGAGGLDFYDVSLVDGYNIPMTIVPQGGVSGNCTTTGCVAELNGPCPAQLKVATTGTEGVACKSACEAFGTPEYCCSGAFGTPDTCKPSEYSLFFKNACPRAYSYAYDDGTSTFTCGGADYVITFCPSPNPSVKSATKGGGLETEAVSYSAASPNASPTLSTTVFSIGVLVVAFRAVQRLW >A06p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6433478:6435579:-1 gene:A06p014280.1_BraROA transcript:A06p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVVRITSRNLNLNLNRRSLIPNDTVSHFPSPPSASAAATLIPSLDHAHRRRFSSSKSTKSSITKTKKSDGKKSKSKGGESGAAAGAEDGEFGGSAGDDLEAGRAKRLADDEKTPSLDVGPNGRPLFTPRDVTLSKLSHKDIGSYFKFDEAALKAVLPEGLASGIEDEFKESWRPALLVRKSFLDLRDNFRRIADPPMWPSEGKGGVKPKKQIILDGPVKSGKSIALAMLVHWARDEGWLDFVKFNEPRLRELRCNVYDPIVLGEGAGVGYLKGQETMPIPEDSTLYDLVQMGINSTHAAVSVVVRLRKELSLVKDVPVLIAIDQYNNWFTFSEFEEPVTPRSCRPIHARELTTVNAFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVPADARQNFPRYSLDEAEAVCYYYLRQRLVRREVFSEENWKKIYYLANGNGAEMRWLVPFMR >A02g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16282258:16285484:-1 gene:A02g505350.1_BraROA transcript:A02g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRDDSEEQFSFNTDYSPPATCDLGTQQLIARLAAEEERNDLRADEADDGKKQARKRKLISLVDSEEESDVEITPPTQSTKPRRQTTYGTAKRKPMLQSTLDGGSGSSARARSQKNVPLKAPAKAYTFRGTGLVSYFRTASRAGRSRRVPPEEKPAEGRDGTGRESPIAIPT >A08g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11786443:11786832:1 gene:A08g506840.1_BraROA transcript:A08g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVASRGVALRGALERPHDVAAEPRSHALLVQ >A09p055510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47476101:47480125:1 gene:A09p055510.1_BraROA transcript:A09p055510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPERFDRANVEPLVMNKKGRMAGEAGRKRDIVFTREEEMTSKPDTRRPPPHTPSSGAVRRLHVPPSTSLSKQHSWSPDLIREEAWSKHRDTSRNRRRGKSLTDDDLDELKASIELGFGFGSPEVTDPRLSNTLPALELYYAVQKSYNDAVSNKSSSSLSDGDTSPSTLYRTSDDPQTVKTKLKQWARVVACTVNQSPPR >A05p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:772447:774130:-1 gene:A05p002330.1_BraROA transcript:A05p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKWTQGFQEMMNVKPMDQIMIPNNNTHQSNTTSSARPSTILTPNGVSAAGATVSGVSNNNNTAVVVERKARPQEKLNCPRCNSTNTKFCYYNNYNLTQPRYFCKGCRRYWTEGGTLRNVPVGGSSRKNKRLSSSSSSLNILQTTPSSLGLTTTLPDLNPPILFSNQIPNKSKGSSQDLNLLSFPVMQDQHHRVHMSQFLQMPKMEGNGNINHQQPSSSSSLYGSSPVSALELLRRGVSVSPNSVMPSGPMMDSSNNVMYTSSGFPTMVDYKPSNLSFSTDHHQGTGHNNHNRSDDAHHQGRVLFPFGNQMKELSSSITQEVDHDDNQQQKSHGNNNNNTSSSPNNGYWSGMFSTTGGGSSW >A02p046050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28840195:28841577:1 gene:A02p046050.1_BraROA transcript:A02p046050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAGSLTPDQLEFYNSQGYLVIESFASEDEIGALRKRMNELLTQSDCSVSSVFSTKNQKKTTDNYFFESAEKISFFFEEKAFGDDGELKQPKELSINKVGHALHELDPLYKEFTYSSKVSSLVSSLGYRRPVVMQAMYIFKVTLFLFTSFVAANFNARNCDSHYNMQINLALEEKLFRTKIILLSTRIHRAVLVSGWL >A04p034450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19933214:19934328:-1 gene:A04p034450.1_BraROA transcript:A04p034450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEVDNKKPLSELSSFHHMISSKNLLKMEAVEVSKKRKFQTDQSELSLLPLSKHACFDNAACSDNTNARSELDSECSVSCLNSTSMECENEIEMKEESSGSCGEDKMISFERHLDFIYGTQNLEDFSDKDIEKFLYLDDEEEQDEANGSSIDAKYVLSSGRWTVDQDSPQHVINKPTIDQEFEQYFSTLML >A02p008160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3391586:3392863:-1 gene:A02p008160.1_BraROA transcript:A02p008160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLKTPSLHLPPSLLHAPSVPFKPFCVSFAGGRNTSVSLSRRASLRSVSGGYPLRLLNFAPFASGDSETTETEVESNEPQVQETDGAVGVESEDTSTEEEGEAAVTIALLSSYKEALAENNEEKIAEIEASLKSIEDEKFQLVDKVASLTNELSVERDRLIRISADFDNFRKRTERERLNLVSNAQGEVVENLLAVLDNFERAKSQIKVETEGEEKVTNSYQSIYKQFVEILGSLGVVTVETVGKQFDPMLHEAIMREDSAEYEEGIILEEYRKGFLLGERLLRPSMVKVSAGPGPEKTQEAKAQGNGEEAEAASS >A02p047470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29611411:29618357:1 gene:A02p047470.1_BraROA transcript:A02p047470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQVSGIIEKMTGKDKDFRYMATSDLLNELNKESFKLDTDLEMRLSSIILKQLDDVAGDVSGLAVKWFASFSQHLWFSFLAPLVKKVGEERIVEMTNKLCEKLLHGKDQHRDTASIALRTVVAQVAPSLAPSILVTLTPQMIGGISGEGMSSGIKCECLEIMCDVVQKYGSLMADDHNKLLNALLLQLGCNQATVRKKTVTCIASLASSLSDDLLAKATVQVVKNLSNKNAKSEITRTNIQMIGALSRSVGYRFGTHLGNTVPVLIKYCTSASENDEELREYSLQALESFLLRCPRDISPYCDEILNLTLEYISYDPNFTDNMEEDTDDETLEDEEDDESANEYTDDEDASWKVRRAAAKCLAGLIVSRSEMISKVYQEACPKLIDRFKEREENVKMDVFNTFIDLLRQTGNVTKGQTDTDESSAKWLLKQEVSKIVKSINRQLREKSVKTKALSSPVLAAVGERYYKVTAEALRVCGELVRVVRPSTQGMGFDFKPFVHPIYNAIMSRLTNQDQDQEVKECAITCMGLVISTFGDQLGAELPSCLPVLVDRMGNEITRLTAVKAFAVIATSPLHIDLSCVLDHLIAELTGFLRKANRVLRQATLITMNTLVTAYGDKIDSDAYEVIVVELSSLIWYVCPELPQIVSDLHMTALALELCCTLMTGKSCSENISLAVRNKVLPQALTLVKSPLLQGQALLALQGFFEALVYHANTSFYTLLDSLLSCAKPSPQSGGVPKQALYSIAQCVAVLCLAAGDKNCSSTVKMLIEILKDDSGTNSAKQHLALLSLGEIGRRKDLSAHAGIETIVIESFQSPFEEIKSAASYALGNIAVGNLSNYLPFILNQIDNQQKKQYILLHSLKEVIVRQSVDKADFQNSSVEKILALLFNHCESEEEGVRNVVAECLGKMALIEPEKLVPALKVRTTSPAAFTRATVVTAVKYSVVERPEKLDEIIFPEISSFLMLIKDGDRHVRRAAVSALSTFAHYKPNLIKGLLSELLPLLYDQTVIKKELIRTVDLGPFKHVVDDGLELRKAAFECVFTLLDSCLDQLNPSSFIIPFLKSGLEDHYDLKMICHLILSLLADKCPSAVLAVLDSLVEPLQKTINFKPKQDAVKQEHDRNEDMIRSALRAISSLDRISGVDYSHKFKSLMAEMKRSESLWGKYQTIRNE >A09g518900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57791738:57793120:1 gene:A09g518900.1_BraROA transcript:A09g518900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSKFSPYNSDEDNRVSVKKVTKKPPEASPSVVFRSHHAVAASSSPSERLDVAVEGNSSVDLPLSPSPSSVEVREVTFDDFSSGVEVDGGDGNRGQFVVPSLGSESDESSYMSDEDSLAAAPRAERRGKRGSCYRCLMGNRFTEKEVCIVCDAKYCSSCVRRAMGSMPEGRKCLPCIGLRISESNRKSLGKCSRMLKRLLAGSELRKVMHDEVTCKVNQLYARLIYVNGWPLSEDELDKLRTCPYPPKKLKHGDYWYDKVSGYWGKLGDKPCQIISPRMNIGGNVIEQASHGDTEIFINNREITKTELMMLKMVGVQCEGTPHFWVDADGSYQEEGQNRIIGNIWNKKRAKLACVVLSLPIPPTSSAVNPHIT >A06p023150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:11837153:11838730:1 gene:A06p023150.1_BraROA transcript:A06p023150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKQSNRRRSSSLCNRHPSAKPSSGFCASCLRERLVTIEAQSSSPPELRRIRSHSVRNASAASVSEQPRRRSCDARSSASSLHDLFVDDDDERLDLSIRKPPVPDLKEEEEEEEDYYDGEDIKGFDEGKARKIVEEESGEHKKTMKEFIDLDWGNQMKKDNDFASIWSRKLKGFSLSHHKERREDEKLAGRRSCDVDPRLSLDGGRISFEKPRASWDGCLIEKSYSKPTPLSTVTETKKPGGEEEEEEGEEKSPGGTVQTRNYYSRRRSFDRSVSSKRQGLLEVDELKAISNAKVSPETVGLFHGAKVLVTEKELRDSNWYSIKNHKPESKELVSRGKICVAASSERKQDSVELKKPKKKWAKGWNLWGLIQRKNKEIKTEQSLKLERNAVEGSLAESLLKLRRVSKGETNGGVSEKLLKSYSVSARKSRDGVFSAANIVSGFEGGRSSCDGLFHGSINSVEVGRSSCDGLVNGVEARQSHHLHQRKANDGTRENLDNSLLRLYLTPVRSHKASKSGKSRLMS >A04p005770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3057438:3060011:-1 gene:A04p005770.1_BraROA transcript:A04p005770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASEEKGHDYCLIQPFFYEKQQPNYIFCYILLLLSHYIIWDQIWLVFVLSMASKLNIFAYIDGQVGYSEEFIENSRGMQLLTCKWFPVNQEPRALIFFCHGYAIDCSTTFKDVASKFAKEGFGVYGIEYEGHGRSGGLNVYIDDFDLLINDVYSHFSKIAEMGENPKKKKFLMGESMGGAVVLLLHRKKPEFWEGAILIAPMCKIAEEMKPPKPVISMMNLVIHLIPSWKSILPGPDIINLAIKQPHKRQEIKDNPNCYIGRPRMKTMSELFRVSLDLENRLHEVTMPFIVLHGEDDKVTDKEASKLLYEVASSNDKTLKLYPEMWHSLLFGEPLEKSEIVFNDIVQWMETRINTLQVNANNKRELY >A03p005450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2272165:2276506:-1 gene:A03p005450.1_BraROA transcript:A03p005450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMKHLLRKLHIGGSSSNGFGDQHHRLDDSTRPMIDPTSIRSSSPSPASTSSVSSSSGFGNAAATLPRLETFEPAGRDLAAAVDGIDFGLMEEEYQVQLAMAISVSDPDPRENADTAQLDAAKRISLGVKAPVTNADSAVDFLSLRYWGHKVINYDQKVRDGFYDVYGVTSNSLSQGKMPLLVDLQGISVSDDVDYEVVLVNRLIDPELQELERRASALSLECPDFAHGQVSSDLTQKIADIVVEQMGGPVENADEALRRWKHRSYELRNALNTTVIPLGRVNFGLARHRALLFKVLADRIDLPCMLVKGSYYTGTDDGAVNLIKLDDQRALIQSWHCSEYIIDLMGAPGALIPSEVPSSFLPVSGTDTRVFPDDLDTLQHSCPVPEKEIETPAFSVLEETDSRYSGIVANLFNGSHEENSDRCAVEKHQTERFEHDFGKLMQSQQISGENLPPFSGKPTCAQKVKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFMDVNPQNLREKSLLQELWQESSNSINSAVPRNPEKVGDQLAEQMRESDRNPTALQLSAVCTSGEVDFSMKKNFEVDNMGKVSSPEKMEIGTADGEPSVCDSHDQGINPFLGEAAKWEIMWEDLQIGERIGIGSYGEVYRAEWNGTEVAVKKFLDQDFSGDALTQFRSEIEIMLRLRHPNVVLFMGAVTRPPNFSILTEFLPRGSLYRLLHRPNHQLDEKRRMRMALDVAKGMNYLHTSHPTVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTYLSSKSTAGTPEWMAPEVLRNEPANEKCDVYSFGVILWELATSRIPWKGLNPMQVVGAVGFQNRRLEITDDIDPTVAQIIRDCWQTEPHLRPSFTQLMRSLKRLQGLNISNRGNTSESLM >A07p015210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9459673:9462081:1 gene:A07p015210.1_BraROA transcript:A07p015210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTFFFFFSWSFKISQPYQMENRKGGNSTVPSSEALKTTLHSAIQALGRGFDVTSDVRLLYCKGAPGSRLVRIEQGQNRDLELTDGFLLPNVPADIECSLGEPYIDRISVCSFHQMAANFNERSGVKGNIPLGCFNAMFNYTGSWQVDAASTKSLVLVGYVIPLYEVKLAKLTLFLRNDIKQAVPSSWDPASLASFIENYGTHIVTSVTIGGRDMVYIRQHQTSPLPVSEIENYVNNMEEHRFHKAETQSIITEPLKYKDKDITVIFRRRGGDDLEQSHARWAETVPAAPDIINMTFTPIVSLLEGVPGLRHLTRAIELYLEYKPPMEDLQYFLDFQIARAWAPEQSNLQRKEPVCKSLHFSLMGPKLFISADQVTVGRKPVTGLRLSLEGSKQNRLSIHLQHLVSLPKILQPHWDSHVPIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTSPIEYTETHIGDLSGVHIVTGAQLGVWNFGTKSVLHLKLLFSKVPGCTIRRSVWDHTPVASSERLDPGGPSSAEEKREDVTGPSGKLAKIVDSSEMLKGPQDLPGHWLVTGAKLGVEKGMIVLRVKYSLLNY >A05p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4502340:4504076:1 gene:A05p010620.1_BraROA transcript:A05p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLISLLPIFHLLVLLGSSVNAYWPPSPGYWPSSKVGSLNFYKGFRNLWGPQHQRMDQNALTIWLDRTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITSLYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFRLWFDPTSDFHHYAILWNPREIIFLVDDIPIRRYPKKSAATFPLRPMWLYGSIWDASSWATENGKYKADYKYQPFTAKYTNFKAIGCTAYSSARCHPLSASPYRSGGLTRKQYQAMRWVQTHNMVYNYCKDYKRDHSLTPECGR >A06g502010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7212232:7218180:1 gene:A06g502010.1_BraROA transcript:A06g502010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDELVCVCSDEANFQQHSVFSVGLSVFQSAFSCEATVRRVYTVPVDCKGGGNLRCKILNDGIVGLRLLLVPPVVSIFLAYFGFGLSFSLGSATEPEVNPKPYSTSQGANQDIRALKMPYLTNQEGLNHEANIYGFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSRSICEYPTLEGDLSSGKERPEAKPIIGVKRSLSAFQKAQDQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRFNQLQTRHWRPGDHLNQSGDILVIQEEFYKLIPCTSNHWIRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAVNKVPRKLTYPLKPSRFKKDQILYLEPKSHKRLQRLLGSTIFINSWVTPPVPTTFLVLELKLLNQEAMVGETHGHCQMAKENQHLTALQEVDLIAQLRKRKKAQGQRPQPGERRFGDAPEAIRPNQKPTVFYDQNQPIEVPKTMEEKKFVSQDTLARHKEKPDKLIFQEKAKVSPILDKFVCKSSPTSMSHLSLSKNDKTGPEVKKDTISKSFLDLKEGLNHEANIYGFYTQEEVQANWNWAKIFTEQEVMNFTTQRFLSRSICEYPTLEEDLSSSKERPEAKPIIGVKRSLLAFQKAQDQEKWPRNYEVMIQSPKPVKPVLHLPQLEANRRILIYSNLPYLEQTDINVQQLFPLQFRHDLSTFQAVKKVPRKLTYPLKPSRFKKDQILYLEPKSHKRLQRLNVRTFVSEPYISS >A09p042850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34970650:34974295:-1 gene:A09p042850.1_BraROA transcript:A09p042850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRLAEMKEKAEASEKKKNADVKRRKVAAAKRRAAIKKKREAAKRSETTEKKRKRDSGLDGGSSSNPTKRTWNRVRETAASPPEHQGGDSEGTPRPVIPSQPQKSPTPTHAASEAENQQQPRSPSHRVDEQTVRRLDLTTEIQTHLRLPLIMTLQERDETIRLLAVRVKELEQDKIQRESWPFQFGEAETGYASGGRRRDKDGDEEAEMHGDKEGDEETEMNGDKEGDEETEKHGDKEGDEEAEKQCLDDYEGDKEGEDNKAEKDGDKQIEAEAEKDGDEAEAEKDEEKQIEAEAEKDGDEVDAEKDGEKQIEAEAEKLMQDTEERFDDDGDEQSTLQIMADTADRFEKAAAEKAAADKTNEVVDDDDALEKEGEVGVYVALEEAASVGVDDALEEAASVGVDEMPKRVSKRSHLLRSPFTPN >A03g509250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30261958:30263653:-1 gene:A03g509250.1_BraROA transcript:A03g509250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPINKLLREAAAIKRIFVTEFSSGNEHKSRAEEIVIQNYPDPAQLDFGSVSRMQRAEGSTCEAFSTQKQEEQAKCRMQNRASFLLLAFFGWPADRCICFPRTMTTSINTCSQVCNLAWSKNTNKLVSTHWMVTKPNNILEIPNHLQIKIATLTGYTDRFMFLGVSHEGKTNANGAGDETFRFWNVFQSMKAQALVQRTRHSSIGVSIFSFKTFPPSISELGSHSGSEVLSQRF >A08g501750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4259056:4259385:1 gene:A08g501750.1_BraROA transcript:A08g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRGLFIGIINQSGTSRVAARVSLRMAPDACVAAPRAPLVLQHGLLTCKVTPRPLPVWMHGLITCKLTPHPPHVWPHGPVACIATPRALPFHLVLHMAGCMPQSHAG >A09g518090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55194043:55194816:1 gene:A09g518090.1_BraROA transcript:A09g518090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCCIYVESPSLVMPSVMHGDDYARLGFLFGEKSRVAYIFDVSRFPLCTEYIISKSGGGQLDLLNLDTSFKTLETIEFPCTKRGLLGRMTHEFDHHKDNECLEEWSNRKERTDLQRSAGNLAT >A08g505280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8755573:8757262:-1 gene:A08g505280.1_BraROA transcript:A08g505280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGGLRVMVRSHLPKEKLFPVMTHRSVEERAPSRSINEFLGIMRSFYQIPDTVEFRVPCQGERASSPTEGYFTCNEAFVHLIGILILSYEHGLSLTVDHFEALLRLQIIKDTYKYRLVPRSFMSVVKRFNSNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIIAVTDLLRNGSDSEPDDQGSDAAPTVSTGWNSSKGKYIDLGDIEFLVDDSILPGWDPDLAYGDGSGTSEVPIPDFDDFFAGLPSGSDAPPPTNESGRPKVIAEGSRIINGGLNLLGSAIKVKHREAMVYRFKSEKAERDLARMQGEMLERDAQLACDHARAVCKTERKGKREIVEVMKTRASQFQVEYGNLKDAFTSVGDFCECRGSVGSLWKMQTDDYVFEKEISLMTDIMKEHAHAEALIPPIDGRIQGFWDPIPVSPDTEEIATEFPGDGEEVDRPADAFGASLSGDFYFEP >A04g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23253064:23254215:-1 gene:A04g508670.1_BraROA transcript:A04g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAPLCPLFRPPPDPPPCKFLPLASLSLVTPPEPPDPPDVPAVVALLRYLNTSSSLFPLAMTQNPDLDFPSLTPESRGCDVPFLLLGVSSVVFGCIFSILSTQAFTQISTLKPPSRMATKNGGGGGSPVSASDTSLTYGFLSPVEEKFIVLFKLWNMEVAGYGFPLVPQLNQSSFLIFPPIWSELDEHVSLVLQGSSSHRMLSAYGAVCVVLRVTLDAIFEEAYDFVVIRFHMIFFYDLYHHFIPYVIVVVCLAVNSLFVSSSSVGT >A07p044680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24329871:24333871:1 gene:A07p044680.1_BraROA transcript:A07p044680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGGGVKRVKVSPAALDLPLVFRLDGVRVHRRCSFSRNCSSNRKPRLRIVAQRWKLNDIDTNAVQERFSKWVSKSQKYLSEVATPLIKKRQSLKVDLEDQDDFEDLEELLTVEQTVQSNTPKGSLSFDAIISIEQFSRMNGLTGKKMQDIFETLVPPATSTNARYLVEYCCFRFLSRDSSDFHPCLKEPAFQRLIFITMLAWANPYCKERNYARNDVSKKPSFQGLQISTDEWLSVLKGRFVEEEAFVRIAPAISGVADRATVHNLFEALAGASDQKGISLEVWLAYIQELVKIHEGRKSYQTTEFPQLSSERLLCMASNRKGPVLKWENNVAWPGKLTLTDKALYFQPIDLKRSKGIIRLDLVGDKSSVQKAKVGPLGFSLFDSAVTVSSGSGDPTWVLEFVDLGGDLRRDVWHSIISEVIALHTFLREFGPEENDRSLSQVFGARKGKEKAIASASNCIARLQALQYMRNLPEDPIKLAQFSFLRQVAYGDIVCQALAVNFWGGPLVTKVANTVYNRGNMARASGESYESFDNASDLDGSVYLKKWMRSPSWGSNASINFWKSSSLRQGLILSKNLAVADLTLVERAAETCRQKYKVVEKTQATINAATIKGIPSNIDLFKELILPLTITATKFEKLRRWEEPYMTVSFLAFASTIIFRNLLQYVLPVSLVFLATGMLTLKGLRRQGRLGRLFGMVTIRDQPSSNTIQKIIAVKDAMQDLESCLQNVNVVLLKLRTIVLSGHPQVTTEVALVLLSVATVLVIVPFKYVLACVLFDQFTRELEFRKEMVMKFKALLRERWEMVPAAPVLVLPFVNEESTPPPTQGKQPPQKTSTDR >A01p019060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9256152:9256328:-1 gene:A01p019060.1_BraROA transcript:A01p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEAKHLPRTVAGESVLWCETGVVAKLMGLEMIQVQVNGKPGKDKLGTSQERASKEE >A06p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1940553:1941788:-1 gene:A06p005930.1_BraROA transcript:A06p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKKTNQEKKPKNGDGLNTRSSGSKTKKKTPNKAKLKDVAEKEPEVHEISESSSSEGRRDEPKESNGVVVVEDAKMVRFPMNRIRRIMRSDNSAPQIMQDAVFLVNKATELFIERFSEEAYGSSVQDKKKFIHYKHLCKLRFLFFSLAASVVSNEERYEFLADCVPEKLKGEVALEEWERSMTDVG >A07p005930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1859847:1866414:-1 gene:A07p005930.1_BraROA transcript:A07p005930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSERPPLSSEEFHLSDIASHLTGGSHVFSFHKSHLNPVEHRFKVWRAVFLLVLMEIQVLIRLIESFYVFNYSPSARLHILEVARFAASLMATSAPEFDILSALSPLMKLGWCQLIGGVIFLWGWLHQRRCHAILGSLRENPSQAKEYIIPHGDWFEIVSSPHYLAEIVLYLGLLIASGGTEITIWLLHGFVVGNLTLAAGEKHRWYLRKFENYPANRNAIFPYEAILVEINDKDEEKPKPKQSKDLEFLSCMMQPATAESDPQYIGIRRILLHRKSESGAISRRYDWRCNGKGYVAYRNFISRPRKWENLRTPSLLSSPGNSGRWLPSPAPLSLQFEAESFTSSRDLRSANQVSSRRLSFSSSFSDGDHSFRRGGGGGFEHAYSFVGMHCIFDQCKSSVTVLKFGHMSSDLLAYGASDGTLTVCSLSQEPSVLKQLTGHSKDVTDFDFSSNNQYIASSSLDKTIRVWELSRGVCIRVIYGISAQFCIRFHPVNNNFLSAGNANKEVSVFNFSTGRTIKTLSFDGEVTAMDHDHTGQIIFCGDGQGTVYSVSMDSHTGSLSRSHRHRTNHKSPVTTVKYRSFSLLASGPVLLTCTQDGNLCFFSVALQIKGYLTLRCSLKLAPRIHRIQASFCPLLSLEKGEYIVAGSEDSNVYFYDLTKPKHTCVNKLQGHRFPVMCVAWNHGENLLASSDFYGVVIVWKRAKTSS >A10p012150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10572242:10574069:-1 gene:A10p012150.1_BraROA transcript:A10p012150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGDSTVREYNTLFLKSGLLEIHQQETLVRMYRDGLCEEIRAELGFHVFSTIDDIMQAALDVEEGDESDESGNRSKEKSRSGECETSGDTNGSTTESDESGNRPKKKAQTGEDFLQNLDGEEEDPGQADDNDAFYDSDGSVPQGVGESDEESDSKVDLEDYKEYLEEHHRSESESSREPNLPTEVQGLVVKRVAHNSFEDLFRLHATCKAMRSLADDEDVYASFDLFKYPWRLRGFRLRYLLRRCYAQGNPSTLYIKGVEYFYRRNMYVEGLDLMKRAADAGFERASYTYAMTRKLWDDDGDHFRGFSRDYVAKIGLLVRSSAGLWN >A10g505310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13270646:13270892:-1 gene:A10g505310.1_BraROA transcript:A10g505310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHKPSTVPEHGLVRRKHRDSFFITCKRGETIAERRDWMSSSELLTSVLHRRREPSTES >A05p042550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25731131:25734734:1 gene:A05p042550.1_BraROA transcript:A05p042550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pumilio homolog 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) UniProtKB/Swiss-Prot;Acc:Q9LRZ3] MSSKGLKPTKRKDNESFEKPDALKSKKPKLAPSNNTIQNQKPGGQEHGKPKAFGNTDKSVVTLSKKERRVQAKELTEARKKRRKPHYTLEQELATLWEKMRRRDIGKEDRLKLISEAIQKMKGKMHEIAGSHVSSRVLQHLSSSFQPVYPVSADTWLLSSVTWSDLLVFVDHAYHLGNAAQKQELLGELYSTELQLFKDLNSTSEKRVVDIIAKLGLQKGSVIRHMTAIVQPILEKGIVDHNITHKLLIEYMTVADKTSAAFVIQQLSGPLLIRMVHTRDGSRLAMLCVKHGSAKERKKLIKAMKTEKDSHVGSIASDQYGSMVLACIFSIVDDTKLVTKIIVRELEANLKDLVMGKNGRRPLLQLLHPNSSRYFSRDDLASLDLSVPSLCLMDKSETKDSDGKESAEEEKDEEEDTVTEQSGLEENVTVAGSKKDPLLRRQELLVKSGLAERLIDVCVENAEEFLKSNFAKEVMYEVAVGGCDGILLPTLSENLRELYQAIASVAAEPKPEESEKSSQHILEDFHSSRTIRRLVLDSPTFASILFKKALSGKCRSWAQGHCSRILSAFLETQDVQVREMAKEELQVLVDEGALKITGTKKPE >A08p003980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2307644:2311657:-1 gene:A08p003980.1_BraROA transcript:A08p003980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRFSFLGIGSSSNEVNDSLGDSGTKIKPSLSVQTDKDVYRPGDFVFVTLEVGYSPERDHENGASPSVLVDKLSFEVKGVEKLDVQWFSAQKPSPGSKGRRGEHIFLDSSTPSLISNQLLSPGAKMTLMVRAALPHIIPPSYKGATLRYHYYIKSTLSGRLMALESSQFYKDSTKDFIEVETRVPIQVWAIQKNNGLLLEESHTDAFCIPTGFICMPVNITTLNELHLMQTRANDAYDNGEDGYDSSRDEISSVSSYPNKGNLNRAFGSSVSLNSGPRLSMNATSYIEGVGSSPKELISQLSAAVVSYDSGPALCTGEQGGGLLKIVSIFFVPDGFSPGKSSDSVIPSQQPKQTNGSGASNSPEAGAGEPIPSERFTRGRSYNIRMDDQVLLRFSPKNADSTYYFSDNIGGTLTFFHEGARRCLEVSVTLETSETINRKFVHPSRRSSPTHTKVQSDHHEVVADLIQTSFLFSIPTDGPMSFTTPRVSVQWILRFEFLITPKDVDLSRYEHPLLVPEREKSEWVLPITVHAPPPRTSSPAQNRGDKLYGLEPSWIRS >A03p006680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2797177:2798854:1 gene:A03p006680.1_BraROA transcript:A03p006680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMAVDTVGELGFPYWNPLRRRFPPDSPFFASGNVERELLAKQVALDFTEDEINNLQIIVETESRRISCPIVGCPERLKSLDNFEDHYKARHTASCSVCSRVYPTSRLLSIHISEAHDSFFQAKVARGYDMYECLVEGCGLKFKNYKARHRHLVDKHKFPTTFEFFKRTQLSKKRREKLQKQRVPKLKHEEDKEEASSDAMEVEDKASLDGLVSALSTLTTSDTTPSNVSFGRRHGRGLTFVPRSVHREKPTNSSSTPGPKT >A08g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7904466:7905056:1 gene:A08g504740.1_BraROA transcript:A08g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DEPHIKLKLLTRRIHQRKPFGDPILDAPTLAETIHGADLVLGTLIRANKIFYPNSNLVKDKQLEFPINQLAKEATRDPIGGSVLPARVRGLSAHLGGPVSTICKTKVILNLRT >A09g517930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53958580:53959478:1 gene:A09g517930.1_BraROA transcript:A09g517930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKEIRAMGKFGMMDLRVDEAEHSMEMHLPFLSKVFQGHDVKVVPILVGAVSAENEAMYGELLSKYVDDPNNFFSVSSDFCHWGSRFNYMHYDNNHGPIHKSIEALDKMGMDIIETGDPDAFNKYLLEFDNTICGRHPISIFLHMIKHSSSKIKINFLKYEQSSQCQTMRDSSVSYASAAAKLEC >A02p059660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35579786:35581169:-1 gene:A02p059660.1_BraROA transcript:A02p059660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH99 [Source:Projected from Arabidopsis thaliana (AT5G65320) UniProtKB/Swiss-Prot;Acc:Q9FKQ6] MMFQQEYPYDFSLMKTSSIYEVLDYSIAEQHSHPTENLSSLQAQGGFSQEPPRKKRKRRRTRSEQKIEEKENQRMNHIAVERNRRRQMNHFLSILKAMMPLFYSRRSDQASIIEGTINYLKKQEQLLQSFEAQLKPTKPNQSINILSNFFNFPQYSTATACASSRHHHNGLAVVANVEVTMVEMHANIKVLTKTRRRLLFKMINEFDSLGLTIFHLNLTTSSNMSLFTFSVKVDEDCRLTTTANEIADAVHEVVSRIHKGH >A02g509130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24383135:24387422:1 gene:A02g509130.1_BraROA transcript:A02g509130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRFETSELQASFMMSTPLWSNSWILCNAADSAGNIQIQHVAGIMYVALPKVEMNQPGSLVDVEVVGDGFFSALSSSLPSGEPPLMVNGAIRDLFVSSGRLIQTQITQGLEVEETKQVVITGHSTGGALAALTALWLLSQPSPPPFRLLCISFGSPLLGNKSLSSSVTRSRLAHKFCHVVSVHDHVPRGNDDRFWPFGSYLFCSDSGGLCLDNADSVRGMFRILNSTGTPNIEEHQRYGHYVSTLSHQFLISRTFRGGRISDNSYEAGVALAVESLGFSNDQPSGVSVKECIETATTISRAPILRSSELAIELGNVVPYRLEIQWYKDSCEASPKKLGYYDNFKNFSNQRELRVNMSRAKLAKFWDGVFEMVEKNELPFDFHLGKKWVYASQFYQLLAEPLDIAYFYKYTYSRTTGHYMKTGNRPKRYEVIDKWWKARGEPHKEKRARTRYASTTQDTCFWAKLEEAKECLDDLTCESSDAQKQTLLRKKIYEFERYSATLVKMKEVSEDVLATNSSYNVWVKKLSEFKSKRSNGVIDESDAMET >A01p051640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28955897:28958092:1 gene:A01p051640.1_BraROA transcript:A01p051640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATMATAAGAAALLYYTLNRKLIAGVDDESSEASSSSTTHGASLRIDRVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLIKRQGLLHVDRVFGGEDSVELKGQEVADELKYYLHLLTLCWHFSKKPFPLFLEETGFVKDNVLIHEPKAGILKPAYTVLVDHNSKCFLLLIRGTHSIKDTLTAATGGIVPFHHTVVNESGVSNLVLGYAHCGMVAAARCIAKLATPCLLKGLEQYPDYKIKIVGHSLGGGTAALLTYILREQKMLSTATCVTFAPAACMTWELADSGNDFIVTVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSATALGSRLPSMASAKAKVAGAGAMLRPVSSGTQVVMRRAQSMLTRPALSLSSWSCMGPRRRANATQPNLERQIDSSEAASDPLVDTTSSNDGKWKSEAECSNYDETSARLGAAADLDECEDPEDIETRQERMTEAELWQQLEHDLYNEDSSELPEEEADVAKEIKEEEEAVIAEAGVAQPEIRTPEMKESHRFLPPGKIMHIVTVRPEAVEANEEEDALERTETVETVEEGRVGIFLTPRSLYSKVRLSQRMISDHFMPVYRRQLEKLIQELTLEQEQP >A10p021450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14411610:14412241:-1 gene:A10p021450.1_BraROA transcript:A10p021450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEKKDMNAVKGLDLERYMGRWYEIASFPSRFQPKDGVDTRATYTLNPDGTVHVLNETWNGGKRGFIQGSAYKADPKSDEAKLKVKFFVPPFLPVIPVTGDYWVLYIDPEYQHAVIGQPSRSYLWILSRKAHMEEETYKQLVEKAVEEGYDVSKLHKTPQSDTPPESNTAPDDTKGVWWLKSTFGK >A01p019180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9282726:9287531:1 gene:A01p019180.1_BraROA transcript:A01p019180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSRQRSFGNGYRSGSRISPERPMRGRGFYASDHHQQHRGFNRGYGRGRGRSKSYQNQLPPPPLPPPPVQRRSGGGGGGDVFMEAGRLAAEYLVTQGVLPETALSSKGQNGNFRKFQSSKEAAAAPPGGDRRRFVDGYNSAGSRRRSNRYDSDFDRSGSWSERSKAYEDSVSEHREEQPLAEDIASSVQRSTTSGEFMRKREGAGDSESVLDKYSLQDEAQSKTGSSSAGKEVVHDCEISKVSEGSSSLSAGSGEMKGRTGGENETQTDMEDAPVLQHCEDASIGDHCGGDESFTESGIDLATLCKFEKVPTRTRSSLTAKGPKLSLSQNNKETSHVLGLLEEDQTEKQSETQGQSSGLVNDPVEDLPLVQFVENSKCNRSNSFPNSILRENSEKDSGLELPSIHRSHSLGKGGEKRAAEDSDLEEGAKRQRNWVPVPASETNEHFNVLKTSELQCGPEGNEKTSSFSRRLIDLGPGGYAEEHQLFPASFKICDLNLGGASDGANDGKRESSQPVGFDLSMSSSSKSLDFSTSTRMSNGKEIEVIDLDNDDTPDVVKSSNNPGRKQEAGPYMGINDVPDYNEGLMMVEYLDSFGNIPAINPGISTSVPQNSDVVVQDREGALGNDQAPNNTDDDSIFMSLGEIPLSGGSHSPVLSKGRGLRKWRRIRRDLVKDTSGDMENSKVLKRGLSGSAAHSHGNKQMLFQSPEFEQESQGSVGSVNMLKSGGFDDARFVAGIGFSAGVVGLGKDDDRSSKSSTPMIISSGGQRGKDRVENSKKHRGESVEKENSHSSLESDSRKPSGSMMMNHKGMIGDEADMNGETSKRNDDAEGEGEGEESINNNNGFSEEQDPLTEAIDGFLTLQEALEKEVQQYREIGKETMAQHHEGASEISSPGSEIVALVNTVGQLEIKLEETRSMLEVKESHIRELESTTNQNKRSCGESGNVSETVVEDIFRQKIEAEIEYLIYSRSVDNLNHQMKLVETQESLAEEQAYETLNSLDKVQTKAASLRNRAQDLQNECVETTGSIKKRACKITSCFLIQLVLLLMVVMVFMSQLVPESSDIVVVPT >A06p010970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4006833:4008432:-1 gene:A06p010970.1_BraROA transcript:A06p010970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A 57 kDa regulatory subunit B' theta isoform [Source:Projected from Arabidopsis thaliana (AT1G13460) UniProtKB/Swiss-Prot;Acc:Q8LF36] MWKQIISKLPKKSSKNHSSSNATASTSKSSSSSDNVTGKSHAKNAAKPPAASPPDPGPKDGNFKQGNGIYEALPSFKDVPNAEKQTLFLKKLSLCCVLFDFTDPSKNVKEKEIKRQTLLELVDYVATANGKFSESVIQEAVRMVSVNIFRTLNPQPRENKVIDALDLEEEEPSMDPSWPHLQLVYELLLRFIASPDTETKLAKKYIDQSFVTRLLDLFDSEDPRERDCLKTVLHRVYGKFMVHRPFIRKSINNVFYRFVFETEKHNGIAEFLEILGSIINGFALPLKEEHKVFLVRALIPLHKPKCLQMYHQQLSYCITQFVEKDCKLADTVIRGLLKSWPVTNSSKEVMFLNELEEVLEATQPPEFQRCMVPLFRRVARCLNSLHFQVAERALFLWNNDHIENLIKQNCKVILPIIFPALERNTQKHWNQAVHSLTLNVQKIFNDNDPEFFKECLAKFRESESKEAEVEAKRQATWKRLEEIGMQKQKSSSS >A10p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4668961:4673874:-1 gene:A10p013600.1_BraROA transcript:A10p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKLKLAVEELEMDHLMLQIQNGRMLDDLSQTETEKLKSYASKMFQTLMGEIPKAPFPMIQGGSVYLMDKWIKDPSDKEDEMKKTCEGESSKSDAGLPRDGNWYHPPRCGLYLMWIFAGQPAMTRACALLGLNINEANKLLPYKVGLNRNASLPTEKGRRRIHISSGGGISKKRSYGGGNKTERSFTGGSTKKRSSGGGLNQREEEPVGGDAPLVLDGDSVETKQNRKWCGFFLPRKTRDTTAMGRPKVKLAWVEEWKRRATVCQRRMKELIQMAEELTIVCDMSACLVFYNRKNGKLVAWPSLEEAQSLIDCYNALPETGRNMKADDEESSFIKTITKEIEKKLELSRKAIEELKMDNLMLQIKNGSRMIADLSQTEIEKLKSYTSKKIAYYDRKLRKQHPNTSGNEPFLEDDDGEMKTYEGESSESDGADNA >A09p063550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51565857:51567719:-1 gene:A09p063550.1_BraROA transcript:A09p063550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSFDTKPRFALGGPFNRRHPPLHHPRNSLSYSAKRQAISASLSVAADSTAIESISRIESLSQVSGVLGCQWGDEGKGKLVDILAQHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTTCVIGNGVVVHLPGLFKEIDGLESNGVSCKGRILVSDRAHLLFDFHQEVDGLRESELAKSFIGTTKRGIGPCYSSKVIRNGIRVGDLRHMDTLPQKLDLLLADAAARFPGFKYTPEMLKEEVEAYKRYAERLEPYITDTVHFMNEAILNKKKVLVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRAVGDLIGVVKAYTTRVGSGPFPTENLGTGGDLLRLAGQEFGTTTGRPRRCGWLDLVALKFSCQINGFASLNLTKLDVLSDLNEIQLGVAYKKSDGTRVDSFPGDLRLLEELEVEYETLPGWNSDISSIRNYADLPKAAQQYVERIEELVGVPIHYIGIGPGRDALIYK >A03p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12957894:12960011:-1 gene:A03p030850.1_BraROA transcript:A03p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELAEKAKEAFLDDDFDVAVDLYSKAIDLDPSCASFFADRAQANIKLLNFTAEAVADANKAIELEPTLAKAYLRKGTACMKLEEYATAKAALEKGASVAPNESKFEKMIDECNLLIAEEEKDLVQQVPPTLPSSSTTPLATAADAPPVPSPAPPAKPMFRHEFYQKPEEVVVTIFAKGIPKQNVNVEFGDQILSVVIDVAGEEAYHFQPRLFGKIIPEKCRYEVLSTKVEIRLAKAEIVTWAALEYGKGQTLLPKPNVASAVSQRPVYPSSKPGKDWDKLEAEVKKQEKDEKLDGDAAMNKFFSDIYQSADEDMRRAMNKSFAESNGTVLSTNWKEVGTKKVESTPPDGMELKKWEY >A05p005810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2245814:2247010:1 gene:A05p005810.1_BraROA transcript:A05p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSVQGEIMDLDLNQEPESPPGLITEISPWLNELETAHERIEDRLRQLEAIVSRIRERATTVTTTTTATPSPAPALIPPRDSTAGVIHERSRERLVENDKTYLIAKALNMERTTSVPGGYFDCNICLEKAEDPILTCCGHLFCWGCFYQLPFIYLNIKECPVCDGEVTDTEVIPIYGNGDDDNDGSSKAKREECGIRLPPRPNAKRVESIRQKIITRGNPFIPGPETLEHIRRTIDSIGGLQALAEGHEFGLTNIVTNNRVVQNQHNRLRLLSSFPGLVVDSSEMPPPTSDDVVVAAAFDVDSFVDTTTPSLRTNRRRSSRIAEISPSPSPAVRASIRRNQSGSAAGSSSSPRDFAVAGFGFGSREVATSDSSSSRRRTEDVNNGPRTRSRRRLG >A05p012060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5244407:5246296:-1 gene:A05p012060.1_BraROA transcript:A05p012060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLSYTNSASFSRTGICSTSSSSTSINDFLERRRSLRMRFNGGDNPRSVKASAGRSSEGMEKTDSSGGGARQFAGPVMEVTTLDRGFANSTTVDFPVWDKIGAVVRLTYGIGIYGAMAVAGRVICSVTGIDSSGGFDPSLDALLAGLGYATPPIMALLFILDDEVVKLSPHARAIRDVEDEELRGFFFGMSPWQFILIVAASSIGEELFYRVAVQGALSDIFLKGTQLMTDSRGMASLTGVLPPFVPFAQAFAAVITATLTGSLYFLAASPKDPTYIVAPVLRSRRDDFKKLLSAWYEKRQMKKIYSPLLEGLLAFYLGIEWVQTDNILAPMMTHGIYSTVILGHGLWKIHDHRRRLRRRIERLRSETADE >A01p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27597826:27598162:-1 gene:A01p049080.1_BraROA transcript:A01p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTQLIVIFMMTMFISATISQATTTVVIHNNLEGGLSLGYHCKSGDDDLGYKSLAPGGSGSFDFNPDIFGPKRETKNLKSLDAHNANGG >A04g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5781533:5781868:1 gene:A04g502410.1_BraROA transcript:A04g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVIFLDENFISAGRVNHYMPSLKVGSIVKVDRFEIARCSSMYKKIDHPFLIRFIPPTIIYEVITGAPEINLQS >A05p003290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1197180:1199162:-1 gene:A05p003290.1_BraROA transcript:A05p003290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGLLSTPYTLKESGWAGMVILVLFAVICCYTATLMKECFENKTGIITYPDIGEAAFGISGRILICSYCVEFIILEGDNLTGLFPGTSLDWLGIHIDSQHLFGILTALIVLPTVWLKDLRIISYLSAGGVLASVLIALSTFFLGATSGIGFHHTGHAVKWNGVPFAIGIYGFCYSGHSVFPNIYQSMADKTKFTKAVIITFALCVLLYGGVGIMGYLMFGEATLSQITLNMPKDLFFSKVAQWTTVASPFTKFALLMNPLARGIEELLPEGMPENIWCFLLLRTALVASSAIIMPALCFMRIMGNKATRTQIILSWIIVAIGLVSGTLGTYSSVAKIIKNYE >A04p007200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8994039:8994519:1 gene:A04p007200.1_BraROA transcript:A04p007200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 37 [Source:Projected from Arabidopsis thaliana (AT3G53770) UniProtKB/Swiss-Prot;Acc:Q9M349] MMGAMSQSLFNLKSFSCSLNNVIVMRGYILIKKATQRAYAIGNNREKPSWTADCETGYYRPETITKELDSYVVTTSNTEVKMRRGEKLWWMPDPQTGFYRPDTFARELDAVELRSMHSNHRE >A03g505400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19272634:19273359:1 gene:A03g505400.1_BraROA transcript:A03g505400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISMPNLDPSASSLKLLLPIILEAKNLPPTGLTASPLAPWILWGLWTARNKAIFENATYTASEILVKALLDAKEWESANQTSQKSRSILTLPKSRPMENSPNCWVDGAWIESSLCGGFGWIIKSTSNSILCKDSASRTYVGSALIAEALAIRQALRAAAFLGLLTLNVFSDSLVLISALSSGSDLNEIAGILSDIRDFASLFDHLSFSHVSRTCNIVADSLAKSALAKLISDVSLFGDQ >A02p039720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23367482:23369498:-1 gene:A02p039720.1_BraROA transcript:A02p039720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMWFCGEEESPEMGRNTWCWFMNNNIRHIKTLTRYEVKEEEAALIFPKDPPWFKAWMVPVIMVFVFFIVAIVGICRRCRNCRRGENSPSIHPISQTT >A09p017870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9299325:9301936:-1 gene:A09p017870.1_BraROA transcript:A09p017870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTYPAELILAVADTLLAGESRIRADLPDWYAVLRLVRLTHNPELVATQYSRLAVLLNPSRNRFPYSDQAFRLISDAWYVLSDPSRKALYDREVHLSQFGQLGQLGFQLLNQPPQSPQHQEESPQHQTVSYQSQQLPFQLHQHSHFAQPQVMQSQPLQQFGHESEMIRSGGSSSSSGRDWRLPVEEERSINLNTATERVQPSRRFHDQERSSSGRSYEEASSQRAPPPSTDLTWSSKPTPTSEPIALQSSGQFQPPRSNQAAQLSLLSLVSDQPSVSQPNRSAQTHSVSKPQPVSSKLFPMSQPSSNPLAGTGLREAPRPFAASKPFSGSQSSQKSKPFAASQPPTNSKPSAVSQRSLNSNPFPVSEPPRTSNPFPASQPTQNAKASPVSQPQQTSSNPFRVSQQPPTSRPIPVSQPQSQPPPTSSNPFMVSQPITASKPLPVSQQPSTSKPMMVTQPPQQAFQSQPPEASGGPSTLPPIFNSTQSFQPKPPVSTTPPPVPAVSTTPSPIPVASTNPSPPQPTGVTNQTQHTEQTPSSDSHPKVPSFWTTCPYCFVLFEYPDMYEESVLKCQTKSCRRAFQAVKVPSPPPVAEEDTYYCCWGFYPIGFAETAKIPDLPRGFPVYDIPRTAPKKASRKVYYNEDEDDDEYIDSDPSEDDDDDDDDWHMNGTKRRKNVKQSKQKASTKPRLGKPRKVIVVD >A09p032290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19613999:19616283:-1 gene:A09p032290.1_BraROA transcript:A09p032290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLKRAAVSETNQSPFKNAKPVEGSLFGTQSSLSPAASLDKQRAELARKHVRALNNQFVSWVQIQLKNHPDELWEDGMNDYITHASSILEKFKDVVNWLKENKGKGENAFPESRGPEKKPMAEVKNGDVKPVSNTSLFTSNNQPGLFSTNQSSSFSTSHQSGSFSTQPGVFSSTPFGLASNSQTGSFSSGQFGLAKSSPPSLFSSSQAGGISNTQPSFSFSNNQNPFSSGVTPVSIPAKRDSPDHAEAEDEPPQPSSPSVKKTEEKGVTVVHEVKCKLYVKSNDPTDKGAWKDKGTGNLCIKCKEGVDKGTKESKPTILVRNDVGKLLLNALLYTGIKTSTQKNALVAIFHSSEDSNENVTPRTFLIRTKTAEARDKLATAIQEYAPSS >A09p049680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43875872:43879252:1 gene:A09p049680.1_BraROA transcript:A09p049680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDWTQHVAVETTLTWVVFLTSISLHRAIVININGEDIETMDANEVEENFFAPGDAKVHGDMCNALSVIYCKIMTIFPSLEDARPRSKSGIQALCSLHVVLEKVKNILHHCTESSKLYLAITGDSVVLKFDKAKSSLIDSLRRVEDIVQQSLGSQILEILMELESTQFSLDPSEKEISDQIIGLLQQGGNFESSSDNNELEVFHQAATRLGITSSRAALTERRCLKKLIERARMEDDKRKESIVAYLLHLMRKYSKLFRSEIWDDNDSQGSSSLPCSPTIQGSLDDPPGRAFDRQLSKLSSFNFRPCNNNRRSSVQMSVPPEELRCPISLQLMYDPIIIASGQTYERICIEKWFSDGHNTCPKTQQQLSHLCLTPNYCVKALISSWCEQNGVQVPDGPPESLDLNYWRLALSVSESTDNTRTAKGVGSCKFKDVKVFPLEKSGTIKEETYESEYQEAEVTLAEQCTELLTNLSEVDNLRKKCRVIEQIRVLLKDDEEARILMGGNGCVEALLQFLGAALHEKNDSAQKVGAMALFNLAVDNNRNKELMLVSGIIPLMEKMLCNPHSHGSVTALYLNLSCLEDAKPIIGSSLAVPFMVNLLWTETETQCKVDSLHALFHLSTYTPNIPCLLSADIVNALQSLTVSDDQRWTEKSLAVLLNLVLNEAGKEEMVSVPGLVSNLATVLDTGEPNEQEQAVSLLLILCNHSEVCSQMVLQEGVIPSLVSISVNGSQRGRERAQKLLTLFRELRQRDQTHLTTEPHEEVTSPEEGGFTVAAAVVTESKPQCKSASRKKMRRAFSFLFKTKSFSVYQC >A02p048540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30180578:30182332:1 gene:A02p048540.1_BraROA transcript:A02p048540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 longevity assurance homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G25540) UniProtKB/Swiss-Prot;Acc:Q9LDF2] MGFFESVKSINWEHESFPTYQDFVCLPLFAVFFPSIRFLLDRFVFEKVGRLLIYGKQSPKKNDKKTKIRKFKESAWKCIYYLSAEVLALSVTYNEPWFTDTLYFWIGPGDQIWPNQQMKIKLKFLYMYTAGFYTYSIFALIFWETRRSDFGVSMGHHITTVILIVLSYICRFSRAGSVVLALHDASDVFLEVGKMSKYSGFEGIAAFSFVLFALSWVLLRLIYYPFWILWSTSYQIIMTVDKEKHPIEGPIYYYMFNTLLFCLLVLHIFWWVLIYRMLVKQVQDRGKLSEDVRSDSESDDEHED >A09g513670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41610265:41611842:-1 gene:A09g513670.1_BraROA transcript:A09g513670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKVSYVRLTFVTIIMVSLNLLTSNDHYESSGVKTQNMLNTMSQICSGTNSNMAVPTVYTDTNNYLSQLEGTTESLQYLKSLKLEHGSKSKRRHVDEAFKRFTSDMWMKLSNVRHVDEALKRFTSIWIQLLRKRLYQRNHGGQGLTTTQLKQYDLFHVDHT >A02p036450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20908093:20910320:1 gene:A02p036450.1_BraROA transcript:A02p036450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDNTGLHHQTEARRKKLTLVLGVSGLCILFYVLGAWQTSNVPASYSKIGCETQSNPSSESADLDFKSHNQFEFKETNLTIKNLEPCDLSLSEYTPCEDRQRGRRFDRNMMKYRERHCPSKDELLYCLIPPPPNYKIPFKWPQSRDYAWYDNIPHKELSVEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIARLIPLTAGGIRTAIDTGCGVASFGAYLLKRDIMAVSFAPRDTHEAQVQFALERGVPAIIGIMGSKRLPYPARAFDLAHCSRCLIPWFKNEGLYLMEVDRVLRPGGYWILSGPPINWKQYWRGWERTEEDLKKEQDSIEDVAKSLCWKKVIEKGDLAIWRKPINHIECKKLKQNNKSPPLCTSDNNADFAWYKDLESCITPLPETNNPEESSDGALEDWPDRAFAVPPRIIRGTIQDINAEKFREDNEVWKERIAYYKKIVPEISHGRFRNIMDMNAYLGGFAASMLKYPSWVMNVVPVDAEKQTLGVIYERGLIGTYQDWCEGFSTYPRTYDMIHAGGLFSLYENKCDLTLILLEMDRILRPEGTVVMRDNVETLTKVERIAKGMKWNTQIVDHEKGPYNPEKILVAVKTYWTGQPSNNNNN >A01p001110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:421923:422956:-1 gene:A01p001110.1_BraROA transcript:A01p001110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNRRIVNLLLTSSESDAEKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A04p027070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16259019:16260262:1 gene:A04p027070.1_BraROA transcript:A04p027070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKTCLIFFLSSLLITNIALAQDRAPHGLAYETPVAFSPSEFDFFHAQPENPDATLDPCAESGCSPLPVAAKIQGGSAKEQQSEIATMSIGSRTGMGAGGAVMIIFGLVFPMLIASCVAGLQRCCWDPFGASQRRRKVALFKHGPFGKEAQGSECQVDEETRKTQLSTSSSLITRMVGFMVEICNCENLERNSADAIHLPGDRRAPSVAIPGRLDREDANRLPQTNF >A08p033030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19923247:19924874:-1 gene:A08p033030.1_BraROA transcript:A08p033030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSSSSNPTITHDDELDRFLDAPDEFYYDCMPPTRRHSHRRYSSPSLNPSAANLRRRNSAPHRNSIRSDVETEPSSSSSNGFKLDDVIESTKDLIDVTPEKDNDFVVTESGQARVDPFQESREKTDESMDVTDSDRVREGVSLTEESTVTTVSDDREHDNAGSSPPELREPNPTDWSLLGYLVGLALKAIEFQFNLFISLVKYPPLLLHWCFLFFFDPFSTIRLGRRFITTMITNKLSWFEDTKRMLNVACKCGWGLFYAVYVGAVLFGLLLLSLMLGGFMINRVADKPFVLKEVLNFDYTKNSPEAFVSITSCDGSCKESNEMLKIRGVRAIPRDHKLEITLSMTVPESEYNKNLGMFQVRVDFLSADGQTLNSIRRPCMLRFRSEPIRLVQTFLKMVPLVTGYVSEIQTLSLKLKGFAEKDIPTACLKVMIEQRAEFRPGAGIPELYDASLSLESDLPFFKKVIWKWRKTLYVWISMSLFVMELLFALVCCRPLVIPRTRSRDRPTGSG >A06p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5968670:5970111:1 gene:A06p013300.1_BraROA transcript:A06p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFINLFRRVRDDESRHPNRYWTKVELDATLVQIISALVVLTLNVARDEYPQMPLLIWFIGYTCGCTVTLPVLYWRIHTFDQDPPETTSLGAVDEEVNIQAVGDEYSRTRIHKVMDVFKVALEFFFIGWFLGYHWFFYDKPSPDDGSLLLSLGFLAFSFIRHACAILPLFYACLLLPVYTSLHSVVGVIRLVIMIIKASCACFSRSSDVDFEKEDVIICCICLGNCGDEKEGKLPCSHVFHFKCIMRWLRIKPTCPLCQTQEKVERFDICSGSQVYDKK >A09p053110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46203886:46206215:-1 gene:A09p053110.1_BraROA transcript:A09p053110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase (Fragment) [Source:Projected from Arabidopsis thaliana (AT1G23480) UniProtKB/TrEMBL;Acc:W8Q7B4] MSPFMKFFNFLYDSLPPSSWFLVQRHNLASSSETDEVVRSGIIGELIYIWKETRIFVFIPILKFLVTMCLVMSFLLFIERVYMSVVVVIVKLLRRTPEKVHKWEAINDDDLELAHTNYPMVLIQIPMYNEKEVCQLSIGAACRLSWPLDRMIVQVLDDSTDPASKELVNAECDKWARKGINIMSEIRDNRIGYKAGALKAGMMHNYVKQCEFVAIFDADFQPDPDFLARTIPFLIHNREISLVQCRWKFVNANECLMTRMQEMSLNYHFLAEQESGSSIHAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRACLHGWKFVYVHNVEVKNELPSTFKAYRFQQHRWSCGPANLWRKMTMEILQNKKVSAWKKLYLIYNFFFIRKIVVHIFTFVFYCLILPATVLFPELQVPKWATVYVPSTITLLNALATPRSLHLLVFWILFENVMSMHRTKATFIGLLEAGRVNEWVVTEKLGDTLKSKLIAKATTKLYTRFGQRINWRELVVGLYIFICGCYDFAYGGSYFYVYLFLQACAFFVAGVGYIGTFVPIG >A07p009360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:5761494:5763092:1 gene:A07p009360.1_BraROA transcript:A07p009360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSFATTVGLFHTHTHQARPLKTNTREVTHCPEAWLVKILSTLFVYRVPDSDLCFCYLSKNINPFIAFEVVKKLDNPHIGFRFWEFSRFKLNIRHSCWTYNFLTRSLCKAGLHDLAGKVFECMKSDGVSPNSRLLGFLVSSFAEKGNLRLATALLLQSYEVQGVSAVVNSLLHTLVRLGRVEDAMNLFEKHLKFQSCNDTWTFNILIRGLCCVGKAEKALELFAEMSSFACSPDIVTYNTLIKGFCKSNELDKAYDMFSEVKSRVGCSLDVVTYTSMMSGYCKAGKVKEASLLLDEMVDLGIFPNNITFNVLVDGYAKVGDMSCAEVIRGKMESFGCFADVVTYTSLIHGYCRVGQVNKGFSLWEEMNAKGMFPNAFTYSILINALCKENRLLRARDLLRQLACEGVVSKPFLYNPVIDGFCKAGKVNEANVIVAEMEKTKCKPDKITFTILIIGHCMKGRMGEAVSIFHKMVAIGCSPDKITVSSLLSCLLKAGMAKEAYQLNQIAVKCQSNDVAPQETKAVNVTVAAC >A10p030380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18361605:18364509:-1 gene:A10p030380.1_BraROA transcript:A10p030380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEHRDTHWKRSRPQSDDDDDTGSKRRHRGGDDRDSLAIDTVFRYLCPVKKIGSVIGRGGDIVKQLRMETRAKIKIGEAVTGCDERVVTIFSASDETNEVGDGERVLLSPAQDALFRVHDRVVAADGGDGSDGERNVTAKMLVPSDQIGCVLGRGGQVVQNIRSETGAHIRIVKDRNMPLCALSSDELIQISGEVLIVKKALHQIASRLHENPSRTQNILSSAPPLMSHACGSRIVGIAPLMDPYSRDLSRPLYQALRNDPPAATDFYIRLVSPADNIASVIGKGGALINQLRQETRATIKVDSARTEGNDCLITISAREVFDEAYSPTIEAAMRLQSKCSDKVERDSGLVSFTTRLLVPSSRIGCLLGKGGAIITEMRRMTKANIRVLGKDNLPKVASQDDEMVQISGEFDVAKDALIQITSRLRANVFDREGSVSTLMPVLPYVPVALDAGDRLDYDRRDSRRPERSNHYPGGYGSSGLSEGYSRYGAPVGGSSSSSTYGVYGGYASGRSGSSGFSSLWKQKDIKSMVEWADTRI >A04p019040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11398944:11399153:1 gene:A04p019040.1_BraROA transcript:A04p019040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVLVGVVLFVLLSPGLLFSLPGHHRTLDFGGMKTNGKAIAVHTLIFFAAYTILILAVNLHITTG >A07g500340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:788872:794252:-1 gene:A07g500340.1_BraROA transcript:A07g500340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLVGFNLQNCPITVPAHSHSAKTRSVGDVPWGSAPGKTDMHGLIIGSSNDICSLFDSYLVNHEASTHEITWRMFSTKLRSSSKKNQIKRSSYVIVMLFTNQVIFSSREFRPPEKLEMANFLSDEPTVNSIMPKVIIHVLNVQKSIGLDGFQKDSKTSLFSPNGETDKILAKRKDGFRPGLKGTCLGPYQEYILHLSKSWSWLYEEVVQHILLWISTTCNPINKALEPRNKQIFSILSDLSSYQPYRKSDPYFGSIKWYQSHSSIYDLIQKKKKEKVQSLFCGLVVEEKACWLRRNPAFEVRNTCVGEDQTLESVRFMMFGLQRKSNKEKPQRNSNSQTPFKYPLNYFDEFVSVQEQPAIRRKTTKDVADPKRQPFQIDVQQICDNLVKGVDKALKDFNKSQKKSTSTRAPVAEPSLFISKKAQGESENHFEELKDFSDSLSIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDELGPIFDEEDELGPVFDEEATSIISTFMESHLCFDSGTTIAPSSPAPLLHDLQEHCEEPSSLNSLPDMVVKVSTDDVIRFGLDKMKDFFVSKSVFDNLINSLKIIEPDKCLDQSRFQNVNGITYGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNTVVAYLDKILVCNIYFDEHLERLKNVQFVLGKDILICDLNKYLSCTFDPGLLVFVLSIQERQVQPLNESIGRAQQPQIWRSFVVQTGYLGASDRGSVQEGYLNSPKVFCLESNFTRKPTHQGFTEAWNRMKIFTDGEVMNFPNRRFFSPTIREYQISKGDSCPRKNRPEPKPILNEPKVFPQSTSWPNQKHSWPNFKIDKPIFGDQLTCLSLVHVLDDYPKGLDPDLDVLKIEKPFDYFFRRFDVVSLVLLNEQDKHDQFPRREIVVECLRTYVIFSSREFRPPEKLEMANLLSDEPTVNSIMPKVIIHVLNVQKSIGLDGFQKDSKTSLFSPNGETDKILAKRKDGFRPGLKGTCLGPYQEYILHLSKSWSWLYEEVVQYILLWISTTCNPINKALEPRNKADCFPLLLKLVLSFLVRLSPSFDPSFVGPVRHIRQRSKSGSIFGLFRNP >A09p077940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57799636:57811665:-1 gene:A09p077940.1_BraROA transcript:A09p077940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSCSPINSLHVAVIGAGAAGLVAARELRRESHSVVVFERNTEVGGLWVYTPQSEPDPLSLDPNRTVVHSSVYDSLRTNLPRECMGYSDFPFVPRPEHDESRDPRRYPTHREVLAYLRDFAREFKLVEMVRFGTEVVRVEQDGRKWKIRSRNSDGVSRDEIFDSVVVCNGHYTEPRVAQIPGIDQWPGKQLHSHNYRVPDPFKDQVVVVIGNFASGSDISRDLTGVAKEVHIAARSKPSETYEKLPGADNLWLHPMIETARKDGSIVFKNGKVVQADTIVHCTGYIYHFPFLNTNGYITVDDNCVGPLYKHVFPPALAPGLSFIGLPWMTLLFTLFELQSKWVAAVLSGRVTLPSEDKMMEDTNALYTKRDANGFPKRYTHRLGVVGQAEYLNWIADQIGEPPVEQWRNKELEDGYVRLATQADTFRDKWDDDHLIAEAYEDFLRQKLISAIPSQIFGLNKSTKHITTLHNSLNMAPGSNHPTGSRHVAVIGAGAAGLVAARELRREGHSVVVLERGSQIGGVWAYTSQVEPDPLSLDPARPVVHSSLYKSLRTNIPRECMGFTDFPFSTRPHDGSRDPRRHPGHSEVLAYLRDFAKEFDIEEMIRFETEVVRAEPVADEENSGRWRVESRSSDAVADEIYDAVVVCNGHYTEPRHALVSGIDSWPGKQIHSHNYRVPDPFKDQVVIVIGSSASGVDICRDIAKVAKEVHVSSRSTSLETYEKLPGYDNLWLHPTIETACEDGSVVFKNGKTVYADTIMHCTGYKYYFPFLDTKGEVTVDDNRVGPLYKHVFPPALAPGLSFIGLPWQITPFPMFELQSKWVAAVLSGRVSLPSQDEMMEDTKAFYDKLEASGIPKRYTHLMPDDSQFEYDNWLADQCDYPRIEKWREEMFYIGFKRIYAQSATYRDNWDDDHLIVEAYDDFVKFMSSYPELLPMLKT >A09p046190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40381928:40384478:1 gene:A09p046190.1_BraROA transcript:A09p046190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWVLKFLLPQLLIVLIIELADASSVIKYLPGFEGPLPFELETGYIGVGEAEEDQMFYYFIKSERNPEEDPLLVWLSGGPGCSSLTGLFYENGPLAFNVEPYNGSIPSLVSTTYSWTKVANIIYLDQPVGTGFSYTRNPFSDRTSDTRAAKRVNEFLRQWLDKHPEYFSNPFYVTGNSYSGKVVPAIVQEISNGNYICCKPQINLQGYVIGNPITNFDFDNNTRVPFAHGMALISDELFESMKRSCGGDYFHVDPLNTECLKLVNDYKKSVSRVHEANVLQSNCDKTSPDCYVSFLMYRYSLSEYWANSDSVRTALTVAKGTKGKWEQCNWSLNCKQDIQSSIPYHMNNSIKGYRSLILSGDHDMTIPFIGTQEWIRSLNFSIIEKWRPWMIQDKVAGYTQTYANKMTYATVKGGGHTPEYKPVESFILFERWISGQTL >A09p064950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52145751:52146796:1 gene:A09p064950.1_BraROA transcript:A09p064950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYQWRELTRSGFTKKAAWELKGRKYSAPALMNDQYVRNKLKIVILPFLHRGHWTRISEPVEGRLSYKPPIYDINAPDLYIPLMAFGTYLVLDVLSLGLCGKNGWLGFLQVMLLEITLLSLGSGESPLLDIVAYAGYANAGLCLAVLCKTIWGYSYYVLIPRTCLCTGVFLVKTMKRVLFAEAKSYVTQAGIIRERTPLML >A05p034360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19297904:19299837:1 gene:A05p034360.1_BraROA transcript:A05p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYNAFAQSLPGRSSFFVPKALCIKQRNRSTVVFASNDKNIIALQSKVDNLLDRIKWDEKGLAVAIAQNVDTGAVLMQGFANREALSTTLTSRKATFFSRSRSTLWTKGETSNNFINVLDVYLDCDRDSIIYLGTPDGPTCHTGAETCYYTSVLDQLNDDEASGEKLASTTLYSLESIISKRKEESTVPQEGKPSWTRRLLTDDALLCSKIREEADELCRTLEDKEEVSRTASEMADVLYHAMVLLSKRDVKFEDVLEVLRKRFSQSGIEEKQSRSK >A02g505530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16442662:16446110:-1 gene:A02g505530.1_BraROA transcript:A02g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQLHAVYGQWLLKDGCWNFVVDHFKGARMLFLNEGSTHADLVAMAQEDYNLDMNTEFVELTYSLQQMAPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVEDEAEEGDEAEERDEADSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLETHTCSIPDRIAQRKRCTSKYIGRLFIDRVGIIDGRGDALSLTTQYSRGVEHLLAVREENAYTLRVQQIDGWKFFVKGGNRDCNVDLELQKCDCGVYQVEKIPCSHAIAAGTAAGVHISTLVWPVYSKDTLFAGYSENIYPCVGQLVETRTCFPPEVKRGPGRQKKSRWQSWLELSRMRGRKPRKQHRVYRCSVCKETGHKRPQFVQKTFWLVVQRLNYNFLLVLIIVLVFPFMALRKLSKYIQRHLLPDGLPVFFVKRGGKFYPKSITFDVLQSEGATITSSALRYSNGLSRICVWLQCVLNLLFVRERTLNKQIRDHCDKRLHYLIEFFRANMRDNAVPDDDVPLRDRYPYSNPMLVLEVHRCIDIIHIHPPKPS >A03p051230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:20606226:20607698:1 gene:A03p051230.1_BraROA transcript:A03p051230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMQTTKPHAAMFASPGMGHVIPVIELAKRLAGSHGFHITIFVLEADAASVQSQFLNSPGCVANLFDVVGLPSPDISGMVDPSAFFGIKLLIMMRETVPILREKIAEMKHKPTALIVDVLGLYALRLGEEFNMLTYVFIASNARFLAVAMYFPTLDKDVEEEHIINKKPLALPGCEPVRFEDTLEPFRDRKGPIYQECVPLGLLYPTADGLIVNTWDDMEPKTLRSLQDPKLLGRIARVPVYPIGPLCRPVDSSKTNHPVLDWLNKQPDESVLYISFGSGGSLSAKQITELAWGLELSQQRFVWVVRPPVDGLPCSAYFSVNNSGIQDGTPDYLPEEFVSRTHERGLVVPSWAPQAEILAHKAVGGFLTHCGWNSILESVVNGVPMIAWPLFADQKLNATLLNEELGIAVRSKKLPSEEMTSRVEIEALVRRVMVGEEGCKMRDMVKKLKITAAEAVSCDGGSAHKSLLGVAQQCQRLLDRASGMARGA >A09p008570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4409728:4412797:1 gene:A09p008570.1_BraROA transcript:A09p008570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNWVFSVVSAATLFVSCSSALTLDGFALLELKSGWNDTRNSLENWRDSDESPCSWTGVSCNPQDQRVVSVNLPYMQLRGIISPSIGKLSRLQRLALHQNSLHGTIPNEITNCTELRAVYLRANYLQGEIPPNIGNLTFLTILDVSSNTLKGTIPSSISRLTRLRSLNMSTNFFSGEIPDIGVLSRFGADSFTGNLDLCGRQIHKPCRTSMGFPVVLPYAENSPKRSSHLIKGILIGAMSTMAIAFIVIFVFLWVWMLSKKERKVKKYTEVKKQKEPCETSKKLITFHGDLPYSSTELIEKLESLDEEDIVGSGGFGTVYRMVMNDLGTFAVKKIDRSRQGSDRVFEREVEILGSVKHINLVNMRGYCRLPTSRLLIYDYLTNGRATEKSDVYSFGVLLLELVTGKRPTDTTFVNRGLNVVGWMNTLLKEDRLEDVMDKRCVGVDEDSVEVLIEIAARCTAANAEDRPAMNQVVQLLEQEVMSPSSATAIDYYDDSHSDYC >A08p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000131.1:17643:17942:1 gene:A08p011550.1_BraROA transcript:A08p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCALLSQEDNASSVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLIKKKEVLQSSNHGVNTLRDQFRSWLKP >A10g501870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5033028:5034039:-1 gene:A10g501870.1_BraROA transcript:A10g501870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRNSPKMKKPLRERKKKVSEVRKERGLNGETSGSVDRQTEKKLGESRSRHGARRGGKSQTAPSRRSRAAGVRSQLYPSRRPRYTGDKSHRKKSATGTSFISSSSS >A05p002060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:609796:610689:-1 gene:A05p002060.1_BraROA transcript:A05p002060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription factor NGA1 [Source:Projected from Arabidopsis thaliana (AT2G46870) UniProtKB/Swiss-Prot;Acc:O82799] MMMTNLSISREGEEEEEEEQEEAKKPMEEVEREHMFDKVVTPSDVGKLNRLVIPKQYAERYFPLDSSTNEKGLLLNFEDLAGKSWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRCVGDSGRDSRLFIDWRRRPKVPDHPTSIAHFAAGSMFPRFYSFPTATSYNLYNYQQPRHHHHSGYNYPQIPREFGYGYLVDQRAVVADPLVIESVPVMMHGGAQVSQAVVGTAGKRLRLFGVDMEEESSSSGGSLPRGDASPSSSLFQLRLGSSSEDDHFSKKGKSSLPFDLDQ >A08p001860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:1125064:1125372:1 gene:A08p001860.1_BraROA transcript:A08p001860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLRRPLFTILNFVGVTTSTKIPLREKDSFFGYVVNDHCRFPYRLLPYVMVRLGPEGTTILNSMSIEVMEHSTSRYTVTIPVEELEGRCNLSWSIEVMWHL >A01p019780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9685739:9689215:-1 gene:A01p019780.1_BraROA transcript:A01p019780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein TORTIFOLIA1 [Source:Projected from Arabidopsis thaliana (AT4G27060) UniProtKB/Swiss-Prot;Acc:Q9T041] MSTPSSSASAAKPTRPARSSNATARSSSSNSNSNSHSLTSFQAMVEQKQKILTSISKLADRDTYQIAVEDLEKTIQSLTPETLPMFLNCLYDSCSDPKPAVKKECLHLLSYVCSLHSDSTAAHLTRIIAQIVKRLKDPDSGVRDACRDTIGALSGIYLKGREEGSNTLAVGLFVKPLFEAMGEQNKVVQSGAAMCMARMVESAATPPVASFQKLCPRICKLLSNSSFLAKASLLPVVSSLSQVGAIAPQSLESLLESIHDCLGSSDWVTRKAAAETLSSLASHSSSLLKDRTDSTLAALETCRFDKIKPVRETVTEALQLWKKISGKCLDGAPDESKSSSGEQLGSEKNGDKRSNLSDLMKKEASDGSILSPDSASKAKGGLPEKAAVMLKKKAPALSDKDFNPEFFQRLERRQSVEVVVPRRCKNEDGEELGPDDLNAMGSSNRFKNIQSNDHGFPDSKFHNLESGSDKLVKGRSDGNISQAGTSADDKAGGVNGKQTAGNHAAISDTDNHSDGSFTSNRGNWSAIQRQLLQLERQQTNLMNMLQEFIGGSHDSMVTLEGRVRGLERIVEDMARDLSISSGRRGNPTAGFGKYNSFANYSSGKYNGRGPGDRGSQPDGAMRGRMWSSDMQDDWFMHQHGASRNGQTGPRRSPRSEQYQNEHMGNGRRGWDNKAAGTIRYGEGPSARSVWQASKDEATLEAIRVAGEDGAVTRPTRVAAVPEAEAMGDEESEGQERDPIWSSWSNAMHSLRVGDVDSAYAEVLCAGDQHLIIKLMDKTGPSLDQMSNEIANEALNFIAQFLLDHNLYDICLSWIQQLLELVLQDGADTFGVPMELKSDILFNLQDACSTMDPPEEWEGPAPEELVMQLASVWEIDLQQFDK >A09g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23121875:23129942:1 gene:A09g508120.1_BraROA transcript:A09g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSRHRSTSSADSTECNASESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEQTPNPLNSSTEPVQEEQESEGRRLRKRREKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTNRLWMFFRETKVTEEDIRRMFHQVRGKMKHRITLTKKSDPGKFAIPCIVKGVEFPHSMCDTGASKELGFIGACHCGAEYESEYETEYLESIDTTNFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTKRGHDDYSIGSWAENSFHESFAVDTNERLETYNFTNTFPTSFDTVQSTSVDPHPRPAKQPLTSIDTSKRTSIDIRAAAKTQEQENIPSLTRFTDTYINRFAPPKPPTHIRANTHANKMNTLPSTSTEKSMKSNHLKNTNSAKITQPLIDVTVSTLIDTTLNPNLSISKMNNYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDPNNHAGVTTTETNPDLSRQQKGQASIDGIMETSIDRVTPTSIDMDNPTSIDRCYECGSRAFDMYGARKFTWEQRDEYGVYRDERGHARSTAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTLTRLAPELYTKEEIDEIVFGICGAQEKLGEELKTLIDETHQPLDRGYNELFGCMAEMRTEIDSLCQQFEKEATTSASIDAPCAKSIDVSLPTAQTLAEPRCSTQHMDEWEVSYIDTKINDVYCLLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKVSAMNERMRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDMENITNQSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKIKVKAIQRQLAAQHQISASIDRTKAKSIDDNSLISTNEHIIASIDAESTTISEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIHERLQNISNVLGKMDDKWTRNDEATRNSTKDAKADQPINYTLALNRQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQIPHKGHFTRADHLEVDERKNNRSIRISADDRYQEMPRQMKINIDRCTHVPSIDAWLEPIDRCPQLTIDRCWQKCIGRRLNRLSIDTLLCLHLTGETQDLNSGKIAPASETPPSAAYLVSGPDLPSTRTAANVETLSRDVSATKTQSAAVTEGAARDSVAIGNERSSVEEEENYERCQENILVAVETLLGSDTDEDDKSVESGGKLLRKKSQKIHGVYTPDARLKGLFISEKKTEYRPLPKTSRAIFKKFSDILSENLVQQFEIKTSHIVTNSVFLDIATPGKWLSDGVRFYSWNRVEGIYHNKRGGDCAPCAVKFIEMHSNGDGKEEMCLIRDIVVDKIREQYAMDCYKEFVGDYRVANEAI >A06p040080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21674178:21675412:-1 gene:A06p040080.1_BraROA transcript:A06p040080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQVGSTRRGGDSGSFPFVGALHSKSRSSPLLSICLVLVGACLLIGYAFSGPGLFKSIREVSKITGDYSCTSEVQRAIPILKNAYGDSMRKVLHVGPETCSVVSTLLKEEETEAWGVEPYDVEDADSNCKSLLRRGLVRVADIKFPLPYRSKSFSLVIVSDALDYLSPKYLNKTVPELARVASDGVVLFAGNPGKQKGKVAELSKVGRPAKMRSSSWWNRFFSQTNLEENEAGSKKFEKAASKISYKPACQVFHLKPLH >A10p001730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:881062:890138:-1 gene:A10p001730.1_BraROA transcript:A10p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSHPFMDCLKMVFNLFPNWKRATEVKKLGYKLHGNPFSTNTRRVLAVLLEKGLSYEPITVDLKTGEHKKDSFLGLNPFGQVPVLEDGNLTLCESRAITQYIAYVHSSRGTQLLNLQSHETMAILTMWMEIEAHQFDPLASKLTWELVIKPLYGLETDHMVVKENEAGLEKVLDVYEKRLGESRFLACNTFTLVDLHHLPNIQFLLGTPTKRLFENRPKVRNWVHEITSREAWKMACDPENSSKLSVTLALMIVIMSISYEAYALAPKDGYKIYGYPSSPNTRRALAVLHEKGLSFDRITVNLTTGDQQKPSFLPINPFGQVPVFLDGHLKLIEQTIKPLKGLKADYKVVNETETKLGKVLDIYEERLKNSRFLASNRFTLADLFHLPNIEYLMNTTTKRLFETRPNVHRWVAKITARPAWKKACDAKAWYDKKKNYTWRVLAVLHEKGLSYYPITVNLRTGEQKKPSFLSINPFGQVPVLLDGHLKLTESRAISLYIESAHRSRGTKLLNHKNYKKMGIETMWMYIESFEFDPPATTLTFEQAIKPMTGLKTDYKVVNETEPQLEKVLDIYEERLKNSRFLAGNRFTLADLFHLPNIEYLMNTTTKRLFESRPNSMAGIKVFGHPASTATRRVLIALHEKELDFELVHIDLKDGEHKKEPFLSRNPFGKIPAFEDGGFKLFESRAITQYIAHEYADKGNQLLSPGSKNMAILAMGMEIEAHEFDSVASKLGWEQIFKNFFGLTTDQAVVKEEEVKLGKVLDNYEARLGESKYLACDHFTLVDLHHIPVIQYLLGTPTKKLFDERPHVSAWVADITSRPSSQKVLL >A01p024250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11985235:11988455:1 gene:A01p024250.1_BraROA transcript:A01p024250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVETTGKEIIKPSSTTPDDLRTLQLSIYDHFLPPIYTVAYLFYAKDELISPEHTSHKLKTSLSETLTKFYPLAGRINGVTVDCNDEGAVFVDARVDNCSLSGFLASPDLNALQQLLPLDAVVNPYEAASTWPMLLVKATYFQCGGMAIGVCITHKIADAASMSTFIRTWSAEARGEAGNTVMDPKFAAANFYPPANETYKLPVDEKANKKSSITKRFVFSAAKLEELKTKATSPEFADRPTRVESVTALLWKCFVSASSLDTCGRKVLIQLADLRSKLPSLLPESLIGNVMFSSVVLSTGQGEEVKIEEATRDLQKKKEDLQTMIQDVGDGSSSMIGSKLANLMLTNYARMSYETHEPYTVSSWCKLPLYEASFGWGYPVWVVGNVAPTFENIAMLIDSKDGHGIEAFVTLPEENMSSLEQNPELLAFASLNPCVLTMEVKLEETGREVIKPSSPAPHDRLQLSLLDLTCPAIYVATTFLYKSTARESPEIISRRLKTSLSETLSRFYPLAGRQEGLSICCNDEGVIFTEARTDLLLSDFLRNLSTDSLAAFLPEIEEGESAGTWPLLRVKVSLFGSGSGVAVTVGISHQICDATSLLTFVQAWAATAKGTTTSTCTPHFAGATIYPPPDTSFKSPSIDDVYELQGKCVTNRLVFKSSKIDELKCKAASESVRAPTRVEAIMSLIWRCAANASRSNSLSPKSTVMTQAMDLRLRIPSNVLPQDSIGNLQTCFFVKKGAESELEIDEMVADFRKTKEEFSEMIKENLQGCDNDTTNITTTTLGQNLLTVMGDFVSECYKPDVDLYTMSSWCKKPFYEVDFGWGNPVWMGPSSHTVYDNMVFVVLIDSKDGEDVEAWVGLPEQDMPVFLCEQDLLAYAVLNPPVLI >A02p009100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8388381:8388738:1 gene:A02p009100.1_BraROA transcript:A02p009100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFRFVLCLRRRCSFIFHPQPPFLSDCGGGCRDGRCRGGRCRYRGRRREEEEVVVNAVMVDAMVVDMDTVVEDVRRRKWWFSFV >A05p047550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27991302:27999932:-1 gene:A05p047550.1_BraROA transcript:A05p047550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDESSAQSQSSSAAAAAPTPPPPSSAGDHYLAKCVLRPSVVLQVAYGYFRSRSSRDIVFGKETCIELAVIGEDGVVESVCEQNVFGTIKDLAVIPQSNKPYSNSFQMGKDLLAVLSDSGKLSFLSFSNEMHRFSPIQHVQLSSPGNSRDQLGRMLTVDSRQVFIPVYYIDDSVGASMSNIESYYAICSGLFLAVSAYHDRFALFSLSTSSMADIILKRIFYPSEDGGKASSEQAVSGTIWSMCFISKGFNESMDYDPVLAIVLNRKGSLLNELVLFRWNVKEESICLISEYVEAGALAHSIVEVPHSSGFAFLLRMGDALLMDLRDPQNPCCLVRTSLDLVPASLVEEQFLEESCRVQDGDDEGLFNVAACALLELRDYDPMFIDNESDNGKLSSKHVSSWTWEPPEHNHNPRMIICLDDGEFFMFELIYEDDGVKVNVSECLYKGLPCKEILWVEGEFLATFAEMADGTVFKVGTEKLHWMSSIQNIAPILDFSVVDDQNEKRDQIFACCGVTPEGSLRIIRSGINVEKLLKTAPVYQGITGTWTVKMKLTDVYHSFLVLSFVEETRVLSVGLSFKDVTDSVGFQPDVCTLACGLVADGLLVQIHRDAIRLCMPTVDAHADGIPVSSPFFSSWFPENVSISLGAVGENLIVVSTSNPCFLSILGVKSVSSQCCEIYEIQRVTLQYEVSCISVPQKYIGKKRPRACAVDNSCSKAAIPSGMERGYTFLIGTHKPSVEVVSFSEEDGGGVRVLASGRVSLTNTMGTVISGCIPQDVRLVLVDQVYVLSGLRNGMLLRFEWPPFSHSSGHCKEEMDSVASDLLLIATRRIGITPVFLVPLSDSLDSDIIALSDRAWLLQTARQSLSYTSISFQPSTHATPVCSPECPQGILFVAENCLHLVEMVHSKRLNAQKFHLGGTPRKVIYHSESKLLIVMRTDLYDACTSDICCVDPLSGSVLSSYKLKPGETGKSMELVRVGNEQVLVVGTSLSFGPAILPSGEAESTRGRLIILCLEHTHNSDSGSMTICSKAGSSSQRTSPFRDVVGCTTEQLSSSSLCSSPDDNSYDGIKIDESETWHLRLACATTWPGMVLAICPYLDHFFLASAGNAFYVCGFPNDSPERMKRFAVGRTRFMITSLRTYFTRIVVGDCRDGVLFYSYHEDVKKLHQIYCDPAQRLVGDCFLMDANSVAVSDRKGSIAILSCKDHSDFEYSNPESNLNLNCAYHMGEIAMAIKKGCNIYKLPADDVLRSYGLSKSIDAADDTIIAGTLLGSIFVFAPISREEYELLEAVQAKLVVHPLTAPVLGNDHKEFRGRENPSQATKILDGDMLAQFLELTNRQQDVSITLSTSPPSSQLPFFRKIASLYSTTNGFNDPIWVQKSLEKNIQSHRSCLCVLEGRILKHVPRSWDDSNSRLRFSAMFAELTDRIVPVAVNCRFGFFQRPLRSWNGLYLIFLFMNPTLEYEITFLNQLPLEATCSSGNSPYDVANHVQRILAETLGFEQCQL >A01g501000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3970114:3974557:1 gene:A01g501000.1_BraROA transcript:A01g501000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEDIKNETVDLEKIPIEEVFQQLKCTKEGLTTQEGEERIQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKRVLNIIDKYAERGLRSLAVARQVVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGNHKDANLASIPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWEFDFSAFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGVVLGSYQAIMSVIFFWLAHKTDFFTDKFGVRSIRDNNNELMGAVYLQVSIISQALIFVTRSRSWSFVRGIGWGWAGVIWLYSIVTYFPQDVFKFAIRYILSGKAWLNLFENRIALTSKKDFGKEEREAQWAVAQRTLHGLQPKEPVSIIPEQGGYRELSEIAEQAKKRAEIARLRELHTLKGHVESVVKLKGLDIETPGHYTV >A06p050410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26520791:26522349:-1 gene:A06p050410.1_BraROA transcript:A06p050410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALATFSVPKPSLQGFTDFSGLRSSSASLPFGKKLSSDEFVSAVTFQTSAMGSSGGYRKGVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPFDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPSGDAALSVDGKIIKVVSNRNPSLLPWKELGIDIVIEGTGVFVDREGAGKHIEAGAKKVIITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFRESAEKELKGILEVCDEPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >A08p038430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:6309:6629:-1 gene:A08p038430.1_BraROA transcript:A08p038430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLNRLGAFSYLLWKWLLDGPLGGNESLYFQDLRYFLKLERLVGASIGSSQKNIGMGHYFMVGCDCVRTVRRPFGNVRRYGFGKRHRLKEPTLESDEKPETTKC >A06p022350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12325480:12331792:-1 gene:A06p022350.1_BraROA transcript:A06p022350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATSLASLLEKLKVEDPYLTPRNWESLHSENGRFPPTRASVSNSTSSSSSVCESSLVRLALNALQGVESSLISIEKLSSLLCSDPADRTTHTIPSLWHRLSSTNALGQILRNIGCFGSLVFLLHKFVDYFTSVRFDVETAVQGQGSYKVGKNEEASNKSRYTLVNQAFAIAVRKVLEGYISGLDTLCASIELRRASNIVDGSENGSSWRGCLTNVVHPKITLLEVFLHTRDLRTQIETLASICGLYDVSLSYCGSPWECLITEATVRFHGFYRGSDLLTYLYSQLKVADPAHSAMLKFLFLKACEPYCEFIRSWIFKAELNDPHKEFIMDCASESTSFSWNKPGISPLKKVREQEVGLLPCFLDGFLVPILRAGQQLQVITKLLELCNPACGHRTFADLLPCWTYYSSTSLVDPSPITFSKLHIEVMIQKRKDYYRRLQEKLGDPSKKFELFLGQVPGAISLPISCRDGEIIERSSVYFTLDESLLIPSTVTMDLTRDQNGSDSDDQKTENRWFSEIDVSCSSECSSTRDSSEESDVGLLDSQSTLMGPSQNYLSALRFSVASDRNCNQNLVQHSETGFIDNNLVRKAEKADTHRQWMDTEPEESAEECEDEKSGGPLSIKSWPLGGLPRNPFCVDKYAEDNREYPRSDSGAKMEQRHLMNTDDSTLLLNNIPMGGSYSKQERNHDMLKNSSSTKLWKDTKVSYPYEVLSMNPVLRCDFLDKHGNINRRDQGKLLPLFDFSVVDNPSKTCVARIPVGFPVDFHVESHNSLTARKSLSHANQECEIHRFDVEDPKVSSSHLSSGLQGCVEEKKSNAVGGSRWEGMLLKSNKPETSAFSDRRENTSGSFELPLDFVIDKCLLQEILLQYNFVSKLAIKLLEEGFGLQEHLLALRRYHFMELADWADVFVVSLWHHKGLVTEADKKIAEIQGFLESSLQRSSCERDICKDRLFLYKRQGSMHLPPSTIGVRSFDFLGLGYRVDWPISMILTCDALKAYADVFSFLVQLKLAAYVLTDVWCSLKDIRHTMHENKEGIAKQELRWLKMLMKLRHQINHFVSTLQQYVHSELSHVSWAKLLHSLKHKVKDIMDLESVHMAYLSEALRICFLSDETRVISNTIENILQCALDFRSCLAGGIQNTARVPNDSWTKTLGINTSQVIVVKQKFEKELKNLHLCHLKSPEHERSGLSRFRECLNFNLFYSDIIHDSNIFTLVP >A09p011550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5836865:5840683:1 gene:A09p011550.1_BraROA transcript:A09p011550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase [acylating], mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G14170) UniProtKB/Swiss-Prot;Acc:Q0WM29] MLLRTSLQQGKTNLRPRFLRCLLSTMSSTQPPRVPNLIGGSFVDSQASSHIDVINPATQEVVSQVPLTTNEEFKAAVSSAKKAFPSWRNTPITTRQRVMLKFQELIRKNMDKLALSITTEQGKTLKDAHGDIFRGLEVVEHACGMATLQMGEYVPNVSNGVDTYSLREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGASVMLAELAMEAGLPDGVLNIVHGTNDTVNAICDDDDIRAVSFVGSNTAGMHIYARAAAKGKRIQSNMGAKNHGVVLPDANVDATLNALLAAGFGAAGQRCMALSTVVFVGNSKSWEDKLVERAKALKVSCGTEPDADLGPVISIQAKERICRLIQSGVDDGAKLLLDGRNIVVPGYEKGNFIGPTILSGVTPDMECYKEEIFGPVLVCMEASSFDEAIDILNRNKYGNGAAIFTASGAAARKFQMEIEAGQIGINVPIPVPLPFFSFTGNKASFAGDLNFYGKAGVDFFTQIKTVTQQWKDIPTSVSLAMPTSQKQ >A09g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10509747:10510120:1 gene:A09g503270.1_BraROA transcript:A09g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSSITFTVLILVLLMASTEILKIEAMNIKARCLPQGCKNATFSEECGPEPFTGSNNDCCHCCVAKYGRKAVCKGVIEGPDKHCHCYKERV >A02g503540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12052991:12054152:-1 gene:A02g503540.1_BraROA transcript:A02g503540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRARFGEVKHVFRSDLWERPSAPAPRFILCRKLMFYLGF >A03p003780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1649828:1651626:1 gene:A03p003780.1_BraROA transcript:A03p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQVARLIGSLSSKARRCSSGGGSEAFPSCQTLSSLTQSRSFASDPPAAVFVDKNTRVMCQGITGKNGTFHTEQAIEYGTNMVAGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPAPFAAAAIMEGIQAELDLVVCITEGIPQHDMVRVKHALNSQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFFVDPQTEGIVLIGEIGGTAEEDAAALIKASGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLNDAGVKVVESPAKIGAAMYDLFKERGLLKQ >A02p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3515944:3517389:1 gene:A02p008520.1_BraROA transcript:A02p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDWELLPKDPYKDLDHEEDHEAAMKIIRDTQKSFDMDYFIFPTQDPVGKTEFHRMSSVVPTKLLQVPITWEPVYTVEDVDHKKNLEPDPELLTDSVPSPRITFKTAKENEFVDMKIDLPVRFTSPLPQNDEKQSLSEVLAKEYYDEMGTKVEEGGDVRSKKGVDWDEKKNICGEKMNLWKMSLNGIGAICSFGVAAAVTTFCVFFLGHNNSMKGCRNKNKIISFRIYTDDNKRINEVVNHATKINEAISVMKGLPVARAQISFGGYYDGP >A05p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9168414:9170071:1 gene:A05p019590.1_BraROA transcript:A05p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGDDKVSETASDQPVRVYADGIFDLFHFGHARAIEQAKKSFPNTYLLVGCCNDKITNKFKGKTVMTESERYESLRHCKWVDEVIPDAPWVLTIEFLDKHKIDYVAHDALPYADASGAGNDVYEFVKSIGKFKETKRTEGISTSDIIMRIVKDYNQYVLRNLDRGYSREELGVSFEKRLRVNVKLKKLQEKVKEQQEKIQTVAKTAGSHHDEWVENADRWVVGFLEMFEEGCHKMGTAIRDGIQQKLLRQESEENRRLNGLSNAKEEQIFDDAGFAKGDENYHNDHEGSLNESNKKNKIVKK >A02p014280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6260778:6261314:1 gene:A02p014280.1_BraROA transcript:A02p014280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKSKGGEWTAKQHEGDLEGSASSTYDLQRKLVQTALSADSSGGVQSSFSLVSPTSAVFQVIIGGGSGGGFAAGGGAAAGGGGGGEAAAATKEEEKKKEESEEEEGDFGFDLFG >A03p041990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17578532:17579056:-1 gene:A03p041990.1_BraROA transcript:A03p041990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQAQPLLPPLLVSLIFLPAALGIRFQTCKSGHHHPVDVKTVEIAPYPIKPSTNGNFTITGKEIPNGATVELRLTIPTMTPVTKKKLLPL >A01g502210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7786537:7789377:-1 gene:A01g502210.1_BraROA transcript:A01g502210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSLASSGSDEEVMLFKDVSLGPHETQLRFRLIHFWEARNPVKKTLIGLEMLLIDEQGTVSFVSVLLLRVLFFLRFHSDSHFTGTVIQGFIPPGRIKKYLPDMKRGSVYKLINFYGSKNKPSFISLLTYSFHENVIDVVGHMKLVDGQTLLARPSLDDVKIATARHIMIHVQSHDGPVMKLYLWDQAAADFCKKFNSSKSIPTVLLVTTVNTKRLGGTLALASMSSTRVFMDYDVQPTRDYFSWLSSNPEIANQVIADVITKRETLTIADVFSYMTQESAKDAFFECTATIDDVVHGSSWYYIACSECHSKATKGPSSLICTNTKCEKVNTVGIPQYRAKISVYDKTEQAFFVLLGDAGRELTGRHASELVNSYFEVNKSEGADHVVPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKILPLDTPPPTEASVGNDIATTSEEAMQSGNDVCEHPKSRGDSLDEESKRTCTRADPETAKRPRCEN >A09p007080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3698239:3699712:1 gene:A09p007080.1_BraROA transcript:A09p007080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFASVALCPALEEVVNFPGFVGRFGLSLDDPILIFLNIAESLNPMRVMESDSLASVKLRFQSIKGFFVKKQKLFYDGRELARGYVHHELTLDGENGENVIHLLIKNSSKVLAKPKDFSMEPVAVNREIELLALLKDLISSTIEGLEKGNAPIKSSDGSGGAYFMQDQSGHKYVSVFKPIDEEPMAVNNPHGQPLSLDGEGLKKGTQVGEGAFREVAAYLLDYPITGPRSSPHDQPGFAGVPPTTMVKCLHKDFNHPNGYSFSQENAKIGSLQMFVSNVGTCEDMGYGVFPVDQVHKISVLDIRLANADRHGGNILVSRDGNDGQIVLTPIDHGYCFPNKFEDCTFEWLYWPQAKEPYSSETLEYIKALDAEEDIELLRFHGWEIPPSCARVFRISTMLLKKGAAKGLTPFAIGSIMCRETLEKESVIEQIIYDAEAMWSPETTEEEFISTVSAIMDRCLDQCSLN >A02p038680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21084921:21089610:1 gene:A02p038680.1_BraROA transcript:A02p038680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRMIRLLRIQPMDAAAGARVLLRVRKPMRRKKTAQADAAFKRKEKAEAKKKAAEEKKKEAEAKKTEATAKKKVAEAKKKVAELKKQSQARSTYKKVTPPRDGVTRCNVQPDVEDSSLADITDEVVAEQNEFAPKSDVENSELVRSAIIKEFWEKYVRIKMSSSSAVSRNSYRRRSNVERETPKQCWCGEPCYISTSGTFTNPGRLYYCGGKGYNKVRFGSYFACSVDECLVEEVDDIKSLISGMNKDISEFRVNVALLKKEIEVMKTASGGKGEECMSQGRCLRNLSINGGACLKYRG >A01p014270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6946503:6949552:-1 gene:A01p014270.1_BraROA transcript:A01p014270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFFILCLSVTLFLCQSLVGAINNGYYGYNPVASYLPEKPQNIMNPVDSCWRLKSDWTANRKDLADCAVGFGSSALGGKKGNIYVVTNPNDNAANPQPGSLRYGVIQDKPLWIIFAKDMVLTLENELMVNSYKTIDGRGAKVEIAYGPCITIQEVTNVIVHGISIHHCKPGKYGMVRSSTTHVGHRKGSDGDAIAISGSSNIWIDHCYLASCTDGLIDVIHASTGITISNNYFTQHDKVMLLGHNDDFVQDVKMKVTVAFNHFGPGLVERMPRVRRGYAHVANNRYDKWIMYAIGGSADPTIFSEGNYFIASDNSNSKEVTKREVKGGWNNWRWRTSKDVFKNGAYFVPSGYGSVALPYSSAQRFPVAPGNLVPSLTAGAGPLNCYRNRPCY >A07p034000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18529192:18530287:-1 gene:A07p034000.1_BraROA transcript:A07p034000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIP4 [Source:Projected from Arabidopsis thaliana (AT1G78430) UniProtKB/TrEMBL;Acc:A0A178W8E0] MMPKPSVRGGSELPQRQSPRLRLSSSASSAPPHLHRPITDRSPKLGPNRRSPRSGGPHSDPLTQKKLGSRISGLESQLGQAQDELRLLKEQLSKAEAAKKRVQEELHKKRSKKPNPNALERDDIPGDGRQETDVFEVLPVEKAKEVDDEEHQINVLKARLYDLEIERVSLNKENESLKDQLKKTGSEMSFAKAKEDEIALKVSQIREELEESNENTAQLKKKLESVEEAKESLEAEMEKLRVQTEQWKKAADAAAAVLSGGMGMNGGFSEQCGSMEKHFAGRFVGSPGMAADDWDDGSGSGKRKGSGMKMFGDLWRKKGQK >A05p010720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4550305:4551813:-1 gene:A05p010720.1_BraROA transcript:A05p010720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36730 [Source:Projected from Arabidopsis thaliana (AT2G36730) UniProtKB/Swiss-Prot;Acc:Q9ZQA1] MSSSFKSKKHQCLVFLKLCSSIRHLLQIHAQVHLSALQSDSFIISELVRVSSLSHSKDLTFARTLLLHSYDSSPSTWNMLSRGYASSDSPVESIRIYSEMKRRRIKPNKLTFPFLLNACASFLGLTAGRQIQVDVLKHGFASDVYVGNNLIHLYGSCKKTSDARKVFDEMPERNVVSWNSIMTAFVENRMFSLANECFSEMIGARFCPDETTMVVLLSACVGNLSLGKLVHSQVMVRELELNCRLGTALVDMYGKSGGLEYARLVFERMCDRNIWTWSAMIVGLAQNGFAEEALQLFSKMKKESSVRPNYVTFLGVLCACSHVGLVEEGYRYFSEMERTHKIKPMMIHYGAMVDILGRAGRLNEAYGFIKKMPFEPDAVVWRTLLSACSVHHDEDGEGIGEKVKERLIELEPKRSGNLVIVANRFAEARMWDEAAEVRRVMKENKLKKIAGESCLALGGSVHRFFSGYDPRFEYVYVYELLDLFKLHLISDNYMVSDSNSFS >A09g503260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10487630:10492656:-1 gene:A09g503260.1_BraROA transcript:A09g503260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTIAKDVLNKLNATPSRDFEDLVGIESHIARMKTLLCLESQEVRLVGIWGPAGIGKTTIARALYNQFHENFKLSIFMENVSESYGGTNLDSYGLKLGLQQRFLSKLLDQHGLRIRHLGAIKERLKNQKVLAVLDDVNNIEQLQALAKETQWFGNKSRIIVTTRNKQLLISHNISHVYKVPFPSREEALAIFCQHAFRECYPSDDFKDIAIEFATLAGHLPLGLRVLGSFMRGKSKEEWEVSLPTLKTRLTGEIEKLLKVGYEGLHKDDKALFLHIACLFNGHHETYVKQMVVANSDLDVSFGLKVLADQSLIQIYVDGKVVMHSLLRQLGREVVREQSVDEPGKRQFLMSAREICGVLSNNTGTDSVLGMSVDMCDLNEDFYINEKAFENMRNLLYIRIYRSNDANPNKMKLPDDGLSYLPQLRLLQWDAYPHMFLPSRFRTECLVELSMSHSKLKTLWGDNAQPLRNLKNMNLSNSPNLESFPNLLEATKLERLDLSWCESLVELPSSIQNLHKLSLLEMSCCTSLEILPTNINLASLSRLHFRNCLRLKTFPEISTNLNYLKIKGTAITEVPPSVKSWRRIEEICMESTEVRILINLPYILDTLCLRGNTKLVAIANYLIRLRRLRMIDISFCVSLVYLPKLPYSVRYLTAFNCETLQRLHGPFRNPSIRLKFTNCLKLDHNAQEMIHQSVFDVVILPGGQVPAYFTHRYNGNSGFYHFTFDGSVSFYSFKVCLVLAAGTRFESCHTSFYTSFRGDPIKKYYTYMLNQPQLKVDHICMFECVLPPDYDGPPYLGTRPSTTKLFKFDFNCNYGCKVLECGVLFLKARKSLIAAKRAESSSTCPRPAKRSKAQVYWSTTWSSWRHLEAFGAQKGVFRVVIGRARHGSDQSGATPPSRSDLPIGATLPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATLPERQGEVARVFITRRRENEPGATSRSDTARSLPKPGATYRSDGLRSLRVLFLLELVISQGPFATKKRIIFVLRKNHQKPLESHLFESIDQFIIEILCSYLFPVFLYMINLKSNMGLRGIMEITNEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKFKNSSKSLVALRLSDYLHSRCFDISQNWFDNHLYYNICLRSLENS >A09g509680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28226193:28233049:-1 gene:A09g509680.1_BraROA transcript:A09g509680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASTLDKLSRKFDLMDARFKKPLVDQKSIDDMVKVAVEERLKVMGIGKNPQNKDNLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETPGKDMGPRNNLSNELDKEREMKKTLAKEFGTHAEDEGANVLNFLYVSPAKATKAEDLRRRSTRNRTIKDEDAEDKKKAVQAEAVLKRKEKAAAKRKAAASMKQKQPELKKPKQAELMNEEQAELKNHEQTELMNEELAELKNQEADNEKRNNITTPRANVKRCKVEDSVEDSEFAVMTDEVLAEENEILPESPMESQELIRSAIVKEYREKTSAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKRTMPQIQTLIK >A04p013210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4268147:4270529:1 gene:A04p013210.1_BraROA transcript:A04p013210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRDPSRSFSPSLSMESPETVSSGFPPLPTEPPDPDLDVMLPVTPPVPPVPPDPPPVLLDYAGILSVQSLWMNPLLAEVALSSSLTTSQVSSFFQLIPVSKPRNLVACVEHDSLKSPLRDLPYYHQVEILVARFLGLLTTDCKLTFLHGSSIQVLEDWTSKVEILVVVSILYAVVITSAQSFGVQFSIVMCSSKSMHILHLKLWLHVVGPISPCFLLSQGIVFISCWSESFLFDNCLRIDCNWLRKRSLIPGNQEIMLLLNGSLPRSEDVTNPLSFRFKLPFPQYGDATLYRTRLLPHCEAVIWTSVFMAMDSVGSGLSIWRWCFTSQQPIFWKRSLVASELVGNFPHCSANGTWIVSSALQAKDGMLQEAPHSTLSSGPSSLQILSDFIVPFSALRTGLDLIEITGYFVRNLVPLVTPLSCSFNLCNIFVLVVVVYAMGARSLVDMVRVSINAMRLASIDVYLGKF >A07g506140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14566913:14570399:1 gene:A07g506140.1_BraROA transcript:A07g506140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDDLHFSRLVKKLKQTTYMFFRSGFEVQMTSMKSRRLRGSLPDDFLEDFQEVVWTSRKSSGLPGSRLDFQEVVWTSWKSSGLHGSLLTKSPFHNRSSVLVFNQMVLIFHSFKGFSDLEDFWDDLPVSRLKYNALDDFQEVFQTTSRKSSRLLPLQSSGLPESRLDFLEVVWKSSGLPKSRLDFLEVFSQSLLPYQVESKLVFTTYNSVVRQTTYLKQISKSIAKITSALTRQLPAHIRLLQAHNISNESDPPIIVNFYDFMNHKKCKIKILSFFNSMWKEEWKKKKGNSILGALRASNWLFMVLGY >A02p000020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2362:4343:1 gene:A02p000020.1_BraROA transcript:A02p000020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHRELGIHENTNKRREEHTCDLFRQACLISLPLSLVLVLILVKSLRGLRDYDDEGKRWFLVKWSNYNGIYVGEGQVNHFTRGDGQETGTGTFLDNLIVSSSLNQLQFLVLLDFCFLRVLLQVEDKSDRCRFHQLPQMVIIFCVGKWRVVDASCSPEHGYWYCDGSMGLKHMVIGGLPLLAISVINHDPEACLNSAHSTFLTPMFASIFRYLYLDETFSSLQLLGAAVTLVAIYLVNFPEGND >A09p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18896021:18896750:-1 gene:A09p031160.1_BraROA transcript:A09p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGMWLSPATLELYHITWDDSASLLENIVAYEAVTSYQQPFGSQKKIRNRSSMQTFATLSPIPEFMQWFLANFSSHIKICDSSSGSNAMEALLNLLSQKNCYWATSP >A01p057200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31900887:31902603:-1 gene:A01p057200.1_BraROA transcript:A01p057200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSPNIRSYKSYEGGRNLQLVDPADLKPVRGIYVVRESKRNRSPMTSDPWRKISYKDMPVKSRRPPSHSKTLKEIQKSEATCKKFLGEAPVHPCKEKACKEVCKEHYYHSCKGECEMHGYEEHCHCYGKY >SC160g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:186571:187095:1 gene:SC160g500120.1_BraROA transcript:SC160g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A06p004520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1187439:1188953:-1 gene:A06p004520.1_BraROA transcript:A06p004520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSNHVTIPLLQNMPLQEKLHFLKNYFTGAFSVAKIFFPLLLASVFTYLRSLATMHFLGRLGSSTLAGCSLALASANISAYALFSGLIGGVETICSQAIGAKRYNLFRATIRRGMILLLRTSFPVFFLWLNIERILTLLKQNVKLASIAGTFLLYSVPDLVAQSLLHPLKAYLKTQSKTRPLLIMTGVTCLLHCLIMYIFVSHFKFEVKGIAVSSVLSNFILVAFLLIYIKKELGSDNDEEEEVTEESYEDREREWKKLLYLALPSCGMGCLEFWFYEIMILICGLLEKPNIAIASMGLIIQITSLVYIFPHSLSSAISTRVGNELGSNRPQAARRAAIVGLSLSILLGIMASTFMFSVRNVWATFFTDDEDVIDLVSKVLPIVCLCELGNCPQTTVGGVLKGSARTWMGAWINTVAFYFVGSPVALALAFWFGFGLKGLWLGMLAAQITCVIGMMVAMYRIDWELEAERARDLTSVDDCRSDGEVEDGEAGRLISRVESFEG >A03p070570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31035397:31038133:1 gene:A03p070570.1_BraROA transcript:A03p070570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMTCSSVDKWLLSVLLLVVFDDLIRVVECIESDELHLAHVVLSQLNQRLQTPAGRPLQRAAFYFKEALSSLLTGSNQNQNRLLSSWPEIVQKIRIIKEFSGISLIPLFSHFTANQAILDSLSSQSSSPFVHVVDFEIGFGGQYASLMREIAEKTANGGFLRVTAVVAEECAVETRLIEFVPMKTFEMLSFKAIRFVDGERSVVLISPAIIRSLNGIAEFVNNLGRVSPNVVVFVVSEGCTETAGSGSFQREFVSAFEFYTMVMESLDAAAAPPGDLVKKIVEAFVLRPKILTAVETAADRRNVGEMTWRKMFSAAGMRPVQLSQFADFQAECLLEKAQVGEFHVAKREGELVLCWHWRALVATQGGDGLSCQLPFRNMRLLDVEEGFPVTHTYEDLSRLYLGYEMSKVSVADISPDEEDIMALPVQAFVYLKRAMDFHGNDEDN >A05g506290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18061062:18061747:1 gene:A05g506290.1_BraROA transcript:A05g506290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYLNRSIPTPVSYVKGTMILLLECLTTLTIVDLLIADSIKMLKWINMSTIHTCLDCLKEPKLTSNTKPDITACLGLGIHGIGFFRQVWKVEPEQDLVARTIKACFMKIPNKEFERDLEAAIFKARFTKNSWI >A08g502290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5075073:5075300:1 gene:A08g502290.1_BraROA transcript:A08g502290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSGRELEDRGGTTSWCGGGGASRLWSMDSEDEALWSSCLSYQPRMGKAEKEQSQGRELRGTGEHGGGGLEK >A09p000320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:172002:173617:-1 gene:A09p000320.1_BraROA transcript:A09p000320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MPSRRRHSYTGGSSPATASSSYSTSTLPDRSPAPSSTDRPPPNSLARWISGIFINCFTPPDSVSSKSFNDSDIRSRRSSTGSVQRHYYGNGNETENPNQRFSFDEIYAATKNFSPSFRIGQGGFGTVYKVKLRDGSTVAVKRAKKSLHKDDRQGAEFMSEIKTLAQVTHLSLVKYYGYLVHNDEKLLVVEYVPNGNLRDHLDCKEGKTLDMATRLDIATDVAHAITYLHMYTQPPIIHRDIKSSNILLTDNFRAKVADFGFARLAPDTESGATHVSTQVKGTAGYLDPEYLTTYQLTEKSDVYSFGVLLVELLTGRRPIELHREQKERITIRWAIKKFTSGDTISVLDPKLERNPANNLALEKVLEMAFQCLAPHRGSRPSMKKCSEILWGIRKDYRELLNTSL >A04p004120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2038073:2039165:-1 gene:A04p004120.1_BraROA transcript:A04p004120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVESQFHVLAVDDSSFDRKLIEKLLQKSSCQVTTVDSGYKALEFLGIESNDPNALSTSPQEVEVNLIITDYCMPGMTGYDLLKKVKESSAFKNIPVVIMSSENVPARISRCLEEGAEEFFLKPVRMADLNKLKPHMMKTKLNNQKLEEIEKPLNVSAAAPAAVEPEIKDSAEVGSKILTLQSELEPKQVHLQVAQQEEQTLGNNNKRKSMEEGLSTDRSRPRFECVTTAV >A01g502320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8073140:8073483:-1 gene:A01g502320.1_BraROA transcript:A01g502320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRSLRGKEMVRRNDEKKMVRRNDVKGMSRQRSLRGKEIADETVAVEDVKGSLPERLFATDRYPCERINMYSEIDNLRALETH >A01p001950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:893736:894797:-1 gene:A01p001950.1_BraROA transcript:A01p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase kinase kinase 21 [Source:Projected from Arabidopsis thaliana (AT4G36950) UniProtKB/TrEMBL;Acc:Q6K1M3] MEWVRRETIGHGSFSTVSLATTSASSTSFPPLIAVKSSGAVCSAALRNERDVLDDLGDCSEIVRCFGGATTVENGEEVYNLFLEYASGGNLRDRIKNSGEGLPEFEVRRFTRSIVKGLRHVHANGYSHCDVKLENVLVFGDGGVKISDFGLAKRRGETVDEIRGTPLYLAPEAVNRGEFESPADVWALGWCVVEMSSGKTAWCLEEGVGNVMSLMVRIGSGDAPKIPVELSEEGKDFVRRCFVKDPVERWTAQMLLDHPFLAVDHDDGRSSGSLRCGDEDEASVSPRDPFDFPDWYSVNDSVTVCSPVNYSLFSYLVRLPEERISGLVSGNVPDWSVSCDWVNVREVEGSTVV >A07p009180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5595879:5597753:1 gene:A07p009180.1_BraROA transcript:A07p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCKRVFRTQAASASREGGDEHVLPPVPPIDQDALRQMAAHEAVQKAVQEAARIAAQEVVRQMAAAQQGQQIPPAPPPPPVLPGEVPEVDETLMRTINCPLRLCLNIAELYLRGDALVWWDGVRSMCDGDMTYQDFLVAFDNKYFPREALHQKNAFEHLTQGTRSVREYEQEFCQLRLFSGNNFDVEDLIRRFLDGMRVDLRGRSSKVTYTSLEDLVKKAVVQEACIGEVQKYSKAQPRTERTSESHNMAGDQSGTPSCECCHRYHFGDFVMCFACGRLGNVAKYCRFTRVDGTGTGQRIHHQLSARLSHHKYLLQEATRELSRMMLCIRSVVVEYGDFTLFDMEGPHSLECLQRSSVFLTELSQAEDILFLFSAQDLNLGTNFFLVGKNCNDPDFSGWPKTYFLLRWDQYIGRERHEELSMMKRPDTTQITLRSVTLIKRGSDVVLRDRIHKELGNN >A02g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16529943:16531294:1 gene:A02g505620.1_BraROA transcript:A02g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPELPRKIHTLGEEPPAGHSIAYHTCWTLHTALKQALHDDEYEELKESKLGELTSFWEMLGVHVEAGPSTQEIIAAFGRCEGWSRDDRKRLAYLAIFTGYIEGRKYSTPTRVSLARVVMELERFENYPWGRVAFKVLMDSVKDRDISGCYTINGFAQALQVWVYTALPELGANYGNPLPNNLSPPILAYKGRKGRRCFKEAILSQRLWKWTMSCWEVTGTWFNTKLAVVSPAKKKVVKEDSPRPRKKARKEAPA >A09p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14656996:14658281:-1 gene:A09p026330.1_BraROA transcript:A09p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNNVIGCINFISVLLSIPVIGAGIWLTTGAVNSCVKLLQWPVIILGILILLVGLAGFIGGFWRITWLLVVYLIAMLALIVLLGILVGFIYMVTIKGGSGHPEPSRAYLEYSLQDFSGYLRRRVQRSYKWDRIRTCLSTTTICSELNQTFSTALDFFNAHLTPIQSGCCKPPTKCGFTFVNPTYWISPIDMSADMDCLQWSNDQNALCYACDSCKAGLLANLKVDWLKADLFLLLALIGLIIVYITGCCAFRNAKTEDIFRKYRQGYT >A10p033120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19412054:19413757:-1 gene:A10p033120.1_BraROA transcript:A10p033120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAEIEQLTSGASNRIIPILKTLRKCVIFVLSLFLSLLLLLRPRRRVLPLPSPEEEANPAAPSRRWRRKMAWKLEEEDTARRRSLAEGVEIGEIDSRWSSFLFYGRRGNALFSRSWLPLSGELRGILIIIHGLNEHSGRYSQFAKQLNTSNFGVYAMDWIGHGGSDGLHGYVPSLDYVVSDTEAFLEKIRSENPGVPCFLFGHSTGGAVVLKAASSPSIEDMLAGIVLTSPALRVKPAHPIVGAIAPIFSLVAPRFQFKGANKRGIPVSRDPEALLAKYSDPLVYTGPIRVRTGHEILRITAYLTRNFKSITVPFFVLHGTEDKVTDPLASQDLYNQAPSVFKDIKLYEGFLHDLLFEPEREEVGRDIIGWMMKRLDDVNGSAAGLW >A09g518220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55645271:55647206:-1 gene:A09g518220.1_BraROA transcript:A09g518220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKWSLGLISLAFLFIACSSAELLIQQVTRGRGTEINSSYSLEANLGVTRVLRDERPSSKIVTIAGYSVIKERHEPYESSVLKLLVTNVNVDLKLFVHNPKQHKYLAVTDGAVKRFNAAKKEWGFGQLISLATFQNTNQGYIVQDTCSFGAEILIVKPAEQQEKVTFISNPPNNVFTWKILRFSSLEDKFYYSDDFLVGDRYWRLGFNPKGDGEGRPHALPIYLYAQGFRPNAVATNTWGAVNLRLKNQRSSNHRQLYSAAWYPIRSDYGVGVNNIIMMKDLKDTSKGYLVNDAIIFEAEIVKVSVTNIVPV >A03p046250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19485277:19488717:1 gene:A03p046250.1_BraROA transcript:A03p046250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable acyl-activating enzyme 16, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G23790) UniProtKB/Swiss-Prot;Acc:Q9LK39] MTSTSLAPSVLVSRFYSSPREFQDSGNYKCFSRRTRVPSRLRFRVQEKEVGRCSPFLERLTVPGGDDAAAALRSNEWKAVPDIWRSSAEKYGDKVAVVDPYHDPPSTFTYIQLEQEILDFVEGLRAVGVKADEKIALFADNSCRWLVADQGIMATGAVNVVRGSRSSVEELLHIYCHSDSVGLVVDNPEFFNRIADTFSYKASLKFVILLWGDKSSLVTSSRQTPVYSYNEIKNLGQERRAEHAGSSDAGKYEYEFIGPDDTATIMYTSGTTGNPKGVMLTHQNLLHQIRNLSEFVPAKAGERFLSMLPSWHAYERACEYFIFTCGVEQKYTSIRFLKDDLKRYQPHYLISVPLVYETLYSGIQKQISTSSPVRKFLALTLIRISLAYTEMRRIYKGLCLTKNQKPPVYIVALVDWLWARVVAFVLWPLHMLAEKLVHKKIRSSIGITKAGVSGGGSLPMHIDKFFEVLGSVGHPIKDTEFKIVDQETGNVLPPGSKGIVKVRGPPVMRGYYKVKHSLSADFPTKL >A08g510290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22674176:22674718:1 gene:A08g510290.1_BraROA transcript:A08g510290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARCAAEVRLREETLREETVHDGGRGGDEDDQEDDYENRPAAAAAAASAAMRAAAGGWRTVCRAVCAVELSFSRRESWISGCSAVDSDGWFGGWWWWRMREEAKETATETDKRE >A09p005990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3199609:3202734:1 gene:A09p005990.1_BraROA transcript:A09p005990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVERKRSRGGFLNLFDWPGKSRKKLFSSGSSELSEEPKQTKQNVQNLSTLSLIEVDEVSKNLSYNPRSESSCCASSVTSDEEGHGTRAPSVVARLMGLESLPVPNVQEPRFNPDLDPFFLRPSRNTSKWNAYENLGYVNLRSDYDGGVSWEHLDSRTNEGRNRPIERFQTETFPPRLAKPICVTNNRLLSPIRSPGFVPSRNPVYVMEAASRMIEPSPRMAARTRFSPPSNSPSSVPMRIQDLREKLEAAQKVSSRKHNEKRASASVMTPSASNSKYMGKDSSSDGLKRKVKPPSVSKTSTTPLSVSRASQKEKAEAKNGIVKSQNGLRGRSALKQNYQKQNQRSVTSASNQKSSSVVNKVVNKAPVSKQQGSTTALAGKNTSLSLSRKKTLPRSKKQETGISNDKRIKRNENVIKCNITIDNTGKDDGKKEMDVISFTFSSPIKGLSSDSLSSTQGIDQETDSAVSFDMFGGDSLNVLLEKKLRELTCKLESSSCSLTQEESSRFSAKDGVNGGMVSSSSECDKSTQNGMDRVLSESESVSDCTSFYDKQKFQMIQAEEQEVSSISTVTEADDFRSSCSKGFSDCRQTTEHEIKSSPDQEFTWRETYEEGVDIEGEVVSALVDDLVNDLVSVFKEQHRSQGHGLVSALVESDLLSAF >A01p018730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9114943:9119479:-1 gene:A01p018730.1_BraROA transcript:A01p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CKL1 [Source:Projected from Arabidopsis thaliana (AT4G26100) UniProtKB/TrEMBL;Acc:A0A178UYM7] MESRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLESVKTKHPQLLYESKLYKILQGGTGVPNVKWYGVEGDYNVLVIDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFFHSKSFLHRDLKPDNFLMGLGRRANQVYIIDFGLAKKYRDSTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYILMYFLKGTLPWQGLKAGNKKQKYERISEKKVSTSIEVLCRGYPSEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLTAPPSRNLNPAVGTSAALPPGVSNIDRYTGEEEGRPVGYSHMESSRRRASGTLDHSGNLSNQQTSSFNRDSMMPSSSMFAQSAGSSRRVAAVSSRDNLLSGEEFQRSHRTGDVSRGGVISRNSPVEAGKRSSSSRRHYESAIKGIDNLQEMGDENSLPGSLEEKADQVAEATPLVGDDASLETIVRRFQDSMSVEKTHKFWETQPVGQFKDIGDTSLPEGPIEAATPLSELPDVPATPGFRKMEPCDVPAVTRLLRNYLSQFIVATDFDENDVEHWLLPREDVVDSYLVESPETHDVTDFCSFYTLPSTILGNPNYSTLKAAYSYYNVATKTTFLQLMNDALIVAKQKGFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLRSALKPSELGLVL >A05p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26219142:26222170:-1 gene:A05p043460.1_BraROA transcript:A05p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLHGTLHATIYEVDDLHTGGLRSGFFGKILANVEETIGVGKGETQLYATIDLQRARVGRTRKIKDEAKNPKWYESFHIYCAHLASDIIFTVKDDNPIGATLIGRAYVPVDQILHGEEVDQWVEILDNDRNPIHGGSKIHVKLQYFGVEADRNWNQGIKSAKFPGVPYTFFSQRQGCKVSLYQDAHIPDNFVPRIPLAGGKNYEPQRCWEDIFDAISNAQHMIYITGWSVYTEIALVRDSRRPKPGGDMTVGELLKKKASEGVRVLLLVWDDRTSVDVLKKDGLMATHDEETENFFRGSDVHCILCPRNPDDGGSIVQNLQVSAMFTHHQKIVVVDSEMPSQGGSQMRRIVSFVGGIDLCDGRYDTPFHSLFRTLDTVHHDDFHQPNFPGAAITKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWSKQGGKDILVKLRELSDIIITPSPVMFQEDHDVWNVQLFRSIDGGAAAGFPESPEAAAEAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFAWAADGITPEDINALHLIPKELSLKIVSKIEKGEKFRVYVVVPMWPEGLPESASVQAILDWQRRTMQMMYKDIVQALRAQGLEEDPRNYLTFFCLGNREVKKEGEYEPAERPDADSSYMRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPHQLSHRQPARGQIHGFRMSLWYEHLGMLDETFLDPSSVECIEKVNRISDKYWDLYSSESLEHDLPGHLLRYPVDVDAEGDVTEFPGFEFFPDTKARILGTKSDYLPPILTT >A01p009900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4805059:4805742:1 gene:A01p009900.1_BraROA transcript:A01p009900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETQPLLIASLPEAPRKPKSKVQKMARKAFKGTAHLSNLLPTGSVMGFQIMCPVLSHQGQCPTIASRWLTCFLVFVCAVSCFLLSFTDSFRDPRGKVRYGLATRSGLSVMEGTITLTDEEKEKYKLRFLDFVHAFMSMLVFFAISMIDQNVIRCLFPVPSEDIKELLTSLPIIIGVICGGFFLVCPTRRHGIGSPLTKE >A09g506870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20182922:20184585:1 gene:A09g506870.1_BraROA transcript:A09g506870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKFTSSSRECGSCSPKTTKANQTLFSLLFPTSRLPFRHLHPSSLPSLSYKRNPKSEITSDKSRFKSKIIESILKTLISSTSTLYSHSQFFSFPTVYCYLFVRCDNKPAPWTSDERGDPSRPLPKLEDAIDMFERNETLSWNFDVSSILAFRV >A09g504370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13510038:13520697:1 gene:A09g504370.1_BraROA transcript:A09g504370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVIQGSAFWISLHDLEPSERDIGELSQPPTTEIRSATPPPSHSLDESGAGVSAGDPPRRAHTRRRKFPRVNPRAAISAGHSPEQTAAGHRPFAEGKPPPHRRRVSAAAGDFPVSHHRRWPPPATGLRRLAGRLAAGVHSYHFSTLLRGSETSGLAMLLVRACGAETFVSWMLLERAGVQRHIVLATLRIIYPYEEMRGWEFYLLKSVVCVFSIPIPHGVRLTSRSNCYRIGALGVLFLLFSDFRFYDFIDISGFISFIAFMLFWKIRVLQFGIRAGFRPGSDPGWRFMPPRKRVVREGGDEHVLPPVPPIDQDALRQMVQDAARQAAQEAVQQAVQEAARVAAQEVVRQMASAQQGQQVPPVQAHGHQQPPIQPVPPVQVQGQQQPPIQHVSGIFQVPPPAPPVLLGQVPEVVPPVLPGQVPEVDETLMRVMRQMKTVGLETFEGTVDPGAAYKWKHRLASCLQTINCPLRLCLNIAELYLRGDALVWWDGVRSMRDGDMTYEDFLIAFDKKYFPREALHQKRNAFEHLRQGTRSIREYKREFFQLRLFAGNHFDGEDLIRRFLDGMRVDLCGRGSMVTYTSLEDLVEKAVVQEACVAEEQKYSKAPLKTRRTSEPQKRTWEQLGTPSCDKCRRHHFGECITCFNCGKMGHKSRDCRSRPFGARGVAPAAPAGQAAAAQTAPVAQGAHAAPAAAYAPGACFTCGQFGHISRKSLRRQTPADLEPSERDIGELSQPPSTEIRSVTPPPSHPLGNPQTVFSDWLSIGRLVNRRYLSNHWVSRDFKATSVFVTLRRVRSRSFSPRSAATRSHAQNEVPRVNRTRRHLRRTFAGATAAGHCPFAAGKPPPVIFRGSETSGLAMLLVRACGAETFVSWMLLERAGASCVFMLELNFHSGSSIYSSETDEQEGPVNNVSIGRSSSLVGEREIERVLKEKGKLLLHTWRP >A09g510220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30126052:30129972:-1 gene:A09g510220.1_BraROA transcript:A09g510220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKYSGLIAGQKFTRRVEIAQRNREARVMQPDIWDEWWRPACVLDMQPAMWSTRCRRACVRSHAERHTGCHQPEADWLVSPINTPRPQIISSHPDLSKSTPWEGSVQLKVNQVNIRSDGSQVNASSVQSAILYDCDAEALSNSVRPSQSYSMMVKWRCCPELVQNHGFRSVEVLLDTPPGSPKNCPRAKGGSVQIRPSRPVSVFMVKPRACPSRGQFSPVQSRYPLGFGQVLFDQPAASRLEHCELVPVIFKDSFSAGGWTIWRVQGNPLFAGSGRLRETGSWPMAELLHDGGDRILPIERRNTMSPGPRPDVVLFPLLEARSWQEAKSNLVTVALGRGVKFVTLTGLSLARHVALPDLGVGLGGQSCSCLIVGWPVGLSSPTLGVGRPSVMFLFDCWPVGRPMLRTVLGCYSGGIRAWDENLKVEGVRGQTQTRSERGETDSENWAVVWTGQMGRNKTSLGKDFISIWEMRDRGNGWSNQRRRLARSYHGKLDTQGTVKGRVKRYEDDYGDFRQYTQRDMGKQSKEL >A05p039670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24259815:24262360:-1 gene:A05p039670.1_BraROA transcript:A05p039670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANQWRSKKKIESVAETLQGSSRRNIKPQARTVPPGNGVIRPERARKNLNEKLDTVALNSPKKDPRVNLYGDKDVADEIFLKDEEMSLVDGGDSSPFCDKLLQRMELLGRDHDAKRVDNNNFSSRQEESAFDDVIEMKRKIHTLTAENSQLKKSLAAKEELAVSLQERKHQVESEFEALMTRLDATEKENAFLRYEYTVLEKDLEVKTEETEYTRKSMELTHKQQLRNVNKIVELEAECKRLRLLFRKKFPDRSISMRNEGEGMKRRNANNKSDLMMRDEAQSRKLKYDLLMEQIGNVRAENKNLMDIIMRKNMEIKDLSRGQKPLSASSFDIRSENSVISPSGSKEMKLLMDDFNEMEKLAIVCTEKAAPRGEDDGEKDDGSFDWIQVVLSAVSKQERISKRGVKELLQDIKIALGLEKVETEERQELKGKLEEAEEKIRSLEGEVKALRESKEKVEAEMEAEKSMKEDLDTKLHIAEAKLNETEKKLSSLEVEFDYRKSCCEELEGTCIELQLQLESVETKKPMQRNKSVSRKGWEVAAASVKLSECQETITNLRKQLRALSTTENSSTMKFLHKRPSLRESIEESTAKDSSSYDSITHDDGNNNNALIVYEPEKAKGEMVPRKKQGVGFLKRLLFRKKRVSSKKYLALTM >A04g506760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15248045:15248441:1 gene:A04g506760.1_BraROA transcript:A04g506760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A05p050390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29325066:29327328:-1 gene:A05p050390.1_BraROA transcript:A05p050390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVEARLDEIRRVIGKADDDPLKIVGVGAGAWGSVFIAMLQENYGTFRDKVSVRIWRRGGRAIDKATAQHLFEVINSREELLRRLIRRCAYLKYVEARLGDRVLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADVVVNGLPSTETFQVFSEISKYWKERVTAPVIISLAKGVEAEFEPRPRIVTPTQMIYRATGIPLENILYLGGPNIASEVYNKEYANARICGSEKWRKPLGKFLRQSHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVDTLTKESATSKSVYFAHCTSEMIFITHLLAKEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELNLEMGDSIKGKGMIQGVSAVKAFFELLNQSSLSLQHPEEGKPVTPAELCPILKMLYRILITREVSCEAVLEALRDETMNDPRELIEIAHSHLFFQPSLLGQTP >A09p027260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15390722:15393154:1 gene:A09p027260.1_BraROA transcript:A09p027260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSDQSVQPQDVCVVGVARTPMGDFLGSLSSITAPRLGSIAIEAALKRANVEPALVEEVFFGNVLTANLGQAPARQAALGAGIPYSVICTTVNKVCAAGMKAVMLAAQSIQLGLNDVVVAGGMESMSNVPKYLPNARRGSRLGHDTVVDGMTKDGLWDVYNDFGMGVCGEICADQYRITREEQDAYAIQSFERGIAAQNARLFSWEIVPVEVSSGRGKPSVVIDKDDGLGKFDAAKLKKLRPSFKEDGGSVTAGNASSISSDGAAALVLVSGKKALELGLHVIAKIRGYADAAQKPELFTTTPALAIPKAIMRAGLDASQVDYYEINEAFSVVALANQKLLGLDPARLNAHGGAVALGHPLGCSGARILVTLLGVLRAKKGKYGVASICNGGGGASALVLEFMSEKTIGYSSL >A07p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12894665:12896134:-1 gene:A07p021950.1_BraROA transcript:A07p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFQVVVVRDYDPNRDLKRVEELEKSCEVGSLLVDLMGDPLARIRQSPSFYMLVAEIDNEIVGMIRGTIKTVTRGGNALHDGNSPVISTTKLAFVSGLRVSPYYRRMGIGLKLVQRLEDWFRLNDAAYAYVQTENDNAASVKLFTEKSGYSKYRTPTFLVNPVFNHRVTVSRRVNIIKLDPSDAESIYRNRFSSTEFFPSDINSILTNKLSLGTFLAVPRGSDYVSGSLPGSWAVISIWNSKEVYKLQVQGTSRLKRTLAKTTRVLDGALPFLKIPSFPNLFKPFAMHFLYGIGGEGPQAAEMVEALCSHAHNIAKKSGCAVVAAEVGSCEPLRAGIPHWKALSPEDLWCLKRLRDDDDGVDWTKSTPGLSVFVDPRDL >A04p026700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16066997:16068065:1 gene:A04p026700.1_BraROA transcript:A04p026700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPKWLEGLLRTKFFNICPRHRETSRNECNMFCLSCQNAPFCIYCRSSLHIDHPILQIRRSSYHDVVRVSEIEKVLDIREVQTYVINSARVLFINERPQPKNSSHGAASSTTSKTISYFCETCCRTLLDPFRFCSLGCKVEGMRKNKEDEEERLRKERQQETHKGTHPPTHRTSNSRRRKGIPHRAPFAS >A04p028550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17145603:17150821:1 gene:A04p028550.1_BraROA transcript:A04p028550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRGRRENPKTLDPVGEDGAVIGKEFFACYILTSLSPRHKGHTYIGFTVNPRRRIRQHNGEITSGAYRTKKKRPWEMVLCIYGFPTKVSALQFEWAWQHPRESLAVREAAAAFKSISGLASNIKLAYTMLSLPAWNSLNLTVNYFSSKYAHHGGLSPSLPPHMEVHVCPMDDLPCFTKEDNNSQQEDEDSPDSNEEEDDDDSGNQSQPGNPTSSYSLDRRCEKRKGPGTVLDDRLANFTGFGLLDESDEDEVSIEAMEKEPETVFNDRLANFIGFGLEKIVEDKVSHCSTMEKDCWRRSNFVTSTTEVEVIDLMTPSPTCRVGSSMKRPRVSEFIDLTRSPNFIEFREGFGDYYKIVKKVKKDPTFEKTMDHAVMGIRRHVAVPPGTTLNTVTPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGESQRGDAANSLVYEANLRLRDPIYGCMGAISALQHHIQSLQSELTAVRTDILRHKYREAATITSPQNNNNNFNNTTTTSVSDHAALAATILLPPPLPPPHPPRPPRLLSSQPAPPVSLPSPSMVVPSSNSSAANSMYNPPPSSAAGCSNSLSSDNNVHYFD >A09p012620.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6420285:6421658:1 gene:A09p012620.1_BraROA transcript:A09p012620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPSSFRSLTSTQLIKKPTPSPPPRNSQNRITGTHHDSPKPPQTTTPSRNPFKSPNLSSAKSLFNSIAATSKTPLDTKFHNSVLQSYASIASVDDAVNLFHHILKSQPTFSPEPSTFNILLSHACRSPDSPLSNVHRVLNLMVNSGVAPNNVTTDIAARSLCESDRISDARDLVMELSEKHSPPDMFTYNYLLKQLCKYESLNAVYEFRDKMKESFNVKPDLVSYTILIDHVCNSKNLREAMKLVSDLGVDGFKPDCFVYNTIMKGFCTLSKGSEAVGVFKKMKEDGVEPDRITYNTLIFGLSKSGRVEEARKYLQTMDEAGYEPDAVAYTALMNGMCRKGASLGALSLLEEMEARGCAPNDVTYNTLLYGLCKGRLMEKGIEFYEMMKSKGLKLESYAYATLVRALVRSGKVAEAYEVFDYAVGSKSLSDASAYATLETSLKWVKKAKEQGLAD >A09p001310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:995084:996288:-1 gene:A09p001310.1_BraROA transcript:A09p001310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myb domain protein 55 [Source:Projected from Arabidopsis thaliana (AT4G01680) UniProtKB/TrEMBL;Acc:Q9ZSI4] MGRHSCCYKQKLRKGLWSPEEDEKLLRYITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGAFSQDEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSSLKKKLRLRGIDPVTHKLLTEIETGTDDNTTPVEKCQTTYLIETEGSSSTTTGSTNHNNSNTDHLYTGNFGFQRLSLETGSRIQTGIWIPQTGRNHHVDTVPSAVVLPGSMFSSGLTDSTTGYRSSNLGLIELDNSFSTGPMVTEQQLQESNYNNSTFFGTGNLNWGLTMEENQFTISNNSLQNHSNSSLYSEIKSETNFFGTEAANVGMWPCNQLQPQQHAYGHI >A04p018120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10912653:10913522:1 gene:A04p018120.1_BraROA transcript:A04p018120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSKKILDDLYTRFVVNGPEEEKQSMNRLMFLVESAHWYYEDNVVENDKTLKSLSFGEFTRLLFNNSDVLRPHVANMDKIFRDFGYYKSRIPVAGAIILDETCERCLLVKGWKKSSNWSFPRGKKNTNEEDDVCAIREVLEETGFDVSKLLKKEEYIEITFQGKKRVRLYVVVGVRDDTAFAPLTKKEISQISWFRLDGLESGFAGLKLFEVAPFLASLKSWISKHMSPLSIDKHLKPPLCVWTTTRKTRTKITVTSTERRCNVKPWKQSLKVNKSAILQALGICS >A01p059040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33771355:33771820:-1 gene:A01p059040.1_BraROA transcript:A01p059040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKQNLSYQAGQATGQTKEKASGLMDKAKDAAASAQDSMQHAGQQMKQKAQGAAEVVKDKTGLNKNT >A05g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7812781:7813998:1 gene:A05g502520.1_BraROA transcript:A05g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLVLNEFYRKSTDSLQTPTDLGFIDNNVPPTIEYLSWLAHNQDVSAMVNASEVTGSLFKCITIIDNVGQDTKCYKIACNYVSDCQTKVNRGLASLRCPKCGNVNATEVARYRSEILAYDKDEKATFVLLGDVGPELTVVSVNYKYFEGNFTILNVLSSCCLVANPQFQSEGSDYKFTAMRQTITVTKIISIALLRPLPQAVEIPIMQVMMVTSFLGQV >A09g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20103358:20104755:1 gene:A09g506810.1_BraROA transcript:A09g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKRCVFEEEQTVNSTRLQFDLYNWPERYDGGVSSLKQLNFVDWKTKKHDPLPPLRVLLLLPYMVKCSSLFFVLYSLCFLLCFFFYILCFFSLVFALHRLLSSVQFYTSLQFHHNPTIPHIGWVILLPSVQDTKLQQASTTLD >A02g511520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30682637:30684413:1 gene:A02g511520.1_BraROA transcript:A02g511520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPVAAVEEIKVWDLDCSRSERIRGGGYQGGDRGVRVSGGGGWRGGGGRDGGGRDGELNVTSVGAPTPSGTGGRGASDRGGGRDSSRDSGRSSYESSRYDGGSRSGGGGGGSYGSDSRGNGSYGQGSPPPLAAIPSYDGSGSYPPPMGYGMEAVPLPSSYAGGPPSYGGPTGGYGGDAPSTGGRGGSYDGDSAPRRQEPSYGDAPAEKVKQCDENCDNARIYINNLPPDVTTDELKDLFGRVGQLISLVGRIKQKRGYRDQRPYKIKIYTVEKGKNKGDACLAYEQSTLGRRLFQQYDIHINAFIKNKNKFDKNAKYVSKFTQSTKHTTFVRRIR >A03p035310.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:14839556:14840125:1 gene:A03p035310.1_BraROA transcript:A03p035310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQKVIEVSSETSQEAAVAKRDATLSDIPVPSQIKAAMSMAMVQASNSQQYISHAPVSDKPATPKEDTSCICDEVIDKINSTDVDDLVSLATVSVLENLYESPTVICVNETIESSPTESAPTKQHIESSITQTSTEVSKESIRVQEIDLGSNQFASLTSLEGEEEYQLDLDESSGPIDIDTFGEKTPS >A08p005640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3220126:3221257:1 gene:A08p005640.1_BraROA transcript:A08p005640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRKTLIELAEEILVLRLSLTGGTRKAVSKSAGLGWVFSGQNDSTMPDSFGAQFQTYVASAMVAEGLAVRVALTHVIHLGITKVWLRSDSLGFIRPIVSVIKPKNLHRILLDIETLSNQNRHAGCLAKASLCNENSTWAQA >A07p042580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23050352:23052001:1 gene:A07p042580.1_BraROA transcript:A07p042580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGKANQEEDDYGEEDFGSKREGPSSHNSNSAAASRADAKENDKASAIRSKHSVTEQRRRSKINERFQILREIIPNSEQKRDTASFLLEVIDYVQYLQEKVQKYEGSYPGWGQEPTKLTPWRNNHWRVQSLANGSVPVIPFPGKFEENSVAFSGPPAVVAEMQNPVESDQGRATVYKPIESQPEFDDKELPPVQPVHHPLVHGEQANNECPATSDGAGHSNNDLVVEGGTISISTAYSHELLSSLTQALQNAGVDLSHAKLSVQIELGKRANQGLTHNDPSNKNPFSSDIEGATRSRSGEGESEHAHKRMKTL >A08p018490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12733273:12734380:1 gene:A08p018490.1_BraROA transcript:A08p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEDREQSILLYACRNCDHQEAADDNCVYRNEVHHSVSEQTQILSDVASDPTLPRTKAVRCAKCQHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRE >A01p022160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10910811:10911521:-1 gene:A01p022160.1_BraROA transcript:A01p022160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLRRSHGKDTWDHTRNRTHFRATLIIFGNALHDVGSSNFHQTAMTGCLTGSAIWEVIEFRAIYYLTVEEMKRYGAKRGGHTCASLSYDSESSVELRAPRPFHVKVVTLEPTCF >A06p015330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6861945:6864804:-1 gene:A06p015330.1_BraROA transcript:A06p015330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTGSDMKPGSFMSEKSAKIFVAGHRGLVGSAIVRKLQESGFTNLLLRTHSDLDLTNQADVESFFSTEKPAYVILAAAKVGGIHANNTYPADFIAVNLQIQTNVIHSAYTNGVKKLLFLGSSCIYPKFAPQPIPESALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQHQWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKANDAEEVVVWGSGSPLREFLHVDDLADACVFLMEKYSGFEHVNVGSGVEVTIKELAELVKEVVGFEGKLVWDCTKPDGTPRKLMDSSKLASLGWTPKVSIRDGLRQTYDWYLENMNREKLMKMANTVRTGGKGTVRRKKKAVHKTNTTDDKKLQSTLKRIGVNSIPAIEEVNIFKDDVVIQFTNPKVQASIAANTWVVSGSPQTKKLEDILPQILSQLGPDNMDNLRKLAEQLKKQPPGEGNASATIQEEDDDDVPELVAGETFEAAAAEEKVAAPAASS >A06p028330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18500558:18503221:1 gene:A06p028330.1_BraROA transcript:A06p028330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQLPRPTSTNMGDHQDDLTAAFALMQQQMQQMQQTIQANAANQRNAPEEVNSIDNNKIDELFAKVDQLIKSNQNHVFIMEESPQDKGTTDTTSEADQATEDHHEVSYVNGQGWQFKNYHPNPNVRNNPHLFNNPKPDGNAENAQGNQPPVTAPADEEEEELPAKHTPTTTEQPTVVVRPAAEPVSTRDYVPKVPYPVPAKATRKEKEEMKCRKMLEDLTEILIDDPLELALTRAEAEQNVQNIDADGYAKMLDSARTMERLVAYL >A09p058540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49289682:49290754:1 gene:A09p058540.1_BraROA transcript:A09p058540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTDLAQPQSQQMVSFSFSSQMSREDEEMARSALSAFRAKEDEIEKRKMEVRERVKIQLGRVEEENRRLANIREELESMADPMRKEVSWVRKKIDSVNKELKPLGATVQKKEREYKEALDTFNEKNREKVQLLTKLMEMGQLVGESEKLRLKKLEELSMGIQTD >A08g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2604492:2605810:1 gene:A08g501050.1_BraROA transcript:A08g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTRRSSRLMKLKNVESTPMNPLDLSSGSSSGKRSRRRVSAGDTAPLPPNIALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPTRRPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLVDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKLVYDQIIAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRRGDYEQHVPHPGFEENDEQDEDEEDA >A04p024330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14741233:14742879:1 gene:A04p024330.1_BraROA transcript:A04p024330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESRRRKSNISSLTSELLLEREMSGLACNSCNKEFEDDSDQKLHYKSEWHRYNLKRKIAGVPGVTEALFEARQTAIAQQKIKSNEAPLLYTCGVCNKSYRSSKAHEQHLKSKSHVLKASSSSNGEEDKAIIKELPPPPPRRVEKKGPARLKGLIEEESEESEDEWIEVDSDEDLEGEEDMDEDDEEGSGEDMDEDDDIEFELDPTSCLMCDKKHKTIEKCMVHMHKIHGFFIPDIEYLKDPKGFLTYVGLKVKRDFFCLYCNELRHPFSSLEAVRKHMEAKSHCKVHYGDGGDEEDAELEEFYDYSSSYGNEGENQMVVAGESANTVELFGGLELVITKREDNKVTSRTLGSREFMRYYKQKPPPSSQKHIVNSLANRYKSMGLATVQSKEDIVRMKVMREMNKRGARSRVKLGMKSNVIRNLPNNVTY >A09p002790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:662365:664838:-1 gene:A09p002790.1_BraROA transcript:A09p002790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSKSTCSSWSNGEKPMSRPYLGIGCCVSKRAKRTFSDHIVALQNLASIPNRIITSGKSRSSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPFGHLVARKVRDTLPVKLQSFFHALQSKQNGRFRRSSSKSAVQEVVKEGSDEDKLKGLWGEAFFKSFKAMDKELRSHPNVDCFCSGSTAVTILKQGPNLFMGNIGDSRAILGSKDSNDTIVATQLTVDLKPDLPSMLTNFSHCFCFPLIWDVLSNEEVVDIVASSSSRASAARILVNSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATNAVESDDGQRSEPCLQRNFTVRSSSDQENETYGNNVNADTEGEDEKTVGDQNWLGLEGVTRVNSLVQLPRFSEEKCKT >A02p024180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12904279:12906755:-1 gene:A02p024180.1_BraROA transcript:A02p024180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVENTKSIAMSDSIINLDHGDPTAYEEYWRKIGDRCTVTIRGCDLMSYFSDVNNLCWFLEPELAEAIKELHGAVGNAATEDRYIVVGTGSTQLCQAAVHALSLLAGGTEPVSVVAAAPYYSTYVEETTYVRSGMYKWEGDAWRFDKKGPFIELVTSPNNPDGTIRETVVNRPDDEEAKVIHDFAYYWPHYTPVTHCQDHDIMLFTFSKITGHAGSRIGWALVKDKEVAKKMVEYIIVNSIGVSKESQVRSAKILKVLKETCTSETENFFEYGREMMKNRWERLREVVKESDFTLPKYPEGYCNFFGKTLESYPDVTVGVFHVLTQAFAWLGTKEETDLVNDLRRQKVMSRAGERCGSDKKHVRVSMLSREDVFNVFLERLANMKLIKSIDL >A05p007980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3252309:3258901:1 gene:A05p007980.1_BraROA transcript:A05p007980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTQAREVHHRKEEDALDEERELIDLNKEAKHEDDDKIVASESSETREAKKQKVEQEVKDAYQEDERRGGMTRTTTPRSLTQEEAKAKEDDARASRMLASTSNDLVRPGLDINDYVDDSEQTLTFLTRWKAPETPPERIIHRTLVEQCSKPIQKQLTTSDVTQNRLSLSYSQVRKKFQQLLGDESGRKESRFTVYGPDGKVHEIWLREKKRSFDLTIGWWSFVEQYGLKECCDFVTVWMFRHSVTQRICLAVDTTRFAFRKQKKTMASSTQDEEALHRKEETEPDHDEADLNKEGKTDDEAKHVDDAKKIVASESSATSEQEVKDANQENESSGGTMMVPSSKKTTTTPRSLTQEEEENAREVDAFASAILWSMRNEDVPPTSPSMLEIDDHDEDDSEQTLRFLTRWKAPNTPPIEIIHDRLIEQCSRPIQKQLTTSDVEQRKLYLPRKKFQKLLDESGRREIRVSVYGPDGEVQEMWLGDDDEETFELVIGWRTFVEQYGLKECCDFVTVWMFRHRDSQRICLAVDVTRFVFRKEKTMASSTQDEEEVHHRKEEDALAKEPDLDLNKEAKHEDDDKIVASESETREAKKQKVEQEVKDADQEDESRGATTMVPSTTTPRSLTQEEAKAKEDEDDSEQTLRFLRRWKAPETPPEKIIHPSLAEQCSRPIQKQLTTSDVKQNKLSQSGLSLSNSQVRKKFQQLLEESGKNERRFSVYGPDGKVHEIWLGKERTSSFGLTIGWWRFVVEYWLKEWCNFVTVSKRISQAAFEDSD >A08p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18651856:18653870:-1 gene:A08p030430.1_BraROA transcript:A08p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEAQSPAFQSPSRSSQQLHFYLAVDRPLFKMETVVELLGVLGRRPWLPIVVCCSSRDELDAVCSSLSTLPYISFAALYSDLGERERASVLEKFRQATINWNQQLNSAVVEEGLEESETREEEDEKKSHLVVVTDVCLPMLSSGESSLSSRVLINYELPTKKETYTRRLTSCLASGGIVINMVVGGEVTTLRSLEESSGIIIAEMPINISEIL >A05g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29137107:29140724:1 gene:A05g509510.1_BraROA transcript:A05g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNTEGREVLPDKLEDARQAGGKNSNRCTLILTEGDSSKALAMSGLTSDKRDFYGVYPITGKVLNVRKASPAQINRNKFIQDLKKILKLELQKEYTDTSSLRYGRVILMTDQDDDGTHMSGLLINLFSFLWPSLLKLPSSFLIDFVTPLIKVTHETKEAKTFSSLREFKEWKEKDKAHATEWSVKFYKGLGSSTVEEGMLYFNQIDIHVREFVWEGDADGEAINIAFGGDPEKRKEWIRKYNQVDSLPGPRGNKITYKEFVNNELVLFTIANLQRSIPTIFDGLKSGERKIIFTAFKIDLTELTPLDEFSSLVSQHSAYHHSRKCISNVIIRMAQDFIGRNNVNLFEPSGQFGTSASGGKDAANERYLHTKLKPVARVLFPKADDDLLEYNLEYGRKLEPTRYFPIIPLVLLNGAKGIGSGFSTFIPQYNPRDVIANIRRGIKCEEMEPMVPWYRDFEGEIKKTGEGVYTSYGKCHDVNDNTVQISVLPIGLWTDDYKKILHALKANNGDPLIEDVSVHNDGTSMVFNVILSKKHKKEARREGYLKKFKLEKNITTTNMHLLMDEMIKKYHTPEEIIKDFYPHRLELYEDRKEKMALALTREIEELQRKIQFLKDVDRGVILVVGRLKSEIIKELKSGDEKFLELSLTMDQCIELEKELAEKNQEVGHLNSSSAESMYEEDLKKFESMLSESEDLNSRKRGMMALSCQRTVKPKKTQ >A02p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26963796:26964091:-1 gene:A02p042980.1_BraROA transcript:A02p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNWPLIRMLPSLLAHRIYDVIVELLENSNLTFQFKGPLLAGPEFKEVFDVFKDVLINVDSKAEALEEL >A04p019590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11738231:11739754:1 gene:A04p019590.1_BraROA transcript:A04p019590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable WRKY transcription factor 24 [Source:Projected from Arabidopsis thaliana (AT5G41570) UniProtKB/Swiss-Prot;Acc:Q9FFS3] MERQDIIPHTLSLDVENNNNTFSSFVDETLMMMPPSYYRCTYHTCNVKKQVQRLAKDPKIIVTTYEGIHNHPCEKLMETLNPLLRQLQFLSSFSNL >A06p021430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10617465:10619217:-1 gene:A06p021430.1_BraROA transcript:A06p021430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPEIKIVRSESLGHRSEVSSPEAKLGMRVEDLWDEQKPQLSPDEKLNACFESIPVSAFPLSSDSQDIEIRSDTSLAEAVETLSKLKVLSAPVVDVDAPEDASWIDRYIGIVEFPGIVVWLLHQLDPTSPRSPAVAGSNGTSHDFTADIGDDSAVTSGNFFEVLTSSELYKNTKVRDISGTFRWAPFLALQKDNSFLTMLLLLSKYKMKSIPVVDLGEAKIENIITQSGVIHMLAECVGLHWFEDWGVKTLSEVGLPIMSKDHIIKIYEDEPVLQAFKLMRRKRIGGIPVIERKSEKPVGNISLRDVHFLLTAPEIYHDYRSITTKNFLVAVREHLEKRGDTSAPILSGVIACTKNHTLKELILMLDAEKIHRLYVVDDSGNLEGLITLRDIIARLVYEPPGYFGDFFDGVMPLPQNYRV >A06g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17947392:17951213:-1 gene:A06g506360.1_BraROA transcript:A06g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQRGITEFMGLVHRQPEAKTEKRFEYRYATEDELEEMKQREFAGWMFTYVSAGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAGVCSASGDDVYYGHIHEILEIKYLSMVGLRCTVFYCDWHDNTPDRGVRTDAFGVTSVNSRRKLQYYDPFILASQADQVCYIKYPQVRNRDDPWVTVTRLNPRGRVQGSSELEDPLQPSTSGNLSAAEDLAGVGLVVDLTDFGEEAAVHVEDEPVIGEFHQDPDSSEIPRKFRGKIGFPRNRPCRFRDLIIESFSSEFPSKYSDGIQRKIGVSSEYFEGIPRNLGFLTENNVLRIE >A06p041600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22397175:22398930:-1 gene:A06p041600.1_BraROA transcript:A06p041600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRMDSMNQVAEEIMRIHRSLPSRPEIDDVEAATSLIQNVEKDDQNRLDAIDRQVKGSEVHRELFDVLREMKRGLVRFQSKEQKREAAKVLDLESAHVLFDELIQRASNCIASSPPSSNVKEPAPAPVVSPASLFYSDKAPVKSKEMFTRDDTFVSKVKSSLYSDGLLAPRKPQVLDSTLEAKKLTGHDGDKMSLIKLATLIEVSAKKGTQELNLQHKLMDNLEWLPDSVGKLLSLVRLDLSENCIMALPETIGGLLSLTTLDLHSNRIAQLPESIGDLLNLVNLNLSGNQLTSLPSSFSRLINLEELDLSSNSLSVLPESISSLVSLKKLDVETNNIEEIPHSISGCSSLKELRADYNRLKALPGAVGKIATLEILSVRYNNIRQLPTTMSSMANLKELDVSFNELESVPESLCYATTLVKLNVGNNFANLRSLPGLIGNLEKLEELDMSNNQIRFLPFSFKALSQLRVLHTQQNPLEELPRDIIQKGAQAVVQYMNELVEARNTKSQSTKQKKSWVDSICFLCKSTN >A07p041540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22401901:22404579:-1 gene:A07p041540.1_BraROA transcript:A07p041540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSKEKPKTSTSSQPPPAIEDLFTTLDRHIQRSEYEQAVKVADQVLSIAPADEDAIRCKVVALVKDDKIDDALSVIHSFHKLPIDLGFQKAYCLYRQNKLDEALECLRGRERDSETLLLEAQILYRLGKTDACVDVYRKLQNSEIETAEVNFVASLVSAGKASQVKGALESWKIKPTSSFELAFNTACSLIENNNYADAEQLLLAARRIGQETLTDDGFADEDIENELAPIAVQLAYVQQVLGQIQESTSSYVDIIKRNLADESSLAVAVNNLIALKGSKDVSDGLRKLDRLKEKDSPNFQLSQTLDAKLSQKHKEAIYANRVLLLLHANKMDQARELCAALPGLFPESVNPALLQAAVLVRENKAAKAEELLGQFAEKFPEKSKSVLLARAQIAASASHPHVAAESLSKITDIQHFPATVATIVALKERAGDNDGAAAVLDSAINWWSNSMTENNKLGILMPQAASFKLRHGHEEEASRLYEEIVKKHKSTDALVGLVTTLARVNVEKAETYEKQLKPLPGLKAVDVDNLEKTSGAKPMEGAAAAASAMQEEVKKEKAKKKRKRKPKYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAAQIRGSQGAVTKQEKQETAPSTSKSNQATTKSASADPKTKPSSSKASKKKSRR >A05g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6817061:6817832:-1 gene:A05g502130.1_BraROA transcript:A05g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASIIPFANSSLVNFFSMSTQSGRPTNHQSSLNDVGRIEYLHSYIAAVLNSVRNGSETRGYFQWSFMDLFELMEPNYTYGLYYVNFSDPERKRYPKTSALWYSCFLNGTTNCSQELSASSSPGLFSAQ >A09p058570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49301817:49303605:-1 gene:A09p058570.1_BraROA transcript:A09p058570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLRSIDIMSTQATGTSSTSGRRRGPPPPSKKPDQSEHHGSFVNVPNSPQPPSNVERTVKNLRLDKAITIPEGTTVFEACRRMAARRVGAILLTNSSSLLSGICTDKDIATRVIAEGLKPDQTPVSKVMTRNPVFVTSDSLALEALQKMVQGRFRHLPVVENGEVIAMLDITKCLYDAISRMEKAAEQGSALAAAVEGVGGAGFIETLREGMFKPALSTIITDSSKVVLVSPSDSVYVAAKRMRDLRVNSVIISMGSKIQGILTSKDILMRLVVQNLSPETILVEKVMTPSPECVSLETTILDALHTMHDGKFLHLPVLDKDGSVAACVDVLQITHAAISMVENSSGAVNDVANTMMQKFWDSALALDPVDHDSDGHSEMSGMMMMHRHSDIGKLTSCASLELENSFSFKVKDLKGRVHRFSSGGESLEELMGVVMQRIGVDVEQRPQIVYEDDEGDKVLITSDSDLVGAVALARSTGQKVLRLYLDFTETATRSLGLETGQFKKVSTLDGKGCGGWVTWRGGVVVTGAVAITGVAVVVYLKRSKT >A09p045680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40050064:40051089:-1 gene:A09p045680.1_BraROA transcript:A09p045680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin O2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G31020) UniProtKB/Swiss-Prot;Acc:Q93VQ9] MKSDWFNLHQIARNSFIATSTLYASTELNFDRRSFCFFAPDRSGFVVLKSEKEFNNALSKARDGSLPSVFYFTAAWCGPCRLIAPVMLELGKKYPHVTTYKVDIDEGGLSNALGKLNVSAVPTMQFFKGGVKKAELVGADVIKLRSIMEHLYK >A09p076680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57474556:57477590:-1 gene:A09p076680.1_BraROA transcript:A09p076680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQQQSVAVLVTLCVVVVVLALGGRIQQVESHKDQPLSGIAIHETTFHLNDKAHVKASPTLLGSNGQHSEFVLVEFTSPHPSDDDWIGVFSPADFNASTCPGDNKMVSLPRLCSAPIKFQYANFSNPRYSNTGTGSLNLQLINQRSDFSFALFSGGLLNPKLVAVSNKVAFENPNAPVYPRLALGKEWDTMTVTWTSGYGLKIAEPVVEWGVKGGERKLSPAGTLTFARNTMCGAPARTVGWRDPGYIHTAFLKELWPNAKYTYRVGHRLTNDAFVWSKEYQFKSTPFPGQNSLQQVVIFGDMGKAEVDGTNEYNDFQRASLNTTKQLIKDLKKTDAVFHIGDICYANGYLSHGNHERDWPDSGSFYQGLDSGGECGVPAETMFYVPAQNRAKFWYSSDYGMFRFCVADTEHDWREGTEQYKFIEQCLASVDRKTQPWLIFLAHRVLGYSSTSFYAEEGSFGEPMGRDSLQKLWQKYKVDIAIYGHAHNYERTCPVCQSVCTSDEKTNYKGTFNGTIHIVAGGAGAGLAEFSDLQPNWSIVRDYDYGFLKLTAANYSNLLFEYKKSSDGTVHDSFKISRDYRDVLACAVDSCPATTLAS >A07p048720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25984522:25986609:-1 gene:A07p048720.1_BraROA transcript:A07p048720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group B protein 9 [Source:Projected from Arabidopsis thaliana (AT1G76110) UniProtKB/Swiss-Prot;Acc:Q9SGS2] MSSGNESTPSQGTIETTATSPAKVKEYPEPLASHEDVVKDLSLFWDTLRRFHSILATKFMIPVIGGKELDLHVLYVEVTRRGGYEKVVTEKKWREVGGVFRFSATTTSASFVLRKHYLNLLFHYEQVHLFNARGPLLHPTATFHAKDPSTSKEMALVEYTPPSIGYTSSHAPPSQGSSSFTAIGTIEGKFDCGYLVKVKLGSEILNGVLYHSAQPGPPTPTADPIGAVVPYVEAGRRRRRSGKRRRSRRREDPNYPKPNRSGYNFFFAEKHCKLKSLYPNKEREFTKIIGESWTNLSAEERLVYQKIGLEDKERYQRELNEYREKLRLSAVDEANGRVV >A05g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10062792:10063510:1 gene:A05g503430.1_BraROA transcript:A05g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEELVRALDTETRGGRRGEVEIRARPSSHQPRESHGQRTPVSAVREEGEIKSTGDDAATDEFQLELAKTQAEGSEAIIDTTEEEMGLIKLKGVMGQQEDITADIDMEIEAIHASILENGEDVEVEDEFQTLSEEEAEQLAEVDGQEELVSGDGNNATVADDMATRQSNRRRLLKPSTAGSNKMRMASSLLSPRKRAVAKVGIRQGDGGKALERKGPSNPKSTNLKF >A06p002100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5322768:5323866:-1 gene:A06p002100.1_BraROA transcript:A06p002100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIPTLDFSREDLKPGTKYWKSTSKNIRQALEEYGCFIIDLQDKTPLDLLDQVFGSLVELFDLPTQTKMRNKYDKPLNGYVGQIPALPLHESLGIDNATSLEATRSFTGLMWPQGNKHFSECVHKYAEFAAKLDQMVTRMVFESYNVEKHYKPYIESTTYLLRVLKNSAPNNDKSNLGFVTHTDKSFTTILHQNQVNGLEMETREGERININLSSPSLFMIVAGDALMAWSNDRVWSPRHQVLVSGDTDRYSLGMFSFNNGTLQVPEELIDHQHPLMYKPFHHIGLLRFYRTDIGYKSVCPIKAYCGI >A09p067600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53276746:53280659:-1 gene:A09p067600.1_BraROA transcript:A09p067600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPIKLAFLLLFITTTATAAPKAKRRNYLFTPHAKAVAGICTVVLTNTSPCCKTLKHVPTDDPIELIRGLAAATESSVKRSVVFLSEIKPKHKSNATAAAVVNSCEKNLKYALEDFTDFWNAMGKDVKTLAHNYFTCKKKLMSIMGYHWTCLDDIDDKNLLKEVETGISVGKNLSSNTYDVFNGLKTIFKTFVASMLLVVGVAIGVVTFVNKGGGAGGDKTLNSHQKAVESLCASATDKGSCAKTLDPVKSDDPSKLIKAFMLATKDAVTKSTNFTASTEEGMGKNMNATSKAVLDYCKRVLMYALEDLETIVEEMGEDLQQSGSKMDQLKQWLTGVFNYQTDCIDDIEESELRKVMGEGIAHSKILSSNAIDIFHALTTAMSQMNVKVDDMKKGNLGETPAPDRDLLEDLDQKGLPKWHSDKDRKLMAQAGRPGAPADEGIGEGGGGGGKIKPTHVVAKDGSGQFKTISEAVKACPEKNPGRCIIYIKAGVYKEQVTIPKKVNNVFMFGDGATQTIITFDRSVGLSPGTTTSLSGTVQVESEGFMAKWIGFQNTAGPLGHQAVAFRVNGDRAVIFNCRFDGYQDTLYVNNGRQFYRNIVVSGTVDFIFGKSATVIQNSLILCRKGSPGQTNHVTADGNEKGKAVKIGIVLHNCRIMADKELEADRLTVKSYLGRPWKPFATTAVIGTEIGDLIQPTGWNEWQGEKFHLTATYVEFNNRGPGANTAARVPWAKMAKSAAEVERFTVANWLTPANWIQEANVPVQLGL >A09g516870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49641975:49643170:-1 gene:A09g516870.1_BraROA transcript:A09g516870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLHRLCEGALFLGGRNVRCGGKLIGVDILLLNSQVWCKDSRGDKQTNAWLGAAGHALRTEWTVFKIRQALQNSVFN >A04g501810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4342480:4349470:1 gene:A04g501810.1_BraROA transcript:A04g501810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSLFRVFTGSGTFRRNMVILESFGVFGGEELHRRVRCLAMDGDLPAVNQHLVAEVMPVLLKSGQSASREEAAEKRKPRRSMQHSAHRSMEIPDRGPCIFYDCLPFFYAFYTLSFSIVSLRREGRNSYQSSPGTPLVYIYQYQSNEERISRSFKEGACWFMHDLKPKREVSIDTLQAASIDSVSKASNNTIHHVSKNTIHRGTVHPGTVHRNTIYLPSIDTVHIPSIDTVHQNTVHCGTVHPNTVHPNTVHRDTVHRDTVHMNTVHLNTVHHDIVHPNTVHRNTIHRGTILDFVYSEQDEDFEIPTTHVKQPDIQVHHADESKQKDELNKEKLVNHDTAEDDEYHVSEEQSKVEETNTKDLTSASIDSSNSESIDIRTSETIDTYICHRSIPSTIPDATTVYARAGRPKAIRDYNKADTSDPASTSIVIPTSSSIDPSISEMIDTDFCHRSIPLEIPESSSCPQDIANSTQESIDESRCDLTSDVDKVTLKDFLELEEWLRQKLDDKPLREKSPSNIDQHTPDCIARYPPDCIDRHPCLDELSGYMIEPELVGRKQHTSGASHLALPENLRPPLCEEEAVGICKRVKRIHDPVKIMVPCAVFEVESPISPDKGVYLSSYIEVLNDQHHVEAYQRGLRFIDEVDEGPAGIPSSDISRTKLIDINTSSSIDTDQIPSIDTRRESEQNEYELCGNIFYGDTTTHSDKSGGKKWRNWKKKKRINEGSQISLILYFSDDARKSRVRLHKSVGKKGRNWKKRKRTKGGSQLPLTPYFSDSVRKPRVRSRCFSQPFAKLKALLIAEMIDKGEGRLLSTLTDDTSSISIDSTSYPTIDCLFIVSNDCSSHRPMRPCHYQSTTLHQQRSIVTSLYRSILSMFRDV >A02g511020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29197267:29199374:-1 gene:A02g511020.1_BraROA transcript:A02g511020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRSPFSSSSLFTTLHEIFMAMEACRRKTCRRRLNLRLRAREPRRKNQGPQRQQLEPRAPIRIAITKEALGFWTVKLFRKMGCRCYCFPISEMGDACYAATCIFQVKVHFFFNFYAIVNLNVMLKVVSTMDTPLAMGAFVSARFLKFLLSTTVRNRDWSLRVRDVQCMDELIFLVLEVDCRTVSPRLLTDFGFPCSKGGPQTIQNLTKQFHPSLTEELRNLWHWLRRAEPENVFSEFISWVWLEEHTVPGIKAVLRVGGDVVNGRRSAMVILAATLFSSTYGSASANAGVIDDFYERSKPTKELNDKKTLATSGANFVRDPL >A07g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26417633:26419283:1 gene:A07g509030.1_BraROA transcript:A07g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVICQHTLFTINTHSLIFSHLSQSNTNKTQRRSKFIMSTTGQIIRCKAAVCWEAGKPLVMEEVEVAPPQKHEVRIKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPIFTGECGDCPHCHSEESNMCDLLRINTERGGMIHDGESRFSINGKPIYHFLGTSTFSEYTVVHSGQVAKINPEAPLDKVCIVSCGLSTGLGATLNVAKPKKGQSVAIFGLGAVGLAAAEGARIAGAGRIIGVDLNPKRFEEAKKFGVTEFVNPKEHDKPVQQVIAEMTNGGVDRSVECTGSIQAMIQAFECVHDGWGVAVLVGVPSKDDAFKTHPMNLLNERTLKGTFFGNYKPKTDIPGVVEKYMNKELELEKFITHTVPFSEINKAFDYMLKGESIRCIITMGA >A10p027670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17363769:17367191:1 gene:A10p027670.1_BraROA transcript:A10p027670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRSLIPSHGSSLSLSAFGEEVDSNLELQDKIVADIGQHLGTDETRRLSALTLGPLSLVDSNKIIIGNSSETFKALIDVIKDGDLSATKEAYYALYQLYYVLENREKAVSEDMDDLFGILRNPNSSRTCDGRSRVLLEKNGSFLLCFSLSPSLQQTETSPILEFLRLFLLGSLYSRLNSPAEMADSRRAAADAVTLKREFNKILTEILSYGGGSKDHGETGVLMKAIDEANRIINSLREVEPETNILYPPAPEKVDVPKEFKCTLSKKIMIEPVIIASGQTYEKRFIQEWLKHQRTCPKSNQVLSHLSFTPNLLVDDLITQWCLVNKFERPKSSEDIVTELFTDGIDSLLQRISSPSSVADQAQAAEELRRQTKRFANVRTFFVSELHDSITRLLTPLSVVDLNLDLQENLITTLFNLSIVEKNKTVIAENPLVIPLLTKSLKQGTAKTRRNSAATLLSLSAIDSNRLIIGNSETLKALIGLIGEGGLFTTEAASAVFNICTVSENREKAISSGLIPVLTNKIKEGSSVAELLSLLALVSTHNRGVKEMNDLGLINDLLSILRKPSCPVTHENAVVIVFNMCDRNRDRSGRLSVLSEEENQHGTFTKLAKQGSDRAVRKAEAILKWLKRHGTGKAPQRG >A02p052530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32137553:32138606:-1 gene:A02p052530.1_BraROA transcript:A02p052530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAENKWVKVGQKGSGPGPRSSHALTVVGNKVYCFGGELKPTIHIDNDLYVFDLQTQEWSIAPATGDAPFPCFGVSMVPIGTTIYVYGGRDDTRRYNGLYSYDTLTNKWELLSPVEEGLPGRSYHSMACDDRNVYVFGGVTAKGRVNTLHGYDVVGRKWVEYPAGGEACKGRGGPGLVVVEGKVWVLFGFDGNELGDIHCFDLASGVWTAVETTGDVPPARSVFPAVRSGKEIVVYGGEEEPHELMHMGAGKLSGEVYRLDTETLVWEKVVDGTEEGKKPSPRGWCAFAVAVKDGEEGLLVHGGNSPTNERLDDMVFWRF >A10g504310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10607103:10611808:-1 gene:A10g504310.1_BraROA transcript:A10g504310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRNMPSSTRSNKKKHLLFSEDLAHLERTIRKDQRSTSLFDAAAFTSTDSHTQLSTNTRPSSSTDLHRSTSIDTTPCTSINHQSRNMVAIVILRHDENGNLYDKVGHLRNAAGQKLDAQGNFESSQEEFAEKHPHPLSPFYVKIDQPHEPAVDRQRETNIDRPPSPPINRWAPLTHRVRLPSIDSIRINALRLPPKPLTNPPEPTTNPSDTTPEPMQVDKATDGRRETKETEEDIRRMFHHVRERMKLRITLKKKSDHGKFAIPCVVKGIEFLHALCDTQSAYYLRLWQTTWIFISWTSSLTGLLLGRAFLATLGAECDMNTNRLCLTLIDPDVHYDPVQVVRQQVNLVELGNDLGYIAACHCGAEYETEYSESIDTHTASSIDSNEAPMTDERYPTSLDGKQPVDHFTLPDQCYPYFSFQQPNKRGRDDYSIGNWAESGFHESFAVETIIPSSNEDPTEEYDEDYWKERAIEIAMQDDRYSSHSFNNTSPPSIDRVHSASVDTHPHPAKQSYASIDTIPGTSIDVKVVAFENEKLNIPFPSRFTNTYIRSFAPQITSHDTKAEKMNAPTNLSEGTSRKSIQYRNPNSADKSLSSINTPVSTSIDSHSKPKLSFFTKKNMSIDYNFLTHDEFGIFRDKDGHARAMDGRILQVSRQDIADILQLANEPDNLFMQQRSIPDNIPAVLDEYPRANTTKIGSHQSCRQVGQASIDKVASTLLDRVTPMSLDRARSPSIDRGYECERHANDIYEARKFRWKQKDEYGVYIDESGYARSIAGEMILVTKDNIRNFLERASLFEESHICLPEHATSFTPTRMAPEIYTKDEINEMVTDICVAQEKLGDELKTLVDDTYQPLDRGYNELFRSMAEMRTKIESIVGTKICTVDFRLNKESRRALLSRGPGYLLMLHAKQSEHEIKTRKDNKSKGEQSRSYFKFAFERYNKVRV >A05p007850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3173453:3180341:-1 gene:A05p007850.1_BraROA transcript:A05p007850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSRFFHVVEEGGGGEEERLLAAERQEPFVVETMTATEANNRKGSGHVGVEIPDTAHQISSDSWFQVAFVLTTGINSAYVLGYSGTVMVPLGWIGGVVGLIIATAISLYANSLVAKLHEFGGRRHIRYRDLAGFIYGRKAYHLTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHVIKLPHCIAIAGLICAVFAIGIPHLSALGTWLAVSTILSLIYIVVAIVLSVRDGVKAPPRDYEIQGSSLSKLFTITGAAANLVFAFNTGMLPEIQATVRQPVVKNMMKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLNSVNGPLWVKALANVSAILQSVISLHIFASPTYEYMDTKFGVKGNPLALKNLTFRIMARGGYIAVSTLVSALLPFLGDFMSLTGAVSTFPLTFILANHMYYKAMNNKLNPMQKLWHWLNVVFFSLMSLAAAIAAVRLIALDSKNFHELKTVQAMLVLENILISKSLTLLIRLAAIHGSKWRTVMVPLGWVGGVVGLVLATAISLYANALVAKLHEFGGKRHIRYRDLAGFIYGRKAYCLTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHAMKLPHFIAIAGLFCAVFAIGVPHLSALGIWLVVSTIFSLIFIVVAVVLAVKDGVKTHSRDYEIQGSPLSKLFTITAAAANLTFVFNTGMLPEIQATVRQPVVKNMMKALYFQFTIGVLPMLAVTFIGYWAYGSSTSTYLLNSVTGPLWVKALANISAFLQSVICLHIFASPTYEYMDTKFGITGSTLALKNLTFRIMARGGYLAVSTLLSALLPFLGDFMSFTGAVSTIPLTFILANHMYYKAKDNKLNPMQKIWHWLNVVFFSLMSFAAAIAAVRLISVDSKNFHVFADFERGEEGQIVKKKKD >A08p033660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20160879:20163681:1 gene:A08p033660.1_BraROA transcript:A08p033660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSSPSLLFPSRNFTSFPSIPLHRSSVSFIRCISKNPSPSTTTTSEEDILRFVANSDGNALPCVRTYENNSARLSLVGTVAFDQALTAAAADGGEAADEHLRENVPVMVVETVFPGGSDPKATVSTRLFLPTKKVKERAKRLRRSLSEDLSTGDLSKNILAMTFRQVVLRQLWNFQLVLFGPGAEREMGDYQNPRKDSTSFIVSSSDERVISVIAEVICISALQNTEKHFLDDYLGKAKFPFFKWLTKHRRIASRDSSVVLHKVFDDELNENANQLLEYFQSRKETYKVTESRQRSRWWNLSAKSKLEKIGGTGFSNWASEYLPAYRLEIDSDILGDVKLEGWRKSSENKWEVLLTHSQMVGLAEALDIYFEDIYSLPRKQLPCDAFGNYGNLPNEKRGLSLLKMISVTVASGILLLAVSAAAQFSIPQKSERKYPGKRQDISWSESELLSSDTSELESFCGLVVNKLKDAYSWVGEITVESSIGAWIGEVPDYLKETSRAKATEDNVGTGSSLLEKLNEDAKASAQEIATYQVVLSSEGKIIGFQPTSRVAVNHWSANPLAKELYSGRKLSPGLIERGLKSGRPPTKVVVLELLMSVNSDRPFALVRPLLAQ >A08g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:894075:896012:-1 gene:A08g500370.1_BraROA transcript:A08g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFYSDSSNSLLAATIPESETPLTSRNSANPNPNPKRISIPARGDGTFDRLMEEAVEEIEAASSSGDPHSQSLMGFVYGTGMMREKSKSKSFLRHNFAAEGRLFLNASSGSHFYFGDEVIASQTLFDELCATNVSDSTSGTEYGGVQKLETVSLAELNAYVLTSLHKTMSPPAHALYVLTQGYGDQDPAEYNLPYFLQDIVRKSYISSQAYRVNFSFLHELFTVARIFDPNQRNPRPSFALHGEGNNHGDNISGENCASCKYPVGDSFSKDTHLPEANDQTIGPASAVQEASNTYQVESNSENVDDPQDHHKEGPQSLVFTMERNRGTNVSPMHIVKALTLCFSK >A10p017910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2250943:2253693:1 gene:A10p017910.1_BraROA transcript:A10p017910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) UniProtKB/TrEMBL;Acc:Q9SUJ6] MADKIHEIAGKMTPLCQKIVHVNVKWRIMEKVSIFRDFCRFVWRKILSCSSIIEKPIIYRRVVHRTPSTIGEIFDSDDDTTVSGRGISSSSDADLVSLKISLLGDCQTGKTTFVIKYVGDENQGFLEMAGLNLMDKTFYVQGVTISFSIWDVGGDEKRSKDHIPIACKDSVAILFMFDLTSRSTLNSVFGWYSQARKWNTTAIPILIGTKFDDFVRLPPNLQWTIVTQARAYAKVMKASLFFSSATHNINVNKIFKFILAKLFNLPWKIDRNLTLGEPIIDYDS >A02p009720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4094602:4098720:-1 gene:A02p009720.1_BraROA transcript:A02p009720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPSPSVSPGNSSRLVTVAPSLTRRMSSPKEMDDTSEPTSPPKNTYNDPDGGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMNAMAHPANKELAHRQQFYYWKNYRNNRLKHILPRPLPEPVAPPPPASLPPVPSAPAAPSPAPSPMQYNNMLPKNEPRNMVSAGIDRRKRKYMNDPSKLMNPHPQRMNPVQPRIMNQNPLLNQTQGLNHPIIGMMNMLNQQPPVMMNNNQPLMMNHPRSFNNNNFSSKTNRNNINWKGKKMRDPIRRTYNPQIGGGYKPPPLNELQTQNRLKTKKFYPKKKYGNNNNNRYVPYAPRNTTSFIIRAKKSGGIAELVSPCAVTPAVLPTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRPDGNDDDEGGSSGSDVEEHVEVERRLDHDLSRFEMIYPSCGGGFEYNNVLENRVDDQDSHIAQLEEENLTLKERLFLMEREVGDLRRRLQYLETRSVVVENESGSEGDDTGGSDARTSGDAKENHVGGEDVCMQDVAARDESAVKEVEGKVVREVSGGQCEEADQVMVGMDQSKENEVGAAKVEDACENDSVGGQGTAM >A09p003560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2048445:2048840:1 gene:A09p003560.1_BraROA transcript:A09p003560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOB domain-containing protein 25 [Source:Projected from Arabidopsis thaliana (AT3G27650) UniProtKB/Swiss-Prot;Acc:Q8L8Q3] MSLSYSNYTNSPCAACKFLRRKCTSDCVFAPYFPPEEPLKFANVHRIFGASNVSKILHEVAPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQREVLRLQRELEETNVDLMRYASCLGGETSAYGGRRG >SC170g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000043.1:53934:55312:-1 gene:SC170g500020.1_BraROA transcript:SC170g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGFKFETLREQLFKMESGMKMKVAVTFKGTNYLVWSRMVKTAVGSKSLRSEMDALIKMLKDNGNIHGYSFGASMIARTIEMTPNVAEIARID >A05p011430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4881719:4882279:-1 gene:A05p011430.1_BraROA transcript:A05p011430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKSKKKKMVLKAVSVVDIGCSNCKFPTLTSFFNRSSKKPRLYSSTYGHGHSSSTTTTVSSSSNIPSTTHWFSDNVSSSSAATPSAAVAVEKDSDDPYLDFRQSMLQMILENEIYSKDDLRELLNCFLSLNEPYHHSIIIRAFSEIWEGVFSAAVKRRGSVQESPLVRHHGPSRASRDYHNHHYY >A05p001570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:309485:310402:-1 gene:A05p001570.1_BraROA transcript:A05p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGGGKWRREAEQLLVKPFRLLTTTLLSLLLPLSFLLLSRLSSASFLFSLIKSPPQTDSSFFFSIFHYTNPAITYAFVSLISVYTLVLGLTTKITSTDPNRSIPFYPHVSIAWLTLFLVQISVGLGLEGTYPDGLIIGSERNFLSRLVFFFGLHEVMLLWCRVIVRPVVDSTLMGGDAGHHRREETVVERVALAVSCGTLWWWKLRDEVEALVGVAEAKRALLLLLPINGNNGNVSFEVGTVDFVNWWLYYMVVTIGMVRIVKGSLWFGMILLFEQGSRRSPRGVSTVATASVHYDNEGDTKV >A01p003280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:1464610:1465191:1 gene:A01p003280.1_BraROA transcript:A01p003280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHA3B [Source:Projected from Arabidopsis thaliana (AT4G35480) UniProtKB/TrEMBL;Acc:A0A178UXS9] MTRSSRFLGSAAAPPPPEEILAAETDMIVILSALLCALICVAGLAAVARCACLRRLVGVNSSAVGESPPPNKGLKKKALQSLPKSTFTAADSPSSSAGDGDSSTECAICLTEFTDGEEIRILPLCNHAFHLACIDKWLTSRSSCPSCRRILAPVKCDRCGHHASTAENQIKDQPPPHQHPSQFTSAIVPAFLP >A03p043590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:18234230:18234412:-1 gene:A03p043590.1_BraROA transcript:A03p043590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQKLEFCIELVKMAVVFVAAVAESLEEAFRKPQPALPVVHDGRRNSYANVPIPLVGFM >A07p026920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15380714:15383128:1 gene:A07p026920.1_BraROA transcript:A07p026920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRSDLFPSSRLDSDAGALFVLQSKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWWLGFVCLTTMGLVTFYAYYLMSKVLDHCEKAGRRHIRFRELAADVLGSGWMFYVVIFIQTAINTGIGIGAILLAGQCLDIMYSSLFPQGTLKLYEFIAMVTAVMIVLSQLPSFHSLRHINCASLLLSLGYTFLVVGACINLGLSKHAPKREYSLEPSDSGRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMLKGLILCYTVIFFTFYSAAISGYWVFGSNSSSNILKNLMPDEGPTLAPIVVIGLAVIFVLLQLFAIGLVYSQVAYEIMEKNSADTTKGMFSSRNLVPRLILRTLYMAFCGFMAAMLPFFGDINAVVGAFGFIPLDFVLPMLLYNMTYKPTKRSFTYWINMTIMVVFTCAGLMGAFSSVRKLVLDANKFKLFSSEVVD >A08p034340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20450681:20455568:-1 gene:A08p034340.1_BraROA transcript:A08p034340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSNNQDENARYIARSSKQKFVPKAFNPTPPPASSSTAFPVSLSSSLRQSESSSRAPAPGGSRVRIGDQGQLVAQGGGSFVNYLPQDEAVAAGLGPEDGGLDPVESQGVVDLLNRELARLLKLNPRLFWREVASDASLHDFLDSFLQFRSRWYDFPFHGVKGIVAGVIVGEIELCRRVFMVLYRISSHRDPGARAADSLSQKDHEVLLQEKKLLDLPKLLDICAIYGHENAELTKSLIENAVKSQVGVPDNLKTMLSHFLGILHTMHRRCTSSLETLFTSANSEDHGSKQLHSDLLEVMDFINDGVVSLDSLTFAYSPAALILACPVETSYGSDELLSSLVRLHDSLLPSLHRGFQVLFKDGDHDSLSDISTSLSMLSTRIGSLCWKILDTCYLSKDLSDHESSIPAVTKMFPSRVEDPMVRADILIQTFREISGLSDQSLESKNRLLHKIEKSYRIIERLRSLQKAGWISMEDEQLQYLSMIMLHSGGTVSVKESPLLLTDGRNTTELMDENAVVMQSKISQIKDIFPEYGNGFLAACLEAYNQNPEEVIQRILEGTLHEDLQRLDTSSETMPQPKPAPTLGSKDKGKGKLIESDSSSSGIYTEQPMTRPSVPASSASSTAVGRFVRKPKDDTPSYKILDARKESDRERNAALLAQYEYDDEYDDSFDDLGFSVGESATGESESFGGNRAGQADSEPSAASKWGSRKNPQFYVKDGKNYSYKVAGSVAVANANEASLVNEAQEDMILGLGRGGNIPLGAVRKLTEYQTQRDDKGQSNVNVNTGDGRENVRFGRGRGRGRGMAREQTQEKSNDNSNNSEATTEVENGGGRGRGRGRRGGGGGRNNHNHKDRAMKKHIASVSGKNSKMERQLVASRKVQQEENKKKKLSVDEDEYCARKHVSDIESEKEVDKSCLTEEEEEEDEYLSDHNSQKRTREAVTTRYDDTSYDDEWLFGTVNQQKKSAIKDDVEDMNMKFQIASTALFSFPRAQFLSRVGIFTLPYTVMF >A02p059330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35435525:35436275:1 gene:A02p059330.1_BraROA transcript:A02p059330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYERLSEEETYWWIPFKFLDQTLKAIFKCLGLLHHESPSTKTESSPVTSTQPLQQEEEEEEEDVVMEENVIITSRGSKTIATSRGTKLKAKTKKKEQKSSGRSGQHHKYDL >A10g504050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:10050977:10051237:-1 gene:A10g504050.1_BraROA transcript:A10g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRIHPNSGHHTQHQTVIQLEHSRVKDVMRSQKPDTHHRQKGRAPEAEAGRPSEGHMRRRNQKQEHHRREQEDSSHREIFRSPWL >A05p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27570870:27571112:1 gene:A05p046380.1_BraROA transcript:A05p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSTPMLHETYTKGHFDVGEETYSRSRSVYVSSEPIVPEVVDTLVKGSKQEEAEEIVELANKDEIS >A03p001280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:650063:650893:1 gene:A03p001280.1_BraROA transcript:A03p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVHEETRLVLLIKGKRTKRQRSVSPHMNAEAMSSVCKEERSLEAREGVGEIEFRGATDEDQDMANCLMLLSQGHKSNTSGDPLLTQKIGFLSNKKPVASLGLGLNGVYQCKTCDKSFHSFQALGGHRTSHKKPKLGAIILKCGEKKSASAVKTVEAARVVGSFLSLQVTSSEGTKKQEKTHECSICKAEFSSGQALGGHMRRHRGLTLNANANSTTRTEISSSHHQESIREKNFIELDLNLPAPEDEPKFVFASKDQILLFAAAASNSLIDCHH >A05p026750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14163465:14166124:-1 gene:A05p026750.1_BraROA transcript:A05p026750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPELLSFPSSLVGGRPRPRRPFTDPFSSPLPSWGHVPEDGSEAVPMAPLRRLCSYFFDDGPRSEIREGDLANMRRKYAIHPSVGMRSPTEFERAPDGGAREVAVYEAYLEASFWGVIPSIIGKVSFFFGFCPSQLTPLTWRTLMAIQVLREFHGFSVGVHEILYSYYFAPLTNKAGFYHLRSRDGTPPVKEPSRGFRGNYPFGTGIAALSFVWEPDLMFSFCFVRCVPPGFLCWRSSSEAYNRSSLTIPMGDLPGNVARLPVSVVYDEYQKAKARKRRPSYTPPPRLARAALSASGPSSTSSTSVEVLPDHHPMVDTRLREDPGVIWGPKGIRRFYDRSGDLVGTRRFSRLDPEVMQEYEASEAVLEPGGLDPEIADWNPEEPRGSSLDPEIFDRNPEAIGEPRGSVLRLPRQDYYWYLFGFRIMPLGSWPLSSSYAVFYFCRKSLTGLEGVGVVVMTQVLGFAAFHVWRSKFFDCSLYFTVLLQ >A04p040300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22710147:22710911:-1 gene:A04p040300.1_BraROA transcript:A04p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLRSSLLIPLFCLVVLLISNFHGAVEAGKRRIEITDDLDDVEDSEEDESWKQWGSKAATPEFDPPPDFSNMGFDQIQEEMAKRTFAPVVGFVKLRLGVQRTKDMVVEIAMKWTKVLRTGGLGVRFMAVDRSTVMFNMQNGKEVTELREFVLSQEEAYEVKIGKQEFRRPGDPPLDDVVEKLKADQSKGDEDGDSDNKNGVTKDEL >A09p083000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59896065:59898195:1 gene:A09p083000.1_BraROA transcript:A09p083000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELDKALSLEIDNFSERTNVMKSVIFSSGGCNWFLCVCAGDHLSMYLQDVNTHRLRSGWKRRVSFCFVLLNQSGKELFRSPDEGRRRLFCAETPSWGVGRTLPLTKLQEKGFLEKNKLTIEVYMKVFEVVHQGKSTENDVLDYNGFNIIASQAGPVRDIFVQHPDFAIDVIPKNQGVRTSYMNLLLGLVEALRKSPQSFSVTELSNAESELAELKEAGFKLDWLDSRLEEISLERKKLVSDGPWVKKLEEQIKSVELTLSDFKVELDKERIKSIIAGPVGYIFVTHPDFALDVIPMNQGMKTAYMNLISNLAKALRKCPRIVTELKEAGFKFDWLKSRLEEISLENKKVLSGGPSVKQLEEQVTNVELNLSDLKVELDKDMIKSIISSQTGPVRDISVQQPDCEVDFILKNQGMKTEYMNLLLGLVGMLRKSPQSLFVTELNNAQSELTVLKEAGFNLDWLNSRLQEVSLERNKTMSDGSRVQQLEGRMKNVELTLSDLKDELDTERIKSAAKVSSSFGLLDFFIKRFFLSCFSFSKY >A05g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5443916:5446109:1 gene:A05g501530.1_BraROA transcript:A05g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDDWGGLEEVEAEAGLVLRGEEDFRGKVLQGENYLLRSPSVTGRKGFQTSWVRGEKRREKVGREGRGRIRWVRRDGRRRWRLTGGERRRVQGGSVAGDIPNTLHREPSQRENDSLTVYFSRGLIRIQEKSQTHGFPAGLCSSLGIGGHLVGGAYGSMMRKFGLGADNVLDARIIDVNGKILDRAAMGEDVFWALRGGGGGSFGVILAWKIKLVPVPSTVTVFTVTKTLEQDGTKVLYKWQQVADKLDEDLFIRVIIQTASKTTKPGNRTISTSYQGQFLGDSNRLMQVMQKSFPELGLTKKDCTEMSWIKSVMYIAGFPNSAAPEALLDGKSLFKSYFKAKSDFVEEPIPVEGLEGLWKKLLVEDSPLTIWNPYGGMMSRIAESEIPFPHRKGTLFKIQWLSSWSDGKASDERHMKWMREMYSYMEKYVSKNPRRAYVNYRDLDLGVNEEDSDAREWGSKYFKGNFERLVKIKGEFDPENFFRHEQSIPTKIG >A06g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13280234:13292850:1 gene:A06g504220.1_BraROA transcript:A06g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAFGNILKISKEQEDCLGTMAHESEEDEGVSLEHKALLEALTRRMNTMMETRLGTFREELDAESSEPSRQKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKNVISGGKPGRKEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMPSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDHDGSNESGAQSNTPAEEEDVLAIPTGPITRAMTRRLKEAVGNILKIYKEQEDCL >A01p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20129607:20132941:-1 gene:A01p025380.1_BraROA transcript:A01p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM-domain containing factor CFM3B, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G14510) UniProtKB/Swiss-Prot;Acc:F4JVH1] MAMNSSRHLYPAATTAVDSLGSSFCKLHGATSLRFLRYSPSISLGDVSRFGFCSTRKVKLCRGSGRDENWNRTQKQNQFKPVLNHRKGETFSDLGVTSGENGDGGSSTMERIVEKLKKFGFVDDDQFQDEEIEHERRFVEEEEGNVRNRRGGFSEESPFGAYGGDGEVKFPWEKVSSKEKEKEELVNGVWTAKKESRYSLAEMTLPQAELNRLRNLMFRTKSKMRVKGAGVTQAVVDAIQEKWKSSEIVRLKIEGTNALNMRRMHELLERKTGGLVIWRSGTSIALYKYKNDTYRDASVPMNKQIYRRAETSPSSLPTSRVDHSVEQVHHPQIEKEATNVGNEDRASHQEVEYEDEINELLEGLGPRYTDWQGGYPLPVDADMLPGIVPGYEPPFRVLPYGVRSTLGQKEATSLRRLGKVLPPHFALGRSRQLQGLATAMVKLWEKSLIAKVALKRGVQLTTSERMAEDIKRLTGGMLLSRNKDFLVFYRGKSFLSPEVAEALVEKERLARTFQDEEEQARLRASSGLVVPCVKANQNHLVSTGTLGETLDAASKWGRNLDDDDHVEEVKQEAEKLRSANLVRKLERKLAFAEKRLMKAERALAKVEESLKPSEHRTDTEGITEEERFMFQKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKILVKAKTFEGAQKVALALEAESGGILVSVDKVSKGYAIIVYRGKDYKRPSELRPKNLLTKRKALARSVELQRRQAIIKHIAVVQARTEELRAEIEQVELVKDKGAQVLYNKLDMAYSSSDEETEETDGEGDDIYLNTYDEEEEDSEEGGVQAKGSLSDVEFDSEDEDWDSDELETEFDDDSASSATPFDAESTSSTTPEATFVDQQKEERHLQS >A02p032180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16877301:16879698:1 gene:A02p032180.1_BraROA transcript:A02p032180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNSLAGLQDHLKLAREYALEGSYDTSVIFFDGALAQINKHLNSVDDPMTRTKWMNVKKAIMEETEVVKQLDAERRAFKEAPTGRSRAASPPINSTRSSFVFQPLDEYPTSSSSSGAPMDDPDVWRPPTRDVSTRRPARPGMRKSPQDGAWARAGAGAAGPTTRTTPPSRGGRGSKSAAASARSSASGKKGPASKSTKPDSTNGGDAEDGKSKRGLYEGPDEDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNSRGGSGEHESSRRVKSELLVQVDGVSNTATNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDLESRKALININLRTVEVASDVNIEDVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDDISNDPVAMCDFEEAIKKVQPSVSSSDIEKHEKWFSEFGSA >A06p005610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1808008:1808995:-1 gene:A06p005610.1_BraROA transcript:A06p005610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDDDKEFKEETVILSDDDSEVEEAKDGGEEGSDEEEEEVDGSEGDDDEEDEDDDEVQVLQSLGGPPVQSAEDEDEEGEEDGNGDDDDDDDDDDDDDDDDEDEDGEDEEDLGTDYLVRPIGRAEDEEDASDFEPEENGVEEDIDEGEDDDENDNSGAGKSEAPPKRKRGAAEEEEEEDSDDEDDARPPKR >A01p006430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3251426:3252397:1 gene:A01p006430.1_BraROA transcript:A01p006430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTVVLAEFTATSTNASTIAKQILEKVPGNNNDSNVSYSQDRYVFHVKRTDGLTVLCMAEETAGRRIPFAFLEDIHQRFVRTYGRAVHTAQAYAMNEEFSRVLSQQIEYYSNDPNADRINRIKGEMSQVRDVMIENIDKVLDRGERLELLVDKTATMQGNTFRFRKQARRFRSTVWWRNCKLTFLLILVLLVIIYIAVAFVCHGPLLPSCI >A03p062750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:27224136:27224684:1 gene:A03p062750.1_BraROA transcript:A03p062750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTIAIRPVEFYGNALPRPRFFANPQFNSHRVDPPLLSWARDAHWSTGGLNFTRLRLQGRIEGDVDKLRAQLEDSSPGDSEKKKKRSSCDSPPPAAPVAVKRRRYVDLNDDEDGIRSGDEGTARIIRNLSGDFDRVAGESEICKKSIGSESVGKRLKEKKKTSSIRTSPRLTRIIPLGFRF >A03p056140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:24264135:24264698:-1 gene:A03p056140.1_BraROA transcript:A03p056140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGENKKVERQSSSFRLRSPSLNALRLQRIFDLFDKNGDGFITLDELSQALSRLGLDADLSDLKSTVESYIQPENAGLNFDDFTSLHKTLDESFFGGAGDCYESSPDSAAAEEADLAEAFKVFDENGDGFISARELQAVLKKLGLPEGSEMERVEKMIVSVDRNQDGRVDFSEFKNMMRIVVIPS >A01g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13955885:13957527:1 gene:A01g504620.1_BraROA transcript:A01g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEEVFFSIGKDIKQRLSDTDPGLSLPRSR >A03p055020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23705520:23708108:1 gene:A03p055020.1_BraROA transcript:A03p055020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKLNLYSPLPSIRRISSKTKHSSESNNKKTIITRPELRSCLSKEQETSVFVLSDQSFDHLSEPASVPFMWEHTPGKPKDDMATLIQESGLLETDDEEEEDLYTVSSDGTFSVNCSTSGVSDIEKNGEKSDDASSREISNRGLNHSREQTGLPNWSTRRLSGFMSPYRTSCSAPEKPESFKRLNIGISKSQELCPTRTRTREILPNHSHSGEVRMLRNSISTPPWIQGTTIHDTRFLAEEVIRRRRSSNRSGNLLKTSPKCTAAITTPPLPETPSRPWLRRTLLPPVSPRPYGVVLGQVGAKKLNQEIVESTKWETMVKTSYVHNDHVRYSQELTVHPSRQKIT >A01p043110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25036927:25037823:-1 gene:A01p043110.1_BraROA transcript:A01p043110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYFKTLEEDPQTGATIVHWIKKFPFFCSDREYIIGRRIWESGKKYYAVTKGVPYPTLPKRDKPRRVELYFSSWIIKAVESRKGDGQMSACEVSLVHYEDMGIPKDVAKLGVRHGMWGAVKKLNSGLRAYQSARKPGVALSRSAQMAGITTKLNMDLVETSRVEEEEEKGQAMEKARRQKEQFNVDWKWIAVGGVALACGLHSSAIGKALMVGAGQRLARR >A09g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2674298:2674845:1 gene:A09g500670.1_BraROA transcript:A09g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNPSQLLPSGTHTSLPSTSEELDLKNRDSVAELIDRCIGSKIRVIMKGDKELVGVLKGFDVYVNMVLEDVTDEITAEGSRVTKLDQILLNGNNIAILVPGGAPEDVE >A09p053240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46320003:46323918:-1 gene:A09p053240.1_BraROA transcript:A09p053240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADKIRAVREGESMIVAVMDYNLYLTRVVLVDNEDPIIEWEGKLNQQTRISKVFHCDGLLLCVLKDDHTKVVVWNPYWGQTRLIESRYSWGHKRLCLALGYEDKGSSRSYKFLRFIDCGVTLKGNTYWPATKGRNPVDEFDDHIICFDFTSESFDPLLRLPFDVGRDDDVTLSCVREEKLAVLITHNEVGPMEFEIWITAKIEAGEVLWSKFLSVVDTVPYPLITSKSFFIDEEKKVAMGYSKTFNIVGKAEYFKKLELAGRDRNGKVKVCSYAPSLVHIKQPGAGGNSLVLKGTVCALAAPVNNADMIFTSSQDKSVQIETVTKISDLPENLVEEILSKVPLKSMRAVRLTCKHWEALSKSRSFSKMHIDKIRSGESLMIAKMGMGCNLYLVRIVLGGVNEDPFIECQDRLTCKDKQTKISQVFHCDGLLLWVLRMRDDVTKYIVWNPYLGQTRSIESPYSHRPAGWNWFTYALGYEDKGSTCRGYKLLRFRDKFFDTPMHQYSCYEIYDFDSSTWKRLDITPNSSRRLPYWGGVSLKGNTYWLASQRNTGQDLLDDHIICFDFTSESFGPLLPLPFYAGANDDVTIACVREDKLALSLSRINEAGELEFEIWSTKIEAEKVSWTKFLTVETEMELHPLFNSGSFFIDEEKKVAMSYSKTFNIVGEDGYLKKLKFRERLGIDRNCSVMLSSYFPSLVHIKQPAVGDKRKQHKDFEAQGYDKKKG >A07p043160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23359890:23361193:-1 gene:A07p043160.1_BraROA transcript:A07p043160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGFSVSDELLGAVAPIVVYWLYSGIYVALSSLESYRLHSKAEEEEKNLVSKSSVVKGVLLQQLVQAVVAIILFTVTGSDAEADKAQEFSLLVLARQFVVAMIVLDTWQYFMHRYMHHNKFLYKHIHSQHHRLIVPYAYGALYNHPVEGLILDTVGGALSFLISGMSPRTSIFFFSFATIKTVDDHCGLCLPGNLFHMVFKNNSAYHDVHHQLYGSKYNFSQPFFSMWDRILGTYMPYSLEKREEGGFEARPTKEFKDD >A02p053230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32403336:32409340:1 gene:A02p053230.1_BraROA transcript:A02p053230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAEEPSHQKPLTDNDIIRLAQYHHCQTSLSLPPYLLSPTSHDPLLAYLKSRSSLPSPSKPVSEYAIALLSLISLSPTTPSLSSLLASLLIAYTQIFPKIPSDSDSLKTIQLFGTLLRYLHVKEIKSVVDSILIGVSRVISVDDAQLFDLLPVCFDLLRREAKASEIDYVNSVIDRVLTCEWEKGFVTKMVSLAKELTFVDKGRKSELLEKVFLGVKCIDLQDLPSLVYQLLVLASKGFCKREVIGGVVCFFGSKAESRVASVLRQIEGTVLLHVNFAVKQDPSLGQEVVALVKSDLRAFNHFTVAVLFSVARVRKFGENSLGILRTALLSAYNDYRLSKDCKWLPDELKEESLLHAKLVEKSLLRAVSECKYGREHVFPSVIQFGFMLLESVEEGRSNESGGFSGVLGIEKLSIQILGTLFEVHDMTRNEIIEQCKFRILSLKCAKSKPILRLLGYLVQRYSLIMLDYVHHLKELLDYFTFMEGNISCFLVSAIIPLIKFSRDLQDYTILVIRKAMFRREDTVRVSATKVIIELILAEKQAKKDSSFTLQDSSSQASSSQHTEVSCTVRGNLFTELNGLLQRCLYQQAKVKEVVYDGLVKLVLIDPSSGAHVLDFLMPHFLHFFRQDTDFQLGITSCIKVEGGKFIIEEPLDRLLFCISWILLLQPHNNSDRPSDATWPCFGFALTQENELAGRNVSHEVYSSTLLKVRKFLLGKKLGDIVGLSQDTVSASLEEDKRTSYCLIILGNVQVLLNYIITDLEKQPEGKKGEIEKDIVDLVDLYESLEKEAGKSKQSNIGKRVRFSSRSKSDDTGVGFVSINEEREHVPFLSTSSIYQLFLIAFKLHSSKSACNLSGSQDHSQSSSAKTEKSISGVLSFTLHVCVGHIRSSLCMKEENPLKPLVYGDMIVLGPPLMKVVYLLKPGPLVVTGQTNKENKGRKVAEGRKQCLHLALLSLKELLSIYSSGSGWTGLLEDLLAVPASDDAILEECSEASKIEDPLVKNIEIFMEKVMKPMITDLIAQNSNDVEILCDIVLMLGSTLPDKFKQRHGSWAHEIFRSCETSNTTVAKSILKLAISFTASPGDLCIAVEVAKELQNVIGLDKSDTSQVSESYMIVNQSTSASITSCILQLVDSAIGDMDWGTKKLKNLCVASQKNIHLDHDADSSFGLALEEALYAMAESTVRILSSFVLMNLKDSQAAQFLRLAVKFYKQLAQIVKQRIAPKGCKQTLPSLKFQKLVELTCKSLTVPLYPFLAEMQKEQQESVSSNTKGIINKIKQENKCIPDLIFQIEDYERYLIQLSKVTKLNLLRHAKRSTARDFKIIEDAEAPAGGEDGGNQEETETQNNNVGGNEDGLGEESEDDPEQASRDLHSDKAIAAEENDDEEEEEEKEEEDDEEEGSGLSRPKKIAKKSLVVEDSDEDSVTF >SC376g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000218.1:3109:3856:-1 gene:SC376g500020.1_BraROA transcript:SC376g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQHADMCPDMVHQLSKISTRTVHGKGQHADMYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSQISTRTVHRKGQHADMCGQHADMSSVHGSVHGSVHGHTRTVHGKGQHADMCGQHADMYGQHADMSSVHVSVHGSVHGKGQHADMCTDMVHQLSKISTRTVHGKGQHADMCGQHADMSSVHGSVHGSVHGQSTGRASMLICVVSMLI >A04p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:638223:639446:-1 gene:A04p001280.1_BraROA transcript:A04p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASSAIAAISSPSFLCGKKLRLNKKLSVAAVSKSAASVRAVAADPERPIWFPGSTPPEWLDGSLPGDFGFDPLGLSSDPDSLKWNVQAEIVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQEYFTDKTTLFVVELILIGWAEGRRWADIIKPGSVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >A08p036000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21216089:21217725:1 gene:A08p036000.1_BraROA transcript:A08p036000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNEAPSAADEEQVWSWGAGTDGQLGTAKLQDEHLPQLLSLTSLPSISMLACGGAHVIALTSGGKVFTWGRGNSGQLGHGDNLNTSLPKLLSFFDDDYFISQASAGWSHSAFVSDSGSLFTCGNGSFGQLGHGDNISLTSPAKVSYFVDKSVKMVACGMRHSLVLFSGNQVCGFGSGKRGQLGVSSERTKSVNLPCTVSGLEDVEVVRIAANGDHSAALSANGELFTWGRGFCGSPDVQTPQCLPSSQSFREVALGWNHALLLTVDGKVFKLGNTLNKQNEKQQLQEDSSETLLEEVPGFDGVKVLQIAAGAEHSAVVTESGEVQTWGWGEHGQLGLGDTNDQTNPQLVSLGSGDLQTKETSVYCGSGFTYVVRRKQ >A08p013150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6044755:6046322:-1 gene:A08p013150.1_BraROA transcript:A08p013150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRDDSVYPGTDHPFRKRITSFLTQGLEKETVNHLCCYNETNDNKFQIAVMKCRYEVISTKIKKSLLKAEIITWASLEHSKQPAVLPDPNFLNIGFNETGLSFFQERDAALNKFFREIYLNSDEDMRILHNQLVESNGTVLSIDWKDVGAKKIESTLHDDLALMT >A09p031830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19273373:19274171:1 gene:A09p031830.1_BraROA transcript:A09p031830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYSIQITPKLINQLAQGNEKPKRKAKRTKPKVSPPQNNADQARTHRDAAEKPKPVAELPTQTPPFFFPIPQQGAANTELESIKSVLTESEKVLEKVERREKNIVGEVTERAKDLREKEFKIPEPKPMPCSSDHEAWKKCYEENVGNPLICSGLVMRFQDCARWSRQQVSSAQNSFDISGNDYKYLPFGSVRIILRSD >A06g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24288078:24293752:1 gene:A06g508610.1_BraROA transcript:A06g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKIVSEFCSINRKFSSITLDLLPENILLRCTTFSASGEIWIFMADLLHKAIGAIGSALVAESTRNHQASKPPNMRAEPLESPPGFPLLFPELSNQDRKMAMLYISHSDDTERLARIERVKQGIAETLENPSVRLPKITQNLDKGKGHVFDFSEPSGKRLQLSGRDHVELPLNMERLDNETESASSSAPIHTFSAPALVTTGFHLGPSSEGRVHGNQSTVKSQRRRPPSWKRKTQAKQDKGASALSANPTAVYSQVMKRKSSDEVGRVMETIRVILWTALVVAVERSWIGDLLSDDSNVWDIRKLREVIDDEDIPLILQIKTQRGKNDMLRSVDPKLRLAFPWILWHIWKNRNLFCFEQRSNSAEIILSRALEEASVWLQLNAYVPADPPAMELEVEDSNSWKKPPSTTVKCNVGSAWSASNSTSGAAWILRNSEGEAILHSRRSFVGVRSQLEADLIAHVWTSEALSDLKLNRVILETSSSQLPQFLALHAVPRTLHILWKRLRKALDHIKCRHFLVRKECNRVATTIATSALQSQWHQSYISAKGPRWLNACIRREASHAT >A03p055220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23808908:23810836:1 gene:A03p055220.1_BraROA transcript:A03p055220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISGNGHGDSKEGAVMVNINQEVELQQQQKEAIHTTKSMKKQDSVLSFSVPFLQKLMAEILGTYFLIFAGCASVAVNAQHDKAVTLPGIAIVWGLTVMVLVYSLGHISGAHFNPAVTIAFASCGRFPLKQVPAYVISQVIGSTLAAATLRLLFGLDQDVCSGKHDVFVGTLPSGSDLQSFVIEFIITFYLMFIISGVATDNRAIGELAGLAVGSTVLLNVIIAGPVSGASMNPGRSLGPAMVYNCYKGIWIYIASPILGAVAGAWVYNTVRYTDKPLREITKSGSFLKSVRNGSSR >A02p044510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28067152:28068804:-1 gene:A02p044510.1_BraROA transcript:A02p044510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLHSTAAREFPGHENPVKLASETAFSVSEVEALYELFKSISSSVVDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRSLNVFHPNASLEEKTDFTFRLYDMDCTGFIERQEVKQMLIALLCESEMKLADDTIEVILDQTFEDADVDRDGKIDKTEWSDFVIKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >A08p021100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14194588:14196095:-1 gene:A08p021100.1_BraROA transcript:A08p021100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase FKBP17-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G19830) UniProtKB/Swiss-Prot;Acc:O81864] MIRCFARAPFIATVHFASPPSITISASHSPASSVSASRREISLSIIAVSSGYFSSPARAEFSEIPNSGGVKSLDLRIGDGEVPIEGDQVAIHYYGRLAAKQGWRFDSTYDHKDSSGDSVPFTFILGSTNVIPGIETAVRSMKVGGIRRVVIPPSQGYQNTSQEPLPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLVFDIELVSTRRPNR >A09p045860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40123210:40127711:-1 gene:A09p045860.1_BraROA transcript:A09p045860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRVTIMFITMMVVIGNFVVQTEAQAYPFRSCFPGCIVSCAIEKKFPTGLMCPFTCFMTCLPPPTSNIPSPPSQMISANEKIDNNNVYCKLGCATHHCLPLSSLQNPRPSRPDLGKKKLK >A09p042290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22062297:22063104:-1 gene:A09p042290.1_BraROA transcript:A09p042290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSAGRYCSTVEVWLLTFWVARKIRHSGELMEVDMLLLDAKIISIFNLIIIKHITGSLCDMLFIATSHSPTKSPFFSQDVKWMSIKSADKFDFILATFKISPIYFLMFLELLSVMVWRAT >A01g508720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:23832721:23833377:-1 gene:A01g508720.1_BraROA transcript:A01g508720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLLPTSTSPDHLPTGGGDPQLLSSLRVLFSRVVSSVRHATSDARPWAELVDRSAFSRPPSLSEAASRVRKNFSYFKSNYITLVAILLAASLLSHPFALFLLASLAASWLFLYVFRPSDQPLVIGGRTFSDLETLGMLCLCTVVVMFMTSVGSLLMSTLALGMMAVAVHGAFRAPEDLFLEEQETIGSGLFNFFNQNATNAAAAAIATSAMSRVRA >A07p019150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11380595:11383134:-1 gene:A07p019150.1_BraROA transcript:A07p019150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIESAEYNGFETTNGASHSDHGWKKVVYPKRNRKQKPSDQTTTANGETHVPNGDNVFRSLEEKAEDRHRRILAAKKAAADDSDDSDPARSKLRSNGYDYDDSDTEAAVGKENVKAEEKKPKVKKEKKPKVTLGEAAAKIDASNLEAFLFEASESYASQPEIQLMRFADYFGRALSGVSSSHFPWVKTFKESPLSKLIDIPLSHIPEAVCKTSADWINQRPIDALGAFVLWALDCILADLAVQQGSAKGGKKGAQQHATSKSQVAIFVTVALVLRRKPDALTNVLPTLRENPKYQGQDKLPVVVWMMAQARTILVNGAVRKGERLIPPPSFEILMRLAFPASSARVKATERFEAIYPLLKEVSLAGVPGSKAMKQVTQQIFTFALKAAGEGNAVLAKEAAAVTIWAITQNVDCCKHWENLYNDNLEASVIVLKKLIDQWKERSVKLTPADTLTLNRTMKSLRLKNEEALEGGAKGASMSLYKDADKCCKVISGKLSSGSGVIKGLAIVAVLAAAGAAAISANPEAIAELKGQVESWDLNKITESVMTAFKN >A03p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1655688:1658272:1 gene:A03p003800.1_BraROA transcript:A03p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLMSGGGFWAVPARPDFGQVWSFAAGAPPEMLFTQQQQAATLFVRQQQQQQASAAAAMGEASAARVGNYLPGHHLNLLASLSGGNTGSGRREEDNPRLS >A01p010680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5217333:5217679:1 gene:A01p010680.1_BraROA transcript:A01p010680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSRFVFFAFIALSVLLAGVESTEMASDDSVWSSNKGGSLCCNDHPKFGVCTKDSSCNSWCLKGCDNGKGGFCKEKLCHCDC >A04p007760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8686565:8689058:1 gene:A04p007760.1_BraROA transcript:A04p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVEKVPTAEASSSSVEASITGENTEPTTTTEKTKWGDVEDDDEEESDAVSELKYLSIKDEEKPESILDEPEDSNIKAVTSGDTPYTSASRFEDLNLSPELMKGLYVEMKFEKPSKIQAISLPMIITPPHKHLIAQAHNGSGKTTCFVLGMLSRVDPSLRQPQALCICPTRELANQNMEVLQKMGKFTGITAEVAVPESNQGVTTARRAPVSAQVVIGTPGTLKKWMAFKKLGLNHLKILVFDEADHMLATDGFRDDSLRIMKDIERVNPNYQVLLFSATFNETVKDFVQRTVKSPNQLFVKREDLALDSVKQYKVVCPKEQDKIEVIKDQIMELGDIGQTIIFVKTKVSASKVHKALAEMGYDVTSVHGSMSQEDRDKIVKEFKDCLTQVLIATDVLARGFDQQRVNLVVNYNMPTKYETGEPDYEVYLHRVGRAGRFGRKGAVFNLLLENGNDKEVMEKIERYFGAQVKEIKSWNTEEEYKSALKEAGLLDE >A02g500810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2457567:2458968:1 gene:A02g500810.1_BraROA transcript:A02g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIGKIRKKEGRLLVYKEKSTSFTHHILSLPPNPPRSPVHAPAFPSPPVDLYSRNSKLVRSSHAHLSRNILPMAALYTLVSSTRLSVSLPYHRNLHTRRRFHFPLATLASSSSPDSSASSNSSSIPVANGNTLSNSYGTHDKVVDDNSSLFARFFRSTESNVERIIFDFRFLALLAVGGSLAGSLLCFLNVTLCNSPPDVPSESDRALKASSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKGEN >A09g502940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9811509:9812195:-1 gene:A09g502940.1_BraROA transcript:A09g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKKKRALDERRFDPPEHEDYVRCCISLDLGLGSQFSNDLGIFSYEVRDSDDLGFQLIWGSFRLVFFAYISSSLYIIFAYTIAFRLTKHGTRLFVQPAFFIRRVRHNFPSSSRS >A08p046290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25222946:25224932:-1 gene:A08p046290.1_BraROA transcript:A08p046290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLIFLITLSFFLQSLLVASHNLANSSTICKTTPDPKYCRSVFPHSQGNVQQYGRFSIRKSLSQSRKFIRTVDKYLKRNAHLSQPAVIRALQDCRFLAGLTMDYLLTSFQTVNVTSAKSSSSKTLSFPKAEDVQTLLSAALTNEQTCLEGLTTAASSSATWTVRNGVALPLVNDTKLFSVSLALFTKGWVPKKKKRAGFAWAHPRSGSSTHTKPFRLFRNGALPLKMTERTKAVYESLSRRKLTDGDGDDGSMVLISDIVTVSQDGTGNFTNITAAVAAAPNNTDGSGGFFLIYVTAGIYEEYVLIAKNKKYMMMIGDGINQTVVTGNRSVVDGWTTFNSATFAVTAPNFVAVNMTFRNTAGPEKHQAVALRSGADFSIFYSCSFEAYQDTLYTHSLRQFYRECDVYGTVDFIFGNAAVVFQNCNLYPRKPMPNQFNAITAQGRSDPNQNTCTSIHNCTIKPADDLVSSNYTVRTYLGRPWKEYSRTVIMQSYIDGFVEPVGWREWNGDFALSTLYYAEYNNTGPGSNTTSRVTWPGYHVANSTDAANFTVTGLFLEDDWIWKTGVPYTSGLIS >A07p035720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19287511:19289102:-1 gene:A07p035720.1_BraROA transcript:A07p035720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDGATSTSAAAAAAAAARRKPSWRERENNRRRERRRRAVAAKIYTGLRAQGGYNLPKHCDNNEVLKALCSEAGWVVEEDGTTYRKGCKPLPGEIAGTSYHHYSSQNQSPLSSAFQSPIPSYQVSPSSSSFPSPSRGEPNNNSSTFFPFLGNGGIPSSLPSLRISNSCPVTPPLSSPTSKNPKPLPNWDSIAKQSMANAKQSMASFNYPFYAVSAPASPTHRQFHAPATIPECDESDASTVDSGHWISFQKFAQQQPFSGSMVPTSPTFNLVKPPPVAQMMSPNVALQEIGQSSEFKFENRQVKPWEGEMIHDVGMEDLELTLGNGKARG >A09g510130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29279039:29280111:-1 gene:A09g510130.1_BraROA transcript:A09g510130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSYIFRHYLLYTRKKKEIIFVPTQKKKKSSRRQAISKRLDTKMPNSHKPHFLKPLLPDFHSGVTIPLGFFSQHIEGKTNRKTWKLRSDATDQTWEVIQEGRRLTGGWKDFTTAHDLQIGDIVIFKHEGDMVFHVTPFGPSCCEIQYTHPHIIKDEADVDDAPSFSFDYCFQAEVTASNLKEDKLYLPEGATTCTALNKQCQEIILVNKEGNSWTVSLRFSEADGMYYIRRGWRKFCRANRCAIGDLFVFNVVGDGKTTPLMCVCPEREE >A05p041820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25340400:25345023:1 gene:A05p041820.1_BraROA transcript:A05p041820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPEKGEGEQNTTGLFPLFPTQANSVSAISSAPQWLRNASFTTDLSVIDAAPSTAPSLPDVEASEEEEEGDAYRNNTEANQPRVYDLVEEEEGSESDDDDKAKKKKKKKKRKRDSDEYYTKPTKDYYLDTRPDPDNLAYGSIYRMNVPRYKLDNSQRGSESGSTKLYLRNRRGSMLEAEIDVNSLDGKAKSDNRYWYAKNAAMERNKNFRRIRLSASSREAVDSCFDRFIPLEEGEAVQESEEEDVESKESVVGTSWEDEVLNKTREFNRQTRERPHDEKAWLAFADFQDKVSSMQSQKGVRLQTLEKKISILEKAFELNPDSEELLLALLKAYRSRDSADVLISRWEKALMQNSSSYKLWREFLRVVQGEFSRFKVSEVRKMYSYAIQALFSACSKRHRQMDATSEPLDSALIQQELVLVDMLVSLCTFEWQAGYRELATALFQAEMEYSIFSPSLLLSEQSKLRLFEHFWSSNGARVGEEGAFGWSLWLEKEEEHRQKMLKEESSDDNDVGGWTGWTEQLSDRKEDSIIASANIGEGDVNRDREGLDEEMEDENGMPEDDTEAMLKLLGIDVDAAASDEVKDTSTWVKWFEEEVSRDQNQWMPTRKAGELSSVDEMGEREDEEQLSSLVLYEDINGYLFSLRSNEARLSLVYQFVDFFGANISPWTSSNSLWWIEKINSLETLSDSMLEILRNVHECLSKSDSANGFSLGSLLGSNSDISMRTEMMKFLRNAILLCLNVFPRNYILEEAVLVAEELFVTNMKTCEVATTPCQALAKRLLKSDRQDLLLCGVYAQREAASGNMKQARRIFDMALTSICGLPKELQDNSPLLYLWYAESEVANSSGSSRETESSSRAMHILCYLGSGLAYVPYTSQPSSMQILRARQGFREKLKKIQSLWSHGVTDDQSAALVCSAALFEELTNDLSGAVEILEHMFSSVLPGRRSQSHQLEILFNYYVRMLQRHQDDLTLSKLWNPISEGMQLYPLSPELYQALIDICNHRMTSHRLRMMFDDYSRKTPSVVVWLFALSYELSRGGSLHRIRGLFERALAQDTLNSSVILWRCYIAYEIDIAHNPSAARRIYFRAINACPWSKKLWLDGFGKLSTVLTAKEMSDLQEVMRDKELNIRTDIYEILLLQG >A03p059120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25687001:25689767:-1 gene:A03p059120.1_BraROA transcript:A03p059120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAFIVLIRCWSVSWSDLRYLGALSLPAKATSPERLPQVTPSQSDQPERPARATSSSDSRFDASRHKKTRREGLLVICFDVFTLSKPKLSTLAEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSSYPPRNNQQGSYQPQQNPSSGSFAPQESSTDTLLK >A01p026380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19600399:19602987:-1 gene:A01p026380.1_BraROA transcript:A01p026380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWQILSPWTYFWRRHVLLEMDGISGYSRRAQIGEILEVHMRKFEHIVVIWDWYLMMICWYKDSISANHKSGEKMRLGDNGIPGSSRRAQVGEILEMMIWDWYLMMICLCKDFLWGVRLFLLLSTANPSKSSGPLVLKDKGLGNFNYDEESMSEFKRYGVLRSFHFLLLAYGSNSGLTNNPLLDLETWCTIVQATDEEEEVSALYRYAGDRQYATPKPEFETHAHKRRSSEPTAGEDFRKCKFLSCPLTI >SC244g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000094.1:42681:52989:1 gene:SC244g500050.1_BraROA transcript:SC244g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGIEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEDVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVLKEMELLQSGTTRREEKKERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKESILLEKIEDVYENKINLRRVYEVKNVISEAKQGREEFNNHVRKLQHLWVELQGLRSHVDGNATPEQEMVLKLLASMESSYGWLVEMVLRGEQLPEMEEICVLIRRAYEIMRNDERLTMSRSESSWKPNGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKRRRCKMLSKAYVNIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQDSGQHNQEVTQEVESGAQSSGDGQRESTGSDDSGTQSSEGDGSNESGAQSRPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEEQENAVTLEIYHSNFIVTVVTELKDLGSYLASIWRVKHARRSLDKQGGVWIRSGQG >A06p000920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:58929:61257:1 gene:A06p000920.1_BraROA transcript:A06p000920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDETPSIQSPRDSLSPAPILPTVASATKPPPSSSSQSPLALVVQTPSVNRNGRVGGGGGGGRDDCWSEEATKVLINAWGDRFSEPGKGTLKQQQWHEVAEIVNSSGQCKYAKTDVQCKNRIDTVKKKYKQEKAKNGPSKWAFFKKLESLIGGGGKAHVGGRSSGPMRWHFRKRSASETESESEPEHSAESLPPPQPLLPKRVKMGESGVGDVAKAILGFTEAYEKAETAKLKLMMELEKERMKFAKEMELQRMQFLKTQMEITRNNQEEERSKREGEGDDDRNVKNNGDEFIAGVRRTVMTMCYVILSETRLWDDTVAGPTPENGLGKLRKHVSLATVQPPPLSTDQVTRSIVVTKGNNNVRGLRKLKMGLGRAPDSPTGSSSTPGSPLTPGTPCDHFGPFSADKIPSAGEVDASSLTTYEWIVINALDR >A09p078770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58164088:58168321:1 gene:A09p078770.1_BraROA transcript:A09p078770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding THSVSALCFMVPLLLQSPDGDIIDCVLSHQQPAFDHPRLRGQKPLDPPERPSGHNSRGLRPKSFQLWRMEGETCPEGTVPIRRTKQEDILRANSVSAFGKKLRHFRRDTSSNGHEHAVGYVSGEKYFGAKASINVWAPQVQNQYEFSLSQIWIISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTNDAYQATGCYNLLCSGFVQTNSQIAIGAAISPSSSFKGGQFDITLLIWKDPKHGNWWLEFGSGILVGYWPSFLFTHLREHASMVQYGGEVVNSSPFGAHTSTQMGSGHFAEDGFTKASYFRNIQVVDWDNNLVPSPNLRVLADHPNCYDIQGGSNKAWGTGVDRARGASASRRQNQKAEQRTSPRLPQHNRAFTPETDPPPAALFQNSGKVEERVDESKVKIEGCNGGLRGSGDGTHAHAPAAHWIRF >A09g516740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49256426:49257812:-1 gene:A09g516740.1_BraROA transcript:A09g516740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQHDEIEANILEERAALETKYQKLYQPFYTKRYEIVTGAVEVDGAPEEVKIEQGENKAVEEKGVPDFWLIALKNNEITAEEITERDEGALKYLKDIKWNRVEEPKGFKLEFFIDENPYFKNTVLTKTYHMIDEDEPILKKAIGIEWYPGNCLTQKILKKKGSKNINPIMVSPRLRTVSFFKFFSQPQVPEDENDFDKHGASVRQRTRKLKKVESPSRLVMGKRLEPAEDTYNLIAYEFCLTDA >A06p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17120578:17126396:1 gene:A06p032140.1_BraROA transcript:A06p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAK10 homologue [Source:Projected from Arabidopsis thaliana (AT2G11000) UniProtKB/TrEMBL;Acc:F4IRD3] MQSVREEESSSIDQEPRSCGDNSVWAHVSPLLSAACSDLHEGELIHGDNFNLFAAMSALEIMDPKMDSGMVSTFYSIDEAIESGFAPVPISSDRTVDVQCIIDIMDHLLACEATWHMGHSLAQTVFSCIYVLRPDRTSSHALLHSFCRVIRATCRVLVSVLSDARTNEEEDLFTMTYGLPFNGDEDGKGLLLLNAVEETICRQLRACKATSSKRRVLEARRKANEFLFLVCRMMQNWNLYKLIRNWKRAFAKLCYAGYVFVSNFQHFLHALNCMRRPQGRGLELARKHIAYCIAELESVLSSVEFLSLEIVENGKNEMEESTTGSGRHPIGFDPNLNKRLSAPTPPRAIKLLSWKKVGTSKFSAIDYYVKLLHNLDQICAFKLEPDLDAVLQFVVQFQKSRPDLVARAHLQLLLVQDGKLYGRDTFLTICARSLALDVTKNHGLHLNEYILQLNQLMINLLKILCANTPWQRRKLGKILNDWSIFNVQMGITVGNMMQQVTTPRTPKNGDKSLLILNHIYGWLEEQIHWVALRFLVLGFDLELYSPSEYCMVYWYMYIILWKLAEKAHFRVLIIVHTEERKAKKKEDYSRDMAREDRISLWVLLLKCQTSLAQGLTVMIAALRNEGMCLKSQGPFNTENEKFVQHFGLLQKASLPKYDAYESFSESTCHARLDYLPVYECFRDAQKMAKEIKVGYANDPDKLAEVVGLEQVAEHNIIAVNLLCQDRSLKVSFEFIHHPHFATAVVRRS >A06p032970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17683241:17684905:-1 gene:A06p032970.1_BraROA transcript:A06p032970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGNAVAFLRRRLLPTTLIHVTALDGIVNVNSLFSLALFLGLTTSGTITFPVSSSAAAGNQHLRRCVAAKGPVLAERLVSSHVYSFSFFLFSSLIAMSLKQAIRTTTNGSIVEEEARVLNAGMGRVNLAALRVGIVASCVGSVLGCGFLTMALVDLVQLKLGPLECMKSFNTLAAIVPLVVLVPSALLIYVLLRDMGNVRRKQRKTWEYGIVTCNKDHYVREYAFPPVSSRCVHRVVSTSIIVFSRVKVNGVNHEQYGYMIRTHGRTDRFHNSYYRKRRNREGGVDGGDVDESVGGKDSNK >A02p011420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4935742:4957400:-1 gene:A02p011420.1_BraROA transcript:A02p011420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPFTILLPFVFTILSPYFVSLTHSKVARLGISTTTRPTETVFASADNSDLNFFYYDQNLDHFTFTPKSYQTFQQRYVINAKHWAGSKANAPIFAFLGEEASIESDLYVGFFQDNGPRLKALLVYIEHRYYGKSVPFGSAEEALKNASTLGYLNAAQALADYAAILMHVKEKYSAKHSPIIVVGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFEDTRPKFGYYHIITKVFKETSKRCYKTIRKSWKEIDRVAAKSNGLLILSKKFKTCAPLSRSFDIKDFLDSIYAESVQFNGNPGDWVATLCNAIDNPTNRKNYGCSEIVMPIGHDKHDTMFQTAPFNMTIFIDDCKSKYGVSPRPHWITTYFGIQDIKLILRRFGSNIIFSNGLADPYSVGGVLENVSGTVVALKTLNGTHCQDLSSRRKDDPKWLVMQREKEIKTIESWISTYQKDLRVARLGIFPTTRPTETVLEKTETSDLKFFYYDQILDHFSFTPESYQTFQQRYAVDSKHWAGANASAPILAFLGEEAWLEVDLHDVVLAAWFRLKYPHIALGALASSAPLLYFEDTRPNYGYYHVITNVFKETSERCYKTIRKSWREIDRVAAKSNGLLILSKKFRTCAPLSRSFDIKDFLDSIYAESVQFNRNPGDWVATLCNAIDNPPNRKNYATSLAMIPVCLYSPQTMSSHGVGRHSCSEIMMPIGHDKHDTMFQTAPFNMTSAIDNCKSSYGVSPRPHWVTTYFGIQDVKLILRRFGSNIIFSNGLADPYSVGGVLEDVTDSIVAIKTLKGTHSQDLSTRRKDDPEWLIPRLGISPKMLKNEPDAPTQKLNDPDLKMFYFNQNLDHFTFTPKSYMTFQQRYAIDSKHWAGAKDNAPILAFLGEESSLDSDLSAIDFLRDNGPRLKALLVYIEHRYYGKTMPFGSAEEALKNASTLGYLNAAQALADYASILLHVKEKYSTKHSPIIVIGGSYGGMLAAWFRLKYPHIALGALASSAPLLYFEDTRPKFGYYYIVTKVIKGTSERCYNMIRKSWKEIDRVAAKPNGLLILSKQFKTCAPLNASFDIKDFLSTIYAEAVQYNRGPSYSVTNVCNAIDNNPPNSKKGLLDRIFAGAVALLGNQSCYDTNIEIVMPVGYDKQDTMFPTTPFNMTSYIEGCKADYGVTPRPHWITTYFGIQDVKLILRKFGSNIIFSNGLSDPYSVGGVLEDISDSVVAIKSNNGSHCQDIVMKMKGDPEWLVMQRDKEIKIIESWISTYQKDLKALNISI >A01p050050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28122702:28126012:1 gene:A01p050050.1_BraROA transcript:A01p050050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCGSGINKEHQMCSKTFGYERIKDLSENVFRLKKISRWRLMWRKIMMGMKHKKKDQVLDHCFRYDPFTYSQNFENDGTIAYHEDPDVSSKSFSARFVSSSKVFTSSNIPTEQICMYTMANKSIESQSELKKRTCGRMFLLVSVLSELNSAKEVVTGLGQELSSDKKLSEELRAQIESLQSSLSKAGEDEKALETELRDKLDLIEGLQDRINLLSLELKDKKEESQRVSTSLAEKEAEVEKLNSAYTQTSRDLADAIVEIKQVKEEVTRTQIELDSESFTINELNTRISTLEGEEKSYTQKLDDERREQTVQASRDSVSDLEKLLGESRALCSKFEPEVSVIRGEFDEAKERYEEKRAEERRNSEVLAKELAVEKDLLKKARDELEVEEAVKSLDEMNKNTSTLTKELEKMNTHVSSLEDEKEVLQRTLEEANKASKKAKENMEGAYSFLMSLRKERGVIEKKVKKLEEDLGSAKGEILRMRSQPNSVKAENSTEDEEKSDDKVTAKKVVRRRKSSTSS >A02p007950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3336577:3336816:1 gene:A02p007950.1_BraROA transcript:A02p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC1 [Source:Projected from Arabidopsis thaliana (AT5G17480) UniProtKB/TrEMBL;Acc:A0A178UL83] MADAEHERIFKKFDTDGDGKISAAELEEALKKLGSVTPDDVTRMMAKIDTDGDGNISFQEFTEFASANPGLMKDVAKVF >A05g510460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32323843:32329368:-1 gene:A05g510460.1_BraROA transcript:A05g510460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGVEPSAAVRDSTANAATDVDRLPEEMNHMKIQDDKEMEATIVNGNVTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPIVYVKLYTYQIFRSLCYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPSLRCGALDALVHPFFDELRDPNARLPNGRFFPPLFNFKPHELKGVPVEMAAKLVPEHARKQCPWLGL >A02p008190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3425055:3426812:1 gene:A02p008190.1_BraROA transcript:A02p008190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNLLEKDQTSNETIHGSRRFKEANSFSSPKSHTNFSLARNHPLNKTFLKSEDEHEGENGWKRRGQSGMKAKVCSRGHWRPTEDAKLKELVAQFGPQNWNVIANHLLGRSGKSCRLRWFNQLDPRINKTAFTEEEELRLLAVHRAYGNKWALISRLFPGRTDNAVKNHWHVIMARRTRKSQRQRHQPPQAPSGNAEMAVSSSYNHGDEFFGTVVNGTFVNEEDDDADDDDASAVSTCTTELSLTPPSSTHQLGFFNYDNTLASGKDGQCVQRAEVNGKYSKKLDHQNHHTISVSERKVEVKMKSGHYFFDFLGVGAF >A09g519270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59514010:59518095:1 gene:A09g519270.1_BraROA transcript:A09g519270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHSRRESFSDMANSVVCPDTDLLWPFGKLDGLDRDEIRETAYEIFFAACRSSPGFGGRTALTFYSKHNGGDNQGEGAGGGGGGSGSGFGSLGRKEVVTTPTSRVKRALGLKMLKRSPSRRMSTTIGTALGAKSAPSSPGNGSIGGGGGGSSLHISQGAGFLTVPPSRPRRPLTSAEIMRQQMRVTEQGDTRLRKTLTRTLVGQTGRGAETIILPLELLRHVKTSEFGDVHEYQIWQRRQLKVLEAGLLLHPSIPLDKTNNFAMRLREIIRQSETKPIDTGKSSETMSTLCNAVVSLACRNANQDVCHWADGYPLNVHLYVALLQSIFDIRDETLVLDEIDELLELMKKTWSMLGITRPVHNLCFTWVLFHQYIVTSQMEPDLLGASHAMLAEVANDAKKSDREALYVKLLTSTLASMQGWTEKRLLSYHDYFQRGNVGLIENLLPLALSSSKIVGEDVTISQGNSQEKGDVKLVDSSGDRMVVEESEECDDGGKGLVREMVPYEVDSIILRLLKQWTEEKLKAVHECLSRAKEAETWNPKSKSEPYAQSAGELMKLAKDAIDEFFEIPIGITEDLSYIPTLPPLTRCNRDSKFVKLWKKATPCTASGEDLNNLSGGAPVIADGNHPRPSTSRGTQRLYIRLNTLHFLSTQLHSLNKALSLNPKVLPAARKRYRERTNSSSYFDFTQAGIETACQHVSEVAAYRLIFLDSYSVFYESLYTGDVANARIKPALRILKQNLTLMTAILADRAQALAMREVMKASFEVVLTVLLAGGHSRVFYRSDHELIEEDFESLKKFLKKSFQLGKRR >A05g503580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10367508:10370737:-1 gene:A05g503580.1_BraROA transcript:A05g503580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLYLGNLSAIKPSHYGAFKDLRKEINYLDSLYLGNLSAIKPSHYGAFKDLRKEINYLDSAILLYFYILLFYDSKIRFLQKLPCNVVYKSMTLM >A05p002420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:822396:823859:1 gene:A05p002420.1_BraROA transcript:A05p002420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor ABA-INDUCIBLE bHLH-TYPE [Source:Projected from Arabidopsis thaliana (AT2G46510) UniProtKB/Swiss-Prot;Acc:Q9ZPY8] MNTSDVVGWEDEDTRSMVSAVLGNSASEFLTANSNSNQNLFLVMGTDDGLNEKLSRLVDCPNSETFSWNYAVFWQQTVSRSGQQVLAWGDGCCREPKEEESTVCYNLEEEMRWQYMRKRVLQKLHRMFGGSDEDDYALSLENVTATEMFFLASMYFFFNHGEGGPGRCFASGRHVWLSDAVGSDYCFRSFMVKSAGIRTVVMVPTDAGVLELGSVWSLPENVELVRSVQALFMRRVKPPNMSGGKIHKLFGQELNSSDKVAHQVQENVNVVVVEDTNHKVMKTSCNEKRPASLLPGGVAVVEEKRPRKRGRKPANGREEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYIKELQERVKIIEAEGVMTIGTEESQEVVDIQAGEEEVVVRVVSPLESHPASRIIQAMRNSEVVSVVESKLSLAEETLFHTFVVKNNNGSDPMTKEKLIAATYPQTGLTQQLLLPSSTSQVSGDI >A06p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000027.1:179306:183946:-1 gene:A06p025000.1_BraROA transcript:A06p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLEKDPCPCPISTWWSGMRWPLWMRFLDVDRFIPREIARQRREVEQQAHLQRLGFDMENLPQDGEAQGGNEGQEELCFINANGTWYKKEPNFPYQNNFQQRPLYNNQQGGYQANQSPQIQGSSSQAQAPDSSVDSMFKQLLEFQARNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASHLKALESQVASMPSSSKQPMGSLPGKPENNPKESCNVVFSTTSPKIELSNHEKEEDEIERLVYGTQFGEVERFIVATAEAQIVKNAATKVEATNLQRAEHKAEKQVEKRADNKLKQVKLEEATKVELSPYDQLPFPQRVLTKAQKKVLSKFRKDLSDVGVRLPEISGMREAHVQMMLMNDILDHQAEVAELLNISILKIDPPIPPKSLPKLESQGMFTLPCYLGKLFLDDALVDSGATSSIEKTKAEGVGIEKEDLAGESSKELCDEHLESAKKKEVSRATKVAHDKKKIVKESHPPPLDKTLHTLTLHPMKLKDGVIEYKIKCKGRSAPI >A09g515930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47109470:47110409:1 gene:A09g515930.1_BraROA transcript:A09g515930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLHPNQYKTETIREADAPSSLHTHCNRSPLRSVDKTEGRELLTRTHRREPSVGSHKEEDRAPQAVIDAKTGLMRRGESNLPNRPRGQRGTGMMTFHTSYTERRTDDHQNFKRAPCTVAENLDLTLLRLDGEAVDGESRQPMKRNEEALNLEEAEHWRTAKGRPVADLEPKKASPPQQDAKLRINKKSSTPLANEGKLRTSTRYQNMERVRHQSSHTAKPQGETRSEKEKTQIQPTPKPKSRL >A07p011510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8654873:8656740:1 gene:A07p011510.1_BraROA transcript:A07p011510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBF1C [Source:Projected from Arabidopsis thaliana (AT3G24500) UniProtKB/TrEMBL;Acc:A0A178VDH9] MPSRYPGAVTQDWEPVVLHKTKPKSQDLRDPKAVNAALRSGLAVQTVKKFDAGSNKKGKSTAVPVINTKKLEEETEPSAMDRVKAEVRLAIQKARLEKKMSQADLAKQINERTQVVQEYENGKAVPNQAVLAKMEKVLGVKLRAYPQAGEKLNAAARTNTNPHVTLMVALDSARQSFHVAHVQSNSERQFHLIRTQSLPEAVSCLCRALFKACYMCPMKHCSEFLSTYIVVNQKLYLVFVRPPFDNIQLMAIAISNKCFMSYNLVKL >A05p007440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:2982917:2983660:1 gene:A05p007440.1_BraROA transcript:A05p007440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNWFQLRKRPHEVAPDTPKWSSRRFSCSSFKDVHNLFLYDNDVPSPELQVLHQPRNPKIDRCTSRTPRLTTKTLFSTDHGGVVLYYTSLRIVRKTFEECRAVRAILHRLRIPIDERDLTMDSRFHDELHAIFGTKNVELPKVFIGGRYIGGAEEIKKLNESGELRKMIGELPPSDGRFSENCELCGGWRFVVCERCNGSHKIFLEETGFVNCTVCSVEGLVRCSSCFPIHRTIGDGAMISPVDLK >A06p051040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26822917:26824777:-1 gene:A06p051040.1_BraROA transcript:A06p051040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSSFLSTPSLPNSKSTISFTSSVSPAPIPSLRRVVFRSHRRAMTVRSKIREIFMPALSSTMTEGKIVSWLKTEGEKLAKGQSVVVVESDKADMDVETFYDGYLAAIVVGEGETAPVGAAIGLLAETEAEIEEAKSKAASKSSSAVVAPSPPPPATSSPAPAISQPAPVAAVSDGPRKTVATPYAKKLAKQHKVDIGSIAGTGPFGRITASDVEAAAGIAPSVAPPPPPPPAAPTATTAKATTTSSPPLLPDSSVVPFTAMQSAVSKNMIESLSVPTFRVGYPVNTDALDALYEKVKPKGVTMTALLAKAAGMALAQHPVVNASCKDGKSFTYNSNINVAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVGKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKNKMMVNVTADHRIVYGADLAAFLQTFAKIVENPDSLTL >A09p061090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50448835:50450819:-1 gene:A09p061090.1_BraROA transcript:A09p061090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAPPSISLLLLLSAAVFLTLPAAISSIGVNYGTLGNLPPPTQVANFLKTQTSIDSVKIFNVNPDIIRAFAGTGISVVVTVPNGDIPALANGVQARRWVSANILPFHPQTKIKYISVGNEILLSGDDNMIKNLLPAMKNLNAALVRAGVKDVKVTTAHSLNIIAYELNGAPSSGRFRPGWDKGVLAPILAYHRQTKSPFMVNPYPYFGFDPKNVNFAIFRSPYKAVRDPLTGKVYTNMYDTLMDSTYSAMKALGYGDVDIVVGETGWPSACDAPWCSLENAAWFNLNIIKRAQGQGTPLMPNKRFETYIFGLFNEEGKPGPTAERNWGLFRSDFSPVYDVGLLRKEQGGGGGVRPAPALPAPTAGSTWCVAKSEATDAQLQGNIDWVCSQGGVDCKPIQTGGTCFDPSSVRSQASFVMNAYFQRNGRTDGSCNFSGTGVIVGNNPSNGACKY >A08p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22766537:22767522:-1 gene:A08p039630.1_BraROA transcript:A08p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDDHHKTSNGVSGGTATEKWEDGSTGIRTAETMLRLAPVGLCLAALVIMLQDSQDNEFGSISYSNLSAFRYLVHANGICAGYSLLSAAISAMPGSSSTMPRVWTFFCLDQILTYVVLAAGAVSTEVLYLAYKGDDAITWSDACSSFGSFCHRATASVIITFVVVGFYVVLSLISSYKLFTRFDPPAIADSNKNVEVATFGS >A08g501920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4506724:4509099:1 gene:A08g501920.1_BraROA transcript:A08g501920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTISSKEELLFFSDPTSLERSIRKEKHTSSIDTTSTTSIDTTFTTSIDTTSTTSIDTSDRATIDSSTRTSIDTNPRADIVATLVLQRDENGDLHDPGGHQCNAAGQKIDGQGTAILEPFAATEDAKVPLQRSLVDLIRFYTNRATQILLLDTPTLAQVTSDYFNRQQHGKIDRQQYGSVDREQQKSSDRQPSMPYRTTEKISQQSTEAPKQEQLTIAEIFFVESVNRRHLQGIDRRHLPGIDRHQTDGYEPVMERHATKEEIPVEKRVKSRKRYITKHLRREVNKEELKGFQKRVKRVPKDMSFEDAYHKYRLGNFFKESREPNKDIELLFNKVSRKPKRTLKKEQDPGKFLISCSIHSHHLPNSLYDI >A06p035930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19470247:19475195:1 gene:A06p035930.1_BraROA transcript:A06p035930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMSTTEQSHEHNRDPQLTTVKHLEKIPENPSRQSADDEAAAEGSTTRSQDSPEMLGPSSLGLNHIRTKSSPAPSPLGFSSATPSVQEKPRVGAADARADARARWPIPPHQPDQGKKVQWTQSKSLRVPVNTNPGIETSHVGLAKETHSPRFQAILRVTSGRKKKPHDIKSFSHELNSKGVRPFPVWRSRAVGHMEEIMAAIRTKFDRQKEDVDADLGVFAGYLVTTLESTPVSNKELRTGLEDLLVEARQCATMPASEFWLKCEGIVQKLDDKRQELPMGGLKQAHNRLLFILTRCNRLVQFRKESGYVEEHILGMHQLSDLGVYPEQMVAISRQQDLLREKEIQSINEKQNLDHNSNSGGDGNEVNTAKSNDSTSSNFRMSSWKKLPSAAEKNRSANTTPKAKGEGKIQPKVYGDENAEGLHSPSGQPASAGKGDMWGFWADHQCMTYDNSMICRICEVEIPVVHVEEHSRICTIADRCDLKGIHVNVRLERVAESLETILESWTPKCGTPRGIADNATFSRHEDMDESSHRCSDDMLDCVPRSENTFSSDELKILNEVSMTNEAKDLSAGSLTPPSPGTPRKSQVDLLLSGGRKTITELENYQQINKLLEIARSVANVNLCGYSSLDFMIEQLDELKYVIQDRKADALVVETFGRRIEKLLQEKYIELCGQIDDEKVDSSKATADEESSADEDTVRSLRASPLNPRANDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISIRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLKNLGCLDEDMARIYIAEVVLALEYLHSVNIIHRDLKPDNLLINQDGHIKLTDFGLSKVGLINSTDDLSGDASLGNSEFLAEDGSNQHSQDKDSRKKHAVVGTPDYLAPEILLGMGHGKTADWWSVGVILFEVLVGIPPFNAETPQQIFENIINRDIPWPNVPDEISYEAYDLINKLLTENPVQRLGATGAGEVKQHHFFKDINWDTLARQKAMFVPSAEPQDTSYFMSRYIWNPEDENVHGGSDFDDLTDTCSSSSFTTQEEDGDECGSIAELANGPNLAVKYSFSNFSFKNLSQLASINYDLVQKNAKESTEASNPSAP >A01p010020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4888971:4890014:1 gene:A01p010020.1_BraROA transcript:A01p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAREKSYMWFLAQEVSSEQARQDSDVEGKAGKKWQPPRSTWMNCNIDFSWSTSLQLGGGAWVLRNSQGVVMLQGRRAFSSCSSQQEAAFVVMQWAVASMSHHRMQKVIFSINDSYVVVRGHLLNLRDGGFWKLCKKLCGGESKKKKEQPIELRRADLIATSVSKELRIRSYVATGGPVWLRHIFDSERSSPSTRRSDRSYGVMRILYRFRLTFCFSSFMANI >A09g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13135421:13140322:1 gene:A09g504220.1_BraROA transcript:A09g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYTSCIYAFKLVFKSLSSLSSSFGVITGLELHFMGDGSAGTKEAENNAIWWFSRRTVLMTVPSECSSGRDFLENYNHYGICPSYPYFLSQPPVALIYHIFCFSLSYYAIIDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLHEVLFRIDLSKVDIRVFGEPIGLDLYCLLSHLDPNESLGIKIDQHRGQFHDSGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMEPPVALRTIMCYLLLRHITISVFKKKKKKKKIKKRD >A09p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12485651:12487541:-1 gene:A09p022680.1_BraROA transcript:A09p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSVRSKLICSNEGLSFGEYSRDQFRHMAAGSPLIRVRRSRLKLDLFRARVSSPYSFFPNRKKTRDLDNAEKNLVSEEDADDWNLYGDESELETDDLSCFRGLVLDISYRPVNVVCWKRAICLEYMDKADVLEYYDQTVNSPTGSFYIPAVLRVPHLLQVVKRRRVKNSLSRKSILLRDDYTCQYCSSRENLTIDHVIPISRGGEWTWQNLVAACSRCNSKKGQKTVEEAHMKLLKSPKEPKDYDIVAIPLTNAAIRMLRSSKGMPEEWRQYLAKPSHEP >A05p049460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28797563:28798155:1 gene:A05p049460.1_BraROA transcript:A05p049460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLNCIDTGSSTDYTGSTNFTAKYGPVNAYVRFPLSNSHKLDLQRLNIHQLFVQSPSGESFIVLWCLDCMTDKGEAVEWKDKMSNQSDLLIKTRYIMVYRQDTEKGRKISRKISINLM >A10g501830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4945330:4946549:1 gene:A10g501830.1_BraROA transcript:A10g501830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVGITVLFLDEKVARCSSMYKITDHPFLIRFISLTIIDEVTTDAPEINLQSRLDCSTISKVSYTMINTNNNDFCLHITHQIKEKQTQPHQEIQEIIPTDTNAATTSPLVHSSCLLNIAYMLNVNGSMLLSSYEKFIYSFKTH >A01g502360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8184135:8184801:1 gene:A01g502360.1_BraROA transcript:A01g502360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKKKFLNMRSFREITWKSSIDLPMLKSFFSFFDLSDSFCSYTILRATWITLIRTVVLLAARSLECITENMWRKGQRFFDFSEPGPSTV >A02g509420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25144753:25145389:-1 gene:A02g509420.1_BraROA transcript:A02g509420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEERSHSKKQKDYINMLSYSCDSEYGIPKRCACGGRIIDEDRVKQEYDTLPGKRFFTCANYEADGFHYRQPWVIGVQEQIESLTKRLEEAEQLLNLMPSLKNQIETLEAQAKGLTRQVDRLTAEVYNLTVQVADLEKLCFD >A01p053960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29758163:29760599:1 gene:A01p053960.1_BraROA transcript:A01p053960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQNEIMIKEDTTDENGLNTMSDQENTKKGLGEKWPEPTVRVQSLAESNLATVPDRYIKPPSERPDQTIIINHQPKTAAINIPVVDLNSLFSGNKEERERMSEACREFGFFQVINHGVRPELMEAAREAWRSFFNLPVEAKEVYSNSPSTYEGYGSRLGVEKGAILDWNDYYFLHYLPLVLKDLNKWPSIPSNIREVMDEYGEELVKLGERLTRVLSSNLGLKEEQLKEAFGGEAVGACMRVNYYPKCPRPELALGLSPHSDPGGITILLPDDKVVGLQVRYGDTWITVNSHPHAFIVNLGDQIQILSNSIYKSSEHRVIVNSQKERVSLAFFYNPKSDIPIQPLQQLVTSTNPPLYPPMSFDEYRLFIRTQGPRGKSYVESHISPLDN >A10g504740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11600582:11601058:1 gene:A10g504740.1_BraROA transcript:A10g504740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIHGDQCGNQIGAKFWEVICDEHDVDHTGQYFCHSLGGGTRSGMGTLLISKIREEYPECGLYDQRIKYL >A06p042020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22592164:22593173:-1 gene:A06p042020.1_BraROA transcript:A06p042020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNTLLRPKFVSAFAICEGCNEKVTVKYRRLHKCSLHAAKIGTEAKKKPPTAFYFFMNDFRETYEEKNQYVNLKDVPKLGGEMWKSFTEDEKNVYRDKAAQLMEEYNKSLESDDADDVEEDEEKEVDNKNDAPNGCQNGRGRGRGRLVRGGRGRRSAFG >A01p039110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14971742:14975510:-1 gene:A01p039110.1_BraROA transcript:A01p039110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISALLTSAGINISICIVLLSLYSILRKQPANYCVYFGRRLVCGGARRYDPFWYERFVPSPSWLVKAWETSEDEFLAAAGLDAVVFLRMVIFSIRIFFITAVVCIAFVLPVNYYGQPKVHKEIHLESSEVFTIENLKEGSKWLWVHCLALYIITSAACLLLYFEYRTIAKMRLGHITGSASKPSQFTVLIRAIPWSPDQSYSDTLSKYFTNYYSSSYMSHQMVYHNGIIQRLLLDAERMCQSLKHVAPEINCRPSLTPCTFCGGLTATNSFHILSNEGDSVKGMELGELTMTTPEQERPAAFVFFKTRYDALVVSEVLQTSNPMLWVTDLAPEPHDVYWRNLNIPYRQLWIRRIATLVGAVAFMFVFLIPVTFIQGLTQLQQLSHAFPFLRGILKEKFINQVITGYLPSVILILFFYAVPPLMMQLNVFSSVRDIPAQLARAVPTQAGFFTTYCFTSGWASLACEIMQPMALIWNLVAKAVSKNKDESYETLRFPYHTEIPRLLLFGLLGFTNSVIAPLILPFLLIYFFLAYLIYKNQILNVYITKYESGGQYWPIFHNTTIFSLLLTQIIALGFFGLKLSTVASGFTIPLILLTLLFSEYCRHRFAPIFHKHPAQKSSSKGECSTPFANQELPDPEKLKPEEGDAIAKELWGYQGNESGQEHEDKSCPSASSPEHRTPTMIELHKRS >SC132g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:90714:91969:1 gene:SC132g500070.1_BraROA transcript:SC132g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLEENLSSVIITYLDDPTDLIRLAAVSHSCKRLVTRSDVLKKMFLGKADVADTACLCFENDKENAAYALLMRIIDYAQQFDLTIEALMASSTLSPAFNIENTLLTLESRQWSSIGREALSGMETLTFKLPNLCLVYGLSISSFQDTSLPGSPTFRSQRARFSFGNYNGNGVSETYSTQTHQMPSSGKLEVELPKPLLCINKQIVLELSDFVDKHPSEARYYIRVSSVKVCGISIADTFKSTISPSGQLVLEAISYLDPKIKKDLIELERNHLTVDGANDADIHDLARFLNPDAH >A08p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1136509:1138349:1 gene:A08p001940.1_BraROA transcript:A08p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLKSFLQNQSFLKPSTICRTIASSTEPYKKPLSVVFEEAVGLRPKPETSETQEEEVGNELKRKLFELEKKLIELKNTEPVIKKKLKKVVGTVPELQTDKSRNLYTLFKANEEKQEEDNDVVRVYKELPLEMVSFVKLLHKKGYLNKANFISGEKLELGSLDEEYSRTFVKFAAERFGKDYQEIAKWLSGSDLKNTVLFGCPSLEKRAIFAAKTLRKFFDIHENNVCEKCVLKEKCKFPNQSVWDGKSHNLHLSVVMKVITLYSLDLTHPKLQVPQEVQDSVSRLLTEIQNLSRTICTPLA >A09p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15085418:15086606:1 gene:A09p026740.1_BraROA transcript:A09p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAHHHPSTMFFLNRNGQEGTDFSPDLQKSQLPSITAGLTSNSKSNGVDKRKRAREDYSVIDLETTAAPMNPPPCTPPQFISRRQTPNVVSTGLRLSQGQSQSIEQRSSSSPMIDGNFAGEINRQADELDRFLQTQGEQLRCMLADSSERHYRELLRTREESVRRRLGEKEAEMEKATRRHAELEARAAQIEKEARAWQVRAAAKEAEAMSLQARLQQVVAHGGGVTAAEPKLKSVDGEDEAGDAESVYIDPDRFELNGPSCRICRRRAATVLALPCRHLILCKGCDGSVRVCPLCHSLKNSSVEVFFF >A10p037900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21313219:21316564:-1 gene:A10p037900.1_BraROA transcript:A10p037900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSKKLGPICLTGPVSNPGSAKSKTKPNRIHQHAAVFSTVIKRFTSKSNCGSERREHSRRPSMDPSPNTNEALTETRFSDLKPPLSEDIIEALLRSGPPGFEFCTPVQAATIPLLCSHKDVAVDAATGSGKTLAFVLPLVEILRRSTSYPPKPHQVMGVIISPTRELSTQIYNVAQPFVSTLPNVNSVLLVGGRDVRADMNTIEEEGCNVLIGTPGRLSDIMERMDILDYRNLEILILDEADRLLEMGFQNQVNSIISRLPKQRRTGLFSATQTEGVEELAKAGLRNPVRVEVRAESKSASLTNSKIPSGLHLEYLVCEADKKSSQLVDLLVRNKNKKLIVYFMTCASVDYWGLVLSNIPALKSISLIPIHGDMKQNARDKALASFTKASSGVLLCTDVAARGLDIPGIDYVVQYDLPQDPKTFIHRVGRTARLGKEGRSIVFLLPEEEDYVEFMRRRGVFCQEKKCSEEASDVIPIIRSLAMKDRAVYEKGKRAFVSFVHGYKEHECSYILRWQSLEIGKLAMGYGLLHVPSMSEVKQKRLSSEGFSPVEGVLKFEDIKFKDKSKEKQRKQNLQAKKEKLEEKKRERDKKNSKKAVNASSATTDSKKRKLTGKQRQTIQTAEDEEEMARDYQLLKKLKKGSIEEDDFAKLTGADDF >A09p012770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6516668:6522159:1 gene:A09p012770.1_BraROA transcript:A09p012770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASETTALFLQIPSVSSASPTKIMEKEKSDNSEMFQVMVASSSWPLLSKAAKAASCSSSDSLKSLCCDGSSSSVSSFSKQRSILAELEPMKQTLTDAISNINQRAVAQASGCPSPYTSPSSYNQRNMFASQSHVRAQNQHQRNSNNNQNVSYHPHQSHRGGHNQEHVNLPRNFNGLGGFVRPSPPLLVPPIYAQHMPPQPFYYPLDFTGFPPQMMYHPHRMPFMEPPPVLFPRQNPNRRMRSMEPPPVLVPSQKPSLKTKTFNQVQKAPLKTKIANQVQKVPLKTKILNQVQFYLSEDNLPNDVYLRMRMNDEGFVHIEFIAGFNKLKALTSNIQLILDSLQGSDMVEVKSRRLDLLKPRQLTVSIPSVSSIKIMEKEKSDYSEKFEGNADKPARNKQIMEALSWSSLTETAKAALCSNKSSTDSLKSIGCDGSSSSRSSILAETERMHQEFFTHGTVVQPSGESSYGNPLPYTSPRGHKQGNEFASWAHVSTQNQHQQNSDENQHVTQQSQGGRQNQEHVKQKWNPQGKFNGQGGFPPPPRGGTPAFVRASPPSIYAQHIPVQHYFYPIAFTDLPPPMMYHPHRMPFIDPLAVLFPSQNPDRMPFIEPPPVLVPSQKAPLKTKILNQVQEAPLKIKILNQDKKAPLKTKILNQVQYYLSEDNLPNDVYLRKRMNDEGFVHIEFISSFNKLKALTSNAQLILNSLRDSDIIEVQGYEIRNRHVWRKYVMPQDWRVTFYPSPEYAMANNH >A10g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18851206:18852580:-1 gene:A10g506570.1_BraROA transcript:A10g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDEKAMHTHVSINVNRLNTFKHILKEGLIHELSVFHVRGSGDLLTLTAAEATVEIKSKHVGGAFSIKSKGGLTKHHHQLFLLSWDASKFLSLFDGLAMLLHENLFASGVEPKVYVARNINPKLVGGEKLQSAMLYMLFSYIFA >A04p035410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20417014:20418116:-1 gene:A04p035410.1_BraROA transcript:A04p035410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPPLATTEISPAALINYNRFSSSSGISHFSPPRSHSSLFLLSPTGSVSHTPSSKSVGVSNASQIADLFPAVSPEIVVREARLEDCWEVAETHCSSFFPGHSFPLDIVLRVDRLMGMIMGFSVPPGCQRICLVAVVGSSVDEAICIGSQGFKIGAFDAKISLSKGYVAGILTVDTVADYLPRKGPLRQRRTGVAYISNVAVRESFRRKGIAKRLIWKAEALAKSWGCRAVGLHCELSNLGATKLYKDQGYRSIKIPEGASWPQPKTSPDTRFNFMMKLLNNNTAQTLEQFR >A04p028190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17005644:17008105:-1 gene:A04p028190.1_BraROA transcript:A04p028190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQWGKEDDEKVVIRCYNDLRDIIQMEWVEKSCEIGNDQTFLFTDTLGDPLCRIRNTPLSTMLVADVGTKLVGCIQGSVKPVKFHDKSVNVGYVLGLRVVPPYRRCGIASILVRKLEEWFVSHNVDYAYMATQKDNDASLGLFVGTLGYTVFRNPAILVNPVNPGRNLKLPSDIVIRKLKVEEAASWYRTHVAPTTDFFPDDIDQILRNKLSMGTWVAYYNNNDKINSWAILSVWNSSKVFKLRIGKAPLSYLLLTKVCNLVGRFLMFLGLTALPDLFSTFGFYFLYGVHAEGPLCGNLVRALCDHVNNMAASDDGGACKVLVVEVDGESNGGDLMRCVPHWKMLSCDDDTWCIKPLKCEEKMSDLGEFNDMFWKSKPGTLFVDPRDV >A01g502590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8786036:8786959:1 gene:A01g502590.1_BraROA transcript:A01g502590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLERERREIVDLGFRRTAGAYARVPSPETLVLPSAPLCPCLSVVLSRLALPELWLFPRPVGAISLSETTSTVVGRLVGRRGRLEAEVLFRGMKATKAPSSPAHVSGGWLGFFSNASSVLSPGSTGFHSLVSSDLVELSGGPPKVRVGLMGLFVGTRGSLKSEETSKKIEDAPRGEVRRVEGKWSRWWLYVSSVGETMVTTLKRRRAVVLGLPV >A07p035180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19043718:19044260:-1 gene:A07p035180.1_BraROA transcript:A07p035180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSSFSSSRVHVVFVIWELVILLLLVCSAVSLFFRRLQLAFILVCVSLLLLFCMKIIKQARLARNKKRRMLLPLSM >A07p049640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26376328:26380912:-1 gene:A07p049640.1_BraROA transcript:A07p049640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TOM1-like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G76970) UniProtKB/Swiss-Prot;Acc:Q6NQK0] MFDPSSKSFSTHLIFPRTFDDHPDSGVCSPPLWKNSPPHVQTNYHSLSPVSKAQVIARFPVSLGAKKRTKKKKGEDDDASVTSRGSWFSSPRGSFSEDKDWLKSESGRSQRGVSRINSGSSKSSGGSSSRSNSDRSRRSLRDHHKMANDAAACAERATNDMLIGPDWAINIELCDIINMNPSQAKEAVKVLKKRLGNKNSKVQILSLYALETLSKNCGENVCKLIIDRNVLTDMVKIVKKKPELNVREKILSLLDTWQEAFGGRGGQYPQYYNAYNELKAAGIEFPPRTESSMSFFTPPQTQPIPLDEDAAIEASLQGDDAASFSMEEIQSAEGSVDLLMDILGALDSAEGLKEELVVDLVEQCRTYQKRVMTLVNTTTDEELLCQGLALNDNLQRVLQRHDDIASVPSNGGGATAPPPPPPPAQLVSINHDDDDESDDDFAQLSHRSKRDSSPILPPPPPPPPLRPVHGTTESGLVDFLSGDVYKPQSSSQGVKQPLPAPPTAAASPPPAPWDTEVIRNLPPPPSRHNQRQQFFEHHHSSSGSDSSYDGGLVGQTRNLSLNSETKKAEDMLFKDLVEFAKTRSSKPKH >A06p010480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3789410:3790283:-1 gene:A06p010480.1_BraROA transcript:A06p010480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIWAWLISFFFLIALVGIIVYQLVCLADLEFDYINPYDSAARINYVVLPEFIVQGVLCVFYLFTGHWFMAILCLPYMYYNFQLYSKRQHLVDVTEIFNLLNWEKKKRLFKLAYIILNLFLTIFWMIYSALDDYED >A02p060280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35978651:35979673:-1 gene:A02p060280.1_BraROA transcript:A02p060280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTVLSSQVYPYTIQTQSECIIVNQMDGSSSGDGSKPVKRRRKRRSKGSSSATNEDDVRAMERMFRKRKLTDEQVIMLEYSFENEHKLESGRKEKIAGELGLDPRQVAVWFQNRRARWKNKKLEEEYAKLKSQHDSDVLGQCQLESQVIKLTEQLSEAQNEIRKLSERLVVQETSTNSSSSSFSVEANDAPTDFEFSPMDTINDNIPLYMLDNNYYLQNMEYWDGLYVQF >A04g505680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11936061:11940965:-1 gene:A04g505680.1_BraROA transcript:A04g505680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNRNKEFQSQVIFSPKLCSLSLKVKNSLGHFRNLAWPWVLSPLDPKCRVSDVSTSIDGTCVHRSILIFICRGVSWYRSSPLCMPSGTRSNKGKDLLFSEDPAHLERTIRRGQRSTSLDATISSSIDTHNQPSTDTRPSSSIDPNCSTTIDTTPHTLIDTVSSKMVNIIILIQDENGNLYDQAGHLRNATVVRNENLEEGDFKIESSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSANSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVDSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQESEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIGRMFLQVKEKMKHMITLTKKSDPGKFAIPCVIKDVEFPHSMCDTGASYSESIDTPTFSSIDSNVSTVIDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPTKRGHDNYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAAHSISVDTHPRPAKQPLTSIDTPKGTSIDIRAAAKIQEQENIPSLTRFTDTYINNFAPPKPPTHIRANTQSKKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVIVSTSIDTTLNPYLSISKMYDYANIDYGFLTPDEFGIFRDPDGNALSREDIADILQVANEPDNLFSQQCGTPDVIQTDPNNHTGVATTETNPDLSRQPKGQASIDGTTEKSIDRVTPTSIDMHEPTSIDRRYECGNRAFDMDEYGVYRDERGHARGVAGEMIPVTKDNIRKILERASLFEESHICLSEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNDLFRCMAEMRTEIEILRQQLEKEATTSASIDAPRATSIDVSLPTAQIPA >A06p016480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7378317:7380456:1 gene:A06p016480.1_BraROA transcript:A06p016480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEILRTKWMAMTASIWIQCTLGGSYTFGIYSAILKSSQSYDQSTLDTVSVFKDIGGNVGVLSGLVYTAATFNRRRPDGRGGRGGPWVVILIGAVLSFTGYFLIWASVTGLIRKPPVPVMCLFMFIAAQSLTFLNTANVVSSLENFADYGGTAVGIMKGFVGLSGAMLIQLYETICPGDPESFILLLAIVPSLLSVLVMPLVRIYETSTVDEKKHLDGLSTLSIIIAAYLMVIIIVKGILGLPSWATTVTLVVLLILLASPLLIAIRARRDNIEKPCYSPLVDNLEATTSGESLVVVEDKSLNLLQAMCNVNFWLLFLAMVCGMGSGISTINNIRQIGESLRYSNVEINALLSLWNIWNFIGRFGAGYVSDALLHKKGWPRPLLMATTLGAMTIGHLIIASGFEGNLYPGSVIVGICYGSQWSLMPTITSELFGVKHMGTIYNTISIASPMGSYIFSVRLIGYIYDKTIIGEGNTCYGPHCFRLSFVIIASVAFLGFLVSCVLVFRTIKLYQQIFEKRLHRR >SC222g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000077.1:74586:75080:1 gene:SC222g500010.1_BraROA transcript:SC222g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLCVLADTHGRPACTDKTAHVGQNHRTVHGKGDVPQSEDQSMESDQNVRNNATEVQSIDRAEKTARAVYRLDPHSFGLELQDNPRPDGQINHTEVRLSRPVCHAKSIGQVRSEVDRVESKFVHGLSLLSRLGRTSDRSDELIRHFDQFMNFDQPNLSKARLS >A02p058680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35175467:35177064:-1 gene:A02p058680.1_BraROA transcript:A02p058680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGKSCILRGGSVSLALVILIFLMLTLLVSEENPLRSSLFDVQLQFSASPPPSPPPSSSSSVCNYAKGKWVKDKKRPLYSGSECKQWLSSMWACRVMGRPDFSFEGYRWQPQGCNMPQFDRFTFLTRMQNKTIAFIGDSLGRQQFQSLMCMATGGEDSPEVQNVGWEYGLVKPKGALRPDGWAYRFPTTNTTILYYWSASLSDLVPMKNTDPPRLTAMHLDRPPAFMRKYLHRFDVLVLNTGHHWNRGKIEGNHWVMHVNGTQVQGEFLKDISHAKVFTIRSVAKWLDAQLPLHPRLRAFFRTISPRHFRNGDWNTGGNCNNTVPLSRGSEINGDDGSVDATVESAVNGTRIKILDITALSELRDEAHISGSKLKPRKPKKVKSNVTSTAPVINDCLHWCLPGVPDTWNELFIAQI >A05p045550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27230072:27237151:1 gene:A05p045550.1_BraROA transcript:A05p045550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVNSKIGVWLLFTLIISNYVVDLEASHHVYKRLTQSTNIKSPSVNQPYRTGFHFQPPKNWMNGPMIYKGIYHLFYQWNPKGAVWGNIVWAHSTSTDLINWDPHPAAIFPSEPFDINGCWSGSATILPDGKPVILYTGIDPKNQQVQNIAEPKNLSDPYLVEWTKSPLNPLMAPDAVNGINASSFRDPTTAWLGHDKKWRVIIGSKIHRRGLAITYTSKDLLKWEKSTEPLHYDDGSGMWECPDFFPVTRFGSKGVETSSFGEPNEVLKHVLKVSLDDTKHDYYTLGTYDRVGDKFVPDKGFKMDSSAPRYDYGKYYASKTFYDSAKNRRILWGWTNESSSVEDDVEKGWSGIQTIPRKIWLDRSGKQLIQWPVREVERLRTNQVNLRNKVLDSRSRLEVYGVTAAQADVEVLFKVRDLEKADVIEPSWTDPQLICSQMNVSVKSGLGPFGLMVLASKNLEEYTSVYFRIFKARQNSNKYVVVMCSDQSRSSLKEDNDKTTYGAFVDVNPRQPLSLRALIDNSVVESFGGRGRACITSRVYPKLAIGKGSHLYAFNYGSQSVDVLSLSAWSMKSAQIRPMIYNGVYHLFYQWYPNGAVMKVNEIVWGHATSKDLINWTPHSPAIKPSRPSDINGCWSGSVTVLPSGKPVILYTGNDVNNHQVQNLAKPKNLSDPYLRHWTKSPVNPLVTPNAVNHVNSTSFRDPTTAWLGRNGRWRMITGSQEGRRGLALLYTSRDFISWKQSAKPLHYNDGSGMWECPDFFPVGTTTSHGVDTSSFSVSVKHVLKVSLSDTSHDYYTIGTYDQVKDQYVPDDGFVQDVTAPRYDYGKFYASKTFYDSVNRRRILWGWVNESSPEKDNIKKGWAGLQAIPRKIWLDESGKRLMQWPVKEIERLRTTQVKWESKVLKGGGSLVNVHGVTASQADVEVLFKVSGLEKADVIEPGWTDPQLICSQKNASSVNSGLGPFGLMVLASKNLEEYTSVYLRIFKASQKSKDHVVVMCSDQSRSSLDKENDKTSYGAFLDVSPYQPISLRTLIDNSIVESFGGRGKTCITSRVYPKIAIGENTHLFVFNNGSQNVNVLSLSAWSMKSSL >A01g500590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2418924:2419636:-1 gene:A01g500590.1_BraROA transcript:A01g500590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALAKHGRRGFASIASPVPPPVPPPVQWLKAFKAATEHLILRGLVSGCGASPCCARVVCCFARRSEQLKRKINLVHYTAAPLPLKTFVWNDSASLMQACVNISETYTTTLFTVVIGVAGLSFTFGLRDYDLKGTELRFSDLEAAQALMVSLQSGITTEFNNYKQQTGELDNQMNLRIENLEQKLAALSK >A02p057850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34804454:34805689:-1 gene:A02p057850.1_BraROA transcript:A02p057850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEIVASAPAEPITDMEVEAAEKRAREETKETEDGGESKKQKVEEEEKPNGSDPVKLGPKEFVTSVAMFDYFTKFMHFWPTDLDVNKYEHMVLLDLIKKGHTEPDNKIGGGIKAFQVRTHPMWKSRCFFLVREDDTADDFSYRKCVDHILPLPENMKTPGSNGNGHGGGGRGGGRRGGRGGGRGGRFRR >A01p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20931465:20935316:1 gene:A01p029540.1_BraROA transcript:A01p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKEESCVYRNPDAPVEARVQDLLSRMSLAEKIGQMTQIERVVTTRTVITNSFIGSVLNGGGSWPFEDAMPSDWADMIDGYQNAALASRLGIPIIYGIDAVHGNNNVYGATILPHNIGLGATRDADLIRRIGAATALEVRAMGAHWAFAPCVAALRNPRWGRSYECYSEDANTICELTTLVSGLQGEPPKEHPNGYPFLAGRNNVVACAKHFVGDGGTENGTNEGNTIVSFEDLERIHLPPYLNCLSQGVSTVMASYSSWNGSKLHSNYFLLTELLKQKLGFKGFIISDWEALDRLSEPFGSNYRNCVKISINAGIDMVMVPFKYERFIHDLTDLVQSGEVPMARIDDAVERILRVKFVAGLFEHPLTDRSLLGTVGCTEHRELGREAVRKSLVLLKNGKHVDKPFLPLDRNAKRILVTGTHADDLGYQCGGWTKAWFGLSGRITIGTTLLDAIKAIVGDQTEVIYEKYPSEESLASSEGFSYAIVAVGESPYAETLGDNSELIIPFNGSDIVTTVAERIPTLMILFSGRPMVLEPTVLEKTEAVVSAWLPGSEGQGMADVIFGDYDFEGKLPVSWFKRVEQLPLNADADLYDPLFPLSFELEEADAQPKNKKNKALKLSTCAIVAVGEYPSEESLASSEGFSYAIVAVGESPYAETLGDNSELIIPFNGSDIVTTVAERVPTLMILFSGRPMVLEPTYLEYFQISCCITTVFEKTEAVVSAWLPGSEGQGMDDVIFGDYDFEGKLPVSWFKRVEQLPLNADANL >A09p062620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51153588:51154612:-1 gene:A09p062620.1_BraROA transcript:A09p062620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAITVALPNCGTKFLPRRKGAFALAVCFEFCSLCHFLHPARPSLVRRQRFSRTWTTVRSSVGGSRTDPPSGSSSNKSSTRPRLLKAIQDLRTKLLVKIQEIKKDLPKKLLFLLVGFYSATAFSTFIGQTGDWDVLSAALAVIVVECIGALMYRASIPLINKMRSMITMFNYWKTGLALGLFLDSFNSDLGRGNTTEKPGALEWTVTSKI >A05p022670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10916906:10918158:-1 gene:A05p022670.1_BraROA transcript:A05p022670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMDMEEGVKDNAASPPPKSRITNQSRALVSIRLLQVLLLFLVLTLGISVVSIHMIKFFKIQDPVSSTTLVSMYDHEAVTLESFIRPPLNVWHTMNDGELLWRASMEPKRHDYPFKRVPKLAFMFLTKGPLPFAPLWEMFFKGHEGLYSIYVHALPNYRSDFDRSSVFYRRYIPSQAVAWGEMSMCDAERRLLASALLDISNEWFVLLSESCIPLRGFGFIYGYVSGSRYSFMGCDDEEGPDGRGRYRNGMEPEITLSQWRKGSQWFEINRKLALEIVQDTTYYPKFKEFCKPPCYVDEHYFPTMLSMKHRIFLANRTLTYTDWSRGGAHPATFGKADITETFLKNLPGAKACFYNDQRSPVCYLFARKFAPSALEPLLELAPKILGF >A09g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24431576:24435969:-1 gene:A09g508780.1_BraROA transcript:A09g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDITGCASIDCSSSRRPLHGQIQGIIQNRSTSSPGHRSTTPTKSTASCKAVRIMTHEELAAKHPHPPSPVYVNIDRHSDPTIDRQQETVIDRQPQAPINRRVPITYRVQMSKIDVARLNALKPKPKPSENIPETVRTPSDDGVDPMEVDRVPKGRILRKRKENMAKHLKMGANEKGKEKKLETEEDIRRMFCEAREKMRMTITLKKKSDPGQFAIPCMVKGIEFPHALCDTGASVSIQPRVMTDHLEVKIGNALVPIDFHVLDIKLNWNSSLLHGRAFLSTVGAVCNLQTNQLCLTLIDPNAHYDPIPVKKPHTTSRRINDPGIIAACHCGAEYETEYSASIETHTATSIDSVNQKSTDTPKEESVVSSQGEWENDYYIPSMATHTMHTEEYAEDYENERAIEQKATLDEEDRLLHHSSWKKKSPSIDRYGSSSIETQPHQPNHLRALTENAYFPLIDTNVDTTRDIDYSIGSWADNRYHESYAVETAYHDQGDDELNLGFTYEELLNMQRHDETDQNRAAAAWERTRFSHLIDRESRPSIDTNHSQSIDINNTTSIDIRPKQKTTEEKDEYGIYRDDQGYARDLDGRTIRVHNRDIRRLLERASRDDPSYICLPEHASSFTQTKLVPEIYTKDKINEMFYGVCGEHEKNKEAFQMKLDGIYYPLNDSLSWVTTCMEEMKQDIARILCATDVARPISIDNCLRTSIDSRLRTSIDNRIPASVDDNPPQPHSIKLDGRCDDIYFPMDLSISALTSKIETIQGELVEIQNYIAHRSEASPSIDRRNNKSTNIHQHISVDKASNRGRLVQKIRSDMSDTHNHGEEFSVDTYARLIRHQLNLESLGDRLQKIEDATTIMKDKWRRGDEAKRDFTDCLKEPKLTSNTKLDTTACLGAWYTYDQTLQTSLEGKALCR >A07p028400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16074485:16075283:1 gene:A07p028400.1_BraROA transcript:A07p028400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFLSLRRALSVYLTNQQPRVPLFQAGKLAQSKSLLSRDYAYGGLLQRHFSETAATNGCCNSSNSAAELSKAPYTSPATASEELIVKYKSQLKINPRHDFMMVFTCKVCETRSMKMASRESYEKGVVVVRCEGCDNLHLIADRRGWFGEPGSVEEFLAAQGEEFKKGSMDSLSLTVEDLAGEKMSSE >A06p023600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11660577:11661499:-1 gene:A06p023600.1_BraROA transcript:A06p023600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLKSDQYTSREEAVEEMKDCRSTVHPCHRLTEVHKPHKVTKRPMDDQKAYLCISKPLLMATKASQRGLIFRSEFDTCPTDAISNDINNPKSIDVTTSPSIDNGLVSEQKEFDVFWWKEEEKLEEEEKDQGRFSVIIDSSLPRWCQKIQNA >A02g511300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30035675:30039604:1 gene:A02g511300.1_BraROA transcript:A02g511300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AIQGFIGNGSRSVLKENRRRIGGVEICNVKSKRKKVKTLARGRRERRRRRKGISRRKTSSERMVSDFLKSYVQVLETNGLSLQQSQSFVVLAGYSSTAVYKEWKLSKSQGRYVIFAKSNQGSGLVSNCLLVSLFVEGRCSCGFRMFGRMAVGGILQQSQSFVVLAGYSSTAVYKEWKLSKSQGRYVIFAKSNQGSGLVSNCLLVSLFVEGRCSCGFRMFGRMAVGGIYESQAVQILLKLSRFKFEVKLQRRMVSTGFGTRQIWYFEELVQISEKAQVVMIKVSKRIIMELVAAGIISTKNESRLLCLMLFFSGSGSKVIKQMGFCGMSHDVFHINKRHGLLEEWVESSRKKQTQEHVMFPEVCVKRKDKSSRSNVSICWNRVSCGIKVDNFGGVEQCYPSSPRRVKESQASVSRFKLNMLWSDGVKGVQLVVRDVKRMSAVLVWVLHRKRGVKRRRGRVTTGIHMDQEEQFKVELFESITKLNKFREFSKSSYKEINSKCCWYCHKRVQEGLSYWKMEFLVTSGTGRGVCIPRSGKVRRLAKHRFFATAKVSQELSQFPGYRKSKGRSMEKFGVTQDWDCDSHHNKLHVCSLMMIKWLKTGSYQGCHWVYKVQGSRGKGVFRCIKIGTE >A07p032820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17948668:17950756:-1 gene:A07p032820.1_BraROA transcript:A07p032820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:La protein 2 [Source:Projected from Arabidopsis thaliana (AT1G79880) UniProtKB/Swiss-Prot;Acc:Q0V7U7] MATFDEETAKKLITQVEFYFSDSNLPRDGFLRKEVSKSKDGLVSLPLVCSFSRMRNLLGLSNTKREDIPERIVEEVANLLRASEFLKVSDNGQMIGRGTKLCKLDKVLEQVHRRTIAASPFEYCIKMEDVASFFSQYAKVNSVRLPHHIGDKQYFCGTALVELSSEEEAEDVLRQSLVYSGAELVLVPKSDFDRQRENMIQKSNLSDSSSHNEFHRGQLVKFTLKRITNAENIIKKENPKNLENEITGKAVSAEKVESGDLVADKSCATESDCTDQLVVVPPWENIDTEVLKDVFRRFGSVKHIEFSGGGSDSGYVCFIDSETALKARSAVEFVGGLVVKNKFSVSLEAVNGEIEGEVWKRLSSGGGEEEEDDKMGKNKGKCSEATQPHKKARKEHAS >A09p063460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51531564:51534261:-1 gene:A09p063460.1_BraROA transcript:A09p063460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGSAGSLANNDNNKPTKGRKKQNPFSIDYGLHHGNNNGGVKVKPLKLIVLTDPTGREISQKYKLGRELGRGEFGVTYLCTDKETEEVFACKSILKKKLRTAVDIEDVRREVEIMRHMPEHPNVVTLKETYEDEIAVHLVMELCEGGELFDRIVARGHYTERAAAVVTKTIMEVVQVCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALAIIRSQLNFRRDPWPKVSENAKDLIRKMLDPDQKRRLTAQQVLDHPWLQNAKTAPNVSLGETVRARLKQFTVMNKLKKRALRVIAEHLSDEEASGIREGFQIMDTNQRGKINIDELKIGLQKLGHAVPQDDLQILMDAGDIDKDGYLDCDEFIAISVHLRKMGNGEHLKKAFAFFDQDNNGYIEIEELREALSDEVGTSEEVVEAIIRDVDTDKDGRISYEEFATMMKTGTDWRKASRQYSRERFNSISQKLMQDASLHVNSEAI >A09p063150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51372164:51375072:-1 gene:A09p063150.1_BraROA transcript:A09p063150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQCRIWLPNMLSSTDDSTHSLIFGWFVSHSSSCLDVVVAFVADESSLSSNSGSSLQDVLHETNEKMPLSLRDKAAFTLLGRYDSCLSANGNAPKNATDEDMWRYSSLSCGCHKVDGLDSVSSHWIHMVHDSSSERGIRMHHIHWNGDIVSQCDVHVIVYDPPVFGSHHFSLSFWNASSPQTKSPLKKPNWVDDLHRRQPLIEMETVILSINCATSAEIVYKKLSTQLETSSPKFSLSYLISTLAWWLLAAVLSSLSSLYYSLIHFFYLLSSFPIFSWVLIASRKVFKNTWINFRIRSCQILYWPIFLQENNMTSISCVEQAEKAALQRHSTWSPMAVDLILGNLIGLSLLFNTGSVCSWLFHFAKEFTNDILRSGCVWLMGVPAGFKLNTELAGVLGMVSLNVIQIWSTLWIFMASFIFYLIRAVALMGITFGATVSAAFVIDVITFATLHVTALHWAITLVYSHQIQALAALWRLFRERKMNPLRQRMDSYGYTVKQHVVGSLLFTPLLLLLPTTSVFFIFFTITSTSVNSICMLIEFAVSIIHATPYAEIMIWLVRRQRFPCGVWFEIEHYKKNILTSSSDRSNNSPEKKPVLMVSNLRSNFLSIGQILLPHYTTMFSGISASSLTTSARGVLSGKRMPSKLGLDLPPPRPWMHMPLRQYWTLCHGSIFSSSRKGSNHDLSLEKGLPEASQSSSS >A03p017720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7220884:7221175:1 gene:A03p017720.1_BraROA transcript:A03p017720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMSHDKAEDRLYESAHTRPIPYNSQIVGQESGGDDDDDDSDNHTHNTNIYISLAELLKHGIVPVFIHVASRL >A10p021330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14349764:14350878:-1 gene:A10p021330.1_BraROA transcript:A10p021330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRTPPNLRHHSLMQDYQELHMDTESMRHKLQSMRERKAILMGEVRFLRRRYRQLRQDQPVMHPPDVKKGRGRWKSKTQVSSPNKRSEAETKHVTLPDLNHSVNETKTSLEKRVPLFDLNQISGEEEEEREAMNNEKMLVQESSSCTRKSSTEMKLSSCRNGGNGSNKRKVSWQDPVAAAALRV >A06p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23869253:23871794:-1 gene:A06p044460.1_BraROA transcript:A06p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVYFLSRACTKLAGFGWIFEGSTLISTISGSSSQPSIGSPLIVEAIAVRSALCMAITLEISFLRVFFDNSTFIRAISNNHQSKEIIGIVHDIRLISSEFASLSFSHFSRSENSKNRQLNENGSTGPLCKKLRMDCEGDGAKESLLLIKQGAEARVFESTFVGRRSIVKERFSKKYRHPILDVKLTLKRLNAEARCMTKARKLGVCTPVLYAVDPLLHSLTLEYIEGVSVKDVFLEFGANGIVEERLDDIAAQIGEAIAKLHDGGLAHGDLTTSNMLVRSGTNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMERILTAYRKSSKQWSATFNKLAQVRQRGRKRTMIG >A08p043580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24240311:24244491:1 gene:A08p043580.1_BraROA transcript:A08p043580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRKTLLHSGWLAARSTEVNEDGKQLTTTNPPSLGSQSKWMEAVVPGTVLGTLLKNKAIPDPFCGLHNETIIDIADSGRDYYTFWFFTKFQCKRLLNQYVHLNFRAINYSAEVYVNGHKTDLPKGMFRRHSLDVTDILHPENDNLVAVIVHPPDHPGTIPPQGGQGGDHEIGKDVAAQYVEGWDWICPIRDRNTGIWDEVSISVTGPVRIIDPHLVSTFFDNYKRVYLHVTTELENKSTWKADCSVTIQITTELENGVCLVEILHTENVMIPARGKTQHTFKPLFLYKPELWWPNGMGKQSLYDVVITVVVKEFGESDSWMQPFGFRKVESVIDSVTGGRLFKINGEPIFIRGGNWILSDGLLRLSKERYRTDIKFHADMNMNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFLLCARDTVRLLRNHPSLALWVGGNEQVPPKDINEALKQDLRLHPYFETPSLPDWDADPSVYLDGARVYVQGSMWDGFADGKGNFTDGPYEIQYPEDFFKDTFYKFGFNPEVGSVGMPVADTIRATMPREGWEIPLFKKGGDGFVEEVPNRMWEYHKYIPYSKPGKAQLVNNIQYRALLEGWSSRMWTKYTGVLVWKNQNPWTGLRGQFYDHLLDQTASFYGCRSAAEPVHVQLNLASNFIEVVNTTSKKLSDVEIEASVWDLDGDCPYSNVFNRVSAPPKKVVKVSEFTYAKSENAKPVYFLLLKLYHVSDKKVISRNFYWLHLPGKDYTLLEPYRKKQIPLKITCDSVLVGPKFELEITVHNTSRANALQEEGLLHKLFSRCGVVAGDSIRGLKVVVGSDPGVAFFLRFSVHNVEAEQQDTRILPVHYSDNYFSLVPGESMSFKISFAAPTGMKKSPRVVLRGWNYPDGFTVFG >A09p009710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4999395:5001842:1 gene:A09p009710.1_BraROA transcript:A09p009710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAAMLVNLGTVVMTVLTLASFVEGFGVNWGNIASHPLNPDIVVRMLKENKINKVKLFDADSWTMNALAGSGMEVMVGIPNNLLETLADDYGNAKDWVKENITAYMRKDGVNIKYVAVGNEPFLSAYNGSYLKTTFPALKNIHRALKEAGHTDVMKATIPQNAEVYESANDKPSEGDFRSDVKQIMLDIVKFFHDNDLPFTVNIYPFLSLYLNQHFPVEYAFFDGNGQTIPDNGKNYDNVFDANYDTLVYALNKAGIKDMKIIVGEVGWPTDGHKYATPKLAEKFYAGLMKRLAKDVGTPLRPEKLEVYLFGLLDEDMKSILPGPFERHWGIFRYDGTPKFMLDFTGQGRKIVPVAAKGVQYLDKQWCVVNKDTINLDEVGPDLDYACYHGDCTAMLYGSTCSNLDKIQNISYAFNMFFQIQGQDVRACDFRGSAMITKNNASVGSCLFPIQIVSGSGDFRISYVFGRFIVAGLILLGLVAAI >A01p040970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21865867:21866897:1 gene:A01p040970.1_BraROA transcript:A01p040970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleotide transporter 7 [Source:Projected from Arabidopsis thaliana (AT1G61630) UniProtKB/Swiss-Prot;Acc:Q944P0] MSNSEEIPSRLEGKNIARVVCCILGLGSLVAWNAMLTITDYYYQVFPKYHPSRVLTIVYQLVANVFISTLAYKEAKLNTRFRNILGYSIYTAGTFCLIILDLASHGSGSVGAYVVLCLIVALFGLADAFVQGGMVGDLSFMCPEFIQAFMGGLGIAGALTSGLRLITKAIFDKSSDGLRKGACNVSSNFS >A10p026790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16939187:16939807:-1 gene:A10p026790.1_BraROA transcript:A10p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMRSSSYLKPPLPKSPIRLRSRQVLLSNSSSSSTLKTPPGVTKPGRRLSHGDAEAKLPVESEDVKTRAEASNLQNLAADSAPVLFERGRFYEEYSARRNERLKRKSGGEESVVKGVEPTTTKRRGTTVESMSMTKENKKPPLPLPIDVVVTSSKKKSVTTTITMRGRRI >A06p032730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17539372:17540715:1 gene:A06p032730.1_BraROA transcript:A06p032730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEEVFFSIGRDIKQRLSDTDSKAEPATIKISQTGQVAGAGQATQKSACCGS >A04g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11878417:11879274:-1 gene:A04g505620.1_BraROA transcript:A04g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVIIKLGHGEYKEKVTIDRNKPFITLYGNPNAMPVLTFDGMAVEYGTVDSATLIVLSDYFMAINIIVKIHHCNKKDVYITFKKIGRILLQCRMEKGKEQALSMRISGNKAAFYNCKLYGYQDTICDDTGNHFFKDCYIEGTFDFIFGSGLSLYLVRSLYVSTQLNVVGDGLRVITAHAGKSTEEKSGYSFVHCKVTGTGTGIYLGRAWMSHPKVVYAYTDMSSVVNPSGWH >A05g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13075739:13077382:1 gene:A05g504720.1_BraROA transcript:A05g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARKFEVRGKTVHRKIRRKSSLKIDLNPALDSVGPNSPTLRTRTVRYTRTSVCVRQHTQDVRGRPSAHTGRPWPSVSTHRTSGCPSVHISARSVDCSGDFGPRGLSVQYTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGVSTQDVRQHTQDVRGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCVRVSVSAHRTSVSTRRTSVSTRRTSVSTRRTSVAVSVCPCVRQHTQDVRQYTRDVRQHTKDVRGRPSVHRGRPWPSVSTHTTSVSTQRTSLAVRQHTQGVRQHTQDVRVCPSVHTGRPSAHTGRPSVHTGRPSAHTGRPWSSVSTHISMLALPVDCSGDFGPRGLSVQYTQDVRQHTQDRACPLAHTDCPWTDPCTELISAC >A03p049560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21237895:21240129:-1 gene:A03p049560.1_BraROA transcript:A03p049560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFNGPIPLSPLRTVDSVSSTTKRRQAPFQHRFIGSVGSSVRGDSDQFIVKGMSRRVTKLVGSLPSKLRSSVASSELLYPSCSSLTSLTKSRSFGTTPPPPAAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVAGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPAPFAAAAIMEGVAAELDLVVCITEGIPQHDMVRVKAALNSQTKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGKIGIVSRSGTLTYEAVFQTSAVGLGQSTCVGIGGDPFNGTNFVDCLDKFFVDPQTEGIVLIGEIGGTAEEDAAALIKESGTDKPVVGFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKSLRDAGVKVVESPAKIGAAMFELFQERGLLK >A03p052820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22655450:22657288:1 gene:A03p052820.1_BraROA transcript:A03p052820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRCAVFTSPSTFLPSYSSTGKRVSFKVIRCSSSVSIPLSSSKRKNYLRRKILRTLGPPKLQEIETPRIVPPNDDVFTKKEEEEDVEELSSVVASSEVNGVLSKLSPKLVAKYGLCLVGMFVFQTVCAVLFLGNEKTQESSSLSLDLKGRNEGRDDDVVVSLEDVEMNEKIAEIRMMAREARRSEEKSGGGGGGDREEDGALNPGGGVEIEREIEARLSNIERRLNSQRKGLAGLRVEPLDESRDDEKSLMFEKKYKFKGEKPPKGNVKGFGGSSEQNGNVSDSRDGLKNAGDESKVAGPSDSKMISGAAQGSEQSRPSNQVMKSSNSENRKSNTEAGSGFGRSGQHGEVRKGNTMRRVKEKQNKTWWLKLPYVLRILMRSSIDQEVSEGYFTMRTEPMEQNKDQVSHMIAFEDQTDATNFSYLLESVFEDLEDFSANVVPISTKDLYNEVSSGGKNVIVVKKRQLKLYAGQPFEDVETALHTLIQEQ >A08g509270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18164836:18167643:1 gene:A08g509270.1_BraROA transcript:A08g509270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSNDDQIQPRQRRSRGGMGSQSRGSSSHVQDFVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKIGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A09p031370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18954576:18956754:1 gene:A09p031370.1_BraROA transcript:A09p031370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSKRPGPIHKPPESPATKFSFPRLLLWFDQSSRLKTLISWSLFFLLAVIVPMISHFVLICSDCDFKLHRPYDALVQLSLSIFAGISFVSLSAWSKRYGIRKFLFLHKLKDVSDKVRVGYEAEIQRSMKPLAIFVLPSLALQAIYRVWWYASGSDQIPYFINPTLSHVLACTLQLSSWLYRTSLFIIACLVYQVVCHLQILRLDEFARCFSSEITDFSATLAEHLKIHRELKIVSHRFRRFLVLSLFFVTATQFMALLTTIRASVPFHIYEVGELALCSISLVSGLFICLKSATQMTHKAQSVTSIATKWNVCASLDTFDDLDNGETPKYPTSRQHFQILSRRRDVTRSSDDDEDGEVDSDLDNTTIHPIYARAISFQKRQALVTYLENNKAGITVYGFLVDKTWLRMIFSIELALLLWLLKKTIVNIT >SC133g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000023.1:275732:277303:-1 gene:SC133g500100.1_BraROA transcript:SC133g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTFGGESSSSSHEHQEGLLGDDSTSPVHQKSMTGSQEVFFVHHPSKLKEEDFAHCVEQWRVDTRVVMRHWCEVSLKLTCKLGPILNPSLRRGKEPSGLRLWRVYSRELLYQGGFKSEVIGEIAEASTSIPSRWQDQKRSGNGVCVRIMKQSIQACSCESLKLEGERGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A02g508590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23139509:23140780:-1 gene:A02g508590.1_BraROA transcript:A02g508590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRSSITEDEKDTKNVLIDFGLNLPLRVGTADTPSYVWTSIIAARKLLLLGIRSKVHSGYEINVWGALWIPLTPAKPLMPGPQHLGNICMVDGSWTSTAQFSGMGWVWKDTMGKIQLMGSRNLRRRQTALHSELEALQWAMENMLQHSICQRFGTDCKDLIAMIEQPQA >A09g518260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55688037:55688705:1 gene:A09g518260.1_BraROA transcript:A09g518260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNNRIILVGEGLVGRAAFTGHHQWILADSFNRGGVHPPETVAVFPVVPHGVVHLGSSLPIQLKSLAEEM >A08p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4912969:4913261:1 gene:A08p008320.1_BraROA transcript:A08p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYVLLANLRAVRCSNTAELRLLRFWEAHNVRKGGGLMSVDMLLLDEQSTLIHGTINLIQSGR >A06p041330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22267418:22268845:1 gene:A06p041330.1_BraROA transcript:A06p041330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIGLLQNMEQKETYKKRRTQFKSSTSSSSSFPVDLTTEILLRLPEKSVAKFSCVSKLWSSIITDPYFINLFQTQSLQICFQKDDNLFFASIPQNSNRSSYSSSNSLSFDQTKLPENCSHWSSTESVHGLICFHKSDKLIVWNPSTRQFLVLPYLLPSWNDSKLLLGYDPIERKHKVMCIPRNRTCHGCQVFTLGSDLISWSWRRVGTNQAHSPSVFTYGRCVEGVIYYLPSSSRTSHMVMMSFDVRSEKFDTIETTMQLYWSPASFWILEDAQKHKWSRQHFLSPFDGCDLMSVGTCLNLKGFTHAGEFIYAPDRFSRSFYILSWDPVRNTCRRFEFKGLADNGSVNIGVEDQGISMCVLHIFPNHIDSLKAFATLCNQAKTTGSRQIIGACAFDIRFDLSYSKRRKNEISNEDTRVGDPEFTVLLRRGGAAILAGAGRYQQMH >A09p056960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48231193:48234273:-1 gene:A09p056960.1_BraROA transcript:A09p056960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVLVSLAAAIGNMLQGWDNATIAGAVIYIKKEFHLEKEPKIEGLIVAMSLIGATMITTFSGPVSDRVGRRSMLILSSLLYFLSSIVMFWSPNVYVLLFARLLDGFGIGLAVTLVPTYISETAPSEIRGLLNTFPQFCGSGGMFLSYCLVFGMSLQKSPSWRLMLGVLSIPSVLYFLLAAFFLPESPRWLASKGRMEEARQVLQRLRGREDVSGELALLVEGLGVGKDTSIEEYVIGPDNEVSEGGHELPRKDQIKLYGPEDGQSWMAKPVKGPSSLALASRQGSMITRGGSLIDPVVTLFGSIHEKLPSENMNSSSSRSMIFPNMGSILGLMGRQESQWDPERNNDDSSEHDESLNSPLLSPQTTESGSVGTMHGRQSSLFMANVGETATATSIGGGWQLAWKYNDKVGADGQRVNGGLQRMYIHEESSNNKANNTGFSRRGSLLSFHTEAGVSDQGHGYIQAAALVSQASMIPGIKGETATLPKEIKAGPGWRELKEPGVKRALMVGVGLQILQQFAGINGVMYYTPQILKETGVSSLLSNLGIGAESASLLISCLTTLFMLPCILVSMRSLMLSTIPILILSLLTLVIGSLVKLGGTANALISTASVMVYLSCFVMGFGAIPNILCSEIFPTSVRGLCITICALTFWICDIIVTYTLPVMLKSLGLAGVFGIYAFVCAVAWIFVYLKVPETKGMPLEVISEFFSVGAKQQDAASFVSV >A06p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2141457:2142262:1 gene:A06p006410.1_BraROA transcript:A06p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGDSRSFADGIKGFWEERLSFLENYTRFTKRDTPLPSWSSSDVEEFIASDPVHGPTLKTAREAATFGVTGAALGALSTAAFAWRYSKSPHGAALSFLGGGVFGWTFGQEVANHTLQLYKLDTMAAQVKFMEWWERKTQ >A01g507450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21408510:21416253:-1 gene:A01g507450.1_BraROA transcript:A01g507450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NISAKFDAYDFDRNRPVMDEKTLDNVVKAVVQQSLKVLGERKIPDNDAKLSSAGVEKSLSVTSSPRRRLPPEKSDKSPVVEPQAQEEKSVKTPVTEPRQQKKPVKSPEPPQQKEKAVKSPVPPQRKEKAVKSPVPAQQKQQKSVKSSALAETPAKKNSELEKDTWVRRILADDFNEIDFISVSPAKITKDAKDGKDANVPAYGRGLRGKAKRTVTVKDEAIEDKKKAQRAEAALKRKEKQEAKKKENEEKKQKRKAAELQKKQEAGLQKKKKEDEAELPKKKKEKEAELPKKKKEGEAELQRSEECVVTNDEKMSSSSSVSGNTYFHRRHVERGTPKQCWCGEPAELCTSASQANPGRLHLFKWADECLVEEVEDMKSVMIDMTKGISDLRVDVGRLEKELGKAEKMKCLMFPVVMDLQRGIPRTCDCGAATIVLTSGTIKNPGRRFYRCGANSGQNHVFKWLDEAHDEEFVVVANKLATIEQDLASIKAELDDMKKDITEIIRIIECLRMKS >A08p028690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17872683:17874287:1 gene:A08p028690.1_BraROA transcript:A08p028690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANAASGMAVEDNCKLKFLELKKRTHRFIIFRIDGQQVVVEKLGSPQETYDDFTASLPADECRYAVFDFDFTTNENCQKSKIFFIAWSPDSSRVRMKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >A05p010410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4383004:4387285:-1 gene:A05p010410.1_BraROA transcript:A05p010410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETLLLFCLLVSISLFTSSSAQSPGFVSLDCGGAEPFTDELGLKWSPDTHLLFGTTATISSPNQTKTQYTTLRHFPAEDSRKYCYTLNVTSRNRYLIRATFLYGNFDNNNVYPKFDISLGATHWTTIVISDANLIEKAELVFLALTPSVSVCLSNATTGQPFISTLELRQLNGSMYLTEYEDRFHLSVAARINFGAETEEPVRYPDDPYDRIWESDLVKRANYLVDVAAGTKRVSTSLPIEAGGNDRPPEKVMQTAVVGTNGSLTYRMNLEGFPGYGWAFTYFAEIEDLADDESRKFRLVLPDQPDYSKAIVNIRENTQRAFKVYEPGYYNITLPYVLNFRFAKTADSSRGPILNAMEICKYLEKSDGSVDATVMANVASLYSSTEWGQEGGDPCLPSPWSWVVCNSDPQPRVVAVKLSNKNMTGEIPSDLTKLTGLVELWLDGNSLTGQIPDFSRHLENNRLIGKIPSPLAKLPNLTEVYLQNNMLSGTIPSRLKNVYSNLTGNLNLKERGDKGKKLGVIIGASFGACVLLIATIFSCIWLCKAKKNNKSKTSAELTNRPLHVQRASSTLSDAHGDAAQCFTLYEIEEATKKFEKRIGSGGFGIVYYGKTREGKEIAVKVLGNNSFQGKKEFANEVTLLSRIHHRNLVQFLGYCEEEGRNMLVYEFMHNGTLKEHLYGVVPRERRISWIKRLEIAEDAARGIEYLHTGCVPAIIHRDLKTSNILLDKHNRAKVSDFGLSKFAVDGASHVSSIVRGTVGYLDPEYYISQQLTEKSDVYSFGVILLELISGQEAISNENFGANCRNIVQWAKMHIENGDIRGIIDPALAEDDYSLQSMWKIAEKALLCVRPHGNMRPSMSEVQKDIQDAIRIEKEALAARGGLSDEFSRSSAHSSSLNMGMHDLAGSQNFVAIDESVLQPKAR >A10p000760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:384397:385311:-1 gene:A10p000760.1_BraROA transcript:A10p000760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDRRFPHRHEVLQFLKTKPALVIFILLTYAFGYLTAYYNHVPPSTTVLKTVKATKPRGCLVDNFRVTTRCGDPVPPESIRQTVIDKIFNGTSPYVDFPPPHAGKYLRSKRIKGWGSYGAVFENLIRQVKPRTIVEVGSFLGASAIHMANLTRRLGLEETQILCVDDFRGWPGFRDRFKDMALVNGDVLLLYQFMQNVVSSGSTGSILPVPFSAGSALEKLCEWGVTADLVEIDAGHDFNSAWADINRAVRILRPGGVLFGHDYFTAADNRGVRRAVNLFAEINRLKVKTDGQHWVIDSVNVT >A03p007760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3155018:3156470:1 gene:A03p007760.1_BraROA transcript:A03p007760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 2 [Source:Projected from Arabidopsis thaliana (AT5G15950) UniProtKB/Swiss-Prot;Acc:Q9S7T9] KSVNDIMMESKAGNNKSKSSLFYEAPLGYSIEDVRPFGGIKKFKSSVYSNVSFFFLHQHCAKRPSYFLCPGGQPFPHRNFSEEVSVLDGHFSKLGLSSVAYLMGKDDETKKWHVYSASSGAKKNNKSNVYTLEMCMTGLDKDKASVFYKNESSSMTDNSGIRKILPQSQICDFEFEPCGYSMNSVEGEAISTIHVTPEDGFSYASFEAVGYDFTTMDLSCLVSTVLSCFEPKQFSVAIHSSVVQKSYYKGLCVELDGYGCRETTMESLGEERGTVVYQRFEKLGMYCGSPRSTLKCEWSSNSSCTSEDEKEERI >SC335g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000178.1:96:2063:1 gene:SC335g500010.1_BraROA transcript:SC335g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAPQPSAIAFPSIQFQQPAIVATHLVPSEPSLQNSPASVEGEVPESELDLDTRRRLLILKHGQDPRDAAPSEPSFPKRPPVQAPPPRVQPRNGWFAAEEEMDPKTENPVGLLHEIAIKCGTKVDYKPGLVASTNMRFSIEAWFCGKKIGVGIGKSRREALQKAAEFSIQNLADIYLSRENGDAGPSHRDAGPLTNQPSAGDETAMPVATYTRLEGSIRHNGSIPTLRELCASEGWELSFQSQRPLHSDMVHTEEFHAKVAINGRVLGEGVGSTWEKARMNAAERALGNVGPLLQRQVSSRSFGGKSNKRLKPNFQRSMQRMASSGRSS >A01p050280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28339623:28340770:1 gene:A01p050280.1_BraROA transcript:A01p050280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIGETVDSIKSIKIRQLLTQAITLGMIVTSALIIWKCLMCVTGTESPVVVVLSGSMEPGFKRGDILFLHMTKEPIRAGEIVVFNVDGRDIPIVHRAIKVHERENTGHVDVLTKGDNNDVDDIGLYADGQFWLHRHHIMGRAVGFLPYVGWVTIIMSEKPIIKYILIGALGLLVITSKD >A09p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12899776:12901030:1 gene:A09p023580.1_BraROA transcript:A09p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCLLLTVWFLLCIPGSLHMVGAQNQIGATTHPGDEKALNSIFAAWKIRASRAWNTSGELCSGAAIDDNIFIDDKAYNPFIKCDCTFNSSTICRITALKVYALDVVGPIPPQLWTLIYLSNLNLAQNYLTGSISPAIGNLTRMEWLTFGVNALSGPFPKEIGLLTNLKSLGIGLNNLSGPIPAEIGTCTKLLKIYLSNSGLRGEVPSSFANLVELEDV >A04p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13158046:13163553:1 gene:A04p021770.1_BraROA transcript:A04p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLDKPLAFQVGHLGEAYDEWVHQPIVTKEGPRFFHSDFWEFLTLTVWWAVPVIWLPVVVWCISMSGSMGCSFPEVVSLVALGIFIWTFIEYCLHRFLFHMKTKSYWGNTAHYLIHGYHHKHPMDHLRLVFPPALTAIMCFPLWNLAKLIATPSAAPALFGGGLFGYVMYDLTHYYLHHANPTIPVTKNLKKSHLNHHFRIQDKGYGVTSSLWDIVFGTLPTTKALKREQQNYPIFISDDDASPPPPFPSNKRPRKNPPTSTIEPEASSSPLLVDDDDDVTVVKCPLGSASGSSSRRREEILSGVISLDSDSEDTPGAGAGAGAGAETSNKYGPTILVDSLEQPCRLEPLTSDSDSDNSTDWLRGPSSQSSLPKVAIEVDSDQENEDVSVEKMSGQKQASCSKSPSLSGNALPRKRLSNDERIRAAEEKKLRKEQEKLQRAASRAEEAEKRKLDKEMQKLGKGKLAIKSIVAEIDLQLIEGALGAPLITLFAEKCITYRVTSNPIKSSIVWTMTTPPEDVSQKIPYVLLVYEAEEFCNLVANERFLENVSRVRDQYPSYTVCYLTNNLISYVKKREKKDYNVGKSNGWSRPPIDEVLAKLTTHYDRVHSRHCIDGPEVAAHVVGLTSSLASCHFRKKSSWLSVEAEGVVASMDSVDKHLIKKSPWLKALIAIPKVQPRYAVYMDPNKSEQEKELLLKDLKLEGLTGKDTNLGKAGSTRIYRVLMSRTGGLKTDEVASQIYSPHDLDYNLVRQKQ >A03p035760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14994990:14995484:-1 gene:A03p035760.1_BraROA transcript:A03p035760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSICVFILLCAFAAKTAAQTASNVRATYHYYYPQQNGWDLYKVSAYCSTWKGNQPLEWRRKYGWTAFCGPVGPRGRDSCGRCLRVTNTATGAQATVRIVDQCSNGGLDLDDGVFKQLDTNGQGYARGNMIVNYAFVNC >A04p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:938505:941489:-1 gene:A04p001940.1_BraROA transcript:A04p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLFNFAEIRFCVLPHRTPFEHHDFSNSFLRAQNVKKGGERMAVDMLLIDGELSRFIIPVITLSPSFKFGDASVAIGFTDQTIFLKIQKQLNLFRLRVSVKQSSTSVLDGLAIAKLLDEMLIASGVEPKVLLSTNVNPKLVGALEWVYVKQLVEASMVSQGMVSLTKSLCITTPRLRLKNPKIMHNLKTGSSHHLRVLCTKMSQWQPSPFIRSSAQEAGNIVLEKTSNVFESIVSETAEEEKVETNSHQVQVFKWPIWLLGPSVLLTSGMAPTLWLPLSSVFVGSNVVSVLSLIGLDCIFNLGATLFLLMADSCARPKDPSLSCKSKPPFSYKFWNVFALLTGFLVPTLLLFGSQTGLLGSLQPELPFISSAVLLLPYFILLAVQTLTEILTWSWQSPVWLVTPVVYEAYRVLQLMRGLKLSAEVNAPVWVVHMIRGLVSWWVLILGMQLMRVAWFAGYTSRTTATNQQPDSSVTSK >A07p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22563968:22565431:-1 gene:A07p041850.1_BraROA transcript:A07p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPQSPTMSDSSSPLLRSRQSPRRRQPVIAVLLNRATRRRGPSMVVRETAAQELEERRADWGYSKPVVALDMLWNAAFVVVAAVMLLVYKEEKPNVPVRVWICGYAVQCLVHVVLVWLEFRKRNASRSGEDLEAGEGSGGGGRNDSDDEDGDERILSTKTCESMNTIISFVWWIVGFYWLVSGGEILLENASHLYWLTFVFLSFDVFFAVFCVVVACLIGIALCCCLPCIIALLYAVAGQEGASEADLSILPKYKFQMMNNGEKQTDGGGKMIPIEAGAEYSGNERVLEPEDADCCICLSSYEDGAELVTLPCNHHFHSTCIEKWLKMNATCPLCKFNILKGNDEQE >A09g508320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23486512:23491764:-1 gene:A09g508320.1_BraROA transcript:A09g508320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDRGHPTCTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTKVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLAGLGRRSRSAAPSSAPQAYVDPEVLTAQLRDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEADLGRRRENREGNGGWTDGTKHEERARNYKGVVINGNTGQQNRERDSKEYYGKGKGKMGEAPDSKWVKVPEWGNRRSYNHHGNYRGNGEGSRVKTTYREAVPVVGSGTQGVSSRTYPLQLREDQGQQDVPQAAREEGEITLNGDAAVALPSAEFQMELAKTQAEGSELVVEAMEEERSLLAVQGMVEKQDETFDDIEMEIDAINAAMMASGVDLEAEEEFQTLSEEELEQASEAQVENVLIQNEEEPVAGDANINTKLGAGEMAMRQSHRKRLFKPNSSTAGSTKMRMASALLSPRKKIAAKVGTRHGDNTKPPESKGPSIPKPVNLKFYGYGSNLIYNERNLIDMYVDYFMGTEVGGLPEDGGLTESIRSLRVQDVIRSAKKLYDLGGAEPSGNY >A03g507060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24769082:24769513:-1 gene:A03g507060.1_BraROA transcript:A03g507060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASIFLVSCILMFFIMNNVKDVEAGLSPMDNQCGRKDIFVGGCGPDGNKTCINDFVKKGGEGNRPSSCECDDFGQEHLCRCNFSC >A09p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6354401:6356034:-1 gene:A09p012440.1_BraROA transcript:A09p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPELRPCIFHSQSRLSPTVTSNPRDLGLSIGAIFDSSLPNPQDEPPVLSIIRSISNVSFLDPQDDPPDDPQDEPSGGLSSTLVQKLPQFKTSKPARPDGSECVVCLDGFRKGRWCRRLPGCGHVFHRKCVDTWFLRADTCPTCRASVRLTE >A06p025320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:14880623:14880862:-1 gene:A06p025320.1_BraROA transcript:A06p025320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTKPPPLGDRNRRRWSWRSLRLPETKPNSRTMSFTAPFSTRPLLYARDRSVMDGLVTELRQGGGERGRERSENRRL >A10p031250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18719549:18721526:-1 gene:A10p031250.1_BraROA transcript:A10p031250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWGWMHHKSRDNSKQLLKDATTGNSHFPLSAHPSLDSQDVYGGANASPRYSTGISKQYNLFQESSFAGPKENTETKEARNSDFFHGFLAIGTLGGETFIDEPATPTFGMTSGDPATDNAEVTENDLKLISNELEKFLEAEAKEGHNQPSGRNSDTNTIASTIEAAEGVDAEEDDQPMKFPLQEYLFGSQIELSEPKVAGKKERASLGELFQATEVQEKHSENKYEEKKKKASSTHKSAKHLVKKVLKKLHLSSRSPDSGKTEVASTKKKFQKMAQVFHRKVYPEESIMESKIYSSMTEPKNSKVNSTGLMSEKVRPSCHKTSKRWIQYELRNSHSSGKGEHWIKTDEDYNNPTLLCRLRVGAVRDEKKRKLKSASELCQFMHV >A03g507420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26272582:26274343:1 gene:A03g507420.1_BraROA transcript:A03g507420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETREIVPPLTIPLHHPRSNFPQFTVTKQLHLQAWNPLACLPSPPAGNYLSSSSLRKCFTFMAIILYLVEFRFCYDHLFAIMSQLGLCDPFLSRCCSKSFTRMQGLLLVACAVTVVAWLVSGHWVLNNLLGISICIAFVSHVRIPNIQICAMLLLCLFVYDIFWVFFSERFFGANVMVTVATQQALNPVHTVANSLNLPGLELITKKLELPVKIVFPRNLLGGVEPGVSASEFMMLGLGDMVALYVGDWMGICNENGTRHTRTVKDEEDSLKCDGINETGGAWDELCQMVPDSWKVEITETIDLDLLSQLLNSGTLDIDYLGKMLEFALATLRKLSAPANDRENESTHQSLLEELHRLCQAKDESGSLHAVAIVKGNRFILEQIHVCIRNTYVNYFR >A05p032200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17954873:17957216:1 gene:A05p032200.1_BraROA transcript:A05p032200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGAAEEADDLSSHRHQKGKEYWNKSSSVQLIAPLPSSKDDFSHKAVDGSSGGGRRASGLIVPIDDSHDGKTTIIERPSRSHRGRRVSDNGKGGGLIISKVPRSAEAELIAAGWPYWLTSVAGEAIKGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGQIVAMKKVRFANMDPESVRFMAREINILRKLDHPNVMKLKCLVTSKLSGSLHLVFDYMEHDLSGLALRPGVKFTEPQIKCFMKQLLCGLEHCHSRGILHRDIKGSNLLVNNDGVLKIGDFGLASFYNPDLEQPLTSRVVTLWYRAPELLLGSTDYGPGIDLWSVGCILAELFVGKPIMPGRTEVEQMHKIFKLCGSPSEDFWETTKFPQATSYRPQHPYKRVLLETFKNLPSSSLALLDRLLSVEPEKRCSASSTLLSEFFTTEPLPCHISSLPKYPPSKELDAKKRNEEAKRKKAEAVKWRGHESARRGGRDSKVTPEFMASGHSNVSINTPYGFKKENGRGKLFSASSSVIHPSLTATLNKNKSSRSSVGEVRANRSNNVPVITGGYSSSSSQKESVPSREPTTRYMRKKNRMHCSGPLMPPGGNIEDMMKDHERRIQEAVRKSRLEKSVKACA >A06p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4405159:4406696:-1 gene:A06p011770.1_BraROA transcript:A06p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose/UDP-glucose transporter 3 [Source:Projected from Arabidopsis thaliana (AT1G14360) UniProtKB/Swiss-Prot;Acc:Q9M9S6] MEAHGSGLRRVLLLSFCVAGIWAAYIYQGVLQETLSTKKFGEDGKRFEHLAFLNLAQNVVCLVWSYIMIKLWSNGGSGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTLPEYLCTFLVAGGVSMFALLKTSSKTISKLANPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTNAWDIMLGMNLWGTIYNMVYMFGLPHGSGFEAVQFCKQHPEAAWDILMYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSSKQWGCVSMVFGGLSYQIYLKWRKLQRVQKKKKT >A05g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14145642:14148151:-1 gene:A05g505080.1_BraROA transcript:A05g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTQLLDELAQAVRSLVQLYQLNYVRLDPRKGYFPYLNGNRQCEFRFPQFGARRRGGYGLLLLMATKHLIETMSGYMKDKLAALTAPMANAYANAVVFNKIENLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPIKKSRCVRVLPKHVFRKHFGRIKLVLPKKPLKDPYVNRGKRKHNKTRLGRYVATEHTRCSRPSTHAARSLRSDRAHTLLGRYVATKHAHGSVATATSTHAARSLRSARVRTRMLGATSGPSSTLLVARSGPSAHTGSVAYVADRAHTLTVATSDREHPLLGSLTCDRHATLLGPLRSDLSEVRSHCSRPVRPQKGRTLGSLLNPHRNTFRFVSIGVSVEILRRKQRPGRPQKGPPLVLFLNPRRTLFVSSQSAVSVEIYDAKKVDSSRLASTRYVSDGHSQSHYVATWKASDRSSLGSLWNSCRNAFSFRLTRSFVELLRRNKYDASGLLPFATIATCTTSKSLRTTCKASEYRTLLGCFHLASSALFRTVKSYVATCKAQKGPPLRSPLNPLRNAFWDSSRHTLPLAIATADDILARYLATCQASSKGPPFAFSFDFS >A07g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11771136:11774053:1 gene:A07g505350.1_BraROA transcript:A07g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLESSGSRLEVVWTSLKSSDKVVWTSSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVIWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIISEKNPGRLTIKSSGGRLNYKSSVRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKLSTARRLKGKSSTARRLPNEISSLAYIRLLQAHIITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3513548:3517137:1 gene:A10p015630.1_BraROA transcript:A10p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKLCEYSGLFFSGSFFSHSSSVSDTSSASEDFADTRVRVFLRIGEEEEEEKEAKLRHLCVNEAFELEIDLLYAETQRIVLLIDLNPLILSPDSNQYLAFVISAAEKLLSFPPLSASLFSFKFFISSLSSLLSSSKLSALSIPSSKLSFDLPTPTLVSLRRAIDAVKRCELQSTSTSTSPRGVNVAASLRQIVYDYAWEPVGRGMIPGFTDGGGVDVVRSNIVVTFSSISRDLKWVSEFLDVKSNDECLRELGLFTSKFGEVFDCVNDLFDDRDIHLSWIDVSFGERSERELKSEFFDSGVRGLGWGHCSTDSLVFGSLNVPFGLIYPTLGVSPKVSTSHKFTVQVSLEIADIDGKPMECKCGELEFSSSEISNWRVLQWISQESDQEKEQESGFWADRVLQILEKETGERVAKKSSPIWQILLSYLYREGYSALVSLTKSDGSARTGILKPFTFSSALILVFDSGVSPQTIRKPKGCSLSVASNCDAQEDVQTDPDDKNHNSSEGTEKTTSLPVSEEDIALSGNRISVRQENHTSVTPLESSDIFFASLPSKIKQGIESEEIDLAALAERLVKSCLLHSSQRLEKDCSCESGSHLLVTEELTKMLLKEPKDLVAMFKKKNSSSTASEQKSDEASPSSIIREYELQILFRMEILKSEIGLGSEESVTQKFAKQICMLLKAIQCKLDGGFFSDWSLDKYVDKIIKASDEDLEDSFMNNEGSSQSGRDNIHSNIKSHHRGQRRKDVPGSSKKNYLKKETRECREAKKVVEAQKRRERARRFSSFTSWMPDLCRVWAPKQAKNSRDKADQQKRMAKRKKEERSVEYDTVRHQQGRIYSVRSNGKGAPYQSPWTWFDALHVSLYCLHFILEKIA >A04p020750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12662968:12666353:-1 gene:A04p020750.1_BraROA transcript:A04p020750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog 5 [Source:Projected from Arabidopsis thaliana (AT5G39930) UniProtKB/Swiss-Prot;Acc:Q9FLE2] MSYSDATVAAATEFGPQVRRVMLEKQSEIRIQVPQISALKLRVLHGKVEIFGSELPRNTWLTFPPLQQFAVFTWYGATFEIDGVTETDTISDETPMISYLNVHNSLQVQRHRVTSSTRDYDSSQGPRVIIVGDTDSGKSTLAKMLLSWAAKDGCKPTFVDLNIGQSSITIPGTIAATSVEMPVDPVEGLPLHKALVHYFGHNTATNNVRLYKYLVEELARELEEEFAINAESRRSGMVIDTMGWTSGLGYQLLLHAIRTFNASLVIVLGQETELVYDLNKAFKFKKNVQILNLERSSGVFSRFSDFRKMLRNISIQRYFSGATNNLTAYTKTAKFTDMQVYRIGALLEKSRSTEPLRITPVLIDKDLVNTVLAISYAKQPHHIISSIVAGFVYITDVDLGEERITYLSPSAAELPSKIFIMGTLTWHKT >A02p012210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5343308:5343862:1 gene:A02p012210.1_BraROA transcript:A02p012210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISVLNDALKSMFNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEYVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >A10p041010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22526269:22530705:1 gene:A10p041010.1_BraROA transcript:A10p041010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 1 [Source:Projected from Arabidopsis thaliana (AT5G01240) UniProtKB/Swiss-Prot;Acc:Q9LFB2] MSGERQAEEAIVVSGVSEAGEDGAGGKVEDSTAEDINSGDASDGFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLMGSWTAYLISVLYVEYRARMEKQEAKSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTAWYLTIAAFLHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKSIYLMATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKTRFRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHHTKSIFLRAIVRLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRTASARRNAAEKPPFFLPSWSGVYVINAFVVVWVLVLGFGFGGWASMTNFIRQIDTFGLFAKCYQCKSPIPPPPTPATGNHHRR >A05p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:117036:119188:-1 gene:A05p022390.1_BraROA transcript:A05p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTCLIPLASFTRCCLLYSLSPASLAVRKPYPDCSPLIHTALGHGLLSNVSQVTLYYLISTQGHQQPPIQPVPPVQVQGQQLPPIQQVPEVDETLMQVMKQMKTVDLETFGGTVDPFQAYNWKHRLATCLQTINCPLRLCLNIAELYLRGDALVWWDGVQSMRDGDMTYEDFLIAFDKKYFPREALHQKKNAFEHLRQGTRSVREYEREFCQLRLFAGNNFDAEDLIRRFLDGMRVDLRGRCSMVTYTSLEDLVEKAAVQEACIAEEQKYSKAQPKTERTSGSPNMAGDQSGTPSCERCHRYHFGDCVMCFACGQLGHVAKYCRFTKVDGTGTGQVTAPTTLAAASKKCYGCGQPGHIFRDCPRGGRVENPLPAKRQAIAPRVFAARGNERVEPADGMYLFTLSLVNFPVGFPGELPARFLDELPPVAKVRAIPLNPELV >A04g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15973538:15978318:1 gene:A04g506910.1_BraROA transcript:A04g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTGVSSVTLEVGPPGFPIMFPELSDQERRSAMVYVSHADETERNARIQRVRHTIDDSAKTPPVILTKISHDLDKGKGHVFSYPDISSRLQWPTSKKLQSTSSAPLVSLESEGEAESSSASLPVVLPPFDVTTGFQLGTSSMDPTTGNIKGGKKARRRPPSWKQKTQGRVAGTASNSAQKGDSYKENGTKRKMVGKAGFEDAVRRGWDGGTGDNSSIMDRLSGCRTELSSARPPMYRQDSLVDLTLKVSDLFIPNTDLWDKEKVRDTFTPEDAERVLKIKPLLSRPDSDVWGFTKHMAWDHRSGLSGAGWLVRDHLGIAINHSRRAYVGSMSKREADLKSLHWAVESMVNMRLNNVILEASSIELRESLLEPHRFPELQSLIATTLLLLSRLVSWSLLHVQESRNRVSNAIAVSVTADLRTQSYVATGGPSWLSHTILSEAQAM >A03p046910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19865965:19867207:1 gene:A03p046910.1_BraROA transcript:A03p046910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYAIVFATRYLDILTSFVSVYNTFMKLVFLGSSFSIVWYMRYHKAVQRTYDREQDTFRHWFLVLPCLLLALLIHEKFTFLEVLWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYIFLLGGYRGLYILNWIYRYFTEPHFVHWITWIAGLVQTLLYADFFYYYFLSWKNNKKLQLPA >A01g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18366402:18366701:-1 gene:A01g506310.1_BraROA transcript:A01g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVALSPDLQRGFCEMERLRVGFGFMERSVFPHLGSLRSSSSWCGGDGSPHGQGFFGSELFRWPLAVIKSSPFFV >A02g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7203508:7203892:1 gene:A02g502240.1_BraROA transcript:A02g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTKFGFVGFVWNDKEKVDGSAAGLYLRGTFDDGRRFHSSINQLHFPPFSPCRSTELADRRMTHVRYRYLRNSNWWLNEELCVVKKRVVCCEEESCGK >A09g510830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33259186:33259603:-1 gene:A09g510830.1_BraROA transcript:A09g510830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDNKEFQYKVIFSPKLVKNSSGHFRNLAWPWVLSLLNPKCRVSDVSTSIDGTCVHRSILIFICRGISWYRSSALMRIDRLFLLSSTSTWSARVKCPLSSKMLQSHSFTPKCT >A09g509170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25674274:25674844:-1 gene:A09g509170.1_BraROA transcript:A09g509170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPQKYLYKEPCSKILFKPQSNLNTGCTKETYMYPIRPRTSSSTAIGPRTSQARSIRGDQACTQLGRYVVTERPSCSRPSSSQARLLRSDRASVLLGRYVATELEPSSRPAVRPARSLRSDRASFLLGRYVATERACCLVVT >A06p021040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10385455:10386516:1 gene:A06p021040.1_BraROA transcript:A06p021040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKISGKGKRPGKGGNRFVKNIGLGFKTPREATQGTYIDSKCPFTGTVSIRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFAKKAFTGA >A09g503900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:12227154:12227432:-1 gene:A09g503900.1_BraROA transcript:A09g503900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGVSATRREVDPGRKYGIMLNNNSNSWKCIYCKKYFNTGISCLKQHLRGDHRNAKACNLYPLHVREELIRNKQMKEDEIAARNLALQEE >A04p023420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14215253:14218647:1 gene:A04p023420.1_BraROA transcript:A04p023420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extra-large guanine nucleotide-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT2G23460) UniProtKB/Swiss-Prot;Acc:O80462] MPLKMKPDSIHEDDDCLFAVEYDGPPLPYDIPRAVPINMDRIPVAAVVSPVCISAAMSFPVIQPILSIDSTKKQLSREKMVSPTSVIEHVSDSTAVSPTEEVSGELEETTRGLAFCDSFEVSEEKESLDNESVLSMDYPSSRVTGDCVSETGGKKQQVVTFLGIASDDDDDEEEDCIQKRTRVVPVKKQPQTKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCSSCVLRAMGSMPEGRKCVSCIGCPIDESKRGSLGKCSRMLKRLLNDLEVKQIMKTERFCEANQLPAEYVYVNGQPLYPEELVALQTCANPPKKLKPGDYWYDKVSGLWGKEGEKPYQIISPHLNVGGPISAEASNGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLLCAVLSLPVPSKSISNASGDQLHSANSRSILDHLEHRTLQKILLVGNSGSGTSTIFKQAKILYKDIPFLEDERENIKVIIQTNVYGYLGMLLEGRERFEEEALGVMNAKQSLIEDEEGEAKTNVKTLYSIGPRLKAFSDWLLKTMAAGNLGVIFPAASREYAPLVEELWRDAAIQATYKRRSELGLLPSVANYFLERAIDVLTPDYEPSDLDILYAEGVTSSSGLACLDFSFPQTAAEENLDPSDHLDSLLRYQLIRVPSRGLGENCKWIDMFEDVGMVVFVVSMSDYDQVSEDGTNKMLLAKKLFESIITHPIFEQMDFLLILNKYDLLEEKVERVPLTRCEWFQDFNPVVSRHRSNNNNGNPTLGQLAFHYMAVKFKRFYSSLTGKKLFVSSSKSLDPNSVDASLKLAMEILKWSEERANICMSEYSMYSTEPSSFSN >A03p050720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20778222:20779421:-1 gene:A03p050720.1_BraROA transcript:A03p050720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRQNGRGDFKTLTEAINSVPVGNKERVIIKLGHGEYKEKVTIDRNKPFITLYGDPNAMPVLTFDGTAAEYGTVDSATLIVLSDYFMAINIIVKNSAPMPDGKRKGAQALSMRISGNKAAFYNCKFYGYQDTICDDTGNHFFKDCYIEGTFDFIFGSGRSLYLSTQLNVVGDGLRVITAHAGKSTEEKSGYSFVHCKVTGTGTGIYLGRAWMSHPKVVYAYTDMSSVVNPSGWHENTQTERDKTVFYGEYKCSGPGSRKEKRVKYTQDIDNIEANSFISLGYIQGSSWLLPPHSL >A06p054540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28577931:28583241:-1 gene:A06p054540.1_BraROA transcript:A06p054540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSNNVIGCINFIAVLLSLPVIGAGIWLAMGTVNSCVKILQWPIIILGILILLVGLAGFIGGLWRITWLFGVYLIAMLVLIVFLGVLIGFTYMVTIKGSGHPEPSRAYLEYSLQDFSGYLRQRVEKSDKWDRIRTCLSTTNICPELNQRFSMAMDFFNAHLSPIQSGCCKPPTKCGFTFVNPTYWINTINGSSDMDCLQWSNVQNNLCYSCDSCKAGLLANLKIDWLKADISLLLALIGLTIVYIIGCCPFRNSKTEVITITLNSFQTKHISRNTKREKMPQRNNVIGCINFIALLLSIPVISAGIWLTVGTVKSCVTLLQWPIIILGILILLVGLAGLIGGFWRIAWLLVVYLIAMLVLIVLLSVLIGFIYMVTMKGSGHPEPSRAYLEISTCLSATNICPELNQTFTIAQDFFNAPLSPIQSGCCKPPTKCGFQFISPTNWIGAINMSADMDCLQWSNVENTLCYWCDSCKAGLLANLKVDWLKADIVLLSALIGLITVYIIGCCAYRNTKTEDIFRKYKQ >A10p029150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17913195:17919836:-1 gene:A10p029150.1_BraROA transcript:A10p029150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTLVSCSASSSSPKLLIGCNFTSSLKPPVGFSRRSPKILLRRSKISASSAQSHSPSDNTGEIVVVKHKTKAFASIFSSRSDKQTASVASPSVAVPPPSSSSTIGSPLFWIGVGVGLSALFSWVTSNAKKYAMQTAMKTMMNQMNTQNSQFNNPGFPTGAGAGSGSPFPFPFPPQTSPTSSPFQSQSQSSGATVDVTATKVDRPPVSKPQTTPTPPTKNIEVDKPSVVLEENKAKKEEKNYAFEDVSPEETTKESPFSNYAEVSEASAPKETRLFDDVLQNGAAPANGATASEVFQSLGAGKGGAGLSVEALEKMMEDPTVQKMVYPHLPEEMRNPETFKWMLKNPQYRQQLQDMLNNMSGSGEWDKRMTETLKNFDLNSPEVKQQFDQIGLTPEEVISKIMENPDVAMAFQNPRVQAALMECSENPMNIMKYQNDKEVMDVFNKISQLFPGMTGVREEEKKHAMKLLLLLLLLVLMVLNPHANSGSIVKYLPGFEGPLPFELETGYIGVGKEEQLQLFYYFIKSERNPKEDPLLLWLTGGPGCSAVSALLFENGPVKFRVEGYNGGTPTLLSTTYSWTKIASIIYLDQPVGTGFSYAKTQLLDTPSDSGEAKRIHEFLLKWLAKHEKFISNPFYVTGNSYAGKVIPATVQEISKGNGIGFKPQINLQGYVIGNPTTDQEFDYNHRVPFAHGMALISDELYESLKRTCNGNYENVDPGNIECLQFVEEYHECIAGIYLGHVLAPVCAVAAPGLLWPKHVPKRDLRETLPTNLSVSFPNCIVYSELQASMWANDESVRKALHVAKGSIGKWIRCSSEDKPYNKDIKSSVPYHLNNSIKGYTSLIFSGDHDMTIPFTATQTWIRSLNYSIIDKWRPWMIHNQVAGYTKTYANKMTFATVKGGGHTSKIKPEESFIMFQRWISGQPL >A10g504940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12229012:12237082:-1 gene:A10g504940.1_BraROA transcript:A10g504940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDEQDNPSESTPREAELQRQLDGLQSQVTDLHKEREATENPELSSEVQSMKENLDEHSKQLEQSAEKLTQLESENLVFRDKNKALNTTSNKKRRFRTHVRSMPSLETPQHRRRYCSTAFRPRYKASYLENRIPATITPRKSSGSRIRDKLIRSSRFGPEKCHSPARKGRLSACVISICCQIPRHPENSGQIRSTKKQDEIPHFPRKGPLGRPIFCVHSEGIRPQKTLEVLNQPGGDDHRPSPRNPKSPTTPWPFKRRGTHLEARSSMTPRQKISIPQKVPKKIAISIGSQGQAQPTSNARTHRSEENPISPGREQVEKPLGVFDPQWTIPQTMKGGTLSTLSTTPRLIIRENVELSARNQPNRWHTARSHDEQDETGISQQGDEVLRQDRVHELSKSKLPIALDQQPKNTNTPIGYNSRLFNPTKQYPIVSSSRQGLISYGTLPTIKIVGKPQYLKGHIGLD >A07p018630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11189923:11191114:1 gene:A07p018630.1_BraROA transcript:A07p018630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNDTVKLIGSWASPFSIRARAALHLKSVKYEYSDEPDVLRSKSELLLKSNPIFKKVPVLIHGDVSICESLNIVQYIDEAWSSGPSILPSHPVERANARFWALFIDEKIFGSLEAVGGAKDDEGRMAAAGKLMENLAILEEAFQKSSKGLGFFGGENIGFLDLACGTLLGPVSVIEAFSGVKFLRQETTPGLIQWGEKFRAHEAVKPYMPTPEEFVAFAKKKFNVE >A02g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22260754:22261274:1 gene:A02g508030.1_BraROA transcript:A02g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPLISHRLSHQTRSTGENPKQTLQVYIHRPNTQQSIIDFQLPSIIDIQETTQYVMNEVRDNINILANDLQVTLIIKDYNQNATSRLDIDLIITDLEGPNRPPTIEEENDICIVCFGNYNDRNNLFSVTCGHIFHFACIDQ >A06p003820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:906886:907235:-1 gene:A06p003820.1_BraROA transcript:A06p003820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFSSLLLFSLMVSTFILLPMASGQIRICPVRRPPCKVSQGCIQNCRKLGYMTGGCEEKYSSIYCCCIHHHSPSVH >A02p050980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31384768:31389981:-1 gene:A02p050980.1_BraROA transcript:A02p050980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSEEKETGKKTVKSLRSVRSIFMHADCVDWLLMGLGFIGAVGDGFTTPLVLLITSKLMNNLGGSYLNTETFMQNISKNSVILLYVACGSWVVCFLEGYCWTRTGERQTARMRKKYLRAVLRQDVGYFDLHATSTSDVITSVSSDSFLIQDVLSEKLPNFLVSASTFIGSYIVGFILLWKLALVGLPFVVLLVIPGLMYGQALISISTKIREEYNEAGFVAEQAISSVRTVYAFSGERKTISKFSTALQGSVKLGIRQGLAKGITIGSNGITFAMWGFMSWYGSRMVMYHGAQGGTVFAVAAAVAIGGVSLGGGLSNLKYFFEAASVGERIIEVINKVPKIDSDNPEGQKLENIKGEVEFKHVKFVYPSRPETSIFDDFCLRVPSGKTVALVGGSGSGKSTVISLLQRFYNPVAGEILIDGVSIDKLQVKWVRSQMGLVSQEPALFATSIKENILFGKEDASLDDVVEAAKASNAHNFISELPNGYETQVGERGVKMSGGQKQRIAIARAIIKSPTILLLDEATSALDSESERVVQEALENASIGRTTILIAHRLSTIRNADIISVVQNGHVVETGSHDELMENLDGQYASLVRLQQIEKNDSDVNMSVNVLMGPVSDPSKDLRSRSRVSTLSRSSSANSISGLHTLKNLSGDDKPPLPSFKRLFAMNLPEWKQALYGCVSATLFGAIQPAYAYSLGSMVSVYFLKSHDEIKEKTMIYALSFVGLAVLSLLINISQHYNFACMGEYLTKRIRERMLSKVLTFEVGWFDRDENSSGAICSRLAKDANVVRSLVGDRMALLVQTISAVTIACTMGLVIAWRLALVMIAVQPVIIVCFYTRLVLLKNMSKKAIKTQDESSKLAAEAVSNVRTITAFSSQERIMNMLEKAQETPRRESIRQSWFAGIGLAMSQSLTSCTWALDFWYGGKLIDGGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTSIDPEDPDGYEPERITGRVEFLNVDFSYPTRPDVTIFSGFSINIDAAKSTAIVGPSGSGKSTVIGLIERFYDPVNGVVRIDGRDLRTYNLRALRQHIALVSQEPTLFAGTIRENIIYGRASDKIDEAEIIEAARAANAHDFITALSDGYDTYCGNRGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERVMVGRTSVVIAHRLSTIQNCDMIAVLEKGKIVERGTHSSLLAMGPTGVYYSLVSLQRTSC >A05p048730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28474194:28476047:-1 gene:A05p048730.1_BraROA transcript:A05p048730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S17-3 [Source:Projected from Arabidopsis thaliana (AT3G10610) UniProtKB/Swiss-Prot;Acc:Q9SQZ1] MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDDVKVDKETLEMLASLGMSDVSGFSQVEAQAVVQSNARSLLLQHLPCPSVTPLLRKYPLKPLKFSSLPFSHRCRQSPASSSSSSARCCSSSSSSMESPPEGYRRNVGVCLMNPSKKIFAASRLDIPSAWQMPQGGIDEGEDPRVAVMRELKEETGVHSAEIIAEAPHWITYDFPPDVREKLKVRWGSDWKGQAQKWFLLKFTGKDEEINLLGDGTEQPEFGEWSWVSPDQLVELAVDFKKPVYKEVMSAFASHLQ >A03p035850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15025532:15025877:1 gene:A03p035850.1_BraROA transcript:A03p035850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFLVAFLLVLVIFSAEMEVGNAGMIPMPPFPSGKWCGYSIPMKPCDNDVCDKTCIKQNTHGWRDTHGMCTDIPSLKDCYCSHSC >A07p045380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24628647:24630990:-1 gene:A07p045380.1_BraROA transcript:A07p045380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIFCKTSTTCSTPPVNSLAAGLITTQTIPIPYLLSLGFKPFSTSAMPLPPSTTTGSISSNGGVFSGILQRNRAAASLRFSTSCRCSMGSRSRAWVGKSGTWRSWLHSEANGGLSSVNAAGEVVESVEDDSDGEKGKDEKPVRLNRRNRSSSSSELTGNPDLLKIPGVGLRNQRKLLDNGIGDVAELKKLYKDKFWKASDKMVDYLRSSIGIIHRNHAESITTFIKESVDDELKEPNVNVKKRLTFCVEGNISVGKSTFLQRIATETIELRDLVEIVPEPVDKWQDVGPDHFNILDAFYSEPQRYAYTFQNYVFVTRLMQEKESASGIKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSALPGLVPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLKYLQDLHEKHESWLLPFESGNHGVLSVSKPSSQMDNSLHPDIKDRVFYLEGNHMHSSIQKVPALVLDCEPNIDFSRDVEAKRQYARQVAEFFEFVKKKQETSQENSSPLLMPPHNGGLWMGPEGKHVPGLELPSLDFRKAMSLITRPSA >A04p024240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14689999:14690780:1 gene:A04p024240.1_BraROA transcript:A04p024240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein D [Source:Projected from Arabidopsis thaliana (AT2G24390) UniProtKB/Swiss-Prot;Acc:A8MRP2] MSAMSGGPLAMHSVFVYGSLMADDVVRLLLNRVPPTSPAILPDFHRFSIKGRVYPAILPAQADKVSGKVLFGITDDELYILDEFEDIEYERDNVNVFLTDSSEKRETKAYIWANKDDPDLYGTWDFEEWKQVHMEGFLKMTTEFAQEMKFPESSET >A08p033630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20155962:20158155:1 gene:A08p033630.1_BraROA transcript:A08p033630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA activating complex family protein [Source:Projected from Arabidopsis thaliana (AT1G28560) TAIR;Acc:AT1G28560] MESERSEEEIATSGIPRGGPIYLPNMVGQVSSVPEFQSSFLSLLHDFETHLSSSSHQYDLSTDALKIYTDEELTDMAMKEAFEEDNLSLRDDDDTFSINELEQSLIVSHPENPSAENERGTKRRRTVKTGAVKKTVKKTEVKRTVKKPEEDYIAKVEQLAKLKQKQDEDKADVRLHCFSETWENCEDASTSLEGFEKMQSLKSVDNYTASDFSLSVSLVKTSDIQGTVDTLFPEVILCVEIYNSRKSKTQEFLVLGRQMLTELKDKIHCVTDQVMEKAGKYDPSGYFLIEDIFHNDLRNRSATDYSKPILNWLWNSKDEALKKWEGIITGELQQKQRTALGVTKAMDLPRFGSAEMQSTRFCDLRFRLGASYLYCHQGDCKHMIVIRDMRLSHPEDVQNRAAYPRLIYQLKTRPQKCSVCKIYRASKVVLDDKWGNENQCYYCDICFGHLHNEGGPLYCDVPVFDYVYE >A05p021510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10248241:10248693:1 gene:A05p021510.1_BraROA transcript:A05p021510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSMNPSLFRVICILHSVIALTSGTLMMFYTEKASIFGPGSEIASKLKGSTPHDELLIQISQSFSGLLLFAIGFVLFMVSFVKDREFHSFFAGGSVILYVLMASWRVLFEWRIEDLAYEWPKQALGDIALGISWVFFLVYSWREKYD >A04p025450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15411705:15415850:-1 gene:A04p025450.1_BraROA transcript:A04p025450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPS2 [Source:Projected from Arabidopsis thaliana (AT2G25850) UniProtKB/TrEMBL;Acc:A0A178VXR1] MVGTQALKSYGITKPLSVSGPSAADLKRNLELEKFLVDEGLYESKEETMRREEVLGRIDQIVKEWVKELTHQRGYSDQMMEDANALIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILRDILAEMEEVTDLQPVTDAHVPVMKFKFQGISIDLLYASISLLVVPQDLDISNSSVLSDVDEQTVRSLNGCRVADQILKLVPNFEHFRTTLRCMKYWAKRRGVYSNVTGFLGGVNWALLVARLCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCAIEEDELGIPVWDPRKYHRDRYHLMPIITPAYPCMNSSYNVSQSTLRVMMEQFQYGNKICQEIELNKQHWSSLFEQYMFFEAYKNYLQVDVLAADAEDLLAWKGWVESRFRQLTLKIERDTNGMLMCHPQPNEYVDTSKQFRHCAFFMGLQRAEGYGGQECQQFDIRGTVDEFRQDVNMYMFWRPGMDVFVSHVRRRQLPPFVFPNGYKRFRQPKLQNQQGGEPGEPVESLSANVERHAKRKNDNELMDTRPEKPEKRASVSPPSVDVVSPESSAITTGGTPPIGIVSGPRAECLVTSDIGCSIPNDLNSGSKQNVEVDNRSLVQGCRDLAEPVGKDARPDPSVVVTCEGGQNKEKGRVVDSESINDTDKQRLPRRPNLKEDVDRVERESMLGEIADGVLQNGLCRRSLDHEGFLAAASLDSSGEHRNLHSDGLLKSGLSEELQTNNLLMGMGRPEDGARSESLQNSLMRHQQQVGLEVDSVRVSAILPEFDTHWPWLVQCSGRKLGELGVKLGAGSLHFV >A10p028440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17651852:17655649:-1 gene:A10p028440.1_BraROA transcript:A10p028440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVTEVNRKYYDRDVCRLYLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYKEAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLQEEDAKAAIAISVTEVTQSPEILELSTQIKEKMKEADLHDLEGKTDMKIRALELVEEMRTKRADLQAVLLLEAFNKDRAALPQPIPGQPPAAALPPPDPRTQEMINEKLKKAEEFGEQGMVDEAQKALEEAEALKKLAPRQEPVVDSTKYTAADVRITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHLGYMLIRDKLAELQEEKNKVHKERVEERRSKERSRERESSRDRDRGGSRDRGRDIDRRSRDRDRHHDHREHDRNYNQSRGYDSRSRRSSRSRSRERTRDHDRRRRHDRY >A09g516310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48125015:48125652:-1 gene:A09g516310.1_BraROA transcript:A09g516310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEDRRHSKRQNYHINMLGFVADSEYGIPTRCPCGGRLINEVRGKDDYDTLPGKRFFTCKNYEADGFHYRQPWVIPVQEELERLTKRVEEAEQVMMGVSNLTKQIETLEEQVKILNEQVYDLTMQVDTLEKVCFDRQPKVRLS >A06p005280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1549782:1550519:-1 gene:A06p005280.1_BraROA transcript:A06p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGGTKRKPEMKKITNKASRATTFSKRRDGLYSKAAQLCVLSDAQIAILATPSSSSNSNASFFSFGHSSVESVVSAYLAGQRLPPVSSSRDTREDLGICMARKDLGLGFWWDDEKLANSKNPEELLEAMESMKVLMSHLKGLEDQTISTVDEEISPELDEWSEMELTQLLQDCDDVPPPPPLVSNTDKEEEDQIVSDNNNNSVSSLPEKSLEEVTMDLDFDSIFEGLVPCDEADLFWSDDLLFM >A09p077990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57835886:57836487:-1 gene:A09p077990.1_BraROA transcript:A09p077990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEVEQVIAPAAENVEVPTKTVEEPVVVTEQAEEVVASAPAPAAVTEQESEAPVEETSKEVVVDEAEKKDQETEKKPEEPKVEEEEEKTETPVVVEEAKTEEKEEVTETPAVVEEEKKAEAQGVVAAGEVAAEKTEE >A07p004020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3091467:3098570:1 gene:A07p004020.1_BraROA transcript:A07p004020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHTAFKALCLSLLFVTVLSSPDTEPKVFDVQSYGAKGDGTADNANAFTNAWKDACAWKGRSKVYVPKGAFYLGGVTFAGPCNCQTYFVIDGVLLAPTNNDDIKKETWVNFAYINYLTISGSGTIDGQGKESWPLNNCNKNDNCPRLAVSMGFDFVNNSRIEGITSLNSKAGHFNFYFVDNFTIAGVNITAPGDSPNTDGIKVGFSSNINISNTHIGTGDDCIAILSGNTNLFIYNVTCGPGHGISVGSLGKNKDEKNVEGLTVRNTVFTGTSDGIRIKTWESSATEIAISNFLYENIQMIDVQSPINIDQQYCPYPPCIKKGDSHVQIQNVTLNNIWGSSMNKEAVKFQCSKLFPCKNVQLIDVNLSYSGNGGPATALCENIEGSASGKMAPPNCLKTLN >A09g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3397837:3398639:1 gene:A09g500960.1_BraROA transcript:A09g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSGCATQWIRIDVRAHRSKTQSLEDGIDEQKECRNSLKTDGSGGWYGDGSDASCVPAVSDLKVDPTSSAIATSRRPKGPRVISTPLVSPELQRNQRQLRYLTIRWRAPNGSRDAKQGDKLSGDRQREEVEMDTAGDSGAFSQHQTAPERLGEIGDFNKIVECQILIAAAACKQPVVSHCPC >A05p034160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19203327:19205616:-1 gene:A05p034160.1_BraROA transcript:A05p034160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHHDPNPFDEEDEEIVNPFSKGGGRVPAASRPVGFGQSLDATVDIPLDTINDSSQKQRKLADWEAELSKKEMDIKRREDAIAKSGVQIDDKNWPPFFPIIHHDIANEIPVHAQKLQYLAFASWLGIVMCLVFNVIATMVCWIKGGGVKIFFLATIYALMGCPLSYVVWYRPLYRAMRTDSALKFGWFFFTYLIHIGFCIVAAIAPPIFFQGKSLTGVLAAIDVISDSLLAGIFYFIGFGLFCLESLLSLWVLQKIYLYFRGNK >A05p046100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27431123:27433035:1 gene:A05p046100.1_BraROA transcript:A05p046100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIQIGVLAFLLALSVIELGLATANTVPAFLWSPHLQRANGEMDEAVNYQVMSAKDLVGSVFTQGGWSNFLCSEKKVEQAVDVALVFIGRELLSSDVSSKRNSDPALVNTLNNLFTSSNFSLAFPYIAAPEEERMETLLLSGLKEACPHNVGVSNIVFSDSCFVEDGTIQKLSDLQSFKDHLLARRETRKEGETDLVVLCSEGSESISESGQSHSERESISELVSSVEQSGSKYAALYVSDPYWYTSYKTLQRFLAESATGNSTVGVSTTCDELCKFKSSLLEGILVGIVFLLILISGLCCMAGIDTPTRFETPQDS >A02p018160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8316272:8325263:-1 gene:A02p018160.1_BraROA transcript:A02p018160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQSQMTRPYDHDQAEDPIRVHHPEEEEHQEKGATKVLKKVKEKAKKIKNSLTKHGHGHEHDHDVEIEDDDNDEQDPQLHGAPVHIHGRVTRQPESLSHPGEASVPAPEEIVPPGTKAFPVVSSDYTKPVEPEPLRETLYRHEAASYPADSSDRDESREAHQTPMKTPASLLSSTEDVTRTITPGEDEYLGGQGKVNVERPKELEEDPAGPGGRSSYLSGVSNYQSKVADPTHAGGGEAGVPEIVESLGRMKVTDEKPGRGFESDFPTRSHEFGLKNESETGKDIQARSDDVKVESELGSDLPTGTHDQFSPELSPPKEAHVAKPSTYTEKIGSATLFVTDKAIAAKNAVASKLGYAGESGKHQSSVGDEATPRSATGYGQKVAGTVADKLTPVYEKVKETGSTVMTKLPLSGGGSGAEEKQQVEGKGVLTRDYLAEKLRPGEEDKALAEVIAEKLHLGGGEKKKTMSTKEVEVTVEKILADQTLVEKEHGEAEEGKVGGGGGGGGGEGMVGKLKGAVTSWLGGTTEEVKPKSSNYVDQSSQSLGSTVGTTGFPDSEEEEHHESRASRMLGKVKAKAKKLKNRLTNHGTDGNEQDHDVVDEEEEDDESDEAESEKHVAPVNEVPNVRDYKTSQPESLTHPGETNVPAPEEIILSETKDSTDYTGIIPEPSRDAAYEHEAPSYPVRTSDVTHHEPLNTPVSLLSETEDVTTPGEDGLLGGQREVNTDMPKRFEDDLSGESDYQSKIPYHTQQVNVGSGEAGEDYHKSGLGTELASESETELGKDLPTRSFESETVFGGKPETEPRDDFPAKGREFEQTTGSGIGEDNGAGKEGTERREDFLGKGYEFDQEIESTFGKDSPTRLPGDEIFPTRSDDMEVEIGSGRGLPTETDDDHFSPEFSGPKERDDFDSQAEQTRYEAAEVKPTTYSEMIGSSTGYSSGQHESPVSVETVADKLTTEDENVKETASPVTAKLPFSGDGSEVDETEQGEDKFVASRDHLEEKLTPEEEEDKAFSDMVAEKLNLGEEKQAKIKEEVAEEKIPSDKLPEEIEGGEAVQEEGKEGGGIVGTIKGVYNYWLGGTEEVKPKSPNSVEESSQPLSPSVGTKGFSDSGESGLGEAGSTAGAVAAQKQL >A01p002770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1280228:1281535:-1 gene:A01p002770.1_BraROA transcript:A01p002770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPAKFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVSFRHPFRYKKQKELFVAAEGMYTGQFLYCGKKATLVVGNVLPLRSIPEGAVVCNVEHHVGDRGVLARASGDYAIVISHNPDNDTTRVKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAALASKQE >A10p021780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14538824:14544755:-1 gene:A10p021780.1_BraROA transcript:A10p021780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRPNSLQEDDDDAEEEVQHFDDFTLASSWERYHTFTIRTIGFNVTTPPLTQLLFRFISDIEATCRQWLADGPKNLVEKGAVTVEDSKNLFKVKYDLKNVTKSYCMEFYFQIDDNGSQRAGVDNWNTSSHDLQLCFGVKDFLLIAPQSASGVLLDTPESSKLLSSVAIALSNCASLWPAFVPVHDPSRNAYIGIQNMGTVFTRRFEADRIGSQVPVKLMHLEGLYELFVSKFVYSGVDFSMHTFKVHFMMRLTYETFTSDEDDENNDIDEFMGDKADTAEHHGSESRNKVHWDDDCPWSEWYSAEDPLRGFELVVTWADRTVENTLEMAELENATPHEAEKWILHPVLSPYLGEPSHGKRIDFSSQLLCLVEALDTSFAAQFMEDFVSVENSSSESLKTSVVIPPPTVLDRVIKDLFHEGSKLPNFTKGEHRLSRALKAAPLESLFTQFCLHSLWFGNCNIREFVREVRWCWEESQPLPKMPIDGSIDLSTCLIHQKLHLLAICIEKNREMNEEFLDCIGSDDSSDASVSVEENHKVDKRRNRSSKADLQRKRDSSIAEDTSNQLRFERKAESKNSVNQIRTDAFRRGSAGPVGTMMLLKSDQPLHAPFTQDPPLMTEDMHEERLQAVEAFGDSLNVPGQLEKDILLSDMSAFKAANPNAVFEDFIRWHSPGDWESSEPEAAEASAGSSTEGSKDNWPPHGRLSKRMSDQGNLWRKSWNDAPALQADDQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRGAADTLNQTNFGDMRQMTSKLEQLYLIIKSTLGVLQRNNVPDREQTVKDLRRLCVAFEHVEKLVTVAASLHRKFLDASRLAQVIFSDFYALYVPMMGINSNDEEENKSRTQEMQVGRQEVSFRERQVVSNLFSPPSANQSWRKVLSMGNLLNGHEPILREIIFSTGDDVNNGIHYAAAADVAASGDRRGEEIETHRMYVSGTSNDLRVALSVTSCD >A03p015950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6355712:6357263:-1 gene:A03p015950.1_BraROA transcript:A03p015950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRKPEVWLNNELDRISELPDPLICRVLYHLPTKDAVRTSVLSTRWRTLWLWVPRLGLNYRVFQKKDALVNFGDRFFDSDRVSSIDKVELDVESNKGVDSNKGVDYYNSYVTPWIDAAVKRMIQHLDVRTDPDSPHIPKFCYRRFRAYFHGMPLSLYVCETLVSLKLDGVFLRDSEFIYLPSLRILHLISMMNPNEATFERLISSCPVLEELEVDSSLNDDVKVFRVISRSLKRLSVQESMSEVVIDAPRLGFLSIDSSLSGSYMITNTMDTNVKFVIRLGTYFGDFDETSILSNRKRFCNCLSGISKVRDMTILGVGLMECDELQLEDMDEINISYVPQCLLSSLEFVDFNVPLSSVVGEMNMVRYILENSAILKKLTLHLHKDCSTNDEMVKKLLKIPRGSTKCEVVFFDWGKK >A08p008910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3026438:3032055:-1 gene:A08p008910.1_BraROA transcript:A08p008910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEKKVRTLYNKKLPNEEKSDIKTYQNTQIYYERETSRKSRQLPTFRSPDNFQEVQTTSRKSSGRLPGSRQMDRQLHAVYGECLLKDGCWNCVVDKFKGARLFFLSESSTHVELIAMAQEDYNLDKNTESVGLAYSLPEAMMQWMAPNTAHIHITSDKKVRNFLGITKTHEVRLYVSSLSKMRTVQEEMEEEDEGDEADEGDEDDDDMAEDENHDGEEDVGEEDGADEDADIPDVAQAVDDAEDYSEYGKVKDEDEEEDDDMCFEDFKSTYDSEGGRSNASKIYVNQSFASMDALVSEMRLTTVRRKFSFRIYKSTKNFPCGNMSC >A06p006140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2045397:2050735:1 gene:A06p006140.1_BraROA transcript:A06p006140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 41 homolog [Source:Projected from Arabidopsis thaliana (AT1G08190) UniProtKB/Swiss-Prot;Acc:P93043] MASLPSENGVDGDDEREEEEDEEEEEEEEEENGEEEGEEEPRLKYQRMGGNVPSLLSNDAASCIAVAARMIALGTHDGTVHILDFLGNQVKEFRAHTAPVNDLSFDTEGEYIGSCSDDGSVVINSLFTDDEKLRFDYHRPMKAISLDPDYTKKQSKRFVAGGLAGHLYMNSKKWFGYKDQVLHSGEGPIHSVKWRGSLIAWANDTGVKVYDTAKDQRVTFIERPRGSPRPEALLPHLVWQDDTLLVIGWGTSVKIASIKSDQQKPAYGTYRQVQMSSLNQVDIVASFQTSYFISGIAPFGDSLVILAYIPTEEDGVKEISSTTTLSRQGNAQRPEVRIVSWNSDELTMDALPVHGFEHYKAKDYSLAHAPFPGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHINWLLQHGFHEKALAAVEAGEGRNELIDKVGAGYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAQLRQLPVLVPYMPTDNPRLKDTVYEVALVALATNPSYHKELLSTVKSWPRSVYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQHEKAFALYADLLKPEVFDFIEKYNLHEAIRGKVVQVMLLDCKRATALFIQNRDLIAPSEVVPQLLKAGKKCDSRYYLYLYLHALFQVSPDGGKDYHDMQVELYAEYDTKMLLPFLRSSQHYKLEKAYELCVKKDLLREQVFVLGRMGNAKQALAVIINKLGDIEEAVEFVSMQHDDDLWEELIKQCLNKPEMVGLLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKTDIVNLLVKCFNEARRGVCLSNEEDDSRGKREDNDRSISQRMVVDKSLSIKMTEVKSKTRGDTRCCMCFDPVSIRGDTVVVFFCCHAYHMTCLMDAAFSDSNNKTAAKGSSGYGYGYDNGVEEEDSEDDEEDDSNDGDRSVRSRLRCILCTTAAAASAR >A06p055090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28837742:28838836:1 gene:A06p055090.1_BraROA transcript:A06p055090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 20 [Source:Projected from Arabidopsis thaliana (AT5G45820) UniProtKB/Swiss-Prot;Acc:Q9FJ54] MHLVHHPNVVFLHEVMASETKIYFVMEYVKGGELFDKICKGQLKEDQLIGAIDYFHSRGVYHRDLKPENLLLDENDDLKISDFGLSALRESKKQDGLLHTTCGTPAYVAHEVISKKGYDGAKAVVWSCGVVLYVLLAGFLLFHEQNLVGMYRKISKSECRCPNWFPPEVKKLLSRILDPNPNSRIKIDKIMGNSCFQNGFKKIEAPKSLDSHQIESLMSNVHTAFPVQPMCYNAFDLISSLSHGFDLSGLFEKEKRSESMFTTKKEPKEIVSKFEEIATSSERFDLKKINVGVIKMEDRREGRKGQVAIEVEIFEVTKRFNMVEFNKSGGATMEYKQFCDRELKPSLKDIVWKWQGN >A06p012480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5710080:5710798:-1 gene:A06p012480.1_BraROA transcript:A06p012480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILDVCNEILKIQKLRRVVSYAGFYSFTAALTFFYTNNTTRAGFSRGDQFYASYPAGTELLTDTAKLYKAALGNCYESEDWGPVEFRIMAKHFERQGKSPYVYHSQYMAHLLSQGQLDGSG >A06p051380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27020794:27025977:1 gene:A06p051380.1_BraROA transcript:A06p051380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRRRRRLHLSRIYSYTCGKSSFQEDHSNIGGPGFSRVVYCNEPDSPAAERRNYAGNYVRSTKYTVASFFPKSLFEQFRRVANFYFLVTGFLSLTDLSPYGAVSALLPLALVITVTMVKDGIEDWHRKQQDIEVNNRKVKVHDGDGIFRRDVWRNLRVGDVVRVEKDEFFPADLLLLSSSYEDSICYVETMNLDGETNLKVKQGLDATSSLLNEDSDFKEFRGVVRCEDPNVNLYMFVGSLELGEERFPLSIQQILLRDSKLRNTEYVYGAVVFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYLMFGLIVLMSFVGSIIFGVETRQDKLKNGRTERWYLKPDDATVFFDPERAPKAAIYHFVTAVMLYGYFIPISLYVSIEMVKVLQSVFINRDIHMYYEETDKPAQARTSNLNEELGMVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAVRSGGSPLVDEDLDVVVDKSGPKVKGFNFEDERVMNGNWVKQPQAAVLQKFFRLLAVCHTAIPETDEETGNVSYEAESPDEAAFVVAARELGFEFFNRTQNEISFRELDLVTGENVERVYKLLNVLEFNSSRKRMSVIVRDDDGRLLLLSKGADNVMFERLAKNGRQFEAKTQEHVNQYADAGLRTLILAYREVDENEYIEFNKSFNEAKSSVSEDREALIDDITDKMERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFASSLLRQEMKQIIINLETPHIKSLEKSGIKDEIELASRESVVKQIEEGRALLDASGASSEAFALIIDGKSLTYALEEEIKKTFLDLATGCASVICCRSSPKQKALVTRLVKSGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYSRISSMICYFFYKNITFGVTIFLYEAYTSFSAQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSARFCYKFPLLYQEGVQNILFSWKRIIGWMFNGLISALAIFFLCKESLKHQLFDPNGKTAGWEVLGGTMYTCIVWVVNLQMALSISYFTWVQHIVIWGSIALWYIFLMIYGAMSPSFSTDAYMVFLEALAPAPSYWLTTLFVMIFALIPYFIYKSVQMRFFPVYHQMIQWIRYEGHSNDPEFVEMVRQRSIRPTTVGYTARRAASVRREDRFYDQIHKDLVAF >A06p013150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6029216:6031489:-1 gene:A06p013150.1_BraROA transcript:A06p013150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKVYVNKSAFHLNKLLEHLLSLSDVVSLLQSHVLWQNNVHLHKVVRPKSVGSYCLWVALIVATNSNAWFGTAVLVTNMKNFPLSRGTVAGILKGYAAIGGAVYTVIYNVFLDQSSTNLLLFLALGIPVVCFAMMSFIRPCAPASGEDSSEHVHFVFTQSMAVLAAVVVLAITVVSNVVSVRSSVMYALKPNPNPLTPSSSLGSFIEMEEDDDASDVQTLLAEGGGAFERKRPPRRGEDFRLREAFIKADFWLLWLLYFLGVGSGVTVLNNLAQVGIAVGIENTTVLLCLFSFFNFIGQLISGAISEHFVKSRAMPRTVWMTLAQILMVLAFILYALSASATLYPATALLGTCYGFQYSLMVPTASELFGLEHFGIIYTFMILGNPIGAVFFSGLVAGRLYDAEATRQGSSTCYGPECFRLTFVILATVCGVAAILGVILTVRKRPVYRSLYSSRSFRVP >A02p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2908567:2908959:1 gene:A02p006820.1_BraROA transcript:A02p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARGVNSGAGESSLGYLFGSVESVSKPNMPTTTPTTTTGDKAKTEEVEKKQMSAGVRGSPNNYIRTEGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGSGSGK >A01p057590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32631338:32641591:1 gene:A01p057590.1_BraROA transcript:A01p057590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIEKMIEDFKKVLDDSNHTSPETLKHERIQWLDETLSPRLKQVLEERETQSSSSSSSEQTVTPFVVSGKIDYEKVILKFGCTKIDESLIDRVERLTSRRAHVFLRRGFFYAHRDLDKILDCHERGDKFYLYTGRGPSSESLHLGHLIPFLFTKYLQEAFKVPLVIQITDDEKKIWKKLNKEKSKRLGRENVKDIIACGFDASNTFIFSSYATVGGKFYENVVEIADCVTVNKANSTFGFTGEASTAKMIFPSVQAAPSFSSSFPHKLFPDEKKNIPCLIPCAIDQDPYFRLTRDVAPRLDFIKPALIESKFFPALRASGNNGKMSASDANSAIYINDSAKDIKRKINSAVTGGRDNLEDQKKYGAKLEEDIPFQYLSFFLEDDAELEHIKKEYGEGRMTTGDVKKRLVQVVTEIVERHRMARAAVTDEMVDAFMTPRPLFDLIGFLETLIKRPIFVLLLPTQYFLGRTLASTVARQILLLVSASSDSTVDADACKEAALTYLESIKTGSVGVLSQVSFLLVAKDWPLQVRIYASKLLQHLVKLRWDELSSSEQMDISSASFELMSEVAAANPCEECEMKSETVTLVAEMFEKEEDLEMWQELFLSLVSLSSSGPLHAQLALKVVKRLSELEDKKHSHHLLQGLPDILVWLHDLLEKHFGDARNAEGRDKVELAKQHSDAVVACLNAINSLTEWAPVPDFAEYKIIDQCASLLSSPEFCRLACMFFTLICSRERPTDDASGAEFDSTIIHICKMMVSLGSTNLHYIFTDSSAIALYLKQMLWFFEHYKFDLHYESLFFWLGLMDYLTSDLKAPNYPHRKGSASDVFTGSASEVFNSFRHLDGGKKDLLLLIDDETASKILDVSFQRMNKNDQVLLDPGTNLLSLEPSSFTFEEFCKYQKRLFVLIRLISSHKPIIASTKLSSRTIMLIKNISVSSAPCVQQYAAAMKSQSTALETILRRLFRGTDEFAGGSSQVRSQLHVIFEGLLQELLNANWTEPLLISAHVDYLNAMFPFLKYLPDTVASVIDKMFALLTSLPPASKRARLYICSSLIRLAKTADKSFTPHLKGLADKMTCLQREGTLFPGEHSSLVEAFFAMTSASGGQREQQDSFLLLLEPVRQQWTQPEWQNEYLSTPSGFIQLCSNAPLMRSIVDTVAVFEKALKGCNFKKRKLKMSETIHPLASHLSWMLPPLLKLFRVINSLSSPDAYQTLPTKLKEAMKITEAELRIPQGKQSRKLTKGFLTYSSKGNTYDIRNWLKAIRESGYRVLNLSVSMGETFYTSINANEVALTFTENIWWMEFRHIKQLINSFVGCLVSSCPADMWEPLLEPLLSPLLTHCQRALDSSWTSLLREGRANVPDLIGFEGGETKLIQLERQLLIDLSRSTSDLLSKISAPELNTGLPVLKHSDQLVLGEMSLLMDFNALKSNCLVSLLLSCKNLANSVMQFSLQACTWTDGITTFNLRCLFISVIHLAVMTNNGELREFVAKDLFNAIIMSLTIDENVNNNSILVGMCSDMFVYLSQRHPAPRQVLLSLPCLTPDDLRDYEKALAETCEPKKQKMITGSIENGVQVTDPSSSNAVRVSQNLVVCIYRCCIRGGTCLITVTWTKTLMGLCVTIGVDDSCNRSLCKLEIKPWLFTKRKGYKNLEACDVYWDLSSAKFGSSPEPLGGFYVSVLVDKEMVLLLGDMKKEALKKTKAASSLGAVFIAKKEHVFGKRTFATKAQFSGDGETHDLVVECDTSVSDPCLVVCVDGKTLMEVKRLRWKFRGNETIVVNRVSVEVLWDVHSWFFGVPSSPGNGVFMFRTCQAVEKTWSSTQVPTSLRPQSFGFTLFLYAWKNE >A06g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16386899:16387155:-1 gene:A06g505710.1_BraROA transcript:A06g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKGRIVVETEIRTVDFNEWRKARKTLLSLRSEFSARANDNLENRSLFRIRVRALRSLHKIIKASAVAAV >A10p007770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10988558:10990256:-1 gene:A10p007770.1_BraROA transcript:A10p007770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQEICVRVKRAAAKRKAMATEEERVTRKRVVLGELLNLSNVTNLNQKREIVKPTKSLGAKQRKAAPVALAAVEFRSDIDARSDDPKMCGPYVSDIYDYLREMEVKPKNRPLPDYIEKVQKDVTPTMRGVLVDWLVEVAEEYKLLPETLYLTVSYIDRFLSLKTVNKQRLQLVGVSAMLIASKYEEISPPKVDDFCYITDNTFSKQDVVKMEADILLALQFEMGRPTVNSFIRRFTRVAQEDFNVPHLQLEPLSCYLSELSILDYKAVKFVPSMLAASAVFLARFIIRPKQHPWNQMLEEYTKYKAADLQECVGIIHDLYLSRRGGALQAVRDKYKHHKFQCVATLPVSPELPVTFWEDVTI >A08p002330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1306540:1309203:-1 gene:A08p002330.1_BraROA transcript:A08p002330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVWCKPSAIEDSPKERLSSKPSSEYRVTRPVGSSRREESVRTKERSDVVSVVRPVLSNSSRREKKLENVATPHFPIAKAAEGEYVAAGWPPWLASVAGEAIKGWVPRRADSFEKLDKIGQGTYSNVYRARDLNQKKIVALKKVRFDNLEPESVRFMAREIQILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPAVKFSEPQVKCYMQQLLSGLDHCHSRGVLHRDIKGSNLLIDNSGVLKIADFGLASFFDPRQTHPLTSRVVTLWYRPPELLLGATRYGAAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWVKSRLPHATIFKPTQPYKRVVDETFKEFPQPALALLETLLSVNPDDRGTATSALHSEFFSTRPLPCDPSSLPKYPPSKELDARMRDEESRRQGGGNREQRHQERRGTKESRAIPAPEANAELVTSMQKRQSQSSTNRSRSEKFNPHPEEVASGFPIDPPRPSSQAFEPNRESQGNIVLPPHKRASHSGPLTRRSASAKGRRTYQDPQKVSLQQETCRGMTRLPGSFKEVSEEANQEENGRSNKKDPILLGYGSKGHKIHYSGPLVVPSGNMDQVLKDHDRHIQEAVRRARIDKARVRKHQAEEESSQQVSTNHPSSVSSR >A09p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6875312:6875810:-1 gene:A09p013400.1_BraROA transcript:A09p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKAFIASLLISFLVLQLVEADVEVSNKKNGYGPYGSKIDCGSACIARCRLSSRPNLCHRACGTCCARCNCVPPGTYGNYDKCKCYASLTTHGGRHKCP >A07p039840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21175019:21178566:-1 gene:A07p039840.1_BraROA transcript:A07p039840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRVLLCCVVLIQLFAGQTDAQRSSSPWQTLSGDAPLVIARGGFSGLFPDSSFNAYDFAKQTSVAGAALWCDVQLTKDGAGICFPDLKLNNASTVEDVYPNRQKTYSVNGVSTQGWFTIDFSLRDLISNVKLNRGILSRSEKFNGIYAIMTVEDVTTQIKPESFWLNVQYDAFYAQHNLSMSSFLISASTTVSIDYISSPEVNFFKKIAGRFGRNGPSFVFQFLGKEDFEPTTNRTYGSILSNLTFVKTFASGILVPKSYILPLNDKQYLLLPPASLVLDAHKAGLQVYVSGFVNDNDIAHDYSSDPVSEYLSFVDNGNFSVDGVLSDFPITASASIDCFSHLGRNATKQVDFLVISKNGASGDYPGCTDMAYDKAIKDGADVIDCSVQMSSDGKPFCAHSIDLSNITNIAQTPFTKRSTHVPEISSNDGMYTFSLTWSEIQTLKPAISNPYRVYNMFRNPNEKNSGKFILLSDFLNLAKTSTSLSGVLISVEKAVYLREKQGLDVVKAVLDTLTETGYSNGSTTKTKVMIQSTNSSVLVDFKKQSSKYETVYKVEEKIGDISDSAIEDIKKFANAVVIGKETVFPLFDDGFIIRQTNVVEKLHKSKLPVYVEVFQNEFVSQPFDFFSDPTVEINSYVSGAAQAPNPVFTDDDVTEPPLPPVTAKSPTSSPGTSFTNAQAPRPSGQTRLTLSLRLSVFASLLLL >A08g505320.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8945434:8945967:-1 gene:A08g505320.1_BraROA transcript:A08g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVKSGMIFLKPGMIFLKFGMIQVKSGMIQVKSGFAISLGLSSWPGETSFSSDSSSIWSRIWTKLQSGRSRVEPIDGPVDLPDLIGRMNLSWDVWNVLIGLVYGLGHITLLPSKKWKTSDKEKPSIFPNMEEFNLMKPNSRRLYKSYNSPQARDRLLQGLETRTRWLELGFLLNTL >A08p019120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13087199:13088791:-1 gene:A08p019120.1_BraROA transcript:A08p019120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQKHHSAAGLHMLYPQPHCSPQFQMIDNNGSSKESFFTLESSTASGTTLPSYDSPSSVSIKSGRSPFSPQGSHSCISDPHPSPENVYESSLTAASSYIYDEAGVKSKIRELEDTLLSSDPKVEEYSGFSPAAGKSWNWDELLALTPQLDLKEVLLEGARAVADGDFATACGFIDVLEQMVSVSGSPIQRLGAYMAEGLRARLEGSGGNIYRALKCNEPTGRELMSYMGVLYEICPYWKFAYTAANAAILEATAGDNRIHVIDFQIAQGSQYMFLIHELAKRPGGPPLLRVTGVDDSQSYYARGGGLTLVGEKLANMARSCGVPFEFHDAIMSGCEVHREHLGVEPGFAVVVNFPYVLHHMPDESVSVENHRDRLLHLIKSLGPRLVTLVEQESNTNTSPFLSRFAETLDYYTAMFESIDAARPRDDKQRISAEQHCVARDIVNMIACEERERVERHEVLGKWRVRMMMAGFVGWPVGGSAAFAASEMLKGYDKNYKLGGSDGALYLFWKRRPMATCSAWKPNLNQIV >A06p051450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27060808:27064570:1 gene:A06p051450.1_BraROA transcript:A06p051450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDFIQTKMVQRKREVRESYALACSSLFFFIALLCSSSAELTDKGVNYEVLALIGIKSSLIDPHGVLMNWDDTAVDPCSWNMITCSADGFVLSLGAPSQDLSGTLSSSIGNLTYLQTVLLQNNYITGHIPPEIGKLMKLKTLDLSTNNFTGQIPSTLSASTNLQYLRMNHNSLTGTIPSSLANMTQLTFLDLSYNNLSGPVPRSHAKTFNVMGNPQICPTGTEKDCNGTQPKPTIINLNNEASDGGTKNRKIAIAFGAGLTCICLLIIAFGFLIWWRRRHNQQIFFDVNDQNKEEVCLGNLRRFSFKELQSATSNFSSKNLVGKGGFGNVYKGRLHDGTIVAVKRLKDVNNGGGEIQFQTEVEMISLAVHRNLLRLYGLCTTSMERLLVYPYMSNGSVKKLQQEKNLEQIIDKDLERNYDRIEVEEIVKVALLCTQYLPIHRPKMSEVVRMLEGDGLVEKWEASSQRTETNRSYSKPNEFSSSERYSDLTDDSSVLVQAMELSGPR >A06p027170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13298549:13300022:-1 gene:A06p027170.1_BraROA transcript:A06p027170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSSLVFSFFSFQLSLSFMKMNHISETHKNKVSHFISSRMHKRLDYGGGERRGLGGGGARRFRSCGGAAERTWCLGFRWGRFRSCGGAAERTWSKRLSSLYLAAQNGHPQFSRILLFKYIPSVPNCWSLVKTNKSSVSGFDQSILHEVINRASDRGITPLHVAALKGHMLTVQVLLDLGAFVAQVIVEDGVRQLKTILAHHLRYLYNLRT >A05p055070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32517127:32520262:-1 gene:A05p055070.1_BraROA transcript:A05p055070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic (Fragment) [Source:Projected from Arabidopsis thaliana (AT3G01180) UniProtKB/TrEMBL;Acc:W8QNS2] MASVAESSYPLLCQIKSQRRVTSSTLRNSSLVRVSPPHFASGSLSFRRRSFVLGHRWKFARCVEATGPDSSGSPSGDDEQEDALQATIDKSKKVLDMQRNLLHQIAERRKLVSSIKESTPNLVDGKPSAKEDANTADAAKNDKMDGDGNGSVSSSSYVKSSLNKEPEATTPLKSSKQSSPSAKSPEKEKPSGVANNGKPWSSVVASSVDPPYKPSSTVTSSKKTSDPVTSSVNPSKSPAGAFWSDPLPSYLTKTPETPSIKTEEYMETKEEMAHEEASSDTDEPSKDEEQPPPLAGANVMNVILVAAECAPFSKTGGLGDVAGALPKALARRGHRVMVVVPRYAEYEEAKDVGVRKRYKVAGQDMEVMYFHAYIDGVDFVFIDSPVFRHLSSNIYGGNRLDILKRMVLFCKAAVEVPWYVPCGGVCYGDGNLAFIANDWHTALLPVYLKAYYRDHGLMKYTRSVLVIHNIAHQGRGPVDDFSYVDLPGHYLDSFKLYDPVGGEHFNIFAAGLKAADRVLTVSHGYSWEVKTLEGGWGLHNIISENDWKFRGIVNGIDTKEWNPKFDLHLHSDDYTNYSLETLQIGKPQCKAALQKELGLPVRPDFPLIGFIGRLDHQKGVDLIAEAVPWMMSQDVQLVMLGTGRPDLEEVLRQMEHQYRDKARGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMNYGTIPVVHAVGGLRDTVQQFDPYSETGLGWTFDSAEAGKLIHALGNCLLTYREYKESWEGLQRRGMTQDLSWDNAAEKYEEVLVAAKYQW >A08p036020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21221049:21221934:-1 gene:A08p036020.1_BraROA transcript:A08p036020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQKAKAIRMRNSHNKYLSADDNEETVTQDRNGSTKNAQWTIEPVSDSYNVIRLKSCYGKYLTASNERFLLGGTGKKVVQLKPSRLDSSVEWEPVRDGSKIKLKTRYGNYLKANGGLPPWRNSVTHDNIHLSATYDSISWYVDVVEILNNPQVTLETEYASHSRTPSSLQNSVVSPPKSDGRTIYYHIVDDEGHVGDESTVGYACTFKGNSVAELTQTLREETCLEDAVVCTRSPLDGKLFPLRLQLPPSIGTLHVVLVPFCASI >A04p022460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:13561915:13562865:-1 gene:A04p022460.1_BraROA transcript:A04p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFAEGGIASIVAGCSTHPLDLIKVRMQLQGESAPVQTSLRPALAFQTSSSSVHAPPPPPRVGIIGIGSRIIRQEGTRALFSGVSATVLRQTLYSTTRMGLYDILKTKWTDPETKSIPLTRKLAAGFIAGGIGAAVGNPADVAMVRMQADGRLPLADRRNYKSVLDAIAQMVRGEGVTSLWRGSSMTINRAMLVTASQLATYDSVKETILEKGLMRDGLGTHVTSSFAAGFVASVASNPVDVIKTRVMNMKVVAGTPPPYKGAVDCALKTVRAEGIMALYKGFWPTVSRQAPFTVILFVTLEQVKKVFKDFDF >A06g501690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6378123:6379218:-1 gene:A06g501690.1_BraROA transcript:A06g501690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDVDAIEDDDVVESTASSSSSLSHYYNTCPLSCSSILALRSNTLFLQPQREQRWCLDDNMRPELPGFYFDEEKKKYFAIKGPIPGSKPASSSSKASEQKPESKPFKVSYLQCKKLESWQIVWRYVSTENIGDAALKEFQVDIQTSQGLTTKNILVAGSN >A04g507760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19554998:19560618:1 gene:A04g507760.1_BraROA transcript:A04g507760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERLHKVARVFTSGDTQLALGATSRSDPARSLPKLGATCPERQGEVARHLGSRRQEIALGATSRSDPARSLPKPGATCRSDEPRSLRVSISLELMISQGPFGHFIMHVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTLKRGEKVTIGRTEHGSDLPERHHEVAVSHFSERPS >A06p000970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15721:22267:1 gene:A06p000970.1_BraROA transcript:A06p000970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQICFSEFEISHIPRAHNEITDSLAKITKSFYRELYYIGCSSPVWFDTVDRKLRPNNKTSVWFLSMANPKSHLFSLSFFSFLLLHFPTVSLAQTLFVFGDGLYDTGNKQIISSNRVDASFPPYGNTLGEATGRWSDGLIIPDYLAGFMNIPQIPPVLRSTSDFSHGANFAIADATVLGSPPETLTLSQQVMKFSENKNKWTIQARSEAIYLFYIGSNDYLNYAKNNPNPSADQKEAFVDRVITSIEAELKVIYGSGGRKFALQNLAPLGCLPAVKQASGNVQECVRLPSEMAALHNKKLLQLLGELSRELSGFQYSFYDFFSSIQNRVIKSKTYTFETGIAACCGTGSVNGSSCSTNNVCAHPEDYLFFDGKHLTQEGNLQAGHLMWGSDPVVIGPNNLRELLFLPLDSTFILADIQEAMAATRPRQIKIESIYDIKKMESEMETQWLYQVDKASSFLI >A05p038190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21742760:21744386:1 gene:A05p038190.1_BraROA transcript:A05p038190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDIGTAAGGDNGGGGGRREPLINRESKFTRCVSHAQDELQSFRKYLRWMCVDQSSPWTAVLSWSMFVVFTIVVPATSHFMLACADCDSHHSRPYDSVVQLSLSSLAALSFICLSRFVSKYGLRRFLFFDKLWDESETVRRGYTNQLNRSVKILSYFVTPCFLAMSSYKIWWYASGASQIPFLGNVILSDTVACVMELCSWLYRTTVIFLVCVLFRLICHLQILRLQDFAQVFQMDSDVGSILSEHLRIRRHLRIISHRYRTFILLSLILVTGSQFYSLLITTKAYAELNIYRAGELALCSMTLLTALLILLRSASKITHKAQAVTCLAAKWHVCATIESFETVDGETPRLVDRSSGHGYYATDVDAGDLDDSEDYGDEEDDFDNNDLIPAYAYSTISFQKRQALVNYFENNKAGITVFGFTLDRSTLHTIFGIEMSLVLWLLGKTIGIS >A06p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10692278:10694483:-1 gene:A06p021580.1_BraROA transcript:A06p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:Projected from Arabidopsis thaliana (AT3G48425) UniProtKB/TrEMBL;Acc:A0A178VA84] MKRFFKPIEKDGSSAPKKPCLSPEDGVEEGKNQKEPTKFVTWNANSFLLRVKNDWSELSKFVSDFDPDVIALQEVRMPAAGGKGKPKNYGELSDDTKALREEKQILMRALSTPPFGNYRVWWSLAESKYAGTALLVKKCFKPHKVYFNLDKLASKHEPDGRVILAEFETFRLLNTYSPNNGWKEEENAFQRRRKWDKRIVEFLSQTSDKPLIWCGDLNVSHEEIDVSHPDFFATAKLNGYVPPNKEDCGQPGFTPSERARFGTIMKEGRLVDAYRYLHKEPDMESGFSWSGNPIGKYRGKRMRIDYFLVSEQLKDRIVSCKMHGRGIELEGFYGSDHCPVSLELSKPSSYTEENQVSN >A01g507260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21119046:21126572:1 gene:A01g507260.1_BraROA transcript:A01g507260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGRRPNRTIDRHSFVVDILTVETRDLACIYRYYNLQHLNSGPASNIISNQVTCMPSGTRSNKEKDLLFSVNPAHLERTIRRGQRSTSLDATISSSIDTHNQPSTDTRPSSSIDPNRSTTIDTTPRTLIDTVSSKMVNVIILTHDKNGNLYDQDGHLRNATGDIKIESSMSLGGSKWCRLMSMNSHRSIDYDEDRWTDYSSHRSTSSAESTECNAVRILTQEEFTAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHTPHPIDRQAPLTYRVRLPSIDNDYIDIWCGVGRRMKRGCMKRSCPFGAFLRRTLKENSPETVLERNKQTECMTSRHTRNNEQGPLHQLTNVELARLERQNRQLPRPTNTNMEYESEYETEYSESIDTPTFPSIDSNDSTVTDNRNNTSLDVKQPVDHFALPNHCYPHFAFQPPSKGGRDDYSVGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKEHVIEMSLQDERLETHKFTNTFPTSFAEVHSTSVDTHPRPAKQLLTSINTYQGTSIDIRAAAKIQEDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQHLSRQPKGQASIDGTTETSIDRVTPTSIDKDDPTSIDRRYEFGNCAYDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHATSFTLTRLAPELNTKDEINEMVTGICGAQEKLGEEIKSLVEDTHQPLDRGYNELFRSMSLRQQLEKEATTSASIDAPHAPSIDVSLPTAQIPAEPQSSAQHKDEWEISYIDTRINEVYYPRNNNVDWLSTKIELLHQDLNTIRKKDQQPATSIDVCTITSLDAKISAMNERLRIYDMHDRFISPAKSASIDRLRGPWIDGKNPVELLLYTAAEVDKITSKIYTAIDTMEERLDKCSDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQTSASIDRTQAKSLDGKSPRSTDEHIIASIDAESTPAGEQLTQKTIKSMRKELTEISAYAYDNIGWHQVSIDNIQDRLQNISNILEKMDDKWTRNDEATRSFIASWSIMCRDNPITTTVKLNDYNQALSGRQPTIRFRRPK >A09p048920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43405431:43406299:-1 gene:A09p048920.1_BraROA transcript:A09p048920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPFASCRVSCAWKRKLVHLPFRFQSCAAVRMVGEWNSGVSDLLRCGFSLNHWRVSGLVMCVRGCSDVAVSVVVVYGSSEAAQSLPLNLLPLLVYSLKAFWSQHLWVIWCCGCYAAGSAGYRAFFKGPCSLTLFYTAFELNDREDYKS >A09p015690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8174377:8174871:-1 gene:A09p015690.1_BraROA transcript:A09p015690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLGYSEILLPKIFLHVLSFLGLIRKLISTLFWFIGLPDFLEPEPVTSSWPDPPLNSTTSSNQDSNLFSAALLAGEILPVVKFSDLNRPESECCAVCLYDFENDDEIRRLTNCRHIFHKECLDRWIMDYSQMTCPLCRTQFIPDDLQRSFNQKLWSESSEVW >A03p012050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4804894:4810609:-1 gene:A03p012050.1_BraROA transcript:A03p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGDHPTVPPRLRGTPTANPPPPRRRFTPPRRRVDLTEENQSLLNSFRSSAALTPQETATCTQSLFRLMTSSDASQFRELVARLGDGNDLRRMVSLLTSNNAPFLQTARNENGSNRMQDLLGRTDDLDNFFLIAILRRFFDVMCDGDSSRVALRGMRVFSQEKRAAMYDHLLSDAVNLACDADGYVVLNDIIDDSDPRSFYGGRLLYVVARNAHQLSDHAFGSRVVQRVLQLNDLRCTRDVAVSLRGHCVYLSLTKYGSYVVEMLLDTEAVMVADELLWGCNEERLALLARNEFGSFVVLKALRVTREMYRRDLFGVLVDKLMPFVHHLGGFHGRLTYIMANGGDLRPAAAGASIERASPVVPPPSREIPTANIPPARFTAPNRVNLTEDNQSLLNSIRSSAALTPQERNTLFNLMTSSEENGAAQFREVVSRSDLRRMALFLTSDLDRFLEMARNKNGSNRIQELLGRTDDLDTYFFNAILSRFDHIMTDYEASRVAMQGMRVFSEEKKTEMCSYVRHHAIPLACDRSGYAVLKEIINVWSSLGHFFYRDQLLDIVARNALYLSYDAHGSYVVQHVLRLNDLRCTHNVAVSLRGSCFGLSFTKFGSFVVQKLLDTEEEAVMVVRELVRCDEERLVRLARSDYGNYVLVKALRVMQESNRIDLFWDLANKLRPYVHLLRGRSVGAFLYWLQLTYIMANGGDLTATGASIEQEPPVVPPPLRREIPTANIPPPRFAAPNRVNLTEENQSLLNSFRSSAAMTPQETDACMQSLFNVITSNEEDGAAQFREVISRLDEGNDLRKMASLLTSDLNRFLEMAIDDNGSNRIQELLGIRDDVDTFFYNAILSRFNHIMTDYEASRVAMQGMRVFSEEKKTGMCNYVRHHAVHLACDRCGYAVLKQIINVWSSLGHFFYRDQLLYVVAQNALDLSYHARGNYVVQHVLQLNDLRCTRNVAVSLSGHYFGLSFTKFGSYVVEKLLDTEEAAVMVVEEFLRCEGESLVGLATSAYGNFVVVKALRVMRERNRRDQFWGLVNKLRPHVHLLRGRKVGAFLYWLR >A10p024050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15605222:15608982:1 gene:A10p024050.1_BraROA transcript:A10p024050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G60600) UniProtKB/Swiss-Prot;Acc:F4K0E8] MATGVLPAPFSGVKISDSKLGFGKSLNIVRICDLRSLRSARRRVSVIRSSNQGSDLAELQPASEGSPLLVPRQKYCESLHKTVRRKTRTVMVGDVALGSEHPIRIQTMTTSDTKDIAATVDEVMRIADKGADIVRITVQGKKEADACFEIKDKLVQLNYNIPLVADIHFAPAVALRVAECFDKIRVNPGNFADRRAQFETIEYTEDEYQKELQHIEQVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVIMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCKRLANLGTKAAELQQGVAPFEEKHRHYFDFQRRTGDLPVQKEGEEVDYRNVLHRDGSVLMTVSLDQLKAPELLYRSLATKLVVGMPFKDLATVDSILLRELPPVDDHVARLALKRLIDVSMGVIAPLSEQLTKPLPNAMVLVNLKELSGGAYKLLPEGTRLVVSVRGDEPYEELEVLKSIDATMILHDVPFNEDNVSRVHAARRLFEFLSENSVNFPVIHHINFPTGIHRDELVIHAGTYAGALLVDGLGDGVMLEAPDQDFEFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGSPGKIDLYVGKTVVKRGIAMSEATDALIGLIKEHGRWVDPPVADE >A06p016580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7420230:7422674:1 gene:A06p016580.1_BraROA transcript:A06p016580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYVSTAHFLTWVSFIVLLTTVASKPLLFCQPQHQQVVNPRRHVDFLRAMTSVDELITKHKLYVESSFTDVSPPIYVLLQCRQDLSASHCRRCFNDSKLKLEETCSSSNSGRVHGDNCFLRFDNRDFSEDFVDPRFDRTKCKRTGPVVDKFWIDLDEAFVNATLKAVKKGGFGAASVSPAGGSPGVYALAQCWQRLDGNSCRDCLVNARSSLRACQSHEARAFFTGCYLKYSTRKFFDDAAVLKLDDDERSFINSSYLPDLSDQDVMKLAVAAFSLSILTSLGAFISYKRFSRKRKAQIPSCSNFKYEVLEKATESFHDSMKLGQGGAGSVYKGILPDGRVVAVKKLFFNTREWADQFFNEVNLISRVEHKNLVRLLGCSIEGPKSLLVYEYVQNRSLDQILFMKNTVHILSWKQRFTIIIGISEGLEYLHRGSEVKIIHRDIKTSNILLDQNLSPKIADFGLARSLGTDKTQTNTGIAGTLGYLAPEYLIKGQLTEKADVYAYGVLIIEIATGKKNNAFSQGTSSVLHSVWEHFKADTLKSSVDPRLKGMFTEEDALKVLEIGLLCVQSSVELRPSMSEIVYMLKNNDCKFDSPRQPPFLSASVLMADEETRD >A08p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:979465:982688:-1 gene:A08p001640.1_BraROA transcript:A08p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQLFFIIMFLYTIVLSISSINCTEKNNNHVTNQVALFVFGDSLFDAGNYKYINNNTAFQSNFFPYGQTTFKFPTGRVSDGRLITDFIAENAWLPLIPPNLQPSNSNNQFTYGANFAFGGAGALVETFPGMVIDLGTQLNSFKNVVRSLKSALGDAEAKTIFSRAVYLFYIGGNDLVYPLVANSSLFQSNTKEKFVDFVIGNTTSVVEEVYKIGGRKFGFLNTGAYECAPVISILDTTNIGSCSKPVAELIYLYNKKFPDALRRLQHELSGFRYALHDYHTSLLERINNPSKYGFKEGKMGCCGSGPLRGINTCGNQMGKSYELCENVTDYLFFDASHLTEKAHRQIADLIWSGPPNVTGPYNLQALFELN >A07g500420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:935163:935858:1 gene:A07g500420.1_BraROA transcript:A07g500420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFPLLELPEDLQAMVVERVARNSFQDLYRLRASSRSMKALAEMRRVYHYFDVLSFPWGLIMPSQLLKTCYAENNPSTIYVKGVQFFYSYDEQDYGLSLLKRAADAGYERAVYTHAMTQAIFYGDAQYFRRIPRATVDRVGKLVRDAKWGWGLWHTDEFRQIKAMFTSTYVPSFYICQCANVVDRQCLCLWHIDVTKDDNMCERCFWIKEISLFFRDFEPISLFRDTSKW >A09p078460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58060171:58064034:1 gene:A09p078460.1_BraROA transcript:A09p078460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACRSSTTLALVFGVVVLCYVTPVQSWSKEGHILTCRIAQNLLEAGPAHAVKNLLPDYVKGDLSVLCVWPDQIRYWYKYRWTSPLHYIDTPDQACSYEYDRDCHDQHGLKDMCVDGAIQNFTSQLQHYSEGISDRRHNMTEALLFLSHFVGDIHQPMHVGFTSDEGGNTIKLRWYRHKSNLHHVWDREIILTALKEYYNKDLDLLQEDLEKNITNGLWKDDISSWTECNDLLACPHTYASESIELACKYGYEGVTSGVTLSEEYFDTRMPIVMKRIVQGGLRLAMVLNRIFSENHADVAAT >A04p009720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7574829:7577007:-1 gene:A04p009720.1_BraROA transcript:A04p009720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALVEAYRSFVLNAYLVSTIIIVLKGSNTNLVSGSSRLSLATATIKLIAYYCLLKLFIGLSEHINIRTDLKHIFGVTLHILTFALSFPFSRLFVVTLSDFDMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >A09g515210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45281346:45282348:1 gene:A09g515210.1_BraROA transcript:A09g515210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKKSSNVCTSLSSSRREPPQIDLARALSQVQSAVKPIKKDAQKNLLKNLNVMLKLKRMSLLAEAQRVKAKKEKLTKKRKTVTIILMFIALLIMFLCVALGVEDAGTSSLWTCLCAVKKSEKSSVISHRLVLHLISTIIVVVRTTNTTTTTMLLPLGPSTPVLEPVVNVLLSDLAVLSKLF >A07p017310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10497266:10506581:1 gene:A07p017310.1_BraROA transcript:A07p017310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFVCMFQEQCDSHSQIWVPSNPQGAERLPPGIIASESDLYLRRLWGNPEEDLKNNPRYLVTFTVGYNQRHNIDACIKKFSDSFTIVLFHYDGRVSEWDDEFEWSKNVIHISVLKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVDHFNAEEYVHMVKKHGLEISQPGLDPEKGCTWQITKRRKHVEVHKETDEKLEWCSNPPRPPCAAFVEIMAPVFSRNAWRCVWHMIQNDLVHGWGLDFALRRCVEEPAYEKIGIVDSQWIVHQFIPSLGSQGKEENGKSPLEGNPKRRSSRGSLSRSGGSLTLPINPTSSWGSSPAHLPPPPSYSQPPLLPLPRVNSSTLPLQRVNSSRPREPAYEKIGIVDSQWIVHQFIPSLGSQGKEENGKSPLEGVRDRCHMEWKMFESRVDNAEKDYFKSLQVQSQSKGEEEEKGVECCVCHCGFKEKEEVSELVSCKHFFHRACLDN >A04g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15218985:15220193:1 gene:A04g506730.1_BraROA transcript:A04g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNALQYIRFNASLLLLKLSHGWYQGINPAGAFHVFQLRPVDVVGEPPTPICLIFFSYLPKIKRDLKTWAAMASTKVQRIMIQLIVEARLREGRAVNMRMICCRKENATSTILAFGD >A08g507300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12779372:12779929:-1 gene:A08g507300.1_BraROA transcript:A08g507300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQIETHHEGRRFSCSSRRLLRLLRDRRSLETHDRSKPTTKDNVPLAPSQEGSNPTKDDVSLSLSLSLSLCPEGASSDDAKPPRTTFASVLTASPPTMQSHHGRRRLWSSRRLLRRVSRSSLRSLPKVESM >A02p004790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2073416:2078833:-1 gene:A02p004790.1_BraROA transcript:A02p004790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDYLCALVTFLPFPFSDLDSETHPEMQLELRRIFAVHSDSVRRNSSRMIDHTDLLSFDLILLRLFLKRVEENQMFFVLRSESTNLPRRRGSKQGTDANHPNHAIEGLSLKAEVTAVENLEVKAFSNLRRLRLLQLSHVVLNGSYENFPKGLRWLCWLGFPEESFPINLHLRSLVVMDMQNSNLKRLWDQKKPHESLKELKYLDLSHSIQLTETPDFSYLPNLEKLFLINCQRLAKVHESIKVLQGSLILLNLSGCIKLGELPLELYTLKLLATLILSGCSQLERLDDALGELESLTILKADYTAITQIPSSSDQLKKLKELSLHGCKELWKDRQYTNSDETSQVARLSPLSLNGLEELKTVGVIHMEMCNRIPYSHRERIMQGWAVGANGGVFVPGSSIPEWVNFKNGTRSISFTVPEPTLNSVLVGFTVWTTYVSQQDDVMSAYIPKITLKNQTKGDVWSRNPATDLIRMYREKHIWQGHFSNEDFVLETEDGVEVSVDFGDKVTILETGLTLAYREVIEVPNVQLTEIDDEVDDELVTEIDDELVTDESQRRPPRKMGMGLKTLLGAFGLLAFIVVLGKHGRPLRRHNHLRRNKVEKDKEKNATLPIFSFLDY >A03g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17717698:17719207:1 gene:A03g504960.1_BraROA transcript:A03g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREDFLRYLQQNPVGDGTPSFVDTFQGFETYFSQPNPGNTNFRDIPSTSTPPTAAHIPQMTVDDLLGAPGRESLTVLDPLRRGNATWFKHDNGKISKAILKMMKSDLPGPYPTYKHLPRDAKTRWFRAFAQQFTWEPSITETVKIAYEKKAQKSFSSNLCEWKEKWKLNKDPPEWVSDDNWLGYDLMWKDEKVQAKSSTNSTNRKSERGGFGIAIHNTGAKSYERRKDEMTIDNGGEEPDMLAFLADAHRSRKTGDILDKKVKRIVETVKEKINDQLTQGGSTETNLLTQAHINNLVLKEIPVIKGHRFGFGTLPDPGQVPSSASFMSNLDQEEQLRIANEKIAIADEKIAMATEKIVTLENDKAEKDKVIQYLQNLASKVVSKFPDLLQEDEDATQE >A01p021280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10343960:10345362:-1 gene:A01p021280.1_BraROA transcript:A01p021280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLAIILATLCIFLRLLNSSSAPVTTNSHASKSHWLPAVATWYGSANGDGSDGGACGYGTLVDVKPLHARVGAVNPILFKNGEGCGACYKVRCLDRSICSRRAVTVIITDECPGCSKTNTHFDLSGAAFGRLAISGESGPLRNRGLIPVIYRRTACKYRGKNIAFHVNEGSTDFWLSLLVEFEDGEGDIGSMHIRQAGASEWLEMKHVWGANWCIVGGPLKGPFSIKLTTLSAGKTLSATDVVPRNWAPKATYTSRLNFSPVL >A08p014920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9781580:9782526:-1 gene:A08p014920.1_BraROA transcript:A08p014920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35550) TAIR;Acc:AT5G35550] MMRKRESSKVKKEELNRGAWTDQEDKILKDYIMFHGEGKWSTLPNQAGLKRCGKSCRLRWKNYLRPGIKRGNISSDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNHWNSNLRKRLPKSQTNQQKSRKHSNNNNMNKVCVIRPKAIRFPKALTFQNQSSIGSTSLLTVKENVIDHQAGSPSLLGDLKIDFDKIQSEYLFSDLMDFDGLGCGNVMSLVSSDEVLGDYVSADTSCLGNLDLNRPFTSCLQEDCLWDFNC >A08g501200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2956080:2959666:-1 gene:A08g501200.1_BraROA transcript:A08g501200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSAGLARGETLDDWIRDMVVGTNFVVKSYPRFCTRGYAFITQKRRRSSTTYDAGVCSASGDDGFPLFSSEFPRYIPKKFRGSRSSPTFFPISLRRTLSAISSTPLFSLAIFGAFSLLPRDFHHNPHIFVRVNGRFVSYHTSPSPLPAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLHYLTVFNRSGNGISDWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQIYEWKKKWEANKVPKSMNDTVWKDLCAHWDKEETKETSSTNSNNRMSDRKGKGIYKHNLGAQSIATLGNRMAEENEGEPVDDLALMKRVYTNKKTGQIDDGLVRDVVDLVQIQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKNKGRLVGLGRRSRSAAPSSAPPLYVDPEVLTAQLKDKDDRISALEAQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A07p047900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25627468:25630217:-1 gene:A07p047900.1_BraROA transcript:A07p047900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIHARLALVALLSATTFYCIHKYRRLKRLKTLSLNPSSRRGKIFFISQTGTSKSLAQRLHKLLESNGIAFDLVDPASYEPEDLPKETLAIFVASTWDGGKPPKDGEFLVDWLSESAEDFRVGSLLLSGCRFGVFGVGSRAYGDEYNAVAKELSRRMVGLGGAEMVPLGEGDVDDGELDRAFNEWCDGVIRVLKGGSAQAREANEITQNGVVESEEEYIDTTDEEEEEDSNDIVDLEDIAGKAPSRKNGVVKVTKRGDGKKEMVTPVIRASLTKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMETTPSLACANKCVFCWRHHTNPVGKSWQWKMDDPKEIVKGALDLHTKMIKQMKGVPGVTPEKLQEGLTPRHCALSLVGEPIMYPEINALVDELHGKRISTFLVTNAQFPEKILMMKPITQLYVSVDAATKESLKAIDRPLFADFWERFIDSLKALQEKQQRTVYRLTLVKGWNTEELEAYFNLFSIGKPDFIEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALSLKSNGEYEVACEHAHSCCVLLGRTDKFKVDGKWFTWIDYEKFHDLVASGEPFTSRDYMAETPSWAVYGAEEGGFDPEQLRYKKERHHHPKPQAVVA >A03p034150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14430820:14433783:1 gene:A03p034150.1_BraROA transcript:A03p034150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl serine alpha-galactosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G01720) UniProtKB/Swiss-Prot;Acc:Q8VYF9] MQWGLILVIAALAVAFGSGSADEWGQRAPYRIHTLFSVECQNYFDWQTVGLMHSFKKSRQPGPITRLLSCTEEEMKSYRGMDLAPTFRVPSWSRHPKTGDWYPAINKPVGVLYWLQHSEDAKNVDWVVILDADQIIRGPIIPWELGAERGRPFAAHYGYLVGCDNMLVRLHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEDVRQDTAHWSTNLTGDVYGKGWISEMYGYSFGAAEAGLKHKINDDLMIYPGYVPREGVEPILMHYGLPFSIGNWSFTKLDHHEDNIVYDCNRLFPEPPYPREVKMMEPDQYKRRGLLLSLECMNTLNEGLILRHAENGCPKPKWSKYLSFLKSKTFMELTKPKLLAPGSVNILPDQHQHQQPPPVDEFKGPYPKIHTLFSTECTTYFDWQTVGFMHSFRLSGQPGNITRLLSCTDEDLKKYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVVHWLHHTNIDAEYIVILDADMILRGPITPWEYKATRGRPVSTPYDYLIGCDNDLAKLHTRNPEACDKVGGVIIMHIDDLRKFAMYWLLKTQEVRADKEHYGKELTGDIYESGWISEMYGYSFGAAELNLRHIINKEILIYPGYVPEPGVDYRVFHYGLEFKVGNWSFDKANWRNTDMINKCWSKFPDPPTPSEVHETDNDLRQRDLLSIECGQKLNEALLLHHKRRNCPEPGSESESKEESISLGSRKVGKLETKQAKGSDETTEETTSEGRFSRLKMWVIAVWVISGVGFMVVMLLVCSTRKVRARGKGYRNKRRTTSSYSKPGFE >A09g516480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48654570:48657713:1 gene:A09g516480.1_BraROA transcript:A09g516480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFGFTVVTKLKLGKLPWLTLESKPRPRAVWIRGEHVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESSGAVKIQEENKWVWPRWVKTALGSCEIWSNQVKGEPLMERAADGGQTARKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKYLGIGLSQDQEPGRRESSNQTGGAGRTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEEEQVEPA >A03p072260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31938861:31940211:-1 gene:A03p072260.1_BraROA transcript:A03p072260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFSYVSCRNKCYQYSFSRAGLRSSTSDLGDGTVVHCWVPQTHVDAKPTLLLLHGIGANAMWQWDRFIDRFIPRFNVYVPDLIFFGDSHTTRPDRSESFQASCVMKVMDAHGVGTMTVAGLSYGGFVAYSMAAQFKERIDRVVLICAGVALEEKDVEDGIFKVKSADEAANVLFPQSPSMLRRLLQLSFYKPPVWIPSCFAMDYIHVMCRDYLLERKELVEALHKGRRFADLPKIAQPTLMIWGEEDQVFPVELAHRLKRHLGENRAQLVLLKKTGHAINEERPKEMYKHMKSFLCTDAMIRPNDNAKRLMLTSLISPVKINK >A02p026260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13754899:13756047:1 gene:A02p026260.1_BraROA transcript:A02p026260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTWFGKIYNKLETILVEVDTFTSQSTLCLNSSDPSGWESVRGEPDDRLTSPSCERSPSDPPSHQNFDVLGNVSVEEQVEEDIMKEDLSVSSSSSDGGTLSRISLIQEYCVGNLTSVPQITNTSTPQRSSSGEEVRVESFRDFVSTATLEISNLNPIDIKFPDAPAEANMRSSNEDDVMNGKPDAPLDTKALSGITFQEEDPGCVDDNELYALHFRTKKLRSVKRKILDALTTKRIREKEYEQLAIWFGDADMGSDLVSDDSEAIDSKSSQVHESEESQWELL >A08p036810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21541117:21543980:-1 gene:A08p036810.1_BraROA transcript:A08p036810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABG3b [Source:Projected from Arabidopsis thaliana (AT1G22740) UniProtKB/Swiss-Prot;Acc:O04157] MLTFPLCGCELSSESKTLAFAASLISISQTRRQIVLGRRDSVKVSAAKSGNFSLGSIFKSCDTCGAKGAIECPGCKGTGRNKKNGNIFERWKCFDCQGFGMKSCPKCGKGDRTWRFFAFLNPKNRVKYKKLWNMSMRRRSLLKVIVLGDSGVGKTSLMNQICGSLNGSLCRYVNNKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNYLKSFDSLDNWHEEFLKQASPRDPTAFPFILLGNKVDIDGGNSRVVSEKKAREWCAQKGNICYYETSAKEDYNVDESFLCITKLALANERDQDIYFQGIPESGSEPEQRGGCAC >A10g506300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17829529:17832141:-1 gene:A10g506300.1_BraROA transcript:A10g506300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSDLEDFWDDLPVSRLEVVWKSSGSLLTKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFFRSGFNMQVFQIWKTSGTTYLLVVWKSSGSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHENFRIKILSFFSSLWRERERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A07p037390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20004246:20007283:-1 gene:A07p037390.1_BraROA transcript:A07p037390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHVAFILLSLILLPNHASANLEGDALHTLRVTLVDPNNVLQSWDPTLVNPCTWFHVTCNNENSVIRVDLGNAELSGHLVPELGVLKNLQYLELYSNNITGPIPSNLGNLTNLVSLDLYLNSFTGPIPESLGKLSKLRFLRLNNNTLTGSIPMSLTNITTLQVLDLSNNQLSGSVPDNGSFSLFTPISFANNLDLCGPVTSHPCPGSPPFSPPPPFIPPPPVSTPSGYGITGAIAGGVAAGAALLFAAPAIAFAWWRRRKPHDIFFDVPAEEDPEVHLGQLKRFSLRELQVASDGFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDKDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQTNYEERELEQVIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWDEWQKVEILREDVDLSTNLHSDWIVDSTYNLHAVELSGPR >A02p027630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13420906:13421773:-1 gene:A02p027630.1_BraROA transcript:A02p027630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSNTVACGISKEIMLFGVRVVLDPMRKSVSLNNLSDYEQTDEILKIGGEDGDRQDKNKTNSCYASADEAGPVSSNRERKRGVPWSEEEHKLFLLGLEKVGKGNWKGISQNFVKSRTSTQVASHAQKYFLRRSNLNRRRRRRSSIFDMTTPMVMPMEEVQENTSQPSSLVPEVNLVPFTLQASPEPISLSLSLALSNINEPSSSSRHSAFNTIGVA >A09p066560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52784573:52786033:1 gene:A09p066560.1_BraROA transcript:A09p066560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPIPIPASSSPAMDASPLLSRNRRNIPRPSQPLRGTASRLLRRASNRRMMLREPSVRVREVAAEQLEERQSQWAYSKPIIALDILWNFAFVVVSIAILGFSPEEHPEVPLRLWIVGYSLQCLFHVGCVIAEYRRRRRQANPQGEEGSENHGSFSGSEDESDGYGVEDGDDDHRASFAKHIESANTMFSFVWWIIGFYWVTGDTEALAQSSPRLYWLCVAFLAFDVIFVVICVAVASLIGIAVCCCLPCIIAILYALADQEGAADEEIERLSKFKFLTVKDSEKVNGEVQETQGGIMTELGVDSQTERVISSDDAECSICLCAYEDGAELRELPCRHHFHSVCVDKWLRINATCPLCKFNILKNEHSSIEQV >A04g503250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7184711:7184981:-1 gene:A04g503250.1_BraROA transcript:A04g503250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATDCATYGWFWRRCKRLSVIHSGTPYMRCTCVQQEYMCTCVLCIMLIWFAVKVCIDFALVYRVSMFAADCVTYGRV >A01p010370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5070090:5072302:1 gene:A01p010370.1_BraROA transcript:A01p010370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGSLNKDSFVSLLSKLIGESKFVQNNPPELIPQEDRIVNHVLDSLRPYSTETGGGPLVINHVAYHSGRGNLIVEYPGSVPGKILSFVGMHMDVVTANPDEWEFDPFSLSIDGDKLRGRGTTDCLGHVALVTELMKRLGETKPALKSSVVAVFIASEENSSIPGVGVDMLVKDKLLDKLKSGPLFWIDTADKQPCIGTGGMIPWKLHFTGKLFHSGLAHKAINAMELGMEGLKEIQSRFYRDFPPHPQEKVYGFATPSTMKPTQWSYPGGGINQIPGECTISGDVRLTPFYDVKEVMKKLQEYVDDINTNIENLATPGPVSKYVLPDENLRGRLTLSFDEASAGVACNLDSRGYHVLCNATKEVVGHVKPYSITGTLPLIRDLKDEGFDVQTSGYGLMATYHAKNEYCLLTDMCQGFDVFVRIISQLEQD >A07p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7053985:7054393:1 gene:A07p013940.1_BraROA transcript:A07p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRCKRVKRLRAIRREIVEKESFTLTRDDAKSAAIEAALAAPKLPVRQPPPSPFMEVASSTTESASASDVEMDGEKHNKSLKPIGRKLKKKFKMGMKNRRSKGFLRGKRV >A05p050320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29294852:29298343:-1 gene:A05p050320.1_BraROA transcript:A05p050320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSKRSVSTFLRSGDRSFRVAAAATSISRSAPSSTTDSRRGESESRWYSSLTNGKCMKNESLPHLNMNTNWFVGYRNESSAAASDSASHAPPPPAERFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRYLSVTNPELSKDVADLDIRIYADKENGVITLTDSGIGMTRQELVDCLGTIAQSGTAKFLKALKDNKDAGGDNNLIGQFGVGFYSAFLVADRVTVSTKSPKSDKQYVWESEADSSSYTIKEETDPQLIIPRGTRITLHLKRDEKGFADPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDDDPAESKKDDQDDQTERKKKTKKVVERYWDWELTNETQPIWLRNSKEVTTEEYNEFYRKTFNEYLDPLASSHFTTEGEVEFKSILYVPPVSPMGKDDVVNQKTKNIRLYVKRVFISDDFDGELFPRYLSFIKGVVDSHDLPLNVSREILQESRIVRIMKKRLVKKAFDMILGISLSENREDYEKFWDNFGKHLKLGCIEDRENHKRLAPLLRFFSSQSENDMISLDEYVENMKAEQKAIYYIASDSVTSAKNAPFLEKLTEKELEVLYLVEPIDEVAIQSLKSYKDKDFIDISKEDLDLGK >A10g506710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19641768:19642745:-1 gene:A10g506710.1_BraROA transcript:A10g506710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWDSGFFNAGKCRVLLRGELSSPVDELSGVPTMSPSDLVFSFRLLRCSPCLGDARGSWRTRPVFLSSLLSKLGLCDGVDERELGVLSLRRSLCSRGYVSFGCLSFGSFPVSVGEVLGKASSSWWLGELLSLIVECLYIQGAWTEQWFPLSRFEVPGFWSYRLAASSSDKCGLGAEVEGTGWRAIGSSGTSRPLTFTLHPSVGFSRYSVGFSRRFLWAFGSGAISFCRQLVYKYR >A03p043760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18316303:18318553:-1 gene:A03p043760.1_BraROA transcript:A03p043760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVFWFLVISSFIFCLLLVRMAVSKNNKRKKKRNSTCKLPPGSMGWPYIGETLQLYSQDPNVFFTSKQKRYGEIFKTRILGYPCVMLASPEAARFVLVTHAHMFKPTYPKSKERLIGPSALFFNQGDYHVYLRKLVQSSLYPETIRKLIPDIEHIALSSLQSLANMPIVSTYQEMKKFAFDVGIVAIFGHLECSYRDMLEHNYNIVDKGYNSFPMNLPGTSYHKALMARKRLKTIVSEIVHERREKRILKTDFLGHLLDFKDDEGRMLTQEQIADNIIGVLFAAQDTTASCLTWILKYLHDDQKLLEAVKDEQIAIYEENSREKKSLTWGQTRNMPLTHKVILESLRMASIIPFTFREAVDDVEYKGYLIPKGWKVMPLFRNIHHNTKYFSNPEVFDPSRFEVNPKPNTFMPFGSGVHACPGNELAKLQILIFLHHLVSKFRWEVVGGEGGIEYGPFPIPRNGLLATFRQHSL >A09p056130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47845489:47846771:1 gene:A09p056130.1_BraROA transcript:A09p056130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRSEDTLSEAEVVLCSRPENVSSFLEMDSLFGPLRQLIVVYNRVKDSRLVYKHYATLYFVLVFDGSENELAMLDLIQAALFLYLFVYHYFLVETLDKCFSNVCELDIVLNYSKMHTVLDEIVFGDQVLETSSTEVIKAVEDISKLEAASNAISLVPKSVSGWRGR >A09g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10569655:10570647:1 gene:A09g503290.1_BraROA transcript:A09g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFEQMTGQDKVLLVHGMTMTELLTSVRERYQLSSTDATLKLSYQYPEWVSFGDAELEMPQYITEDTEVGVFLNMRRSIEEVPLYVSIYRQSHGGKLLTKKRETEQRVLMAEDGGDGIDEEDWHTFALSETPLTITLTQPKTKAIPHEFPDYFVSKAVRSKERLPTIPPSPGGIVITERGDPTRATSRQTGPNDRGKNKRPVEEETESESDSDDDMVVPVVPPVVGETGEGSRPVRRRLLFGNAGIPDTDGGVGDSNSGSDDSEELPVDDGLHWGKFD >A01p040090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16022453:16025847:1 gene:A01p040090.1_BraROA transcript:A01p040090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGCFDIEKCCSVVNQRASYSSNNFPESHFQPVNSQSSPKASKNNKQDVKPSSSPNASSPMPIRSSKSILSRRTSSNLSPIGILKNASIREAKSPKTSSSPKWTCNFILMVELRRKIITFRDIIDLPPLDGSPSITDMVMHTMKDLQNICPEIINSSLISEIRRANVDKVLDHFLNALKSMGDSWIDNSEWIAKSKFWSSSVGKNQSDRLVEKVLAALDGLIKMSKERFDKMEIDEKEEEKKDLISPRTAKTLSSRVLSPCESFSDSRSSFCGSPITPRSVLPEPVMGSPGKGVDFANSASHLLWNMRVQALEKLSPVDVERLAIHIMSLKEAQEPDQSNVEDEINVVEQNKQKSNDVNMEKEETAVLDEQEDTIMKVSPLDSTSELWAESLPPPPSPPLSTTKTATLDSLPSQPPPPPSPPLIANADDVSILPMPHPPPPPLHMPLQSSVPPPQPPPVTVVAVQPPAPPPPLAPLPGTAAASLPPPPPPMKNRAPPPPPMPTTNRVVSGPPPPPPTMAKGTAPPPPPPPMANGPAPPPPPPRMGVLSGAACPPPPPGAARSLRPKKAATKLKRSTQLGNLYRILKGKVEGRDPEARTGGGSGRKAGVGSAPAGGKQGMADALAEITKKSAYFQQIQEDVAKYMKSINELRIEITKFKTKDMTELLSFHSRVESILEKLTDETQVLARCEGFPQKKLEAIRMAAALFSKLHGMITELQHWKIEPPLNQLLDKIERYFTKEKRDAKLVSPDANPSMKKTVGSAKMLWRAFQFAFKVYTFAGGHDDRADSLTRELAHEIQTDPQNP >A07g505240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11409609:11414106:-1 gene:A07g505240.1_BraROA transcript:A07g505240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSLLGELEVEVEIKAPAAEFYHMYAGRPHHVTLNIIILIHIRVFLKGAPQNMGSIQMFHMCWFKPASACVQGHSRQCDLHDGEWGKVGSIISWNYMHDGKAKVAKERIEVVEPEKKLIKFKVLEGDLMEEFKSFLITIQVTPKQGGNGSIVKWHFEYKNLMRTSLTLKLCSQMEDMPESSLVGEVEVEVEIKAPAEKFYEMYTGKAHHVAEATPQNVQACDLHDGDWGTLDSVIVWNYVHDGQEKVAKERIELVEPEKKLVKFRVSPEQGGLGSVVKLHFDYEKIHENVVHPETLLPFFVQMIKEIDQHLMSEE >A06p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:178358:181880:1 gene:A06p000670.1_BraROA transcript:A06p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGSIPTSSHPSPPIDLEYISRAKYRIKSGLATRRPWKTMFDLQSMNLPHGFFDAISRIKTNLVYFRANYAVVVLLVLFLSLVYHPTSLLVLAVLVVFWIFLYFLRDEPLVVFGHQIDDRTVMICLSVLTIVMLLFTHATANVLGAVATAVVLVLVHAAVRRSDNLYLDEEAAAASEASGLTKLDLTGTSILNADSSLLGSHGKPHRLSNERRGPSPSSEPRLHEGSSWLRETSISTRVDRRGEEQREKKLSSKIHSLTQRLSKTNVHVSSIPSPIKSSLPSSATPRINRSFRVPVELSSCISLFPLHSAVASSRLVSSLSAESMSWGLVPQGISMPL >A02p050530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31172035:31173350:-1 gene:A02p050530.1_BraROA transcript:A02p050530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHFWEHASTVLVVIYYRFVEEDHRASTHHMLKQRVMRMVSGMLSLWVVTVLSGHMVIVLAEEAWQELMCKSMILTLTPLITSFLKMSASGYHKSSRSYIHDSGCSTNASDNVYCTLLARSVVHGEMAGYTGYTSGLINGKPTNIPYNWNYLVRHKRTVVLRDGPDLIFFVVAEDSRETEQCGDYMIECGQG >A03p048400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21925779:21927251:-1 gene:A03p048400.1_BraROA transcript:A03p048400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAKSFLGLPTASPKGIISDSNSLSTNSRSVGVFRACVSMEGSQTMRHSTNGSIPELKSVNGHTGQKQGPLSTVGNSTNIKWHECPVEKVDRQRLLDQKGCVIWVTGLSGSGKSTLACALNQKLYQKGKLCYILDGDNVRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRTLLPEGDFVEVFMNVSLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCEISLGQEGTGTSPIEMAETVVAYLEHKGYLKA >A01p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19976514:19978001:1 gene:A01p025660.1_BraROA transcript:A01p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDLTKDSLSQRLTAYQTWSKTCTSFITNIWSCSPSSTNPFSMPLLFFSRRFLIFSTIIPFIASITLYRLDTFDPSHLPADALVYSTTSIPPLVNDQLLTGAEFIGVGLLNKPEDIAYDRDSGLIYTGCIDGWVKRVKALESCHDSVVEDWVNTGGRPLGLAFGLHGEVIVADAYKGLLNISDDGRKTELLTDEAEGVRFKLTDAVAVGDNGVLYFTDASYKYDYSQASFDFLEGKPHGRLMSFNPTTRTTRVLLKDLYFANGISMSPDQTHLIFCETPVSSFSTLRRCSKYYINEERVEVFIQGLPGYPDNIRYDGDGHYWIAIPKGVTTLWKLSMRYPLLRKVTAMVAKWGFNLMFAEDAGVLQVDLDGNPIAFYHDHKISHLTTGVKIGKYLYCGSLLHSRILRLDLLKYPAQKSL >A08p035350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20869560:20872959:-1 gene:A08p035350.1_BraROA transcript:A08p035350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASPISPSKETDRKSGEKWTAAEPSRPAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTLMLVLTWGLTLNTMWQMVQLHECVPGTRFDRYIDLGRYAFGPKLGPWIVLPQQLIVQVGCCIVYMVTGGKCLKQFVDVTCSTCTPVRPTYWILAFGGVHFLLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGSIAHGRLPDVSYGYKATSPSESTFRIFNALGQISFAFAGHAVALEIQATMPSTPERPSKVPMWQGVMGAYFVNAICYFPVALVCYWAFGQDVDDNVLNNLQRPAWLIASANLMVVVHVIGSYQVFAMPVFDLLERMMVNKFGFKHGVVLRFFTRTIYVAFTLFIGVSFPFFGDLLGFFGGFGFAPTSFFISIIVGVFIMLASTIGGLRNIIADSSAYRFYA >A05g500950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3414660:3417756:-1 gene:A05g500950.1_BraROA transcript:A05g500950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFLLQIWASIVRSARCGLVSRCGAGFPGASFPRGFLVRARASSVGVWRFNGLRPDLVVYGHVVAVPETSFSSAMSLLGVLFQSVSEATGKFSLAFAHVLPCGVVALSSLRQFDDMKLAAFWFSETASSEVLRSLLVLGRCCYLGSVFFVNEPLIGLMEWTFLNLRGAGQRFRRVAPSWVRALDIKMDHLGKAVTPMVWSVSTSTVSVRRVMTSDHSGPSSRNSFPSAVYFIYFPMYLAISPIYFQRSLFLHRLTGGLVPMDSSSSQNNGAGKEHEVQSEDAVY >A03g506530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23518951:23519564:1 gene:A03g506530.1_BraROA transcript:A03g506530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSSWNHWTVVVPGSHTSAAAKRGTVTKIIAEIRTIAKLCTARTRPKGEAASTLDWEDCKVNVHRDDGDGIR >A02p007300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3074321:3074974:1 gene:A02p007300.1_BraROA transcript:A02p007300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDESVKVSKEEEEEYVLMDLDDVSRHIDIPPDAPYTLSGLDTLNPILTIDGKIKLVGEYLETIGTCLAFSDKEVAAAENQTPRKTVEPVAKIHKILKFRLALDNEDGETRATTL >A10p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20656837:20660275:1 gene:A10p036210.1_BraROA transcript:A10p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVKTEDRSLSVHLEKHRSGSPPLFPDICSLPSSLLLKSPALLRVMGSCWSDGGGRGGGMVGVGGTTSSAAGCYASPNDAVDYYLKSRGFNGLFSQIELSFSASNLRDRDVISKSDAMVVVYTKGRDGTLSELFRSEVVLNSLNPKWIKKLTISYQFEAVQTLLFRVYDIDTQFQNSKEEMLKLDEQQFLGEATCALSEVITKSNRTIALELMRKEGAAAAQTQPQNHGKLIVHAEESLASKTTTEIVLRCLNLESKDNFSKSDPFLVISKMVEHGSPIPVSKTEVLKNDPNPIWKPVFLSVQQVGSKDTPLVIECLDFNGNGNHSLIGKVQKSLADLEKLHVSGQGINLLLPTGVGHKHEDKALKSQIFVDKFTETVQHTFLEYLASGFELNFMVAIDFTASNGNPRLPDSLHYIDPTGRLNAYQRAIVEVGEVLQFYDTDKRFPAWGFGARPIDIPVSHCFNLNGSSTYCEVDGIQGIMNAYNGALFNVSFAGPTLFGPVINAAATIASESLAQNSRRYYVLLIITDGVITDLQETRDALVSASDLPLSILIVGVGGADYKEMEVLDGDKGERLASSSGRVASRDIVQFVALRDIQYGEVSVVEALLAELPSQFLAYMKNRNITPTTSTTSAS >A06p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1657633:1662954:1 gene:A06p005500.1_BraROA transcript:A06p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 long form homolog [Source:Projected from Arabidopsis thaliana (AT1G48120) UniProtKB/Swiss-Prot;Acc:Q9LNG5] MGIVFQVSSSQLRMEVQSLISFDLDPGPVDQSVLVWQHEHRSAAIWEDEVQRVAAIHARSKSSLEEELTVDTARQTLQDIVDMCAGALQLNAPLGSLSNGYVAQAPSSGPFLMSPQPTPTMMSQKPLSSDMVCLPLNEMGIDDGLLAEPSEGMPPVQDTGCEQSLPSVSQKPLFWPTGGKLTFSWICDVMLGFDWSSRNLPACEFSNVLPFNVLDELILSASKILRKEPNCVRIDSDKAKVVVVGDLHGQLHDLLFLMQDAGFPNGDQFYVFNGNYVDNGAWGLETFLLLLSWKVFLPDRVFVLRGSHESESCTSLYGFKNEVLTKYGDKGSVVYKKCLECFQLLPLASVIGGNVFTAHGGLFRDVPAFLSDKQERSRKRKRAQKNQEDNSVLETESRPDSLLLGSLKDLFKVKRRVINPPAEGSNLIPGDILWSDPSTDNGLFLNKESGIGLLWGPDCTAKFLQDNDLKLIIRGKEAPHKRAQRDGLPPMNEGFSKDHEGLITLFSAPDHPQFQDTEERHNNKGAYIILQIPYCEEPEIHVFEAVSPRPKAEAYYDYRSLIHSTGYLVDNNTNSVAVDSPFSVPDDKDSLVSSENVEYKSMDLPEAMEVDEKDDEVSTFGTLASNDKDTVDSSEQTKDFPKEDDHSETAEISKDLSDAVGELESRSRSQSMFEARPPNVIDMEPPLADDLHVLDSGESIESRTEKAANCEPMAVDEIAGESRSRTGEEHDVEASNSTKISEEKAEKERTTGEEEATRVDDCSTAGDASVELEITYDEKPESAVTEVTGNDIATDGAEKTALSASKLSYSEPLEDMVDFNMEDIATDGANNDPGTVNGGLNTDCTSLSKCLTSRADGSEPAVDHDNFKKLSPDNDHGEPADKPERVIKLVTYSKRKSSDKKQLIDSSEDPQQKINEAVDCKTKTALDKSHSVPRDMDS >A01p001130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:424036:424779:-1 gene:A01p001130.1_BraROA transcript:A01p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRLIHIWIDLAAPTIIAKIILILNPQSAMLLTLKPFVPITLKPRYSYIIPHHHHHVSFCKSPLKLRTYAQNGGGNKESSGGGNRPVNDEDGDDPKKDGFPGFSFRLGDLLKPDQDNFAAVGLAGVLTWASLQVLSQLFFISFAILVAALKYSFIAALLIFILVTLL >A07g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1719639:1720161:1 gene:A07g500890.1_BraROA transcript:A07g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSLAGNIGQISLAGGIVSNPIGDCEIAIEYASHFSFNHSKALHFACDEDFNQIQESIKDCKKKLEACKKKTEEAYSDESAGDDDIVRLQKELDEDMELESKINDELR >A02g505080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15911169:15916131:1 gene:A02g505080.1_BraROA transcript:A02g505080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGGCSQGESRPEGVESDRRERWAQSGWVKVTAGSSPYAHKAQLDEFPTCLDFQDTLVFLSVLGIIEVSGYVCCLFLCGWVYLRFSGGNMDMKHESIGAVKIQEENKWVWPRWVKTALGSCEIWSNQEGAKRKKGRLRRRSKAQIRRGRCWKRSILSGYQGSYGTMKMGRVEAQQILMGECSYSAYMGESVESSGVMRKLETKGADERVTKEEWDEFVKTTPLDHERGNGTESGEQEQNQEDSGLHDQVENNVQSSGEVDEVQSSGEVDEVQSSGEEQVGPASSEDEQVEPATCQGALYLIPKRSDASR >A07g504600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9292213:9292944:-1 gene:A07g504600.1_BraROA transcript:A07g504600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSPSLSLSSTSKPLLLSLLSDSVFHRCNLLFLDSILPFSLKTRPGEEQEETQRKRTGGTETRHRTEMHRREKRAGRGKRAWRREESLAVEAEDPEASGGGGEERVQRSGGRRERSPRQRGAQGGGRRRRGGAWWWRRRRVRWRRREETEELGVAGDDVGEELGVAGDDVVAES >A07p018510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11123087:11129330:1 gene:A07p018510.1_BraROA transcript:A07p018510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFDLSYASSFKNILKTYLRKNPTAKTIWELVQSLDNENICYDHFTFQTFKVEGYGIESLSSFFMDYGYKVEDTGVAYSDNDALRVLTFSPPDIYVPDDGHGLGNGPLPRLVIAELFVDELSPESQEIIRKYLKPKGGKQAVLSSTLGSLIWEKPTSTDFQQLAKESDFAAWVLVHGYMMNHLAFSVHRLKHQFSDIKCIKEYLEEKGFELNNDGGILKVSQDGLLLQVSSISEKIAFEFADGVTETIPASYIEFTQRLVLPEFKDLPHNQIKEFHRRDGFDLGNAKNILESARFTSDV >A04g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22855608:22856175:-1 gene:A04g508580.1_BraROA transcript:A04g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIIICIKCTLKLERRMCLRIRFSGGDNARSVKASAGQSSEGVEKTDSTGGGGARQFAGPVMGVTTLDRGFANSTTDEVVKLSPHARAIR >A01g511580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34223438:34229393:1 gene:A01g511580.1_BraROA transcript:A01g511580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYCWSQKRLRRNYHPKILRDRISERVSKRRDKYSLGFKPNDRPARSLRSNQAWAKARSLRSDRVIVPLGRYVATELSQARSLRSDRAIVPLGRYVATELSQSSVASDRARAKARSLHSDRARQARSLRSDRAIVPLGRYVATELEPKLGRYVATELSQARSLRSDRDRPARSLRSDRARARSVATDRAQAEARSLRSDRAVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNYSMVRAWPARKDKCQVSADEYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWETRVFLVSLFKRKSTVRISVPTTCQPTTRITCKLPLTEAAAPISTPLNGGSGTDLHTPVADVSAATAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKHVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTKSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSETTSDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLSLQHLALHAGEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNQ >A06p054800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28720395:28724073:1 gene:A06p054800.1_BraROA transcript:A06p054800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISCTRNFFERFCVEDYNIDNIKQSSFLSADLLPSLGARINHSTKLLIYSAWICPFQFAFITYKKDAIFIIDNIVNGFFAIDIVLTFFVAYLDSHSYLLVDDPKKIAIRYLSTWFAFDVCSTAPFQPLSLLFNYNGSELGFRILSMLRLWRLRRVSSLFARLEKDIRFNYFWIRCTKLISVTLFAVHCAGCFNYLIADRYPEPRKTWIGAVYPDFKEASLWNRYVTALYWSITTLTTTGYGDLHPENPREMLFDIFFMMFNLGLTAYLIGNMTNLVVHWTSRTRSFRDTVRAASEFASRNQLPHDIQDQMLSHICLKFKTEGLKQQETLNNLPKAIRSSIANYLFLPIVQNIYLFQGVSRDFLFQLVSDIDAEYFPPKEDIILQNEAPTDLYILVSGAVDFASYVDGHDQIQGKAVIGDTFGEIGVLCYRPQPFTVRTTELSQILRISRTSLMSAMHAHAEDGRVIMNNLFMKLRGQQSIGIDATNNDQENRDFQRMGWEEWMDSRIDCKGSDVSNSTSENGEKALMDAIHKGDMEMVKKLLKRGINIEEPKARTEAKGGNSNSETKQRSNESDQCCSSSIQAKVKDKRVTIHMLSQEKDPQRHNGKLILLPTSIEELLGLAGEKFGGCSFTKITNAENADIDDLCVIRDGDHLFFHQIEFEGRH >A05g509400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28555777:28556525:-1 gene:A05g509400.1_BraROA transcript:A05g509400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISGLWIPLFKNWTLGLLIFCLLSFVSVQSGDTDKAKKIREAAIFTISFVACDSPFGNQLLWSIFKALRTFCAYQTFSFSSNAFIALIYMESLELLQPLQPPFPTPPSTLSALEGPLSPLLVGSSDSRISGTLTRMESLWASPFSSLMNW >A02g511950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32293126:32293678:1 gene:A02g511950.1_BraROA transcript:A02g511950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLAQGRGRGEVGVVRFPRSVLSPGGGGSFSSAVAGSSPRVVEATLALTASVLVCLGGGRSRRRLIEARSWLIVLGRAKLLSRFHRKWKAFAVAMCGLCDFRDSLVNRRWVFLVVCRRGASSEP >A03p006920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2879524:2880596:-1 gene:A03p006920.1_BraROA transcript:A03p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVVESLLRGSREAQIEAAIELSNLSRKQRQKVSERDIISPLLSMLQSQDSLTIEVSLSALLSLAFGSERNKVRIVKAGAVQMLLEILQSETKMVIVELAMAFLLILSSCNRNKTKIASTKLIQLLVGLIGLDQLTVQAKLDGIATLHNLSTLQQIVPLVVSSGAPYALLQMINSCDKSSELAEKASSLLENIVHHSPESISGIGGAIEVLVEAIEEGSGQCKEHAVGILLGVCSFDRETNRGMILREGVMPGLLQVSVDGTRRGKEMARELLLLLRDCSGYVIKDKQSKIEIVEQIMREIDQEGERIPGTMLKLVEEMISKLST >A07g507730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21379924:21380444:-1 gene:A07g507730.1_BraROA transcript:A07g507730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSFNIKDISRGHLDKRSFISDRISTLMLISGACVWSHSDNEDPVEELPRCSAQKIHQKNIAEKFNATMLRSQLQYRDCARHEHSTRRPRAEFLGIQKSHKTVFPGLEFGSRVSKNDYVPSYGSLILGFSRIRSHNTVGAKLMD >A07p039690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21084512:21085854:-1 gene:A07p039690.1_BraROA transcript:A07p039690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHVERQTRFPLYFRVNAVSLYKVSNRFCSRFPPSAAAMVKYSQEPDNQTKSCKARGSDLRVHFKNTRETAHAIRKLPLLKAKRYLEDVIAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPAKSAQFVLDLLKNAESNAEVKGLDVDALFISHIQVNQAAKQRRRTYRAHGRINPYMSNPCHIELILSEKEESVKKEPETQLAAKSKKSSA >A10g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13470682:13473369:1 gene:A10g505370.1_BraROA transcript:A10g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDDFEEVFQTTGLPGSLLTKSSFHNRSEHFVLSHVFLTNLSFLHVFNQMVLIFYSFKGFSDLEDFWDDLPVSCPKYNALDDFQEVFQITSTSVSSGLPESRLDFLEVIWKSSGLLKSRLDFMETTYNSVVRQATYLKHISKSITKITSALTRRLSAHIRLLQAHIRLLQAYIISNESDPPIIVSFYDFMNHKKCKIKILEFFSSMWREKWKKKKGNFILGALRASNWLFMLVKVLMTMTIL >A09p067520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53243177:53245413:1 gene:A09p067520.1_BraROA transcript:A09p067520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVWLWIAVAVCSLCSVASMVRRGSSDGFEKPRENKILDNELRASKVSMVPLTLIHGADSKGAVCLDGTLPGYHLDRGFGSGANSWLIHLEGGGWCNNQSSCVFRKTTRRGSSKFMEETLNFTGILSNKPQENPDFFNWNRIKLRYCDGASFSGDSQDEGSQTFYRGKRIWQAAMEEFMSVGMQKAEQSNHFASVQALLSGCSAGGLASILHCDDFRERLPLSTKVKCLSDAGMFLDAVDVSGGRSLRNMYQGVVTVQNLQKDLSSACTNHLDPTSCFFPQNLVSDIKTPMFLLNSAYDSWQVRQSLAPSPADPRGVWEHCKSDISRCNSSQLQFLQEFRKEMVLALNSFSASPQNGLFINSCFTHCQSEIQDTWFCSRLSQAKRKSKTGPQRVAESVGDWYFDRTNNVKAIDCPYPCDKTCHHLIFQ >A09p004410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2534957:2537284:-1 gene:A09p004410.1_BraROA transcript:A09p004410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKATALMKRIVVLLSSVKNKTSAFKTRLMVLSLVKHKNVGFRSISNKIHNLLGHSDDQDHDQDRDESKAIILYSSGASTVAHHDSYDVLEEESDKYPDLRHTLFEGDEDFGELEEGSVIDMVKNSKEEEGESFKLEDEIDHVADLFISRFHKQMKLQKLLSFKRIKHYDLFNRGQLFLLKLTGDGEHRLNPTLLDSITSAITQIRSDPSSSQSVLITTSDGKFFSNGYDLALADSDPSLRPVMDSKLRSLVADLISLPMPTIAAVTGHASAAGFVLAMSHDYVLMRGDRGFLYMSELDIELVIPPWFAAMIRMKIGSPAARRDVMLKAEKVTAERGLEMGIVDSSYGSAAETVEGAVKLGEELFCTGFGGIGGILYFFFDVERLFYYSIGRILHYQLDMNAFDEDLDVYDDESD >A02g509090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:24281039:24281257:-1 gene:A02g509090.1_BraROA transcript:A02g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLLVAGCKQLMDKEFWPEVHDASPSSFASKVALHQDRYEKGLRNQNDTPSFSLHGEAEPDGAVFFMAWI >A05p020240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9501131:9502634:-1 gene:A05p020240.1_BraROA transcript:A05p020240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFAFFVLGLWHLYNNIKLFSLHPNTFNSSPWFPTSKFRHLELYLIMLSSSASIAMELFIGPKKHQPFDSDGTIPSNHLHNFEHSSISMSFLVYAVFALVLDQARPKAVSKELTILAAASAFAQQLFLFHHHSADHMGIEGQYHKLLQLVIFVSLLTTLMVMVYMLWTPSLISKWCFLHEEKGHQVVRCSSDLALQRAKSLVNIEFSWFFVGNTIFVMSLYLILDGVYGENIEYSSLTTKDQVEEDGEKQEDIESLKMSNSGFVQMVKGMLMRGFQKRSAGGCGYVLYNSRGPRTKQGFSS >A02p014870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6606741:6608938:-1 gene:A02p014870.1_BraROA transcript:A02p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKRRNETEFDELEKLLGEIPKVTSGNDYCPFPICLSSARSSPFEEQSTHLPIDRALTSSFAEGNLNLNTPGNPQLMFFPSYHSPNTSPCVYTDKFDSRKLDPLMLRKLQHVGYFPNSQPHHQALDQSNINEEQYMPMNSHLLYICNNKYPLMDTSPRHEHCAYRRAGHSNRNLFCNGEDGDESIVRKKMYYPEKILMRSPLGLNTAKVIKYGTVGVNDDLAMSLNNLTLHPPKYNSLAEARGKIYYMAKDQHGCRFLQRKFAEGDGNDIDMIFDEIIDYISELTVDPFGNYLVQKLLEVCGEDQRMQIVHSIARKPGLRIKISCDMHGTRVVQKIVETVKRQEEIAIIVSALKHGVVTLIKNVNGNHVVQRCLQYLLPQCKKFLFEAVMSHCVELATDRYGCCVLQKCLAHFEGEQKEHLVSEIASNALLFSQDPFGNYVLQYVFDLKLQGAINAILERLEGSYTKLSMQKCSSNVVEKCLKLADEKHQARIIRELITYGCLDQVMLDPYGNYVIQAALKRSKGSLHGLLVDNIKLHISSLRTNPYGKKVLSALSIKKFVPNDAACCW >A06p017440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7821663:7822477:1 gene:A06p017440.1_BraROA transcript:A06p017440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SENVVANIKLRRARHQTIRYNTTDDDPPLTHVVPPISQPNIRFRNVILLSPPHFLQRTHKEETARLPSSCVLSRDELHRRSRDEVSVPSVICSSVDWDNKKTKKRNKKKRKEATRRVRLGDKLLLRVRGAPLALIHVSSSDPLPHLSIKNTPIETSSAQFIRQRYTAASSGQKLQNSITNAYGEAQDDHF >A08g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:706941:712656:1 gene:A08g500290.1_BraROA transcript:A08g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLTNQEGLNHEANFYGFYTQEGVQDNWNLPKIFTEKEVMNFTIQRFLSPSICEYANLEENSSPKKKRPEQKPIIGVKRSLLAFQKAQDLEKWSRKLENMINFPKPAKPALHLPYLEDSGFTSNQPQEWQPGDLLSHSEALYNIIGSTLPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQFGSTQGYLWEPGDTIDHSEDIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEEFLQSFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLTPKHLELSRLLSIESCGVLNPPSFHSNSFITCIPSYRPSDHLFGRPIQASIIHLAHPESQSATFEARKIVEQEVQRVLPKEANFQPNQGHAIVHCLEQESDIPKVRKMSTSVGQNTLIRSKDKPEQVVVQVKAKVSPIHDKSFHKSSTTCMMHLSLSKSVITGLKEPRYIEEEAQGTNLPMDQKEAQSTKQSKLLNKPKPVIQVSNQGKCLTPPLDTGLNIYILGTGIPDESHMITGVPSAELDHELNQNPHHKWKPKFEQCTVQVPKSEESKVTTRQTKNKKFLLPHLTLRCFDPGISQEEHKNRAELPQEDGYTNQGKQLQERQPSNQICPKKNIILHHADAPKNVEKFSGCKEESFKEIPPDNLLLLGGSNPKMVRTEPARKVGPTPYSTSQGANQDIRALKMPYLTNQEGLNHEDNFYGFYTQEGIQDNWNLPKIFTEKEVMNFTIQRFLSPSICEYANLEEDSSPKKKRPEPKPIIGVKRSLLAFQKAQDLEKWSRKLEDMINFPKPAKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEALYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQFGSTQGYLWEPGDTLDHSEDIQDVLSWTSTQEIRRISLPINLPYLATSTLNALEEFLQSFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLTPKHLDLSRLLSIESCGVLNPPSFHSNSFITCIPSYRPSDHLFGRPIQASIIHLAHPESQPATLPLREPENMHDELHYQRKRTSEETNREALT >A03p007040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2933150:2937268:-1 gene:A03p007040.1_BraROA transcript:A03p007040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFIGGNTRRSPPGSGSGWGQSLLPTTASSKSKLPINRKPRKRTALVNFLFTNFFFIALAVSLLFLLLTLFHFGVPKPISSRFLSSSSSSSRSNRFVKPRKSIINRRHVNNSLSVAAVVDITTKDLYDRIEFKDVDGGPWKQGWQVTYKGDEWEKEKLKIFVVPHSHNDPGWKLTVEEYYQLQSRHILDTIVETLSKDSRRKFIWEEMSYLERWWRDASPSKQEALSSLVKNGQLEIVGGGWVMNDEANSHYFAIIEQIAEGNMWLNDTIGVIPKNSWAIDPFGYSSTMAYLLRRMGFENMLIQRTHYELKKELALNKNLEYIWRQSWDTMETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARKRGFKYELCPWGKHPVETTQDNVQERASKLLDQYRKKSTLYRTNTLLIPLGDDFRYISMDEAEAQFRNYQMLFDHINSDPSLNAEAKFGTLDDYFRTLREEADRVNYSRPGEVGSGQVVGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRGAEIMMSFLLGYCHRVQCEKFPTSFAYKLTAARRNLALFQHHDGVTGTAKDHVVQDYGSRMHTSLQDLQIFMSKAIEVLLGIRQGKEKSDQSPSFFEAEQVRSKYDAQPVHKPIAAREGNLHAVILFNPSEQTREEVVNVVVNRAEISVLDSNWSCVPSQISPEVQHDKTKLFTGRHRLYWKASIPALGLRKYYIANGNVECEKATQSKLKYASEFDSFPCPSPYSCSKLDSEVAEIRNEHQTLVFDVKNGLLQKIVHRNGQETVVREEIGMYYSPDSGAYLFKPKGEAQPIVHSGGHLVTSEGLLVQEVFSYPKTRWEKSPISHSTRVFTGGNTLQDLVVEMEYHVELLGEDFNDQELIVRYKTDIDNKKVFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFIQGSSGQRFSVHSRQSLGVASLEDGWLEIMLDRRLVRDDGRGLGQGVMDNRAMNVVFHLLVDSNISQADSVSNPSLLSHLVGAHLNYPINTFIAKKPQDISVRVPQYGSFAPLAKPLPCDLHVVNFKVPRPSKYSLPLEDDNPRFAIILNRRAYDKAYCQKGRRANCTSVADEPVNFSDMFKDLAATKVKPTSLNLLQEDMESLGYDDQEPPRDGSQGRVSISPMEIQAYKLELRPHK >A02g504470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14663083:14672988:1 gene:A02g504470.1_BraROA transcript:A02g504470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLHFAYMSPYQVLEYHMEFLETFGSIWSSKRSRPNRSDAVKSLWLPLRSDLTRATGRSRSPFWFSETRNRSRIDLSQRPSEVAPEAWSDLSERQGEVARLFGSRRHEIALGATSRSDPARSLPKPGATCRSDGPRSLRVSILLELMISQGPFGHFIMHPPRGGSSLFLEKTSKNLWKLRVWKIRKSCVSSTTMVAGTRKSPTFSTTTTNKNPIPTTNRVPQRPIVVARVSDVLCSKNTLGATSRSEYMKSLQLGATPWSRSRFQRNGAQKLTWSDVLERHLEVARAQSEVSRATLQGRSRFRRITTRENDSGATSPSDTLTSLPNRSSFRPCSLFLTTHSPFLFIQSKVKMVKKTKGKLEAERQEAESQEFALRGKALASEPTGSGTQRTVRQQTLAARKSKEHEKRAGKSVAVPAHEESETESEDEPAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHFKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKIRLKVNGREYNMNFNDIGRVMGFQDLEDHSLPKCENLPTELWKLITRNKHSTGADKNSHIRHPSVRYLHRMLVHAFYPRKQAGTVTEEDMRLFCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLQHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSRMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAAPSRRRSVHTQPDSPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIEKLKDKCKALSKTVKK >A08p039040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22528851:22529763:-1 gene:A08p039040.1_BraROA transcript:A08p039040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDSSAEPAAGSSGSNENVTKIEPIRMPTIEEMRAQEVWNNCAVKSVASGVMGGGLGLMMGLFMGALDNPIMHDTMTGRQQFVYTAKQMGQRSWGSCKTFAVMGLVYSAAECIVEKARAKHDIINTAVAGCVTGGTMSARGGPKAACMGCVGFATFSVLIEKFFDRHT >A04p016850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10359856:10361656:1 gene:A04p016850.1_BraROA transcript:A04p016850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPAIKLFGKTIPLPELLGGDLTEQQDQNPVRLSDSCTGDDEEMGDSGLAGGDDGGCGDSESQQEEKDTECGEESLCNESSNVGGAASSIITEKTETTKAAKTDEETSQNGTCSQEGKLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKNPASHFNRHVTITSAEAMQKAAAAAARTADNGTSLLTFGSDSVLCESMASGLNLADKSMLKKTEANAGLKITVPLNPSKEQGGTISPLPCFPGPPPPPPPTWPYAWNGVSWTAVPFYPPPAYWSYPGVSPGTWNSIAWVPQPTSPSAGSGPNSPTLGKHSRDESVAEPGTVLEETESPLGREKSKPERCLWVPKTLRIDDPEEAAKSSIWETLGIKKDEKADTFGAFRSPNKEKNSLSQGRRPELQANPAALSRSANFHESS >A05p030240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15374694:15375430:1 gene:A05p030240.1_BraROA transcript:A05p030240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNLMKPTSRRLYKSDNSSQARDSLLHGLETRIDGLQTQVIDLHKARETTENPELSSEVQSLKEKLGEHSKQLELSAEKLNQLQTENTALRDQNKAPNTASNKKRHFRPIGSLSTLNTGEGTTNATPASGAAGAT >A06p055700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29067380:29069349:1 gene:A06p055700.1_BraROA transcript:A06p055700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGAMFLYNGFLVLKLCAFVEAWKAMCSSGGSNKTLLIPSDNTFLLQPLVFQGPCNSPSVQIQLDGKIVAPLNKAAWSGLKSYEWVSFHKIIGLTVLGSGTINGRGSSFWEANMPASKRPTQLHFKGCNNLEINGITSFDSPRNHISIRDCRQVKITQIKLIAPGDSPNTDGIDISTSTNVEIYDTIVGTGDDCVALNSGSININITRMQCGPGHGISVGSLGRDGEESIVENVQVTNCTFSETTNGARIKTWPNGKGYARNIVFKDITLTETKNPIIIDQHYMDKGHIHVEESSAVAISNVIFTDIHGTSQKDEIIKIDCSGVTSCKDIVLDKIDITTVDGNKPIIECSNAYGKSTNAYDGCLKTQ >SC179g500080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:183727:186167:-1 gene:SC179g500080.1_BraROA transcript:SC179g500080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNLRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWSMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMIGMDTKRAYECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A06p012330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5637286:5643444:-1 gene:A06p012330.1_BraROA transcript:A06p012330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDEEIVMRDVTNAGLCVGDRIGREAASQLDLEEALEASRYASHPYSTHPREWPPLIEVGDTWELPSVLIDRYNTAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKRDGQCPEYSGEEQAICAVGLAKCRPGVFVEAIQYLLVLATPVELVLVGVCCIEGPDGRDPYAEISVQPLPDYTISSDGVAMTCVSCTNKGRIFMAGRDGHIYELLYATGSGWHKRCRKVCLTSGVGSMISRWVVPNVFKFGAVDPVVEMVIDNERQILYARTEEMKLQAYVFGPNGEGPLKMVAEERNLLNQKDVSQSAAAGRANKPTIVSLSPLSMLESKWLHLVASLSDGRRMYLSTSSSGSSFSGFSNHRQAPNCLKVVSTRPSPPLGAGVGLGFGAASLAGRTPNEDLSMKVDTAFYSVGTLVLSDSSPPAMSSLLVVSKDSSVHSQVGGTSGSSSRSSRALREVVSSLPIEGRMLFVADVLPSPDTAATIQSLYSELEYCGLEVSGESYEKACGKLWARGDLSTQHILPRRKIVIFTTMGMMELIFNRPVDILRRLLESNSPRSLLEDFFTRFGAGEAAAMCLMLAARIINFEDLISNIVADKAAEAFEDPRMVGMPQFDGSSGLSNTRTATGGFSMGQVVQEAEPIFSGAHEGLCLCTSRLLFPIWELSVMSKKPSSDAMSGDGLVVCRLSTSAMHVLESKIRSLEKFLRSRRNQRRGLYGYVAGLGGVTGSILYGTGTELGASERNMVRSLFGAYSNGGESANKRQRLPYSPAELAATEVRAMECIRQLLLRSAEALFLLQLLSQHHVARLVQGLDANLKQALVQLTFHQLVCSEEGDQITTRLISAVMEYYTGSDGRGTVDDISGRLREGCPSYFKESDYKFFLAVERLERAALAVDAEEKESVAREAFSFLSKVPGSADLRTVCKRFEELRFYEAVVCLPLQKAQALDPDGDAFNDQIDASIREHALAQRKQCYEIIANALRSLVSSMLDEASRRQYICQIVHLGVQSTDKAFREYLYTALIELGLENELLEYGGPDLVPFLQNAGSPSASEVGAVSGSSPLGHSGTQISSNQAKYFDLLVKYYVSKRQHVLAAHVFLRLAERRAIVSGDNPTLEQRRQYLSHAVLQAKNASNSDGLAGSAQGGCDSGLLDLLEGKLAVLQFQIKIRDKLEAIASSFESSDSMQDSEPVLNGDSSDDSSLANAANEKALELSVELKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIIRETWSRLMEQALSQGGIAEACSVLKRVGSHVYPGDGVVLPLDVLCLHLEKAALERAERNELVGDEDIARALLAACKGAAEPVLNAYDRLLSNVAIVPSPNLRIRLLGSVLVVLLEWAMSVLSDRMGSSPARSSLILGGSFAHENKAVVNQGARDKIASAANRQVSLAFILSRYMTEVRRLTLPPTMTESVYAGFKKLDESLLSPFSF >A06g501670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6282233:6284893:1 gene:A06g501670.1_BraROA transcript:A06g501670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARISYYEPILALAKAKEEKAFALQKSWLPANKPEMTKSSSIGKQLGNPYVACCDSTANQMLSLSLVATKPNGFWFEFSTSLSSHLNLSVAVFLVVSLAVSVAVSIILVSLAVCIVVSLVISPSLSLAVSLAVCLVSILVVSLAVWLVSILIVSLESGLKSRLGLLIDSKDGGKDITQTRWKKKQDKFEHSFGDLFDNIEEGVVIIKKREPTVGEFPFIHYGFNMARRSIFHFNQQQPL >A02g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26946317:26953402:-1 gene:A02g510080.1_BraROA transcript:A02g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLPERHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYHQKSYPNNQQSGYPLRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTSSFWRHLGAFGAQKGVFRVVIGRARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEAWSDLSERPTEVAPEGRSDLSERHAEKYTRTLLRSSSLGALISLRTGSTIFYTTTFVLGALKTPNISLVQFPDSLLARVLWGKYYRLSSSLRSGAVDNSSYV >A03p014880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5890303:5891550:-1 gene:A03p014880.1_BraROA transcript:A03p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISKSPARRNNDGFHRYLKPGALAQIRNSRINSRSTSLVSLTPSPPPIDPPSSPSAANNLTVDQVPHLLRKIYGPYSFQRKKLGAARPMMMMMMANLNNPIIESSGGSGSDVVMRLDTLPSAIGSDHMEELEDVTF >A02p020260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9476641:9479427:-1 gene:A02p020260.1_BraROA transcript:A02p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNNSKEEEDRVNWLLLSRVSTSSPSLSRQKTAINKREYESTHSDDDQRHAVAAEALSSANFRFIEILGRRSQMLMKDSQSLASKAIGTLLIPVSSLISGSMHGYAGEICALIFLKSQKEVSVYDNGGFSDLTGNGYSEGAIDQRMGYVVDDILDTILEGQFKLVMDKGTLDAIGLHPDDPVKRVMYWWDSVSKLVAPGGMLVIASLTLGTPNSKIVKHETTLRDSGPNSKTHPRK >A03p036110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15115120:15117862:-1 gene:A03p036110.1_BraROA transcript:A03p036110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFRQILLMIIVLVISLQCCNVFADTKELGEWTALMVANGERYRTQIGKLAGEDGGEKKKFPVLEKFRALLDLIKPSTLRRRSLATSASLAPWSAPSPSPFPNGGPAESPAYSPAPQRPIPPHLRRPLPHRTHHPSRQHELTRRKHENRGAFIHVVVSAACGIGFVVCVVGAFFLYARRRKKNGKTPPFQSSTRKVSINPSHSLGDDLEKQSSVSVKEVRETEKDVNDNKGVLLVEDVKTSAETEILSDSDNASSFSTKEIVSVHENDEEQQTVDSVSGPVVSNGCDSSDDNESFHSVGGGSSVGSSQRFSEREILPPPPPPPPPPPLPPLSNRGLHTLSLPKTTTLSSQLTAKVCASSSDSTLPSTPPPLRPPPPPPPPSQQPQATNKTPPPPLSLDFTQRRPLGKNGAPLPKLKPLHWDKVRATPNRTMVWDRLRASSFEFDEEMIESLFGYTMQSSSKNEEGKCKTPSPGKHLLEPKRLQNFTILLKALNANADQICSALGKGEGLCLQQLEALVKMVPSKEEELKLCSYKGEVDELGSAEKFLRAVVGVPFAFQRAEAMLYRETFEDEVVHLRNSFSMLEEACKELKSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLSDVKGTDGKTTLLHFVVQEISRSEGIRVSDSIMGRIMNQRTNENRTAEEIDEDHRRMGLDLVSGLNTELRNVKKTATIDMEGLVSSVSNLRDGLGGLKSLANEKLKGDEENRAFVSSMSSFLRYGERSLEELREDEKRVMERVGEIAEYFHGDVRGDEKNPLRIFVIVRDFLGMLDLVCRELRCVRVPNSPSPLAPFR >A05p003500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1288866:1289880:-1 gene:A05p003500.1_BraROA transcript:A05p003500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSLFLFALCFLTTSSYARSFVTTKPRPVDSFLPKPKLENAGVCSYTVIIKTSCSSVSYTRDKISIAFGDVYGNEVYVKRLDDPHSRAFERCSSDTYRITGPCMRDVCYLYLLRQGYDGWKPENVKIYGSYIRSVTFYYNLFLPNSVWYGFNVCNGIVNANDKSSQPIIASTASM >A09p027130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15321413:15327208:1 gene:A09p027130.1_BraROA transcript:A09p027130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPHSLLLDDQSDDEDFFDKLVDDSYSPSQAQAASSSANELKFGSDSDDDAKAFSNLSLGDGDVQLNESASPGNDVVANEGPSSSIETSSVPMEEADANKLSGDLVVRSEVEDKPLSETVKESNGSGSPGVKEVDWGSFCADSSVNDGGGFGSYSDFFTQLDGSSSGNLQGKAEVDAGNLVSTDTQIASFGFDSSAGLGQHQGEVSHDSTSEQFIDNSQAWENSYPGWKYDANTGQWYQVDSHDDASMNAQESYINSASNWQSSVASTDNSNVAYLSQSTTSAVAETMSTWNQVSQVANGYPEHMVFDPQYPGWYYDTIAQQWRSLDSYNQASHTTGTSQAYDQQVQNVQSLGAMSHNYTEGNIYNVNGKSQAFKAQDSGIQNQQGSWDQSYYANNQQQATKTWQSENGGNNEASVTSVSFSHFGGNQQENSLYSTESVAQQFNPKENGAQGFIPQHMNVASVPQHGPLSFSNDFYNRQKSVDDTQQSYQSNQLFSPSVGRSPDGRPPHALVSFGFGGKLILMKDSNGSLQNSSFGSQGAGGSSISVLNLAEVTSGSASFSILGEDSSSYFRSLHQRCLPGPLVGGNVGNKDLNKWLDESILHCESSEMGFSRGKLLKMLMSLLKISCQYYGKLRSPFGTDTTQKETDSAEAAVAKLFAFAKKDGVQNGGYASLSQCLQHLPPESQMQVTASEVQNLLASGRKMEALQCAQEGHLWGPALVIAAQLGEQFYADTVKQMALRQLVPGSPLRTLCLLVAGQPAEVFSNGSTSGISVPGSVSAPQHQTQFGSSSMLDNWEENLGIITANRTTDDELVITHLGDCMWKERSEIIAAHICYLIADKNFDPYSDSARLCLVGADHWKYPRTYASPEAIQRTELYEYSKTLGNSQYILLPFQPYKIIYAHMLAEFGKLSAAQKYCQAVSKCLKTGRSTEVETWKQFVSSLEERIRFHQQGGYTVAPAKLVGKFLNFIDSTAHRVVGGVPPPAPHSTTGNLQANEYHHQQQEATKLPYSQSANTMQSLMSHASMEPIRELDGNSRTMAVHSRSVSEPDFGRTPIQDQPVSSKDKATDGVPQVKPTVNVTSSRFSSFGFGILKNTIRRVLPSRSSKEAKLGDENQFYYDEKLKRWVEKGVEPPAEEAALPPPPTVGTYRSNSLGYENKSEVKNEMSPPSGSLTGIPPISQGSNPFSARARPRGRYVDTYNPDRGNSQTMFQSAHAKSLKPPIPAKANFFIPAAPASSSTSNDQVTTEMAAAETSQEYSAEEVAVPPPPPSHSSFQSPTPSPMTMQRFPSLDNIKRSGSGTSLNGDFPPSGSRRTASWSGSLNSSFTSPTGPSNLKPSPLNGSSSSLGEELQDVEL >A10p024710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15985731:15989335:-1 gene:A10p024710.1_BraROA transcript:A10p024710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHETRSHAHMSGDEQKKGNLRKHKAEGKLPEAEQSPKKAKSEKDSVNGTGDEYKEFCKAVEENLSVDQIKEVLETNGQDCSAPEETLLAQCQDLLFYGALDKCPLCGGNLICDNEKKRFVCGGEISEWCSCVFSTKSPPRKEEPIKLPDSVMNSAISDLLKKHQDPKTRPKRELSSDKPFTGMMISLMGRLTRTHQYWKNKIEKHGGKVSNSVKGVTCLVVSPAERERGGSSKLVEAMEQGLPVVSEAWLIDSIEKQEAQPLEAYDVVSDLSVEGKGIPWDKQDPSEEAIESLSAELKMFGKRGVYKDTKLEESGGKIFEKDGLLYNCAFSLCDLGKGRNEYCIMQLVTVPESNLNMYFKRGKVGDDPNAEERLEEWEDEEEAIKEFARLFEEITGNEFEPWEREKKIQKKPHKFFPIDMDDGIDVRSGALGLRQLGVASAHCKLESFVANFLKVLCGQEIYKYALMELGLDPPDLPMGMLTDIHLKRCEEVLLEFVEKLKTTKETGQKAEAIWADFSSRWFSLMHSTRPMRLHDFNELADHAASAFETVRDINTASHLVGDMRGDTLDDPLSDRYNKLGCKISVVDKESEDYKMIVKYLETTYEPVKVSDVAYTATVVNVFAVESKAIPSLDEIKKLPNKVLLWCGSRSSNLLRHIYKGFLPAVCSLPVPGYMFGRAIVCSDAAAEAARYGFTAVDRPEGFLVLAVASLGEDVKEFTSPPEDTKTLEEKKVGVKGLGRKKTEESEHFMWRDDIKVPCGKLVPSEHKDSPLEYNEYAVYDPKQTSIRFLVEVKYEEKGTEIVDVEPE >A09g515410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45709369:45709681:1 gene:A09g515410.1_BraROA transcript:A09g515410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLKVFDSVSHTLSLLSKNLYNTLQGARELAKPPILSEILYSNSKKKNNNKKKKKKKMNIVKGRRGNTVQMHS >A10p037290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21054864:21057150:1 gene:A10p037290.1_BraROA transcript:A10p037290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNSSTVNHRFTSACRAFTQRKLEDLKSLFVSLASQSQSNDSYVSYPVFQEYFGLSGSLGERMFDMVTQHRKDGKLTYEDLVIAKATYEKGTDDEIAEFIYQTLDVNANGVLTRSDLELVLVVVFKSVFSTTESSTSDYKEMVDALLNAASFSKSTDDGSEKGMSFEDFRSWCSHVPTVRKFLGSLLTPPSPARPGYQVPHLLYEDSVDSNILLLKKEYAWHIGGALPHHELEEWKLLYHSSLHGQSFNTFLGHTSNTGMSATVLVIKDREGCVYGGYASQPWERHSDFYGDMKSFLFQLNPKASIFRPTGANTNIQWCATNFTSENIPNGIGFGGKINHFGLFISSSFDQGQTFSCTTFGSPSLSKTSRIQPEVIECWGIVQASNELDTQHNAIKGTVLERFKEDRNMLKLVGMASNSNE >A01p014570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7068366:7069354:1 gene:A01p014570.1_BraROA transcript:A01p014570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPTDKISYPQQLVVACSGVIWFRYGMVITPKNWNLSSVNLAMAGTGICQLARKIKNDFASEMEPVVAKE >A10p017020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:2671680:2671871:-1 gene:A10p017020.1_BraROA transcript:A10p017020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITELLVEYTTALAKLTAGILPRRTGDRNALRIGAFLLPSPSSAVSRIPDFSAHLVDF >A09g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18993874:18994464:-1 gene:A09g506340.1_BraROA transcript:A09g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKKSNSVKYQLLPWRIWTRILPIRIRTPGAIGSLETSADNVTLSSSTIQSSKELQRDRTMTSSRPKPITGCSEVPDDYIRPDLNRFPLLTDFLERKVKYFQSQSRV >A02g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23224167:23226570:1 gene:A02g508610.1_BraROA transcript:A02g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLELCRAVHSRVGDLAGNWFLITPWFFGRDPLVLEKIWEHFVFEKTLEAGVSESGRQQRDLQGPCPLVRSFAGKGGPFVTSSRDSNPRMAFPSDAFFLPSASEMGTESGPDSSSIGSRVRSNRLRVVPVESMDSSDSFLDLTAAAKNPKALVTGNTSPAEGSQYLPIGPPSVIGAEEVAIWRKKYNLPDDVVIRAPEPGEVVSDFGVDEVPVYEGYFASGFRDHVPSLIAKISETLGISPAQLNPPAWRTLIALQNLGDLYWFLIGVTEVLCSYSVVPLNSVEWKYYLRPRSKEPPVREVPKKEMKKLLAFEGNWTEKVAFSHLSGFSATWRLQDLPRVDCFSWRDTIDQVSKLPLECRQVSFLVSEAALKRCSVWGEMSRSKGNEALVEYKKALEVMSAKKVAPKRAISTEDDEVQFIGSNKRRTSAAAVPSSSKKISKVSGYSPKDSSSTPYDWATVLNNLNTKVFPSTPVLLASEEDSSTAIQSLQGDLLEVASQLYHLGERMESVVSTKVEMDNLTSQLRKEKDPVLAKDREIKELTLKVKNQEEAGELAVVENASLRSQLKEREEELIDEKDTAATFDVDKTMAVNGAKIMARWELMREWLSGQTDAWDPATTLEQYKMVKITEAEFLGLPPPSFEHEPKVLGGAEKKTPEPSADDPPPN >A06g510030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30219562:30221241:1 gene:A06g510030.1_BraROA transcript:A06g510030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKWLSKLAKMLYLRHLPFPFNLKITHKHLFIEISVEREREEKEKKNRMAIFCFLVDQRKQVRGRKPAAGLCSRCGGGAVVADMKTSTRFCGVPFYRKAWKAIVCPLCGAVLKSLVTLLSSTLAHEEGSSSSSPLKNAVFAMVKTALHTINLELHSGSHPRLGVVDHICFHPLSQTSSIDQVSAVATSLAMDIGSILRVPTYLYGAAQEEQCTLDSIRRKLGYFKTNREGHEWAGGLELEVVPMKPDSGPLEVSKAKRVVAVGACGWVSNYNVPVMSTDLKPVRRMARKVSERGGVLASVQKMALVHGAGVIEVACNLLNPSHVGGDDVQGLIEGLGREEGLLLGKGYYTDYTADQITQRYKDSSKIHIIHKFVTGFLIHGLFK >A07p005070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2500824:2503979:1 gene:A07p005070.1_BraROA transcript:A07p005070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILSKNQKKKQDYTLKELKSLGSDLLSSRAHINNLPLLLSFISPESPPQFVVESLLSLQSFFTPLLSQLPSTSSSSSSTKRPRSEEDDDDTSNKKTDEDPEVIFNAWLRSKFDEFVQLLLDVLVSQQSEDTLRDIVLGTLMEFVKLLNAGRFHSSIFHRILNAIIHSAVDIDVFLDILTSKYFKYIDVRYFTYISMEKFVKTLEASSVVSADRTVIEHSETENESKDSLELSIRKIYQVLSRIPPPQKQAEKSDHEMWSGSDESSSSEKPKDKKKKNKDQDSSLLSPTTIAKRMKLKFTKAWISFLRLPLPLDVYKEVLASIHQTVIPHLSNPAMLCDFLTKSYDIGGVVSVMALSSLFILMTEHGLEYPNFYEKLYALLVPSVFVAKHRARFLQLLDACLRSSLLPAYLAASFTKKLSRLSLSVPPSGSLVITALIYNLLRRHPTINHLVHQETVETANEANSEADEPNECRPKTNKNLGIDYFNNQESDLKKTGAMRSSLWEIDTLRHHYCPPVSRFVSSLETDLTIRAKTTEMKIEDFSSGSYATIFGDEIRRRVKQVPLAFYKAVPTSLFEDSDFPGWTFNIPQEEGKC >A03p009040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3624301:3630532:-1 gene:A03p009040.1_BraROA transcript:A03p009040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSCEIKDKKVSASYEQSLVDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVSELESQIDSFEAELEGLSVKKGKSRPPRLTHLETSITRHKDHIIKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQDDFDEFSDVDELYSTLPLDEVEGLEDLVTAGPLVKGTPLSMKSSVAASASQVRSISLPTHHQSTSQEKTDDTTLPDSNSETLPKTPPQKNGALHSAPSTPVGERPSLNVPVSSVPNAPVALSTSIPVQTSSESMGSLSPVAAKEEDATTLPSRKPTSSVADAPPRGIGRVNIPTQPQLSQPLSPSPAKGARMSATSAAEVAKRNIMGVESNVQPLTSPLSKMVLPPAVKGNDGTVSDSNPSDVAASIGRAYSPSIVSGSQWRPGSPFQSQNETVRGRTEIVPDQRENYLQLYQQLQQGHGNLLGMASLSGGNEKQFSSQQQNPLLQQSSGISPHGGSGIQAPGFNVMSSASLQHSSNAMTQQFGQQPSGADVDHARNDDQLQQNLPDDSTSMAASKTIPNEDDSKGLFDNPSGMPSYMLDQVQVTRDGPDFSPGQPIQPGQPSSSHGVIGRRSNSELGTIGEPSALGPMNDQMHNLQMLEAAFYRLPQPKDSERPRPYTPRNPAITPQSFPQTQAPIVSNPLFWERLGSDAFGTEPLFFAFYYQQNSYQQYLAAKELKKQSWRYHRKFNTWFQRHKEPTIATDEYEQGAYVYFDFQTPKDENRDGGWVQRIKNEFTFEYSYLEDELAIT >A03p024770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10430012:10430741:-1 gene:A03p024770.1_BraROA transcript:A03p024770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEGGEEYLFKIVIIGDSAVGKSNLLTRYARNEFNPNSKATIGVEFQTQSMIIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALVVYDITRSSTFENVGRWLDELNTHSDTTVAKMLIGNKCDLESIRAVSVEEGKGLAESQGLFFMETSALDSTNVKTAFEMVIREIYSNISRKQLNSDSYKEELTVNRVSLVKSENEGTKTFSCCSS >A01g510710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29662559:29664703:1 gene:A01g510710.1_BraROA transcript:A01g510710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAASPAFSLRKSTTGATASSAATRARASLLPVPSKSISTRPLGFSAVLDSRFTVHVASKVQSFRGKGTRGVVSMAKKSVGDLTSADLKGKKVFVRADLNVPLDDNQTITDDTRIRAAIPTIKPKGVTPKFSLAPLVPRLSELLGIEVKKADDCIGPEVESLVASLPEGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKAKGVSLLLPTDVVVADKFAPDANSKVVSASGIEDGWMGLDIGPDSIKTFNEALDTTQTVIWNGPMGVFEMEKFAAGTEAIANKLAELSEKGVTTIIGGGDSVAAVEKVGVAGVMSHISTGGGASLELLEGKVLPGVIALDEAIAVTV >A07p014160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7169379:7173924:-1 gene:A07p014160.1_BraROA transcript:A07p014160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTIHTLIITIFFFTISTSFASPPRLEDVFSQCTTDFKPSNPNFPIQNFTYTQQDPSFLTILNNYVRNLRYFNNTTRKPVAIVAAADVTHIQATITCAKRLSLQLRVRSGGHDYDGMSYLSTVEFVVLDMFNLRSIEFDPKLDTAWVQSGATLGEIYYGVANKSNDLRGFPAGICPGLGAGGHFSGGGYGNMMRKYGLSIDNIIDAKIVDAKGRVLDRSSMGEDLFWALRGGGAASFCVVLAWKIKLVPVPGKVTVFNVETVGNRGGVNTTELVLKWQEIADKTDNNLFIRLTLSTSNKTVKASFMGMFLGDSTKLLEIMNTDFPELGLNKSECLEMKWIESALFWLSIPLGTAPTSVILNRIPQKQIYLKRKSDYVQKPIPRTGLDAIFKVLLENENVTMAWNPYGGRMSEIPSTETAFPHRPGNMFKIQYAANWFVQGEAVANECLSQTERVFEAMSPYVSKNPREAFLNYRDVDIGTSFNSTYEEGKVYGVKYFKNNFDRLVKVKSRVDPDNFFRYEQSIPVLSIATSQPVTDPVAFLRCLERQPTDPASPNSAVAYIPTNSSFTTVLRSRIPNLRFDKPTTPKPLAIVAAATWTHIQAALGCARELSLQVRIRSGGHDFEGLSYTSTVPFFVLDMFSFRTVDVNLTDGTAWVDAGVTTGELYYRIAEKSNVLGFPAGLCTTLGVGGHFSGGGYGTMMRKYGLSVDNVVGSGIVDSNGNIFTDRVSMGEDRFWAIRGGGAASFGVVLGYKIRLVPVPEKVTVFNVGRTVAEGAVDLIMKWQSFAHSTDRNLFVRLTLTLVNGTKPGEKMVLASFIGMYLGGSDKTFNVMNRDFPELKLKRTDCTEMRWIESVVFWAGFPTGTPISVLLNRTVTNKAFHKRKSDYVKRPISRIGLGLILKKLVEVENVRMFFNPYGGRMGEIPSSRTPFPHRAGNLYKIEYLVSWSEAGEDVEKNQLARAREMYEFMSPYVSKNPREAFFNYRDLDIGSSVNSTYEEGKVYGVKYFKDNFERLVDIKTLIDAENFWKNEQGIPVRR >A10p027180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17138758:17140338:1 gene:A10p027180.1_BraROA transcript:A10p027180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRRGMLSFLAILSVLLQCTNSLTSSPTAIINPSKVKQVSSKPRAFVYEGFLTELECDHMVSLAKASLKRSAVADNDSGESKFSEVRTSSGTFIPKAKDPIVSGIEDKISTWTFLPKENGEDMQVLRYEHGQKYDAHFDYFHDKVNIVRGGHRIATVLMYLSNVTRGGETVFPNAEIPSRRVLIENKDDLSDCAKKGIAVKPRKGDALLFFNLHPDAIPDPLSLHGGCPVIEGEKWSATKWIHVDSFDKIVTPGGNCTDMNESCERWAVLGECTKNPEYMVGTAELPGYCRRSCKAC >A07p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17622443:17625863:-1 gene:A07p031980.1_BraROA transcript:A07p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DL1B [Source:Projected from Arabidopsis thaliana (AT3G61760) UniProtKB/TrEMBL;Acc:A0A178VE87] MESLISLVNKIQRACTALGDHGEGSSLPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPKKKFTDFGIILLVTIVARRFFSCAAVRKEISDETDRETGPSSKVISTVPIHLSIFSPNVVNLTLVDLPGLTKVAVDGQPESIVQDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGDRTFGVLTKIDLMDQGTNAVDILEGRGYKLRYPWVGVVNRSQADINKSVDMIAARRRERDYFKSTPEYSHLTERMGSEYLGKMLSKHLEVVIKSRIPGLQALITKTISELETELSRLGKPVAADAGGKLYMIMEICRAFDQTFKEHLDGTRSGGEKVNSVFDNQFPAAIKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHSILKDLIHKSIGETSELKQYPTLRVEVSGAAVDSLDRMRDESRKATLLLVDMESGYLTVEFFRKLPQDSEKGGNPTHSIFDRYNDAYLRRIGSNVLSYVNMVCAGLRNSIPKSIVYCQVREAKRSLLDIFFTELGQKEMSKLSKLLDEDPAVQQRRTSIAKRLELYRSAQTDIEAVAWSK >A09g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:136095:137126:-1 gene:A09g500020.1_BraROA transcript:A09g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIETTTAPPHRSPPIDPTPIKTTTDPPNRHRSSTDPSSMTISRGGTLPLCFDRICLLWFRRNVVSRAAELKQVKGCLVFQEQFFVNKSLSRKSGELGALGVMITEHLCVVLSCAVFLW >A09g513250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40319015:40319570:-1 gene:A09g513250.1_BraROA transcript:A09g513250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDASVLQTMVLTFGGCQGWRDIKGTMGQYRPISVGVCINGFIYYGAYNLTDVMNPVIVYIF >A01p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8421729:8422798:-1 gene:A01p016990.1_BraROA transcript:A01p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox protein 22 [Source:Projected from Arabidopsis thaliana (AT4G24660) TAIR;Acc:AT4G24660] MNFEEQEEEMEMSGANPTGGYDSLSGEGATSSGGGGGGGSRKTVGGSKVRYRECLKNHAVNIGGHAVDGCCEFMPSGEDGSLDALKCAACGCHRNFHRKETEVMSGRAHRVPTYYNRPPQLPPPGYLHLTSPAAAGQPYRPPAASGDEEDTSNPSSSGGTRAKRFRTKFTAEQKEKMLAFAESTI >A07p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3583456:3583896:1 gene:A07p002800.1_BraROA transcript:A07p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVKLDSNFKSCTATSDLLKISSQKVLDVPFGSSSPRIASDWPGRVILEVMEEERDSLVICEYNRWCCESGSKLFSDIVF >A09p064070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51763904:51765519:1 gene:A09p064070.1_BraROA transcript:A09p064070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGSASPTVNGVVSDVEKFLCERLVDQSQPISERFRALFSLRNLKGPGPRNALILAARDSSNLLAHEAAFALGQMQDAEAVPALESVLNDMSLHPIVRHEAAEALGAIGLAGNAEILKRSLVLDPAQEVRETCELALKRIEDLSNVDAEKELDTTERSSPFMSVDPAAPAAAFSSVHQLRQILLDETKGMYERYAALFALRNHGGEDAVSAIVDSLSANSALLRHEVAYVLGQLQNKAALATLSKILRDVNEHPMVRHEAAEALGSIADEQSIALLQEFSRDPEPIVSQSCEVALSMLEFENSGKSFEFFFTQDPLVH >A05p052850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30515699:30518351:1 gene:A05p052850.1_BraROA transcript:A05p052850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSRPGSSGLVSESREEASSRIESNRKEVNNVSVTTTENTSVVAVVASAGEQVRNGEAIVKDHKEENGDTKERKPKRSTTKPDPRLSNPPKNLLGEQVAAGWPPWLTEVCGEALNGWLPRKADSFEKIEKIGSGTYSNVYKARDSLTGAIVALKKVRCDSMEQENLKFMAREILILRRLNHPNVIKLEGLVTSRMSNSLYLVFRYMHHDLAGLAASPVITFTEQQVKCYMKQILSGLEHCHSQGVLHRDIKGSNLLIDDDGVLRIGDFGLATFFDASVRQKLTTRVVTLWYRAPELLHGAVEYGVGIDLWSAGCILAELLSGRPIMPGRNEVDQIHRIYKLCGSPSEEYWRKIRLPSHVKHANHMAKPQHERKFFTTEPFACEPSELPKYPPTKEIDAKKRDEEYRRQREVRKVQGESGRRIKPRDRAPRAMPAPEANAENQSNIDRMRLITHANAKSKSEKFPPPHQDGSLGYQVGSSRRLDPSEIPFSSNSFTATYSKEPFQTWSGPLAPTEAHDSTAKRMKDINKERRKAAKLKGKRIVV >A05p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28845129:28846702:-1 gene:A05p049570.1_BraROA transcript:A05p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARPLVTIQGLDGDMTTDQSSTIVLPDVMTAPVRPDIVSFVHAQISNNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAFGNMCRGGRMFAPTKIWRKWHRRVNVNMKRHAIVSAIAATAVPALVMARGHKIENVPEMPLVVSDSAEGVEKTAAAIKVLKQIGAYDDAEKAKDSIGIRSGVGKMRNRRYICRKGPLVVYGTEGSKIVKAFRNLPGVELCHVERLNLLKLAPGGHLGRFVVWTKSAFEKLEGIYGSFEKPSEKKKGYVLPRAKMVNADLARIINSDEVQSVVKPIKKDAKRAVMKKNPLKNLNVMLKLNPYAKTAKRMSLLAEAQRVKAKKEKLTKKRKTVTKEESLAIKSAGKAWYQTMISDSDYTEFDNFTKWLGASQ >A08p027670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17443503:17443928:1 gene:A08p027670.1_BraROA transcript:A08p027670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTGILAASISAAASATTATMNTTVSLSLPESNLSRQDSKEKQRKKKGSEEGGDKKFAPRFDGLRFIETLVTAHR >A05p006770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2737124:2740813:-1 gene:A05p006770.1_BraROA transcript:A05p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan protein 8 [Source:Projected from Arabidopsis thaliana (AT2G45470) UniProtKB/Swiss-Prot;Acc:O22126] MDKKPRDPDARDPEKPFSLADFEIGRPLGKGKFGRVYLAREVKSHFVVALKVIFKEQIEKYKLHHQLRREMEIQTSLRHPNILRLFGWFDDDERIFLILEYAHGGELYGLLKENGHLTEQQAATYISSLSQALAYCHGKCVIHRDIKPENLLLDHKGRLKIADFGWSVQSSNKRKTMCGTLDYLAPEMVEHRDHDHAVDNWTLGILCYEFLYGNPPFEAESQKDTFKRIVKIDLSFPPQPDVSAEARNLISQLLVKDPSKRLSLTKIMQHPWIVKNADPKGTFSLLALTLSLLALASTVHSHNITQILADNPEYSSFNSYLSQTKLADEINSRSTITLLVLNNGAMASLAGKHPLSVIKNALSLLVLLDYYDPQKLHKISDGTVLTTTLYQTTGNAPGNLGFVNITDLKGGKVGFGSAASGSKLDSSYTKSVKQIPYNISVLEIDAPIISPGLLTAPAPSAAVSNLTGLLEKAGCKTFAGLLVSSGVLKTYESTIEKGLTVFAPNDEAFKDDDVPDLTKLTQAEVVSLLEYHALAEYKPKGSLKTNKNKISTLATNGAGKYDLTTSTSGDEVVLHTGIAPSRLADTVLDATPVVIFTVDKVLLPPELFGNATSPAPAPAPVTAPTPSPAEGPSPTAASPPAPPTDESPESSPSDSPVGSANSKSANAAVAVSSPSLFAALVTLVAVAVSVSL >A05p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1807882:1809734:1 gene:A05p004670.1_BraROA transcript:A05p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENAATNNNNNNIMEKNQIVKEAIVSELQKKKVHLFYCLECEELARNIAAESDHITLQSINWRSFADGFPNLFINNAHQIRGQHVAFLASFSSPAVIFEQISVIYLLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARIVSNIPISRGGPTSVVIYDIHALQERFYFADQVLPLFETGIPLLTKRLQQIPETEKVIVAFPDDGAWKRFHKLLDQYPTVVCTKVREGDKRIVRLKEGNPSGCHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPNSSWERFTHKNNGVEEAFAYFWITDSCPQTVKSIENKAPFEVLSLAGSIADALQI >A09g517000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49990670:49991500:1 gene:A09g517000.1_BraROA transcript:A09g517000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYENPISSILLIIVILLLRHLRYLKSNLQLLLRRVLSQKKSLDRFPPHRVPLFSIFNLPTPSHLSVFVCRGFRWVRRSQTRVPLPRRGSQVGKILLKNPKRRSFLSLRCLQAWIRKRINPRKALLHPEPGLLLRRILPTVTWIFLLLRTKTKEILMRSRDRLKPGGS >A10p001130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:594081:595022:1 gene:A10p001130.1_BraROA transcript:A10p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEWEMDLDRKQTFFKCTKWQFEDTLDPINCPFHYFCDSNYAGDYPEIIDVLVFFFVTFSYLTTLLAVLKKVLSRTRRVREDDGVVADDDKAKRYLLPSGPLSLPLILLILAKGQRINTLFPISIFGPAILQLVQLSVLIFENNIEKEASFVFFEASTISGVLHASLYLDAVILPYYTGYDALVTSTFSGVCKSCICRKEALTVGGKIVAYRGWSSTSFLLVGVLCLRIICKLCREEAKRKKVFVIKNVVEGLAWIVLVRDCVYLAVISPVEEPVLFRVYVFGSVLVLICVHVISQVCCLVSRRQSKKTTNT >A01g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7357798:7360114:-1 gene:A01g502070.1_BraROA transcript:A01g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKTIERYQRRVKETGVNHKRDDNSQQARGETYGLTKKIEQLEISKRKLLGEGIDACSIEELQQLENQLERGLTRIRAKKYQLLREEIEKLKEEERNLIKENKELKEKLHGMGAIVVASSSSTLSSAEVNMDDNGNMEVETGTFSKVFKAKDLHRNKIVALKRIRFDLNDSESIKCIAREITILRKLDHPNVIKLEGLMLVEHDSSILYMIFEYMEHDLLGLSSLLGVEFS >A04p028250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17028806:17030777:1 gene:A04p028250.1_BraROA transcript:A04p028250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPEDVIFDILARVPRCEYPTLSLVSKQLRSLVTSPEIYVRRSLLRVTEPCFYALFYDSRSRNCRWHIIHRKANGNRCLVLIQSLPAMNNVASFVAVDSRIYVFGGSDDHTKYYALSIDCRFHTVEHLPKMPVPMSNTIADIIDGRIYVIGDHYYDKSKKVMLVFNTETQLWELGTIKPNIEFGYSPPSSCVAMADKMYINDYHKSYVYEPKKSKWEKEEMLSSNKWRNACVVDNILYYYDYFRGTYDSKQRYWGAVKGLDLEELVPKRNPHWIDTVRSYSGKLALIFTYEGRTPYYLWSAEISLERRQGGEILGKVEWCDEVPVADNLLQVLRFLAVMKKIRVMEMTSNVRRKNKQSLITSLPEDVIFDILARVPRCEYPTLSLVSKQLRSLVTSPEIYVRRSLLGVTEPCFYVLLYDSQSGDNRWYIIHPKANG >A05p046270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27529252:27534946:-1 gene:A05p046270.1_BraROA transcript:A05p046270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGNTNPNNPPPFDLGTLFKPSSSPFPTPPASYPPPAGPFLQQPYAPPPPQQEAAPSSSSSLLQQQRSLPFPSPPLNLLHSPSPSPRASANHNPGTHILALLNNNGGVTNQDPPPQQHQAPEIRSFPSGPLRVPSCKLPRGRRLSGEHAVYDVDVRLHGEIQPQLEVTPITKYGSDPQLVVGRQIAVNKIYICYGLKGGNIRVLNINTALRALFRGHSQRVTDMAFFAHDVHLLASVSLDGKVFVWKISEGSEGDDDPQITGKIVLALQILGEEDTKHPRVCWHSHKQEILVVSIGKHVLLVDTTKVGRGEVFSSESPIQCHLDKLVDGVKIVGKHDGEVTDLSMCQWMITRLVSSSVDGTVKIWQDFKAQPVAVLRPHDGHPVNSATFVTSPERSDHVILITGGPLNREMKIWVPTGEEGWLLPAESESWNCTQKLDLKSSTEPRAEMAFFNQVIALSEAGLLLLANAKRNAIYAVHLDYGSSPADTRMDYLSEFTVTMPILSFIGTNDPPEEAVVKVYCVQTQAIQQYTLDLSLCLPPPIEENVGLEKSDSSVSREANLVEAISKPSGMKLTDLPSVDSLPKPSILVNRSKGANTSTYPAGSASVETTAPVLVSSNGEPKASGLLSETSAAGSTYATSPQLPLSPRLSSTLSGHQTPVDVIEPMLPHHELGGQGPSSVYSVDRQPDPVKERNLEESSRSKDNDVTPDDDVSGMRTAQAFFKHPTHLVTPSEFLMRVPSTEASIITEDKRDRDANIQDVNIDSRDTEVEVKEVGESSSTQNGESNYSDETEHRTSVNTEKTFYSQTTNLSTEMARDCYPGTELEESKAYEQSVQAGDNLDSRDVSGKLPESVSSIGLSQSAATNKGKKQKSKSSQGPGLSSTSSNVANLADTYNEQTQSSSQPILALQETMNQMMVSQKEMQRQLSNAVNGPVTKEGKRLEVALGRMIERSSKSNADALWARLQEEIVKNEKAMRDNSQQIVNAVTNFMSKELNALFEKTIKKEFATMIPALARALSPAIEKTVSSSVTESFQRGLGDKAVNQLDKSVNSKLEATITKQIQTQFQTSGRQALQEALRSGLEASLIPSFERSCKTMFEQVDAAFQKGMAEHTNAAQQRFDAGHSQLAHTLKETITSASSVTQALSRELAESQRNRLALAAAGANSSGSNTLVSQRSGGPLGALLEKVEADPITELSRLISERKYEESFTSALQRSDVSIVSWLCSQVDLRGLLAMNPLPLSQGVLLSLLQQLACDISKDSSRKLAWMTDVVAAINPSDPMVAVHGRPIFEQVYQILHHHRNAPGSDVSATRLIMHVINSMLMACK >A04g501580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3887540:3894231:-1 gene:A04g501580.1_BraROA transcript:A04g501580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVQCLDIDRWYLCTSIDINLHLSRHLLVSIDSTGCASIDWSSSRRPLHGQLGSSNHKSSSLQNSLSLSQSKTVKNSSGHFCNLAWTWASSLLDPKCRVSNVSTSIDGHFRNLAWTWASSLLDPKCRMSNVSTSIDGTCVHRSILIFICRGISWCRSIALDTHRSIVLPLADLNMVSSGEMSFKLQNAPKLKTRQGIFVIWLGLGLQVCWIQNVACPMSRHRSMVKNSSGHFRNLAWTWASSLLDPKCRMSNVSTSIDGTCVHRSILIFICRGISWCRSIALDTHRSIVLPLADLNMVSSGEMSFKLQNAPKLKTRQGIFVIWLGLGLQVCWIQNVACRMSRHRSMVKTSSGHFRNLAWTWASSLLDPKCRMSNVSTSIDGTCVHRSILIFICRGISWCRSIALDAHRSIVLPLVDLNMVSSGEMSFKLQNAPKSWLYSEMHLNLKTYLEE >A05g507270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20617527:20623192:1 gene:A05g507270.1_BraROA transcript:A05g507270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLHFAYICLYQVFEYHMEFLETFGYIWSSKEVIRVVFGRALPGATSQSDYMRSLCTTSRSDSSRATARSRSRFHIRRHTYLTLERHLQVAPRYFAAENYNFSGTFLQYEGTHQRQTIFYLGEIHKNSLEKFISWILITNHNASSELATQLLILRHFSLERLKVDSLIDHLPSLVRYLITQGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTVFEYHMEFLETFGCIWSSKEVIRVVFGRALPGATSQSDYMRSLCTTSRSDSSIAFSHPETHIFDRATC >A05p040810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24928677:24929086:-1 gene:A05p040810.1_BraROA transcript:A05p040810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKGLPEFEETAPDGFDPENPYKDPVAMVEMREHIVREKWIQIEKAKILREKVKWCYRVEGVNHYQKCRILVQQYLDSTRGVGWGKDHRPISLHGPKPEAVEAE >A09p005920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3174214:3175443:1 gene:A09p005920.1_BraROA transcript:A09p005920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESCNSRHFSWLMKSCLPNPSDAKSLVPIHHHANPPATVTPISSLPDDLLLECLSRVPSSSIPSLTAVCRRWSRLLLSPYFLHLRRRLGLLRHSLFAISAVDSGLFAAVLQLQSDVPSWRVSLAVCNDGGQGSLSHARAAAIGPRIYVVSRNAVLRYDSWTGTVAVRSPMIFPRKKFAIAVVSGKIYVAGGGGGSEVTAAVEEYDPERNRWEVVTHAARKRYGCIGAAVDGVFYVIGGLKIGNETSVTSRGTAAHVYASSMDLFDVRSRQWLRSRSVPGGGCVVAACAAVGHVYVLSSHAVELSFWRFDARRGGGGGFGEWTRLKSPPLPAQVRLDGTVRFSCVGVEDKVAVVQVVGCIDDLLRRSGRSERGLRESLVLLYDTVDGEWRRAADLPEMITRAACACVEW >A04g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10222485:10223375:-1 gene:A04g504760.1_BraROA transcript:A04g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDIRDVFRARIAGPVIASSNGTTKPVVVRKLVGSPPASPIEDRGTAIPIKDRDRATPKRLRLCDREPCSLSETSSLTPHILLQVTSRKDHSTRGTVGAGVDWTSFAKDSFSRYINVCFTFDCVHGPYV >A02p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14630387:14633580:1 gene:A02p029050.1_BraROA transcript:A02p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVCPQVAAPVSLHHPMARKHHPHWLVSSQPQPKADWNPNLWEWDSQRFEAKPVEAEANNVNVSHEGEVRGLDLNLSGGFNDAPIITGPNKRVKSGSPGSGGGGNYPKCQVDNCIEDLSVAKDYHRRHKVCEAHSKATKALVGKQMQRFCQQCSSLMKEREVAGEGWLDITGGGGKLSLDMDVMALLTALACAQGRNDAKPNGSPAVPQREQLLQILNKIKALPLPMDLVSKLNSIGVLARKNLDQPSAMNPQNDMNGVSSPSTMDLLAVLSTTLGSSGPEAIAYLSQGGFGNKDSNAKTKLTSSDHAITTNLENRTLEFTSFGEGERTSSSHPSPSQDSDLHAQDTRPNLSLQLFTSSPEDESQPTVASSGKYYSSASSNPVEDRSPSSSPVMQEFFPLQTSPETMRSNNNCRNSSPSPRTSCLPLELFGASTANPNFKVSGHRHQSGYASSGSDNSPPSLNSDAQDRTGKIVFKLLGKDPSQLPGTLRTEIYSWLSSIPSEMESYIRPGCVVLSVYVAMSASAWEQLEENLLQRVSSLVQDSEFWSNTRFLVNTGRQLASHKHGRIRLSKSWRTLSSPELITVSPLAVVAGEETTLVVRGRSLTNDGISFRCAHMGNYSSMEVTGTAHRSTKFDELTVNRFKVKGPTLGSLGRCFVEVENGFRSDSFPLIIASATICKELNRLEDEFHPNELTEHSSDRPRSREEVVCFLNELGWLFQKKCASEFSLSRFKFLLVCSVERDYSFLVRTLLDMMVERNLGKDGLMNTESLDMLAEIQLLNRAVKRRNTKMAETLIHYSVNSTAKKFIFLPNITGPGGITPLHLAASTSGSDDMIDVLTNDPQEVKLDCRPGIH >A04p001470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:686651:689587:-1 gene:A04p001470.1_BraROA transcript:A04p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVISARLGDGVSSFAELRFDDQKVKTTTKLDDSSPVWNERFSFNISDTEDLSNLVLEAYVYNKTSNVTTSCLGKIRILGTAFVPYSEAVGVHYPLEKEKWSVFSFGSTVRGELTLKVFVTDNPSVKIPTPNPRKKLASNTSHSLHNIPASEKTKPRLRKTQQPPQPQTLSPQQQMLSPQPLQPHTLSTQQQMLSPQPSKPQTLSPQPQTLFPQQPPVMEAAPFQPVKYGSPVLGGGVRARTTITAHDLVEPMEYLFVKIVKARNLPTMDPTGSLDPYVEVKLGNFTATTTQFEKNKNPVWNEVFAFTKSDQQANFVDVIVMDKAVMKDKFVGSIRFDLNEIPTRVATDSPIAPQWYIVNHERGGEVMLSVWFGTQADEAFSDATYSDALNAVNKSSVYSKVYHSPRLWYLRVNVIEAQDLVIKPDRTRFPPNPYVNIKLGSQMVRTKPGQSLNPKWNEEFTFVAAEPFEDLEISIQDREETLGTAKIRFDEIETRVDDNRIVPNRWFSLALERQTRVRFATTRILLNVCLEGGYHVLDESTYNSSDFRPSMKEVRNRHEQSVGVLELGILGAEGLSLSRDGKKETVDAYCVAKYGTKWVRTRTVMNSLNPRFNEQYTWEVYEPATVITIGVFDNNGTNGGNIKDGKIGKVRVRISTLESGRLYTNSHPLLVLRPSGVKNMGELHLAIRFTCTSMFQMLLHYWKPLLPKMHYVRPLKVVQQEILREHAVNLVAARLSRAEPPLRKEVIEYITDSNSHFWSIRKSRANLYRLRSVFSGLLGTAEWFQDICTWKKPVASTAVHVLYLAFVCLPEMILPIISLWLFMLGVWNYRLRPRQPQHMDTSLSFADNVHPEELTEEFDTFPFSSQDPGVVKMRYERLRSIAGRAQTLVGDIAGQGERVQALFSWRDPRATSIFMVVCLVSSVVLYVVPFKVFVLLAGLYIMRHPRLRRKTPPGLVNFFKRLPAKTDCML >A03p017250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6936171:6937501:1 gene:A03p017250.1_BraROA transcript:A03p017250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:Projected from Arabidopsis thaliana (AT5G50720) UniProtKB/TrEMBL;Acc:Q0IGM2] MTKLWTFLSALHSIAGYASVLAIESPSKADDEQWLAYWIIYSFLTLSELILQSLLEIPIWYTAKLVLVAWLVLPQFRGAAFIYNRVVREQFRKYGILKPKERHQTE >A05p007000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2829310:2832479:1 gene:A05p007000.1_BraROA transcript:A05p007000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGFLHHDDDKTPGGETVGGGNHFFSPATAMSGGPVQFSSPRLSLGLQTNVENNGGEVGRTGESFEVSVTRKSPESRSGSDNVEALSGEDDLDASDRPLKKKKRYHRHTPHQIQELESVFKECPHPDEKQRLDLSRRLNLDTRQVKFWFQNRRTQMKTQIERHENSLLRQENDKLRAENMSVREAMRNPMCGHCGASAVLGENSLEEHQLRIENSRLKDELDRLCALAGKFINRSDDAGSHQLPNSTLKLGVGSRNVDAGGGFTLLHPVFEIPSSHFYSGLNAPVNRTGTDIASGGVDEKSLYLELAVSAMDELVKMAQTSEPLWIQSSKGKREMLNREEYDKSFRPCLGPKPGGFVSEASKEVGMVIINSLALVETLMDSERWAEMFPCMIAKNSTIEIISSGMGGTRNGAIQLMQAELQLLSPLVPIRQVTFLRFCKQHAEGVWAVVDVSVDRISERGGSASARSSLSCRRLPSGCLVHDMPNGYSKVTWIDHTEYDETNIHHSYRPLVSSGLAFGSKRWVSALQRQCESLAILMSSAIPNRSKPTPAISSIGKKSMLRLAQRMTENFCRGVCASSSQKWSKLDIGNIDEDVRIMTRKNVNDSGEPPGILLSAATSVWVPVTPRRLFDFLRDELLRSEWDILSNGGPMQEIANIFKGQDHSNSVSLLRSTVSTCMCLKMNCVVIRILS >A03p047260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22478812:22481947:-1 gene:A03p047260.1_BraROA transcript:A03p047260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long chain acyl-CoA synthetase 8 [Source:Projected from Arabidopsis thaliana (AT2G04350) UniProtKB/Swiss-Prot;Acc:Q9SJD4] MEDPPMASSFLENSKISEYGLSTIVAGGVAALLVPVLLSVVLTGTKKGKKRGVPVKVGGEEGYAMRHARGPDLVDVPWPGATTMAALFEQACKKYSSNRLLGTREFIDKEIVTSSDGRKFEKLHLGEYRWQSYGEVFERVCNFASGLVGVGHNVDTRVAIFSDTRAEWFIAFQGCFRQNLTVVTIYASLGEEALIYSLNETQVSTLICDSKQLKKLSAIQSSLKTVKNIIYIEEDGVEVASSEVNGLGDITVSSISEVEKLGKERPVEPSFPSKNGVAVIMFTSGSTGLPKGVMITHGNLIATAAGVMKVIPKLNKNDVYIAYLPLAHVFELEAEIVVFTWGSAIGYGSAMTLTDTSNKVKKGTKGDVSVLNPTLMTAVPAILDRVRDGVLKKVEEKGGMAKTLFNFAYKRRLAAVNGSWFGAWGLEKMFWDTLVFTKIRAVLGGRIRFMLVGGAPLSPDSQRFINICMGSPIGQGYGLTETCAGATFSEWDDPTAGRVGPPLPCGYIKLVSWEEGGYRVSDKPMPRGEIVVGGNSVTAGYFNNQEKTDEVYKVDENGTRWFYTGDIGRFHPDGCLEVIDRKKDIVKLQHGEYVSLGKVEAALGSSNYVDNIMVHADPMNSYCVALVVPSHGALEKWAEEAGVKSSDFSELCENGEAVKEVQQSLIKAAKTAKLEKFEIPAKIKLLPEQWTPESGLVTAALKLKREQIKAKFKDELHKLYA >A03p054090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23211166:23212658:1 gene:A03p054090.1_BraROA transcript:A03p054090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRERGTVGSKSEVVDRKRLNEVRDNRASHSMSQPVNGKGKAASNSVMIGKQLHDQNNNSRDSRSGSLSKTTISDAVDISETDSEESEVSGSEGEDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSHQVPYYDYALDLILDVDSSHGEMFTEEQNELIESAAEMLYGMIHARYILTSKGLASMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRASTVKIYCPKCEDVYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQSYVPRVFGFKLHKP >A07p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22516425:22517338:-1 gene:A07p041790.1_BraROA transcript:A07p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKVEIQGMVDGDFRRIHGIGEQTDMPQINRCVYVYKTLKIISTQFTISCNGRFQGVSKLWLSIIRSKDYNFVFSAPEHQNVEDDKPSTTVIGRHDITISDLPYHCMKDEMVGAVYYGIWSYKDS >A07p009340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5742019:5743592:-1 gene:A07p009340.1_BraROA transcript:A07p009340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISIHRSELLRMTHNRSRISRQRYRRTIPPWRMMINSRSSDTTKKDELSVKIPQVDQLRSEGLRFDRLQPPEHELFQQDRLDFGKFVAREAILDEEYWTAAWLRAESHWEERANERYVDNFKRKFAEQEFNAIKRRCKGLQGQKCSCIVAVKKEEKHIKRSVIKSVVGTLDLNIRFFLQGETFPGEKVKSQLFCTINREGSNRYGYIANLCVAKSARRQGIACNMLRFAVESARLSGVEQVYVHVHRNNLVAQELYQKTGFEVVEMGQSESSDDTFLLQYTR >A06p053750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28277566:28278987:1 gene:A06p053750.1_BraROA transcript:A06p053750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHAKPQEQKKKKTNTPKTNQKQKSSSFLKMVKIAVGSLGDSFSVASLKAYLSEFIATLIFVFAGVGSAIAFGKITSDAALDPAGLVAIAVAHAFALFVGVSVAANISGGHLNPAVTLGLAVGGNITLITGFLYWVAQCLGSTVACLLLVFVTNGESVPTHGVGAGLGAVEAIVMEIIVTFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAIVSGDLSQIWIYWVGPLVGGALAGLIYGDVFIGSPYEAVETREIRV >A01p059880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34079808:34082750:-1 gene:A01p059880.1_BraROA transcript:A01p059880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPLSGFFLTSLSPSQSSLKKVSLRSSPTVASLPSSSSSSSSSSSRSVPTLIRNEPVLAAPAPIITPYWSEEMGSESYQEATEALKKLLIEKEELKTVAAAKVEQITAELKTGAPSDKKAFDPVENIKQGFITFKKEKYETNPALYGELAKGQSPKYMVFACSDSRVCPSHVLNFQPGDAFVVRNIANMVPPFDKVKYGGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSFPLDGNNSTDFIEDWVKICLPAKSKVKSEVGDSAFEDQCSRCEREAVNVSLANLLTYPFVREGLVKGTLALKGGYYDFIKGAFELWGLEFGLSETSSV >A03p000810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:469577:472728:1 gene:A03p000810.1_BraROA transcript:A03p000810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSGANGKLERKKTMAMNWAGLGEVEDDDDRFFESSNRISTVVPIDLASSSDEEEGEFDDCRISFSSSAARHAPEPVTSPDFDIWMSAPGSITERRRRLRDGMGLESKKSMLGSISIQRISKPTAIEIVGGSVMEEKVAEEEDHNNPPPLDQRSPQMSVLIVRSRSDSDIESTSAEKIRKEEMLGKTSKSRLTRTASAIGAPRARVSPPNAPKRRGAKKLSTVVSNTQFSAFFLIKNLDTGKEFIVKEYGENGAWNRLSDLQTGKQLTMEEFEKSVGFSSIVKDLMRRDNANSAIDLSKLNSYVSKSLRESKKRGAAFLKNIKGVAHSMSSKAPPEKEKDPNVSSLRVVDQHQQQEKNNDETNQWVKVRHSGKSHKELSALHLCQEIEAHQGAIWTMKFSPDSHLLASGGEDCAIHVWEVQECEILSTNESSLTPIQEGDDAAEVPPEKKKKGKAPSIRKGNQIPDYVHAPETVFSLSDKPICSFTGHLDDVLDLSWSRSQLLLSSSKDKTVRLWDIESQSCLKLFAHNDYVTCVQFNPLDEDYFISGSLDAKIRIWNISNRQVVEWTDLNEMVTAVCYTPDGQAAFVGSHKGNCRLYSAEDCKLEQTNHIDLQNKKKAQAKKITAFQFSPINPAEVLVTSADSRIRVLDGTELVQKFRGFKNTCSQMTASYTVDAKHIVCASEDSQVYMWKHEEPRVGLTGRKTIAMCTSFETFPCKDVSVAIPWHGVVKGEPPPAQTQPKKNPKKPSATTTQENAAAGKKSGLPPLPKKNNDNTENVATEEHQEDEPTTQVPQNETENNAGELLKPGDSPSISISSRISSWSWFDGSGSHGTHLAQPTAWGMVIVTATIGGQIRAYQNFGLPRRVSRQGSLF >A02p011090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4815012:4816122:-1 gene:A02p011090.1_BraROA transcript:A02p011090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRTSGRPSTLLPSNRLSSSELKSDLADFPLPVSGTLSSTGNPLLTTVAVLFELSLQTTLTLPACSGFILLRAYGKCIYRYQTEENCYDAVNKFNIYPYQIPSWLVDFMPNRGGHLIGNIQPANMNFRLFSLGNFWSINYAKWTELVADMPFKICYPATECEEWKIITGSDQRTSLGLITMEVPGQGQLCYGMYDQR >A06g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25668168:25669231:-1 gene:A06g509030.1_BraROA transcript:A06g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRAKDMRLPLMNLVRLKGTPILEQLHLEERLLRASTDNWCIVNDGTNVPTIVMGLSGKPSQLLEVGPVIKDRIPVIKRFTGGGTVIVDKSTLFVSLICNKGDVPSVQPYPRSVMAWSGSLYGEVFEGVDGFQLRENDYVFGDRKFGGNAQSIIRNRWIHHTSFLWDYNVRNMAYLKLPSKVPQYRLERDHTEFVCRMKDYIERSDFVEKTVKAVGNQFAMKEVNLEDIDSYAKGEHVKSTRLLTVEELQEAMSGTAQSA >A05p008940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3693323:3693887:-1 gene:A05p008940.1_BraROA transcript:A05p008940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVKLACLVLACMIVAGPITSKAALSCGTVNTNVAACIGYLTQGGPLPRACCTGVSKLNSIARTTPDRQQACRCLKTAASALGSGLNAGRAAGLPKACGVNREISSVRMKLEWTIPML >A02p015530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6868252:6869496:1 gene:A02p015530.1_BraROA transcript:A02p015530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSLLLVLLFTVSLAESKTYWGDVAALKEFKNSVDAKSMTPGSCLSSWDFSFDPCDNLSSETFTCGFRCDTIVSGSSRVTELILDQAGYSGSLSSLSFNFPYLQTLKLSDNYFSGPLPNSLFNLTRLTSLFLSGNSFSGPVPASLGSMPVLEELLLDNNNLNGSVPTSFNRLSRLKRLELQQNNISAELPDLTSLKNLNYLDVSDNRISGPVPSSLPGSLVQISMRNNLFQGTIPESFRNLTSLEVIDLSHNKLSGSVPSFIFTHQTLQQLTLSFNGFTSLDSPRYSPSGLPSELISVDLSNNKIRGPLPLFMGLLPKLSALSLENNSFFGMVPTQYVWKTVSPAGFQRLLLGGNFLFGVVPGPLMALKPGSVNVQLAGNCFSWCPGTFFFCQGREQRSLTECRKFSRVIP >A07p007850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4799308:4800526:1 gene:A07p007850.1_BraROA transcript:A07p007850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGLLHRGCAAHRYHSRYSEPLWASLKMGSKIDVQFLEKNTVLFRIENPQMRARVTQRRYWYIADVPLVVNEWTPESALDPPDLSAMPIWIDLKGVPSLMFSHKALKCLSRTVRKFFKLHPNTEKCTRLDVARVLVEVNLNDPLVEKISFQNKEGAQLGVIRGVSCTSKKIHVLQKGKEFVVGSESSEVEINGDGKVRYELAAKRNVVSELLLELERLPPSLGSDVIGDATRKVFEIGGSSNQINGDAVAAESQQEWALLGGKSPTSSAVGKQKGLEQNGEVNVEDDGIISPSRFSVLATKGFEVNDENDDDEKGEDSAEGEEIEEGEDSSKSVRFKAGTSLILSKQIPARSKEAKAARVNTNARKTSSRKL >A05p007710.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3104779:3105120:1 gene:A05p007710.1_BraROA transcript:A05p007710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein EARLY FLOWERING 4 [Source:Projected from Arabidopsis thaliana (AT2G40080) UniProtKB/Swiss-Prot;Acc:O04211] MKRNGETKRRRNVAEEAEQGGGDPAMWEDLDRNFRQVQSVLDRNRSLIQQVNDNHQSRMADNMSKNVALIQELNGNISKVVSMYSDLNTNFSSAFHGGKNGRDGGGATGTRAN >A05p025690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12531560:12536190:-1 gene:A05p025690.1_BraROA transcript:A05p025690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVLFLDEKVNSVIHGFIPSGRANHYMSSLKAGSIVKVNRFEVARCSSMYKIIDHPFLIRFISLTIIDEIITVITNTNLELLDKQTQSQQKIQETIPTDTKAATTVSPAHSSCLIKIAYMSNATGQCYCLLMRNTYSFKTH >A06p012200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:5579829:5580884:-1 gene:A06p012200.1_BraROA transcript:A06p012200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWKRASVALKDGTSLIAADDLLKAAVVKATNHDEYSIDPENALFIYRQVRATPASLKPLISAISSRVTRTRSWVVALKGLMLMHGFFLSKTTAAESIGRLPFDLSAFGREGNSRTTSSRSGGFNLFVRAYFAFLDRRSILFHDGNRHRYDEESSVMIRLVIIRKMQVIIDSLIRIKPIGESVRIPVINEAMENVISEILEIYGWICRRIAEVLPNVNSKSGKRQADVALKIVAKSMTQGEELVKYFVFCRDLGVANAQEIPNFVRIPVDDVIHLHEIVWTDKEEEQGGEEETDEGENGEERTKGLEEEMERELIPEIDDLIKLDDDEAKEEEENSTAPPVVNVPDLISL >A01g510210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28011898:28012199:1 gene:A01g510210.1_BraROA transcript:A01g510210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQPRFRKDSQSSGGAARTADRTNREDPGGEPPSTPKKDAGVKTDRPAKDKYVVGTKNRPDAPMPEKNLEQSDRTIEVVLSL >A03p004510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1899827:1901948:-1 gene:A03p004510.1_BraROA transcript:A03p004510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQVKKRWRCGLLGLVGRCGLRSKRSTATNGSHKSTMSSSNASTACTPNIQFTKSPGIELNAKKLQEHKVSPEPIQLPDQTQRPISNPSPNQNPNNPHLDKNGNQQESNNLEPVQQQARKVPKEAIGLSGELESMIIDNQKAKGINGSMVRASSGNVMLFGNLGNLKQPGTAAVGNQTNVQNKEERQTSSVAPTSVSDNQEQSGTLCREVSTRMDPETLKTMGNEDYKNGNYVEALALYDAAIAIDPKKAAYRSNKSAALAALGRILEAVFECKEAIRMEPHYHKAQHRLAYLYLRLGEVENSIYHFKHSGPEADQEDVLKAKTVQTLLNKCTEAKRLRDWNTLIKETENTIASGADAAPHVYALQAEAFLKSLRHQEADDAMSRCPVLDVEMSTKYYGPISSAGFLVVWAQVHMSSGRFGEAVEAIQRANKLDGNNREVSMVLRRAQAVMAARSKGNDYFKAGRFQEASAAYGEGLDHDSRNSVLLCNRAACLSKMSKFDRAVEDCTAALTVRPAYTKARLRRADCNAKLGNWESAIRDYEILSKETPEDEEVIRGLSEAQEQLVKCQGHREMDQEVTD >A07p007800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5165751:5166803:1 gene:A07p007800.1_BraROA transcript:A07p007800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKPSHHPKREIRAQQRHRHLEFVSKSYGCFFGTDIYRSDPRTVNFISILPLIQMETGNGRGIIGNISMPGFQIIYPIVKSAYETVPTSLGVTEKRSTETKSISLEICQGVGAVCVYDQPGDEATLVKQTVFDRILPEYHIDLISESSGVALFEPSRFIRHFLRFLQSRGKVDGLFRKSRTSVEFSPSDLQDSTVDLIV >A07p036250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:19502989:19504023:-1 gene:A07p036250.1_BraROA transcript:A07p036250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETITDTTVPNHDETEIESTEFEKNQKRYQDLISTFPHVKGWRLKDPFIRYGGHWWIQPILEGALYAQEFFQARPSDFFICSYLKTGTTWLKSLTFIIANRSRFDDSTNPLLKRNPHELIPFIEIEFPLFPEVDGLKDKGNTLFSTHMPYNSLPDSVVKKGCKMVYIWRDPKDTFISLWLFFQKKRSDGGPLDSLEECFDMFCQGLSGYGPYLDHVLAYWKAHQENPDKILFLKYETLSADPLPHVKRVAEFMGYGFTDEEEKNGVVEKVVNLCSFETLKNLEVNKDDKEREDHPSPFTKSAYFRKGKTGDWVNYLTPDMAARIDRIMEEKFKGTGLLEYGK >A09p057030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48261956:48271776:-1 gene:A09p057030.1_BraROA transcript:A09p057030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIYEVTTTMAQCIDYLTNSSPLPSDYCCTEVKSLNQMAHTTPNRRQVCECLKSLVKANDGFINIELVGTLPTIYDVSVPYPTSLSTNCDELKKMGQDYSYSQPSSSSDSLDITSLLEAEAQMYADETDSSNRNAMPVQYPRQPEADDGIPTTCYCGAQPVLGCSYTPKDPYRRYFTCVHADDGDCHVWKWWEVAVMEEMREFQRQLSDLKNTAVESEQKRLNLEKTVDESEQKRLNLDKMVDELSAMKKARIKLMVCLLVLIGLVLVILRGEDGEPEGRPPGVKAAKAGCKKKKSAREEELTKLQAVLGTKERISRHKLLDRLLAKTEPLSEMETTLKLKLMSVKPCEPSHGCIGRSETPAESHITCGIVTSTLAQCMGYLTNFFPVPSDYCCAEVKGLNQMAQTTPDRRQVCKCLKAVAKENKGFISIELVGTLPTICGVSVPYPFNFSTNCD >A09p073860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56161704:56169874:1 gene:A09p073860.1_BraROA transcript:A09p073860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAVKHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQPANGALFLLSTNDKTIKYWKVQEKKIKKISEMNIDPSKAFGDNRPSPSSPPQLLANGVHDYLSKDFSFPPGGIPSLRLPMVTSQETSLVARCRRVYAHAHDYHINSISNSSDRETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPVHCNMLAYSSSKGSIRLIDMRQSALCDSHTKLFEEPEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDINMDSGPVASYQVHEHLRPKLCDLYENDSIFDKFECCVSGDGLRVATGSYSNLFRVFGASKGSTEAATLEASKNPTRRQIQTPARPSRSMTSMIRRGSESPGAENGNANDFTNKLLHMAWHPTENSIACAAANSLYMYYA >A02p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14379951:14380284:1 gene:A02p028450.1_BraROA transcript:A02p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFASIITLLFAALVFFAAFEAPMMVEGQQPKYCRKQSKTWSGLCTKSGSCKKQCIRVEKAAHGSCNYIFPIHKCICYYLC >A09g510560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32062810:32072838:1 gene:A09g510560.1_BraROA transcript:A09g510560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHTRSNAQGPLHQLTNEELARLERQNRQQPRTTDTNMGDHGNMDDLTAALALIQQQMQNQQQQMQQMQQTIQNQQQAAQEQAAENAAREEREATPEKNAGDKAFEAEQAGDDQQEVSYVNGQGWQLKNYHPNPNVRNNPQLFWPKQDKQVDPAQNNQGQYSGYQKNYQPRTYVLSQPQSNQPQIQNHQNTQVATSTPVAVPQDETKTMLQQLLQGQQLQGKALNQVTTEINTRMNHMFGDLSTKYDNVASHMRQMDIQIAQTAESVKRQQGTLPGKTDKNPKECNAVQLRSGKQLSEPERRRFTAAEKGKQKELEQPPADQADEGNTEPVVETVSPGSEQPSEAVRPIPEAVPPREYIPKVPYPVPAKVTLRAEAEQSMVNIDTDGYAKMLDSAKSMGRMVASLSLGEDISSPPYTDPPLAIFSKQTTKSLILNRFKWFCFSFVWNLEFSLFLQITSPVSTGKRPDNPNQIATNSMARTKQSAKRTRAMCSTPPPPVQQQTSASYPWPREQEGELIDLDSPLLLDFNCEGWDKGTAARYNALLRVDMLPTRFCHAETLADLGIDEDCLCYTTHELYPDLVRQMLATATITYEDSDAPSYANCSLSFMADGEYCSLSLDKLNEIYEMATEPKGVAVAKKFSPSNAFWDCIANGNFTAGKVYQSQIRNPALRVIAKIISNLLFAKDLTSKVTNGELQTLYTGIEDEIRASGSGIPIQKVKTNPGFNFMTMICERRQCLMHGSKKKDRSGSLLTPLFKHFGIDLTKYSVNKEVQYLDIRYLMACHIMRDEETYSFFDKAGTQLFTKLPHPEITRFSVFENIRFLPPPELLCTDPRAAVPDENMDDVEDITPEADPSYDLGELADVTDDHAYRRWMVDSQRKNNSLMRRILHLITGGCIGGSDQRQSTTDRPPRSHRPGKEPMGTGPSSEEVHRSRNRRSLDPAESGESD >A01p014910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7225689:7239361:-1 gene:A01p014910.1_BraROA transcript:A01p014910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNVFKYCTALRALGSIMILVVIGIIGFTYYALVVANYGPSLFLGGFDSLLALLVLALFHFLLIMLLWSYFSVVVTDPGGVPPGWRPELDIEKSDGNQEYSSLTVGDSSSHIVRYCRKCNQYKPPRSHHCSVCGRCILKMDHHCVWVVNCVGAKNYKSFLLFLFYTFLETTVVAISLFPVFLAYEKHTLLTLRITLVVFGKDKLYWFVPLYTQDDMKRMPALRGLDFTSRSEESEPLHNQGKMEGDGGDPFNVDSPFGGSFSGSFGGSGGPNTGPPSQMSNVFGGDAFDGFGAPNNEPPSEMSNVFGGDSFGGSNGDPFGGSFGGSNGDPFDDPFFTQPFGGNMFQPSLFGPPTTDPFAGVRPPPLGFIENHHHQPPQPRLPGGAFIEELNVLDAEEEGVAYQEKSVILGKRGRSSSEVETEEDIAEERRITHMQNLNANARVDNGQWQQQTQERRITHMQNMNANAMVNNGQWQPQTQERRITNMHNMHANAMVTYEQWQPQTQVRHMQNMNTNAMVNSGQWLPQTQGYNFQSSTVTYGGHDGNYYTSSTTRRTGSDGLTLEESKEANTATREAAHRISRGFHNRGHTVERTRNSDGRMNWLVLSSFGVAMLECKCNSPVCRVPLAVALLTESNQCCLLRLIQALLVQEEGLTCSNQGKMEGDGRDPFNVDSPFGGSFSGSFGGSGGPNTGPPSQMSNVFGGDAFDGFGAPNNEPPSEMSNVFGGDSFGGSNGDPFGGSFGGSNGDPFDDPFFTQPFGGNMFQPSLFGPPTTDPFAGVRPPPLGFIENHHHQPPQPRLPGGAFIEELNVLDAEEGVAYQEKSVILGKRGRSSSEVETEEDIAEERRITHMQNMNANAMVDNGQWEQQTQERRITHMQNMNANAMVNNGQWQPQTQERRITNMHNMHANAMVTYEQWQPQTQVRHMQNMNTNAMVNSGQWLPQTQGYNFQSSTVTYGGLDGNYYTSSTTRRTGSDGLTLEESKEANTATREAAHRISRGFHNRGHTVERTRNSDGRVDELAGFEQSWSSNAGMQMQFPSLSGSFGSGFVNREQPMLPPPTDPSSSRARRGPYRHRRRNATDLSRLGF >A05p019260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9054557:9056700:1 gene:A05p019260.1_BraROA transcript:A05p019260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTANALGSLLANAISTSSMRLGRVVHARIVKTLDSPPPPFLANYLINMYSKLDHPDSARLLLRLTPSRNVVSWTSLVSGLVQNGHFSSALLEFLEMRREGVFPNDFTFPCAFKAAASLRWPVTGKQIHALSVKCGRILDVFVGCSAFDMYCKTKLRGDARKMFDEIPQRNLATWNAFLSNSVTDGRPMEAVGAFIEFRKIGGHPNSITFCAFLNACSDGLLLSLGEQLHGLVFRSGFDTDVSVCNGLIDFYGKCKKIHCSETVFAEMGTRNAVSWCSLVAAYVQNHEDEKASLLFLRSRKEIVETSDFMISSTLSACAGMAGLELGRSVHAHAVKACVERTIFVGSALVDMYGKCGCIEDSEQAFDEMPEKNLVTMNSLIGGYAHQGQVDMALALFDQMAPRGSGPAPNYMTFVSVLSACSRAGDVENGMEIFDSMKSSYGIEPGAEHYSCVVDMLGRAGMVERAYEFIKKMPIQPTISVWGALQNACRMHSKPHLGVLAAENLFKLDPKDSGNHVLLSNTFAAAGRWAEANTVREEMKGVGIRKGTGYSWVTVKNQIHTFQAKDISHRMNKEIQTMLSKLRNEMEAAGYKPDLKLSLYDLEEEEKAAEVAHHSEKLALAFGLLALPLGVPIRITKNLRICGDCHSFFKFVSGSVKRDIIVRDNNRFHRFVGGTCSCKDYW >A09p067780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53323803:53324317:1 gene:A09p067780.1_BraROA transcript:A09p067780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQARFLDWYLKIGIGSAIVGGGMEFFMINTDDKVTVLEAEKRAYENSPEAQAVREALNPWRNKDAEATKTPP >A03p052030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20240527:20241116:-1 gene:A03p052030.1_BraROA transcript:A03p052030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVDWYSILAPVYKNATLTSEPKKSITVAVYDPCSEYYLLAYLNSETVQKAIHVKPTNLQPHIGFSSDLLHKILSQGVRIIVYSPSGDLDLVVPVTGTIQVIKNMNLTVEKLWRQWFSGREVGGFTEEYKGNFTFATVRAACHSVLVWLSTSLYVLLLSLLALFETLLFLVPSDF >A03g502070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7095344:7097186:-1 gene:A03g502070.1_BraROA transcript:A03g502070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSFLRYSVPSRVFWYPTRLIRVTPTFARFSSSAASTPSDHSLPDKWESYRKKKVVIRIGYVGTDYRGLQIQRDDPSLKNLVMLAIEGELEVAIYKAGGILESNYGDLHKIGWARSSRTDKGVHSLATTISLKMEIPETAWKDDPHGTALAKCISKHLPDNIRVFSVLPSQRRFDPRRECTLRMYSYLLPVDVIGVKNSFSSDEIDHHLSDFNEILKQFEGDYPFHNYTQRSKYRRKAQHKITQRNGRPPKKPKSIQASESNEEEKVEVVEVEEEEAGGEESNEDVADSQAYIRAKWLHEPDETDKLSAAHFRKVFRCSCGNLESSLGFGFVEISIWGESFMLHQIRKMIGTAVAVKRELLPRDIIRLSLNKFTRIVLPLAPSEVLILRGNSFEVPKSPANFRRRPEIKAMGESEEVEREVEEFYRGVMVPQVSRFLDSEEAPWKEWLEHLERNDGMIDEQLEDVRRGWEEWKAKPRVMTRMTEGDKEFGSVSVPVHQAMH >A01p051820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29041267:29043051:1 gene:A01p051820.1_BraROA transcript:A01p051820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGTQFDARQFDQKLNEVLEGQDEFFTSYDEVHDSFDVMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDFAIVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLKRQSLRADNIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMSKPVRILVKRDELTLEGIKQFYVNVEKEDWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPSNVADLL >A06g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7691140:7693932:1 gene:A06g502170.1_BraROA transcript:A06g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGRGRKKSQQKKSTKRNSAPVEEQHVEELSTENDSDDLSAHEIESDNQGTDNQSASSQVIKSVLVPTVDEEPLMARLMDGEPDYENEEGVSNLWSTWLTVKEKPIFWQELYELDVAAREFPQKKAKRKVHEEASSSNTSLEDVLKGFEERLMTSLSEVNGKVEKMNKRLGKIERCQVVLKKRCKRMKAMEKKLEKIEDCQYYLKKKAKKVEKEMKEMKEKEEDKENNDGFDYQGMDYDWDGQRNDSNGADATTKEPEDADMVENTEVVEEKESEEDAQKDDRGSEEETEPEPEAEAEAEEDKEKEDEEESEEKAQKEPDEVEMNEANEIEEEVETEARVEVETEARVEVETEKTPTPPRGRTKAAAARRQILTTPEKLFGKAEKMVEKEVEESEEEAVKMVEEEVVEPEEEAGKMVEEEVVEPEEAAGKMVEEEVEEPEEEVEEPEKETEKYTEEEKQEWYMVVYEGSTCETKEADKGAAKPSGTGVKHRPKQMALRKHATKQAPKPRGRPRKDTEPKKFTTPEQTKRIRSRSQWVSTPFTEANTDEIEGRKKKPRTKA >A01p002560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1169378:1170624:1 gene:A01p002560.1_BraROA transcript:A01p002560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSSFLFVLSLLCVLPIYLCQQSYGGKLNPGYYAHSCPQAGEIVRSVVAQAVARETRMAASLMRLHFHDCFVQGCDGSLLLDSSGRIVSEKSSNPNSKSARGFEVVDQIKAQLEKQCPGTVSCADILTLAARDSSVLTGGPSWMVPLGRRDSRSASLSGSNNNIPAPNNTFQTILSKFNRQGLDVTDLVALSGSHTIGFSRCTSFRQRLYNQSGNGRPDMTLEQSFAANLRQRCPKSGGDQNLSVLDIVSAAKFDNSYFKNLIENMGLLNSDQVLFSSNDKSRDLVKKYAEDQGVFFEQFAESMIKMGNISPLTGSSGEIRKDCRKINS >A08g504230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6816742:6825530:1 gene:A08g504230.1_BraROA transcript:A08g504230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRSLRIERPRHSVGRYVLSDQDTPSVTTRSLRIERPRHSVGRYVLSDLATRSSLRIEQPSHSVGHYVSSDLATRSVATNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVLLGSKTVTTELPPENPRRSYPLGFKPNKPSSVTTHTRRPVAMQQPNPSEARSLRSDRAIVPLGRYLATELEPNLGRYVATERSSRSVATSSRPSSSQSSVRDVVTELEPSSVADVDRAIVSARSLRRDRAIVPLGRYVATDDRARAKARSLRSDRARAKARSLRRDRAIVPLGRYVGTERSSRSVATDQARAKARLLRSDRALVSLGRYVATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFESYLPKSVASSVHKPRKTRSKRVESEDGPKGPKTRLEAHPTIFSNQKPVNHSMVHAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCYIRVLWEIRVFLVFLFKRKSTRPGPRWIGRYVATERNVWSVVGRSLCSDRLSALVGHYIATERNVWSVVGRSLRSDRLSGLVGHYIETDSFAGQSLRSDRPSGLVDRYVATGSFADQATLFGFFLTFYEHVLGLWVFSFDESTEISARFHRKVLRKDFFTKITFRKNVHADFYRYLDVNSVVTIFDPNTTL >A02g507610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21097711:21104599:1 gene:A02g507610.1_BraROA transcript:A02g507610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPIPTSPAEVPACVTGHLSFREKLVRRQAEKELAQTGSELPSSSAQVVAPCHGIVVAAPLPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVLATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFILLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVQSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEDPEGKDLMVGENGSDAAPGSDEAAGEEGAGEEGDELSSHILLQLRNVLFETCFESSSARCELCGGAEGIERKHRGVVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRNAIILVSDDVYEFDVISIGLGGISFARKKPVLRHLLRRLAMLKRQTVGTEIHTVDFRLNKETKKTLVSQRTRISVNYHTLSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESCRRDSYSPFCSSPRTPYILAPRSVYAFTLLPLSRHSIKWRFSIFPDLHNYLQNFRIYPRKLDIYPSSWAKRKPCCGLRAFG >A08p030350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18618163:18619191:-1 gene:A08p030350.1_BraROA transcript:A08p030350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDYLAMKTEEETAANLINSDLNEFVDAAKKLVKDATMLGGLSFGTSFLQYAASISAIYLLILDRTNWRTKMLTTLLVPYIFLTLPYVIFNFFRGDFGKWIALISITIRLFCPKHFPDWLEIPAALILLLVVAPSLIAGTVRESWVGAVICLIIACYLFHEHIKASDGFRNALTQKHGLSNTIGIVALLVYPVWTIFFNIF >A03p066470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29370411:29371881:-1 gene:A03p066470.1_BraROA transcript:A03p066470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADGPPIQRELTGVASPEFGFRSLSVFSLYARNVPDASSPPLRTPPPIPKDDEPLLRLASRVNPNHPPGSTRKVAFMYITTTPLPFAPLWEMFFNGSSSKDLYNVYIHADPTRDYDPVFSGVFANRVIHSKRSERYTPTLAAAARRLLAHALLDDPQNYMFALFSPSCVPIRSFDFTYKTLVSSRKSFIEILKDEPWQFERWAARGDDAMLPEVKLEDFRIGSQFWALTRRHARMVARDRRMWEKFNKTCVREDTCYPEENYFPTLVNMRDPRGCVPATLTHVDWTVNDGGHPRMYEAEEVVPQLIVRLRKTRPRYGEDGINGSDWSVSKRSDPFLFARKFSPEALEPLLGMAKGVLFNDSACGA >A06p037250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20140452:20143150:1 gene:A06p037250.1_BraROA transcript:A06p037250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADGGVMLKSSAASLFLLCSVIRARLPEMRLKKMCQHEQELAITPTPKEDKDLADLDIQLLLLTVSNASSAAITLIVWKKALVNLTLGLDLGYKIKVSCPFIVYWFRALAQQSGFDDLVAYVAEICLLAQLNDMKIAGNLKSSGSYSGSIKRKGVFNGTVKGDKVYQHGAKSIIVATKMHNAFCKYDMRRNVKASYRIHCRFQTWKIQREFFGMWSQAIRIQAVAWGDRVSGVVFDRIDWHQIEKWMKLLFHFEDFYEDHPFTAQVLSPLTGTTSFPMFTLQPAPCMGEVPKLSL >A09g500510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2106251:2106496:1 gene:A09g500510.1_BraROA transcript:A09g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSISAASILRRRDSCVPKPPSFSSHHRRIHDNLAVKTSEPFNSTSAPTFACADGSPSTADYNSEIDRFDQTRDLAGPSS >A07g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2409215:2412360:1 gene:A07g501160.1_BraROA transcript:A07g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCMIIAGEWKISGDGSWNFSIDKHQMSRIVTLSPSMTLLELQNNVLNEFFPNKQTRQEASLSYWPPNSKELATGISTPPVILTHDGSVCFFYRHFELQRGMNFLSQNPPPLLNRYSGPRPPASGLSPPSTAASKIPGFSLFPDDDLFGASPSKPSNTTTSPHSAPSKIRRFSLIDETVLCSDEMLEEMFKADSDNLPDSWQIDAEEEEVSGPDSPLPPGFEEVQPRGYDHDFWDPLIAKHLGGSDAEQVIAGIDVPKTAPHSIHTETSPAEQPTNQKPDPEDPTSHHHSFPHVYPNPMDTRTPQSVPSLHRRYPYGQTATPSAGEQPPQNPHGQSTFTPVGVHKSTTPHLQQMLLEDLRIDASYMKCHRAKGQATWIETYAGVIYPDAPIGDFPIPATITSVIMLPPQTRRPSGRPRDKRVASTGEIPAPKKKKLVPNKCGRCGGTGHNRTNCVRPI >A02p049080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30479182:30480451:-1 gene:A02p049080.1_BraROA transcript:A02p049080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRHVSEKPMKITEGLSESHDGVFSQPSGDIVDVLPSDPFGMDMNNTFTAITGWLEDLQADYRQCERDEIGDGDHQLFARLSIIWNNAMRFQEFPESHWSGSLFGFEGDGSCAGSFVSPTSVDKVMSRVGESSDGGENANVHPALGFCLYHLGVKDLLSVSMVCKSLHTTVCDDSLLWKHVHISHPLNEKINDESLLRLTERAHGTMQCLRIVDCSKITEDCLRKVLERNPQVVKLGVPGCTRISIDGLVSILRDLKSAGKLKVKHLETGGLYGVTKDHYDELLDLLDIDNNVHKTIIQKPRYYHRGYTFASCDDVVRALDIEMCRKCENWRIVYDCPAEDCKGKEECRACSLCVQRCVQCGRCINGVYEETFCLEFLCCGCSKLLKLPLV >A03p021760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8989376:8995631:-1 gene:A03p021760.1_BraROA transcript:A03p021760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGVLTNNTLQQSVLLLHARDRSDQGSLAMVGPGRPQIVLFGSSIVQYSFINGGWGASLADVYSRTADIILRGYGGWNSRYALKVLDQVFPKDAVVQPSLVIVYFGGNDSKAPHPSGHGPHVPLSEFIENMRKIGEHLLSLSDKTRVIFLSPPPMNETQIQLVFGNAIKGRSNEVCRPYAEALLNLCNEINVKSVDLWNAIQQQDDWLNTCFTAFTKQSALLHPVREEEAKKAMVGPGRPQIVLFGSSIVQYSFSNGGWGASLADVYSRTADVVLRGYGGWNSRFALKVLDQVFPKDAVVQPSLVIVYFGGNDSMPPHPSGKGAHVPLSEFIDNMRKIGEHLLSLSDKTRVIFLSPPPMNERQIELVFGDAIKGRRNEVCRPYAEALLNLCNEINVKCVDLWNVIQQQDDWLNTCFTDGIHFTAKASEVVVKEVLKVVREAEWKPSLYWKSLPIEFPFDYGIPNSLSLAELELFRNEQ >A04p027750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16731609:16737648:-1 gene:A04p027750.1_BraROA transcript:A04p027750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPMEERGDSFHQTLSLSSIRDIADRPINLETRTVPVSQTIFEFCLIASASVNLCSKTDQLFNNRTPVLTVNTRLRIRCLICSQRYGERERERERERERERERERERERERERETRCLECRRVVMIPELGRRTMQQDPSFGDEYEKEIGALLGEQQRRQEEADELERELNLYRSGSAPPTVDGSFTAAGGLFSGGGPFIDFGNKGNGFVGGDDDEIRKDPEYLSYYYANMKLNPRLPPPLMSREDLRIAQRVKGSSAVIGDRRKGDDGSSRSLFSMPPGFEQMKQQQHESSSSEWDANGLIGLDLGGKQKSFADIFQADHPVPSRPASRNAAFDEKVSPTNNQSPSASQGGVGATSPFSYAAVLGSSLSRNGTPDPQAIGRVPSPCLTPIGSGRVSVNDKRNTSNQSPFNGVTSGLTEASDLAAALSGMNLTGSGQAEQDVEKVRNYMFGGGHGDLNQQGHKATDSWRRGSAAGGGLPGPYHPQHLERPNYYPNNYALNPGVSSLMASQLGSNNFSPMYEDVGYSGVDSRLHGRGLGQNLSESHNLGGRISNRMMAGGGAGLLQSHIADPMYHQYADSLDLLTDHQYGNSYMNMLELQRAYLGAQKSQYGVPYKSGSPNSRSSYYGSPTFGSANMSFPGSPLAHHAMQSSLMAPCSPMRRGGEVDMRYPSAAGRNYHPGGVMDSWHMDGGFGSSLLEEFKSNKTRGFELSEIATHVVEFSADQYGSRFIQQKLETATTDEKSMVYEEIMPHALALMTDVFGNYVIQKFFEHGLPAQRRELAEKLFDNVLALSLQMYGCRVIQKAIEVVDLDQKIKMVKELDGHVMRCVRDQNGNHVVQKCIECVPEENIGFIISTFFGQVVTLSTHPYGCRVIQRVLEHCHDPDTQSKVMEEILSTVSMLAQDQYGNYVIQHVLEHGKPDERTVIIKELAGKIVQMSQQKFASNVVEKCLTFGGPEERELLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQRRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGERRMGLQSVNQPQMV >A05p022000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10496333:10498475:-1 gene:A05p022000.1_BraROA transcript:A05p022000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDSGDVDVHASAYLQTDETHERDDGGEADHEIDDVGFTQNEQRKSEHQEEEFVEGKEFAAPAVGMEFESYDDGYNYYNCYANEVGFRVRVKNSWFKRRSKEKYGAVLCCSSQGFKRVNDANRVRKETRTGCPAMVRMRQVDSKRWRVLEVTLDHNHSLGYKSVKRTGTKRKCADSSLKLYKARVMDVGNSVIPNSAPKKHFGIVDNEWLRSLYEDRARWAPVYLIDTFFAGLATCRPGESLSPFFERYVHKQTPLKEFLDKYELALHRKRREETLAELESLTLKTKCSFETQLSRVYTREMFKKFQVEVEEMYSCFSTTQVHVDEPLVIFLVKERVQGESNRREVRDFEVLYNRSVGEVRCICSCFYFYGYLCRHALCVLNFNGVEEIPLRYILPRWRKDYKRVHAADNGFVDGTDRVQRFDQLYKGALAVVEEGAVSLDRYKVAMQVLEQSLDRVHCVEEKQD >A04g502670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6212261:6213728:-1 gene:A04g502670.1_BraROA transcript:A04g502670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMNIGQMDENLILKILSLVPIKTVVSTSVLSKEWQSRWKSVPKLKFNSEDYQSEHQTFSETVYKSLLSYEPEVLDSFHLSFGSDKADAVDVVHWIKTAFSLYLRTLVLEFLIFPYEVDVFIFTSSLCTCDTLVTLKLGSLLLVDIPAPGSMKSLKTLHLIHAFYTNDESIYNLLSGCPRLEELVVERSYEHSVKFFTIKVPSLQRLRIYDDNDEDEFVGYVINSPSLKYLEIGYLGCPQFSLNAPGLVAAYIGRVSNVISESLVSVRRLVLNVSTLMIYTHEPGWYDLLTWMLEHSPKLQVLTLVGKYRINPDYHVLGWEWNKPKSVPECLLSHLETFVWRRYDWKGEKEEEVATYLLKNAKGLKNATFSTGPIEPGQLDKLKQRCRTRKKLDGVLKASNTCHLVFKFE >A03p028890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12164425:12165430:1 gene:A03p028890.1_BraROA transcript:A03p028890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVALNPASIPWSATHTSALEPSSSRLLSSAQSLSSQRSLRLVARSRNRFPRLSHSLSSRRSQVVKAVATPDPVLEVPLTEENVESVLDEIRPYLMSDGGNVALHEIDGNIVRVKLQGACGSCPSSVTTMKMGIERRLMEKIPEIVAVEAVPDEETGLELNDENIEKVLEEIRPYLIGTADGSLELVEIEEPIVKIRITGPAAGVMTVRVAVTQKLREKIPSIAAVQLI >A07p019030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11334875:11339225:-1 gene:A07p019030.1_BraROA transcript:A07p019030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPKAITIHVTGFKKFLGVSENPTEKIVNNLKSYVEKRGLPSGLSLGSCTVLETAGEGAKSQLYQVLESSVVVSGDKKSTIETVVWLHLGVNSGSTKFAIERQAVNEAHFRCPDQLGWQPQRLPIVVEDGSILKAKETSCSAESIFKSLKSKGFDVVQSDDAGRFVCNYVYYHSLRFAEEKGHKSLFVHVPLFSKIDEDTQMQFVVALLEAIAATCYTFRKKEAAVIITWDQHVSRTSSPRRVCVVELFIISLIGLLTLTAYGAAAKMVYTDLDILKKLENFDIPEDDDADDHYDTKLFDLASFTSRGSGKNLVNVDTFGAAGDGVSDDTQAFVSAWKQACGTPKSVLLVPQGRSYLVNATKFNGPCERKLIIQIDGTIVAPDEPSNWDPKFQRIWLEFSKLKGVVFQGNGVIDGSGSKWWAASCKKNKSNPCKSAPTAFTIESSSGVKVRGLTIQNSQQMNFIIARSTSVRVYKVMVSSPGDSPNTDGIHITGSTNVVLQDCKIGTGDDCVSIVNASSNIKMKRIYCGPGHGISIGSLGNHNSTGIVTKVVLDTAFLKETTNGLRIKTYQGGSGYVKGVRFSNVVMQDVSNPILIDQFYCDNPTSCQNQTSAVKISQIMYRNITGTTKSEKAIKFACSDAVPCSHIVLNNVNLEGKDGQVEAYCNSAEGFGYGVIHPSADCLYSHDDKGLDQTDKSGHVLVTEEAETGHDEL >A02p019340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8936295:8941786:1 gene:A02p019340.1_BraROA transcript:A02p019340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEPPLLRRRKPTNRYWRSHRSSEPKAGNDGVEKSSTPRNQTYNTELEKLRLKFISSFLCVGLRREDRAKGERELKRAKPRNKLQQKPTKPVGLKFVTQLDTHGSEVRPHRRSVFSSRGHVSTSSTSRVTCREIITSPPFLVLTGDRTLGSTGHTGTPQIRTSSPSRAYETLMPERINRRGEISSTPVHCSRPKPRMQPHSFRRRSSSPSTRPEKTQNRYYKLSITGLMETPRSKPSPRVSKLNAAKSDGTSSSPVPNRTSPPQTVNSKPSRTTSRVPTPDKVPSRSVKGSELTKLQDDLKKAEEQIALLKNSKAKAIDDLKESNEKLKEALAAQEKAEESFQAEKLRAVELERAGVEASRNELESIRNQHALDISSLLSTTEELERIKHELTITADAKNKALSRAEEAAKISEIQAEKVEILSSELGRLKAFLSSKEEKEAIEGNQIISELKSEIETLRKELDKKLAECNQVLDEARSDNAAQKDKIEDLERTTEAQRKDLEESGRQVCIAKEEASKMEKLLEISQEEKTKALENEKASTNEAREAQEELLSCQVELECREAQVESLKLDSKETNEKYEKMLEEVRKENASLKSGLDSEFEKSKAEWEQKELHLMGCVKKSEEENSSLREEVSKLMNLLKEREEDANLKEAEGEIKYLQETLGEAKAESMKLKESLLDKEEELENVVAENSSLREWESSVLKKMEELSKVKEALVDKETNLLSITQEAEDLKGREAAHLKQIEELSVANKSLVDNLTKLKSIFQESEELREREAASLKKIEELSVANESLVDKLTDLQSITQEREAAYLKKIEELSAANESLVDKETKLQCIEQEAEELRGRESVHLKKIEELSKANMSLVDMQNIIQEKEELKEREAGYLKKIEELSTANESLAEKVSDESKELRETVKTEELSQLSKSLVEKETKLQTVVQENERLRERESAHLKETEELSKLHEALADKETKLHGSIQEKEELKERETAYLKKIEELSKMQEDLLNKENGLLHEIEDLRSNDSLAQKRIEELSNFNKSLLAKENELQAVICENVELKSKEVSSRKTIDELSDLNHSLLLKETEVKAAIVENEKLKAGAASSLERIQELTRLKQSLLDKQNEFQGVLHENEELKAKEASSLKKIDELLHLEQSWLAKESEFQRITRENEELRTRDALAARKIEELSKLKESLLDKKTELETAMQDNDELKGREAATLEKMEELSKLVEEASATKERSVLGNGIGNDYDLVQFSEVNGSGSADEKSETDLLHDISREHKVQESLMEATAHSVEEGKIEKDGVESEFKMWESYKIERSEVSAERETEIDSVEEEVEWKTQGSENLDQFSNGLSSTEDSGSLLLKEQHMKKKKPLLQKFGSLLKKKSTSCSSNSQK >SC179g500060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:150983:157943:1 gene:SC179g500060.1_BraROA transcript:SC179g500060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKAAIDALIKMFKDNGNINGYSYGASMIARTVGDLDCITRTDTTPTSDHGGERSEPETTQESSGASGTHDQDVEKMGLACGSARREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYEKKISLRRVYEVKKVISGGKPGREEFNNDVRRLQHLWVELQGLRSHVDGDATQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESLWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWREASHELTNSVKCLKDLLVSGNVDMYPLKRSEWRSHGCV >A01p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10312468:10312797:-1 gene:A01p021140.1_BraROA transcript:A01p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGCEICCEIMIAILLPPLGVCLRHGCCTTEFMICLILTLLGYIPGIIYALYAIVYVDRDQFFDEYRRPLFYAHSP >A04p012790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3925167:3928905:-1 gene:A04p012790.1_BraROA transcript:A04p012790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIPSDAARDGSICDEAIVLSVTCALAKDALSYFQSGKFHECIDILIQLNHKKRNDPKVLHNMAIAEYFKNACSNSKKLLEDLNTVKKQSEELAKEPLEAVNPGSNVSVSKDLFDSTVTTLNIAVTWFHLHQYAKCLSILDPLFLNIEPLDETIALQICFLMLDSALACHDAVKFLEVFGHLDKSFGVGFGTHEEIGSTMQLSSNQVSKTSSLLSSSAASDALKSDLAAAESGLCEEGLEYDNVLAEIEAEKRMKPVGHIPANNLLKTIGERSILTVDVKLELQLYKVRFLLLTRNVKLAKREVKHAMNIAQKRDSSMALLLKSQLEYAHGNHRKAIKLLLVSGIQKELRTSGIFNNNLGCIYYQLGKYQAASVLFSNALRNCSSLRKDNPVKLFSLSQDKSLLITYNCGVLYLACGKPLLAAQCFQKASLVFCRQPLLWLRIAECCILALQKGLLEGGNTSSDRSEIRVHVIGKGKWRQLMMEENGFVELGGSAQWPKLSLPLARVCLSNSMYLLNVSLMNDSKSDLESPLSVMINETKEASWSDHGDANTNSELKEAKGGMNQDIIQNSLSAYKDIRRRENHLLKQALLANMAYVELELENPIKALSAANSLLQLPDSSKIYVFLGHIYAAEALCLLNRPGEAGAHLSAYLLGQEDFKLPFAQEDFDPWRMNMSSDCEETSDCSTGNARDSLKPEEARGALFANLAALFATQGHYDQAKPFIQHALNVLPNNVQATITAVYIDLMVGRSQDAVARLKQCTRVSFVPGRLEVRAS >A09p079020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58272788:58279733:-1 gene:A09p079020.1_BraROA transcript:A09p079020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGLSVESSSPSLLRAPSRTVTLGRIQPQAPTYRTVFCNDRDANLPVRFKGNSISTTKYNVFTFLPKGLFEQFRRIANIYFLGISCLSMTPISPVNPITNVAPLSMVLLVSLIKEAFEDWKRFQNDMSINNSTVEVLQDQQWVPIPWRKVQVGDIIKINKDGFFPADILFLSSTNPDGEIQCEQPNNSLYTFTGNLIVEKQTLPLSPDQLLLRGCSLRNTEYIVGAVIFTGHETKVMMNAMNIPSKRSTLEKKLDKLIITIFCVLFMMCLIGATGCAIVTDRDHEYLGLHKLDWEYRNRMTIAFFTFFTLITLFSTIIPISLYVSIEMIKFIQSTQFINRDLHMYHAETDTPASARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGISYGCGITEIERGIAQRDGLKIQEEQRSANAIREKGFNFDDPRLMRGGWRNEPNPDLCKEFFRCLAICHTVLPEGDESPEKIFYQAASPDEAALVTAAKNFGFFFYRRTPTTVYVRESHTEEMGKIQDMSYDILNVLEFNSTRKRQSVVCRFPDGRLVLYCKGADTVIFERLADAMDDVRKVTREHLEHFGSSGLRTLCLAYKDLNPEAYDSWNEKFVQAKSALRDREKKLDEVAELIEKDLILIGSTAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNDMKQFIISSETDAIREAEERGDQVEIARVIKEEVQKELKKSLEEAQQYLNTVTGPKLALVIDGKCLMYALDPTLRITLLSLSLNCTSVVCCRVSPLQKAQVTSLVRKGAKKITLSIGDGANDVSMIQAAHVGVGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVMYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVFFTALPVIVLGLFEKDVSASLSKRYPELYREGIRNSFFKWRVVAVWASSAVYQSLVCYLFVTTSAFDGKNSSGKMFGLWDVSTMVFTCLVIAVNLRILLMSNSITRWHHITVLGSILAWLVFAFVYCGIMTPRDRNENVYFVIYVLMSTFYFYFTLLLVPVVALLADFIYQGVERWFFTYDYQIVQEIHRHEPDSKNADQLEIKNELSPEEARSHAISQLPRELSKHTGFAFDSPGYESFFASQLGIYAPQKAWDVARRASMRSRPKAPKKK >A09g505620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17692352:17693214:-1 gene:A09g505620.1_BraROA transcript:A09g505620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMIKGDLYNGRLGAYIMLRDKGPNGIRELPWDLLDGLEGSDAVHKLKEKELLEFECKSFQIRQVGVNEDPFDPMLAGARYGLVELLLAVYDVSLLVEMLSWSPMSGLKLIEPASFQLRQRFLLNPMMGFGSGRSFLGLESPSKVGYSQMDGVENL >A10p026090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16630724:16637284:1 gene:A10p026090.1_BraROA transcript:A10p026090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWVNSYLEAILDVGQPLDDARPSLLLRERGRFTPSRYFVEEVITGYDETDLHRSWVKAVATRSPQERNTRLENMCWRIWNLARQKKQHEEKEAQRLAKRRLERERGRREATADMSEEFSEGEKGDLVSDVSTHGTKSRLPRINSAESMEIWANQQKGNKLYLVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPDVDWSYGEPTEMLTPRDSEDYSDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIAEFVDGAMNHIMQMSSVLGEQVGGGKPIWPSAIHGHYADAGDAAALLSGALNVPMILTGHSLGRDKLEQLLKQGRLSKEEINSTYKIMRRIEGEELSLDVSEMVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMVKIPPGMEFNHIVPHDGDMEDADGNEEHPTSRDPPIWAEIMRFFSNSRKPMILALARPDPKKNITTLVKAFGECRPLRDLANLALIMGNRDGIDEMSSTSSSVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKSKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSISEALLKLVADKQLWAKCRQNGLKNIHQFSWPEHCKTYLSRITSFKPRHPQWQSDDGGDNSEPESPSDSLRDIQDISLNLRFSFDGGSGNDGSMNQEVSSMDRKSKIEAAVLNWSKGKDSRKMGSLEKSEVNSGKFPAVRRRKFVVVIALDFDGERDTLEATRRILEAVEKERAEGTVGFILSTSLTISEIQSFLVSGGLNPNDFDAFVCNSGSDLYYTSVNSEDGPFVVDFYYHSHVEYRWGGEGLRKTLIRWASSVNEKKSENDEQIVTLAEHLSTDYCYTFAVKKPAAVPPVRELRKLLRIQALRCHVVYSQNGTRINVIPVLASRIQALRYLFVRWGIDMAKMVVFVGESGDTDYEGLLGGLHKSVVLEGVSCSASNALHANRSYPLTDVISLESNNVVHARVDSDVRDALKKLELLED >A03p034360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14512367:14514333:1 gene:A03p034360.1_BraROA transcript:A03p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARLFKLVCVICIASLIPTLRANVAETDDYWVKMANEARKRTLMAYHPDPYQIVDHFHERHYDNSTDVEETEEHASEEEDDIEMSSSLTNSTRRSLRGRGKGKGRGKWSKLKGPCTASNPIDKCWRCQPDWARRRKKLVKCVRGFGYRTTGGKRGRIYVVTSNRDDDTVNPRPGTLRHAVIQNEPLWIIFKHDMSIRLSQELMINSHKTIDARGTNVHIAYGAGITMQYVRNIIIHGLHVHHIVPGSGGMIRNSNDHFGFRGQADGDGISIFGATNIWLDHISMSKCQDGLIDAIMGSTGITISNSHFTHHNDVMLLGAQNDNHADKKMQVTVAFNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQSPTILSHGNRFIAPPHIQHYREVTKRDYAPESEWKNWNWRSDKDIFMNNAYFRQSGNPKFMCSHSRQQMIKPKHGSAVSKLTKYAGALDCRVGKPC >A08p027950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:17577039:17577242:-1 gene:A08p027950.1_BraROA transcript:A08p027950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITGLLVEYTAALAKLTAGIIPRRQGDSNVVRVGGFVLSCPSPWSTNRSSPIPDFSSHLVDF >A10p007850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11051104:11052693:-1 gene:A10p007850.1_BraROA transcript:A10p007850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQMVVTFVLGILIIPAIVINGEFSDTHDAKIDLLLKKLNKPAVKSIKSPYGGIIDCVHMKNHPIYDHPLFKNHTIQMKPSGDHDKWNNDTLNIDDESIVTQLWTINGKCPQNTIPIRRTTREDILRAESIESYGKKYPNNIPRRKPANSTNEIHEYATLRVNGIFRGAEAVINVWKPYVQMPREFSLAQMWLVAGPPSNLNTIEFGWQVYPGRYGDDNARFFVYWTADGYRSGCYNLDCPGFVPVNQAYVLGEPIGHVSTLGGSPDRELVVET >A07p021540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12618854:12619838:1 gene:A07p021540.1_BraROA transcript:A07p021540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRSAVAHRRWCAATISDAGKTYVVDDGCAESAFGLGAVEGDDCGGEEFDSRWEEIKQPALVSGLRN >A05p009220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3797561:3798557:1 gene:A05p009220.1_BraROA transcript:A05p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMNKVGSYWLGQKANKEFNSVGDDFNSLSSSIEGGTKWLVNKLKGKMQKPLPELLKEFGLPVGIFPQDATNYEFNEETGKLTVFIPETCEVGYRDSSVLRFSTTVTGYLEKGKLAEVEGLKTKLMIWVKVTCISADPSKVYFTAGMKKSRSRDAYEVIRSGVSVDKF >A10p001800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:921289:923212:-1 gene:A10p001800.1_BraROA transcript:A10p001800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNNNNLSDQPPSDDFFEQILGISNFSASSSSGGLSGLPGGLSGGVGPPPPMMLQLGSGEEGSHHNHMGVGPIGGGGGPLGFHNGMFPLGLSLDQGGKGQGFLKPDGSGKRFQDDVVDNRCSSMKPVFHGQPMTQPAPPMPHQQSSIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTEMPLSSSTEDETQAVWEKWSDDGTERQVAKLMEENVGAAMQLLQSKALCIMPVSLAMAIYHSQPPDTSSSVVKPEMNPPQ >A03g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20314707:20315328:1 gene:A03g505750.1_BraROA transcript:A03g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLFSVAVRRVCLGPESRPPSSSSYQAWLVVVVQFVVQPAAPDSSSATPIIISVVAELPIEKLDSSSNGSLVQVAYSVVLSAISIVGNSRGNRRNRRNRRNRSEEERQCAGP >A09p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3065923:3069365:-1 gene:A09p005600.1_BraROA transcript:A09p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQHPQICYDGVSPCESKGYSADNHDPDAVEESEDTVTSGSQEVSPEGGPTLPILQKIVDLSSKIKVLKEEHALVSNQVKEIKNCSFVEPEMSKALQLLNTELGTLKKQYLEESSERKRLYNENIELKGNIRVFCRCRPLNQAEVGNGCASVVEFDASHDNELQILSTDSSKKHFKFDHVFKPEDSQETVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFCSSESRSRLMKFELSVSMLEVYNEKIRDLLVDNSNHPPKKLEVKQSAEGTQEVPGLVEAQVFNTDEVWDLLKRGYSVRSVGSTAANEQSSRSHCLLRVTVKGENLINGQKTRSHLWLVDLAGSERVGKVETEGERLKESQFINKSLSALGDVIAALASKTSHIPYRNSKLTHMLQNSLGGDCKTLMFVQISPSSADQGETLCSLNFASRVRGIESGPARKQTDVSELLKLKQMTEKLKQEEKETKKLQDNVQSLQLRLTAREHICKGLQDKVRDLEFQLAEERKTRIKQETRALATASSTTTTSRQPREALPTITEKKPPLAPTRSMRMPLRRITNFMPQQPSQGPPPAKRFSDASHATSKENNNGNNNSLRRSSSVDINALMKPRRSSVAFRPAPPPLAIATGNNKTLQPRRRVSIATLRPEPSSSYMMNMSTPSRPPSISSFRGGNPRKARYSNLFTPDRNLVTPNAMKSSSRFNKSPVVGGGGGSVWKPTHPTVVALQKKAVVWSPLKFRNRRPSFIPRRASSAATDLVRREQ >A07p014760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9198567:9200808:-1 gene:A07p014760.1_BraROA transcript:A07p014760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGYETERFSSHRSIEPIPLDLKKMTRLQVKPHQKKLLRKVESRVCLKRKERDGNEDDESDKRPSKLLHSIPLDLEVEIMTRLPVKSLMRSRCVSKTWSSIIRSQGFVRAYYAMSSSATRSRFTVAFSNSVFVKGDAQRLFIFSSSSLAANLHMTIPSLSLSHVSDCPSVHGFVGCCYGFQFTICNPSTGQVVSLPCKGNRTSLGYDPVDGQFKALSLVPTPVRGYHICVVHEVIKLGGGGGGESRNMVTSPPYSPLTNRLCINGFIYFGAWAPRPRMNPVIVCFDVRHEKISFIKMPKDVLSYSVLIEYKGKLASVVRHQPLSFRSFDLWILEDVKKGVWSKQTFELPCDLVNMTSPGTNKAGEIIFAPTKLSHGAQPFYIFYYNTQTKDLRRVRIRGIADDEGFRRRYGLVSDCNVSVSPEHVENIIKNHQLRKKYTIQLGENELVLKELDLLQDDANVYKLIGPVLVKQDLAEANANVRKRIEYISAELKRIDATLQDNEGQQNSKREAVSHPSHRLYAYLNAFQHGY >A03g501180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3533450:3533788:1 gene:A03g501180.1_BraROA transcript:A03g501180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDQRAETSESKHMKKGSCKSLKLTNMMEKWRHCKKGHFSVYTREGRGLVMPLDYLKHPIFKVLLEMAEEEFGSTINFLHFVIQYKTK >A02g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5980543:5980849:1 gene:A02g501890.1_BraROA transcript:A02g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPFMLSSSSPNRRTRLRGEGGRRRRTEEASRRKGQGGEGAIRIGGEEERIGIDVEKKDCGGEFSMEKKEEDKSWPVRKEKEIINECL >A05p011990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5216578:5219057:1 gene:A05p011990.1_BraROA transcript:A05p011990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSSVLPCFNQGHRSRRRRQHSPPSTQNQTHSDRLNTLCEPLDETLGHSYCYVPSSNRFLSPFPSDRFTSPTGSFRLSPGRIRGSASSDQLHTGFRSISGASVSANTSNSKTVLQLDDIYDDATVSTFGGSLRSSVVNANGFEGTSSFSALPLQPVPLGPDRSGPVERNGLFMSGPIERGATSGPLDPAGEISRSNSAGVHFSAPLGGVYSKKRRKRRKKKSLSWHPIFGERKQRPWVLPVSNFVVGAKKENTVSPDGGEAAAEAASAGENELQWALGKAGEDRVQLAVFEKQGWLFAGIYDGFNGPDAPEFLMANLYRAVHSELQGLFWELEEEEEDEKGDNRLDSSTTELASSSKSPSEVVEVKERKRLWELLAEAQAEDGLDLSGSDRFAFSVDDVITGGNAVSVGSKRWLFLSKLKRGLSKQGVSSEAEVVDSIRVEETVEKRKKRRKVGTVDHELVLKAMSNGLEATEQAFLEMTDKVLDTNPELALMGSCLLVALMRDDDVYIMNIGDSRALVAQHEVKETGGGVETERCSDLDRDSDIKEPSVVDDNETCSQGTKLVALQLTTDHSTSIEDEVTRIKNEHPDDMHCIVNDRVKGRLKVTRAFGAGFLKQPKLNDALLEMFRNEYIGTDPYISCTPSLRHYRLTENDQFMVLSSDGLYQYLSNEEVVSLAMEKFPDGDPAQHVIQELLVRAAKKAGMGFHELLDIPQGDRRKYHDDCTVLVIALGGSRIWKSSGKYL >A06p056550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29438020:29438853:1 gene:A06p056550.1_BraROA transcript:A06p056550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCPFVFLLTVVLFVGLNEAVCHKNTLAFENSLTKSHSTLEVHCKSRDNDLGVHLVKFNDPAYTFRFGDNVFIRTKWDCDLRQGPNMEYFQSFRAYNGGFIRKCNETDTWISKEDGIYLWTNDLLDNVQPCPGFKKRKPPYNSELS >A07p020360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12018750:12021315:-1 gene:A07p020360.1_BraROA transcript:A07p020360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLTERYGIKPQGKSAPMAASKRPPTTTPQSLNNLGVANPKSTSYSSNKVSVNDDFDVFGGGLNKPTNTKSSSLNDDGLMFSTNFGMKTSSSSSARGFDDVDLFGAVPVSKVSVGVGNDDIFGAFSSSKKQDAAGVDDLLGDMGGFGLESKTWNQSSSGKNGFDELIPGFGGSSQTTSSKTSASSIFADADPFVVLESTTSAARSSSGLFVDPLDEFAASVSSQGKKPSNTKLKPPPKPTQKVDRVKSSGLSSIDELEDFAMDSSTMRRSASASDTASKFREAEDAGAKAKQFGADDLDSFFSSGHRSSSVPKSRTTTEATRKQAVNVPKKTPNGVSSAKKPPPPANLVDDFSALFGGDPIFREFEEIPGESDERRKARWDREQRTKSRVAQAVADMNNRDHQSRIEQEQRTRISEGVDAEIRRWATGKEGNMRALLSSLQIVLWPGCGWEAVSLTDLITSSAVKKVYRKATLYVHPDKVQQKGATLEQKYIAEKVFDILKEAWNKFNKEELS >A03g508780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29489471:29491431:1 gene:A03g508780.1_BraROA transcript:A03g508780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFPQRILEEEFETQIDKINNTCRRTNLEEVKGVLNIEYEEVLKDPVFELFPFISATGNNDVVDSTEFYREDEKTDERIGRIVSLLNAKQDWTDFVWEVEALPPTLELSDSENDGENVEVEDVTDTHVDEPAVVARRGKRKLNDPGAEDRKKELLFQRAAEHNSGISSGMKTFIEGLFTSAFNSFKDVVQNDIQERFEKVQKEMAELKQAVSQIPGPSATMGKDRASEIPCPSATMGKSSQSPCLAATKEKGKGKVDESVVPPTVRRSPRQGRKEIETETDDMMDFSKNLSQSSTHGELHQLKKK >A02g511900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32118966:32123267:1 gene:A02g511900.1_BraROA transcript:A02g511900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPNRTDTIMAQAITAAVDGVLAKSQHELRWLLRDFCDTAARVFIQQQQQLTTQLFEEDGEDDVSKSLGANRNHDQIQVHALEDPHDQVGDFDGTPIYDVYDDELSTTLVYDFCGEPHHKSDVTTKEGDTSSQITEKPTFTSNLGRYNVPIKDFAEEPLFDVYDDEIQGFNHDFEVQVLVDDAYLGVSKQHINSGSGDKDFHRQSHHEPPDRGRDKGHAYVDLVAIHKGLTNGSQFTKVLNERRRHLKFMHDQEDCFSELSLIGKHALKNKITYLQESLVLEKEKNKNLEHELHETHKKIRMLNKGSASLDKILSMGRTEKSTMGLGYQGRSSGTQTVFVRGKSIEQNRTKIDLDDAFCCDAMSGLLERLVSKKSRGTENGLHGGINTSQPTNTKDIHIATTEHLGVMSMVAHLRLCERPWKYCSGTFYDSLGNIYVAFVRTHNPLSPREETMDPVVRNKENINLSSPVCLYMLVLFQTVAKLSGEVSFLDVMIVIYPMSFTWLVYFAKGSLQKFILGVDVSYCCHRHVCALMRLSDLFVGKRKENIQLEWMFLMGSVVFYVGNLVTVGDDEHVKVQLLTCLVSFTKFLCVRFGSRWKHVGLNGRPEAFDVIQYVWVFGAYKTVTFIELPCSPALWSLCIHVNFVSMYDILRSSAVWPLSHIGVKVSSKVFPSLGLQTELRWGVSKRKVKFVHSPQAGLGCIFMLIICWSDIACESSNSAFQHAFKAATCLLTFSVLLSSSTKFSMVVRAITRSVGRELICGVIRNVFKSTQRQLPKKNAREILFANVRGCIYLFELRSTVSILTCPPSQVSPVSCFMVYDVFQIAESTFTSPLWVHNQILSLPPTFHIVPWTSFSTTIFHLGFYCVIYKARCGALSYSDVMVLWHKDDNFSLIFSASSSDERCHPRVSHAITRPFSLVQTSEASQLPALLRSNRSTYLPFFYCRGNESAGGPCVVLTFD >A02p049800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30849041:30851575:-1 gene:A02p049800.1_BraROA transcript:A02p049800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKSDGGISSSIAEFDRKTSLEPLEFTDDPRTLHGSTIYAADKVSSSLSGARRIVGFASSQTSSLDNEQTSVDIGGALVRKRVLSPLNTLFPVKVRGDLLDISSSNHHQLINYSGLANGFRDSLAQDYTKFNLAGRLHLSTTASSSSRLSSMVFTDGPLFDSVDLHRPTEGEVCLYSPVYDIKPLPCDKDISVSPPLSLSPLGPKFSERMKALRSGPNGKIVEELRNTSEEAELSVDRRLFDDAYALRRAFSMERSVESAPSSPCRRFIRSLSGRPIQRSLVGSFEESLLTGRLSCGTTNQKIDGFLAVLSIAGGNISPKSQKLPFSVTSAGDDCLLLYYASIDLAGGSKSNKFWSQKLKTSQIRFDSQSSKSQLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLTDMPAGTKTFLRQKVTLGSSNPTKEATQEKTREATSCEKEKSSKHGNKKECEGSDLFNSVEGGDSLHESGKSCIKSSKECNSGSGALRYALHLRFICPLPKKASKKRDETKTTEQKKDFDSDGKRRFYLYNDLRVVFPQRHTDSDEGKLNVEYHYPDNPRYFDITD >A09p051320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45314808:45316156:-1 gene:A09p051320.1_BraROA transcript:A09p051320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFLSGYSRKEVDHRRRSYMKEVNKSEGFDVSGLPVPDFAPGLIEFICNDTCSPLILLYAKLGLHRYNLVEGKNLQLSSVKKYNQSMGSATSCYYITLVAIDPATQLPQTFQTRVNELRYGELVLDCCIARPLGETHICGIKKSSDHKPVEDLFHTFPEWPPKNPFKKSNRCYVLKKSELRENDDWIRLYLELAVATTNRNTLENHLVSNLKIVKVAIDTTSTQEGLDLFAIVYIRYKDSCEARVGKDVDRVAVVRRFFNEGMGSFSLVGQNLGIIQKKSNKQLLRFKPWLLYTPRWRLKAYRHSGLTLSRRRVPKTRSIEFFLSQFTFRDDFTKKGKESECETVL >A02g506990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19974334:19974890:1 gene:A02g506990.1_BraROA transcript:A02g506990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRLNGISNFDQHKEMLQKLGLDGMFCETSDEENTEKTANMSSQINLLWGSLLYERSIVEYKLGLPTWDECMEVAVEKFELAGASATDIAVMVKNHCSNENALEGMGFKIDEIVQAWNEMYDAKRWQIGVPSFRLEPLFRRRSPKLHDILEN >A01g503430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10801412:10802578:1 gene:A01g503430.1_BraROA transcript:A01g503430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMSDLPRELAEEVLCRIPVTSLRPVRSTCKKWNKLSRCGVFAKKHLAHHAKVAERAMEDPLVVIMDYRPEAKLIGPDGSDQIDVREIFHCHGLLLCIPKDHSRLVVLEPLLGANQNLEFSSDSWRILDLLPRDWMIGYGERGLTFKGNTYWFASEIQFKNSFLVCFDFTRKTFWSHLPLPFEDTYPEDTVSLSSVREDQLVVLFQPWDILTLEIWISNKIDEHNAMSWNNKVFLSADIRQLIHPQWQFPVSASFFIDEEMKIAVFFDKDADIQNPTREVAYIIGVDGSFKAADVRECADKVLTAFVCSYVPSLVQLN >A09g516760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49296807:49297285:-1 gene:A09g516760.1_BraROA transcript:A09g516760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLSFTATKKAIASSPVKSTASAYATGGSPFSLPTGGTPLSGSLSISTNKGDLLGSLGLCLQPPRLSLTPTLLAHK >A06p053260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28113405:28122405:1 gene:A06p053260.1_BraROA transcript:A06p053260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTHATRCGREGRTRPVREVKKVKHENCIQSNATKKRRRKLHQQLPCFCKPSSRNTTNTSIDVSDKKLRKFNKDKTRLHPIPIPHPSSSCSSATTLRTRAQRGRMQEIDLGIHTIRSHGGGLASKHKHDWIILVILVAIEIGLQLISPFYRYVGKDMMTDLKYPFNDNTIPVWSVPIYAVLLPIIIFVCFYMKRTCVYDLHHSILGLLFTVLITGIITDSIKLATGRPRPNFYWRCFPDGKELFDALGGVVCHGEPGEVKEGHKSFPSGHTSWSFAGLGFLSLYLSGKLKAFNREGHVAKLCIVFAPLLAACLVGISRVDDYWHHWQDVFAGGLIGLFVAAFCYRQFYPNPYHEEGWGPYAYFIAAQERGSQRNEKISHSIRTSQQPLEGGATMGGFSGDQSLTPSMDSGQARLKELGYKQELKRDLSVFSNFAISFSIISVLTGITTTYNTGLRFGGTVTLVYGWFVAGVFTMCVGLSMAEICSSYPTSGGLYYWSAMLAGPRWAPLASWLTGWFNIVGQWAVTASVDFSLAQLIQVIVLLSTGGKNGGGYQGSDYVVIGIHGGILFIHALLNSLPISVLSFIGQLAALWNLLGVLVLMILIPVVSTERATTKFVFTNFNTDNGLGITSYAYMFVLGLLMSQYTITGYDASAHMTEETIDADKNGPRGIISAIGISILFGWGYILGISYAVTDIPYLLSETNNSGGYAIAEIFYLAFKSRFGSGTGGIVCLGIVAVAVFFCGMSSVTSNSRMAYAFSRDGAMPFSPLWHKVNSREVPVNAVWLSASISFCMALTSLGSIVAFQAMVSIATIGLYIAYAIPIFLRVTLSRKTFVAGPFSLGRYGKVVGWVAVIWVATISVLFSLPVAYPITAETLNYTPVAVAGLLAIILSYWLISARHWFTGPVSNILS >A05p042870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:25932542:25933411:-1 gene:A05p042870.1_BraROA transcript:A05p042870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGTNLYVFGGRDAVRKYNAFYSYDTTKNVWKLLTPLEEGPTPRSFHSMAADDKNVYVFGGVSSTTRVKTLDVYNIADKKWKPCATPGEAFSIRGGSGLEVVNGKVWVVYGFNNYEIDDIYCYNPIGDKWTQVETTGEQPSGRSVFASAVVGKYIVIFGGEVDMDPEAHVGPGQLMDGTFALDTETLKWERLDKLGEEKEVEGTTSGSSGLSIHIGIPILIDVDVSIGNPFGGHKDKKKEEKQVTPEIRGWTASTSATINGKKGLLMHGGKAQTNDRFDDLYFYEFQ >A02g501470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4393307:4393765:-1 gene:A02g501470.1_BraROA transcript:A02g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSATDTITKPSSLPLVESVTCDTCGFAEECTLAYIHRVKERHKGHWLCGLCAEAVKDEVVRSPTRISVEEALLRHTTFCHRFRSGSPDEEEDPISVIGRILRRSLDGSPRRTTTRSSSSGALPGIDGVASRRSLLRAGSCFPSLST >A05g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24022654:24023181:-1 gene:A05g508250.1_BraROA transcript:A05g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVLSYLNRFLLFKNKDQTRDSKILKFLGLCGIHSPEPGSVVFLSAIETRGGVSWLEEHLRRREP >A09p050040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44085151:44085840:1 gene:A09p050040.1_BraROA transcript:A09p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVEHYYTTFDSNRAGLVSLYQEGSMLTFEGQKIQGSQNIVAKLTSLPFQQCKHNITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLVSNQGNYYVFNDIFRLNYA >A10p018240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12782149:12783847:1 gene:A10p018240.1_BraROA transcript:A10p018240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G55200) UniProtKB/Swiss-Prot;Acc:Q9FLP3] MLLTRVLSRVSRTSSLRSSLSSLSSPQRNQILPILSSQFHSFVHGTPNKLVAAPVSLLNHSSPDLNVFQRFGFFSSSSAESKENETSQGSEDVESMKKATTDDFEGLSRDDLVKLVVEKEDLVNVQQEEVKEMQDKVLRTYAEMENLMARTKRNAENDKKFAIQKFATSLLDVADNLGRASSVVKESFSKIDTSKDSAGATPLLKTLLEGVEMTEKQLAEVFKKSGLVKEDPLNERFDPNRHNAVFQVPDASKPEGTIAHVLKSGYSLFDRVIRPAEVGVTCAAVNQEKEAEA >A08p039950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22881863:22883425:-1 gene:A08p039950.1_BraROA transcript:A08p039950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTSVVVSRDGPMSALGAFFVYLISGFFLGVGFWVARNKFAVDLVSDPSLTLFLLWSIEFPVVAVVYSFLRKVPEKCSWSKAVGRSILGLISGAFMNALGAIALGAPIGIQYLPKTIHWSFLMSVFTFVPATAVFGASWTDWHRAFASMKPTGNIEYMIVIPAYGAIVGGWFGAWPMPLDWERPWQEWPICVCYGAIGGYIVGTLSLMFLFSKHKNLKVA >A10p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20385713:20390106:1 gene:A10p035580.1_BraROA transcript:A10p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEAEKSSQKEEKKKVISLAPIAKPLAGKKLQKRTFKLIQKAAGNKCLKRGVKEVVKSIRRGQKGLCVIAGNISPIDVITHLPVLCEEAGVPYVYVPSKEDLAQAGSTKRPTCCVLVMLKPAKGELSAEDLEKLKTDYEQVSEDVKELSTSTSSYRMGGDSYSDCQLDNLRMEESRESPADHGFYMPAEWEPHAQTWIGWPERQDNWRHNALPAQRVFVDVAKAISKFEPVTVCASSAQWENARKQLPEETRVVEMSMNDSWFRDSGPTFVVRNRPLKLSSLTRNIAGVDWNFNAWGGADDGCYNDWSHDLLVSKKILAVERIPRFQHSMILEGGSIHVDGEGTCLATEECLLNKNRNPHMSKEQIVEELKRYLGVQTIIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSVEALSVFSNSVDARGRKIQVIKLHEGEAKARLAGTRLAASYVNFYIANGGIILPQFGDAKRDEEAIRVLSETYPHHSVVGIENAREIVLAGGNIHCITQQQPAEPDSVAVNGD >A06p054550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28590004:28591034:-1 gene:A06p054550.1_BraROA transcript:A06p054550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEALSSNGLLNFLLSESLSPTPFKSLLDLEPAPENDIIIIPKNTIPEMSQQEPASRQPPPASRGKKRRRRKPRVCKNEEEAENQRITHIAVERNRRRQMNQHLSVLRSLMPQPFSQKGDQASIVGGAIDFIKELEHQLLSLEVQKLQKDKLSQTVTSSTSQDSNCEPENPHLSLSQFFLHSYDPSQENRNGSTSSVKTAMEDLEVTLIETHANIRILSRRRGFQWTSVVATTGPPQLSKLVAALQSLSLSVLHLSVTTLETFAIYSISTKVN >A10p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19756907:19757384:-1 gene:A10p033890.1_BraROA transcript:A10p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHISEEVVHLGTDGARLGRCNTDLARFSGEPTKKAPRCLTTKQNAVLEASLQYPVCVETLSESRALGSVP >A10p034760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20148259:20149317:-1 gene:A10p034760.1_BraROA transcript:A10p034760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSSGGGYRARPAPARAHSPPPPQTVKQAPPPATAQPQRSGIVSTIADGMTWGAGNAIGHRVVDAIVGPRTIKHETVVSEAAPVSAAASPVANSMGSASCDTQSKAFQECVNNYGSDISKCQFYMDMLSECKKNSGSMMAA >A04p013540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4498193:4500550:-1 gene:A04p013540.1_BraROA transcript:A04p013540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMDLSLSLRIIAAVLTMVIQFASGSFVFNVTHKFAGKDKQLSELKSHDTFRHARMLANVDLPLGGDSRADSIGLYFTKIQLGSPPKYYHVQVDTGSDILWVNCAPCSKCPVKTDLGVISFSFSFSAGLFCNAMQIPLSLYDSKASSTSKKVWCDDDFCSLISQSDTCEPEKKPCSYHVVYGDGSTSDGDFVKDNITLDQVTGNLRTAPLAQEVVFGCGSNQSGQLGQTDSAVDGIMGFGQANTSIISQLAAAGSVKRVFAHCLDNVNGGGIFAVGEVESPVVKTTPLVPNQVHYNVILKAIDVDGDPVDLPPSIASFGGNGGTIIDSGTTLAYLPANLYNSLLKKITTRQPVKLHMVQETFACFSFTSNTDKAFPVVNLHFEDSLKLTVHPQDYLFSLREDMYCFGWQSGGMTTQDGSDVILLGDLVLSNKLVVYDLDNEVIGWVDHNCSSSIKVKDGSGAAYSLKADNLVQSASSVINGTLVTLLSILLSIFYTFTL >A06p019050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9240562:9244339:1 gene:A06p019050.1_BraROA transcript:A06p019050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGMSNLNYYCLSDEFLLQKDKRKKMMGLSCASPDCSSSSPRNSEKQSVIVASPSRFSCYSEPFVSIEKRLLTHKDSHCNVMPKSSEDLRKEIASLEFEILRTEQYLLSLYRTAFDEQFTSSYSPHTETSLLSNQFCPISEQSDLAGVLSYHYQASPVSERSSSCPKSFQASLKAFSAREKTRYVSGNHTTLGDLLGSSQIVDDTVNPSRLSEEILRCISSVYVTLSTKARTSSSPSPSSYVSWNPCLGEIKEADVPRGVVIESLKLHLDDSSFNHAALMLQNFRSLVQKLEKVDPSRLKREEKLAFWINIHNALTMHAYLAYGTNNRARNNSVLKAAYDVGGYRVNPHMIQSSILGLCPHFTPPLLQTLFSPSRKSKTCTVKHIYALDYPEALAHFALSSGASTDPPVRVYKADCVFRDLRRAKEEFIRDNVRIQNETKIVLPKIVHYYAKDMSLDASALMETTVRCLPDSMKRVAQKLLKKKSKCIEFSPENLSPDDMPAHIKSSMFGCQLTIPITKGKLNMGTWQGIWLCEHRDDPTARRVVVTLNGI >A10p037320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21067262:21068895:-1 gene:A10p037320.1_BraROA transcript:A10p037320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 2735 [Source:Projected from Arabidopsis thaliana (AT5G06240) UniProtKB/TrEMBL;Acc:Q9FFZ3] MKTKRRYELSCEIGDCRRFLIFSAGKMKLKVVYRKVSDYIRHDLKEIVLPSSLPDPPHVVKRRKLTWHERFLVLKEASRLYAASWVRDIGPELRPNDYKKQGDAEPKKQAKDTEKEPSVLEDLAVAARGGMETLRPALHRVYMTRASNYKDALASFIKGYHEGVQQVMQSKEESQQLPCETAVGRTQVTRNFCDETDDILSDSHTAYSDYISQGLFLSTSWIIAEIPFICQGLRRLFQPTGKIDEPLMQERPLSILNVAKSLKD >A06p044740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24001377:24002799:-1 gene:A06p044740.1_BraROA transcript:A06p044740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIATSLVVIVTMLVSAVAVASESNMLRDVCVADLSNAVKVNGYTCKDSTQVTPEHFYFQGLATATAASNTSTGAVVTGATVEKLPGLNTLGLSMSRIDYAPNGLNPPHIHPRASEIIFVLEGQLYVGFVTTAGKLVAKYINKGDVFVFPKGLLHFQKNIAKSAPASVIAAFDSQLPGTQSLVSSLFGALPEDILVKSFQFKPKQVMRIKSRYQPKK >A03g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9128311:9129298:-1 gene:A03g502960.1_BraROA transcript:A03g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRNVSSGPRVGIVCDFERKTKVEGDEEVGGFRQKESRWSDRGRISAVAFVAEESSAGFVDDQSPMKNLGGVARRRISVALRIGESLWLSSTEEIGGSPLQTNSSVDGLVKESIGSILVFQVDGLVAL >A04g507170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17306911:17308149:1 gene:A04g507170.1_BraROA transcript:A04g507170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFRDRREKEQQRLCKIQRDDDAVTSQAPQEPTTPNAGDALLWIVLNKAFKITSDLTMKLLLFLLLRIIYEQAVTRSVKTENQDAFQRLLPFDIGKSLFPKGFLLCCSTRRIKCGLFLIKSCVQGEDIFGGRVVIKAMNSKKEEEEGNERTTFENVAKEIIKQ >A08p016900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11866301:11868191:-1 gene:A08p016900.1_BraROA transcript:A08p016900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 14 [Source:Projected from Arabidopsis thaliana (AT4G14550) TAIR;Acc:AT4G14550] MEQLINLKETELCLGLPGGPDPVETPTKSCLRNKRGFSEIVELKLGLHSTKEGSVDLNVAGAPKEKTLHKDPSKPPAKAQVVGWPPVRNYRKSVMTHQKCSEVEEASSDRGGGTVAFVKVSMDGAPYLRKVDLKMYKSYKELSDALAKMFSSFTMGSYGAQGMIDFMNESKVMDLVNSSDYVPSYEDKDGDWMLVGDVPWPMFIESCKRLRIMKGSEAIGLAPRAMQKCKNRS >A03g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1025643:1027137:-1 gene:A03g500300.1_BraROA transcript:A03g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLAESELCFRLIHFFDMNRKQTGRQGFNVASVCKRRSGSESLAKLQLAEEKPLLNGLILNHSNIRLSFQRLVAMKNCHFRIAAVLSDIEASSNRGTTRQSAAPSILTEKTSYFLAEPAVYAASQTPTPVPEDTAYCAHPNQAYPTTAATAPVAAPVQQAAAAAPPLTTTLTTESICVAGG >A03g508180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28517681:28518102:1 gene:A03g508180.1_BraROA transcript:A03g508180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSFPCVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSWIYRKVQLKPLKWDGEGEE >A09p045410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39914469:39915297:1 gene:A09p045410.1_BraROA transcript:A09p045410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRKARVKSEAPDDQSAAEFSFSLTRVAVSQICLSVGYTSTDTSSTLNTLTLITTKFLQSIAELAASFSSSANRTEANLFDIVNGLHDTALSTSDCFPGGSTLHNTESHCLIKSAVLRNLSDFVASAFEIPFAKPLPRLEISGSYGGDSTRTAPSPEMRSIPAWLPPFPDSSLYRERYAKERSDRLWENSDSVIGRETFAETSGVESSRGRSGGRLPLRRASVRFKVERHWRNDGGSCEFEVKKKIGEEYIYCADIDAGEWDM >A03p015540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6131804:6133104:-1 gene:A03p015540.1_BraROA transcript:A03p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLLSHEVSDLCIGKPPLRCLSAVTATVADAIAALKSSDEPFLSVWSCNHDETNDDNNKCECECECECVGKICMADVICYLTKHDHKALSLSSVLEASVSVLLPKSRSIVVHVQSSCKLIEAIGLITGGAQDLIVPIQTKPITKRKQTRNAVVSLTTTTTTTTHKNSRQFCWITQEDIIRFLLDSISVFSPLPSLSISDLGVINSTHAILSVDYYSSASSAVTAISRAISDNISVAVVDGECDGEEDPRAVLIGEISPMTLACCDESAAAAVATLSAGDLMTYIDGSGPPESLVRVVRNRLEEKGLVGLISLVDSLSGSSGSSSSDEESPAGRTKSYGRSVSSAARMARKSVAIVCNRRSSLMAVMIQAIAHRVSYVWVVDEDGCLVGMVTFVDILKLFRGFLDDQN >A10p022510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14849697:14851555:1 gene:A10p022510.1_BraROA transcript:A10p022510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 78 [Source:Projected from Arabidopsis thaliana (AT5G59220) UniProtKB/Swiss-Prot;Acc:Q9FIF5] MAEICYENESVITKTTSTVVTRTAATTTTKRRERSSSQAARRRRMEIRRYKFVSGEQDPVFVDGELQRRGRRESTVIYELAKTETAKEVVVLCESLSSTVMALPDPDAYPKYGVASVCGRRREMEDAVAVHPFFYRQQTEFSSFGYHYCGVYDGHGCSHVAMRCRERLHELVREELEADDADWEKSMSRSFTRMDLEAVALNGGGTANCRCELQRPDCDAVGSTAVVSILTPEKIVVANCGDSRAVLCRNGKAIPLSSDHKPDRPDELDRIQAAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVISKPEVTVTDRVKQDEFLILASDGLWDVVSNETACNVVRMCLKRKVNSQLTSSPENDVAGAGNVVVVGGDVSNKACDEASILLTRLALARHSSDNVSVVVVDLRRDT >A09g504680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14222413:14222796:-1 gene:A09g504680.1_BraROA transcript:A09g504680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSNKRIRTGLGGGNLQGSLHKEFLDIGQTKVNRAWWQPPLSLDSWKPVQSWSLILQWKQTLTQERN >A06p051720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27362049:27363031:-1 gene:A06p051720.1_BraROA transcript:A06p051720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCWSSVCLLILTFLSPKTLSMRYEVHSGQMKCISEDIHAKSMSVGKYFIVNPNDEHHPLPDSHKIIVQVMSPQGTMLHEADNTESGQFSFTASETGSYLACITAVGHKPETTLTIDLDWRSGVHSHDWSKVVKKSQVEMMESSVKSLLDTIVSIHEEMYYLHERGEEMHELNRSTNSKMALLSFLSLGVCLSVAGFQFWHLKSFFEKKKLI >A06p056570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29440637:29442253:-1 gene:A06p056570.1_BraROA transcript:A06p056570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGWKLALRLVHRGHIASRALFNRTVCTDLPSSLSHTVVDESYVLAELSSLLPISSKPTTAKEDTTCLKNQVAVVDSFLSPEERLRGVFLQKLKGKSAIHKALSSLGVDLSIETVANVLDGGNLSGEAMVTFFNWAIREPGVSKDVDSYYVILRALGRRKFFSFMMDVLREMVDSDLKCLTIAMDSFARGHYVRRAIQLFEESQDFGVECGTESFNSLLRCLCERSHVFAANSVFNAKKGEIPFDSCTYNVMIGGWSKLGEVEEMEKVMKEMVEGGFGHDCLSFSYLIEGLGRAGRVNDSVEIFDDMKHKGCVPDAKVYNAMICNFIFARDFDEAVRYYRKMLDEECEPDLETYSKLVSGLIKGRKVADALEIYEEMLSRRIVPTTGLVTSFIKPLCSYGPPHAAMVIYQKARKAGCRISESAYKLLLKRLSRFGKCGVLLNVWDEMQECGYTSDVEVYEYIVDGLCNIGHLENAVLVMEEAMRKGFCPNRLVYSRLSNKLMASNKTEMAYKLFLKIKEARIKDNARRFWRRNGWHF >A09p007590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3958137:3960544:1 gene:A09p007590.1_BraROA transcript:A09p007590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRAATSSAKWAREFLLKRVSSNPLGGAIRNCSSSSSTPKVPHFSKKGRILTGATIGLAIAGGAYVSTADEATFCGWLLSATKVVNPLFALLDAEFAHKLAVTAASRGWVPREKRPDPQILGLEVWGRKFSNPIGLAAGFDKNAEATEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLREDGAIINRCGFNSEGIVVVAKRLGAQHGKRMLAETSGTSSSPSDEAKPGGKSGPGILGVNLGKNKTSEDAAADYVQGVHNLSQYADYLVINVSSPNTAGLRMLQGRKQLKDLVKKVQAARDEMQWGDDGPPPLLVKIAPDLSRGELEDIAAVALALKLDGLIISNTTVSRPDPVSNNPVATETGGLSGKPLFNLSTNMLREMYTLTRGKIPLIGCGGVSSGEDAYKKIRAGATLVQLYTGFAYGGPALIPQIKEELVSCLERDGFKSIQEAIGADHR >A02p018360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8461329:8464710:1 gene:A02p018360.1_BraROA transcript:A02p018360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLSALGDNQTASTAATGTETRHLFLNQDYIQAQVRNNNETGLNQFLPLSLSLKRILDMEMRMTGLETTTDAVKVDLLALKADFKEEITATRSTFNMILQALHSQVSLHAASVTPTTQPQAQPGTTTQQYDFERLPVVYRKQLKEFPSVEIGGWFSVKQHVSSAVRVLTRGSSPVNRKLRLESHGGGLEETRFLGLNLHVGCSVDRPLHQFAFVIAGDPSCLSLVLVEKSGSDACSGAFIDRWAIGHLV >A02g508390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22767473:22767906:-1 gene:A02g508390.1_BraROA transcript:A02g508390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGFAKNSIHGGYVGVSVKAGETTYNVARYPLCVLVPGCYIKAESKFFLPLKNLPHHFIETPNDLFGL >A08g502060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:4662530:4662715:1 gene:A08g502060.1_BraROA transcript:A08g502060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGCQRRSRRDFQQQRFRATTCVVVLSFLGARRVKLCAFSSEDDDDGANVLSNEDSRGC >A06p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4277183:4281496:1 gene:A06p011520.1_BraROA transcript:A06p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTLFFTCLLLLSLMLLSLFIIFNHQLLDAMTINVPKDSQKPREDKLLRGLLSEEFNEGSCLSRCQSSLYRKPSRYEPSQYLVSKLRSYEMLHKRCGPGTEAYKRATEQLGHDSRSVGECRYIVWIAVSGLGNRIVSLVSAFLYALLTERVLLLDQGTDMRHLFCEPFPGTSWLLPHDFPLMDQLDSSRCYGTMLKTDAINSATTGSYLYLYLIHDYEDHDKMFFCERDQNLIRQVPWLVSNSNQYFVPSLWLIPSFQTELIKLFPQKDTVFHHLGRYLLHPTNQVWGLITRFYNAYLSRADETLSVQVRVFSNPDGYLEHVMNQILSCTQREKLLPEVAATESQVTNKSTSPKLKAVLVTSLYPEYSEKLRAMYWESPSSTGDMVQVYQPSQEMFQQTDEKLHDQKALAEMYLLSLTDKLVTSGLSTFGYVAQGLGGLKAWILYRPTNHTTPDPPCVRAVSMEPCFHRPPPINGCQAKTVNSTRFVRRCEEWTTGIKLVDSADSHKKYPKSMYQKVRNLRLFSSHFCIQICSMLLLAFSNIFSRHPSDLDPPNVTTTGNHENPRDTLQGGLLAKSFDQKSCLSRYQSSLYRKPSPYKPSIALISKLRSYEKLHKRCAPGTESYKRATENLHKLSHGSGEQCQYIVWVPLSGLGNRILSLVSVFLYALLTERVILVDQRNDINDLFCEPFPDASWLLPLEFPLTNQIDRFNWRSPRSFGNMLKHHRLINNSAIKSFQTSYLYLHLVHNYGEYDQMFFCQGDQSLIREVPWLIVKSDNYFVPSLWSLPSFQSELTKLFPKKDTVFHHLGRYLIHPTNQVWGLVTRFYNAYLSRADERLGIQIRVFHRAGFLQLVLDQVVSCTQREKLLPEAQEEEVNISKKTTPKLRAVLVTSLNPEYSNNLKRVYWERVSSTGDVIIGVYQASQEMHQQRNKKLHNQKALAEMYLLSLTDNIVTSAWSTFGYVAQGLGGMEPWILYKPENYTVPDPPCGRATSMEPCFHSPPLYGCEADTGGTDDSLKIASPFVRRFEDRVSGIKLFDHPQDSENSPVNIRCGYDLLSLVDARQRDELADGTDESTRQS >A04p002000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:967292:967495:1 gene:A04p002000.1_BraROA transcript:A04p002000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHIERGGIPRKFECGAPTIMLESKTAQNPGRRFYRCGKIYGPNHVFMWLDEAHHEELGILEVNKQ >A07p017420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10577406:10581659:-1 gene:A07p017420.1_BraROA transcript:A07p017420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFGIGSLSIVMTLLLSLVPKLEAAFTTVEQAIQSDGSISFLVIGDWGRRGLYNQSQVALQMGKIGEKMDIDFVVSTGDNIYDNGMKSIDDPAFQLSFVNIYTSPSLQKPWYLVLGNHDYRGDVEAQLSPALRSMDSRWICMRSFIVDAEIAELFFVDTTPFVDAYFLNPEGQNYDWRGISPRESYLQTTLKDLETSLGESTAKWKIVVGHHAIKSASIHGNTKELESLLLPILEANNVDLYVNGHDHCLQHISTSQSPIQFLTSGGGSKAWRGYYNWKTPEDMKFFYDGQGFMSIKITRTEMGIVFYDVFGNILHKWDTSKVLYKDLDFSLSLRVLISDNGGYDIVQVVFVPCLLPPKSRSTTRLNPSSPVKLSPNPTSKSRGFLGVSRIKGSIFVLFPLCGRIGVWVRRHVATKASIEMSHFELSAPSAALNSSKKQKGTPQDSGISLQTVLEIRPHVPLFGVCMGLQCIGEAFGGKIVWSPLGVMHGKSSMAYQSRYHRLVIEKDSFPSDELEVTAWTEDGLVMATRHRKNKHIQGVQFHPESIITTEGKTIVRITSNLLRKREDVLSPPEALGLALIPSFTALDFCPLLLDEPPY >A06p023790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15799988:15801315:-1 gene:A06p023790.1_BraROA transcript:A06p023790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHLNPRLDFVYKELTGKFEALDTNVMTLDSQVDDILDNDSREVIEHENLEKEAFLVKIFISIGSSHWRQSTPSAEHRSTSSAEHRSTLSAGHRSTPPEEYRNGENLVTFRIFCSTPTPPISYSVKSDDIDRHHHDVIDRQQQRSTERHQQPSNDRQSPMTCQLRLPDLGAHRLNATQNPSQTSQPAYAPEQEQLTLVETSFLESVDRRYKPGVDRIKWTDTNLSWNSRQRKKGFQLGKERQVNKVEFDGFYKRVKSVLKDMSFEVAYHKYILGNFFRESRETDKDIELLFNKVSRKPKRTLKKEQGPGKFLIPCCIHSQNFANALCDTGSAVNIMAIDTAELLGLKMEPCQDSFTFLDNSNENSAGMIKNVNMEIGECIIHVDFHVVDIK >A04g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12423517:12427686:1 gene:A04g505960.1_BraROA transcript:A04g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKLLTIVFFFSLLLKSSSQFLNFTYNGFHRPLTADITLQGITTVTPSGLLKLTDTTIQKTGRAFYTQPIRFKDSPNETVSSFSTTFVFAIRSQIPILSGHGIAFVVTPNFGLPFATASQYLGLFNIRNNGNDTNNVFAVELDTIRNTEFNDTDDNHLGIDINSLTSIKSALAGYWNESGQFTNLSLISRKPMQVWVDYDGHTHQIDVTIAPLNHEKPTKPLVSTVRDLSRVLLQDMLVGFSSSTGSVLSEHFVLGWSFGVNGKAPSLDLRRLPKFPESEPRRFGIWLFIGASILLLFIAMLIFLVRFILRRRRKFAEELEDWETEFRKNRLKFKDLYYATNGFKEKDLIGSGGFGRVYKGVMPKTKKEIAVKRVSNESRQGLKEFVAEIVSIGRMSHRNLVPLLGYCRRREELLLVYDHMPNGSLDKYLHNSPEVALDWNQRIKIIKGVASALFFLHEDWEQVVIHRDVKSSNVLLDSEHNGRLGDFGLARLCGHGTEPDTTNVAGTWGYLAPDHLRTGRATTATDVFAFGVLLLEVACGRRPIEVQDSVSGERVFLVDWVFQFWIDGNILGAKDPNLWYDYEVREIEMVLKLGLLCSQSDPENRPTMRQVLHYLRGDVMLPNLSPSDLRGRDRLLGIPEVGFSESSLSTGGSSVTNSLLSGGSLLSQFPESSSQALDFTYNGFLPPLTDISLEGIATITPNGLLKLTNYTMQKTGHAFYTKPIRLKDFPNGTVSSFSTTFVFAIHSEIPSLSGYGMAFVVAPNPRLRYATASQYMGLFNITSDGNVTNHVFAIEFDTIQSPKFSDTDDNHVGIDINSLISVESSPAGYWDEKGQFKNLTLISRKRMQVWVDYVVTPIRSM >A10g501600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4450721:4451273:-1 gene:A10g501600.1_BraROA transcript:A10g501600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHILTQFSGHTLFDNNSACHLLPDVKLISGHLYYLVPTTTKKKMTKKVMFVDPEIVVSKQELEKLPQGWSFHEMVYQTLEKQTLLSDDDNLQCKTKKVMFADPEVEEDARLLREGVADHTGERTSNTDGDDNKNVSVMRIKSF >A01g504260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13140997:13144568:1 gene:A01g504260.1_BraROA transcript:A01g504260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSAKSTECNASESNVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPKPNPLNSSPESVQEEQEAEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASVSILPRIMADQLEYESEYETEYSESIDTPTFPSIDSNESTVTDDRNNTSFDVMHPVDHFASPNHCYQHFAFIPPSKREHDDYSIGSWADNGFHESFAVDTLITSPNEEHTEEYDEDYWKERAIEMYLQDERLETHEFTNTIPTSFDAVHFTSVDTHRRPAKKPLTSIDTHTGTSIDNRAAAKIQEHENIPSPTRFIDTYINRFAPPKPPKHVRANTQAKKMNTLPSTSTKKSMKSNHLKNTSSAEITLPSIDATVSTSIDTTLNPNLSISKLNDYANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVFREDIADILQVANGLDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKRQASIDGTTETSVDSVTPTSIDRDDPTSIDRRYEFGNRAFDMYGARKFTWEQRDEYGVYRDECGHARGVAGEMIPVTKNDIRKLLERESLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYDEPFRSMAEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRIKDVYCPLNNNVDWQSTKIELLQQDLDTIRKKDQQPATSIDMCAFTSLDAKVSAMNERLRTYEDMHDRFISPVMIYLNKLSSQLLHAQKDIENITNQIFLQAKSASIYWLRGPWIDGKKPVELLPYTEIEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLESNAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSIDSNSPRSTNEHIIALIDQQLLHRTIESMQKELTDL >A06p001530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:666054:672695:1 gene:A06p001530.1_BraROA transcript:A06p001530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQNQVKKDTKEMDFFTEYGDANRYRILEVIGKGSYGVVCAAVDTHTGEKVAIKKINNVFEHISDALRMLREVKLLRLLRHPDIVEIKSILLPPSKREFRDIYVVFELMESDLHQVIKANDDLTKEHHQFFLYQMLRALKFMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVAHQLELITDLLGSPKSETISGVRNDKARKYLSEMRKKDPVTFSQKFSKADPLAVRLLQKLLAFDPKDRPTAAEALADPYFKGLSKIEREPSCQPISKMEFEFERRRLTKDDIRELIYREILEYHPQLLKDYMSGSEGSSFVYPSAIGHLRKQFNYLEENSSRNGPVIPPERKHVSLPRSTVHSSVVHSSSQPNLCATESRRVHFEQPSRNGAVPSGHSLTKALGPPPRAPPPSGRSSRVVEPSASYENGRNHKEAYFRSAVSSPHCYFKANTMMNSDKALSQPKPQEFVHQYSPAVPPPAARTNQTNPYFQSHLPKTDQSSNNNNTQMAIDATLLQAQSQFGPAAAVAVAAHRNVGTVLDIKMATEYKPKNILITGAAGFIASHVANRLIRSYPDYKIVVLDKLDYCSDLKNLDPSFSSPNFRFVKGDIASDDLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAAVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKMIPKFMLLAMSGKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTKRERRVIDVARDICKLLGKDPEASIQFVENRPFNDQRYFLDDQKLKNLGWCERTGWEDGLKKTMEWYTQNPEWWGDVSGALLPHPRMLMMPGGRVSDEKKDTSSNTVQTFTVVTPNNKTHDPKDKASLKFLIYGKTGWIGGLLGKLCEKQGITYEYGKGRLEDRASLMADIRSIKPTHVFNAAGLTGRPNVDWCESHKPETIRVNVAGTLTLADVCRENGLLMMNFATGCIFEYDAAHPEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREFDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKSYIEPGFKWSNFTVEEQAKVIVAPRSNNEMDGAKLSKEFPEMLPIKEALIKYVFEPNKRT >A03g508550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29053193:29056008:1 gene:A03g508550.1_BraROA transcript:A03g508550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLLIYLFCTFLIKWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLPGSRLDFLEVIWTSWKSSDEIWKTSGTTYLLVVWKSSGLLGSLLTKSSRLPVKSSGLPVKSSGLPVKSSGSRLNFLKSSDKVSSGLTGSLLTKFSSISSGIQALDDLTFSRLRLQISKSIAKITSALTRRLPGFSKHTESQTKVAHPESLASPSTQNHKNFRIKILGFLAHCGEKVRDMLCLVHKNGKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A10p014870.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3973069:3973293:-1 gene:A10p014870.1_BraROA transcript:A10p014870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREIKLYPASVQVNCIDSTFQAEAEFQSEAKKLQQVQFKPGEQVTFGAVLSVPMHVLWAVTACQRNKRLQAA >A09p015570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8090610:8093734:-1 gene:A09p015570.1_BraROA transcript:A09p015570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRSPRSSKSPGTPIFPKSPLVYESYKSGCGWKLINFFDFRQVKSGNKRLSSDKKFTRDSAGNVYTKSQLDLLKRLHERCQCHDRIVEGESPCKSKTRRRSLSSEREDESYEPKPVHGLLEREIKRIKNLREATSESPDKQKQSSSSEIENTNDKDLKNGRDCRKSSEINLQVCVNEAAETLICSKAEEKGKDRSKQFMEALDILSSNKELFITLLQDPNSFSAKKGQDLERPKVKERRDKSPSLADDLDEIVLLKPRLSSSVDDRKYLRFKHLAKKLKLVVGSNKDSNHAEIKAKETEAAGDVSSSAVGYRSPESPMFRRKKRVESDVFKLSMENDVLPRRFMVERQQERSDSSPVYEVPKSLNSLQTKLKERRERLEKRRESFKLWSLDKDLEVFDPNPHNSSLRSLNDNSTSSAEYTSVRTPVEDRTEEDRFLESSSAESSSSLQRRVRNIHDPNQELEQPSPVSVLERIHTLDERVSSRNKEQIRLLSFDDLHEKDSVHEFVKQVLQASRLNWTNLMAKCNEETSLLDQFSHGNHNNDQLLLVLDYTDEILREIYRQDIMFWPFKPSQSSRVVNLPSSFKEEDLIHETMRRFDWSLLCCDSPRTLDQVVEVDLMKPCLWLDCGGESEGVVSDVVENIMQGLVLEISHELRTMQIRSLCSIWQAFAVFLPVRSVGVQGDKITHSHVVSLRPVTSQDGMTAD >A02g512330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33460823:33461401:-1 gene:A02g512330.1_BraROA transcript:A02g512330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDLQEYLERSFMNRVFNVTRSNLSFWFDDASCSPVSIRFRDETVFVEVAETIHPIPTESFRFGNSDQLMLLHNTNTESQVSLSLTAPRTVCFSNNCSGGGYAVVRNLEPALADDQIRLGGWRLGL >A01p013890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6781741:6787751:1 gene:A01p013890.1_BraROA transcript:A01p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPNMFESHQHMFDMTPKESGNDLGLTGSREDDFETKSGAEVTMENLLEEELQDPNQRPNKKKRYHRHTQRQIQELESFFKECPHPDDKQRKELSRELNLEPLQIKFWFQNKRTQMKAQHERHENSILKADNDKLRAENNRYNDALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISSIAAKYVGKPLSSHSTQLTSSHYIPSRSLDLEAVNFGTNHQTSFVGEMHGTSDIMRSVSIPCEADKPIIVELAVAAMEELLTMAQTGDPLWGLNDNLGAILNEEEYFRMFPRCIGPKPIGFRLEASRESTVVIMNHINLVEILMNVNQYSSVFCGIVSRALTLEVLSTGIAGNYNGALQVMTAEFQVPSPLVPTRENYFLRYCKQHGDNTWAVVDVSLDNLRPSPITRSRRRPSGCLIQELQNGYSKVTWVEHMEVDDRSVHTMYKPLVNTGLAFGAKRWVATLDRQCERLASSMASNIPTGDLSVITSPEGRKSMLKLAERMVMSFFSGVGASTAHAWTTLATTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNDTNSGPSNMLILQESCTDVSGSYVIYAPVDMTAMDVVLSGGDPDYVALLPSGFAILPDGGEGGNASAGAEGGANNLEVVDSGSLLTVAFQIIVDSVPTAKLSLGSVATVNSLIKCTAERIKGALACDGA >A03g507320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26061796:26062513:1 gene:A03g507320.1_BraROA transcript:A03g507320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLILFLYKAMVMYKREGSFSSVFLSDHHSHQLPVTTGDFLAIPPVYFERQTSGDLGPTVFDCLKRRLLHHLACPLGTSRNVRTFKYVLPRFSNCSEDSEGSVLIAGSSVHGSKSNLKTAAKRERSHIESLEAAIYEGTVNKYRHSDSIKKVKTENSG >A03p027350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11411015:11412045:-1 gene:A03p027350.1_BraROA transcript:A03p027350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVASTRWCPTTEQLMILEEMYRTGIRTPNAVQIQQITAHLAFYGRIEGKNVFYWFQNHKARDRQKLRKKLAKQLHQQQLQQIKLSMVSEPLNNIIDHHNLYYHNHHRPYDHSSFACCSQPSSVCLSHQGIGVEAQSKVVNEYYYNKSGPEDMLMQKPITGQNSSYGRDWMMMMDMGPRPSYSSLSPIPCINMMMNSPKIPPKTLELFPISSINSKQDSSKL >A04p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5124871:5125296:1 gene:A04p012440.1_BraROA transcript:A04p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDKEYRQMKAWKRDTNMLGCVADAECGIPTRCPCGGTIINEVSRNLKYPTDFDTLPGRKYFTCKNYEEEVEMLRKRVDAMAAEIAELKYNLTRQNPTTP >A10p040560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22327331:22331200:-1 gene:A10p040560.1_BraROA transcript:A10p040560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein phosphatase 2C 66 [Source:Projected from Arabidopsis thaliana (AT5G02400) UniProtKB/Swiss-Prot;Acc:Q9LZ86] MGNGVTTLSPCCTGTVAGEISRRYDVSLVHDGLGHSFCYIRPDVTGVAVSPSYTPEIPLRSEPIPETTTFRSISGASVSANPSTALSGSASSDSDCMYSSASAFESSGNFASLPLQPVPRGGSTCQSGPIVNESGHESGPFERRFLSGPIESGLYSGPIESMKKKNKTEKEKEKPKKKVRRKASPEKTKPKKKKNFLTFKALFTNLISTNKPSSKKSVIEPINGSESPDSDRHHEPEIVNENPKPDHKREAKEEEEEEEEQRKCSVLDVQWAQGKAGEDRVHVVVSEENGWVFVGIYDGFSGPDAPDYLLNNLYTAVQKELNGLLCNDEKLRTLGENGDTQIGKRSDKEDSDSGKENFPATTNTDAVASGARNQEKSVKWRCEWENNDTKSDNDCDQKGSNSTRTDHGDVLKALVHALRKTEEAYLELADTMVEENPELALMGSCVLVTLMKGEDVYVMNVGDSRAVLARKPNVVVGSRRQKELERIKEVREMFMNGAITRNSLVPLQLNKEHSTRIEEEVRRIKKEHPEDDCAIENDRVKGYLKVTRAFGAGFLKQVESFISAFPEGDPAQHLIQEVLLRAANKYGMDFHELLEIPQGDRRRYHDDISVIVISLEGRIWRSSMRQKELEIIKEVREMVMNGAITRNSLVPLQLNKEHSTHIEEEVRRIKREHPEDDGAIENDRVKCYLKVTRAFGAGFLKQVESFISAFPEGDPAQHLIQEVLLRAANKYGMDFHELLEIPQGDRRRYHDDISVIIISLEGRIWRSSM >A06g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11592261:11596534:-1 gene:A06g503680.1_BraROA transcript:A06g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNNADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDISNDTDEDVDRHPRRTRSRPAREGSPFEKPMKEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEVTSVKDLILDSDRPPKTDRNPPAEKSPQRNQPGDKRVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGFASSKDFRPNHGTRESHPPRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A08p045680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25002553:25005149:1 gene:A08p045680.1_BraROA transcript:A08p045680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKKTIVWFRRDLRIEDNPALAAAAHEGSVFPVFIWCPEEGQFYPGRASRWWMKQSLAHLTQSLKALGSELTLIKTHNTVSAILDCVRATGATKVVFNHLYDPVSLVRDHTVKEKLVERGIAVQSYNGDLLYEPWEIYCEKGKPFTNFNSYWKKCLDMSVESVVLPPPWRLIPLTAAAETVWACSLEELGLENEAEKPSNALLTRAWSPGWSNADKILTEFIEKQLIDYAKNSKKVVGNSTSLLSPYLHFGEISVRRVFQCARMKQIIWARDKNGQGEESAVLFLRGIGLRDYSRYICFNFPFTHEQSLLSHLRFFPWDADVEKFKAWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSSFAVKFLLLPWKWGMKYFWDTLLDADLECDIIGWQYISGSLPDGHELDRLDNPAIQGAKYDPEGEYIRQWLPELARLPTEWIHHPWDAPLTVLKASGVELGTNYAKPIVDIDTARELLTKAISRTREAQIMIGAAPDEIVADSFEALEAANTVKEHRLCPSSNDQQVPSDVRYNGSKRVKPEEEEEEREMKKLRGFNEVIREEEERGLFSTAESSSSSSVRSVFMVSHSCSLVSEGKNLEGIQDSSDQIATSLGKNG >A08p030300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18568237:18569090:-1 gene:A08p030300.1_BraROA transcript:A08p030300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFKVKEKQREQAQNASRGGGASVKKQSAGELRLHKDISELNLPSSCTISFPNGKDDLMNFEVSIKPDDGYYHNGTFVFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHDAAQVLRDNPKLFETNVRRAMTGGYVGQTFFPRCI >A02g510390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27525211:27526114:1 gene:A02g510390.1_BraROA transcript:A02g510390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFAASLATGLLLMFLVMINFTYPVPYHELNWNDPMANLTAFLVGPEQQINMMFLSTLPLSMLDASFLHSFCALLVASFSPSLSYNVYYPKLDLILVKSPKSATLLFPQIFLSLKLHHFLSHVDPQQDFDSDCDPMQDLCTYVVQPQLYSICAKNSL >A08p029760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18349522:18352918:-1 gene:A08p029760.1_BraROA transcript:A08p029760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGTTCSGTMKATSNGVFQGDDPLHYALPLLILQICIVLLLTRVLAFLLRPLRQPRVIAEIVGGILLGPSALGKSSKFLNTVFPAKSLTVLDTLANLGLIFFLFLVGLELDPKSLKRTGKKALSIALAGITLPFILGIGTSFVLRSSIADGVSKAPFLVFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGDGNSPLTSLWVFLAGSGFVLFCIYAVQPGIKWIAKRCPEGEPVKEQYVCYTLGIVLAASFVTDLIGIHALFGAFVIGVIFPKEGNFANSLVEKVEDLVSGLFLPLYFVSSGLKTDVATIQGAQSWGLLVLVIFTACFGKIVGTVGVSLYCKVPLDESLALGFLMNTKGLVELIVLNIGKDRGVLNDQVFAIMVLMAIFTTFMTTPLVLAVYKPGKSITKGTFKNQTVEETNQSNKPLRLMFCFHSIMNIPTIVNLIEASRGTNRKESLSVYAMHLMELSERSSAILMAHKVRKNGLPFWNKDKPGTSSSDMVVVAFEAFRRLSRVSVRPMTAISAISTIHEDICRSAERKSVGMVILPFHKHVRLDRTWETTRNDYRWINKKVMDESPCSVAILVDRGLGGTTRVASSDFSLAITVLFFGGNDDREALAFAVRMAEHPGITLTVVRFIPSEEFKPENVKLEITEDQAGSCSGETRLTDIEAITELKAKIKEQESSRSDSDAESQIVYEERIVKCQEGVCEAIKEYSRSNLFLVGKSPDGSVASGLDVLRSDTPELGPVGNLLTSSESVSTVASVLVVQQYVARCDSHVVGILKNVTEEELPVKDTESP >A08p020090.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:13656100:13656744:-1 gene:A08p020090.1_BraROA transcript:A08p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVVEDHQLSTKEDIEKPLLEENKGFPDVERTTWIQKAIGQTFQTTAHLANLLPTGTVLAFQLLSPIFSNGGQCDLACKIMTSSLVAICGFSCFILSFTDSYKDKNGTICYGFATIHGFWIIDGSATLPQELAKNYKLRFIDFAHAFMSLLVFGAVVLFDRNTVNCFYPAPSAEELEVLTALPVGVGVFCSMLFATFPTTRNGIGFPVPGNK >A02p016120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7179056:7183350:-1 gene:A02p016120.1_BraROA transcript:A02p016120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTMASNGRIRPAFPVANGSKDLTPDSAPVSTAGSECGQVEFTREDVEALLNERIKYKSKFNYKERCENMMEYIKRLRLCIRWFQELELDYAFEQEKLKNALVLNEKHCAVMEASLKNKEEELNMIIEELRKNFESAQIQLAKEQTEKLAANDSLGKEKEARLAVEKAQGGLTEELGKAQGELQTANQRIQSVNDMYKLLQEYNSSLQLYNSKLQGDLDEAHETIKRGEKERTEIVENIGNLKGQFSALQDQLVASKVASQDDIMKQKAELVNEIASLKVELQQAKDERDHHLTELKTLQTEANKYNDFKDTITELETTCSSQSNQIKELQDRLVSCDRRLQMSDLTTFEKMNEFEDQKQTIIDLKSRVEEAELKLVEGEKLRKKLHNTILELKGNIRVFCRVRPLLPGENNGDEGKTISYPASLELLGRGIDLMQNAQKHSFTFDKVFLPNTTQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNAEEKGLIPRCLEQIFETRQSLRSQGWKYELQVSMLEIYNETIRDLLSTNKEAMRTDNGVSPQKHAIKHDAHGNTHVAELTILDVKSSREVSFLLDHAARNRSVGKTQMNEQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLGDVIFALAKKDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNIAPESSSTGESLCSLRFAARVNACEIGTPRRQTNIIKPLDRLSLG >A09g500740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2882120:2883199:-1 gene:A09g500740.1_BraROA transcript:A09g500740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNLMKGRHASPPSRLDRLRRRSPSPTTQGCGSLHLPATRIDYGETEEASTSRRQEPAAAKLWKPPPPGNRTQPTIIFTAPTLQVTASSLQDQNHHGGCLARGQTRRPLKEMRRR >SC165g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:64823:66620:1 gene:SC165g500030.1_BraROA transcript:SC165g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A06p049690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:8080:10333:1 gene:A06p049690.1_BraROA transcript:A06p049690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSTINLLALVLLPFVIPKIWEACWIFLLRPWMITRRFKKQGISGPKYRFVYGNLKEIKKMKKEAKDWVLDPNSNDIFPRVVPHYHQWLSQYGETFLYWNGTKPTVFISDPELGKQILSTKLGFAVIAKKRPEVFILFGKGLPFIEGDDWARHRRILNPAFSIDRLKVMTKRMVDCTLRMLKEWRTQRKGEEVVMRMEINKDFHRLISDIIATTAFGSSYEEGIELFRSQAELGKYFLTSLTSVFIPGTQYLPTPTNLQLWKLDKNVKDSIKRIIDARLKSSSKNCGDDLLGIMLKAAASKEYEKTMSMDEIVDECKTFYISGQGSSATLLTWTTFLLSSHQDWQEKLREEVFNECGKDTVPDSDTFSKLKLMNMVLMESLRLYGPVIKMVREATQDMKIGHLDIPKGTSIIVPFLKMHTDKAIWGEDAKQFNPLRFENGVSQAANQPNALLPFSVGPRTCIAQNFAMMEAKTVLTMILQRFRISLSPEYKHTPVDYFNLHPQYGLPVILQPLDTR >A07p009390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5781462:5782193:-1 gene:A07p009390.1_BraROA transcript:A07p009390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTTEDKFGTRDDLEPEDDEVSPTSPRHGSIDNGKLGTASDKLEPRNELGTDKLQTKAELDPTNDELRTTVDKPGAAVGKLGTSRGELGAAVGKLGTSGGELGSAIDELGTSRGCLEPPLTGSKQQETRSEPPSTSSELPLTGSEHQAASSAPPSTNSSQTFYGQPLSKTSSISPPTPQNTSSIFFQNELSISSPTSQTPTLPLP >A02p011850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5179493:5179654:1 gene:A02p011850.1_BraROA transcript:A02p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGRTMDLALPDPTPSREISSSSPLLNTYSRCALPTMLHISHAASLSASRYA >A03p065840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29118230:29119611:-1 gene:A03p065840.1_BraROA transcript:A03p065840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMRFPQMDDQKAIQEAASQGLQSMEHLIRVLSTNRPEHNNNVDCSEITDFTVSKFKTVISLLNRTGHARFRRGPVPPAASPHKHQSQIGKPESAPIVVSQPSRPTAKLLPAAVAPSSQPSMTLDFTKPTVFGSSSKSSEMDFAKENFSVSLNSSYMSSAITGDGSVSKGSSIFLASQPVNSSGKPPLAGHPYRRRCVEHEHSENFSGKISGSGHGKCHCKKSRKNKMKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSTFKECPARKHVERALDDPSMLIVTYEWEHDHNPSAMQQNVSSSGVNDFVFNSA >A07p052460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27683664:27686632:1 gene:A07p052460.1_BraROA transcript:A07p052460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVENGLQGDPRLQTISDAIRVIPHFPKTGIMFQDITTLLLDPVAFKHVVDIFVDRYKHMNISLVAGVEARGFIFGPPIALAIGAKFVPLRKPGKLPGRVISEDYELEYGNDRLEMSMEAVKTHERALVIDDLVATGGTLSASINLLERAGAEVVECACVVGLPKFKGECRLKGKPLYVLVEPSQDIRIESSLHLLRRQRGSDVILLRNLHSGPLRPLSLDQLRTVFPHLELTGSDTLSTGTDDAKVCSATVLEALAKVLSARAREQVANKRVQQQVEALIKENAVLKRAVAIQHERRTNSS >A09p010060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5153779:5155152:1 gene:A09p010060.1_BraROA transcript:A09p010060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIFSTLRRSLTKPHGGAVSRRHHLSTTSAATASEPYPGDEHAIVMKGVRISGRPLYLDMQSTTPLDPRVFDAMNASSIYDYGNPHSRTHLYGWEAENAVEVARIQVAKLIGASPKEIVFLSGATEANNTVVKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGRPRVRLEPLMNGGGQERGLRSGTLATQQVVGFGVACELAMKEMEYDEKWIKRLQERLLNGVREKLDGVVVNGSLESRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDKAVELTVKQVEKLREMSPLYEMVKEGIDIKNIQWSQH >A01g506910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20302488:20304981:1 gene:A01g506910.1_BraROA transcript:A01g506910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKKGKYNSWTQDETKVLIELLVEGIKRGWRDSSGIINKATVENKILPVLNERIGCQKLHKHYQSRIKFLKNLYNSYVDLQRNSSGFGWDFETKRFTASEEVWQGYLKAHPSHQYMRYDSHEQFEDLKIIFDGTTANGGNSLGLSDTTDASTCLVGDYQVKEKFGESSDDVTDVAFVSKQSLKGHREKLIPRKRSRTGACYNAEELKNDDNDSIVAVSNKILNIIQQREERQQKEAEKREEKLRLKAEKQEAEKKKNNVWDAMKEITNLDQRTKFKAVTLIYSLGMKDVFAEMVKTCLRDTRNICVEEMVATFFLTIGQSSKYCYTIDTFKRSVFATSENFHKVLKSLNTLAPDLMAKPGVTTCAKIRESTRFYPYFKQREYANNWRNMIASNMWADATGNGSQ >A04g505190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11268154:11268690:-1 gene:A04g505190.1_BraROA transcript:A04g505190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAVQPLTWTKPAAGFVKCNVACSWSEASNTCGGAWLARDSNGMALCHSCRSFSGISSLRQAEQITLSWAVAAMKDIRWQRVIMEVSSPRLQDLLFDPRGLRDQSPWALEIHNALLTFEMGCFNLVSMKANTLAKEIATSVTRDRRSNLM >A08g503400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6219095:6220341:-1 gene:A08g503400.1_BraROA transcript:A08g503400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRFHHVNYRRIFAIGEEPSRVRVTAYRKPCALRHIFNALDPDEVERIRGSQFGRLLEIADKPSFSGRFGRYIISRQLKVSKNHEAWFVFAKKPIRFSLREFAIVTGLNCGRFAKRSKKRCKSHITEKPYWGELFGTLKEVPVSSVVRMLQKKTVNDKEIRLKYAYLSLLAAVILPTTHTPRISHDQAELIKDLDAFLAFPRGRVSFDMLMTSIKERKEVALLQNTIALKGFVLSLQLVMIEAVPALTDESGEADGDILDDDKSDKKSIHPGHARDIDSEGKAVVHSIIPDDNNIVNTADGVAWSDDKDETIVENLVNLVEERFPFSQTCFPGGVSIVEAFRMRDEAKAEAVNRKNSKPKATSSTIIQEGVDP >SC122g500180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:241325:243662:1 gene:SC122g500180.1_BraROA transcript:SC122g500180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNLRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWSMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A03p044590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18619408:18622101:-1 gene:A03p044590.1_BraROA transcript:A03p044590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIIKVKTGMKIPWDTRYSLSLLILLSSTLFLCNGQDYGTPTEDGAGEPPPEMARCNGIFMSYSFGSREREYPHVKNVTAQSWAFKSTAMIVNAGKEELTGWQMFIGFRHKELIVSATGAVPMDGDFPLDASNGTTFVGSPNTDLKTSILTAGDFTQISTNIEITGTLFGVAKSVMPMPKTLKLVNDGWECPAAKRKGGTMNVCCKRNPKFKVKTGPKTKFAPRRHGDLNIVYDVIQSFSSNYLAQVTIDNDNPLGRLDRWNLTWEWMRGEFINTMRGAYTHKRDPSECLYSKAGQYYKDLDFSQVMNCQKKPAISDLPPERKDDKVMGKLPFCCKNGTLLPPLMDPSKSRSMFQLQVWKLPPDLNRTALYPPQHWKIDGVLNPQYKCGPPVRVDPSQFPDSSGLPAVTYAISSWQIVCNITKPKAQASRCCVSFSAYYNNSAIPCNTCACGCNDIDTNTCNADRNPLLLPPDALLVPFDNRTLKAKAWAKQNHMPIPKKLPCPDNCGVSINWHVNTDYRNGWTARLTVFNWRDFAFEDWFVAVEMGKSGKGYENVYSFNGTRVPPNNRTVMFQGLPGLNYLVGQVNGTHPLRDPPVPGKQQSVISFTKKNINGLKIAEGDGFPTKLFFNGEECALPKHFPKKSSGHRGGISVLMSLVFAMATAFAL >A09g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13058286:13060010:1 gene:A09g504180.1_BraROA transcript:A09g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLGVLKALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCVSLVTKLLGRLYYFNPLSEKPGSLPPHVAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLIMMIVCSVASGLSFGNKAKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGILAGGFVALAVSSIFDKKFPAPTYAVNRALSTPPQADYIWRIIVMFGALPAALTYYWRMKMPETARYTALVAKNIKQATQDMSKVLQVELEMEERAEDIVKDPRLNYGLFSKEFAKRHGLPLLGCTSTWFLLDIAFYSQNLFQKDIFSAIGWIPKAATMNGIHEVFMIARAQTLIALCSTVPGYWFTVAFIDIMGRFAIQLMGFFMMTVFMFAIAFPYDHWIKPDNRIGFVVMYSLTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAATGKAGAIVGAFGFLYAAQPQDKTKTDAGYPPGIGVKNSLIMLGVINFVGMLFTFLVPEPKGKSLEELSGETEVEK >A10p006260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9638303:9646393:-1 gene:A10p006260.1_BraROA transcript:A10p006260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFDRLKVDGDDDEADKGGTITVGIFWNVTIARRKEGIGRRIRFLDGGLTEEKKVRLSGIRRSDERKQEEDMDAAIEVDLKLRDRRRWMNVYTKSNHNPQLSNKAMNLGAQTGDIGFDYYAAEPEIHFFSAIPEEDSISKDDLEKKLDPSVFKIGSTQAAKKRWVAMGKQVSRKVQHVEDKVKESLLQIQQGLELDKESLNSLKTRKLLVTQGWTGYSDVEKDPNYASKRKIFATDLTRENLHNWQELEFKEYNFNAKGQPLHASYLHPLLKVLDSLSFCPSDQQSFMSELDSQVSTAFDPFADANAEDSGAGTKEYVHIRVHQRNGRKSLTTVQGLKKEYIYSNILKDLKKEFCCNSTLVHDSELGHVHVYFTARYLRTSRKSFVATVH >A08p018730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12872983:12873228:-1 gene:A08p018730.1_BraROA transcript:A08p018730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSVKRGLSSMVFCFILLLLSSNVGCATARRLRFHKHHHKVASLVQDVRRLLGGEETGGDVVVMDYPQPHRKPPIHNEKA >A02p016720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7523917:7530353:1 gene:A02p016720.1_BraROA transcript:A02p016720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFTVELEGRSYRCRFCRTHLALPNDLISKSFHCRREKAYLFNRSVNISMGPLEERMMLSGMHTVADIFCCCCGQNVGWKYESAHEKDQKYKEGKFVLERGRIMDETSTEVCIDTRSDTEDS >A03p041040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17143705:17145804:1 gene:A03p041040.1_BraROA transcript:A03p041040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTEMPTDVVNDVSINKDGEKGIDSGIENNSSITTSKPKTESDLDMQKLVAMFKKLNPLAKEFFPSYYNPKKNNQTGKDNQLLSADDVAATKKQSGEEVDLNGKKGDNNQKRRNSCSQGRRRLTGRISKAQREDSMRRTVYVSDIDQTVTEEGLAGLFSNCGQVVDCRICGDPHSVLRFAFVEFADDQGAREALSLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCSRTIYCTNIDKKVTQADVRNLFETACGEVTRLRLLGDQLHSTRIAFVEFALADSALRALNCSGMVVGSQPIRVSPSKTPVRPRITRPPSTN >A04p015540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9649681:9651344:1 gene:A04p015540.1_BraROA transcript:A04p015540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase-like protein [Source:Projected from Arabidopsis thaliana (AT2G17280) UniProtKB/Swiss-Prot;Acc:Q8GY96] MDKGIGLYPLHRCKTIHLVRHAQGVHNVAGEKDHSAYSSEDYFDAQVTPLGWQQVDHLRNHVLETQLLNKVELVIVSPLLRTIQTAVGAFGGGEDTNGTDATPLMVANAGSSDRPAISGLNSPPFLAVELCRETMGDHPCDRRRSVTEYKAMFPAIDFSIIESDKDVLWKPSPRETPDEVAARGVEFINWLWTRKEKEIAIVSHSGFLHGLLSSFGKDCVEDIKKELSIHFKNCELRSMVIVDQGNLGTDSTETTNYSGKVPQGLDHPSD >A01p026880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19269777:19271963:1 gene:A01p026880.1_BraROA transcript:A01p026880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative small RNA degrading nuclease 4 [Source:Projected from Arabidopsis thaliana (AT3G50090) UniProtKB/Swiss-Prot;Acc:Q9SN09] MDHNLATAEKNVLEELVKLVQLRGLRGEHGGWIDFLAVCNQKASTPSNLSMVSRDLLVAFLTTFKKKEDLKALQCRANSLLVEKLKQETPENYTPEKMLIRLTMKHPEFSSDYSFPSLSNDWFVSDIGMKSSTVMKSTDMIAVDCEMVLCDDGTEGLVRVGAVDRHLKVILDKYVKPGKPIVDYRTAITGVTSLDIENATLSVADIQRELQQYLSDGSILVGHSLNKDMRVLKIDHPKVIDTALVFKFSNARNSRKPSLNDLHKAICGKEVRKEGVSHDCVHDAAASMNIALAFIKKPFDTTVTPSKEMLEAEKSRLFIHRIPSYVPSEKLNIVLAGEFHSTKFKLDVKPGKTQGGNYCAVVVFDSTKEADQAFENVNGYKEKDSFGLPQKLSTLKLSSGLSASCYVRKMV >A09p008040.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:4175411:4176022:-1 gene:A09p008040.1_BraROA transcript:A09p008040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF107 [Source:Projected from Arabidopsis thaliana (AT5G61590) UniProtKB/Swiss-Prot;Acc:Q9FKG2] MITFEESSDLEVIHKHLFEDLMIPDGFMEDFVFDDAAFVTGLLSLEPFNPVPKQEPSSPVLDPDSCVQEFLQIEAESSSSSSSTTTTSPEVGTVSNRKRPKRVEETRHYRGVRRRPWGKFAAEIRDPAKKGSRMWLGTFETDIDAARAYDYTAFKLRGRKAVLNFPLDAGKYDAPINSCRKRRRNDVPEPQGTTTSNSSSSSN >A08g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11955726:11957645:-1 gene:A08g506890.1_BraROA transcript:A08g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAAFGAPGEEPTPTPAAAPPITSDFMSSVMARLARQDEVQKTTNDQLAALVAALTAPEGQTSRPQLTRRRLFNTNPTAAGEETPRDNGGGDSSADEEHPANRRRIEVILSQQSLSSDEDNDDSPVPGDLRDSLKRRLAPETGSDTTRRDLRTMLDARKSRRISTSDGNNNEGPIGDLRDKLNAGVSDLRVRLNKSKSTDLRRQLERAKGQPQLPPPDTS >A09g512150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35574183:35576189:1 gene:A09g512150.1_BraROA transcript:A09g512150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGIEAPPPSSLLQNINSVISGSPSAPMDSNNGSPVVANSLSLPTSSSPLSRSLSMPSTLSSSVLLTAETSQDRTVDLPLGSEGNVTLGSQDDCSAPSVINSIINSLESAQSQQEETITSDVIDAQTTPSENSTQATTTFIPTLRAWAKPLLFKPLATPPEPSTPQNYDPTLIGNQLAALWPSLTDEILNKKPKSKHPTCTLQPPIEKLPPPELKPDGSLRFPWAARLSPQSRNLYRAATPTYRLDGTPEVSIPSKVLKPGPENKDEYIIGKFHRCSLPPGGLVHAVVNRIWGRSCKISCKKLGESSFMFRIPHEPTRHWVIQSESGHVLEEVRLVAVLGTLSPSSHSQQEKPIVPLNSVPAYSTLVDGQSNPTYSQIMETSPSSIINNKVLESSVIDPLTTSTNHCAFESPSRFTVLEEVDEAEIELSNSFSLTRGGRGNRGRRGRGSYH >A03p049050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20975366:20977180:-1 gene:A03p049050.1_BraROA transcript:A03p049050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDFSSVLLVLGLVLNSLVLFCNGGITSKFVRKVEKSIDMPLHSDVFRVPPGHNAPQQVHITQGDVEGKAVIVSWVTQEAPGSNTVLYWKEHSSKKHKAHGKTNTYKFYNYTSGYIHHCTIRNLEYDSKYYYVVGVGQTERKFWFFTPPKVGPDVPYTFGLIGDLGQTFDSNITLTHYEKSPKKGQAVLFVGDLSYADNHPNHDNNRWDSWGRFAERSTAYQPWIWTTGNHELDFAPEIGENKPFKPFTHRYRTPYRASGSTEPFWYSIKRGPAYIIVLSSYSAYGKYTPQYTWLEEEFPKVNRRETPWLIVLNHSPWYNSYDYHYMEGETMRVMYEPWFVKNKVDVVFSGHVHAYERSERISNIAYTVVNGICSPVKDQSAPVYITIGDGGNIEGLATK >A09p060430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50101647:50105971:1 gene:A09p060430.1_BraROA transcript:A09p060430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSQTDGRMEGWLYTIRHNRFGLQFSRKRYFVLLDDNLKSFRSVPSDQNEEPYRRASLDCCIRVTDNGRESFNRKVLFIFTLYNTTNHLDQLKLGASSPEEAAKWIRSLQDASQKKFPFPDCEFVSHAEKGLVKFNVSRRSRRKNSVDWTNYSSLNVETIAPDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMTVGVIDGTSENIFNTLMSFGPLRSEWDFSFYKGSVVEHLDGHTDIINVQLYSDWLPWGMNRRDLLLRRYWRREEDGTYVILCHSVYHKDCPPKKGYVRACVKSGGYVVTPVNKAKQSLVKHMVAIDWRSWNLYTGASSSRSITIRVVERLAALREMFKAKQGHGFTEFVSGEFMDTKSCVSNINTGPLKIAAKRVDLELVKAEDMEKPSSARNSLMDLNDASDEFFDVPEPSEFDSFIDYSPISQGHSQLKIPSPAGIVKKLQDLAINKKGYMDLQEVGMDEKTTFFYGATLQKDPNLTLPCSWATADPSTFLIRGENYLQDQQKVKAKDTMMQMIGADWISSDKREDDLGGRLGGVVQEFAAKGGPEFFFIVNMQAPGSAMYSLALYYMLKTPLEDHPLLHSFVNGDDAYRNSRFKLIPHISKGSWIVKQSVGKKACLVGQALVVRYTRGKNYLELDIDVGSSTVARGVTNLVLGYLNNLANTVEELPELLLGTCRLNYLDASKSVKER >A05p020430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9671247:9672155:-1 gene:A05p020430.1_BraROA transcript:A05p020430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRSCSRIEYPSRLFILFLNSLNSSITSVLCFLVSNPAASFSGKNHISSPLMTETVCSVNFSALFVTTGFSQSECMLTGFTRLSTATNPPLPRFSVSPLTKGQNRSLTVAPANPHQLDYAAPPPFLSLIPTDLLIYTGILFPIVGVYGIYSMVPSDNSSDYANHSVRVSAKFNNGFGEENKFNCLTILLADNVAFRVN >A06p042290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22721994:22725140:-1 gene:A06p042290.1_BraROA transcript:A06p042290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEQKKVTENVTTVSEPTPSTPAPVEKPVDAVDVAPQEKPVAPPPVLPSPAPVEEKLEDSKAIVPIVAKEAGEEKKEGSVNRDAVLARVETEKRMSLIKAWEEAEKCKVENKAEKKLSSIGSWENNKKAAVEAELKKMEEQLEKKKAEYVELMKNKIAQIHKQAEEKRAMIEARRGEEVLKAEELAAKYRATGTAPKKLFGCILLNVGTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKNIGLGFKTPRDAIDGHYIDKKCPFTGTVSVRGRILAGTCHSAKMQRTIIVRRNYLHFVKKYQRYEKRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGASAFAKKAFTGM >A10p038480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21491573:21494312:1 gene:A10p038480.1_BraROA transcript:A10p038480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSRNGFLQSVSAAMWRPRDGDDSVSQSNGDTTSEAAVSGELRSPSSPDHQVLNKPPEHLTMPKPVETKAKSDVLETQPESPKPETKADASPAKPKKPKHMKRVTSAGLRTESVLQRKTENFKEFYSLGRKLGQGQFGTTFLCVEKATGKEFACKSIAKRKLLSDEDVEDVRREIQIMHHLSGHPNVISIKGAYEDVVAVHLVMECCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVSKHEDSLLKTIDFGLSMFFKPDDVFTDVVGSPYYVAPEVLRKQYGPEADVWSAGVIVYILLSGVPPFWAESEQGIFEQVLHGDLDFSSDPWPSISESAKDLVRKMLVRDPKKRLTAHQVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKFKKMALRVIAESLSEEEIAGLKEMFNMIDADQSGQITFEELKAGLKRVGANLKESEILDLMQAADVDNSGTIDYKEFIAATLHLNKIEREDHLFAAFTYFDKDGSGYITPDELQQACEEFGVEDVRIEEMMRDVDQDNDGRIDYNEFVAMMQKGSITGGPVKMGLEKSFSIALKL >A09p075930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57034342:57036396:1 gene:A09p075930.1_BraROA transcript:A09p075930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLHHYWLVMIVLAAIFHSSNSHSLTCHPRDLEALRDFLIRLEFNRFSGEFPSGFEKCSLLEHLFISGNGLTGNIPEDLFHLQRLSLLEIQENGLTGSLSPALGNLSSLVHLDISSNSFSEEIPDVFNKFPRLEYLMARSNRFTGGIPKSLANSKTLRLLNLGNNSLSGPLYLNCTVMTNLASLDLGTNRFNSSLPEHLPLCRQLTHVSLARNHFDGHVPESFKDFHSLSYLGISNCSLVNISSTLHILHHCKNLTTLVLSLNFDGEMLPDYPSLRFKKLKVLVVANCRLTGLVPRWLSKSTSLELLDLSWNSLSGAIPYWIGGFTNLFYLDLSRNSFTGEIPKSLTRLHSLISQEISAEEPSLDLPIFLKKSKDGRALQYNHFFRLPPTLELSQNNLSGPIWEEFGNLKSLHVFNLNDTRLSGHIPSSLSGMTSLEVLDLSNNHLSGSIPSSLQKLTFLSKFSVANNSLSGRIPTGGQFQTFPNSSFEGNHVCGDHRFDCQADTPDQKRPSTSDESDNSGSDFALDFSYGVALGLGLSFVVVIAFRQKLFRF >A05p009120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3753207:3754571:-1 gene:A05p009120.1_BraROA transcript:A05p009120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSSWHRMSNFLRKYRKIPHSSFQTKWNETLKHKHAMEQLRTTLLSNPTSNGSVIQTLTNSFHIHNCKLTPQAYRLVVRTLAKSSNLESIASVLNHLETSEKFETPEHLFKDVIFAYGLSGKIQEAIDVFFKIPKFRCAPSAYTLNALLSVLVRSRESLVMVPEVLVKASGMGVRLEESTFEILVDALCKIGEVDYAGELVKYMSDESYIVDPSLYSKLLSSVCKHKDSKCFDVIGYVEELRKIRFSPDLRDYTVVLRFLVQGGRGKEVVSVLNQMKCDRIEPDLVCYTIILQGVIADEDYSRADKLFDELLLLGLAPDVYTYNVYINGLCKRNDIDGAVKMMACMEKLGCEANVVTYNILIKGLVKGGDMSRAKSVWAEMERDGVDRNSHTYDIMIGGFVEVDDVGYAQGLLEEAFSRNLVVKRSRTEEVICRLCDKGLMDKAVELLAHLV >A03p031020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13056698:13057996:1 gene:A03p031020.1_BraROA transcript:A03p031020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STAY-GREEN 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G11910) UniProtKB/Swiss-Prot;Acc:Q66WT5] MCSLATNLLFPSKMKPAFTEKQSTSSLFVTTNNRSKMKNRSAVPVARLFGPAIFEASKLKVSFLGVDEKKHPSKLPRTYTLTHSDITAKLTLAISQSINNSQLQGWANRLFRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLIAKLRYYIFCKELPVVLKAFVHGDGNLLNSYPELQESPVWVYFHSNIPEYNKVECWGPLWEATQHNHDGKRTRKKCETLPELACPDECKCCFPSVSTIPWSHRHYQHGRGDENENFVGG >A01p019920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9739863:9743317:-1 gene:A01p019920.1_BraROA transcript:A01p019920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14M [Source:Projected from Arabidopsis thaliana (AT4G27180) UniProtKB/Swiss-Prot;Acc:P46864] MVGEMTNGGRIRQSFPGARDLTSTEGSEYGPVEFTREDVDALLHERIKYKSKYNYKERCENTMDYVKRLRLCIRWFQELELDYAFEQEKLKNAMELNEKHCADLEVSLKEKEVELNLVIHELRKNFSSVQVQLAKEQAEKLAATGSLEKEREARLAVEKLQAALTEELGKTQGDLQTANQRIQAVNDMYKLLQEYNSSLQLYNSKIQGDLDEAHETIKRGEKERTGIVENIGNLKGQFKALQDQLAASKVSQEDIMKQKDELVNEIVSLKVEIQQVKDDRDRHITEVKNLQAEATKQNDFKGTINELESKCSSQNKELQELQDQLAASERKLQVADLSTFEKMNEFEEQKESITELKARLEKAELKLIEGEQLRKKLHNTIQELKGNIRVFCRVRPLLSGENSSEEAKTISYPTSLEALGRGIDLMQNGQKHCFTFDKVFVPNASQEDIFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGNPEEKGLIPRCLEQIFQTRQSLRSQGWKYELQVSMLEIYNETIRDLLSTNKEAVRADNGVSPQKYAIKHDASGNTHVVELTVVDVRSSREVSFLLDHAARNRQVSVLKNCSSLREAANDANCFFTILYRSVGKTAMNEQSSRSHFVFTLRITGFNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLGDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNITPEPSSTGESLCSLRFAARVNACEIGTAHRQVNNRPLDNRLSLG >A07p043080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23328506:23330012:1 gene:A07p043080.1_BraROA transcript:A07p043080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLMESNTTNGNNQKTKMSLVLSTDAKPRLKWTCELHHRFIEAVNQLGGPNKATPKGLMKAMEIPGLTLYHLKSHLQKYRLGKSMKFDDNNLEDSSASETQEAESKNVSADFRGSVNVENNNPANDRGVQITEALQLQMEVQKKLHEQIEVQRHLQVKIEAQGKYLQSVLLKAQHTLAGYTSSSLGMDFARTELSRLASMVNQSSSFSELTQVEEYKEEGFLWCKKPENRGITHPRRSVESSLTSSESSETNLNKNNDERMSVELPLMEIKSEKLTEKKKRSLNDVVCMERQPPKKRNLGAYDDDDDDEHLRLSLNSYKKDMGTCPNIGLGFN >A03p058750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25461798:25464861:1 gene:A03p058750.1_BraROA transcript:A03p058750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYENFKITAYKLLSVVHEQNNPAHRSLPPVEQQLWKNLWKLKTLPKIRHFLLRVLSGAIAVKERLRSRNLGTDTTCKTCGQGPETVCHLLFTCPAAVEACKIAQIPPPRGGFSPNSTFLNLHYLVACTKKRNAELGNFKTFPWILWNLWKSRNSLVFENSRSSDFSCVTKSVEEANIWFQVNNDTCVPSHDIAQPLPLSDHWVRPPMGLLKCNIGSAWDHLWGLIGTGWLLRDRQGTPINHSRRAFSESTSRREADLNSLLWAVESMVNMRLKNVILEASSIELREALLEPHQYPELKSLIERILLLFSRLDSWSLIHVQGSRNRVATAIAVSVITDVRTQSYVATGGPSWLSHTILSEAQAV >A07p022020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12927536:12928137:1 gene:A07p022020.1_BraROA transcript:A07p022020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLNPSEKQYMKMAMLKHEETFKQQVYELHRLYQVQKILMKNMQVNKGNDVNSGLGSFIRRVDRPANFPDGGEAGGNDIDIMDESEIELTLGPSCYGGDLMRMNKKKRNNSSLEMMDGNLNSGRRSFSSSSTGSSNNNHNNLEEQVRQERLMKHQKQKQPWLQALTLNVI >A09p061170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50501708:50514333:1 gene:A09p061170.1_BraROA transcript:A09p061170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISGLSDELLVKILLLVPTKVAVSTSILSKRWEYLWMWLPKLDYGHSYCSEPESSRLRRFLDHNLPLHRAPVIESFRLELVSSRFKRASIKMWVAIAVSHCLRELNILYESKLNILPSNLFTCKSLVILKLAGGMLLNVPRMVSLPSLKTLKLQRVKYFKGKTLQRLLSNCPVLEDLVLDLPKGESTGKLTVVVPSLQRLSLDIPSAHDIVGYVIKTPDLKYFKLVDDNDKSHYCLIEHMPNLSEAHLDVSLPDIKSLIASITSVKRLAICSAAMFDEGFVFNQLEHLKLCICRDHSSNQLFRLLKSSTILQELNLFSMDYGHRREPEREKLRCFLDRNLPLHRAPVIESFRLELCNTRFKPESINMWVVVALSHCLRELEIVYETYPPKPNILPSNMYTSKSLVILKLDGEILLDVPRMVSLPSLKTLKLQSVRYVNDETLQRLLSNCPILEDLVVRLREYGDTMQKLTVVAPSVRSLSLCIPYSHEIAEYVIETPSLKYFKLVDYSNNDHYGLIENMPYLIEAYVDCCCPDIYSLINSITYVKRLTICSEATLDGLVTLVFNQLEHLEVCLCTVLFSSQLVQLFNASSKLKRVDISLMDGHDPRQDMDYWKEPSTVPECLLSSLQSLSWSAYTGEPEERAIVGYILKHAVHLKTATIKSYGSDVWNLEILKELELSPRASKTCQLMKRQTMAVKKQTTLTREFLQPQEIISSVNLMREAQTI >A03p050040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21495539:21498179:-1 gene:A03p050040.1_BraROA transcript:A03p050040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVTPDAISAVLSNPSFDSSSSDRSEIVVQVVDLKPIGNRYTFSANDGKTRVKAMFTASLTPEIISGNIQNLGLIRLLDFTVNDISSNSTKYFLVTKCESVASVLDSEVNLEGGEAKRQKLDHSPKQEFVAKSASQIITEQRGNAAPAARMSMTRRVHPLVSLNPYQGSWTIKVRVTNKGVMRNYKNARGEGCVFNVELTDEEGTQIQAAMFNDAARKFYDRFQLGKVYYISRGSLKLANKQFKTVQNDYEMTLNENSEVEEASDEEMFVPETKFNFVPIEELGMYVNQKELIDLIGVVQSVSPTMSIRRRTDNEMIPKRDITLADESKKTVVVSLWNNLATGIGQELLDMADKSPVIAIKSLKVGDFQGVSLSTISRSNVVINPESPEAKKLKSWYDSEGKETSMSSIGSGMSPSAKNGSWSMYADRVPLSQITSNLSLGEDKPVFFSTRGYISFIKPDQTMWYQACKTCSKKVTEAMDSGYWCESCQKKDEECSLRYIMVVKVSDSTGEAWFSSFNDEAEKIIGCSADELNKLRSEAGEVNEFQTKLKEATWSSHVFRISVTQNEYNSEKRQRITVKAVAPVDFAAESRLLLQDISKKNTSAASH >A01p048960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27531769:27532292:1 gene:A01p048960.1_BraROA transcript:A01p048960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMIHHVPVGSKLLLTKENLRTVTNQSLNCIRSDAAVMLRNTFALCLVVSVNPHLYVIIGGRHWPTECFISKTCIG >A09g512210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35773689:35774809:1 gene:A09g512210.1_BraROA transcript:A09g512210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERDLRMISETRLLQMETPREPHFFKPLLPGFQSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHDLRIGDIVIFKHEGDLVFHVTPFGPSCCEIQYTHPHIVKEEADADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTVRFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNVVGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A02p014440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6397349:6398889:-1 gene:A02p014440.1_BraROA transcript:A02p014440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINGDQPPMIWPQFESTGYTRRRSPVPTMLVPSMIGVISAAMFLLFTTVVVPPFISVTSQILQPSSVKRGWDSINVVVVLFAIICGVLARRNDDVSPSSYSHSGEEEQGGAVIGDDVYDADRLKIYESLSRLPATGGLPLRRSSSSYPDLRNGVFRETADRRFRFYDDLGIDKYRWESTVVIDEQFRSVSKTEIEESEPKEVTVDKFVVRPSFIGLFKRTLRPPPPPPPPPPPPPPLRTLQRRKSNAAKEIKMVFASLYNQGKRKKLQKLKRKEKRESPAVVETEPPQYRSTFPPPSTPPPPPPPPPPPRSSQSVFYGLFKKGAKSKKLHSIPAPPPPPPPRKIQLDPQTPPRRVNSGRPPRQPKQTNINEESYQEPPLTQTIPPPPPPPPFRVPPLKFVVSGDFAKIRSNQSSRCSSPEREVIDLGWGLELTQSDGGEDNNVAVNGSGGGTGFFCPSPDVNTKADNFIARLRDEWRLDKVNSVKRRRSMSFV >A05p007060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2848462:2849990:-1 gene:A05p007060.1_BraROA transcript:A05p007060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKTTKVDVESPAVVAPVKEPTPVPVEASKAWQRRRFILHHLLTSPKLLPLSKLLAEPIEDPTPKKGSADRDVILADLEKEKKSSFIKAWEESEKSKAENKAQKKISDVLAWENSKKAATEAQLRKIEEKLEKKKAVYGEKMKNKVAAIHKQAEEKRAMVEAKKGEELLKAEETAAKYRATGVVPKATCGCF >SC122g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:81702:82860:-1 gene:SC122g500030.1_BraROA transcript:SC122g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCWLSSSVSSCSASNCVSISAISFFIFSFLDDFWEEEEGTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFMIVLPSLMTFQRLLLNKIEEDIQLMLSKGLELKSFLGDV >A06p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23281022:23299547:1 gene:A06p043260.1_BraROA transcript:A06p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGLVQKLLLGYLGRYIKDIQREQLKITVWNEEVLLENVELILEAFDYLQLPIALKQGRVGKLSIKIPWKKIGWEPIIIKLEDVFISATQRSDQEWSSDVVEKREFAGKKAKLAAAELAKLSKRVFDSPAGNYVTSYIAAKVLDSIQLSIKNFHIVYSDAQSELEQVVLGLRFSSLTVSKQNPIGKPVGRMRGGQVNKRVEVEALEIYCDQYEGDMDFLSVDKKGDFDKWCQAKVLSDEFGYLLKPVHVCVTLSVNRSGELYDDLPQYSISAELTDVVMTLNEFQLQQILILLDYLQTSQLRMGGIAPAPVPYRGNHQGGRDSGGVMPKNQLYLKYGRNFGKLHGDILDSECSLSSVGELLYLLSIDEEILLGLEEMEKKSDIDDILSYRSAAEGEMQKACSELAVNMGEVGATGTEKEQSVSEKEHSDDPTSNQSRGWLNWLSRGMLGAGGTEDSSQFSGVVSDEIVKDIHEATKFDPLSSSPRIISATGQICTCFIRLDVQKVSAILQHINGQAITELDILGVIVECKSWKESTAINVSIISGRLVYPQNGKEILTMKGSDELEMKPSYGVRLDLSQDHDLSQDHDVALSVKVTLQPLEAAYDVDFFLSVSKFFAGSRAFKLQHERVLSSLNGLENETRLAAKTEYLLSSRNKVKWDLDIKDLTICFPGRLVESESYNLVLVLESLSITSSSTYFLNPSPRMQPGIGDGLQSSIAALDVFQVKDFYDHFEINICDLEMRLTKIQSLQELPLVEKTSVLIKLASCLIPEESVLNQLEIEATLSTINVHFSPSVFEGIMSVIEYLDIQDHGAQDVPPYPVSIFRFTINTNLALFRLHVNLENEGVNSTMLILSLQHLDLWYSLTKFEEWSVRIKALELIARSSRFAAHSHILCSSGDVLESFFPGGQEMDAQSSGQTNITDNGATPEAVLSLICKVSRSKNSVSHKYTINWTGAELHCYPYIFGLLTSFLDKITSYKISSADTYPSSLPADTNTPTEIPRLGFERFGFSNFIENRSCGSIPLDKYPFMTIYNSGSLGSLDSSLCYSSSDWRKSFKLKNKKDGTYIGMNCECESCTLQQNCDCPLNELSFSRGLSQTSLFIVDVHVSNTNVHFHDSSSVFGTIILPVSRYVLTISDDCFDLVATAEDLMLESSLFTNYFGGFLWRASLTDVSPVLNLRVRKRNLESSGSELEVSIGIQHTCCILPPEYLAIIIGYFTLPDWTSKSGLQSLPQATECTKAHSELAITYKIEILDSTVILPVENDDCRQLKVDLQELYMSFILECALSNVVQHIPEECVIPRNQVAGRTDCINIFGRDLSLSLLLSENGTSTFKKDSVCRSITLAARFIADAWIRLPCDHDSLSDMACVMSRVEVCEIVADDSDSLDGFKAFLDVVDQLSLVGEESNLFVSDVPQFLDTKMRLKQEPAVAPLESLANFLKFRFFVNLLMIKLHRKDLGTLLSQPVLQADLKFVCSGDLENNFPTSLDVQCFEIGLYSLLSSVMLARCADANGGPSALKVRFMAEVENEYKLCFSLPSLDIWLHSSDWIEVIELLTSFSQKVEDSNLDMHDSIEGVRNACDNTDGVLSVQSGVSEKPCEVMAFAARSEIIGVTIYFPLCISDTEFPGFMAADIHERSEEEHIKTLKGRYCKYVSVTALSRSGELSILGRDVKLSYKIEKLNGILAISGIDTVRSCSLFGASQLLVETSIQMDKKKIMSIDVGVLSDNVEMHASHQVLSFWNGVTFDAPETPSSPSFQGIISMKFQIREASLLISDGRWGCSGRLLEVIVRNFLLQANLTENNVESLISCDLEVNYNNMHKVLWEPFIEPWNFDIKLSRKFEANALLNNAGLTEVIVASSNQLNVNLTESLFECIFRITEMLNTLELMETDDVPDDKRLSVHCTESTNAERYSPYVLQNLTSLPLGFEVFQGRNSHLLNMSAPVAQNIVQPGSSVPIYLDNSDTLLIPDRRRSHFGCFSCESGDATHHYMKVQLDGTSFASPPHSMDRIGLSYFEVDFSKTSKSSNNVGKASKSGSGNSFVVPVVFEVSLHQQSKLIRVYSTVIILNSTSMPLELRFDIPFGVSPKILDPIFPGQEFPLPLHLAKSGRLRWRPLGDSFLWSEAHSISKVLSQDSRIGFRRSFACYPCHPSHEPFRCCISVESSSLPASFGRELHDIDQSREQFIHQVTLSTPFVVSSCLPEPISLSIESSGITQTASLSEGETPFYHIDPSHDLVLEFKLNGSRSSSLKFPRSETFSTLAKFSGGKFSQTDTLCFDSYPDGGSVYVSCEKTMDVTCGAREVFIFVPFLLYNCTGTPLIVSDCTNEAKAYSVIPSCYNLVEQHFVQSQKVGLGILTSDKDLLDKFPNADSPSSPSSSECSNTTSSIERFLDRHATPSTRQVPLAYPKDSATVRKRSLSSKSLREVCFQGNEPGKVKACIYSPCPISRASDSSIRVKKDLPGSDNSDSPHSLWSAPFPLVPPGGSTNIVVPQPHPGESSLLSVTCSILGGALAGRTQAITFQPRYIICNSCSRNLCYKQKGTNLVSQLAVGQHSQLQWADTTRELLVSIRLNEPGWQWSGGFLPDHLGDTQLKIWNYVNKAFNMVRVEVQNANMSSGDEKLLGSVHGNVGTNFILLSDDDMGYMPYRIDNFSNERLRVYQQKCETFDTIVHPYTSCPYAWDEPCYPHRLTIEVPGDRVIGSYAFEITRQPITVHLRSTPEKPERTLLLSICAEGATKVFRIVDSGHHTIKDIKETFNSKFHEKGKQKLQTDNIIRYTEKFLLVLPSIGISLVNSHPQELVYACASNVVFDLSQSVDQQKLSFHISSLQIDNPLHNSSYPVILSFNDDHRSIPIDWGIKDKARFFESVEQLRSNTRDVVLYIGLAKWRKKDVSLVSFEYINIRIGEFGLELELQTLLSLLEFVKAVLPNSQARLLPLSDPTVHPLIYDTGSKEISLDDAPPHARNIPVFNKSQRSTVSLPIVVPIGAPWQHIHLLARRHRKLYVETFDLTPIKFTLSFCSAPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQLTIAHHMTSWESFQEILVGHYTRQILHEMYKVFGSAGVIGNPMGFARNVAVGIKDFLSAPSRSVSKSPAGIIQGMAHGTTSLLSSTVYALSDAATQFSKAAHKGIVAFTFSEHDVARMEKQQLGEGSRSKGVIGEVFEGLTGLLQSPIRGAEKYGLPGVISGMALGITGVVARPAASILEVTGKTAQSIRNRSRIHNMRSQRHRLRLPRPLSREQPLRPYSWEEAVGTAVLTEFGDALKFKGETLVKCKAPKQEGAFVVITGRLVLVLSSPSLVDFGKPGFLGVPIDLVWNIEREIGLESVIHTDCSGGVVRIIGSNSDGVWNWRQNQQKKSSPSRKRWNDASAQPLLQTNLEFTSEEEAEELLSVLLSTIETGKSRSWHSQFVLSRSNIS >A05p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5360962:5363566:1 gene:A05p012380.1_BraROA transcript:A05p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVFLFLIVNEMASSQLEIASLRSNFGCIILRDRNQRQNNNDAVFKKNPKKKNKSRLGSPEKPRTGEGNNFSDSTKRNDNRGGASSLVQIWEARLNRSSGGNSPIHGLATESVQEETNLSAPSIDGESESENESKNNDPTVEVESGALGTVPESGESKWGRVAEIIRKLKLTAGDNVRAADVLNIKTPKQEKSSFRAVTCSPRLRGRQAFSDLLMRLERDRHRELESLAGRNAVSKFSQRGRLQSMLRLRTLKRGLLIQDRHLSSAKTPDLSRFEFGSTVLNLRERFGANATSEAERKKRQETTLETERSTESMKPKDTTILLETTSTERLSPRNHKIEAANSRKKETEPKMSYRQLQETTVQEALKRKIDNTIPHTIVTLQEPRTPEKEVANIVESRAYGTHETPFLESQETPFLESQETSFVWQYQEEYEDEQSYYGEMSNDWFTEISRPRTYWEDLRKSRYLEVMNTRSDKDDICRLLERRTVSDFLQSELREKIDKLMMSRVQTHPVQRIEEADKEEQECEIGEEEDELRDDLSHTSSQLFAPSPAGSWSSQDIGVSSTPDLSPLHTPQSTEMEIISELRSQILQLQLDMSELRDSVKTCLDVNATLQKSVHRENPLKRKCCVCNESQVETLLYRCGHMCTCLRCANELQCNGGKCPICRAKILDVVRVFFDSST >A09p058770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49422160:49425427:1 gene:A09p058770.1_BraROA transcript:A09p058770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQRSCIVLFSLSLFVPHMGFAMLNKTLLLIPHPDPELVAHDVHWRVNASIWRRQAMDTTDQAGSNQCFTGNPIDDCWKCDTNWPNNRQRLADCGIGFGQYALGGKGGRFYFVTDSSDDDVVDPKPGTLRYGVIQVEPLWIVFPSNMMIKLKHELIFNSYKTLDGRGANVHIVGGGCITLQYVSNIIIHNIHIHHCHPSGNTNIRSSPTHYGLRTESDGDGISIFGSKDIWIDHCSLSRCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDHYEPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPTNPFAKEVTKRVETPDGDWKGWNWRSEGDILVNGAFFVASGEGAEMRYEKAYSVDPKSASFIDQITFHCGVLGVGGRNNNLGMWTTTGSEGSGGLDSYNDYTDEMSGTGSTNRLSFSIIVIAFMFNLISYSFMLL >A07p037780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20180790:20182623:-1 gene:A07p037780.1_BraROA transcript:A07p037780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGYVMCEKCEGRKNPKESKGAAMKSVIRKQRMDLSILFLEVLIESTSIFLVRVCVLLQYLMWVSLDLKKKKDLNLFVCFWVEYAAMEDKQLGVMRVHVKRGINLAIRDSTTSDPYVVVTLANQKVKTRVINSNCNPVWDEQLALTIKDVTDPIRMTVYDKDRFSGDDKMGDAEIDMRPFLEAHQMELDFQKLPNGCAIKRIRPGRTNCLAEESSITWSNGKIIQDMILRLRNVECGELEIMLELADGPGCKGLGREGSKKTSWMQTKQLD >A05p002960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:1054641:1054946:1 gene:A05p002960.1_BraROA transcript:A05p002960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Precursor of CEP15 [Source:Projected from Arabidopsis thaliana (AT2G40530) UniProtKB/Swiss-Prot;Acc:O22882] MDERKIKLHVMLLSFILISIAPSIHGFKMRGITRSEPEAFHGGKYFPAMKSRKLMATKLEVDYSGDYDDGASSASPSPPVPDYDDDIYKRQGDVPSPGIGH >A01g510390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28550089:28550599:1 gene:A01g510390.1_BraROA transcript:A01g510390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRFSVKRLATVTISKTNGGDVSGYVLRQATTRLITAFRQTEASNQLEINYLQSMRDRHNEQGSTNITNGDKSTTSYVSDKAKEGVKKATDAALNAGDNMKDAIGWRLESGEGNGSKHQRSNSGG >A09g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:739041:747448:1 gene:A09g500120.1_BraROA transcript:A09g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSKMVSSSSSLTPVMKDGECRSWSELPYVLTSSILRRLDYTDILVNAQRVCRSWRRVCKDPAMWSKIDLRDLGKFRYLVKTLCRHILDLSQGGLVELDMWYIGPDSLLDYIAYRSSNLRSLKLDLISMITTDGLTEALGKLPLLEELELSRYTLSGDSLKVVGQSCPKLKTLKLHSLEIRPPGYGNDDDALAIAETMHGLRFLLLFGNCLTKVGLNAILDNCLDLEHLDLRLCFNFKLVGDLEKRCSERIKVLRRPFDSPDCPYDEREIHADISDDEVPFVPDTKMASSPLTPVMKEDGECRNWSELPYELMASILSRLDTIDILENAQKVCTSWHRVCKDPAMWRKIDFRYFGDKKYNLETMCRHAVDLCQGGLLEIDISCFGTDSLLNYIADRSSILRSLELALISVTTEGLAEAIGKLPFLEELEITEFAMWGCYLKVVGQSCPKLKTLKLNCIRDGFDPPFYVSDDDALAIAETMHGLRFLQLFSNGLTDAGLKAILDNCPDLEHLDLNHCFNFQILFSQQNPEKAGDDNLSLWLKGWSNAIHEALAELPYELTSSILRSLSSIDILENAQRVCTSWRHVCKDPEMWRKIDMRNLVDVGYTLEIMCRHAVDRSQRGLVEINIWHFATDSLLNHIAERSSNLRSLRLVMCSRITNDGLAKALAKLPLLEELEFSYCPLSVESLRLSGRSCPNLKTLKLNRLRLMRFPYESDDDALAIAETMPKLSHLQLFANTLTDAGLNAILDNCPNLEHLDLRECRSVKLSGDLRKRCSERIKVLREPFDFGTNPSTAKFRVAEGMTKRTPSSSGLAMTMHPSQKEREFTFLCNDNTEHESKIKHIILSICWFFNYFSISKVLVSEKADDDPLLLIMEEICSPS >A01g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13539539:13540808:-1 gene:A01g504410.1_BraROA transcript:A01g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEGAALSISGTLRRSPNESPLRNRSSSVPEISSVSGTYTFSITWSEIQSLTPYLREKQGLDVVKAVLDTLTETGYSNSITTKLKKPPASAVVIGKSSVFPEIDGFVTGQTNVVERLQKSQLPVYVELFQNEFVSQPFDFFSDATIEINSYVTGPGINGIMTEFPFKAERYRKVRNRCLASKETLPYMAPVQPGGLLEVVSPGSLPPDEAPTPVFTDADVTGPPLPPVTSTTAPAPSGQA >A07p031080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17226345:17228654:1 gene:A07p031080.1_BraROA transcript:A07p031080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVGDYSKRYLAMVTAKNKGSGRNQVKKGFRKFSKQMEGSEKFKSPDRLNKRKPDFIKRNIYMKDKLKKTINKDHGIFCSCSSSSGSSTLCGKNCNCELLLSSCSPSCPCRCECTNKPFQQRHIKKMKIVQTEKCGYGIVADEDINSGEFIIEYVGEVIDNEICVQRLRKLKHKVETNFYLCQINNNLLVDATYKGNKSRYFNHSCDPNTVMQKWMIDGETRLGIFATRDINKGEHLTYDYQCDTKKNSRLVQFGPDQDCHCGAVCCKKKLGAKASKTKNIPKDEDVNLTACKVVTRKRPKVKYEDGVTENIDMSREVWKFTAV >A03p065070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28373210:28375736:-1 gene:A03p065070.1_BraROA transcript:A03p065070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKEIGNALKGSPIDTLIRSCLLEERSGEVSFNYGAYTLKWTFHNELGLVFVAVYQKILQLLYVDDLLSIVKQSFSEMYDPKRMRYDDFEETFRQLSVEAEARAEEMKRTKQVAKPLNGVKKQGQVSKEETKKSNGEKKDDGDKVSVLSNGNHKMEDDVANGKENSADNNNNNSVGVDVSKLAKLRSKGVRGRGGLRKADSLGSNKGSSKVVEPPKKATKKNRVWDDAAAAPKQEEEKLDFTDENGDNGHVDVVAAADQGESMMDKEEVFSSDSESEDDDDDEPRSDEKPEAKKKGWFSSVFQSITGKANLERTDLEPALKALKERLMTKNVAEEIAEKLCESVEASLEGKKLASFTRISSTVQGAMEDALIRILTPRRSIDIMRDVHAAKEQRRPYVVVFVGVNGVGKSTNLAKVAYWLQQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNKPDLVLFVGEALVGNDAVDQLSKFNQKLSDLSTSGNTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKAIVKTLLK >A08p039830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22832558:22834919:1 gene:A08p039830.1_BraROA transcript:A08p039830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSLLASKQGSSLSRFFQTKSVNHSQGLDSPKNTKFHQLYKFELEHDVKRLKDQLQKETALRALLLKASDQSHKIELSHTSSLPRGVQELLSSIVTMEATVSMLEEEIMSLHFLLIQERNERKLAEYSLTHSLSPPNATDLVKFSKKKETLRRKDPHRSKVSRSLQSCDNANELSKEMIRCMRNIFVSLGETSAGSKSSQEIVPFSPTRKNASSSTWWSPSEHSRISKWVQSPRIDIKKNSDVLATESNVFDPYRVQGKLSWADIGSYRSATEVASMSVEEKRLGYASDELWRFRHLVERLARVNPTELSHNEKLAFWINIYNALIMHAYLAYGVPKTDLKLFSLMQKAAYTVGGHSYNAATIEFMTLKMNPPLHRPQIALLLSILKLKVSEEQKQAGISTHEPLVSFALSCGMHSSPAVRIYSAENVVEELEDAQKDYIQASVGVSPRGKLIVSKMLHCFAKNFVDDSKVALWISRHLPPRHAAFVEQCIHRRQRWGFLDSSSSKCGVIPFDSRFRYLFLP >A06p057550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:29940338:29941468:1 gene:A06p057550.1_BraROA transcript:A06p057550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLTVTSSSGSNAEKPPQKKKKVHGPRPLSLLLLPDELSLNCLARVPRCYHPSVSMVSTTMRRLIASPKIYVERSFLRRTENVLYVAIRSCVTETPRWYTLNLKPFGQQESGVVNHSLVPVPSFPAVPPWGMSIVTVGSEIYVIGGSVNDKMVSTVFVIDCPSYTRRFLPSMKQARACSAAGIVGGKLYVIGGCNPRSSNWVEAFDLKTQTWETVTVVHNLEVYEKMIRSFVMDGKICIMDRKSSFAYDPKEGRLERDPLLNGQWSVGSCVIDDKLYAFGRRNTIMEFDPVARFWSQVSGLEDLPDKTEGSKMVNYGGKLVILVNLQRRSTEIWYTEIELERREGGEVWGTVLWSNRVLAFEDSYVILRSLAVSF >A03g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23647358:23649680:1 gene:A03g506560.1_BraROA transcript:A03g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09p019840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10559080:10561047:1 gene:A09p019840.1_BraROA transcript:A09p019840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 2 [Source:Projected from Arabidopsis thaliana (AT1G60790) UniProtKB/Swiss-Prot;Acc:Q8VYR3] MDSSKKLLFPDQFFSARRRNILSRFGLGIAASLVILTLLSLTNSSFNVPFVSPLLQGLRNSNLNIPSSVSPQFNSSGSSSSSNQVEEKKPEVVQTRDTGSKNTSLSGEGEVSSFESGPRSGETVINSTLSEDGKVSVRNDENTLEANATISVGNSSSLVSDLGGRLNGTDFLEKLRGKKLVFVGDSINRNMWESLVCILRHSLKDKKRVYEISGRREFKKRGFYAFRFEDYNCTVDFVGSPFFVRESSFRGVNGTTLETLRLDMMDKTTSMYRDADILIFNTGHWWTHDKTKLGENYYQEGNVVYPRLKVLEAYKRALTTWAKWVDKNIDRNQTHVVFRGYSETHFRGGPWNSGGQCHNETEPIYNTHYLKKYPSKMKALDYILRDKMKTPVIYMNISRLTDFRKDGHPSIYRTVYKTEKEKREAVSHQDCSHWCLPGVPDTWNQLLYVSLLKAGLASKW >A03p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4067230:4067942:-1 gene:A03p010160.1_BraROA transcript:A03p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASRFQAFLNSPVGPKTTHFWGPIANWGFVAAGLVDMQKPPEMISGNMSSAMCIYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWARAQGYLSSTKEEEKPSQ >A03g508410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28796787:28801317:-1 gene:A03g508410.1_BraROA transcript:A03g508410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNHHPSGSSNSFHGGEFNKPSKVAVASMINSEIGAVLAVMRRNVRWGVRYIADDDQLEHSLIHSLKELRKQIFSWQSNCQNVDPKLYIQPFLDVILSDETGAPITGVALSSVYKILTLDVFTLDTVNVGEAMHIIVDAVKSCRFEVTDPASEEVVLMKILQVLLACIKCKAASGLSNQDICTIVNTCLRVVHQSSSKSELLQRIARHTMHELVRCIFSQLPYIGPLANESELPVGDKVGTVEWDQNSGENTVENGSIDTLGVEKDSPSSEMVIPETVLKKDEKGAEVSDDLNVAANGENAMLAPFGIPCMVEIFHFLCTLLNIGENSEVNSRSNPIAFDEDVPLFALGLINSAIELGGSSFREHPKLLSLIQDELFCNLMQFGMSMSPLILSTVCSIVLNLYLTLRTELKVQLEAFFSCVLLRIAQSKHGSSYQQQEVAMEALVDFCRQHTFMAEMFANFDCDITCSNVFEDVSNLLSKSAFPVNGPLSAMHIIALDGLISMVQGMAERVGEELPGSNVSTHEEGYEAFWTVRCENYGDPNLWVPFVRKSKHIKKKLMVGADHFNSDPKKGLQQLQAMHLLPEELDPKSVACFFRYTCGIDKNLIGDFLGNHDQFCVQVLHEFAKTFDFQNMNLDNALRLFVGTFRLPGESQKIQRVLEAFSERYYEQSPQILIDKDAALLLSYSIILLNTDHHNTQVKKKMTEEDFIRNNRCINGGADLPREYLSELYHSICDSEIQMIPDQGTGFQMMTSSRWISVIYKSKETSPYIICDSASYLDRDMFHIVSGPTIAATSVVFEQAEQEDVLQRCVDGLLAIAKLSAYYHLNSVLDDLVVSLCKFTPFFASLSVDEAVLAIGEDARARMATESVFLIANKYGDYITSGWKNILECVLSLHKLQILPAHIASDAADDPEEERPLANPLSVVSQVQPSETPRKSSSFIGRFSQLLSFDMEETKPLLPTEEELAAYKHARGIVKDCHIDSIFSDSKFLQAESLQQLVNSLIKAAAKDKASAVFCLELLIAVTLNNRDRILLIWQTVYEHISGIVQSTATPCPLVEKAVFGVLKICQRLLPYKENLTDELLKLLQLVLKLDARVADAYCEPITQEVARLVKANASHVRSHVGWRTIISLISITARHPEASDAGFEALRFIMSEGAHLLLANYIPCSDAARQFAESRVGEIDRSISAIDLMSNSVFCLARWSQEAKNSVGEDEAMRKLSQDVGEMWLRLVNNLKSVCLDQRDQVRNHAISMLQRSIAGADGIMLPQPIWFQCFDAAIFPLLDELLAVSIENSRKTFKKTVEETLVLATKLMSKAFLQALQDISQQPSFCRLWLGVLDRMETYMSTKFRGKRSEKVHELIPELLKNTLLVMKTTGVLLPGDDIGSDSFWQLTWLHVKKISPSLQSEVFPQEELDQFQRRNAKPEDTPVVPEN >A07p004950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2540564:2540995:-1 gene:A07p004950.1_BraROA transcript:A07p004950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIMKTLQIRKPASLPVSSTTTADANEPGLLRRRLSSLSLNLSRNQPSTDDLHRSKSVSATGEQRGSGSVKEWWEWSWSLILLKKLPVFFTDLEFNENQTKSSLGNQQRGGFAQVFFRLRSEIRRLLRTSSSDSLPLSCNR >A03p002970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1318785:1321179:1 gene:A03p002970.1_BraROA transcript:A03p002970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFESSNASQGSRLQLHPQPPQPFNLQDVDTIHYSQTSPWTTETFSGFTPYDCIANQSFSLQCSSSKPYPPSLHSYDHQSSDPPSLDQSQSMVPMQPSPDQYLKPLYKRSCVNDFAATNASSASYSLCFGASQDPQEICRGNYSNSNVTQLSFSLSHHQSKQTHSRFSSPSFSTYGGSMVRNYGTVTGNKTRIRWTQDLHDKFLECVNRLGGANKATPKAILKLMDSDGLTIFHVKSHLQKYRIAKYIPDPREGKFEKRSCSKELSQLDTKTGVQIKEALQLQLDVQRHLHEQLEIQRNLQVRIEEQGKQLELMIEQQQKTKESLLKSPNAEVSLPLSAFDHSPPPFSLQDAEAMMLPSYEDTHFQSKIS >A09p000580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:321414:324158:-1 gene:A09p000580.1_BraROA transcript:A09p000580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRTSISSDPGGDYGLKRAKLDQDNDKLCTAGDDPMQVDQTSSSSSSSREMRNTDMVSQECVAGTSNVPPPAAATVNDQLPEVMIRDERNANREDKDMEPTVVNGSGTETGQVITTTVGGRDGKPKQTISYMAQRVVGTGSFGVVFQAKCLETGEQVAIKKVLQDKRYKNRELQIMRLQDHPNVVRLRHSFFSTTEKDELYLNLVLEFVPETVYRALKHYTKMNQHMPIILVQLYTYQICRALNYLHRVVGVCHRDIKPQNLLVNSQTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTNAIDMWSGGCVMAELLLGQPLFPGESGIDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKHKNLQIFQKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDPNISLPNGRPLPPLFNFTPQGQPMSSLYLVFLVAKIVKTCGCINRAETASNSSALPGDGK >A01p040590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21641841:21643063:1 gene:A01p040590.1_BraROA transcript:A01p040590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKRIVAQKSNLKQRRGVEEGGLGIELELVQYKRGFGRKRVLISETRDSGLGTGGQSRELEDLPLDILVKIICGVEHEDLKQLFHVSKTIREATLIAKQSHFAYSTPRKTLIFQLGRYGLDKPFDLGEDKIEAPAAPLQKRYRRINRNEDNSGVSVALFN >A08p015130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9977280:9979647:-1 gene:A08p015130.1_BraROA transcript:A08p015130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:Projected from Arabidopsis thaliana (AT5G34850) UniProtKB/TrEMBL;Acc:A0A178UD94] MWIEMVDKCEGHNAVHHHRDHLSFNFTLVVERVMIRGMEIHRLLVVVVAVLLSLVLNGEGGITSSYVRSEWPAVDIPLDHKVFKVPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSSTVHYGPMQGEYDFVAKGSYSNYTFYKYKSGYTHHCLLSGLEYNTKYYYKIESGESSREFWFVTPPHVHPDASYKFGIIGDLGQTFNSLSTLEHYMRSGAQAVLFLGDLSYADRYQYNDVGVRWDTWGRFVEPSTAYQPWLWSAGNHEVDYMPYMGEVTPFKNYLERYTTPYLASKSSNPLWYAVRRASAHIIVLSSYSPFVKYTPQWRWLDAEFKRVDREKTPWLIVLMHSPIYNSNEAHFKEGESMRAAFEEWFVEYRVDVVFAGHVHAYERSYRVSNVRYNVSSGDRFPVPDTSAPVYITVGDGGNQEGLAGRFMEPQPDYSAFREASYGHSTLDIKNRTHAVYHWNRNDDGKKVATDEFVLYNQYWGKNIRRRKLKKHYIKSVVVDWIAS >A10p018350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12837266:12839382:-1 gene:A10p018350.1_BraROA transcript:A10p018350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNNSSGNLVVAVALLFAGALYICFSSRLIFDPISGLQHNFNILRTIKFPVDDLEVALDSAAIGNNKTVIITMANKAYVEEVEGGRSMLDLFLESFWEGEGTTPLLDHLMVVAADQTAYDRCRFRRLHCYKMETEGIDLEGEKVYMSDDFIEMMWRRTRLVLEVLRRGYHLLFTDTDVMWLRNPFSRLSNNGTLDMQISVDSGIGGHLINTGFYHVRSNNRTISLFQKWYDMRLNSTGMKEQDVLKNLLDSGLFNQLGLTVGFLNTTHFSGFCQDSPDMGAVTTVHANCCRHISAKVFDLTLVLHDWKRYKASHVNTIWSPHAKCWGSWNDTQYTPKT >A08p017350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12106292:12108170:-1 gene:A08p017350.1_BraROA transcript:A08p017350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIAKDVTELIGNTPLVYLNNVAEGCVGRVAAKLEMMEPCSSVKDRIGFSMISDAEQKGLIKPGESVLIEPTSGNTGVGLAFTAAAKGYKLIITMPASMSVERRIILLAFGVELVLTDPAKGMKGAIAKAEEILAKTPNGYMLQQFENPANPKIHYETTGPEIWKGTDGKIDGFVSGIGTGGTITGAGKYLKEQNPNVKLYGVEPIESAILSGGKPGPHKIQGIGAGFIPSVLEVDLIDEVVQVSSDESIDMARLLALKEGLLVGISSGAAAAAAIKLAKRPENAGKLFVAVFPSFGERYLSTVLFDATRKEAESMTFQA >A07p046260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24999829:25000947:-1 gene:A07p046260.1_BraROA transcript:A07p046260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-L-fucose synthase 1 [Source:Projected from Arabidopsis thaliana (AT1G73250) UniProtKB/Swiss-Prot;Acc:O49213] MAETIGSEMSSIPDKSAKVFVAGHRGLVGSAIVRKLHQLGYTNLLLRTHAELDLTRQADVESFFAQEKPVHVILAAAKVGGIHANNTYPADFIAVNLQIQTNVIHSAYLHGVKKLLFLGSSCIYPKFAPQPIPESALLTGPLEPTNEWYAIAKIAGIKTCQAYRIQHQWDAISGMPTNLYGPNDNFHPQNSHVLPALMRRFHEAKVSGAEEVVVWGSGSPLREFLHVDDLADACVFLLERYSGLEHVNIGSGQEVTIKELAELVKEVVGFEGKLGWDSTKPDGTPRKLMDSSKLASLGWTPKVSLRDGLRQTYEWYLENVCAASK >A03g501740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5404731:5405067:1 gene:A03g501740.1_BraROA transcript:A03g501740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKKEPFSSRKVQKADRENIHRDSSTNNPNRPKSDKGSILIDTIQTLKDLVVQVNRLKAEYVTHSQESREVDD >A02p056750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34186357:34189628:-1 gene:A02p056750.1_BraROA transcript:A02p056750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHSRVFEAQGRKKPTHDFLSACSNSNVHPADPMPSSQGGYPKTHDFLQPFEHVGTRASAKEEGTRGSDDTTVASSELKSPYVHNQHVLPGGIGTYTISQMPYLNHNHLQRVPKPKVSPMFTVSQASCNERNAVEDNSVSNCSSYAAKNGFTLWNESIEKKGHTRKRQSQSFIDMIKSAKGSSQEDDMDDDDEEFVTNKGSNTSTSQSQRVDLRVKVDKKGHGNEQKPNTPRSKHSATEQRRRSKINDRFQTLRQLIPNSDQKRDKASFLLEVIQYIHFLQEKVDKHEPPEGTVPVLAEAQAVDMEHQQCLKRAMDTTSFPVLGQRNSFFSPELSQLCPVSSSDVAAMECENLREEEEVEELSIHKGTINISSVYSQGLLKTLSETLQTSGVDLSRSRISVQIKLSKQPQEEEEVHRKVRPHLQSGDENHNDDENNNIKQSRAQKKLKKHHSIRNI >A10g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:368059:368314:-1 gene:A10g500120.1_BraROA transcript:A10g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLMHDGCTHGKLLEMTQEDYDLDNKIEKMENTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A05g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28303994:28304756:-1 gene:A05g509330.1_BraROA transcript:A05g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLVCLNFVMGPMQMLKSYVVPYWINVMWLDLVTYLLNHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLGILAKSIKEDHFVSDEGDVVYYEADPNLYGQIKVTAE >A09p064470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51920304:51927561:-1 gene:A09p064470.1_BraROA transcript:A09p064470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISERVKARLAVLTAHIAVSDPVGSSQVLPRGEIERWCTSSAGSLKGSLTIVDERTGKKYQVPVSEDGTVRSVDLKKITTGKDDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFIEVSYLLMYGNLPSQSQLADWEFTISQHSAVPQGVLEIIQSMPHDAHPMGVLVSAMSALSIFHPDANPALSGQDIYKSKQVRDKQIVRILGKAPTIAAAAYLRMAGRPPVLPSGNLSYAENFLYMLDSMGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAVAGAVGALYGPLHGGANEAVLKMLAEIGSVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPPEFFTVLFAVPRMAGYLSHWRESLDDPDTKIMRPQQAYTGVWLRHYEPVRQRTLSSDSDKMGQVSISNASRRPIEKAISTRNEKKRNEGLERWLQSFKEGIHQRITAPLPSTFVSNQELHQEDTQHAESVILPIQYVAEESEFIGSEEAEEKEHSMANKSTEIQGSISCYELIQPLVCNENSPVELLRCEDGLQHFVFEPGENWYMMHDVINQAAVALEKAAHSDDYFVTT >A10g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12849046:12850096:1 gene:A10g505130.1_BraROA transcript:A10g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCVFYKGFSDLDLDMQDFQIWKTYGLQNFQATSRKSSRRLPGSLPDDFQEVFQTTSRKSSRRLPGSLLTESSLMSPFLNRFERFGTPIKRLGSGFDIHVFRSGSDFERLMGSILGSLVKYNALEDFQEVFQTTSKKSS >A02p050520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31166960:31167353:-1 gene:A02p050520.1_BraROA transcript:A02p050520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKTKIVFMVTMLMIGNLIVESEDINKDFQKCFTLCLNICILGPVHDKLGCFGKCGKSCGRQYEIDRVNFQWVSAQDPKKEIDVKKAKD >A10p004070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1990127:1994972:1 gene:A10p004070.1_BraROA transcript:A10p004070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane metalloprotease ARASP2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G05140) UniProtKB/Swiss-Prot;Acc:O23053] KNCPPRRKTDLVAECLFNSRECIQDETVDRHNALHLAVVHDRFEVLQVVLTGWIQRMSQRDADSIEKRVLNKVDIDYNTPLHLMLRLLLECRSVERNKVNGDDLTFLDILRSQGPRVVGEDLDLEELLAASLPKPMKKTYDFFKSPITFWAYCSTHTRRISSDTSEEARGVFVNYIHFAGHSHILDLQELSPNQRASAALYFLLPARGLLTLWFFYIGTSLCVSHALAMAVISPQLLVFLTVLCLVPAVSSLCFDGSFPKAMEEPSDNYRRREDPEKLNNQSKTHLPKSHLSFTFFRNKRNPYDGERISYKPRALAGFDIGSFESVLEASAVLTAIIVVHETGHFLAASLQGIHVSKFAIGFGPILAKFNSKNVEYSLRAFPLGGFVGFPDNDPNSGIPLDDKNLLKNRPIWDRVVVVSAGIVANVIFAYAIIFAQVVFVGLPVQEAFPGVLVPDVKSSSAGSRDGLLPGDVILAVDGSELSSYGSDSVSKVVDVVKSNPNHSVLLRVQRGKEGFNIMITPDKSFDGTGRIGVQLSPNVRFSKVKPKNVTETFSFVGREFFGLSYNVLDSLKKTFLNFSQTASQVAGPVAIIAVGAEVAKSNADGLYQFAALLNLNLAVVNLLPLPALDGGTLALILLEAVRGGRKLPLEVEQGIMSSGILLVIFLGLFLIVKDTLNLDFIREML >A01p026780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19316168:19316823:1 gene:A01p026780.1_BraROA transcript:A01p026780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTVVSSIIVQPENSNRSGGEKIHLTPFDLNLLQIDYTQRGLLFPKPDPGTRFISRCLLPIRWSLGKVDHQEDKTLSFHIDCNGSGARFIHATADSVSVSDLLQPDGSVPEIFKLFFPMNRVKNINGVSEALLALEVTEIKDGVFISFAYNHMVADGVSIWNFFRTWSRICKNGQRENLDRPIVLRQWFLDGIDFPIRIPPFLRQR >A03p049570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21241043:21242935:1 gene:A03p049570.1_BraROA transcript:A03p049570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ C76, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G23240) UniProtKB/Swiss-Prot;Acc:Q9FMX6] MTPTILTPTTLPPSTTTWPCNTSQKLNSIRSPLKLKCRATSSSITDFDLYDLLGIDRGSDKSQIKTAYRNLQKRCHPDIAGVPGHDMAIILNEAYKLLSDPISRQAYDKEQAKLEEIRGYTGKPVYSVWCGPETEQRAVFVDEVKCVGCLKCALCAERTFAIETAHGRARVVAQWADPESKIKEAIEACPIDCISMVERSDLAPLEFLMSKQPRGNVRIGVGNTVGERVSNVFVDVKKFQQRYAEAMSKTTRDSSQESDLQREVRMSAVEAIRSISNWLYWRSSPYSKPLSPDSNMSLTFTKRKKAADPDIKKLQDAVAAMKQAEQSGETKERRQRPASMVGEDYWTPSNLALPSSRNTTSKATSTPQVTHKNTPSEENVTSRREFRRQNFKIQKFPIGTATIAVFLVQFQASYTAPELSDHIGGSLALSIINSPWQQILLAGVTWYFIGAMLLQLVEAIQSKQEDKEA >A05p016220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7209972:7212290:1 gene:A05p016220.1_BraROA transcript:A05p016220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFWSKNQPPSDGELQNILGKPLGDIKNYYSFGKELGKGNLGTTYMCEEILTGRSYACKSIPKGKLKSQEDKEAVKKEIEIMFRLSCQHNIVSIKSVYEDRECIHVVMELCGGGELSSRIEAHSYYSEKDSAGILKSIVNALQTCHSMSVIHRDVKPENFLFSSEVENTVLKAIGFGSSVYIKQETELKRKVESKYYLAPEVLQGKSYGKEIDIWSAGVILYLLLCGKHPFETESKIRRGSLDLESKPWPCVSESAKDLVKKMLTKDPKSRISASDVLEHSWIKMQAPYKPIDNVLVLCMKRFGAMNKLKKLALNVIVEGLEEELIKDDKDRDFKNMDTDGTGSITYGELRIGLSAPRSHPNLSLSEAKQLMEAADVDGNGRIDLCEYISATTETNVLVTDENLHKAFQFFDKDGSGYITKDNLMKHVVGNEANAKDIISEVDTDNDGRIDYEEFCAMMRDGKLQPQGKRVRIN >A01p030400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14020640:14020916:1 gene:A01p030400.1_BraROA transcript:A01p030400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALPWGYRSHDTRILKQVSGYAGSLTKIGQASMNQALMVVATKSCSHLFDLYPRIHVNRTLMIAATKFRSNAFC >A09p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4037416:4043974:-1 gene:A09p007740.1_BraROA transcript:A09p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISCWILQVVLVLQLQVLVVLSQNIIRGSVPVGESLTASESSSWLSPSGDFAFGFRKIQPNDGFTLSIWFDKIPDKTIVWYAQTVNTTTGLVPEGSKVTLTADRGLVLTDPGGQQLWSSSLPQTRSSVSRGLITDAGNLRLLSEDSDVALWSSFANPTDTLLPSQSIEVRGNLSSRLKETSFQKGRFRLRLGDDGDLQLLTLNSETLAETDVYFSYYSSNTKDPHNPGNRLVFNETGYMYVVMNDNTTRFYVNNKDPVPSKDFYHRAVLHFDGVFSQYYHPKRQGVNNSDNGWSLAWSEPENICAKRFGPYLDANELGNLACGFNNICILGDNNRPRCECPKRFLLVDPDDEYGDCKPDFEMQICGRESNQTAAADQDANLYEFVTLRMTNWPSGDYKRYSNYDEERCKATCLKDCFCGAVVLGKDKLCWKKKFPLSYGIRDTNGESDTFIKVLKLGSRS >A05g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29881596:29883003:-1 gene:A05g509960.1_BraROA transcript:A05g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCINLSSHLEREDMREGVVIAISTTWMRYGIIEHIKMTWQVIAEAKRPSVVVKSAMKNASHGNMSSKLSLILGECSHWSLQIFRNSVLTFCLPDMYLKHLTFYLPDMYLKLHRKIFLDDDVVVQKDLAGHWEIDMDRKVNGVVETYVAYAWAYGMNFSDPDAWRT >A07p026300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:15033313:15035076:1 gene:A07p026300.1_BraROA transcript:A07p026300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At5g40410, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G40410) UniProtKB/Swiss-Prot;Acc:Q9FND6] MILGVYMANISSLIASIASCVSIFKCRALHCKVVKTMSYRHGFIGDQLVGCYLRLGHEVCAEKLFDEMPERDLVSWNSLISGYSGRGHLGKCLKGFSKMMRSDVGLGFRPNEVTFLSIISACVHGKSREEGRCVHGLVMKYGVLEEVKVVNALINWYGKAGDLSSSCKLFEDLSVKNVVSWNTMIVVYLQNGLAEEGLGCFNVSRRVGNKADQAAFLAVLRVCEAIGAVKVAQGIHGMILCCGFNANMCITTALLDLYAKLGRLEDSSKVFLEITSPDSMAWTAMLAAYATHGYGRDAIKHFELMVDHYGISPDHVTFTHLLSACSHSGLVEEGKHYFETMLERYRVEPRLDHYSCMVDLMGRSGFLQEAYGLIKEMPMEPSSGVWGALLGACRVYGDTKLGKEAAERLFELEPCDGRNYIMLANIYSASGQWKDASRVRNLMKQKGLVRASGCSYIEHGNKIHKFVVGDWSHPESEKIQKKLKEIRKKMKNELGYKSRTEFVLHDVDEDVKEEMINQHSEKIAMAFGLLVISPMEPIIIRKNLRICGDCHETAKAISLMEERRLIIRDSKRFHHFSEGSCSCRDYW >A10p032460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19202277:19203920:1 gene:A10p032460.1_BraROA transcript:A10p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PATRONUS 2 [Source:Projected from Arabidopsis thaliana (AT5G12360) UniProtKB/Swiss-Prot;Acc:Q94CK6] MICFHFFKIFIMMSEKSMASTTVRGQLIFQDENALLARGKKAVAAGKGKSSLAAPKKYGAGFGSRKALHDITNKSKLQPQASLKTSKSPEVVDFDITKEGYLHDHRKCIEQQQNQWDSYLSEHIILHAHDTNIEEHDIKEEIPMEEFSDLMGCSTQWPSPPDSPIHYHSSLPSSPLPWHFETVEFKLMEGEE >A08g506050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10353243:10353690:-1 gene:A08g506050.1_BraROA transcript:A08g506050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFAEKTANQESLEALRIKCPRNEPFAAEVWISPPADLHSVAAWINQPRVNADAHATPLIKLYFQSAIYLLWKERNARVVIAVSSPSSVILASLDRMMCDRLLSYLASSSFSSSLLLFIFLV >A08p043070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24063898:24065408:1 gene:A08p043070.1_BraROA transcript:A08p043070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTADLIWNSPHFFNAIKERELELRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPLLNRLGTLIVNNNRITRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLASIPKLQYLSLLDNNITKKPNYRLYVIHKLKSLRVLDFIKVKAKERAEAAALFTSKEAEEEVKKVSQEVAQKVSDTTEDAEAPKVVAPTQEQILAIKAAIINSQTIEEIARLEQALKFGQVPAGLIVPDPASSVNDGSGPMEE >A08p038060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22098907:22100026:-1 gene:A08p038060.1_BraROA transcript:A08p038060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENIAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTNQGEELKKLIGSAVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKNKNRCAFL >SC267g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000115.1:69980:80304:-1 gene:SC267g500030.1_BraROA transcript:SC267g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKASPLYSGRGPLYQYPSFYQKPLFSLFFLLVELIECWCVISNFWIIPSVPEHHPRISLSGIRATLEGKCSSFSMIFDSTCYCCVLFSVSGTMAGDQNGKLTKEERLLLKSMNAHLQQMMDNNMGEFQKELRQKFLQQTDDLRQKNKKRMDSQKLEPRSPDSVQNKSSKHKWYKEEEAGRGQQSYKQTGHTSSRPHQASRTPKSNIHSSYNQIVTKPQLYVFTGEGDYLKWERTMEKWLCYNKILKREALAYVMSQLKGNIYKWLLQEEDDRRYYKEPAITTWEDLKFLLRKKYASKGHTSLKSPMKEVTSSKAVTCYIKEKTVKRSWFSEKDKKELLQVIMDVEKQVKRTYTPRPSTETKHQEPVTTVSELKNAGSDSAATIQEVQTETSMQKKKSETEQECSLFLLQSELNFNNSCDELTCLKPVQPSRIVSVSQVAKEDSAEKEPESTTQEEQQKNLQTESAHESLSYDLQEHCKEFNMVASVPRMFVKVLELELKQSDFCLKPCDSFVRTEERSFVTNFHVHKLILDNSFVSAYELNEPKKLQEPKLHKSDFRFKFVKSAKFSKFELDNDSKHVGWFFDDILVYNTFFDKPAAQLKLDITDSECVNLNLNDIWVYNTSFDMITHLTCPKRAEKCTGKKGGYTDESLAKLEMQQSNLGSCLAVNFVLSASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELDLLISYFDIGAVRGSYLSNQKELSNKLNCYGNYTHQGLTSNWNHVESFSYERVMDSTSRVILCLLCLNFSEYRTSQSYIWRPGEHAKVTNHVFMSSFIDYTDMMHLFLSKESCADYMEALKHAKTKNKREEDKRFKPPDLRQERHQDVTCFILIKEAPPDATYKPKPRKYNFGIRILLYDDFACVNLSCFNVSGLSNASGVRKVKWNSPFYLIEPVSDNAYQRGLQGNTDLRTNLFEVGGDDVIMESTKEWNHEPDHGELVASLLCFQESLCLYFPCIFETLCMYKASPLYPGRGPLYQYPSFYQKPLFSLFFLLVELIECWCRLRALVWCVISDLLPRSIKEPFRSLLCHHSIHIP >A08g508800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16468990:16471333:-1 gene:A08g508800.1_BraROA transcript:A08g508800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREFGGWMFTYVSTGLARGEIFDDWIHEMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTIYDAGIRPRQRRSRGGMGSQSRGSSSHVQESVSPHSSYHTSPSPLPAPVAPAPAAAPAPAPLGPPGVMSIAQLVRQPGRDHLPYLTEYPHRHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTDFPPEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYEKQMYEWKKKWEVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLAYRMAEENEGEPVDDLVLMKRAYTNKKTGQIDDGLVRDVVDLVQNQVYDEVSQLQTDDDDSTASTNLSRVRINEIIESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEDKDDRISALETQMAAQQAGYETQKRLHEQMMEMMKRMYPNEVFPNIQDP >A01p056400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30721490:30722087:-1 gene:A01p056400.1_BraROA transcript:A01p056400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 15 [Source:Projected from Arabidopsis thaliana (AT3G06740) UniProtKB/Swiss-Prot;Acc:Q8LG10] MLDHTEKIFDSEAMTTKFTSVDATEDISSSSDGGGGTSNEKKSCAICGTSKTPLWRGGPTGPKSLCNACGIRNKKKKSTVRSEVKKKKKQSSKIGDSLKQRLMELGREVMMQRKKKLGEEEQAAVLLMALSYASSVYA >A03p066080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29229048:29229649:1 gene:A03p066080.1_BraROA transcript:A03p066080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSSLQVTILLSIFFLSVNANEVTVGGKTGDWKIPSSSSFSFNEWADKSRFLLGDYLVFSYEPGKDSVLQVTRVAYEKCNTTSPKASYTDGNTKVKLDQPGPVYFISGTEGHCKKGQKLRLVVFIPHSSAFSPAPSPSDGPAVAPTSGAAKLTSFFGVVGLFLGFWAFF >A09g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25760897:25762085:-1 gene:A09g509200.1_BraROA transcript:A09g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPSIRRDRAQDAQAPPLPDFPNIPDIPMHDQGNFQRFVVDALQAIWARVSCWSGRTIGAQAPVNLSLYFLNLNFEFDCKHMQTLCASPSIDMERIILINSRQVTSIDMERITSIDVTINAKVDQPVNYTHLLGCLKEPKLTSNTNLTSLLVLGFGIHGIGFFKQLWKIYCLIRMNSNKRIRTGLGGGNLQGSLHKGFLDIGQKEVNRAWWQPPLSSDSWKPVQS >A09g500600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2418681:2418866:1 gene:A09g500600.1_BraROA transcript:A09g500600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRALSLGRGGSHNTFSAGFSLREEVILLHRFRRLITGLIPVMVDLRFRSFSVLPPLDLFL >A07p039310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22552013:22554822:1 gene:A07p039310.1_BraROA transcript:A07p039310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEIVLNSLPMSGGDGPNSYSKNSHLQRRSASLLKETIDKLIMEKLDAKTLICDSNTFCIADLGCATGPNTFFLVQDIIKSVETTLDSNSTKAEFLVFFNDLTKNDFNTLFTSLPQDRSYFAVGVPGSFYGRVLPQSSVHIVVTLAATHWLSSVPKELLDKSSKAWNKGKVHYSNAAEEVVKAYEDQFGRDMEKFLEARAQEIVSGGLLVVGMCGIPQGMPFSNLADSIMYTSMADVLIQMQSQGLISEEQVDSFNIPIYSASPEEVAALVEKNGCFSVESMELMDPTTWLKRPMDVEDVRQWMVCIKATMGSLFINHFGDHLLDDIFDRLTARLVGLTNEVESSYREKVMLFFALKRI >A01p041630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22404678:22405652:1 gene:A01p041630.1_BraROA transcript:A01p041630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWGVMGAGWGIVEEGWRKGPWTAEEDRLLIDYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEETIILELHAKWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKSPTNNAEKTKNRILKRQQFQQQRQMEYQQEQQLLQLNQIDMKKIMSLLEDENNHNNGDSNFSTSSSGSSAEGGAFYVPHPITHSSTGSGYESKGNGLFPVVPVPLPEANVHEEYGIWEGLWNLDLEGQGSFNDGACVPRKPCFQNLGVPFC >A08g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5562676:5566803:-1 gene:A08g502660.1_BraROA transcript:A08g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFIGENVNQVRAELGRYIATEHPSLRSAELRSLCSDRARAKARSLRSDRALVPLGRYVATELEPKLGRYVATERSSRSVANDRPQVKARSLRSDQALVPLGRYVATELAKARSLRALVLLGRYLATEFGQSWSLRSDRVFVPLGRYVATELTELGQARSLHSDRASVPLGRFVATELGQARLLQGDRAFVPLDRYVATGLEPKLGRPARSLRSDRAQAKARSLRCDRARAKARSLRSDRALVPLGRYVATDCPSRSVELGQARLLRSDRAFVPLDRYVTTGLEQKFGRCVATELFRNVETTPVHALSSNLQCWLSKTVASSVYFFRYSKSSIKLCGLISRKVRSLSKEIVVNASSRKTAQRDLKHDSRPILRFLNQKTVNHRTVYTWSTRKDKCQVSADKYGSFEDNCEDRKKWNIYIFML >A02g506270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17913032:17921267:1 gene:A02g506270.1_BraROA transcript:A02g506270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKGISQLRLNHDTMETRVKELGAGGLAQSAGNSWGSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRRMDLRQKDKEKAKEKEKEVSPGDRTPKVRGVAKSNRTRPRMMAVKEATGASGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDERQEQVHGDDTGSSNVGAGSGQNVDANNVWVTGAEEVIEPTIRGLVEAMQVMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVTDVKGISQLRLNQDTMETRVKELGAGGLAQSAGNSWRSAQSSERCVLVRISVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRDQKWTVVREKHHEDRGHGKMCGDWVDSENCVIIVAYCATCELMRF >A04p017840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10778047:10780608:1 gene:A04p017840.1_BraROA transcript:A04p017840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSQKRGRKHKRQDASSAENAAGEVKDASLNESPLPLSPEPASASDTNPSPSRRNRGRGKKRRLNNQSEPTGGIGQRSSLPQNGECSNGLIVSGAMTSESIPAAPSWETVVKVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSGSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRMEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGRCVGIAFQSLKHEDAENIGYVIPTPVIGHFIQDYEKHNKYTGFPVIGIEWQKMENPDLRKKMGMESHQKGVRIRRIEPTAPESQVLKPSDIILSFDGVNIANDGTVPFRHGERIGFSYLISQKYTGDSALVKILRNKEILEFNIKLAIHKKLIPGHIGGKPPSYFIVAGFVFTTVSVPYLRSEYGKEYEFDAPVKLLDKHLHAMAQSVDEQLVVVSQVLVSDINIGYEEIVNTQVLAFNGKPVKNLKCLAEMVENCDDEYMEFSLDYDQIVVLQTKTAKEATLDILTTHCIPSAMSDDLKN >A06p051220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26935273:26936568:-1 gene:A06p051220.1_BraROA transcript:A06p051220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMKEEDCLQTFHNLQDYQDQFLLHLHPQNHPWSSLPSFDPTHFPSNPTRYPDPVHYFNKRASSSSSFDYTDGFVSPPMDNHHHQNHLRILSEALGPIMRRGSSFGFDGEVMGKMSAQEVMDAKALAASKSHSEAERRRRERINTHLAKLRSILPNTTKTDKASLLAEVIQHMKELKRQTSLVTETCQVPTESDDLTVDSTYNDEEGNLVIRASFCCGDRTDLIHDVINAVKSLHLRTLKAEIATVGGRVKNVLFLSRDDHEDDGFEYRNDALEHDDEEKRYNRVSSIEEALKAVIEKCVNNNDENNDNNNLEKSSSGSIKRQRTSKMANRFYN >A06g505640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16196474:16199433:-1 gene:A06g505640.1_BraROA transcript:A06g505640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKRTSKKRSSSTNVHEELLVPKIEFMPYSVDPAENEAWWVARYGSITPPDEKSFPVMNHHLVEEGALSRSTSEFLQIMRSFYQISDTVEFWVPRQRECASSPPEGYFTYYEVFVVRCRLWFPIPEIIVRVLDCFEVSLNQLNPLSIQHLVGVLILSYEHSLSLTVDHFSGCRLFGIRTNSASVEESCIPLLRRLPNNRPFINLLAPFPEDMIPVRELLRNGPFFWTYFTPKRVRKALRLAHPGLASGAEISSDSEPDAQGPDAAPTVTTGLNSSKGKDIDLGDIEFSVDDSMLLGWDPDLAYGDRSGTSEIPILDFDDFFAGLPLNFDPPPLVDESGRSRVVAEGSRIINGGLNLFGSALEASHREAMVYRFKAQKVAEGYTSVLQRFGRQLCRNRFRPQQKAEKDLARMHGEMLERNVQLARDHARVVCKAERKGEREIVEVMLSRASQFQIEYGNLRDAYTLVGDYREYQGSVGSLWKTQAEDNVFEEEMKFMKDGMMNHAHAEKLIPPIDRRIQEFWAPVPVSPDTVEATTEFSGDDEEVNYPADAFGAFLVRGF >A01g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13664309:13666107:-1 gene:A01g504550.1_BraROA transcript:A01g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAWSARKDKFQVSADKYELKGRRGKASYLPSYRHEKLLFTYGLLNIKFAQIRQSRRNSPYRTLESHRKSTILTGWGLTVGVENGYDKVNVQTSAKMSVSIFMRQLCIVKNLTTKDLALKPCSSLASIRHRLSQGNGYISKPATDKFEYDDRNTDKPSMVATQQPSMHTARSLRSDRARAKLGRCIVTEHVHVSIVTKQPSFPKTTIRHKFMHSRLLFDAISRRPHQSSFTIKTAESSWFIERSRNKRFEPKDGPKRPKTPVNRETVYAWSVRKDKCQVSADKYEVLEDNYEDRKNGISPFLSYDVLRAEGEKLATQLGLAVLGLLKLGISPTALESRLIRCYIRDLLEAKVLLLF >A01p054680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30930853:30933496:-1 gene:A01p054680.1_BraROA transcript:A01p054680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKENKLCFTKKISAMSSGGTVRRVSRQDIQLVQNLIERCLQLYMNQKEVVETLLEQAKIEPGFTELVWQKLEEENREFFKAYYLRLMVKHQIMEFNKLLEQQVHHMQQMHPSGVAPVQNTNGSHIQSMNQKHLGYASEHTDQSLKSMPSSLSNAYLNGSSTLNTTNVASSVNISTHARRVDTSSPNMLSSQTTTNMPMMQGMNGGGGMIKSETAFTSPASSFMYGGERNALEGHSTVRDTTSISTFGNESNNNQQPLSETLLDSEAATFGFLGHIPRNFSLSDLTADFSQSSEILESYDKSPFLVPDGENFLDSCDRGEYQGDNKRLETISEGFSYDNIGSE >A07p002820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3572702:3574135:1 gene:A07p002820.1_BraROA transcript:A07p002820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 72D1 [Source:Projected from Arabidopsis thaliana (AT2G18570) UniProtKB/Swiss-Prot;Acc:Q9ZU72] MEQPHALLVASPGLGHLIPILELGNRLSSVLNIRVTVLAVTSGSSSLAETEAIRAVVARGTCEVTELPSVDIDHFVEPDATVFTKIVEKMRATKPVVQDAVKLMTQKPTVMIVDFLGTGMMSIADDVGMAKYVYVASHAWFLAVLVYLPVLDKVVEGEYIDIEEPMKIPGCRPVGADDIMETMLDRSNRHYRECVRCGEEIPMSDGVLVNTWEELQGNTLAALMEDGELSRVMKVPVYAIGPIVRSNQPTKKPNSIFEWLDKQRERSVLYVCLGSGGTLSLEQTIELACGLELSGQSFLWVLRKPTSYLGESSNDDDLVSVGLPEGFLDRTRGMGLVVTQWAPQVEILSHGSIGGFLSHCGWSSVLESLTQGVPIVAWPLYAEQWMNATFLTEEIGVAVRTSELPSKRVISREEVASLVRKVMGEEDEEGRKVRAKAEEVRVSSERAWTQGGSSHSSLLEWAKRCCLLSFTNNTVSI >A05p001100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:84172:85658:-1 gene:A05p001100.1_BraROA transcript:A05p001100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT2G47890) UniProtKB/Swiss-Prot;Acc:O82256] MGVTIRKKKKKKKKKKKKKKKKKRLKILSFSFFISATGKQSALLSPQMEKEEEEGSHQQHRICDYCESSVALVYCKADSAKLCLACDNQVHVTNQLFSKHFRSLLCDSCDDSPSSVFCDTESSVLCQNCDWQHHTSASSLHSRRPLEGFSGCPSVTELLALVGLDDLTDKALFLPHPGGGSEQTPPQIVSLNDLVASDGSSHNYRAMDVPPLPKNRHATCGRHKEEMIRQLRELSRSEPSCLKYETLDAELDTTGFLFLEPGNDLFSSTFESQVSGLKWFEDQQEDYYPYSDDNNVNQRGCCTHQQQESSPVMVPVSTSSTTTRLTHEINSLERNSALSRYKAKKKSRRYEKHIRYESRKVRAETRTRIRGRFAKSHP >A05p022280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:10630358:10630975:1 gene:A05p022280.1_BraROA transcript:A05p022280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNEPISKKLWNIVRFLLYMIRKGVSKHKLIADFNATLKRGKNLMFHHRRRVPAASTSSDAVSSAAAAAPRQEYEFSCSNTPNYTFPFTNISFMKKKSHNSLFACGQTPQTLDDDAAAARAVLELLHGDKGNVTPAYLTAALSPYFPGFGRTPLVRPLRVTDSPFPLTPENGDVNKAHVDQAADDFIKKFYKNLNQQKKMIEFS >A07p015430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9571903:9576348:1 gene:A07p015430.1_BraROA transcript:A07p015430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHTPVTIEDQLISKAVREECPWENLPKRLQSILGSKEEWHRRVIEHCIKKRLLWNTCFACKVCKEGEYYEDMMRYLRKNLALFPYHLAEHVCRVMRISPFRYYCDMIFEVMRNEQPYDSIPNFSAADAFRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKDFLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEDETATIDKICKEEVNAYVLFDPEVIKGLYQRGLIYFDVPVYQDDRFKDFTAINCIAVSVSKLEGFISNREQSYEDPIEELLYAVFVVSNENSTVAELASTLQADLAQLQAAASFVCRLGWAVKLIDPASVLHDKIMPGSPRAILSDEDDTRASYMSADGEAAQNGDNLGTESSVLRSSHVRVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHTSIPDLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLISGGVATDTAVDTMGPGTSINDEAVTLLADVNSPDNSGDSLTSQNSEASMVSDTPHEDPISTERVPESSEHEAAATTLADDTTALTETLSSNLSLENDGKPITVEGPDTSKGNKKRKRYRVDILRCESLASLTPVTLDRLFSRDYDIVVSMVPLPLTTVLPGPSGPVHFGPPSHSSMTQWMKLVLYSTVGTGPLSVILMKGQCLRMLPAPLAGCEKALIWSWDGSSVGGLGNKFEGNLVKGSILLHCLNCLLKCSAVLVQPLSKHDLDSSGRIVTLDIPLPLKNSDGSIPHFADELGLPLEENTKLNSLLTKLANNMELWTVGYIRLLKLFKAKDPAGYFSPDDDEKYEWVPLTVEFGLPLFSPKLCNHICKRIVSSELLQADSLMEQHNAMQCIRKRLKDICAQYQATGPAAKLLYQKEQAKEAPRSKLMNYASGKWNPLVDPSSPISGASSEFQRLKLANRQRCRTEVLSFDGSILRSYTCPVYEAATRTIDENAPSTATKADADEADSREVVLPGLNLLYDGSELHPFDIGACLQARQPVALIAEAAAASAILAPK >A01p026600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19455835:19456996:1 gene:A01p026600.1_BraROA transcript:A01p026600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSVGEGYAEIVVVRHGETSWNAERKIQGHLDVELNDAGRQQADKVAERLSKEPKVSYVYSSDLKRAFETAQIIAAKCGNLEVLTDPALRERHLGDMQGLVYQEASKLRPEAYKAFSSNRTDVDIPGGGESLDKLYDRCTSALQRIGDKHQGERVVVVTHGGVIRSLYERARPSARKVEKILNTSVNVFRLFDGDKWTIQVWGDVSHLDQTGFLQSGFGGDRTSG >A09p057730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48556681:48562861:1 gene:A09p057730.1_BraROA transcript:A09p057730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQDHSSWDRKDLLRQRKHERPSEPPFDPPPFRWRDSPSSSSSSHREFSRWGGSADFRRPSSHGKQGGRYQFVEESSHGYTSSRSSARMFENDYYRPSAPRGDWRYTRNCRDDRVPLSQKDWRSNTWEMNNGSYRAFDRPCGVRNGRRSVEERPPPASDTHTTVVNSWEQANSAQQMDIELCTPSRTVRSKNEQKFLDQRQSLPSDPHSEGLGLLDRPSSVNSYENKECSPAKQSNGLMHGRRLAGDNASDPPILNAEIEGTGNQILLKDMHDTKLHEVSDLDGVRENGKENSLGAIGKLPVWTRSGNFASQSSGFSHSSSLKSLGAVDSSDGKHKILPKTVAVTQSSSGDVTACPKTTVSEEMSSRKKQRLGWGEGLAKYENKKVDVNTTEDGTDLLENSAEEIHSLNKNTADKSPTAANAPDYGSPTTPSSVACNSSPDKSSAKAAIGASDVSNVCRSPSPVSSIHLERLPINIEELDNISMERVGCLLSELLGTDDPGTGDSSSVQLTSMKKLLAWKGDILKAVEMAESEIDLLESKHKALKLESARQCRVVGPNSDLSEGDENVQQEASCVLGLKAVASSVAETLVRDPVHQAVSAKDPVEIFEDSCREVSALPQFIPTFGSNEDILPIPSTKADSNQETIDLSSAYDSMVSDEDLLCAKLLSTNKIYASESSQVFNKLLPRDFSSFDDSRFPGRCQRQFDSHVKEKIAERIELLRAREKILLLQFKAFQLAWKKDLRQLTSAKYQPKANKKTEVFPYAKNGGHLKLSQPVRLRLSSSAQRRDSVASTTELVSYMKKLLKNTRLKPLRDILRMPAMILDEKERVRSRFISSNGLIEDPCDVEKERTMINPWTSEEKETFLSMLAMHGKDFKKIASFLTQKTTADCIDYYYKNHKSDCFAKIKKQRSFGKEGKHTYMLAPTKKWKREMGAASLDILGAVSIIAANAGKVASTRQTSSKRITLRGCSSSSSLQHDGNYSEGYSHSFDFPRKRTLGADVVAVGPLSSEQINSCLRTSVKSREGCNDHLKFAPAAKKPRISHATHNENSNEDDDSCSEESCGETGPIHWTDAERSAFIQGFSLFGKNFASLSRFVRTRSPDQCRVFFSKVRKCLGLECIQSGSGSASVDNANEGGGSDLEGPCATESNSGICNNGVSAKIGLNTLTSPLNMNQEGANHSGFENVEAGLSRSEQENGLALLRLKDGTNLVNTANINGDSEPCRDLVDINTGESHCQAADQMNSNDLLSKDIDEGNLTSVAAEKIKSSDQLSMEIDEGNLTPIAVSSDPLYCGSIVLSNNIVEKGSGCEGAALPKQSSKMQDGVMQAANRTRNSGLEAEAAPSSFRYPECLHHVPIEEDLVGVSVPQGDSNCHTEPELPNPLVAQTNNRGWQFSEVNLDLDKRSQVLGHVKPEQNGQLHVTSTGSCQIPWISFTQDPSRIIRSKSDLIVKAQRTGEAFSLKKCTSSATKPLTVFQKDGRSGHSRSHSFSLSDAKRLDKNGDVKLFGTVLTADENGSKQKHNPGGSIRPSSILSRDHETGHQYINQQHLQNVPITSYGFWDGNRIQTGLTSLPESAKLVASYPEALTTHQKQQVVSTKEIQLDVSGILSFGKQIEDRAEVSSGKDKGKIGGEVNGVAEAAT >A05p015230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6736094:6739696:-1 gene:A05p015230.1_BraROA transcript:A05p015230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLTPNAKQRPTSTATSRIQAPTSPFFLGSNNDRLEREQARAARVAASRRRSVVFGRGPQLEKESDPCFDKQQILELFQNCIKLASENKINQKNTWELNLIDHLCEIIKVEDENNAETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGITRAGHDDTGDNEDAAGSVVTATNQKKQAEKKLSPLSTLEPSFDALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCQVLFDSQEIPGKLVSSANQHDKSETIDLSFARECVEKMVLNMRQKDEIVPSLRAIINQFDEENQRPSDTFSCSQKTTESFDISHDNEASYADNDDGYDNFGDSFNYEGQSGAAEENFGLNDAEPAYSNFPEEVEPASLQDLDSDERFENVDDYLFLSLGISSKQNSWAGPDHWKYRKTKGPDVHPASENGSSPPVKKTRKKKQAEPELDFTKALEEDIPDIFAPPKNLKSLLLPANRAPCQTKLPEDCHYQPENLVKLFLLPNVMCIGKRRRKCSGETRQQYDDYEHAESWANDNVYDDGPFDNGNDQSDAEDTTNSLISQPRQVNKIEVQYDKASKQVDVQVLKETLWECLQESPQPPIQDEEHQQEAPESRSFKELLASFPDDCKAAGTTKDISPHLCFICLLHLANEHNLSLIGSQDLEDLTIHLA >A10p037430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21125564:21126899:1 gene:A10p037430.1_BraROA transcript:A10p037430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDKRWSLAGKTALVTGGTRGIGRAIVEELARFGATVHTCSRNQEELKACLDDWRSNGLTESGSVCDASDRDEREKLMQQVSSAFSGKLNILVNNVGTNVWKPTVEYTSEDYAKELACEWACDNIRTNSVAPWYIKTSFVEPVLENKEFMDAVVNRTPLGRVGEPEEVASLVAFLCLPAASYITGQVIVADGGFTVNGFNYVV >A07g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:120635:121593:-1 gene:A07g500050.1_BraROA transcript:A07g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDDDNVWCVLMAGFLIQEGTATTLLQGSIFVHRLNTFKHMLKEGAVYKLSRETLSSSFIKNMNEPSLGDDGEVHSTDNEALSIVSYRIEWNMRHALKPTYETLFEMLKYSSWRVAFFVHPMC >A01p053600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29979675:29981044:1 gene:A01p053600.1_BraROA transcript:A01p053600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGPNFCAPYPVELGIVRKVMTITDGNFAVTDVNGNLLFKVKEPLFSLSDKRILLDASDTPILTLKENKVSLHDRWQVFRGKSTDQNDLVFTLKRSSMFQLIKPKLDVFLAHNKEMKECDFHVKGSWIDRSSVIYAGKSDVIVAQMHKKHTAQSILIGKSNFSVTVYPNVDYAFIVSLIVILDDINREDSED >A09p051500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45420986:45422569:-1 gene:A09p051500.1_BraROA transcript:A09p051500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTISVLCLVLLVSVLEVAIAKPNSANFIECLHYRTSLKNPVTDAIFTPDNTTTFLSSYLSYTKNKRYSSPNQNLLAIVVAEHVSHVQATVVCAKTNGIQLRTRSGGHDLEALSYISSVPFVILDMHNLRSITIDVSRKKAWVQAGATLGELYVKVKDASKTLAFPAGVCPTVGAGGHISGGGYGNLIRKYGITVDHVVDALLVDVNGKLLNRATMGEDLFWAIRGGGGASFGVILSWKINLVEVPKIMTVFRVNKTLEQGGTDVLYKWQLVSTKFPEDLFIRAWPQVVNGTKRGERTIAVVFYAQFLGRADKLMALLNKNLPELGLKREDCHEMSWFETTLFWADYPEGTPPSVLLERPTNPGFFKSKSDYVKKPIPKEGFEKLWKKMFEFKHTVWMQMNTYGGVMDRIPANATAYPHRKGNMFKVQYSATWLDASETETTLSLMRELYEVAEPYVSSNPREAFFNYRDIDIGSNPSGVTDVDEAKVYGTKYFLGNLKRLMQVKAKYDPENFFKNEQSIPPVRFM >A03p021770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8983974:8986222:-1 gene:A03p021770.1_BraROA transcript:A03p021770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPPPLLPSVSSSSSSNTEEEDVNQEFDPRFDQWYAGDLNRPDLGTASVAGVEQRVSCFAVVIIFWFFVSMAMIVGVYGPRNVWIGPNSSILIEPNTIFVQSVKVKELDDSKSGLELFGFYTSPPLDIVVNWSESRLASVSHNSYKEWPYYLNKGASLNISYSVKPEGRSLRLVVDEGTDSYQLLEEHASQNTALSWNLIRGSGMIEVKISKSSSYYVAVANSNMKDVEVELDIDVRAILYDTKQSFYKCTFSNGECTFNAMSLVGNSIVLTSPAPRQGASVEEEWCIRFSYQPRWTSYVVGTGLVTCFMLVAIQLWKRLQCDGEEIDQTQNDSARTRLLVNKDEDGSSMGSSNESFAADDADLEDFTGNEVEASNSTRRLCAICFDAKRDCFFLPCGHCVSCYQCGTKIAEAAGSCPVCRRTMKKVKRIYTV >A05p023370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11303540:11304014:-1 gene:A05p023370.1_BraROA transcript:A05p023370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGAVKKATSRSSKAGLQFPVGRIARFLKKGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGASSKPSGDDD >A03g505630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19906957:19907583:-1 gene:A03g505630.1_BraROA transcript:A03g505630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGLKMMRNLGSGCACAKFGRSERWYCVSRREKISFSTPPEVTFSTPPSLVSLLFTSFFGYLWYQTKVCLNLESPVVVLYSAASEQERLWDVLSEKRNQIRILSRQDESKRKKVKQAEIKLIEKAATVLSEEIQV >A09p062210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50995410:51000250:-1 gene:A09p062210.1_BraROA transcript:A09p062210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:Projected from Arabidopsis thaliana (AT3G56350) UniProtKB/TrEMBL;Acc:A0A178VDJ0] MGKENVATRFSCVHGLRGHALIQHLFFGDLVSSTSFPNLSIWMCHVGIRVVPSICVYIHKTMSIVVSIFLFTVFAMSLDVGTGKTTMEPCLESMKTASLPDLPYAYDALEPAISEEIMRLHHLKHHQTYVTNYNKALDHLRSALSSGDHSSVVKLQSQIKFNGGGHVNHAIFWKNLAPVHEGGGKPPQDPLSSAIDAHFGSLEELMQKMNSEGAAVQGSGWVDPLVTKGSHLVPLIGIDVWEHAYYPQYKNARAEYLKNIWTVINWKYASDIFEKHNRDLKDFVTIEPNALELQKTMAKSKSKKKGKLNSQLYSPHIDIGDFVDGREDEQIRAPVWRGRCDGEQVRDPLCRGRRDGEQVRAPLWRGRDDELNFDIYMFKYQFLSSYQNVNRDGPYILLRKDMRRARSYASEGPKDYNLLGNVKPRFSNQH >A10p023890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15536835:15537237:-1 gene:A10p023890.1_BraROA transcript:A10p023890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTTLSGNYGFPLCISGISRQLSLTKEMADHDTRRKVMKKKRRSEGKGASRRHGAEEEMGVERFHEQLWLQEMRESEDVRDLVALFQDLGSWSFSSHTAKAA >A05p012330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5343149:5344090:1 gene:A05p012330.1_BraROA transcript:A05p012330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDMPQPGFVKNESDLAIMVATALTFSIFIVGLASVCYRWTARRFYTDEQSVNLSTHPQHELQTARRGTTRRGIDAAIVESFQTFLYSEVKEQRIGKGGVECVVCLCEFQDNDRLSLMPNCCHVYHADCVSVWLSDHSTCPLCRVDLVFQQGQGSDPDPELGVVDTTEARLSESMTWSNRSRPPRSRSMRLPHLRVADMSLSRSHSTGHCVAEPVENLDRFTLRLPDGVRTRLIKKTLVRSSQGLYRSRSVGSRSARSVFSEQWCNYKNHRRIHSMTLSFTDHLRVWLAGDVVAPPNSEQTSREFRPDDLV >A04p019200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11506684:11508117:-1 gene:A04p019200.1_BraROA transcript:A04p019200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLHLHLHRHRLEKGTTLSFNRLLSLSLWFRAFCDYRAILRNSLPFNEALDLFTRMVESRPLPSTVDFTKLLTAISKSKRFDVVIALCNHLETLGIPHDLYTCNLVMNCFSRSSQPHLASSFLGKMMKLGFSPDIVTFTSLVNGNRPEDAMYIVNQMGIEPDVVMYTTLIESLCRNGLVDNAMSLFNQMEIKADAVTYTSLVNGLCNSGRLSDAVSLLNDMMRRRINPDVVTFNALIDGFVKKGKLLEAREVYSEMIRVSVAPDVFTYTSLINGLCMEGRVDEAKEMFYSMEDKGCFPDVVAYTSLINGFCKSRKVEYGMKLFYEMTQRGLLGNTVTYTALIQGFCQVGRHKAAQEFFSQMVSRGVSPNIRTYNVLLHGLCLNGKLGKALLIFEDIQKREIDVNIITYTIIIQGMCKAGKLRDAVDLFCSLPSKGMKPNVVTYSTMISGLFREGFKLEAHVLFRKMKEDGVLQN >A06g504330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13684240:13686103:-1 gene:A06g504330.1_BraROA transcript:A06g504330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLIWQLITGQVAVARNLVRRNMRCDNYCPRCGEIEESVTHAIFKCPPALQVWSLSTTPSCPGTFPVSSVYTNMDYLFWRKNDILEPDQDRDPYPWIIWYIWKARNDKLFRRIDRDPLELVRYAESECQAWFNVNEMIPHVVHDSYNEECQVLSLGNICLLDGSWTALDRFSGCGWAWMDSGEKIQLMETRNYTRCESALHSEVEALRWPMENMLQHSPCQNFGTDCKELIAMIKKPHEWPRFATELEKIETLLICFPDFKITHILRTARTFRRELFFIGCSIPGLGNQTTSSLTPSNIVMGSRNLRRRQTALHSELEELQWAMESMLQHSICQRFGTDSKDLIAMIEQPHGPISQLNWKQFKLFGCVFQTSRSATFQGRKINLQIR >A01p018470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8962336:8964269:-1 gene:A01p018470.1_BraROA transcript:A01p018470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEQKTFQGPRHHTSLKKPLWVVLTVSVISMLLISTHMFPRQGKKSSSDAFSAWLPAHVRKHTDEEIAARAVVRDILKTPPSITENSKIAFLFLTPGTLPFEKLWDEFFKGHEGKFSIYIHPSKERPVHISRHFSDREIHSDEVTWGRISMVDAEKRLLVNALEDPDNQHFVLLSESCIPLHTFDYTYRYLLHSNVSFIESFVDPGPHGTGRHMEHMLPEIAKEDFRKGAQWFTMKRQHAIIVMADGLYYSKFREYCGPGIEADKNCIADEHYLPTFFSMLDPMGISNWSVTYVDWSERRWHPKTYTANEISLEFMKNVTSEEMSTHVTSVGEHGDELHWPCTWNGITRPCYLFARKFHPDALDTLVNLFPNYTSTAS >A07p009580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5919693:5920100:-1 gene:A07p009580.1_BraROA transcript:A07p009580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALCLLRLRNNVRLQRSPLHSELDALIWAMENMSQHTSCQSFGTDYKDVISMVKDPSTWPTFSMELAEFEAIKGRYHNFKIFHIPRAQNKMVDALARTSRAS >A09p069920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54371202:54372444:-1 gene:A09p069920.1_BraROA transcript:A09p069920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNLPPGPTKLPVIGNLHQLQGLLHRCLHDLSKKHGPVLFLRQGFVQMVVLSSSEAAEEALKTHDLECCNRPMTIALLKFSRNGKDIAFAPYEVSRELRKLSILNFLSAKKVRSFRYIREEETDLMIKKLKESAQKKSPVDLSKTLFHLSASIVFRAAFGQSFLENKHINKEEIEELMYEAQRINSYKFSDLFPIAGVGWFIDFVSGQHKRFHNVFSKIDTFLNLIVNDHHQLKSQTQDRPDIVDSLLHMIHKQEQDESFKLTIDHLKGIISNIYIAGVDSNAIIMIWAMAELVRNPRVMKKAQEEIRTCIGINSKEKLVEEDLDKLQYSWKKNKEDVDMEEAGYVTTVKKVPLELVPSPIFAIGGVIES >A06p054740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28679693:28681913:-1 gene:A06p054740.1_BraROA transcript:A06p054740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrofolate synthetase [Source:Projected from Arabidopsis thaliana (AT5G41480) UniProtKB/Swiss-Prot;Acc:F4JYE9] MRALWSQLSIISNCKLTPTIRRPSAANMITSNRNLSTEDPELRDFVGFLESLKNYEKSGVPKGAGTDSSEGFDLGRMKRLMLRLHNPHLNYKVVHVAGTKGKGSTSAFLSNVLRAGGYSVGCYSSPHILSIKERISCNGEPVFASTLNDLFYSIRPIIDQSIQEEDGSLSHFEILTGIAFSLFAKENVDIAVIEAGLGGARDATNVIESSNLAASVITTIGEEHMAALGGSLESIAEAKSGITKHGRPVVLGGPFLPHIEDILRSKAASMSSPVVLASNIGSGSSIKGIINKDGIGLCQSCDIVIQNEKDDKPIVELGDVNLRMLGHHQLQNAVTATCVSLCLRDQGWSRVTDEAIRIGLESTRLLGRSQFLTPKEAEALQLPGATVLLDGAHTKESARALKEMIENEFAGKRLVFVVAMASDKDHVSFAKELLSGVRPEAVVLTEADIGGGKVRSTSCSVLKESWIKAADELGLGVMEASENKSVFGSLKLAYEILKHNRTGEEAGMVIVTGSLHIVSSVLASLQH >A06p017610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7920095:7922097:-1 gene:A06p017610.1_BraROA transcript:A06p017610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHKSKRVSWPPDFKLCQVRLFISEDSPSQVGSESQDHFQAKSSGASHLSDDNLPPGFGGMLSPNESQIKLSDIPVIKWNCSNRAQNQRELRVLEAFYPGASSIPPNPSVPADVDTSDYDDQQTLVIPILVVEDDDVATDTASELPTQSGVDVPSITNETTSTSSSLPAASEIMAALSALSNSKEQGGSMIDKDLLIKILSNPKMVENLVTNCGSSAGSVSSNASHEAKGAVATATTPACSNGQYNPQPAVAHIPPPMVYLPPARSNQSNYGAPPPPAKDASYYKSLIQQHGGERQEAPPPPVQQHLGGYRYSLQPGAGGGGPNPEIVNSNRPRDSKPKIMKPCMYFNTSRGCRNGANCLFQHDATAAYQPRNINNNPEMQRAKRMRFDRV >A09p074250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56377788:56378530:-1 gene:A09p074250.1_BraROA transcript:A09p074250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVILLDYWPSMFGMRTRVALEEKNIKFDYREQDLFNKSPILLEMNPVHKKIPVLIHNGKPVCESLIQVEYIDETWPGGNPFLPSDPYQRAQAKFWGDFIDKKVYGPTRLIWGAKGEEQEAGTKEFIEMLKMLESELGDKTYFGGETFGYVDIAMIGFYCWFDVLEKCGNFSIEAECPKLIAWAKRCMKRESVAKSLPDSNKITKFVPELKKKIGIE >A05p053260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30782580:30784427:1 gene:A05p053260.1_BraROA transcript:A05p053260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNIFEGLPPPSSQHQELPNSSNPDESPSPAPTLVLKSSLKRSKPPESAPDASELWCYCSNLTAPPLLKSALKRSKPAESTPEPEPEGAAPKKRLQFKTSTDASEEQVVEAMQKITSHIKNPSKFSKASKLAIRLIQAGSVKAETSCYLIAMLEAAMSSKTPCTDRLVRGDYHALFSAAQDVAECLDRSQKNLLTIWTIKAVVANDLFTDDSFMFSKTATQIKEAISDLPVATEEDDAEEAAALEQEAVKDSGDGETTQDVDEAASAGGQENVESDPFGLDAWIPSNVKKNGKTKMTKEDTDALENKKFLRSKREALITCLEIAARRYKVPWCQTVIDILVKHAFENASRFTSQQRRAVEKLWASVREQHLRRKQGKSVTGKLDVTAFESLQDKYANEKMSIRRSVGANGERRAQQWLG >A09g516070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47605787:47606813:1 gene:A09g516070.1_BraROA transcript:A09g516070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGSSEVVKSRLLGFVTVERTRSRGGCLCFSSKLGRCLRFVLGLCPWRLGEVLLPLPARKVTVGLILQMVAPPESGRFRVSPPSHPVSSVWTVGWCCLLTSSMALLTVPFMSTLSLLCSGIRPSRDSGRLRSCGTESRFSVSAFGKFSSSLAVVSRILLGSCLRASSVFAGFVLRISVVSLCWVRAESMLRSCSKRRRETRVRTLKRPRKTRVRVLWRRRIS >A08g500500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1274194:1275564:-1 gene:A08g500500.1_BraROA transcript:A08g500500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLGDGDGGDSPHESSLPPTVVLNDAPPRLVTGSNCKSKRITVLQKDKEVEVPKEDAGVSDVVINGDGTVRYDLNTNRNVVTELLQELEGLPPALGSNIVGDISREAFEKGNTSCSDGLEAAMQDWAVVGRIDPNLVASEVPKAVESLDGVQGQNDVLISPSRFSVLALEGIGEDVTNEEEELEEGEMIADLLTEDTKLKDPARTGRFRPGPSLKLSKQLPARSKDLR >A04p012100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6081304:6083302:-1 gene:A04p012100.1_BraROA transcript:A04p012100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine DNA glycosylase [Source:Projected from Arabidopsis thaliana (AT4G12740) UniProtKB/Swiss-Prot;Acc:F4JRF4] MMLLFRVRLINPTFERSTVATKRQNPKTTPSFHCKASSFESKTMAHSSAPRMRKCRQTKKEEEPPGGDMEDLFSEKETENIRLSLLGWYDENQRDLPWRKTRSETEKERRAYEVWVSEIMLQQTRVQTVMEFYKRWMHKWPTIYDLAHASLEEVNEMWAGLGYYRRARFLLEGAKMVVAEKEGFPNKASSLMKVKGIGEYTAGAIASIAFNEVVPVVDGNVIRVLARLKTISANPKDRLTVKNFWKLAAQLVDPSRPGDFNQSLMELGATLCSVSKPSCSSCPISSQCRAYSLFLENGSIPVTEYPTKVVKAKPKLDFCCVCVLEILIQENNRSGGGFVLVKRPEEGLLAGLWEFPSVILDKEASVAARRKAINLYLKEAFHLEPKETCTVVSRKELGEFVHIFTHIRRKIYVELLVVQLTEGGTIDMFKDEAKDTMTWKCVDSDVLSTMGLTSAVRKVYSMVEAHKQDLSVSSNRTAISRKRRIT >A06p057680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29984974:29986037:1 gene:A06p057680.1_BraROA transcript:A06p057680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTVPPSSSSTHLIHNLLRLPEPPSSFCLFPRPFCFSALTCQSSRRNKPRFQSISSKPLPFHSTSSLVAKSFSAIDEPDLGEDDESEEDEEDEEDDVELDSGMVSVRGSEEGKEEKIEKMKQKARGSALKLTIKEKKELASYAHSLGDKLKCQLVGKSGVTDSVVFSFLETLEKNELLKVKIRKTSPQEMEDAVMHLEEATGSVAVGQIGRTVILYRPSPTKMKAEEKKKEVERLSIRRRQKFSNSRPNTRPFRREYSERPDGRGRRGGSRVATA >A05p032140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17985983:17986944:1 gene:A05p032140.1_BraROA transcript:A05p032140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKSQSSVNLKVIFTCCSILIFLIIFFARSNISSSSSKPLSETNLSREEVENQHKPKGCPTTQQCTKMPISLSDALVHYVTSNVTPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFIEEDKAWIETVTNKFPNLESYHVVYDTKVKNSDKLMELGRSEECTSVTDPRNSKCDLALKDFPADFYQTKWDLIMVDAPTGYHEEAPGRMSAIYTAGLLARNREDGETDVFVHDVNRPVEDEFSATFLCKGYMREQNGRLRHFTIPSHRARAGRPFCPVDVDRRR >A02p057440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34536257:34540093:1 gene:A02p057440.1_BraROA transcript:A02p057440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKENEMSAMEIDDPKLDGSDQILPRFSMNVLQLMKTSQAQHGLRRGDYARYRRYCSARLRRLYKSLKFTHGRGKYTRRAILESTVTNVRFLHVVFYMAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWATLFSSLCSVKTDSRTSLEAEAYASYMKGTMLFEQDQNWETALACFKNARAVYEELGKYGDLENQVLCRERVEELEPSVQYCLHKIGKANLQTSDLLQIGEMEGPALDLFKAKIEAAMEEARSQQAASLTEFNWLGYRFPVSNPKSRVSILKAQELEKDLQGPTAESLPAEKKLTIFDKLFTAYNDARNTIRSDLVSAGNAESVKDELNGLDKAVGAVLGQRTIERNQLLVKIAKSKLNRKRDDKNEKVTKPEELVRLYDLLLQNVADLSDLVSSGRDRKPEEIAFEEECERKSLAFRAERCFYLGKSYSLAGKRVEAYALFCRARSLAEDALNKFQNIANKDEGTIQELKTLSRECRASSCIEHATGIMEEEKAPENLSKKISAISLNETATKAEKYLLDKLDVYESAVGDANTKMAPKIERFPPAFQSIPRNPIVLDLAYNCIEFPVLEERMKKGRGGFLRFFRSG >A05p008170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3325909:3332663:1 gene:A05p008170.1_BraROA transcript:A05p008170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGINRRALELQILFFIQGTGVGLNWNFGGGLAGTFLVEFSGIVSMEAVLNFAEVVEIEFAGAVKLGSYILYHVNNGNLPPPQQVVEFIKTKTIIDSVKIYDANPDILRALSGTGIDVTIMVPNGNIPALANVENARQWVGANVLPFHQQTKIKYICVGNEILLTKDNNLISNLIPAMQSLLEALKVSGLPDMKVTTPHAFTVVYNQDAPSESRFNDEQKYIFAKILEFNRQAKSPFMISAYPYFMVDPNNVNYAIFGPSNAITDTKTGKTYNNMFDAVMDATYSAMNALGYGDVDIAVGETGWPSACDAAWCTPQNAANYNINIIKRSQNIGTPLMPNRHIDIYLFALFNEDGKPGPTYEKNWGLFKPDFSPVYDVGVLRGSDTGPPPSSSEKWCVVKQEATNEQLQANIDWVCSQGIDCKNISPGGICFDNMKARSSFVMNVYYQSKGGSEEACNFSGSGVVTTTNPSTSSCVMKNVEGGSVHGT >A05p024000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11631183:11632442:-1 gene:A05p024000.1_BraROA transcript:A05p024000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVPSDDDRRNEEEILFDESTSTNEIVAAERGDRVVEIAESGDDDDDDDETRHLVAVDQPQCRICLDVGGEDLIGPCNCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAVFKLRANVPPDRWWLRLRFQLLVARDHAFIFITVQMVVAFLGLLVYKFYGEELREMFGYEEHPYGFYTLAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYIVEDRDCKNVPDLDQSHVMELRMLGLY >SC109g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:360401:365984:-1 gene:SC109g500050.1_BraROA transcript:SC109g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMKSHRSTDHDEDRWTDCSRHRSTSSADSTECNAVRILTHEEFAAKHPHPPSPFYEKSDRSVNSTIDRQSEPDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLAYPPEPTRNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHGLWMFFRETKVTEEDIRRMFHQVRGKMKHMITLTKKSDPGKFAIPCIVKGVEFLHSMCDTGASESIDTPTFPSIDSNVSMVTDDHNNTSLDVMHPLDHFTSPNHCYQHSAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPHEEHTEEYDEDYWKERAIEMSLQDERLETHNFTNTFPTSFNAVHSTSIDTHPHPAKQPLTSIDTSKSTSIDIRAAAKIQEQENILSLTRFTDTYINRFAPPKPPTHIRANTQSNKMNTLPSTSTEKSMKSNHLKNTSSAEITLPSIDVTVSTSIDTTLNPKRSISKKNNYANINYGFLTPDEFGIFRDPDGNARAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDPNNHAGVTTTETNPDLSRQPKRQASIDGIMETSIDRVTPTSIDMDNQTSIDRRYECGSRAFGMYGARKFTWEQWDEYGVYRDERGHARSAAGEMIPVTKDNIRKILERASLFEESHICLPEHATSFTPTRLEPELYTKEKIDEMVCGICGAQEKLGEELKTLVDETHQPLGRGYNELFRCMAEMRTEIDSLRQQLEKEATTSASIDAPCAKSIDVSLPTAQTLAEPRCSTQHMHEWEVSYIDTRINDVYCPLNNNVVWLSTKIELLQQDLDTIRKKDQHPATSIDVCTFTSLDAKVSAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLDAQKDIENITNQSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSPRSTNEHIIASIDAESTTIGEQLIHKTVESMQKELTDISAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRNSTKDAKADQPINYTLALNPPETCLKSLHPVIDTPKVQVHFGVKLRLWSILEHKGHFTRADHLEVDERKNNRSICISADDRYQEMPLQMKINIDRCTHIPSIDVETPDATFWIQQT >A05p035580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20157052:20158232:1 gene:A05p035580.1_BraROA transcript:A05p035580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LEKKRTGKLERCFLFLPLPLCESPIQSFSHAFLSFNMGLTEEEIKRMYRIQKTLMQMLKDRGYFIADSEITMTLSHFVRKYGDNMKREDLVTLRAKRNDSSDQLYVFFPDEVKVGVNTMKVYTNRMKSENVYRAILVVQQNLTPFARNCISQISSKFHLEVFQEAEMLVNIKEHVLVPEHQVLTTEEKKTLLERYTVKETQLPRIQVTDPIARYFGLKRGQVVKIIRPSETAGRYVTYRYAV >A08p023190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15274778:15277568:1 gene:A08p023190.1_BraROA transcript:A08p023190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKRNLVDEEAKASLDIWRYVFGFADIAAAKCAIDLKIPEAIENHPSSQPMTLAELSSRVSASPSHLRRIMRFLVHQGIFKEVPIKDGLTTGYTNTPLSRRMMITKRDGKSLAPFVLFETSHEMLAPWLRLSSVVSSPVNGSHPPPFDAVHNKDVWALAEDNPCFSEMINEAMACDTRRVVPRVARACHDLFNGVATVVDVGGGNGEAVGILVKEFPWIKGFNFDLPHVIDVAQVLDGVENVGGDMFDSIPKCDAVFIKWVLHDWGDKDCIKILKNCKEAVPPNIGKVFIVESVIRENKKTMIVEERDEKLEHVRLMLDMVMMAHTSTGKERTLKEWDFVLNEAGFARYEVRDIDDVQCVIIAYRS >A07p045810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24835364:24837046:-1 gene:A07p045810.1_BraROA transcript:A07p045810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMRFSWKNICLPISCMNDTNLKKTTTNPSKEKLLLLTRQTSVPSRVYLSDFSNSTISLNDFSNSFLTDIHIFTLEELKTITQGFSKHNYLGEGGFGEVYKGFVDDSLKIGLKAQPVAVKALKREGGQGHREWLYGGALPWLTRVKILLGAAKGLDFLHKGKKPVIYRDFKPSNILLSSDYSSKLSDFGLATDGSEAEDSNFTKNVMGTEGYAAPEYISADPNKLERIIDPSLEGRYSLEGIRKAAALAYQCLSHNPKSRPTMATVVKTLGPILDLKDIQNGPFVYIVPVAGANDITCKDDDAKVTKEETEKEAKVCPRHRAGRRRRRKHRAMKSRAVYSDTTLYKSLGTSLYTQAE >A02p032940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17365920:17370730:1 gene:A02p032940.1_BraROA transcript:A02p032940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLLTYSIKIPTTASTVVVRPVLPIRSSLPSLRLTFPLRTPTSFISCCSSVSEKAATSGIDLKPDVERWPKYIPNKLPDKNYVRVLDTTLRDGEQSPGGALTPAQKLEIARKLAKLRVDVMDVGFPASSEEELEAVKTIAKTVGNEVDEETGYVPVICVISRCKHKDIEAAWEALKYAKKPRIYIFICTSEIHMKYKLKKTKEEVIEMAKSSIRFAKKLGFTDIQFGCEDGGRSEKDFLCKIMGEAIKEGVKTVTLADTVGINIPQEFGEMVTYLKANTPGIDDVVVSLHCHNDLGLATANTIAVHTSHIMAASRMVQEYTGLHVQPHKPIVGNNCFLHESGIHQVNYCF >A01p054440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30465843:30468424:-1 gene:A01p054440.1_BraROA transcript:A01p054440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKNTLKMSDLPCDLIEEILCRVPATSLRHLRSTCKQWNLLFNNRRFTRKHFDKSPKQLMTLMLNESMVCSTRVNLNGVPEVTSELSLVDPLYSSLIDHEFDSYEVFHCDGLLLCINENNTRLVVWNPCTSQARWIQPKTRVSSHALGSYHGNSYKVLSYHPDFAIFENNSNSWRSLDITPDCNIADSEQFMSLKGKTYWFAYDKKDERPISIFLLSFDYTSETFERLRLPCQSFLYETMSMSVVREEKLSVLLQRDYTSRTEIWVTNKIGETKEVSWSMFLALDYSPAGLHLRDTVSFLVEEEKKVIVCCDRYLEDEFHGKKLIHIVGEQNQVREFDFGEAKRCPILFNYVPSLTQIHQGVVDVTADIPIVDIDLILQQQNDETVTGSLPTTSVSVPHVNSQPELNMNIQDVPRLHSDLIADSHEPYVSTHAPMECQDIQVSKITTSPSTPQVQEDNQIASPTLAKILSPLVDIESTPISASIMETSPSNIINKEVQKTLIVDPLHTLAQASGFESPSRFTALGDVEEAPDEPMSSLGLTRGLREIRPPKKFQDLEWKTARGRGKRGCRGRDNTR >A09p070910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54880771:54885090:-1 gene:A09p070910.1_BraROA transcript:A09p070910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIQRIDDSTSRQVTFSKRRKGLIKKAKELAILCDAEVGLIIFSNTDKLYDFASSSMKSTIERFNKTKMEQQQLLNPASEVKFWQREATTLRQELHSLQETHRQLMGQQLNGLSVKELHSIESQLEMSLRGIRMKKEQILTNEIKELTRKRNLVHHENLELSRKVQRIHQENVELHKKAYAVSIRNELGTRDIEDTADESHAQVRLQLSLPEQSHYETSTNS >A10g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10501002:10502769:-1 gene:A10g504240.1_BraROA transcript:A10g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSSRNNDQDFIRKADIDALIKMFKDNGNIYGYSYGASMIARTVGDLDCITRTDIQQLPLLIMGGKGVNQRQLKRVVEHLVHMIKMWK >A06p050130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26394430:26399483:1 gene:A06p050130.1_BraROA transcript:A06p050130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWGPVFVAVALFVLLTPGVLIQIPGKNRVVEFGTFQTSGLSVIVHTLIYFTLVCILLLAIQIHMRSILSLRLNKRNILPDKEIIKRYLGNADARRDNVRNQSKYLVCLLADNHIRLTPRPEFLNKLDDRAVDAVKTKFFKNYKNWCKFLGRKHSLSIVTGENIKPSYGGDDEAFLLKSKNATGKAAHSDWSNYDDLYEYFWSPDCFYLGWTMRDDGDLSPVSSLQQLFYASSREITITLFLPSILGILDIILNFPGFHRWKFTEILRNILKIAVSLAWCVVLPLCDAQSNSSAPGMLEQWISFLPRDVKGVPPLHILAVALYLLPNVPYYNVGQIGQQVHGGAPARGKSHHIHDPMNESEPTKAVEPVRGPNTSHGHEALPHHAGPLESTSRETEDWRKLRLLGSCWWRNWSSTALARRKGTCDDVQLGSWKREGIQSRRMNLCWLRLGQAEHALYDANVCRELKPDWSKRCCFREGVALCFLQIFVEAANAFLRRSKAKRSVMSSEKL >A03p061140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26515350:26516858:-1 gene:A03p061140.1_BraROA transcript:A03p061140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSPAVAMPFMPFPETPQMELAGIMLGKGYCNGQYSSQDSDNGEETSSCSVSGAQPRIVSSSSDKVAGEGINGSDERSTVQSEKKMISRTESRSLFEFKSVPLYGFTSICGRRPEMEDAVSAIPRFLQSPTNSLVDGRFNPQSTAHFFGVYDGHGGSQVADYCRERMHVALAEEIARENPMLCDGDTWQEKWKRALFNSFLRVDSEIESVAPETVGSTSVVAVVFPTHIFVANCGDSRAVLCRGKTALPLSTDHKPDREDEAERIEAAGGKVIRWNGARVFGVLAMSRSIGDRYLKPSIIPDPEVTAVRRVKEDDCLILASDGVWDVMTDEEACEMARKRILLWHKKNAMAGDASLLTNERRGEGKDPAAMSAAEYLSKLALQRGSKDNITVVVVDLKPQRKFKSKPLN >A05p003580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1312030:1313887:-1 gene:A05p003580.1_BraROA transcript:A05p003580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYCTDCKKETELVVDHSAGDTLCSECGLVLESHSIDETSEWRTFANESSNADPNRVGGPTNPLLADSALTTVIAKTNGSSGDFLSNSLGRWQNRNGNPERGLMQAFKTIATMSDRLGLVGTIKDRANEIFKRLEDQKSTRGRNQDALLAACLYISCRQEDKPRTIKEICSVANGATKKEMGRAKDFIVKTLGLETGHSVEMGAIHAGDFMKRFCSNLGMSHQAVRAAEEAVKKSEEFDIRRSPISIAAVVIYIITQLSDDKKPLKDIAIATGVAEGTIRNSYKDLYPHLQKIAPSWYAKEEDLKNLSSP >A03p026890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11209071:11210651:1 gene:A03p026890.1_BraROA transcript:A03p026890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTCNHKKESSGNVGRVIGAEISRAALFLGYVYSFSSLRFKFLCRDPDPCGSVIFPDPCESLVYNCCWICFNVETVEYKNISFTVWDVGGQDKDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >A01p000790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:312946:314293:-1 gene:A01p000790.1_BraROA transcript:A01p000790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVARRLHLSCKYGAAKILRGKRIQSFNYSKSTKRSSGKTYVNPQLLIGTQTYPRSRLRYAAPALVLGFTGFIGFLHYNDERRAVPKGITSSNSGCGCGSNTTVKGPIIGGPFTLMSTQNKIVTEKEFCGQWVLLYFGYSFSPDVGPEQLKMMSTAVHRLGLTQIKARAIFIKSNHDQMILPVFVTLDPLRDTPFHLHAYLKEFDSRILGLTGSASAIRQMAQEYRVYFKKVQEDGDDYLVGTCHNMYLLNPKMEVVRCFGVEYNPADLSREVLKEVTSVS >A03p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15009934:15013753:-1 gene:A03p035800.1_BraROA transcript:A03p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAKTSEGMKTVDESDVGISCFISQLPGFRGILKQRYADFIVNEVDMDGNVVRLTSLDVSPEMDVKEEDKTKSSDDVPQSYASAIESFRSLCSASDAERMETLVNQVTSGADDEISPVVLDPSSDKSKRTAIHNFVKEKFKFLVTDTVDGPDPTSKCIRVRVNSGNAGGKRSKKRKERGDKPFDSRGSEHWPADVGKFLKFHLYKENKDTQEALGLIGKMLGVQPKSFGFSGTKDKRSVSTQRVTVFKQQASKLAALNKRLFGIKVGDFSDDCSLVKEGLLLGQLMGNRFTITLRGVVADSEETIKKSAESLGKDGFINYFGLQRFGSGSVPTHHVGAALLKGEWKEAVDMILDPREINEAREYYKETGDIDGTLRQLPRYLVAERAILQCLKKCPGNYLQALKGIPRTLRMMYVHSYQSYLWNNAASLRVQKYGTNQVVLGDLVSTKVDDAKRVVGSLNSEQKENSEEALDCDQLDDTAVVDLPGERSDLVKVVGNEDVEAGTYSTSDIVLPLPGSRVIYPSNDVAEIFHDLAKKDGISLTESIHGVKELLTYTDSNKPLAETDLDRITMEKPVDKAGSAEETESDSNQPESCETDLKDSEQTQLALKMALTLPSSCYATMAIRELLKTSTSVSTQNSFSWRLSYIVLT >A10p020440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13857969:13864646:-1 gene:A10p020440.1_BraROA transcript:A10p020440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFKPSPGRRRGGDLESGRIENADSDSDTFSIPAKNASVERLQQWRKAALVLNASRRFRYTLDLKKEQEAQEMRKKIRTHAHALLAANRFIDMGRDQGGGKPIASATPAGDFGIGPEQLVLMSKDHNIASLQQYGGAQGLADLLKTNTEKGISGDDEDLLNRKNIYGSNTYPRKKGKGFLKFLWDACHDLTLIILMVAAVASLALGIKTEGIKEGWYDGGSIAFAVILVIVVTAVSDYKQSLQFQNLNDEKRNIHLEVIRGGRRVEVSIYDLVVGDVIPLNIGNQVPADGVLIAGHSLALDESSMTGESKIVNKDANKDPFLMSGCKVADGNGVMLVTGVGVNTEWGLLMASISEDNDEETPLQVRLNGVATFIGSIGLFVAACVLVILLVRYFTGHTEDVGGGPQFVKGKTKIGHVVDDVIKVVTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVESYAGGKKTDSQQLPATITSLCVEGIAQNTTGSIYVPEGGGDLEFSGSPTEKAILGWGVKLGMNFETARSQSSILHAFPFSSEKKRGGVAVKTADGEVRIHWKGASEIVLACCRSYIDEDGNVAPMTEEKEQYFKNGIEEMAGRTLRCVALAFRTYEPEKVPTGEELSNWVLPEDDLILLAIVGIKDPCRPGVRDSVQLCQNAGVKVRMVTGDNVQTARAIALECGILTSDADAAEPNLIEGKSFRALTDAGRDKISERISVNVHNTTFQFLSQISVMGRSSPNDKLLLVQSLRRRGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLTAVQLLWVNLIMDTLGALALATEPPTDHLMGRPPVGRKEPLITNIMWRNLLIQAIYQVSVLLVLNFRGISILGLQHEVPAHATRVKNTIIFNAFVLCQAFNEFNARKPDEKNIFKGVIKNRLFMGIIVITLVLQVIIVEFLGKFASTKKLNWKQWLICVAIGVISWPLALVGKFIPVPKTPLSSKLSVLKFWGKKKSSGEGSL >A09p010130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5183465:5184298:-1 gene:A09p010130.1_BraROA transcript:A09p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 57 [Source:Projected from Arabidopsis thaliana (AT5G65830) UniProtKB/Swiss-Prot;Acc:Q9FH86] MPANHHRFSYRFILLFLLTFETARRSASGDPNDEACLANLHQSLEDPANNLHNWTKPFFSNPCPGFDSYLHGVTCNNGRVYKLSLTNLSLRGSISPFLSNCTNLQSLDLSSNQISGEIPPAIQSLSNLAVLNLSSNRLSGEISPQLARCSFLNVIDLHGNGLSGVIPQQLGTLARLSAFDVSNNKLSGQIPANLGTRNGNSPRFNSSSFAGNGKLYGYPLGEMKSKGLSVMAIVGIGLGSGVASLVVSFTGVCIWLKVTEKKMEEEEGKISHSMPHY >A09p080110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58780043:58788156:1 gene:A09p080110.1_BraROA transcript:A09p080110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSETQGKTKHPGGELGEAISPAISTGDLQNSARSFLFSRVCRGTLSFLDEMKKEEKNGSTGRTICTRSVAVASSASAEKEKDNSGLSLRRSARGASSTSSTSKSEKRNPSPVSVSKKSGKMEKKHKESPLRRSNKGKKPGRSADTSSSTEIKESEDNVEDLTGVVKKYEPKMTGRSFRALYRGHLRNEAKASSNDDELVVVGCSRRVPAGNEDAREDSSSPRVILESKGVLIGGTCTHKSPDFAVKLARDTENMVLDSSPVVGDDSVIGSPSENPETQKLRVSATRLETDTDLPLKRKRDTVGVVMDACANTDDRTMSTDGVIPSPSGSTNNNQSESRDTCQKAKKVGDDFANLCVSSSIAQQVQEPDQLAQVSGPASSRDYGEDRQNMQQHKSHDRKLSSMYPEYWVPVQLSDVQIEQYCRTLFSNSSSLSSLSRTDPVGALEQTLSSVRKICDHPYIMDASFKQLLTKNLEVHKIEDVEIKASGKLHLLDAMLTQIKSKGLKAVIFYQATQSGEGLMLANILDDFVSQRFGQNSYEHGDSHSKKNAINNFNKESECFILLLETRACSQSIKLLRAEALILFGSSWNSSHDVKLLEKIKIESYSEKTKLFRLYSKCTVEEKALILARQKKSLDNLNRPLTHALLMWGASCLFDKLDHLHGSQTPDSGVPLEQSIRGDVIREFSSILSSSVGEGNVGKLCLLLEAKHAQGTYSTESNLFGEKYVELSDEVSPNIFWTKLLGGKNPMWTYYSDTSQRSRKRVRHLQGSEETAKLDDGKSTKKKKKASDDVTVVYDERKASGNDHMGDYESPKVPTLLSSNASGTNDALDGKDAIGLYSVGGHISGIPEDMLAAIDCRQTPAESQKTLHAVLKPQMSKLCQILHLSENLARMVEKVLEYIIDNHRVCKEPATTLQAFQIAVIWIAVSFVKQKFNREESLARAKSELGFSCSREEVDYIYSFLYCMKSLFVGRRTQGFQEKGEECMAENRGSHYSSVTKDVEKTISDIKKKCSKSLHKLVQTLEEEKIDLMNRNAVKKQELQNCKKVEASFIRVTYSGINTQSLHDALQRLECTFERKFDDLKGELDKCLESLEQINEAGKKKLAEDEACWISRIEKWARAELRNGAPNQAWSNADNSLDQQNEEACSLDKEIPDELALPLPHPASLVKTSGSAESDQVDHEKPDSAENIQGKKTETAVEPQPAGSPMPSSPGEKQHDLAANVQGQNIESSIEVQPVESSMSSSPAGQHSDPAVDIQGKTIEAIMELQPAESPVPSSLAGQQSDPAVNIQGKDIEAIMELQPAESPVPSSPAGQQTDPAVNIETVIEPQPAESSMPSSPAGQIQGKDIEAIMELQPAESPVPSSPAGQQTDPAVNIETVIEPQPAESSMPSSPAGQIQGKDIEAIMELQPAESPVPSSPAGQQTDPAVNIETVIEPQPAESSMPSSPGGKQPDPAENIQGKNIEETVESQPAGSETLETGGFAASEQVDQVACPSPSSPAGNQPDSGANIESQSISTSVEPHIAVPGAVLNQDACSLPSPSVRTQADIAANSEGQNTTTVSNAVETDNVAPLVHEGVVESSAGVTASVPSLLNNATATTGQSPIQPVPQIPFPVFSDPFQHELEKLRRQSEITKKTCEEMKAVSKAKFEKKIAELQEEYQRKFHEVQAVHAARNTKLQARKNLVIKNKLLSSAFLSKCTSRISSHSSAATPMGKFRIQQLAQRATQVSALRNHTASAPYCTMPQPRQPLISNPLPYSNAFTQQQEQPHNLGSGLQRSNDVVCLSDDE >A04p004450.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2234714:2235178:1 gene:A04p004450.1_BraROA transcript:A04p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSSSSWTFLTTTGLDQRNPSPVVTSKQMKKTASRNKPIKVRYISNPMRVKTCASKFRELVQELTGQDAVDLELEPEFSPSAVSDHSPSPPPPETFAPHIPHQEPFDDLVAGYYEPLDGEEMFLSQMSRGFSGYFSNEFYNVNDYLGRIDSL >A02g510360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27459275:27459649:-1 gene:A02g510360.1_BraROA transcript:A02g510360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAGEEPVGVRVLTYQSSPSINTILNDLNEDEIWYLREFARYLLSQQLKVEKNMKLGFGSPENLLGFSLREFAIITGLPCGELPKNHRNIFNNRNLIQYPIFVTG >A10p011130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6543935:6549291:1 gene:A10p011130.1_BraROA transcript:A10p011130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSPGSSEPPESDRKEQDVRDGFVEGSEEAKGVMVVDLKKGNPSWVSVAQDKRRAGGSVEKAGEKDDESQDREGRTLRRESIETSENKVVKEDTISKEDNSNTWALVSPDKIGRVQSTPQREPGGVQISASKYSVLSLHEAEEGEILQLEGHEGGKQAREKIENIETKVMLLETRYRVAKMSLSLKTVLNRSGKMQSQGPRK >A06g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24433836:24435458:-1 gene:A06g508660.1_BraROA transcript:A06g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIFSQTYRRDPPSPLRSAVSVEIRRLRRDPPSPSRSAISLSHNRRRKWITENRDSKPSNVDSCSRFTTQRLIVIVYSLLHLVVNTLFGFSEEYKHLVTQHILILDDMDFYSVVQLVQQGYKMKKS >A01p036070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16645674:16646388:-1 gene:A01p036070.1_BraROA transcript:A01p036070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-crystallin domain-containing protein 22.3 [Source:Projected from Arabidopsis thaliana (AT1G54850) UniProtKB/Swiss-Prot;Acc:Q84K79] MGLLKELFYFRSSSSGQNGMRESTGFPQVIEVAPLNSLPYVGPLNGNSSMSGNKANETAEKTGPPAMIFLPSESAPEFCSLISQSKTGVALTGSAAMGKIGPTIGLVDIAESDDSYYFRVSLPGVSRDEKEFSCEIEPDGKILIKGATTTGEKTVCKHNQVFKMLSQNLCPPGHFTISFQLPGPVSNEDFSGNFGADGVLEGVVKKLYYED >A08p022390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14832631:14834430:-1 gene:A08p022390.1_BraROA transcript:A08p022390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRPGVPSKIKTGRKELDTYTIKGTNKVVRAGDYVLMRPSDAGKPPYVARVEKIEADARNNMKVHCRWYYRPEESLGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHTFKNYTRLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDGCKDWYHPACVGMTTEEAKLLDHFACAECSSDDDVKKSQNGFAASPADDVKVRGSKAQEKIMARFPRVKATRGDNGKLYDLEALWCIFLLS >A03p014250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5550105:5552422:-1 gene:A03p014250.1_BraROA transcript:A03p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGTKMVLHLKEDQLEYLEERRLKDLDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDEDDTVEADAEMPPLEDDADAEGSKMEEVD >A01p019230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9317492:9318319:-1 gene:A01p019230.1_BraROA transcript:A01p019230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQMIVMEDSEIRIRHYSSKHKILLVGEGDFSFSLCLASAFGSATNITATSLDSEDELSKKYMDAMVNVSMLARFGCDVQHEVDVHTMSFDNSLSLRRYDRIVFNFPHAGSRFFGREFSSKAIEGHRVLVQGFLENAKEMLEENGEIHITHKTTYPFSDWEIERLAKAEGLKLAKESKFERSHYPGYNNKRGSGGRESDKYFPVGECSTLMFIQK >A09g512730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38035013:38035590:1 gene:A09g512730.1_BraROA transcript:A09g512730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELVSYRRFGRVRSLRNDRTERTLGCYVATELGSSSRPSLARARSLHSDRAERTLGRYIATELGSSSVGRTCIQIGRGQARVWKSDRGQAKLDRYAVTKHARSSVATDRAGQTLGRYVATELRACLVTAYRSSLACLRSDFHTRACPRPIWIHVRCLRTIGI >A09p010260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5217924:5218791:1 gene:A09p010260.1_BraROA transcript:A09p010260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETLNSPTSATATARPFLRYREEMEPENLEQWAKRKRTKRQRFDHNQEKTPSEEEYLALCLLMLARGSTVQSLPPPSLSSSDHRGYKCTVCGKSFSSYQALGGHKTSHRKPVNNTDVPSNQEPFNNTHRNSNGGSVVINDLQSHYYDF >A01p027730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18714091:18714726:1 gene:A01p027730.1_BraROA transcript:A01p027730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITIVRVKIGHDGINSLALKSHSNRSRTKYRLSQDNGHVSDWPWTSSSMIIGPRTSQARSIRGDQACTWLGRYVMTSSQARSLRSDRARVPLSRYVATEFESSSRPSTRTAQRPSTRTAQRPSTRTARSLRSDRAHPRHSRYVATEFGTKLGQYVVTEHMHISIVTKRSSFPKTSI >A09p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6776998:6778819:-1 gene:A09p013240.1_BraROA transcript:A09p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHSAERNQEATVYVGQLDPQLSEELLWELFVQAGPVVNVYVPKDRVTGLHLGYGFIEFRSEEDADYAIKILNMIKIHGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKILYDTFSAFGGIASNPKIMRDPDTGNSRGFGFISYDSFDASDAAIEAMTGQYLCNRQITVSYAYKKDTKGERHGTPAERLLAATNPITQKSRPHTLFASGPPTHPNAPQANGIPRPFVNGGMPPVSIPGPRPTPPPPPPQVYQTQPPPSWQPQQHQQQHGLAVPPPMQFRPTQGMPPPPPPQFLHHQQGFGGPRPPPPPQAMGMHQHGWPPQHMQQGGPPPPQHMQQGGPPPPQHMQHHHPHMSMPPPPPPHQG >A10g500960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2850048:2851288:-1 gene:A10g500960.1_BraROA transcript:A10g500960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSLAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQVVAPCHGTGVAALPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAVQATQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETKAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKTRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAGEEGA >A02g510930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29056051:29057822:1 gene:A02g510930.1_BraROA transcript:A02g510930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSRGSSSHVQDSVSPHSSYHTSPSPLVAPAAPAPAAAPAPAPPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEILTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEMFPNIQDP >A01g500260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:980464:981033:-1 gene:A01g500260.1_BraROA transcript:A01g500260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGLSINGFIYYAASVPNQTPPVFVCFDVRYERILSFITTPKEVLVWKVYTSLIEYKGKLDVLVPTNCLRTGSSFDRFDLWILEDVTKHEWSRQSFQLSLSLPFAFRRSQRMISQGTNKAGEIIFSPTSLPGPAQPFYVFYFNTDTKSTRRVRIHGVADTEEFWSRHGLTGMCCASFSPQHHDSIAFL >A09p080330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58860192:58862230:1 gene:A09p080330.1_BraROA transcript:A09p080330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLNRTAPIRYLRSFRKTQNAPWMSYTVAFYSDSAEEEEKVAPLQETRMRDRFTLYARGGEGGSGCSSARKTRTDRYGKPDGGNGGRGGDVILECTHAVWDFSGLQPHVKGGKAGHGTSKNRIGNRGEDKVLQVPIGTVIHLQEGEIPSQVQAGSPTSLDPWDLPGTLVDDPEPEETSDVNQDSSVQVEAEDDIVVDTPMEDDFQDDVDQVKYNVAELTEQGQRILIARGGEGGLGSVCATRYLRGTKFAKTAPIRTMEDDSDDGDDNGQRSSIKCGSLGTEAVLILELKSIADVGLVGMPNAGKSTLLGALSRAKPRVGHYAFTTLRPNLGNVSYDDFSMTVADIPGLIKGAHQNRGLGHNFLRHIERTKVLAYVVDLASGLDGNRGVAPWQQLRDLVMELEFHEEGLSDRSSLIVANKIDEEGAEERLEELERRVKGVRIFPVCAVLEEGVAELKDGLKMLVNGGGEGSERLKLENICVD >A03p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5945934:5947196:-1 gene:A03p015010.1_BraROA transcript:A03p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPENGNWICDLIEADYGGSFTIQAPAFSWPLHQPIGVSSHNSSVGVDGSAGISEASKDPPLSRKRVRCELSSATNSKACREKQRRDRLNDKFMELGVILEPGHPPKTDKAAILVDALRMVTQLRGEAQKLKDSNSSLHDKIKELKTEKNELRDEKQRLKTEKEKLELQLKAMNAPPQPSFFPAPPMMPTAFASAQGQAPGNKMVPFISYPGVAMWQFMPPASVDTSQDHVLRPPVA >A02p058790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35216678:35218163:1 gene:A02p058790.1_BraROA transcript:A02p058790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSSSAVVPQLLRNVIIAVLVFADESLLQISANSKLLEKLRLFLVACFLFFLRSIPSVVSFANTNPTRGYTFPEKKKKLEIGDCESESGIGRAIWQLLSAMNDIPVNSRKYEVVRSLAERLIDENHGQNSLALFDLNRRVLNASFRRTLGRLEAAVEKNRSGPVRSGLNRVVRAAVRAVGDGFNSCGGEETVDQSAETAEKLAAELLWLAEKMSVYGFVDEAVERWASASNLAWLALWSEPRLQCSMVQISALLFKEAAAGGEKWGEIKKKMLTSWLPLLCRASNGADKPVLRGAERAELEKVLEKMISELEEEEQEQVLSLWLHHYTACSSSDWPDLNGSYVRWCHSSRQLLLLDCEDSQTKSLTN >A03p065450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28909724:28911148:-1 gene:A03p065450.1_BraROA transcript:A03p065450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPEPPTYRVTDDEETGKLVLSGVSPDKNMEVHQLTTKSGNKVVATFWRHPFARFTLLYSHGNAADLGQMVELFIELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYNCLRSDYGIKQEEIILYGQSVGSGPTLHMASRLKRLRGVVLHSAILSGIRVLYPVKMTLWFDIFKNIDKIRHVNSKVLVIHGTKDEIVDFSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKLSLTNPPPKELTNEPSITETKHNKCLRFRKR >A03p000950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:530805:532123:1 gene:A03p000950.1_BraROA transcript:A03p000950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPFWRSKISATKNDGLTWYKDLGLHAFGEFSTAMIQANSVMEDQCQIESGPLTFNNSAVHGTFVGVYDGHGGPEASRFIADNIFPNLKKFATESGDISEEVMRNAFAETDEDFLTAVKKQWRKNPQMASVGSCCLAGVICNGLVYIANAGDSRAVLGRSERGGVRAVQLSVEHNANVESARQELWSMHPNDPNILVMKHRMWRVKGIIQVTKSIGDAYLKRAEFNREPLLPKYRVAEHFTEPILSADPSVTVTRLDQEDEFMIFASDGLWEHLSNQEAVDIVHSSPRQGVARRLLKAALKEAAKKREMRYSDLKEINPGVRRHFHDDITVIVVYLDPQMVQANGWASPLSIRGGY >A10p024540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15857049:15859792:1 gene:A10p024540.1_BraROA transcript:A10p024540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSLLTLIFRDKLQTVHKNSDVVEKKPLDPPSNDNRDQLTKEVDSWSSFQMPVHYPKFTKEQYESNLIGFSNYMVCLQISVTCLARNNLQWVHSCGRRDHLHMAMETQQTHLLEVMQTEILARLPLKTISRFKSVSKKWKSTLESPYFRRLFLSLHRDSSSSSSWSLLHGADELIGFHGCKTWDLPKSPASLIPHSFKRYLCGDCDYVDSSGGLVLLTDGADKSYCYVGNPVSQQWVEIPPPPSDPTGGNTYVFGLVTRLDEDGVVLTFKVVRIASYQTTNDHLSSVLSVFVYSSETGISTSKVIHSPHQIGNMSKINLNGTMYFGCLGVPGILLAHDFYSESDQFRVVQLPDYPDYNKDYKRTLTPSGGFVVYVRTLAKHDETVLKIWRLNNDDDTWQILWEVGFPIIGNYAPMAMHPFDMGTVYLWSQRDYHWVSCNLRKRDYTILGDASDDGCFIDVSVCKKSVDEIWDPRSLTDLDEEDLDFRVCIWFCPFVIPRWMASVPRPPQTEMIDTTSLLSYATATHEARMEDMRNDEYFWMEVESGGGLPRRFTLLAVSGVFVAHDFYSKSDHFRVVQLPEYSGHNKDNIKRILTTFRGFVMYV >A07p045540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24710778:24713173:-1 gene:A07p045540.1_BraROA transcript:A07p045540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Like disulfide bond formation protein [Source:Projected from Arabidopsis thaliana (AT1G72280) UniProtKB/TrEMBL;Acc:Q0WSW0] MGKGAIKEGESERKRQTWRWPLAALVVVLLAVAVSSRTASNVGSFFTDRNSCSCSLQGSGKYKGMVEDCCCDYETVDNLNSEVLNPLLQDLVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKRPYNIAGLPSDNLICQEGKPQAAVDRTIDNIAFRGWVETNNPWTHEDDTDNGEMTYVNLQLNPERFTGYTGPSARRIWDSIYSENCPKYSSGETCPEKKVLYKLISGLHSSISMHIAGDYLLDESRNQWGQNIELMYDRILRHPDRVRNMYFTYLFVLRAVTKATAYLEQAEYDTGNHAEDLKTQSLIKQLLYSPKLQTACPVPFDEAKLWQGQGGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVQGLGTALKILFSVGNQDKEGDQTLQLQRNEVIALVNLLNRLSESVKMVHDMGPDVERLMEDQIAKASAKPGRLRRVLDLAFSFLVK >A06g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8095304:8097230:-1 gene:A06g502390.1_BraROA transcript:A06g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSQKRSVKMSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRIPDVVEFRVPCRGECANNPQEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSIDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAALPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A07g508730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24143356:24143953:-1 gene:A07g508730.1_BraROA transcript:A07g508730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNQVLNPCFTLSSLNRYLMCYRKSSVLAKEATAIAIWAMTQNVDCCKHWDSLYKENLEASVALLKRLVEEWKEHSLKLSESPSNTAALNRTMKSFRLKNEEAITEGKGNGSLYKEADKSCKVISGRLSRGSGCLNHYCVVLAAAAAFLSSNPEATVRAEEPGGLIGAAPIL >A10p037750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21247170:21249228:-1 gene:A10p037750.1_BraROA transcript:A10p037750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKHVYKLPPMWEHAAADNFLYCAGVTCSNEVVLVKYSLYGPFYVFYYSLETETSRIVEIQGLGAFGGFRIDTFVDHVRTMRTGRQKILEDRQAVVERLNAGENSLQIPVDLIFEILLRLPVKSKARGRCLSKLWASILERQDFTDHYLTRSSARPQLLFAFQDRCKVFFFSAPQDDNSSLITASYHMSFPVNRVKEIYSPISGLVCVREGMKTPVTVWVICNPSTGQYFTLPRMKTRNNKCDVRSFFGYDPVEKQFKVLSMTTLAHGKRDLAIYKEHQVLTLQTGKLSWRMIECNVPHHHGINCICINGVLYYKAKNGADSSTYGKDIIVTFDVRSEKFGFIEVDKPFIPVHTLINFNAKLASVESYSFNRRSPIHLWVLDDIEKNEWSKHVYKLPASWEEVVGDADLYCVKVTASNEVVLSGYYQRSPFYVFYYSLEKETVRRVEIQGMEAFKHFQVYTFVDHVEDVKVYFRTGS >A07g506540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15920713:15921175:1 gene:A07g506540.1_BraROA transcript:A07g506540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASSSLENEPSQIRVICNGGGGAPALVLEFICKLPLIGEDSRGIQHSEANRCCLQNANHVFIVEVCI >A09p003220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1924582:1925805:-1 gene:A09p003220.1_BraROA transcript:A09p003220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCTREGPDHAPRFKACVNFNSEMFESPTYCSTLRQAEHSAAEVALIALSSKGPSKSLTARVLDETGIYKNLLQETAHRAGLDLPVYTSVRSGPGHIPTFSCTVELAGMSFIGESAKTKKQAEKNAAIAAWFSLRRMPSLDSLVEKRGEGEKEREVVARVLSRFRPKEVRRREQHHSRRRAIRQETTTTREFLSEKLRLINPYTNVPSSSSLKHHQTLPSRLNLQQQQSKVKSLLEKSQGHAGIISSSSMERTNCYSKLLPFPEMFAGGRGFQKLAPAVHIRSVIPVCSAPPPKLSPSSAPSSLGSGDQEKKPLRLESNPCIKIVSLDQPML >A10p003470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1758695:1760088:1 gene:A10p003470.1_BraROA transcript:A10p003470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYKNLGKSGLKVSTLSFGAWVTFGNQLDVKEAKSILQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWRRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVLYCHRPDASTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGAAERLDLVGPVVEQPEYNMFTRHKVESEFLPLYTNHGIGLTTWSPLASGVLTGKYNKGSIPSDSRFALENYKNLANRSLVDDVLKKVSGLKPIADELGVTLAQLAIAWCASNPNVSSVITGATRESQIQENMKAVDVIPLLTPHVLDKIEQVIQSKPKRPESYR >A02p013420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5870442:5876197:-1 gene:A02p013420.1_BraROA transcript:A02p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKLFYRKPPDGLLEICDRVFVFDCCFSDDSWEEDNYKTYMSGVVNQLQEHFPEASSLVFNFREVGTRSVMADVLSEHGLTIMDYPRHYEGCSLLPVEVMHHFLRSSESWLSLGPNNLLLMHCERGAWPVLAFMLAALLIYRKQYSGEYKTLDMICKQAPRELMHLFSPLNPIPSQLRYLQYVSRRNVVSEWPPLDRALTMDCVILRCVPDVSGQGGCRPIFRVYGQDPFFVDDKKPKLLYSTPKKGKHLRIYKQAECELVKIDINCHVQGDIVIECLSLNDDMEREVMMFRAVFNTAFIRSNILMLNRDEVDTLWDIKEQFPKGFRVELLFSDMDAASSVDSMKFSCLEEKDGLPIEVFSKVHDFFNQVDWDDQTDATRNMLQHLAIANAAQERPDGNLSPRPQGLSPKSIHDIVKQAAIENNAKLKLSSMSEVETVDTPEKRASGSVKKLIAEDMHSVLQISSQANTTSHEPPSLKLVHHSATVKPFVEGSDFSENAEENISSPPRENRSTLSPPHAERTSLVPQGAPSPPPPLPTVASQPSEKFHHSVVQPAETFSEGNAWVSLAGSTFHRTTPNEEQPMTLPSTSLSLPPASDVTTQESSKITKSSSVMPSPTLSATPAKESQTETSGSFCPPASPPIGAPNDVASPLGQPVRPPPSVSSDKTPALPRPPPPPPPPPMQHSLGALASTLSPQLAIAASPPPPPPPLLLLQLLRHLHGFLPLCPSSPSPSPSKRTTTNRSDEDTVSTTSTTTVGVPPTPGLPAGPLSSGKGRTLLVNPKNNTAKKLKPYHWLKLTRAVNGSLWAETQMSSEASKAPEIDMTELESLFSASAPEEAGKSKLNSCRGPKPEKVQLIEHRRAYNCEIMLSKVKVPLQDLMYSVLNLEESALDADQVENLIKFCPTREEMELLKGYNGDKDKLGKCELFFLEMMKVPRVETKLRVFSFKIQFRSQISELRNSLSVVNSAAEQVKNSEKFKRIMQTVLSLGNALNQGTARGAAVGFKLDSLPKLSETRARNNRMTLMHYLCKILAEKMPEVLDFAKDFSSLEPATKIQLKFLAEEMQAINKGLEKIVQELSLSESDGPISHNFNKILKEFLHYAEAEVRSLASLYSGVGRNVDGLIFYFGEDPTKCPFEQGVSTLLNFVRLFNRAHEENVKQLEAEAKKKAEEEKLKIGGLDKESSKPLSLEKEKAKISGSDRESRKPLSLEEQVKKERAKISGSDQESSNPFSLEEQIKKEKAKISGLDQESRKPLSLDEQAKQEKAKINGLDQETKEPLNERTAA >A03p052310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20135489:20138550:1 gene:A03p052310.1_BraROA transcript:A03p052310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVEQALTPEAANVVKQSMGLARRRGHAQVTPLHVASTMLSAPTGLLRTACLQSHTHPLQCRALELCFNVALNRLPTSTGSPMLGIQTSHFPSISNALGAAFKRAQAHQRRGTIESQQQSILAVKIEVEQLIISILDDPSVSRVMREAGFSSPQVKGKVEQAVSLESSSKTISTSKPKEGHELLTPVGDEDVMNVIENLVDKRKRNFVIVGECLATVDKVVRTVMEKVDKKDVPEALKDVKFITLSFSSFGQPSRSDVEHKLKELETLVRTCVGKGVILNLGDLNWFVESRTKSSLMCNNNKGNYCAVEHMIVEIGKLARGLLMGDHGRFWLMGLATSQTYVRCKSGQPSLESLWCLTTLTIPTTSSSLRLSLVSEREVEVKKSESLPLQLHSSEDQLSFCEECSIKFEEEARFLRCRNNNGSVNTAVLPAWLQQYKKENQNSHNDSDSMKELVVKWKKICDSIHNRPSLKTLTLSSSFSGSTQPSSTLHHLQTNGDSVAPDAFPLRVFLPELLSPNPNSRVNSEASSSDGMEVEHASSRFKEMNAENLAVLCDGLHSKVPWQKDIISEIAKTVLKCRSGSSTRTINGDKDVKEDTWMFFQGLDVEAKEKIARELAKLVFGSQDSFVSICLSSFSSKDLRNKRSRDEQNWSYIERFSEAVWSDPNRVFLVEDIEQADYLSQKGFKRAIERGRVRSLSGEETSLRDAIVILSCERFSSRSRACSPPVNEKSDGSDQSEDKNVVTCVALDLNLSLDDEVCEEESCDEISLLEAVDARFDFKCSST >A03p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:408346:409308:1 gene:A03p000640.1_BraROA transcript:A03p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGYVRHQERESSQRKGLKPGELAIISREEPVAPYERPALSKGYINLEDKVSLPVCAGNGGEKQLLP >A08g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3268474:3274372:1 gene:A08g501260.1_BraROA transcript:A08g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRENLVHPEGTILEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKHVGYELKNLHTKIDGSYNELNNKFSHLASTSLKPTTTLFELNELNASCSWYLSTTWSFWRHLGAFGAQKGVFRVVIERARHGATSPERHHQVALTSLLERPYQSDREKSLAVSSLGDARTSPERPPGATPRSRSHLTPLSERPPKATPRGRSRLYGETTRSEDWSDLSERPTEVAPEGRSDVPERHAECFDIPQNWFDNLLYYNICLRSLENS >A09p055640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47537772:47549998:1 gene:A09p055640.1_BraROA transcript:A09p055640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGEVLVGAALGITLQVLHEAIIKAKHRSLTTKCILDRLDATISRITPLVVHVDKISKGVEDSQRKVIEDLKRLLEKAVFLVEAYAELRRRNLLKKFRYKSRIKELEASLRWMVEVDVQVNQWLDIKQLLAKMSEMNTKLERITCPPTDCNCFKRNDSTSPVISQSSNQNILEATDGSSEEDEEESPRIDIHLRWSSRKGAKDREIRFMVKCKSRIKELEVSLRWMIDVDVQVNQWLDIKKLVVKMSEMNTKLDKITCQPTDGSCFKSNDSTSPVFSQSSSSLEATDGSSEEDEEESPSNGSEPRIDIHLRWSSRKGRKDRAALGITLQVLHQAIIKAKDRSSTTKCILVRLDATISRITPLVVHVDKISKRVEDSERKVIEELKRLLEKASRIKELEASLRWMVDVDVQVNQWLDIKELVAKMSEMNTKLDKITSQPTDGSCFKSNDSISPVLSQSSRIEATDGSSEEDEEESSSNGSEPRIDIHLRWSSRKGRKDREIRFTAK >A08p035540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20942133:20943563:-1 gene:A08p035540.1_BraROA transcript:A08p035540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKILNWDWNQESYPASSDFWVLIFFAPFFLILRFILDRSIFERAARRVVFPRGNCVDSNGRRKRMVKFKESAWKCLCSFSIETLALYVTYKEPWFKETRCFWLGPGDQIWPDQKIKLKMKGLYMFVGGLNVYSLFALFFWETRRSDFKVMIVHHVVTSLLIILSYVFRFARLGSVILALHEISDVFLEIGKMCKYSGLEAMTSVSFILFFLSWTDLRLVYYPLCILWSTSYESIKVKLEWDKKHRMETGLPLTVYYVFNTLLWCLQILHIYWWVLICRVLIRQIRSKGKIDRDVRSDTEGEDDEHQD >A05p005220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2042009:2043542:-1 gene:A05p005220.1_BraROA transcript:A05p005220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMYHLAINLSNNHERRIKFLRPAGFGEQQIIRFSTLSVSPAVSAAVDLRVDLFTVFDDLGDSREKKSLQCLRICKEYTSEVLSNGNIRSIPEVLLQLDPFLNELTSMFEKSKEKGSVWVTLKRSSLKSKLQKRKLSSAGESIEYRCLIRATDAKKTISTSVGAKDHLRFQASYATILKAHMTALKKRERKNRKKSTEAEKKEGTSTTTKPKKP >A10p003990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1948030:1949661:1 gene:A10p003990.1_BraROA transcript:A10p003990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHVRFSSISCFFALSFLVTTLVSLPSRRLDEVESLLAFKNEFTLSCNKSVTNSWTRDAIFFDGVLFDKDTGGVTELKLRGACLSGTLDANSSLFKLHQLRYLDLSLNNISSSLPAEFGRLTDLEFLDLHQNRFTGELPSSISNTTVLTKLHFLDISNNSLQGKVPEWVWSLPSLTATNLSRNSFDSFPNVPPTVTYLAASNNNFTGEIPLSLCNPKNLLFLDLSNNSLTGSVPRCLSESVTVLNLRRNSLTSLPDAFSNSSLKVLDVGHNQINGKLPRSLEHCKSLEFVDVEGNRINDTFPFWLKDLPNLIALILRSNRFYGPLSSPQHPLPFSKLMIIDIAGNMFDGSLPPNYFVNWSAAIRPRVKHIGDSYSLVDFSGNRFVGEIPESIGMLKSLLALNLSNNGFTGHIPSSMDNLSNLESLDISRNQLSGTIPQELGKLSFLSYINMSYNNLTGQIPQGTQFQTQNESAFEGNIDLCGFPLRKRCFRENVSATTTHTQSSHASKTMHSLNSNNPLLLFSILVVFNFVLSFYIPLSTSL >A07p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3312264:3313536:1 gene:A07p003360.1_BraROA transcript:A07p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) UniProtKB/Swiss-Prot;Acc:Q9ZPV8] MAAAGGTKVSAADSFGAVVLGGTFDRLHEGHRTFLKAAAELARDRLVVGVCDGPMLTNKQFAEMIQPIEERMRNVQTYVKSIKPELVVQTEPITDPYGPSIVDEALEAIVVSKETLPGGLSVNRKRAERGLSQLKIEVVEIVSDGSSGNKISSSTLRKLEAEKQNQHAVEQEASST >A09p001350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:1043952:1046084:-1 gene:A09p001350.1_BraROA transcript:A09p001350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTRGSQIQGPTNIDLTNILRQRIEKNKRPSFITDMHDLYEKEPWLLQHVRHVSFDDNLWFYFVTRKQHPAIKKTDSNRPSRKVAKSGRWKTTGLLNYIENKDGVRVGSLKTISYKANSETEKDGVTTGWVMHEFVLDKPGFQELALCRIRFRKRKDNAQYAPRLTPIVIGLGRELDGAKLVENNSQNHMTSVHHGVAAPVETHEAQGMEQWIGSCSGEAVSNLALQHQNIMGQDSNYPIFNGGMVQHQDFRSYGQQKNPILGHMKQYGQGSGDRVEYQNQYLGQETMDPNKVPMMENRALNEKQWWNEYSGHLPSQHQHFSLNGSKEQHQIMGSCGGFLGESSGQKQHHIRGRQETYLSLMEVQQQCHNYFWGQETGTSHSAQAMEEKQQWSEYLGQETMDPQQGLNEKQRWNEYWGHLPSQHQFFSMNGSKEQHQSLSSCGKFLGESSGQQQHCIRGRQATSLMEVQTQPCHNYFWGQETGTSHSAQAMEEKQQCNEYSGLYSVQPYVQRMMGHQIFGSSALSMAQNDQYMRQNNDLLAAQQKHLSNLPLTQQQNMIPGQATLNVPCSAQPYDQYKEQTNELPRVPMETQQPQNKELPTVSMKTQQQQQNNELPTVSLKTQPQEDNIVRNSAGQLHLEAQDDVPLIDQTMEEQATDPPTGNELFQDFLGDDTFQEMDPEMANLPDDFCVADLAQEFEKIPIPYSLLS >A04p001270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:633106:634496:-1 gene:A04p001270.1_BraROA transcript:A04p001270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAITAIFPPPPPVPIPTDITTLGLGYPIAIALGFLLLISTIILICCRASRLRFSNSTLNSHTPKNMALGCLHARCTKQAKIYRLFGREKPVHKVLCGGLRTFSFYSLLCFNRSSPTSLTLDLHYKDLVSDLVSVQPLMCSCGVTRNSQLSRGSLCSYCHMGLHLKFLKSILKTKFPFDCFCTEKRAEQGLCYFKEHCVRKGLEEISDATLSGCWTVDNLGCGQLIQLLDSYIPMLYEKHEDKVDPVAEKTLKELKKHYMVRFFLRSLLLP >A03p071460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31450581:31452143:1 gene:A03p071460.1_BraROA transcript:A03p071460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNLLLLLLSLFLTLNLVTAQLSRNFYAGSCPNVEQIVRNAVQQKIQQTFTTIPATLRLYFHDCFVNGCDASVMIASTDNNKAEKDHPDNLSLAGDGFDTVIKAKQALDAVANCRNKVSCADILTIATRDVVNLAGGPRYEVELGRRDGLSSTADSVEGKLPHPTDNVNQLTSLFAKNGLSRKDMIALSGAHTLGFAHCKLVFNRIYNFNSTTQVDPTVNKDYVAALQGSCPRNVDPSVAINMDPTTPRQFDNVYYQNLQQGKGLFTSDQDLFTDSRSKPIVNLWASNAKLFNQDFVNSMIKLGRVGVKTGRNGNIRRDCGAFN >A01p018340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8903935:8906000:-1 gene:A01p018340.1_BraROA transcript:A01p018340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASFSTLQISIPDSIPSSPRFLPLGQHQLQLRFRARHKNLDRMELMKRLGIGCFAAKHSTREVKTEKDSGGEDLFVESSEKPDHLVVMVNGIIGSSADWKYAAEQFVKKFPDKVLVHRSESNSATLTFDGVDMMGERLANEVLSVIKNRNGLKKISFVAHSLGGLVARYAVGKLYEKPGEANSSDSPSKAKSGEIAGLEPMNFITFATPHLGSRGHRQFPILCGLPFLERTASQTAHLAAGRTGKHLFLVDNDDGNLPLLIRMATDSFNFKFISALNAFKRRVAYANVNFDYYTDMVGWRTSSIRRPNELPKPNLLATDPNYPHIVYVEHGDVDNGSCKSTSTVVKDENTDLEEEMLHGLGQLSWERVDVSFHNSKQRYVAHNTIQVKTYWLHSDGKDVVFHMMDHFCL >A08p028450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17803879:17805182:-1 gene:A08p028450.1_BraROA transcript:A08p028450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNMALEKKIEADVNLNSNGGKRAYVTFLAGNKDYWMGVVGLAKGLRKVKSVYPLVVACLPDVPEEHRQILVAQGCIIREIEPVIPPENIIGYSMAYFVLNYSKLRIWEFVEYEKVMYLDGDIQVFGNIDHLFDTPSGYLYAVKDCFCEISWCNTTQYQIGYCQQSPEKVTWPVETLGSPPPTYFNAGMLLFEPNLVVYEDLLRVVQITAPTYFAEQDFLNMYFRDTYKPIPSTYNLVLAMLWRHPEHIDLNQIRVVHYCANGSKPWKYDETEEHMEREDIKMLVKKWWEIYEDPSLDYKNFMETEPKLNPIATAVLASKESDGDVLTSLAPSAA >A07g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7447977:7452055:-1 gene:A07g503770.1_BraROA transcript:A07g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHESDLPKATHRGRSHLTPLSERPPKATPRGRSHLYGETTRSEARSDLSERPTEVAPEGRSDLSERHAEVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTRLTRRNRGEGKQQGLRQPPKGRGGTGETVNNEGETLEKS >A03p010510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4223423:4223875:-1 gene:A03p010510.1_BraROA transcript:A03p010510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSSLATDTITKPLSLPTVESVTCDTCGFTEECTPAYIHRVKEWYKGHWLCGLCAEAVKDEVVRSPTRISVEEALRRHTTFCHRFRSCSPDEEEDHISVIGRILRRSLDGSPRRTTRTSSSGALPGIDGVESRRSLLRTGSCFPSLST >A07p030590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17022660:17028497:-1 gene:A07p030590.1_BraROA transcript:A07p030590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRLAVIIAAAACSPNPNDRKAAEQSLDQIQHTPQHLIRVLQIVVDGGCDPAVRQAACIHFKNFIAKHWVPHSGDQSKILPSDKNVVRDQILVYVSQLPPILRVQMGECLKTMIYADYPEQWPHLLDWVKHNLQDQQVYGALFVLRILSSKYEFKSDEDRAPIYRVVEETFPHLLNIFNKLIHIENPSLEVADHIKLICKIFWSCIYLELPRPLFDPTFFNAWMVLFLNILERPVPVEGQPEDPELRKSWGWWKTKKWVAHILNRLYTRFGDMKLQNPDNKAFAQMFQINYAAKILECHLKLLNAIRIGDYLPDRVINLILQYLSNSIPKNSMYSLLQPHLDVLLFEVIFPLMCFNDNDQMLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVTELVRKRGKDNFPKFIQFIVGTFTRYDEAPLEQKPYRQKDGALLAVGTLCDKLRQTEPYKSELENMLVRHVFPEFSSPAGHLRAKAAWVAGQYANINFSDQTNFSKALHCVISGMRDPELPVRVDSVFALRSFIEACKNLDEIRPLLPQLLDEFFKLMNEVENEDLAFTLETIVYKFGEEISPYALGLCQNLASAFWRCIDSDNGDDETDDTGALAAVGCLRAISTILESISSLPHLYGQIEPQLLPIMRKMLTTDGQDVFEEVLEIVSYITTFSPTISMEMWSLWPLMMQGLVDWAIDFFPNILVPLHNYITRGTERYLTCKEPDYQQSLWSVVSLFMANKNIDESDLVPAPKLIGIVLQTCKGQVDMWVEPYLRITLDRLRGAEKSSFKCLLIEVIANTFYYNAPLALGILQRFGVATEILTIWLRMLQEKKKSGVHANFKREHGKKVCILGLTSLLCLPAGQLPGEVLPPVFRALLELLVAYKDQLTEAAKAEEEEDEDDDNMDDFQTDDEDDEGDDENPDETDGSTLRKLAAQAKDFRSYSDDDDFSDDDFSDDEELDTPIDEVDPFILFMDAVTAMQASDSLRFQSLTQTLDPHYQGLANNIAQHTEQRRAEIQKEQLEKQSSTTAAS >A03p029500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12413644:12416001:-1 gene:A03p029500.1_BraROA transcript:A03p029500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFIARMKKASGRRGAERKLERIGALKNVNYDAASSSSSSADDLSVSTSSLMTRSLEVDRTSFRIFGGGDGELDRIFKSIGVSGPDDLAISFDAWEASMMRSSSEVINRSNSFDHDWSGPGPGGDANSEIGSLADRVVVDGGGTKRGLQRVMSRGYLVPSSSDVVPVAVGGGGGGGIKGVRPSVLKPPPAKRPPIDHQGSSWDFLMHLGPEDEIVKRPSSSSSSSSSDKADEVEAQGRLLAVTADESCSFTTNEGGDSSSTVSNTSPVYSSGTIITSWLKGDLLGRGSFGSVYEGISGDGDFFAVKEVSLIDQGSQAQECIQQLEREIALLSQLQHQNIVRYRGTAKDGSNLYIFLELVSQGSLLKLYQKYPLRDSVVSTYTRQILDGLKYLHGEGFIHRDIKCANILVDASGAVKLADFGLAKVSKLNDIKSSKGTPFWMAPEVINLKRSDGYGSSADIWSLGCTVLELLTRKIPYCDLENPYQALFRIGKGELPDIPDTLSLDARDFIIKCLRVNPEERPTAAELLNHPFVKRPLSTSGSGIKIFTSP >A07p049170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26154088:26154588:-1 gene:A07p049170.1_BraROA transcript:A07p049170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEIHHSKVISHVFFTGSAKQGSPGPPIGLVDIGESDIAYLFRVSLPGIHKNLNKIKCEIQREGRVCIQGVVPEIAIPSDSGCLYRMQVQQLCPPGPFSIAFNLPGQVDPRLFSPKFRPDGIFEVVVVKLGVRVPTS >A05g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8749511:8749751:1 gene:A05g502890.1_BraROA transcript:A05g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLLPDYAFGGRGEKMVLLRVSETRLEEGTAAIASPLRVTNFYDVYESPEDMRKESKR >A07p046120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24952508:24954325:-1 gene:A07p046120.1_BraROA transcript:A07p046120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRMDRYLLYTVVLLLLGAASLSNARPRANRPPNFMRFVTNATDFASEDYYDYIIVGGGTAGCPLAATLSQSFRVLLLERGGVPYNRPNVMSHDGFLTTLTDVNSFDSPAQSFVSEEGVPNARGRVLGGSSAINAGFYSRADKQFFDNSGLDWDLTTVNQSYEWVERAIVFRPQLRTWQSAIRDALLEIGVHPFNGFTLEHKVGTKIGGSTFDRAGRRHSSADLLRYARNSNIRVAVYATVERVLLASSPSDSGCNVSAIGVVYRDQLGRYHHAMIRDRGEVILSAGSLGTPQLMLLSGIGPRSYLSTWGIPVALDLPNVGDFVYDNPRNGISIVPPVPMENSLIQVVGITEEGAFLEAASTVIPFASPLRSVFIRAPASPLYVPVTTIMEKILGPVSVGSLRLASTDVRINPVVRFNYFSDPQDLERCVNGTRKIGEILRSRAMQDFMFREWFGSRRFRFVGVPLPLDQSNDLVMADFCRRTVSTIWHYHGGCVVGKVVNSDLKVNGVGSLRIVDGSTFSISPGTNPQATLMMLGRYMGLQMLRERMRY >A05p003270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1181780:1184323:-1 gene:A05p003270.1_BraROA transcript:A05p003270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLYSLSFSFLHHFLFSMENKYLNILSFCFSNTICGLLIVSWLARNIIHTNGLTTTLAWRRKTRKKKNQDDENKMSLLDLPDLTLDCILEKLSPSELCAMTFVCSELRDKCVSDHLWKKHMENKWGRLMGDAAIKEWKTHVATLMACLKNSNPSSYRSNTQQWRSRLAANLKPFSWLKTNHGCHNRGSSSSSPIDSVMYWYSNLESGKFWFPAQVYNRENGHVGFMMSCYDAKVRYDNKTNTFQARYSAHGRRAAEENVTWQRLRPALVETESRDLHVSDCLQGLRPGDHFEIQWRRTKEFPYGWWFGVVGHLQHCGGEENCRCISDENVVMEFRQFRPESPWKTTVLNRKDHRETGNEVSGFYGGVKKLGTEEEVSTWKRLWPSQVLD >SC267g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000115.1:47249:52776:1 gene:SC267g500010.1_BraROA transcript:SC267g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTSFDVIMESTKEWNHEPDHGELVAVEEPTLEEWSLVFIFLVFSRPCACTRLLLYNLVVAPCISIHLFIKNLCFLFSSCLSRVSRSLSAASCVTIRSTYLEKLESFDSFLQGLSHLFQSIILGSHHVVSEQLWKGSVPMEKEHKGKHQPKKNRECSRSTRDEYRQKEFDQFDRNRKHAGYEFQIPPFQGKADPEAYVKWEKMIELIFSSLHYAERKKIQMATAEFCGHALRWWNQLIKCRRLDGKEPVETWLKLRVLMRREYVPRQYHKEVIQKQPETKLCSSLSVQKQPDKLKDAEPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIQQTRKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKPGAYSRILIILGECSARSRTSWGNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDGLSLHQSPNKPTRAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSFSNERVMGSTRRVILCLLCLNFSECRTSQSYLWRPGEHAKVTNHVFKSSFIDYTDMMHLFLPIESCADYMEALKHTKEKNRCEEDKRFKPPDLSQERHQDVTCFILIKEAPPDAAYKPKPRKDNFGIRLLLYDDFACVNLSCFNVSGLSNASGVRKAKWISPFYLIEPVSDNAHQRGLQGNKDLRTNLFEVGGDDVIMESTKEWNHEPDHGELGAMSLFSLYFRDLVHVQGFSFIFWSWPLVSVSIFLSKKTFVFSFLLVFGELKCVWCVISSLLVCNIQRPRALERCVISNLSLGVSRSLSAASCVTIRSTYLEKLESFDSLEPKQEGAKLVMILCISMELGCLNHHRESHKTHLSLHNDPCYTSCRLRTGYVQWYYAMDISHCILNHTTLPVDYGLTCPSDDMQWHKTFVSTFLVDGELHVSLPLCT >A05g505370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14821680:14824249:-1 gene:A05g505370.1_BraROA transcript:A05g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHGNHDDLTAALAIIQQQMQTQQQQMLQMQQTIQNQQQVAQEAAENAAREERDDLAAKVDQLLKGNQSQVFIMDEAAPKKSVGGLVSDAEISGDDQQEVSYVNVQGWQLKNYHPNPNVRNNPQLFCPKQDKPADPAQSNQGQYVGYQKNYQPRTYVLSQPRNNPPQMQKHQNTQPATSAPVAVLQDETKDMLQQLLQRQQLQGKALNQVTTEINTRMNHMFSDLSTKYDNVAGHMRHMDIQIAQIAESVKRQQGTLPGKTNKNPKECNAAKFRSGKQLSEQVNKRFTAAQKGKQKESEQPPADAPAAKKEMVPTVGTNLPGPEQPAEAVNPIPEPVHAREYTPKVPYPVPAKATRKDREEMKCRKMLEDLTVRLPLMDAIQMMPSMHSFVKGLISGKISEESEFMTVFKECSAVLQNRAEAEQSVENIDANGYAKMLDSARSMGRMVASLRLG >A04p029860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17807024:17807272:1 gene:A04p029860.1_BraROA transcript:A04p029860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQDCRRGLLGLMAKEIVEECLHLSPKREWAVERQLSPMAEEKILETSSALWSKRWASGRLFGSMAEERDQVRMLGFQSAP >A08p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24081831:24083794:1 gene:A08p043130.1_BraROA transcript:A08p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESGAGLAAMEERIPPGSFFQFPLSGFRASPNRSPCPPSGRERYLAELLQERQKLAPFLQVMPHCCRLLNQGGLLSHLGILPWCSSVELSFLIINAFSLVVFRAEIRKISSLSDLDRYENGSPFRSLGQPTNGKIDLDGWPMMQGEDNFHLQRGSPFRAPPPVGWVGMPGLHPPPIVKRIIRLDVPVDKFPTYNFVGRILGPRGNSLKRVEQATHCRVFIRGRGSVKDTVKEEKLKGKPGYEHLCEPLHILIEAELPEDIVHSRLEHAVHFLESLLMPMDESMDHYKREQLKELAVLNGTLREESPSPSLSPCLSPSMSPFNSKRAKTGQ >A06p045020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24162822:24164479:1 gene:A06p045020.1_BraROA transcript:A06p045020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWTDRLASASRRYQLDFLSRSDNFLGLEEIEGEDDFREEYACPFCSDYFDIVSLCCHIDEDHPMNTINGVCPVCAVKVSSDMVAHITLQHANMKRKARRGGAQSMLSILKREFPDGNFQSLFEGTSRVVPPSSATIAADPLLSSFITPMADELFISESGLCGETSSAKKALSQSLPERKVEKKSLSAEDHREKLKQSEFVQGIFCSTMLDDGL >A05p045230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27073223:27078661:1 gene:A05p045230.1_BraROA transcript:A05p045230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAIPLDYALFQLSPKRSRCELFVSTAGNTEKIASGLVKPFVAHLKVAEEQVAREAQSIRLQVESSKNAGTWFTKATLERFVRFVSTPEVLELVSALDEEMSQLEAARKIYGEGTGDHRPGAKDGTETTPAADVTKKELLRAIDLRLAAVRQDLETACNRASAAGFNPVTVPELTQFADRFGASRLNDACTKFIAVCQRRPELMSSWRRFNQEQEAIRSSWESDMSIDDPSEDPSKNLATGMEERSVTATRYSQQEAKVMPQSSHGEKDEEEEKTPVQNEPLASQTRQLTRRLSVQERISLFENKQKENSGGKTAVVVKPTDLKRLSSDLSSSAAVEKAVVRRWSGASDMSIDLGNDRKDGAGDSPSSSSVSKDGSGISSKQSVGYNKREQNGLSHVENPHRNEDECSSNSTGDMGTDKVESHKSSSPFLRKDKEVDLKVPLDYSRNANIDDESNNKDFESDKQDQIQTRDPRSHSLSTLQQLSGTESNLTSVRSNGGTAESPRREPSATRQSPPVEDRQRKTQVYGGTEQMRRPHGRRAETGSADVNKKPPSAINISVSDISESDTLNQMSSAEQVQRARASKGSQELNDELKVKANELEKLFAEHMLRVPGDQSSSVRRGKPVKPSEQAVTSQLRRPVAEDLITSSNDEDKFKTPPTVKAVANSDYGDTTRQKFPEISFSDSSRGKFYEEYMQKRDAKLKEDWSSRRSEKEAKLKMMQDILDRSNAEMKTKFSQSTARRDSDARRAEKLVYFNSRLSARKDQHPISSFQSEEEEDVSRSTQNKKLQQTKNSSRTITTSASRSAAKVSTPSSARRRGQDKLLAQSVPNFAEFKKEGMKQPSSGVGRNGVRSQARSSVRPKTVVITEEEKLRRPTNFRKVAAEAAAPEDDGVSVPLNLEQEHSGRKASEGSDASDEMEKEVEDVLGDDTEAEAFTDAENEKSRLSQDFDDGESIASQLDPGSNAELPAVLASRHHHQTIGSFLDARVKQHQYQNEASELDASVDSPVDSPTFWSLSHTENDTTQMRKKWGAAENKQDVTKGLKRLLNFGRKNRAAENLADWISATTSEGDDDTEDGRDLAYRSSEDLRKSRFLQTQPSDDSFNESELFNEQARTTSAPLSFKLKEDQMSGASVKAPRSFFSLSNFRSKGK >A07p010160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:6333748:6335436:1 gene:A07p010160.1_BraROA transcript:A07p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSALHRAGGFRPENLGQNALNLIGNIGFSLFVVGVLVFTIIAATYEPEDPLFHPSDKITTFLTSTSNATLRSDESVVKTGEDFMAVNQTAFAAFINITDVEATATEEGNELDCDASVPVDCKDSDVFHLMMKATIERFKDIHFYKFGKPAVGEGANSCDMAWRYRPKDGKSAAFYKDYRRFVIHKSDNCTLSVATIGEYHSGLNARKRKRNQKAGFEKTDAKRDDFSLPLVGEAVNDSLPTVESDKAFTSGKYLVYVGGGDRCKSMNHFLWSFLCALGEAQYLNRTLVMDLTLCLSSVYTSSGQSEEGKDFRFYFDFEHLKEAASVLDEAQFWAEWGKLHNKKTRLGLHLVEDFRVTPMKLSDVKDTLIMRKFGSVEPDNYWYRVCEGDAESVVKRPWHLLWKSRRLMEIVSAIASRLNWDYDAVHIERGEKARNTELWPNLEKDTSPSALLSTLQDKVEEGRNLYIATNEAELSFFNPLKDKYATHFLDEYKDLWDESSEWYSETTKLNGGNPVEFDGYMRASVDTEVFLRGKKQIETFNELTNDCKDGVGTCNVAAT >A07p040230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21371276:21373314:-1 gene:A07p040230.1_BraROA transcript:A07p040230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLALCEANNAPLTPITFLKRASECYPNRTSIIYGETRFTWPQTYDRCCRLAASLLSLNIAKNDIVSVLAPNIPAIYEMHFAVPMAGAVLNPINTRLDAKSIAAIFRHAQPKILFVDRNLETLARATLHLLPDEEQRNLLVIFIDEPDRPKRISSNDQLDYEELIRRGEPSSSSAARMFRIQNEHDPISLNYTSGTTSEPKGVVVSHRGVYLSSLSVIMGWEMGKFPVYLWTLPMFHCNGWNLTWSVAARGGTNVCIRHVSAPEIYKNIESHGVTHMCCVPTVLNILLQGNSLDMSQRSKPVQVLTGGSSPPTALVEKVQRLGFEVLHVYGLTEATGAVLFCEWHDEWNRLPEKQKMEMKARQGVGILALADVDVKNKKTQESVPRDGKTMGEIVMKGSSIMKGYLRNPKATSEAFKHGWLHTGDIGVIHPDGHIQIKDRSKDIIISGGENINSIEVENVLYKYKKVLEAAVVAMPHPMWGETPCAFVVLEKRKTGQGDCDDQFMTREGDLIKYCRENLPHFMCPKRVVFMGELPKNGNGKILKHKLRNIAKSLVINDDNTIGIKH >A01p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8218910:8223841:-1 gene:A01p016650.1_BraROA transcript:A01p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) UniProtKB/TrEMBL;Acc:F4JQ75] MDDTELLVSSDQKMEDASTVNPTPAHTIPPSSDDSGESDSDSEDEAESNHQIATLESELSANPYNYDAYVQYIKLLRKTANLEKLRQAREAMSAMFPLSPSLWLEWARDEASLASSDNVPEIVKLYERGLSDYQSVSLWCDYLNFLREFDPSVHGYTPDGISKMRSLFERAIPAAGFHVTEGNRIWEGYREFEQGILDTIDKADLEERNKQIQRIRSIFHRHLSVPLKDLSSTLITYKAWELEQGTDLDIGSDDLSKVSPQVAVANKKAQQMYSERAHLEENISKKDLSDTEKFQHFMSYIKFEQTSGDPTRVQAIYERAVAEFPVSSDLWIDYTSYLDKTLKVGKAITHAYSRATRSCPWTGDLWTRYLLALERGSASENDIYAVFEKSLQCTFSSFEEYLELYLTRVDGLRRRMISTSMVETLDYSLIRDTFQQASDYLTPQMQNTDSLVRLHAYWANLELNIGKDLAGARGVWDSFLKKSGGMLAAWQAYIDMEVRLGHVKEARSIYRRCYTRKFDGTGSEDICHGWLRFEREHGALEDFDHAVQKVTPRLEELQLIRLQQESAPVKPSAGVKEQNPQKSTREKRKAGPNVEEESSAKRQKSKGQKMNDDDAEGKTATVPNKKSIKSETGKAADSKKEESEEAKPVKPKIFTDECTAFLSNLSPKAQEEDIRKFFSDVGGVASIRILHDKGTGKPRGLAYVDFVDDEHLAAAIAKNRKMLLGKKISIARSNPKKGKKDFTRRGNEGSGNSKDTSQVSDKAKASLDGETEGEKRGNEVEVRGKNTFAIPRTVKALGFTTPKPIAVDETPKSNDEFRNMFLKK >A09p026660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14450352:14452494:1 gene:A09p026660.1_BraROA transcript:A09p026660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAVFFVMFFLFLICFTTAQTLIQDSCKKAAAKDPLFKYDFCVKSLETDPHSKAATNLKGLLIASTKNADDYRSASVHLSAALDAPTTCETGFKETIHKKSPCKTANDLSELTMSSLKDAEMKMRSVKATMKKNFLAKKYLN >A07p037630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20109564:20110340:1 gene:A07p037630.1_BraROA transcript:A07p037630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD52-1B.2 [Source:Projected from Arabidopsis thaliana (AT1G71310) UniProtKB/TrEMBL;Acc:A0A178W7H6] MAASLGLRLKAATSTLRSGAAATLLPRTWSCRGLCSEPENDVPTSGIGRPLAKILKELNKKVPDSAIKTRVEDGFSMKYIPWHTVNRIMNLHAPEWSGEVRSVTYSPDGNTVTVAYRVTLYGTDAEIYRESTGTTSVNDKGYGDPVQKAEAMAFRRACARLGLGLHLYHEDAL >A07p047880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25622650:25624297:-1 gene:A07p047880.1_BraROA transcript:A07p047880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPYETRNNNGEAYQMVRYHQGYNRTSSSPLLDLRVFYVRISNFKADDSTPEVLTLTHIPLDPDSLLEINGVRYSQGVSSQLRRDRVDKRSEAVTYISTDNIRLSGSVRFEVYDRDEMVLSGTLELSGSNGFTGESVKHGVKRWGMNCEAEITAGCGFLKEKKKHIGCSEMSSSPLLPTVEVYVTGCFSGTPIILTKTLQLGFRKKMHNRVAALDSIPEYETGETHKGNSSELDFQVTEYGRYKQDYEGEYGDMYMGREYADVEDGEMSWFNAGVRVGVGIGLGVCVGLGIGVGLLVRTYQSTTRNFRRRLI >A07p036890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19760798:19772008:1 gene:A07p036890.1_BraROA transcript:A07p036890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTRVRNYDVFLSFRGEDTRRTTVSFLYEALCREGIYTFRDDRMLEEGDNISEQLIEAIKTSWFAVVVISKNYATSKWCLEELRLIMELHSANHIQVVPMFYEVEPTDVRNQTGTFAAAFQNFEDLDTVPERVSQWRRALNQISHLSGFHSTTWLDEAAMVAKTVQSVSSGLLTMRPTIGVDLNVEEILRNSYDGLDNKDKIAFLHVACLLNGYPFNHVTSLLDDGCPRMNHLSKKSLISISTDGCINMHFLVVQTGKEIVRQECKNRPFRQRFLWDADDIYNVLDNKIGTNETEGVILHMCEMHDTLTMSNTLFKVMRSIKFLKFFHHLSDIKPTLQLKSDGFYVPPDLRLLHWDAYPLKTLPSKFRLHHLVEVSLRYSKLESLWDDTLIFRNLHRLDVTGSKNLIQLPNLSTAKNFEELIVEGCKSLQKIPESLKGLFKLKKLNASHCDSLKGIMSNVDSITSEYTWQVLLSFPYEKSSMGGIEDLSIESQILVKLLGIYGCAEHISFRSKQQIPDELLMMEESTSHHLTSDSMVLHSLNIMNFRYRESRVPFTCISFQGFESVKELKLVNLKIEEIPDGITSCQNLEKLDLSGNDFVYLPNAIGDLRNLKYLSLHNCRRIKSLPRLSQVKTLKLSECVNLRSFMTIYPRETYCLLELWLDNCKHVRSLSESLSGFTKLTYLDLSRNNILTLPSSITKLSSLETLYLNNCKNLISVEELPHNVKYLYAHGCDSLETVSLSPNHSIKHLDLIHCPSLNQEEHEHLMDLFIHDGQSQEGSRPCACLPETGGSSDSDNETSETSKKFSRAIKIFRSLKSVYYSCDDSLLGVMHQMNKKDSTLPYLNTSISWGGVDARPEHMQTTKQISFQDLGSPSSQSTCQSYTEVASSGDDNPSIQISFSTKSGSKETQQKGFATHPNSGSVTGVHSIHFAPMQANFSFQYADPHTGGLLAATYLAHAPTCHPQMVGMVPGRVPLPVEITEAEPVFVNAKQYQAIMRRRKQRAKLEAQNKLIKSRKPYLHESRHVHALKRPRGSGGRFLNTKQLLQESEQAAAKKQEHDKSVQQESKKTNMSRFEAHHMQQHNKDRGSTTSGSDITSVSDGADIFGHTEFQFSGFPTTQTNRAMLVHGQSSDMHGGGDLHHFTVHI >A07p049980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26656038:26657946:-1 gene:A07p049980.1_BraROA transcript:A07p049980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAM [Source:Projected from Arabidopsis thaliana (AT1G77390) UniProtKB/TrEMBL;Acc:A0A178WDV8] MSSSSSSRNQPKENPLSRPSVAKTRPPLGDVVNRRNPLGDITNQKTGSRISAPSSTLVHCSNKIAKSKKASKPPKPIAKSNLLVPYEDIELNQGSDLGNDDDDDEVDDIDSNLVDPQLCGAFACDIYEHLRSSEVKKRPAFDYMERVQSNINASMRTILIDWLVEVAEEYRLLPETLYLAVNCLDRYLSGNVITKQNLQLLGVSCMMIASKYEEVCVPQVESFCYITDNTYSRNELLEMESSVLNYLKFELTTPTAKCFLRRFVRAAQGKKEVSSLLFESIASYFSELSLLDYAMLRYAPSLVAASAVFLAQCILHPSRKPWSSTLEHYTSYRAKHLEACVKNLLQLCHESPSADVVAVRKKYSQDKYKFAAKKFCPTSLPQELFL >A08g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:857567:861557:1 gene:A08g500360.1_BraROA transcript:A08g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQFLAHRLLQEHDVWLELCGKICLPPTGVATGQLAPWIIWQLWIARNQLSFEGKTYTEEETMTRAVALAREWQNAQEPKIPPKPKSPLCLPPRPPHCLVLNIDAAWKATSMLAGFGWTTRDSAGTSSFTGYERFVGS >A03p068130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28740497:28744233:1 gene:A03p068130.1_BraROA transcript:A03p068130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFCHHRANHLSRFNRIHSVFLIQPRDRTGNRVEDPAAGQNRVDPIRNRKSPARAASRTCVRGREDALQRSEDEAVGEIRGGDPRSEPSGTRVSLGTFDTAIEAARAYDQAAFKLRGSKAIVNFPLEVGTWNQRADVGQNKRKRDGEEEHTFTTTRCTGETVLSNHHLSPPISPTSIAHLARKQAIVGVLAAIDVIRGSLLAGIFYFVGCGLFCLEALLSLWVLQKKLDPSVFKIGSTQAAKKRWVAMGKQVSRKVQHVEDKVKESLLQIQQGLELDKESLNSLKTRKLLVTKGWTGYSDVEKGPTYASKRKIFATDLTRENLHKSQILCPSAHQINKVSCLNLIPRSLLPSFFIFGTWAMVNVSHLLINPFADANAEDSGAGTKEYVHIRVQQRNGRKSLTTVQGLKKEYIYSNILKDLKKVFCCNSTLVHDSELGHVHVYFTARYLRTSRKSFVAMVHWSQTQ >A07g505670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:12823220:12823567:-1 gene:A07g505670.1_BraROA transcript:A07g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTAKNTGAEELQTQSPRSSPPSLHHSPTDSLHHSLLRLLFTTLFSGFSSPFSCRQCQLREIELSHVSPPSLLISLPPCHLYIVLAWRFISITSHLSSSHRSYCLSPGKPFLL >A08g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14958970:14960420:-1 gene:A08g508230.1_BraROA transcript:A08g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKSAKSMGKATTFPYRTEPLTVSLPLRFSISGGILDVTIEKIQGGIDIRSILSKEDIFRSLPSNDYLEGYNEDIMFLEKSGWMVWKGMHTSQFLQQRTGIDDQTERGETLTPLLNMYKAQMQFCEDSKLMKIKRSMIPLVNTIFSEVTDSDYTRGVRSIRQLLNSVFLGLSSNARYQIINSLEHVLEGSTESKRIHVVAVAFKAGVRFSNNVYGGVQFVDWA >A06p025300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14881690:14882643:1 gene:A06p025300.1_BraROA transcript:A06p025300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRRSRSHSLRYGRRVSARSGTGGSSSSLVSSFSLCFTGVLFTLPALSSLCFWRPVVFVSAAGELRVQRGMPGGWSLDAERVWFRLVRSDFRVWQEAPLLLVGLIARGGGSAVSSVLFRLVASVLPHSERTLEVPFNSETRLAQAAGVCWSPRCWRVEQAALRCRRWSSMASLMRFCLLLLDLLPLPGELFMYSGGVMSTYLSGGVAPIRIGVVGIVPPILPSYKIKLSFLLFLEKAVDEASVGFFCLRSLRILGGSSGFVTYPRAVHLIRSWQCYIAFAFAGYGAAFRF >A02p020410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9588319:9604674:-1 gene:A02p020410.1_BraROA transcript:A02p020410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTILLYGLVLIQLFAAQTNAKRSRSPWQTLSGDAPLVIASGGFSGLFPDSILDAYKLAMQTSVPGAVLWCDVQLTKDDFGICYPDLKLNNHSTIESVYPKRRKSYLVNGVPTNVIQGILSQTGKIDGNGFSILTVQDVTAQIKPESIWLNVQHDAFYAQHNLSMSSFLVSASRTVSIDYISSPELNFFQKLTGHFGRNGPSFVFKFLGKEDFEPTTKRTYGSILSNLTYVKTFASGILVPKSYILPLDDKQYLLPPTSLVHDAHKAGLQVYVSGFSNDADIAYNYSFDPVSEYLSFMDNGDFSVDGVLSDFPITASASIDCFSHIGRNATKQVDFIIYQMVRVSVDFLVISKHGASGDYPGCTNLAYDKAIKDGADVIDCSVQMSREGKPFCSNSIDLEKSTMAAQTPLRNRSTIIPEISSHAGIYTFSLTWPEIYNLTLYLREKKGLDLAKAVLDTLTEAGYSNGTTTKRVMIQSRNSSVLVDIKKQSKYETVYKVEKTIDDISDSAIEDIKKFADAVVIIKPSVLPLCDDSFVTGKTNVVERLQKSKLLVYVELFQNEFVSDATVEINSYITGAGINGTITEFPLTASKYKRNRCLGTKETLPYMAPVIPRGLLQTVNPLSPAPAPSPAPSPVFTDDYVAGPPLPPNLSFTNDGRYKLCSQPFSCGDQKDLLYPFWIPEREECGYPGFMLNCSSGFAELTLSSVKFRILMANYDLHYITLARMDYTDNLCPSNPRNEQFNQSALQFDPGTKLLTILYGCRDLPSNISNSLVYNYVTDFQCEGGMEGLRNYCFVKNTSSALLYMRDGTKDLEKNCKKEVSIPVCDSTLSSLRSDNPNKSLEKGFNLEIKQDCLVCLESNGACGYNRGFVCYCGDGTHGHNCYGSLVNAIHKVAGSIAGVVLFVILLLFFRHYLRMKELRLRQQNLKSLIPLKHYTYAQVKRITKSFAEVVGRGGFGIPSPLDRPPMNRVVEMMEGSLEALEVPPRPVLQQIPTAALQESLTLSDTGELYKLCSTTFSCCDQVHLNYPFWKPGRKACGHPEFELNCSGDFAELNISTVKFRIIDSGYYEITLIRTDYIDNLYPRNPLNAQFNENVVSFTYNTELVTIYYDCPNFSSLIPHSFYVGELVSGNGRRNYYVTKNLTSPSLHDIRGLLENFRGMCKRNVSIPASGSALETLQRSPNTYNLKKAIEQGFKLYVNSDCERCIGSDGACGYNQTSSAFVCYCKDGPRNSSCRTHNRIIALVVFLCPCFRVQIFRKRKTSDERRHEKLKALIPLKHYTYAQVKKITKSFAEVVGRGGFGVQGDNVSPAEYGIRSEEEEIAKKMKIVGLWCIQSSPSNRPPMNIVVEMMEGSLEALEVPPMPVLQQIPAARLSQSFWDSGEGSSASEVLLLSFSVQAQRTKTPPTTEFTFQGFSENKSEIQTEGAAIITPDGLLLLADFRQTQFPMYPPLFITLVVFSVASFPSCFSADQQYEECRLPLRCGSEPSVLFPNITYPFWGNSIGKPNFCGQTEFELSCKENQNLTLEIENFTLRVVSANLDNKIITVADESFLHDGCPQIFNFTGAMQFTLNHNTETIFLFNCPSNNPVTTSSTITCQLSNSNLITYHAFGSTNPPQNCTMVGEIPMLASAKNLLQQSNASDQSLKMALEKGFDLRYDSEDDVCQACTKSKGICGSEVRSGNFMCLCADKPYNSSCKDVQGIAAGVTFLGLLLLSVSWFCYNTQRMKTSDDPRQQNLKALIPLKHYSYAQVKRITKSFTEVVGKGGFGTVYRGTLCDGRRVAGDSGKHNRNVITSEEGEIAKKMTLVGLWCIQPSPPDRPLMNRVVEMMEGSVDSLEVPPRPVFQIPAAPFQEPSTLSEDISSYKDVCSMDVA >A07p035010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18986961:18990117:1 gene:A07p035010.1_BraROA transcript:A07p035010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRVLSALDAARLQWYHFKAIMIAGLGLFTDAYDLFCIAPIMKMISQIYYHKDSIGTAVLSISYVIALFGTALGQLIFGYLGDRVGRRKVYGLCLLIMVFSSFGCGFSVCTTRRSCVMASLAFFRFVLGLGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGLGILMSSAVTMAVCEAFKMVGEGSLEKMEAAGVETLAPAESDIAWRLILMIGAIPAALTFYWRMLMPETARYTALVENNARQAAKDMQKVMSVSMSQVAEDTLSETLELPPSTSSSYKLFSRRFFSLHGRDLFAASANWFLVDVVFYTSNLLLSQIFSFSNKPLNSTNVYDSAFEVAKLAAIVAACSTIPGYWFTVYFIDRIGRVKIQLMGFFCMAVVYLVAGVPYSWYWSKHEKTNKGFMVLYGLIFFFSNFGPNTTTFIIPAELFPARFRSTCHGISGAAGKFGAIVGTVGFLWATQHEEEDKGEVFPEARRVRIAFLILGAVCIAGVLVTYFYTRETMGRSLEENEEDEIDSTCASSGNELFPIE >A07p020920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:12316532:12317122:-1 gene:A07p020920.1_BraROA transcript:A07p020920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLFRLLGESNSPSPVQDPSTAAVTSDLVVTLAALLCAMVCVLGLLAVSRCVWLRRLAAGNRTHAGSQGGSVQSPPPPVAAANKGLKKKVLQSLPKLTFSPDSPSSEKFTECAICLTEFSNGDELRVLPQCGHGFHVSCIDTWLGSHSSCPSCRQILVVARCHKCGGLPGSSSSEPEIEIRIKQGADVPNSYLP >A03g502480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7931851:7932737:-1 gene:A03g502480.1_BraROA transcript:A03g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSREPHFFKPLLPGFHSGVAIPLDFYSKHIQGAEINKPWKLRSDASDQIWEVIREGRTLTKGWKEFTEAHNLRIGDIVIFKHEGDMVFHVTPFGPSCCEILWSDADDAPTFSYDYCFLAEVTPTNQKDDKMFLPVEAMRCGALNQQCKEVKLVNKEGKSWTARFGFSESDGAYYISRGWRKFCRDNRCTNGDLFVFNMGGDGTTTPLLCVCPERKECTELLIKHFSRIDGSIASTSRN >A05p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11091792:11094269:-1 gene:A05p022970.1_BraROA transcript:A05p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIRWHSSSTNLKTTSRKSSRLLPFQSSGLPESRLDFLEVVWTSWKSSGLPRSHLDFLEVIWTSWKSSHKVFFNIKWSPSLRLNFQSSQETYFKVNCINNLCVDQTTFSLMWREIERDVVFSS >A03p069270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30469718:30471203:1 gene:A03p069270.1_BraROA transcript:A03p069270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWPAKSSLPQTVSPVYFVQSPPNTDVDKISTGSGLSPFGSPVNRQGQVSHYNHDSVAESPSTLRFSGPLRNGYSSLQVHDHGHPIDEDDDDYDEVDGSDEKRGRRTMFYTCLLFTFVLAFTIFCLILWGVSKSFSPIVTLKGMVIESLNVQSGNDASGVLTDMLTLNSTVTMLYKNPGTFFTVHVTSSPFQLSYSQLTLASGQMEKFSQRRKTKRLIETKVIGIQIPLYGGVPALYAQRAKPDRVVLPLNLTFTLLSRAYVLGRLVKTKFYTSIRCSITFHGDKLGKKIDFLKSCSDH >A10p022800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15009857:15011971:1 gene:A10p022800.1_BraROA transcript:A10p022800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEFSVGDSSGETKKSECTEEKFVWPWVGLVANIQTEVDKTGRRVGRSGSTFRDELIEKGFDPTRVQPIWNFKGHSGFALVEFTRDIKGFENAMNFERSYKSDGHGKKDWEKGVHLRDDKPYGWVAREEDYNRGGIFGKNVKKKRDLKTLSQLQEEEERKMVQLVESMSQSIEMKIISKQELEHKVDETSRVLESVELHNYQLNETYKQEVEKMHTNLQGLYQQILAGHDKSMSDLETEREKLENRARQIYINEAEMEKSRLEIEMNQKAMMEQNEANMEAMKLAEKHQASTSLKEKEKLHEKIMEMEAKLNETQELELEIEKLKGSTNVMKHMAGSDGDGELMEKMVKTQMELEARETALHDKIMAVTQKERMANDEYQEARKEMIQFWKENEDLVSGEEIRVKTMGHLDTKPFVVAVKKKLRCSEARAGLKAMELCSFWEGQIGNVHWHPFKVDESDGIAKLVVDKNDLKLVKLKSDYGEELYNEVVRAKVEIVEYNPSGGYVVSEMWNLEKNRKATMEEGTDVMLKMRKKLVAMKNKRKRR >A08p030700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18801410:18803008:1 gene:A08p030700.1_BraROA transcript:A08p030700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KATMIVVREYDPSRDLAGVEDVERRCEVGPSGKLSLFTDLLGDPLCRIRHSPSFLMLVAEMGTEKKEIVGMIRGCIKTVTCGIKLDLNHKSQNDTVKPLYTKLAYVLGLRVSPSHRREGIGFKLVEMMEEWFTQTGAEYSYIATENDNQASINLFTGKCGYSKFRKPSILVNPVYAHRVNVSRQVTIIKLDPVDAESLYRLRFSTTEFFPRDIDSVLNNKLSLGTFVAVPRVSCYGSGSGSWPGSAKFLEYPPESWAVLSVWNCKDSFRLEVRGASRLRRVVAKTTRVVDKTLPFLKLPSIPSVFKPFGLHFMYGIGGEGPRATKMVKSLCGHAHNMAKKGGCGVVAAEVAGEEPLRQGIPHWKVLSCDEDLWCIKRLGEDYSDGVVGDWTKSLPGTSIFVDPREF >A09p033200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20101223:20102597:-1 gene:A09p033200.1_BraROA transcript:A09p033200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLLDDIIRRLLETNNGKQVKLLESEIRQLCSASKEVFLSQPNLLELEAPIKICGDVHGQFPDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKLKYKLNFFLLRGNHECASINRVYGFYDECKRRYNVRLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLKTLDDIRRIPRPVDVPDQGVLCDLLWADPDKEIQGWGENDRGVSFTFGPDKVAEFLHTHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDSLTCSFQILKSTEKKGGRFGYSNNVHRPGTPPHKGGKGG >A07p026150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14965392:14966577:-1 gene:A07p026150.1_BraROA transcript:A07p026150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin H2 [Source:Projected from Arabidopsis thaliana (AT5G39950) UniProtKB/Swiss-Prot;Acc:Q38879] MGGVLSSVLGGGGGEEAVAGNESEQSRVMKFSSSARWQLHFNEIKESSKLLVVDFSASWCGPCRMIEPAFIAMSAKFTDVEFVKLDVDELPDVAKEFNVTGMPTFVLVKNGKEIERIVGARKDELEKKVLKHRA >A04p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8979065:8979687:1 gene:A04p007270.1_BraROA transcript:A04p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYPKPIVLSTILKPKKGFNTFPLLSTKIKMQGSLAVDFIDRFTKFLEFVLLYIKERKFISIEDIVKCLHNKKRVLCVFYTVRGLHRYVAINRGPTIDPKAHTYFMGLYPKPIVLSTILKPKKGFNTFPLLSTKIKMQGFLAVDFIDRFTKFLEFVLLYIKERKFISIEDIVK >A06p020910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10297252:10298138:1 gene:A06p020910.1_BraROA transcript:A06p020910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPNGHFKKHWQNYVKTWFNQPARKTRRRAARQKKAVKIFPRPTSGPLRPVVHGQTLKYNMKVRTGKGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTPEELANATQVQGDYMPIVREKHATELVKLTTEMKSVNAYDKIRLERTNKRHAGARAKRAADAEKEEKK >A01p025530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20029881:20032058:-1 gene:A01p025530.1_BraROA transcript:A01p025530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVMAGMVTQAPLKSLIEALLLISISFLPLSSAYRPGDIVAMSKMGQYHSSRTTWHDVIGKHCPIFAVNREVLIPIAKPVGYTGTDPYKIKFQVGSEKFLIHWLLVINRKSSEVPMIDVNLRYSGGDLLGVTAQVVDMPLSYLNTHPEIRKQFWDPQHWPKHILDTVVSRYLAKTLYCDACRKEQSEIDVSSGFYVLFGSALTFSFVLSIYVLQSSREKLARFVRETVVESSSNVGEFGKVE >A09g517160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50416010:50416479:-1 gene:A09g517160.1_BraROA transcript:A09g517160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGEVAIGDGVLLLEVRTSRRAVDTASRQIIIKKMERVRTTASMCIPHIATMQPNDMNRVQLCVEKMAQLNCSRSYVNDRW >A08g504160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6754566:6761130:-1 gene:A08g504160.1_BraROA transcript:A08g504160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRQKDKEKAKEKEKEVAPGDRTPKDQKWTVVREKHHEDRGHGKMCGDWVDSENCGTRRYKSRKGKEATGVSGAVGQDGAEQTGVLPAGTIPTTVLPALVEQVDNATGLPVGPTLPTEVNETNVDEQQEQVHGDDTGSSNVGAGSGQNVDANNVRVTGAEEVIEPTIRGLVEAMQIMGAQIASLTQAFTPLVNSSVGQANPPVRVAAGVADVKGISQLRLNQDMMETRVKELGAGGLAHSAGNSWRSAPSGERCVLVRISVGESGTVTGRADGPGAGRFDQVGLRLGTGSGQASRV >A06p028480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:6046:7767:-1 gene:A06p028480.1_BraROA transcript:A06p028480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKENGNIFGYSFGARVVENYENLTRTDSMHESLIEMTSNLEHEGGNETRTPNHEETSREESSGSHDQAVESNDQEEGAEE >A01p050670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28522429:28524533:-1 gene:A01p050670.1_BraROA transcript:A01p050670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVNMEKRWVLFPLVITSLAFVFLLATSFNMGLISSLRKFNNIFSIIPSRHNHAKNQTKLDFAESKLARQRQTRPHEEDNNLPRFAYLVSGSKGDVEKLWRTLRAVYHPRNHYVVHLDLESPVEERLELASRINKDPMYSKTGNVYMITKANLVTYRGPTMVANTLHACAVLLKRSANWDWFINLSASDYPLVTQDDLLHTFSSLDRNLNFIEHTSELGWKEEKRAMPVMIDPGLYLLNKSDIYWVTPRRSLPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTLLMYYTNFVSSPESYFHTVICNVPEFSKTAVNHDLHYISWDTPPQQHPHVLTLNDTVQMISSGAAFARKFKRDDKVLDVIDKAFLRRRNDKDGFTPGGWCSGKPKCSQVGDVANVKPGLGAKRLQGLVERLVSEAKTGVNQCK >A01p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13916919:13918895:1 gene:A01p030550.1_BraROA transcript:A01p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSNDAEAPMSADSNTTHRNGGWITFPFMIATLLFVSLAVLGWLFNLIVFLIKEFNMKSIAAAQITNIVSGCTFMFPVVGAIAADSFFGTIPVISVSAFISLMAQTMRDDRIKPMRVYFKNPVRRPLLGHYSTLATAGANQFEKTKDQGSFFNWFFFTWNFAAAISTTAIVYAEENISWTFGFGLCLAANLLGFLVFLSGKRFYKHEKPSGTPFTSLLRVILAAVYKRKAEISIDNKDYHSESKEVPTKSFRFLNRAALKQEDEVKPDGTIRNSWRLCSVQQVEDFKAVIRIIPLALATIFLSTPIATQLSLTVLQGLVMDRSLGPKFKIPAGSLQVVTLLSTCSFIIVNDRLLYPFYQKLTGKFPTPLQRVGIGHVLNILSMALSAIVEAKRLKIVDNDHFLESSSVADMSALWLFPSLVIVGIGEAFHFPGNVALCYQEFPESMKNTATSITSVVIGICFYTSTALIDLIQRTTDWLPDDINHGRVDNVYWVLVIGGVLNLGYFLACSWIYKYRNLEDDVHKKYSVVSPP >A02p053430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32529945:32538333:-1 gene:A02p053430.1_BraROA transcript:A02p053430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKNTPKADSVSLPMKPLNFSRKLPGNDPDIAQDVVRTDIDLDQREVYFLMLHLLSSGPCQRTYALLQHELLEHELLPRRYHAWYSRSGLRSGDENDDGNSFPLNYAELAKRYSHVKRDHLVELLKQLVFVSSRPTPSRGLADGNKLVTAGVPTLLGSGSFSLLSCDKDIVGNDLKPPPIGMRWPHMHADQVRGLSLREIGGGFARHHRAPSIRAACYVIVKPSTMVQKMQNIKRLRGHRNAVYCAILDRSGRYVITGSDDRLVKVWAMETAYCLASCRGHEGDITDLAVNSNNTFIASASNDCVIRVWRLPDGLPVSVLRGHIGAITAIAFSPRPGSPYQLLSSSDDGTCRIWDARGAQFAPRIYVPRPPSPDGKNNGPSSSDTQQSHQIFSCAFNASGSVFVTGSSDTLARVYSVWSASRISNDDAEQPNHEMDVLAGHENDVNYVQFSGCAAGSKFADYSKDDSVPKFKSSWFCHDNIVTCSRDGSAIIWIPRSRRSHGKSCRWTRAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTASTYVMDVHPFNLRIAMSAGYDGKTIVWDIWEGKPIHTYDISHFKLVDGKFSPDGTSIILSDDVGQLYVLSTGQGDSQKDAKYDQFFLGDYRPLIQDIYGNVLDQESQLPPYRRNMEDPLCDSAMIPYEEPYQTMFQKRRLGALGKEWRPSSLKLAIGPDITLDQDYQMPPLADLDLAEPMPEFVDVMEWEPEIDILSDGNDSEYNVPEEDSSGKEQECLNSLTSSESGSSSSGSDEDGDHQNSRRRSKRRKHKTGAEIMTSSGRRFRKRNFDELEGATNKNKRTKKFRSGRRESKRKSSKSKSSRPRRAAAQNALSWFSKITGTSKDAEEEQDVSELSESSESESTTQDSGTGDSELDVALVNGHDKQSKGKNILVCDSDDGAPAQQCHVSETQPAERRRLVVRFPVKSSDKLSLLENLPGTSSHALTPTLGNGCPEEDSKVPGNFEVSTNHFKGLDASKVKWGLVKARTSKRMRSESMSSHGLMGSEPEGKENNVSKEADHHDNGVTTPNSLELRTDIDGISVDTDTVISNGLPNGEEPCLRVDGSPSRVADEGASNCSSQDVTGRLHDLKDSLPPISRTLRIRSKRVSRAPDTSLKQEVKSSSINQENGGSDALNDGSTNTKLDLALDSQNDGLLGTELSLTNDCVHESNPLTSDPVSVDVPVSHPKRMFDYVYRRKKSRKHENNSDRGATLTQETSPGSCSQDQSSGANTHEGVPNGLHETESNGLEKPESSLTHIRDKLSDSHGNQNSQEECISTSGATLRSRSTRNRKSTYPFSETKPVETKKLQQSIEKVSWLTLSTHEEGSRYIPQKGDELAYLRQGHQEYLKFSSLKEVAPWTSVKGGNIKAVEICKVESLEYATLPGSGDSCCKMILKVIDPNSEVFNKTFKLTLPEVVSFPDFLVERSRYEAAIQRNWTFRDKCKVWWRDEGEEDGNWWEGRILSVKAKSPDFPDSPWERYTVRYKNDPTETHLHSPWELFDADTKWEHPHMDDEKRNRLLLALTKLETSDKRTKDSYGLQKLNQTVGSSSYTNRFPVPLSIEVIRSRLENNYYRSVEALRHDVEVMLSNAETFFGRNKSVAAKISRLSKWFDRTLPSL >A08g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21166351:21166765:1 gene:A08g509890.1_BraROA transcript:A08g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRSRIRVSCFALSSSQRPFFDIKGLEKLQMEDKLIDSPERSRWWWMPLCGCRYRGGRREDEELVVIMDAVMVDAMMVDVDTEVEDVRRRMWWWMPWLWMLLWWMWIQRWKM >A04p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2388909:2390156:1 gene:A04p004670.1_BraROA transcript:A04p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRCARTPCCTKLWSCICCCCSNNKARARSQHGSKENVYSISRIEKWEEKITEANNNGKILVVYFSAPWCVPCKKIEPVFRELASRYPSMIFVTIDVEELAINMEMEKGLDEGYIAAKAPLIILQNSVCRCICSSLIM >A09p016320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8575595:8578574:-1 gene:A09p016320.1_BraROA transcript:A09p016320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLKVFHIWKTSGTTYLLVVWKSSGLLGSLLTKSSGLHGSLLTKFPFHNRSEHFGFSDLEDFWDDLPVSRLEVVWTSWKSSDKVSSGLPVKSSGSRLNFLKSSNKVSSGLPGSLLAKFSSISSGIQACLCREMIYNSFTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPAKWSTARRLPGKSSTARQLPNSLAYIRLLQAHRITNESHPPRINQNLGFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAIL >A03p026790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11179271:11180125:-1 gene:A03p026790.1_BraROA transcript:A03p026790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQSMMINSSSIPDEEYDDTDDGFHYQTRQSSLSRLSICTSSFHDDDEDNHTSELGNFISELSLESLDDVGAEADGEISDDGDDSDSDEEPPGFYTLPSIMTRRRRKVNVSTGLEKDNVDGRKCTSVKQRRVSREKSYRGHGFNGVEQESDGDGNRYGGRGEGELTVLTKVKGGKKSMKMGFEEVKACRDFGFDLEAPGRVSVSAGSNRETQTSSGGNSPIATWRISSPGDDPKEVKARLKMWAQAVALASASR >A05p032630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17805088:17806022:-1 gene:A05p032630.1_BraROA transcript:A05p032630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLESLIPEHLLQTVKSSSSSSVDDLLSSSSSLLRFLLGLPQFHQAVSELADPDLGCCGKSQESSLDLKRRGNLCFRSRSFDDALRFYSKALRVARDNTLLASLFLNRANALHNLGLLQESLRDCHRALRIDPFYAKAWFRRGKLNTLLGNYKDAFRDITVSISLESSPVGKKQLQNELLAIPDFQNKQTSENTLCYAAAGEIYPTCKVK >A08g504410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7193862:7203512:1 gene:A08g504410.1_BraROA transcript:A08g504410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGISQLRLNQDTMEIRVKELGECLGSWTSVGLSSISSVQLLEVKSVGESGTVTGRADGPGAGRFDQMGLRLGIGSGQAPRVKKRGETAKERLWDGYGTVLGRRDGILVTARPGGWGQIQRKTQRVGRIPNPRPMVASSVSWEHTQLVRREGGRLDSTLKGMNSLEDGCSIETGFMELGTAGGQLNPVNGAFWFGSVWASPGRLLGEPMVRVQDGFDQMGLRLGIRERASFQSEEKGRNRQGAVMGRLWDGFGKKGWDLASSVSWEHTQLVRREGGRLDSTLKGMNSLEDGCSIETGFMEVQRSSDGTFVMRQEVNTTGFEDESFVNGGDLSCPRSWIGSSGRTAVQGNAPVRSHDLGTSVSWS >A01p021340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10374038:10376434:1 gene:A01p021340.1_BraROA transcript:A01p021340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRVNSGSKGFDFGSDDILCSYDDFANQEDSSNGPNSDPAIAATNKEFHKTRMARSSVFPTSSYTPPDDSLSQDMINATVERTMKKYSDNMMRFLEGISSRLSQLELYCYNLDKTIGEMRSELTRDNEEADVKLRSMDKHLQEVHRSVQILRDKQELFDTQKELARLQLVQKDSSSSSHGEERVATPVPEPKKSENTSDAHNNQLALALPHQMAPQPQQQHQQQYYMPPTTQLQNTPAPAPVPAPPAQAQFMPPPPAPSHPSSAQPQSFPQYQQNWPPQPHARPQSSGAYPTYSLAPPSNQSPVEPLPSTMQMQPRYAGPPQQSMQAYGYGAPPPQAPQQTKMSYNPQTGDGYLPAGPPSPPGYANAMYESGRMQYPPPQPHQQQHAHYMQGPQGGGYAPQQHQAGGGNTGTPPPVSRSKYGELIEKLVSMGFRGDHVMAVIQRMEESGQAIDFNALLDRLSAQSSGGPPRG >A07g503600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6967218:6968606:-1 gene:A07g503600.1_BraROA transcript:A07g503600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSIDDSEKANAVHTPTAMSKLQSSSGRVLRDLTNLPMKKDLGGSLKRSTSIPPPQDVPSKKKTNTSNVPATKRINNRNKVFTTNTQAGIEVVSTSGYNSNKRKRNLNAYSGTVKVNTRMDISRTTIPLTSIFGRILGDLQNFSGSKVQNVYQSAAGHMSDRTYMHCENQLNTTKYPPSKRNKFYRDLRVNDQIAQRKTVLQSGTKQRNSVLRKDDARSTPKLMQTVVTGNNNSKVTYPSHVGYASNDNSFPEVPSEGRDDQFYDLSSQESDKLVDNSEPVKCLYRDAVSEKANIESMMSRIRKICESKGKTKKTPNSQPITKTI >A09g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24304503:24305902:1 gene:A09g508740.1_BraROA transcript:A09g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLILDSTKDDEPDPYTRGHSGIDFKIRTIELDTKRIKLQIWDTAGQERFRTITAAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRGQALADEYGIKFFEASAKTNLNVEEVFFLIAKEIKQRLTDTDSRAEDEKKCITGSFCDMLFISPSNRS >A08p030940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18935693:18937180:1 gene:A08p030940.1_BraROA transcript:A08p030940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLPTKKNKRNRVPPGDKRKRFIFKPLVIRHYFTYLVMELKSIKDAFDRVANKQKLSYTKTHEIIQMLSQELDKALSILQEAPPPPQFDHRSILADVKKTFTENQLEVTEKELNVALTKYPKVLEKQLNSDISKAYRTNIEFDTHVVNQIIANFLYRQGMFDIGDSFLAEIGDESECSTRQSFVEMHQIVDAMKKRDLKPALNWAASNSEKLKQARSDLEMKLHSLRFLEIAKESQNSQEAINYARKHIAGYADSSSLYEIQKLFCSLLWSKNIEHSPYSELLSPSRWDNAARELTRQYCNILLGEPSESALSITVTAGTQALPVLLKYMNVTASSKKVDWQSVEQLPVAVELSEEFQFHSVFVCPVSKEQASDENPPMMMSCGHVLCKQTINKMSKNGAKSSFKCPYCPTDVDISRCRQLHF >A06p057760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30008354:30009572:-1 gene:A06p057760.1_BraROA transcript:A06p057760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILCDACENAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIEFPGDKPKPPNNTRDNLQNQRVSSNANGEANGKTTDDEMIDLNSNPQRLHEPSSNNHGIDVNNTSNHETPGVVPFKREPDK >A05g509690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29240616:29242522:-1 gene:A05g509690.1_BraROA transcript:A05g509690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:Projected from Arabidopsis thaliana (AT3G07990) UniProtKB/TrEMBL;Acc:A0A178VCK8] MGYSHLLLLSLLLAVSSSFVSSSTYVEEQKRDRITQLPGQPSNVDFRQYSGYVTVNEQRGRALFYWLVESPTTRDPKSRPLVLWLNGGPGCSSVAYGAAEEVGPFRVGSDGKTLHNKLYAWNKLANLLFLESPAGVGFSYTNSTSDLYTTGDQRTAEDSYRFLVNWFERFPQYKHRDFYIVGESYAGHFVPQLSKLVHERNKGLKNPSINLKGFMVGNAVTDDYHDYIGTFEYWWNHGLISDSTYHQLKTACYSVSSQHPSLQCMEALRSAELEQGNIDPYSIFTKPCNNTVQLKSFLKGRYPWMSRAYDPCTERYSNVYFNRVEVQKALHANVTRLSYPWKSCSDIVGNYWTDSPVSMLPIYRELIAAGLKIWVFSGDTDAVVPITATRYSIDALKLATITNWYPWYDHGKVGGWSQVYKGLTLVTVTGAGHEVPLHRPRQAFIIFRSFLENKPMPMS >A04p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10550855:10552050:1 gene:A04p017190.1_BraROA transcript:A04p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant cysteine oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G39890) UniProtKB/Swiss-Prot;Acc:Q8LGJ5] MGAGTVVRDRVRKDLSEISNKTTRPIVSASPEIRSNSRKKIQRRSKKAVTSPVQKLFETCKKVFANGKSGAVPSQEHINMLRAVLDEIKAEDVDVSSKMPCFRSKSNGRPPVTYLHIYKCHSFSMGIFCLPPSGVIPLHNHPEMTVFSKLLFGTMHIKSYDWVADSPQPSSDTRLAKAKVDSDFTAPCDTSILYPADGGNMHCFTAKTACAVLDVLGPPYSDPAGRHCTYYFDHPFSSFSVDGVEVGEEEKHKYEWLKEREEEPEDLTVTAMMYSGPIIKE >A02p012110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5292835:5297981:-1 gene:A02p012110.1_BraROA transcript:A02p012110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative respiratory burst oxidase homolog protein H [Source:Projected from Arabidopsis thaliana (AT5G60010) UniProtKB/Swiss-Prot;Acc:Q9FJD6] MMKNNSPSDEKWMLESVEIDTMGDTSSKKPDINVKKNEGGLKKTASRGVGSIIRTLSVSNWRKSGNLGSPSTRKSGNLGPPGAAVLPKKGPQRVERTTSSAARGLQSLRFLDRTVTGKERDSWRSIENRFNQFSVDGKLPKEKFGVCIGMGDTMEFAGEVYEALGRRRQIETESGIDKEQLKLFWEDMIKKDLDCRLQIFFDMCDKDGDGKLTEDEVKEVIVLSAAANRLGNLKKNAAAYASLIMEELDPDHKGYIEMWQLEVLLTGMVSNADTEKMKKSQTLTRAMIPERYRTPMSKYVSVTTELMHENWKKIWVLTLWLLINVYLFMWKYNEFMRSPLYNITGRCVCAAKGSAETLKFNMALILVPVCRKTLTMLRSSFLSRMIPFDDNINFHKVIAYAIAFHALLHTLLHLFCNYPRLSTCSYDVFLKYAGSALGHTQPSYLGLMMTSVSITGVFMIFFMGFSFTLAMHYFRRNIVKLPKPFSVLAGFNAFWYAHHLLILAYVLLILHGYYLIIEKPWYEKTTWMYVAVPMLFYASERLFSRVLQDHSHRVHVIKAIVYSGNVLALYVTKPPAFKYKSGMYMFVKCPDLSQFEWHPFSITSAPGDDYLSVHIRALGDWTTELRNTFAKTCEPPPQAAAKPKPNSLMRMETRATGANPHFEESQVLFPKIFIKGPYGAPAQNYQKFDILLLVGLGIGATPFISILKDMLNHLKPGIPKVGQKYEGSVGGESVGGDSISGGGGKKFPQRAYFFWVTREQASFDWFKGVMDDIAEYDKTHVIEMHNYLTSMYEAGDARSALIAMVQKLQHAKNGVDIVSESRIRTHFARPNWRKVFSELSSKHEACRIGVFYCGSPTLVRPLRDLCQEFSLESSTRFTFHKENF >A09g516180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47784926:47785555:1 gene:A09g516180.1_BraROA transcript:A09g516180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHQYQLNQIDHFFIFSKMPRNKKCYLLIPKRQELLLERFASFGSIPSTYIEGSGDWHRVRKRRLQMRFKIFATQKLIKGKRPLNKKKNKYALRGLRSLAVARRTVPEDKKKSSDGPWELVGGSPLFDPP >A07p013600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7377673:7379119:-1 gene:A07p013600.1_BraROA transcript:A07p013600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSNEDDDDFLAALCFDQSNGGEDPYAFIQTEQGNLFPEFGSSSGVNLQTEQEQEQVCNNNNIGAQFDSFSGNNGLGPFGGVLYSSSIGSSSQLDLQQEPRQVCSFRGRNNDSVPHVEKEQELVCCGVVEINSSSSVGGVKEELEEECSRKRGRTGPCSKPGTKACREKKRREMLNDKFMDLSSFLEPTRTPKTDKPAILDDAIRVVNQLRGEAHELKETNQKLLEEIKTLKAEKNELREEKLVLKADKEKMEQQLKSMAAVPSPGFMPSHPAAFHQNKMAVYASYGYYPNMPMMPYLLPPSQRDTSQDQQNCSFAA >A06g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:497354:498497:1 gene:A06g500070.1_BraROA transcript:A06g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPAMNRPTLQRWASIRGLHFVKKLRFAFLSSEIEPQELGARNPFGHVTCVVPISAFFASSHWIGYTSYLHQLASHTTFFFLKAYISSLDCDCWFLPFSLLSESSDS >A02p047360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29568070:29569964:-1 gene:A02p047360.1_BraROA transcript:A02p047360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 4-phosphate cytidylyltransferase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G02500) UniProtKB/Swiss-Prot;Acc:P69834] MAMLQATLGFLSSPTFSFSSSYFFPNLSVKSTSLCLGFSCRPSGKVSSRSDRRDARLQSVRCSSSSESDNSNAVVKEKSVSVILLAGGQGKRMKMTIPKQYIPLLGQPIALYSFFTFSRMPEVKEIVVVCDPFFRDIFEEYEDSIDVDLKFALPGKERQDSVHSGLQEIDVNAELVCIHDSARPLVDTEDVEKVLKDGWAVGAAVLGVPAKATIKEVNSDSLVVKTLDRKTLWEMQTPQVIKPELLKRGFELVKREGLEVTDDVSIVEYLKHPVYVTQGSYTNIKVTTPDDLLLAERILSMDS >A10g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9274260:9276644:-1 gene:A10g503590.1_BraROA transcript:A10g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAERLRQFLLTSANSLGIKDDWIIPCADLLEIVAKERDGLHNYIKFKCVATSDSPSLFYGLVFTKAPLDENMPALIIPTNLLVWEGSLDMDKEDKSAVSETYTLLDQYPVNVVMVEGSISEEYKGYFLSREITAVQNMKRRLQRYPSLGYSCTALRCEKTIESLNDPINEKPLMFLDGCGSLTILLKGSSTAELKLMKRMLKTGYNQFRNELLSSDYFLVALPPSKIIPWEMDGGQDEVVTIREEEVSSYIAYSLQQVHDESSESRPKSSVFCQHRDSFHELRSKCNMTESQYISSLSRCDTWEAKGGKSGALFAKSRDTRLIIKEINQAEFESFAKFGPMYFEYMKEANKTFLTKIYGVYKVTLGQAKFLMVMENLNFDRRIAMQYDLKGLVHGRLAPDSAQVRLDQNFLNDMKRLRLHLNPYLKQDLQTVIRNDTAFLSGINVMDYSLLVGVDMENHELVCGIIDYLAPYSLKKKLETVGKSLLLLGKETRPTVIRPSEYKKRFVDFMVKQFLGEIDLRTKVKELEQQLRKERDARLDAEKRLEELNKKKPIRSRSF >A10p031790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18920358:18921710:1 gene:A10p031790.1_BraROA transcript:A10p031790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNDSRSRSPSHRRRYSRSPLRSSRRTRRDRSRDPYSSRHRKSRSPTPRQHQRDRSSSLSPSSSDHKIAKKDQEAKARLQHEAELKRLEEETARRIEEAVRKNVEERMSTEEVKEEIERRTKEAYEKMLLDVEVQLKNEKESALNEARRKEEQARREREELDKMLEENSRRVEESQRREALELQRKEEGRYRELELIQRQKEEAARRKKLEEEEEIRNSSKVSNGSRSRAKLHFGMGL >A07p022080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12957987:12959120:-1 gene:A07p022080.1_BraROA transcript:A07p022080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEYERAKMVQERVGMIRIKRESKEEEKKRVTTREIYHWTYINIQHTYRASKGQRQLCHLNFKTTDWMEWLSERPTVAVLEPLVWK >A03p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8957803:8958936:-1 gene:A03p021800.1_BraROA transcript:A03p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQHQLHHLQYLKKHSHHVHPQSQTPEIASPATVAAGDRFPQWSLEETKELIAIRGELDQTFMETKRNKLLWEVVSNKMRDKSFLRSPEQCKCKWKNLVTRFKGCETMDAESARQQFPFYDDMEIIFTSRMQRMIWVESEGGGGGASGTTRKRSHSEQFSSDEEEENVNEELVDISNDPKILNPKKNIVKKRKGGISNSNASNSVREVLDEFMRHQMRMENEWIERWEAREKERAEREEEWRGKMEEIEKERVKMERMWRDREDQRRSREEMKAEKRDSLISALLAKLTRDG >A06p020700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10184535:10187436:-1 gene:A06p020700.1_BraROA transcript:A06p020700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSYSFSTSSTWIILITLACIVFRASLSDAQLTPTFYDTSCPNVTNIVRATIVNELRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAIGNANSARGFPVIDTMKAAVERACPRTVSCADMLTIAAQQSVTLAGGPSWRVPLGRRDSLQAFFNLSNVNLPSPFATLPELKDRFRNVGLDRPSDLVALSGGHTFGKNQCQFIIRRLYNFSNTGLPDPTLNTTYLQTLRGLCPLNGNLSALVDFDLRTPTVFDNKYYVNLKEQKGLIQTDQELFSSPNATDTIPLVREYADGTQKFFDAFVEAMNRMGNITPLTGTQGEIRLNCKVVNSNSLLQDVVELVDFVSSI >A01p006150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3164485:3166803:-1 gene:A01p006150.1_BraROA transcript:A01p006150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g32430, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G32430) UniProtKB/Swiss-Prot;Acc:Q84MA3] MTLLSYLHCTPSKSFPFRIFRYPLISAHKLFDGSSQRNATTYINHSISESLRRGSPSQALAIFTKNIQLGFSGRNIINEVTLCLALKACRGDTKLGCQVHGFSITSGFTSFVCVSNAVMGMYRKAGRFDNALCIFESLVDPDVVSWNTILSGFDEDQVAMSFVVRMRSAGVVFDAFTYSTALSFCVGFEGFSLGLQLHSIVVKTGLESDVVVGNSFITMYSRGGSFRDARRVFDEKVVKDMITWNSLLSGLSQGGNLGFEAVLVFREMMRQGVELDHVSFTSVITTCCHENDLKIARQIHGLCLKRGYATLVSVGNMLMTSYWKCGVVEAARSVFYEMSERNVISWTTMISANKDDAVSIFHKMRLDGVFPSEVTFIGLINAVKCNEQIKEGVKMHGICIKTGFASKPSIGNSFITMYAKFEALEDAKKAFDEINVKEIISWNAMISGFAQNGFSLEALKMYLSAAAEATPNEYTFGSVLNAIASAENISLRHGQRCHAHILKLGLNSCPVVSSALLDMYAKRGSIDESEKVFDEVSEKNQFVWTSIISAYSSHGDFESVMSSFQEMVNQNIAPDLITFLLHGNSKIGEKVAELVMEMEPKLSGSYVQMYNIHAEMGQWEKAAEIRRRMRKKDVKKEIGTSWVDFIDSEGSLTTIGFSSGDKSHPKSDEIYGMVETLGLEMDLEEEVARSGLLFRVV >A03p033820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14310526:14311577:1 gene:A03p033820.1_BraROA transcript:A03p033820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGEGVGDFRAKVWSMSGGPYCRPKHWRRNTAFAMLGVFLVCIPIAMKSAELEQRPHMPVRPIPSQIWCKNFGTKDDYEKEH >A02p054220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32961362:32967424:1 gene:A02p054220.1_BraROA transcript:A02p054220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRNLKRERACSYKFINPNFISVSLRSRHSTAQAMGIDLIAGGKSKKTKRTAPKSDDVYLKLLVKLYRFLVRRTGSKFDAVILKRLFMSKVNKAPLSLSKLVEFMKGKDGKIAVLVGTITDDLRVHEIPAMKVTALRFTERARARIEKAGGECLTFDQLALVAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSHSKPYVRAKGRKFEKARGKRKSREITVGKEIVDLCLVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVISSLTASLRFDGALNVDVTEFQTNLAFHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVGTIKTKRTIQFVDWCPTGFKCGINYQPPTVVPG >A10p034320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19945404:19954840:-1 gene:A10p034320.1_BraROA transcript:A10p034320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERDSESFESLSQVLPNTSNPAHMIQMAMANSGSSAAAPPRQDQHDRSKWLLDCPEPPSPWHELKIQVKESFLTKAKRFKSLQKQPLPKRILSILQAVFPIFGWCRNYKLTMFKNDLMAGLTLASLCIPQSIGYATLAKLDPQYGLYSSVGPPLIYALMGTSREIAIGPVAVVSLLLSSMLQELIDPETDPLGYKKLVLTTTFFAGIFQASFGIFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGITNFTTNTDIVSVLRAVWRSCHQQWSPHTFILGCSFLSFILIARFIGKRNKKLFWLPAIAPLISVVVSTLMVFLTKADEHGVKTVRHIRGGLNPISINDLEFNTPHLGHIAKIGLIVAVVALTEAIAVGRSFAGIKGYRLDGNKEMVAIGVMNVLGSFTSCYAATGSFSRTAVNFAAGCETAMSNIVMAVTVFIALECLTRLLYYTPIAILASIILSALPGLIDINEAIHIWKIDKLDFLALIGAFFGVLFGSVEIGLLVAVVISFAKIILISIRPGIETLGRIPGTDIFADTDQYPMSVKTPGVLICRVKSALLCFANASSIEERIMRWINEEEEDENTKSNDERKILFVVLDMSNLMNVDTSGITALVELHNNLIQNGIELVIVNPKWHVFHKLNQAKFVSKIGGRVYLTIGEALDACFGLKV >A02p040260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25463946:25465143:-1 gene:A02p040260.1_BraROA transcript:A02p040260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSHRYFAILALFAVSLKFSYSQNVTIEDNGWGYAGVTWYGEAGGAGSTGGACGYGFAVANPPFYGMVSAGGPSLFNNGKGCGTCYQVVCLENPACSMRPIRVTITDECPGGPCASEPAHFDLSGKAIGALARHGQADQLRAAGVLRVVYRRVPCSYRESSYSWSTIALHIDAGANPYYIAFVVEYENGDGDLASVEIQPAGGSFIPMQEMRSAVWKLNSGSALIGPFNIRLTSGETGNVIVAQTVIPVNWRADETYRSIVNF >A05g508950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26709763:26715073:-1 gene:A05g508950.1_BraROA transcript:A05g508950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGEVPIWMVDKGEKIDLAYNDFTNDLRTAECQKNDVNMFSSTSPLVANNHSNVSCLSSYICPKTFYGLHINCGGTELTINGTTYDADTSDRAYDSRNGWVSSNTGNFLDDERSPRGVTSWANTSELKIADPSLYTHARLSAISLTYYALCLGQGNYTVNLHFAEIMFTGNQTYKSLGRRFFDIYVQGKLVVKDFNIVDEAKGVGRAVVKSFPVMISDGKLEIRLFWAGKGTQDLPVRGVYGALISAVSVDPNFIPPKEAGTGSGGGSSIGTLVGAVVASTMFLVLLIGGILWWRGCLRPKSQMEKDFKNLDFHISSFSLRQIKTATNNFDPANKIGEGGFGPVHKGTLTDGTVMAVKQLSSKSKQGNREFLNEIAMISALQHPHLVKLYGCCVEGDQLLLVYEYLENNSLARALFGPQETQIRLDWPTRQKICVGIARGLAYLHEESRLKIVHRDIKATNVLLDKELNAKISDFGLAKLDEEENTHISTRVAGTYGYMAPEYAMRGHLTDKADVYSFGVVALEIVHGRSNTITRSKVDTFNLLDWVHVLREQNKLMEVVDPRLGTDYNREEAMTMIQIGILCTSQVPSERPSMSTVVSILEGSSTVNVEKLLVASFDKGNEKDEESVKAMKKHYAMINEEEMNMLDQTISTEGPFTSSSTSTANASDLKPDSAYWNSRAV >A09p037220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:25167592:25169940:1 gene:A09p037220.1_BraROA transcript:A09p037220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLDEIRPWKTTWLIEAKVIHTWKPSNLRFGETLEIILADKKGIKVHATCRKNYLKSLGDKCIVGEWKTIENFQVSEPGKQFRPTKLMYKISFINQTVIKPNDFQNDDMFLALAEFDSVLSGSLETEFLIDIVGQAIDVGELRILHSNGKKLRKIEFTLRNVSDVRIQCCLWGKIADEMENHREEAQFGVVVCLIRFSKIGSFRGILQISNAYDSSKLVIAEIHEEGNQIVTKAKYESPKTSAAWKEYEDKTISEILRCTQVQKCKVVATIYAIDTDYAWYYFGCDACQHMTYKVLEPDSNTPIQTKPLFWCEICKKNVTNVAPKFKLHLMAKDDKAEAKFILLDWVAMPVRGVKAEKILNGSFDEVEDPALLPECIKKIAGKTFRYGITFDKGGDNKFKVLKVWSVYNTLMVDSQSETMSGKGTTAISGSEGSILSYSDESSSKMTTPSKRSCYDIIDHLDTTSTSKIRPMKIIKIEKMSNEDLDLTKE >SC258g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000108.1:354:2000:1 gene:SC258g500010.1_BraROA transcript:SC258g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLILTPLGRASPHQSDPRDKSRIDLEVYLGANGRVCKDRGEADVPGKLVQCLGKLLQKLTSSSHVVLKPRRSLDDPAGCSTSQVEDGFIPGVQKLYVVVSHPLMTPMKKEGSWKQGRKWSDQPSFKALSKLFLIHCPRRKVIAFIWYWCGECNMKKGGVFDMESSRERSLEEGEVGVDTNSSLSCHVLWSFKELTLVPWL >A04p006280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3252574:3260135:1 gene:A04p006280.1_BraROA transcript:A04p006280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQREESASIGDCKAPLEETGKELGLASKPETLDGEVDVPAEEPCVEDVSGSGVGSVTKGVSSESDDVVKTDAFSEPETERDADVKPVNGGGSHESAGEEGNEVIDEEANHVAEQNVQTERPQQSSVVDGETLSVAGQEKESDDANVDVDSKQANEENVGSETNNGKDSESVQVPEESTQETNPAAETCGEENGEAMDVDYTVEETLEKVVLDDTGNEASMVPSQDVPIAEADSNVVKGMEVDERKDNADMAANPKSSSEDAAPGEVEQLDQNGLFDPRSDITNFIDFSGVSSWSGNVQDLKTESGNLSSLKEDKKATLTAEEVATEEDGDKVSSHAEGVENESNEAHVATGCPEEASDANQDEKDKQDTKREEDDTTHEAPSIDQNQQEEDTVMEENPDDFDFAEAGTDSDIKTNGVKRKADVLSEQRPSFKIGACIARAASQMAGSPSVLKGSSNLGDETLSVESFVSQLHSAATDPVKENPVSELATGFFLDFRNSSASQQFVPEKASSKRGRPSNSSAAGGTEAFEFEEMGDTYWTDRVIHNGGEEQTPAATEKENYQVVPVELKPAQVKRTRRPYRRRQSQISYPLPSASDKPADFDENAPAELIMYFSETDTIPSEKSLSKMFRHFGPIRDSQTEVDEEKNRARVVFRKGADADVAYKSAGKFNIFGTKTVNYELSFTITETFKVKPYVVSLGEEEAAVERELGCILEMTKVVAPGASLQMNGVSKAKRKTPAELRGEQLKRTCFVDQVKESFDALRPCQSTEKGNGLKNPKYIDMRMNELYPVKKARPWMPSGKENSKENGVKEQSSNLFNVSLLSNVVAIKRQQLTRSEDKNASTGVSDDTNAKARQANERCSQSIFRSVTELSTRGEELSCLPDIDMTKALKGLATSVQLPIYPGDISDKSDTASLRGNFVPEFHVSGREVPLDLSMKTYVRLVSSSPLNWLHRSIMSSAYNGMPQLNEDNSSGSGSDVVCQVLNSMSLHSWVYPQSTLPSSMISAYINSGPGRGEGDFLQQRQLAWEDAFRSLYFKFRKNFCKIFYVCTSQFVVMFTGSCEPGGVKRSCNAYITQSTRRLRAMLKDLDICYTMPLCKTKMEETTVEDLAELSEIENHNLGQMQTRRLRSASNIDNTPESFLAFDGNESVHGLYDLLLNYRSSLSFLLTADVPVLYSPVPFQNAAMFSPKIDCKEMVTAESTSCYMVETKGECLPPWIISNICAHVIANGQNFEASFVTEPTSGSLNMGVPQIPDKTEPESAATEGTGETNDAASDIPGTVICPQLRSGHLKNLKYCNNSYTVSLSPS >A01p048050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27078188:27080176:-1 gene:A01p048050.1_BraROA transcript:A01p048050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPVSDDTEAQYAGDTSSKRGGWITLPFMMATLLGMSITSFGWVLNLIVFLIEEFNIKNIAAAQISNIVNGCLSMLPLVAAILADSFFGNIVVISASTFISLTGILLLTLIAYLDLLKPRPCETGSNLCQSPSELQLGILYTALVLVTTGAGGTRFTLASAGANQYEKPKDQGSFFNWYFLTLYAGAITGATAIVYTQDNASWKLGFGLCAAANLVSFIVFVCGKRLYKHEKPMGSPFKSLISVVVAATLKRKAVISSNEEDYHRGLGEKDKTSPALPSKSYRFLNRAALKTEEELNHKDGSANNIWRLCTVQEVEDFKAILRLVPLWVSIIFVGTPIAMQTSLIVLQALVMDRGLGPHFKVPAGSLQVVIMISASTFIIMNNWLVYPMYQKITKKRLTPLQKVGIGQVFTILSMVVSAVVEAKRLKSVENNGHPMSVLWLFPPLVIVGIGEAFHFPGNTELFYGEFPESLRNTATSLTSLVIGISFYLSTALIDLIQRTTKWLPNDINSGRVDNVYWVLVVAGVLNFGYFLVCSWFYKYRNLGGDNELDPKDVST >A06p043920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23628673:23631213:-1 gene:A06p043920.1_BraROA transcript:A06p043920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDAEKARVIQTMLFVSGLTTLLHSFFGTRLPVIAAASYAYIIPITSIISSSRFTHYIDPFERFVRTMRSIQGALIVAGCFQVLVCFLGVWRNIARFLSPLSIAPLATFAGLGLYQIGFPLLARCVEVGLPGLILLVLVTQYLPRCLKMKQGGIWDGSRCDRYGIMICIPLAWLLALLLTSSGVYNNKPQTTQISCRTDRNGLISNTPWIYLPYPFQWGSPTFHFTDSFAMMAASFVTLFESTGLFYASARYGSATPIPPSVISRGTGWLGVGVLLNGMLGGVSGITTSTENVGLLAMTKIGSRRVIQISAAFMLFFSIFGKFGAFFASIPLPIMASVYCIVLCFVSSAGLSFLQFCNLNSFNTKFILGFSFFMAISIPQYFREYYNGGWRSDHHSSWFEDVIRVIFMSHTTVAGMIAIVLDCTLSRENDEAKKDCGLKWWEKFRLYNLDVRNDEFYGLPCCLNKFFPSH >A08g509940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21411377:21411872:1 gene:A08g509940.1_BraROA transcript:A08g509940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED1-like homeobox gene 6 [Source:Projected from Arabidopsis thaliana (AT1G23380) TAIR;Acc:AT1G23380] MFSSSCSLEVTDRLYTLHVPEDGAVSSDEELSGGDEISQDGKQICEDRDLKDRLLRKFGSGISSLKLEFSKKKKKGKLPREARQALLDWWSVHYKWPYPTEGDKIALADATGLDQKQINNWFINQRKRHWNQSENMPFA >A02p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4999618:5000944:1 gene:A02p011530.1_BraROA transcript:A02p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKTKVKRGPWSPEEDSKLRGYIEKYGNGGNWISFPLKAGLRRCGKSCRLRWLNYLRPNIKHGDFSEEEDRIIFSLFAAIGSRWSIIAAHLPGRTDNDIKNYWNTKLRKKLMSSSSFSHSSSAMTSPFLNPNSQDVKRPSTTISPSSYNPYFENPTKALISNIDGFEADNHQIFPFVNPNYPQDISLSESSNNNISGTSGFSLNHNMCGHYSNHNHFSSDVSGNRSEIIMKQEEIMMLMMIDNHIDQRTKGYNGDFTQGYYSNFINGHGDLKQMISGTGTNSNINMGGSGSESASSSSSISNLAENKSSGSLLQHKCLPYFYS >A10p028640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17735758:17741013:-1 gene:A10p028640.1_BraROA transcript:A10p028640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEIRILRGYELRLLRCTVSLPLSDSPSVTQLLDESQSETHPHDALIKSLLSLIEAGDYLGALASDAARVILGDAELELVDSVDSAERVYSEILDRVESFVVNDSSDEIDKARRAVVVMCLAISSAFWFTRCNLTGMLLLKLKDLLFETTATKPFELRSVSWWLVRVLLIHQRVLHERSSSLFEMLQVYMAEALDHFGALEKVESYWSSTMLLQGEVSSITSTIHLEACVLQYIYGRIDPCGLQLESAKAASKLEFSVSGAFGFRTIHQVDPKAQMVLIAKTSSSNGDVMLASSKADVGPYEAWGVEAPEVYMTPKLVNDESEAGKESATLKPVEQAMILAQCLLIERGSRHNEMQRWDMAPYIEAIDSQKSSYFVLRRFCDLLRVRWESTRGRTKGRALEMMGKLVEAINTSDPGASQRIPLCYAVHLPTIPALRKEYGELLVSCGLVGEAITIFESLELWDNLINCYCLLGKKSAAVDLINAQLSERPNDPRLWCSLGDVTIDDSCYEKALEVSNDKSVRAKRALARSAYNRGDFEKSKMLWEAAMALNSLYPDGWFALGAAALKARDVQKALDSFTFAVQLDPDNGEAWNNIACLHMIKKKSKESFIAFKEALKFKRDSWQMWENFSHVAMDVGNIDQAFEAIQKILSMSKNKRVDVVLLDRIMTELENRNSALSLSVETEASSDELKETKPCAATPAETQRHLELLGKIIQQIVRTESTSEIWGLYARWSRIKGDLMVCSEALLKQVRSYQGSELWKDKDRFKKFARASLELCRVYMEISASTGSKRELFSAEMHLKNTIKQATVSFPESEELKELESCLEEVRNALKKSEETTNTKT >A06p011900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5457159:5461650:-1 gene:A06p011900.1_BraROA transcript:A06p011900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKISDPSKLHLKRELTQIRKVSKCLRDPGTSSSWKSPLTSSRSVPVEEELPPSNNNNVALLNQLDSQFRAESSRGKEKEKKVFLYNWKSHKTSTDNDDDDDDVSDARNKNRFTKKKNRYHHHQPKEATSGEETEDFSNSESLGKLTQMLKLKHKNWSRSSSYKFLRATSKRDSSSYTCNSTPALSTTSYNNLYALRSPSTVESFDDDELDVTGSQQGCGIPFYRNLKHRGGCRSCCSPSFSDTLRRKGSSILCGGGSQYRHRHSSGRCNKKLSVVPLLKYGGDSTRGGGLSIGSDDDFGELDLESQSRLDGRRWSTSEEEVSMQSLSIKYKPMFFDELVGQSTVVQSLMNALKKGRVAGVYLFQGPRGTGKTSTARIFSAALNCDVLANEEMKPCGYCKECSDFMSGKSRDLLELDASKENGGERVRYVLKKLMSLAPPQGSARYKVFVIDECHLLPSKTWLLLLKFLEKPLQRVVFVCVTTELGNVPRTIQSRCQKLLFNKLKDGDIVVRLRKIASDENLDVESQALSLIALNADGSLRDAETMLDQLSLMGKRITVDLVNELVGVVSDENLLELLELALASDTAETVKKARELLDLGADPILMMSQLASLIMDIIAGAYKALDEKYSDAFLDGLSEAEMERLKHALKILSEAEKQLRVTTDRSTWFIATLLQLGLMPSPGTTHTSSSRRQSSIATEENQSSISREVIAYKQRSSLQCSNSASPTSIRKGETTVHEVTSFSSSSEVLENDASISEKLNDIWMKCVDKCHSKTLKQLLYSHGKLLSISEVKGILVAYIAFGDREIKLRAEGFVRSITNMIETVLRRNVEVRMILLSETELLSSKRTRETEVADSSDTESGYEVPMKRIETIIQEQRLETERLQRTPGSQGLLKPERNQVSPQEDTSYHHHQPNIGSAVSSGLNNEVLKICKMDEAQENQTGKRMEHCPVSPSILHDSNFTNNKNNLKRSLQFAFLLENTEVSKNKQDQRDLCAFTKKSKEKILVVQWMCQDKEVRKVTVWKKKIFKSQEISALHKNRRGLIPVQTMAEQFEDKTGEIPPIPSDPTLDPPPPSPSSNIENTMFMPENSFFDDITMLLSPEPET >A05p003140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1117796:1120383:-1 gene:A05p003140.1_BraROA transcript:A05p003140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLITAAIAAVVSYKLIQRLRFKLPPGPLPKPIIGNICDIKPVRFICYYEWAQTYGPIISVWIGSILNVVVSSAELAKEVLKDHDQKLADRHRNRSTEAFSRNGQDLIWADYGPHYVKVRKVCMLELFTPKRLESLRPIREDEVTAMVESVFRDCKLPENITKGIQLRKYLGAVAFNNITRLVFGKRFVDADGVIDEQGLEFHAIVSNGLKLGASLSIAEHIPWLRWMCPADEKAFAEHGARRDLLTRAIMEEHTLARQKFSGPKQHFVDALLTLKDQYDLSEDTIIGLLWDMITAGMDTAAISTEWAMAEMIKNPRVQQKVQEEIDRVIGLDRILTEPDFASLPYLKCVVKESFRLHPPTPLMVPHRAREDVKIGGYNIPKGSNVHVNVWAVGRDPAAWRNPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGISLVTSMLSHLLHHFVWTLPQGTNTDEIDMSGNPGIVTFKRTPVQAVATPRLASDLYKRVPFDM >A06p002050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5334904:5336892:-1 gene:A06p002050.1_BraROA transcript:A06p002050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHY1 [Source:Projected from Arabidopsis thaliana (AT1G52830) UniProtKB/TrEMBL;Acc:A0A384LEJ2] MAKEGLGLEITELRLGLPVDNNGERLVSGSKKTKRVFSEMMISSSLDTDGANSVVSSVDIVTGEVEDDSVPKDKSQAVGWPPVCSYRTKKNNNKESSKAIGYVKVSMCGVPYLRKVDLGTSKGYTHLATVLEKLFDCLGLGVALKEGEKFEYVIIYEDKDRDWMLVGDVPWEMFKESCMRLRIVKRSDATGFGLQRDLSLQLEHLHNRTWLTSTTSRNGKMIKAVYTQRFTDCSVAVAATRHVIVVAASDSYDHLIIRHKWEKLG >A04p015440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9599464:9600500:1 gene:A04p015440.1_BraROA transcript:A04p015440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESKGNEEHVAIIKEYRGKIETELSKICDGILNVLEAHLIPSASPAESKVFYLKMKGDYHRYLAEFKAGDERKETAESTLVAYKSAQDIATAELAPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMTDEAGDEIKEASKPDGGAAE >A05p025530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12448737:12453574:1 gene:A05p025530.1_BraROA transcript:A05p025530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MDDDDFDLTMEEMDALEKEALERINQNKVPNLSQGSRSLPSSLAPKPNTGEGVKVPVKILLHHSGDLAAKFPYNQAVVDAVRKIPMALWNAKERLWIFPQSSLSSAEIILRQVSSVKVEVENLDPLVQRAIASASRVPDLRHLYDKIPSHIEPKLLPFQRDGIEFILQHGGRVLLADEMGLGKTLQASGNCCDYMRSRILASSDYCTIFLTFTLGNDDSPMAACTSVRHSCMWFGVCVVLPQPGGSNKCGFTIVSSNTKGTIHLDGVFNIISYDVVTKLDKLLMALDFKVVIADESHFLKNAQAKRTTATLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYRNVHEYGSRYCKGGFFGIYQGASNHEELHNLMKATVMIRRLKKDVLSELPSKRRQQVFLDLAEKDMKQINALFRELKVVKAKIKDCMSEDDIKSLKFTEKQLINKIYTDSAEAKIPAVTDYLGTVLEAGCKFLVFAHHQSMLEALHQFLKKKKVGCIRIDGSTPASSRQSLVSDFQGNDEIKAAVLSIRAAGVGITLTAASTVIFAELAWTPGDLIQAEDRAHRIGQVSSVNIHYLLANDTVDDIIWDVVQSKLENLGQMLDGQENALEVSSRHVMNSPIKPRNSPSKQQTLDPFLKRCKRSLYDDTEEHPTPKVPRN >A10p004050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1983803:1984964:-1 gene:A10p004050.1_BraROA transcript:A10p004050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGKKEGKYFAFTIYFGLFLIVALDVVAGFVAMEAEVAQQEVKTRAWSLECKSPSKKAFMLGLIALGCLLAAHFITVMIGCIISAINIADRIPGFEHRTSRLIHLASTAGAGILTMGIWMNRESRPECGFTNKHFLSLGGKAGTNFQRHYYRGAELEPLLRCRWSLCSASSFSTFALSFPFGSFPILFPLCMCFWWPLSIVLFRCGLEHGLRLLSWLQCCGRRV >A04p023010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13940274:13941300:1 gene:A04p023010.1_BraROA transcript:A04p023010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEKSSPTSETLQQKQERKGGGGSTEVASDTPTLPSSETEPEATTPFELVASEATSPAAAFQSVIPTLPPAVAADATSLAAFQSAIIRTPPPAVASNATSPAAFQSAIHTPSHGSSPALVTFSSTSSDLVTENVEGGVFGSHFAALHSEFSGFEMGDPSQRTRGGRPIKPTQKVQEMQWTLVGGRKNRGCGGRGGQRDHRPPF >A04g508510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:22453070:22453456:1 gene:A04g508510.1_BraROA transcript:A04g508510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADQNIHVTGRSKPKKEQYSNGGGRHQSSSAETAEGAGLRRCTHCASDKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEVMRQPHQVQLHHHHHPSF >A01p026810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19301373:19303997:1 gene:A01p026810.1_BraROA transcript:A01p026810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFLYSLLFLLLRFSAAYPLPATDYFNSFLPSDAVALLSFKSTADLDDKLLYSLTEPYDYCQWRGVECSQDRVVRLVLNGVGLRGKFSPETLTRLDQLRVLSLEDNSLSGSIPDLSPLVNLRTLTLSRNGFSGALPPSLLSLRRLIVLDLSYNNFSGEIPSDINALTRLSSLNLEFNRFNGELPPLNQSYMTSFNVSGNKLTGKIPVTATLLRFNVSSFWPNAGLCGEIINRSCGSRSPPFFDSSKPNATSSSSSASQPPQTAQNGEATALPTIPGSSVSKKSKSGWLVLGFTIGLASLVVLGLCLVVYSLLIKNRRDDEDNDATMFQPSQKGDTTQPPTESLTARAVPASSIKPLKREEEVKIQIQAPPQKRVPRSGDLIFCGDVDEGGEGMYTLDQLMRASAELLGRGSVGTTYKAVMVNQMIVTVKRFAPSKTAVTSDLEFENHMEKVGKLRHPNLVPTKAYFQSNGERLVIYDYQPNGSLFNLIHGSRTSKTKPLHWTSCLKIAEDVAQALHYIHQASAKFHGNLKSTNILLGHDFEACLTDYCLSVLIDSSVPPSDPDIASYKAPEIRKSANTPTSKCDVYSFGVFLLELLTGKPASRQPFMAENDMLDWVRAMRQEDKKSKEENGLEMMTQTACLCRVKSPDKRPTMREVIKMIQDIKESVVMTEENDKFQYS >A02p052980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32291784:32292654:1 gene:A02p052980.1_BraROA transcript:A02p052980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLILFVEGAITFLLLIKIGPLRELVIKSLDQMKMGKGPATVKTIAGTMAVILLSNLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGVVLFLGFVIDRMHHYMRKLISLRGNVGSSREELEQLQKERTELKEKEEKASKEVKQLQEKLSSMSERLEKSETECKEKEKKLEAAETHVAALQKQSAELLLEYDSLLEDNQKLQSQILGKTKS >A02p035670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20412912:20415469:-1 gene:A02p035670.1_BraROA transcript:A02p035670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHPIEKENNKKEHQSIIKKSWTLMATPNQEAIDTFISITGASVSVAVQKLQENSGDLSQAVNAYYSEGCQNSVPVNIPLDNAMEIDDVIPAPLVIPIEVRDSTGPSDDAPSPIINDDDDVPTRTSSQVIPAPNNIQDYNDIEEEMIQAAIEASKKVLSNHLPIERPPSSSHMGDGDDIAKAVTMSLKSGEEEVLRNQGGFNASTSETGASETAAAQGPERSTQALNGRLAAPSSPFEDHSDDEEPLVRHRPIRVASGSLAQPDADRSRSTSPEGDNQADNGNRNRFPSEWGGISSEEHDEAVMLEAAMFGGIPETGYNHLPFLLPQQRAPPRPPSPSLTAQRLIREQQDDEYLASLQADRDRELQSVRDAEARQLEEETARQAFLEEAQRKHEEEQELERQLDAKEASLPKEPHADEENAITLLVRMPDGTRHGRRFLRSDKLQSLFDFIDIARVVKPKTYRLVRPYPRHAFGDGESESTLNHLGLSSKQEALFLELI >A01p006540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3296298:3301907:1 gene:A01p006540.1_BraROA transcript:A01p006540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILPLLLKVLVIQFLCSVYACTASHPPASQPSLSPVYTSMASFSPGIQMGSRGQEHNKLLIALIISSSSLGLIVFCCLCFWAVYRSKQFPKPTKNSESGISLPKKGFVQSFDYKTLEKATGGFKDSNLIGRGGFGFVYKACLDHTLAAVKKIENVSQEAKREFQNEVDLLSKIHHPNIISLLGHTSEISSSFIVYELMEKGSLDAQLHGPSRGSALTWHMRMKIALDTARGVEYLHERCRPPVIHRDIKSSNILLDSSFNAKISDFGLAVTNGMHGKNNIKLSGTLGYVAPEYLLDGKLTDKSDVYSFGVVLLELLLGRRPVEKLSSVQCQSLVTWAMPQLTDRSKLPKIVDPVIKDTMDHKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRLPIDSMASSMLSLGSTSLLPRVINKDKLKLGTSGSNPFLKAKSFSRVTMSVTVEPSRFEGITMAPPDPILGVSEAFKADTNELKLNLGVGAYRTEELQPYVLNVVKKAENLMLERGDNKEYLPIEGLAAFNKATAELLFGAGHPVIKEQKVATIQGLSGTGSLRLAAALIERYFPGAKVLISAPTWGNHKNIFNDAKVPWSEYRYYDPKTIGLDFEGMIADIKEAPEGSFILLHGCAHNPTGIDPTPEQWVKIADVIQEKNHIPFFDVAYQGFASGSLDEDAASVRLFAERGMEFFVAQSYSKNLGLYAERIGAINVVCSSADAATRVKSQLKRIARPMYSNPPVHGARIVANVVGDAAMFNEWKAEMEMMAGRIKTVRQQLYDSLVSKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSMAKCEYLADAIIDSYHNALLYWETPIEL >A03p019820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8123768:8125249:1 gene:A03p019820.1_BraROA transcript:A03p019820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTTKNSTYSPEEIHMHPVKKRRAASGDLRNAAKVLQNQEEEDHLREEEEEIPRDDGNEDDDEEADAKYCRRGFGHGYHLVKGQMGHGMEDYIVADTKTVKGHKLGLYAIFDGHSGRNPDFWKKPKKAIKRAYKLTDDYILSSVVGSRGGSTAVTAIVVDGKKLVVANVGDSRAILCRRGDVVKQLTVDHEPEKERDLVERKGGFISTKPGNVPRVDGQLAMTRAFGDGRLKEHISVRPDIEIVQIHGDTMFLILASDGLWKVMSNEEAWDEIKEVRNSEKAAKALVDEALARGSKDDISCVVVRFN >A01p053020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30310293:30311637:-1 gene:A01p053020.1_BraROA transcript:A01p053020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTETVGLTRRGMLQSSSCNRLVNCSESFKRDSVISNGGSAKVRGSLERKKSKSFKEGESYPSCLITEAPGSIAAVRREEVAAQQALRKLKIAHYGRSKSTLSNNSSKVVPLINPQPHSQRCSFLTPTSDPVYVAYHDEEWGVPVHDDKTLFELLTLSGAQVGSDWTSTLRKRHHFRKAFMEFEAEAVAKFSEKEINAISIEYKVDISKVRGVVENAIKILEVKKTFGSLERYLWGFVNHKPISTNYKLGHKIPVKTSKSESISKDMVRRGFRFVGPTVVHSFMQAAGLTNDHLLTCYRHTPCTLLITNP >A10p035420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20343164:20343492:1 gene:A10p035420.1_BraROA transcript:A10p035420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKVFSFALFLVLVFAIDVEGYGLEAGASLCCNTHPKFGKCNPSHDKERCNRWCLSGCANEKGGYCKPSNGGQCHCYC >A09g511730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34828669:34829987:1 gene:A09g511730.1_BraROA transcript:A09g511730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTRRSSRLMKLKNVESTPMNPLDFSSGSSSGKRSRRRVSAGDTAPLPPNIALEVESLSDGESSDDHSAEAPMAEDTPPNRSKEQRFEESRSVYQTKAQFYPELMRPTRRPMTERFFSIEATERFRELRGRNFIPQQSISLTDENLSDVRNIVIGAGLIHTLTDLDPYQPNVIREFLANLPEAEERDDGVAVYVRGSLIDFSPSLINSMYCIPGFEEDPNWMDERLDEVCGFLTDGRIRRGENMSSKYLTATNQVLYKLVCSNWIPTRNYTSMNQRRLRFVYMLHHHDGFDFGKLVYDQIIAMAANTQTEKTRCIMFPNLIQQVIHFQRTITPDLLHDEFTGTPKLVVKDVKAGRGSGADSSAASLEDDINRTIAGLKAIRVRLRSKGDAPWGDYEQHVPHPGFEENDEQDEDEEDA >A09g518930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57909081:57914139:1 gene:A09g518930.1_BraROA transcript:A09g518930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRCFKYERAALMLTHKPSQHTLSLAPKLSLRCLCSTPSFTMSSSRGNGGGLESDDQSNEKVNSPVSLSVPCEDVRTEENMVDLDNPKLHEEIARRNQIYLADVHEENQQLYEEVLKQTKKTNTALAKQSELYEKLILGTSKSIGADCIPANSPDTNVKPGGKRLLGQEETSEAEHLEPSLRWFNSGDALVKMGIYSKTVAVSLIVSFLLFLSASAERNDGTFRVGLKKLKLDPKSRIAARVGSKQLKPLRGKSAAIHYGTGAIAGFFSNDAVTVGDLVVKDQEFIEATKEPGITFVLAKFDGILGLGFQEISVGNAAPVWYNMLKQGLIKEPVFSFWLNRNADDEEGGELVFGGVDPNHYKGEHTYVPVTQKGYWQTVITMINHAIGAAGVVSQQCKTVVDQYGQTILDLLLSETQPKKICSQIGLCTFDGKRGVSMGIESVVDQENVKSSNGVGDAACSACEMAVVWIQSQLRQNMTQERILDYINDLCERLPSPMGESAVDCAQLSTMPTVSLTIGGKVFDLAPEEYVLKVGEGPAAQCISGFIALDVAPPRGPLWILGDVFMGKYHTVFDFGKEQVGFAEAV >A05p027600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000238.1:285:536:-1 gene:A05p027600.1_BraROA transcript:A05p027600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEAWGALSFKASDGSRDSAIPHSIAFARSFIPDASAEISVGRESFRLYIAALFPPSTFPTLPATNTVPGGESSCSSCHFP >A07p025420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14653000:14654045:-1 gene:A07p025420.1_BraROA transcript:A07p025420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVSGGDDGEGSSNHQSRKRQRLPSIDEDEETAGSSGEEDEEDDRQIESMSDDSEREVSIEEARRIAADPVTSKESSLSVTLLDPDVLDCPICCEPLKAPIFQCDNGHLACSVCCTKVRNICPSCTLPVGYIRCRAMEKVIETSRVSCTNAKYGCKERMLYVNRFSHEKLCVFAPCSCPVLDCNYTGYYKDLNSHVRAKHKDEVVPFVWDVSVTIRLDKTTILQEEKDGEVMVVQVFRGLHVVYVVVTCIAPSAREVGCFSYYLVTVPLAVDGSLVQRSMMKNVQKLSNEQPEDGFMVIPSYMLSGSSRILLGRGRAYGHA >A06g503300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10231064:10232094:-1 gene:A06g503300.1_BraROA transcript:A06g503300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLSLSLSLSLSLSLSLSLSLSLSLSSSIRGKLKVSLDLVPLENELEIFLQLKHGLSAYPNDPRQSANSLVTLLDKAEDSVPSIAGLRALDHEASENILQTVRELLKDRSRLKTEANAVSVLDGTQGGSYQWEKGIETFQKSLPDGKVKQVFFFDPDGNLISLFAS >A08g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:563131:563907:1 gene:A08g500250.1_BraROA transcript:A08g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFILRVLPSEETSTSDEIPLDLCFSVDRRSGTFVIGNEEFPASLLDHPAVVESFKTYDDSALLKKLLILAREPGDPAPNTVEYTLWRPSFLFSSKPKPGTFTVWWRPWLPCTSKHKFQYVGVNIQICI >A01g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21602052:21603331:1 gene:A01g507600.1_BraROA transcript:A01g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIHSLNLSVAAPPLGPPPAPRLWENDQLSKYGDTKTARTVMLAEAKPSYSQTTHCAVPNGPLALLSVNQPVTTLTKPTAFPLLGAHGVRNLNPFPPVTVVSAANAPTGPVYRKVWYNHLILPYYHLVSLFMLGKIIYVFSTIVQSCRTRVVCASIRLSGPVCEKTHSRTLNRVLGRDKWNFILKLTLEKSWDYDQHFHANMILDSKRKDNSFKTGKNNDNRSYDY >A10p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8971240:8972269:1 gene:A10p004690.1_BraROA transcript:A10p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oil body-associated protein 1A [Source:Projected from Arabidopsis thaliana (AT1G05510) UniProtKB/Swiss-Prot;Acc:Q9ZVY7] MFFFTIRLNNSRDREGSRESICAMEKAVHLSTKAGPEVPGEPTKMGTSMVDSAAAAVQSFTPINQIHQHLCAFHFYAYDMTRQVEAHHFCGHINEDMRQCLIYDGPDANARLIGLEYIVSEKLFMTLPDDEKKLWHTHEWEVKGGFLFMPGVPEPIQRQDLEIVAKTYGKVYHFWQVDLGHELPIGLPNIMMAVTRDGQLYPEMVKETEKKFGISIDKERESRAYMTGPDHGIHPLANGGGKGLKLEMREVDIKPVESVPRVFV >A05g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23023135:23024554:-1 gene:A05g507900.1_BraROA transcript:A05g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTRTSVCVRQHTQDVRGRPSAHTGRPAVHQYTYQHAPWTVRVILAHVGCLFSTHRTSVSTRRTSVAVRVCPCVRQHTQDVRQHTQDVRQHTQDVCGCPCVSVCPSVHTGRPSAHTGRPSAHAGRPSAHAGRPWLSVCVRVSISAHMTSVSTHRTSVSTRRTSVSTRRTSVAVRVCPCVRQHTQDVRQYTQDVRQHTKDVRGRPSVHRGRPWPSVSTHKTSVSTQRTSLAVRQHTQGVRQHTQDVRVCPCVRQYTQDVHQHTQDVRQYTQDVRQHTQDVRGRPSVHISACWPFLWTVRHADHTYQHAGPSRGLIRVLIRVLIRVLNSYQHADHTYQHAGPSRGLSVY >A09p049210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43597195:43597742:-1 gene:A09p049210.1_BraROA transcript:A09p049210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYQHIQLMNQCLDNNNPEAHYIEGLNQYFFQHKSIKGLNHLRLRQSAYRNNDSSTFLYVVKLNLEVLGSSAAGSYHGKIINSSPINARA >A08p006100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3484523:3486439:-1 gene:A08p006100.1_BraROA transcript:A08p006100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGWCGNDDGFRNGDDTRPMPSHIPAGDSGSHYLRSDPPMNQPVVQMEPIAVPAIPADELSDVTDNYGSKALVGEGSYGRVFHGVLKSGITSAIKKLDSSKQPDQEFLSQISMVSRLRHENVIALVGYCVDGPLRVLAYEYAPNGSLHDVLHGRKGVKGALRGPVMTWHQRVKIAVGAARGLEYLHEKVNPQVIHRDIKSSNVLLFDDDVAKIGDFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGTLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKLCVDARLLGEYPSKAVAKLAAVAALCVQYEANFRPNMSIVVKALQPLLNPPRSTPHRNPY >A03p013320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5233536:5234981:1 gene:A03p013320.1_BraROA transcript:A03p013320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSPRSDGRKFIIPSFFFIVALCVLAFINEVRFDSLLSFGRCALSNVPRNSSSLEAPLLSSSDEDEIRILIGILTLPDQYQRRHFLRMIYGTQDVPAGVKIDVKFVFCNLTKEDQKVLVALEIMRYDDIIILNCNENMNKGKTYTYFSSLPDLFNETDAPNPPYHYVMKADDDTYIRQESLVASLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIAVWLKDSEIPKKHLEGPEDKVFGDWIREGRRGKNRFNAKWSMYNFPEPPTRCTHELWPDTIAVHLLKNQEKWIRTLNYFNVTSNLKPSKLYHIP >A06g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6746356:6747589:1 gene:A06g501800.1_BraROA transcript:A06g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDMVEIAPPAFAWCSKTLEIHKGGCDTLSEGVYVKYKSNLGFDDQPAAQAITSQTMGCNLQTEVWKLILLRLDPVCNLFSSWLQLLSWLRQGSAAAPKTMRTVVAQAAIFSSMASTQQMQQRSSQPRVNKGHKFV >A09g500770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2985127:2985856:1 gene:A09g500770.1_BraROA transcript:A09g500770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRMDGVQKILHPKKKNKKKKKQRATTFKTSPSQVPSLSLWLSRFVLFTENLGSFNLLRSNPPFELQAFRML >A09p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11912930:11915107:1 gene:A09p021950.1_BraROA transcript:A09p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFRDKMGSGLKSQLSRDSHIFGLKVWEVIGIAVALLIIAILSVLSFCLTSKKKSRRSKTGLPVIQIPQVSKEIKEVRVEHVSANNFAPREGILLTIQDRNNKDSDKVMVHLDMGKKRKNGGSSCSRSGSFHHLEITDKQSESGEELSLNQPSSSSSLYNIATPSPLAGLPESHLGWGHWFTLRDLEIATNRFSKENVIGEGGYGVVYRGDLINGTPVAVKKILNQLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLIGTSKALAYLHEAIEPKVVHRDIKSSNILINDEFNAKVSDFGLAKLLGAGKSHVTTRVNLVEWLKMMVGTRRSEEVVDPNIEVRPPTRSLKRALLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREERRRPRTREGSMDSDIDMSTPVSRSQSKRR >A01p002090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:962701:964612:1 gene:A01p002090.1_BraROA transcript:A01p002090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFNLFSTPQQPQQQTTTPSPFQTQSFQPQTNSFFSQPPQQQQQTPSFQPQQFQQQQQQQQQVQQLYLFTNDKAPASYGTKWADLHPDSQKLLLQIEGKVLEYRSESQRLDQCSRLYDSSVSTEGFEFDASRIVQELGGINTTMDRQKAVLHELMVVVKDMLRDSEVAVRSFIMLQPRFPQSKPAGGGGVVGGGGSQSSQGANQAPASSGHQQQGVASVVQVSDFYRGVPRKPTVFLQQTVARFEKYLGECRQWVEELEQLLALDSDKFSRHVSLLESLPKVISNVHDFFVHVAAKVENIHQYIESMRTAYLADQRRRGECHDPFLEADRRETAKQEAAAKRVHPTLHLPANTATSTQTSTQVAGLITSSNAPPTSSAFPTPNPSSGGGLFLSTPASAAPATSLFATPSSAAPSSSLFGAATTPSQTPLFGSSPAPAFGSAPSLFGQPTPSIAATPSQFAGITPGSGASFGSMTKSSRPKSRTSRR >A05p035360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20049851:20051574:-1 gene:A05p035360.1_BraROA transcript:A05p035360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQSSAQLVRPNLVGFTLSPPGKASIASSSLPRFLRMESQSQPLQSISCASSPSNNLSQATPSSSLIESARIGEVKRVTKETNVSVKINLDGTGVADSSTGIPFLDHMLDQLASHGLFDVHVRATGDVHIDDHHSNEDIALAIGTALLKALGERKGINRFGDFTAPLDEALIHVSLDLSGRPYLGYNLEIPTQRVGNYDTQLVEHFFQSLVNTSGMTLHIRQLAGENSHHIIEATFKAFARALRQATENDPRRGGTIPRFDLSSTTFCYTHLDRINIIDYFKRSIVTVLRAEQSEGKLPDSLFIIEFIMS >A04p022050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13300075:13300772:-1 gene:A04p022050.1_BraROA transcript:A04p022050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDVLFLSVLLILATVFSISSADDEPDCVYTFYLRTGSIWKAGTESIISARIYDKYGDYIGIRNLEAWGGLMGPGYNYFERGNLDIFSGRAPCLPSPVCSLNLTSDGSGDHHGWYVNYVEVTTAGVHATCSQQSFEVEQWLATDTSPYELTAVRNNCPVSLRKSVGRVGSEIRKTLSWIV >A08p028520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17823865:17824265:-1 gene:A08p028520.1_BraROA transcript:A08p028520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGWTSVYELVDRHRCLKPTSLCTFPTVFSRVLIFHLVMEHKFVNMFVDSDANLSSYFTNAGSLIPPLTQLQPSLNTPLPPSYIFYPLTEMFCNNI >A03p063940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27809759:27811369:-1 gene:A03p063940.1_BraROA transcript:A03p063940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSTTKSQLTTRKSPVTMYFIDISPGRSDVEERVESIICKHTGEIDHFRQGKKSQNPRVFHLFFLFSFVFRAEKLQIGIIGRQNVGSSKVAGTTRDVVEANFRLCGVHVTLLDIGGFRETNDVVEKIEIVQAIFHESCSDGQCAPRIQRTAYMKPMHLGLKEQCVRELEAVQSLSFSFQIVQK >A09p049970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44062455:44063783:1 gene:A09p049970.1_BraROA transcript:A09p049970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNMTSPLWDWDHFLMSNPSKPENDKRQPSSEWGIEKGEGIESIFPSFERVSSGGSTTGFSTNSSSPIFRHANLPSETSPGDSFSNIDFVQVKTSTAESDLCLKLGKQTYSEDFWGRSNNEVSAVSVKLLAPSVVARKKSKPYGQSSMQVPRCQVDGCELDLSSAKDYHRKHRVCETHSKCPKVTVGGLERRFCQQCSRLHAVSEFDEKKRSCRKRLSHHNARRRKPQGVFPLNPERNCNGRQHPNMLWNGLSLNTVPDEKYVWSPSYDTNPTQMESGFTLSFQRGRGPEEHLYAGSSRSFSTFQTSGGFSAGRSNSQLPGKGVGEYSGGLHESQDFLHFPLDTTVSN >A04p010290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7254351:7255590:-1 gene:A04p010290.1_BraROA transcript:A04p010290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL32 [Source:Projected from Arabidopsis thaliana (AT4G14010) UniProtKB/TrEMBL;Acc:A0A178UXD6] MLASMVLRTDAAWRPGSLSAELEWTITRQKKVLQFAILEQHVFSPLMGEDLALRSTLLKCKDLGIRNLKCEADSSFLINSIKNGNTLLELCGVVADILCTANYFEFISFGWISRKDNSAIDMLAKHGSLSSLFKEMETQPLKIFLTITIIFLYLLGHVMSKESSSPSRSLCNGSVRECSSLEETEERTVIMESWSSQRLTEEQTQTLSYKALRRNQPACDGGNRGESYSNRCLPPPSNPYSRGCSKHYRCRGDS >A01p020050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9862862:9863653:1 gene:A01p020050.1_BraROA transcript:A01p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKCDLCEGVARMYCESDQASLCWNCDAKVHGANFLVAKHTRCLLCSACQSPTPWKATGLRLGPTFSVCDSCVALKSAAGGGAGVGTDQSQEVNESQLRRRDDDDGDSAESYDDGEDEDEDEEYSDEDEEEDDADDEEAENQVVPWSAAAAAQLPPVMSSSSSDGGGGDLAAKRTRDYSDEEIGCSSAQESNFAHPLKRPSRDELAFKSTAEISSLVRLEGERVLERRR >A08p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24180199:24185690:1 gene:A08p043460.1_BraROA transcript:A08p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIPSLVSLILIGLVAIASAAVIFEERFDDGWENRWVKSEWKKDDQAAGEWNHTSGNWSGDANDKGIQTSEDYRFYAISAKFPEFSNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNDANHLIKKDVPCETDQLTHVYTFILRPDATYSILIDNVEKQTGSLYSDWDLLPPKKIKDPSAKKPEDWDEQEHIPDPEDKKPDGYDDIPKEIPDTDAKKPEDWDEEEDGEWTAPTVPNPEYMGEWKPKQIKNPNYKGKWEAPEIDNPDFKDDSELYVFPKLKYVGIELWQVKSGSLFDNVLICDDPDYAKKLADETWGKLKDAEKAAFDEIEKKKEEEESKDAPAETDAEDEAEEDEGDESDTEAKTEAKSEVSEETSDKDATAHTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFVESKRELDALLSDEALSSVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKSNVRLEESGVRPLEVFMCSIVRKMGYGEGFKWLSYYIK >A10p021350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14366843:14368047:1 gene:A10p021350.1_BraROA transcript:A10p021350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGANLKAETMALMDKRAAMETEMNSIVERLCNPSGPGLSGNLVDSEGFPREDIDIPAVRAQRRRLAELRNEHSEITDKINVNIQILHSVRPTSRASSAKDSGPQERSLSGEVASLSQTSGFTVTSRAMDTSIPFAMVDEITESSPAAEDGLQLGDQVVKFGNVEGGDNLLPRLAAEAQANQGQAVSVGVIRQGAKLDLSVTPRVWQGRGAISVWYDPWIVIWRPGYAESFCPAEFVVDE >A03p022920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9659203:9661526:-1 gene:A03p022920.1_BraROA transcript:A03p022920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTVGAINRAPLSLNGSGAGAASVPATTFLGKKVVTASRFTQSNNKKSNGSFKVVAVKEDKQTDGDRWRGLAYDTSDDQQDITRGKGMVDSVFQAPMGTGTHNAVLSSYEYISQGLKQYNLDNMMDGLYIAPAFMDKLVVHITKNFLTLPNIKVPLILGIWGGKGQGKSFQCELVMAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADMIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVKDEDIVTLVDQFPGQSIDFFGALRARVYDDEVRKFVEGLGVEKIGKRLVNSREGPPVFEQPAMTLEKLMEYGNMLVMEQENVKRVQLADQYLNEAALGDANADAIGRGTFYGKAAQQVNLPVPEGCTDPQADNFDPTARSDDGTCVYNF >A03p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5191520:5193571:1 gene:A03p013250.1_BraROA transcript:A03p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGTKKIGKYEIGRTIGEGNFAKVKLGYDTTNGTYVAVKIIDKALVIQKGLQSQVQREIRTMKLLNHPNIVQIHEVIGTKTKICIVMEYVAGGQLSDKLCRHKMKESDARKLFQQLIDAVDYCHNRGVYHRDLKPQNLLLDSKGNLKVSDFGLSAVPKSGDMLSTACGSPCYIAPELIMNKGYSGAAVDVWSCGVILFELLAGYPPFDDHTLVVLYKKILRADYTFPPAFTGAQKKLIFNILDPNPQRENVAEINAETASSNFINAFQIIAMSSDLDLSGLLEEQDDKIYKTKIGSKNTAQETIKKIEAAATYASLSVERIKHFKVKIQPKEIRSRSSFDLLSAEVIEVTPTNCLIEISKSAGELRLYMEFCQSLSSLLTAEVS >A03p046440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:19604576:19604896:1 gene:A03p046440.1_BraROA transcript:A03p046440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYHAIKHLDSALLIYHTVQYARNVFPTNMNYDLQITVTITDNNPYATARLDVDLIITNLEGTNRPPTVGEESDICTICFGNYNYGNNICYFLCRQNFHFLCIDQ >A01p042980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25104833:25107252:1 gene:A01p042980.1_BraROA transcript:A01p042980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor 2 [Source:Projected from Arabidopsis thaliana (AT3G23150) UniProtKB/Swiss-Prot;Acc:Q0WPQ2] MMAREVASGLLILFSILIFFSPAAAGNGGGCNCEDEGVSFWSTENILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGMTHLLHGWTYGPHPFKLMVALTVFKMLTALVSCATAITLITLIPLLLKVKVREFMLKKKAHELGREVGLIMIQKETGVHVRMLTQEIRKSLDRHTILYTTLVELSKTLALQNCAVWMENEGKSEMNLTHELRGSSGRSGYGYSVSMHDVDVVRVRESNDVNILSVDSLIARASGGDVSEIGPVAAIRMPMLRVSDFKGGTPELIQTCYAILVCVLPSGQPRDWSYQEIEIVKVVADQVAVALSHAAVLEESQMMRDKLADQNRALQIAKRDAMRASQARNVLQKAMSEGMRRPMHSILGLLSMIQDEKLSNEQKMIVDTMVKTGNVMSNLVGDAMDVSDGRFVTEMKPFSLHRTVREAACLARCLCLYNGFRFTVDAEKSLPDNVVGDERRVFQVILHMVGSLVKPRKCREGSLSSSVIFKVFKERGSLDRSDQRWAAWRSPTCSADGDVYIRFEMSVENDGSGSQSFASVSSRDQEVGEVRLSGYGLGQDLSFGVCKKVVELIKGNISVVPGSDGSPETMSLLLRFRRRPSISVHGIGEAPALDHHLHPHSDSLLRGLQVLLVDTNDSNRAVTRKLLEKLGCIVTAVSSGYDCLTAIAPSSSSSSPTFQVVVLDLQMAEMDGYEVAMRIRSRSWPLIVAMTVSLDEEMWDKCMQIGINGVVRKPVMLRAMESELRRVLLQADQLL >A06p034480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18657421:18661706:-1 gene:A06p034480.1_BraROA transcript:A06p034480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 32 [Source:Projected from Arabidopsis thaliana (AT3G49060) UniProtKB/Swiss-Prot;Acc:Q94A51] MGSIGDEVALEVDETIFVAVAEDVERSKTTVLWAARNFSGKKICLLYVHRPARPASWTHKKLGGGTFRKHAVKVIERVDKHKVDELMDSYLHLLSETQVQTDKLCIAGQNIEEGIVDLIARHNIKWLVMGAASDKHYSWRMTDLKSKKAIFVCKKAPDSCHIWFLCKGYLIFTRATNDDGNNRQTMPPLVQLDSDTETRRSEKLESSYMRRRLRYWRSLLEQDGEKDTGLLERGKVEPRPTTHLSSGSSYSFGEQVGPEPASPEVVGSDTRTPSNVEEKKREGNVAREVHRYDKAMHDIGQSERTVYGEARKEWKEDNSTMEALCKAKALEGLCIKEQSRRRKLEELLEKEKGEVKTVIEQNSGFMKKLQIVQSDNLKLESQIKKLQDLEKEHGDKFDTAMELLKSFRQRRDEIRIDHENAIKEVNALKRLVKGKSVESSGSEMLDYSFMEINEATNEFDPSWKLGEGKYGSVYKGNLQVTVKMLPSYGSQNHFEFERQMEILSRVRHPNLVTIVGTCPESRSLIYQYIPNGSLEDCFSSANNVPALPWESRIRIASEICSALLFLHSNVPCIIHGNLKPSKILLDSNLVTKITDYGISQLIPIDGVDKSDPHVDPHYFVSEEMTLESDIYSFGMILLQLLTRRPLSGVLRDVKCAVENDNISAVLDSSAGDWPVARGKKLANIAIRCCKKNPLNRPDLPIVLRVIDRMKAPELPSSETSSYTNQKVPRKPPSHYLCPIFQEVMKDPLIAADGFTYEAEAIREWLANGHDTSPMTNLKMEDCNLIPNHALHLAIQDWQNQW >SC161g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000038.1:262515:264167:1 gene:SC161g500050.1_BraROA transcript:SC161g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSNGDDEDLDKPTDGDVLALPKGPMTRSRSRKLTQVIGGLVPMGKESEEEAELVRKNKMLRDQMTEQMNQTMISTMADMLKASMKELREEMRQELRQATGQGHSNESRRNRPTPVRQEHAGSQETDNYYSRNRTEHNPTE >A08p010660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8709768:8710549:-1 gene:A08p010660.1_BraROA transcript:A08p010660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKCSASSVPTAADRARLKKRMDSPVSRSDSSSEPCEGSDCNLMAPLPLSFVYTAPPLVGPASSVGEDELAEWRSRYSLPSSIILWSPTPEERASSYIPGGIAVYEAFFDSGLRGFFKDNSTPGGHLSNLQSSERRNKLCKRSSIQKSLFQRKSRKATRR >A09p082200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59582404:59583137:1 gene:A09p082200.1_BraROA transcript:A09p082200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFVNLKATELRLGLPGTNNVCEERVSCNSNNNKRALQADAENETETSPPRKTQIVGWPPVRSYRKNNVQTKKNESDGESQGIYVKVSMDGAPYLRKIDLSCYKGYSDLLKALELMFEFSVGEYSERDGYKGSDFVPTYEDKDGDWMLIGDVPWEMFECNCKRLRIMKGSEAKCLGSGV >A02p009320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3929246:3934914:1 gene:A02p009320.1_BraROA transcript:A02p009320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSLAALERPRGAAAASNTVYKSGPLFISSKGLGWTSWKKRWFILTRSSLVFFKNDPGTLPQKGGEVNITLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETYDDLCEWKTSLEQALAHAPNATLIMGHNGIFRPETNEATEGRDKRPLKSLVVGRPILLALEDIDGSPSFLEKALQFIENYGTKIEGILRQSADVEEVERRVQEYEQGKTEFSFDEDPHVIGDCIKHVLRELPSSPVSASCCTALLEAYRIESKEARVSSLRSAMAETFPEPNRRLLQRILKMMHTISSHSNENRMSPSAVAACMAPLLLRPLLAGECDLEDEFDDSGEDNSAQLLAAANAANNAQAIITTLLEDYGSIFDVRQDSSQEENIQRCSISTESHIGNSGPDDSSDDDSNMKNEYRNEENEVEPVTDDDDNERALSGKMSESSAGTCSDLYEYKGFVADDSDIESPRETNGPIRNSNARTDHLVRNHFVNSTDQQAGEQLRDDPTNSRLVNVTESYQSGKVLNELTHGSTFASPGLESSSEKSVNKSTPSSVHTKRSTFWGRGSARKLSTDGSFDSSGEDELAIQRLETAKNELRQRIAKEARGNAILQASLERRKQALHERRFSLEQDVSRLQEQLQAERDLRAALEVGLSMSSGHFSSHGVDSKTRAELEEIALAEADVARLKQKVAELHQQLNQQRQTNLGSFSDGRDNHQYLQKRFLQQDFDSTLAFVNHERKQRHEENVLGADWRNSKGAGSFGVGNSRQPSRKQTSESKSSEDYGKMSINNFSGVDSPSPSVPSTSRALDITEYPRVNHPSAAASAALVELTTRLDFFKERRSQLMEQLQNLDLNYGTSSSSQDFIHRPSSPPWN >A10p007530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11378769:11380804:-1 gene:A10p007530.1_BraROA transcript:A10p007530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKGEHHSVPLSVLLKRESANEKIDNPELVHGQFNQSKKGEDFTFVKTECQRVTGDGVTTFSVFGLFDGHNGSAAAIYTKENLLNNVLAALPSDLNRDEWVAALPRALVAGFVKTDKDFQERARTSGTTVTFVIVEGWVVSVASVGDSRCILEPAEGGVYHLSADHRLEINEEERDRVTASGGEVGRLNTGGGTEIGPLRCWPGGLCLSRSIGDLDVGEYIVPVPYVKQVKLSSAGGRLVISSDGVWDAISAEESLDCCRGLPPEASAEHIVKAAVGKKGIRDDTTCIVVDILPSEKPAASVPPPKKQGKGIIKSMFKRKSSGSSSNIEKEYLEPDEVEELFEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEVKPGEGVSIHAGTANCPKLRPWDGPFLCASCQEKKDAMEGKRSSGDRHSSESD >A03p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2502047:2511627:1 gene:A03p006010.1_BraROA transcript:A03p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRVCPSSLFNLRPLHLSTSSTEFPFCPSIRRELRLVPSRSSSSSFRRMESSPASEMDSLGEDTQNQTDEGDKIKRKLEEFNWDHSFVNELPGDPRSDVISRKVFHACYSKVSPSVQVDDPQLVSWSDSVAELLDLHPKEFERLDFPLLLSGAKPLPGAMPYAQCYGGHQFGRWAGQLGDGRAITLGEVLNSKGERWELQLKGAGRTPYSRFSDGLAVLRSSIREFLCSEAMHCLGIPTTRALCLLTTGQGVIRDIGNAKEEPGAIVCRVSQSFLRFGSYQIHASRGEEDLEIVGKLADYAIRHHFPRIEKSMNRSDGLSFKTGDDDDDSVVDLTSNKYAAWVVEVAERTATLFARWQGVGFTHGVLNTDNMSILGQTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFAEALAAAQLINEKEANYAMERYGDKFMDEYEAIMTKKLGLSKYNKEMISELLNNMAVDKVDYTNIFRLLSNVKADPNTPEDELLKPLKTVLLDIGKERKEEWIKWVRGYIHEVSGGDTPSDEERKARMDSVNPKYILRNYLCQSAIDAAEQGDFSEVKNLLRLMKRPYEEQPGMEKYARLPPAWAYRPGVCMLSCSS >A07p036610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19643555:19647256:1 gene:A07p036610.1_BraROA transcript:A07p036610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPTTLQRSDSIADKMPDALKQSRYHMKRCFASFVKGGKKLMKRENLMNEIEKCIEDSNDRKKIMEGLFGYILTCTQEAAVVPPFVALAARPDPGFWEYVKVNAGDLSVDEITATDYLKLKESVFDESWAKDENALELDFGAIDFTTPRLNLSSSIGNGADYISKFISSKLGGNTDKLEPLLNYLLRLNHHGENLMINEGINTVAKLKKSLMLAVNVVSTYPKHTPYETFSPRLKEMGFEKGWGNTSERVRETMVMLSEVLEAPDSVKLDLLFSRLPTVFNVVIFSVHGYFGQQDVLGLPDTGGQVVYILDQVRALEEELLIRINQQGLGFKPQILVVTRLIPEARGTKCDQELEAIEGTKHSHILRVPFVTDKGTLRQWVSRFDIYPYLERFTQDATSKILQRFECKPDLIIGNYTDGNLVASLMATKLGVTQGTIAHALEKTKYEDSDAKWKELDPKYHFSCQFTADLIAMNVTDFIITSTYQEIAGSKERPGQYESHTAFTMPGLCRVVSGIDVFDPKFNIAAPGADQSVYFPYTETPKRLTKFHPSIQELLYNEKDNNEHMGYLAEREKPIIFSMARLDTVKNITGLVEWYGKDKRLREMANLVVVAGFFDMSKSNDREEKAEIKKMHDLIEKYNLKGKFRWIAAQTDRYRNSELYRCIADTKGVFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPNNGDESVARIGDFFSKCSTDGLYWDTISKAGLKRIYECYTWKIYAEKLLKMGSIYGFWRQVNEDQKKAKQRYIDMLYNLQFKPLTKKVTIPEDKSLPMRLASLRNLLPKKPASLGGGSKQKEVTETKPKSKDGQERDDVKAGEGEVKEGLLAAEASERIKKVVETSEETQRLEKMKIAYGQQRNQGGSSVRNLFLSVVVCLYICYTLKQRFFGTYSVQED >A02p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2848400:2852236:-1 gene:A02p006700.1_BraROA transcript:A02p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATSAFSGVIGVGSESRKVSTFSHLQPSVAFPAKPNSFKSLKLKHTARLTRRLEHRPFVVRCEASSNGRLTQQEFTEMAWQSIVSSPDVAKENKQQIVETEHLMKALLEQKNGLARRIFSKIGVDNTKVLEATEKFIQRQPKVYGESAGSMLGRDLEGLFERARKYKKDLGDSYVSVEHLVLAFAQDKRFGKQLFKDFQISEKSLKTAIESIRGKQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLKEVTDSEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDELDRAVIKLEMERLSLTNDTDKASRERLSRIETELLSLKEKQAELTQQWEHERSVMSRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLNEAEKELDEYLSSGKSMFREEVLGSDIAEIVSKWTGIPVSKLQQSERDKLLHLEEELHKRVVGQNPAVTAVAEAIQRSRAGLSDPGRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQFILNNTDDDAKELAYETIKQRVMDAARSIFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLARVQKRIADRKMKIEVTDAAVDLLGSLGYDPNYGARPVKRVIQQNIENELAKGILRGDFKEEDGILIDTEVTAFSNGQLPQQKLIFKKIESETADAGKEEAFSK >A04p037770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21533515:21536077:-1 gene:A04p037770.1_BraROA transcript:A04p037770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) UniProtKB/TrEMBL;Acc:F4IM00] MKKTLTFVDKVPKVENFFLSLSLLSRNFPAMASMEIDSEKTHERKQSDYTCLQDERFEIQKEMYRGQQYSQIYFARLHLMRTLLYSLAPSWKPHLPVCKVLGLEEGKECIIVGTLFKHMKLKPCVLDEYSKERSVTPLVKPRNFMHPDDSLILEDESGRVKLGGSALSPAVYVTGVVVALHGKETSAGEFVVEDVLEAGLPPQLERPLDHLKEDKYVLLVSGLSIGSNSSNPLLFQLLVDHITGHVSDDEELGLAAQIVHVVIAGNSVEFPRKLLTGQNMASKDQSTLYEPIKELDIMLNQIAAGVSVDIMPGLNDPANFALPQQPLNRCLFPGSAPYNTFRSCTNPHSFDVDNIRFLGTSGQNIDDLDKYSEAESKLDFVERTLRWRHLAPTAPNTLGCYPFTDRDPFLIETCPHVYFVGNQDKYDSRLVKGSEGQLVRLICIPKFCETGVAVAVNLKNLECHTRSFNIQL >A02p017980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8205971:8206341:1 gene:A02p017980.1_BraROA transcript:A02p017980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITVKTLVAFVFTFFFIISCAHSHTTAAIIPDQMDVQCFDGTETCDRGGNRGCTIFCKGHDYFYGLCTTDACCCHIQNKNMGSR >A08p035040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19293152:19294373:-1 gene:A08p035040.1_BraROA transcript:A08p035040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRATCLTGGNPSVSLVDLLLSLFSSLETGGVRFEGLWRCRQWGHGLTVDTEAFERAVVEICSVTHWLACLRFLSPHLLVFLSSMVYSGDENSDVEKLKKLILHNPVVLTLQEGSDKEEPVPSNVQQFWISCSTQDKLLHILALLKLEVVQKKVLIFINTIDMGLDSLATHRY >A09p048790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43331889:43338956:-1 gene:A09p048790.1_BraROA transcript:A09p048790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGEEDQMRSVADSNHKNKKMKKVVDASNSKDSPSSKPKRQMKTPFQLQTLEQVYSEETYPSEATRAELSEKLDLSDRQLQMWFCHRRLKDKKDGQVKKTPKPAPVQPASAVPALSLPAAELDSGSDSGSGSGCSPREFASGSSNSRAEYETMGSAGYEQPPPRSSVMVRRAVACIEAQLGEPLRDDGPTLGMEFDPLPPGAFGTPIAMQTHQGHPYESKIYEPLDVRPRRSQAATSAFHDQQSLNDPSSFTPDMYGRYSESHARGVDYEISRPRSSSFMQGNGPLPKSYGNSSRNCSTSQQDMPSPILPSARGDSFLLERDTSGLRAEDPYLLSDGVRMSNDVHRKGKINDGRLGRGSGQKDLEKLELQRKRNEERMRKEMERYERERRKEEERLMRERIKEEERLQREQRREMERREKFLQRENERAEKNKQKEEIRREKEAIRRKIAVEKATARRIAKEAMDLIEDEQLELMDVAASSKGLSSVIQLDHDTLQNLDLYRDSLSTFPPKALQLKMPFTISPWKDSEENVGNLLMVWRFLISFSDVLDLWPFTLDEFIQALHEYDSRLLGEIHITLLRSIIRDIEDVARTPYSGIGNNQYTTANPEGGHPQIVEGAYAWGFDIRSWKKHLNPLTWPEILRQLGLSSGFGPRLKKSNSRVTHTGEKDEAKGCEDIISTLRSGSAAETAFASMLEKGLLAPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVIELADKIQKSGLRDLRTSKTPEASISVALTRDVKLFERIAPSTYCVRAPYVKDPADGEAILAEARKKIKAFENGLAGPEDVNDLEKDEDFECDIDEDPEVDDLAALPSASKNADLGEANGLSEKGGEMMFSDVKADVKSEVEKGVSSPPTSSMKTIVPQCHNEQGKDIAVSCVDNKNAVVEDIKQGRSWVLGLTEGDYCHLSVEERLEALVALVGIANEGNSIRASLEDRLEAANSLKKQMWAEAQLDNSCMRDVLKFDIQNSTREINSFNRDPSQLVDETKPPLEVVSNDVHKLSTERGSVNQEGNISQENFSSKRSRSQLKSYISHKAEEVYPYRSLPLGQDRRHNRYWHFAASASKSDPCSGLIFVELHDSKWRLIDSAEAFDTLVASLDMRGVRESHLRIMLQKIEGAFKENASKNINLARNPSLKEDSVSPSSAILGLSSDSMGASTSIRVELGRDDKEKENFLKRFHAFQRWMWTETYSSVPSCARKDGKKRCELLATCEVCIASYLSEYTHCTSCHQRLDMADGSERKIVDSGLTASPLPFGVRVLKALLVFLEASVPDEAFESFWTEEKRKNWGLRLNASSSPEELSQVLTYLESAIKKESLSSNFLSAKELLGAAGADDQGSVDVFPWIPKTVSAVALRLSDLDASIVYVKPEKPEPVPEDENEQISLFPGNSLLKGKGPKEQEDQDEVVPNSGKKSNKRRVIFGSGSNKKAKKKKVQGGNGRFVAGRSNVAASRNIMSVELNHQVPGRGKRTVRKRPERNDEDNYRLVSRMADIVKPTSEEDEEEEEEEEQTFRGIDEDWAGETPREMDEEWASETPNRVITPMQVDGESDDNSVGAQSGEDDDDDEGQFVSYSQRNKWGFDWNSNPNEAMEDDVEEEVVGVEQVQREYVAEMSESSEDDDVPAANNNAAANNYDRESEDYSSSDS >A08p037690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21957571:21959141:1 gene:A08p037690.1_BraROA transcript:A08p037690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHSIQGYLNSPFGDTTTFTKVFVGGLAWETQSHTLRRHFEPYGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACVDPTPVIDGRRANCNLASLGRPPRLPTQYAVTPFIPGRIRPASPYVGSVQGPRGSLFGSHPYQQPHAYSYQQGVMYPYGIIPEDMWPRSVCFGQARATTPYGPEYMYSQSQGLYGPYTGQQYLQVYGVPGAVNSPGYQYGQLSQNISVGHGYSVPGSHIQSPYPSAVAGPSPTQSNPQYMQSSGSDQTTG >A08p037210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21715143:21717766:-1 gene:A08p037210.1_BraROA transcript:A08p037210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGYALFSILALSLLASSVRSEETATETTKEFVLTLDHTNFTDTVNKHDFIVVEFYAPWCGHCKQLAPEYEKAASELSSHVPPVVLAKIDASEETNREFATQYEVQGFPTIKIFRNGGKAVQEYNGPREADGIVTYLKKQSGPASFEIKAAEDAAEFDKKVIVVGVFPKLSGSEFDSFLATAEKLRSDYDFAHTSDAKLLPRGESVTGPVVRLFKPFDELFVDSKDFDGEALEKYVKESSIPLITVFDKDPNNHPYVIKFFDSSNTKAMLFINFTGEGAESLKSKYREVATSYKGQGLSFLLGDAENSQGAFQYFGLEESQVPLIIIQTTDDKKYLKTNIEIDQIESWVKDFKDGKVAAHKKSQPIPTENNEPVKVVVAESLDEMVFNSGKNVLLEFYAPWCGHCQKLVPILDEVAVSYQSDPSVVIAKLDATANDFPNDTFDVKGFPTIYLRSASGNIVLYDGDRTKEDIISFIDKNKDTAGEPKKEETTTEAVKDEL >A02g504690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15094885:15095715:1 gene:A02g504690.1_BraROA transcript:A02g504690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFNGEALEKFIKESSIPLVTVFNSDPSNRPYVASFFDSSATKVMMFVNVTGESAESLKSKFRKVAIHLTKDKYFGVEDSQVPLVIIQTPDSKKYLKANVVVEEIESWMKDFKFFSPTFS >A06p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4165614:4168215:-1 gene:A06p011320.1_BraROA transcript:A06p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNFSIFFTLFLSILTSSANAEATLSVSPTTLKRSGDNVTIQWSDVDSPSDLDWLGIYSPPDSPHDHFIGYKFLNASSTSKSGYGSITLPLTNLRSDYTFRIFRWTQAEIDTKHLDHDQNPLPGTKHLLAESEKVTFGSAVDKAEQIHLAYENKPNRMQVTFVAGDGDERFVRYGETKDLLGNSAAARGIRYDREQMCNAPANSSIGWRDPGWIFHTVLKNLNPAVRNYYQIGSEAKGWSEIYSFISRDMYAQETRAFVFGDMGCATPYKTFIRTQQESKSTVKWILRDIEALGDKPSFISHIGDISYARGYSWVWDEFFAQIEPIASKVPYHVCIGNHEYDFPAQPWKPDWAASTYGNDGGGECGVPYSLKFNMPGNSTELTGTNAPVTRNLYYSYDAGSVHFLSISTETNFLEGGTQYEYIKRDLESVDRNKTPFVVVQGHRPMYTTSNEVRDTTIRQKMLEHLEPLFVKNEVTLAIWGHVHRYERFCPISNNTCGKLWKGSPVHLVVGMGGQDWQSVWAVRPNHPDLPIFPQPEESMYRTGEFGYTRLVANKDKLTVSFVGNHDGEVHDTVEMLATGEVISGSKDDAKSSDTAAAPAPVEVTSGSKDDTESSNVQNVPTSATLVGKSESDGSWFVKAAGLMVMGMVLGFIAGYFTGVKKGSSARNRWIPVKSEEI >A10g503700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9495754:9496555:-1 gene:A10g503700.1_BraROA transcript:A10g503700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFVAREGSCWTLSSVLHVLLRLLLRCGVVRRISAGRSLVGLAGFNGGSSLVCWCVLSQPGGRVAAVCLRSRRMELMSRRLFCRLWQFQPRFSEASLCLSGFSLSQGQGGRVSRGGSIFPCLLSRLCPVSPTWRSGSALRRPGRALLLGLGRRFRASIWSVGALPVRRPSGILAISVLSRPFGHGALVLDGASPQPAFIGLFGVRWLLPSRCSILPVDLRGCSACLGYASSPLYLSGLCLTINGELKLEETV >A09p040210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000040.1:28788:35472:1 gene:A09p040210.1_BraROA transcript:A09p040210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIHLVQICMENKENMSGSFWIGLYLDLGQIRLFRSKKRVAARILIHLLCSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRRRPYPSPFIHPRPLQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISHPIALRKRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQNRRFEKKPQIISWVEMKTLMKKRFVSQWTLPKLKPEAATKLTTLDQPAYWFELPLKPAERRSVVPVLSLKQEEEKLQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCAISRSEALEYQETSHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A03g500360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1174215:1175636:1 gene:A03g500360.1_BraROA transcript:A03g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLRSNSVVIKLLTYSNELSEGKPLYVSSNCLPVKALNREPAGHSFHSAALKLRGCAKKVVEDKEDTSSASPKKVANDVEDIPSYETYKNSSSNKEKKKKNKSGKEVKEQHDHYALLGLGSLRYLATEDQIRKSYRDAALKHHPDKLAAALLLTEESEEAKEAKKEAIESHFKLIQEAYEVLMDKTKRRIFDSTDEFDDEVPSECAPKDFFKVFGPAFKRNARWSVNTRVPDLGDENTPVKEVDSYYNFWYAFKSWREFPEEEEHDLEEADSREEKRWMERENARKTQKARKEEYARIRTLVDNAYKKDPRIVKRKEEEKAKKQAKKDEKVMAKKKLEEEAAAAIEEEKRRKEEEAKLAAEAAQQQKKNKEKEKKLRSKERSRLRTLSAPVLSQRLLGISVAYVEDLCMSLNTEQLRNLCDKMENKEGMKLAKVLKNGNNDETESEEEEVKQNGHVEANGFATQSPRAAAMV >A09p012050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6183214:6184746:1 gene:A09p012050.1_BraROA transcript:A09p012050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAEPINGGSVQIQNENTSRKLPNFLQSVNIKYVKLGYHHLIKHLFKLCLVPLMAVVISEISRLTPDDFHQLWLHLQYNLVSFILLSALAVFGCTVFVMTRSRSVYLVDYSCYLPPESLQVPYKKFMDHSKLIEDFNDSSLEFQRKILERSGLGEETYLPEGLHCVPPRTTMVAAREEAEQVMFGCLDKLFQNTKVNPRDISVLVVNCSLFNPTPSLSAMIVNKYKLRGNVKSFNLGGMGCSAGVISIDLAKDMLQVHRKTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGTAVLLSNKASDRRRSKYMMVHTVRTHKGANEKAFNCVYQEQDDNGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQILFFATLVAKKLFNAKMKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLELSVTHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGDRVWQIAFGSGFKCNSAVWVALNNVKPSVSSPWEHCIDRYPVKLDY >A08p029200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18097518:18100317:-1 gene:A08p029200.1_BraROA transcript:A08p029200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAQIHVLGGIGFATTSSKRNLNAKPSSIPRSAFFGTRTGPFSTPTSAFLRINTRNSPGGSRYSVGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRRMNEVDEEAKQVSYRVVKDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASRFLNEKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKIELSSLTQTNMSLPFITATADGPKHIETTLTRAKFEELCSDLLDRCKTPVENSLRDAKLSFKDIDEVILVGGSTRIPAVQEVVRKLTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNSTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSASDKGTGKKQDITITGASTLPKDEVEQMVQEAERFAKDDKEKRDAIDTKNQADSVVYQTEKQLKELGEKIPGEVKEKVEAKLQELKDKIGNGSTQEIKDTMAALNQEVMQIGQSMYNQPGAGAGAGAGAGAGAGSSPGGEGDSSSSKGGDDVIDADFTDSN >A08p009240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7316189:7318491:1 gene:A08p009240.1_BraROA transcript:A08p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPGILLKLLQCMNSNTRPTGDHRSAILQVTGIVPALAGSDLWPNQGFYVQISDSLNSTYVCLSERDTDLILTNRLQLGQFIYLERLEFSTPVPRAAGIRPVAGRHAFVGTPEPLIARGSKRDFVIQPVPASEYSLDPIAVYLNNKRSDDDDYVTVAPRGRQPLAPVNLNDQKPKRTPQRFSSPASAKQRSVSSGKKHSSERDSSPVVSSKGRRSASPVPSKCVVPSLAAAREENRKVAREAAIVVPSRYRQPSPNGRRLSISPGRRLSSGLKMAPMVGDSSGKKKMAALAAGISKVSEALVGSSGNRKNWDGNVLPEQKEKSSAKKKTDLQGILRTQAAMTRRLSDANRRKSDSSACEEKAKSCSSASSLVEDEEDVSAFEGLGITYHDRKWTDGSVPLDSISGDLARLAKEAVQRRNFAAKAAARALEEANANECIIRCLSKFAELSSASKLENPLRIINQFLTIYGDVTKYSQLVSEDSFQSSSDPPSPVSLWVEAALATNLDVVSLVKSQKNLESPSSVKKPTPTRLFAGPSTKTDNIVGMWTDKDGMKETAKFAVNVQAEMQMWFIGFVEESLDNKNARPLDGSSIAAVLSQLKQVNEWLDRVVSDQENQITTMHLTDKIERLKRKIYGFVIHHVGSTFDNTAS >A09g507140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20963240:20967873:1 gene:A09g507140.1_BraROA transcript:A09g507140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLENGVTKDVFIRTNTVGYKIDESALVRGALIAPILWALSPKGRLTGLKYSRVEPTSLAAKPTKLDRPCRARACYVMGLVEGCNPSPTISPPPVHLRDMASGNRLSREEKGKDIATSPSPARDADGGPLEDFDIIHRDALRDTENMSLSQRLLVADAHRQFREEIEENVEGKDREASGSEAPSLVVRPRRRARRRGRIDQSDRLPAPRSVPFYKVDCRPVIYHPGGIFEELPSLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSAMLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKESKKRSREETSVGAMETSTAAGNDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGEPATEVGDGSRDESPSSKGAPSSSARETGAGSGGSLPWKAGGGIRFLDRVEFLYDEATPLVLNPLRCAELTRQIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMIQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSGIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITFREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPAQVEGLGDQVVEEETIEALDPSRDDQDVVTSDEPVIGFTRLSSCFDLELSKSR >A04p004710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2428398:2429177:-1 gene:A04p004710.1_BraROA transcript:A04p004710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELHVLAVDDNLIDLTTAENGLRALEYLGLGNPQQTESLTTNSVMKVNLIITDYCMPGMTGFELLKIVKQESSNLKEVPVVILSSENIPTRINKCLASGAQMFMQKPLKLSDVEKLKGHVLNCRS >A07p027060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15445551:15446773:1 gene:A07p027060.1_BraROA transcript:A07p027060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRALPTTDVNRNTEWFTYPGVWTTYILILFFSWLLVLSVFHCSPGMAWTIVHLAHFAVTYHSFHWKKGTPFGDDQGIYNRLTWWEQIDNGKQLTRNRKFLTVVPIVLYLIASHTTDYQHPMLFLNTLAVFVMVVAKFPHMHKVRIFGINGDQ >A05p012030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5225287:5225583:1 gene:A05p012030.1_BraROA transcript:A05p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein 18 [Source:Projected from Arabidopsis thaliana (AT2G35300) UniProtKB/Swiss-Prot;Acc:Q96273] MHSAKEKISDMASTAKEKLNIGGAKAQGHAEKTMARTSEEKKMAHEREKSKEAHAKAELHESKAEHAADAQVHHHHLPGHTAYPSRTTGAAHYPPGQI >A06p004450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1165379:1166603:-1 gene:A06p004450.1_BraROA transcript:A06p004450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGDELSLGSRSHCSIPTKPSKITNVKKLEEEKIKIESSDLELPLCLQILNDAILYLKEENKRCSEMDTQPLLKDFISPLLWREDNNKLDIELLQRNEEKSLCGLPMLLKTPEVETGLGLGLASSSMVNGGRRKGTASCGFNSNSVPQLPPYLQQQALSRKQRRCWTPELHRRFVDALQLLGGPGVATPKQIREHMQEEGLTNDEVKSHLQKYRLHIRKSNSNLEKQSVVVLGFNLWNSSSKEEEEGAGEGGESSKRSNSLSDSPQGPLHLPCTTTTTTTGGDSCMEDAEDAKSESFQIWRD >A03p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1818808:1821483:1 gene:A03p004270.1_BraROA transcript:A03p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISITTMDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESSSLNLTQLWKRFRGSDTPQENLGAIREYNVDMIPKFIMANGTLVQTLIHTNVTKYLNFKAVDGSFVYKKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYDEKDPKSHEGLDLSKVTAREIISKYGLEDDTIDFIGHALALHNDDDYLDQPAIDFVMRIKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDSSGKAIGVTSAGETAKCKKVVCDPSYLSDKVKKVGKVARAVCIMSHPIPDTNDAHSVQIILPQKQLGRKSDMYLFCCSYAHNVAPKGKYIAFVSAEAETDNPEEELKPGIELLGPIDEIFYHSYDTYVPTNQQEEDNCFISGSYDATTHFESTVADVLEMYTKITGKTLDLSVDLSAASAAAEN >A09p077130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56366622:56369221:1 gene:A09p077130.1_BraROA transcript:A09p077130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSVSVSCDNVVNQVCQYLCLKGSYIHNLSQNLATLQKAMGLLKAKRDDVQGRVGREEFTAHRRRLAQVQVWLNSILTMENQYNELLNTSDVELQRLCLCRLCSKSMKLSCRYGKKVILMLREVESLISQGEFDVVTDAAPIAEGEELPVQSTVVGQETMLEMVWNRLMEDEVGVVGLYGMGGVGKTTLLTQINNRLSNKTGGFDVVIWVVVSQNATAHKIQGSIGEKLGVGGKEWDEKSDVERSHDIHKVLKRKKFVLFLDDIWEKVNLSTIGVPYPSRETGSKVAFTTRSQDVCGRMEVDDPIEVCCLDTDKAWDLFKKKVGENTLGSHPDIPELARKVAGKCRGLPLALNVIGETMARKRSVQEWRRAVDVLTSSATEFSGVEDEILPVLKYSYDNLDGEMTKSCFLYCSLYPEDGLIDKEESIEYWIGEGFIDEKGGRERAMNQGYEILGTLVRACLLLQDDKKESKVKMHDVVREMAMWIASDLGKHKERCIVQADTGIREIPEVKNWKDVRRISLMKNDIETISGSLECPELTTLFLRKNELVEISDGFFQSMPKLLVLDLSGNNLSGFRMDMCSLWTRSLERLDGISELSSLRTLKLLHSKVRLDISLMKELHLLQHIEYISLSISPRTLVGEKLFYDPRIGRCIQQLSIEDPGQESVKVIVLPALEGLCEKILWNKSLTSPCFSNLTNVRISNCDGLKDLTWLLFAPNLVADSVQLEDIISKEKAASVLENNIVPFRKLEVLHFVKLPELKSIYWNSLPFQRLRRLRLSNGCRKLRKLPLNSKSVVDVEKFVIKYDDEEWLERVEWEDEATKLRFLPLCTTRSMIF >A04p036470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20973915:20975862:-1 gene:A04p036470.1_BraROA transcript:A04p036470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGWADGPEFVTQCPIRPGSSYTYRFTIQGQEGTLWWHAHSSWLRATVYGSLLILPPAGSSYPFPNPHRNVPLLLGEWWDGNPVDVLREAIRTGAAPNISDAYTINGQPGDLYKCSSQDTTIVPINVGETILLRVINAALNQPLFFTVANHKFTVVGADASYLKPFTTNAIVLGPGQTTDVLITGDQPPNHYYMAARAYQSAQNAPFGNTTTTAILQYKSAPCCGGAKPIMPLLPAYNDTNTATRFSQSFRSLKRAEVPTELDENLFITIGLGLNNCPKNFRSRRCQGPNGTRFTASMNNVSFALPSNYSLLQAHHHAIPGVFTTDFPARPPVKFDYTGSNISRSLYQPVRGTKLYKLKYGSRVQIVLQDTGIVTPENHPIHLHGYDFYIVAEGFGNFNPKKDTAKFNLENPPLRNTVGVPVNGWAVIRFVADNPGVWIMHCHLDAHISWGLAMAFLVENGNGLLETMEEPPADLPIHLVYF >A01g504930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14588437:14588907:-1 gene:A01g504930.1_BraROA transcript:A01g504930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQENVATYVPEIKLRSNSIQIKHKPSDRFEYDDKNTDEPSSVITQLPHMHAVRSLRSDRARAKLGRYVATERAFCSVATERPSSYETSIQHYHRSNFAVKTAESSFLIERSRNKCFESEDGPKGPKT >A09p035150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21144743:21145510:1 gene:A09p035150.1_BraROA transcript:A09p035150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRENLGEPERSRFTLVMWLRSLLFLILYTQKVFGETCYTVPGRKSKQKGLIIILRSGNSGRSHETILQICLTMRSRKDCDAMDCERAMGISKKALSTAMLTSQSVQLCKLSLAPRWKLRV >A09p019810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10546987:10549296:1 gene:A09p019810.1_BraROA transcript:A09p019810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein [Source:Projected from Arabidopsis thaliana (AT1G60850) UniProtKB/TrEMBL;Acc:Q9C6C2] MVTEEDKIFAKNFNIDDLSDVPAGLPPHLKLKQNRVVSKKDASVDTADAIYSGSYVSSGVDNSVKLENFYEDFKVDVISCTETDMEFDMIGIDAAFANAFRRILIAEVPSMAIEKVLIAYNTSLIVDEVLAHRIGLIPIAADPRLFEYLTENDQPNEKNTIVFKLHVKCPKGQPRLQVLTNELQWLPNGSEFLKETGGSTSNSNSNSKPKTYTSFSCSQDSLPEFADNPITPTYLDILIAKLSPGQEIELEAHAVKGIGKTHAKWSPVGTAWYRMLPEVVLLGEVEDEKAERLVKVCPQNVFDIEDMGNGRKRATVAQPRNCTLCKECIRDHDLVDLVDLRSVKNHFIFKIESTGSLPPDVIFTEAVKILEDKCERVIADLS >A05p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5601871:5602475:1 gene:A05p012830.1_BraROA transcript:A05p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRGVLTNVSSNCQRSFSQSALLWRSAVKPPIQSRQISTTLAKQASKHSGTEQGVKRNSADHRRRLLAARFELRRKLYKAFCKDPELPSEMREKNRYKLSKLPRNSAFTRIRNRCVFTGRSRSVTELFRMSRICFRGLANKGELMGIKKSSWNQTTFGGC >A03p048090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22087853:22089808:1 gene:A03p048090.1_BraROA transcript:A03p048090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLSRVSHQIFPSFHGPDVRRGFLSHLHNVFAKKEITVTDEAVMVEKISTVMLNKLKVEEMKKEFRSCDIDQNGFITASELRYVLTKHGGDYTDEDVCKTIETYDIDGDGRISYDEFVKMCARIEKLDAGVDKLVTSVTLPEEKMQEMKATFMLFDVGNNGFITAADFQLSEKSDGKKLTEEEAYNMLRNFDADGDGRVSFDEFVKRYMAIEDEDDALAKKLVTDVTLSTEEMEDMIQFFKALDVDHNGFITAADFQQSMNHNGKKVTDEVAYSVIRLMDADGDCQISFDEFVKHWMKDKREEEKTEKIEKYVSKKLNKFVKFIAKALT >A09p015300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7960558:7962505:-1 gene:A09p015300.1_BraROA transcript:A09p015300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAKQAKNDSPLHEQCTTISSSNQNENFVEIEKEKTIALINAWEENEKAKAQTKAYKELCSIEAWENNMKTSLELDLKKMEENSEVEKAEYSKRFKKKIPEIEKIAEAKREKIEKLKEQKSINLKKMSEKLSATPNAYPPKTKTCGCF >A07p050580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26967850:26972617:1 gene:A07p050580.1_BraROA transcript:A07p050580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETTAFRQRDRRPEALGSLSVLPDETICVLLEYLAPRDIAHLACVSSVMYILCNEEPLWMSLCLRRAKGPLDYKGSWKNTTLHLEGVTQDNDRKPLHFDGFNSLYLYKRFYRCNASLDGFSFDDGNVERRRDISLDEFTKEYDAKKPVLLSGLADSWPASKTWTIDQLSEKYGEVPFRISQRSPNKISMKFKDYISYMKTQRDEDPLYVFDDRFGEAAPELLKDYSVPYLFQEDWFEILDKENRPPYRWLIVGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGKVPLGVTVHVNEDDGDVSIDTPSSLQFSINAQWWLDYYPLLADEDKPIECTLLAGETIYVPSGWWHCILNLEPTVAVTQNFVNKENFGFVCLDMAPGYQHKGVCRAGLLAVDDENSEEMEEEETHGEDDSTLSYSDLTRKEKRVRMNGEEETENHEEDANGVSKRYNMWKNGFSYDIDFLSKFLDKERDHYNFPWSMGNSVGQREMRGWLSKLWVLKPELRNLIWKGACIALNAEKWLRCLEEVCTFHNLPSVTEDEKLPVGTGSNPVYLFSDYAVKLFVEGGLEQSMYGLGTELEFYDILGRANSSLKSHIPEVIASGILYFENGSYKVVPWDGKRIPDILTSSSLNFDASMLNSEFPFGIWNKTLLQQRNKGKPPAPDSFGSLSSHVWPYIITQRCKGKIFAQLRDDLTWSDAQNLATFLGQQLRNLHQLPYPPVTRPELLNANEGHEELKIPPEWKVFVDDLCHKKKDVTNRLENWGNPIPKALMNKIDEYIPDEFFVDLLHVFKDTDAGDETKPCTWIHSDVMDDNIHMEPYADDDSVDGQHSSWRPGHILDFSDLSIGDPIFDLIPIHLDVFRGDTDLFKKLLESYGLPLIRSKSAAADSMRKKVLSPSYRTMCYCILHEDNVLGAMFSIWDELRTAESWEQVELTAWSFLNSY >A06g500920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3617837:3618312:-1 gene:A06g500920.1_BraROA transcript:A06g500920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRDICNVVVVSKKRRLELASVSTHRHRSRAYPRHHRSRAGPCHHRSQTRPVVIGIWCWGWRQNRGRHREERQRRKEEAAAKRLGFAEQGDFRASAVDCVEESSNFERDED >A09p054880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47103639:47104139:-1 gene:A09p054880.1_BraROA transcript:A09p054880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGNEKHLEECTVSNALGTWVFSVVGALVAIPVGIKRKSLGPLVFFGTTGTMLDIIIGVTQCEREHAEHQKKLLQDSQNAETNNNADTDSIS >A07g500810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1485403:1485588:-1 gene:A07g500810.1_BraROA transcript:A07g500810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASDTEVWTQRDGLSIDCEQLVILIQKEEDWPALESELDEIQAVSKEFSELSITHILDL >A07g508030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22049036:22050727:1 gene:A07g508030.1_BraROA transcript:A07g508030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLWKRKQNRAKAMATSIVNQQRNQNVLMNTMTQSDKRQLSRENKADEFELPLIELEAVVKATENFSNCNELGRGGFGIVYKGMLDGQEVAVKRLSKTSLQGIDEFMNEVRLIARLQHINLVRILGCCIEADEKILIYEYLENSSLDYFLFGKKRSSNLNWKDRFAITNGVARGLLYLHQDSRFRIIHRDLKPGNILLDKYMIPKISDFGMARIFARDETQVRTDNAVGTYGYMSPEYAMYGVISEKTDVFSFGVIVLEIVIGKRNRGFYQVNPENNLPSYAWTHWAEGRALEIVDPVILDSLSSLPSTFKPKEVLKCIQIGLLCIQERAEHRPTMSSVVWMLGSEATEIPQPKPPVYCLIASYYANNPSSSKQFDDDESWTVNKYTCSVIDAR >A07g504500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9038299:9040448:1 gene:A07g504500.1_BraROA transcript:A07g504500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIYWASFELLFPSFSSLLKLSKSKNLGKLLHSKIFLRTVVAKLIPFKDSFCRSKDHLDDSASSTETSHVTLPTRENVELGVPGTWPSLPLPPFSVKCYITQLLKGSIIVPLIIVGIDFESTSSIIGAKYTLSSINKKFKGTATNALKSKGVGVNTHVEQTDGVAAALGENTNVNHQISSSVVPEEGVQHQTIRFLTQRMERRRHGWIGST >A03p005140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2141185:2142605:-1 gene:A03p005140.1_BraROA transcript:A03p005140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYAVLSIGVGMKAQYLARITQIDELKKLGDFLENEPLCNPVVVIFGTVGSNSAVETTRSGTLCVFSEETATVCYKREKNCNTDSSREEYKNVMLYRKEVPWYLVRSYHNSSQCHKDLAREKFVPNNCCEHVLELGKPLTIIGKAERDKNGAPTIGRVYQVFNGRSINKVDELASDLKSISECCDMLSLLLTGIGVSILAVSFLHNHLEFSK >A09p010020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5127185:5128042:-1 gene:A09p010020.1_BraROA transcript:A09p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF5.7 [Source:Projected from Arabidopsis thaliana (AT5G65590) UniProtKB/Swiss-Prot;Acc:Q9LSL6] MSSHTNLHSPKPDHGISGTSHTKKPPSSSSSQDQQTLKCPRCNSSNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGALRNVPIGGGCRTTKKSFKPNTSTPSSCQRFYSSVMEDSSKFFPPPTTMGFQLINNQEVLGHRPMEQAKTTPVDVRSGLSLMGFGDYSNHSPATFSTAGTLATSIETLSCLNQDLHWRLQQQRMAMLFGNSNEETVVVERPQPILYRNLEIVNSPPTKKGENQTEWYFGNNNDNEGVVSNNDNNNNTGGSDQWNNGIQAWTDLNHYNALP >A05g503070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9133035:9133976:-1 gene:A05g503070.1_BraROA transcript:A05g503070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPITTFNVDVLTALALGSSVKWISFLRIISLLRISLCLAGNWSRLPSRHHQNHQSGLYNGLGWLTLGRISGVGARFGVYEILTAFYKDGRHGNYVCASQRSSSGGDGGRCGRNIDDFSVRADQSSTTSDCCITGKWFHP >A02g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12361738:12362214:-1 gene:A02g503680.1_BraROA transcript:A02g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSVYRTCMCVEEQKVLKCDRVNQRGSHNKIHHTEEDIGKLVVLKRVILNHRGREEAERGRSRTSSFMEQL >A01g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6943288:6943811:1 gene:A01g501970.1_BraROA transcript:A01g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGTEIRTVDFRLNKETKKTLVSQRTRISVNYHTSSNQNTRITTIKIRNRKESKVDLIPNLRMSVTTRYKPGLESFLSLCSSPRTPYILAPRSVYVFTLLPLSRHSIKMEIFHFSRSSQLSSKLPYLSAET >A05p045050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26988688:26990390:1 gene:A05p045050.1_BraROA transcript:A05p045050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISEFIPPSRSRRVTSEHLWPDLKNKGKASKKKRSGFIDLDDEFEADFQGFKDDASFDCEDEFDVDDDVFADVKPFVFAAGAKPVASPPAAFASTGSVSGKKTIESGGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGSREWLGTFDTAEEAARAYDAAARRIRGNKAKVNFPEEMVPSVSQKRPSAKKAVAKPNQSPASVQQPTHVSQYCNNSFDNMGHDSSFGDVSFMEEKPQMYNNQFFDVGGNNGYQYFSSDQGSNSLDCSEFGWSDHTPKTPEISSMLVNNNQAPFIEETNPAKKLKTNSEDGTSNDGNSDDLMAYLNNALWESPLEVEAMFGGDAATMTQEEGNPMDLWSLDDINSMLDGGVF >A01g509150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24694569:24697285:-1 gene:A01g509150.1_BraROA transcript:A01g509150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSQAPVSSDVTTTKPNGKDVVSSAEPVKRVGQTGVSLARSASGVSKSKKPNGRAVISSTDPANRAGHRGDPLATAISGDSKSKKSSGKAVASSAEPVKGARQHGVSPAIAVSGDRKSKILNGRSVVSSSAEVLFFRDVKYGPQEGELRFRLRHFWEARNALTKVLFGLEMLLIDGQGTVIQGFIPPNRIETYLPHLFAGSVYRLNNFYGSKSKTVYRVAEPDVTVAFTWNSVLSPLENSPVPFPEDRFRFYGYEEFEAACDLKGDLYGNLLSESIVNHLIDYVGHMKLVNEQTLSDSLVLDEVKIASSRRILVHVHTHDGPVMKLYIWDKAAVDFCEKFKALGKTPTVILVTTVNPKRFGGALTLSSLSSSRVFFDMDVQATREYLAWFESNTEVANRVNAEIVTKAETATIGELLSYMKQEEAKVAWFECTTTIDDVVRDSAWYYIACGGCKTKATKGPTTLMCKKCGKTEIVGTPEYLTKISVYDNNDHASFVLLGDAGRELTGMKASDLVESYFEANENVGDDHVVPVPQSLTDSIGQSRTFIIKVSKHNLDGKTQSLTVTKVLPLEVPALEGDIDEGVEEEPVDEKDGAADGNVKRISDGIESGETKRAKCG >A05p045590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27241595:27244493:1 gene:A05p045590.1_BraROA transcript:A05p045590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTKVSSFRFYATLFLSFLSFSLSRAFYLPGVAPRDFQKGDPLYVKVNKLSSTKTQLPYDYYYLNYCKPPKIVNNAENLGEVLRGDRIENSVYTFQMLEDQPCKVGCRAKLDAESTKNFKEKIDDEYRANMILDNLPVAVRRQRRDGSQSTTYEHGFRVGFKGSYEGSKEEKYFIHNHLSFRVMYHRDQESDSARIVGFEVTPNSILHEYKEWDENNPQLTTCNKDTKNLIQGNTVPQEVEQGKEIVFTYDVSFKESEIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDISNYNQLETQDEAQEETGWKLVHGDVFRPPVNSGLLCVYVGTGVQIFGMSLVTMMFALLGFLSPSNRGGLMTAMVLLWVFMGIFAGYSSSRLHKMFKGNKWKRMTLKTAFMFPGILFAIFFVLNGLIWGEQSSGAIPFGTMFALFCLWFGISVPLVFVGSYLGYKKPAIEAPVKTNKIPRQVPEQPWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIVTCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSAFYLFLYSIFYFFTKLEITKLVSGMLYFGYMIIISYAFFVLTGTIGFYACFWFVRKIYSSVKID >A08p018250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12626357:12628414:1 gene:A08p018250.1_BraROA transcript:A08p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSHGNLVEEREELMVLPSENNTRPIIKKSHLLKPHVTTTIDGSAPSPGVKSSPLSVSFSGWRLPNQKFKSWFRKMSAFHKPIWIQSGIFEAIKASTHKIRKNPSLILSLSQKWNPETKTFVFPWGEATITLEDVTLLLGFSLLGSSVYTPLESSEIKESVLKLEKRRKESVKQVSWISSFEDDQMEHEAFLAFWLSNFVFPEKQGCSVSKHVFSVAVRLARGERIALAPAVLACLYRDLGKVNALSSSTQNVDVRSLFKLVQVWIWERFKSVGPRPGVIPNGEPRIARWSGLRQGAESVRPFLLDDFDWRPYTKPLRNWNPPRFYNEKAKRVSDDDDDEFACCVRSSTLDGFGFTEGYYPNRVALQFGLAQDLTGLATLDGSKQHIPSRLTTASVSAAKYRDWWMRSVKEPAETFNASNTGDDDDDDVPLKVLPLSQVFQKLGDGMKKAEQVTNKKRKQASEDENEIAMDCCQTQDEEDDDDNITIAQRIKCRKKCGDVKDIEEDCSLPGLPQKQKLASGDEHNSSDPNVDSGAVDEMEEDGNITIAQIIKLTKKCVNVENTEGGEYAYGGVEVDNNVPDLPQKLASGDETVAVPAIKKMSVENDETSSSDPLVASNRIAEKEEEVDVVVVVDDGRLNQRKLGTDEIALQLEARILKVEKTLAKIRQWKMGENQTKTPVSA >A09g515470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45794874:45796963:-1 gene:A09g515470.1_BraROA transcript:A09g515470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPYDTGSWHMSTQEWPRGIASKRRKKGNRGFSISEAISRATPTVGDLVVLIDGALSPRRAKMDPAEEIRETKRQKEYIDMVGFVADSENGIPTRCPCGGTIIHEVRGKDDYDTLPGKRFFTCKNYEADGLHYRQPWVIGVEEHIERLSNRLEEVEMVIKWMPELTKQIERLEAEVKDLTVQVDNLNGEVYNLSVQHSTQSTSENTNQILKTLIKNQMDPFSLNSPASKRKKHGNEAAFDQIESILAAKNHISKQKILDRLLAKNEDKLSAQE >A01p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:978017:979334:1 gene:A01p002120.1_BraROA transcript:A01p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor HHO5 [Source:Projected from Arabidopsis thaliana (AT4G37180) UniProtKB/Swiss-Prot;Acc:F4JRB0] MVQTDTDKMGLNLNLSMYSLAKPLSQFLDEVSRIKDYDSKLSEIDEYVGKLKEERRKIDVFKRELPLCMLLLNEAIETLKEEASSSVMMASDGKLDVGEGAKVESDNKKNWMSSAQLWISNPNSQLQSTNEKEDRSVTHKPIQGGAFTPFNLPPPPAPLSLRTPPSEILIDYRRIEQNHQFNKPMQSLHSQKKEQRRRWSQELHRKFVDALHSLGGSQVATPKQIRDMMKVDGLTNDEVKSHLQKYRMHIRKHPLHPAAKTLSSSDQPVLLDKETQSLISLTRSDSPQSPLVDGCLFNNNGHSSEDEEKSDGRSWKSESNKKRQALDLEL >A04p013250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4296009:4296417:-1 gene:A04p013250.1_BraROA transcript:A04p013250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRPKSGRVLVQNVLKHLLTEDLMEDQKPEENIPKCRSFNMKGHSQEQEAHDVSSTAPPTIQT >A01p000930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:368351:369733:1 gene:A01p000930.1_BraROA transcript:A01p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKYLCFRKVLLLLLLFSCSSFFATFASSETSVDDELQQTQPLDLHFRVRRLLVKDLEISDDVEETNPPPRKKKKLTDSVTSPSSTSGTKKNQTKVIKPISSSTKNQTKLAKTTSSKLNSTKSSSNTTKAGSDLKKLKPSNSTSSTKAGSNLKKLKSSNSTSSTKKPADLSKSTSSKNKTTTKPQTSKLSPPPEKKKAPPSSKPATKPKPAEKTIKPFWLDDEEDEDFVSEFRDLPTRFQRSLIPDLERISTTSKSYINKANKEITKNFKPYFGNKYSPTIASVVSFVFILVPLLLVSLVFNRFKAYFSLQKLLIFIQIYLSIYFSILCLSSLVTGVEPLKFLYATSSSTYVCLQIMQTLGYVFYLLLLLMYLVLVFSTDCGLGLRVLGLAQTFVGFAVGLHYYVAVFHRVVLRQPPKTNWKVHGVYATCFLLICLLCSAERRKKEYLEDGGEEGKKN >A07p002440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3824346:3830210:-1 gene:A07p002440.1_BraROA transcript:A07p002440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINKDPSTPPPVIGKIGPYTVFMTPPATPKPPESPAAVSQKPNLPPPVLPPPQQFKSVASSAQDGSVLGFFKNAATKVQNAHSSVDDHLVRWFGLNQSKYQWALDEYYEGKGSEMKSVKSNEMPGKVQSVALCSVYLTMVKISKGKWSCIDHSVCLLIKSPVKNRKKQEEGFRAFKRTKFCASASFYPEPPSASPSTLAAMIRGGRSHLTSPPSFSNDAKKLLVCTGNTVSVFSAATGLQITSLEGHTAPVTTLLVVPASTAAQKILSYCWTASLDGMIRYWDFSGPELLKTVDAKVPIYSMVIPSLLSEPQQVDSSKLVAYVSVEDASLVKEGSKELRGHIRKFDLAKERLSRGDTLKETEEPKSIVISPSGEFFGIRHKCKIHVWGVPSGGGSRNAVAKKMTLHHTKVINAFAFHPTERIIAAGDVTGRVLIWRGFGNRKLALGSQKKSVRSVVDLDNPGVRDGDDAESCTTWHWHSAEVNVLNFSSDGAYLYSGGREGVLVVWQLDTGKKKFLPRIGSPLLYFIWSPEPTLSSVVCADNQIHLLKMPSMEISRTISGIKPPPSLPKMYEGLSSTVAFDRSSGIAALCTENYCVQLYNLLNDRGISEVQVCERNHQPGDEITVVVTAVALSLDGSVMSTTEVKLPEDGIGGLVSLKFWESEPDNKTFTLSTIVYEPHKDAGVSAIAFHPTRSMAVSTSFGGDFKIWVCNSDKSQTDKDSSWICHAVGSYKKKPMTAAAFSGDGTVLAVAAENVITLWNPDKNILLSVLGATLTPITKLCFVGKSEFLVAASNFPKPELSVWNTSKLSLSWSYELRIEAVTSAVDSSTFAVLALVPKSFRKSKSKKNIFRGRDGAILLFNGSDPKPVSIWTVMKAQGGSISFLEGDKSQLRLAYVNGSHEYVVFDPNSDDTHERSAIDYEGLVGDEETGDFGYTSLYGQLPDYDKKRKDDAESLATPFVSSERPWETIFSGSTLNFPPLQKLCGEFFESLMEKSNSVVE >A08p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22944185:22945612:-1 gene:A08p040140.1_BraROA transcript:A08p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESRRRWTYRTAGHFRHVRKDLSTTLIKTRPHRQSHIHARASIIFNIVSLHLHRFIRNSLRYILFSLKASFHFSDQSVKMHKERQVSPVSSTRNNRASPYPLRSSRSKKQKEAESPPLASESVSEWEDVRCVICMEPPHNAVLLQCSSFSKGCRAYMCDTSSRHSNCFKQYRGNKKTSSKTLRCPYCRGEVHGTMKSTSARRFMNARPRCCSMDECDFSGTYAQLKTHLRAEHPGFITPMMDPEQHTWEQLQRDREAEYVETLNALHRWEADQRLLLAGPLYQFPPLHHHHHHHHPYLSLDAFVDRFNSARGQASAASYPHGTMYPSWTP >A10g503820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9668939:9670325:-1 gene:A10g503820.1_BraROA transcript:A10g503820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITGHIDLDRVLRGRRREVMGKTRCEKWKLRRLPRRIRSVLLFWVAVELAMARIVVGVCDSPMLMNKQSTSQLRGAPASRIVFYPNVIVKVTYFHPQCITKSYKRPSANGFDQHCC >A05p051710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31535209:31539331:1 gene:A05p051710.1_BraROA transcript:A05p051710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEKFGDDGRSIHLQVLELQKLEEARGSSDTVLDFRNSVEKGDSGETADATPASSAIAFHRSSSPPEQKLTLFALRLAIIEKIATCLGTLGFIWATVVLLGGFAITLEKSDFWFITVILLIEGTRIFSRSHELEWQHQATWTVAGVGISSFRALQASSVSLLRNLKRISGGVLKPILENRLSQETPRTWKNSDVPLLPYAKWLYISTYVSRLLYWLQLLSATACVALSSYKLVRHNYGDIKDGELDKRNRKAALSIFYSLALAEALLFLAEKAYWEWQVSVCNLLENVTKDCGFGVTGLVSIKRFFYDAYSKSVNGSIFDGVKMDMVSFAMELLGSSCPDEQLIGARILRQFAVNERFAEDTLEKIGINLPVIERLVEMLNWKDMQEEEIRRSAAEILSKLAGKKQNSLRVAGISGAMESISSLLQKTRSLGEAPDEIGERKIFHDHHLQYDYCRFNNLGLLILKKLSRDHDNCGKIGNTRGLLPKIIDFMHTDATLLKDENAEMVLSRVLTVKRSLQLVKMLVSMSGNTGRCLRKEISEIVFTVSNLRDVLRHGVRYPKLQKLGTEILSFLALETEARERIGVTGGVLKELFNIFLKSKAHGDVNESRVRIAAGEAIAMLALESRSNCVHILKLGVLVRLVDALEVPLVRVNAARVLRNLCMYSGHECFIDLMFIKAAAPTVLKSITSGDNKLQEVMLGLATQVFKFMSSEEAHVALTDSGIKKQELANSLVAILKKHDKPAIKVPRIRRFVIELAIWMMEDDVENVVMFRDLGMERELEKVLETTAELENFDVFSGTVGVSRHSRTVHWLAELALTFLKEDQP >A02p048180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29971491:29973354:-1 gene:A02p048180.1_BraROA transcript:A02p048180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein ACR5 [Source:Projected from Arabidopsis thaliana (AT2G03730) UniProtKB/Swiss-Prot;Acc:Q9ZPQ8] MDVCLSYSYHMDDEIAKFIRRVNPPRVVIDNEVCKNVTVIKVDSANKHGILLEVVQVLTDLNLTIKKAYISSDGGWFMDVFNVTDQDGNKVTDEIVLGYIRKSLGPDDSSCYSPRSTIGVKQSVDFTVIELTGTDRPGLLSELCAVLTDLQCNVVNAEIWTHRAKAAAVLQVTDEETCSAVTDPERLSQIRKLLGYVLTGGSRSRRSCEPKTTVSSSLDETYADRKLHQLMFADRDYDEWENNVGDDEDKSGRVVPDVDVSNLHDLDYSVVMIRCKDRPKLLFDTVFTLTDMNYVVSHASIDAEGPEAYQEYYIRHTDGSPVKSEAERQRVIKCLKAAIQRRVSEGLKLELCTSDRVGLLSDVTRIFRENSLTVTRAEVKTKGGKALNTFYVKDASGYQVDAKTIDAIRQVIGQTILQVKGGNTEVKPSSQESQTGFLFGVFKSRSFVNFGLIRS >A10g504620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11222748:11229116:1 gene:A10g504620.1_BraROA transcript:A10g504620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFLGPSKNEPAGLCTIQGSVIPDVIAVAETNIFNLTSQWYDWGSEDLFYGLPYEDPKDLIKRLEKLASANKHDKISADHIICKIFPYCLSRDAFSWFSKLQPRSLTCSEDIKEAFIAKFFSEAVATRSKRLDKMIKDREKRIMISMSHILDFVYSKENGDIGTPTTPVKQPDIQVHHADESKQKDELNREKLVNHDTIKDDEADTKDSTSASIDSSNSESIDIRTSETIDTNICHRSIPSKIPDATTVYVRTGRSKAIKLSRIEKVDISDMSSEPIDTPTSTSIDIPTVTSIDTSFSTSIDPSLQTSIDSNSCCRSIPLEIPEKSSCPQDIADSTLKSIDVSSCYPDQKVEKEITMEDFLELEEFLELEDGQQLGDLDSSEELTMEDFLELEEWLGDLDQNSKQMFDDQHTSGKGLENSLKADDIDRHKPDEIDRHPPYNIDRHPPYDIDLQSPSNIDQHTPDCIDRRPPNCIDRHSCLDELSGYPIEPGTIEKIMHMSKTSHIDVSEHLRPHVCAEEAVGICKRVKRIYDPVKIMVPCAVLEVESPIPPDKGVYLSSYIEVLNDQHHVEADQRGLRFRDEVDKCPAEVPSSNINRTKLIDTNTSSSIDTGQIPSIDTRCESEQNEYELCRNIFYGDTTTHSDKSGEKKWRNWKKKKRINEGSQISLIPHFSDDARKSNVRLHKSVEKKERNWKKRKRTKGGSQLPLTPYFSDSVRKPRVRNKCFSHPYAKLKALLIAEMIDKGEGSSTDETISISIDNTSSTTIDCHFIVSIDTEQIVLKIQKWINLSTIGSRVDRCSTLHVDRWRSQIVGLVYFMTRSNHKLPECPWTIRNPIYVISKPLLTATLSTRFHFRCFSERREGRNSYQ >A02p031210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16145981:16149012:1 gene:A02p031210.1_BraROA transcript:A02p031210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLLFCLPQGDELFNPLNTLFIQMGCILVFSQLFYLMLKPCGQAAPVAQILAGIVLSPVLLSRIPKVKEFFLQKDAADYYSFFSFVLRTSFMFLIGLEFDIQFLRRNFKKVSVITLSSFSACSLLSLALVYILTPLLHIKEDYLTLFMTLFVTLSNTSSPVVLRSIADWKLNTSEIGRLTLSCALFIELSDVVIYTLVIASISKSMIGNIFLFIFATGALILLNKFLAAWLPKRNPKEKYLSKAETLVFIIFLLIIAVTIESYDINSSVSVFTIGIMVPRQGKTHRTLVQRLSYPIHEFVLPVYFGYMGFRFSITALTKRYYIGLVIIVILALAGKLIGVICACIYLKIPKKYWLFLPTILSVKGHVGVLLLDANYSKKKWWTTTIHDMMLASLVVTTLVSGVLASFLLKAREKDFAPQKTCLESHDTHEELRVLSCVYGVRHARGTISLISALSGSHGASKPFAALLMHLVPLPKKRKSSELLYHELGDDVHGDDEFGTNDGLEINDSIDSFAKDSKLLIQQVKLVTKMLNMHVEICNATEDLRISIVFLPFHKHQRIDGKTTNDGEIFRQMNRNVLRHAPCSVGIFVDRNITGFQQPHGFDSVQHVAALFFGGPDDRDALALCRWLANNNLIHLTIIHFVSEDSQTESPVGNTTTQDNNNVFIEVAGRDQTEHEADRSFLEEFHNRFVTTGQVGFMEKRVSDGPHTLTILREIGEMYSLFVVGKSRGDSPMTVRMKDWEECPELGTVGDFLASSLDVNASVLVVQRQRHSHDNFLDD >A01g506810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19947642:19950202:1 gene:A01g506810.1_BraROA transcript:A01g506810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVPYLTWVEPDLAWVVKKPKTDMHSHPADHPDSPASVLIFTPCIHLVRMNLDILTGLLRFPCLDQIYQHTTFILRWLALDRGYIKSHSASLDDPFNPSHFQKRHLLLVVSGPLWLKSKPQQDVYFPFKTVFEKEQLIFDKKQFASNEFAFVQKQKKRQNRCDDEKWVRSRDRPFTKAKRSNCDVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNSNTSSAPKQQSNSSSISNSDLKTNVLSSDKSKAVKTTSKALSTRCFKCHKVGHYANKCQKQKTLVTLEKVETEPEKEDVLPIFDDYAHEPKEGSGGEQNCGHREGSCSIHNPDQTQDLRTNLFEEEGNDVPQTTDHYMEPAQHGVQDVLNISTEVHVFHRAILDLGRARLSLGGEKTKDGHAFSSGGPSGQSRKRPYLYPVHPSGSDESRHLDWSSPFSPIIFVCHLVVSQHTTFVLRWLALDRGYIKSHSASLDDPFNPSQFQKRHLLL >A05p009020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3727645:3728321:1 gene:A05p009020.1_BraROA transcript:A05p009020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEADTSQPRPSKSLMDRMKTSCLSMAVTFKEGLSYVKAFFVGQTKRLTAKNEKEATDAHLTETKMQVEATDEAENAKKRLHQSS >A01p025810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19922959:19924757:-1 gene:A01p025810.1_BraROA transcript:A01p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRRKHGKENEVGGAINKLPEDLRCHILSFLSTKEAASTSVLSKSWRDLFTLLPSLDLDDSTFMPDQRPEECKRTSFMEFGNRVLALHSNSPITKFSVRCHKGVDSYLVGDWIVKALRRGATDVTLILLFPSRLLHTCTHPNIFFHGQNLIKLKVGCGGLGPFQHSLFREDVIFTKLRTLHLNSIDISRHYGDVIFARLLSKCPVLEELIVNCIKWHGWISGASVSSSTLKRLTIDCEHYYHESDYMLRHGPDEEDSDNLGEPLVVPAHFGTQKPRFVSFDTPNLIYLNYADFVALNYALVKLDSLVEARLDVGPNQGQMRARDSDEFEAPWDATNLIMGIHNVQTLHLTSDTLEVIADFCKMVPVFHNLNHLSIESDDERGWHALPLLLINCPSLQTLVFHGLYHRVTDGCGDACDCISPWSSSSCLSSCPVKILKILNFGATCGEMSLVKHFLKKMPLLEQLAIVLDLDASLEEDLRLSQVFEDLRMAPRASPKCKLEVVNY >SC210g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000068.1:9077:10261:1 gene:SC210g500010.1_BraROA transcript:SC210g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKICSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQWLKRTL >A03p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2829331:2830091:-1 gene:A03p006760.1_BraROA transcript:A03p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVAMPVAHSLSLICNWTKPNPLTRNTVALPASNAPNKQSLSIRCARVGGVEIPSNKRIEYSLQYIHGIGRTRARQILVDLQMENKITKDMAEEELIVLRDEVSKYMIEGDLRRFNALAIKRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKIAIAGKKKVSK >A09p077850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57704028:57705939:-1 gene:A09p077850.1_BraROA transcript:A09p077850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop1 [Source:Projected from Arabidopsis thaliana (AT1G12270) UniProtKB/TrEMBL;Acc:A0A178WM19] MADDAAKAQGNAAFSSRDFTSAVIHYTSAIALSPTNHILFSNRSAAYASLRNYADALSDAIKTVDLKPDWAKGYSRLGAAHWGLKQFQEAAAAYTKGLEIDPSNEALRTGLVDAQAMKKQEMKQRAKTANKFGTAAFKKKDFEAAIQHYSTAMEMDDDDIAYITNRAAVYLQMEKYNECIEDCDKAVEKGIELGSDDKMIARVLTRKGTAFVKMAKCSKDYEPAIEAYRRALKVHCTNAEAFNKLKEAERAKQVWERREFIDPKIGDEEREKGDEFFEKKMYADAIKQYTEAIKRNPEDPKAYSNRAACYNKLGAISNGLEDAEKCIELDPKFSGGYIRKAEVEFYLKEYDNAMNTYASRGGLTPAELKERQAKGMEDPEIRNILTDPVIRQVMSDLEENPSAAQTQMKNPVIQSKIQKLISAEIVQMK >A09g504450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13727115:13729045:-1 gene:A09g504450.1_BraROA transcript:A09g504450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKELSIFHSTLFLYSLKRSVKMSSKKKIAKKGSLSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGIEEEDVSRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVRDTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFKPKRVRKALRFVQPGPALDADTGSDSDPDDQNPVEAPTAAPESSSWKGKDVDLCDDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARMQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKSGMSDRAHAEALIPSIDERIQGFWDSIPVSPDTEEVLIDFHDGGEEVDRPADAFGASLSGDFDFGL >A09p025990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14956074:14957194:-1 gene:A09p025990.1_BraROA transcript:A09p025990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFASRLSRGRSLLGGLKNDLSGLMNTSYGMMNEASLSQQQQQRRTFIQMGTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGAVVYGVVVRAAMQRGRVDGSEVRFDDNAVVLVDNKDKKTKTDRQPIGTRVFGPVPHELRKKKHLKILALAQHIA >A06p015390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6909407:6911911:1 gene:A06p015390.1_BraROA transcript:A06p015390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPNQSFFFIFFLSVIFINTLASPTHQRDALLEFKNEFPFNASDPRAPPEAPLSSWNTSSDHCSWKGVTCNAHSSEVISLVLEYTYLNGSLKANTSLLKLHHLHHLTLYNCDLIGEIPSSLGNLFHLRHLNISDNNFLTGEIPSSIGNLSYLSYLDLSHNYLVGKIPSSLGNLSRLTVLDLSDNPRLIGEVPPSIGNLNQLKIISFYSNKLNGTIPSSFANLNKLSYLLLTGNQFSGGDLPLILSNLTSLSYFDISDNHFKSKLPSNMSGLHNLEVFRVNSNSLIGNVPTSLFTIPSLRLVSLSENQLEGPLEFGNTSLASELPYLDLASNNFNGPISESISRFLKLEFIDLSNNSFTGPIPGSMSKLVSLDDLNLSYNKLEGRVPSFLWRMSTLMLSHNSFSSFEELSQAVVNGSDSDHGSNSFQGPVQFDLGSNSLHGSLPRWICKRTSLALLDLSNNHLTGSIPSCLMNCTASLGYIILRNNNLSGFLPDIFTNATKLRSLDVSRNQLAGKLPKSLINCESMEYLNLKVNKFKDTFPSWLSSLESLSVLFLGSNAFYGPISSHLGFQSLRVIDLSNNSFNGTLPQDYFVNWREMASVGEKDGFTSSDGIDTFMEHMGGGIYEDSMDMMYKGVDTEFPLILLMFKAIDFSGNKFTGRIPKSIGMLKELRHVNFSRNAFTGSIPSSLANIKNLEALDLSHNKLSGNIPRDLANLSFVSYLDFSHNLLQGPVPRSTQFQRQHCSSFEDNLGLFGLEDICGPVHATSGDSHQSEEFSSEEAEEALSWKAAAIAFGPGVFCGLVIGYIFFTSHKQTHMVHGKARLNHPGGIISVR >A06p046650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24940593:24942049:-1 gene:A06p046650.1_BraROA transcript:A06p046650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein dnaJ 49 [Source:Projected from Arabidopsis thaliana (AT5G49060) UniProtKB/Swiss-Prot;Acc:Q9FH28] MDGNKDDAARCVRIAEEAIASGDKERALRFIKMAKRLNPSLSTDELAAACENLDSVSENPSLSDENHKAVDGDKLEPKTHENKKKVYTEENVELVRSIKRNNDYYTILGLEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFTCLSDGNSRSQYDQVGFVDEFDHIQRQSNRSRPRRRRYNTTRSDFFEDEFDPDEIFRAFFGQQRDAFGAATRAYRTRQARNPPREEEVNFAGPTCLTIVQILPFLLLLLLAYLPFSEPDYSLHKSQTYQVPKTTENMEISFYVRSSAAFDEKYPLGSSARANLDASVIKEYRSFLFQSCRIEIQRRRWNKKMPTPHCNELHERGFVDSEGHNHECNLKTHTFALDNASANTDMKSAQDCPKYNEDSQILMFQKFLVLKV >A06g504360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13729630:13735231:1 gene:A06g504360.1_BraROA transcript:A06g504360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDVDNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNLQGAHNYAINSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSERPAQGRSKIVCQRKRIGQLHTRGQLVFTSTLLRKNSKQNIYHINKPRKAARDSKPPTAIKVPGQRSTKRIRRTIHFLATIVKIDRDLLGIRRNRDGIPEPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKTNPIDDARSFRNDSRPRRFFRRRRSIETRWQASKRTKKKNFFHKLKFEMNFLTTDINFRGTNLCLSASLTNPNKVLNGKGCQLTYRDFETVQHSDENFGYGEPEATTHYERLITSKVTLRGVVSTFPAAGNPELHNIRDVVERPHRREKLVSSPACVLVLDSTMDQDREWLLLGRDQRAIMSNPPCLVLGRMHRLGLSHINSIHHA >A08p017040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11920928:11922327:1 gene:A08p017040.1_BraROA transcript:A08p017040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTMRSFMAFMERDWLCRDTLVKLKSSDVQWWPGAEGTSLPKLKTLVISRDLRCACKDKLEMLLPAFPVLEELYVKNILWKPWGDTVSSASLKKLTLHAEGCESMTVHVPTSMSISFDTPSLLYLEYFDEVARDYPKVNLTSLVEAVLDLKIRHYIMLERERNDDWLRKYVVMRCGNLWKLMSGIRNVETLTFSCVTLEISFFKLSPLLQLLCFQRLFLWVSISREDKDLWLTSCPRKKIQSESCGGTTGEMRMVKHLLEYSSPCLKEMKIFALKDYHTDIFDLVVKMVNLCNESYRVVVFNFLCVIHRIK >A07p052290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27613872:27616062:-1 gene:A07p052290.1_BraROA transcript:A07p052290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIAVSGNTVPLHARPVTRALASALRASKLLITSSSPNQGRVLRANSKRRAFDDDKKNASPPKKKKKRALLKDITNVTSHNNSYTTSCFSAAKLQVQIKKGRACSSKVASSSATSQVTDDVKLQVVSDSAGVSLSGCTDTNEASSSLNPSSRLPPRPLGRLTSTVERSCVGGSTTVASIPKFIDIDSDVIDPLLCSLYAPDIHYNLRVSELKRRPCPDFMGRTQRDVTQTMRGILVDWLVEVSEEYTLASDTLYLTVYLIDWFLHGNCMERQRLQLLGITCMLIASKYEEIYAPRIEEFCFITDNTYTRDEVLEMESQVLKHFSFQIYTPTSKTFLRRFLRAAQPSHLMMPSVEMEFLANYLTELTLIECQFLKYLPSVIAASAVFLAKWTMNQSSHPWNATLEHYTTYKASDLKECVQALQDLQLNTKGCPLNSIRIKYRQDKFKCVAVFTSPKVPERLF >A04p034540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19964566:19967421:1 gene:A04p034540.1_BraROA transcript:A04p034540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLQWWSVPQNDVDLSESSIDFVWRDDETMEKSRRGESAFRTEGQTTPLPRLFPPAEAVLTGREEMRLEIVGWIHPRCVSSGADIVESVNTYARRRGRGVSVLSGNGTVANVVLRQPVTIHGNNCGTGAGVGGVVTLHGKFDIIPSLVPCFRRPRRRDQFTSSRSRFRVELAVNDGKDSATFVVFAKEMTKLIKREATNLALEEPPTYLIEMSGGEEYLEELAGYEYVSQLRVTPYNFTPNHRPTVSYSDISNPPPVVDMESGEATESANTMGWWRYHRELVKQV >A09p048010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41616984:41618812:-1 gene:A09p048010.1_BraROA transcript:A09p048010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLPFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHATTGADPLYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGPVGQLGVGNSILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICESIIWKSFSPTTINTGRGAEFEGAVIALFHMLITKSNKVAALRQAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKSARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKFSGNFFVNLLGQWKESEYSGQSIPVSGLAYLITAPASFAEMAAHPFHALFYIVFMLTACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >A10p024300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15758806:15759984:-1 gene:A10p024300.1_BraROA transcript:A10p024300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKKNSNNENKGEGGGDKKTASITVVLKVDMHCDGCASKIVKCARAFKGVETVKSESETGKLTVTGEVDPAKLRETLQEKTKKKVDLVSPQPKKDNKNKSDEDKNKEKKSSEEKKPKEAPVITAVLKLNFHCQGCIANIQKTVTKTKGVNGMTMDKEKQLVTVKGTMDVKKLVESLSEKLKRPVEIVPPKKDKDKENECGDKKKGGGGKDNKGGEGVNMMEYVAAQPYYESAYYPGGPYGYYPVQAHAPQMFSDENPNACVVM >A01p019110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9265162:9267139:1 gene:A01p019110.1_BraROA transcript:A01p019110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSGLTFKLHPLVMLNISDHFTRVKTQLNPPASSCATGNNNPSTGDATLPQDFRVYGCVIGAQKGRTVEIFNSFELLLDPTTDTLDRSFLEKKQELYQKVFPNFYILGWYSTGSEANESDMSIHKALMDINESPVYVLLNPAINHAQKDLPVTIYESEFHVIDGIPQPIFVNASYAIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHQYLGAMQKGDIPCDNSLLRQVASLLRSLPAAQSEKFKEDFLMEYNDKLLMSYLAMITNCTSNMNEVVDKFNTAYERNSRRGGRTPFM >A09p001140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:907747:909208:1 gene:A09p001140.1_BraROA transcript:A09p001140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEVKENQSDKLQRTAPRLNERILSSLSRRSVAAHPWHDLEIGPGAPSIFNVVIEISKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYKHYTDIKELPPHRLSEIRRFFEDYKKNENKEVAVNDFLPNGPAVEAIQYSMDLYAEYILHTLRR >A03p065560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28954368:28961220:1 gene:A03p065560.1_BraROA transcript:A03p065560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDDAEVRNPTAVTAETENSIGGPDHEEGQQEEDDEVTAIAAEVAAEQKEEDELIEKAQKLMDSITAGANNPNPTILHALSHILESKESLFVKVSEFYSNDRSNHISGNLCNLIRENDEFFELISSNFLTEDTYSTAVKAASVRLLVNCSLTSMYPYVFDDAVMDKFKNWVLDESVKFPGEHSGSKEASDAEMLKTYSTGLLAISLTSRGQLVEDVLASGLSAKLMHYLRVRVIGDSSTSRRDALHTTEAKHVSLKGKEEGRGRVRRVVDTVEGDHVLDPDSGREMGQSEGEFEIDGNVSAVVDCKLKPGDDNTGRDDPSRQRMNRSKSRGRGKVNEGVTDTDVLLTSPRSIRLGVRDRDLPKNSDVRNAEDVTLCLGKMKSGIEFKSSGSEEAAVSAAVRAATTVIDAAEVSRNPTCVISDQTAELNSVETDAIADVGEVSLPDIKSLAQLQEKYCIQCLEILGEYVEVLGPVLHEKGVDVCITLLERTSQLEDSFALSPLLPDVMKLICALAAHRKFAAMFVDRGGLQKLLAVPRVTETFYGLSSCLYTIGSLQGIMERVCALPSDLIHQLVKLAIELLDCSQDQARKNAALFFAAAFVFRAILDAFDAHNSLQKLLAILKDAASVRTGANSDRSAPEVMTSSEKQMAFHTCFALRQYFRAHLLLLVDSIRPSRSGRGGVRNVSNVRAAYKPLDISNEAVDAVFHQLHKDRKLGPTFVRTQWPAVNNFLASSGHVTMLELCQTPPVDRYLHDLLQHAFGVLHIVTSIPDGRKAIVSATLSNNRAGIAVVLDAANISNSIVDPEIIQPALNVLINLVCPPPSLSNKPPLAQNHQPVSGQATARPSTDAAADTQSTGNAPPTPVAPASSGLVGDRRIFLGAGTGSAGLAAKLEQVYRLAREAVRGNDGIKILLKLLQPRIYVNPPAAPDCLRALACRVLLGLARDDTIAQILTKLEVGKSLSELIRDAGGQSSGTDQVRWQAELAQVALELIGILTNSGHANTLTASDATTPTLRRIERAAIAAATPITYDSKELLLLIHEHLQASGLGETASALLKETQLSPLPSLAPPSSVAFSATQEMSAPVVQEQWPSGRANGGFFTSKPKVCAHDEDPNSRCNAAISAKKKHLASSTHETSSTPVAQQQWPSGRASGGFFPSKLKVNTHEEDPSLIGNAAPSAKKKQLTFSTSFSLQPRNQTFPQDAHPQSTQRIDSSSHSDPACADTSDTAAKLVLKSDIDADSQFKTPTFPRKRKLSELKDTDVSVSGKRINLGELGPPSPACRTTASFRRSSTIADASGFQTPASALDVNQSGSSRLGLMTPASQLRLPSDPQPSNTERLTLDSLVVQYLKHQHRQCLAPITTVPPVSLLHPHVCPEPKRLLEAPLNITGRLGTREVQSFYSGVHGSRRDRQFVFSRFKSWRSYRDENALFTCISLLGGTNHLAVGSHAGEIKIFDASSCSLLESFSGHQAPVTLVQPYVSGDTQLLLSSSSSDVQLWDASYITNGSKHSLDGCKAAKFSNSGRYFAALSCEGSTKDVLLYNVETCTLSHKYTDTSTSSRSSPYSLVHFSPCDTLILWNGHLWDRRVPDEVSRFDQFTDYGGGGFHPSRNEVIINSEVWDLRKMRLLRSVPSLDQTAITFNSRGDVIYAMLRRNIEDVMSAVHTRRSKHPLFAAFRTLDAVNYSDIATIPVDRCLLDFATEPTDSFLGLITMEDQDDMLSSARLYEIGRRKPTDDDSDPDDDGETEDEDEDDEDDGDDLDRILGLAGDDSGSGDDDISSDDNEDNSASDFDDDDGGMLFEGGDFLEIMSDGDDEDSGDDEDDNVDGEEDFLDNTQS >A07g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6676130:6677479:-1 gene:A07g503420.1_BraROA transcript:A07g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIWYAPDSSCLPNIFFITWTLFLIQLMTCIALHPKVSLDSCVMVLNQWEKTATEKQQLQTERTGLQSDESSCNWDLYANQRSVSALEASSERFLSGFEGPWLALGFVVALLAMVIATFSTGIDSQCFRFKKDVCSEGEDQEDGVPYMDMDSSILWMIDVGWTSTWVRIVNEWVAVCVYS >A09g505870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18291615:18294063:-1 gene:A09g505870.1_BraROA transcript:A09g505870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II CP43 reaction center protein [Source:Projected from Arabidopsis thaliana (ATCG00280) UniProtKB/TrEMBL;Acc:A0A1B1W4T4] MNRRIAMTIALGKFTKDEKDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWAFVALHGAFALIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGRFYHVETLFNGTLALAGRDQETTGFAWWAGNARLINLSVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGSICIFGGIWHILTKPFAWARRALVWSGEAYLSYSLAALSVCGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLRGVATEINAVNYVSPRSWLSTSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >A09p033930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20493544:20496974:1 gene:A09p033930.1_BraROA transcript:A09p033930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGTSSKEKKGFSVNPKDYKLMEEVGNGASAVVHLAIYLPTKEVIAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIKSYCSFAVDHKLWIVMPFMAQGSCFHLMKAAYPDGFEEPAICCILKETLKALDYLHRLGHIHRDVKSGNILLDDTGEIKLGDFGVSACLFENGDRQRSRNTFVGTPCWMAPEIMQPGSGYDSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFRDMVALCLVKDQTKRPTAEKLLKHSFFKNAKSPEICVKKLFADLPPLWTRVKDLQDKDAELALKGLDQEAISKSEYQRGVSTWNFNIEDLKEQAASLDDDDIQEEGMEEDEFFVQQLRNKASNRGQVPSEDMNGKEKVSDSFSLTASPVEPATPSPKHGVPQAKAKSLRVQAHESGPQKGHVYARPAASSRVEPSFTGALSLPKRASENSFSAPSKSSGGFRDSLADKSKANVVQTKGRFSVTSEYLDLARARPLRKSTSVGLLESKMPIKELISRPASPSPPSSPPFIMPQLQNLVLQTSKQQELIINLLKNLHTEATDGSQNGKLPLLPGGSDINGTVVEPISSERERLLLNKISELQSRMKEVTEELDEEKSKHAQLQQQLKTLLSYAEAYAEE >A07p035750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19290588:19293532:-1 gene:A07p035750.1_BraROA transcript:A07p035750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCGDPDNLKNRPLTPLRILRGLIILLIYLSTAFMFLLYFSPIFALALRLLSVHQSRKAISLIFGHWLALWPYLFETVNGTSMVFSGDTLPVEKRVLLIANHRTEVDWMYLWNIALRKGCLGYIKYVLKSSLMRLPIFGWGFHILEFIPVERNREVDEPVMLQMLSTFKDPREPLWLALFPEGTDFTEEKCKRSQKFAAEADLPPLSNVLLPKTRGFSVCFEALRNSLDAVYDLTIAYKPRCPSFMDNVFGTDPSEVHIHVRRVLAKEIPANDAECSAWLMDSFQLKDKLLSDFNAQGQFPNQRQGGEELSIVKCVATFGVVIFLTGVFVYLTLYSHSCFKVYVGLSFMYLSFATYYKFRPSPSVSWCRGGGVAVSATVFTLKNSCPYTVWPATFAGKGSTLGEGGFQLDPGASVQLTLTNEPGWSGRFWGRTGCNFDSSGHGNCATGDCGNSLKCTVTGATPSTLAEFTIQGDSGNDFYDLSLVDGYNVKMGIKPEGGSGECKYTGCVADLNADCPEQLRVMDPNNGGNVVGCKSACAAFNTDEFCCKGAHSTSQTCSPTDYSRKFKNACPTAYSYAYDDASSTFTCAGANYVITFCP >A09g515240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45328102:45328595:-1 gene:A09g515240.1_BraROA transcript:A09g515240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKAIKIQGFDSNSKASARLNRSSSVLPTHPLKTQPNPPSPRRLSGIRYAKNFTKPVCFRHTILSPLGYEIALFNGLSGEIDCNGESPHSLWLHPDNTKTARLSEVVSLLKCLR >A03g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31493672:31495030:-1 gene:A03g509800.1_BraROA transcript:A03g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPELKERQFRDSWIYSRRTCYQYHYMPSLKASSIVKVDRFEVARCSSMCSRDQSPIIITLFDNFQVIANTNLELPALTLARKQLESLSVSSLIRKKQSPHNSLLYYNLYCANINNQKYFLPKFCGHKQTQPQQEIQETIPTDTKATTTAPPAHFSCFIKIAYMPNVNRPMLLYSYEKYINSFKTH >A03p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9638152:9639946:-1 gene:A03p022860.1_BraROA transcript:A03p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRVVPAEEWWERGRDSSLDGECDLGLMVTDFIETGGGGSGGGGGGGDSWCSSDSDSGFPDPSYLSDKIQFLKYSMPQHETEVLSAVRTLMLSIKEKDLHSVKSGTCNASCIRFYLAKLLRLSGYNAAVCSARWQGTGKVPGGDNEYIDIIMSDSPVGQDDRLIIDIDFRSHFEIARAVDSYQRIMESLPVVYVGTVARLNQFLQVMVDAAKYSLKQNSMPLPPWRSLNYLQSKWLSPYKRHLGPINQEGPGMFSPGLHRQCAENLKRLQFALHAEQEAERFVKKKKSRERMRIHGAP >A08p011200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000118.1:13042:15758:1 gene:A08p011200.1_BraROA transcript:A08p011200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQGTSKSSLASLSDVSGSQKVYLVHHQIRVERERMMPIVCTMERCYKKGEAHMVYQKKKPTGLGSGVPIQEGAQTKTENFWLCEEEGYSIKAASIVRRVIAIREEEEVRIQVVHKKCDTCNSPTTKNVKTKVLCHCISSLGHSLVYRKCSMGHYAMRGVSCETLYGDSNTLIPG >A10g501990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5258011:5262854:1 gene:A10g501990.1_BraROA transcript:A10g501990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSDTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLMARTQAIRPRGTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSHVEKGNPENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRTKSAPEEDGVKSSINANASDVEARHKSEAHATTEPEHPENIKVPGQRSTKRIRGTIHFLAAIVKIDRNLLGIGGNRDGIPEPLDPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVISSRPPKTSDRTAALAKVTYRGKGILEVPVLNLELRCTSLHHLDDFSFAFPLRFTNSPRMITSKLRFSLQHLALHASEIPLRFLRLEAVDHGFSMARLDGRAQQVQDLQNRLACYKYIHRTITKKFLKKKLTPLMMRDPSATTLGLADSFRLYGQRKRTSSTS >A05p024840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12147641:12149539:-1 gene:A05p024840.1_BraROA transcript:A05p024840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEASRTDDGDRTLFKQRETSQISSFLNEFPASANVLVVEPNFVTLRKMKNLMIKYGYQVTVYADAEAALAFLRNCEHGINLVIWDFHMPRINGIQALKIICTKMDLPVVIMSDDDRKTSVMQATVHGACYYVMKPIRKEIIATIWQHIVRKRMMSKSGLIPPVQLDAVQNHDGFKENKDDSMPVDQGNSEQNINMIGEKAEKKPQIGENLPIQSDSVQNNGSDQDNNDSWTKSPYNSEQNMDGEERKQPKTRVVWTNDLQEKFLKAVDILGGARKANPKPLLKMLEDMNIKGLTRRHVCSHLQKYRLSLEGKEITQQMQEFGWSSACTTSPLLGLNNVHTATSSLINGGASYPVQENQYQNGYMEVNNNHAASSTLMSGRATYPVQDNQYQNGYLGVNNNQVMTNTMPYDFDHDHYLQKQKPEKASSIDIPEDLGLAYTMPRLPYNLGHGNHLQHEQQHQLSHQWNNVMSNNEPELPSSNGVTGVGGTYPSLPYDPNEFYNYNQ >A10g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1032598:1039744:-1 gene:A10g500310.1_BraROA transcript:A10g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNFNLKIHIRSNDTTSLNTKENQTTIINFSETVLPLNPNCFRNHVHYNSSRQSGFSEIISSAKEKGRSEAVLLNIVAHLEKLNRKFDSRLTEYDTKFGSFSRGLLDTIGDTVKTTVEERLRVFGVSNSSQPEGQNVMVSEDNQQPEFNSGQPDGQPDGQNVMSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGIADATNADLVSDSPGKEPPFGRGCRGLGKRNNLAADLERNEAELKKKQKQEEAELKKKKKQEEAELKRKKKQEEADQPIRLHKTAVKADIAQPNLKTYPKIGKYLISQPIRLHKAAVKFPRTLKSILTMSSSSFTSGNYYRRRRNTERGTAQEISSLHFLSQGVLRETPSESSISVFFVFIDLCLLCLLRSQEQINMGPKTRGGMVRRSRRSQGLEAETEFIEITRKSTKMRKLNKGKEVAIEEENIEIRQESADEVPTKVSEDVNEADGDGDGDDPHVEIEVENVIAEEQSQSENGVTEEPSQPREADMEAENGVTQEPSQPREADMEPENGFTQEPSQSREADMEPEN >A04p007470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8854903:8858117:-1 gene:A04p007470.1_BraROA transcript:A04p007470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKHSHKLKSPRSSSEFTSRHCEAPSDNKLQQDSAHQGAGLPMKSLLAQEMSKQKESKKRSPSIIARLMGLDVLPSSQSSSHRQHKSMENQEKQGRSGEGSSYKSLARSSKGGEQKFKDVFEVLDAKKAESNRNLYHQGKVNAANLTQAEMAFIRQKFMEAKRLSTDEKLRHSKEFNDALEALDSNKDLLLKFLQQPDSLFTKHLHDLQTTPHKKSPNSQRHVDSHSHRHGGGGCHSHSHTRHASYDTLDLQATNIVVLKPNHGEPRYVSRAFASPSSSSDEFRADRRLPCTSNHSRQKSNEDIRLSRHSSRDSGEFSKIMSRQRKASRGNGSSVTSFETSGFRGYAGDESSSGSDSPSESELMPVTSRARAAFNRKNYHRSSPSKSSTTSSVTREAKRRLSERWKLTHKYEEEIEIRRSGTLAEMLAASDREARPASFNGLIFEEGISKRVEWTELPEPVGISSRDGWKGLSSRSFSKSKTIMNQESTYGYTIVLPKELNYGDGLVKGSSSHRGESQSYLSSKSSRPGSYKSHSPYNSSPEVNMSPSLTKFLYQKEKLSPSKSRYSFSVDTEDDSSASDDMKTAMSSEAPDLSTVTSLTDPDISRMRTEDVNHSLVPEPQSRESSKEGDQPSPVSVLEASFDDDVSSSSECFESVSADLQGLRKQLQLLKLESAAYNEGGMLVSSDEDTDHVESSTITDENLIREEVKEEDWKSQYLVDLLANSRFGDSDHTTVVETPVDSFLFEDLEKKYSSVKTSTRLDRKFLFDQISRELMQILKQFSDPHPWVKPTRVCQKWDTNMIQERLRDLVTRKDGKPSKDDVELQWLRLEDDVEIIGREIEEMLTDELLAELVIDAIF >A02p001580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:698656:701396:1 gene:A02p001580.1_BraROA transcript:A02p001580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCQVTYPLIHYTRNLYNPLAYASASMRRFLFFVSCFGLFALIAITYAWLAFPPHIGRTDHVSSSSLGCREDNEGSWSIGVFYGDSPFTLKPIETINVWRNESAAWPVANPVLTCVSLTNYGFPSNFVAGPFLYVQGDTLYMFFENKNPITMQGDIGVAQSTDKGATWKPLGIALDEPWHLSFPFVFNYNEQIYMMPESNQIGELRLYRAVNFPLTWKLEKVILQKPLVDSTILHHQGNYWLFGSDHSSFGHLEIWYSATPLGPWKPHKKNPIHNGARNGGRAFLHKGNLYRVGQVSSESYEKKIRIYKIEVLSKEEYREVQVPFDLETSHKGQNSWNGVRQHRFDVLQLSSGEYIGLVDGDRVTSGDLVFRVFLGSASLAGAITLVVLLGFFLGILNCIVPSTWCVKYYKGKRTDAVLNLETTSFVSEQLRRMCSRLNRVPPFLRGLVKPNSTFGRLTLGLLLVLGALLTCVGISYINGGSGAVLPYTLKGHVSQFTLATMTYDARLWNLKMYLKHYSRCPSVKEILVIWNKGPPPELTELDSAVPVRIRVEKLNSLNNRFNIDPLIKTRAVLELDDDIMMPCDTIEKGFRVWREYPERLVGYYPRFVDETMSYSAEKFARSHNGYNMILTGAAFMDVGFAFGLYQSEKARLGREFVDEQFNCEDVLLNFLYANVSGLVKAVEYVRPSLAIDTSKFSGVAISGNTNDHYRKRSKCLRRFSDLYGSLSDRRWEFGGRKDGWDL >A07p034570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18758531:18760511:-1 gene:A07p034570.1_BraROA transcript:A07p034570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGFQWGGPREKFVKESSILLVTVLDSDPSNYPYIDKFFVSLATRVASLKAEYREVATSYKGQGLFFLAGDAESGQHALHITISFCISQSFSSVVSLHIPKQKTQVPLIIILTPDNKKYLEILSYAPSCGHCQKLAPILDEVALKLQNDPSVIIAKLDATENDIPSEPFDRSASGNVNVEYEGDRTKEDFISFIEKNKATNSHGDETTSTKIEEAKRTGESAAKDELVEALLFFSKSIRVFSL >A03p028910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12169311:12172139:1 gene:A03p028910.1_BraROA transcript:A03p028910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxine/pyridoxamine 5'-phosphate oxidase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G49970) UniProtKB/Swiss-Prot;Acc:Q9LTX3] MRSVIRRRVTTMITSFLLQSPPLSSISPKHHQYSLLLPLTTQRFLTASHSFRLRTFSTKSIPNMQDSASVSYLSQREAAEIDETLMGPLGFSVDQLMELAGLSVATSVAEVYKPSEYSRVLAICGPGNNGGDGLVAARHLHHFGYKPSICYPKRTPKSLYTGLVTQLESLSVPFVSVDDLPEDLSEDFDVIIDAIFGFSFHGAPRPPFDDIIRRLQTLQKRPVIVSVDIPSGWHVEEGDHEGGGIKPDMLVSLTAPKLCAKSFSGPHHFLGGRFVPPSVAEKYKLELPSYPGTSMCVRIGKPPKVDISAMRVNYVSPELLEDQVESDPVLQFRKWFDEAVAAGLRETNAMALSTTNKDRKPSSRMVLLKGFDENGFVWFTNYESKKGSDLSENPFAALLFYWEDMNRQVRIEGPVERISEEESENYYHSRPRGSQIGAIVSKQSSVVPGRHVLYDEYEELTKKYSDGSVIPKPKNWGGFRLKPNLFEFWQGQPSRLHDRYLFTYIAVSWRPVRLQYSLQEGNPAWIIRRLAP >A02g501370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4249281:4249694:1 gene:A02g501370.1_BraROA transcript:A02g501370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDAALQRRTGVWWDLNTCPVPDGFDPRRVRGCIESAVHKQMGHRSKVIIYAMGNLEYISSALLEEIASSGIVLIHAPCGGNDFKNLLREWSQLNPSSPATTVMLISRNYILIFSAYWIRCFLCISKRWPACYP >A02g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25057294:25059845:1 gene:A02g509390.1_BraROA transcript:A02g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSEKYSEKRSEHGQLFLTILFGVLKLRITYVLQPLILIDGQGEYSDQPDPCDGSEPRVIQNLIVYSLSRKSRIAVNWSCDMDQGHEDTMMGSHPGGRVTACSVGCSILEYLMEMMVTSRWETFTLGREGTALASVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGALVFFDCWSKAIGSILRTSDRPSRNIDRVNNSSYPLSQSKSAYIAKSLTKIGQASMNEALMVLAAKYGSLFLTYILGSFVKQALMVEPPSLVLILYL >A09g500280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:846423:847082:1 gene:A09g500280.1_BraROA transcript:A09g500280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTVGATRITSVSTQPSPLGNIAGAASPQTLVTTELIRPKSLRRNHHLSSTLKLLSGEMHRTNRDLIRLKQADNHLKRPKQPEGINRPPTRAPPSDQSQHRTNEIKTLKKQKTHLGNNKNRRRKARNTFTPRRLKPAKAELREPPPPGNKAGGDRALEASTSQNRTYSKTASFTTQSSTRLRRYSRRRAPKDDGSLPEVPPTEEARTRASTRQKEEDA >A09g516060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47585849:47586124:-1 gene:A09g516060.1_BraROA transcript:A09g516060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYFFSFLQKPKDSYLNISSLYKSKSHFLVLSAKYLCKISLFDLFRITKRNPCFGRHFS >A01p029570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20976507:20979319:-1 gene:A01p029570.1_BraROA transcript:A01p029570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQIADYPEEDDGDGGLPIPKMMFAAGEELGTKQKDDVSLSQNTIAVKGFALALQLVMVEAVPSLTEVVLQTFSSSESDSCDEDDDFLHKKMKKQTLSHGHAREVDQKTEVFDLSPTFQFLYIRSIIPEDPDRPIEAGTLVWADEVVDVEVDNLVKLITQNHSFNSEMFKGPARFTVNQWHSACIDRCLTLNVDLQAGLMVVKEVSSAEETTSKLASYQASVMGSIDNFLMNFKDDVIRSVTEMHNCDHGQKTAADQRRHSKQGTSDADIGGR >A05g502770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8547676:8548261:-1 gene:A05g502770.1_BraROA transcript:A05g502770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQKRMQTRSPRNDRPANKPVATYRSTRARVGRNVGPTQPLGRSLSSDRVRDGSSLSCDRLVRGSINGYDPKPCILVSSSMLVPEYRSYINSHSHQSELSLKLYNKNRGKFMFIDKNRNKRFELKDGPNRSKTRLETHLQFFKENPIDIMAINVKFPRIIMKIEEN >A02p024790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12629716:12632092:-1 gene:A02p024790.1_BraROA transcript:A02p024790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAEKGLLALSGGGEEEVSTRDRFLREMRRLSYIAGPMIAVNSSMYFLQVISIMMVGHLGELYLSSTAIAVSFCSVTGFSLVFGLASALETLCGQANGAKQFEKLGEHTYTGIFSLFIVSIPLSVLWSYMGEILSFIGQDPLVSQEAGKFATRLIPALFAYATLQPLVRFFQAQSLVLPLIMSSMSALCCHVVLCWSLVFKFGLGSLGAAIAISVSYWLNATVLGLYMTFSSTCSKTRGKISMSVFKGMKEFFRFGVPSASMICLEWWSFEFLVMLSGILPNPKLETSVLSVCLSTISSLYQIPESLGAAASTRVANELGAGNPKKARMAVYTVMVITGVEALMVSAIVFAARNVFGYLFSSETEVVDYVRTMAPLVSLSVIFDALHAVLSGVARGSGRQDIGAYVNLAAYYLFGIPTAVVLGFTFKMRGRGLWIGITVGSCVQAVLLGLIVSLTNWKQQVRKARERLMGDEFEDDEHVEIIS >A05g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17773016:17773567:-1 gene:A05g506200.1_BraROA transcript:A05g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSTRFLNLYTGDSQERRLTKQSNLNIYPPQYWWQIVKELLRITSMETSKDYKKLQCPHDPEVYLNARKFDPYGIKN >A08p035800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21105599:21106987:1 gene:A08p035800.1_BraROA transcript:A08p035800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB117 [Source:Projected from Arabidopsis thaliana (AT1G26780) UniProtKB/TrEMBL;Acc:A0A178WCI3] MFISEKQRWTDEVAAARREASSWDFPFNDINVQHHRRCNTSHEFDILKSPLGDNVEGSNNHNPNYSNNESGKKETTDSGQSWSSSSSKPSVLGRGHWRPAEDVKLKELVAIYGPQNWNLIAEKLQGRSGKSCRLRWFNQLDPRINRRAFTEDEEERLMQAHRLYGNKWAMIARLFPGRTDNSVKNHWHVIMARKYREHSSAYRRRKLMINNPLKPQLSNHPSPNPNHHSFISTHHYFTQPFHEFNLTHHLVNNASITPDHNQLVLPIHCFQGYESNETPMVVSMFGNQIMGGDNVDVMSEGLYEYPYIDSTSHEKGVPNEPMSWIGMEGEDEEVVEKAKQQPHFFDFLGLGTA >A06p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3709596:3710868:-1 gene:A06p010340.1_BraROA transcript:A06p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSESPLVLVHRPPTLAYMDDHLSRNFRLLNAHLSPDPLPLFLSRHAASVTAFVNIGRLKIDAELLSHLPSLQLLVCTSVGTDHVDLAECKRRGIAVTNAGDAFSDDVADCAVGLLLSVLRRIPAGDRYVRSGNWSKPGQFQLGIKTKRYIVVGYRESVDKDENYLMNLVSGKRVGIIGLGSIGSRIAKRLEPFGCIISYNSRTQKQSIPYRYYSDVLSLAADNDVLVLCCSLTDQTRHVVNREVMESLGKEGVIINVGRGGLIDEEEMVKCLVEGVIGGAGLDVFEKEPQVPEELFGMDNVVLSPHAAVATPGALESVAEVAIANLKAFFSNQPLVSPVRLG >A01p051370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28794404:28795697:1 gene:A01p051370.1_BraROA transcript:A01p051370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSSVLCFLLTKVLKIFKVEEGMTLEIYVTSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFVLGVAAGLEMMSCRMLLIMSIISFGVLVASYGELNINWIGVVYQMGGVVGEALRLIFMEILVKRKGIKLNPISLMYYVSPCSAVCLFLPWIFLEKSKMEGNSTWDFHFVVLTLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYAIAIAGVAAYNNHKLKKEASPRVTTETPGDDSESIALVSQANTER >A09p025150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13996114:13997010:-1 gene:A09p025150.1_BraROA transcript:A09p025150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFYSTKTGSEIVPAAGNGDQERANPIIAHGHSHGHGVTLTTKDDSDSQLLGYREMVLELGTIVHSVVIALSLGATNDTCTIKGGIYNCEKVGDRLFRGYNTIWNRFRDCIVKYYRDNSPAALITVGLFNACSAGLLIYMALVNLLAAEFMGPKLQGSIKLQIKCFFAALLGCGGMSILAKRA >A01p007750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3769537:3774242:-1 gene:A01p007750.1_BraROA transcript:A01p007750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNPAACCLSLSRLRSKKMSQHCHADCQRAMDAQEEHDAAERAAMMAVSLISSARMVANLDREYTSYSVQFLVDNAGRKNESAQDPQPSTFTIQDCLQYLVEIATPKPESEPREVDERRNTLTLKDCLEYALKEGLPKLEDWTHVGCVHKPPPFASLIPRVPMKGELIEAKTSEEASKLLRNQPVGAKLHVFNPEFERVRDEGFYEGPSGPESRYVGLRDVMITGNGRMKGGPFLEFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQIFFSQFCWCVISSLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLSKEKTISITFHPPTPPSSDPGRDSHSRAAPSDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRQEEGLQSFVFHPGRDSHSRAAPRMNKLRRDKQELVVVKEKPELEDEYGDHTTRPPDQKDVKLRVHGLMFMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A03g506250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22295105:22295776:1 gene:A03g506250.1_BraROA transcript:A03g506250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRTGGDRVKLGSVRFLERIIELKGYRFKQSCGSLFRSAVEFQTTVLPPAKRQRIITMNVPWSSYNFRIESLTQKLLIGVICCVDHQYLISIDIISNQKKSQSGSKILTTLSVATRLSSSWTWKLIG >A02g512780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35044863:35047030:1 gene:A02g512780.1_BraROA transcript:A02g512780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETLEETTTPEVKSPAKDEIGAGDEEGNVSKNSSVTQSSSERPTRERKKVERFSLPSPTRAIPNKSVSIEKGLGTPLREIPNVAHQLSKRKADGNLILLHTILYGKKAKAQMIKKNISQFSGFVWSEEEEEKQRAKVKEKLDKCIKEKLIFFCDVLDIPINRSHIKKVRSQRAQDHTKKKRKSGESSDPPAKRKRQAKKGDHPSDKEVGKDEGDSDSEDSKDTHEEDATAPEEEDSDHEKTETEEERISSGTKDSKNSGGSSLVTFAVCNKHSGDHFGVDLLHRKKEVKGVITDAISEMSDVEDEESEAGSEKEKEEEVKAEAGSDKEKAEDHEKLED >A01g506440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18659344:18662515:-1 gene:A01g506440.1_BraROA transcript:A01g506440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKTFAWSKTYVPNLVRTIAMFIGIAIWVKSTPYFRRKKFEIFLYTHQLLCNLLCVPRGRLVAVHDLAQHLPLLHRSLFEVPTELYDHISSSSNDSLEVSTEGPYGPTSFDFLSHDSLILVSGGSGIAPFISVIRDHFPKSKVDHEATRSSSFSDISKLKLRIEAYVTRDNEPQTTTSADGNHLKTKWFKPNNKDSPISPVLGPNNLLWLGVVILTSFTMFLLLIAIVTRYYMYPVDLTVNVYNFTYRSLWDMFLGCLCIFIAASAVFLWCKKQNKEGDNDLNKNKQSDINF >A02p025570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12177757:12179160:1 gene:A02p025570.1_BraROA transcript:A02p025570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSLIDCSLFAGFSEFDLGFNLCHQVTVIHNMAFLSKVGRIFRQTSTHVTASNSMLQSIRCMSSSKIFVGGISYSTDEFGLREAFSKYGQVVDAKIFVDRETGRSRGFAFVTFTSNLEATNAMQLDRQAIYCFCFLEHSTFMVEELGWTSLLKEEEDLEEGGSVVQVVVLFFMMILYRVVTLTIFLFGAIDNLAWQFGGSENESLIPP >A03p046960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22640641:22642001:-1 gene:A03p046960.1_BraROA transcript:A03p046960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSNVPKFGNWEGEENVPYTAYFEKARKGRAPGGRVTNPNDPEYNSDSQSQAPSRTRPEEVDPVRKSREGTRSREESELKQFGGGGGGDGSGSSNEKRQGRSSQNNSYDKSPLHKNSYDGTGRTKPKPNLRADESPEKVTVVPKFGDWDENNPASADGYTHIFNKVREERSTGAANVSGSSRTPTHPNSRNSPSSSSKCCCFGFGGK >A06p049710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26238778:26241458:1 gene:A06p049710.1_BraROA transcript:A06p049710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDIAGILENTKELDRLRKEQEEVLVEINKMHKKLQATPEVVEKPGDTSLSKLKILYIQAKELSESEVTVSNILLTQLDSLLPSGPTGQQRRKLEGNDQKRKRMKVESDVQRVSPSVRNQIEAYASLRGEQVAARVTADDAEKDEWFVVKVIHFDRETKEVEVLDEEPGDDEEGGGQRTYKLSMSCILPFPKRNDPSTTQEFLPGKPVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVALPEGHRQ >A07g508230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:22770991:22771170:-1 gene:A07g508230.1_BraROA transcript:A07g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLDNVEAYVVQMHRSVQLLKEVSANRILQRLYPYHPIRKFTSIPVHQLRIAYKPLRF >A08p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21476287:21478841:1 gene:A08p036710.1_BraROA transcript:A08p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWHDLYTILTAVIPLYVAMILAYGSVRWSKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAINLRFIAADTLQKLIMLTLLTLWANFTRSGSLEWSITIFSLSTLPDTLVMGIPLLTAMYGEYSCSLMVQVVVLQCIVWYTILLFLFEYRGAKILIMEQFPETAASIVSFKVHSDVVSLDGNDLLETDAEIGGDGKLHVTVRKSNASRRFLCCPSMTPRPSNITGAEIYSLDTTPRGSNFNHSDSYSVMGFSGGRLSNFGQSSRGQTPGPSNFEVSCGMASSPGLGYDPGTSGSYLALNAEFSSLVANKTVSKNHHTRGKSSSSHDAKELHMFVWGSNGGSDQGAKEIRMIVPEPPQKAETKATCPRNIDFGGEEESVRVKKVATGRNKLQCNSMAELNPKEAVETVPVKHMPPASVMTRLILIMMWRKLIRNPNTYSSLIGLIWALVAFRWNVTMPRIIQQSISILSDAGLGMAMFSLGLFMALEPKLIACGNSTATFAMAVRFLTGPAVMAVAAMATGLRGDLLRVAIVQAALPQGIMPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >A04g507870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20128384:20130431:1 gene:A04g507870.1_BraROA transcript:A04g507870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WSESWLSTSSHLPICGPPKEIDRDLVVADLLVRDSVQWNRQKIESILPSVAHLIYQIFPSSLKAEDRFCWQRTKSGIYSVRSAPALVCLPPTGITTDLFSWLCWNLWTARNRLIFENRVTSHAAVVTNALVNAREWNQAQKIPSPPGPTPTSAPLYHAPLPPDTSLCNSDASWSRQSLQAGLGWILVNETLPHALFGSSCCNYVTSPFLAEALAIRECLRAARTAQVTKVWMRSDSQSLIRAINSKTYPMELFGVLMDIELLSSTFAFILLGLTHVEDGLDNAIHNLNRIR >A06g506940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19174091:19174984:1 gene:A06g506940.1_BraROA transcript:A06g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAAVANPIPTEMFRFRSVEHTLSCGGNNNLGDQMLCTVLEQSKRSDNDGPKSSVINHGVCDANSVVECGRGEAIEPSNSSDDGRNTSEVTPQKELCTLEKGTD >A02g502250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7294303:7295113:-1 gene:A02g502250.1_BraROA transcript:A02g502250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54430) TAIR;Acc:AT5G54430] MNPDPDHPQLPTIKIHHPPSPHHHHSSSTPSSAATPTPTAGARRKIGVAIDLSEESAYAVRWAVDHYIRPGDAVVLLHVSPTSVLFGADWGPLPLKTQENPSDQPSQEDFDAFTASKVADLARPLKESGFPYKIHIVKDHDMRERLCLEIERLGLSAVIMGSRGFGAEKKGSDGKLGSVSDYCLHHCVCPVVVVRFPDDRDGPGPVVTVKKSGDDDDEDDVAGTRHEHHHVKGLSAVNHLNM >A03p023900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10110367:10112448:-1 gene:A03p023900.1_BraROA transcript:A03p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLCCSRHPDTYESTTGNNGDRSLPTGDDKFLSDASTFSVKEQESQLKAARLEEQRLGREAEKVNSWVKHESARYLLVIVIPGHGDMRHCLSVGGSVEIGDVKRTVSGGRERDKVVRVAFFSRWMMNRRHRK >A01p041720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22449961:22450247:1 gene:A01p041720.1_BraROA transcript:A01p041720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFFPIIDPFCDHQNYLWSSFAYKMPICNGSQRKRRAARFVNSSFNLLDLFGLLGGYMYHSHRCCQLHLSLSL >A01p059210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33914056:33915310:1 gene:A01p059210.1_BraROA transcript:A01p059210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASRDTSVVSVAVSTAFPGFKFSPTDIELISYYLKRKMDGLEGSVEIIPELDIYNFEPWDLPDKSVVKSESEWFFFCARGKKYPHGSQNRRATKMGYWKATGKERNVKSGSEVIGTKRTLVFHIGRAPKGGRTEWIMHEYCMIGISLDALVVCRLRRNTEFQGATTQKPPSLSLDKNKNMQSKPVSESNSGWENNMFDYYLSGESGHELLSEITESSQSSHNPQVPSEEDFYADILRDDIVKLDDPADSGNTLIDVPRLQSESTTTRVLPLPSMVDKQMQSLLQKLPLQNDIGEENNISMSSCFIGIYSIKSINRARWDVVAWVLVMIAVLVFYLV >A09p005800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:3137468:3138250:-1 gene:A09p005800.1_BraROA transcript:A09p005800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQVMSAASSSVAQLEKCNDINVAVEESKETATENNNPGLGSDSQEVVSERRSENPSLGSGAVPTGNGYICRFCNKWWPTSQSLGGHMNSHTRELQWERKQKEMEQFHRQWTLSQYSQGALSNDNNLGNTSEPFKRLYPSFNSGLMDMNMTVVPRMAPTGFFSGNTFTNGSFSGGLEPIPSYNNYPPMLPRNVPPFPPHRTTNLPSYWYPQENVLNEEDVILKLGNDNIVEIDDDDDDDDAVDQPEEGTSKSWGADLSL >A05p041500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25214970:25217613:-1 gene:A05p041500.1_BraROA transcript:A05p041500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFEAERDVQKNYWIEHSADLTVEAMMLDSRAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAQKAGELIALDFIDSVIKKNESVNGHYKNVKFMCADVTSPDLNITDGSIDLIFSNWLLMYLSDKEVELLVERMVGWIKVGGYIFFRESCFHQSGDSKRKSNPTHYREPRFYTKVFQECVTRDAAGNSFELSMIGQKCIGAYVKNKKNQNQICWIWQKVSSENDRGFQRFLDNVQYKSSGILRYERVFGQGFVSTGGIETTKEFVEKMDLKPGQKVLDVGCGIGGGDFYMAENFDVHVVGIDLSVNMISFALERAIGLNCSVEFEVADCTTKHYPENSFDVIYSRDTILHIQDKPALFRTFFKWLKPGGKVLISDYCKSSETPSPEFSEYIKQRGYDLHDVQAYGQMLKEAGFNDVIAEDRTDQEDYEDIVGGWKAKLERSASGEQKWGLFIANKN >A02g508880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23930827:23932020:1 gene:A02g508880.1_BraROA transcript:A02g508880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKRDSDVEMGEATSPAPVLTSPAEGPACVAGHLSFREKIVRRQAEKELAQAGSEFPSSSAQVVAPCHGTEVMAPLPQALPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSVVLATQPKSRKRKLAKSGDEETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQSRWSETETMLTAVKDSHSAKVSKPEVAIGELERDLGKTASSLLKEKKARKAKSLEVRRLQRQIEGDAGLATRRIQEATDALRAEFQARLTKISASLGSLECIWSRDLALATIEGGMAVVRLFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCSEYPEGKDLMVSSWPT >A10g506360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18151995:18152610:-1 gene:A10g506360.1_BraROA transcript:A10g506360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKQSFQAGQAAGRAEEKSNVLMDKVKDAATSAGASAQTAGQKISETAGGAVNVVKEKTGMNK >A01p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1943716:1946474:1 gene:A01p004550.1_BraROA transcript:A01p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTGRLYEFSSSSMKSIIDRYSEAKCETSSEINPASEIKFWQKEAAILKRQLHSLQENHRQMMGEELSGLSVEDLQKLENQLELSLHDVRMKKDQMLLEEIQELNREGNLLYQENLDLHKKLNLMRQQNMELHKKVSEVEGVKSSEKISLLTNGLGMRDNSSEHVHLQLSQPLQHDETHSKAIQLSYFSFIS >A01g511110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31007312:31010058:-1 gene:A01g511110.1_BraROA transcript:A01g511110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVFTEKQEALVKESWEILKQDIPKYSLHFFSQILEIAPAAKDMFSFLRDTDEAFGCRRRVLFSVACFALLWFYFFVLVNCWNRVSAVSYGSAPSCPLVSTSLTPRQTIASPTPLWVASSSHGSLRLTASPVSRRLTVSSAVHLHVTTHPLRTRRSAKALDTRSARLSETAEPTLEFQSEPPLLTSVNVHHIPPLDAAPLCTSRTTRSTHAEGRLVRSGGLCVSEASHSLRSVSWPSQLCEMLGMYSLRELNPDRFLELSFRNVAIGVWFSSGLDEIYGSRYGNIGVHFLSWSLVRTPSWLIFRNIASPLPRRLRIPIPSESRWYSNDTCFGLNQNYLWSLNLLIVINLSHYSFSEASCLFTVCHCASVQRVHLAQNRDVVLKLPLFVHPSQVSRVFISSHFVTGAIRFHGPSYMFVIIKSRTFILSGSVEIHLVSSWNLDVGARAVHALSTSFQTLQFGIINVDFDYFMLVVVTYSGIHLMLPTVLQWMSKTLSFSFVITCFMLCFMIIKPSRIPLVLILLPLSLAPDTCETAIQLREKGKVVVADTTLQYLGSVHLKSGVLDPHFEVVKEALVRTLKEGLGEKYNEEVEGAWSQAYDHLALAIKAEMKQEDSQKP >A07g500940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1844388:1844753:-1 gene:A07g500940.1_BraROA transcript:A07g500940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYERAMDGHALQVSREDIAEILQMVNGAENLFIQQHNSPTHQQRVPNEFYDTSGGVYNHFKPNYHQHTRPSIDVDVPTSIDRRPEFGKKPMTVMALRDSTGRRRMDMKSTEMIMDMPEI >A05p053470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30879885:30880935:1 gene:A05p053470.1_BraROA transcript:A05p053470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIPFLYNSIQYIAHIQDKHDQLLLILSVIVVKCCCSSKSKEEEEEVPAGQVVKKTFKKLCVLVVDDDRSCRSLYMGFIQMRGGFPYMAENGEEAVNLYRDGQTFNLILMDNEMPVMNGVSATKELRDLGVTSMIVGLTSHEDENSGFMEAGADHCLAKPITFENIYLLIEQLKDE >A07p007990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4896132:4896507:-1 gene:A07p007990.1_BraROA transcript:A07p007990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETELSETEFSERELRRSSFDSLGSRKRKINRVPLPFTTPAHSAYSSLEDGFDSNSDNEDSSLMAC >SC197g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000059.1:5252:5898:-1 gene:SC197g500010.1_BraROA transcript:SC197g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDESPLGRYVATEFEPKLGCYVATERSPRSVATDRAIILLGRYLATELGQARSLRSDRAIVPLGRYIATELEPKLGRYIATERSSHSVATDRAQAKARSLHSDRALVLLGRYVATGLEPKFGRGVAIELFRTSTDINPRILVKSSNAISRRP >A06g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21382725:21383656:-1 gene:A06g507660.1_BraROA transcript:A06g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESYLTLDQLNKKCPYRRTEYENTIQGCVHPSLIEKFGDGLHEGAIIEICKFNLQDYNKNYKISYHKFQIRLTERTTIACVEQQLPQTPPEKFQFRNYEEFAQLKDSTYDLYDVIGCIKNIEKTDVRSKTTPVLRRVIQLY >A03p052890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22685615:22687079:1 gene:A03p052890.1_BraROA transcript:A03p052890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFNYSNDSTFAAPAPNPIDAFNYSDGNYTLGAALNPIDACWRRDAKWSTNRQALARCAVGFGKGALGGSKGPIYVVTSPADDAGKPKPGTLRFGATQSNPLWITFARDMVIRLRTELEVKSHKTIDGRGVKVDIGNGPCISIKRASNVIIHGITVHDCRLSKGFDGDGIRVFQSRNVWIDHCHLSRCQDGLIDVVTSSTAVTISNNRLINHQKAMLLGHSDNHMADKNMKVTVAFNVFGPGLTERMPRVRRGYAHVANNMYNKWNLYAIGGSADPTIFSESNHFVAPDRKEHKEVTKRMDKSPAAKNWKWSTNKDVFINGAFFTPSGGPGVPPPYAAGERFPVAPGAQVPALTASAGPLRCAPGRAC >A02g512490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33854233:33855754:-1 gene:A02g512490.1_BraROA transcript:A02g512490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLNHAWVPATLKEELQCSDWSHRPLTEEQKLYAATDAYCLLQKIFDVFKSNLVEEITLHDPKDINVGLKDILNGSDCTSKIVTAKLCKAADIVRKYGEKIMLNLKESDLLPRISKKKSRRRGASLKNVNTDKHLVEGIDAAVPPSKQPDSRELLGQALKENRVLLRRDTKLLRHQDLAKHQIYRVKSLLKNEQLLEVQQN >A02g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20996400:20997323:-1 gene:A02g507490.1_BraROA transcript:A02g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQLLKSRELFGMAHGGNFSGIYRKVQLKPLKWDGECEEERPVEALMILKYGGVLTHAGKVALSRVKSRSGLKILITGKEGKPKTKTLNVVYKQIFQNIP >A06p017480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7836547:7839742:-1 gene:A06p017480.1_BraROA transcript:A06p017480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSWPWKKKSSEKAATVTEALDQHQENGKKPSYIQISFDQYSHFNALKDEVHKYEGQVTNLEDQIKDLDSKLSTANAEIAAKEVVVKQHSKVAEEAVTGWEKAEAEASALKTHLETVTLAKLTVEDRAAHLDGALKECMRQIRSLKEENEQKLHDVISTKTNQMDKLRDEFESKMGEFEQELLRSAAENDALSRSLQERSNMLMRIREEKSQAEAEIEHLKNNIESCEREINTLKYETHVITKELEIRNEEKNMSMRSADAANKQHLEGVKKIAKLEAECQRLRTLVRKKLPGPAALAQMKMEVESLGRGEYGDHRQRRSPARPSSPLMSPMSHQMTEFSLDKVHKENDLLTERLLAMEEETKMLKEALAKRNSELQVSRNLCAKTANRLQTLEAQMVSKSSPTKSGFDIFSHQNASNPPSMASMSEDGNEDARSLMSELSQTNKDKNSAKMKKTESANQLELMDDFLEMEKLACLPNNDSEAEVSPATQLKKRISTLLQSLPKDAASEKILAEVQCAIEDAGGAKLLPSECHEPEEKDVAMSTETTEENVNTVEVITQEVSHALSQIYHFVSYLSKEAAQCQDTFSQKVQEFSVTYDGVLSKEKTLEDFLFDLSRVLAEASELKINVLGYNASEVEIHSPDCIDKVALPENKALLRKDSSGEHGCSQSSDSEIPDDCNGTSGYDAKLSAAAAACKFTSEEFEGLKLEKEKAETNLASCEADLEATKSKLQETEQLLAEVRSSLESAQKSNGMAETQLKCMVESYRSLETRSAELEIELSSLKGKIENLEDELHEEKENHRDALTKCQELEEQLQSDKQKCPTCSVTEADPKTKQDNELAAAAEKLQECQETILLLGKQLKSMCPPTEQVASSTSQEQTVNQEEDKEDATTNPQEFRASSPSETPPMPTMRSPVGSKHRHTKSNPLSSSSSGLTPEKHSRGFSRFFSSKPK >A05p040930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24955519:24960216:1 gene:A05p040930.1_BraROA transcript:A05p040930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYVTKRCFFEEHLIVLNLDRGTTQGPWRLNQFGFVLISSYLGVNVRKEGNVGSKRREKLIGCKNELFVPPLKAQSKFNRAEKLLSKIEASMIPNGPDYDQEVISEEERIMFRKVGLKMKSYLLLEILGQDTWLIRETKILNSCLFLMVLIIRFEQRDMETTSIEESHCIEIEEINGPMEISECCDGLVCFYCLTQAVRVINTATETLLPPLPLANFQRLHKDHPDPDLERDVMFEDDGAVPVPFISSTIFGFGKDNVTGRYKITRCEVFDLEERRWRWRFVTTRPLDHHQILSNQRPPCVNGLLYWLTGDEQGYPSTQTKLIVFDIHTEMFQVTSTPPFITLDASSDKIGLCNLDGRLCISEIKGDCKQEFWWRVEECNKWERIFSVDLNSTSCWFGGITSQPLTPLAISRDNNKVVLSLSYQESLVDFDLDPDSTVYHLYYSGYYGLLRTIQVDEGKAVKCISLFLLALPLACKASLAKVSVETLMHLCSLYLVHWYCVKYDDCRGRPVLSYIVGQQFKSSSVARASLNSQLTAECLTVNQKSWLGCEFGWRLGTFIVARSDAVFVITRSSGSCCGVRALLETENLNMAGTEESGVPLLKWQHDVEKPCFMDSYSLSDLGFQTDESTISCVIVGSFWNAESQMFCYGPSPSVVNDSSSLYVWELPHNLLSPAGKCLCGDCAIREVIMKESLPVWIDWQKKRVLVLCFGHE >A03p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13552239:13556354:1 gene:A03p032010.1_BraROA transcript:A03p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSDKPAKEEDVQTEEADPAETITVLRSSKKKKPPKKKFEQRKMSREKKLARAFLISIQSILMQRSYQTMERGFTSFACDQSRRLAPQNHGSTTFSSGSLETQSPFQQQQQRLTTAHNQSLGTLFPTMVTTQQPGWSIRDHSSASAWSPQIIRPNQQHPMVNQLADIEGLLNQTFVPRPLFEPAQAHFVDQPHLNAIALSMYRYYPYMRPEANHLNLRMPHFRSNQMFTLTSPSGQPRSFVSLHDQFNPRQRFVLSLDDALVYGPVLEMDHPQPQQQRQHLDFPIDMIHRQASPNAQQGQHFVYPLDMIHHQASSPNAAAPPPPFEGTFGHKTLQLNIYRGMKKVYRKVIWIRHSGFRVFRDKKWMFPFLASLVLSVTLLMSLMYAGFETSYVEEEPLLLPFDNLSEEYFVESHLRKSLNSNSSSNSSEVLPRLAYLISGTKGDSHRMMRTLQAVYHPRNQYVLHLDLEAPPKERLELAMSVKNDPTFLEVANVRVMSQSNLVTYKGPTMIACTLQAVAVLLKESLDWDWFINLSASDYPLVTQDDLLYVFSNLSRSVNFIEHMKLTGWKLNQRAKSIIVDPGLYLSKKTEIAWTTQHRSIPTSFKLFTGSAWVVLTRSFLEYSILGWDNFPRTILMYYANFVSSPEGYFHTVICNTKEFKSTAIGHDLHYISWDYPPKQHPNSLSMKDFDKMVKSKAPFARKFHKNDPVLDKIDKELLGRTHRFSSGAWCVGSSENGSDSCSVRGNDSVLRPGPGAERLKELVQTLLSDELRSKQCS >A06g508930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:25329606:25329878:1 gene:A06g508930.1_BraROA transcript:A06g508930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVACLVNVCVDVVYVVGRVVDGDLMVVCVYVVDVFGRIVDMDLMVSGCVDVVDVSGRVADRGLGGGYESGKRDGRDSGISDSNGGGN >A05p050550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29402615:29405594:-1 gene:A05p050550.1_BraROA transcript:A05p050550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCLSKGDGFHYPPCHMLNLSGFRILIDCPLDLLALTIFYPLPCDVGIEAYGQNDQNPIQKKQKLERQMTPDDLVCAEPWYKTVKALHLWEASLIDIVLISNPMGLLGLPFLTQHPRFCAKIYMTEATAKIGQLMMEDLVSMHMEFRRFHGPEDSSFPCWTKNLDGEEVPGVLKNLVFGENGDDLGSWMRLYSLDDVKSCMKKVQDVKFSEEVCYNGTLIIKALSSGLDIGACNWLINGPNGSLSYVSGSIFVSHHAKNFDFHGLRGNDVMIYSGLSCLQTSEMTENDCISTISENNDGSLAASLLDNEESLEELEKLAFVCSCAAESADAGGSTLITITRVGIVLQLLELLSNSLESSSLKVPIFVISSVAEELLAYANTIPEWLCEQRQEKLISGEPSFGHLKFIKDKKIHLFPAIHSPNLITSWQEPCIVFAPHWSLRLGPSVQLLQRWRGDPKSLLVLEDGISSGLGLLPFRPIAMKILQCSFLSGIRLQKLPTLLSLLQPKIVLVPDFVNQRINLSTMKTKSILSYSENKTLSVGRIAENADVEIMAELATKLSWKKLRQRENFGIARLKGDFVMENGKQRLVSGLDQEESSGKARTLRHWGSVTPETLLESLVKMSVTGSIEHRRGENGAEGKSIIYITNPSGGMIETSEMGTAIITDDETVASKIFQAIDGILDGI >A05g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25759372:25759948:1 gene:A05g508740.1_BraROA transcript:A05g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQWCFIENFALTPATRKYRATSHKYKLSIIGSSVVTSSSLKNDESFLSLTSYESIINGSLNSNFLIGQIQQRQLQQLRASTMAEKVEESLCLEMKL >A06g508760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24718546:24718861:-1 gene:A06g508760.1_BraROA transcript:A06g508760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYLSASPVPQTGVPGIRHSTFESLRVGRSSQSIASNLLAYFSSLMKRFRNSWVYSRRTC >A09g517660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53074315:53074769:1 gene:A09g517660.1_BraROA transcript:A09g517660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRDKETVAVSATNRIQRCSSKSTERQRLQLHNRYALLTVDAQYHKTKPTTKPIQMVNRDKETVAVSATNRIQRCSSKSTERQRLQLHNRYALLTVDGS >A04p028320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17066416:17067505:1 gene:A04p028320.1_BraROA transcript:A04p028320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVMTCSYKNILRVFFRLRLSWRKSWDLGGVSDSRVRFLWVSRGTRESRLKEAIKGSLGVVVSWWDQLRMLCYAAIGGFWTHCGIGMRMESKKSTTELLARRDEVKELVKRFMDGED >A02g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16819212:16820196:1 gene:A02g505770.1_BraROA transcript:A02g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLVNLSDLQSGRSSYTVQVRLLHFWEARNVCSVPRLELFPKKSYTAALCAISITAAGTGITVLFSVICSLASSRVMAFKLAMRTRVISVEAKANQYFIF >A03p039730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16536920:16538022:1 gene:A03p039730.1_BraROA transcript:A03p039730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEEKEILAAKLEEQKIDLDKPEVEDADDNDEDDSDDDDDAEGQEGETGGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNVMFVISKPDVFKSPASDTYVIFGEAKIEDLSSQIQSQAAEQFKAPDLSSMISKGESSSSAAVVQDDDEEVDEEGVEPKDVELVMTQAGVTRPKAVKALKAADGDIVSAIMELTT >A03p020480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8398661:8401513:-1 gene:A03p020480.1_BraROA transcript:A03p020480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFHGNPPEISAGTDGGLQTLILMNPTTYVPYTQQDDDSNNSNNNTNNNNFVFLDSRAPPQNANQQFVGIPLSGHEAASITAADNISVLHGYPPRVQYSLYGSHQVDPTHHQAACETPRAQRGLSLTLSSQQQQQQHRQTLHHVGFGSGPGEDIRVGSGSTASGVTNGVANLVSSKYLRAAQELLDEVVKADSNDINTKSQLFSSKKGTSVTDTKAVGESSTGAREGSDGGGEASGKRTVELGTAERQEIQMKKAKLSSMLHEVEQRYRQYHQQMQMVISSFEQAAGIGSAKSYTSLALKTISRQFRCLKEAIAGQIKAANKSLGEEDSVSGVGRFEGSRLKFVDHHLRQQRALQQLGMIQHPSNNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEMKEQGKKMGSMEKTPNLDENIDDSASKSTSNQEKIPMGGGGLDNYHLNPSHNGDLEGVTGMQESPKRLRTSDGTMMQPINEGFSSNEKMKILEERQGIRSDGGYPFMGSFGQYQMDEMSRFNVAVSDQELLTQRFSGNNNGVSLTLGLPHCDSLSSTHHQGFMQTHHGIQIGRSVKVGETEEYGNSAINGGSGSAATAHSSAAAAAAYNGMNIQNQKRYVAQLLPDFVA >A08p024920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16160904:16163116:-1 gene:A08p024920.1_BraROA transcript:A08p024920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLWQLNFRVLRSFLEEMKLLRFAGVVFIFILAFQFVNIHYYGGDILSLSSQDEFPVSIHGSTEPIRPLSGPERLNVSGSVSAEVSEGERTGLGEDYVISSDANVSVQSHDAKDKETLDLLLETRSSMNGSDADIVNENNRNVEILESDDFVEDAKDKDALDSLLETRSSSSNGSYGDVVEDAEIVNENNRNVETLETRSDDNLSPEVKRVMNVSNSGVVSISEMMSLLHQSRTTHVSLKMKRPSAVDQELLYARTQIENSPKVENDPLLHGPLYWNLSMFKRSYELMESKLKVYVYREGKRPVFHKPVLKGIYASEGWFMRQLKASKTFVTKNPRKAHLFYLPFSSKMLEESLYVPGSHSDKNLVKFLKNYLDMISSKYHFWNKTGGSDHFLVACHDWAPSETRQYMANCIRALCNSDVSEGFVFGKDVALPETTILVPRRPLRALGGKPISQRHILAFFAGGMHGYLRPLLLQTWGGNRDPDMKIFSEIPKSKGKNKSYMEFMKSSKYCICPKGHEVNSPRVVEALFYECVPVIISDNFVPPFFEVINWESFAVFVLEKDIPDLKNILVSISEERYREMQMRVKMVQKHFLWHSKPERFDIFHMILHSIWYNRVFQT >A08p016980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11902374:11906367:1 gene:A08p016980.1_BraROA transcript:A08p016980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYMHSALTCSTNLGFHFRSSSSHLHLHLPRLIFPTSLTLPHAKTQSSWTGRRVVAMDSSGTDSSKELHVGLDSATEEEYATQSKLLEEFMSIPTIDKAWILSSVSGSQAMVVMSQANLLANKRRKFMLSALISKDETTSNQSSVNFHWTPFPVEMTGASAFVPSPSGLKLLVIRNPENESPTKFEIWSSSQLDKEFHIPQKVHGSVYLDGWFEGISWNSDETRVAYVAEEPSPPKPTFDHLGFYKKGTSSDKDIGSWKGQGDWEDEWGEAYAGKKQPALFVIDVDSGEVEHIKGVPRSISVGQVVWSPNSKGSAQYLVFAGWLGDKRKLGLKHCYNRPCAIYAVRCPEPKDDANEALPIHNLTKSISSGFCPRFSKDGKFLLFLSAKAAVDSGAHCSTESLHKISWPSDGKLPESTNIVDVIEVVNCPDDGCFPGLYVTGLLSDPWLSDGHTLILSSYWRSCRVILSLNLISGELSRVSPNDSDFSWSVLALDGDNVVAVSSSPVSVPEIKYGKKVLDPSGKPSWHWSTIRKPIFKCSEKVTSGLSSLQFKILKVPVSNVSECLTEGAKRPFEAIYVSSSETKENGKCDPLVVVLHGGPHTVAPCSFSKQLAYLSSIGYSLLIVNYRGSLGFGEDALQSVPGKIGSQDVNDVLSAVDHAVEMGLADPSRITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNIASMVGITDIPDWCFFEAYGDQTHYTEAPSPEDMSLFHQISPISHISKVKTPTLFLLGTVDLRVPISNGFQYVRALKEKGADVKVLVFPNDNHSLDRPQTDYESFLNIAVWFNKYCKL >A08p040830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23171089:23172410:-1 gene:A08p040830.1_BraROA transcript:A08p040830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRTFEAEDVQQLNVKHERHISYANKLAKLDEGVPYRASLEKPGVAIAGDDDPSDLYGFKCEANVETDAPLSWMTSGFEEDSQSGGTTQSTLSDESPESDCLWRPFCLEDDVEWCQSSPRKAVPIGSDYQADIPECVKDEVRDHNEEEVMMGKCVIPMPDCETDEIGKGRKDCICMDKGSIRCVQQHIMENREGLFETIGDERGLNLGLGEMGEEVAGKLTEDEEDLFHEVVYSNPVSLDRDFWKQLKSAFPSRTMKEIVSYYFNVFILRRRAVQNRSRSLDIDSDDDEWQVEYDNTFHGPETPGKSLSRVQEEEEVNAEEDSCMSYDFKSSNAISSRCPVRKREESSNVGNYWRHCNDLVEDHPYSFDPCDSILPDQFWSKNIDLLPTSNIIDEIFGQDPWEDDFFMGK >A02p025080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12465110:12465632:-1 gene:A02p025080.1_BraROA transcript:A02p025080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVNLTLFHLKNVTLTHSRFFFSISLLVLIVSDWITISSINYILYLKSLLTSDYFVVYFYCEVVDVASGSSIPDCSHACGSCTPCKLVVVSSKCSASESEACPVVYKCLCKGKYYHVPSIA >A01p022360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11015379:11016430:1 gene:A01p022360.1_BraROA transcript:A01p022360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGEDSVVHFVFVHGASHGAWCWYKLTTLLAAAGFKATSVDLTGAGINLTDSNTVVDFDQYNRPLFSLLSDLPPHHKIILVGHSIGGGSVTEALCKFTDKISMVVYLAADMVQPGSTSSPHDSAMTVGEEDIWELIYGEGADKPPTGMLMKEEFRRHYYYSQSPLEDVTLACKLLRPAPLRALNGADKLAPNPEAEKVPRVYIKTAKDNLFDPLRQDRVVEKWPPSQLYILEESDHSAFFSVPTTLFAYLVRAVSFLQL >A09p055680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47573310:47577653:1 gene:A09p055680.1_BraROA transcript:A09p055680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFAEKTANQGSLEALEVNVSGTCVLCNLEIDAHYHLFFECSFSRLICEPFAAEVWIFPPADLHYVAAWINQPRVNADAHATSVIKLYFQSAIYLLWKERNARVFTPVSSPSSRFLMGLCHSNSRHPDRVPAGNSPLPASESVKAPPLSTSGDGDLSAATNEGKKSPFFPFYSPSPAHSFFSKKTPARSPANSSSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKPNSAAIPEGGEAEGVGLDKSFGFSKSFSSKYEVGDEVGRGHFGYTCAAKFKKGDCKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALSGHDNLPHFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDAKTVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKEDTSQLKAIDFGLSDYVRPDERLNDIVGSAYYVAPEVLHRSYSTEADIWSVGVIVYILLCGSRPFWARTESGIFRSVLKADPSFDDPPWPLLSSEARDFVKRLLNKDPRKRLTAAQALSHPWIKDSNDAKIPLDILVFKLMRAYLRSSSLRKAALRALSKTLTVDELFYLREQFALLEPNKNGTISLENIKSALMKMATDAMKDSRIPEFLAQLSALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDGKLSFLGFVKLLHGVSSRTIKAH >A04g502750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6292713:6293474:-1 gene:A04g502750.1_BraROA transcript:A04g502750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTGSRGFGYISYDSLDASDAATEAMTGQYLYNCHAYNKDTKGEQQEEKIELQWNNFGLKGQEPREIVAEAAMEEGNCELEFGLDNYKKLNKSFHLSRILKWLRRKQEQRNKIKLEKKKMHTPR >A04p036670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21039860:21042003:1 gene:A04p036670.1_BraROA transcript:A04p036670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEHLNPVGVSQVPGIRRVGLRAEIDTSLPFGSVQEEVTRLGGRGYWVPFKLEDNYNGVGEFDIKRMEEHAAELEKDLIVKELETLDVLEALGTTKRIVEDLKRQLHQESLRSSAEQIKEMNNDDHSNHNPISPSPDLILTELKQAKINLGKTMDDLVMIQSSVESLNKKMKEEKKFLDNAREKLTYGFGGEVVSLAETPREQVKIDAEPTEETCLKQQNKKCLRTAEMRLVAARKMEEAAKAAEELAMAEITMLSSSAAKGESEEEEEDEFCFPEPPRSPFRTPRGFGNDHESRRGMILKKLEEATEGVREGKQALEDALNRVEIANEKQLAAETAFRGWSKHSTPPMNQPQRSFFSHLNKPVVKSNVSMRDVLRRKQVPKEDDVHKRQSLEGETPRRHVNLSQMLKELKQDVKEEVREEKRFVTQRRKFGFIHITLPMHKQSKKKSSL >A10p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2617896:2621174:1 gene:A10p017120.1_BraROA transcript:A10p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMMVMNGRNDVVDEDNDDCFYESLDRVLSSCSCSTSNSDYDSDNPNIHDPTPTPFPLPSGFELWKSEPESVTERRIRLLRGLGLSDEPDLRSKSRRKGICSSHFARSVSSDVSFSNHRGQCENVDSGKLRLYTGSVNKLNDHHKPRNDGNNVPFGFISKEPIETDIVEKQRLNGRDVQMCTIRNLDNGKEFVVNEVREDGVLEKLKEVGTDRQLTLEEFEMCVGTSPIVLELMRRQNVEDVGKDSVDLSTSVSGSRVTKHRRRGSWLKSIKNVASSVAGYKERRSTDDRDSLSERGGHRFSSATDDSRDMSFHDPERVKVRQYGKSCKELTALFKSQEIQAHKGSIWSIKFSLDGRYLATAGEDCVIQIWKVVESERKGELLSMDKQQDDVSINLFLLANGSPEPASMSPMRRGRTSFSRKSVSLDNVLVPETVFGLSEKPVCSFVGHLDDVLDLSWSKSQNLLSSSMDKTVRLWDLSSKSCLKVFSHSDYVTCIQFNPVDDNYFISGSLDAKVRIWSIPDHQVVDWNDLHEMVTAACYTPDGQGALVGSYKGTCCLYNTQDNKLQQRKEINLKNRKKKSNHKKITGFQFVAGSSSEVLVTSADSRTRVVDGVDLVHKFKGFRNTNSQISASLTSNGKFLVSASEDSNVYVWNYDSDSRAGRSKRVTVTNSYEHFYCRDVSVAVPWPGKISNNNNSPDESPITANNPPTPVNDPINNKTVTNGIISSATNRYFFDRISATWPEEKLLLAAKNRARTSPRVSVDMSNGPVNRKPSASAWSMVIVTGGLRGEIRTFQNFGLPVRL >A03g507500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26581309:26583708:-1 gene:A03g507500.1_BraROA transcript:A03g507500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPHGKSIVSDCDEKVVFFKDLSLGHHEAQLRFRLIHFWEAWNPLKKTLIGMEMLLIDEKGTVIQGFVSPGRIQKYLPDMKRGSVYKLNNFYGSRNKSVFRVADHAVTVSFSWNSELAVLLDCPTHFDEDRFRFHSCEEFQANCDLKGDLYDVVGHMKLVNGQSIVEGPVLDEVEIAKARRVLIHVQSHDGPVMKLYLWDQAARDFCRKFKSCEGTPTVLLVTTVNTKTLGGTLALTSMSSSRVFMDYDVQPTIDYFSWLGSNPDIAEQVNAEVVTKREAMTIGEIFSYIGQEFPKDAFFECTATIDDVVHGSSWYYIACSGCHSKVNKGPTSLLCSNKKCGKVNVSGVPQYLSKISVYDNSDQAVFVLLGDAGRELTGKPASELVRSYFEANGNEEVGHEAPVPEALISTIGQKHKFCVKVTDHNFSGKTRSLTVTKILPLDTPPATVSSEGNQTTATSEETSENRVDSADGSKRTCYSSEVERAKRPKCGN >A05p035020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19806020:19808126:-1 gene:A05p035020.1_BraROA transcript:A05p035020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSTVPKRVILIHVLAIQLLLINSELSLNTTNAYLNHKCLVSQGKYKPGSKYEQRLKFIIKRFYSVSNKGYQGFGDSTLSAIIQCRGDSYGPKCHDCFATAFAALRRRCPWYKGRIIWYDQCLLSIDAKYSIGQIDYNNNFCMSNAKKLGGDTLAFITTWNTFMDNLTTLAVDNNTNKLTPFYSAGKTWFKGDMLYGMVQCTIDLDPKACWECMVFNSIHFQHCLNDKRGARFMSRSCTFRFEFYPFLAKHVQNI >A02p012380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5410469:5410927:-1 gene:A02p012380.1_BraROA transcript:A02p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPSLFGGRRSNAFDPFSLDAWDPFEGLFTPSSARDMAAFTNARVDWKETPEAHVFKADLPGLKKEEVKVEVEDKNILQISGERSKENEEKNDKWHHVERASGKFMRRFKLPENAKMEEVKATMENGVLTVTVPKAPEKKPEVKSIDISG >A06p002430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5155928:5156813:-1 gene:A06p002430.1_BraROA transcript:A06p002430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTGSFGKRRNKSHTLCVRCGRRSFHIQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAKPRNKAAASSA >A07p006740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1527056:1529515:-1 gene:A07p006740.1_BraROA transcript:A07p006740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g15820, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G15820) UniProtKB/Swiss-Prot;Acc:Q9XIL5] MIATRACDFSSPLSSASPSGAFVTVTNSNFSFFSPSLNPNIIRHRSSSSRRLLRRLSFSRNETQSFPLPFTANSASHRSRTFVEHVAGTPHQTIEVYDFGDLESARNDLRNVGTRRVETDVEVREIEDLPEEWRRSKLAWLCKEVPSHEAVTLVRLLNAQKKWVRQEDATYICVHCTRIRENETGFRVYRWMTQQNWYRFDFGLATKLADFLGKERKFTKCREVFDDIMNQGRVPSESTFHILVVAYLSSSEEGCFEEACSVYNRMIQLGGYRPRLSLHNSLFRALVSKQGGPSSDDVRQAEFIFHNVVTTGLEVQKDIYSGLIWLHSCQDEADIERINYLREEMRKAGFEESKEVVVSLLRAYAKEGGVEEVERTWLELLGLDCGIPSQAFVYKIEAYAKVGDFARALGIFREMDKQLGGATVSGYHKIIEVVCKVHQVELAESLLEEFVETGKKQLLPSYIEIVKMYFDLGSHEKLEKAFVECLEKCQPNQTIYNIYLDSLVNTGNLERAGDVFDEMKNNGTINVNASSCNTVLKGYLDSGNHAKAKKIYELMSLKKYEVESPLMEKLDYILSLVRKEVKKPLSMKLSKEQREVLVGLLLGGLRVESDKERKSHKIMFEFRGKSQAHLILGQHIYDQFREWLPHSEEEDIPLEFSSISHSCFGFYADNFWPNGRPEIPKLIHRWLSPESLAYWYMYSGYRTSSGDAILRLKGSVEGVEKVVKALRAKSMECRVKKKGNVFWIGLQGTNSVLFWKLIEPHVLEDMKDYLRPAYEAIDTDGD >A08p046510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25312427:25313813:1 gene:A08p046510.1_BraROA transcript:A08p046510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIMGEQLLDAVCDRLKPVLYTDKSYTVVHLKASVSVGDHHLTWALDPQSWSHFPISTRTVQALTEVEAFSLAAEDLKSAASQFRRLHSKQLQHTFQEIGKIITGRKRPSTRRARTGKRDTAAAGSSSSLSLEAASRFASNVLRKVRHNLADPPPRHTIASLPQKPMEPLLHRRSYKPGLLREQGNRSDKNIHLQISAGVKESDGGDVENGVRSDGGDQFDENEGDDDDFWNSYTSEM >A09p018300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9565072:9565872:-1 gene:A09p018300.1_BraROA transcript:A09p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGWVKSWQCKSKAFDDVYNPSPIHRMSSYSCRRSTQNLKDVINTKKPKPKPKPKPDKRESDPVSTPSTRIRSSVVRDPLLPTLTELAEGHQSRNVVEIIFQTSWGPKPFPGRIDMIFKVQNGSKTLTRFEEYREAVKTKSVGKSREENARSVADGNETMRFYCLGPSYGGGAWGILGGKGGGASIYTFAGSCMAHDKAGGGKGRKAMLVCRVIAGRVMKRNELKYDSVDSDVRSRFDSLSGGDGELLVFDTRAVLPCFLIVYRL >A10p003800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1884024:1885361:-1 gene:A10p003800.1_BraROA transcript:A10p003800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MITOFERRINLIKE 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G42130) UniProtKB/Swiss-Prot;Acc:Q9FHX2] MEARLSESLGLPSPNLNHCHLTTDFNSLFTYFSDRTSAQFPAVRKKSLTKSRKPSPPFASASVSDSNPGSGFLKWMKPASRSSPKIQTLMKHLSVFERALIGAGGGGIAGAFTYVCLLPLDTIKTKLQAKGASQLYSSSFDAVVKTFQERGILGFYSGVSAVIVGSTFSSAVYFGTCEFGKSLLGKFPEFPPVLIPPTAGAMGNIISSAIMVPKELITQRMQVGASGRRSYQVLLEILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLEKTQQNNLEPLQSVCCGALAGAISASITTPLDVVKTRLMTQVHVEAANKLGAAMYDGVAGTVKQILKEEGLVGFTRGMGPRVVHSACFSAIGYFAFETARLTILNEYLKRKQDSEAATVDA >A09p003890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2230901:2232747:-1 gene:A09p003890.1_BraROA transcript:A09p003890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNVLALCLMFILVSSVIYEAQGTFLLKMYLRRKFFKKAMQFTPFACKGMTFLLHRLKGGCPATKGFKTFFSLFISYVNFIKTARVSKTTDSQLTTKADGLAKAVSVLTGARKDVSNNFRETILSMGKTLIEQKKAGPQRVTYKQRKVLIVALVQWTKTVVTVVKTAVETAGKTIDTSNLGLDVDVNDLLGGEKDDSPTPTTPTTPATPATPATPTTPTTPATPTPSTPTTPTTPTPSTPTTPTTPTPSTPTTPTTPTPSTPTTPTPSTPTTPTPSTPRTSTTPTPTTPTPSTPTTPTTPTPSTPTTPTPTTPSTPTTPTGTTTNNAATNTNSQTSQSTTSTASAKQVETQTSQEVMSFISDLEKKYASKTELNTFFETLKSTMTATSKIASTDEKTFVSGVKAAAGTLNEAAETVTEKLGTSAESKQKIESSQQQLMKTFKELEDVKTKIVSESKGKTVSSTQQTELKQTLTKWEQVTTQFVETAVSSSSTSSSTQSQQIQQSHQSQQSQQSQKTQQTQQIQQGSILRAQTQT >A01g507960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22473772:22474848:-1 gene:A01g507960.1_BraROA transcript:A01g507960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILEEGLNKQNIHSEEEGDLEAMASVGEGELKSLKNLCNEITEALSPQGMETNSIHLLFKNHAKTQQHHVDTELENGGIQEHHVFVHNNLNDVLIKMDLEGNNGRNLHLVRRLMKKQRMFIRLNQMIPSYKLIPEEERCSVKYEKLTEYEEAMTKSENEMIEADRLMERMISAVEKLEIRVIKGEMKGRRLG >A02g505970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17303530:17304350:1 gene:A02g505970.1_BraROA transcript:A02g505970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTLKGITQFYAFVEERQKIHILNTLFSKLQINQSIIFCNSINRVELLAKKITELGYSCFYIHAKMLQDHRNKVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRLNLYRIEQELGTEIKQIQPHIDQAIYCQ >A01p022200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10936427:10939971:1 gene:A01p022200.1_BraROA transcript:A01p022200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal acyl-coenzyme A oxidase 1 [Source:Projected from Arabidopsis thaliana (AT4G16760) UniProtKB/Swiss-Prot;Acc:O65202] MEGIDHLADERNKAEFDVDEMKIVWAGSRHAFEVSDRIARLVATDPVFEKSDRARLSRKELFKSTLKKCAHAWKRIIELRLTEEEAGRLRFFVDQPAFVDLHWGMFVPAIKGQGTEEQQQKWLSLANKMQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTQTSSKWWPGGLGKVSTHAVVYARLITDGKDYGVHGFIVQLRSLEDHSPLPNIIVGDIGTKMGNGAYNSMDNGFLMFDQVRIPRDQMLMRLARVTREGKYVPSDVPKQLMYGTMVYVRQTIVADASNALSRAVCIATRYSAVRRQFGARNGGIETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTARLQASDFSTLPEAHACTAGLKSLTTTATADGIEECRKLCGGHGYLWCSGLPELFAVYVPACTYEGDNIVLQLQVARFLMKTVSQLGSGKAPVGTTAYMARAQHLLQCRSGVQKAEDWLNPAAVVEAFEARALRMAVACAKNLSKFENQEQGFSELLAELVEAAIAHCQLIVISKFIAKLEQDIGGKGVKKQLNNLCYIYALHILHKHLGDFLSTNSITPKQASLANDQLRSLYSQVRPNAVALVDAFNYTDHYLNSVLGRYDGNVYPKLFEEAWKDPLNDSVVPDGYQEYIRPLIKQQLRTARL >A01g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11547552:11548118:-1 gene:A01g503800.1_BraROA transcript:A01g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILISRDFVRGQGLRDVWASDATLVVRARVQRRLDLRRYLCGRVVQRRLYHGRYLRERGFRDILISIPHGVTPLLLTLPILFPFQ >A09g510090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:29260892:29261397:1 gene:A09g510090.1_BraROA transcript:A09g510090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAYFITIMEPIKFWEFMSLLLEGVGYERPSIKIPAVVMMPVAHLVELAYKLLGRYGMKVPYLTPF >A04p012140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6066453:6068543:-1 gene:A04p012140.1_BraROA transcript:A04p012140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISCYKLIRMRKMYGVVVLLVFVAQLCDGFYLPGSYMHTYSNGDSILAKVNSLTSIETELPFSFYSLPYCKPLEGIKKSAENLGELLMGDQIDNSAYRFRMNTNESLYLCTTSPLNEHEVKLLKQRTRELYQVNMILDNLPALRFAKQNGVTIQWTGYPVGYSPPNSNDDYIINHLKFKVLVHQYEGNVMEVIGTGEEGMGVISEADKKKALGYEIVGFEVVPCSVKYDPEKMTKLHMYDPVPSVNCPLELDKAQIIKEHERITFTYEVEFVRSETRWPSRWDAYLKMEGARVHWFSILNSLMVIVFLAGIVFVIFLRTVRRDLTKYEELDKEAQAQMNEELSGWKLVVGDVFREPQLSKLLCIMVGDGVRITGMAVVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYAGVRLWRTVKGTSEGWRSLSWSIACFFPGIAFVILTVLNFLLWSSNSTGAIPISLYFELLALWFCISVPLTLFGGFLGTRAEAIQFPVRTNQIPREIPERKYPSWLLVLGAGTLPFGTLFIELFFIFSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFAYSINYLVFDLQSLSGPVSAMLYIGYSLLMAIAIMLSTGTIGFLTSFYFVHYLFSSVKID >A03p003200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1395909:1397432:1 gene:A03p003200.1_BraROA transcript:A03p003200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRLFGSKKQEKTTHTKNKSKKWSFTTRSSNPASSSHPSKRRTDEEILDVDKHAIAVAAATAAVAEAALAAAHAAAEVVRLTGRGGGSVTQANRNNRRLAQEFVAAVKIQSSFRGYLARRALRALKALVKLQALVKGHIVRKQTADMLRRMQTLVRLQARARASRSSHVPPSPHSLHARCVSEAEYSKVIAMDHHRSLWNAPQYSEDNDKILEVDTWKPHFASYYHKESSPSKRGSLPTSPQVRSRPGSSSGGGSRRRTPFTPTRSEYEYYSGYYPNYMANTESYRAKVRSQSAPRQRLHELSSESGYKRSVQGQYYYYTAAAERSFDQRSYLSSDPNLF >A03p037790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15792992:15801076:-1 gene:A03p037790.1_BraROA transcript:A03p037790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEVLTLPSVGINQQFITFTNVTMESDKYICVRETAPQNSVVIIDMSMPMQPLRRPITADSALMNPDSRILALKAQVPGTTQDHLQIFNIEAKAKLKSHQMPEQVAFWKWITLKMLGLVTQNSVYHWSIEGDSEPVKMFDRTANLANNQIINYKCSPNEKWLVLIGIAPGPPERAQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFASKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQVSHKFSLIYVITKLGLLFVYDLETASAIYRNRISPDPIFLTSEASSVGGFYAINRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAEELVVERFKELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNSYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKVGYTPDYMFLLQTILRTDPQGAVNFALMMSQMEGGCPVDFNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRVAQLCEKAGLFIQSLKVALATKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNSLVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNVKSIERSVEFAFRVEEDSVWSQVAKAQLREGLVSDAIESFIRAEDATHFLEVIRATEDANVYDDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDEALYEAAKIIYAFISNWGKLAVTLVKLQQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIIQVDDLEEVSEFYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWQELTYLYIQYDEFDNAATTVMNHSPEAWEHMQFKDIVAKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLRLIKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDSFDQIGLAQKIEKHELVEMRRVAAYIYKKAGRWKQSIALSKKDNMYKDCMETASQSGEHDLAEQLLVYFIEQGKKECFATCLFVCYDLIRPDVALELAWIHNMLDFAFPYLLQFIREYSGKVDELIKDKLEAQKEVKAKEQEDKDVMSQQNMYAQLLPLALPAPPMPGMGGGGGYGPPPPMGGGMPGMPPMPPYGMPPMGGY >A08p009550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:7518845:7519072:1 gene:A08p009550.1_BraROA transcript:A08p009550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKLKWNERIVKRCEMALDFHDLRLTTKERMNVLANHQTTHKDELADHQINMPVQTNELAKELSLSHSEKKQK >A03p053900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23143267:23144536:1 gene:A03p053900.1_BraROA transcript:A03p053900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESPSRPMGDDIKFTWVIKNFSSLESKKSYSDQVVGSGCKWSLMAYPGENSKASTLCLAIWVNDGPNVRSGWSEHAKLSCTIVNKNPEKVSQMEETYCAERTKWGFRFISIIPLSELEDENGGFIVNGEVKIVVELEIFVLVKQTLNNTKLNDKGDLVDVNGFQVLPSQVTFARRIFEKHSDVALVLRAKNKHLRTACMNVLLCLIETLCLGPGELSSEDLVEADNALAYVKNAGFKVDWLEKKLTKVKENKKMVHIGETRMRELEEELKNLKQKSLEV >A03p028100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11740041:11742095:1 gene:A03p028100.1_BraROA transcript:A03p028100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNGVRRAHSLWCCKTLTNNTHLHHAYVPVTTMSSLEEPLAFDKLPRMNTIDRIQRFSSGGACRPRDDDVGMGHRWIQGRDCTTSNCCNDDDDKSFGQESFPWKRHTRKVSEGEILLRSISFSGRNSSSSTVSGTVSKSFQEHKFHTFSNDNGISHSSNKLVRGVPKFVKVVEVGPRDGLQNEKIIVPTSVKVELIQRLVSAGLPVVEATSFVSPKWVPQLADAKDVMDGVNALDGARLPVLTPNLKGFEAAVSAGAKEVAIFASASESFSLSNINCTIEESLLRYRAVVTAAKEHSIPVRGYVSCVVGCPVEGAVPPSKVAHVVKELYDMGCFEISLGDTIGIGTPGTVVPMLEAVMAVVPAEKLAVHFHDTYGQALANILVSLQMGINIVDSSVAGLGGCPYAKGASGNVASEDVVYMLNGLGVQTNVDLGKLIAAGDFISKHLGRPNGSKAAVALNRRVTADASKI >A01g505390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:16149407:16149736:-1 gene:A01g505390.1_BraROA transcript:A01g505390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPEANFGRARRSDTYLDELVELNQSDTYISELDELSELSDTSLELNGLSDTEDGAGLVAGRNGPFSAQGKIHNKFNLGRFYTKFDQAFADGLMPICIKKYQQKKSKS >A09g515330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45587913:45588360:1 gene:A09g515330.1_BraROA transcript:A09g515330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAEKTANQGSLEALEVKCLMNVQTHHHLFFECSFSRLIWEPFAAEVWISPPADLHSVAAWINQPRVNADAHATPKERNARVFTVVSSPLSVILASLDRMMRDRLLSYSASSSFYSSLLLFIFLV >A09p003320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1972507:1973870:-1 gene:A09p003320.1_BraROA transcript:A09p003320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSSGMLTKEQMVYLFDRFDYLTSQSDVKKRISDAVEDKQEAVAVTTAIQEEIFLEIGIDPGFGIGCLGKLNSAFENDKELMIGFYKFLAKEEMACEEAELGPDGFQQKMEAQQQLHEQQLEMLKYMRKFPLDDQSAILKKLQKQLESADFEPEASLMSGEQLEEAGRRRVSPVFGSR >A04g506510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14616327:14617608:-1 gene:A04g506510.1_BraROA transcript:A04g506510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGDIFTNTLFINDQKTILALTLSLSLSLSRDPLFFLSLSLDLFSSSLSPIHRRSTVSPPSPPSLTKAWISRLSSFSSYFLRFCDLGLLRNHTKALCLSAGAGHAPMAMAQIELSDVTAVDLVDSLPLERRADPHNLHFSTVRLISRLLRILMMLCFRGGSWRRWRGRLHLRYIILFIAAKRNRLCNLRHKKKLKNVVILAEDSTFEVKTVYKLTTRYCDTSTELPDKPKLIIQRKEEFSEIENSHLAEVL >A09p054840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47086580:47088795:1 gene:A09p054840.1_BraROA transcript:A09p054840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKASKRLSLTWVSLLCISCFFLGVTFTSRFRVSDDYGREKEKSHEKDVTEEVLKIHKAIEALGKSVAMLQKQLSVRHSYQKIVGVSTTNTSTGGNQMSKVFMVIGVNTAFSSRQRRDSLRETWIPQGEKLEKLEKEKGIVVKFMIGHSATPHSILDKEIDSEDAQYKDFFRLDHVEGYYNLSAKTKTFFSSAVATWDAEFYVKIDDDVHVNLGMLGSTLALHRHKPRVYIGCMKSGPVLTKKTAKYREPEFWKFGEEGNRYFRHATGQIYAISKDLATYISNNQPILHKYANEDVTLGSWFIGLEVEHIDDGNFCCSTPDCEMKADAGEVCVASFDWTCSGVCKSVNRMWMVHMICGEDDKAVWDVNYDSLR >A03p050380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21655464:21656608:-1 gene:A03p050380.1_BraROA transcript:A03p050380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 100 [Source:Projected from Arabidopsis thaliana (AT5G61430) UniProtKB/Swiss-Prot;Acc:Q9FLJ2] METFCGFQKEEEQMDLPPGFRFHPTDEELISHYLHKKVLDISFSAKAIGEVDLNKSEPWELPWMAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKSLVGMKKTLVFYRGRAPKGQKTNWVMHEYRLEGKLSAHNLPKTAKNEWVICRVFQKSAGGKKIPISSLIRIGSLGTDFNPSLMPSLTDASPFNEKTKTEPVYVPCFSNQTDQAQGNPLNCFSSPDIFHRIPLYQTQSLQVSGNLQSPILGQEHSVLHAMIDNNTRQSLKGMSVSQETAVSTDMNTDISSDFEYGKRRAQEDPSSSAGPVDLEPFWNY >A03p054310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23304912:23307301:1 gene:A03p054310.1_BraROA transcript:A03p054310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGRGGEETTIGDVNPPTPSKSVTPRYSLSFWEVTAASGVVLGFLIGLVCVYLTMPQSDYSFLKLPRNLQDLQILRDNLEIYTSDYTVQVLVGYSLVYVFMQTFMIPGTVFMSLLAGALFGVFKGMVLVVSTATAGASSCFFLSKLIGRPLIFSLWPDKLVFFQDQVARRKDGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFHATFIGLIPAAFVTVRAGIALGELQSLGDLYDFSSMATLFLIGVLSVTPTLISKKKA >A09p003520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2033111:2035448:1 gene:A09p003520.1_BraROA transcript:A09p003520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSQMMKLLVASFFGVIVGFLMGITFPTLTLTKMNLPSTLFPSIDLAYIEDKYSDLSRKRLFSSWSSTKSSKPKNDIPDPPYTYNDTKIWVPSNPRGAERLPPDIVTPESDLYLRRLWGDPNEDLKTKQRYLVTFTVGYGQRKNIDAALKKFSDNFTIMLFHYDGRASEWEEFEWSKRAIHVSIRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDSEKYLAVVKKHGLEISQPGLEPYEGLTWEMTKKRDDTEVHKHAEERNGWCSDPNLPPCAAFVEIMAPVFSRKAWRCVWHMIQNDLVHGWGLDFAVRKCVQNAHENIGVVDAQWIIHQGVPSLGNQGQQEEGKQPWEGVRERCRREWTMFQDRLDEAEKAYFEASAHNNSSSSRPHR >A09g513630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41495685:41498363:-1 gene:A09g513630.1_BraROA transcript:A09g513630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAEGMATRSRVLSEKKGAGDFLDQLVVGVTRKRSQMDPEAEIRDTKRRNEHIDMLSYVCDSEHGIPTRCPCGGSIIHEVRGKEEYDTLPGKRFFTCINYEADGFHYRQLWVIGVQEHIERLTSRVEEVEAVIKWLPEVNNKIKSLEAEVKALTVEVDRLTGKVYNLTVQVGREEDVRPPGVKASKAAKRKKHGNEAAFDQIETILAAKNMLSKQKILDRSRVQGGGCVDGCRSLFLYVSNQVTGGNVVVGGCSCRLCKMESRESVVFFNFLHSFYVSN >A04g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8131688:8132366:1 gene:A04g503790.1_BraROA transcript:A04g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLSVHSLQENSGILTDVPTENEFLGIYRGISEAIPRKHKIWLFRNFLGIYRRNSEENSVRRNIPTEYRGKFSSSEYSDGIPRKIRAVGGILKIPRKFRGQKGFPRNFLAIDLEIPTTIEFSSEFPRKIPRKFRGTWGFKPKTTFYGLNNTYITFIKCLNQIMKSNFGVLPNNKHFYDCMNENHTT >A02p033140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:17493262:17495685:1 gene:A02p033140.1_BraROA transcript:A02p033140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNRQSRRRFRLGNLARLVQLRQSVSNQPPSPQQPPLPPKDLKEVLLQSPPQSQAFQPPPYQLPSPMPSPSTLPPSISKPGENHQSSPRLEPKSSPRSPAFQTQPQSPSLLPPPNQFSSPMPSPPTLPPRPEPKASPPSLLSPKSKDESQQQTTTSSTSPSPQKPSLSQRLPLRSVPPQPPEPKSPAFQPQPQSPSLLPPSPSTFPPSLTESSENHRTPPRVVPEPKASPPPVLPPNSKEVLHPQTTSPLTPEAKKLQSLPSTLNATLEYQSPSSDETRFQSPPLSQVSPPSLSESHEFKLSKSTEDTQFRSLSPSLASPQSLLKSDESQRTLPPPETKTPLSPPSTLKAHKEFQPQPQPSPPSKSIVETHFKSLLSSQASPPLSSSSRSGEHQPPLATEAKIPPLPPSFLESGEHQPLLAPSDIKAPKSTLKAAEESLPQPPTLLLPSKVTLSQSSPPLPPSLSESGELQPPLPPAEYKIPPPPPPLLESGESQLPLPPLPETKIQPSKLNAAKELQSPPLVPSKAIDETYQLQESPAETKTPPSTLYATEESLPPPVKATDETLSQSSETSEPQHPLPPPKAKIPPPPPSTQNAAEKPQSQPLPASTFNDKTPFKSSPQPPLPPLEPKTTPSKLNTNEESTRQPSKSIDETRSQSSPPWQSSILPREAKTPPSKLNASKESHVQPLPPSLPLPESGQQSKSQSHMPPSPQTKPNPIESHTSKDHRNDRNQYLDKPDAELIAEITGEVQKNRGKEKTPTMMMMMMFINSNVQGINTSLSLDSSSIDHEPGVHLTIDHDDFSSTLF >A09p003750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2191316:2192721:-1 gene:A09p003750.1_BraROA transcript:A09p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPRSFLAFSLCLILILPRASSASIRFLPRWSNRDGSVIKQKTSASSLVFDPTRVTQLSWTPRVFLYKGFLSDEECDHFIKLAKGKLEKSMVADNDSGESVESEVRTSSGMFLSKKQDEIVSNVESRLAAWTFLPEENGESMQILHYENGQKYEPHFDYFHDEVNLQLGGHRIATVLMYLSNVKKGGETVFPMWEGATNQPKDDSWTECAKEGYAVKPVKGDALLFFNLHLNATTDPSSLHGSCPVVEGEKWSATRWIHVKSFDRVVNKTSGCVDENESCSKWAKAGECEKNPTYMVGSDTDHGYCRKSCNVCSS >A01p037460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17514540:17516614:1 gene:A01p037460.1_BraROA transcript:A01p037460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFDLNMNSEEATSNLSSRPMGSKKAKRKLQSEEQIKQMMEQNDKLIKAIIKGTFERNEIQRQKVEVAKKKEENKILFADLSSITDQTSRAYIENERKRILKKREKQINLKNMEKALSISIKDQYRASQAQREQVQGEDQISPNDPEKRTVSVEEESHVLGKKRRYREKSSGSERVSSHSKRHKPSPDARSSMSCASPWDLGAPSPSPIRPLVPPAVEEQINLRIYGKELKRGNITRIVYGWWYDDDIDERYADSASFDANEVDVSKMLLAKCKKQSRLKADIAQWEDCQLLSSGAVRGTQLQAGFSREEEEEERVVLLVHDAKLPPFLDNGKAVFTKQVEPVKDPTSDMAIVSRKGSGLVREIWEKQGLHKSRQRFWKLAGSNLGVEKPAEIDADTAVVGKKGEVDFRGEGKFAEHIKKKEKL >A09p026340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14654165:14655433:1 gene:A09p026340.1_BraROA transcript:A09p026340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDHENPNRVINPKKRTVMGGGGGVEEEGKWWPSWLKPLLKEPFFVQCNFHGHSPKSECNMYCLDCTNGSLCSLCLAHHKDHRTIQIRRSSYHDVIRVSEIQNHLDIFSIQTYVINSAKVVFLNERPQPQPRPAKGVTNACNVCYRGLVDDCFRFCSLGCKVAGTSRSFRKRVKNAEMESENSSNSSGVEDNIPNPQSLTPSTPQLPSSTSLRKRPRKGIPYQSPLQ >A06p006970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2404036:2405007:1 gene:A06p006970.1_BraROA transcript:A06p006970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G09240) UniProtKB/Swiss-Prot;Acc:O80483] MDCQEEQLVNTICDLYEKISKLESLKPSEDVNILFSQLVSTCIPPNPNIDVTKMCDTVQETRQKLIKICGEAEGHLEHHFSSILTAFEDNPLHHLNIFPYYNNYIKLGKLEYDLLTQNLNGLVPRNVAFIGSGPLPLTSIVLASSHLKETVFHNFDIDPSANSLASLLVSSDPDISQRMFFHTVDIMNVTESLKSFDVVFLAALVGMNKEDKVRVIEHLQKHMAPGAVLMLRSAHGPRAFLYPIVEPCDLQGFEVLSIYHPTDDVINSVVISKKLPVVSNGSIVGGPSCLLMPCNCSKIHAIMNKKKKMMIEELEASREEQFS >A05p010580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4477582:4478946:1 gene:A05p010580.1_BraROA transcript:A05p010580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITAFSALSSPISPPTSSPLLSSPPSLSRFPNVSPFPSLSTSRRRKIPACSSINNGDDAVEETRSDDEEESRETLMLSVSPLPLLLVATLPGAGTVRSVIGPFVEIVKSLNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYSDDIEEKAKAKDLHPKLLAGMFFFFSLGATGGITSLLTSEKPIFESPHAVTGFIGLALLTIQTVLPTLFKDKPELRGVHGILGSGIMALFLVHAAFGLQLGLSY >A06p012830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5846807:5847602:1 gene:A06p012830.1_BraROA transcript:A06p012830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTKKFRGVRQRHWGSWVAEIRHPLLKRRIWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPLNNNNNNTGDTSEGKTDISSSSSLSTILSAKLRKCCKSPSPSLTCLRLDTASSHIGVWQKRAGSKSDSSWVMTVELGPASSSQEPTKNASQDDVGPTTEVGGGGGGGGGEEGVMDEEEKVALQMIEELLNTN >A02g504720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15118456:15123178:-1 gene:A02g504720.1_BraROA transcript:A02g504720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKPIGELIDDYCLYGIQLIRMMNLGNGPISLKYSRVESTSLAAKPTKLSRTCRARARYLMGLVEGCNPSPTISPPPVLVPVIALALPVCGFSRAVYLVAPSCDSHNVSYIATSPSPARDADGGPLEDFDIIHRDALRDTENMSLSQRLLVADAHRQFREEIEGNVEDEDREASGSEAPSLVKFFETAQLIATHSHLRWPDLSREWIRRQQARIAREVRPVPADGDVNSEPPAQSSPKKKASKAKKRSVPLEEAPSSADVSEVAAKKKKKKKGSKKRSREEASVEVLETSTAAGNDDAEINDPTDSTRGSPEEHPKKRLKKTTVEDDETSAPGIPSRGGGPATETGDGSRDESPLSRGAPSSSERKTGVESGGSLPQKAGGGIRFPDRVEFLYDEATPLVLNPLQCAELTRQIRGGTKELPPIDDLYFKKEYIDAAMASKRSDGSMNYLVEKYDTTLKQTMVQLGASEKLARTRLGKEVLRVKFEELEDKLRSDRLAKKDALREKTRLERLVASLENEKAELEEERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCVGKMKGYLDRLNALEKAKNLYGQASGTKKCLEMIRDSGTEIPQSMIDIFSEQEKVHEAEIAKLRLEPFSEDDFALSPLNLPSRFVSEELMGVLDPYGSNVGLIGHESASLLITSCEATEDPVDEPMVDITSALSEHIVERPDRNDPEEAGDAIPEDTGDVATEDPVLVSSSEEREEDEVGEEENRSSPALIEETVPIPSVSDPPAQVEGLDAQAVEEEVVEPLDPSRDDQDIVTSDEPVIGFTRLSSCFDKKELSIRVEGSSVRFLSDN >A03p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14913019:14913983:-1 gene:A03p035520.1_BraROA transcript:A03p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLHLHLSSPSSSSRLAASVRRRYSTLNCEIDLWRSFFIRSSVVCRASRGGRCLVTVFRTLSWMLAPIGMSLLLGTDSNAGLMALAVPLVQSVMSLVFSKVLSRPSVVRPIERSRRGTFSRTRKARQAKNMGGGSVDKGGYKSWMVGDAGDNSTGSRYGGWDDLEKYEIPSNENVRPEQQFKRRNSSRRWRVKEKPLLLRMLFATFPFLSSWTKLLF >A01p022880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:11263504:11265597:-1 gene:A01p022880.1_BraROA transcript:A01p022880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLCSSPSSLLHDPHPLCNLLSTHPKTTPRSFFSSYNPNFHSRNLLQTSHVSLQESLPHETQIEKPKLDANPPGSASKRYAWVNPNSPRASQLRRKSYDSRYSSLIKLAESLDACPPTEADVSDVITKFGSNLFEQDAVVTLNNMTNPETAPLVLNNLLETLKPTREVILYNVTMKVFRKSKDLEKSEKLFDEMLQRGVKPDNATFTTLISCARQCGLPKRAVEWFEKMPSFGCEPDNVTLATMIDAYGRAGNVEMALSLYDRARTEKWRIDPVTFSTLIRIYGYSGNYDGCLNIYEEMKSLGVKPNLVIYNRLLDSMGKAKRPWQATIIHKDLISNGFEPNWSTYAALIRAYGRARYGDDALVIYRQMKGKGMELTVILYNTLLSMCADIGYVDEAFEIFQDMKSSGSCDPDSWTFSSLVTVYSCCGRVSEAEAALREMREAGFEPTLFVLTSLIQCYGKAKQVDDVVRTFEQVLELGIEPDDRFCGCLLNVMTQTPKEEIGKLIGCVEKAKPKLGRVVKMLVEEENCEEGVFKKEASELIDSIGSDVNKAYLNCLIDLCVNLNKLEKACEILQLGLEYDIYSGLQSKSATQWSLHLKSLSLGAALTALHVWMNDLSEAFLTSGEEFPQLLGINTGHGKHKYSDKGLAAVFESHLKELNAPFHEAPDKVGWFLTTSVAAKAWLESRRLSGEVSA >A01p039280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23842727:23855977:-1 gene:A01p039280.1_BraROA transcript:A01p039280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGDLPYLQYLTFRKLPNLTGEIPPTIAKLKYLKSLWLSWNSLTGPVPEFLSQLKNLEYINLSFNKLSGSIPGSLSLLPKLDFLELSRNKLTGPIPESFGSFKRAVYGIYLSHNQLSGSIPKSLGNIDFNTIDLSRNKLEGDASMLFGVKKTTWHIDLSRNMFQFDISKVKVAKTVNFLDLNHNGLTGSIPDQWTQLDLQTFNVSYNRLCGRIPQGGDLQSFDAYAYLHNKCLCGAPLPSCNTTTLLLLLFALLLTTSLSKDLCHKDDLSGSIPGSLSLLPKLEILDLSRNKLTGSIPESFGSFKGVMYALFLSHNQLSGSIPKSLENLDINQIDLSRNKLEGDASMLFGAQKTTHNIDLSRNMFQFNISMVKVSKTVNFLHLNHNGLTGTIPIQWTQLDLQIFNVSYNRLCGRIPQGGELQRFDAYAYLHNKCLCGAPLQSLASFPRPPSDPPDLCFKFALRSNPPDPPVPPDPPPDILSVMGFLQLYNLWATVGFPHKFSDPKLCLTISDGGLASDKDLFFPDGTVFVLTPLYQVSSDSLPYASECGWIISVWVELVLLDLRFSVLVPTVSVAFGYAIVAFVGTFVVCVWSITAVCRCCPFTAVCRFTSTFALMAFEMTWHSLLMWQFGVKVLKLCILSANLVCLGSLCPPYSFKECFILPHRSLVISEIVIGSIVLKMVLFVAEAKMSIVSRFDGVNCLTSLTMEAFIPPLYCFDQECQFEEVFWSDLPFSEKTVVERVISPLSSLCLSTCCLSFTICLSTQTQTQHTMEGKTTTLLLLLFTLLLTTSLSKDLCHKDDKNTLLKIKKAMNDPYTIISWDPKDDCCTWYAVECGNASINHRVTSLDISNDDVSAQIPPEVGDLPYLEYLIFHKLPNLTGEIPPTITKLKYLRYLWLSWNNLSGPVPELLSQLKNLEYINLSFNKLSGSIPGSLSLLPKLEFLELSRNKLTGSIPESFGSFKGVVYALYLSHNQLSGSIPKSLGNLDINQIDLSRNKLEGDASMLFGAKKTTQHIDLSRNMFQFNISKVKVAKTVNFLDLNHNSLTGSIPVQWTQLDLQTFNVSYNRLCGRIPQGGDLQRFDAYAYLHNKCLCDAPLQSCKNNFFDELSLLLLLLLQVSEMGCCSLDCFVYFVLSIALAFMAVSTTLPPPPDSNITKPNVRPLSHRDPLSLNASQALRQSNFKAIATLLRISPEIFLSSSSPNTTLFAIDDSSLFNTSSLPPLFLKQLLQYHTLPLRLPMKDLLQKPQGTCLPTLLRHKSVQISTVDKESRTAEVNHVMISHPDMFLGDSLVIHGVLGPFSPLQPHMDHIPHSSLCQSDRNKTILEEEEEAVPVKIDWTRIIQLLSSNGFVPFAIGLHSVLNRIISDQHKNLTGVTILATPSLVSLSSASPLLYEVMRRHIIAQRLTNKELASMPDKALLKTLDPYQDLIITRTSVVNSSQSQRLMISGVEIIAPDMFSSSNFVIHGISHTL >A06p008590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2974289:2975458:-1 gene:A06p008590.1_BraROA transcript:A06p008590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGNNGNTAPQVFMNENQLQYHTNTQSNQLHLLGTMGGGGCTTVNPVNYFANDNLVPMVRPNKRGREAESISNNNSIQRQHQLQMSLNYNHSVQEEALKENLVSTGLRLSYDDEERNSSVTSASGSIVAASPFSQSLDDSLRIHLHRQKNELDQFLKIQAAQMAKGVRDIKQRQITSLLNTIEQGVSRKLQEKDQEIEIMNRKNKELVERIKQVTTEAQNWHHRAKYNESVVIALKNNLQQAMSHNNNNKKVTADQGKEGFGDSEIDDAASSYIDPNNKKMGNQRMRCRMCNAREVSVLLVPCRHLSLCKECDVFTGVCPVCKSLKTSSVQVFFS >A07p042590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23052287:23055355:-1 gene:A07p042590.1_BraROA transcript:A07p042590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSLLRRSPTVSSLWSFSTQCFVRRTASLSLPTEPPPVPKKIPFSVTTHGVTRQDPYRWMRNTKDAPFLDHLHRENSYAQAFMSDTETLRRDLISEMKTRIPAEIVTPPERWGQWLYRQYIPRGKEYPLLSRRLDKAETNWLSVLDWNQIAEQFGYVHVGVCRVSPDHNYLAYTVDPKGNERFLLQIKDLRSGCLVPRLEVDGVVSLAWALDGVSLFYTVVDEHQRPHRVVVTNVESDGGGDTVVFSESDSSFCVDITSTKDGKFVTINSNSRTSSEVYIVNAEKPLAGLRRARERVHGVQCFLEHHNGFFYILYNDPTNAASEWSGEGYYLTRCLVEEVESSEWQVVFCPDDDVVIEDMDMFSDYLVLFLSKEGSPMLCSIDMPMKANTKHMEDLNPWYFPLPADSCSVAPGSNHDFQSSVYRVVLSSPVIPDTIIDYDVSRRSFSVVHQEGRVTDDLDSSTPWYSADHSTDNNDRTSEGMPEWEELSDAYVCERQEVSSHDGVEVPLTILYSREAWKKSESPGILIGYGAYGEALDKSWCTNRLSMLDRGWVIAFADVRGGGGGGDFSWHKSGTGSLKVNSVQDFIYCARYLMDKGYVHRHHLAAIGYSAGALLPAAAMNMHPSLFQAAILKVPFVDVLNTLSDSNLPLTLLDHEEFGNPNIQTEFQSILSYSPYDNIRRDVCYPSMLVTSSVGVWEGAKWVAKIRESTCDDCSRAVILKTNMSGGHFGEGGRYAQCEETAFDYAFLIKVMGYHNNR >A09p016730.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8758344:8758559:1 gene:A09p016730.1_BraROA transcript:A09p016730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKASLKIKGKGGKGSKESSSSKYEVFKDWTNWSLKKAKVATHYGFIPLIIILGMKSDPNTHLFQLLSPV >A03p006240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2642340:2645020:1 gene:A03p006240.1_BraROA transcript:A03p006240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 11 [Source:Projected from Arabidopsis thaliana (AT5G13460) UniProtKB/TrEMBL;Acc:Q9LYR0] MGGSGRDQIIKADDCATMTNKKGLFTLLKRVFISEANSEKKEKRRRWTFWKLKVRKRLPTITAPPTENGTRSESHEEQKEESVSEVGEVSQVSSSQKLDSIEKLEGSTSPETAHLVAQYQLFLNREEEALAATRIQTAFRGHLARKALRALKGIVKLQAYIRGRAVRRQAMTTLKCLQSVVKIQSQVYGKRTQIPPRGSQRDYEESHMFSENILKVDTNGQKRWDDSLLTKEEARAVVMSKREAALRRERIKEYAVTHRKSAESYQKRSNTKWKYWLDEWVDTQRTKSKELEDLDLSLRTKPKEETMNKTPRNSSPRRLVSNSNNHKRQASISEEEPQNPVAAVTVTTPTYMVATESAKAKSRSLSSPRIRPRSFDTQSESYSPYKNKLCLTTSVMSEAPSRVRVGNSNGNNSRASAYQQRSPGLRGFSIGPLKSCNNGGLLNDLSINSERSLPSWSKQSSLR >A05p039710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24291678:24293916:-1 gene:A05p039710.1_BraROA transcript:A05p039710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSLTFFLTVLLIPCFLIFNPSSALKEGETCIVTKNCDQGLHCESCLSSDNFRPRCSRMQTVNPTSKVKGLPYNKYTWLTTHNSFARIGARSGTGSMILAPSNQQDSITSQLINGVRGFMLDMYDFQNDIWLCHSYGGNCFNYTAFQPALNILHEFQVFLDKNKDVVVTLIIEDYVKSPNGLGRVFDASGLRNFMFPVTSMPKNGEDWPTIDEMITKNQRMLVFTSNPQKEATEGFAYLWKYMVENQYGNGGMRPGVCTNRPESAAMGDQSRSLVLVNYFPDTADLMGSCKQNSAPLLDAIQKCQEASGQRWPNFIAVDFYKRSDGGGAPKAVDVANGRSLCGCDDISACKENMPYGTCEKREEPTKLDSKLMMIAKLTADATKPTQLGLSVFVVTFVSLLSFFGH >A04g503090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6783803:6788064:1 gene:A04g503090.1_BraROA transcript:A04g503090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHSPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRRTTKIRGKRLDFATPLDRAGLARERPSGQNPSEKSPVEKENLENLPPPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSQSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRTKSSPGKDEVKSSTDANASDVEARHKSEAHATTQPEHPENSENSKQNIHHINKPRKAARDSKPPTASPDKRITNPSLIIQSQSPGQRSTERIRGTIHFLATIGKPGRNLLGIRGNRDGIPEPLNPLVDRRDKRFGMGPFIHPTLHQAHFLFKHIVIGSRPPKTSDRTAALAKVTHRGKGILEVPILNLELRGTSLHHLDDFPFAFPFRFADCPWMITSKLRFSLHISPCTRARSRSAFSALKR >A09p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3632062:3632843:1 gene:A09p006930.1_BraROA transcript:A09p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSELACTYAALILHDDGIEITASFLLSFSTCFTNVNVESYWPSLFAKLCQKKNIDDLIMDVGASGDAAAPEEVKEESEDDMVFGLFD >A06p021950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12508584:12510699:-1 gene:A06p021950.1_BraROA transcript:A06p021950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAFAGISNDLIARAWMASTRAYNTDHFHKEEERETVFVAVRPSFMEKDWFAPENKFPFGETKMKRAQFPCMRSIGNDVDATVNESFLENFQVLTSPTTSFCDYVKTVVDSRQSQRIVFTGHSTGGATAILATVWYLETYFKKPRGGFPLPEPLCVTFGAPLVGDYVFKHALGRENWSRFFVNFVTRFDIVPRIMLAGKASTKQALPHVLSQLDPRVAIQENDQRIPGFYTTVMKETATVAHQAVCELIGYGDAFLETFSSFLDLSPYRPAGIFVFSTGTRLVSVSNSDAILQILFYASQSSNQHELSLVPFQSIKDHRSYEEMVHSMAMKPLNHLDLHHLPLDGDPILRDLGLSTRARQCVCAAFEAEKQRVDNQTKIYNKLPKIVEKLTWIEDEYKPRCKTHKNGYYDSFKYSNEEKDFKANVSRAELAGLFDEVLGLVKKGLLPDGFEGHREWIELSTRYRRLIEPLDISNYHRHLKNEDTGPYMRKGRPNRYKHAQRGYEHELLKAGKSAEEIKESGCGSCFWADVEELKGKAYESVEVKRFEKLVEGWITNREIDDEQIFLEGSTFRKWWHSLPEEHKRSSLLRERMGETRST >A01p013300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6500506:6505220:1 gene:A01p013300.1_BraROA transcript:A01p013300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2 [Source:Projected from Arabidopsis thaliana (AT4G21150) UniProtKB/Swiss-Prot;Acc:Q93Z16] MAGGLARFLVLILAAAICGAASVSQPISDSHRSAALDVFAPVDGSYKSLEEAYEALKTLEILGIDKKSDLSSATCENVVKVLASPSSSTLKDVFYVLSVNGILKCKSGEDVPKDIVSKLQTGVKDAKLLLDFYYSVRGLVLVKEQFSGTDISLGDAEAVFRSIKALSQSDGKWRYSPNNPESSTFAAGLAFETLAGVISLAPSEIDHSLIQTLKTGITKLFDSIQKYDDGTFYFDESEGPISTTASVIRGLKSFAASESTGLNLPGDKIVGLAKFFLGVGIPGDAKDFFNQIDALACLEDNRFSVPLILSLPSTVISLTKKEPLKVKVSTVFGSKAPALSVKLAQALSSGSKGSSVINNQELKFDAESATYFLESFPKNFDVGKYTFVFEILLDESTNEKVYITEAQTKVPISATGAITIENAEIAVLDSDVGSVESQKKLDLTKDEAVSLSANHLQKLRLSLQLTTPLGHVFKPHQAFLKLKHESLVEHIFLVKTSGKKSELVLDFLGLVEKLYYLSGKYEIQLTIGDASMENSLLSNIGHIELDLPERPEKAARPPLQPTDPYSRYVPKAEISHIFRVPEKLPAKQLSLVFLGLIVLPFIGFLIGLTRLGVNIKSFPSSVGAATSALLFHGGIGAVLLLYVLFWVKAIGSVHDSKGTVFAGSVSVVRRTQNTVSPCSSIEQAEICLKDIYSSL >A09p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5007551:5011065:1 gene:A09p009740.1_BraROA transcript:A09p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase LIP1 [Source:Projected from Arabidopsis thaliana (AT5G64813) UniProtKB/Swiss-Prot;Acc:Q9C5J9] MRFWRERERESKEQILAPLCGQVRVLVVGDSGVGKTSLVQLINKGCSIQRPSQTIGCTVGVKHITYGSPGSSSSSIQGDSERDFFVELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASEVAATGTFSAPLPSGGGPGGLPVPYIVVGNKADIAAKEGTKGSSGNLVDAARHWVEKQGLLSSSEDLPLFESFPGNAGLIAAAKETRYDKEALNKFFRMLIRRRYFSDEQPAASPWSIPTSSSQRLDEITSDEDQLYKRTSFHGDPYKYNNPLPPLAAQRNLTSPPHTLYPQQQQPVSTPDSYAVPRFSLSSLQDTSNNGSGRSKRMDINNKNSPWLSVPQFGDWDQKGGSIPDYSMDFSKIREMRKQNKRDPSRASLGNEEELINPFHNQPASVDNTKPKLTTVHSDNNKTHNEFSHHHPHPPSVRLWNLTLRGEESSAASTAALKLEDRNEKWQCGNLLLRKQALNQ >A03p063790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27745493:27746090:1 gene:A03p063790.1_BraROA transcript:A03p063790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVCTYLFFSMIVMSAFLALPIADGADTRMCIVVEKLSKPCTFQECQPLCIQKYRGTGVCLGNNNSNCKCKYNC >A03p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7849767:7851975:1 gene:A03p019250.1_BraROA transcript:A03p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFFISLTLKTLKKKHRNNPENWRRGLLEFLRSNSLFFYSVRELTMGSVTPPGDVHKNDPELEDENLPEENGLLRSESLLTHKREQCSLVSRSGKWLQIVKNGPRGSLSRATSFVLDYNIPRHLLSLDEKYLRRCLDLINITAFNSASHSLSMNLVGPNMSFDSPVMMMIPKEDVARLVFDLPLVDDSGNAVISPAITGCKRVTHMVVDKPLLCDDVVSLSSTNSRSSSSCWSPSSTVSQGTLQFTMKDNKTPHFVFSLDGQKEIYVASLTTSSPGPSFDRSSKDYSYLIHLKKGHRSELVGKLKVSTLFSVSSTNQKVVERQFVLFSTGVNPQLPSLIKKSRGLSKKVAHAIRSTTRAASLRQRSSISRFSRTSSVADSCSWEPFHEPAVSLLDDNLPPNLETLAVVVREQYDEEEKVGGGGGWGMKFLKKAPLARTKHSTSIDVVIPSGIHGGPRSRNGGGGPSSLVERWKSGGSCDCSGWDLGCPLTVFKGQSEEGQCNLFELFSEGSKQGVPPGVRIMSPEPETQAMVVREVFVEKLN >A01p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5385906:5387385:-1 gene:A01p011070.1_BraROA transcript:A01p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-chelatase subunit ChlI-1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G18480) UniProtKB/Swiss-Prot;Acc:P16127] MASLLGTSSSAICASHSLSSSSSTPSISPICFKPGKICGGKLNAGIQIRPKKNRSRHHLSVVNVATEINSTEQVGKFDSKKSARPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPLDPEFMGVEVRERVERGEQVPVVATKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDADLRVKIVEERARFDSNPQDFRETYKTEQDKLQDQISNARSNLSSVQIDRELKVKISKVCSELNVDGLRGDIVTNRAAKALAALKGKDRVTADDVATVIPNCLRHRLRKDPLESIDSGVLVSEKFAEVFS >A07p002410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3846477:3848803:-1 gene:A07p002410.1_BraROA transcript:A07p002410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCMKNQMEWDSDFDLSGRDDGPIPFPLSSLSKTATFGFVVTDALDPDHPIIYVNTVFEIITGYRADEVIGKNCRFLQCRGPYAKRRHPSVDSTVVSKMRRCLEKGIEFQGELLNFRKDGSPLMNKLRLVPIREDDEITHIIGVLFFTDAKLAPSPAKEITRKRDRSFTSASPVGERNVSRGLCGIFELSDEVMAYRILSRLTPRDIASVGCVCRRFNELTKNDDVWRMVCQNTWGSEATRVLETAPGAKRIGWVRLAREFTTHEATAWRKFSVGGVVQPSRCNFSTCAVGNKIVIFGGEGVNMQPMNDTFVLDLGSTTPEWKSVLVSSPPPGRWGHTLSCVNGSRLVVFGGYGSHGLLNDVFLLDLDADPPTWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLNDTFLLDLSMDIPTWREIRVPWSPPSRLGHTLTVYGDRKILMFGGLAEYGSRRFRSNDAYTMNLSENEPCWRPLVGYGTSLPGGVAAPRLDHVAISLPGGQILIFGGSVAGIDSASQLYLLDPTEEKPAWRVLNVKGSSPQFAWGHTTCVVGGTRLVVFGGQTGEEWMLNEAHELLLANSSTKITSSRHGEKRLF >A08p037400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21825267:21826557:1 gene:A08p037400.1_BraROA transcript:A08p037400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAHTIFGVFGNATALFLFLAPSITFKRIIKNKSTEQFSGIPYPMTLLNCLLSAWYGLPFVSKDNTLVSTINGTGAAIETIYVLIFLFYAPRKEKAKIFAIFAAVLAVFATVALVSLFALHGNGRKLFCGIAATVFSIIMYASPLSIMRLVVKTKSVEYMPFFLSLFVFLCGTSWFIYGLIGRDPFVAIPNGFGCALGTVQLILYFIYCGNKGEKSTDDAEKDEKKTVEMKDEEKKKQNVVNGKKQEQQV >A03g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24567482:24569961:-1 gene:A03g506960.1_BraROA transcript:A03g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRAGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQIWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A07p034270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18655850:18657253:-1 gene:A07p034270.1_BraROA transcript:A07p034270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYKEVIRKKTKHRPDYLLDWTRYRRDTEISYLRGDLSALLVTKENAITHVNQDMALSNRLTIWQRDFYWNSHPSSPSYDYPLLISSHIPMITPLMGKVPRRGIAHLMQSMAHLTAYGYVCKVVGHIIFTQGSSVCYLS >A09g513740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41811148:41825633:1 gene:A09g513740.1_BraROA transcript:A09g513740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGDEAIQVEVPIVRRGPTTQSGTRALREGFTKAVQHILDQDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELDFGLMFQPHFRPACLRPFIFESPTGNKREQPNLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFEPDRPTPSPSRPSSRPIAVRPSCPVRVPEPQTVRPCRLFPGLYHFGIRAQSSYTFGDMGDLNGAPTQAEINAQLMANHAELQAALATVTEQLAHIAGRDRANVPRPRRRNNPVPEEQQSQSSEDNSDTDRTEPEEPRRERAIRFTKAVQHILDQDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQFRLNQAGLLISTSELDFGLMFQPHFRPAFFFCEKQERAADLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSFVLFYLSSYSSSFFHSLLKLIKSHICFCSSLIIFILLFFIAKPSHSPVRAQTPSPSRPSSRPIAVRPSCPVRFPEPQTVRPCRLFPGLYHFGIRAQSSYTFGDMGDLNGAPTQAEINAQLMANHAELQAALATVTEQLAHIAGRDRANVPRPRRRNQPVPEEQQSQSSEDNSDTDRTEPEEPRRERAGRFTKAVQHILDQDGQTDQDQLLIEKMVQLKIQDQAGPKEVQDAADPIQNKREQPNLIKLQTTKLVAILHLHSIHRFALRVIHIQQAKSHSYPPPSTVLVERDTHPATRIHPPYFYPSLEPDRPTPSPSRPSSRPIAVHCSDVLRVLTDVRADGRPVCADGRPVCTDGHTHTDSHGRPACADGRPVCADGRPVCADGRPVCTDGHTDTHGHTRTATDVLRGLTDVLRVLTDVLCVLTDVLCALTDTRTHTDSRGRPACADGRPACADGRPVCADGRPVCTDGHTDTHGQPRTQPTWAKITRTATERADMCTDGQPDVLCVLTDGHGRPVCADGRPRTSSHVGQNHPRTAKITREAKDAKINIFEESFLKGNIKNMSTKSLGCQVLIKSCCRHPFRPRNSDLCIMQKTWLEAKEIYENLPENSFNHPYEACKKSDSNSKYFFFYIKNTPRNTTNGYW >A01p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1225342:1226120:1 gene:A01p002670.1_BraROA transcript:A01p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor 7 [Source:Projected from Arabidopsis thaliana (AT4G36240) UniProtKB/Swiss-Prot;Acc:O65515] MEYVEAFLSDFSVDDLLDLSSTDAFVREKSSSSPREEEREKANSSSDQITLLSPLEDILSLPGSDSKLNVPVGDFEDLEWLSNFVDDSFPESYLYGDFQVNPVATVEVQRQCVPVKPRSKRRRTNGHLWSLESPYSFGKKKRGRPKAETSSGDGIQQQTRRCCSHCGVQKTPQWRMGPLGAKTLCNACGVRFKSGRLLPEYRPACSPTFSTEIHSNSHRKVLELRLTKTADQGQG >A03p054570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23455327:23459714:1 gene:A03p054570.1_BraROA transcript:A03p054570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAKKRKAAKKKQQQQQASSPQTNLIPTTNHESREEDDVRIEKEVGLDTDERIEITDSSRDHDKNSSSSSSSSSSDDESGEVKKKESDESVSEAVTVSSVPTQAIPIAGDAPFMGSTANVIVESIGLMDSTTPPSDTLISNESSLPKPNEITAKVSLASDEGKQASSSATDSGKKENEGETSTLVPEGSKESEATSSHEEEAVVRPTHGVAQRTSWLSCCGLFDVTFFGGDMSYVFPRCLVSSSASISLPNRPPLRYAVLGAGFAGISVAWHLLKDCPKELSLSVDVYDEVGIGGGASGVSGGLLHPYSPKGKLLWHGGECWRECLELLSVAETAAASSSDAEKGDCNQSFGDFMVRRRGILRPATNAKTLSLMTDNARNCLAGCVVETIDKDVAQSLVPNLCLPLNSAFYLPGAMNVNPQRYLQALFLACQNSASESLGRTNITLVKQSIDNVLELEGEYDAVVICLGSKVNFLPGLTGKLPLRTCRGVITHLQLHESVRGSYPEAGPSILSDAWLAVQGPRDLHMGSTWEWQSRNYSPDVSAEEASKALAELLPKASAVYPDIDKWEFAGARAGLRAMPPVTSHGSLPLLGCVDQLVGAAEGGSCKFWVFGGLGSRGLLYHGWLGKLIAKAVLCCKEELLPSELTSWKMNNRVKS >A03p008540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3451835:3456820:1 gene:A03p008540.1_BraROA transcript:A03p008540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYASCARSNITPSSSSSKVILPDGEVRHIHAPTKAAELMMEIPSFFLVDAKTLKIGRKLKPLAADDDLQTRGCHVYVAFPMTRATSAANASDMARLFLAAKKQQRRRVRTAVKHCHNGRISPEGEEDVKMMSAGSKLMSLVDIDEFSAAEFMHRISNSKSKKPKLETIAEEESVLNGLEIKQLLELDFFNAFFNISNTTSSFSSSSSSKVILPDGEVRHIHAPSKAAELMMEIPSFFLVDAKTLKIGRKLKPLAADDDLETRGCHVYVAFPMTRATSAANASDMARLFLAAKKQQRRRVRTAVKHCHNGKISPEGEEDVKMVSAGSKLMSLVDIDEFSAAEFMHRISNSKSKKPKLETIAEEESVLSGLEIKQLLELDFFNAFFNFRYCSRL >A03p012630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5000969:5007609:1 gene:A03p012630.1_BraROA transcript:A03p012630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLSLIITISLALPFTGRCSYDYSRSDFPEGFAFGSGASSYQWEGAADEDGRTPSIWDTYVHSRNLDNGDIACDGYHKYKEDVQLMVETGLNAFKFSISWSRLIPNGRGPVNPKGLQFYKNFIQELVTHGIEPHVTLYHYDHPQALEDEYGSWLNSTIIKDFTAYVDVCFREFGSHVKFWTTINEANIFTIGGYNDGITPPGRCSRNCMSGNSSTEPYIVGHNLLLAHASASRLYRQKYKDMQEGSVGFGLFAIGFSPSTTSKDDEIATQRARDFYLGWMLGPLVFGDYPYVMRKTVGSRLPDFSEEESELVKGSSDFVGITHYTAAIVTNIKPYFSGYSDFYSDTGITLTYLCNDSSTGLLLETCDDTPWAMEGVLEYIKQSYGNPPVYILENGKPIKGDFQQQQKDTPRIEYLQVYIGAVLKAVRNGSDTRGYFVWSLMDLYELLDGYGSSFGLYYVNFSDPHLTRSPKHSAHCHVYTRSDFPEDFAFGSGTSAYQWEGAATEDGRKPSIWDTFVNSRNLENGDIACDGYHKYKEDVQLMVETGLDAFKLSISWSRLIPNGRGPVNPKGLQFYKSFIQELVTHGIEPHVTLHHYDLPQALQDDYGGWLNRTIIEDFTAYADVCFREFGSHVKFWTTINEANIFTIGSYNDGITGPGRCSGNCMSGNSSTEPYIVGHNLLLAHASASRLYRQKYKKSQGGSVGLSLYAIGFSPATSSKDDEVAAQRARDFYFGWMLEPLVYGDYPYVMRKTVGSRLPKFSEEESELVKGSSDFIGIIHYLAANVTNTKPYFPGYSDFYSDCGITLSNTCNITTSQCAVTPWALEGVLEYIKQSYGNPPVYILENGKSMKGDFQMQQKDTPRIEYLHVYIGAVLNAVRNGSDTRGYFIWSFMDVYELLGG >A02p048620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30210795:30213424:-1 gene:A02p048620.1_BraROA transcript:A02p048620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSCLNALDINGYLKSVGLDTERSMLYLHLFESLTGWFQTQVLVFEMGYIGAHGVAALHRYKYSGVDHSYLAKYILNPFWTRFVKIFPLWMPPNMITLMGFMFLVTSALLGYVYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAYGSTAMCGRDTFWFWVIAAVPFFGATWEHYFTNTLILPVVNGPTEGLALIYCGHFFTAFVGAEWWAQQFGKSIPLLSWVPILNEIQMSRVVLFSMIVFAVIPTVAFNVSNVYKVVQSRKGSMLLALAMLYPFVVLLAGVLTWDYLSPIDLIRNYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCLSLLYLPFALANALTARLNDGAPLVDEFWVLLGYCIFTMALYLHFATSVIHEITTALGIYCFRITRKEA >A03p022220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9343579:9344801:-1 gene:A03p022220.1_BraROA transcript:A03p022220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREMAVYCFDTLVSHYNNDETPPPAFDDANHPLFVTWKKIVNGGEPRLRGCIGTLEARRLISGFKDYALTSALRDRRFPPIQSKELPFLQCTVSVLTDYETADDYLDWEVGKHGIIIEFTEPVSNTKRSATYLPEVPAHEGWTKIEAIDSLVRKAGYNGEITESVRRRIHLTRYQSTLFSMHYSEYLSYVKATRGGVAPAINGTSKPAMALS >A09p064850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52097294:52104848:1 gene:A09p064850.1_BraROA transcript:A09p064850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) UniProtKB/TrEMBL;Acc:F4J8A5] MGRSTKKKKKRGGHSGRRGQLKDHGSNDDEDNELLSEEITALSAIFQEDCKVVSSSSSTSPPQIVIKLRPYSKDMGYEDTDISATLLVRCLPGYPYKCPKLQITPEQGLTTADAEKLLSLLQDQASSNAREGRVMIFNLVEAAQEFLSEIIPDSHDEESVPCLTTQPGAQFIEEAVPKAKPFSAGPFVYGFIDLFSGLEDSRNWSLNLDENRGVVSTVQPRPLEASRILHEKPDKSLKRSEDHAKEEVTFPSPIAKPNTLEGGNVDDTSTSSFDSSSSTEDGFIQNQKKKSFFRSNIQDDTTDDDNNQSESESESWSSASSTQDQVPQISKQDLLMVHLLRVACSSKGPLAEALPQITDELHQLGILSEGVLDLASKPSPDFNRTFEDVFNQNMASTRVPQFWEQPSDFGKANASLPSSRYLNDFEELKPLGQGGFGHVVLCKNKLDGRQYAVKKIRLKDKEIPADNRIVREVATLSRLQHQHVVRYYQAWFESGVADPYAGANWGSKTVGSSMFSYSGAVSTEIPEQDNKLESTYLYIQMEYCPRTLRQVFESYNHFDKDFAWHLIRQIVEGLAHIHGQGIIHRDFTPNNIFFDARNDVKIGDFGLAKFLKLEQLDQDGGFSTDVAGSGVDSTGQAGTYFYTAPEIEQSWPKIDEKADMYSLGVVFFELWHPFGTAMERHVILTNLKLKGELPLKWVNEFPEQASLLRRLLSPSPSNRPSATELLRHAFPPRMESELLDNILRIMQTSEDSSVYDRVVNVIFDEEVLETKCHQSSGSRVCADDSYVQYTEMDTELRDYVVEITKEVFRQHCAKHLEVIPMRLLSDCPEYSRKTVKLLSNGGDMLELCYELRLPFVHWISANQKSSFKRYEISHVYRRAIGHSPPNPCLQADFDIVGGTTSLTEAEILKVIVDITTHIFHRGSCDIHLNHGDLLDAIWSWAGIKAEHRRKVAELLSMMGSLRPQSSERKLKWVFIRRQLLQELKLPEAVVNRLQTVASRFCGAADQALPRLRGALRADRPTRKALDELSNLLTYLRIWRIEEHVHIDPLMPPTESYHRNLFFQVFLTKENSTGTSNDGVLLAVGGRYDYLVHQVCDREYKMNLPGAVGVSLALETIFQHLPMDLRPVRNEVNTSVLVCSRGGGGLLVQRMELVAELWEKSIKAEFVPTPDPSLTEQYEYANEHEIKCLVIITEPGVTQNQIEFVKVRHLELKKEKVVQREELVRFLLAEMAVQFRNPSVWS >A07g506780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17156483:17156847:1 gene:A07g506780.1_BraROA transcript:A07g506780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELPALIEKNPQLEVATELSRGQHPYLKGIYRNRNERVVCVKNMDPEQVLLNATRLRNSLGRKVVKLRTRHVTKHPSVQGTWTTAVKF >A08p002880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1575510:1576710:1 gene:A08p002880.1_BraROA transcript:A08p002880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPALPKVTAYLGELSNASLDYFPGSILQGIQAIHVGKGIIECKLIIEDRVLGEDGTIHTGAIAAIMENIGATAIFSAGGSDHASVDFNYSLYSTAKKQDEVKIEARVIGRKDDLTSAVIEIRRECDEELIATGRLWMVQRRSLNVKHNGVDLPSKL >A09p031890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19336035:19339769:1 gene:A09p031890.1_BraROA transcript:A09p031890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance-like protein DSC2 [Source:Projected from Arabidopsis thaliana (AT5G18370) UniProtKB/Swiss-Prot;Acc:F4JWM0] MKLNTNTCNVLVILDGVDQLEQLNAMAKETQWFGYGSRILITTQDQRLLSAHGISHIYKLNLPATDEALQIFCLYAFGQKFPHDGFKKLAMEFTQLAGELPLGLRVMGSYLRGMSLGEWEDALPRLRTSLDGEIGEIERTLRFSYDALSDKDKALFLHIACLFHGFRADHVKQWLANSGLDVNHGLEVLTRKSLITSNVGFSGMHSLLQQLGVDIVRKESIREPGKRQFLVDVDDIADVITDNAVGLNCLPRKLRLLHWDYCPLRVWPSTFSTKFLVELIMRGSKFEKLWEGIQSLKNLKRIDLSDARSMKDIPDLSNATNLESLLLSFCTSLLEIPSSVGNCTSLKTLDLSCTKITTNIQELNLSSTSIEEMPSSLRLWSCLYKLEMQRCRSLKVFPPVPEGIEELDLSDTLIEQVPPWIENLSQLRHLAMFRCWKLDNVSLSRISKMVGVSCMQITRGDKDTSRYVEVNIRWFSDFLNQWTLQTDMLQICLPETLSLYECPSLVSLPQPPDSLSSLDAENCVSLETIDGSFHNPDIRLNFLNCVKLNHEARELIQTSVCKHAILPAGEVSGHFIHRATGGSITIHLKERHLPMFLKFKACLLMIDDEGDVDEEDDDYDYDEEVIVYGDYDTYPHSDHYKKHDTMRLSCRVDGKQNGVTTRYGSSVHILPTPRAFTDHIYVFEAYFSLDECSNP >A07p002950.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3492559:3493512:1 gene:A07p002950.1_BraROA transcript:A07p002950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRFKLKITRILSFKSCRSKDPSDLPFDPVRSFPRPSPPPANPITTVTTVPQRRRSSLRQHVFTTFGCGSSRRRSSALLDVSRRNSPSLSPPQTPTFQWESEGKWHVIAQVDEGEYETPRRKIYDGDDRRRSVKKERYARRRGSIYSTEEYEEETGRESLLPSSTNLSPESSSSGLPRVTRRRRNHPRKKNTSLAVEEKSESPSPPPSPARLSSFVQRLIPCTAAAPVVMEGVAVVKRSEDPYEDFKGSMMEMIVEKNMFEVAELEQLLSCFLTLNAKRHHRAIVKAFSEVWVALFSGGNNSNRRSSVRLSDYDEC >A04g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13583885:13585632:1 gene:A04g506340.1_BraROA transcript:A04g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPLESLSFPHTYIQCEIISDWIYIGVLSTTFGLHTTVNVSVDDFQIITWVQPAITTTPISSTSTSSYSVALEKSTSVSSHGEIVPLSLQMHDCKVLQKALDVIEPDQRVLLGHELDGQPGRVGCLQILEKQWDFDK >A10g506590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19070421:19076164:1 gene:A10g506590.1_BraROA transcript:A10g506590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRGGGPDQGPSQPQQRRIMRTQTVGNLGESFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEKTQLYVHYNILPLDPDSANQAIMRYPEIQAAVLGLRNTRGLPWPEGHKKKKDEDMLDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDQALTDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMALYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEDAFLRKVVTPIYEVIAMEAQRSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFYPPVEETNIEKDGDNSKPAVARDRWVGKVNFVEIRSFWHVFRSFDRMWSFYILCLQAMIIMAWDGGEPSSVFDAGVFKKVLSVFITAAIMKLGQATLDVILNFKAHRSMLLHVKLRYILKVISAAAWVIILPVTYAYSWKDPPAFARTIKSWFGSAMHSPSLFIIAVVFYLAPNMLAAVLFMFPMLRRFLERSNFRIVMLMMWWSQPRLYVGRGMHEGAFALLKYTMFWVSLIATKLAFSYYIEIKPLVAPTQAIMRARVTNFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIYSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNDRLIPDGNQQRKKGLRATLSHNFTEDKVPVNKEKEAARFAQLWNTIISSFREEDLISDREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELMKRIESDSYMKCAVRECYASFKNIINFLVQGNREKEVIEIIFSEVDKHIDTGALIQEYRMSALPSLYDHFVKLIKYLLDNNVEDRDHVVILFQDMLEVVTRDIMMEDYNISSLVDSSQGGAWHGGMVPLEQQYQLFASSGAIRFPIEPVTEAWKEKVMPD >A02g501940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6088895:6089598:-1 gene:A02g501940.1_BraROA transcript:A02g501940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIKKVRKTSVLLDIITSRRAVDTASRQSIVALVIVTYLRLYLESSKVLGDIIVYLYRFVGATVAWRDCLAELQQKPCQGGAVIVNTCDLQDHTTTLYLNELIYHRR >A09g515690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46279783:46280570:1 gene:A09g515690.1_BraROA transcript:A09g515690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTIHCGEDGAVSSDEELNGGDEILQDGKQICEDRDLKDRLLRKFGSRISSLKLEFSKKKKKGKLPKEARQALLDWWNVHYKWPYPTEGDKIALADATGLDQKQINNWFINQRKRHWKPSENMPFAMMDDSSGSFFTEE >A05p048940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28532407:28537837:-1 gene:A05p048940.1_BraROA transcript:A05p048940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERTVRRRQSKLRTPMANDYIFSIQKLLLFFLIISCRNAVGSASIIKYIGVGEEEQVQLFYYFIKSEGNPEEDPILLWLSGGPGCSSISGLLYENWPMTMKLEVYNGTVPSLVSTTYSWTKTLWFIKTPMANDYVFSIQKLLLFFLIISYRNAVGSASIIKYLPGFEGPLPFELETGYIGVGEEEQVQLFYYFIKSEGNPEEDPILLWLSGGPGCSSISGLLYENGPMTVKLEVYNGTVPSLVSTTYSWTKISSIIYLDQPVGTGFSYSKTQKLASKPSDLGEAKRIHEFLHKWLNKHQEFLSNPFYVGGDSYAGMVVPALVQEISKGNYVCCKPPINLQGYVLGNPSTEVAFDYNHRIPYAHGMALISDELYESMERICKGEYENVDPSNTECLKLVEEYHKCVDRINFALILTPFCEESEDTLPDCYTYRYVLTAYWTNDESVRKALQIHKKSKEKWVRCNWGMPYTHDIKSSVPYHMNNSINGYRSLIYNGDHDMFVPFLGTQAWIRSLNYSIIDDWRPWMIADQIAGYTRTYANKMTFATIKASLFFVSTQTFWFAVFLLMFLS >A09g517860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53723809:53725509:-1 gene:A09g517860.1_BraROA transcript:A09g517860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQNKIVALAERIAALSQEERKQIGHALNKHMWLPKQLMIPSHGMSERAKQEPRIEKAEEKTVFDVKAREVSYNCKDQSLRLPVWVSNTKKIDMPVVLSGMASLNATASLPLSNHHRQRLTCASSSPPLVWKQSRRVLSVSFLLSRLLLLPNDAMASSLIDKYVKRKRLDPLEAYVPPVILAQLQFQDLENILSVDKPEFEACRSVLRSGPASSLRVNIRAVAQYASDAGYSQTASSDVDRCLRALEEMDSLFLRASRKDPNATVELMKSQLGTALTALDSLLQTVPSEVLDKGKAMVEVYRSPFEEDNASDSAEIQQLQSIL >A01p007370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3650744:3652000:1 gene:A01p007370.1_BraROA transcript:A01p007370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSNVAAKFAFFPPDPATYGVSKDEETGKLVLSGVSPDMNMEVHQLTTKSGNKVVATFWRHPFARFTLLYSHGNAADLGQMVELFIELRAHLRVNIMSYDYSGYGASTGKPSEFNTYYDIEAVYNCLRSDYGIKQEELILYGQSVGSGPTLHMASRLKRLRGVVLHSAILSGIRVLYPVKMTLWFDIFKNIDKIRHVNSKVLVIHGTKDDIVDLSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKLSLTNPPPKQLTNEPSVTETKHSRCLRFRKR >A09p069770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54291775:54295118:1 gene:A09p069770.1_BraROA transcript:A09p069770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSLLTPSSSSHVQTPATTFDHEDFLDQIFASSPWPSDEAHPPPPPPPRSSDGFVDSRHQQIMMMPLPSHHRNEVVDGSSVHSLYNGFSACGSLPFHIPQGSAGGMMNQQGQALTQTQAQKQPQVSASIATGGTVAAPPQSRTKVRARRGQATDPHSIAERLRRERIAERMKGLQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGGASIPSQISEENASSAVAGGNQATGNSNDSLTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRAAGSVGGHPSSPNLSGMTVQSTNKVKLSGNGVTEGSSPLAVKEAV >A05p001460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:253018:253443:-1 gene:A05p001460.1_BraROA transcript:A05p001460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRYWQKSRGYERLDRSAKRAKSGGRNVKRVKFDPTRKRRFWRIKIVPKLRVLKKASPKKLLTWLRDAYVNMMLSFANSRVIESSYGFGEYGYGSGLASREYDEKKLVEIYKSMLMAQGSLVHRDVPKLSADSIKLSPLM >A06g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15258562:15261317:-1 gene:A06g505200.1_BraROA transcript:A06g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDGLPDENACPSLVSSRPKLSLARPWVLECRLGRGKRTPVWSVRSSGRGSAEDPIGTHAGRLGQYDRLVKDDPSDNFQLHMNQMDARGKYKNACRTVRMVRRMRMHNVELVGEDKLRYGQFGRLVMVPAEAPIEMHAGRIDQSDRYGRMNEPQSNCSERPDLHDGRLQWTDPRTRAHQFRHSTRCVVRVVGPPKHRAFLGLYQNNFRTGHKDNPCYATSTGLFRLCDRVDMGGHAATVGFSRLRSKVDVGGTLDYQWQEASLED >A07p031340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17363431:17364156:1 gene:A07p031340.1_BraROA transcript:A07p031340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNSLIISPRELRSDLYSYSYQDNSKTPLVISLLSSLIDRTLTRNQRISRRASPACYSSCGGGKTHIFDCREIPDLTIQSYLERIFRYTKACPSVYVVAYVYIDRFCQINPGFKISLTNVHRLLVTTIMIASKYVEDLNYRNSYFAKVGGLETEELNRLELEFLFLMGFKLHVNVSVFESYCCHLEREVST >A07g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6083122:6102315:-1 gene:A07g502960.1_BraROA transcript:A07g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAWPARKDKCQVSADKYGSFEDNWQKSKSANRPWSYCDSIRFSRLRVARTRNLADSSRAQAYTFANFGSHSLALEGGVLTDLTRKSHTQSDMSTNDADNVQTPLNGGSGTDLHTPVADVPAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNAESLPLPAKDSEAEHIDLDPSDVSADSDEDVDRHPRRTRSRSAREVEGSPFEKPMTDEEEVAYWNEQEELAERQTELTRNLQGAHNYAISSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANVSDVEARHKSEAHATTQPEHPENSNYIRDDNSGKGFKATNGQSPKYKYVEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLPWLLYDPPRWPSPASSGPAKSIGVVQTNEEKELLPRVEVRNELLNHRHKFPRDKPMLIRILDKLKPQKRFDQDPNKVLNGKGCQLTYRNFKTVQHSDENFGYGEPEATTHYEHLITSKVTLRGVVSTFPAAGNPELHNIRDAVERPHRREKLVSGPTCDPPCMILTGWGANCWGQKRLRRNYHPKILRDRISERVSKRRDIIFVKITYTRLFLRRSILWDSNQTIVPLGRSDRAQPSSVATDRARAKARSLVATELDRSLRRYVATDLVPLGRYVATEPKARSLRSDRAIVPLGQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKSRKTRGKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVHAWPARKDKCQVSADKYGTATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYPSFANGFNLFSNLIRPTVVAPESVRSRKWVQVLRGNEDELEEMKQREFGGWMFTYVSDGLARGETFDDWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDAVDLTDFGEEAAVHVEDEPVIGEFHQDPDSDSSEMKYGENNRCFKTPNPGSSEFPRSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A02p043630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27476096:27479833:-1 gene:A02p043630.1_BraROA transcript:A02p043630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 13 [Source:Projected from Arabidopsis thaliana (AT5G46600) UniProtKB/Swiss-Prot;Acc:Q9LS23] MWNKSMEITMEDEGSRRTRKKIEHPKKMKNILKSLWNVGKEDTRRLKHALKVGVSLTLVSLLYLMEPFFKGIGKNAIWAVMTVVVVLEFSAGATLRKGLNRGIGTLIAGSLAYLIEFVAVNSGKIFGGIFIGAAVFIIGSTITYMRFVPYVKKNYDYGMLVFLLTFNLITVSSYRIDTVIKIAHQRLLTIAMGIGICLFMSLLVFPIWSGDDLHMSTVTKFQGLSRSIEACVKKYFEEKERDKEDSDSESDDDDVIYKGYKTVLDSKSSDEALAMYASWEPRHTLRCNKFPSQQYTKIGSVLRKFGHTVVALHGCLQTEIQTPRSIRTLFKDPCVRLAGEICKVLSELSESILNKRHCSPEILSDNLEVALKDLNAAIKSQPKLFLGSNLHSDITNKHLNRNVSYYNDSNNVDGNVLSQTTPQNVTVSQARFNSTVSLSSFMGQTSHRKSVNKPGPVGEKRRFRKQLSKAAVMKSLEFSEALPFAAFASLLVEMVARLGTVIDEVEELGSISCFKEYDKIVDRTDVEVRIEKPVDLVVGD >A10p031330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18744682:18745426:-1 gene:A10p031330.1_BraROA transcript:A10p031330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 84 [Source:Projected from Arabidopsis thaliana (AT5G14000) TAIR;Acc:AT5G14000] ELILHYLLPKAFASPLPSSIIPVFDVFFSHPLTFPGDQEEKKRYFFCKKRREVSSRTKISSGDGYWKPIGKEREIVACGRTVGIRRTLAFHETNKSSCKLNKTRWCMTEYCLAGFASTKVSGEWAVYNVYERKASKGRRQRKSRGRDDEEDLNCIDFTIESDHETGPPPPSPPTSSDESGSII >A02p007930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3330046:3331568:1 gene:A02p007930.1_BraROA transcript:A02p007930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDYISSFCSYTYSNAKTKRKPLQTVDIKVKMDCDGCERRVRNVVRRMKGVKSVEVNRKQSRITVNGHVDPNKVLKRVKSTGKKAEFWPYIPQHMVYYPFDTGMYDKRAPAGHIRNPTQAFPAANTPGENYVSLFSDDNVQAACSIM >A06p017400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7794738:7800025:-1 gene:A06p017400.1_BraROA transcript:A06p017400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISEMQKKKIQRKKLHEEEKILSEELERLMELAVEEYQELETEKADEMVWLMKCPPRVDKAWRQLSSSSSSFSQELVLVAKYSESVDLLLPDLSPELSMEMASAELYVFIRINTVGYKTDESALVRGALIAPILWALSPKGRPTSLAAKPTKLDRPCRARACYVMGLMEGCNPSPTISPPPVHLDMASGNRLSREEKGKDIATSPSPARDADGGPLEDFDIIHRDALRDTENMSLSQRLLVADAHRQFREEIEENVEGEGREASGSEAPSLVVRPRRRARRRGGIDQSDRLPAPRSVPFYEVDCRPVIFHPGGIFEELPSLPPEAWPDLSREWIRRQQARIARVDWESRLPCVLGPRKSRLSLFTRKQQKLLNQARKMEGVPDLSALLKGKLQMLSTKSSSAGASEVRPVPTDGDVNSEPPAQSSPKRKANKAKAKNRSVPLEEAPSSADVSEVAAKKKKKKGSKKRSREETSVGAMETSTAAENDGAERNDPADSTRGSPEERPKKKLKKKTAEDDGTSAPEIPSRSGERLPKSEMAHGMNLRRVREPLRLLRGRPVREVEIRGGTKELPPVDDLYFKKEYIDAAMAGRRSDGSMNYLVEKYDSTLKQTMVQLGASDKLARTRLGVIERLRAENKKASDKAAKEKEVLRVKFEELEDKLKSDRLAKKDALREKTRLERLVASLEKEKAELEGERDAVVGTLVKERQRLRDSRVQEVTRERIKVQTAMADKSTRCIGKMKGYLDRLIAREKAKNLYGQASGTKKCLEMIKDSEIAIPPSMINIFSEQEKMYEAEVANLYLEPFSEDDYALSPLNLPSRFVNEELMGVLDPYGSNVGLIGHESASQLITSREATEDPVDEPMVDITSALSERIVVPEGTTIEERPDGSDPEETGDAIQTDTGDVAAEDPVLVSSSEERGEDEVGEEENRSSPALVEEMVPNLPVSNPPSQVEGLGDQVVEEETTEALDPSRDDQDVVIFISLIFANKQVMNRCPKVDNKELSIRVKGPSVRFLSDN >A05g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7279721:7283156:-1 gene:A05g502300.1_BraROA transcript:A05g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTTYFSYIRSNFLVSSYRVRPELAVDDRKDSATFVVFNKELTKLIKQDATTLALEEMSGGGGQDLPRCLEDLAGKDYVFQIRITPCRFIPNQCTFTVSAISDDIFFGGEGGQATTAASYTVDTEKRAMGVDKAHPPDFEDKEKAANAPIVFSKSLSSCPNVLRSHVLEVSSGADIIESVNTYARRRGRGVSVLSSNGMVANVVLRQPVTVHGNNCGTGAGVAGVVTLHGKFDIIPSLVRCFRRPCRWDQLQQEAFKIRHFLQLQPISFFQPDMSHQFTSSRSRFRVELTVDDGKDSATFVVFAKEMTKLIKKEATNLALEGCLCQRDDKTHQERGNKSGS >A04p036950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21151957:21154863:-1 gene:A04p036950.1_BraROA transcript:A04p036950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISQASCFWEERLKNHNKTKDKILTMTKVCPEMKARRVCEKSVVFESISADVSFASNRFPSYKLGPDDQIIDEPEEDEKGPSVKDVVDKETRDLSDQHKRLSVRDLACKFDKNLAAASKLVAEAKSKEVNSLEGHVMLKKLRDALEAMRGRMDGRNKDSVEKAISMVESLAVTLTQHEGGLIQERFEVKKLASFLKQASEDAKKLVNQERSFACAEIESARDLVMRLGGEFNESERCSKASQDQGPNVEKLVEEVQEARRIRLMHQPTKVMGMKHEIHDLKSQIQEKSAYSVKLQREIGRIKRVEGSKSCPYVLDGAQSLGSCLRIRASSESASDVSKCSVQWYRAASESSRREAISGANRSVYAPEPYDVGRVIQAVVLSNAQKFTVTTDGPINTASGLQSRVESLMRKSNSEFTVVISQMNGQEHASRSHVFTVGKTRIKLSRGWITKAREIYSNSMQMCGVRDNANVPAKTLFWQPRKGLTFLLTFESEQERNAAIVLSRKYAYDCSVTLVGPDD >A07p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15845596:15846101:-1 gene:A07p027880.1_BraROA transcript:A07p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21-1 [Source:Projected from Arabidopsis thaliana (AT3G53890) UniProtKB/Swiss-Prot;Acc:Q9M337] MRNCFLMDQIDFKKLRTMQNEEGVVTELYIPRKCSATNRLITSKDHASVQLNIGHVDADGIYTGQFTTLALCGFVRAQGDADSGVDRLWQKKKVETKQQ >A05p041960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25397987:25398724:1 gene:A05p041960.1_BraROA transcript:A05p041960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNSYSPYSSSSVDSTKPSPSESSVNLSLSLTFPSTSSQRETSQDWPPIKPRLRDTLKSLRLRQRGYNTALFVKVYMEGVPIGRKLDLSTFSGYKSLLENLSHMFDTSVICGTRDRKHHVLTYQDKDGDWMMVGDIPWDMFLETVRRLKITRPERC >A08p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15692694:15694177:1 gene:A08p023970.1_BraROA transcript:A08p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWYFSDTWEQLQNNGRAEVIDASNFDQTKLQLRGKAWFTNSLKSSLMYICHAPGSPYNSEQPNQEVRSDPSSGPVANFCLLFLEAKKVGNFRVDPHGIFRGRGEHPRWEN >A03p070330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30924521:30929375:1 gene:A03p070330.1_BraROA transcript:A03p070330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-2-hydroxyglutarate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G36400) UniProtKB/Swiss-Prot;Acc:O23240] MACKVTEFGAVREVWAGFSLGHETYCSLRNRVDSTKTQRFVIGCQQENAAFPYPDTGVILTFQLSLLFLVVIELITNIFFGKRELDVSAGGRKRIMMMIRRWRRSGDFLRSACKSLTSLRRKHNSMSPSVSGFVNRYESKGKTFESNAWNDHNREFDLGRNLGMMQQYKSFGSSAASKVQRNPLFSSLEPRDVSFFNEILGGEKYVVQDEERLETANTDWMHKYKGSSKLMLLPKTTEEVSQILKYCDSRSLAVVPQGGNTGLVGGSVPVFDEVIINVGLMNKVLSFDEVSGVLVCEAGCILENLATFLDTKGFVMPLDLGAKGSCHIGGNVSTNAGGLRLIRYGSLHGTVLGLEAVTANGNVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTQPKMSSVNLAFIACKDFLSCQKLLVEAKRNLGEILSAFEFLDNNSMDLVLNHLDGVRNPVSSSENFYILIETTGSGETYDREKLEAFLLKSLEQGLVSDGVIAQDINQASSFWRIREGITEALQKAGAFYKYDLSLPVEEIYNIVNDLRGRLGKIHINAVCPNYSKTEDCNQIMHMLQVTWQMLWDMVTLETLLGLIEPYVYEWTSKHRGSISAEHGLGVMKANEIFYSKSPETVALMVSIKKLLDPKGILNPYKGLTKIATNRLQKEFMEWQTNPPAGFKHRVSDNLQRWTIEVKGAPGTLYANETYQLQVEFPEHYPMEAPQVIFQHPAPLHPHVYSNGHICLDVLYGSWSPAMRLSSICLSILSMLSSSAVKQRPKDNDHYLKNCKNGRSPKETRWRFHDDKA >A03p016700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:6667455:6668096:-1 gene:A03p016700.1_BraROA transcript:A03p016700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSTLEFVNKTTSNSLFMFLFCNLIIILILLGNPKPGSEDKSNPGSKEPMFIPESVLSSKSMLLSESTTLNSKSGLKKPVLIPESVLSSKPVLVAESVLSSKPGLISDSTLPSNPGLQEPEMISESVLLSSKPAGSDQSLTSKSGLNVISLKPGSDCSEKDEKGRMRKKESLEVENESLEVECVLRRRVEEFIRKVNTQWKSENTNRDYLLY >A04p020330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12366696:12368204:1 gene:A04p020330.1_BraROA transcript:A04p020330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENILGLLRIHVKRGVNLAIRDTSSSDPYIVFYSGKQKLKTRVIKHSINPEWNDELTLSVTDPNLSVKLTVYDKDLFSADDKMGEAEFSIAPYLEAIKLRHKLEGQLPNGTIIMKVQPTRQNCLSEESHILLNQDKLVQNMFLRLQHVECGEVELQLEWIDVPGSKGF >A03g503750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12839176:12839796:-1 gene:A03g503750.1_BraROA transcript:A03g503750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTCLARKMASRREKKKVKGNGPNKDSAHEVHRLLETKPIIFSDRDQNPIHVLNSPKVLKKKKNGIKPAIFSCASRESNPGQYRGRVL >A02p045210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28405151:28405625:1 gene:A02p045210.1_BraROA transcript:A02p045210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:Projected from Arabidopsis thaliana (AT5G47630) UniProtKB/TrEMBL;Acc:A0A178UB54] MHCIRSSILQHLRLRVPVRSVLLLEKENVFISKMNFTTEHDGGQDQVLSKVIELVKKYDTANASKVTETADFKKDLSLDSLDRVEIVMAIEEEFSVEIPDEKADKLTCCADIASFIVSETHSKAPES >A04p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11726130:11727355:-1 gene:A04p019530.1_BraROA transcript:A04p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSEENRRAISKYLFQEGVLFAKKDFNLPQHPLIEGVPNLQVIKLMQSFKSKEYVRETFAWMHYYWFLTNEGIDFLRTYLNLPSEIVPATLKKQQKPLGRPMGDRPRGPPRSDGERRFGGDRDGYRGAPRAGGEFGDKSGAPADYQPSFRAPGAGSRPGFGRGAGGYGAGPAAGSDLP >A10p004550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8881806:8885711:-1 gene:A10p004550.1_BraROA transcript:A10p004550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQKDHHSTVESDDKVEAVLHLLRKHSPLTLKQEKFCNRACVSRFLRTKGDNAKKAAKQLRSCLSWRSSLGIESLIADEFTAELAEGLAYVAGLDDECRPVLVFRIKQDYQKLHTQKQLTRLVVFTLEVAISTMCRNVEEFVILFDASFFKSASAFMNILVTTLKIVAEYYPCRLFKAFVIDPPSLFSYFWKGIRSFLDLSTATMIVSMQNFQNSFDYDDFSSSYPSRVSSLRFDTSSIKSTDKIGSCASSRFAFTVSRDGLDTVKPWCLTLTDTSSSKLGHTGAYLSPLNARSFSFASPAARREPIGGPRKSFFASTPMPARTTDCHSIGGTLRDPRVPRPSFFQSPAVFFRRESHVSKIEKPRDTFVPFLKFYRRPYDEMTYRSKMRPPLGGLVSIVSTQIRRRHVSLSQRF >A01p010170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4962374:4963790:1 gene:A01p010170.1_BraROA transcript:A01p010170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKERGTRGSKSEVVVVDQKRVNKVHDNHRASHSMSHAVNGKGKAVAIGKQLHDLNGRNSRPASLSKPAISDDVSDTDSEESEVSGSEGEDTSWVSWFCNLRGNEFFCEVDEDYIQDDFNLCGLNHQVPYYDYALDLILDVDFSQGERFTDEQNELVESAAEMLYGMIHARYILTSKGLASMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDVYYPRSKYQGKKKTDIDGAYFGTTFPHLFLMTYGHLKPQKAAQSYVPRVFGFKLHKP >A07g507310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19420488:19420882:1 gene:A07g507310.1_BraROA transcript:A07g507310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHSRIRVSCFALSSSQRPSLDIKSMEKLQMEGGGGGGCRCGGCGYRGGRREDEELVVIMDAVMVDAMVVDVDTEVEDVRRRMWWWMPWLWMLLWWM >A09p065070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52184779:52185996:1 gene:A09p065070.1_BraROA transcript:A09p065070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIMFEDIFVVDKLDPDGKKFDKVTRIEATSHNLDMFMHLDVNTEVYPMAVGDKFTLAMAPTLNLDGTPDTGYFTPGAKKTLADKYEYVMHGKLYKITERDGQTPKAEMYVSFGGLLMLLRGDPAHISHFELDQRLFLLMRKL >A04p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22054882:22055698:-1 gene:A04p038840.1_BraROA transcript:A04p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLQLDPFLNELTSMFEKSKEKGSVWVTLKRSSLKSKLQKRKLSAAGESIEYRCLIRATDAKKTISTWVGAKDHQRFQASYATILKAHMTALKKRERKDRKKSTEADKKESTSSTTTKPKKL >A09p046560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40544731:40548339:1 gene:A09p046560.1_BraROA transcript:A09p046560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT2.1 [Source:Projected from Arabidopsis thaliana (AT1G30600) UniProtKB/Swiss-Prot;Acc:Q9SA75] MEESSSLVRFVFLLCLVSSSVFCLDDSDQNATVSSSSAVYIVTLKEPPSVHSSSGRETDASKHSLTSTSSQTYRTSNRSAYLIRVHDSLLRKVLRKENYIKLYSYHYLINGFSAVITQQQAERLAARKEVHNVVLDYPVKKATTHTPQFLGLPRGAWPREGGSEYAGEGVVIGFIDTGIDPTHPSFSDKVPGHSYPVPPRFTGVCEVTTGFPSGSCNRKLVGARHFAESALSRGVLNSSQDDASPFDGEGHGTHTASVAAGNHGVPVVALYKRFGGFAADIIAAIDQAAQDGVDIINLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPAPKSMSSFSPWIFTVGATSHDRVYTNSIILGNNVTISGVGLASGTRTMHKLVLAAHALRNGTTIMDAIYVGECQDSSSYDQKLVQGKILVCSYTVRFILGVSTVKQALITAKNLTAAGLVFYMDPSSTGFQMTSTPMDIPGILISSPQDSLALLQYYNTSLSRDNASGKIVGSASVARIVGGMKPTYGITAPKVMYFSARGPDPEDDSFQDADVMKPNLVAPGNSIWGAWSPLGIGTADFQGERFAMESGTSMSAPHVTGIAALIKQKFPHFTPAAIASALSTTASLTDRKGGPIMAQRTVLNPDATQTPATPFDMGSGFVNATAALDPGLIFDIGYNEYMKFLCGINGSSPVVLNYTGESCSAYNSSLAASDLNLPSVTIAKLVGTRTVLRWVTNIAATVANETYTVGWKAPDSVSVKVSPAKFTIGNGQTRVLSLVFGAIKNGSVASFGKIGMVGDRGHVVNIPVTVIYKIAV >A07p001990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4083517:4084805:-1 gene:A07p001990.1_BraROA transcript:A07p001990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEALHSVLSYFKSSGGIMATGNRWPEQSKSQKTLILFCVPTSLYSSCTHRFCVEIGRVALVNFGKDYGKLVVIVDVVDQNRALVDAPDMERIQMNLKRLSLTDIVIDINRVPKKKILIEAMEKADVKNKWEKSSWGRKLIVQKRRAALNDFDRFQIMLAKIKRAGVVRQELAKLKKEITA >A03p045060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18828268:18828624:1 gene:A03p045060.1_BraROA transcript:A03p045060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKSSVLLYVLVLALVLSPILPCQAASVHLGGGGPGGRKLMAPSPPVRMCPQCVCCAPAPPGYCCPCRCPGGP >A08p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15704029:15706149:-1 gene:A08p024010.1_BraROA transcript:A08p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At3g24000, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G24000) UniProtKB/Swiss-Prot;Acc:Q9LIQ7] SVREDKTTQYVITKTKHHNKYLITISPNKFVLLITSGMLSTSVSVTSRLLYRGLGSIPAPLYDDSIIEPLGFPSNDNLHRSRNGVRVFDLLEGNGATALRCLYNMLLKECTVSKRVDQGKTVHAHVAKSLFRCEVVMNNTLLNMYAKCGSLEEARKVFDEMPQRDFVTWTTLISGYSQHGQPIDALVLFIQMLRDGFIPNEFTLSSVVKAAAAAEPRGCCGQQLHGFCLKCGYDLNVHVGSSLLDMYTRYGLMDDAQLVFDALESRNDVSWNVLIAGHARRCGTEKALELFQRMLREGFRPSHFSYSSIFGACSSTGFLEQGKWVHAYMIKSGEKLVAFAGNTLIDMYAKSGSIHDARKIFDRLAKRDVVSWNSLLTAYAQHGFGREAVCLFEEMRKAEITPNEISFLSVLTACSHSGLLDEGWHYFELMKRDGIVPKAWHYVTIVDLLGRSGDLDRALRFIREMPIEPTAAIWKALLNACRMHKNVELGAYAAEHVFELDPDDPGPHVILYNIYASGGRWNDAARVRKKMKESGVKKEPACSWVEIENAIHMFVANDERHPQREEISRKWEEIYAKIKDLGYVPDTSHVVVHVDQQEREVNLQYHSEKIALAFALLNTPPGSTIHIKKNIRVCGDCHSAIKLVSKVVEREIIVRDTNRFHHFRDGTCSCGDYW >A02p001910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:797169:799003:-1 gene:A02p001910.1_BraROA transcript:A02p001910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQFVSILVLVVTLLLQRDNNNVVKAQLTTNFYSTSCPNLLSTVKSGVKSAVSSQPRMGASILRLFFHDCFVNGCDGSILLDDTSSFTGEQNAGPNRNSARGFTVIDTIKSAVEKACPGVVSCADILAIAARDSVVQLGGPNWNVKVGRRDAKTASQAAANSNIPAPSMSLSQLISSFSAVGLSTRDMVALSGAHTIGQSRCVNFRARVYNETNINAAFATLRQRSCPRAAGSGDANLAPLDINSATSFDNSYFKNLMAQRGLLHSDQVLFNGGSTDSIVRGYSNSPSSFNSDFAAAMIKMGDISPLTGSSGEIRKVCGKTN >A08p017250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12065325:12066161:1 gene:A08p017250.1_BraROA transcript:A08p017250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATTFRGRLISGKTTNLVMVVTTVDSKIFQENIYLDSNLGVAAIVATRDKTMAGIQKRRTGVDCRSSHLPIVLSKSTDQVSITLYSVTAAISKSPLMFPLTSSAKNHEANFLCKAKIVRVLQQNELSYVSCTGCNRKLEKPRITLRYNKWVSPNVTGVIMYQNSHN >A08p023560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15504354:15506678:1 gene:A08p023560.1_BraROA transcript:A08p023560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQASRIREQVARQAVFKQFGGGGGGGHGSGLSDDAELHHHQKLEKLYISTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTNGNVLTRAALNYGRARAQMEKERGNMLKALGTQVAEPLRAMVLGAPLEDARHLAQRYDRMRQEAEAQATEVARRQAKARESQGNPDIFMKLESAEAKLQDLKSNMSILGNEAASALSSVEDQQQKLTLERLISMVESERGYHQRVLQILDQLEGEMVSERQRIEAPTTPSSTDNMPPPPSYEEANGVFASSHMHDTPTDSMRYFLGEVLFPYHGVTNVELSLSTGEYVVVRKVTGSGWAEGECKGKAGWFPYEYIERRERVLASKVSEVF >A08p015470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10149880:10151037:-1 gene:A08p015470.1_BraROA transcript:A08p015470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEALRAKEIAESKFKMRDFAGAKKFALKAQSLFPEMEGLSQMLSTFHVFIAAETKVNGEVDWYGILDANPRDDNETLKKKYRKLALMLHPDKNSSVGADGAFKHVSEAWKFLSDKEKRAAFDRKKSLYTMYNKVSVSSSNSGFCNFANNASFASNVRPPPPPTQKKNNPPPTHKSNPQKPAQKTGQSDHHHTTAADTFWTVCRRCMTQYEYLRTYANCNLLCPNCLQSFSAVQVPKPGMLSHWSRLSSAKPKSADAAIPGVFNNSKWAFSRTSSAAHAACMVQKVYEKVKKDREEAEATDKRGRKNAKRKCITTDSSLKKRKVIGETETGGSGGRKVVYYAIAGENGRNMGKLHVTRERASPRLKKKISKEAVTREVKSR >A01p009000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4313686:4325301:-1 gene:A01p009000.1_BraROA transcript:A01p009000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase VPS15 [Source:Projected from Arabidopsis thaliana (AT4G29380) UniProtKB/Swiss-Prot;Acc:Q9M0E5] MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDSIDLREYERRLVKIKDVFLSLEHPHVWPFQFWQETDKAAFLVRQYFFSNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHEKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFYDTRERRLCYLAPERFYEHGGETKVAQNAPLKPSMDIFSVGCVIAELFLEGQPLFELAQLLAYRRGQHDPSQHLEKIPDPGIRKMILHMIQLEPEARLSAENYLQNYVGVIFPNYFSPFLHTLYCCWNPLPSDMRVATCHGIFQEILKKMMENKPGDEMGIDSPLPSHPVNARNVQETFANQKLNTSKDLIRDTVNSKDETLYSISDALKKNRHPFLKKITMDDLGTLMSLYDSRSDTYGTPFLPVEVNMRCEGMVLIASMLCSCIRNIKLPHLRREAILLLRSCSLYIDDEDRLQRVLPYVVSLLSDTTAIVRCAAMETLCDILPLVRDFPPSDAKIFPEYIFPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSFQLSNVGVLNESTSPQMSTTPATELQKANGDAQLSQLRKTIAEVVQELVMGPKQTPNVRRALLQDIGELCFFFGQRQSNYFLLPILPAFLNDRDEQLRSVFFEKIVYVCFFVGQRSVEEYLLPYIDQALSDQTEAVIVNALDCLSILCKSSFLRKRALLQMIECVYPLLYYPSQWVRKAVVTFIAACSEFLGAVDSFAFIAPVIRPFLSRLPASIASEEGLFSCLKPPVTREAVYRILETARKPEIAEKQRKIWYSTSPQSGTLNLLEHGAEQKKSVEGKKPIMNASQQQEVQGKYAEKDAKLRIPRNPRLNASNTVELRDHLNQEKLQFSGLMAPYMSGMNNVTEPEGIPLYSFNMDKRAATNPPVASESSLQMNSLGMSSLSVPWMDSMSKSFNLASSVPVPKLVSGSFHVGTNPKQFYRVVHEPESRENEQMSSVISKFQDLGVSTSSKSASVTSEDASSISDLVGEPSPSRTSVPDSGWKPRGVLVAHLQEHRSAVNDIATSSDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRGMCTTMLRNSTQVVVGASDGVLHMFSIDHISRGLGNVVEKYSGIVDIKKKDVKEGALLSLLNYTSDSLSGPMVMYSTQNCGIHLWDTRSDIDAWTLKANPEEGYVSSLVTSPCGNWFVSGSSRGVLTLWDLRFRVPVYSWQYPIICPIEKMCLCFLPPSVSLSTTMRPFIYVAAGFNEVTLWNADGGICQQVWRVANYENETNVSEFQWKLPSSKANPKANIRKNMSSKYRIEELNEPPPRLPGIRSLLPLPGGDLLTGGTDLKIRRWDYSSPERSYCICGPSLKGVVNDDFYELKTNSGVQFVQETMRRPLATKLTAKAVLAAAATDTAGCHRDSVQSLASVKLNQRLLISSSRDGAIKVWK >A05p006490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2608698:2615005:-1 gene:A05p006490.1_BraROA transcript:A05p006490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRVYILTLMFLCIFVASTVSGYNSKDVKAWCSQTPNPKPCEYFLTHNSGQKPIKSESEFLKISMKLALERAILAKSHTSTLGPKCRDTREKAAWEDCLKLYDVTVSKINETMDPNVKCSKTDAQTWLSTALTNLDTCRAGFLELGVTDIVLPLMSNNVSSLICNTLAINKVPFNYTPPEKDGFPSWVKPGDRKLLQSSTPGDNAVVAKDGSGNFRTIKDAVNAASGSGRFVIYVKQGVYSENLEIRKKNVMLRGDGKGRTIITGSRSVGGGSTTFNSATVAAVGDGFMARGITFRNTAGASNEQAVALRSGSDLSVFYQCSFEAASDLRPILGSTKTYLGRPWRQYSRTVFMKTSLDSLIDPRGWLEWNGNFALRTLFYAEFQNTGPGASTSGRVKWPGFRVLQSASEASRFTVGSFIAGSSWIPSSVPTNLRLSLLAPMTKKKSEAANEDQTRDTKKKSVSAVKSSQKEKPVLAKQVKSSKEEEDLLLDSATDSDYDGDSLSGSLNSDDFDSDVFDSEDDASQKETEGGDKDSSEDELELHGHEGSDDDEGEEVDSENDEEEDGSDEEREVVEESDSSEDEVAPRNTVGDVPLEWYKDEKHIGYDITGKKITKKEKQDKLDSFLANMDDSKNWRKIYDEYNDEEVELTKEESKLIRRMLKGEAPHADFDPYAPYVDWFKWDDAIHPLSSAPEPKRRFIPSKWEAKKVVKYVRAIRKGLIKFDKPEEEPNVYLLWGDDSASDQKSKHLTYIPPPKLKLPGHEESYNPSLEYIPTEEEKAAYELMYEEDRPKFIPKRFTSLRSIPAYENALKESFDRCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPNSCYLEYKGHTGAVTSVSPDCSGQWIASGSADGSVRIWEVETGRCLKVWQFKESVKCVAWNPLPDFPILAVAIGQDLVILNTELGTDEEQQKIEELLRLGTLPEQDEAVAAIVSWLPDETYGGIKIKHFKNISYVDWHPRGDYLSAVMSAGQTRGVVIHQLSMHKTQRLPIKMRGLPVCTLFHPTHRGMFIIATKKNVRVFNLQKRELAIKKLETGLREISSMAIHPGGDNLIVGSKEGKMCWFDMDLSSKPYKTLKNHPKDITNVAFHRSYPLFASCSEDSTAYVFHGKVYSDLNENPLIVPLEILRGHSTSSNRGVLDCKFHPRQPWLFTAGADSVIKLYCH >A08g508160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14693418:14693950:-1 gene:A08g508160.1_BraROA transcript:A08g508160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELRDKKAQKEYYNMIDFVANAQQGIPKICPCGSITKETVDEDDTYDYLPGKRYFICKDFENDGLHFRQPWVTAIHEEVERLKERYHEQAKLLRECQALKDQVRMLQDEVRMLLMRVAELERAL >A08p041570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23442716:23444081:1 gene:A08p041570.1_BraROA transcript:A08p041570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFSHVPPGFRFHPTDEELVDYYLRKKVASKRIEIDFIKDIDLYKIEPWDLQELCKIGHEEQSDWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYLRHSLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPHEEGWVVCRVFKKRLAAVRRMGDYDSSPSHWYDDQLSFMASELETNGPRRILSNHHHQHQHQYQQHLPYGFNASAYALNNPNLPCKQELQYNHLVQQHHFLHESPLTFLQLPQLESPKIQQDNNNCISSNHDNNTSRIANLQQSNLAHEEQLNQGNQIFSSPYMNSGNEQAMDQVTDWRVLDKFVASQLSNEEAATASASQQNNANDTSNMEYQVDEEKDQERVSDMGEEYAASTSSSCQIDLWK >A01p016890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8361693:8364665:1 gene:A01p016890.1_BraROA transcript:A01p016890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISQFFVLSQRGDNIVFRDYRAEVPKGSTETFFRKVKFWKEDGNAEAPPIFNVDGVNYFHVKVVGLYFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSFRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVIAPARLQPIDPAAIFTQGAKRMPGTAVTKSVVANDPSGRRREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRIALNEDLNIGRGGRSVYDYRSSSGSGVILDDCNFHESVRLDSFDSDRTLSLVPPDGEFPVMNYRMTQEFKPPFHVNTLIEEAGRLKAEVIIKIRAEFPSDIIANTITVQMPLPNFTSRASFELEPGAAGQKTDFKESNKMLEWSLKKASVESFAYLGIVGGAEHTLRAKLTFSQEFHGNITKEAGPVSMTFTIPMYNVSKLQVKYLQIAKKSSSYNPYRWVRYVTQANSYVARI >A07p042200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22880197:22884362:1 gene:A07p042200.1_BraROA transcript:A07p042200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPAQPPVSNSPPTPPVASPPLGNSLPNNNATSLPPSQSPPLATPPPVTLPPLGNSLPSNNATSPQPSQSPPPATPPPVTSLPLGNSLRSNNATSPPPVTPPPSPSPPPPNGAPPPVTPDGSPPPPLLPKPPEASPPPPPQPVISSPPPAIPPPPPSVQPPQASPPPPPSAPPLPSSPPPPSSVPPLPPTSQRSPPPPPTERPVQSPPPPSPPSERPIQSPPPFSPPSRRPPSSPPSPSPPSDRPNQSPHSPPENTSPPPSDSLPPPTFSSPPVPGPNNPPQNNPTPSSPDTSNPTHSTSGIGAGPILGITVAVALVLFSLIGLLVWCIRRREKRLSAVSGGYVTPSPRSDSAFFRTQSSAPGSHHHTYFSQSESGGLGNSKALFSYQELVNATNGFSEENLLGEGGFGCVYKGVLPDGRVVAVKQLKVGGGQGDREFKAEVETLSRIHHRHLVSIVGHCISDDRRLLIYDFVSNNDLYFHLHASKEVLDWVTRVRIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLEDNFDARVSDFGLARLALDCNTHITTRVMGTFGYLAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDTSQPLGEESLVEWARPLISHAIETEEFGSLADPKLGGNYVDSEMFRMIEAAGACVRHSAAKRPRMGQIVRALESLSAEDLTNGMRLGESEVFDSAQQSAEIRLFRRMAFASNAPRTMEQDCGVFTADCVVLCCCCECFILQFFIFVFYKMPSKVAQKMKRFVIRRLLRGKKRRLLPAKNEDCREEERLPGDDVSRVSCMEDIEEMLHELSMEGEFVFGSFWRQGETTNDLDFGNSQYEIEETNDHSFVIAHCTRCLKRTQSGI >A04p040030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22623805:22626219:-1 gene:A04p040030.1_BraROA transcript:A04p040030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVSLIIFSPKGKLYEFASSNMQDTIDRYLTHTKDRISNKPVSEENMQHLKHEAANMMKKIEQLEASKRKLLGEGIGSCSIEELQQIETQLEKSVKCIRARKTQLFKEQIEQLKQKEKALAAENQKLTEKWGSHEIKVWSSKNKESGRGDEESSPSSEVETELFIGLPSSSRK >A08g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2704948:2705636:1 gene:A08g501130.1_BraROA transcript:A08g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLSVFVALTIISINLMTFQASYNVTLNEKSISDYYQKWMIQFSKVYKDNFEKEMRFKVFKKNLIFIENFNNMGNQSYKLGVNEFTDMTKEEFLATYTGRLRGINITSLPKVVDQSMSSRKLNFSELFYALLDSVLRQPISVDIDSSTDIVLVGYGVTDDGIMYWLAKNSWGENWGEQGYMRIRRMVEWPEGMCGLAQYANYPVV >A02p038560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21970643:21972457:-1 gene:A02p038560.1_BraROA transcript:A02p038560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFSKIRDVILASKGPDATKEIQQLVPELREVKECDSVDATKGMQSSGYDSGCQLNPQYPWCNQTSTASHSQVQDMMARQDLLVQQVRASARWELMKEWLENRVEHWDPKEEYLRHLFLSGGWTSR >A01p051580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28930225:28930900:-1 gene:A01p051580.1_BraROA transcript:A01p051580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLQQMIFPDENAPIHRKKSVVTAASVLGQKKKPGGARKALNDITNKSAGAHPKPSSKTKQLAGEMFLHDHSKCIKEQQSLWDDDDLLLHHDSSCIKEKNLKYNLTCDEPEEIPSPKLTSYLKSSTPWRSPIRHGSMMMLMPSTPLAWRFDSAEFTLKEDYGDLF >A07p040190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21339325:21342764:-1 gene:A07p040190.1_BraROA transcript:A07p040190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEGRDLIDLVLSWSLEEVLNVDLYKGQVGTIPTEFDSTVDYFKSFIPSLIEETHAALSSSIKTLWRSPVVEISYIAPTAEFELPNNLFYKVHLSTDESSLIPKDLIALTDKRPNRVDGFNITNEPYVVAIVCKADPDRPNIVTILASKPLLLENLHQMRKNEKKESLFGVYMTNITTNVRIWNSLHLGLQGGNLSLLSTILQRNTDDDGVCIPCRFGESDGLVPSRFLNLNPSQEDAILSCLDVRRCRHANTIKLIWGPPGTGKTKTTSILLFTLLNARCRTLTCTPTNVSVLEVASRVVKLVSKSLKIGNYGLGDVVLFGNGERMKIKERKDLADFFIDERVDKLYPCFLPFYGWKASISSMIRLLEDPQGQYNQFLEKSKDNVSKSFQEYLPERFSELRKDLELAFSSLCTHLPTALLSTQAATRMYESVDLVKDATISEGVSGEELKSILVVNGEGGDRVEDYYLKLLRLIPEIFTLPGGCDRHLIRELCLGHACLLFSTASCSARLYTGQPIQLLVVDEAAQLKECETAIPLLLPGIQHSILIGDEKQLPAMVESQIALEAGFGRSLFERLAILKHKKYLLNIQYRMHRSISIFPNREFYGMQIQDAPTVKQRNYTKQYLPGKMYGPYSFINIAHGEEQYGEGRSLKNNVEVAVVSDIIANLLQVSKRTKTRISVGVISPYKSQVMAIQEKIQETCSGCDAGGLFSLKIRSVDGFQGGEEDIIIVSTVRSNGIGRVGFLANRQRTNVLLTRARFCLWILGNEATMVNSKSVWRYLIQDARKRECFYNAEEDESLARAIIDTAKIEFIQPLNKSRWKICFSDEFKKSVGEIKNPEMYRKIKSFLERISQGWLHEEEESERDSLVSSSQLIKQSKIDDVLSLIWAVEILKEEFQYIQVLKIWDVVPSSDVPEAVKSLNLNHMKYTVDEVEKCRARCISGDIVVPLRWSLEATNGNGNGNSDVMEETLGSLNLAGEMMTSEQPNDSLEDEKEEEDIEVDRLSHLLSSITL >A02p037740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:20208518:20209057:-1 gene:A02p037740.1_BraROA transcript:A02p037740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNEATEGRRLRKRKEKIHKNLKREANEKEMDGFTKRFLRTPMEKPFDEVYYTHRLWIIFRETKETEEDIRRMLHHVRERMKLRITLKKKCDPGKFAIPCVVKGIEFLHALCDTGASVSILPKVMADQLGLKIEPSSESFTFVDLSERSSGGIIRGLEVQIGNALVTVDFHVMDIKLN >A07p050100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26716713:26717838:1 gene:A07p050100.1_BraROA transcript:A07p050100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLNLPLRILLLHLTLLSVTKWSESARVFTIVNSCDQTIWPAITPGENFSGGGFKLKPGHSIVFKAPVGWSGRIWGRTGCKFNKTGTGSCKTGSCGSNLKCTTAGKQPVSLAEFTLASLDFYDVSLVDGFNLPMTLTPVNGTGNCTVVRCVADLRRSCPPELAVKSKGKVISCRSACDVFDTDEYCCRGVYGNPSTCRPTHYSKIFKKACPTAYSYAYDDPTSIFTCSGRSRWERNMITSKYAAMVLVLVDSRRWSGGCGLY >A02p005020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2177718:2177924:-1 gene:A02p005020.1_BraROA transcript:A02p005020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGEVIMECDESSEICNSPELKKQYDQLVGTREHIWSPKLQTISEHETRVSPLRFLGIIRRQQEVK >A06p058020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30131446:30133772:-1 gene:A06p058020.1_BraROA transcript:A06p058020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pectinesterase [Source:Projected from Arabidopsis thaliana (AT4G38420) UniProtKB/TrEMBL;Acc:Q8VYB3] MGWWLKYCSLWTVMTIIVSLVQAEDPYRFFDWRVTYGNIYPLGIPQRGILINGQFPGPEIYSVTNDNLIINVHNDLDEPFLLSWNGVQLRKNSYQDGVYGTTCPIPPGKNYTYAIQVKDQIGSFFYFPSLAFHKAAGAFGGLRVLSRPRIPVPFPEPAGDFTFLIGDWYSHHDHKNLKALLDRGHRLPFPDKVLINGNGVSFSSSLTVHKGKTYRFRISNVGLQHSLNFKIVDHQMKLVEVEGTHTIQSMYSSLDIHVGQSYSVLVTMDQPEKDYSIVVATRFAAKKILVGSTLHYSNSRQSLSSASLSARGPADELDWSIKQARSIRTNLTASGPRPNPQGSYHYGLINISRTLVLESSAGLVKRKQRYAINGVSFVHSDTPLKLADYFNIRGVFKVGSIPDQPRRGGGIRLDTAVMGANHRAFIEIVFQNREKIVQSYHLDGYSFWVVGMDRGTWSHASRREYNLMDAVSRSTTQVYPESWTAVYVALDNVGMWNLRSEFWARQYLGQQLYLRVYSSVHSLRDEYLVPKNALLCGRASNMQRPIITP >A09p022460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12355211:12360173:-1 gene:A09p022460.1_BraROA transcript:A09p022460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKSIMERQKSMESGLLRKSLSIRERKHPNEDGYLETGLSSKRHKEVKKHHNEDGECCVTTSVCLITFIVVTGSFCVGCGTGYSSVAQHGIVSDLSLSVAEYSMFGSIMTLGGLIGAVFSGKISDVLGRKRTMFLCEAFCATGWLCIALAKDALWLDCGRFLLGIGVGIFSYVIPVYIAEIAPKHVRGSFVFANQLMQNFGLSLFFIIGNFISWRFLSIVGMVPCVLHVVCLFFIPESPRWLAKFGRDKECRASLQQLRGHDVDISQEANSIKDTMDFSQQSGETGFVELFQRRYVFPLIIGVGLMLLQQLSGSAGVIYYASSLYERGGFPSAIGTSVMATIMVPKAMIGTIIVDKMGRRTLLMASCAGMGLFALLLSVSYSLQIFTFLYILLDMSPCVATSF >A02p000140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:95335:96219:-1 gene:A02p000140.1_BraROA transcript:A02p000140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPYVYAYPPGTAPSAPPPPQGGGIAGGIVDQRFCAPYPVDLAIVRKMMKLTDGNFVITDVNGNLMFKVKEPVFGLHDKRILLDGSGSPVLTLREKMVSLHDRWQVFRGGSTEQCDLLYTVKRSSMLQFKTKLDVFLSHNKEEKRCDFRVKGSWLERSCVVYAGESDAIVAQMHKKHTVQSVFLGKDNFSVTVYPNVDYAFIASLVVILDDVNREDRAAAGSS >A07p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27475418:27477246:-1 gene:A07p051880.1_BraROA transcript:A07p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADGKLVCVTGAGGYIASWIVKLLLERGYTVRGTVRNPADPKNNHLRELDGAKERLTLHSADLLDYEALCAAIDGCDGVFHTASPMTDDPETMLEPAVNGAKFVIDAAAKAKVKRVVFTSSIGAVYMNPNRDPQTIVNEDCWSDLDFCKNTKNWYCYGKMVAEQSAWETAKDKGVDLVVLNPVLVLGPPLQSAVNASLVHILKYLTGSAKTYANLTQVYVDVRDVALGHVMVYESSSASGRYILAETALHRGEVVEILAKFFPEYPLPTKCSDDKNPRAKPYKFTTQKIKDLGLEFTPIKQSLYDSVKSLQEKGHLPLPQYSNQDNVTIGS >A06g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9213787:9214286:-1 gene:A06g502810.1_BraROA transcript:A06g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFLASHLIKRALEMGNSHVKILKNKKTIHIKQPPQESDQLLQAGHTPTVIIQVCRKVLSFGWNDRIIGGKVAAVVEPLKNCR >A04p025080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15168368:15170287:1 gene:A04p025080.1_BraROA transcript:A04p025080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTPTAVTQDEWVTAALTEDAVVVELLLRLKHAGTVESAANIPLLRWGSRKPRSRLGVGGVLKKETDSARASPMTPLCWSGGSGSGGSSCPSADGFEDASRQSTCSTSTGYGSKIFLDLKDAENFQLKERLNLQKNIANVQATYKKRSAKNQSLKRMKLEYSDRIKNISVNRSNLDETRRKRRLPFSSSGKVVKKEHSYRTTSETKRSEEKGFFFLPDLNMTPIEEEETLYGTR >A06p048530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25686597:25690861:1 gene:A06p048530.1_BraROA transcript:A06p048530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQSPSHNVFVYGSFQEPAVVGLILECTPVIVSAQLHGFHRYRLKGRLHPCIAPLETGVIDGKVLTGLTDAQLENLDMIEGDEYVRKTVEVLLTDTSEKMQVEAFIWANKDDPDMYGEWDFEEWKLLHMEKFIEASEKFIEWKKNPDGRSREEFAKFVEEDPPVAGKKMATSEQSPSYNVFVYGSFQEPAVVSLILEFTPVIVSAQLHGFHLYRLKGRLHPCIAPFESGLVNGKETSEKMEVEALIWANKVDPDMYGEWNFEEWKRLHMDKFIEASKKFIEWKKNPDSKTREEFEKFVHEDPPVTTMTSSNQSPSHNVFVYGSFQEPSVVNLILECDPVTISAQLHGYHLYRLKGRLHPCISPSENGLINGKILTGLTDAQLENLDMIEGDEYVRKTVEVVLTETSEKMQVETFVWANKDDPHLYGEWDFEEWKKLHMEKFIEASKKFIEWKKNPDGRSREEFVKFVEEDITAA >A05p018030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8222556:8223311:-1 gene:A05p018030.1_BraROA transcript:A05p018030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSFTNDKDASFTLAEEDHTLANAVRFMLNQDPRVTLAGYSIPHPSLECVNVRVQTTGDPAREVLKDACQELMLMNRHVRSVFDKAVSEFKVEQARLAAEEEEKKKAEEEEIKKQRDLLASMDIESG >A08p035250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20827891:20828634:1 gene:A08p035250.1_BraROA transcript:A08p035250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFLHFLFFSIVSLINLYSQVHTEVFYSDGSELKCNNKREVLDKHLKVTGGKVYTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVNWMGWEPFKITYTSDYFQELYDLAVELIRRGHAYFDHQVE >A04p014450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5516471:5516940:1 gene:A04p014450.1_BraROA transcript:A04p014450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKNISVEITSQGVRISVFSSLRLCESIQKTNPGRSVFLDTIYNAAEEDFASAVVQHETGYMAMVYHVTQHDISLGDGNKFVLVSNSLQASKSVFVLKTSCFNG >A02p010140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4360803:4361824:-1 gene:A02p010140.1_BraROA transcript:A02p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDSDVTMVPAGESSSSVAPSSSSTKKAKRFEVKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >SC122g500330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:686797:688141:1 gene:SC122g500330.1_BraROA transcript:SC122g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPVEAVLRSVGLRDSRLKVGNDGNGKSLVAYTGASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRERVNVRS >A08p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16481238:16483311:1 gene:A08p025650.1_BraROA transcript:A08p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLNSVLTSSSQVHADDGPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVHGEIVGLFGVFDGHGGARAAEYVKQNLFSNLIRHPKFISDTTAAIADAYNQTDSEFLKSENSQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVSNEEAVGMVKLIEDPEEGAKRLMTEAYQRGSADNITCVVVRFFSDQTGAVGTSSNSIPIDHGIIPDRSSSDPST >A05p009670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4043627:4045248:1 gene:A05p009670.1_BraROA transcript:A05p009670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPKRRTVQHFTHIHPLTEFNSAGDFICDGCKTYGSGKTYRCEPCNYDLHDYCATCPLTLPTFIHPQHELSLVVRKQQSTRQNERACDICNESVEGLFYRCKLCEFDVHPLCTQLPQHVRHVLHPAHHLEFRLGGASPCMVCYNPCQSWRYRCELCRFDIHMECILAVCNTSPPDNTEASGTKSKGLKPQGGQPSWSAPWQQPHMGYPYGFGPMGQQPHFGYPHAFGHMGQQPYHPHNFNNFGFMNGFNMNPGHVPEAGSGQKPSPSKGWKMFTIASKLTIGVVSNALFGVSLEETKIIRSIHFREALLFCREHGLNNILLESDSSQLIRAINHEENISELYGILADIFQLSRPPDVLISFAWIPRNQNLVADSLSKEALCMVEAFIAPT >A07p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16288708:16289733:1 gene:A07p028920.1_BraROA transcript:A07p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREYKRDSSLREKFQLLRSITNSNAESETSIIVDASKYIKKLKQKVEKINNETTSEKYFCDPTGHMVTVETLEKGFMIKVMSGKNEAGLLVCVLEAFEDLGLEVVEARVSCMDSFSLHAIGSSNNDDCESMDGESVKQAVAVAIRTWSDSHDPKG >A02g510180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27267611:27271289:-1 gene:A02g510180.1_BraROA transcript:A02g510180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRTEGNVIASLMNDLEAITPHTAHNSLLTLRDDVLGGSEPGLQSAEKDLEPKKSMGQEELPAIQLSEPTTEAFPEVGGWETVHGKKHGLETEEDEVLVVSPSRFSHLQDIEEDIGEEEETVEGNATEGEEGEILENKTEGQRSQRTQATRGKKLVNGHARVSDAGNLEGWKIRGQRSRHFGELHRTIMAIAPPHPDRGTDIVMRNHGDDDYQ >A02p036250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20736041:20739830:-1 gene:A02p036250.1_BraROA transcript:A02p036250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEKRFIYEVEAAKEATDGKPSIGPVYRSTLAKDGFPDPIDGIHSCWDIFRTAVEKYPNNRMLGQREIVNEKAGKYEWKTYKEVYDIVIKLGNSLRSCGFEEGGKCGIYGANCPEWIISMEACNAHGLYCIPLYDTLGAGAVEFIISHAEVAIAFVEEKKIPELFKTCPNSTKYMKTVVSFGGVKPEQKEEAEKLGLVIHAWDEFLNLGEGKQYELPVKKKSDICTIMYTSGTTGDPKGVLISNESIVTITTGVMHFLQSVDESLTEKDVYISYLPLAHVFDLAIDECIIQVGGSIGFWRGDVKLLIEDLGELKPSIFCAVPRVLDRVYTGLQQKLSVGGFFKKKVFNVAFSYKFGNMKKGQSHVAAAPFCDKLVFNKVKQGLGGNVRIILSGAAPLASHIESFLRVVACCHVLQGYGLTESCAGTFVTFPDDLDMLGTVGPPVPNVDIRLESVPDMEYDALGSTPRGEICIRGKTLFSGYHKREDLTKEVLIDGWFHTGDVGEWQPDGSMKIIDRKKNIFKLAQGEYVAVESLENVYGQVEAIDSVWVYGNSFESFLIAVVNPTQRTLESWAVENGVDGDFNSICQNAKAKAFILGELVKAGRERKVLRAVHLEPVAFDIERDLLTPTYKKKRPQLLKYYQNVIDEIYKAAKEGQGSGQ >A03g507390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26225022:26226717:-1 gene:A03g507390.1_BraROA transcript:A03g507390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVSFLLRRHVNGLWIPLFKSWALDLLIFCLLSFVSVQSGDTDKAKKIRKATIFTISFVACDFPSGNQLLWSIFKALCTFCAYQTLSFSCNAFRALIYRESLELLQPPFPTPPSTLSALEGPLSPLLVGSSDFRIPGTLTRMKSLWASPFSSLMNWGQISLAGVPLATMLPLCFNPTAGFCHRPPLSLKREEKWSAAFWKMVTWSLQDEDGTCDEEDEEKCYLEYPNIEDVD >A07p017330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10507605:10510606:-1 gene:A07p017330.1_BraROA transcript:A07p017330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEYSSNHKSHHHHHHRDSMKEFQVSYVTEELMQVFEHADLRRKKSEKMGRREAAKEKKDVDKEAEEFIKFEHSKFSSSSPDSVSKATKKICPTIPHRKRDPVDGESTRTSFLQASPSRFPQWRHASDQTWEVIQEGRRLTGGWKDFTTAHDLRIGDIVIFKHEGDMVFHVTPFGPNCCEIQYTHPHIIKEEADADDVADDGAVDADDNENHHKISGSGGMSSSSFDYCFMAEVTASNLKADKLSLPVAATTCTALNKQCQETILVNKEGNSWTVSLRFSESGGMYYITRGWRKFCLDNRCEVGDIFVFNVVGDGKTTPLMCVCPERKECSELLSKHLSRKSGRRL >A05p016030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7164965:7166092:-1 gene:A05p016030.1_BraROA transcript:A05p016030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSLVQKRFRFPQDSVELYAEKVANRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAARAKSMKFKDGYMVSSGQPTKDYIDSAVRHVLLRQGVLGIKVKVMLDWDPKGINGPKTPLPDVVIIHAPKEEDVSSAPATVAAPAALLPEAPLTAVDYPEMIPVA >A06g500330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:1383400:1383723:1 gene:A06g500330.1_BraROA transcript:A06g500330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFVSQLIKPNSQTNPETALTVRVISPLRLKSSSLFLSGVSLCFAILFLFPNSSSITPLMRVMVLQCALINPPFMIPTGLPHTLSVSMISRSLFIPLPQIVKSIPVP >A08p029130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18073375:18074725:-1 gene:A08p029130.1_BraROA transcript:A08p029130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGSIVRKPRFLCLHGFRTSAEIMKIQLHKWPKAVIDRLDLVFLDAPFPCQGKSDVDGIFDPPYYEWFQFNEEFIEYENFENCLEYLEDRMIKLGPFDGLIGFSQGGILSGGLPGLQAKGIALQKVPKIKFIIIIGGAMFRSTKVVEDAYSFSIDTPSLHFLGETDFLKPYGIKLIESFKNPVVVHHPKGHIVPRIDEKSLEKVTAFLETIENLVMMEEEDKDGEENICSPI >A10p006270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9647287:9652871:1 gene:A10p006270.1_BraROA transcript:A10p006270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKTIAVILFLITLVKSSNAAENATTQPLAPAILIFGDSTVDTGNNNYHANANFKANHIPYGVDLPGKVASGRFSNGKLYCDIVASKLHIKELVPPFLQPNLSDAEIATGVSFASAGSGFDDQTMLLTKAIPVSHQPTMFKSYIERLKGIVGEKKAMEIINGAIVMVSAGTNDFILNYYDFPTRRLEYPQVSDYQDFVLKRLEKFVKELYNLGCRKMSVGGLSPIGCLPIQITSKLTRGISRRCINSENKDAVLYNEKLQKLLPAIEASLPGSKILYANVYDPMMDMIKHPAKYGFKETRKGCCGTGFLETSDMCNSGSKLCSNHSEYMFFDSIHPSLATYTHLAAVILFLTTLVASSNAAKKATTRPLAPAILIFGDSSFDTGNNNYLPDANFKSNHIPYGVDLPGKAASGRFSNGKLYCDIIASKLHIKELVPPFLQPNLSDTEIVTGVNFASAGSGFDDQTMLLTKAIPVAYQPTMLKSYITRLKGIVGEKKAMEIINSAIVMISAGTNDFILNYYDFPSRRQEYPKVSDYQNFVLKGLEKFVKELYNLGCRKMSVGGLPPVGCLPIQVTSKPGRGISRRCIKSENKDAVLYNRKLQKLLPAIEASLPGSKILYANVYDPMMDMMKHPARYGFKETKIGCCGTGGLSDMCNSGSKLCSNHSEYMFFDSIHPSLATYTHLAGSSYATLSKLLDA >A03p044460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18574430:18578700:1 gene:A03p044460.1_BraROA transcript:A03p044460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFCQTEKNGAQPNSILGEAHYLNKDDLEIYSRDYKGSPSNLVTGMRDRPPMSYSLRMESFNTLLQSNETERYESRPFPVGGYNWSLIVYPNGNRQDSGSGFISLYLAIDNSTLVSSHQEVFADLRFYVFKRTERNFFTVQDTDVWRYNIFKTMWGFPRVLPLDTFRNPSNGYLFNGDNCEFGVDVTVHSPFESSELFTVARNFPNPRFTWTIQRFSTLVGDTHLSNTNIQVNPRGRSTGAGRAMSMYLILNANEKVRPNEKIYVRARLRVINQRIFSLLWTTIERPIDHWFTTPGLGWGYDEFISLDDLRDFWKGYVMGDVLIVEVEMEAISSTKYFPKENGAGPNPNLGEANYEDKYQEISSRDYKVSASNAVKGLRDRPPSSYILKMESFNTLLKSNYAERYESRPFAVCGYNWTLVVYPNGNKKDSGSGYLSLYVAIDNSTLVGAQQEVLADLRFYIFNNNERKYFTIQDTTVWRFNVFKTMWGFSQVLPVDTFKDPKNGYLYDGDHCEFGVDVIIPSIAENSELFSATEKFYNPTFTWTIRGFSTLLKDMYSSDVFTIGGRMYPNGRGEGEGKFLSMFLKLNGEEKLRPYEKVYVRAKLRVLNQSKLNNVQNQLDSWFSRAVPSWGFRKLISFDDLRDSSKGFLVNDMLMVQVEMEAVSSTKYFP >A07g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13631087:13632073:1 gene:A07g505900.1_BraROA transcript:A07g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHSTDESSLNRLFGHSLALITRRRSFSRHLTDESSLDRRIITRPTSPHSTDERSLAVSWLDLSRHRLSGLIQDIDQIVKPKSPTGTLELTHRTTRSCSDLFLTVTRLALLRSLDSHSCGHSTRTLAVTRLALLRSLDLLFAVTRLASLRSLDSLSQSLGSDRSLGVTQIARKSRA >A02p001940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:809638:811022:1 gene:A02p001940.1_BraROA transcript:A02p001940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLRALLLYPLFCTLFLGPSVLYSVFEFATPIDCVVSLKKMAVYDHSGDINSTQLDPSRKRKARSRRDGTTVAERLQLWKDYNETTEEASPKKRKVPAKGSKKGCMKGKGGPENSQCSFRGVRQRIWGKWVAEIREPNRGSRLWLGTFPTAEEAALAYDEAARVMYGPLARVNFPHKSVSDVTSGSSQSEVCTAGTSGRVHVKTEDGDCDSEASPIENGAEEVMVDANVSVPSSDWLREFEQKYWSEVLEEKEKHKKQELVVESCQKQPESLSVADYGWPEDLNQSQWDSSEMFDVSELLGDLNGDIFTGLDQVSYPLDNVAGGLPETEKLQGLDSSYGLPPFQLEAHDGNEFFDLSFLDLEK >A08g508810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16479791:16480169:1 gene:A08g508810.1_BraROA transcript:A08g508810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYISIPMVRIFLLLVMFNHHFSRKLSTFVFLPEVHWLGKLLSEEGDGRSCTRKRNSKRQNLLGVEEKEYAYETIEELIDVMKVVPFEP >A03g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7123524:7124208:1 gene:A03g502130.1_BraROA transcript:A03g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYCLYRLRDETDEEHLGKLNSQIKGKESEIHSLKKTLDCLVAENRKLEERIMSISSLEFTFRAASRMFHGMKLNPCDVTKLMSFDDPLDTLTAFPDSAVRTSKNLVLSNLCESGQVIMGSWIASRFLGSESKDLWWSEEELGTQVYAWNI >A09p027970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16875667:16877641:1 gene:A09p027970.1_BraROA transcript:A09p027970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGKNGATPKLVLKMMEVKGLTISHVKSHLQMHRGSKLTLGKPEENSLFSIRRQDTEEDYLQDYLSLHTRNDCPTGFHTFSLSSHSSLRRGRRKEHTSESRGGDDVDDSLHIMNMKTNGTTTFPSHHFHQNTEKETNPWHEHEHEHKHEHEEEELSLSLSLNHHHLRSNGSSVSETSDAVTTCSAPFVNKDCFGSSPKIDLDLNLSISLLGS >A06p025030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15012348:15013035:1 gene:A06p025030.1_BraROA transcript:A06p025030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQHEMLNNPDRETEEERSQRIKGKQIAHESPVNEPRHNGSHERHESRERLKPTTMVIPEIKENGRGMEIPSQPVDVRVNSLKETRQVGLTNEKERRSPEEEMRSTLEVAPLGTEREVEDSPLLNEEEMNRDEMEDEITEDPEPNIVIAVPEWKSHNEEKHSQEELRTMSDKIKSSKRNAEETVSKRRSRNEVADRPGGRPPIN >A09g517630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53051865:53053522:1 gene:A09g517630.1_BraROA transcript:A09g517630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAIFFSDLKTERCSSTVQVRYRFWEARNVPARRRTHGIPAAFYSALSSMPKIFDPYSSYIGDGRWRTDSWVFCKYSSTQQHHKLRCNLKTRTSARNMENLDSTPRLKASFNLDTTVDALKTFVCETGAGKHVPRALFIDLEPTVAVMVHLTNLEHINENHMH >A07p020010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11869020:11872318:1 gene:A07p020010.1_BraROA transcript:A07p020010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDDLQFLLDTDFFLFLDPSETFNALMACPENPSLLASEDVHNEIVEYPSTLIENPPTDQETLTTNLSFCPEMVPQYQSFHHQNDYSRSFNAVENFPGMVQPPCTFSNGQRETNDIHPSTLIENPHTDQETITRNSSFVPEMVLQHQSFHHRDHYSRSFNAVEKFPGMPPCTFQCGQRESGYSENCLLTSTNKVMTTEFQSTDVQHAFVNQSYQQPSLPQTIPNFSNEPYVSTLKMESNAQQVGRTNGPTNHNPMSQDHRIHPFLFQEERYDDDLLLAKLKHSHENDQMHRPEPSNISKPPYVGARLSNQHQILCASSRNTIPNPENQSYSPFAQTYQSDPYAFNFQTSSSLQTTYTRRPRGRPRKNLISIPLVPTTQTPLTSLRHYGAQDKGKEHITAIPSMNPTLYNQYQNSYTNIMTPQSEVLRQHSCNDQLENESSSSKTRRTMGTFQEKSIADSSTCSFWQNENTRLGAGYAAKHHHDKRSIQNAAYDPLYAAYGMPLDPHLRAI >A03p030140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12641846:12644723:1 gene:A03p030140.1_BraROA transcript:A03p030140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGASRTILSLSLSSSSSLFTFSRTSNFVPFLRLHKPSSIFRHALRRPLCAAAAAPTETNIAEPDQLKHTILLERLRLRHLKESAAKPQQRPSSVVGIGEDQNMKSKKKKMAESFEELGLSEEVMGALKEMNIEAPTEIQCIGIPAVMERKSVVLGSHTGSGKTLAYLLPIVQLMREDEATLGKITKPRRPRTVVLCPTRELSEQVYRVAKSVSHHARFRSILVSGGSRIRPQEDSLNNAIDMVVGTPGRILQHIEEGNMVYGDIAYLVLDEADTMFDRGFGPDIRKFLAPLKQRALKTNDQGFQTVLVTATMTTAVQKLVDEEFQGIEHLRTSTLHKKIANARHDFVKLSGSEDKLEALLQVLEPSLAKGSKVMVFCNTLNSSRAVDHYLSENQVSTVNYHGEVPAEQRVENLKKFKDEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLISRKDQMLAARIEEAMRNNESLEALTNDNVRRDAARTQITQEKGRSVKQIRAVSKQRNTKDRGTSSSSPPARSAGVKTPVRKSTSVRKSTSGRASSPPEKSTKPKRKILKTVGSRSIAARGKKGSERTGKKLSVVGFRGRSSAARPSS >A10p003770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1875257:1876198:1 gene:A10p003770.1_BraROA transcript:A10p003770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISGCNALTSHVLTSSWGFKPSSYRATASGQTQRCLAGSSFSVIKNSLGSPLPFNGLQASVQRGVPLSYLSASSSCLLNGEQGSLSSTLPVLPIRRKTLLSPRASKDVPSSFRFPPMTKKPQWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGALGRLPGWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSKWMPLGLYWGKFGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD >A07p050810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27055940:27058294:1 gene:A07p050810.1_BraROA transcript:A07p050810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPKNILITGAAGFIASHVANRLIRTYPHYKIVVLDKLDYCSNLKNLNPSKNSPSFKFVKGDIASADLVNHLLITEGIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQVRRFIHVSTDEVYGETDEDALVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMSGKVLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVNDVARDVCKLFGMDPEASIKFVENRPFNDQRYFLDDEKLKKLGWSERTTWEEGLKKTMEWYTQNPEWWGDVSGALLPHPRMLMMPGGRHFDGSDEISSAASASDKPSQTHMVVPSTRSSTSTPQKPSLKFLIYGKTGWIGGLLGKLCEKQGIAYEYGKGRLEDRASLLQDVMSVKPTHVFNSAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHGLLMMNFATGCIFEYDEKHPEGSGVGFKEEDTPNFTGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVVSHNEILEMYRDYINPEFKWANFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKYAFEPNKKT >A09g514040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42381967:42384847:-1 gene:A09g514040.1_BraROA transcript:A09g514040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPGSFESGTQGWCVRDSRFKFSSQAKMEHGMNMRMKVAVTFKGSNYLVWSRMVKTAVGSKGASSSRSNDDYIKRSDLDALFKMLKENCNTYGYSFGASMIAYKDDHLIRELVERLEARNEEERSMRSLCKRPTKMKEE >A04p000260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:144543:146250:1 gene:A04p000260.1_BraROA transcript:A04p000260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAQSIPILRFRANDSKSLVSLPGSCLRVMASDKASSARAIACSLRSDIPWLGAGVKLSGGGVPVVKRVVIRSATIEEIEAEKSAIEKDVKAKMEKTIETLRTSFNSIRTGRANVAMLDKIEVEYYGSPVSLKSIAQISTPDGTSLLLQPYDKSSLKAIEKAIVNSDLGVTPNNDGDVIRLSLPPLTSERRKELTKVVAKQSEDGKVALRNIRRDALKSYDKLEKEKKLSEDNVKDLSSDLQKLIDTYMKKVEELSKQKEKELLKV >A09p041710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23593964:23595855:-1 gene:A09p041710.1_BraROA transcript:A09p041710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIMSMMKKDGSKSKRMNRSQRKLLADEELLHRRALSMAIHQTQLSQRFDGSMSRRVGSTSTRKHRTLSDPFSNGKQVPDFSSESLTVKKFVLVHGEGFGAWCWYKIVASLEESGLSPITVDLTGSGFNMTDTNTVSTLEDYSKPLIEYLENLPEEDKVILVGHSTGGASISYALERFPEKISKAIFVCATMVANGQRPFDVFSQELGSAEKFMKESQFLIYGNGKDEPPTGFMFEKQHMKGLYFNQSPNKDIALAMMSMRPVPLGPMMEKVTLTAERYGKGRRFYVQTLDDLALSPDVQEKLVRENSPEGVYKIKGSDHSPFFSKPQSLHKILLEIAQIP >A10g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2144749:2145081:1 gene:A10g500650.1_BraROA transcript:A10g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLVYLVLQVFLHMVLIFHSFKDFSDLSLIYMFFRSGADFGRLTGNLLGSLLKYNVLEDFQEILQILLQI >A04g500720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2001060:2002247:-1 gene:A04g500720.1_BraROA transcript:A04g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWNRNLVLQTFTDEDAARVLCLKPKIAQEDTYRWGFTEHGGYSTQSEEVRATSKWCKPSQGSIKCNVGSSWNKSGHPSGAAWILRDHNGSTIMHSRRAYFALRSKEEADLYSLLWAVESMRDLRKHHVSFESSSTEMRDILLNLQNFHHFHHLVSAITYNLQAIEGWSVHHANLECNSVAGAIATSVTTGRRYQSYVASNGPAWLHSLLSAEAIS >A04g502030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:4981246:4981683:1 gene:A04g502030.1_BraROA transcript:A04g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEGSFILCRVLHLFESRDLVWELFYAPALVDLCVVDVRGPLSPIDGGFQRFHEACGRIHPLKYMCSEVSYLRLVPDGSSLPRGFCRGVRAPLVYLRDRRLITVRRRTRVLWGPDFTSRCSLAGFYARPYEAVDVSSLEAFWM >A09p015590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8102600:8105417:1 gene:A09p015590.1_BraROA transcript:A09p015590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylmuramoyl-L-alanyl-D-glutamate--2,6-diaminopimelate ligase MurE homolog, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G63680) UniProtKB/Swiss-Prot;Acc:F4I3P9] MAFTFLSPHPLFLSLAGPGTTSSFSYKPVYLPSSRNSRNLQLSAGPARRNSYPNPADDDPPEAPEDSMHGVSKFQQIQRQAARARKLEEEDFEKNRNTYLSAIADVEDAPETGCDDVESGGDLFSDIDRAISMKRSEFVKKGLLQPNPPKTASDKKIDEEEDDDVTDVVDELDEEEVVDLDEIDKLTGLTEVSDEDDWVDEEGNPRINKSRSDHQFEFDLDDFGGSKARIVEPKFRLSLAELLDESKVVPISVYGDLDVEITGIQHDSRGVSAGDLFVCCENDGDSVLSEADKRGAVAVVASKEIDIEDTLGCRALVIVEDTEAVLAALASSFYRHPSKNMAVIGVTGTNGKTTTTYLIKSLYEAMGVRTGMFSTVSCYVHGDNKLDSPATTTSPDAVLVQSMMAKMLHNGTEALVMEASPQELASGKCDEVDFDIAVFTNLAREESGFRGTDEEYRDAEAKLFARMVDPERHRKVVNIDDPNAAFFVQQGNADVPVVTFAMENTKADVHPLKFELSLFETQVLVNTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGVEEVDAVPGRCELIDEEQAFGVIVDHANTPDGLSRLLDSVRELKPRRIITVIGCAGETERGKRPVMTKIATEKSDVTMLTSDNPGNEDPLDILDDMLAGIGWTMQEYLKHGEHDYYPPLANGHRLFLHDVRRVAVRCAVAMGEEGDMVVVAGKGHEAYQLEGDKKEFYDDREECREALQYVDELHQAGIDTSEFPWRLPESH >A01g505000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14912352:14912808:1 gene:A01g505000.1_BraROA transcript:A01g505000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRETLRPTRLRRRADKHCGSDVPLASKQHREPTVGSPGSTGAHGLHTITTAKRLRQKSYPSPFVAITERKTSREPSPLTLVELQSSSDIVTTNPNQPKTTTLKGKKQTKP >A05g506560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18735737:18736338:-1 gene:A05g506560.1_BraROA transcript:A05g506560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTNGLEGSCGWVMGVGNQSGPCLALSRSGLAVPGRVVTAIGERVQSVPLIKSMARNDTEGMQWLRSNHPFSYIRKASPCLCRHARASFYTLKHKESREKYRELVGFPIQDPWWCEGHKETVLGQIKGEVENDPLNALSGACEHTQMVRKGGSWPESIPKGQCSLKGRLVWKAVSWGNKEGSDARPLDGD >A03p061820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26846680:26852257:1 gene:A03p061820.1_BraROA transcript:A03p061820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIFLVCATLAFCVLLTNASIYRTVVEFDEDDTTDQIKRKVPIQIGPFRPPQKCQREFQQEQHLRACQQWIRQQLAGSPFSENQWGPQQGPSLREQCCNELYQEDQECVCPTLKQAAKSVRVQGQHGPFQSTRIYQIAKNLPNVCNMKQIGTCPFIAIPFFPPY >A02p034750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18885942:18886452:1 gene:A02p034750.1_BraROA transcript:A02p034750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVEKTVPNTEEKTMTEMPKETVHTTDDSATAVEVEIKEEEEEVPKVEKETEKTEIAPVKEEKPVEIPGAVEEKDVKQAAEEEKTVEVKTA >A08p021060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14174899:14177806:-1 gene:A08p021060.1_BraROA transcript:A08p021060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSKMETIAVFGSKLGFNLSLPSRNNLFLPPTIKFNGFPLTSFPDKPRQHVCLKATTSTSSTCDDDQLINRKFKKLPPSEWTDHFHSVPLDVSEMDALKREIETLKPKVKNMFMSSQGTERILIIYLLVSLGLAYHFEDDIYDTLKVSFTKIEEMMDSEEDLYTVSIIFWVFRTYGHCLSSDVFTRFKESNGDFKETLKEDPRGILSLYEAAHLRTTKDYILNEALGFTSSHLESLVACGTCKPHLSMQIQNALYLSQRWNMEMLVAVKYISFYEQEEDHDEMLLRFAKISFKLLQLQYIQDLKILTNWYKEVDNGSKLPPYFRHIIVQSHFLIQAVFSVPQLSRARIMLTQYYTILTIIDDTFDRYASLPEAEILANSLERWSPDPAMDKQPEYLKAVLYFVFDTFDDFEKKLSPGGKPDSLEANIEEFKANVKANFEHAKWAHAAHLPSFEEYMEVAEVVIGVYVVLAGYFICLGKMATKEAYEWLKSRPRLVKSLSVRGRLMNDITGLEDDMSRGQITNAVNCYMKQYGVTKQDALRELHKMVADTDNIINEELLTTTGVSCLVLKTVMGLAQSITVCYNGYEGYTRPEGKMKEYMTSLFVDQIRL >A03p009960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3970560:3972493:1 gene:A03p009960.1_BraROA transcript:A03p009960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPATLSRKTPNPFNSLGFSGNNTSHFNRRSNLTEAGSSRALSFGHKLNCGGRVNWSGRSGTVFGHLGRVYSVSGGSSGDSGGIGGSGGGGGGGGGEGSGGNGNKWSFLSWYLSLLSNYPVLTKAVTSAILTLIGDLICQLTINRTSSLDKKRTLTFTILGLGLVGPALHFWYLYLSKVVTASGLSGAVLRLLLDQFVFAPVFVGVFLSAVVALEGKPSNVIPKLKQEWTGAVLANWQLWIPFQFLNFRFVPQNFQVLASNVVALAWNVILSFKAHKEVVAK >A10p010790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:6743511:6746747:1 gene:A10p010790.1_BraROA transcript:A10p010790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLTGGAERSLNHLELKLNKGGFSVSLTFESFVTTLVEGVMKTLKDDFEEEPFDYQHQGPLLGTRIPIYLGPIYDEEDKPGPIFDETTPSITSIIMESQLCFDPNYAITCLETLLVINSYFDVRFEKLKRSVQDEQVQPQKSESIDRAHQPEIWRCMYARDGADHGRRRDDKKSSPPEKLLKQSASNEPKVIPQPILCQSQKHCKDHGLILSAHHENVLNPGISKEKQIFTWLKNVFLMSFHELFSSSCALKEIWSGKEHELKLLRPENQFDFVHVEKFLKLAPSISFSNSFTALHDFETNLKVSLVVLKEQVNHDQILRIASRGGRHNTCVAGTRNWNHEASTHEITCRMFSTQLQSSSMKNQIKRSSYVTVMPFKIQGVSARDDQEDSPGDEVLAIDQGRRGRMVRPSREADGKILIFGFHSSSFLIRESFSTIVLRFLKVVE >A04p035630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20862337:20874852:-1 gene:A04p035630.1_BraROA transcript:A04p035630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYEENFVLNSRGMKLFTCVWKPVEQEPKALLFLCHGYAAETSITMNSTATRLAKAGFAVYGMDYEGHGKSEGLSGYISNFDDLVDDVSIHYSTICEKEENKGKMRFLLGESMGGAVVLLLARKKPDFWDGAVLVAPMCKLAEEVKPHPVVISILIKLCSFIPTWKIVPGSDILDIAIKEPHIRTQVRENEFCYKGRPRLNTAYQLLLVSLDLEKNLQEASETDDVKYEENFIRSSRGLKLFTCKWLPTDQEPRAIVFFCHGYGMECSITMNSTARRLVKAGFGVYGMDYEGHGKSDGLSGYIPNFDHLVDDVSTHYTTICEREENKGKMRFMLGESMGGAVVLLLSRKKPEFWDGALLVAPMCKVLLPFMVLHGDDDKVTDKAVSQELYRVAVSSDKTLKLYSGMWHGLLNGETQENIEIVFADVIGWLEKRTEHGNDRFESELKHNNDVEGAVYKRCHSATKLSKSFFFLFKKQKNVLEYEERKGVRYFVTIKPKRRRITVQSSSFKTAQYKQTLQVTTISVSNKTERIKREREISINLFLKMASETENIKYEESFIKNTRGMKLFTCKWLPANKEPKSLIFICHGYAMECSTAKRLVNAGYGVYGMDYEGHGKSDGLSAYVPNFDHLVDDVSAHYTSICEREENKCKMRYLLGESMGGAVLLLLHRKKPEFWDGAVLVAPMCKIAEEMKPNPVVISVLSKLSGVIPTWKIIPGQDIIETAFKQPEVRKQVRENPYCYKGRPRLKTANELLRISTDLEKRLDEVSLPFMVLHGEDDKVTDKAVSGQLYEVASSSDKTFKLYPGMWHGLLYGETPENIEIVFTDIIGWLDKRASDGNGGFESELKRKEDGFTLKE >A10p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19327529:19329851:-1 gene:A10p032860.1_BraROA transcript:A10p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-fructofuranosidase, insoluble isoenzyme CWINV6 [Source:Projected from Arabidopsis thaliana (AT5G11920) UniProtKB/Swiss-Prot;Acc:Q8W4S6] MAQHGNGALNRTSFHFQPHRNWINAPMYYKGFYHMFYQHNNLAPRFSEIMIWGHSVSQDMVNWIQLEPAFVPTESFDRHSCWSGSATILPDGKPVILYTGLEEHEELDRRQVTVLAEPKDASDPLLREWVKPKNNPVMLPPHDVPHDCFRDPTTGWQGQDGIWRVIVGAKEINTERGMAVLYRSKDFVEWTKYPTPLLATQDTGMWECPDFFPVSLTGKEGVETSVNNASVKHVLKVSFGGHDCYVIGTYSSESEDFAADSEFTNTTADLRYDHGTFYASKAFFDSVKNRRINWGWVIETDSVEDDLEKGWSGLLSLPREMWLDTSGKRLIQWPIEEINYLRTKQVSLDNTHLAGCSILEISGITAAQADVEVTFDLPVLEGNPQVLDSDHVDDAVLFDRDSSVGCVYGPFGLLALATSDLSEQTAIFFKIIRRGNGYSVVMGSDENKSSLRDNARKSAHGTVLDIDPLHEKISLRCLIDHSIIESYGAGGRNVITSRVYPKVAIGEAAKLYLFNDGTRGVNISSMEAWSMRNAEVNLNAL >SC140g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000026.1:1774:3265:1 gene:SC140g500020.1_BraROA transcript:SC140g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLWKLQLSLQSPHTKGRLDRVVFIFSQPRVLLRLHTSGASPLLSQLSIAPHNGQSLPLSTRMDGEPRTPLVNLKHHSNSSKDKTQVHWIQLIIFSNHTLSTGFSINTHHTNQAITQHKLIIKKVLRIAYTRNQVGSLSLQRQSGHDMVGFKSLGRHPTPSPSVHGLLLVSHTQRPLLSSYFKPASLSFIFLVSGCDKLLDKELWLEVHDASPSSSASKETLSISSAQVLMNLALIPYEI >A03p031980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13527776:13531489:1 gene:A03p031980.1_BraROA transcript:A03p031980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKLYCLPLLLSLLILSPSFSFAATNPDDVAAVNGLFAALGSPVLPGWIAAAGDPCGESWQGILCNGSDIISITLNDANLGGELGDTNLVRLHSIRTIDFSNNHIGGSIPSTLPATLQQFSLSSNQFTGTIPESLGTLSSLSDIFLNNNNLSGELPDVFQNLVSLINLDISANNLSGMLPPSMGSLLALTTLHVQNNQLSGTLDVLQDLPLNDLNVENNLFSGAIPEKLLNIQTFLKEGNMFNSTTVVAPSLSPSISPTKPSPPRRPFFGVPPPPAPERNRGKASDGPSPSEESSSSHTKRIVLISFGGVILFIILVLALLLLLPKCARRRRVSKRHQVGADRVHRENPLENMPPVLPPPVRSEKVPFTKAGQEPKVFHDLERLQRPPPPPPPPITRQESQDIDFSMLMPPPPPPPPPPLAEKVIVMPIKSPERPFKKPSPKTRLHVTSVKHYSIASLQQYTESFSQENLLGSGMLGSVYRARLPNGKLLAVKKLDKRASEQQQDHEFIELVNDIDMIRHANIVELVGYCAEHDQRLLIYEYCSNGTLQDGLHSDEEFKKKLSWSTRVRMALGAARALEYLHEVCEPPIIHRNFKSANVLLDDDLSVLVSDCGLAPLISSGSVSQLSGQLLAAYGYGAPEFDSGVYTWQSDVYSFGVVMLELLTGRMSYDRDRTRGEQFLVRWAIPQLHDIDALGKMVDPSLNRQYPAKSLSHFADIISRCVQSEPEFRPLMSEVVQDLLDMIRRERHGSGEPSVD >A10p038380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21459676:21463556:-1 gene:A10p038380.1_BraROA transcript:A10p038380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNSIDHHHHHNDSISGVSSRWSLSSKDPSLREVTFNDLGSKRIRHGSAGADSEMLSMSQKEIKDEDARLVYINDAERTNESFDFTGNSIKTAKYSVFTFLPRNLFEQFHRVAYVYFLVIAVLNQLPQLAVFGRGASIMPLAFVLLVSAIKDAYEDFRRHRSDRVENNRLALVFEEGQFREKQWKYIRVGEVVKVVSNQTLPCDMVLLATSDPTGVVYVQTTNLDGESNLKTRYAKQETLQKAADLETFNGFIKCEKPNRNIYGFQANMEIDGRRLSLGPSNIILRGCELKNTEWALGVVVYAGGETKAMLNNSGAPSKRSRLETRMNLEIILLSLFLIALCTTAAATAAVWLRRHRDDLDTILFYRRKDYSERPGGKNHNYYGWGWEIFFTFFMAVIVYQIMIPISLYISMELVRIGQAYFMTRDDQMYDESSNSSFQCRALNINEDLGQIKYLFSDKTGTLTDNKMEFQCACIGGVDYSDWKSADSEHAGYSIEVDGNILKPKMRVRVDPELLELTKNGYATKEAKRANEFFLSLAACNTIVPIVTNTSDPNVKLVDYQGESPDEQALVYAAASYGFLLIERTSGHIVINVRGEMQRYNVLGLHEFDSDRKRMSVILGCPDTSVKLFVKGADSSMFSVMDESYGDVIEETKKQLHAYSSDGLRTLVVGMRKLNDSEFEQWHSSFEAASTALIGRAGLLRKVAGNIETKLRIVGATAIEDKLQRGVPEAIESLRIAGIKVWVLTGDKQETAISIGFSSRLLTRNMRQIVINSNSLDSCRRSLEEANASIESNEESVALIIDGTSLIYVLDNDLEDVLFQVACKCSAVLCCRVAPFQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLILFWYVLFTCYTLTTAITEWSSVLYSVIYTSVPTIIIGILDKDLGRRTLLNHPQLYGVGQRGEGYSTTLFWYMMFDTIWQSAAIFFIPLFAYWGSTIDTSSLGDLWTIAAVVVVNLHLAMDIIRWNWITHAAIWGSIVAACICVIVIDVIPTLPGYWAIFEVTSTWMFWFCLLAIVVTSLLPRFAIKYLGEYYRPSDVRIAREAEKLGTFIESQTMETEMNQIGDPPRR >A10p021260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14333307:14334588:-1 gene:A10p021260.1_BraROA transcript:A10p021260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAQVVPVEEPAATATATATAITTTSEPEAKSSDQMESQSDKPPVGTLVTIMNLFTIGVLPICTFFLSLTLLGYAVWLLYMRSYDCEDILGLPRIQTIASVGLLAVFVVSNVALFLRRKFPMPALVVMFVILLLMLFIGLAYAGVNEMQTRRFPATGTWFKFKVMDNVNWNNIKSCVYDKGACNELVYGSPKDKPYNRRKLPPIKNGCCMPPETCNMDALNTTFWYRRKDEGQPLKTEVLYGGTVGRLSDCQLWRNDWSVLCYDCRSCKLGFVRSVRRKWWQLGVFLIVISILLLISHLLIFLATFWERFKG >A10p031610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18857614:18861133:1 gene:A10p031610.1_BraROA transcript:A10p031610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFSYCYQPQISLPTWRYSDFNAAIPIKKRKYFVQPEAPLDNNPLANEKGDSRSALDSSDKTHVSQKMIGEGSKIINIGKPITSLSAATVSVGKNAESVGNLVPDQIRVKVEEPMNSSPLVASEVASSSDIAGNSIHTSLGKLPLGAAEQHPGVLVTSDKTVHKAHSIVRETRGNEECQTEASAGAGNVALWLGAITKNNEEPTALDLSFSKGVCAPHDPDFINSGIHNVVSRSNWDLNTTMDAWEDGLDRKTRVKTTGAFLNSSNNTGCPEIETSTNVIAKSVSEGVKSPTLTQSDHQVKSTCSLSLGLGAHPPIVRSPSLSACTSTSTPAVIAGNLNSVNLRTVKSEVTEESVQVDPTRLSQDVVGRFRQENSLASGSLKPVDSISSVKTEPNNLTQSAAFNRKDGTLNHRRRPLMQSNEILDLPTSFTPSQMDKYMPSSGIGNVLMSSNGLTRNPDSTLQDNPGQSSGHGDHNLNASGVNDSVTNDCKTYVSPGTDELPKSGEEKNILYGKELREKLCSHEFDHDCGNDRVLKKQVGKRSTYEDEEKVQRPVAMLADVPFDGNNQTSNHVEEKESQATLLVNTGYSEGRIVQDGEKSTHQIIHASEGVSGVSTLSGGNGDNPETLDNNSPVSHKAEISTFDDDPPMELSEGSPRRIRTPLDASDSFVERDRLPDFSLGQRKYSRWSYGNTRRGRGGAFTFNPNRGRRPADDEGDTFPHSFTRRNTSFSYTQRGPTNKEDASEFHGFRDGERQSNSTEPMFMSQSRPYRGRSSFGRGGRTNFSNNSKRDFPGYRSRSPVRSRDRSAGPSSSSFRNRSQEDFSGHTDFSRRRISSPDYPREGGFVRRHNSPPYSHRPSYAGRGRGYERGGRGYARGRGYGRDGISFRKPYDRVVHRNMNNFDPRERVDYSDDFFEGQIHSERFGGDGNAERRQFGYRHDGGTSSFRQSFSNDGCGPTNVEGDPGVARFGQNTGVVENRGEQGSLVETDGKNKNASGRSKNMEEEDTSKHSEIWQQDEVRGGDGF >A07p004460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2863328:2864296:1 gene:A07p004460.1_BraROA transcript:A07p004460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPMDIVEEILFRVPAISLKRLGSTCKRWKLKESGVILASFSLKVDAPSIEFKVPLSLKDSLSGSVCIVDIFHCDDLLLCTTTRDNRIMFWNPCSGETRWIQIKPNYEGYSTFVLGQEQNSCCHSYKILRCYQPYNDDDKFGVFEIYELSSDSWRVLDVDTPEWFIKPRGVSLKGNAYWLASQNLKIVREEELAVLYKRYNTSKMEIWVTNNIDTEAALWIKSFTVDLETCSNRFLMAPRIFLIDEEKKVVVCSNSYDPSVNM >A03p016650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6648360:6650137:1 gene:A03p016650.1_BraROA transcript:A03p016650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At2g07350/T13E11.12 [Source:Projected from Arabidopsis thaliana (AT2G07340) UniProtKB/TrEMBL;Acc:Q94AF7] MVDAAILLSLCGSSALRFAASLVGRVWRRQSSGLLFERRHRRVKALSRAVGGAVASRFEGAYLSVARGNWLSISDLLVSLGLSASRCLATRKKRKTSLFLHSGRVGRSADMADEATRTAFLEIQASMIDLTGKLKQVQTQMRNKEGDRKRAYLTLEELRPLPEDTNTYKFLLEPKSVLEAEQEQKFKDSEAIIASLQTSKENLEKKIAEVENSLRELLQQEPGIARQIMSMSM >A06p020490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:942618:943521:1 gene:A06p020490.1_BraROA transcript:A06p020490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLLVCDSELFSPDLPVSASATGSSSDVHGGSEFLSPPDPPDPPDPPDLDFDGDSITAVCSLIYWSSPTPSKAIRLYFGPSSFSPQVSQICGSLVAGFVIRTDILLGWYYEALVTAFDLLYVLVNVQSFFHLSCCLRSSQSFRMFAALFLCGLGSFVTTLKVSNGNSQALEQPLTVVYSFSLVCAVTVDALLLFSPHSWQLGKKCDSSCFLTLNRSSLGCDSLVFSVMDPTYLQNLSLGLGDSFAGSIVSSMEVFRFISSCTNSYSDTQDSPL >A10p013860.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:4505204:4505413:1 gene:A10p013860.1_BraROA transcript:A10p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVSHYANIDCLFWRKNDIEDPELDKDTYLWIMWYIWKVGNDKLFRGIDRDPLEIVRHVKHVDERKV >A06p030110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000024.1:201211:209638:1 gene:A06p030110.1_BraROA transcript:A06p030110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDTLVLRSCDLTGAFPCTAVRPDDPTQDRGHDKDCSGYFGQRGLTIQYTQDVHGCPLAHTGRLTLTLLVDCSGDFGPRGLTVQYTQDVRGCPLEHTGPHIGRLWLSISTHISTLVLGLSTLTLPVDCSGDFGPRGLSVQNTKDVRGCPLAHTGRPCASVSTHRTSVCVRQHTQDVRGCPSVHISARWSLYSAHWPFPWTVWVILAHVGCLFSTHRTFMGVRQHTQNVSGCPCVSVSTHISMLVLGLSTLALPLDCSGDFGPRGLSFQYTQDVRGCPLAHTECPWLSVCVRVCPSAHTGHPWLSISTHISTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGCQPAHTGRPWLSFNTHKMSVAVRVCPSTHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLSLQYTQDVRRCPSAHTGRPCVSVSTHRTSVCVRQHTHDVRGCPSVHISARCTHRTSVGVHQRTQDVRVCQPAHTGRPWLSVNTHKTSVAVRVCQLAHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLSFQYTQDVRGCPLAHTECPWLSVCVRVCPSAHTGHPWLSISTHISTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGCQPAHTGRPWLSFNTHKMSVAVRVCPSTHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLPFQYTQDVRRCPSAHTGRPCVSVSTHRTTLTLPVDCSGDFGPRGLSFKYTQDVRRCPSAHTGRPCVFVSTHRTSVCVRQHTQDVCGCPSVHIPARWSLDSARWAIPWTVRVILAHVGCVFSTHRTSVGVCQHTQDVRGCPCVFVCVCQHAQDVRVRPSAHTGRPCVSVSTHRTTHSTFVGVRQHTQNVRGCPCVSVSTHRRPAVHQYTYQHAGPWTQHAGPFPVDCSGDFGPCGLSVQYTQDVRGCPSAHTGRSCVSVSTHRTSVAVRVCPCVSVSTHRTTHRTSVGVHQRTQNVRVCQPAHTGRPWLSVNTHKTSVAVRVCQSAHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPHGLSFQYTQDVCGCPLAHTECPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGCQPAHTGRPWLSFNTHKMSVAVRVCLSTHTRRPWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLPFQYTQDVRRCPSAHTGRPCVSVSTHRTSVCVRQHTQDVHGCPSVHISARWTSVGVHQHTLDVRVCSSAHTGRPWLSISTHTSTLVLGLSTLGHPVDCSGDFGPRGLCVQYTQDVRGCPPAHTGRPWLSVCVRLCLSARTGRPCASVSTHRTSVCVRQHTQDVRCCPSVHISARWSLDSAHWPFPWTVWVILAHVGCLFSTHRTFVGVRQHTQNVRGCPCVSVSTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHRTSVGVHQRTQDVRVCQPAHTGRLWLSVNTHKTSVAVRVCQSAHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPHGLSFQYTQDVCGCPLAHTECPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGCQPAHTGRPWLSFNTHKMSVAVRVCPSTHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPRGLSLQYTQDVRRCPSAHTGRPCVSVSTHRTSVCVRQHTHDVRGCPSVHISARCTHRTSVGVHQRTQDVRVCQPAHTGRPWLSVNTHKTSVAVRVCQSAHTGRPWLSISTHISMLVLGLSTLALPVDCSGDFGPHGLSFQYTQDVCGCPLAHTECPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGVSQHTGRPWLSFNTHKMSVAVRVCPSTHTGRPWLSISTHISMLVLGLSTLALPVDCSGYFGPRGLSLQYTQDVRRCPSAHTGRPCVSVSTHRTSVCVRQHTQDDVRVCQPAHTGRPWLSVNTHKTYVAVRVCQSAHTGRPWLSISAHISILVLGLSTLALPVDCSGDFGPRGLSFQYTQDVRVCLLAHTECPWLSVCVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGNFGTRGLSVQYTQDVRGCQPAHTGRPWLAFNTHKMSVAVCVCPSTHTGRPLSPQLLVSKHNCQLAPRSNLNLDQVIQSELIELRGSFAQLPVHSSNSLIPVHALSHILLPYQLMIPSPAHGLIISSPLMD >A05g503930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11075440:11077959:1 gene:A05g503930.1_BraROA transcript:A05g503930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPALRLLSSLSQPKSYYYIPQRLTSPPRLMIVSDLDDTMVEHRNDNDNHSLLRFNSLWEEAYRHDSLLVFSTGRAKTMYKKLRKERPLLTPDVIVTSVGTEISYGKSMVPDENWVEIMNNKWNRGIVEEETSKFPELTLQAETEQMPHKLSFHVDKSKVKEVTKELYQRLEKRGLEIKIIFSGGIALDVLPKGGGKGQALAYLLKKLNTEGKLPLNTLVCGDSGNDTELFTIPNVYGVMWYAENGKDNSNIIHASERCAGGIIEAIGHFKLGPKLSLRDVSDFLQCKSDNVNPGHAVVEFFLFYERWRRGEIENCEAYTKSLKASCDPAGVIVHPSGAEKSLRDTIDELGKYHGDKKDKKFRVWTDQVLATETTHGTWIVKLNKWEQTGNERKCCTTTVKFTSKENEGFVWENVQQTWSEESEVKDDSNWII >A03g501010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3266207:3267160:1 gene:A03g501010.1_BraROA transcript:A03g501010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDHVETEKKSYAKADDVGEVVVNGGTMNGHHKTDYAEVKEEDDSESLHSLLWITIRSILFPDPKTRDASSSSLLQRIRNSLSENGPKLREASRKTSRDILQWTRRGSALRALLVITMGTIVILTTLALVVFTLFFVAATANAIIISLLVSLAVAGGFLSLFSLSLSATYIGALSVAAFVISTVTVSAVVSVLFASGWFGFFYLVWLGARGSLRLVKQVMGLAYSGNSFSLHQDKDREVVTIESSSENPSL >A06g504240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13352083:13354687:1 gene:A06g504240.1_BraROA transcript:A06g504240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFASTDAPLSDYSDTTISIDMSSSETNARNRELRSKRRFDGTSSSSNPQHHPWPRAENTPFDGNSGASGSAMSIAFYPYQIGGSLTSATTWSSFDACQTRHSSATRGTCHANLPAFAVPELRTHRHLLSPTSRTYRTSLCTTRETFRGSWWTLFRPSGLECLVGAGEPQECRHQHQQHAGTVPQRTMRRLMRTPTSPHIPISSPLIMNLANNRQGTSSLTPSTCENFCASPSIDMERITSIDSGRVTSIDMERITSIDKEPKLTSNTNMTSLLVLGLGIHGIGFFRQVWKSSKRYLEAAISKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQEKI >A03p048560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:21840836:21841402:-1 gene:A03p048560.1_BraROA transcript:A03p048560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIIEKQNFKVLFSFFLLVLFFSHTVSVFPKYIDQKKPCKQFSFYYHDIIYDGADNAANATSAAIVNPPGLGNFKFGKLVIFDDPITMDTNYLSEYVARAQGFYFYDKKLGVNAWICLTLVFNSTEHKGTLNIMGADLMREPTRDIPVVGGTGDFFMARGIATVETDATEGLRYFRLKMDIKLYECY >A01g509810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26784898:26786711:-1 gene:A01g509810.1_BraROA transcript:A01g509810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSFIFLADLKTGTSLQGLCSPSPSLSTLVIISLLFSISKFLVMLLSQTCFSFISKRYIVPTRLTYNILDGTINQTPQLCSVFAARVGKRLSESFSAAASKLETIRQVDAKNQNAPSETKPLKLWVLWVGSVTGNIEHTWFGYLNMNDGGLHTSTTSFEQAPRVTMESPRGINVDNRNNFFFLSDIDGSLVKEAGSLVNEVKWNIFGSSFIVLDSGLGSEMGDPSHRNLGGKSVKPTQNSISTSGAVNRVRGHWSASKSD >A01p037240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17293759:17294963:1 gene:A01p037240.1_BraROA transcript:A01p037240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRSSLSSKWNSNKPTHNVLAACWSERELSQNPMLTSWTGWTGLIRTRGEGFRNRQLVRRYHPSGCVLSRMLPVKCVVTHGRPHALMHASFTCHRTAPRPDVSQNGWSACVATHRPLHVGSHAHISQNVLAICIEAPRASWSVYAIFDPSSIFSSRDQFRIFFRSYFPRKLRDEETSVFKNVELQKRHVFKNGMLPKRPSDQSKTASNYGRAAYSTHSSLAINSSTTSFFKFCRSIPPAVRPPS >A03g500760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2339357:2340881:-1 gene:A03g500760.1_BraROA transcript:A03g500760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCQESVHLWAMQNLKSVMMSPLATQNHLSCFLSPNTLDLKTPLSSASGPGGASERVPVPEGFLLSTFLASVIGFRCLLAKIDDSGGGLAPRGAVTRCSGAGRAGELSGVPSSWGGCAGSCHGWVRWWFVATDLSRRARSVEVVGILGLLGSGIFRSLLAATKSAPFFGLGGKFRVGCNRGESVRFCFFVCVLLVRFEWSSMAIRWFPVCSASLSLLPPLASCCGDVYNPSFCRFHRRLKRVLARFGMRFKASCGVSYIPALVAQRKLQVWWRSSGTSYSGDVKGTPGILGNEENLTFPRVTSMVESGYRCRRISKNKLAECAGFSGWAKLVL >A06p055460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28959251:28962530:-1 gene:A06p055460.1_BraROA transcript:A06p055460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding WICFFLRHGTRLHVLWLLPLSGFVTARSTRFAVSCAFRLPCWLFRHLHLVFSAIFMEGASQFPTCFVFFSCCLSFTLMVNQLVFISKKRPAYPAGESWSFAGIRTSGRLKPLDLYITFLLFDALCNHRYNLIKEVGDGTFGNVWRAVNKQTGEVVAIKKMKKKYYSWEECINLREVKSLSRMNHPNIVKLKEVIRENDILYFVFEYMECNLYQLMKDRPKLFAESDIRTWCFQVFQGLCYMHQRGYFHRDLKPENLLVSKDVIKIADLGLAREINSSPPYTEYVSTRWYRAPEVLLRSYVYTSKVDMWAMGAILAELLSLRPLFPGASEADEIHKICSVIGSPTEHTWLEGLNLASVLNYQFPQLPGVHLSSLMPYASAEAVNLVERLCSWDPSNRPTAAEALQHPFFQSCYYYVPPSLRAKQSVGERGPLEHQQQSLKTNKAPFNSYAPPFGACQAQRKLEMSNKINQDTTWNKKAVGSYHVRDARYIPPPGRKCPSSMNKKWVFPRGSSETAVANAAVGGGRWRPAMKAGWVGESGDMFLRPIQPPNPYSRRIAG >A09p073580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56046181:56049157:-1 gene:A09p073580.1_BraROA transcript:A09p073580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIVDNALDVTKESVKTLTYESLNNIARSINGVSALLLTLLPGKASVLEGLHGWELRPTLRAPRLPRWMHNGVSSFNHFIHELSVDSDASSLDYSSGEDSDDAMSTPPPSPLSQTSLRSWASLPANYESHWTEWITLILWWVLLPSRILLWVPLHLLRLFSRGDSRVTPMSRHSPRPYFSNAVPGKEHHVPNRTTDRRRGVIEDLQLGIEIFIDAIFDFFHKAAHLLLSPSEAVGIVLSLFSSSNHSHSPRGNYDYVLDDETLGDNDSSSPTERTMTSLYNTDTRTCQDVITELGYPYEAIRVVTSDGYGLLLERIPRRDARKAVFLQHGVLDSSMGWVSNGVVGSPAFAAYDQGYDVYLGNFRGLVSRDHVNKNISSKDFWSYSINEHAREDIPAMIEKIHEIKTSELRLYQPNMEEVVNEEQPYKLCVLSHSLGGAAVLMYVITSRIEEKPHRLSRLILLSPAGFHEDSNLCFTLIEHTFLLLGPLLSRIVPAFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWLGVMGLPHYNMNDMPAVSFRVALHLAQIKRSSKFKMFDYGSVKANMEVYGSPEPLDLGEFYGLIDVPVDLVAGKKDKVIRPSMVRKHYRVMRETGVVDASYNEFEYAHLDFTFSHREELLAYVMSRLLLVEPTQTQPVYKKGMKLKKKMETTRL >A10p020860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14105433:14106480:-1 gene:A10p020860.1_BraROA transcript:A10p020860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALATKQSLLLLLSSLLLLIGVSTGSFYDNFDITWGNGRANIFESGQLLTCTLDKISGSGFQSKKEYLFGKIDMKMKLVAGNSAGTVTAYYLSSKGETWDEIDFEFLGNVTGQPYVLHTNVFTGGKGNREMQFYLWFDPTADFHTYTVLWNPLNIIFLVDGIPIRVFKNNEAHGVAYPKSQPMKIYSSLWEADDWATQGGRVKTDWTNAPFSAFYRSFSDVDCCSRTSVWNWVTCNANSNSWMWTTLNPNQVGQMKWVQDDYMIYNYCTDYKRFPQGLPTECNLG >A09p010530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5377696:5378563:-1 gene:A09p010530.1_BraROA transcript:A09p010530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGGNFVSSTVYKEEANYGCEDANYLDKRVFYNLKKSFQVLTSCERHKLYETFKILAGCMEAIAMNACREELLSGLSEELKGRDCLEWWIEQLSALGINNYTRNCQEKREIVEAINYIRHRDLPELERRIGQQLESVRLDDLLIPSVGREESVHRVDEEDEERGYDKDSTGHHHGSLLKVGRIMDAYLAEIAWDPYLTLQKFRAIIERLPDYART >A08p001000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:575994:577499:-1 gene:A08p001000.1_BraROA transcript:A08p001000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGFGNSDLDQDREECTDQLIALSPCLPYVGGNAKAPTKDCCGGFDQVITKSEKCVCILVKDKDDPNLGLKFNATLAAHIPTLCHITAPNITKCISLLHLSPNSTLAKEFESLGRIEYEGKTNSTSPSHNVKDGTGGGKAEQVKSTGEKKSWLAVELLIFALFSHLLFIIPSFTSSSFI >A04p005600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2955174:2956737:1 gene:A04p005600.1_BraROA transcript:A04p005600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PPL1 [Source:Projected from Arabidopsis thaliana (AT3G55330) UniProtKB/TrEMBL;Acc:A0A178VEI8] MASLKLSPSSPISIPKSSGIVGRRGVSFLVRAEQSPSSNSDLQDKCQRRRVVVTLGVVAPWISLLSKAPSSFAAESKKGFMTVSDNKDAYAFVYPFGWQEVVIEGQDKVYKDVIEPLESIAETLVKKVLAPPNQKTTLINASEQEVDGKTYYQFEFTAQARNYTRHALGVITVFNGKFYTLTTGANERRWEKMKDRLHTVVDSFKITV >A06p028700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:242692:249253:-1 gene:A06p028700.1_BraROA transcript:A06p028700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRVVQGNTTKSEIEATLGKSKLVRVVEDRGVTRSLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGVTSCKRGRLRKLSKE >A08g508670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16049178:16051939:1 gene:A08g508670.1_BraROA transcript:A08g508670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFYINIFLEVSSGFIVSMGLEVGSLSLKIKDGGLTSRTNSFNRDNTTHQNSRKINRKPTMQRSLSFNSWEVPEETKTDSDVEVFETKKSTPSTLNGRNCERIQIKKPTITPPEPFVFFSPRPVTELDAAATTVQKVYKSYRTRRNLADCAVLVEELWQKSLDAAAQKLSSNTEETNNDSGFKVLETKKSTHNTLNGRNCERIQIKKPTVTPPEPFVFFSPRPVTELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWKTLDAATLDLSSVSFFEKEKHEPAASKWVRARTRAAKIDPRHRYGHNLHFYYDVWSESKSSQPFFYWLDIGDGKDVNLEKHPRSVLQKQCISYLGPMEREAYEVIVEDGRLMYKQSMDLINSTDESKSIFVLSTTRTLYVGKKKKGVFQHSSFLSGGATTAAGRLVARDGILEAIWPYSGHYLPTEENFKEFISFLEEHNVDLTNVKRCAVNEEYSSSFKSTAEEEEEPKEVSEEVELPSEQEERARPVFDPAKRLSCKWSSGYGPRIGCVRDYPVELQAQALEQVNLSPRVSPAYSYGPIPSPRPSPRVRVSPRLAYMGIPSPRGVKC >A02p043930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27218090:27236557:1 gene:A02p043930.1_BraROA transcript:A02p043930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LESEEVRMVGLWGSSGIGKTTIARVLFQRLSRHFQSSIFIDRAFISKSMEIFNGAHPDDYNIKLHLQRNFLSEILGKGDIKINHLSAVAERLKHQKVQICIDDLDDQTVLDALVGQTQWFGSGSRIILVTDNKHFLRAHGIGHIYQVCLPSEKIAVEMLCRSAFRKNAAPEGFEELVVKVARLAGRLPLALSVLGSSLRGRDMKYWMDLLPRLQNGIHWNIEKKLRVSYDGLRSEEDKAIFRHIACLFNGVEVAYLKLLLADSGLSVNIVLKNLADKSLIHIREDHVVEMPRLLQEMGRRVVRLEEPEKREFLVDSQDICDVLSEGTGTQKVIGISLDIDEIDELYLHENAFRGMRNLRFVQIHTKRRLEREVKLHLPENFDYLPPKLKLLRWDDYPMRCMPSKFCPENLVELKMENSKLETLWKGIVTLPCLKEVDLSGSQNLIEVPDLSKATNLKTLNLTDCYSLVKLPSSIPHPNKLTTVKMSGCRNLETIPIGISLKSLKYLDLKGCSRLRTFPQISTNIEKLFVSETAIEEFPSDSKLRFENLCSFSMTKLRSKNLWKKVKPVTFLTAIMHPSLRQLRLSDISTLVELPSSFQNLHQLQELVIMNCVNLETLPTETNLMSLEYLNLSGCSRLRAFPDISTNIKKLVLSKTAIEEVPWWIEKFYSLQVLKMNGCNKLKSVSLNISKLKHLWEDGFDLIRGVSPFRRMDIHVDLTNCLNLDQEALFRQNTYFGCQLYLSGEEVPSYFTHRTTGTYLTNIPLPHTFPFQPFFKFKACALVYAESISKYYLPFKIQVRCQFIDRLGNHDYSSPLNITETKLGSHMVLFDCCFPLKKGNVSVAGLNYDHVNIQFHLMSNYSHHLKLKECGIRLPKDSPNFIEQVSEGYRSHKVIFGGHEAVKISSLYNWSYDVFLRFRGEGVRVNLLSYFLRNLDRKQMNVIKDKDMGRGQSLVPSRKKVGEAYEKTCKNRTTKEKTQLRGPLTNAASIPGYQYVTLGDEAKMTEEIGNEVLSKLNLTPSVEQTEMTHNHDAGGSIPEALTDVDGETDGVLWNAKSTVNAKEAESVQGEAKFNERSRKDDSFLAMKGLCSKEHSSESNFITFIVKKVKEVIRISLLEGENQSFLLSTEKGKTTKMSRLEREKHKSFHDKSPLFGIEKNMRQLEKKLEFDCSETRIIGVVGIAGIGKTTLLTILHEKWTCKFVRSVPLLGIHKKSEHYGLAWLRQTLLVVLLGGKFGVINDKTTHDSLKDKLLQTKVFVVLDDVSNKKQLKFLLGDLTWIKKGSKIVITSCDKSLIEEFVHETYVVPPLNYEEALQLFSNHASGDHLNSMKLCRELVDCAGGNPLVIKLLGKQLHGRDEAQREIRREDLIHFGLVILDIFRYHYDGLSEKQKDVFLDIASFFRSEDDNFVRSLLDSGDHDASDAESEVKDLTHKGVISILGCRVEMHDLLYMFGKIFSSQALIEENGGKSRLLYTPEIIDALIHQKDTKSIRGIFLDMFDVTEIMVLHRHTFINMPNLRYLKIYDSCCPRPCKPVCKLNFPDGLELPLEKVRYLHWEKFPLDELPPDFRPENLVDLRLSYSMIERIWEGVKETPRLKWVDLSYSSKLFNMSALSKAKNLERLNLEGCTNLDELPGEMQNMKSLVISQSLVVLHLDGTAIKGLPQAIQQLERLVLLNLRNCKMLECIPNCLGKLKVLEELILSGCSRLKNLLDVRHNMKHVQILLLDEIGVDEMPKISCFTGSEGQDSVDIVLPPFGSYPSEWPRGFDGVSSLRRLCLSGNDFVSLQSDIGKLYNLTWLDVKQCKKLKCVPMLPPRLEYFDAHGCDLLEKVANPLALLVVEHAHAIFNFSNCNNLDQEAQDSIISYTRRRSQLMLGAQSRYNGRNPLVVNCNCEFKSNDGSIIYFTCIVGGWSELSNIPQKMESSHVFIGFTSMWDISKHGGYDEEGCFCTISSLEFQVTDGTEKVVGCEVLRCGFSLVYATDEREKICWDAKTVVIPERVKNVPGGRSPLYEDTPTTSNENTIEEINRSSSLHNIPSGRSNTEKLQGVKTFNTDLLTAQHKDVFLDIACFFRSEDEYFIRSLLDSGDPDSPDAVSEVRDLAKEFLITISDGRVETNDELCKDICSRRRLRLWKYKDILDKLMKMKNQEANDVRGIFLDMSEVMQSIAMERMTFINMPSLRYLKIYESCCPWKGESDCKLRFPDGLDFPQKEVRYFHWMKFPLNELPPDFRPENMVDLRLPYSKVERLWKGVKDTPRLKWVDLSHSSKLVNLSGLAKALSLQRLNLEGCRNLNELPREMKNMKSLVYLNMRGCVRLISLPRMNLISLKTLILSDCSNLKEFQVISQSLEVLHLDGTSIKGLPLAIQKLKRLVLLNLKNCKMLESLPNCLGKLKLLEELILSGCSRLKNLPGVRHSMKHVQTLLFDGTGVDEMPDISCFIGSQGQASVGMSCQQFFSYFSPSEWPRSVNGVSSLRRLCLSGHDCVSLHNYIGHLYNLTWLDIKQCTKLRSIPMLPPRLQYFTAHGCDSLESVANPLAIQILTEQIHASFSFSNCNKLDQDATEAIISYTRWKSQMIVDALSRCNGGFTLEASIGTCFPGWEVPGWFRHRASGSVLEANLPPHLCASRFAGVSLSAVILFPSHQHQRNRLLVKCNCVFKSEGGSLTRFSCTVGGWSEPGNMIESPHVFLSFASKLDVRELRKDNEEECIYTEASFEFQVTDGTKELEGYEVNSSEHGTTVEKNQDIASSIWDATSENQRQDR >A05g507940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:23233776:23233958:1 gene:A05g507940.1_BraROA transcript:A05g507940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERIDITWVRSLSALIPNVAGWEAGSMRWMGDGSILSVRKKTRWKDGEDGRLAIPFNPI >A03p048000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22123565:22126212:-1 gene:A03p048000.1_BraROA transcript:A03p048000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKVAGTWGGILEVDLENWTLTMLRNEVANRSGLDPESIKLICAGKILKADDGDDDPKTLAQLGIKENSKILSSRGAAPGEGKAIMAEEERSRRLSRLKAAATALSKRHVDGSLPMEDYNIELEDQGGQQVKFGSETDQSAAMMGLMLHAKAKSLIETDLYVDALEVLAMAEESFLLCDPKILELVDNIPIMEIDIVWCYFLLRDLKCLSDAGFRLVKARKGLERAHGKDLSRVRLLQAGQCPELALYVRLELLEGVVAYHTGQNDKALNALKSAHAKLLQLQIPDETLSVVMGMGFHEKDAKRALRLNNKDIATSVDFLIEERAKRAQKHKDDLQRQKEILEQKTYGVTPMNKAVDMQMLDRLVLIGYARDLAAESLRRHENDFQKALDALTSPDVNSSIQVYIESRKRKRQEQHVGVTVDELVSMGFERGQATSALEVGGKREDIIQRLLSSPAANPVNPGTASASGSAFTENGGATSSTNNEIVAEAEDAEMEDDTADEIASNGEEEGRDLEIEGDIADEIAKVDALSAYDINLDKEVEAINEYLVMLDASQDSG >A03g503420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11285714:11286333:1 gene:A03g503420.1_BraROA transcript:A03g503420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSWRWQRRRQRVSEATVVSELSKSGLISDLVQHQSEKIMMKREGEMVLEKKICRNLWNILPLLLLPFQHCSKSYVAVLTSFGRRQRAHKGWRFPVLLLHQIWFHLSAPWWKVIRGGSDLEQREISTSLRR >A09p033100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20015711:20018930:-1 gene:A09p033100.1_BraROA transcript:A09p033100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 5 [Source:Projected from Arabidopsis thaliana (AT4G11330) UniProtKB/Swiss-Prot;Acc:Q39025] MASETESATENNIKGVLVHGGRYFQYNVYGNMFEVSNKYVPPIRPIGRGAYGFVCAAVDTETHEEIAIKKIGKAFDNKVDAKRTLREIKLLRHLEHENVVIIKDIIRPPKKETFTDVYIVYELMDTDLHQIIRSDNPLTDDHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNSSEYTSAIDVWSVGCIFAEIMTREPLFPGKDYVHQLKLITELIGSPDGTSLEFLRSENARKYVKELPKFPRQSFAARFPSMSSIAIDLLEKMLVFDPEKRITVEEALCHPFLSALHDLNDEPVCSNHFSFDFENPSSTEEEIKELVWLESVKFSTPFSRVFNVAVGAVRLVCLVFRSACLGSDPAGENVHDGSELCGSVFSAHASMSQQEELLSSVSVVLRHLCVPSAEVGGFRPPIRLLGLWSPSSALSFSVYPGLPLVSVFLVASSGGSDEVYALHEEVIRVACFLASFVAKTPASLSAFKSLFFYL >A04p026310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15888482:15890237:-1 gene:A04p026310.1_BraROA transcript:A04p026310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-carbamoylputrescine amidase [Source:Projected from Arabidopsis thaliana (AT2G27450) UniProtKB/Swiss-Prot;Acc:Q8VYF5] MEMEGRRREVVVSSLQFACSDDISSNVASAERLVREAHAKGANIVLIQELFEGYYFCQAQREDYFQRAKPYKDHPTIARMQKLAKELGVVIPVSFFEEANTAHYNSIAIIDADGTDLGIYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFAKIGVAICWDQWFPEAARSMVLQGAEVLFYPTAIGSEPQDQGLDSRDHWRRVMQGHAGANVVPLVASNRIGKETIETEHGPSQITFYGNSFIAGPTGEIVAEADDKTEAVLVAKFDLDKIKSKRQSWGVFRDRRPDLYKVLLTMDGNL >A09g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9682049:9683251:1 gene:A09g502860.1_BraROA transcript:A09g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHCHGSEGDSDTERISNLSYPWSLKPLITRKSEAGSALHAPSKEGDVVIHSQHLKSFTLDELKNATGNVCPESLIGEGGFGFVYKGCINGGPRIDLAVAVKMLKTEGFQSHKEWQREVNYLGRLHHPNLVKLIGYSLEDENCLLIYEYMPNGIFENHLFERGSNVLSWLLRMKIAIGAARGLCFSHDAKNQVIYRDFKASNILLDSGFNAKLSDFGLTREGPKDDRSHVTTAVIGIQGYTAPEYLPSESNRLPYRFTKTQEVASH >A02p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11922773:11924115:-1 gene:A02p023910.1_BraROA transcript:A02p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPANATATKAGGGGSGDEYEVGKTYEVKLTTGIEFKGIVLAYDSDPHVVIFREGAIPGKCKSMMTTRTVNASFISKANLIGKCKDPLDWKKKKCFVDLSGLLEKEAIAIRRIESIGVGVTAEAQKIFDALSKTLHVKWENKDMVIMEDVRICSPYHSDSVTGGTRAANDRIKKVLNNVREKLQLSSDT >A06p014620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6541088:6542084:1 gene:A06p014620.1_BraROA transcript:A06p014620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIDDHLKPSHSVSAGAATEKWEEVSTGIRTAETMLRLAPVGLCVAALVIMLKDSQTNEYGDVSYSNLTAFRYLVHANGICAGYSLLSAAIAAMPGSSSTMPRVWTFFCLDQILTYVVLAAGAVSTEVLYLAYKGDDAITWSDACSSFGSFCHKATASVIITFVVVCFYVVLSLISSYKLFTRFDPPATVDSNKNVEVAVFGS >A01p058160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33218501:33220407:1 gene:A01p058160.1_BraROA transcript:A01p058160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQTHKHKVYTSLSIHINALAVSRITFSNSFLIKVSHRINPKIKSTMNALAATNRNFKLASRLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVEPDEVNALAQLMTWKTAVAKIPYGGAKGGIGCDPSELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKSISGQRFVIQGFGNVGSWAAKLINEKGGKIVAVSDVTGAIKNKNGIDISGLLEHTEENRGIKGFDGADSIDPDSVLVEDCDVLVPAALGGVINRENANEIKAKFIIEGANHPTDPEADEILRKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWEEEKVNKELQNYMTRGFKDLKDMCKTHSCDLRMGAFTLGVNRVAQATVIRGWGS >A05p043060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26019208:26022986:1 gene:A05p043060.1_BraROA transcript:A05p043060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable inactive ATP-dependent zinc metalloprotease FTSHI 2, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G16290) UniProtKB/Swiss-Prot;Acc:A8MPR5] MAYRFPLHSSSPHSHFLSPSNHPRKHPSSISISCHNTNDPHHDDDEEKSKINLLAIPITLTLISASLPQPSLAAAAAATKKRSLKKPQEALKPEQLKSWSKDLPVVSKRIPYTDLLTLKSQNKLKHVIKPQSLTLRQKPEPVLIVLEDSHVLRTVLPSIDGNKRFWEQWDELNIDSVCVNAYSPPVKKPPVPSPYLGFLWRVPSYMLTLAKPKKESKRAAELKRMREDFKRQRKEEMERMNEESEEMEKAIKAQKKMQERKKRKALRKKKYEESLVEARRNYRDMGLMWARLAEDSNVATALGLVFFYIFYRVVVLNYRKQKKDYDDRLKIEKAEAEERKKMRELEREMEGVELDDEEEEGGGSGEKNPYLQMAKQFMKSGARVRRASSNRRMPEYLERGVDVKFTDVAGLGKIRGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARDNAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILQVHARKKPMAEDLDYMAVASMTDGMVGAELANIVEIAAINMMRDGRTELTTDDLLQAAQIEERGMLDRKDRSSETWRQVAINEAAMAVVAVNFPDLKNIEFLTINPRAGRELGYVRVKMDHIKFKEGMLSRQSLLDHITVQLAPRAADELWYGEDQLSTIWAETSDNARSAARSLVLGGLSEKHHGLNNFWMADRINDIDVEALRILNMCYDRAKEILQKNRTLMDEVVEKLVQKKSLSKQEFFTLVELYGSIKPMPPSILELRKIKRLQLQEAVMKQDMTSARNS >A09p011360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5725082:5726097:-1 gene:A09p011360.1_BraROA transcript:A09p011360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAALRSMETQRQWTCSATETLLFLVGKNLACLLLAAESLLIGLIAEDQRLSVKEGYKKGHRDEENKDASDSDDDDDDDEDNADEDDDDDDDDANDEDFSGGEGE >A07p023180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13456037:13460478:-1 gene:A07p023180.1_BraROA transcript:A07p023180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-7H [Source:Projected from Arabidopsis thaliana (AT5G66310) UniProtKB/Swiss-Prot;Acc:F4JZ68] MVVSGMEFDQMIDWTQLLTYYYTLPPLYNVYILIFFYSGGMMMATEADQMQGPSGGGGCEEKISVSVRLRPLNDKEMLRNDSPDWECINSTTIMYRSHLSISDRSMYPSAYSFDRVFGPECCTRQVYDQGAKEVAFSVVSGVNASVFAYGQTSSGKTYTMSGITHCTLVDIYDYIDKHKEREFILKFSAMEIYNESVRDLLSTDSSPLRLLDDPEKGTVVEKLTEETLRDWNHFKELLSVCEAQRQIGETALNEVSSRSHQILRLTVESTAREFFTNDKFSTLTATVNFIDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKGKTGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKHLQRELAKLESELRSPGQPSVASDTTTALLTEKDLEVEKLKKEVFQLAQELKQARCEIEDLRRMVGEGKQGPKETLSTEVVLVEHQYPKLRVRSTWDSENTTPLSPISAHRFSLSPRSSEYSYDDNVFQLSDFRIDSASSSPFHQHAFVTAETKDQSQVHTEETEAQPHVPRNVSSTLVIFPSPAEEEGVDEMGKETDGNSEDDCREVRCIETEIMSDVTRHPQENIPPSSPDRFDVVNAEEPVCVTEPKGLQLSTEAEEEEEEEEEPVCVTETKNIQPPIEDEKEDEEEERVKEVSSASTQPKQESELIKTPPPCCDFKSSPDEFVTSLSSSNPTPPVFITPSPEKPFSWLTERESQPVRGMKLTRSRSCRASMLSSSSPSWFEKDADADTPPSWYDKGFAKAAEMRDIKNERLLQDEFSGRSMPTTWIERSLSDTQTAHATASSHNEMSSSPNESLSRPSDVSAFELQTSGSPSTSQEKTEETAAQKDKRIIHRSMEEIEQKLFLGLSSTKSFKDAALDPIQDYLDTPLNWPEEFKRLQREIIELWHTCNVSMAHRSYFFLLFRGDQKDCLYLEVELRRLKYIAHNSKASDDLSLVSSTKALTRERFKLSKLMQRKLSKEERENLFLRWGIGLNTRHRRVQLAHRLWSDYKDMGHVRESASLVGKLHGFVDMNLTSSDMFGINFAFRPPRPKKSSLWKRSVLSLSFL >A09g504590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14059087:14062806:1 gene:A09g504590.1_BraROA transcript:A09g504590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEADFVKEVVVEVMKRLSTIPYSMEGEEKVASLFGIEHRIKQVEEKFGFGYSAETRIVGIVGMPGIGKTTLATELFKRYENKFIRCVNFLKIRKETDAGHLRMTFLKDLLPKTKTNITDKTTYDCLKSELVVNKVFVVLDDVSSDKHIKTLLGDLSWIKKGSRIVITTRDRALIADLDPNPYVVPRLNPRDGLMYFSFFALGGFNPEMRDYMKMSRVFVDYSFEVASEITDLAYKFLISISGGRVEMHDLLHTFGMELCSLSSTEEKCRLWKCQDIVAALHDKMLGLCGFAKMCNLWYLKFYTSTCPRECEGDCKLNFPDGLSLPLEEIRYLDWLKFPLEELPSDFNPKNLVDLRLPYSKIKQVWKAYKDTPKLKWVDLNNSRKLQTLSGFSKAPNLLRLNLEGCTSLERLSEEMETMESLVFLNLRGCTSLSHLPQMNLSSLKTLILSGCAKLYRFQLISENLESLYLDGTAIEDLPSDIVKLQRLVLLNLKECKRLRSLPECIGKLKALEELILSGCSNLETFPNVEDSMENFRVLLLDGTSILEVPKVLPGINSLLFLRRISFSGNGVISSLGSDISRMYHLKWLDLNSCEKLRSLSTLPPNLQWLDAHGCISLQTVSSPLAFIIPTEQIHNTFTFTFTKCCKLNEAAKNEIASHVRRKGQLVSSDDHHNGNFISTCYPGYEVPAWFSHEAYGSVLEPKLPPHWCDNKFLGIYLCAIVSFRDCGDQSSRILAKCTCEFEDLDAPCSRFSIPVESEPRNIESDHVFISYISWSNIKKRQEVEFKKGCVPTRAVLRFKVTDGAGEEIPQCEVVKCGFSLVYEPDDEISNVVSLPAARTMLNGESSQGEVTTFQSGEEAPTESPTTADSTSKKNSF >A08g507650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13561512:13562354:-1 gene:A08g507650.1_BraROA transcript:A08g507650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLLLIFVLALHVLASGVLSTSILTIENKCNQTVWPVIYSWQSQVSTTGFALKTGEARDIYAPTSWFGLISARTLCSTDSTGTFSCATGDCESGRIECPGSYSWAPVTYAYFRIDNGRVNSHTVSVEYGYNLPLMVVPSKSSRTCSSSGCEVDLNKTCPKDLVRVSTIGDPVACSSACQASNTPEICCTLDYNSRQNCKPTVYTRNFNRACPLAHIYAYDDNKSTFTCPKSTDYVITFCPSSKTITKSQ >A02g511490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30597035:30597769:1 gene:A02g511490.1_BraROA transcript:A02g511490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGHFVTPVVILFDHLAFAGSNQTWKKWGRGKNQETQRPTKIPENGRLCVLLCSDPGQKNE >A03p006290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2665404:2669140:-1 gene:A03p006290.1_BraROA transcript:A03p006290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASLSVKDLTSLVSRSGTGSSSSLKLPGHARPVKVIPLQHPDTSDDARPPSIPFDDILSGWRAKIKRMSLVDWVETLFPCFRWIRTYKWSEYFKLDLMAGITVGIMLVPQAMSYAKLAGLPPIYGLYSSFVPIFVYAIFGSSRQLAIGPVALVSLLVSNALGGIADSSEEELHIELAILLALLVGILECIMGLLRLGWLIRFISHSVISGFTSASAIVIGLSQVKYFLGYNIARSSKIVPLVESIIAGADKFQWPPFLMGSLILVILQVMKHVGKAKKELQFLRAAAPLTGIVLGTTIAKVFHPPSISLVGEIPQGLPTFSFPRSFDHAKTLLPTSALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANILGSLFSAYPSTGSFSRSAVSNESEAKTGLSGLITGIIIGCSLLFLTPVFKYIPQCALAAIVISAVSGLVDYDEAIFLWRVDKRDFTLWTITSTTTLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNVKQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVAVDKYTTKGPEVERISFVILEMSPVTHIDSSAVEALKELYQEYKARDIQLAISNPNKDVHMTIARSGMVELVGKEWYFVRVHDAVQVCLNCVQSSSLEEKKPSFLRRFSNNNGSSSYNDLQSYNTLLKEPLLPVEK >A01p050830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28586625:28591634:1 gene:A01p050830.1_BraROA transcript:A01p050830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLNDHQELEQIEAIDDLLEDFWFFDNLLDRRSRILRYCHSDPYPLSPSSSSSSSCLKPEFSKIGDSDSDKKLLKAPTGGDSVPLPCIVNKEGGREPEKINKMRRQFSEKLRVQERRAYLQKKEPMVRDKGIRENSKKNRTVLFDGREFTELPSYIGREDVGNEFQDQEIDDSRMGFLIREAIASSSSEFTPTKQNTPKSSSIPRPRPPRHSRSEEAIQEMVVKSQRKPNGKTLRKTLSSVDTKELLMLKDLDIDESEKKEVKDEEEQRRIPRAAVKSRSAAVVGQPIPVWVPKESRRDMKAQIKFWARTVAIGVIALILYKFTTTIESELNRQTIFDNFSVKDSNKQEWSKVSIDVSSWIDLIDIHHGYRCRGTLCTGELIIAPRTLPINPFYFISYNLKENIAKKVVVEELGDPYASREVYFDHVDSLIFCQIMLHDDVRLVEEFCNYSEMISLTKAELKTVMKMVKRSKKQDERNINDPFMTLQNDMNVEILMKLPPGSIARLHFASKHLSSIILGKEFTELYKTRSSTQPRHLVSVHGGHPYVWMHRFHSISQEYPSSNHDKVSCSVDPYGPCLATYDFTPPVRGLIFGRHCSNMVVGNPGTGQFVFLPRVETTHQCILCVFGYDPVNDVYKVLCMTVVTKHTPCVAMSEVHQVITVGAKEKWRMVECKYPYHHYPGCQEICRDGVIYYLASYKGKKSLMRFDLSSEDFNVTKLPEDQKLQRFGELVNHTGKITVATTLLGCIDPVDLWVLEDVNKEVWSKTVVVVPSLPERFGMSYEFVFKGILGTGEMIFAPRTTPSPSPNPFFFVCYNPEGGKFRKIEIDELVDYPVFIQVFVDHVESYMVLSRTLLLSAFRDTIEHLEAETTHHLYTLCTTILAISLPLLVRQYTLTWLQPHTLAFIC >A06p012780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5827891:5829996:-1 gene:A06p012780.1_BraROA transcript:A06p012780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G15310) UniProtKB/TrEMBL;Acc:Q56XJ0] MVLAELGGRITHAIHQMRNVTIIDEKALNECLNEITRALLQSDVSFALVKEMQTNIKKIVNLQDLAAGHNKRRIIEQAIFGELCKMLDPGKPAFAPKKAKPSVVMFVGLQGAGKTTTCTKYAYYHQRKGYKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVEGVDRFEKENCDLIIVDTSGRHKQEASLFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDQFEVFDVKPFVNRLLGMGDLSGLVDKLQEVVPKDQQAEIMEKISKGNFSMRMMYDQYQSVLSSGPLNQLYSMLPGVSAQMMPEGESEAKIKLYMTVMDSMTNEELDSSNLKAFNESRIMRIARGSGRIVREVMEMLEEYRKMAKRFSNLKRFKMPKNGDMSSNKSAQQLMSKVMSPQMLQRFGGMSGLQSLMRQMGTNI >A04p011320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6791711:6795205:-1 gene:A04p011320.1_BraROA transcript:A04p011320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPKPLIFLHDLSFALFTFHEEGLKASVDGVGLSMYTMWNSMRSTLIVPVFRCLVALYLIISVLVSIESVYMNLVILYVKLFDRKPEKVYKWEEMQKDMELGHQNYPVILIQIPMYNEREVFELSIGAACRLLWPSDRLIVQVLDDSTDPDIMELISTECAKWAKDINIKYERRDNRNGYKAGALKHGMRHSYVKQCNYVVIFDADFQPETDYLQRTIPFLIHNPELALVQARWKFVNANTCLLTRMQEMSLNYHFMAEQQSGSTRHAFFGFNGTAGVWRIAAMEEAGGWKDRTTVEDMDLAVRVGLLGWKFVFINDVE >A06p045640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24465189:24469036:-1 gene:A06p045640.1_BraROA transcript:A06p045640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKEENYLNLCGVKKDNIAKQLDKVHSHSSDILLFSVLWRDLSDYLNSAEEKVEKRFRELKLKEKELQDQSFALEERGKAVEAAEAVAVELEVKSDGFRVEIEAKREELEFVRNQVEVSREEFSAEEARVSQVKKLVEECTVEKMSKESEVNEVLEALSKTQAELGSKGKELAQMETDLERYRADVSAEMERLGRTQTLRRELDEKVERETEDLTLVQKKMVECEKLLQTRSEDLIKTQCELDLKRGQLGQVEADLERHRVEVSAEKKHLETTQTRSRELEEEIKRKRKDLRAVLDKITECGKQLESVEEKLDSQQKMLDTRSSELASKEKELELLSLDIDLREQSVICLNNDMEETCQKTESKAKELEDIQKRIEERSAYLESISSLIEEHNEELSSKEKQQDVLTETLEKAKVHLGDLERCITEHESTKMERITVNDAYRELLQHLDIKEKELKSLESVLTQRNKQVEEGEKKIQHLNDSIEELTRQLKLKQEEVCGINKAVRECSGELEAKRKHRDQVQSSITDLTTQLKSKERDLHSVKKKIKGSLKDLQSKEEEKVRLKASLVEREKGFELKEKELDAREERADKKDQQLKSTEQKLAKSLKEIEQRAKQLSSFCQQGTSDQHVDLVRDANVRDEKTLQLLLRGHLKKCPQLHLEVLHSLKVSADPSRLVLETIRGFYSAQQRMAETNLDPNLVRSSICLLECLMDMSAKPKNEVQGEAFTFTMECKNTTLIKVENPVEVMGFLHFLAAFSVAYTFDADQVKNLLDDAFLCKYAPSLCEALGITASAPGNNVISLEEKPEQAPEAPISNSSDSRSLNVQENIASSPVASEDALRDIVGTPSFSPNEVSTELPMFKDPGRFVLTSVEEALTGARERGELSLADPMVKTLVPLLEELTRVVRSTDLELQVDATKVAHQWSSMMGASGLKSQLEAWAFLQFIVAFGLAKQTSQNETLHFAKHVAHFKHAPKLFRSLGLTSAIPNFVNELLIKAQYIPAVRFMLFFNVKSSFSPLVILKQEVMNLKRSVNDKRRLESQAEANRDAAAKMREIIEITEDFKLKIDLPMDLILKFMVPREIPNQNQHVVASSSSAPVQSTQMLPRFHMQAAHTVIHNSYITTHTSFPFLPTSSGAAPNPEVVDVETHQAGGSTAFHAGSKRLRVDPGGGPRPVIRPCFNPSQGYGRF >A07p011850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8433823:8436585:-1 gene:A07p011850.1_BraROA transcript:A07p011850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLTHQLGALAGTPIKSGEMTAPSAESSLSVSPPSARMPISMNMNYTSNRNVSPPMSPVLGSRRADLSVACKAFAVETVEEQRTYKEGGIGGEKEKGGVPVFVMMPLDSVTMGNTVNRRKAMRASLQALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYNELLEMAKKVGLKVQAVMSFHQCGGNVGDCVTIPLPQWVVEEVDKDPDLAYTDQWGRRNHEYISLGADTLPVLKGRTPVQCYSDFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQDGTWRFPGIGAFQCYDKYSLSSLKAAAEAYGKPEWGGTGPTDAGHYNNWPEDTQFFKKEDGGWNTEYGEFFLTWYSQMLLDHGERILSSAKSIFQDTGVKISVKVAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHNAIFNFTCIEMRDHEQPQDALCAPEKLVNQVALATLAAEVPLAGENALPRYDDYAHEQILKASALSFDQNSEGENREMCAFTYLRMNPELFKADNWGKFVGFVKKMGEGRDSDRCREEVEREAEHFVHVTQPLVQEAAVALTH >A07p046390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25030423:25031740:-1 gene:A07p046390.1_BraROA transcript:A07p046390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVKEYTNLSDPKDKKSGKGGKVDDEDVTFQRMVAKMQDVAGERGGYLHGRGALDSDDLLYLKEQMEAEDDAERLLRRTEKLHKAATQADSSPAAIPLPLRVEPKPKSRIRQQDLLKKVVEVKPKRSKISTPSTPSLSPPIRSDRGSTDAKVNTVLKKQDRPKEQPGADPNDGKGTESKGQGYASSKGLLGLAYDSSDEED >A01p036460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16422542:16424303:-1 gene:A01p036460.1_BraROA transcript:A01p036460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQLLIFALSLYTVSFFFAKSLFFSSGNKKRMAPMAPGAWPLFGHLSFFKSSKPTHVTFGDMVEVLGPVFMMKLGSYNVLIISSQEVAKECFTVHDKVIDRIDLTASKILGYDGSFLTFSCCGPYWKEMRKIATWELFSTTTIDKFKDSREREVDMTFRDLYMRWEQEGGAKTGVLVDMKREFQDLLANISLMMIVGKRYFGASPNCEAGEARRCGKLIQEFFNYFGLYLLSDVMPSLGWSEWKVKRDMNRTAKELDQVVEAWVEEHKKRRDDIPSCEKNYLDLLIEIFENREIPGTIHDAHTTTKAICLETLRLYPPLPLTAYRYVMEDFDITHGNFHVPAGTQVLVNEWKVQRDPNFWFEPELFKPERFLTSEKVDNVKGMGMLFPFGLGRRSCPAIPLGMRMVHYVLARFLHTFDLAAPFSQDVDMTESNGFVNLKATPLLVLINPRLPKSLYHVDCKV >A03p025410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10693107:10694849:-1 gene:A03p025410.1_BraROA transcript:A03p025410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWLTASSSVFLTRPVNPRLAFASRKNQTLGRSRVSFQGSVKRRLPVVISMTATEESGEKAVKSVLPGHGISIMVNGCSGKMGKAVIKAADSAGVNIVPTSFGSAAEAGQTVEVCGKEITVHGPAEREKVLSSVFEKHPELIVVDYTIPSAVNDNAELYSKVGVPFVMGTTGGDRNKLYETVEEARIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLEVMESHQASKLDASGTAKAVISCFQDLGVSYDMDQIQLIRDPKQQIEMVGVPEEHVSGHAFHLYHLTSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIRLKAEQRIYNMIDVLREGNMR >A08p030600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18745913:18747223:1 gene:A08p030600.1_BraROA transcript:A08p030600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLLVWVNLKQIPDCCYSKLGISHIASGLGKPILTHKPRLDLTNIATIQDPIYTAHDSTLNPTASSEVCIDNLKTTTLFFSSPSPLEKPAAPLTSASSPDTLVDSQSTPTATPIMDCYQSNITNNEVIESLVVDLITPTPIHCAFESPSRFSVLGNVDEAEIEAPNSISLTRGGRESKTPIKYQSMEWKTVRGRGKRGRGGRVATLCLLHCWTHITRDVKQVYPSRSVPYRSGLAVERVTAGLFRADCGLQNIGPNPYHRIYRSSRAVPRDTSLSNRLLQFLS >A03p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19170675:19172770:1 gene:A03p045630.1_BraROA transcript:A03p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRGGAKVASSLLKSARPRLFSTATPSTIRSMSHEPSHLLKPAAADLASVNTWIRSGTGGVRFASTASLGEKTPSQDENPKKTEDESSTGGNKGIASYWGVEPNKITKEDGTEWKWNCFRPWETYKADLTIDLSKHHVPTTFLDRLAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHFKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPKWYERALVITVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIDNVPAPAIAIDYWRLPADATLRDVVMVVRADEAHHRDVNHFASDIHYQGRELKEAPAPVGYH >A10p028000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:17485622:17488774:-1 gene:A10p028000.1_BraROA transcript:A10p028000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRKLVVEIVDAKDLTPKDGQGTSSPYVVVDYYGQRRRTRTIVRDLNPVWNESLEFSLAKKPSHPVFNDVLEIDMFHDKNFGPTRRNNFLGRIRLGSDQFVAKGEEALIYFPLEKKSLFTLVQGEIGIRIYYADEAAPPASKPAVAPLEPVVEEKEEEVKPEGPDESKPPLETSAVPAEVKETAEPPPPPENSPAEAPKPNEEASPALQENATAGGEAPPAPEPNPPPEPVADPKLPAEEPPQNQPETEDILLETSDAESWVSAPRSPLPGVTISRSVSGSIPKTKTGGPQPLPRSLSETASYTSEISDVSTVERSTFDLVEKMHYVFVRVVKARSLPTSGSPITKISLSGTTIESKPARKTSTFEWDQTFAFLRDSPDTSSSPILEISVWEGSDGTHFLGGICFDVSEIPLRDPPDSPLAPQWYRLEGGGAHHGDLMLATWTGTQADESFPDAWKTDTAGNVTARAKVYMSPKLWYLRATVMDAQDLLPPQLTVFKEASFQLKAQLGFQVQKTKPAVTRNGAPSWNEDLLFVAAEPFADQLVFTLEYRTSKGPVTVGMARVPLTAIERRVDERLVASRWFGFDDPNDEKRGNRSRVHLRLCFDGGYHVMDEAAHLCSDYRPTARQLWKPAVGIVELGVIGCKNLLPMKTVNGKGSTDAYTVAKYGSKWVRTRTVSDSLDPKWNEQYTWKVYDPCTVLTIGVFDSWGVYEIDGGKEATRQDLRIGKVRIRISTLETGKAYRNTYPLLMLVNGGVKKLGEIELAVRFVRSAPPLDFLHVYTQPLLPLMHHIKPLTLFQEDMLRNTAVKILAVHLSRSEPPLRPEIVRYMLDADSHTFSMRKVRANWLRIVNVVAGMIDVVRWVDDTRFWRNPTATLLVHALVVMLIWFPDLIVPTIAFYLFVIGAWNYRFRSRAALPHFDPRLSLADAADREELDEEFDVVPSNRPPEMVRARYDKLRAVGARVQTILGEVAAQGEKMQALVTWRDPRATGIFVGMCLVVALVLYLVPTKMVAMASGFYYFRHPIFRDRKPSPMINFFRRLPSLSDRLM >A08p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000203.1:1403:2904:1 gene:A08p011750.1_BraROA transcript:A08p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTCLIPLASFTRCCLLYSLSPASLAVRKPYPDCSSLIHTALGRGLLSNVSQGFGGVKEKMEVWCKDRAFGTAMVRPRVIQEGVRVNHRRKLDEGIGRVYGPQGRTASVHPIRSSHHSDQS >A01p052540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29489624:29492829:1 gene:A01p052540.1_BraROA transcript:A01p052540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSFRVYLSAETQKASPESFKRTQKTRKPFTPGQRGQVTPVRKPQNLNLEVSPHRAVSAVRLMRIEFGGAFADLLNEKGKGSGSNEMSYVERTIGFRTRDLDDRDLRLVTDVVGGTIRWRRYLDHLIGSLCHNERTFRNMEPLLLQILRIGFYEIIKLDMPAYAVVDENVRLAKIALRPGAGDLVNGILRKLVSLKEKDALPLPKVEGDDRAQARALATLHSHPVWMVRRWVKYLGLDEATKLMTWNNTDPGFSLRANMGRGITRSDLVERLNSLKVPHELSLHLEEFVRIKTGLQMVVQAGLLKEGICSVQDESAGLVVSVVKPQPGDRILDACAAPGGKTLFMASCLKRQGMIYAMDVNEGRLRILGETAKSHQVNGLITTIHSDLRVFAETKEVQYDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEELTKLQDELLDSASKLVKPGGFLIYSTCSIDPEENQGRVEAFLLRHPEFSVDPVDRLVPSSFVTPQGFFLSNPVKHFLDGAFAARLVRAL >A03p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13596538:13597598:-1 gene:A03p032130.1_BraROA transcript:A03p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRIYYQQFEEQQTQSLIDQRIKEHLGQAAAYNQVGGAFNQHMLARPRLPMMPMPMGMRPPVLPRPMMPGQGYMPPPGVPQMMAPPGAPLPPPPQNGMLRPPGMAPLPGQGGGPPPNYNGLPLPPPPPYQTNPAAGGFNNPNLGAESPESNE >A05g507640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21768927:21770992:-1 gene:A05g507640.1_BraROA transcript:A05g507640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLIADHRPFTKDLWHGGDTSAPLITTATNDEDQPKSKKIKPEAPPKNAKPVTKKVLKPRKTSKKKDTVRKQRRISSYFHAASSSRISNDKILELLTAISDQVSKLQKESKLLRKLLKRKKTTTSFKRSAFNTLLGEGLVKKGKTAFAHKGCQTEPTNDNVPVSSHDVPLTVRLTYIIFWFSSTCHSRKLLWRKITFSRPSSANTLHSVTAQFLTASQQPPPPSTPPPSTSPIHTSPIHTSPIHTSPNPHFPHPHLTHPHLPHTSPSTSPIHISPIHISPIHTSPIHISPICTSPNSILNTPPFATNPTTPPSLRPLSPIYDASAHPNNSPIAISPEPPSLSQPRYDTSCNQSSQKRNFPLSPLHLTPETSPKKGSDSLPGFVGHASTINAFSATATSKPSSAAKTKHSSAQQAQPTEDSDVVSLSDSDGSPAPKRPKHIPSIEENHLAKQLERCKTVPAPDLISPLSQIEWDLFEKIISKSSAAFHITPSKLDFSNNFLLQLAQPMKWTTTYHMEILMHMLAARQYPRHSTSQERGIPSNGMSS >A02p017960.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:8202611:8202925:1 gene:A02p017960.1_BraROA transcript:A02p017960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLVKFLVIVMTISMALCPALVQCRQIKCDWLSGNCIKGGTEDITKMISYIGVSHRILQGTRYINYDALKHNVPAKQHGQKDRPDNSYRRGCTLATGCYRLTN >A07p033420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18271952:18273812:1 gene:A07p033420.1_BraROA transcript:A07p033420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKASFLLPLNLSLAPFLLVLLYHLLSCVAVHGVQEKKILTLHNNIWSPMKSNEAPSSCFSHNLGKGRESSTTLEMKHREICSGKTIDWGKRLRRALTLDNLRVQSLQLKIKAMSSSTTESISEAQTPLTSGMKLQTLNYIVTVDLGGRNMTLIVDTGSDLTWVQCQPCKSCYNQQGPLYDPSVSSSYKTVFCNSSTCQDLVEATGNSGLCGGNNGVDKTTCDYVVSYGDGSYTRGDLGSESIQLGDTNVESFVFGCGRSNKGLFGGASGLMGLGRSSVSLVSQTMKSFNGVFSYCLPSLEDGASGSLSFGDDYKNSTSVSYTPLVQNPQLSSFYVLNLTGASIGGVELESSSFGRGILIDSGTVITRLPPSIYKAVKREFLKQFSGFPSAPSYSILDTCFNLTSYEDVSIPTIKMIFQGNAELEVDVTGVFYFVKPDASLVCLALASLSYENDVGIIGNYQQKNQRVIYDTKQEKLGIAGENCSF >A09p052910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46084758:46093270:-1 gene:A09p052910.1_BraROA transcript:A09p052910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILQKLIGGMKTGVKPTREHRSSLLQVTDIVPIDLDEKNLLPKQGFFIKVTDSSHSIYVSLPPDQDDVVLSNKLQLGQFIYVDRLEPGTPVPIVQGARPIPGRHPLLGTPEPLMSCARGGGKTENERGRGSWDLNGDVLVLKPASLDFDQCTPAKQRVVMTRGRSPGGVRCSYGGGVLRGESPGSVMRKSCVVVPSSSSKFPRSRSVCDRETMKSFVSSALFSPFKSSAKKSNSPPPSVRTRRATAAAALVENERDVPKSTSKLASPKYSKMEKQEKFLTLTGRLSTLSKEAMQQRETAQKIALQALREATVTETVVRHLKTLANLSKSAKADCPAACFEKFLEFYKQISETITEIASIEAANENKSEDGSSSILNEIQHNSIDHEKTASKKRTTALKQQQNHKKLRSNDENKNPSAAPPSGLVNSAKLAKEIENEAANWFMEFIEKALEKGMKKCKGTGDADVKKVPQPLILQVVNWVEAEQSADNTRRPKLIGGMKTGVKPTREHRSSLLQVTDIVPIDLDEKNLLPKQGFFIKVTDSSHSIYVSLPPDQDDVVLSNKLQLGQFIYVDRLEPGTPVPIVQGARPIPGRHPLLGTPEPLMSCARGGGKTENERGRGSWDLNGDVLVLKPASLDFDQCTPAKQRVVMTRGRSPGGVRCSYGGGVLRGESPGSVMRKSCVVVPSSSSKFPRSRSVCDRETMKSFVSSALFSPFKSSAKKSNSPPPSVRTRRATAAAALVENERDVPKSTSKLASPKYSKMEKQEKFLTLTGRLSTLSKEAMQQRETAQKIALQALREATVTETVVRHLKTLANLSKSAKADCPAACFEKFLEFYKQISETITEIASIEAANENKSEDGSSSILNEIQHNSIDHEKTASKKRTTALKQQQNHKKLRSNDENKNPSAAPPSGLVNSAKLAKEIENEAANWFMEFIEKALEKGMKKCKGTGDADVKKVPQPLILQVVNWVEAEQSADNTRRPVHPKASQITRKLRIKMKNP >A03g509310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30335912:30337134:-1 gene:A03g509310.1_BraROA transcript:A03g509310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVEASPSSPMLPTDGVAVSRCDKGAYCPRTIAQLKPMSNLKKKRRSKEEETSCPLWSLPYDVTLLCVARVRRCDHPALSLLSKSFRSLVASPELHKIRSKMGITDTEVYVCLRTPPDPNPGWYILRRSSNLIPVASFPSQHLESSAVALGSAIYVIGGMLKGIPTSDVLRLDCRTHTWRRVPSMGVARACAAAAVVDGKIYVVGGFDDSLSWGEVFDPKTQAWESLPWPRARHGYLHDCVVRDGKIYAVTGLERTQYYSPREGIWGEGSRGVLMGGSRRDWCMIGNLMGEYFGVSKRSWIGANQRVVKGLEALTANLSRSTLVHFGLDIVNWYHQFKHHFSQDQDLAALLPGARLCTSAGNLLLFWDEMMMMMILLLVLVAVRFCILFPSLFDLSLP >A10p018410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12905895:12910771:-1 gene:A10p018410.1_BraROA transcript:A10p018410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRAPEKTIPVFTVLKNGAILKNIFVVNNSSPDFSSPEAEEILLVGRHPDCDILLTHPSISRFHLQIRSLPSRQKLFVTDLSSVHGTWVADQKVEPDACVEVKEGDVIRIGGSTRIYRLHWIPLSRAYDSDNPFVPPSIPMEQDEELEAENLVVAHQSGDDGDGLLDVTSEGSGSSVVPSEDEDTTREVLLPVAPPRDSVNTEKLQSNEDLQTSSKLELDAEEAAAEMPISSSYPEALACYEPKVPAEANEWGVRGDGGLLLDVMSEMIESSVPNGEEDPCLAAKETSSLPLPRDSTETEDLQLTDVIEANTENSSSCSSLRKAQIDGVFEDSGCASFELAAEAETLSLCQEVCGENQMVALQLLSLPRCVILLLLQSLADSGDDGDGHLYVTSEGSGSSVPSEDDEDTTREVLLPAAPPSVLSSLPRDSVNTEKLQSSEDLQTSSKGELDVEEAAAEMPITSCGSSKPQSDSYPEALACSELEVPAEADEWDVRGDGGLLLDVMPDMIESSVPNGEDDPYLAAKETSPLPLPRDSTETEELQLTDVVQASAELTVDIIEANTEYPSSCSSQFLRKAQIDGVFEDSGCASFELAAEVETLSLCQEVCGENECDTKQVMEVYAEPLTEAENQIHVDNGEIEVSPSSSSQSDRLIEILTEDGISDDALSQMNSSRSGKSAFVPLLESPGCSAFELSAEVEIMSPRQEVSEEIGFVTEKIEEASTEPLTKADVQSHQENGVTEVFRPVIEVSSCPQIEPKLVGDARGLLDSEVAIEADSQNLQKKSNRETRISSSEVSIVSDCLFAGKTEDIQSLWSSRQLLPESELGDPSEILSEVNLAGNQNKISAMSRETEQIFDDGRSSSHPEEQKQSGAQRFLLTPNQESKTEISFGSGKSEESYSLSEIEGEGYADKEATKPTQKLPSDYTGSQENQSPQTLAVRYDVLSEMDSSRSSSSTNNIWSRRGKAASVLQVRTNKSEGKQKQIGKPKAQLQRKLEPEIFTPDKENLTPNSHMLKRLREVGEIKDTKGSSSKAIRKPFFDTHVEENLMVEQKQDAHCMSSNSKVAHEPMAQKKKAERAPFQHLLDKSSSQSQSYTEASSTAAARTNISWGLRSSSNLSDGKNKMKWTIVLDTSSLLNKESRKPLHLLQGLKGTHIVVPRTVLRELNEMKRGRCLLFSRTAEMASSALDWIEECKVSTKWWIQLQSPSEETKATAPTPPVTSQSNGYSFPFSLDWNSYAPEIDSPTSEDQVLECALLHRNRNRDVQLVLLSNDVTLKIKAMAEGVMCETAHEFYESLKNPYSERFMWPESLPRGRTWSHEDDTVLRERYDNRKLTFNGGRRGEIGATAAKGLKLILLYNSQYGHIR >SC179g500230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:497420:498023:1 gene:SC179g500230.1_BraROA transcript:SC179g500230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADTRGHDQNIKEKPCTCTRSRKYKENKDKAPLETQQRLEFMIPFLGAFHDHITFAKASTRIINRTKSVACLGKRLFRAALASKEEGSPSMRLQ >A04p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:662688:664022:-1 gene:A04p001360.1_BraROA transcript:A04p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRVGANKFPERQPIGTSAQSDKDYNEPPPAPLFEPGELSSWSFWRAGIAEFIATFLFLYITVLTVMGVKRSPNMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALYYIVMQCLGAICGAGVVKGFQPKQYQALGGGANTVAPGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHSWDDHWVFWVGPFIGAALAALYHVIVIRAIPFKSRS >A04p025760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15602682:15604050:-1 gene:A04p025760.1_BraROA transcript:A04p025760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTMEDFIEEEEFSVSVDPRRSRPGKKKKKRKPESQVVSILNHLFVKYPCLNKSVVDTGRECSDSDTSCSLSFAKVGNFTSRSPPSIKSGHLLKSALAGGISCAFSAFLMHPVDTVKTQVQASTTLSFIEIMSKIPEIGARGLYKGSIPAVVGQFASHGLRTSIYEASRLALPLVAPGLLDIQVQPIASFLGTVLGTTLRIPCEVLKQRLQANQFDNIVEATLSTWRQDGLKGLFRGTGVTLLREVPFYVAGMGLYSQSKKLVERRLGRVLEPWEAIAVGALSGGFTAVMTTPFDVIKTRMMTAPQGVDLSMWMAAYSIATHEGFLAFYKGAVPRFFWTAPLGALNLAGYELLQKAFVTVPLNRSVHSD >A08p014940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9771072:9772220:1 gene:A08p014940.1_BraROA transcript:A08p014940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEDVNEALAAAEVTAIESTEKQQPPHKLERKWSLWFDNQSKPKQGAAWGVSLRKACTFDTVEDFWGLHETIFVPSRLTPNADIHMFKAGVEPKWEDPECANGGKWTYVVTNNRKQALDKAWLETLMALVGEQFDEADEICGVVASVRQKQDKLSLWTRTKSNEAVLMGIGKKWKEILDVTDKISFTNHDDARRSRFSV >A05p047760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28067130:28068158:1 gene:A05p047760.1_BraROA transcript:A05p047760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLETTAPATLEPRGTSENDMIRSGGDKLVLKGLKFYGYHGAIPEENTLGQTFLVDIDAWVSLKKAGLSDNLDDTISYVDIFSIAKETVEGPPLNLLEAVAERIASRTLEKFPQVTAVQVKLCKPNVALIKNTIDYLGVEIFRQQKHF >A05g505700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16000265:16002360:1 gene:A05g505700.1_BraROA transcript:A05g505700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVSANHLNNFSDRFSEGSVYRMSGFDVKRNNNIWSTIKDRSSEAQRVMLTIRLERDVTVCVSGLFLNLTSGIHIYCDSETVGKEKFDNCQRNKVVKLSSSNEKNIWVIFLSDLKDNVLSFSLNRVGGTGMDMRSTAKHAYFQPENYLSSTGTPVVNVTKATISAMNALSKFPRTNRMLSRNAKLLKRNIRLVVFPKKRNFPFIHKPSSLQAAGNQPFNNNKTVAGKHAEEHTSMRHHNSAAQGGVSNDCTRYVLLVLT >A02p012910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5657215:5658961:1 gene:A02p012910.1_BraROA transcript:A02p012910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECATSSQPLRTQLKQCMETRPGGVEPELVKYMSKLPVFLERADTTTQENLLSVGVLPWSRLENWHHSHNNRMVMMMPVKNRFPSSLVPPPRIVHQRRNRSSRQTKKPKDHRGGLSVPDEQQLVLNTNAQDGVEKKVLKGKICPRTGTLSKGLMSQEVGVHKEVISMANISRNKKSEKKQEETKLYHSTKKLSLKEAKTSKKSSTKKVQVVVEVDYSTQHSCPLPCKLADEKETSHLRRFIEPLLKPRATHSSGVQRKKLAITGCKTVNVNDSAHEKKVRAVLHATVKNNQPLFTFTVNKETNILAATQKKMGSSDDEGECISVYTFFSIKDRNSSWLNQRGRGQTHGVIISNVVAQMRVSSSSSLPSSGSIREFVLFSVELDQESVGKSDHLQLKNELAAIIVKRRASLNHNAVNKDISATVILQSGVHSMPHKGGGPSSLIQRWRTGGSCDCGGWDMGCNLRILTTSNSFELFFLGEQAAENPFLCYKPIKEGIYSVVYDSSLSQLQAFSICMALAESRKMSDFTLGHKTSRDEHKARVESVLVPDQMFKD >A05p010140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4246213:4246527:-1 gene:A05p010140.1_BraROA transcript:A05p010140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSEPFFEKYLQSMKLELPSVTFMSDCTTLIRAISTPNQLKKNYGVFQDIKSLSTSFDSIVFNHISRSQKSDADFLAKQVLKSISNVKLHFFLQNGIKVKME >A01g502510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8692103:8696180:-1 gene:A01g502510.1_BraROA transcript:A01g502510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEHKRFMLMIGISVVKSLSISALSRSDRTYLGGTVLMSDIVLIKLVLVNDLDRTIANGLRKKTIANGSTMKTTYTQTIGDSPELCWSEPKYFSDDLKRAMLIQFGNLGDPERINICLDLKRWLYEEESQATSGETSNSVAAMESLDMKAEIISNSVFLATEVIDTKSSTQTIEVVNDGVIQRMGEDDEELQKPRAFVSLETELLKRQMIPEEEELDSHEPTTSTLPSDCVPAPALVENSFVEDLSSVVWVSSEDSVNQFQSYSGLVVDTLSLGSDSGRLYAHQDEAIYTLTSSEIEDLVQTSTCVKEKLHVQKLFVPVLRRVQGKKKKQKYQKRWKFKFKKRSWERVFFQELFDEFSVGFGCGSLWERVELLTRPIYVTMRRFAHQLFNKMFLDLRREKIQNVQRKLQKSWRFKFRRRPGLHLQRTLADNPFLWHGSEAVETLSQFGFGIVQLCLMSNRVTWRRHETDSFEPVSACRQWSGLSSEFWDTDLWSRHSGTMTEAYGAVRTAVVMSENHMLLLLSNLWRQSCVKGCLATQGSSNDWLFCPLTADTSSWCIRATIGSISQFMPLDKDGADTDLWLQLDKDMFREKFHLKHRWKSKVLHQKLMIYWKKIKERDGFANAETTRCKLDAVWTDGVGMLLQEREVVYKLDLKATHVRMVEQVSSEQMLQSQVQKQQRKRKFMKCWMFKYRETNIQLVFLELLEVDSQAVAVMSSKRHLHQLTMACYRCDYHKLIFLSEPSQEEHNDQLESADCGVTNPQSYELKQCSYSAAVIMVLQNNNTPYGTAKILVLALESVTRTGELSTQASDELYDISLHRGRRCIHRMKQITMQHRRHDAEEKSTCHISLRAS >A09p060200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49994502:49995401:1 gene:A09p060200.1_BraROA transcript:A09p060200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLPDFVYYSPHTLSPSPISSYLRSGTSSCFGFRSRSEQHQLRANMAGKGGKGLIATKTMAGNKDKDKDKKKPITRSARAGIQFPVGRIHRQLKTRVSAHGRVGATAAVYTASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKAIKE >A09p009380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4849965:4850767:-1 gene:A09p009380.1_BraROA transcript:A09p009380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVEDLKEQGNVTSEAEKSMPSSHQEEAAVKNKYGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTQQQTRYRKSPCAPSDGGEDGGASQAEGVSGNEE >A02g511870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31962610:31964525:1 gene:A02g511870.1_BraROA transcript:A02g511870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYSYSQPSSSSASIDITSLLEAEAQFYADEAQSSFGNGEPFQNQPQPEGDDGIPTICYCGSEPVVATAYTEKDPGRRYFTCNNVVDGATHIWKWWDDAVMEEMRDFQTEIRRLKEAVAKREQKLLLLEKTLYDAGKETTRVKLMVCLLVVIGLVILVLHGVAAKASMGSVLSHVQWRKNQMPVDLESPEPFWLGSQAPDDSPSEIPPECPSEISPECPKENVVGEDEDRSVGVKAAKGASKKKKSGRDEELSKLQGVLELKEKLSRNKLLDRLLAKKEPLSEIETTLKMKLMSEML >A08p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4300461:4301556:-1 gene:A08p007280.1_BraROA transcript:A08p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMGFRKIVPDRWEFANEFFKRGEKHLLCEIHRRKTSQMIPNQHSPFITHHPPPQIPFSGGASFFPLPPRVDAAAASMDERYWCESPPPRPRAIPTTVDAQVTALTEDNERLRRSNTVLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYLPSFLQKQQQPPPPLDYYNNATVPNHSPPTSQSSISVLENETNYKENNTRKTKLFGVSLPSTKKRSHHFSHQSSKTRLVLDNSDLGLNLMTASTR >A03p070170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30844765:30846796:-1 gene:A03p070170.1_BraROA transcript:A03p070170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVSQTLSQVLDPTTAILIIVSLFIFIGVITRRRRSYPPGPRGWPIIGNMLMMDQLTHRGLANLAKKYGGLCHLRMGFLHMYAVSSPDVARQVLQVQDSIFSNRPATIAISYLTYDRADMAFAHYGPFWRQMRKVCVMKVFSRKRAESWASVRDEVDKMIRSVSSNVGKSINVGEQIFALTRNITYRAAFGSACEKGQDEFIRILQEFSKLFGAFNVADFIPYFGWIDPQGISKRLVKARNDLDGFIDDIIDEHMKKKENQNTVDDGDVGDTDMVDDLLAFYSEEAKLVSETTDLQNSIKLTRDNIKAIIMDVMFGGTETDTEIDGYFVPKKSRVMINAFAIGRDPKSWPDAETFRPSRFLEPGVADFKGSNFEFIPFGSGRRSCPGMQLGLYALELAVAHILHCFTWKLPDGMKASELDMNDVFGLTAPKATRLFAVPSTRLICAV >A10p016670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2869803:2870582:1 gene:A10p016670.1_BraROA transcript:A10p016670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLDEGKKRYKGSSPWIFLLCLADHDFNVKIFLMLQLPLASLPFPNPQSYFVFALKINWQGCLSVDSSPVSRLEMDDMSGRILFPLSSVGVGGRVKLKGKLGSVISEYDSFWEPSIDMQIPEPIAYSIEMLFKVEPSSLIREEDMRELKENYLLPTLHM >A05p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26666016:26667624:-1 gene:A05p044410.1_BraROA transcript:A05p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSPTSACSSSRCYSSGLSLPIGFRSSPINVGLVSHPRRHTNSPRKLVIASSSSSDPLLVKAAKGQAVTRPPAWMMRQAGRYMSVYKKLALKHPSFRERSENTDLIVEISLQPWQAFKPDGVIIFSDILTPLPAFGVPFDIEEVKGPVIQSPIRTEDDLKKLHPIDLEKLQFVGDSLKILRREVGEHAAVLGFVGAPWTIATYIVEGGTTRTYTVIKSMCHTAPNVLRALLSHLTKAIAEYVVYQVEHGAHCIQIFDSWGGQLTPEMWERWSKPYIEEIIDAVKRRCPDTPIVFYINGNGGLLERMKGTGADVIGLDWTVDMADGRRRLGSDVSVQGNVDPAFLFSPLPALTEEIQRVVKSAGPKGHILNLGHGVLVGTPEEAVAHFFETARSLDYKTFFQNHVPAESELVA >A01p025760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19934372:19935425:1 gene:A01p025760.1_BraROA transcript:A01p025760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKMSASRFVKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGSKLDLRDDKQFFIDHPGAVPISTAQGEELKKLIEAPFYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >A03p001670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:844674:847311:1 gene:A03p001670.1_BraROA transcript:A03p001670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-rich RNA-binding protein RZ1C [Source:Projected from Arabidopsis thaliana (AT5G04280) UniProtKB/Swiss-Prot;Acc:Q8RWN5] MATKEGSRIFVGGLSPEVTERDLQRTFGRFGEILDCQIMMERDTGRSRGFGFITFADRRAMDESIREMHGRDFGDRVISVNRAEPKMGGRDDGESHGSRGGGRDGGYSLAGKGSFGGGGRVGEDECFKCGRVGHWARDCPSAAGGRGGPVGGFSSRSAYGGSDGRVDRYADRERYVDRERYIDDRYDGGAPRFGARDRFDSREAYIPRDRYASDRYAAPVDRFAGGDRYSRGSDRYPPASYEKPRSFERDVVPSAGSDRYGGGRAGGPIRGGDEGRGFRSRASGPYDRPSRSSGGGGYPSSGTLDRY >A03p005350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2242669:2244273:-1 gene:A03p005350.1_BraROA transcript:A03p005350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDYYMESGEEDLYSDGADDYNDGADHDDDKDDTGFLGDDDDDSSMISSPRSHMNYVVLKEEDIRRQQESDIAQVSMILSLSKVEASVLLLHYRWNVGKVNDEWFADERRVRTSAGLEGGSVIVPYSEGGKVACGICFDYFCPIDIVPIMCGHAFCSTCWTSYISIAINDGPGCLMQKCPEPSCPVAVGRDMVEKLASFEAKDKYDRYFLRSYVEENKKTKWCPAPGCEHAIEFSATGTGSSYDVSCLCSHSFCWNCTEDAHRPVDCDRVSQWIRKNSVEAENTKWILDNSKPCPKCKRPIEKNRGCMHMSCTPPCRHQFCWLCLGAWSEHGEGTGGPYTCNQYSKAKGQGLHDEAEMKREMAKHSLEKYTHYYERWAGNQMSRQKAIGDLEKFLSVDVMKLSDKQCIPETEFWFITDAWLQIIECRRVLKWTYAYGYYLLESEQEKRQFFEYMQGEAESGLERLHKCVELESEVFQYPEDTPKQDFKGFRTKLTTLTSVTKTHFETLVKALENDLSDVGFASGVEVTTLAL >SC127g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000020.1:141606:149416:1 gene:SC127g500070.1_BraROA transcript:SC127g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALHELQSCSLTSGRSGGVLHVSWTCSQPCGARGAAVHASGAMRSDTRAATNLKLIGWCLLYKDHDPFSFHSSIPFKSKLKKWVRERERSRKRNFSTDFKSAPREGSGQLKINQLKINSDGKQVNVVREREKESFHGFRSVEVLLDTPPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPSQDQSSPVQSRRPLGFGQVLYDQPAASRLEHYSFSAGGWTIWVTVALGKDDRIAWCWTLGPPLSSEDRYSTEKASSIQSAILYDCDAEALSNSIRPSQSYSPTIKWRCCPRLVQFHGFRSVEVLLDTPPKCPKNCPAAKGDSVQISLSRRVSFFMMKPRLCPRQDQSSPVQSRRPLGFGQVLYDQPAASRLEHCELVPVIFKDSFSAGGWTIWVTVLTLSPKSGLGTGLGLMFPLLEARSWQEAKSNLVTVALGKDDRIAWCWTLGPPVRVAKPYALTQRTSRGVKVCDSDRIIPNPSRSASGPWCWVGRSVMFLFDCWLAGWLIHL >A03p007030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2930991:2932819:1 gene:A03p007030.1_BraROA transcript:A03p007030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLRKSVEQGTFVSRSGLLGMVWSKITTLGFQVSDIKAYEEGIYTIVVFAAPSCRLDSAASTLISGSKDQNPFQFLCSEKNPSFSLHTPAYQLFDSVRKDLIHFKSELIELLKSKKQVIITGAALGGSVASLFTLWLTEKVEPKFKRPLCITFGSAFIGDDKLQQILEDSWRNSCFLHVADAAQTPVNKYFKPFGTFLIWVGSQCVCIDDPMTVTELLGGPNADVVDYGEVPGRLAQPVVVDSTLTIDDGVFSRMAERAENKKRRFDRLQKLIDMKINMIYFEWDKKKSKKLKMGFYDWYRTMESCPSQDKVDAQKRKTELNEYWKELVEEVKKMPQSEKALFKTRSLLAANNYRRMVEPLDIAEYYLSGKTDYQTTGRSPHYAVLEKLFKAENINPDRPKNSDLSDLLTFDSCFWAKVEEAMILTKTQVVSRELMTFEEDVWEMIRKREVSPEIFLEGSSFMKWWREYKEIRAVHSPPSYFTEFMINGEYKTYGQAC >A02p040880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25793351:25799279:1 gene:A02p040880.1_BraROA transcript:A02p040880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKVVKEIAYTRDHTNSPALHRSLVPFLTFASSLYGVALHIRRSLYRSSFFHQHRLPVPVISVGNLSWGGNGKTPMVEYITQLLLSSGISPLILTRGYAGGDEAKMLERHLQGGPTKIGVGPNRAATAASCFEKYGCANPSSIRTFFDRSLLHETAKVETFSQEIGAVVLDDGMQHWSLCRDLEIVMLNGLNPWGNGNLVPLGPLREPLPALDRADIAVVHHVDLIPEQSLRDIEKTIHGFKNSIPIFYSKMVPKYLFDVKNDRSHVALEALHDATVLCVSAIGSADAFVENIEMVGARFVDRLDFSDHYLFEAQDVETMRRRAKGLENRSDSKPIIVVTEKDYNRDPEILKSLDSYTVLVLCSKLEITPCRDTNVDDFKSTLCKTLAVKFRIFKKVFPMCFNFSKRFRFGNVSKTGNGLNAVFMQPRASEAEMIQKIATDVSRELNVTPSRDFDGMVGMDAHLRKMSILTRLECDEVIMTGIWGPAGIGKTTIARALFNRLSVSFQFKCFMGNLKGSYRSIMGSLFLHIACIFNDCYVDNVARMLADSNLDVENGLKTLASKSLVHISTDGWITMHCLLQKLGRQIVLKQSSEPGKRQFLVEVQDIRDVLENETGTGSVIGISFDMYKLSELSISGRAFEGMRNLKYLRFNEANVSLLDDMEYLPRLRLLDWDSYPGRRLPPRFRPEYLIELRMQNSELEELWEGIQHLPNLKNIDLSDSYKLKEIPNLSKATGLETLRLTCCESLVEIPSSISNLHKLKSLEALGCINLQVVPTDMDLASLENVDMSGCSRLRTLPNISKNVKTLQVLCSKIVDFPASVTGYWSTLECLDIGSSSLKRLTHVPLGLTSLNVSTSDIKTIPDCVRALPHLDFLIVANCSKLVSISGLSPSLRYLVADDCVSLTRVCLSIKGLTLYNCFNLDGETRKGVIQHDVDWYACLPGKEVPEVFTHKATGNTVTIPLTAPGGVQRASKGDTEIDSIRRWQWRPNWPPQNLSEHLFILCDDLLDEQDGCLGEMDVTMEEMMFEFSCCDDLFDEHDGCLGEVDVTMEEMLFEFSCRGNGDKILGCGVQILGEERERSSECNIRDGGGDNEQGALEVSQVENIKNTKRVGHLMGSSKKRLLLRRPKLSKKKPKQRSL >A08g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9183711:9191952:-1 gene:A08g505450.1_BraROA transcript:A08g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNISCMQQLGIYRYYNLHHLNSGPASNIISNQVTFIIYSLEKDLLFSDDPAHLERTIRRGQRSTLLDATTSSSIDTHNQPSTDTRPSSSIDPSRSTTIDTTPRTSIDNVSSKMVNIIILTQDENGNLYDQAGHLRNATGHKIDAQGTTQENKIEEMLDRVLLGQQQITGDFNGKIDSAYNNLNTKIETLGTQVRKLETQVIQTGETINRQEAFATEAGADKGKHHVNAIIDDDFWQVVRNEKLEEGDFEIEISMSLGGSQWCRPMSMNLHRSTDHDEIDERITPVIDRHPKHPRPPSSFYDKIDRSVELTIDRQSESDAPLTYRVQLPSIDNDYINALRPPPKPLAAPPESKPNPLNSSPEPVQENQETVGKRGKLTIRRWIGSLKESSKSQSKKLLMKLTSHTELDFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNDSTVTDDRNNTSLGVKQPVDHFTPPNHYYPHFAFQPPSKRGHDNYFIGSWADSGFHENFAVDTVITSSNEEHTEEYDEDYWKEHAIEMSLQDERFETHKFTNTFPTSIAEVHSTLVDTHPRPAKQPLTSIDTHTGRSMDIRTAAKIQEQENIPSPTRFIDTYINRFAPPKPPQHTRADTQANKMNTLPSTSTGKSMKSNHLKNTSSAEITMPSIDASASTSINTTLNPNISISKLNDNANIDYGFLTPDEFGIFKDPDGNARTIDGRILQVSRKDIADILQVANGPDNLFSQQHLSRQPKGQSSIDGTTETSLDRVTPTSIDKDDPTSIDRRYKIGNRAFDMYRARKFTWERRDEYGVYKDECGHARGVAGEMIPVTKNDIRKLLERASLFEESHICLPEYATSFTLTRLAPELYTKDEINEMVFGICGAQERLGEELKTLQLEKEATTSTSIDAPRAPSIDVSLPTAQIPAKPQCSAQHKDEWEVSYINTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQLATSIDVCTFSSLDAKVSAMNERLSTYEDMHDRFISLANSASIDRLRGPWIDGNNPVELLSYTAAEVDKITSKIYTAIDNMEERLDKRCDDIYFPFDNKISGLDSQAEWLQKEVKAIQRQLAAQHQISASIDRTRAKSLDGKSPRSTDAHIIALIDTESTPAGEQLIHKTIKSMHKELTELSAYAYDNIGWHQVSIDNIQDRLQNISNEDLNIDRRRDVDIARHGQPHDDRCKIFPSIDIYSDSTIDAKKDQPINYTLALKRMKQPKLIFNTKPDITACLGLGIHGIGFFRQVWKSSKRDLEAAIFKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSCSRYLSEILASYDRYSQELKGHFTRADHVEVDERKNNRSMCISADDRYQEMPRQMKINIDRCTQVPSIDVETSDTRHFGFSRLKTEGQAKLRKCPDEVFQFQNLSLQVLERRSLGISYSRIGLARTRRSMSSTDYRSTLAKVYRSTSQ >A05p050520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29394633:29395996:1 gene:A05p050520.1_BraROA transcript:A05p050520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPSGGRPPKPWEQEGNNNAAGPNPFRPPSNTSTADSVEASGTANPGELVSSVNRTNTAPTMNGLSRPVPTRPWEQQTYGGYGSNLGMNSGYGTGLGGYGSSYGGGMYGGSGMYNRGGYGGGGGLYGSSGMYGGGGMYNSSFGGGYGMGMGTGMGMSPYGGQDPNDPFNQPPSPPGFWISFLRVMQGAVNFFGRVAMLIDQNTQAFHMFMSALLQLFDRGGMLYGELARFVLRMLGVRTKPRKMQQQPQGPNGLPLPHQPHGNQNYIEGPKAAAAPGGGGWDNVWGN >A09g519370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60164472:60165125:1 gene:A09g519370.1_BraROA transcript:A09g519370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENNKQQVVECVSISAENENEPLIEDEMERSKVGIMRALCDRQDPSTKEVDDLMIRRFLRARDMDIEKASALFLKYLAWKKTVLPKGHIPESEIANDLSHNKVCMQGHDKKGRPILVIVGNRHNPSKGNPEEFKRQSTTPLSPSFYHQIYLLTYHHPNLIFFLIFFNVFEQVL >A04p021210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12928163:12929467:-1 gene:A04p021210.1_BraROA transcript:A04p021210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHADIPPSHSGLSQYPRANPFGDESSNRATMPPPSGSSLPPFPPTGQPFQFGSQNFIPAGSSPSQWMLSQVPHTMLESSSVGSGSSQPASVFSGLFNADQLSKIAASDELKEMAESDPKHLKITLSNRESAARSKAKKALHESELEDKVETLETQIDILTAELKLEKVITILLVTRGRMTADDECVQFRIRLHAGEAHARLREDLIQQLNGEVRRLIEEASVQREEMSRLREEVSEYRRRESERMNANMLDQLNINQQFQEKPQQTNYNFE >A08g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15116778:15122408:-1 gene:A08g508280.1_BraROA transcript:A08g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASPPTEEAGVASEEPLTREDLIASGCKPKEKWRIGTEHEKFGFEVNSLRPVKLIHVMDYLPTLTLFSRETRVKGSFAIKLFLLLPVITAPVTRAQAARINLVSEPNDSMGDTEALSKQIAKMMEIMQSMNAKIDDNMKTQSAHMKTLQERMVHHETTNGDRGKTIKFSTEELSEVEILSGSKGSKGAISFDTRAGTSFTPSKADKDIPVRSCGLANESNYGFSGGLWPNRAVGRERGHRRTDGGGDWNVRPIGAAPHDSHPNVGDYRNSEGRFGKADAVNPVGELSNLRHTGTVDEYCSQFEECLSRQTRLSGDQQLLQFCAGLTDSLRKEVEYLRPETIFEAIEYARDNEYKIDNDKRTRTFGGHLEPITKTIGVSARQENRGSEEDELEISINAMNGEQNERTFQVQANIMTGRGWVLLDTGSTHNFIKSSLVEKLGIPMHRKPGRFVALPNGGRCPIEGFCQNMSMSVQGHQFKTDCFAIPLKGFDVVLGIRWLNALGRVIWDGPNKTVEFNHGSTPVIWHGEAEERGKTNISLHALGADSKGLEHWFSDEEEVFTTPGTSIRRIPQAPKQRICTLNPDYLPTLTLFSRETRVKGSFAIKLFLLLPVKSRHQLRERKRLVSEV >A09p071420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:55123581:55124501:1 gene:A09p071420.1_BraROA transcript:A09p071420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLDKSKKRKFVKSDKTNKKQKSNQPESSTTPYSTSSSSSDSSDSEPEQEFDPEELRDLLQPYSKDQLVDLLCSSPQIGSSIYSSVLEAADRDVTHRKIFVYGLPWETTRETLSGVFEGFGEIEECTVVIDKNTGKAKGFGFVTFTSRRGAREALKEPKKRILSRTATCQLAAMGPAGSGKGQDQTGPVKISLGQAQQQQQVFNGGGMAAASPFMIGNQFHPVYGGGMMANPALAVAGGGGYMYPMLASALGQMGGIGDPGALGAYFRGGQSLPSAYSDSDTGRKGSGKDSEAGGGSFQGYANY >A09p015200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7927494:7928552:1 gene:A09p015200.1_BraROA transcript:A09p015200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLLPLSPMATETVTDTTVPNHDETELTEFQKNQKRYQDLISTLPHVKGWRPKAPLIGYGGHWWIQPFLEGSLYAQEFFQARPNDFLICSYPKTGSTWLKSLTFTIANRSRFNDPTNPLLKRNPHELIPFIEIEFPLFPHIDVLQDKGNTLFATHMPHDFLPDSVVKSGCKMVYIWRDPKDTFVSFWNFMQKQRSTRGPLNSLEECFDMFCRGISGEGPYLDHVLGYWKAHQENPDKILFLKYENVSADPLPYVKRLAEFMGYRFTAEEEKNGVVEKVVNLCSFETLKNLEVNKGDKERADISSPNVNRAFFRKGKTGDWVNYLSPDMAARMDGLMEEKFKGTGLLENGN >A09g508680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24264140:24268354:1 gene:A09g508680.1_BraROA transcript:A09g508680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVDSIPKCIPSGTRSNTEKDLLFSHDPAHLESTIRRGQRSTSLDATTSPSIDTHNQSSTDTRPSSPIDPIDEIGNLYDQDGQLRNATGQKIDAQEIVIPDANATGTSQPVDEDARSKPLADYNRPDEYYSNRSAIRLPEIHKQNFEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVNRHTPPPIDKHTPLTYRVRLPSIDNDYINALRPPPKSLASPHEPKPNPLNSSPEPVQEEQETEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIKKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVSEKMKHRITLTKKSDPGKFAIPCVVKGFPIQCVTQEHQLVSSLGSWQTSLETEYSESIDTPTFPSIDSNESTVTDDRNNTPLDVKQPVDHFTAPNHCYPHFAFPPPSKIGHDNYSIGSWVDSGFHESFAVDTVITSSNEEHREEYDEGYWKEHTIEMSLHDERFETHKFKNTFPTSIAEVHSTSVDTHPRPEKQPLTSTDTHTGTSIDIRAAAKIQEQENIPSPTRFIDTYINRFASPKPPQHTRADTEANKMNTLPSTSTGKFMKSNHLKNTSSAEITLPSIDASVSTSISTTLNPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARAMDGRILQVSRKNIADILQVANGPDNLFSQQRGTPDVIQTDPNNHVGVATTEINPDLSRQPKGQASIDGTTETSIDRVTPTSIDRDDQTSIDRRYKFGNRAFDMYGARKFT >A02g509510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25228388:25228790:-1 gene:A02g509510.1_BraROA transcript:A02g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIYRFVRYVKVQSWGETKREKEKIDCTVHGGDAADCVVLEIAVTFDKRVVVFVIDGDVVGDGYAGAKVAARVPVPWRPDLPSREDGVQSFRCCLLQNNCQFI >A02g512140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32881194:32885037:1 gene:A02g512140.1_BraROA transcript:A02g512140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGYRANALLTFAVTILAFICAIASFSDNFSNQTPSAQIQILNINWFQKQPHGNDEVFAFVAAEYETSKNSLNQVSLWDAIIPEKEEANFWIQISNKYRFIDQGHNLRGKDFNLTLHWHVMPKTGKMFADKIVMSGYRLPNANMEVKARAPGKIILAGEHAVVHGSTAVAAAIDLYTYVTLRLPLHSDESNDRLTLQLKDLSLEFTWSVSRLKEGIAYDSSNVSPSTPASCSSQTLKSIAVLVEEQNIPEAKIQLSSGISTFLWIYTSILGRKNHPWEAFRDRQHRQCIRSVSTLKTSKTLSSDENHLCVFVVVAGNMIKFCSGEITRLKSNMPLRMLITNTKVGRNTKALVSGVSERAVRHPEAMNSVFNAVDSISKEVAAIIQTKDEISVTEKEESVKELMEMNQGLLESMGVSHSSIDTVIQTTLKHKLASKLTGAGGGGCVLTLLPTLVSGTVVEKVVEELESSGFQCFTASIGGKGAEICF >A10g504180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10401505:10404680:1 gene:A10g504180.1_BraROA transcript:A10g504180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFSLFKKKVFEAMVVSPGRSSSLQSIKGVSQLCPKLKPFKKKALILFLKLETWSCEERWNLLCCGFKKEVMNTKRRCWVTIQLSYPPDSWPITKVAQGTSSGSLVVHKMCSLSITNPSRRGRRLYPLGMVAVVYVWIHKASGHHLSLEETGDQKALEIKGRVDQWLSLSCKGKAALTMYLAIFVKKVHGSFKGTNQEPALALTIQALISPLVACSHTHSLSSENLTWYQSQVHQNLVPASAYTSQV >A08p020710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13942972:13945410:-1 gene:A08p020710.1_BraROA transcript:A08p020710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKDEKYEKAERGSTRILPKTVLLILLCGLSFYLGGLYCGKNKLQVNDVAKAGSSLDVDNSPQAKSVSFPECSSDYQDYTPCTDPRKWKKYGTHRLTFMERHCPPVFDRKQCLVPPPNGYKSPIRWPKSKNECWYRNVPYDWINKQKSNQHWLKKEGEKFIFPGGGTMFPNGVSAYVDLMQDLIPEMKDGTIRTAIDSGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEIHRILRPGGFWVLSGPPVNYENRWKGWDTTVEEQRSNYEKLQDLLSSMCFKLYAKKDDIAVWQKSPDNTCYNKLSNDPDAYPPKCDDSLEPDSAWYTPLRPCVVVPSPKLRKTDLESTPKWPERLHSTPERVSDVPGGNGGLFKRDSSKWKTRAKHYKKLLPAIGSDKIRNVMDMNTAYGGLAAALVDDPLWVMNVVSSYAANTLPVVFDRGLIGTYHDWCEAFSTYPRTYDLLHVDGLFTSESQRCEMKYVMLEMDRILRPNGYAIIRESSYFANTIASVAKGLRWSCRKEQTESESENEKLLVCQKKLWYSSETK >A01p000850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:339906:340736:-1 gene:A01p000850.1_BraROA transcript:A01p000850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDMFSSSTDHSDPFKEELMKVLNPLTNSVSSLPPIPNTIFGFNQTAPLGLNQLTPYQINQIQNQLNQRRNTNISNLSPKPVPMKNMSTQKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTYDTAEEAAMAYDQAAYQLRGELARLNFPHMRHEYGCGSGDSSFKPLHPSVEAKLEAICQSLRKTEDDDLPCSETELFPPKAEEADENSFSDESQVESSSPESGITTTFLDFSDSGFDEIGSFVLEKFPSVEIDWDAISKLSES >A04g508650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23193267:23195040:-1 gene:A04g508650.1_BraROA transcript:A04g508650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKHRLASSVRRRRAASSLTRVKRRDALSSVTNALSLSLSLSLSLSRNLSQNLSRRKAIWFFFGGSCRRRLGDVSFGGSRRRTLSRWFSSRRRSSLLVPLLKSKVFWFSFSVGLFLQDFTRRLISNRKIAGSRFIDQRFRAQLRGTSDTHTEMEARKPIICGLSARGIIPPLPREETDDDVEDIPPTEAEVVEISDEEEDDIVELSNDEYRRSMGYLIRVEEEEDDIAPEFSRMVQMMHEEEKRLSEERFKALKAGIKLEEGQSSTGDGKRKRRG >A09g502300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7909594:7910471:-1 gene:A09g502300.1_BraROA transcript:A09g502300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTCADGHGDDRVDSKSVSNNLLARFKSSMSDLKDEDRRLRTAGETPTPNHPTPKSIGELRNGNETVDLLKEYPSSQDLRRIDDWNWNGYGLALFTEKQNRRRRRSEARTTEMEASGWRRFAREAYGGGKTVDEESGNGGCVKIEVVWKGKKHDLFGG >A01g500860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3363668:3364387:1 gene:A01g500860.1_BraROA transcript:A01g500860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFFLSVLPKVLELLTKSSCWVTVDDYQLFLRTIALGIKVKFLHGVLHLAELDSPLIGFISRFGLSMPEMNALFMVTY >A07p025000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14408855:14409475:-1 gene:A07p025000.1_BraROA transcript:A07p025000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGFVALANEAKTLIIISASVAYNIVHFESKRQTLFDISHSLFQTKDHTNLEYFFSVKFIGILSCRVFDIWHPIALRMHFPQHQRFHDLLPFLPETSSSDLKGHVLTRMVFWFSSRSSSNLYNIVYFEPKK >A04p014650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5622443:5623471:1 gene:A04p014650.1_BraROA transcript:A04p014650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15.7 kDa heat shock protein, peroxisomal [Source:Projected from Arabidopsis thaliana (AT5G37670) UniProtKB/Swiss-Prot;Acc:Q9FHQ3] MADGIFWHPFRRFREWSGGSTALIDWMESPNAHIFKVNVPGYNKEDIKVQIEEGNVLSIKGEGLKKEEKEKKEDLVWHVAEREPFSGKGEFHRRIEFPENVRTDQVKAYVENGLLTVVVPKDTSPKSSKVRNINITSKL >A09p052750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46030093:46032370:-1 gene:A09p052750.1_BraROA transcript:A09p052750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYFLFLGIIIIIIILLFSGLVASAPSSTSPAKIVSGFISNHGTSLMKWLWSLKTTSKKTAVSTKSMVKFENGYSVETVLDGSKLGIEPYSLQVLPNGELLILDSENSNVYKISSSLSLYSRPRLVTGSPEGYPGHVDGRLRDARLNNPKGLTVDDRGNIYVADTVNNAIRKISEAGVTTIAGGKIVRGGGGHVDGPSEDAKFSDDFDVVYVGSSCSLLVVDRGNRAIREIQLHFDDCAYEYGSGFPLGIAVLIAAGFFGYMLALLQRRLGSILSYHTDQEIYKAVPDQKPLKPVRPSLIQTGDEQEEQEESFLVPLRVFMSNARLFLAELFSGMYPGLKKKQTVSFSFNHQETNHSSAYSTAPWPIQESFVIHNKDEPPPPIETRNLTPKKTYPFMSKDAESIQQLRRSRSLFRSLDPEFQQEQQHHRRHHSTIPHTHYERISGKTSEIVFGPVQEQDQKRVAAAGTAKPTEYGDQMDSHQNLHYRAHQSVSYPYGYYSYT >A09p009240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4778134:4781282:1 gene:A09p009240.1_BraROA transcript:A09p009240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLFSLSLLCFASQTSLSWKKEEFRSCDQTPFCKRARSRAPGTCSLIATDVTISDGDLVAKLTPKDNDDHINPLILSLSVYRNGIVRLRIDEDHSLNPPKKRFRVPDVVVSELEAKKIRLEKFATENDPPSSVVHVGDGYEAVVRHEPFEVYVRERSGRRVLSLNSHGLFDFEQMRKKGEGDNWEEKFRTHTDSRPFGSQSISFDVSFYESSFVYGIPEHATSFALKPTKGPGVEESAEPYRLFNLDVFEYDHESPFGLYGSIPFMVSHGGTGKSSGFFWLNAAEMQIDVFAKGWDGESSSRIDTLWMSEAGIVDTFFFVGPEPKDVVRQYASVTGTSAMPQLFATGYHQCRWNYKDEEDVAQVDSKFDEYDIPYDVLWLDIEHTDGKRYFTWDSALFPNPEEMQKKLGAKGRRMVTIVDPHIKRDDTYFLHKEATEMGYYVKDSSGKDFDGWCWPGSSSYIDMLSPEIREWWGGRFSYKNYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHVGGVEHREVHNAYGYYFHMATSDGLVMRGEGKDRPFVLSRAIFPGTQRYGAIWTGDNTAEWEHLRVSIPMLLTLGLTGITFSGADIGGFFGNPEPELLVRWYQVGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIHTRYTLLPYFYTLFREANVTGVPVLRPLWMEFPQDEATFSNDEAFMVGNGLLVQGVYTKVIALNSSQEADGELYIDDGKSFEFKRGAYIHRRFVFSNGVLTSTNIAPPEASLSSQCLIDRIILLGHSSGPNSALVEPLNQKAEIEMGPLRMGGLVASSGTRVLTIRKPGVRVDQDWTIKIL >A04p009570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7649888:7651224:1 gene:A04p009570.1_BraROA transcript:A04p009570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSLDDEDFVSDFFCFDQSSNGAELDDYTQFGVDLQPDQAGTFPDFGSYGVNLQNEPQQVFNIGDLTSYGGVLTQEPGEFHNFGGPNDCGTVQEDEVVVNSSSSGGAVKQEQEHVDEECFRKRGRTGSCVRPGGTKACRERLRREKLNEKFMDLSSVLEPGRTPKTDKPAILDDAIRVLNQLRDEAHELEETNQKLLEEIKSLKAEKNELREEKQALKAEKEKTEQQLKSMMVPSTGFMPQIPAPFNQNKMAVYPSYGYMPMWHYMPQSVRDTSRDQELRPPAA >A04p032450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18981320:18982376:-1 gene:A04p032450.1_BraROA transcript:A04p032450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSRSTASRVANRFFSTSRAAAPSRSNLISRRSSPALFQAMGFIPDSNRLTTIRTRMDRSGGSYSPLKSASNFSDRAPTEMAPLFPGCDYEHWLIVMEKPGGENASRQQMIDCYVQTLAKIVGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGIPGVLFVLPDSYVDPEYKDYGAELFVNGEVVPRPPERQRKILELTTQRSSDKPRYNDKTRNVRRRDNMR >A10p012080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10562655:10567576:1 gene:A10p012080.1_BraROA transcript:A10p012080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTKQSWDCNNRGIYWQSGSLSTKDKTILSPAAEYRKCHSPLAREIFVEFPGSRKTRIIYFVVLPTLGGKRPSAVGESSPAIIRPQTTPAVMTKSKQSETTVRRRSRSAGEELPDCPPAKQQRYPDSGSEDGPDGGDSSGGQFSDGCPHLCCPEDCSHMGTDAMDTLMASQFRGLFELPIVRCQNSTKLIGCLLSRQLVTARRHEFWFTFGPHPLRFSLDEFRDVAGLNCRAFDVQDSEASESVPPTMWNKLFDTAVGKITVLSVLCMLGNKYLAVEKRLPLALIALVDGVLCPSSKDLKLTPRYVEMLSDVESFLAYPCGRESFLTTVPCFLPPLVVAPRSNPLQVMRDRLSQKTTVCYGFPLALQLFLFDVVPLLLEKIPDAGNTATFIDFPGACSSPSTILIVNEIVVVEEDPDLSVHFTVIPDEERMMLLDQNEDRQVTSLVQKLLCGETFKPEDFPDGAQEEGACPTPVHQRNLRPRNAVPIEVQEILLETAEKGIVNAQRGGSKRNARKRKVIDDPQVRRTPSPDDSIEVRRNNPPSSERDSGHQNDDDHAKENESDNARFPPSGGNKGDQQQETQSNALVLFGDVLDVEPESYVLPAEHVVTSPGAWQKRNPTYRYEQGSLVAWEKTKPNCYSSVGSVRSFHPSWDGNPSSKSKERSAPKGGEGLQKWGGAPPVGYESGQTSNQNDGERLEQVSAPMRFVEALVKEINSEIPGTGEEIRAPRGGEGAQPKENESVAARMTPPAGIDFAQKTGADNGGELVEEDPDGGEEARTADKQPQSLDSVETSNMEFPKPVEAVGKVAPPKAGVEASVKEINAELQGTEDEERYDSCKDDMSTDSQIQENRRDLCAETDADSEDVGSGGKRHRMRSNKIYGVYTPAPRVKKLFKSEEKVEYKPIAKTNRAQFKKFAEILRKNPVQMWDIATGHSVCNRFFLEIAEPGKWMSDEHIHVIMNMLWRRRGIYLLKERMVILDQYFIKTIQSIWSAFSADNDKLQFEWGKNIAQSSNLLLEPAEGIYHNKRGGDCRPCAANFIEMHDAGLTEEMSRITDKEVDRFRE >A09p079740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58598101:58606198:1 gene:A09p079740.1_BraROA transcript:A09p079740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKKPKSSALKTNKQSSSNQSSQPSSVGIQQLFQRHIQNSQSTSNSLASNPVVSTPQNPLSRVDEKPDESKDVDQGGLTEASPEVSRNAKRFKFSPGMLIKQSQDDGGEEITWKISPIDQRLRAAAKQVPKMMDLTENSAGFKSSTLRPCSLDKACSVVQKQCPTSDITSKVEQWLSSPSKKAFKRPALPANRVTERVITSLDAEFEIVNTSSSGNSPFQTPPSLSCSHNKLPCTVTCSAACGSTGTGKHKKALLELLDQVEDVISVDDKTADEVGTVVPQIRLEEDKICSVGVYNAVDEKPIALLKKNNSANQDSYFLVLEVQLTVIILGLLMWFLWESYSLSRVVLQVSEKRGFAGSSRVQSPYKVLRLLDEHTGKECALYLWDEWFYSTVSPGDSINVIGDFDGEGKCDVDHQNNFLIVHPDTLVAGTKVAASFGCPRRTVLDERLRSNEHATAALLGTLLHQVFQAGLTQESPSADGLQEYASIVIKNNIESLYACGVHERGVKATLHEAIPKMLNWIHHFRDSKDSTISNVDFGSTNGQRMVKISEVIDIEEMSWAPKYGLKGMVDASVRVIVGSDMNTANEKIMPLEFKSGKAPNGQASMEHCAQVILYTLLMSERYLKHIDNGLLYYLQSDQTQGISVQRSDLVGLIIRRNELANDILVASTTQQLPPMLRNPNMCRNCRHLDACTIYHKADGGNTESSGLADLFDAHVSHLSTLHFKFIRHWNRLIDLEAREMKLPRKDIAHPRGSKGSDSASYLSSMVLDMKDGFQHHSSHKDSRFIYRFVRQNLSESRERVPSEDTTRTGRPSADDLDCKLRPGDRVILRTEVSHLTVANGIIAEISRTHVSVSLSKRLRLPWSEPSSEVSNLSNESWRIYKDEYMTSFSIMRFNLMQLFIQSVHTIGIRKMIVDLEPPRFDNGSILSQDPAISYIWSEKSLNNDQRQAILKILTAKDYALILGMPGTGKTSTMVHAVKALLIRGSSILLASYTNSAVDNLLIKLKAQGIEFLRIGRDEAVHEEVRESCFSAMDMCSVDEIKTKLDQVKVVASTCLGINSPYLVNRRFDVCIIDEAGQIALPVSIGPLLFASTFVLVGDHYQLPPLVQSTEARENGMGISLFRRLSEAHPQAISMLQNQYRMCRGIMELSNALIYGDRLCCGSAEVANATLVLSTSTSNSPWLRKVLEPTRTVVFINTDMLRAFEARDQNAINNPVEASIIAEIVEELVNNGVDSKDIGIITPYNSQASLIQHAIPTTSVEIHTIDKYQGRDKDCIIVSFVRSREKPRSSGSSLLGDWHRINVALTRAKKKLIMVGSQRTLSKVPLLMLLLKKVKEQSGILTLSPGDLKP >A03p059180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25708486:25715251:1 gene:A03p059180.1_BraROA transcript:A03p059180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase-like protein G3 [Source:Projected from Arabidopsis thaliana (AT4G23990) UniProtKB/Swiss-Prot;Acc:Q0WVN5] MEAHDKRKHSVGGTTLHTCHPCRRAVPYRIYGVIHTCGILALMYHHINSLLTADTTLITYLLLFSDVILAFMWATSASLRFNPVHRSEYPEMYAAKPKQDFPKLDVFICTADPYKEPPMMVVNTALSAMAYDYPSDKISVYVSDDGGSSLTLFALMEAAKFSKRWLPYCKNNNIQDRSPEVYFSSKSHTWNDEADNLKMMYEDMKSRAEHVVDTGKVETEYITCDQFRGVFDLWTQKFTRQEHPTIIQVLQNSETDMDDSGKFIMPNLIYVSREKSKVSPHHFKAGALNTLLRVSGVMTNSPVILTLDCDMYSNDPTTPVRALCYLTDPKIKSGLGFVQFPQKFQGVSKNDIYACEYKRLFDINMIGFDGLMGPNHVGTGCFFNRRVFYGPPSNMISAEIDELNPNRIADKSIEAKDVLELAHNVAGCSYEYNTNWGSKMGFRYGSLVEDYYTGYMLHCEGWRSVFCSPKRAAFCGDVPKSLIDVVSQQKRWAIGLLDVSISRYSPLTYGVKSMGLLVGVGYCQYAFWAFWSIPLIVYGVLPQLALLYGVSVFPKSSDPWFWLYIFLFLGAYGQDLLDFVLEGGTYRGWWNDQRMWMIRGFTSFFFGSIEFILKTLNLSTHGFNLTSKANEDDEQGKRYEKEIFDFGPSSSMFLPLTAAAILNLFAFVWGIYGLFIWGERLVLELILVSFAAVNCLPVYEAMMLRKDAGKLPKRVSVLAGILTFALILSGYFFLE >A10p015850.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:3394529:3396658:-1 gene:A10p015850.1_BraROA transcript:A10p015850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAKRAMDIAERKVTEKDYTGAKKFANKAQALYPHLDGLKQLLMAVDVYISGETLITGEPDWYGILGVDPLADDEAVRKQYRKLALMLHPDKNKCKGAEGAFKLVSEAWGLLSDKVKRYSYNLKRQVKGGQQRFPTTQSAQPASSNGFQNAREHVVSGAKARTKPPAPRKDPPAYAPPFQESSTFWTMCSRCKTQYEFQRVYLNQTLLCPHCRQGFFAAEKNPPPSVPKPTNNNHSSNQQKRSSKVPATNKNSSYATSSARGPASSVNNGFSWEPLSRKGGSSDSRNAVNQAANVVQQAQEKLKRAYEESQERDAARGFTNSDLENYKRIKTDGSHGFGSFSCKWSNQNGPSRFTTPPCNPETLGSRRPQVEGILLPSDMKKALVKKAQSDISKRLMAEAAEEMKAMEIEKKKSMKATSKADEVVKSTSTEPVKEVSNDEGNGIVVPDSDFHNFDLDRTETAFQDDEIWAAYDEDDGMPRYYARIQKVVSLVPFRMRISWLNTKTCKEFAPVDWVGFGFAKSCGDFRTSKYELTDALNAFSHKVDFTKGAKGLLHIFPKKGQVWALYRHWSPDWDGDTPDDVKHKYDMVEVLDYYTEDKQSLTVAPLLKAEGFKLVFRRSTDQNSLRKIPKEEMFRFSHQVPHYILTGKEADNAPEGCVELDPAATPCELLEVKESSKMDTERSKPVKEKSTAPEEEEMGMNID >A01p019560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9596469:9597981:1 gene:A01p019560.1_BraROA transcript:A01p019560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRVLAFLLLATQLLVEIPGTSAKVAALIVFGDSTVDSGNNNQISTVLKSNFQPYGRDYFDGKATGRFSNGRIAPDFISEGLGLKNAVPAYLDPSYDIKDFATGVCFASAGTGLDNATSDVLSVMPLWKEVEYYKEYQTKLRGYLGEDKANEVIRESLYLISIGTNDFLENYYLLPRKLRKYSVDEYQNFLIGLAGEFLTDIYRLGARKMSFSGLSPFGCLPLERTTQIFYGSKCIEEYNIAARDFNTKMQDKVFKLNKELSGLQLVFSNPYDLVSDIIHHPEAFGFNNVRSACCGTGYYEMSYLCDKMNPFTCTDASTYVFWDSFHPTEKTNGIVANHVLKYDLARFQ >A08p038440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22248635:22251355:1 gene:A08p038440.1_BraROA transcript:A08p038440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVDSSGVINGGFRQVQSFCGVSSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVLEAPTGNEDDDDLDFDHTHMNSSDMTSEDTLKPHKVKQRGQRSSRSSHKSLSRSLSSDSQSKNSCFTPPENMKVDLSKLEMPALLKYWRHFNLVDAIPNPSKEQLVDIVRRHFISQQMDELQVIVGFVQAAKRMKKACKFQSEEARNTDLNCIS >A07g502990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6122867:6124863:1 gene:A07g502990.1_BraROA transcript:A07g502990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMRIHLNPRKNMLVLVEAIPKLGIAFREPVVGAGHDCPRMCKSYFKRNGMTGVSLSVINKELGSKVVLEWEAQGKVVEVEEAESQGKGKRKKTQKSVGKGKKQKTNILELKGHFTRADHLEVDERKNNRSMRISADDRYQEIPRQMKINIDQCTQVPSIDVETPDTTFWTQQT >A02p013180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5770702:5771460:-1 gene:A02p013180.1_BraROA transcript:A02p013180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPWRKSSVKTHKTYSPTASFSFKDVHHLCTDDSSSLPSSPSLSRVLHRVCTANLILRSWPTPPSNHLLRADSEPVTLRRNHEPDVIRISIPGAESSIVVYFTSLRVVRPTFEDCRAVTTILRTFPVRIDERDLSMDASYAAELERIFFGGKKDIPKLPRVFIGGRYVGGAEEVIQLHETGELKKLVQELPRVERGVCEMCGGYRFVPCNDCHGSHKVYTEKLGFRTCSTCNENGLVRCSSCSYSRHRPSS >A02g511810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:31893184:31896554:1 gene:A02g511810.1_BraROA transcript:A02g511810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWGADQVHQLTHDHDPYQKQQQQLQGFRKGPWTPEEDKLLTEYVTSNGEGKWSSVAKCAVTINKDKLGEGDDWWVNYLRPGLKRGQITPQEEGIILELHFLWGNNYVNFIYGVVHFMGMHLHITFTIYIYIGGLQLQDIYQDEQIMKSRTIGEPITRRKINLFRNKTNLKDPGNN >A09g510380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31566132:31570248:-1 gene:A09g510380.1_BraROA transcript:A09g510380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDNHKLPVCLFPFSLINFDWLETGSWEGKDSVLQMMKQVANCLPLAMLCYELNPQVKQPQLVFNPPPAASHVLKRTEKPREFQREREKEEQKNHLVLEKDQKPQAYLGEEDQLRPSSPFVCLGKLWSPSLSQYLIRTLRCGALLVPSCPFSLVQEELKSCPSQFQDCSLVSDCSYRTFDNDGDPNSLVSVSLSDATKKSTLWTPWRSKPPNFDFIIFRLFAAIYSTPLLTPSFDATPSGSSFETDPSEGSYNQTPVHIPLSPDPYFMDIEVDVVHDSPVHGDHPAAPASPAAHIPPDPAAPIPGAQPGPAPTDPAIIALLELMAEMVNLQHQALNAQREAQLEFHRLADLVERAVNVEEAIAAERASSSHSAQPRRPSVSFQPQPHSAMQQGRGGRVFRGGHSGGTRPRTPTCFTCGQLGHVRRDCPNVVQFQSAVPSHITCFTCGERGYYATSCPYTHLAQPVVMSAQPIVPVNPPLPLPPAKRQATAGRAYALELPRPSGPPQGPISATLLVGGIFAHFLFDSGATHSFVAPEVASRFDGEFTK >A05p010970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4667979:4669346:-1 gene:A05p010970.1_BraROA transcript:A05p010970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTAEEQPPNVSAHDGGESLLKIRIDSMHKKLKEPPRLLSSAAGKPSCSIFRVPQSMIDCNGRCYEPRVVSIGPYHRGHTHLQMMEEHKWHYLDALLTRTHQTKSLTLEDYMKTVKSVEDEARECYSERIHMKSDEFNEMMVLDGCFILELFRKVSQLVPFQQDDPIVAMAWVLPFFYRDFLRIENQIPFFVLESLFDLTRGDDEKETNASLPSLAFAFFNNTMHRTKQDLARFKDLEAKHLLDLVRSSLLPESELHARSVTNPGKKKVPSNIIHSISKLRRAGIKIRELKDAESFIVVRFRHGAIEMPSITVDDFMSSFLQNCVAYEQCHVACSKHFTTYATLLDCLMNTYKDVEYLCDQNIIENYFGTEAEVAGFVNSLGRDVAFDMAECYLNDLFKDVNEYYKSSWHVEWASFKYTYFSSPWSFVSALAAFVLLILSIVQTIFTIFQAYQR >A03p000350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:275226:277510:-1 gene:A03p000350.1_BraROA transcript:A03p000350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TIC 56, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G01590) UniProtKB/Swiss-Prot;Acc:Q7Y1W1] MSSMNFNPFQNWFEKPPNPVPSINFAESLFPKSQSPPKFASIGLPKLLKKKKAEPEEREEAGMYKKMAEQFLWECENRPDYRHAPQVDKILKEDPLFENKENPSPQEVEAEEKWWERFRASPVVQFMARAEEIADDMNKMELEENDTPYRREDRDLWRAVPHVPGLDGRPMPRKAIKTKEESDDKFWDFMKQFLFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMKTGGWWYKDRLGRSRGPCELITLKTAYGAGIIDRDTFIWGEDMDEWAPIHMVYGLEPAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGREPKTYKQLQKEAIESKKRDMAVMEANDGVWPGLRTPSHALFLWASGSELTTVLESDHMPNKFIPKQLRQELAKVIPGLRPWEVISVEQAMDQISYGGEWYRKPLGTYTTGPPYIREWNRNVRRLFRIYYNLSVRVGEKLEKTLPGFNTIMEKVQKDYESRVAKRMKRREQELRERDLKNHSGHSDEEEEDEEEEEGDK >A09p072410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55526410:55528278:-1 gene:A09p072410.1_BraROA transcript:A09p072410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGNGPMVPILGFLTCVAFLYLSFGDLWFDYNREAELGFVSRNGTQFVLDGKPLYVNGWNSYWFMDHAVNDHSRHRVGAMLEAGSKMGLTVCRTWAFNDGGYNALQISPGRFDERVFKVLLTRKNSITGIEYRNDPTIFAWELINEPRCLTDISGDTLQDWINEMTAFIKSIDKKHLLTVGLEGFYGPKSPKRLTVNPERWASELGSDFVRNSESPNIDFASVHIYPDHWFHDQGFEENLKFAVKWMQSHMEDGDKELKKPVLFTEFGLSNMNKDYEPSQRDRFYRTIFDVIYKSAKRKRSGAGTLVWQFLIQGMEGFNDEFGIVPWEKDSIQRLMMEQSCRLGRVTGRLLQDKKSTEICSNKP >A04p016440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10109141:10111335:1 gene:A04p016440.1_BraROA transcript:A04p016440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRRCSSRVSILQVKQNSFSPKNNLKHFPFCFASRPSSSSSSFSGSHLPSIWEPENRLLNLHDQFFRMGLKLSRGPGKEKSTLQLTRVHILTYLTTSYYLRNLVSKKRRRLITGGYDLDMSYISDKLLAMSFPAERMRAVYRNPLWQVKSVLDMRHPDHYKIYNLCIEECYDPENFYGRVERFPFDDNHVPSLKMIQLFCQSVHSWLSLDPKNIAVVHCMAGKGRTGLMVSAYLVYGGMSAEEALEMYASRRTTNNNGVSIPSQRRYVKYWSHLLGERIGKGPPEVKLPQEHSRELLRIRLYDTANVDSVFFVVSELQEVPNEMYRPSVELARGCCRQFKKGYCRSSSPRYYISHINCDSEEDEVLTAGEEPRLVVQMDTESSIIDEKTCLDFYFDKPVRVSGDIRITFYQKMIGSRLFYTCFNTAFITNGLLQFSIGELDKVGGNGRSISGPDFSLELLFSPATSISGKLLSRHDLSLS >A04p019940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12192346:12193505:1 gene:A04p019940.1_BraROA transcript:A04p019940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKESGLKSEVVDRLDNVAFHPMFQTVNGASYSLMQLHDHINRDSLSDAVDESGISGSDVKDTSWISWFCNLRGNELFCQIDDYYIQDHFNLCGLIHQVPYYDYALDLILDVDCTHGERFTEKQYELVESAAEMLYGMIHARYILTSQGLASMLDKYKNYAFGRCPRVDCCRQPCLPIGQSDIPLASTIKIYCPKCEDVYYPRSKYQANIDGAYFGTTFPHLFLMTYEDLKPQKASQS >A02p033480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17828132:17833010:1 gene:A02p033480.1_BraROA transcript:A02p033480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMSVSVECVNICNLNERSDCSAWKAPRAFTAFLATTAHPPLYLCRTNTRILSVQHFGNVNRILSCGGHKRCELYCLSSSQSSGASEDVTADSLWEDLFPSISYLSCKELEYVRKGLKLAFEAHHGQKRRSGEPFIIHPVAVARILGELELDWESIVAGLLHDTVEDTNFITFEKIEEEFGSTVRHIVEGETKVSKLGKLKCKTESESIQDVKADDLRQMFLAMTDEVRVIIVKLADRLHNMRTLCHMPPHKQSSIAAETLQVFAPLAKLLGMYSIKSELENLSFMYVSAEDYERVTSRIANLYKAHEKELSEANRILVKKIEDDEFLDLVTVNTDVRSVCKETYSIYKAALKSKGSINDYNQIAQLRIVVKPKPSVGVGPLCSPQQICYHVLGLVHEIWKPIPRTVKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAVYYNGRALSAGLAGSQIHVGRNSRGKTGCLNNADFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPTHVLENAEVVEILTYNALSSKSAFQRHKQWLQHAKTRSARHKIMRFLREQAAQCAAEITQDRVNDFVADSESDVEDLTEDSRKSLQWWEKILVNVKQFQSQDKSRNLTPVSQNGSVWAPKVNGKHNKAIKNSSLENPEFFLPGDGIAKIFPANIPPYKEVLPGLESWRASKIDSWHHLEGHSIDWLCVVSMDRKGIIAEVTTVLAAEGIAAEIDRGRGLAVMLFQIEANIESLVTVCAKVDLILGVLGWSSGCSWPRSTDNAQFLEC >A03g504550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16332398:16333121:1 gene:A03g504550.1_BraROA transcript:A03g504550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESAYNCQNQPIVSVNFRFEAPQTTLRPVKAVEDVHRRLCSLSYPKGARLYASEGPKDYNLLGNLTPTSPQLDVKKPQDIVRPGSLSLLAEGYDRCVQVCSEYAKTFYLG >A06p039380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21177369:21178201:1 gene:A06p039380.1_BraROA transcript:A06p039380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGFVEADNAEAIIARIETKSSKIESLLKQYKPVEALKTALEGSPPKTLDERCKSANWIVVHRALMAIKDIEGMLNALDVEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTERAGLGCILRCLTDTINTV >A02p032350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16949443:16949842:1 gene:A02p032350.1_BraROA transcript:A02p032350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EPIDERMAL PATTERNING FACTOR-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G80133) UniProtKB/Swiss-Prot;Acc:Q1G3V9] MVTSLKYKRHGFRAAFFLVNIFSSLLYPHCMSGAHDQQLKMKESVIGSEPPACGNKCLNCKPCLPYLFDIHGAHDDNDDREPYYPVKWMCRCQEKIFEPQE >A02p015200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6781270:6782232:1 gene:A02p015200.1_BraROA transcript:A02p015200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAS2 [Source:Projected from Arabidopsis thaliana (AT5G56080) UniProtKB/TrEMBL;Acc:A0A178ULG9] MACENNLVVKQIIDLYSQISNLESLKPSKNVDTLFGQLVSTCLPTDTDIDVTKIHDEKVKDMRSHLIKLCGEAEGYLEQHFSTIIGSFEDNPLNHLHIFPYYNNYLKLSKLEFDLLAEHTSHVPTKIAFIGSGPMPLTSIVLAKFHLPNTTIHNFDIDSEANTLASNLVSRDPDLSERMIFHTTDVLNAKEGLDQYDVVFLAALVGMDKESKVKAIEHLEKHMAPGAVLMLRSAHGLRAFLYPIVDSCDLKGFEVLTIYHPSDDVVNSVVIARKLGGLGEVRSSQIERCVVMPCNCSKIHAIMNSRGIKKTMIEEFTAIE >A02p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27088833:27092351:-1 gene:A02p043260.1_BraROA transcript:A02p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPEETRLRHKRDFIQFLENIYMDEIKALVQNKRHRLIVNVSDIHTHFRESESSSRILKNPIEYMQSFCDAATEATRNIDPKYLKEGEQVLVGFEGHFVSRRVTPRELLSEFIGSLVCVEGIVTKCSLVRPKVVKSVHFCPSTGEFTNREYRDITSHAGLPTGSVYPTRDDNGNLLVTEYGLCKYKDHQTMSIQEVPENAAPGQLPRSVDVIAEDDLVDSCKPGDRVAVVGIYKALPGKSKGSVNGVFRTILIANNISLLNKEANAPIYTPRDLQEIKKIAARDDAFDLLSRSLAPSIYGHAWIKKAVILLMLGGMEKSLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADKGIVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDAGIDSMISEHVLRMHRYQNDRGEAGPDGNLPYGRDDDGESEVFVKYNRMLHGKKKKRGQTNEKTLTIKFLKKYIHYAKHRIQPELTDEASERIAEAYADLRNAGSDTKTGGTLPITARTLETIIRLATAHAKMKLSRKVTKADAEAALKLMNFAIYHQELTEMDEREQEERQREQTEQERTPRGNQRRSNDNEQENVSANAESETADPMDVDEPPAEQFSGTVSAARIEAFERVFGQHMRTNRLDDISIADIETVVNNNGVGASRYSADEIMALLEKLQDDNKVMISDGKVHII >A01p031060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13488207:13490151:1 gene:A01p031060.1_BraROA transcript:A01p031060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKWEFDVADTPAENIIKLMVNTKPVVAIPKKKNVVKEDSARPRKKGRKEGPAEASEEAPEESSEEVHTVARSEVTTTVGGLTKEDIKTMFKDIVNAMREGFGTCLKEIKYLSERVEAVEKKVGITTKRKGTWTSSQNRGTETSSQNTTSPPKNTLESGSESVNGTNAERKRMLEDKGPDKEDARYQDKRDAALALFRAKSDRTRRLAASQQSPYTANRTAKVIIPNKKLYPGYNPFEPIDKKKLKELADWLKTWSERMCFVDHLFAQQWRFNFKDFKDSEPNQNGLGRSLPVNYKDNHWIAMWILIPKRHIVVFDSICSNISPEELDVVETFLYMVPYLLVECASSDEQRVQYSLEPFTFERPDNIPPARAGDCGVYTLKYIECHALGI >A07p015350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9554057:9555073:1 gene:A07p015350.1_BraROA transcript:A07p015350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGAKKRKALKKKKQQQEPTVTSTNTKGSTDGDNHHEKGHDEHGRQNGEFGGTKDPSGLVKDTAKEISDHVTQGLGPNNGIAIAVEIGTDDKKNILDLTSQDACGYSIKEITPVTEPEKTEHAETSTHSNLVNNKSDGTKEYPSPEKDNGKVAATLSGSAAGISRNVESLIKSEVPVSSEEKRLLLTRPPAVQTSWLSCCGLFDAVTGSDR >A06p018790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9114660:9118133:1 gene:A06p018790.1_BraROA transcript:A06p018790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIN-LIKES 1 [Source:Projected from Arabidopsis thaliana (AT1G20925) UniProtKB/Swiss-Prot;Acc:F4HWB6] MGSCDDKWHIRGPKQSRTLVHKNGLLPNSILRRLLSSVAYNETTTCMLNNHSKWGYEPITDKGALHTNVPVVIKEFFKTNVPVVTYGGDATPLNDKYLENMGFIYDLRSLVISKLSSDETRMKLLDLFITSSIPVGKILVITGIGFYLALDRVNILNQDARKQLNNIVFYVFSPSLVASSLAETITYESLVKMWFMPLNVVLTFIIGSFLGWLVIKVTKPPSHLRGIIVGCCAAGNLGNMPLIIIPAICNEKGSPFGDPETCEKYGLGYVALSLAIGSICIWTYVYNLMRVLARETPIIIGTSSTVPLIPTKVVQVEEQFGTWSKIKQRVCSATENINLGTIFAPSTIAALIALGVGLIPTLRKLLIGNTAPLRVIEDSVSLLGEGTIPLLTLIIGGNLLKGLRGSGMHKSVILGVVVVRYVLLPIIGVLIVQGAHYLGLITSEPLYQFVLLLQYVVPPAMNLGTITQLFASGESECSVILFWTYALASVSLTLWPTFFMWLVS >A06p033670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18125024:18125874:-1 gene:A06p033670.1_BraROA transcript:A06p033670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYVLFPTSEVHHLMFSNAFDTMEGLKKKSSQSQIYGKVVSIANLWEGALQLSGSSVISVMGILKRLLIFSWIWGVENPKYYAAPAGDPGIGLEQLELMCKLYGDEQASAIKVKNFQHRRQFISQ >A02p045850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28701567:28703434:-1 gene:A02p045850.1_BraROA transcript:A02p045850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:QPT [Source:Projected from Arabidopsis thaliana (AT2G01350) UniProtKB/TrEMBL;Acc:A0A178VX18] MISVSRLLSPQFYAIQQRSVVKMSASATQTAGGGVSHGSMAIKPPSHPTYDLKAVIELALAEDAGDTGDVTCMATIPFDMEVEACFLVKEDGIVAGIALAEMIFEQVDPSLKVEWMRKDGDYVHKGLKFGKVTGNAHKIVVAERVVLNFMQRMSGIATLTKLMADAAYPARILETRKTAPGLRLVDKWAVLIGGGKNHRMGLFDMVMIKDNHISAAGGIINAIRSVDEYLTLKNLEMDVEVETRTLEEVREVLEYVSGSKTRVSRIMLDNMVVPLENGDVDVTMLKDAVELINGRVETEASGNVTIETVHKIGQSGVTFISSGALTHSVKALDISLKIDTELALEVGRRTKRA >A03p054060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23202231:23204097:1 gene:A03p054060.1_BraROA transcript:A03p054060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFQSKVAREFRGHEDPVKLASETAFSVSEVEALFELFKSISSSVVDDGLINKEEFQLALFKNRKKENLFANRIFDMFDVKRKGVIDFGDFVRSLNVFHPNASLEDKIDFTFRLYDMDCTGFIERQEVKQMLIALLCESEMKLADETIEIILDKTFEDADVNQDGKIDKLEWSDFVNKNPSLLKIMTLPYLRDITTTFPSFIFNSEVDEIVT >A08g509090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17531484:17540869:-1 gene:A08g509090.1_BraROA transcript:A08g509090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVDVNRFPNVISKDPNLNGSSSTSTHGENNNEKLKKVAQDGDVERLYELIAEDPNILGHFDKVPFCATPLHIAAEKGKTHFAMELMTLKPSLASKLNVAGYSPMHLALQNNHSLMVRGFVAIDSSLVSIKGRGSERPVPSPTSPGNMKNNNIPGPGTITTPTLLLRFLVRTCGREGRLPWYTRHPVPLDVVHTPCSVLRPLPAKTRRDSILPAPLFLLAVFERYGRRVSHTPHQSLGDSKVAVSVPDLALGSLDERKGVFDLRSTSPKTRKNRDLRFYLNALNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGGFTPRTYTAQADQPSPFLLHPYEVACPGTPGIRFPWMYKVAVSVPDLALGSLDERKGVFDLRSTSPKTRKNRDLRFYLNALNAGGYTPQNREAGGLAPAIGDLGCADGGRRRRFLGVLVAAAALVSRRASLGRVTPLHHVAQIGDAELLSEFLFACPSSIEDLTVKCETAVHVAVKNGNFMAFKVLLGWLKRVKKEEILDWKDEDGNTVFHIAAMMNHTEVMKLLRKTVKVEAKNLDGKTAMDILQPGQFRYGSTTTLAGYLSNNLSFMERRNNLLGLSNLGLTGKTSPNASERRDALLVVAILIATATYQAGLSPPGGFWQENSLNPNDGNGHKAGQMTMVYKNALVFIVLNGLAFLSSLLVIIILIMELPMWKLLYGSVAALSVAMSASYITIFPNPNGELEKLLRVLFIMAFPLAMGTMLYYTFIAFTSDKESRHKVDFQASYFSSYHTASRDNYLLFMTYS >A09p045740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40092167:40092691:1 gene:A09p045740.1_BraROA transcript:A09p045740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRCKRVKRLRAIRREIVEKEAFTLTRDDAKSAAIEAALAAPKLSVRQPPPSPFMEVATPTPESTAASGTIANDMDMEMDDEKRNKSLKPIGRKLKKKFKMGMKNRRSKGFLRGKRV >A01p048400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27254420:27260521:-1 gene:A01p048400.1_BraROA transcript:A01p048400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACLNPDRVVEHELQEVGFRGFGTYLFGMSERIAKQSGDVARGVKNPSLGWIIGFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVRVLGKFFSFSFLWSFFQWFFTGGENCGFSNFPTFGLKAYQYKFYFDFSATYVGVGMICPYIINISVLLGGILSWGIMWPLIETKKGDWFPADVEPSSMHGLQAYKVFIAVAIILGDGLYNFCKVLSRTLSGLFVQLRGTTPSFSRRSFTVQEEEEDPHASPQTPKESYDDQRRTRFFLKDQIPTWFAVGGYITISAASTAILPHMFHQLRWYYILVIYICAPVLAFCNAYGAGLTDWSLASTYGKLAIFTIGAWAGSEHGGMLAGLAACGVMMNIVSTASDLTQDFKTGYLTLSSPKSMFVSQVIGTAMGCVVSPCVFWLFYKAFDDLGIPNSEYPAPFATVYRSMAKLGVEGVASLPRECLVLCYAFFSVAILVNIVKDSLPSRWGRFVPLPMAMAIPFFLGPYFAIDMCVGSLVLFVWERVDAAKAEAFGTAVASGLICGDGIWSFPSSVLAIAGVNPPVCMKFLSAATNSRIIGVEEMNGKASVSKELNAKHSKILEALLKQPDNRECADCRSKAPRWASVNLGIFICMQCSGIHRSLGVHISQVRSITLDTWLPDQVSFMQSTGNAKANQYWESELPLHFERSSSDAFIRAKYNEKKWVSPGGIQPSPIASQLSCKVSHLVESGYKPATPKKARTLSLDEDLLLKHALQVTPPETRIRAGSVDMKENVYALPLPVGMEAKKPNQKNEIFSREMYQNRSTTTIAPPSSWATFDYGAINYGQQMAASACLRLPAAMAMAVRREEGAPNSKSSQEKPRIYLNKPSWIVTTQCGAKTETRRKEKGRCVICHGTGRVDCFNCSGKGRTNCVDMEMLPKGEWPKWCRSCGGSGLCECSRCLGTGEYRYIMGFRFLNKHDDLLTILTVAVYCTDEPVLTNL >A05p041390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25147078:25150495:-1 gene:A05p041390.1_BraROA transcript:A05p041390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT3G18040) UniProtKB/TrEMBL;Acc:A0A1I9LRW0] MGATHSTSVNSHSHSRNTSNHSTNTSRHCGASDRLAVSNLRSQLTTIYRNHEDEEEEDEDDKEEEEERRFDLVRDFDLSGLKRIRVPRRNHILMDPHKKVALETEFFTEYGEASRYQIQEVIGKGSYGVVASAIDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHVMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKFIHTANVFHRDLKPKNILANSDCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDIMTDLLGTPSPEAISRIRNEKARRYLGNMRRKPPVPFTHKFPHVDPLALRLLHRLLAFDPKDRPTAEEALADPYFYGLANVDREPSTQPIPKLEFEFERRKIMKEDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEEHYGKGERSAPLQQRHASLPRERVPAPKEENRPAATLAATPESPQISQHEGSNYMNGMSQTGYSARSLLKSASISASKCIGVKQRNQSEHGESNSDATDALSQKVAALHT >A06p053010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28000304:28009568:-1 gene:A06p053010.1_BraROA transcript:A06p053010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGLILFLSSVLLMSLCQLPTATEDDRKASHCCYIYVSLPYLTIYVYIAYMGAFPEKASYSPMSHHQNILQEVIELSSVEDSLVRSYGRSFNGFAAKLTESERDKLAGMEGVVSVFPDTLYKPLTTRSYEFMGLGDKSKRVPNIETDIIVGVIDHGIWPESKSFSDEGIGPIPKKWKGVCAGGTNFTCNTKVIGARYYVQDSARDNDSHGSHTASTAAGNIVEGVSMNGLAKGTARGGVPLGRIAIYRVCEPVGCNGASVLAAFDDAIADGVDVITISLGGVVLDLYVDPIAIGSFHAMTRGIVTTAAFGNAGPNLQTGQNVAPWIISVAAGYTDRKFVTTVVNGDAKAFPGKWINDFDLEGQMYPLAYGKTASNSCTEEQARLCASGCLNTVQGKIVVCDTLNNVTESREAGAVGTILYDFHIPAPDPIPLAVLDYSNFDAFTSYVLTSPDPRGTILRSKTVKDNDAPFVASFSSRGPNSLFSDIMKPDITAPGVNILAAYSPMSPTAVPGQSMDYYFMSGTSMACPHVGGVAAYIKTFHPDWSPSAVKSAIMTTAWPMNASKNAEAEFAYGSGHVNPTAAVNPGLVYEISKEDYLNMLCSLDYSANGISILAGGAFTCSEESKVNVRDLNYPSMTALYPSGSTEGVIFTRTVTNVGKDGSTYKAKLSGDPKLNIIVDPETLSFDSSGEKKSFNVTIPYNGLGHLDSYGHMSASLVWSDGSHNVRSMEGVVSVFPNTVYKLLTTRSYEFMGLGDKSKHVPEVETDIIVGVLDGGIWPESKSFSDEGIGPIPKKWKGICAGGTNFTCNKKLIGARHYVQDSARDKDSHGSHTASTAAGNIVEGVSMNGLAKGTARGGVPLGRIAIYRVCEPAGCNAASLLGAFDDAIADGVDVITISIGGGVVKVDVDPIAIGSFHAMTKGIVTTASSGNDGSKLGNARNVAPWIISVAAGYTDRKFVTTVVNGDAIALPGKSINDFDLEGQMYTLAYGKTASNNCTEEQARRCASGCLNTVQGKIVVCDTWNNVMESREAGAVGTILHINVVDIPGPDPIPVAVLNDTNYEAFRSYVLTSPNPRGTILRSKTVKDNDAPFVASFSSRGPNTLFSDIMKPDITAPGVNILAAYSPMSPTAVPGQRMDYYFMSGTSMACPHVGGVAAYIKTFHPDWSPSAVKSAIMTTAWPMNASKNAEAEFAYGSGHVNPTAAINPGLVYEISKEDYLNMLCSLDYSANGISILAGGAFTCSEESKVNVRDLNYPSMTAKVSASSSSDITFSRTVTNVGKDGSTYKAKLSGDPKLNIKVDPETLSFESSGDKKSFTVTVSGNSLAGISGIVSASLVWSDGSHNVRSPIVVYT >A03p046530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19647397:19648955:-1 gene:A03p046530.1_BraROA transcript:A03p046530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At3g24315 [Source:Projected from Arabidopsis thaliana (AT3G24315) UniProtKB/TrEMBL;Acc:Q1LYX4] MDEVVVVEVEKTKREWEDAYEKAIEHITAIQECGKSRRGEEKVSLLRLNGLAQDGLSILISLQFNLDLLAPQLPSDDHVQSLLETWKNQYQSLRVNLRSANLQAKDNMRKAAQQEAWLLSTLSFEIELLLGGGTESTELRRKRQANAGVTSDAESITESLRRSRQLMVQEVERSTNTLVAFDESTGVLKKAESEYKGHRSLLSRTRNLLSTMQRQDVIDRVILIIGFSLFACAVLYVVSKRIGILRLQQMATAAIKARLAGTPLVQQFDGGNTVPNVNIHIQQRLHDEL >A02p007630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3221910:3229352:1 gene:A02p007630.1_BraROA transcript:A02p007630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKLRDLSQPIDVGVLDATVAAFFVTGSKEERAAADQILRDLQSNPDMWLQVVHILQNTKSMDTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSGNEASFRSERLYVNKLNVILVQVSAALPDNMQCIYLVALLSEEVFDFSRGEMTQQKIKELKQSLNRHVKLSENLIFSFEFKLIHELCLYVLSASQRQDLIRSTLSALHAYLSWIPLGYIFESPLLETLLRFFPVPAYRNLTLQCLTEVAALNFGDFYNIQYVKMYTVFIGQLQTILPPSTNIPEAYSNGSDEEQAFIQNLALFFTSFFKFHIRVLESAPDIVALLLTGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNSDNPAANANLMGLQMSFIPGMVDGLGSQVMQRRQLYSNPMSKLRGLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLNKQLSGEEWAWNNLNTLCWAIGSISGSMAEDQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGEHEPFVSELLSGLATTVQDLEPHQIHSFYESVGNMIQAESDPQKRDEYLQRLMALPNQKWAEIIGQARQSVEFLKDPDVIRTVLNILQTNTSAATSLGTYFLSQISLIFLDMLNVYRMYSELVSTSITSGGPYASKTSFVKLLRSVKRETLKLIETFLDKAEDQSHIGKQFVPPMMESVLCDYARNVPDARESEVLSLFATIINKYKGTMLDDVPNIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATFCFPALIKLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQQSAFCNQFFRSYFIQIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGSLTEPLWDAATVPYPYPNNAAFVREYTIKLLSSSFPNMTAAEVTQFVNGLYESRNDPSEFKKNIRDFLVQSKEFSAQDNKDLYAEEAAAQREQERQRMLSIPGLVAPNEIQDEMVDS >A01p011870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5745637:5753160:-1 gene:A01p011870.1_BraROA transcript:A01p011870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVPVQKATVVPKPFIRLMRLLARTLYDDNNLTTLSDNKKKSEKGDSRGNIVIVLDALISLLTKSSGLPGSRLDFLEVVWTSWKSSGLPGSRLDFQEVVWTSRKSSGLLGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVIWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLAYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFSSQEWKKKKGKSILGALRASNWLFMVVVVLMTMAILRQWVREEDLARDLKKNPKQVRKILRHFEEKHLVTRYQRKETAKRAKIYNAAVSSTAHGRGEDDVKFHTQSYCCLDYQHMYDTARLRLQKIKRKLKDELEDKYTLQKYGCPNCNRKYSALDALRIISMEDDAFHCERCNGVLVVECDKLSSKEVVDGGDNVKRRQRQRLQFAEALMDQINRVKDIPFPVYESFPEWEARAAMDARENGDFNTNDPFGSQGVNGSTPMPYLGETKVEVNLRDGKEDGVPSGKPMPQWMIRQGMNLTKEQRGEMSQEEKVGGVSEGEVLLSDDYKPSAMGNDNDTYLKFQETYYAALLQQLEAAQKMNQQESTPDIQSATTCSDRQVGMKSKREDEDAECEEGAHVSANGAGVEEEEEEEEDDGVEWEEG >A01g510910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:30171469:30172434:-1 gene:A01g510910.1_BraROA transcript:A01g510910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFTLRKIGVPPNSANLTEARRRVFDFFRAACRSIPTIMDIYNLQDVVAPSQLRFSISAQIRNNAHVTDPKVIDLLLFKGMEELTDIVDHAKQRHHIIGQYVVGEGLVQNTGSKDQGKSDFLKNFYTSNYF >A03p028720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12075005:12076015:-1 gene:A03p028720.1_BraROA transcript:A03p028720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISAGPNRLISSASDVPLHLLTAKLNPVFFPSGKTQHLWRFSSILLPTRRRCAPPPRASSRADDSPPFDMSVETALKVLGVSEGASFDEILRAKKAIIASRKDDPNAISQAEAAYDMLLMQSLNQRRAGKVVSNNIRYADVKSTNSLGTGRVSQWMKNPPVSVDMPSTSDLGIQAGVYGAMMVLTYVNGSSIDSYAGADVPGLILATSFGASLYFMTKRNVKLGKAAALTAGGLVVGAVVGSTVENLLHVDVIPFLGIHSPAAVVSEFIVFSQFLVSLCLR >A01p046520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26164583:26166732:-1 gene:A01p046520.1_BraROA transcript:A01p046520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANPGRGGGRRGGGPMDDDKLVFETTEGIEPITNFNDMGIKEDVLRGVYEYGFEKPSAIQQRAVMPILQGRDVIAQAQSGTGKTSMIALSVCQIVDTSSREVQALILSPTRELASQTEKTIQAIGLHANIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRSLRTRGIKLLILDESDEMLSRGFKDQIYDVYRYLPPDLQVCLVSATLPHEILEMTSKFMTEPVKILVKRDELTLEVDWLSEKMRTNNFTVSSMHGDMPQKERDEIMNQFRSGDSRVLITTDVWARGIDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >A03p042760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:17861633:17862823:1 gene:A03p042760.1_BraROA transcript:A03p042760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNIFFILMLTLVHSTFSSLSPPDRAALESIRDSLTDMPGSSFFSTWDFTTPDPCSTFSGLACTSLGRVTTLSLGPNLSGSLSPSISNLTHLTQLVLYPGSVTGPLPPRFGSLPLLRVISITRNRLTGPIPNSFSSLSYLHTLDLSYNQLSGSLPPFLTTLPRLKVLVLASNQFSNNLKPVSSPLLHLDLKMNQISGQLPPAFPTTLRYLSLSSNSMQGTINALAPLTELTYIDLSMNQFTGAIPNSLFGPTTSSMFLQRNNFTSISNATAISLPQGSTVDMSHNSISGELPPSLAGAESLFLNNNRFTGDIPEEYVRSLTNGTTKTLFLQHNYLTRFPWNSGSQLQDSVSLCLSYNCMETDPIVGLSTCPVEVAPLLSRPAIQCSRFYNHSSTG >A08p024680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16023151:16035531:1 gene:A08p024680.1_BraROA transcript:A08p024680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGELKRVSDAEDDDTYHKRPKLECPASASSDSSSMEERTVASSPRTHTTDMFCHNFILNHGRKDDDSQLHFDLTDDVLHVVFSFLKHVDLCRSAMVCLENMCHRYPNATRVNVYGVPAVNALAMKAATTLRNLETLTIGNGHISENFFQILGECNSLRSVTISDAVLGSGGPQEIHLSHDRLHKLKIRQCSALRLSIRCPQLRSLSLKRSNMVLAMLHCPLLQHLDIASCHKLQDADIRSAVTSCPQLESLDVSNSSRLSNETLREIAQACAALHILNASYCSNISFESVHLPMLTVLKLRSCERITSASMTGIANSPALEVLELDNCNMLTSLVLHLSCLQSLILLNCRKFVELNLQSTMLSSITIANCLALRRVTITSNALRRLGLKKQENLTTLVLNSQSLQEVDLSDCKSLSNTVCESFSDVGGCPLLKSLILDNCESLTEVRFCNSSLSSLSLVGCRAVTSLALKCPRIEEICLDGCYNLETAFFKPVALRSLNLEICPKLSVLNIEAPYMVSLDLKCCGGLSEASIICPLLTSLDASFCGQLRDDCLPAITASCPLIESLVLMSCSSIASDGFSSLKGLQNLSVLDLSYTCLMNLEPIFKSCIQLKVLKLQACKDLTDSSLEPLYKEGALPALEELDLSYGTLCQTTIDDLLACCTHLTHLSLNGCVNMHDLEHDWGSGESNQEPAETSTNRLLQNLSCVGCPNIRKVLIPPAARFNHLSSLNLSLCVNLKEVDLACSNLVLLNLSNCCSLEVLKLGCPRLSTLFLQSCKMDEAEVEAAISGCGSLETLDLRLCSKISSVSMVRLQTVCPSLKRVFSSPNLLQDQGFASNAIFNPTLARMEEGEEAAGAELKRLWSSNNAEDGTMEEEDDSDHKRAKVHSSLAECRSASGISSDASGSSMERTVASSSRTDTAMFCQNFILNHGRKDDDSQVYIDLTADLLHVVFSFLNSIDLCRSAMVCRQWRVASAHEDFWKVFNFQNMRISIKQFEIMCHRYPNATKVNLYGVPSYVSNSLAMRAATTLRNLEVLRECNRLRSVTISEALLGTGPLETYLIHDRLRELKIIKCCVMRLDIRCQQLRSLSLKGSNMAVAMLHCPLLQHLDIASCSKLLDVVIRSAVTSCPLLESLDVSKCSHISNGTLGEIAQACAGLRRLNASNCPSISFELVHLPMLTVLKLRSCISITSASMTWIANSPALEVLELDNCNMLTSVALHLSFLRRLRLVNCPKFTELNLQSTMLSSITISKCPALQRVTITSNALRRLAIKKQKNLTTLVLQCPSLQEVNLTGCKSLSNTAVFSDDGGCPMLKSLILDNCESLTEVRFCNSTLSSLSLVGCRAVTSLALNCPRLEGICLDGCDHLKTVFFKSVAVRSLNLGICPKLSVLSIEAPYMVSLDLKCFGGLSEASIICPLLTSLDASFCGKLRDDSLPAITASCPLIESLLLVSCSSIGSDGFSSLKGLQNLIVLDLSYTWVMNLEPIFKSCIELKVLKLQACKDLTDSSLEPLYKEGALPALEELDLSYGTLCRTVIADLLACCTHLTHLNLNGCENMHDLDWGSTSVELSDYFGVYNSSENTQEESAETSNWLLQNLNCVGCPNIRKVLIPAAARFDHLSSLNLSLCVNLKEVDLACSNLVLLNLSNCCSLEVLKLGCPRLSTLFLQSCKMDEAGVEAAISGCCSLQILDLRLCPKISPVSMARFQTVCPSLKRVCSRVLIF >A01g509990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27370416:27375197:1 gene:A01g509990.1_BraROA transcript:A01g509990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFSMYLFVLLPQLFLRFGLAENPSGFTSLTCGAPMGTSFLEKATNITYSSDAPYIDTGIGRSIKSSYQSKSEQQTWYLRSFPQSSRSCYTFNLTTGDKYLIRAIFFHGGYDTRPSTKFDLHLGPNKWATVSTAEETKSETFEIIHILATSRLQVCLVKTEDSTPFISAIELRKLTSKAYANESGSLQTFLRADIGSFSKQSLRYGSGVYGADVFDRIWLPYNSENWSQIRTDNSVDNDNGFKVPENVMATASVPTDPDAHMNISLTGLHQTSRFYVCLHFSEIQELNPNDTRELKVMYNGRLIIEPFKPISFYTSSFIRDELGPNANGQCTFSLQKTASSTLPPLLNAMEVYTVNSLSQNETDTKEENVSGSATDWQGDPCVPRDYMWSGVNCSYIDSEQPKIISLNLTTHGLTGEIQETISNLSSLQVLDFSNNSLIGLVPEFLAHMTSLKVINLSSNLLYGSIPTSLLDKVQRGLVSLSIEGNPGICSSASCATTNKKKTKKKTMVIASVAASILVIVLVILKRRAKLGRYPSSDHDDNNLQQCNNQSSSSEMANNMFTYEYLAQATDNFSNVNFIGQGGFGYVHKGVLPDGTEVAIKQLKAGSGQGEREFLAEIEIISRVHHRHLVSLLGYCVTGTQRLLVYEFLPNKTLEFHLHEKRRPLDWSKRMKIASGAARGLAYLHEDCNPKTIHRDVKAANVLIVDSYEAKLADFGLAKCCLDNDTHVSTRIMGTCGYLAPEYASSGILSDKSDVFSFGVMLLELITGRRPVGKSHPFADEENIVDWAKPLMLQALNTGNYHGLVDPRLEKDFDISEIKRMVLCADACVQHSAKHRPKMSQIVRAFDKSISLDDLIQGVALGDITSYNLDGSSDYTSTEYKEDVKKFKRLVLESQTFGSSDLTSDNAQSSSGSFCIKSET >A06p005590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1789210:1791147:-1 gene:A06p005590.1_BraROA transcript:A06p005590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESYEDTLERLGELLSCKSDLGKVAATKIKKLFDELEEHKSNKSSDAVERIQSGFIHFKTHKFLKKPSLYNALAKSQNPKFLVFACSDSRVSPSHILNFQPGEAFEIRNIANMVPLFDKTQHSGTGAAMEYPITKLNVENILVIGHSRCGGIKALMSIEDDAAPNKSIFIENWVKIGTSAKNKVKQEFGDLSFEEQCTHCEKEAVNVTLGNLLSYPFVRERVEKGKLALRGAHYDFVNGTFELWEFDVKTTPAYAFS >A03p021420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9100970:9104304:-1 gene:A03p021420.1_BraROA transcript:A03p021420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEITRLMQEEVTLGEVSDRREGEFSDDKLVLDISRKRKRSEEKEEEVVQKDKDVFKTPENRISEVRECPPAPTKGGKKSSVMHREETMATPQVKTGLFVGLNKGHVVTRRELAPRPNSRKGKTSKRTLFIRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRSGGGATTEKKK >A03p047220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22512281:22522098:1 gene:A03p047220.1_BraROA transcript:A03p047220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPFLPRDEELVPGKTTWQKDHLTVELKKLSLLAAPMAIVTIAEYLLPVISVIIAGHNGELQLSGVALATSFTNVSGFSILYGLVGALETLCGQAFGAKRYEIIGTYTYSAIASNIPICFLISILWIYIEKLLVSFGQDPDISRVAGSYAFWLIPGLFGQAIVIPLTRYLQTQALVLPLLYTAVATLLFHVPVCWTMVSVFGLGSNGAAMAISVSFWFYALILACYVRFSTSCEKTRGLISDDFVSCVKQFFQYGIPSAAMVCLEWWLFELLVLWSGLLPNPKLETSVLSICFTTAALHYVIPGGVAAAVSTRVSNNLGAGNPQVARLSVLSGLCLWLVESILFTTLLFTCKNIIGYAFSNSKEVVDYVANLAPLLCLSFILDGFTAILNGVARGSGWQHIGAWNNVVSYYLIGAPVGVYLAFYRHFNGKGLWSGVVVGSAVQAIVLSIIISSMNWKEQAEKARKRILSSEKGLSFDVFVKPSKSNAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDVVAQIVSASIAGDIVKASAYAHELPQYGLTVGLTNYAAAYCTGLLLARRVLKMLEMDEEYEGNLEGALDGGLDIPHSDKRFAGFNKENKQLDAEIHRNYIYGGHVSNYMKMLNEDEPEKFQTHFSQYLKKGVDAESMEELYKKVHAAIRADPNPKKTEKPAPKTHKRYNLKKLTYEERKNKLIERVKALNGAAGGDDDDEDDEDTNNPHNSTLIWEYQAAAVTFGWSPPIIKLIFPMHPLPKLSVFVKPSKSNAYFKRYQVKFRRRRDGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIVAQIVSASIAGDIVKASAYAHELPQYGLTVGLTNYAAAYCTGLLLARRVLKMLEMDEEYEGNLEGALDGGLDIPHSDKRFAGFNKENKQLDAEIHRNYIYGGHVSNYMKMLNEDEPEKFQTHFSQYLKKGVDAESMEELYKKVHAAIRADPNPKKTEKPAPKTHKRYNLKKLTYEERKNKLIERVKALNGAAGGDDDDEDDEE >A02g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1318310:1321231:1 gene:A02g500390.1_BraROA transcript:A02g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDGSNSGRKRRKNADDSGNNRASKRGREDKLAVDDSEPPVKKTASTKATETTRIEHVETSDSYLSNTRFDQFPLSPLSLKALEDAGFKTMTVVQEATLPIILKGKDVLAKAKTGTGKTIAYLLPSIEAVIKSPPPASTDKKKPSIIVLVVCPTRELACQAAAEAKTLLKYHSSIGVDVVIGGKKLRSEQRRMQKHPCRILVATPGRLIDHIDNTPGFARRLRGVKVLVLDEADHLLDMGFRRDVERIISAVPKKRQTFLFSATVPEEVRQICHVALKQDHEFVNCVQEGSDETHQKVIIFCTTAMVTRMVADLLGQLSLNVREINSRKPQGYRTRVSDEFRKSKCIILVTSDVSSRGLDYPDVSLVVQMGLPSDRKQYIHRLGRTGREDKEGKGVLLLAPWEEYFLSSVKDLPITKASLPQTDPEAVKKVKKALRQVDMKYKEAAYQAWLGYYTSQKKIARDTTRLVELANEFSRSMGLGIPPAIPVNVLDKMGLKNVHGLRVAPGS >A09p049060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43510246:43512178:1 gene:A09p049060.1_BraROA transcript:A09p049060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLGKSILSSLLAIWFFTSCAFTEEVNHVNQTPSSAPAPSPYHHGHHHPHPPHHHHPHPHPPAKAPVKPPVSPPSKPPVKPPVYPPTKSPVKPPTKPPVKPPVSPPAKPPVKPPVYPPTKAPVKPPVKPPVKPPVSPPAKPPIKPPVSPPAKPPVKPPVYPPTKAPVKPPTKAPAKPPVSPPAKPPVSPPAKPPVKPPVKPPVKPPVYPPKFNRSLIAVQGTVFCKSCQYASFDSLIGAKPVEGAVVRLLCKSKKNIVAETKTDKNGYFLLLGPKTVTNYGFRGCRVYLVKSKDYKCNKVSKLFGGDVGAVLKPEKRKGKSAVVINQLIYGIFNVGPFAFDPVCPK >A04p037450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21368021:21373428:-1 gene:A04p037450.1_BraROA transcript:A04p037450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase 4, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT2G41560) UniProtKB/Swiss-Prot;Acc:O22218] MSNLLRDFEVEAKNPSLEARRRWRSAVSVVKNPARRFRNIPDLDKRAQNETKRHQIQEKLRVAFYVQKAALQFIGAAGRPEYKLTDEVKEAGFSVEPDELASMVRNHDTRGLANNGGVVALAKKVSVTDLNEGVKSSELPIREKIFGENRYAEKPPRSFLMFVWEALQDITLIILMVCAVVSIGVGVATEGFPKGMYDGTGILLSILLVVMVTAISDYKQSLQFIDLDREKKKIIVQVTRDGTRQEISIHDLVVGDVVHLSIGDQVPADGVFISGYNLEIDESSLSGESEPSHVNKQKPFLLAGTKVQNGSAKMLVTTVGMRTEWGKLMETLVDGGEDETPLQVKLNGVATIIGKIGLSFAVLTFLVLCVRFVLEKATAGSFTNWSSEDALTLLDYFAISVTIIVVAVPEGLPLAVTLSLAFAMKKLMSDRALVRHLAACETMGSATCICTDKTGTLTTNHMVVNKVYICDKVHEKQEGSKESFQLELPEEVQSILLQGIFQNTGSEVVKDKDGDTQILGSPTERAILEFGLLLGGDFGEQRKEHKILKIEPFNSDKKKMSVLIALPGGGARAFCKGASEIVLKMCENVVDSNGETVPLTEELIQNISDVIEGFASEALRTLCLVYQDLDEAPSGDLPDGGYTMVAVVGIKDPVRPGVREAVQTCQAAGITVRMVTGDNISTAKAIAKECGIFTEGGLAIEGSQFRDLPPHEMRAIIPKIQVMARSLPLDKHTLVSNLRKIGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFKTIVNVARWGRAVYINIQKFVQFQLTVNVVALIINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNEGLMKRPPIARTASFITKTMWRNIAGQSVYQLIILGTLNFAGKSLLDLNGPDSTAVLNTVIFNSFVFCQVFNEVNSREIEKINVFTGMFDSWVFTGVMVVTVVFQVIIVEFLGAFASTVPLSWQHWLLSILIGSLSMIVAVILKCIPVESSHQHHDGYDLLPSGPSSSNSA >A06p006760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2283908:2290208:1 gene:A06p006760.1_BraROA transcript:A06p006760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGFPINIGTTILAAVLVPQSIVVILTVDRWGRRPLLLLSSTGVCICSFLIGISYYLQKPGEVQTICSVLLIVGIIGHVSFFCIGLGGLPWVIMSEIFPVNVKITAGSLVTVSSWFFSCVIIYSFNFMMQWSASGKFNSVPTHPQKTEEEEEESLKVYQGLHKKKKKDSSTMNPQSSRLSDHTDVNSNEFHAYLLSLATRIDAAIWNNEVPGNTQELASTLNQVGQCKCSDQTKAVIMTLLMSVKSACELGWFPQRESQQLLVLVYSMLTSFTDSENVPSSPTPNDSFSLIPQVMERFYPFLKLGHILVSSEADAESFALVKPFHISKNIVEHSPRPRPGLFVFRTDDISNSSCIIHPQEVSFSLNGRGVDKRHISSMDSRPQRPTNLYNMLVDGANLLQTLGSFGGSYFIVIALLHDIPPPVYPSLKDYVNESDSACDIPKEGPSRISLSCPISRKRIKLPVKGHACKHLQILEEVGSNVTDVVISPDGSWKVVTENDENVEATNHHGDTSSSQNLSPTVLDLTRDDNEMETSGNTLIPMVNQSSDSVEALPQTLNVNNDGQQQFPVSSAREVIHMPFLPTSLPQDRVAANTGGSHIPMPGAHSSQYQGLHVSSLGLSLGRDSDLMERWNHHNYGNCIPQTQFHLPTRSLSPVQERPIPSSFTSPQTLAFNYGGTSDQRHMQRHNPGGAGEQLSSREFMNMTPDNSANRPQQIRMMRGSITPGSTRYDHLIIRPTQRPVQSQSQAQTLPPPQPTAYSHVPVQSQAQTFLANPSYPVGTNETQAGSSLFPLEEDVAPLGSFWSMPRET >A06p041810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22505986:22507640:-1 gene:A06p041810.1_BraROA transcript:A06p041810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRFTLPLLLLATSFLLPLSAQMTGNFKCGSPGDSNTTCRSLVGYSTKNATTYASIQTLFAVENLRSILEANNLPLSTTGAQRVNPNQVVRVPIPCTCSNGTGVSTDVPVYTVKQGDTLSAIASELFGGLVRFPRISEMNKIPNANDIVIGQRVWIPLPCSCDKVNGRDVVHYAHVVKSGSSLGAIAAQFGTDNGTLATLNGISGDAQLLADNPLDVPLRACSSYVRNNSLDASSMLLPNGSYSITANNCIRCSQIMMVFGFCVFVISLSCEASQLRPTTWPTCPATRCPGAESLFLGNTTSTSCGPRSCAYAGYSNQTILTTLSPDPCSGSDGSTPSGNYASTFSPRFSFMVVLIQCALFCVCLL >A03p005370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2248394:2249739:1 gene:A03p005370.1_BraROA transcript:A03p005370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMVVQLEEGKDNGISVRTEQYKSFRPQLLLVLGLFLAGVVTIFIISTNKYTGLQSVVTTVTSSFVPRREEEPDGLSKWIQPPGVLMHNMSDEELLWRASFWPRRKGYPFKRVPKIAFMFLTRGPLPLASLWERFLKGHKGLYSVYIHPHPSYTAKFPADSVFYQRQIPSQLAQWGRMNMCEAERRLLANALLDISNEWFVLVSESCIPLFNFTTIYTYLSQSKHSFMDAFDDNGPFGRGRYNENMEPEVPIAKWRKGSQWFEVDRDLAVTIVKDTLYYPKFREFCRPACYSDEHYFPTMLTIEKPMALANRSVTWVDWSRGGSHPATFGGSDITENFFQKIFDGIHCTYNGRNTSMCYLFARKFAPSALEPLLHISPKILGF >A09p014300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:7346193:7346360:-1 gene:A09p014300.1_BraROA transcript:A09p014300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKETLMEEDPREEILKYAKVAVKDPKFIAPAYSQTQPETIFAKSDDEEEEEEVT >SC273g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000120.1:4147:16212:-1 gene:SC273g500010.1_BraROA transcript:SC273g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRGFGCEWYGRPYKAVHGRTVRASSSRSNDDYIKRSDLDALFKMLKENGNTYGYSFGASMIAYKDDHLIRELGGEEHEEPMQEANQDEGGVENEGEESIGSDGQAVEGGTREPGDSKALVTYTAQPSLQNNRENEYLRRSDLDSLIKMLKENGNTLGTLGYSFGASYEPGGWRGVMNLKPKLLVQELITSGYKKDEAKRSRFEIAFGGLRNQPGSQEVFLVHHPSELKEEDFAHCVEQWRVEKEVVMRHWCEVSLKLTCKLGPILNPSLRRGV >A08g501640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4104721:4122393:1 gene:A08g501640.1_BraROA transcript:A08g501640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPEATSRSDGMRSLCTTSRSDSSRATAQSRSRFHIEVVEKSLVDFKKKELKFLGSIATHPERLHKVARVFTSGDTHFDLGATFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRVAYLLELMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQHSNPSTSTPQRHLKVAPAGSEVSRATLHGRSRFRRRIKKKSPQSEVSERGRRVAPAGSDIMGATPRRRSRFRRNGAQKLTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSSLFLTTHSPFSFIQSKVKMVKKTKGKLEAEKQEAERTEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRAGKSVAVPTHEESETESADEQAPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWTPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLSTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGKPVEVVLPNRNLTSLEIPGAVSFNIPQEYFLGEHGPLDPIQAASSRRRSVPTQPDPPVADTSEHIYGPPRYYFKPHDGVLPPGALRDAHDHIGRLQRWNKAQDRTIAKLKDKCKALSKTVKKQAKTSAKFMKKVADVLTRGGIAGCSSADFAIANTSNPQPPPPPDALGFPLTAAQLQRKWRNPPTQPSTSGNKSPSLASSDSEHEIDEVESQPWYGGSGSASGDFKKKELKCIWSSKELIRVVFGRALPGATSRSDGMRSLCTTSRSDSSRATAQSRSQRRAEVAPEAWSDLTRATGRSRSPFWFSETRNRSRSDLSQRPSEVAPEAQSDVLERLAEVAARRLSARIHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKVLECHMEFFGTFGCIWSLKDVKQVIIGRAEHGSEVPQRRHEVAPKHLSERPS >A10p001640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:853485:854398:-1 gene:A10p001640.1_BraROA transcript:A10p001640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRRKYKNSRAKVRVALPKKNPNIFKPAFNFPPKLRALMADDVPEWDDQASVIHNYKSFGVISNPNLLGIRSRTDHMIQDDSLNVPPPPQPPTDDPVAKEFEPIDSGSELEEDDLKTALGKQRKDGKSAPLQPLTTMQRTHIRRLVEKHGDDIEAMYRDRKLNSMQHSVATLQKLCTRYHMYKDKNPVLVPF >A01p059660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:33997903:33998289:-1 gene:A01p059660.1_BraROA transcript:A01p059660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISLLLLVVVLFSSLQAYEAHRIGNFDKGLEKDLHNAEAMIEEDLKAKKTSTQGLKSEVTTLSKSEQTLKQLGNDYKKDTDEAPYGKKLKKFSRLVKVKEVLGKKKKAASVIQKILKDFGLNGGRE >A06p000110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:510631:511596:-1 gene:A06p000110.1_BraROA transcript:A06p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVKIAGISPAGYSLYGRMSLRREERRSENQDQAVDFEFCPEDPVTMLSADELFSDGKLVPLKFSGPETTRRRPQTCTVKTEVGRRVEMEMSELFSPKAPRCTTRWRELLGLKKAHESSSSSSSLKQFLHRGSKSSSSPADATPLLMKESEMASSSRLSLSSSSGHEIDDLPRLSLDLDKPSPNPFAPPSRTTPRIRLAKPRRPSNPTVDGVLNVTADSPRLNASGKIVFHGLERSSSSPGSFTGGPRLKQHHGMPRSYSANVRVSPVLNVPVSSLKSSIFFGQMFSSSPGNNKSQLQSNGKNQTNRTRLEPTKCEQN >A05p018650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4787060:4787233:1 gene:A05p018650.1_BraROA transcript:A05p018650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHQNVSSPLVAEALAVRKALQTASSLNVIHLRMFSDNQTLIRAINDNVSRKRSMAS >A05p013940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6083279:6084964:1 gene:A05p013940.1_BraROA transcript:A05p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFPSFQLLELNIISAQELAPVSRKMKTYAVAWVHSQRKLTTRVDYTGGANPTWNDKFVFRVSEDFLYADTSAVVVEIYTLHWFRDVHVGTIRVLISNLIPPNRRPGYRSNDEYRHTPPLGMRFVALQVRRPSGRPQGILNIGVGILDGSMRSLPLYTHMDSSAVGPKDLLGEEEPYLHLNSFKGSFKNPQSPSSKQYQSVVSKPPMLRRTRSDTSSMVVSDLLSRAERSRVANTKHVSSNSETTSGHHTTTTDSDDNKSPYETPNIPRERYDSFELDFVDQLSENNDVMPPRSERYDDESPYRSYDHSRKTPRRTPKPPPRDYDRGSNRASPYLSKHGTPLRSNIVASTPIRSNILAATPLRSNIVGSTPIRSNIVGSTPKRSNYRSTPMRSNLAGRLILTESELGPSSSGVANKIAKERSQANDTESSILSEWSLDDDSNIEGLLSKLERWRTELPPLYDLGSSHQSSNVGREIVPVSANGGGGKSSRRKIPTAKKKKKHNRRHTEGGNGLFSCFSNLCGVECTFVCGGGSDHDGSKKKGGSKRLPRLASSADDLSYL >A04p038010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21657417:21661238:-1 gene:A04p038010.1_BraROA transcript:A04p038010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASKTATVCAHHGGGSSKLVSVAADTVAGSSDGEDRRDSSKFGLESVIRPVDSMPDTAKKPATKGISVMPMPRTETKHPLDPLSAAEISVAVATVRAAGANPEVRDSMRFIEVASVEPEKNVVALADAYFFPPFQPSLLPRTKAGPVIPMRLPPRRAKLVVYNKKSNETSVWIVELSEVHAVTRGGHHRGRVVSSEVIPDVQPPMDAAEYAECEAIVKDFPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADAPSRRLAKPLIYCRTDSDSPMENGYARPVEGIYVLVDMQNMVVIEFEDRKFVPLPPPDPLRNYTPGESRGGVDRSDVKPLQIIQPEGPSFRVRGYFVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGTIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFLCTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFIARMDMSVDCKPGEAFNQVVEVNVRVDEPGENNIHNNAFYAEEKLLRSEAEAMRDCDPFSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLARPEAKFLRRAAFLKHNLWVTRYDPGEKFPGGEFPNQNPRSGEGLATWVKQNRSLEESDVVLWYVFGIIHVPRLEDWPVMPVEHIGFTLMPHGFFNCSPAVDVPPNPACELETKDSEVKEVVAAPKPGLLSKL >A05p035140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:19930103:19930987:1 gene:A05p035140.1_BraROA transcript:A05p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDNFVEDDEMNMIDEDVASDSEAGSLSDSDSENEITEKLAEPTKTAVYNRDGLLDKLQDNSWPEDVDWTHKLTVEIEQGQAVDVNDDLAREMAFYTQALEGTRQAFEKLQEMGLPFLRPADYYAEMVKSDTHMEKVKSKLLYEKKQMEEAEERRKARDNKKMAKEVQSQKMKERAKQKKDEIESVKKWRKQRQQSGFSEKGAGELDLEFGNGKSFQRGGGKKRPGVSPGDRSGGKGKPTSRMNNKKREFRDSKFGHGGRKGLSKQNTAETTNDFKGGFRGGKAGGNKRQKR >A10p022370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14770481:14772742:-1 gene:A10p022370.1_BraROA transcript:A10p022370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPRIEISFLETFICSAFAACFAELCTVPLDTAKVRLQLQRKIPTGDGDNLPKYRGSLGTLSTIAREEGISGLWKGVIAGLHRQCIYGGLRIGLYEPVKTLLVGSDFIGDIPLYQKILAALLTGAIAIIVANPTDLVKVRLQSEGKLPAGVPRRYAGAVDAYFTIVKMEGVSALWTGLGPNIARNAIVNAAELASYDQIKETIMKIPGFGDSVLTHLLAGLAAGFFAVCIGSPVDVVKSRMMGDSTYRNTIDCFIKTMKTEGIMAFYKGFLPNFTRLGTWNVVMFLTLEQVKKVFLREVLLD >A02g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21915085:21915786:1 gene:A02g507880.1_BraROA transcript:A02g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTPLHLAKRHGEGGVRFLKPSYLANLRNFLNWNSIVVQAVKQSIYFKVYKATVSEVQSLRLELRQATGPCTQIFNIDAGYANQRQIQLSDENRGPAGRGTTEPTQTPPDS >A03p029010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12197618:12201082:1 gene:A03p029010.1_BraROA transcript:A03p029010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYPWKSVITIPCVSHVLSLRHFTKHFECAKSHTFRRTRFHSDTHTDVSVSTTTSCFKSLSSTQQNQIHLYVDTLLQWNQKMNLTATKEADEVMERHIEDSLAILPPIRTCYNLQSNEQISLIDVGSGAGLPGLVLAIACPDWRVTLLESINKRCVFLEHVVTVTGLTNVKVVRGRAESCGHDVMYREKFDVAIARAVAEMRVLAEYCLPLVRIGGLFVAAKGHDPKEEVENAENAVRMLGGSILQISPVDSHSPYGQRTTVICRKDHSTPQKYPREAGTPSKLPL >A03p058440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25381989:25384575:-1 gene:A03p058440.1_BraROA transcript:A03p058440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTDDICALVLARLPIKIFTGFKLVCKHWKSIVESPFFRKLFMSMHQNSASSSWSLMSTDHVDPEMVGYYNQCDTWGLKRPLGSFIKSFLNHKNRNHKYIRVSAVAYSDVGWILIYAMSKTNEKSSLYVANPVSHECVEIFIDFLPKGFERIRFCLWQWGIATRVENGILLGYTVVVINQKWSDTKLSCLIYSSETGMWSLDTSFSNYHYCQNSISLNGNLHWLPRNNDYQEVVLSMDFYTNSTGSDRCRVSPFPDLGRTTKFKRSCTPCHGFLMYMNIVCATKVDGSLEEKLCVWRLQSEGWQLISEISPGPILTSFNYSSMTINPFDAKTAYFWSMEQKSLLYINLHNGKSVIYNQFELTSDGRTMIPAHDPRAVISLKNAYNTPNKETLSRSFSHNGCIDARKRFVT >A09g512400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:36408762:36415194:1 gene:A09g512400.1_BraROA transcript:A09g512400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYMKDKLAALTAPMANAYANAVVFKKIENLVATFRHRKSTKTSSRFLPVNKKGNDKSYQNPVNKSRCIRVLPKHVFRKHFGRIKLVLPKKPLKNPYVNRGKRKHNKTITQLGRYVATEHAHCSVATTHAARSLRSDRAHTLLGRYVATEHAHTARSLRSDRAHTLLGRYVVTELKPTLHSLRSPQKGPSLGSLLNPRRNAFRFVSIGVSVEILRRKQVGLVSACFHSLRSDLGPPLRSPLNSHRNAFGFVSIGVSVEILRQKQVNLILNSLACFCSPYLHLCVHFRISIETSLVSPRLKLPLRLYDIKKKPQRPIFSHGFRLISVKISITVFTKSNLRKDIFTNSLAVKYRPNLNRTTKYRLSEGNGHVSKSAADKLEYGNQTADKPSSIDTRQPSMHTARSLRSDRAFFLIGRYVATELDPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRARAKARSLRSDRAIVPLGRYVATGSSQARSLRSDRALVPLGRYEATGLEPKFGRCVAIEPFRTSIRHQFLHSRQNLRMLSPEDRSKLTWFTLGPQGRINVKFPRINTEVLKIIVKIGKNGISPFLCYDGLRAEERTRPGSSISCPALSIELQIRDLWEIRVFLVSLFKRKSTVQISVPTPD >A09p052080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45712520:45713717:-1 gene:A09p052080.1_BraROA transcript:A09p052080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGRPRDSCLVSTLFSMPSHNETQWSFSLSKKRSLLNIDETALHNNHKKKIHKLTEFLNLDGGDDECAASNSNNNGENGSSDSGGSLIPGMNKDDSISCLLRCSRAEYCSIASVSRSLRALIRSGEIYRLRRLQGTLEHWVYFSCHLNEWEAFDPRSKRWMHLPSMPQNECFRYADKESLAVGTDLLVFGWEVSSYVIYRYSLLTNSWSTGKSMNMPRCLFASASYGEIAVLAGGCDSNGRILDTAEMYNYEDQTWSVLPEMNKRRKMCSGVFVDGKFYVFGGIGVGEGNEPKTRRWREIPDLSPPRSNQGNGMSAAAMAPPLVAVVNDQLYAADHAGMAVRRYDKENRVWVKVGSLPEQAGSMNGWGLAFRASGDQVIVIGGPKAP >A09g517820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53575193:53575738:-1 gene:A09g517820.1_BraROA transcript:A09g517820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFLGADDFILRHHQQLAELSDDQRLVLRVDPLLFVQECSETGRGADELNRIGLRFDPIVGDLQEGRVHLHEALGFEVEAEPLAALLEVPEGDGLAWLVKHFFDDASAEIVALVPLDGFVQLRVLLPHHFQARYPSQFVRKWL >A08p014220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9520239:9520791:-1 gene:A08p014220.1_BraROA transcript:A08p014220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHCVMEVNIQRTRSFPSLLTGVPGPPTNLNIGMDYWNGHGNDERELKRQKRKQSNRESARRSRLHKQAECDELAQREDVLNGENASLRAEINKLKSQYEELLAENSSL >A09p053770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46561665:46563956:-1 gene:A09p053770.1_BraROA transcript:A09p053770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MQSLGGIRSWPATWRTTTASMTTTTTESVKKVAQVLTVAGSDSGAGAGIQADIKVCAARGVYCASVITAVTAQNTRGVQSVHLLPPDFVSEQLKSVLSDFEVDVVKTGMLPSPEIVEVLLQNLSEFPVRGRVALVVDPVMVSTSGHVLAGSSILSIFRERLLPLADIITPNVKEASALLGGVRIQTVAEMRAAAKSLHQMGPRFVLVKGGDLPDSSDSVDVYFDGNEFHELHSPRIATRNTHGTGCTLASCIAAELAKGSNMLSAVKVAKRFVDTALNYSKDIVIGGGMQGPFDHFLSLKDPQSYRQSTFKPDDLFLYAVTDSRMNKKWNRSIVDAVKAAVEGGATIIQLREKEAETREFLEEAKSCVDICRSNGVCLLINDRIDIAIACDADGVHVGQSDMPVDLVRSLLGPDKIIGVSCKTQEQAHQAWKDGADYIGSGGVFPTNTKANNRTIGLDGLREVCKASKLPVVAIGGIGISNAESVMRIGEPNLKGVAVVSALFDQECVLSQAKKLHKTLTESKREH >A03p070090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30798579:30799684:1 gene:A03p070090.1_BraROA transcript:A03p070090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTKKLKASTEFSLSDESSASSTSSISPEKMVEVKKEPFSSLKKADRERIRRDKLNEQFLELGNALDPNRPKSDKASILIDTIQTLKDLMTQVDRLKAEHVTLSQESRELIQEKSELREEKTSLKSDIDILNAQYQHKIRTMVPWIPHYTYPVPLVAITQGPVSTLPFPLYGTRNPAPLPSPCSTFMPYSAEQKDDAGLELELKIHASSSGQEDVYGKEKKGNLTNTASSSKSNSYSSSSQAVSDGVM >A06p009590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3371315:3371991:1 gene:A06p009590.1_BraROA transcript:A06p009590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSGVQQNAFEETRRNSALLCPQPRRLDVLSHHQHLARSLRRQVSHQMELSESNSRREMLDLILAKGGGGCEQQDPFFTGSPPSRVSNPLTKDSLFRDELFVVAPPPYTPRATKPPPPPSPRSGGGGCVRVVTNFGNKPPVRVVGFNCLDRDRRSSVPTLA >A08g502890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5771278:5773026:-1 gene:A08g502890.1_BraROA transcript:A08g502890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEDHMVRIDNEEAEFHDKQPIVPGSDGEHGLGGSNYRISRVKIMEICTQHVLLKDNMYYFAAEEVYSENAEAFVMPHVLLTTPKSCMFSYITIRPTMWRSIRTFLNHFNFLELKGAVKPPPYLFLFPSLTLIQASIHVSRSTTSNTCSNKNSFYKLRLLDVTRSNQNLRFADAPVSIRFTDLS >A10p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21647894:21651157:-1 gene:A10p038880.1_BraROA transcript:A10p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQPLQQKSDSRDDARAEFERGFEEFMRGHLDDCISFHNQEDEDDDDDEEDQLVRRRGESSRRRQSQILSRWAARQAQEMITTIERRNRESELIALAGLQTVSMLDSSFLRESQSTTTRRQERPRPRPRTQTASSGILQMWRELEDEHVRARERLRHQRSVESSNTNLSSSVASENNESESEYGSWSRDRNEHVDNNNNNNNASSREQSPDLGDGERERERVRHIVRGWMDSGINDHSSSVTQRDNNNNRRGEWLGDTERERVRVIREWMQVTSQQRGGGGGRTSTTPREDQGSLLAPEADRVRVGLGANNEEGGQPQQVRRDLRRLRGRQALLDLLTRAERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPADQERTPSTASRELLQLRERQTVSGLREGFHNERESIVRENSSDTDNNSTAALAIDATAENSQHITDDSSATSSRQGNNSPLLHDDSVRSESNITNEDRDWEEDTNQRRVWQEDVTVDERLNLQQTMLTQSSDWGNGNADINNDETSVSDLHREASGITDDEDHTQEGHGVWHEDVPRQSNGNWNETRPEAPRTRRVVPLRRLSRFHPPEDDNVYSMELRELLGRRSVSNLLHSGFRESLDQLIQSYAERRVHTHDDWDLHDNLQTAIPDSPERDRDHQVFVQNDNQLNDINGPQMLPTPPVPPPQPIWHHSSWSRHSMHRSEMEWEVMNDLRGDVARLQQGMSQMQRMLEACMDMQLELQRSVRQEVSAALNRSAGDQVFKEMNDAAGMSAETSEDGSRWIHVRKGTCCVCCDNHIDALLYRCGHMCTCSQCANELVRNGGKCPLCRAPIMEVIRAYSIL >A07g503550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6917045:6918249:-1 gene:A07g503550.1_BraROA transcript:A07g503550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNLDLLPDVTHRSNKKEVGKAEIQYEGFDRLVVSFEEVPIRSCGQYVRYSPELKRPDLHAGSAPCTDPWTAVYQKGQGWRPELESFQSPESRARRL >A03g506310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22621659:22625184:-1 gene:A03g506310.1_BraROA transcript:A03g506310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNGIRTTEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGTQQERGGTISGNADGKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARRGPRSVREYTEEFLESAKRCKPKSAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAGRTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSTGSGRVAGPKPDGEQKSPVRKAKPCREVPEIRNPSRRLLPSLSLRRLSSLSLSSPRLFSLSPRRASFSLSDDSLSPRREQPRVVVCDTENGWRLKRKVRKSLRV >A10g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11319531:11322267:-1 gene:A10g504660.1_BraROA transcript:A10g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLFALHKSLSGFGVSIGDIRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKAGATSCTEVIHPLQAQLLVDDFHYAERPMAATSLTRGGCRATSGATSSTRAHFGEVKHVFRSDLWERPSAPAPRRSLTFSTTTTNKSPTQTTSKEDTSRGKTLTKGTISLGKTPLLVSIIPTISLLKLKEVLHKLQLQIQVWMQ >A09p053980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46654935:46657184:-1 gene:A09p053980.1_BraROA transcript:A09p053980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNQQQQQHQELVESKPDPLSISQLNISSSSPLPQVNMAEELLKSHRHSLSPNDGLPSKETWGESLDVVDVDFTDPNYDTIEGNGHSDPTSFDADLCEYKKKATIIVEEYFSTNDVVSVANELKELGMAEYRYYFVKKLVSMAMDRHDKEKEMAAFLLSTLYADVIDPPEVYRGFNKLVTSADDLSVDIPDAVDVLAVFVARAIVDDILPPAFLKKQMNLLPDDSKGVEVLRKAEKSYLAAPLHAEVVEKRWGGADNWTAEDVKGRINELLKEYVMSGDKKEAFRCIKGLKVPFFHHEIVKRALIMAMERRKAQEKLLELLKEATEVGLINSTQVTKGFSRIIDLIEDLSLDIPEARSVLQCFISKAASEGWLCASSLKTLSTDSGEKLLENSKANVFKDKVKSIVREYFLSGDALEVVHCLETELGACSSQLRAIFVKYLITLAMDRKKREKEMACVLLSSLDFPAKDVRKAFSMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRDLDELLSQPGSSVGEKVIQTAKTLLKARLSGERILRCWGGGGVETNSPGCTASEVKEKIQVLLEEYVSGGDLGEACRCVKELGMPFFHHEVVKKSVVRIIEEQEKKERVWKLLKVCFESGLVTIYQMTKGFKRVGESVEDLCLDVPDAAEKFKSCVERAKVEGFLDESFAVEEEGWSSSAAT >A07g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:171150:171720:1 gene:A07g500120.1_BraROA transcript:A07g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLDLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYLKGWRKFCAENKCHIGDSFVFNVVGDGNTLPLMCVCSPSKECLKSAGDIASSSR >A06p025340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14874856:14878429:1 gene:A06p025340.1_BraROA transcript:A06p025340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase 8 [Source:Projected from Arabidopsis thaliana (AT3G44560) UniProtKB/Swiss-Prot;Acc:Q1PEI6] LICHFFLLSQMMEFNCVQFLQNKTILVTGATGFLAKVFVEKILRVQPNVKKLYLVVRASDSEAAMKRLRAEVFEKDLFKVLRENIGEENLNTLFSEKVVPVAGDISTDCFGVKDSNLRERMQKEIDIVVNVAATTNFDERYDVGLGINTFGALNVLNFAKKCVKAQLLLHVSTVVYVSLAYVCGEKSGLLREKPFAMEEIRNEAGHQLDINFEMELMKKRLKELHDQDCSDEDITLSMKELGMERAKLHGWPNTYVFTKSMGEMLIGNHRESLPLVIIRPTMITSTLSEPFPGWIEGLRTVDSVIVAYGKGVLKCFLVDVNSVCDMIPVDMVANAMITATATHAGGSGVHMVYHVGSSHQNPVTFGEIHEISVRYFTKSPLRSRNGSLIAVSKVRLISTMALFSLYMTLRFKLPLQLLKLIDIIYPWRSGDKYGDKNRKINMVMRLVELYEPYVLFKGIFDDRNTKSLCANQKEDETKTSKGSMFDFDPKGINWGDYLTSVHIPGLITHVLKK >A05p023360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11301686:11302858:-1 gene:A05p023360.1_BraROA transcript:A05p023360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Basic helix-loop-helix (BHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51070) UniProtKB/TrEMBL;Acc:F4I7Z3] MVFPENTNWLSDYPLLIDGVFSHHSPTFPWQIDGSATVSVEEVDGFLCDSDVIKEPGSKKRVKSESYAGTSSKACREKQRRDKLNDKFTELSSVLEPGRAPKTDKVAIINDAIRMVNQARDEAQRLKDLNSNLQEKIKELKDEKNELRDEKQKLKTEKDRIEQQLKAINTQPCFLPNPPTLSQAQAPGSKLVPFTTYPGFAMWQFMPPAAVDTSQDHVLRPPVA >A02p028200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14277152:14279120:-1 gene:A02p028200.1_BraROA transcript:A02p028200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGLKDAEKCIELDRAFSMGYSRKKQEERLHRRSSSPDEKPSSSLLTSFVLLGLFGGLLVRSRGSHIRWQRLLPGVTGSRVCGSVSSRVEDGRICGCSEASRFAGLLLASSKGAQEVLLLGGAWSLAPARDGTLSLGFVLSSMARHLKLSHPSALFSSSTSVFVSFSPFLTDLNCASVLRVGLLAMNPCVAVLFYGCVVSRLRVSKIWHIFQFKPVFNGKLKLQR >A05g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15101432:15105259:-1 gene:A05g505450.1_BraROA transcript:A05g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSRHRSTSSADSTECNAVRILTHEEFAAKHPHPPSPFYEKIDRSVNSTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALRPPPKPLANPPEPTPNPLNSSTEPVQEEQESEGRRLRKRKEKIPKNLKREANVKEMDGFTKRVLRIPIEKPFDEAYFTHGLWMFFRETKVTEEDIRRMFHQVRGKMKHMITLTKKSDPGKFAIPCIVKGVEFLHSMCDTGASVINYVDYGKELGFIGACHCGAEYESEYETEYSESIDTTNFPSIDSNVSMVTDDHNNTSLDVMHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADNGFHESFAVETVITSPYEEHTEEYDEDYWKERAIEMSLQDERLETHNFTNTFPTSFDAVQSTSVDPHPRPAKQPLTSIDTSKGTSIDIRAAAKTQEQENIPSLTRFTDTYINRFAPLKPPTHIRANTQANKMNTLPSTSTEKSMKSNHLKNTNSAEITLPSIDVTVSTSIDTTLNPNLSISKKNNYANIDYGFLTPDEFGIFRDPDGNAHAMDGRILQVSREDIADILQVTNGPDNLFSQQRGTPDVILTDPNNHAGVTTTETNPDLSRQPKGQASIDSIMETSIDRVTPTSINMDNPTSIDRRYECGRRAFDMYGARKFTWELRDEYGVYRDERGHARSAAGEMIPVTKDNIRIILERTSLFEESHISQEKLGEELKTLIDETHQPLDRGYNELFRSSIDTPCAKSFDVSLPTAQTLAEPRCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQHPATSIDMCTFTSLDAKISAMNERLRTYEDMHDRFISPVMTYLNKLSSQLLDAQKDIENITNQSFLQEKSTSIDRLRGPWIDGRKPVELLPYTAAEVDKITSKIYTALDNMEERLDKRCDDIYFPFDNKISGLDNHHAECLQKEVKAIQRQLAAQHQISASIDRTKAKSIDGNSLRSTNEHIIASIDAKSTTIGEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIQERLQNISNVLGKMDDKWTRNDEATRSFIASWSRMRRDDVDACFPTSSCFSTQ >A08p028040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17634477:17645592:1 gene:A08p028040.1_BraROA transcript:A08p028040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATSEEPFSEKAYGFDLYYNDGPPTLVPSTWSKNYGIGLYGRIGLQCYNLQKGTNLKFKRLEKHSTKDTSFFSLYITLEATDPATGSVCSFQTQFGDAGRRLSLGARITWFALASRIKQIYNQPIDDVWDEDTPGINEFYKVPMPKWFSDEALEKYYVVPESELHDNDWLQLLMEVAFFSKADRCLDAYLPLELNSVVVETLEDYTTAPSEKLKADNAIFYISYKCCSDPSTPLAGDHRAVVRKTMDGKPGHMCLEIVAEYSVINGSFSIITLDLLPKNLPPRRPVEILEGPPGFPPLFPELSKQDLKMAMQYISHSDPTERMARIERVRQGIEDNKTEASVRLTRISGDLDKGKGHVFSYTEPSFSQLLQRQTDNALVVSGIPTTSRGGEQETSSSYSSALSAPTLISTGFQLGPSLEGRASGSLSQKKTMRRRPTSWKRKVTPKASVATEDQLLRDGGTLGIRYVSWIAFLRCAEGSPGGKKLLILIPMSVEDLFDHHTGIWNENLLRQTFTPTDVEIILKTRKSPNRPDKLIWAYTKDGKYTSKSGSQSYVASGGPLWLNNLLLKEVERDQADTAQTNQRMAGPSAFLSFVLVLIREQTLSLTAGRNIVCGMLDPWTSALLRTQWIRTSALSSLFRCCNATKDVRVEWRSGDKHLDVDHDWDESTVHQFYKGPLPKWYSDQALERDSKKYYVVPESELHDNDWLQLLMEVAFFYKADRCLDAYLPLELNKVVVETLEDYTTEALTLSGYPHLSTTLAGDHLAVVRKTMDGKPEHMSLEVSLTRKQEKE >A04p026450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15933067:15935123:-1 gene:A04p026450.1_BraROA transcript:A04p026450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDKKPNRRRHKGEFSNDGEDLYDQHPPASPSVVDEDEENSEEEEEEGSDELQSSSPDLTSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRQPLHFQEDFCGTALLSAEWLKADTRRTAVGLDFDLEALEWCMDNNISKLGADVYSRMSLLHGNVLTPLDAKQVTSRSHELIQNISLDDEGEDLVHDGSDSLPKRDIVCAFNFSCCCLHKRSELVTYFKNAREALSKKGGIFVMDLYGGASAEGQLKLQRKFPTFTYTWEQAEFDIVSRKTRISLHYHLQKQNRKIRHAFSYSWRLWSLPEIKDCMEEAGFRSVHFWLREMPDASEMRRTDGFGAGRDIKYEEVKNFQQCDAWNAYIVAVSV >A05g503860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10877647:10881836:-1 gene:A05g503860.1_BraROA transcript:A05g503860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEYTEEFPRQFRGNTKFGFLGISSEYSDGIPRKTHLVGIFRRNTEETRLDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGCMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEELSSLGIFRGTFFPRNFPRNIIPSEILSEELSSLGIFRGTFFPRNFPRNIIPSEFSEECFVETSEDLTIGIPSVYSEEPSDEHMFLGVSSEFCFLGIPSEISDGIPRKEFYTVIPHDFGFQKMREFVINTPEKLRQKLDMVEALNEIEVAKKEDPLYSYYQHLRCDLTPICKDSEEFAMIAEYIENTRDKRRHEQRPVAQVFRTTLRTNLPDDFNKNRMLLWHGSHLTNWASILTKGPQIAPPEAPHRGYMFGKGVYFADMFSKSKGYSFYENSVCKDGVLLLCEYKGSGKKSTEPERSSNSGGWCCCSTWMSRGSDGL >A05g501720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5980221:5980653:1 gene:A05g501720.1_BraROA transcript:A05g501720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRAFKAQVPIQWSESLYITLVRGLPGTRKLHRRTLEAMGLRRCHRTVLHSNTSSIRGMIQQVKRMVVVETEEMFKARKEAEANHKALRPPLVVSHSIPATGSSNMS >A04p004890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2524914:2525786:1 gene:A04p004890.1_BraROA transcript:A04p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSWFLDETPLPKLGFLGQGNNGYVTLVRNGDGLLMAKKTSFLKYSEDLEKEVRIMDRFFSINFNTVRATSPAVSYETMPFNVKVCSIHMEVAPHGSLKDMLTKAGGTLPENVIGYCIFQVLEGLRDLHRDGYVHCDLKPENILIFPSYAHEDLCELKLGDFGSAKEPNGPDPVDGSLCEDNPAYLAPEAVGPRGVISSAVDIWSLGTMVMEMMGVTIRGRSDYVPRTLSQMTRDFVRRCRERNPGARATAEELMSHEFVRQSLGVPPLELLPVPSCLSNGVVQGRLF >A09p053660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46523918:46526133:-1 gene:A09p053660.1_BraROA transcript:A09p053660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNVSPASLLELIAEIAEIPINAGVFKKDCADLTRRVCLLTHLVEEIRDSNPVDSAAASSSENDWWSDLVVGLQASKRLLSSATRFQARDSSDGAVKRISFQLQCITWRLEKALSNLPYDLYDISEEVGEQVELARSQLRRAMQRYGSLNSNKFLNGLSEPMERDAFSNVKIKAEEEKLEGISETVVPFGEEKKQLRKSSSISLAFYLSKDADTDRLDKMVTKNTDESKKSDKLTIPVDFLCPVSLELMKDPVIVATGQVWLQTYERAYIQRWIDCGNLTCPKTQQKLENFTLTPNYVLRSLISRWCAEHNIEQPAGYINGRSRNRGDMSVIKALVHKLSSRSVEERRNAVSEIRSLSKRSTDNRILIAEAGAIPVLVNLLTSEDVATQENAITCVLNLSIYDNNKELIMFAGAVTSIVQVLRAGTMEARENAAATLFSLSLADENKITIGGSGAIPALVDLLENGTPRGKKDAATALFNLCIYQGNKGRAVRAGIVPALVKMLSDSSSHRMVDEALTILSVLASNLDAKAAIVKANTLPALIGILQTGQARNRENAAAILLSLCKRDTEKLVSIGRLGAVVPLMDLSNNGTERGKRKATSLLELLRKACQ >A09p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8981617:8985088:1 gene:A09p017300.1_BraROA transcript:A09p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YDA [Source:Projected from Arabidopsis thaliana (AT1G63700) UniProtKB/TrEMBL;Acc:A0A178WLG6] MPWWSKHSSKDEKKKSSKESIIDAFNRKLGFGSEDKSSCRSRKSRRRRDEIVSQRGAISRLPSRSPSPSTRVSRCQSFAARSPAVPLPRPALRTAVTRIDSGSQRPGSNASLPLPKPHGGASNVPDSSGAEADFTTASVSSGSSVGDNPSDSILSPLASDCENGNRTALNISSRDQPIHSNKNSAEMFKPVPNLSNKNRRPLGTHVKNLQIPKRDLVLCSAPDSLLSSPSRSPMRSFVPDQVSNHGLLIGKPYPDVSLLGSGQCSSPGSGYNSGNNSIGGDMSTQLFWPQSRCSPECSPVPSPRMTSPGPSSRIQSGAVTPLHPRAGGSTTGSPTRRLDDNKQQSHRLPLPPLLISNTFPLSPTYSAATSPSVPRSPARAEATVSPGSRWKKGRLLGMGSFGHVYLGFNSESGEMCAMKEVTLCSDDAKSRESAQQLGQEIAVLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGENAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPHGRVKVADFGMAKHITAQSGPLSFKGSPYWMAPEASLLLQTSVIQNSSGSNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKDLPDIPNHLSEEGKDFVRKCLQRNPANRPTAAQLLEHAFVRNVMPLERPLVSAESAESMNVVASSTMRSLDIGHARSLPLDSEDTSNYQQKGLKPGLGFSTSQSPRNMSCPISPVGSPIFHSHSPHISGRRSPSPISSPHVLSGSSTPLTAGGGAIPFHHQRQTTINLLQEGIGSSRSPGSAGNFYTNSYFQEPRQPRSSPRTPPHVFWDNNGSIQPGYNWNKDNQPVLSDHVSQQLLSEHLKLKSLNLRPGFSTPPGSTNRGP >A02p053630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32602775:32604650:-1 gene:A02p053630.1_BraROA transcript:A02p053630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRSLMMNKNIGDFENNVDEEMDLRRGPWTVDEDFKLINYISTHGEGRWNSLSRCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSQQFKDTMRYLWMPRLVERIQASASTSTGSATTSSCVTTPSDQFLMTSYDAGLNNNNNTNMDHLNFMSNPNGYVTQETSSVSVSPTSGLTEYHIGSEVEKVENNQDQSLVGPQIMSPPQNYLDVNGGLLSEDLTQSYHNWFENDNGMISPYSDSFWNIGSDEDFWLLLQQQQQLVNNGSF >A09p041140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23217319:23220439:-1 gene:A09p041140.1_BraROA transcript:A09p041140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRSSSVNNAPAGTFPHANNGHLNNGSAGDLRHKDGGDPPSPVREDKPSSESFSFPTLGTHPQNIEDGIPRLSRDLSQKSRSTKSRQAAAAVAKVSEVSSLLGRAGTMGLGKAVDVLDTLGSSMTNLNLNAGFSSATTIKGNKISILSFEVANTIVKGANLMHSLSKDSITHLKEAVLPSEGVQNLISKDMDELLRIAAADKREELKIFSGEVVRFGNRCKDPQYHNLDRFFDRLGSEFTPQKQLKQEAETIMHQLMTFVHFTADLYHELHALDRFEQDYQRKIQEEENPSTVQRGVGDTLAILRTELKSQKKHVRNLKKKSLWSRILEEVMEKLVDVVHFLHLEIHEAFGGADSDKPANDPSINHKKLGSAGLALHYANIITQIDTLVSRSSTMPSSTRDALYQGLPPSIKSALRSRIQSFQVKEELTVPQIKAEMEKTLQWLVPVATNTTKAHHGFGWVGEWASSGSDANQRPAGQSILRIDTLHHADKEKTEAYILDLVVWLHHLVTQVRATTGFGLRSPVKSPIRSPNQKTIQLSSGSHNNQSMGSPLLTMEDQEMLRDVSKRRKTPGISKSQEFQTVAKARLCKHHRLSKSSSHSPMMGEMMKSKKDAFAMRRPSSVPIIDFEIDRMKALDVIDRVDTIRSL >A08p034350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20459415:20460480:-1 gene:A08p034350.1_BraROA transcript:A08p034350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVDGELESLLGMFNFDQCSSSKEEKPQDEMLSLSSLYNGHLHHHHQNNVLSSDQHAFLIPDVFPFGVMPGGNLPTMLDSWDQNHFQETATLKRKLLNVENPHNNNSNCDVTRQELVKAKKKQRVSQESNTADESNTNWRDGQSQSNSSDDEKASVTSVKGKTRATKGTATDPQSLYARKRREKINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDELWMYAPLAYNGLDMGFHHNLLSRLM >A06p000270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:545841:548820:-1 gene:A06p000270.1_BraROA transcript:A06p000270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASEEAVKQLRTLMEDVEDESLRESYRNIHQGYPTETLLRFLKARDFNVHKSHKMLLDCLEWRTQNEIDSILTKPIVPVELYRGIRDSQLVGLSGYSKEGLPVIAIGVGLSTYDKASVHYYVQSHIQMNEYRDRVVLPSATKKQGRPICTCLKILDMSGLKLSALSQIKLMTTITTIDDLNYPEKTETYYIVNVPYIFSACWKTIKPLLQERTKKKIQVLKGCGKDELLKVMDYESLPQFCRREGSGSGRHISNGAEDNCFSLDHSFHQELYSYVKQQALVKGPSNAPIRHGSVHVRFPEPATEGTKIFDTLESEFQKLGCDQKKQSLTSPQGSLKVQTTHPSPYRLQQSYRGENPLAFAITAKVAEEEAAERYEKEVMELGVESPMFLLLGTLGMLYLFCFAAAAIMVMTCRDGGDLGMLLRKDKGKMPLSVTVKSVVLALSACTCIAFP >A03p013560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5331759:5332196:-1 gene:A03p013560.1_BraROA transcript:A03p013560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMYKGKLPTGTPSLELSTAVVVVSFLVGASVVHNIYKPDFSLPPVESGEVANKEDAANKV >A06p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2326134:2330299:-1 gene:A06p006820.1_BraROA transcript:A06p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGKTLLDSGWLAARSTEVNDDGEKLTTTNPPSLGPKSPWMEAAVPGTVLGTLLKNKSIPDPFYGLENETITDIADSGRDYYTFWFSTQFNCKRVRARAVVESICDNPSRSLGTRSDPCRTLTLILGDQLVAACLMGELLKGELLNQYVHLNFRAINYSAEVYVNGHEIVLPKGMFRRHTLDVTDILRPDSDNLLAVIVHPPDHPGTIPPQGGQGGDHEIGKDVAAQYVEGWDWICPIRDRNTGIWDEVSISVTGPVRIIDPHLVSTFYDDYERACLHVTAELENKSTWSADCSVNIQVTAEFENGVCLVEHLHTENVLIPARGHIHHTFKPLYLYKPELWWPNGMGKQNLYDVLITVAVKEYGESDSWMQPFGFRKIESVIDSATGGRLFKVNGEPIFIRGGNWILSDGLLRLSKERYRTDIKFHADMNMNMIRCWGGGLAERPEFYHFCDVYGLLVWQEFWITGDVDGRGDPVSNPNGPLDHDLFLLCARDTVKLLRNHPSLALWVGGNEQVPPEDINEALKQDLRLHPYFTTQLLPDEDSDPSVYLDGTRVYIQGSMWDGFADGKGNFTDGPYKIQYPEDFFKDTYYEYGFNPEVGSVGMPVADTIRATMPPEGWEIPLFKKVSDGFVEEVPNRMWDYHKYIPYSEPGKVHDQILMYGTPENLDDFCLKAQLVNYIQYRALFEGWSSQMWTKYTGVLIWKNQNPWTGLRGQFYDHLLDQTASFYGCRSAAEPVHVQLNLASNFVEVVNTTPKELSDVEIEASVWDLDGNCPYSEVFNKVYAPPKIAVKISEFKYPKSENAKPVYFLLLKLYHASDKTVISRNFYWLHLPGKDYTLLETYRKKQIPLKITCNAVNVGTEYELEINVHNTSRADVAKNARDGGLLQKLFSRLGGTEDSNFKVVEMEGTHPGVAFFLRFSVHNAESEKQDTRILPVHYSDNYFSLVPGESMSFKISFAAPTGMKKPPRVVLRGWNCPDGFTVFG >A09p006020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3214332:3215275:-1 gene:A09p006020.1_BraROA transcript:A09p006020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMQMIQGARSLLRTTQPSSTPCLSRFYSKPAPYAVKVGIPEFLSGIGGGVETHIAKLETEIGDLSKLLVTRTLRLKKCGVPCKHRKLILKYSQKYRLGLWKPRADAIKA >A04g507550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18904487:18907232:1 gene:A04g507550.1_BraROA transcript:A04g507550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLASPAMIPTISSAVVVRSGLTCPEKGATIDLKPVVERWPKYIPHKLPDKNYVRVLDTTLHDGEQSPGGALTPPQKLEIARQLAKLRVDIMDVGFPVSSEEEFETVKTIAKTVGNEVDEETGYVPVINAIARSKPKDIEAAWEAVKYAKRPRKLIFTSTSDIHMKYKLKKTKEEVIEMATSSIRFAKSLGFVDIEFGCEDGGRSDKEFLCKILGESIKAGATTVGIADTVGINMPQEFGELVSYLKANTPGIDYVIFSVHCHNDLGVATANTIAGVCAGARQVEVTINGIGERSGNAPLEEVVMALKCRGEYLMDGAYTRINTRQIMATSQMVQEYTGLYVQPHKPIVGASCFVHESGIHQDGILKNRSTYEILSPEDVGVVISQSSSIVLGKLSGRHAVKDRLKELGYELDDDKLNDIFSKFRDLTKHKKRITDDDLKALVTGGDDDLVTKRR >A09p023810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13102991:13108466:1 gene:A09p023810.1_BraROA transcript:A09p023810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKIRVKPDKTGVDTQNVKMSMNPFCEIALEEALRIKEAGFAKEVVAVSIGPPQCVDTLRTGLAMGADRGIHVEANSSFLPLTIAKILKSLADVENPGLIFLGKQAIDDDCNQTGQMVAALLGWPQATFASKVVVLDKDKQFATVDREVDGGLETLSVDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKMTLEDLKVDIKSDIEIVEVTEPPKRKSGVIVSSVDELIDKLKNEAHKILSSPLTNLRASLIKRNKKREMGFFLFLGRVFFASLIIVSAWQMFSGFGVDGGPAAKELALKLNLANANLYSRLWVRQASVTIVSMIAIGGVIFVIKKLFGAYLAVYFTIVSPILYDIYNNGPEDRYFSPFWIELFKELLLFAEEEDSLSNLRR >A03g502370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7582693:7585095:-1 gene:A03g502370.1_BraROA transcript:A03g502370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGVFSVPVNNPHLRKSGSRLIISNLGENDLKNSSMQLGTIAKLRSPLLLSSLKVALYVGGLYVCGKIGWESVMKMGQDTRELFFYETFLYYNPLLLITMMVWLWGVNLWVFSRTGVDYAAIFYLGPDHLSHKEIWKCARWMTTIILTSMTAYLYLYSHGDVSLAASQPIVLYLSAVIILIIPFDIFYMSSRYYLLWTFWRILFPVQTVSFSDFFLADILTSLSKVLSDLERSVCRMVHRQVATVAWFEADSVCGSHSAVIPLVLVLPYLFRLFQCIRQYKDSKDIANIWNAGKYLTAVPVIFLSALKYFIDQDTWTYSIQPAWILSGLANTFFSFFWDVLRDWDLSVFTRIFKFTKPNLCSHLLYGRRWVYVWVIGSNLVLRWTWTYKLSAHLRNNYITVFIITLLEIYRRFQWAFFRIENVWYKINNPKRTTSHQTNPVSLQNDNGGEQEKLLAHSHSLGV >A07p052530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27712560:27713484:1 gene:A07p052530.1_BraROA transcript:A07p052530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNDHGDETNLNDPVHVRNRCALWDIGNLTSLPRVEGGTINPPLPPLTRNFCSRLQENAQIADKKAHMAVESDSVLWSLFLTKHQINVDQASVLDDAHVVPKKGGSKPIEVLVHQRTQIRWWWRPVKGYGLGEKLTEGKRSSFQHLRSAWIHSPLRFLKVLVVMIEFGK >A03p021650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9218306:9223531:-1 gene:A03p021650.1_BraROA transcript:A03p021650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGASPLAVAPITRRRIGDSLETTTTSERASVSSEYCNIVNISSLSPDLDDVEGTNGACSSPSSMGSTSSGSHYHHDHHYHPKIRYLIPRKLKWPFLCDGGWTAVIGQGLGRNVGRRILGFLMVLVVVSLFVRVSVMSGRVADHAHRRDLNELVVVRALHEDWSMAQNAMSENVAVEKLPIPEIWQKPESSNYRQCASRPKSSHSRARRKTNGYLLVHANGGLNQMRTGICDMVAAAKIMNATLVLPLLDHESFWTDPSTFKDIFDWRHFMNVLKDDVDIIEYLPPRYAAMKPLLKAPVSWSKASYYRREMLPLLKKYKVIKFTHTDSRLANNGLPPSIQRLRCRANYQALGYSKEIEDFGNVLVNRLRNNSEPFIALHLRYEKDMLAFTGCSHNLTAGEAEELRIMRYNVKHWKEKEIDSRERRIQGGCPMSPREAAILLKAMGYPSSTTVYIVAGEIYGGNSMDAFREEYPNVFSHSTLATEEELEPFKPYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFKKTINPDRLNFVRLIDHLDEGVMSWDEFSSEVKRLHGARIGAPYARLPGEFPRLEENFYANPQPDCICNKSQPEQPWKFAPGFVIFNEISPTRQPPVDSPRDIMGFWTLMEGLLLFANALAILNEDRFLAPKGWTLAELHQTGKRNSLKGQIVGLIHACQYMRLPLMLFNLIVIVVKLFSG >A02p022740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10863224:10863605:-1 gene:A02p022740.1_BraROA transcript:A02p022740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRFNSRKEDVIGETYFGIQIIRFYFRCTHCSAELTMKTDPGNSDYIAESGATRCERWP >A06p040110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21684981:21694465:-1 gene:A06p040110.1_BraROA transcript:A06p040110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLFSYAVESIHALGYRFCDIERRRKVEVDGELRTLLDLSSEVSLASLQRRWKKLWRTVQNRFSLLTDELLDVILPPKVEITVSKFQNRVLVYSIEGGCPMFFDIDGRGNNILPTFFAPEILPSFMLKGAGEIWAVKVPQNTAPIAFLKVVFSTMSSAEGLKAVVRTIMSSNFTVFSSEPGTCSALESGLTPWNHASDIFSMFDSPVCPVEVNPGLEKTNSCPIQTRNDPNPGLEDTHLPDKDERRKKRKKSNRESARRSRIKKQKHLEEVRSQLNQLNTENRDLVNRLRYFMHHCQHAKMESDRLRLEHKVLLDKLLNLRQALVLRQVQQSSTCACVESTVVTVYHQNPSMI >A05g501460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5185194:5186426:1 gene:A05g501460.1_BraROA transcript:A05g501460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKISLVLLLCLLCLYPETVKSQNCGCASGVCCSQYGYCGNGPDYCGSGCRSADNGCAGKRFYTRDSFISAANTFPNFANSVTRREIATMFAHFTQEVGYFCYIEEINGASRNYCDDRNYPQYPCAPGKTYYGRGPIQLSWNYNYAQCGQSLGLDLLRQPETVSSNPTIAFRTALWFWVNNVRPELDKTLAQDPDVIPQAVTAQAVKESNRLCSWICFGVIPVQRLTFDVFCLLSL >A09g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6050485:6051091:-1 gene:A09g501650.1_BraROA transcript:A09g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTKRTKPRNKLQQKPTKPDGPKFVTQLGPNQRPTLRNPRHTRERSAAASQIRLFVARTRVNILDVEGHVSRDQHDTPASRPHRRSDLRINRPHRDSADPNLFTVKSLWDSNAGENQSPWRDLIHPRSPQPPKASHATSLFPSEIFLSSDVTRKKNSKTATRRRN >A10g504000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9898836:9899336:1 gene:A10g504000.1_BraROA transcript:A10g504000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSHVALVSLLLRSEGFEHYMCDMNLSMGMNLGNMSKMLKCTGNDDIITIKPMTAVTPLPSCSIVTLTQDKIADFEMKLMDIDNEHLRIPDVEYLSIVRMPSSEFSRICKDLSTIGDTGIHSHCSL >A02p052900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32271313:32272661:-1 gene:A02p052900.1_BraROA transcript:A02p052900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFDKEHFNVEVKNGCFDLPFELQPETIAIHEAVKVILKGLGEDINREGIKKTPFRVAKALRQGTRGYKQKVKDFVQSALFPETGLDDGEAGGVGGLVVIRDLDHYSYCESCLLPFKVKCHVGYVPSGGRVLGLSKFSRVADVFAKRLQEPQRLAEEICSGLQRWVEPSGVAVVLQCSHPHYDGFVEATVTSGSGGFEDESSELWCEFLSLLKFKLRRVVSVEDWCPFVIENSSKEADSDMVSAVVSILKALGEDPSRKELVSTPTRFLKWMMKFKNVNFEMKLNRFDYKLKERRLSCEMNIQFWSMCEHHLLPFYGVVHIGYFCGDDEHNKAVERSLVESIVKFYGFKLQVQERMTRQIAETLSPQVNGDIIVVAEAEHTCMISRGIEKFGSSTATVAVLGRFSSDLSARTKFLESIQKTD >A08p027540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17394482:17407923:-1 gene:A08p027540.1_BraROA transcript:A08p027540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGRVIPGCAETFMDSQPMQGQQQGQGQQGQQGQQGQQGQEGQQGQQGQQQQQGQQGFRDMHQKVEHVRHGDVIAITPGSAHWIYNTGDQPLVIISLLDIANYQNQLDRNPRVFRLAGSNPQGGFGGPQQQQPQQNMLNGFDPQVLAQALKIDVRLAQELQNKQDNRGNIVRVRGPFQVARPPLRQSYESEKWRHPRGPPQSPQDNGLEETIRSMRTHENIDDPARADVYKPNLGRVTSVNSLTLPILQFVRLSATRGIIQGVDRSANEDDLKKAYRKLTMKWHPDKNPNNKKDVESKFKQISEAYDEGLKGNVPPPNAAGGASFFSSGDGPSPFKFNPRSADDLFAEIFGFSNPFGGGGGGGAGGSGGQRFSSRMFGDDMYGSFGEGGGGGSMHHHHHGAARKVAPIENKLPCSLEDLYKGTTKKMKISREIVDVSGKVMQTEEILTIGVKPGWKKGTKITFPEKGNEHPGVIPADLVFIIDEKPHPVFTREGNDLIVTQKVSLADALTGYTVNLTTLDGRMRTIPVTNVIHPEYGEVVPKEGMPLQKDQTKKGNLILKFNIKFPARLSAEQKAGFKKLLGLIISSSQRSGFSSSLMAVSQGTTETIKSEAGQIEYWDHNHPQIRCAGVSVSRLVIEQGGLYLPTFFSSPKISYVVQGMGISGRVIPGCAETFMDSQPMQGQQQGQGQQGQQGQEGQQGQQGQQQQQGQQGFRDMHQKVEHVRHGDVIAITPGSAHWIYNTGDQPLVIISLLDIANYQNQLDRNPRVFRLAGSNPQGGFGGPQQQQPQQNMLNGFDPQVLAQALKIDVKLAQELQNKQDNRGNIVRVRGPFQVARPPLRQSYESEKWRHPRGPPQSPQDNGLEETIGSMRTHENIDDPARADVYKPNLGRVTSVNSLTLPILQFVRLSATRGIIQGRTSKDPSGERQRTERVGPTGSKGTARGYPTRIRIRCP >A10p000780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:387521:388584:-1 gene:A10p000780.1_BraROA transcript:A10p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMFPAMVPSIPSSNITTEQIQKYLDENKKLIMAIMENQNLGKLAECPQYQALLQKNLMYLAAIADAQPPPPTTGPAPAPAMASQMTAPHPSMQPPSYFMQHPQASGMAPQQASPAGIFPPQRGPLQFGSPHQLQDQHQQLQLHHQQAMQGGHMGIRPMGINNNNGMQQQPETSLGGSGANVGLRGGKQDGAEGQGKDNDGK >A01p014900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7220730:7222494:1 gene:A01p014900.1_BraROA transcript:A01p014900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSPVPTLAEQYHPFDDGVYDCVKKVIIGEDTQGIAYITIQYVRNGDVVQLEHGSERGAQITETEFEVKDPDEYITCIEGTCGEANFCDSPIALWTEKFYHTVSEIQFTTSHGRTSPKIGCKPEADSFTFKLKGKNGTKLVGLHGKSGRFLEALKAYFVVVSSTLKQLEPQGRSDGHSWDDGAYDGLRKVCIGEDGGRVSSVEFVYAKGNECITHCHGRHSNERKQFELRYEDGEYIISFEGTTDKDGYISSLIFNTSMDRSSDEFGKAVANNEFFLKPRGFHKLVGFRGRSCVDRINALGANFAVVLAPPVKKLQAQGTNDQGEEWDDGVHDNVRMITVTYRYDCVVSVTFEYANGAETVLGDPHGILDDRHEKKEFKLCDNNEYITSVEGLFGQKWISTQSAGDEFKSIYYNMKRLDFNTNIRTYSVMENNTRDGFLAVVPFKLEKKGHKIVGFRGKSTEFSLQQIGVYVKPIDDAN >A09p030510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18585004:18585477:1 gene:A09p030510.1_BraROA transcript:A09p030510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LESGRCSLKVEVRFDAILEARYVRRGGEMIISVDMLLIDWKGTLMPATVNARCVPTFRPHLTAGVMYSIAGFDVVRCNPNFRLSDSSLLVRFSDATSLNEFTEPSSLITEE >A09p077090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57301696:57303000:-1 gene:A09p077090.1_BraROA transcript:A09p077090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQLAVSSSSTPASSSYYESLKLLEADVQHANTLAEAIPMGKNNARLQMKLVHSNFASLLLILFRWIDLSCSCLLPRYFNLFHVLVYKVNSDGQPKLTALGRKATISEFYGVILPSLQLLHSNLDELDTSDIGFDLKRLSNKITKAAHSHSSRFINAGLDREEECGICLETCTKMVLPNCCHSMCIKCYRNWNLKSQSCPFCRGSIKRVNSEDLWVLAGDNDVVDARTASREDLFRFYLYINSLPKDYPEALFLVYYEYSNLI >A03p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5712336:5713027:-1 gene:A03p014360.1_BraROA transcript:A03p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIVNVQSQSLFPRQQQTKPDPISQASSDSVVIKKSKTQRSWVINAVFFRLSMESHYSLSRLILVSFCLLCFFAGDSSAARAGFFYTRHRGRCTPQYWSSRREAWPRMVPERSTVEKMFGVIVAKERWRSDLTLVESTARNDEEGNAYGALLKQGIAALLNSYARRSFSYAPWEVKTMLIQAMISEPAARRQAQKFKAANVACD >A03p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14751788:14753086:-1 gene:A03p035140.1_BraROA transcript:A03p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 19-1 [Source:Projected from Arabidopsis thaliana (AT3G03490) UniProtKB/Swiss-Prot;Acc:Q9SRQ3] MANDHTDDLDELLDSALDDFKDLNLAQREGEEENEKKKKQEPSPLPSGVQGLGMGLPDMRIKSKGKQKVSKQDHVAEALDKLREQTRETVESISSKQQQQPASGDDDAMVEDFLKQFESLAGSQDLESIVETMMQQLLSKDILHEPMKEIGARYPKWLEENEGSISKEDYNRYSQQYKLIKELNAVYEDEPNNSSKIMEIMQKMQECGQPPNDIVQEMDPGFDFASLGQMSPEMLESSPNCCIM >A06p014080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6353091:6355711:-1 gene:A06p014080.1_BraROA transcript:A06p014080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLQVDIVAYGGSIPHEAVLKHPSIHIHTMAQPRFINLLPKILYPVTLLLKAFIQFTMLLWFLFVKVPAPDIFLVQNPPSVPTLIAVKWASSWRRAAFVVDWHNFGYTLLALSLGRNNLFVSLYRWFEKHYGKMATGSLCVTKAMQHELEQNWGVSARVLYDQPPEFFRPALLEERHELFCRVKKDLCHPIGVYDIISRELENQEPSETLFTTKKNDEISLKQNRPALVVSSTSWTPDENFGILLEAAVMYDRRVAARSEGSETAEIAEEQRLYPNLLFIITGKGPEKEMYEEKIKRLNLKHVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCSVSYSCIQELVKDGQNGLLFSSSSELADQLLILFKGFPRNCDALMSLKAGAMETGSSGRWATEWEDCAKPLITQAKSYLKMRNDERELKTGVLGCLHNLLCGIKFC >A02p058360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35025192:35025637:1 gene:A02p058360.1_BraROA transcript:A02p058360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFLLALTVVASCMHLSLLPFLLITRSYAREVPDKFPPGVTPDTPPFSYVTPPPPPPSNEETKFPPGVTPDTPPFSYVTPPPPTMREH >A05p026180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13816502:13818160:-1 gene:A05p026180.1_BraROA transcript:A05p026180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVSSGFLCWRSSSEASNGSSSMIPMGDFSGNMVRLSVSAIYDEHQKAKTRKRRPFYTPPPRLARTASSVNGLSSTSSTGAEAVPNHDPLVDAHRRLIGEVFFLRSQVEHMMACRDLLVQQVRASARWELMKEWLEKRVEHWDPEEEYRRHLFLSLGIDQQSGTFSPVATPRSVVRS >A06p001550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:676090:683537:-1 gene:A06p001550.1_BraROA transcript:A06p001550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAVDPTNDRRDVIVKIDDEEKDQLINKGEGGKFSRESSYNFLHGGDEKEKTGYTSGGEDLDDDGEGFDFTPRRNEPVDPPSKLIYQFLNKQKASGDEISLDMEPNMPELRTHTISPSQVTATMGNRNEAIDAVRRRHNRVTLSPSVKDSDDSSEDEEEDKVDETEVVKCSSNRTTKTLMKTKTRSRLMDPITPGHPDMHSGRTPKSGHLKSGNLKPGFSGKTAKPGNTNPDLDEEEDPFSEEDFPEGHQKDKLSLWVIMEWIFLILIIAGLICSLVIPFLRGKELWNLALWKWEVMVLVLICGRLVSSWIVRLLVYFVESNFLLRKKVLYFVYGIRKAVQNCLWLGLVLIAWHFLFDKKVQRETGSHVLKYVNKVLVCLLVAVIIWLIKTLLVKVLASSFHMSTYFDRIHESLFTQYVIESLSGPPRVVHVEEEMAGAKLSPPDPGPTVTIGSGRLKKSPTIIGKSQVLSRSGSKKEREDEGIRIDHLQRMNTKNVSAWKMKRLMNVIRKGALSTLDEQIQDTSTHKDDKATQIQSENEAKQAARKIFQNVAMPGSRYIYIEDFMRFLTEDESERAMNLFEEASESHRISKSCLKNWVVDAFRERRALALTLNDTKTAVNRLHRIINILVGIVIIIIWLLILGIATTKFLLVISSQLLLVVFVFGNSCKNLFEAVIFVFVNHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKIVYPNSLLGNKPIANYYRSPDMQEIVDFFVHIATPAEKITALKQRILSYVDNKKDLWYPSPRIAFREMCGLNSMKITIWATHKMNHHNMGERFVRRGQLLEEIGKSCRETDIEYRLYPLNINIKSLPPAAVPIISDRVPPSWNNQQRNA >A02g502520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8169044:8169913:-1 gene:A02g502520.1_BraROA transcript:A02g502520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGYLAYARDRPCIGLDKRKVHFGYEIRALEDSWVPSSSARPDQAIALVNAILEALVVLDNISLIQSLALRKLYQWVQSRACICFKHLLGKIKTSKKYAFLYGRLRMETWWELDKPAINFQRSSLYTNQYVLEKNQIRILITGERSISRKKIHPKARNDKLFRGTSLISIRHTEEESRAWHEANMGENQLDVQKNHQLT >A03p024580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10369610:10380361:1 gene:A03p024580.1_BraROA transcript:A03p024580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVIHLALLLLIATTAQSHNYILASDGDTVECVDRNKQLAFKNYLLRNHKIQETPSDYPIMKKVQKKSILPTSEAQISTAKCEIGTVPIRQKGAISNHQRTPKTSTNSTFTPQHEYAEASVYAPPKLYGTRATINLWNPLVEDSAAELSISQIWLSSGDYDTHDLNTIEVGWQVCPGLYNDSKTRFFIYCTSDGYNITGGYNLEEPGFIQISNNIVLGGSITPISSFGGSQYEMTILVWKDRKSGNWWLSVGTNSTIIGYWPREIFTSLADHAVAVDWGGEIVNSQRFGRHTKTEMGSGHSPEEGFGKASYFRNIETVDCNNTFQSSQVIHPHTEITNYNISVFRTDDWGTSFFYGGSGSSHTHSGVAPLIGYWPAEIFTSLAEHAETIEWGGEIVNSQSFCRHTKTQMGSGHFPDEGFENSGYFCNLEVVYDNNSNNSIQSI >A01g502080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7415436:7416695:-1 gene:A01g502080.1_BraROA transcript:A01g502080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEQSRTLFGISLSDRPTWQQFLICTSGFFFGYLVNGVCEEYVYNGLQFSFGWYFTFIQGFVYLFLIYLQGFTTKHIVNPMRTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPVHEYISAFLLVLGLILFTLADAQMSPNFSMIGILMISGALIMDAFLGNLQEAIFTMNPETTQARKHKKKNGSVWFSYTVRFCFVPEILANV >A05g502100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6803643:6803945:-1 gene:A05g502100.1_BraROA transcript:A05g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSESHVSGDLISKKSNGKDGVSSNEPIECTGQSGVSSAKAVSGDPLSKKPNGKAVVSSAEPIKHSSGTGVPKSQPISSSSSLPYLPFNVYATSHQLY >A06p015100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6734214:6737630:1 gene:A06p015100.1_BraROA transcript:A06p015100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PEPR2 [Source:Projected from Arabidopsis thaliana (AT1G17750) UniProtKB/Swiss-Prot;Acc:Q9FZ59] MRNLGLVEIILLCCLFVSFRIDSVSSLNSEGLALLSLLRHFDTVPIEVNSTWTKNTSETTPCNNWFGVTCDGSLNVETLNLSTSGVSGQLGSEIGELKSLITLDLSNNSLSGPLPSGLGNCSSLQYLDLSENGFSGEIPDTFGSLKNLTYLYLTSNFFSGELPESLFQLPLLQVLNLDHNNLTGLIPASVGGLKELSDLTLSHNDLSGPIPESIGNCSKLEYLYLHKNKLNGSLPESLNLLKNLSEVFVSNNSLGGRIRFGSSNCKKLVALELSYNHFEGGVPPELGNCSNLDSLVIIGCNLTGNIPSSLGMLKKVTLINLGDNRLSGNIPHELGNCSSLHTLKLNGNQLQGEIPAALGNLKKLQSLELFENKLSGEIPISVWKIQSLTQMVVHNNTLTGELPAEVTELKNLKKLLMFNNSFHGGIPMSLGVNRSLEEVDLIGNSFTGEIPPNLCHGQKLRFFNLGSNQLHGSIPPSVGQCKTLARIWLGGNKLSGVLPEFPNTHSLEFVEIKGNNIEGSIPRSLGSCKNLQTIDFSQNKLTGLIPPELGNLQNLQRLNLSHNHLEGSLPSQLSGCVKMLEFDVGSNSLNGSVSSSFISWKSLTTLVLSHNRFSGAIPPFLAEFGRLIDLQVARNAFEGEIPSSLGSLKHLNSLDLSGNGFTGEIPAFLGGLVDLVRLNISNNKLTGNLSVLQSRSFFQLDVSNNQLTGPIPEKLMNSSSVFTGNPSLCIQPSHSVSAVIRKEFKTCKGQAKLSTWMIAIIAVGSFLSALALLFALVLVFTCCKRGVKTEDTPVLDEEEGLSLLLNKVLTATDNLDDKYIIGRGAHGVVYKASLAPGEEYAVKKLIFAESVRANQNMKREIETIGQVRHRNLVRLERFWIMKDNGLMLYKYMPNGSLHDVLHRGNNQGETGLDWSARFNIALGIAHGLEYLHHDCHPPIIHRDIKPENILMDSEMEPHIGDFGLARILDDSTISTATITGTTGYIAPDTKVREQAILVTDLALVCSDKRSENRPSMRDVVKELTDVKDLVRSTSGSVQ >A02p057120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34375435:34376282:1 gene:A02p057120.1_BraROA transcript:A02p057120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSASWNRATEDYSSAPPKGLWMGSMIGPLDEDEPPSYNNPPADEMVKKEKSPAKFAEKAIHIIPFVLLACARVLWLFSNPDVVDVGMREESIAARIEGLTIEGDIDNDSDGTQTGFLGATLELSGDSDKTHYADRNRRASRKLIKGFY >A01g506840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20036084:20045116:1 gene:A01g506840.1_BraROA transcript:A01g506840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIQPYVCFLSERFVSWFLLVYRLSRFNDRNKMGDSVPLKLALPELKYPIGSQPKEKSAINQYSGSEYISIVKSILKPDEMIRVRGSFLGPIMKLSERGLKLSAKIVYAILTRSIVSVKENEAWFHFGAQPMRLSIREFHMMTGLKCSGALEGPRKETERFNWELLKGRSHKLSDVVDQLRNTREDASEERVCLAMLILVESILLRKSKGGIFPLEYAKNAQDMTYPWGKEAYIVLLKSIQNDVANHLENKSKFELQGYPIVFLLWILESIPLLRNKFSKCVPTVEVPGPTYLCEKYTEVENPSLDRVLQVEVDTKLKVHCILPSIPHDPEDDISIEDKYSDELETVKDVTKKGYKITTDDWENRCVDTFDTLDALIQMMANKETGQASTPIDEDSVNEKVNRIITVMEENLKSMKDRMSLLEEENIHLRARVSELEGNNNVFPTNVTQKRSSGTPLSPMSHTQPSSETHLSPMSQQPNLTHEETMIESAASPKSQQNEDYTQPSSETPLSPMSQQPNLTHEETMNESDDDTHVLDTQVFSHNLTKEKETETSTDERPSNPNQDGKPDDEIVREKLTSESPATQSQVLQKETVEINETHSSPIAPKSIETPIEREPSDDTPALDTQVFTPNLTKERETQTSTDETPPKTNQEEGKPDDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKQQTVTEETYEATQPLTEIISANNKKEDTHAVHHTPSSPLSSLIALVIEENKNALKLRPNIFLQVKESIHNQAEEYLKDTTEPTTELDSTDVSKTQPLTQPLTPQTQHLQTSEGEQSDETPSEQNQAEENLKDTTEPTTELVSTDVSKMPPITQQTEHLQTSAIDFSETNEVEVSRLLAHFQIGAEVEILSTDDEIWYPGKVVDLKLCEGLEELTVEYTTLFTDQHRLQKLQDTITADKIRPVTPTSDQKSFEMMDKVEAFYNNGWSSGQISMVLGDNTYSVCLYTSMETILFKHSDLRIHREWKDGVWKMADKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSDRNLALTVIPETIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETRNENDAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDIVKGKVYPEKTWGEDVDVVYGITLGKKKQCLDWDGNSFEEEKNHMLISDLLVESSRDEVDKVKMIPFEIEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTKINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPDVEKQLRNTREDASDERFCLAMLLLIESILLQKSLLDASPQLIDILLIEIKDHLKVTCILPPISNDPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLESKINRISEMVGDNLRIMNDRLCLIEKDRKQIKEHVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANADQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETPVLTPIQTQQETHELMNEIISPNISDTQSNTRARRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHK >A07p020370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12025804:12026680:-1 gene:A07p020370.1_BraROA transcript:A07p020370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGRSMLGSMLLFTVVLSLQEVYRGKLASSELFTILGGFTSSLLFLFSLTFIGNLQESSGTKSSWGAVVIAVIIALVAASTVHRVCITTCFLFSAGLLYEMNKISGYMLSESKSKRH >A06g503980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12196306:12198103:-1 gene:A06g503980.1_BraROA transcript:A06g503980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLIPHKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDVVEFRVPCRGECANNPPEGYFTCYEAFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFVPRKFMSVVKKFISNFNLWKKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLALFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFSIDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREGQLTRDHARAVRRAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFEEEMSLMKSGMSDRAHAEALIPPIDERIQGFWDSIPVSPDTEEVPIDFHDGGEEVDRPADAFGASLSGDFDFGL >A02p008270.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:3460114:3460434:1 gene:A02p008270.1_BraROA transcript:A02p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAIFGTGLVTVAASPALRQFQVPKLGNVGGLGMVIECSSRPQKKSTAHHRKTRPKKTQPWDIKRKPTVYAPLPPLPPDWSPLALSSGDSSATSAGDLVSDAVA >A10g504650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11312237:11313892:-1 gene:A10g504650.1_BraROA transcript:A10g504650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTVDISAPEVEFFGLTSTGVWRYLETMKTILEHESEQVKGAIDFIGLIITRHFTVKDKSSSLKQDLHVFNIDKAVELIGVPWIPKVPEFESAPHQISTARGHRSFYILSLENGLPIFFFTSTFQQKELSDQETTTSKVAPSSGTDFVTDHEPLFVSSYLSTESQVAPAASPSLITSTTILADVLSAPAATTTPIVETVPSNNINMEVQKTSVVDPVTPTPSANAFESPSCFSVLSDMDEAEIESMGSLSLTRGGRETKPPIKYLDLEWKTAQGRGKHGPCGRGSKR >A03p070050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30781922:30782987:-1 gene:A03p070050.1_BraROA transcript:A03p070050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAKRRVRTKKLRDCVQKSSGGERGGASGGGGCYTCGEAGHFARDCVQKGSGGGERGSGGGGGACYTCGGVGHMARDCATKRQSGTCYECGGTGHLARDCDQRGSGGGGGRNAGGGGGKCYKCGEGGHFARDCSVA >A06p026330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:13974102:13978421:1 gene:A06p026330.1_BraROA transcript:A06p026330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPYRKFSISWKGAQFQGPNSGFLRAGTWSVPLSGTQGSGSCLEAGGNDTGVFFPNRRIGQWTQCKIFVWTTWTREVTWTREWLGLKETCCTLMEGDATWLVHEWACDQMEQLGLSLKGHFTRADHLEVDERNNNRSMRISADDRYQEMPQQMKINIDRYLKPKAKPNYQNALTSWRQAQRSLSSVTVAVRCNGEAQRKQAGRSVLTAGRCGKQARPASVGQLPELDGLAHSAGSSRDPLNSAGLSVQVLGSLVGSGLWPGHVGDPCVPMGWLALGIKPGA >A02p027880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14089909:14090767:-1 gene:A02p027880.1_BraROA transcript:A02p027880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase DHAR2 [Source:Projected from Arabidopsis thaliana (AT1G75270) UniProtKB/Swiss-Prot;Acc:Q9FRL8] MADLEICMKGAVGAPDVLGDCMSSPLSLSKIIDLCFLLHQALISQTRVLKCVPGPFSQRVLLTLEEKKLPYKIHLANVSDKLQWAQDSVMLIGFVLYRFLDISPEGKVPVMKLDGKWVADSDVIVGILEEKYPEPSLKTPPEFASVGSKIFGSFVTFLTSKDPSDGSDKALLNELESLENHLKTRPGPFVAGEKLTAVDLSLAPKLYHLEIALGHYKKWSVPESLTNVRSYTNALFSRDSFEKTKAKKEFVVAGWASKVNK >A02g500710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2038958:2039415:-1 gene:A02g500710.1_BraROA transcript:A02g500710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSPRVLRTTCRGGVLAWRSSSCSPFVQPADGESPTLSVSLFSPCIPPVVASVPTLDVSAASFRSRGRYGFVCSASSSCGAPEPLPAASVETILSHLQVSVRPIYPLLAILSIPPGD >A07p047950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25650416:25651440:-1 gene:A07p047950.1_BraROA transcript:A07p047950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD-like 6 [Source:Projected from Arabidopsis thaliana (AT1G75250) TAIR;Acc:AT1G75250] MASNSRSSSWTFKQNKMFERALAVYDKDTPDRWHNVAKAVGGKSAEEVKRHYDILVEDLINIETGRVPLPNYKTFESNSRGINDFNTRLMKNLKI >A03p035740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14989866:14990928:1 gene:A03p035740.1_BraROA transcript:A03p035740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRDNLTLSVLPPPLGEAKTAATTPVASQKLKKRLSKQLSMLETPRDIAWERRRRQMIMIQEKKMLHKGVSDNLSEQTKLTDEDLNELKGSIELGFGFNEEAGQKLCNTLPALDLYFAVNRQLSPLPSPSSSSRTSSASSSAFSMSIPGSPKKTDSDSLKIVCPGDNPQQVKQRLRHWAQAVACSVMQTY >A02g500170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:562949:563293:1 gene:A02g500170.1_BraROA transcript:A02g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLFYLLPAFSTSKTSSSASSISLSSSPATKLASDYSSPAIHKAFYFPTPLVHHPPARKGDFTTSFSSLPLFMAPFSSNAPPTKRRLLVSQSPTRTRQIRAQASGFHINLRT >A05p001030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30637:31406:-1 gene:A05p001030.1_BraROA transcript:A05p001030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) UniProtKB/TrEMBL;Acc:F4IN59] MWFCLVSPPQCVLSSIHLAPISSLSHGRRRSAASLRICATADEAPQEEVQTEESPSSSSPSALGKDLKKVVNKTAATFAPRASTASKNPALPGTTLYKVFEVQGYASMLAGGVLSFNLLFPSTQPDLWRLMGMWSIWMFTIPSLRARDCPPKEKEALNYLFLLIPLLNVAIPFFCKSFPLVWSADTLAFFAILDGWKEPSRGFD >A10g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2241129:2242623:1 gene:A10g500750.1_BraROA transcript:A10g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDKCITAIILSMLTTEVERVAVNVVKSVTLAENTAAKFASFDTTVMVSIQNLLNNFKEEVIHSVMQINSSANTTTQPTRPNVDTTNNAQRKLDIVQPQRDSNDEIIAQVTGNLSQYTVLPHNASVCPGLDGRIGHTTSRLPFVLQTQDPSFDDAHLSANSHTKEATKAQAGQIFHNSSRQPFGSQTEVPYLDCTTLSANSQTHTKDGSKMTVWSIFFPWTDISSESPSSGAHV >A03p002300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1067448:1067744:1 gene:A03p002300.1_BraROA transcript:A03p002300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQTNAMPPLVSSSYTTRLVAPHKWLSTRGLQFSVPIFSGLVANRVPLAQVVEDCVRRWSQDTLKEAKSGDVGMQVLVGQMYSSCYGIPKDEHKVL >A02p024470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12758775:12759679:-1 gene:A02p024470.1_BraROA transcript:A02p024470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIIISTDIGITVVTNAITSMGLTRFITERGGRHCLYRVGYRNVIDKGVELNREGIETHLMSHYGDFKTWCSAYIVVKIMIEMVRMRLAGSNECIDSLIKDLEEPLEALGLRMNILSEPRDAIEKGIEAIATFSQYIEEGKLKGWELDSCGECWVIEGCIVDSNDHQSAIDAHMYRVKVRDED >A05p007280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2931522:2933704:-1 gene:A05p007280.1_BraROA transcript:A05p007280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESSSGTTDHCIKVVPTHGGRYIQYNVYGQLFEVSRKYVPPIRPIGRGACGIVCAAVNSVSGEKVAIKKIGNAFDNIIDAKRALREIKLLRHMDHENVIAIKDIVRPPQRDIFNDVYIVYELMDTDLQRILRSKQTLSHDQCRFFVYQLLRGLKYVHSANILHRDLRPSNVLLNSKHELKIGDFGLARTTSDTDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCIFGEIMTGQPLFPGKDYVHQLRLITELVGSPDNSSLGFLRSDNARRYVRQLPRYPKQEFAARFPKMPPTAIDLLERMLVFDPNRRISVDEALGHAYLSPHHDVAKEPVCPTPFSFDFEHPSCTEEHIKELIYKESVKFNPDH >A09p050160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44136809:44139276:-1 gene:A09p050160.1_BraROA transcript:A09p050160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNETSASETAAAKQKKVDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSNLGWGPGVTLMIMSWVITLYTLWQMVEMHEIVPGKRFDRYHELGQEAFGEKLGLWIIVPQQLIVEVGVDIVYMVTGGKSLQKVHELLCSDCKDIKTTYWIMIFASIHFVISHLPNFNSMSVISLAAAVMSLTYSTIAWTASVHKGVRPDVDYSSRASTSAGNVFNFLNALGDVAFAYAGHNVVLEIQATIPSTPEVPSKVPMFRGVVVAYIIVAICYFPVAFLGYWIFGNSVDDNILMTLEKPVWLIAMANLFVVIHVIGSYQIFAMPVFDMLETVLVKKMNFDPSFKLRFITRSLYVAFTMSIAICIPFFGGLLGFFGGFAFAPTTYYLPCIIWLILKKPKKFSLSWIINWFCIIIGVILTVMAPIGGLRTIIVNASTYEFFS >A10p019510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13373204:13375668:-1 gene:A10p019510.1_BraROA transcript:A10p019510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSSSSTGESTPNNPSTSSSFLHLTNSSDELGQSHYSSFSIRDYASKYRTKNIEKSWPFSSTTLQVCLKHGLTDPLPPMQPFNFVVSLPPEAISCKRKFEKLCSNQALAETKQGFENGSLASGSKSKIQVANVNKNPIKKCGFVVKAGACGDSESKADQGCVTTLNVHIDKCLSVDSGQQPISKPRRKVKRMVDIYATAKRCTLEDLNQRNETKWDVSSSYSSNKVVSDNNKPQVCNKRKKRSVLHANADEDDATIGPVYIDAKGQKLRIISEFNEKASEEDVSEKVSFKEGKGSYRGFRERLLGKKYHKHCKGYASEILECRRGYSEECVIKKHLWRNVCDQPSENGHSLSAADPPVSRGPSHANFDLTETVSSPLNSHNSWRSCGESQVSGKITKRERCSMPVDKVKLKKARSENEEEDEDSGRWESEMTQERVLADYNDNEETYKVFLSSNTSTTGGEEIGNNNIRDDNMLDKTSTDADAEFESMVYEETGCDDAEERGGSTFMEVDPIPIPGPPGSFLQSPWDMETDASEHRGNFSVKSLSESTFGQAAPHMIQQDLGLLGKSFHAAPSSSTSVLRLMGKDLMVINQREDTSRGDSCLKSTSQFQDLAKTQHVSPPAHLLPRSYGGNGLYHNTSTSFYNIP >A01p038510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14629234:14630649:1 gene:A01p038510.1_BraROA transcript:A01p038510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYIFLCRVMDFTDSDDTQAPENSQFLETQLDFSCPPQFALEYANGEIEEQMLTTKEVWKLQNRRVIVHFDEDCGQPDEDSGGFLGSWLGQLSNDVNLLPIDYADWRVFAPHRKDKAWDIILKDQTGRTPCRAELFIASRKKSDGTFVCEEAKSRADELTLLMSENLSVERSNITASLDDEYSKVFGQERSG >A09p054600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46982946:46984718:1 gene:A09p054600.1_BraROA transcript:A09p054600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTKSSPPSESEKTLPPEEYLNKINEVRGLLGPLTKKSSEYCSDAAIARYLAARNGHVKKATKMLKETLKWRTQYKPEEIRWEEISREAETGKIYRANCTDKYGRPVLVMRPSCQNTKSPKGQIRFLVYCMENAILNLPDHQDQMVWLIDFHGFNMSHISVKVSRETAHVLQEHYPERLGLAVLYNPPKIFEPFWKMVKPFLDPKTRNKVEFVYSDDNVSKKILEDIFDMEQLEVAFGGENSDSSFNFEKYAERMREDDLKFFGNTSVVNLSSFDQLGF >A04p017000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:10436173:10436514:-1 gene:A04p017000.1_BraROA transcript:A04p017000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARYVQGVEDHAAEKGVLGGLKVKNVVTGDVSDLKVSGLFFAIGHEPAAKFLDGQLELDEDGYVVTKPSTTKTSVVGVFTAGDVQDKSYRQAITAAGTGLFSTSLFMFIEK >A03p009180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3680401:3687255:-1 gene:A03p009180.1_BraROA transcript:A03p009180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT5G18410) TAIR;Acc:AT5G18410] MAVPVEEAIAALSTFSLEHKLSLRIVGFAEYSDVAAYRLSLSEDTKALNQLNTLIQEGKEMASILYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQSSASAKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSAQWQDTDTMREELDDLQAWLIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFIVESLELDFALLFPERYILLRVLPVLVVLATPSEKDTEALYKRVKLNRMINIFKNDPVIPAFPDLHLSPAAILKELSVYFQKFSSQTRLLTLPAPHELPPLNHIGALRAEHDDFTIRFASSMNQLLLLKSNDGAYTEWCREVKGNMYDMVVEGFQLLSRWTARIWEQSAWKFSRPCRDAAETQEASGSYSDYEKVVRYNYTAEERRALVELVGYIKSVGSMLQRCDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTRPEHEMPSSQHGGDESKANFFYPRPVAPTAAQVHCLQFLIYEVVSGGNLRRPGGFFGNNGSEIPVNDLKQLETFFYKLSFFLHILDYSASIGILTDLGFLWFREFYLESSRVIQFPIECSLPWMLIDHILEAPNSGLLESVLLPFDIYNDSAQQALVVLRQRFLYDEIEAEVDHGFDIFVSRLSESIFTYYKSWSASELLDPSFLFALDNGEKFSIQPVRFTALFKMTKVKILGRTINLRSLIAQRMNKTFRENLEFLFDRFESQDLCAVVELEKLIDILKHSHELLSQDLTIDPFSLMLNEMQENISLVSFSSRLATQIWSEMQSDFLPNFILCNTTQRFVRSSKVPPTQKPSVPSAKPSFYCGTQDLNAAHQSFARLHSGFFGIPHLFSIVKLLGSRSLPWLIRALLDHISNKITTLEPMISGLQEALPKSIGLLSFDGGVAGCMRLIREQLNWGTKAELKTEVLRGIKEIGSVIYTMGLLDIVLREVDTKRFMQTAPWLGLIPGAEGQVVNAQEGESPLVNLLKSATSAVVSSPGCLNPAAFYTMSKQAEAADLLYKANMNGGSVLEYTLAFTSASLDKYCSKWSAPPKTGFVDITTSKDFYRIYGGLQIGYLEEITVPQSAQQEVLGDSIAWGGCTIIYLLGQQLHFELFDFSYQVLNVSEVETVSASHTHRNPQVLQGWEGLLEGMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLPRVRFENTVSAFESLPQKGTVG >A03p041350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17287261:17288961:-1 gene:A03p041350.1_BraROA transcript:A03p041350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRHIVTGFDMEQHSHPDTPHMNPVPTFLQEPYDNNSMLNGLPQYPPPPPPHHHHHHHHHQRASNLGPTMSTPPNLYLPYEPLHAHPLALGSHESNPHFMGHGYKRKSDEVIIPGNYQYLTEPAPPPETAPLAFPHYATNAYPQPMDQRSVRSRLGAVTMDPPYSQGNYPFPPPAPIWYDQHVNDNNTSDGSSSSSYWLQPPSIPFMHGNAAPRFHEASSSRNDVPFAYPTPNYFSHHPAPPPPPTLYPPRMTSASYTVPMTIHDAPYRNVGPVQPTGLRINRQHPRDGFSPAASLRHYGLPPHLRAFPAYEDAFFVEGEFFDDDEVDDHQDMRLDIEDMTYEELLDLSDHIGTVKTGLSEETVKDLVKRRTYISTRINLEEAPSTDLETDSCTICQETYKNRDKIATLDCKHEYHPACLEKWLVIKNVCPICKSEALVMDKNKER >A09p026430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14626963:14627264:-1 gene:A09p026430.1_BraROA transcript:A09p026430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSISLVSRPPAVEINHVMSKIHCDDHDRLHKDNEGDKKIDHIRLSGPVGSNPSNGPLQPEQQNLLGTVE >A10p024460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15835416:15835711:-1 gene:A10p024460.1_BraROA transcript:A10p024460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRNVIVATGLVVFASAGLAFPFYMASSKKPVIDSTKPLPPQATFRGPYINTGSRDVGPDHRTYPKK >A04p040910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23093628:23096409:-1 gene:A04p040910.1_BraROA transcript:A04p040910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFEGIKNDLKGRLMCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAICGIIHSVIGGQPLLIVGVAEPTVIMYTFMFNFAKARPELGRDLFLAWSGWVCVWTALMLFVMAICGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPERENEKLKEFLPSWRFANGMFALVLSFGLLLTGLRSRKARSWRYGTGWLRSLVADYGVPLMVLVWTGVSYIPSGDVPKGIPRRLFSPNPWSPGAYGNWTVVQEMLDVPVVYIIGAFIPASMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGVPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARKSIKTNASLGQLYNNMQEAYHHMQTPLVYQQPQGLKELKESTIQATTFTGNLNAPVDETLFDIEKEIDDLLPVEVKEQRVSNFLQSTMVGGCVAAMPILKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRFKVLEDYHATFVETVPFKDIAMFTVFQTVYLLICFGLTWIPIAGVMFPLMIMFLIPVRQYILPRFFKGAHLQDLDAAEYEEAPALPFNLAAETEIGSTTSYPGDSEILDEVITRSRGEFRHTSSPKVTSSSSTPLNNRSLSSPRVGEIRLSQMSPRVVGNSPKPASCGRSPLNQSSLN >A04g504280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:8869611:8869802:-1 gene:A04g504280.1_BraROA transcript:A04g504280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLIRLVPYRTAADSSSSGSRQDRPARAAVLKMADQTRTAKHVGLCGSARGTWNYKRTYGS >A02p044120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27786842:27787351:-1 gene:A02p044120.1_BraROA transcript:A02p044120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYTLTSDIYISAAQTLIRDSCKTAAAKDPNLKYDFCVQSLEQDPQSKTATSLSGLVLAPTNNAASKTINVKGIVETILKSKKYAPSTKPALRTCVKLYDDAYGSLKEALMNVKSSDYKSANMHLSAALDEPGICENGFKEKHAKSPVTNENNVSFQKILIPLAFTNML >A10p018060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12685821:12687781:-1 gene:A10p018060.1_BraROA transcript:A10p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMYGGEIEKAGGPANHMSNGEGVVLKKGPWTAAEDEILYVYVRENGEGNWNAVQKNTGLARCGKSCRLRWANHLRPNLRKGSFTGDEERLIIQLHAQLGNKWARMAAQLPGRTDNEIKNYWNTRLKRLQRQGLPLYPPDIIPNHQLHPHPHHHQQNHHHHQQHQHQQMYFQPQSSQPNTPSSSPLPSPTAINANPSSSFTFHSTNASTAYLLHPLSPHTPTTPQTPSQVSSTPPPPPLSSPLSSPRNNQYPTLPLFTFPSSQINNNNNNTNFTFPRPPPLLQPPSSLLAKRYNNSNTPLNCINRVSTAPFSPVSRDSYTSFLTLPYSSPTAQTATYHNTNNNYSSFSLNPSPSSYPTTTSSPSFLHSQYTPNYSSTSFHNNPVYTMKQEFPSNQIPQIGVFNNVNNFTDNEKQKNTNLHRRSISCNLLEDVLEEAEALAGGDGDRPSKRRQLTASPPNHHNNNNNDNDNFFSVSFEQYDSSENIYSLQDLKPKEEESLQMNTMQDDIAKLLDWGSDSGEISNGQSSVLTDDNLVLDVHQLNSLFPADSTAAETRTNDEQNNNDKINCSWDNLHGVS >A06p046760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24976906:24978309:1 gene:A06p046760.1_BraROA transcript:A06p046760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRIQYAIIVVSIIVIFVITGSEERSEIYDPKCPGVCSPGIVPDCKTLCTGLGFHAGYCKGLTCCCKPKFSNPSRSEARAEIYDPKCPGVCSPVAVPDCKTLCANLGFPGSYCKGLTCCCKPKSSKPPLS >A08p011880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11227610:11228873:-1 gene:A08p011880.1_BraROA transcript:A08p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISITHFFSFSSSSLYPSPYSSGKSRIIPLRNLHLHGRPPSSPSFSKLNRGVQFIYLSPITVNSQRSHVSSLSAHQASPTNGEETNSKHPPDVKTLIKAYKQALFNGDQLTLTEIELFFCEIEKEKNRFDHKVLSLSMKIASEKDTKIRLQADFDNTRKKLDKDRLSTESNAKVQVMKSLLPLIDSFESARQQIRPDTETEKKIDTSYQGIYRQFVEVLRHLRVKAIPTVGKPFDPLLHEAISREKSEAVKVGMITEELTRGFCLGDRVLRPAKVKVSLGPIKKKTVSPADETTPSA >A09p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16818337:16819283:-1 gene:A09p027840.1_BraROA transcript:A09p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISECEHRLLKQLGDEWHFTPFGFHGYMCNKHFASSDDWVASGGSSLWLASLQDRRDQIFFVQEEIPMVKSLRFHLTEALDVPGDQKPIQND >A05p050330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29337300:29338341:-1 gene:A05p050330.1_BraROA transcript:A05p050330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKATILILGLVWSFHAALGIRFVIDREECFSHKAEYEGDTLHVSFVVIKSDSQWHFNEDGVDLVIHGPAGEQIHDFREQISAKHDFVVQKKGVYRFCFTNKSPYHETIDFDVQLGHFAYYDQHAKDGEGCQIGFVSFGEHFTPLMEQISKLEEALYNIQFEQHWLEAQTDRQAIVNENMSKRAVHKALFESFALIGASVLQVYLLRRLFERKLGMSRV >A01g504730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14143905:14147293:-1 gene:A01g504730.1_BraROA transcript:A01g504730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENQTTIINFSETVLTLNPNCFQNRVHYNSSRQNGFSEIISVIFFSSLQEKESAKEKGRSEAVLLNIVAHLEKLDQKFDSRLTEYDTKFGDFSQGLLDTIGDTVKTTVKERLRPESNSGQPDGQNVMVSEDNRQPDSNSGQPASKTPIDKQFEDNQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKAVRDKAAGVKKNLDSAFGNADATNADLVSDSPGKEPPFGRYCRGLGKRNNLAADLERNEAELKKKKKKQEEAELKKKQKKEEADRYVRYSSAKPQTIP >A02p027210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13202049:13205798:1 gene:A02p027210.1_BraROA transcript:A02p027210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLADDSADLQKQIGCMNGIFQIFDRHHVLTGRRKSLTLGSGNANSINIERDSVDTFYQAKDTFQDTNIGVNVKEKQRVSTESSRVSFSSSCSSSPSSPELIRGVQPEASAYDQANFPESPTSDSEMAEGNGFSQLGFDLRDVVRDSMYRDARGLSLKTPMTREEVVRRSRREDSPRPYGLKQSTPADLSESFRFLAKLRESPHHYNEVGAKGAPARYSVDSHDTLKSRQKLKELPRLSLDSRERVVQNSVVDLKPVKLPESSSSSNKKRPPSVVAKLMGLETLPGSPVGRDIHKLGLNNTFDDNNDPFSRSLREKNLNRTIRFSPSSPRSLGKDPSSPRWRNSDFVMKPLSSSRFPIEPAPWKQADRNRVLQKQPVKAAAPPEVAKFPPTVYSEMERRLNDLEFKDSGKDLRALKQILEAMQSKVLLDTEKQPQSSNMAAQRDYATSNQEMTSRTRVPSSSSNQVYQSPIVIMKPAKLVEKAGIPASSLIPIHSLSGGLNKIRREKPDDKGASTSSKRVTKDISTGKGRVESSLSSVDKKPNSRNVPSSSKKPHKVSKENGTSKSSGSVSPRLQQKKLEHDKRSRPPTPPSDFTKSRKPLNRQMAESTSPGGRRRPKAQKSLQQSDDQVSQGSNESRTSSHDMCTHSEAEAATGAEQASVIEAAKAVVSNLMQNKSSPRFSEDGSSANLSLVALEHPSPISVLDTSIYREMEPSPVKTQGYVVAHDSGDDEHCEDQWNPAYSFSETTSTFSPEINRKKLQNVEHLVQKLRRLNSTHDEANQDYIASLCETSDPNTDHRYISEILLASGLLLRDLGSGLTTFQLHPSGHPINPELFFVLEQTKGSSNTHLLLHKEESKVLSKEKLNRKLVFDTVNELLVEKLASVEATTNPLMKSSAKKAMSAQQLLKELCTEIESLQRQATKRSENFLLEEEDDFLKSILAEDVMIRSGNWVDFSGEFSGLVLDVERLIFKDLVSEIVHAETSRLQAKSGRRRTLFSGQ >A05p025660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12522532:12523131:-1 gene:A05p025660.1_BraROA transcript:A05p025660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSRVFLSDLKTGKCSSSSVKSTVSDHKNRVMVTFKLENDESVTLSLFDCQAVSFHKKIESMRDDPKVVVDTNINPKMIGGHLFLNATSVKHVYFDKETNAEICLL >A03g504630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16522018:16524284:1 gene:A03g504630.1_BraROA transcript:A03g504630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATKMVKNELLSHSDDDDTEENFSEDGDWGDWEAGVEEDGDVSESDFICFFCDSHYVSCALLFDHCRLSHGFDFHGVRKELNLDFYSSFKFINYVRSQVAENKAVDVKDVNFPWDDEKYLKPFWQEDSLLYSFADDEEEDEEEEGLDREGLIEDLQKLGDLSIDDVSINKDVTLISNKQSCVDGLVVVNGKDEEARVCDGRLAGRNIRKVNENYFGSYSSFGIHKEMISDKVRTEAYRDALLKNPSLLSGSVVMDVGCGTGILSLFAAQAGASRVIAVEASEKMAKVATKIAKDNKVFNDNEHKGVLEVAHSMVEELENSIKIQPQSVDVLVSEWMGYCLLYESMLTSVLYARDRWLKPGGAILPDTATMFYPFGSPPWRSQFVAGFGKGATSLPFWEDVYGFDMSSIGKEVLEDTVRIHVVDVIEARDLVTGPALLKAFDLATMKPDEVDFTATATLEPIESETEAKLCHGVVLWFDTGFTDRFCKENPTLLSTSPYTPPTHWAQTVLTFQEPISLAPDTVLAGADRSGAIGTEESPASSIHLRVSVARASEHRSIDVSLEATGVSSKGQKRRWPVQIFNL >A05p011260.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:4777480:4778250:-1 gene:A05p011260.1_BraROA transcript:A05p011260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLEAFVSSVCAGGSDRKIACESTYVDDPDTQPYYNKNSTASPADFPPESYYITKDAQFEWLTDNAFFDRKESQRGSSAHISSNPNSNPSSQRFLLSSKASIIGLPKPQNTCLKEAKQRRNGGKKEKNNIVFLKRVGSRMKSDPSQLEPSSPKVSCTGRVRSRRERSRRMRRQKSVRPEKSNRVKKPGLLASFRAIFRSRGGCKDGAHTTPIMARDDIRSRLPVEEVEPVVVPGLGGMNRFASGRRGDLLGGC >A01p030430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13963645:13965354:1 gene:A01p030430.1_BraROA transcript:A01p030430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEEVFFSIGKDIKQRLSDTDSRAEPATIKISQTDQASGAGQATQKSACCGS >A03p023580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9957241:9962281:-1 gene:A03p023580.1_BraROA transcript:A03p023580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWNHFRGTSKDDGSPVSIFSLSGNDAQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVETHDGSTSKVTIYIVTEPVMPLSDKIKELGLKSTQRDEYFALGLHQITKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNESASCLIYELFSGSKLGKTEELRNTVGIPKSLLPDYQRLLSSMPSRRLNTSKLLENGEYFQNKLVDTIHFMDILNLKDSVEKDTFFRKLPNVAEQLPREIVLKKILPLLASSLEFGSAVAPALTALLKMGSWLSAEDFKVKVLPTIVKLFASNDRAIRVSLLQHVDQFGESISGQIVDEQVYPHVATGFADTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIATYLNEGTRKRVLINAFTVRALRDTFPPARGAGIVALCATSTTYDDTEIATRILPNIVVLTIDQDSEVRSKAFQAVEQFLQILKQNYEKTNAGEAGATGGASAIPETAGLIGWAMSSLTLKGKPLDQASLASSSSAPSLASAASNATTTATEAPSVKASHHTRANSDFTDQPAPPSPTSTDGWGDIENDINEGHESDKDGWDLDPIDEPKPAPALSNIQAAQKRPVSQPSRPPATSSRPKVSTAKATAKLEDDDLWGSIAAPPPATTSRPLNLKKSVQSDDEDPWAAIAAPPPTTRAKPLSSGRGRGVKPAAPKLGAQRINRTSSGM >A06p046700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24956316:24957470:-1 gene:A06p046700.1_BraROA transcript:A06p046700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:Projected from Arabidopsis thaliana (AT5G49010) UniProtKB/TrEMBL;Acc:A0A178UAX9] MASSSDMGSADFESLSSTSDVELLKRAWRNEKAAPEILPYEGDLVERAKGQIELVEENIEAYVENGIDPLVVSLYQMDLDRTQFLLRSYLRVRLLKIEKFMFHSLKSEEAESRLSEQEIVFARRCADDLAKHFEESVLRKLPENYQSVLKQSLISEVDDMVPEPHLDTFVACRSKSHFTLNLYEEGESTQTEEMYRGDLYFIRYKIVKGAIESGKMDLI >A06g505930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16979062:16983840:1 gene:A06g505930.1_BraROA transcript:A06g505930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLEKGRFEGDLAVAGVVLAGNTPPVLRDLVSLRDSFSIKSPKTAEARTSPITELVPHRSALTLSAIVSSKPIDSPQGDVFPSISTSGNFKDVITDAEFVRACEMKDERVDLIINMQRNKYDWSKHVWAYKETVKPFQYSSEEDGSDEKAAVETSETEIEEEIESIRVSPTKKRKSRFRDTGAESRKKRLLCQRSTEKYRDLEEEMKSYIQSMFNSSFTALGLEVREIIEDRFTKLEEKILSSQTQGGAPANTQTRGTDPFWTPSAAAAGAAAAATAPASVSGRPPAPTRASTEAPASVSTPGLAPSRSAASAPYRSRASATAHNGGPANAAKTRSQTKDADLSDVFGSLFSTLDVNIGTQKYLQKTMGNLTQESNVDGFDPSQDKQSEGPSDFTTPMTSFRPQIFKTPFLIDSDDIEVRCKAKDYELVFLPEEKWAKLTEWTLNPTVLQIGPSTFDAELASRIIGPNIWLKNFDMDAMMYLFREKTTLRRWSPDRVAFLNCMFSNQIITAYGNRPTRKLLKPDPEPGSTHPQRRDFLFSCRNLRK >A03g500510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1492318:1492656:1 gene:A03g500510.1_BraROA transcript:A03g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRAVKPEPPEVAKPIASKPVVIPAGTRTGLNTTNSGIVAINATAVPARDKPASNKRNIAAMVAKTAVKKPLNVGCECFSCFFFNNPILTIFEWLEEREPASLVPMVVGIY >A03p006660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2793262:2796019:1 gene:A03p006660.1_BraROA transcript:A03p006660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTREKFASFINNRWLVFVAAMWIQSCAGNGYLFGSISPVIKSSLNYNQKELARLGVAKDLGDSVGFIAGSLSENFPLWVALLVGAVQNLVGYGWVWLIVTGRAPVLPLWAMCFLIFAGNNGETYFNTGSLVSGVQNFPKSRGPVVGILKGFAGLGGAILSQIYTMIHSPDPASIILMVAVAPAVVAVSLMFFIRPVGGHRQLRPTDGASFTFIYGVCILLAVYLMAVMLIEDLVVVSHNIVTIFTIVLFVILVVPVLVPIMTSFFMDSNGPVDTVEEPLVPKPEEDQQDPGLRTPDLILSEVEDEKPSDVDSLPASERHKRIAQLQTQLMQAAAKGAVRVKGRRGPHRGEDFTLMQALVKADFWLIFISLLLGGGSGLTVIDNLGQMSQSLGYNNTHVFVSMISIWNFLGRISGGYFSELVVRDYAYPRPVALAVAQVVMAIGNVFFAFGWPGAMYIGTLLIGLGYGAHWAIVPATASELFGLKNFGALYNFLTLANPAGSLVFSGMIASTIYDMEAEKQAHGSVFNPDDVLKCDGYICYFLTSLIMSGFCIIACILSLILVRRTKPVYTQLYGKTRT >A07p043480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23777566:23778926:-1 gene:A07p043480.1_BraROA transcript:A07p043480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase PP2A-5 catalytic subunit [Source:Projected from Arabidopsis thaliana (AT1G69960) UniProtKB/Swiss-Prot;Acc:O04951] MPETGDVDRQIEQLMECKALSEAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFKIGGSSPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIATQFNHTNGLSLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIDENMDQNFLQFDPAPRQVEPETTRKTPDYFL >A04g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5797933:5798675:1 gene:A04g502420.1_BraROA transcript:A04g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAMQLHEKLLVFDEDRKVIVAGSLNPKLVGGRPGDSMLYYISLLCNCPVTNPNTCRLQSSYALWKLTTLKQQMRGATSHVRNAPGNFSVIFLCSLCLIDIVGRNLTPQLKLSEFNFSAKHQSFTVSAFLIATNMHK >A07p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1296976:1298983:1 gene:A07p007020.1_BraROA transcript:A07p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLSLHFTAPSHTLLPSKPRRSVIVAAQTAHPAESTASPTVDADRLEPRVELRDGFFILKEKFRKGINPQEKVKIESEPMKLFMENGIEELAKKSMEEIDSDKSSKEDVDVRLKWLGLFHRRKHHYGKFMMRLKLPNGVTTSAQTRYLASVIRKYGEDGCADVTTRQNWQIRGVVLPDVPEILKGLASVGLTSLQSGMDNVRNPVGNPIAGIDPDEIVDTRPYTNLLSQFITANSRGNPDFTNLPRKWNVCVVGTHDLYEHPHINDLAYMPANKDGRFGFNVLVGGFFSPKRCEEAIPLDAWVPAEDVLPLCKAVLEAYRDLGTRGNRQKTRMMWLIDELGVEGFRAEVEKRMPSGKLERASSEDLVNKQWERRDYFGVHPQKQEGLSYVGLHVPVGRLQADDMDELARLADAYGTGELRLTVEQNIIIPNVETSKTEALLQEPFLKNRFSPEPSILMKGLVACTGNQFCGQAIIETKLRALKVTEEVERLVAVPKPIRMHWTGCPNTCGQVQVADIGFMGCLTRGENGKPVEGADVYVGGRIGSDSHLGEIYKKGVRVTELVPLVAEILIKEFGAVPREREDNED >A08p036990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21612715:21614101:1 gene:A08p036990.1_BraROA transcript:A08p036990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIQSKEYLLRILQQLKTENDIALQLTNPAAIKSDVEELEQEVCRLQQQLQMAEEELRRYEPDPVRFTSMEDYEVCEKQLLDTLTHVVQRREHLVSSHLSSYEASTMQQGMAGPFANGVLEGWLPENGHNQVNLFDASAHSNQLRELSSAMYEPLLQGSSSSSNQNNMSECHVTNHNGDMFSEWAQAYSSSALFPSMNQHGSVGPSIEEMIPGQQSEIPAVTTMEAPQQAKLEMVDDYETRVPQLSSQ >A08g504510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7427294:7430085:-1 gene:A08g504510.1_BraROA transcript:A08g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALLERTIRKSKCTASIDNNTCSSTDTCLPPSTDTEPRDMVVTLVLIQDMTRNLHDQEGYLRNAAFRSSMSFGGSHWCRLTPSYEHRPTETDEYRSTSVSPHRSIEEVASCATVRILTHKEFTAKHPHPLKPLRIKKSDIDRHHELAIDRHVESTDDDAPEPMQVDQTSERRTLRRRKEKVHQSGYYPKGIIRDLEVQIGNAPVPFDFHVLENKQNKIHSLNLGRAFMATVGAVCNMQTNQLCLTLINPDVYYDPVRVGKPQASDTGVNTEFIAACHSDFEDDYEAEYSRSIDSQLKASWQAPVVVLKV >A03p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5928454:5931426:1 gene:A03p014960.1_BraROA transcript:A03p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGDVSLKPTTSDGGVVSRSARTSFRALFRVISSGASTVARSAASAASSAVNRDVESLHDQVLWAGFDKLEKEDGETRRVLLLAFHSGFQIWDVEETNNVHVIVSSHDGQASFMQMLPNPITSEEFDDRFSDSRPLLAVCGDSSWEEHSVSDIPGSETVTVPTNVHVYSLRSQSYVHTLRFRSVIYTVRCSSRIVAVLQASQIHCFDAKTLVKEYMIVTNSIAYGSLGVGYGPLAVGPRWIAYSGSRVADPSSTLFNPELITLSSSPSVAQFARESSRQIASGIVTLGDKGYKSFSRYCSEVLPNPYIPGLKGIGVANDNVVDADSVGMVYTPNPPLVNDPLICCFYTYICCGVCVCVQVIIKDIISKSVVTQFKAHKSPISALSFDPSGMLLVTASIQGHNINVFRIMPRASTSTSSDASFVHLFRLQRGFTNAVIQDISFSNDSSLIAISSSRGTSHLFEINPEGEGNAPVPLSAVNRIRSGNISGWMGTMSGAAAAAAGMVGGSLPGATASAFCYSFEQNKNNNLYGSSPASDNSLKRNLLVFAPSGCMTQYALKANEVGDGGHEMAGFDFESGSETEGKVAVEPIRRWSMIQNQSRREMQDQHSDVYGGGASSDSKSKVFPEIVRKQSADESWKVTKKGKARVEDKHQMYMSEAELQMYEPTQLPLWGRRNFRFQEWVLDVDEESNGGGGEMEIEGIQTRTVEARTRGLVPVWGYLQSPKPQQVMRESFQSPRNTTQGDQVTPLEGHGTETEFGVVHGKEENLRSEEESVRSEEGSSISEEET >A05g503780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10713662:10714618:-1 gene:A05g503780.1_BraROA transcript:A05g503780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIKFKEFIQQFRHHGSSTSDEVHHAIFKASKLLHGDDELLMPKEEVELKGGLLERFTHEMEPFLRKQGMHVRLNKRYNLILIVIARCIPTKNTFATTVSNIDQDLAQEKCVPRAR >A02p032110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16842637:16851043:1 gene:A02p032110.1_BraROA transcript:A02p032110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKRNLPSWISSRDPPHPQPESKKKPKDDDDDEHNIRNAPQSSSTTMDFSKLLEGVVFVLSGFVNPERSTLRSQALSMGATYQPDWNSDSTLLICAFPNTPKFRQVQSNSGTIVSKDWIAECYTQKKLVDIEQYLLHAGKPWRKTSASQNTTIREKKKQLSIKSEENQVETKPGTRGTSSASSKNRPACNTVEEPFSVTEVKKWARDDLTETISWLESQEEKPAPGEIKRIAAEGVLTCLQDAIDSLEQKKDVGSVTELWSFVPRVVKELGKMESSSKTENSTASKDQLCKQAKSWKMIYEAELAQKGEEASGTKSRRTSGVASGYDSDETVEMTEEEIDHAYRNVSLDKVEANSTPSLSSASSASSLSCPRTMGAPLPPKEANLFKLIVKSYETKQYKKGLKAADAILKKFPSHGETLSMKGLTLNCMDRKTEAYELVRLGVKNDIKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNLEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSQHLNANASKAVEILEAFEGTLEDDYPPENELCEHTEMIMYKVSLLEESGAFGKALEELHKNEPKIVDKLSYKEQEAYLLWKLGRPAEASKLYRVLLSMNPDNYRYYEGLQKCLGLYSESGQYSSDRIEKLNALYQSLSEQYTRSSAVKRIPLDFLQDERFKEAVAKYIKPLLTKGVPSLFSDLCSLYDHPRKPDILEQLVVEMEHSVRTTGSYPGSDVKEPPSTLLWTLFFLAQHYDKRGQYDAALGKIDEAIAHTPTVIDLYSVKSRIMKHAGDLTAAAALADEARCMDLADRYINSECVKRLLQADQVASAEKTAVLFTKEGDQLNSLHDMQCMWYDLASGDSYFRQGDLGRALKRFLAVEKHYSDISEDQFDFHSYCLRKMTLRSYVGMLKFEDRLHSFPYFHKAAIRAIRCYLKLHDTPKSTAEEDEMSKLAPAQKKKMKKQKKAEARAKKEAESKSEESTATGVSKSGKRNVKPVDPDPHGEKLIQVEDPMAEASKYLRLLQKHSPNSLETHLLSFEVNMRKEKFLLAFQAVKQLLKLDAENPDSHRSLVKFFLKTGSTSAPTTEAEKLRCSVLEAERPSISQLQNKSLVEANKEFLGRHEDSLVHRAAYAEMMCLLDPSKKTEAIKLIEDSTNKVVQQTNGALGVAREWKLKDCIAVHKLLETVFLDSEAASRWKSRCAEYFPFSTHFEGKRSSVMPDSVYNSSLKSNENGDTPNHPMGQTELNDGQLEAFKSLTVST >A06g508740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24702344:24703867:-1 gene:A06g508740.1_BraROA transcript:A06g508740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWNICLLVSMYVYHLAPLNGGEGQFHLRPRSGLPIVEELPKGDRKGSVFNKKMAGEIHFYDAFWIFLSMEFYSRDSSCSRGKRENRYPGTRASYRPSPGN >A03p069920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30712741:30716311:-1 gene:A03p069920.1_BraROA transcript:A03p069920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MAEKPTDDVMLLHGDLDLTIVQARKLPNMDTFSNHLRLCLTVCTNPSTADEEEDRRARDGLPPPPPPPSNARSHRKVITSDPYVTVVVPQATLARTRVLKNSQDPKWDEHFTISIAHPMSHLEFQVKDDDVFGAQIIGTAKIPVKDIASGSPVKGWFPILGASGKPPKKETALYIEMTFTPFDQIHTYRSGIAGDPDRKGVKGTYFPVRKGSQVRLYQDAHVMDGMLPEIGLDGGKVYQHGKCWEDICYAVSEAHHMIYVVGWSVFHKVKLVREPTRKLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKFGIRTPGVMGTHDEETRKFFKHSSVICVLSPRYASSKLGLFKQQASLPNSSLFILFLNDQCSREIVVGTLFTHHQKCVLVDTQAAGNNRKVTAFLGGIDLCDGRYDTPEHRILHDLDTVFKDDFHNPTYPVGTKAPRQPWHDLHCRLEGPAAYDVLMNFEQRWRKATRWKEFSLRLKGKTHWQDDALIRIGRISWILSPVFKYLKDGTNMVPEDDPIVYVSKEDDPENWHVQVFRSIDSGSLKGFPKYEDEAKLQNLESAKRLVVDKSIQTAYIQTIRSAQHFIYIENQYFLGSSYAWPNYKDAGADNLIPMELALKIVSKIRAKERFAVYVVIPLWPEGDPKSGPVQEILYWQSQTMQMMYDVIARELKAVQSDAHPLDYLNFYCLGKREPLPEDMADTNGSAESDSYRFRRFMIYVHAKGMIVDDEYVLIGSANINQRSMAGTKDTEIAMGAYQPHHTWTNKGKHPRGQVYGYRMSLWAEHLGKTGDEFVEPGDLECVRNVNEIAEGNWKTFIDSNFSELQGHLIKYPLQVDVDGKVSSLPDYDSFPDVGGKIIGAHSMALPDTLTT >A05p050900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29629570:29631745:-1 gene:A05p050900.1_BraROA transcript:A05p050900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVGGGSDVEVGFAKLQGEDFEYYMQSYSIMLGRNSKKSTVDVDLSSLGGGMNISRNHARIFYDFTRRRFSLEVLGKNGCFVEGVLHLPGNPNVKLDSQDLLQIGDKEFYFLLPVRSILGGPVGPRHHVSGGGVVPYQSYHSGGGSKKSGRSREFYDDDDDEEEEEDEEVVRGSGKKMRRDEYASTEKKREGRGKIDREADDQQVLLLEEKDVVSSVATVLSDLCGPGDWMPMEKLHSVILKKYGNIWHHSRVRRYLAQEDWAISEANGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFGYRHFILQPSELEATRDLIEKMIYDVNSVLFKSFLSSKFSTDKRRGEDKPRDQKPKASDNKPVMNE >A01g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:17561249:17561571:-1 gene:A01g505900.1_BraROA transcript:A01g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRACGAEAFLPWTLLERAGVQRQTWSSIYSSQWFACLASHTSRSNSPVTHPSCSFPFQ >A01p039640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14206778:14212336:1 gene:A01p039640.1_BraROA transcript:A01p039640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEACGVRRMKLGRQGLEVSAQGLGCMGLSAFYGAPTPETNAVALLQHAIKAGVTFLDTSDIYGPETNELLLGKALKDGLREKVELATKFGIIASEDGKFGFRADPEYVRSACEASLRRLGVTSIDLYYQHRIDTTVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLAAGPKLAENFEDHDYRKAICSVCYYFFMCPQGLPRFQEENLNHNKILYEKVQAMATKKGCTPAQLALAWVHHQGDDVCPIPGTSKIENLNQNIGALSVKLTPEEMAELESIARPDFVKGERYDNNMATYKDSETPPLMKLGSQGLEVSAQGLGVMGLSAFYGAPTPDTKAVALLRHAINSGITFLDTSDFYGPETNELLLGKALKDGLREKVELATKFGLVTSEDGKLGFRGDPNYVRSACEASLRRLGVTCIDLYYQHRIDTTVPIEVTMGELKKLVEEGRIKYIGLSEASASTIRRAHAVHPITALQIEWSLWSRDVEEYIIPTCRELGIGIVAYSPLGRGFLASGTKLSLPRFKEENLEHNKIVFEKVQAIATSKSCTPAQLALAWVHHQGDDVCPIPGTTKIENLNQNIGALSVKLTPDDMADLEAIARPDFVKGERYWDVITTYDHAETPPLSSWKQV >A03p069760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30660197:30662198:1 gene:A03p069760.1_BraROA transcript:A03p069760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-B2-2 [Source:Projected from Arabidopsis thaliana (AT4G35620) UniProtKB/Swiss-Prot;Acc:Q39070] MVNQEPITAILQDETRRSTKLGLEMKRQNRRALSVINQNLVVAKAYPCVVNKRRGLSESQEETKKLKPSVPSGNEFGDCIFIDDEDEEEEEAATLDQSMPMSLEEPYIESDPMEEEVEMEEEEQEPVLDIDVDDAHNPLAVIEYVQDLHEFYRKNERFSCVPQDYMAQQYDITDKMRAILIDWLIEVHDKFELMNETLYLTVNLIDRFLSKQAVVRKKLQLVGLVALLLACKYEEVSVPIVEDLVVISDKAYTRNDVLEMEKIMLNTLQFNMSLPTQYPFLKRFLKAAQSDKKLEMLASFLMELALVDYEMLRYPPSLLAASAVYTAQCTIHGFSEWNSTCEFHSHYSEDQLRECSRRMVSLHQKAATDKLTGVRRKYSSSKFGYIATKYEAAAAHFLLVSDSPKL >A02p021580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10170396:10172263:-1 gene:A02p021580.1_BraROA transcript:A02p021580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g17800 [Source:Projected from Arabidopsis thaliana (AT1G17800) UniProtKB/TrEMBL;Acc:Q9LMU7] MSRSSVHVSYAAVPMVIIMTVLCLFLANNVTHARRQATYYVGGVYGWDTIIPMDTWARGKIFYAGDILEFKYDYLTSNVMVVNRTGYETCIANEGAKEYTSGDDRISLPYGLSYYIGTYDDADCSADFVVESDIHHAAADSSPSRDHVIVLHHLYTRGPDELESQMQKNRLSPKQHSLWLDNQREDQIWKGIKVTLDDYAVKIRSREEKEFSPVISL >A04p009940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7516563:7521749:1 gene:A04p009940.1_BraROA transcript:A04p009940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDQQKLISSFMEIAIGQTKDTAIQFLKETSWNLEEAINLFLIHRENQPQRQQNATELHRSDYQEEEHIPPPLPSIRDTLYDSSYMYQTPVQVCPEEIWDAESEPSEDSDTDVGPDSKPEPSEEPRRLSSLYRAPLKLLFQGTFEEAKSTSSRQNLWLLVNLQSTTEFTSHMLNRDLWANEVVSQAIESSFILSQVYDDTTEGKKVSTFYRIESAPPVVLLIDPITGQNMRSWSGAIEAHGFVEDLMKYMDDGPHQYMASSTRNKRMKTDKISSESSQTGMPELAESLETKEEEETCSSRNQTISHIVALSWGPEFEKSAEVKQEETCVEFPDLTEEPKGDCDKSLVCSLCVRFPDGRRKQRKFLKTEPIQLLWSFCHSQIVGSEKKAFKLVQAIPGASKTLEYGASATFDQSGLANSMISRPCLTMTAITYFLHVYLIINMIKGKEEQVLPLPLPSTGNTLCDTPVPEELSDSESESLDSLTFDETPQTTSEYQQKLISSFTEVAVGQTMETVIQFLETANWNLEEAINHFFVESNTTRLPPLRFLFEGSFDEAKSASSQENLWLLVNLQSTKDYASHSLDIDLWSNKVVSQAIESSIILWQVYDDTTEGQKISTFYKIESALPVVLLIDPITGFKMRSWSGVIEAQSFIDDLMNYTKSGPHEHIASLTRKEPVLCNETNQTSDDVVTPSGAETCSSTNCDDVVETIETKTLPTHDEEEETCLEFPVLTEEPRGDCDRSLVCSLCVRFPDGRRKQRKFLKTEPIQLLWSFCYSQMVESEKKAFKLVQAIPGASKTLDYGADATFGQSGLANSMVLVAWE >A05p047890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28124815:28127121:1 gene:A05p047890.1_BraROA transcript:A05p047890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKGLMNNAKTPVTSRLSRVSEMMTEIMEIQAEDPKFHVLFIPGNPGVVPFYKDFLESLYEFLDGNASITAIGQISQTSKDWESGRLFSLQEQIDHKVNFIRQDIEGVKVPIILVAHSIGSYISLDILRKFSEKVVYCIGLYPFLTLNKQSTKQSLIGKLAASSVLSATASFLIASLRLLPMWAARRLVSNSLGASWSDTAVQATCTHLRQYHTMRNVLYMAMTEFRELAAEPDWEFMRENQTRLAFLFGIDDHWGPLQLFEEISKLAPGTSLSIEREGHTHAFCCTVAGSVWVAQHVATLIKNRFTHLQ >A08g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5137398:5139322:-1 gene:A08g502380.1_BraROA transcript:A08g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIYGTDITPSTIQNDSMFLSLVDFQTIQSGVEDPNILIDVIGQVSDLGALETVQCSVAVGLLAVCGKSLLKALKLTVMQLVKIRDVASNALTLIESNQDKLEREIRRDPWVQYPTRDIAELRQSTQCDHKVTEVLPKFRIHVWVKDGTGEAYLMLFDWIASGIVPENVADLLNGSFDELKDVESFPEAITSLIGKTFMFGVYIESSNVSSKGGMYKVGKVWKDLSMLLTGGSTTESFTQSDVGTTNLSGSQGEILLLDSQANEDTVITPSSKRKEHSNEGEPDISSTTKKQCTRVFVKKEKTTKEGPNIKKSG >A10p035520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20365705:20366725:1 gene:A10p035520.1_BraROA transcript:A10p035520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTDKRARERNGPSSYFLGCFGFSRKIYSDNKGMFETDKGGEMHTKMKKKNKKPSRWLLCSKFRSKNREIKPAPIEETEKQTLSVETDDKKKPVPLISRMADRKNIPAGEKTAVNHETKETKPKDLQVVTTDRSKPTEPSSRRDTCPERISNSTRYGKLDMKPSREKNGPRVEKFDPVIGISVIVLTLVIMLVWGRLCAILCTSAWCYFLPRLRDAAALAKRKRSGSDGSSCVPDLNSESYKRKVVMDGFLGRQNRVSLS >A10p031280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:18729403:18730977:-1 gene:A10p031280.1_BraROA transcript:A10p031280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSQNAPTSKARREETEDDVRAKRRKMEEEKQRESEQVEMKKLENLLFGSLYNPVSFGEREADVEGSDLFRVDRSAVRQAPDSEDDDDDDEEEERVLSEGVRKGEAAWEDEEEKEININIASVNRLRKLRKEEDEGLISGSEYIARLRAHHAKLNPGTEWARTDSQITDGDSSDDGGDNDDDDILRTNEDLVVKSGGSKLCSGLLEYSKQADANIADHSDAPINSVQFHQNAQLLLTAGNDRRLRFFQIDGTRNTKIQSIFLEDCPIHKAAFLPNGSQVIVSGRRKYFYSFDLENAKFDKIGPLVGREEKSLESFEVSQDSKTVAFMGNGGYILLVSTKTKELTGTLKMNGSVRSLAFSDDGKQLLSSGGDGQVYVWDLRTMKCLYKGVDEGSTCGTSLCSSPNGALFASGTDRGIVNIYKKAEFLGGKRKPIKTVDNLTSEIDFMKFNHDGQILAIISRMNKDSVKLVHVPSLTVFSNWPPPKQNMEYPRCLDFSPGSGFMAMGNAVGKVLLYKLNHYMNA >A04g502150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5242273:5242452:1 gene:A04g502150.1_BraROA transcript:A04g502150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLLELRQDGGRRERQQSEDRKLLGGFRGSGDRTHAHAPGDHRTRRSIYFSSLSSLS >A03p061510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26731983:26732829:1 gene:A03p061510.1_BraROA transcript:A03p061510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGTVSMEWLAGEKTKVVGTFPPRKRGWTGYVEKDTAGQTNVYSNIHSCDRRYNVVESCFSCYFLQPAVYIAISSGTAGSSSDGAENTAAIVLGGIALIAIAAASSILLQVGKDAPAKPKAVDYRGPSLSYYINKFKPSEVVQASTLILTEAPPVARQETSLPETTASEAQPEETSSVPATSSTS >A03p023630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9987861:9989988:-1 gene:A03p023630.1_BraROA transcript:A03p023630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALTLASWASRQLLVGSGFGSSLLKHQWFAVGWIDPEEKYCTTIDASRSDNPLWRTKFISSLDDDGDSKIHALNVEVYSREPIFLTKKLHGSATVPLKEFLAMYKNQSSSSSVFEETRSYQLRKQNSSKPKGLVHVSIRISAERQDFGGFTGDFGGVMLSNNSSYMAGSSQHPFASLNQPNNPNSFSAPPNNHHSPMPNFLTSKACPQMQQPYYPPPPMQQPYYPPPPPMQQPYYPSPPPMQQPYYPPPPMQQPYDPSAPMQPPPPPAGYMPSYMSRLENAVNVPSSSSSGGAGRGYARPGPGLGAGAAFYGKDYISGAEPDGKFSGPYTK >A09p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2616633:2618722:1 gene:A09p004670.1_BraROA transcript:A09p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLHLRFHSSPSPFRRESLTPSITSSSPFSFCSFRPKQTQKPNRLVQFCAPYEVGGGYTDEELFERYGTHQSKTNVEDKPDASEYEALLKGGEQVTSVLEEMITLLQDMKMNEASENVAVELAAQGVIGKRVDEMESGFMMALDYMIQLADKDQDDKRKSLLEVVKETVLSHLTKKCPPHVQVIGLLCRTPKKESRQELLRRVAAGGGAFEGKDGTKLHLPGANLNDIANQADDLLETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFNTLPESEVNFLTKLVALKPGKTVQQMIKNVMQGKDEGADDLSNEEDDSTQGRRQSGLNGRGSFTGKKPLPVRPGMFLETVTKVMGSIYSGNASGITAQHLEWVHEKTLQVLEEIAY >A09p077630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57619701:57622065:-1 gene:A09p077630.1_BraROA transcript:A09p077630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISSSWSLKKFFAIVFVAFAMSGEFVAGYYRPSPWRYAHATFYGDETGSETMGGACGYGNLFNTGYGLDTAALSTTLFKDGYGCGQCFQIVCVNSKHCNYGRPSTVVTATNLCPPNWYQVTHFDMAKPAFMKLAYWRAGIIPVAYRRVPCKRSGGMRFQFQGNSYWLLVFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQALSSLYGQSLSFRVTSYTTGETVYAWNVAPANWNAGMTYKSGANFR >A07p013840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7255638:7257217:1 gene:A07p013840.1_BraROA transcript:A07p013840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSLQTIGAIKAYHHQAQHLVNNYLLADPFIPYTSVLTGIFLCKVVYDLCHFISNSHSKTYIILTKIQQIEWNNRGISTVHAIFISAMSLYFVFWSDLFSDRWHNDLVVFRSSRLSSLGLGLSIGYFIADLGMIFWKYPSLGGLEYIVHHSLSGVAVAYSLFSGEGQLYTYMVLISEITTPEINLRWYLDTAGMKKSMAYVVNGVFIFLAWLVARILLFIYMFYHVYLHYNQVMRMHIFGYALVFGVPAALGVMNLIWFGKIVRGVKKTLAKRCEC >A05p018300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8379088:8382430:-1 gene:A05p018300.1_BraROA transcript:A05p018300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTTADQDTRFSNKQAKLMKSQKFASELETLVDVSKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLDNKVVNGKEIQIAITGFMEKNTVKFMKELWTLLLSAQSNSSGVPQQFLDASVAETIKFKEQARKKAEADENNKLVNEIGRRKCYEDDIEGKIDSGVEHKVTNAMEAKPSRDRPEDERKVDDKRFTFEVDQQNKFRIKKFFWLILYNSGRKSRSLSRSSDASISPRKRRPSYSRRRSRSRSLSRSLSPRRRRVRSPYGSRSRSPIRRDRSPSPQRRRRVSTPERRRQSSPPSRRRRSPSPPVRRRRSPSPPAKRRRSPSPSARRRRSPSPLARKRRSPSPPVRRRRSPSPPARRQRSPLPFRRRRSPSPVARRRRSPSPLYRRNRSRSRSPLAKRERSDSPGRSPSLVGSRRAPAGQRLPSPPARPRLPSPPAGQRLPSPPPRRAGSPSPMRLGGPQSANNLKSPSSSSLSPPGRKNGLPSPPVRRRRSLTPARERGSLSPAGRPVAKSPSHDKQGGSMSPVRGRGRSPPSRHQKARSPVRRKSPKPVTRRSQRSPSGSRSPDDSRRRRSPSWSRSSSRSPSPPVRRRPPSPSGRKHLRDRRSPGHPSEMRDREDRDQKSKLSRKQLPETVQEVGRVEHAKEQERKSEKLPERRSSHRMHHGSQMSPVSDKDFGRVENVEGKRQRPSQVEKEDNSDLDAKLNSDSKDKKRRKTKRSERDEAASDSNGSSDSDLEDRKEAKRRRKEEKKTKKEEKKRRREERRRKREERRGEKQKLKNREYSDSSEGEAEKNKNGEESDPKRLEIELRNKALESLKAKKGISH >A03p037550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15713452:15714411:-1 gene:A03p037550.1_BraROA transcript:A03p037550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNNDTSRDVTADQKLRGSGAIHVIMGPMFSGKSTSLLRRIKSEISLGRSVAMVKSSKDTRYAKDSVVTHDGIGFPCWAIPDLMSFHDIFGQDAYDKLDVIGIDEAQFFGDLYEFCCKAADDDGKTVIVAGLDGDYLRRRFGAVLDIVPIADSVTKLTARCEVCGQKAFFTLRKTCDTKTELLGGADVYMPVCRKHYVNNRIVIKASKKVLDSDKERAKPCVETVDADPSITGY >A09g502240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7619542:7619894:-1 gene:A09g502240.1_BraROA transcript:A09g502240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSLAFVSSGSSQRSSPRRRCAKPVPLLYMGRGLNGCNGHGLVKRRQQSLGLPSRTTASLALDSSSYCRLLPLLQGLRAPFSSVARGN >A01p006210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3183528:3184610:-1 gene:A01p006210.1_BraROA transcript:A01p006210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMLPDNLVEEILSRVPATCLKRLRSTCKLWNGIFNDRRFAKNHFDKAPKQYMVLKLTEEYRLCSFRGELGLIDPLCSAQFRISHAFHCDGLLLCTSESYNRIVVWNPCTGQTKWITDPTDRVMYWVTYTLRRCYQENNYSYKLLRHVPCYEKLIFEICEINSDLCWRIPHDVTPDCLLQVPHYSVYLKGKTYWCASDSKEFDACMHLLSFDYTTERFGRLCLPGPYCRLHTVSLSVVREEKLSVLLRPHDRLGNEIEIWISSSIDDSKAVSWSKIFALDDPRLGYCTRTSFLVDDEKKIATCRERWIRSPDNTKAKPYYVLQYIVGEDNEVTLLDFGASSHLHSQLLFNYVPSLVHIQ >SC163g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:5089:7530:1 gene:SC163g500020.1_BraROA transcript:SC163g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLDMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKRGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESVEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQEN >A09p083250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59964477:59965545:1 gene:A09p083250.1_BraROA transcript:A09p083250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDLLPFLQRFKGSKMGNNMVGFRFRPTDEELVEHYLRPKNNGGGSTTSSQIINTVNICNLDPWELPPLSRMASSDQVWYFFGRKESRYNRGERQKRKTKSGFWKKTGKTLPITRKSGNHETIGEKRVLMFYTNGSRSNWVMHEYRASCLSPNDSGAYTICKVQFKGEASEISSSSVVDHVVHTHSLPSPMNNPVGSEGLQSPSQFSGNQQAMQFDAETEMDFEDLLNYDLNSLLDSDGDEQSKPASMPSQLPVSSLVIYSSDDD >A05g500560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2273720:2275270:1 gene:A05g500560.1_BraROA transcript:A05g500560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNEPLTVVQQGYVAGGMAPGRCSNFTNPSCTGGDGATEPYIVGHNLLLAHGAAVKVYREKYKASQKGQVGIALSTGWYLPYTESAADRLAAARIMAFTFDYFLEPLVTGKYPVDMVNNVKGGRLPTFTAQQSKMLKGSSDFIGINYYSSAYAKDVPCPTEYVTLFSDPCASVTGEREGVPIGPKAGSDWLLIYPKGIRDLILYAKYKFKDPVIYITENGRDEIDTGKRFLKDGDRIDFYARHLEMVKDAISIGANMKGFFAWSLLDNFEWAAGYTARFGMVYVDFKDGCKRYPKKSADWFKKFLNPKKSN >A01p008630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4178430:4179577:-1 gene:A01p008630.1_BraROA transcript:A01p008630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAGLKSIENAHEDSVWAATWVPATEERPALLLTGSLDETVKLWRADELDLVRTNTGHSLGVAAVAAHPSGIIAASSSLDSFVRVFDVDTNATIAVLEAPPSEVWGMQFEPKGTILAVAGGSSASVKLWDTASWRLISTLSIPRPEAPKPSDKTSSKKFVLSVAWSPNGKRLACGSMDGTICVFDVDRSKLLHQLEGHNMPVRSLVFSPVDARVLFSGSDDGHVNVHDAEGKTLVGSMSGHTSWVLSVDASPDGGAIATGSSDRTVRLWDLKMRAAIQTMSNHNDQVWSVAFRPLGGTGVRAGRLASVSDDKSVSLYDYS >A10p026750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16919575:16921698:1 gene:A10p026750.1_BraROA transcript:A10p026750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAHGREEPTQEKGLGDGAEASVKASRHSPASPPPATKQGPIGPVLGRPMEDVKSSYSLGKELGRGQFGVTYLCTQKATGLQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHNVHLVMELCAGGELFDRIIAKGHYSERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLNKEENSPLKATDFGLSVFYKPGEEFKDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYILLCGVPPFWAESENGIFNAILSGQIDFASDPWPAISHQAKDLVRKMLNSDPKQRLTAAQVLNHPWIKEDGEAPDVPLDNAVMSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDDSGTITLEELRQGLAKQGTRLSEYEVQQLMEAADADGNGTIDYGEFIAATMHINRLDREEHLFSAFQHFDKDNSGYITMEELEQALREFGMSDGRDIKEIISEVDGDNDGRINYEEFVAMMRKGNPDPNPKKRRELSFDTT >A01p057510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32511019:32513772:-1 gene:A01p057510.1_BraROA transcript:A01p057510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGRPGSPGSVVSSRIESNRKEVNNVSVTKTETIQTTSVVVASASTSEEVVKNHKEENGTRERKPRGERRRSSKPDPRRSNPPKNLLGEQVAAGWPPWLSEVCGEALNGWLPRKADSFEKIEKIGSGTYSNVYKARDSLTGSIVALKKVRCDVLERESLRFMAREILILRRLDHPNVIKLEGLVTSRMSNSLYLVFRYMHHDLAGLAASPDITFTEQQVKCYMKQLLSGLEHCHNRGVLHRDIKGSNLLIDDGGVLRIGDFGLATFYDATKRQRMTNRVVTLWYRAPELLHGVEEYNVGIDLWSAGCILAELLSGRPIMPGRNEVEQLHRIYKLCGSPSEEYWRKIRLPSHQRHAHHKPLPQFKRKVREVFKDFSPQALSLLDTLLAIDPSERQTASDALMSDVSLFTVISLSLLSSPADSVYSVNSVRYPPSKEIDARKRDEEFRRQREARKVQGESRRRIRLPRERAHPRAMAAPEANAENQSNIDRMRMITHANAKSKSEKFPPPHQDGALGFQVGSSRRLDPSEIPFSSNSFTASYSKEPLQTWSGPLAPIGASDSSSQRRKDVNKERRMAAKLKGKRIVV >A02p012310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5386524:5387646:1 gene:A02p012310.1_BraROA transcript:A02p012310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKDYKNKSLESFNNVSVIPRRHNNSVQVGLYKYWSRREKKDREREMKNVQAEYRKGPWTEQEDILLVNFVHMFGDRRWDFVAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPEEERLVLELHAKWGNRWSKIARKLPGRTDNEIKNYWRTHMRKIAQEKKRPTSPTSSSSNFCSSSMTTATTQDTGGSKGKMNQDGYYSMDDIWREIDQSGESITKPVKDIYYSEQSCYLNFPPLASPAWESSLESIWNMDADESKMSSFAIDQFPLTFEHGRSSWSSLV >A09p009770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5017126:5018034:-1 gene:A09p009770.1_BraROA transcript:A09p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CIP8 [Source:Projected from Arabidopsis thaliana (AT5G64920) UniProtKB/TrEMBL;Acc:A0A384L1Y1] MSSAPSDDAHWCYHCNKRVVVETLDDFVVCSECNKGFVESIHPIPRSPPLSPDLRVEDSSIGSHFLQMLRLLTHAPSPPRLDVLSYEDEFFGLEINSRNEEDEDDEEEEEEEEEGEDRGGEMFPITTRSITGRNRILDWAEILMGIEDNSDRYAVNAADYVDDGAGYEALLQNLAEGDGGGGGGGGGRRGAPPAAKSAIEALETFEVCSDDKMMVVVCAVCKDGMVIGETVKKLPCGHCYHGNCILPWLGTRNSCPVCRFQLKTDDAEYEEEEARKKRTTTTTTTTLTDSGASSSSSASSRF >A09p078330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57980456:57982518:-1 gene:A09p078330.1_BraROA transcript:A09p078330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSIKPVSSSLTAIAAVRRTVSPKLRFSPLPIIRNFENSPLRVSSPHNLSTFSLVAEKQRRDGFRVGAYEADRSQPIEIGVEVPDEQSGQKVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLVSWVTRVAEAPKTDLDFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLFLGETFPLPVYLSLLPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSMMSLLLVTPFAIAVEGPQMWAAGWQNAVSEIGPNFVWWVVAQSVFYHLYNQVSYMSLDQITPLTFSVGNTMKRISVIVASIIIFHTPIRPVNGLGAAIAILGTFIYSQAKQ >A05p025520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12416254:12421772:1 gene:A05p025520.1_BraROA transcript:A05p025520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWLSSSWLSELSCSSTAVISEPTSTVSIAIQWLRFVFLSPCPQRALFSAVDLSFVVALVFFALRKLFSSSSSSTSVNGNAEIRKPLLGRIQTRATAWFKSTVVATVLLSVCSVVVCVLAFTEKQRTQRPCNVINPMFWVIHAVTAVLVLHEKRFAALKHPLSLRVYWVSSFVVTTLFTVSGILHVLSDDSTAAVLKACRRRSTGVVTTDNNNNYSDTTPYDAVSEKADNVSLYASASALSKTFWLWMNPLLSKGYKSPLTLEQVPTLLFESNWPKPSDNSRSHPVGTKEILFTAILAVVRLGVMYVGPVLIQSFVDFTSGKRSSPWQGYYLVLILLVAKFVEKGLKITSSARQSHGVGQIVNYMAVDAQQLSDMMLQLHAIWLMPLQVALALVLLYGSLGPSVVTAIIGLTGVFVFVLLGTKRNNRYQFSLMGNRDSRMKATNEMLNYMRFLYSIAANIIVLWSTPVLISALTFATALFLGVKLDAGTVFTTTTIFKILQEPIRTFPQSMISLSQAMISLGRLDSYMMSRELSSDEAVGCDGNIAVEVRDGSFSWDDEDNVPALKDINFKVNKGELTAIVGTVGSGKSSLLASVLGEMHRITGQVSVCGSTGYVAQTSWIQNGTVLDNILFGLPMDRDKYNQVLNVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYFLDDVFSAVDAHTGSDIFKNCVRGVLKGKTVLLVTHQVDFLHNVDCILVMREGKIVESGRYDELVSSGLDFGELVAAHETSMELVEAGAESSAAATSIITSPRAPTSPRVSSPRTSMESPHLSDLNEEHVKSFLGSKLIKEEERETGQVSLRVYKQYCTEAYGWWGIVFVVFFSLTWQGSVMASDYWLAYETSANNAVSFDASVFIRVYVTIALVSIVLVTLRSYYVTHLGLKTAQIFFRQILNSILHAPMSFFDTTPSGRILSRASTDQTNVDIFIPFMLGLVASMYTTLLSIFIVTCQYAWPTIFFVIPLGWLNIWYRNYYLASSRELTRLDSITKAPVIHHFSESIAGVMTIRSFRKHELFRQENVKRVNDNLRMDFHNNGSNEWLGFRLELIGSWVLCISALFMVMLPSNVIKPENVGLSLSYGLSLNSVLFWAIYMSCFVENKMVSVERIKQFTDIPAEAEWESKENLPPSNWPFHGNVHLEDLKVRYRPNTPLVLKGITLDIKGGEKVGVVGRTGSGKSTLIQVLFRLVEPSGGRIIIDGIDICNLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPTDQYSDEEIWKSLERCQLKDVVATKPEKLDSLVVDSGENWSVGQRQLLCLGRVMLKRSRLLFLDEATASVDSQTDAVIQKIIREDFESCTIVSIAHRIPTVMDCDRVLVIDAATFLEMEVQPFE >A09g512820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:38486463:38487146:-1 gene:A09g512820.1_BraROA transcript:A09g512820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSMLLKEAKPVNKVSNQGKCQTPPRETGIDVCVLDVESKNESYLLPEVLKKEPDHKPSHEPPHKWKSNVEQCVQMPRLKNVENFSGCKGESFKEIPPDNLLLLGESKPKMVRTEPTRSMKDHPLKEIRNAKVKSRG >A05p003940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1533302:1534486:-1 gene:A05p003940.1_BraROA transcript:A05p003940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAVQILGCSSGLTIVSQRLTKTRNSSTVSFLSTSTNPLKLSTFSSSSSPRKTLCFNPTRMSSSSLPGSDPLPKSPTFVSGDVRKIKFCQWCGGPTKHEIPDGEEKLRAICTRCGKIAYQNPKMVVGCLVEHEGKVLLCKRNIQPSHGLWTLPAGYLEVGESAAEGAMRETWEEAGASVEVVSPFAQLDIPLIGQTYVIFLAKLKNLDFAPGPESLECRLFALDEIPFDSLAFSSIYVTLNLYLEDLKRGKVKFHYGTINKRPGSSPSDIRAFSLDYHLQP >A06p020410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9994689:9997724:1 gene:A06p020410.1_BraROA transcript:A06p020410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCYSRNISTVEDKDGDVPNGVAQLQNNHRTSVPSSPVASGSTEANPYTISPFQSPLPAGVAPSPARTPGRKFKWPFPPPSPAKPILAALRRRRGTAPQPRDGPIPEESEEDDHGTAGGSGERLDKNFGFSKHFEGKYELGKEVGRGHFGHTCSAKAKKGKIKGQTVAVKIIAKAKMTSALSIEDVRREVKLLKALSGHRHMVKFYDVFEDADNVFLVMELCEGGELLDRILARGGRFPEADAKRILVQVLSATAFFHLQGVVHRDLKPEVLLLPTNFLFTSKNEDAVLKVIDFGLSDFSRFDQRLNDVVGSAYYVAPEVLHRSYGTEADIWSIGVISYILLCGSRPFYGRTESAIFRCVLRANPNFEDMPWPSISPIAKDFVRRLLNKDHRKRMTAAQALAHPWLRGENPGLLLDFSIYKLVKSYIRASPFRRAALKSLSKAIPEEELVFLKAQFMLLEPEDGGLFLHNFTTALTRYATDAMIESRLPDILNLLQPLAHRKLDFEEFCAAAVSVYQLEALEEWEQIATTAFEDFEREGSRAISVQELAEEMSLGPNAHPLLTDWIRSLDGKLSFLGYKKFLHGVTVRSSSSRPIR >A06p021020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10378433:10382020:1 gene:A06p021020.1_BraROA transcript:A06p021020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEEQKKNVTEPKTAVSNPSPPSSEEKTDDSKALVLIVAKEPVEEKKEGSVNRDDVLAKLETEKRMSLIKAWEEAEKSKVENKAQKKLSSVGAWENSKKASAEAELKKIEEQLIKKKAEYAEQMKNKIVQIHKEAEEKRAMTEAKRGEEILKAEEMAANYRATGTAPTKTEAPPPPPRDHHHLPFKPLCKNSNSLRLNRVLKIDCLDASELNLSEDSTAYTKKDTRRQQGHRRPQTGHSLVYEVSKSEIISLRNPSVLSNIANSRDKNRYKKLLCMVDLTKDFFFSYSFNIMHSFQKDICETMRVVLLS >A02p041940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26314791:26317859:1 gene:A02p041940.1_BraROA transcript:A02p041940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MHSLNTTTTGSQDPIDPNRNPDPDQYPHRNPSHPQSRRPRGFAATAAAASMGQADSDVNNGNITGETSSGGGGGGGGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVIAALAREAGWSVDADGTTYRQSHQSNHVVQFPARSIDSPLSSSTLKNCAKAALECQQQHPSLRIDDNLSPVSLDSVVIAESDHPGNGRYTGASPIPSVGCVDANQLIQDVHSTERRNDYTENFYVPVYAMLPVGVIDNFGQLVDPQGVRQELSYMKSLNVDGVVIDCWWGIVEGWNPQKYVWSGYRELFNLIRDFKLKLQVVMAFHEYGGNASGNVMISLPQWVLEIGKDNPDIFFTDREGRRSFECLNWSIDKERVLHGRTGIEVYFDFMRSFRSEFDDLFVEGLIAGIEIGLGASGELKYPSFPERMGWIYPGIGEFQCYDKYSQTSLLKEAKSRGFAFWGKGPENAGQYNSQPHETGFFQERGEYDSYYGRFFLNWYSQLLIGHAENVLSLANLAFEETKIIVKIPAIYWSYKIASHAAELTAGYYNPSNRDGYSPVFETLKKYSVTVKFVCPGPQMSSNEHEEALADPEGLSWQVVNAAWDKGLLIGGENAITCFDRGGCMRLIEMAKPRNHPDSNHFSFFTYRQPSPLVQGSTCFADLDYFIKRMHGEVIYRDKH >A07g508130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22528322:22529192:-1 gene:A07g508130.1_BraROA transcript:A07g508130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGHSAPRVDTLRYSRFLLSAGFLHPYFNWAFINLSPKFCRPLTNPQAIRVPSSVFLLSGGLHNESTRKEAGAPGVTEELFEARKSALAMEKANQMKGLCFTRAESAVKVTGVPRLMNSIASLGATFCAFHKGQQATEMRM >A10p001370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:699517:699964:-1 gene:A10p001370.1_BraROA transcript:A10p001370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSQVWQPKSTQSLPTKQVGGSRSTEESSAVPRTRSDSQGPMTTGASSGASHESPSVFNRLGGRQDGDKRRAPFRKKESLKQSQVVIREGGLAEVTRGFQKKPRLENSKKKEPHRFLRG >A04p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5469886:5472367:1 gene:A04p014360.1_BraROA transcript:A04p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G37510) UniProtKB/Swiss-Prot;Acc:Q9FGI6] MGVGILASRAIRPASRLLRSQPSNLLIRTIVSKPELQSPEAAAVSQPEPPKNQILPPRNPVGGARVHFTNPEDAIEVFVDGYAVKVPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVSDAVGSNIRVDSRGPEVMRIIPRLNEDINEEWISDKTRFSYDGLKRQRLSDPMIRDSDGRFKAVSWRDALAVVGDIIHQVKSDEIVGIAGQLSDAESMMVLKDFVNRMGSDNVWCEGTAVGVDADLRYSYLMNSSISGLENADLFLLVGTQPRVEAAMVNARICKTVRASNAKVAYIGPLADFNYDCKHLGTGPDTLKEIAEGRHPFCSALKNAKNPAIIVGAGLFNRTDKDAILSAVESIAQANNVVRPDWNGLNYLLLYAAQAAALDLGLIQQSAKALESAKFVYLMGADDVDVDKIPKDAFVVYQGHHGDKAVYRANVILPASAFTEKEGTYENTEGFTQQTVPAVPTVGDARDDWKIVRALSEVSGVNLPYNSIEGVRSRIKSVAPNLVHTDEREPAAFGPSLKPECKETMSTTPFKPVVENFYMTNAITRASKIMAQCSAVLLKK >A01p015480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7566794:7567599:1 gene:A01p015480.1_BraROA transcript:A01p015480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAESDVDRPVDSDSPAAEESGSNQNSGGRSEPSPSNQREILRTLSTVEKDSKAIAESFSSLFVSLRSTLSEATSSSVDHMGCFGDAAGRLQETALDASTKGNRYINSCLRLNEEIKGVENLAARLYPLYGSLRVNLEF >A05g502180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6919534:6919956:1 gene:A05g502180.1_BraROA transcript:A05g502180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIDDDEEEDYVPQSATNYYFEDDDKEPVSFVSCQGLEVRPFQLQSGDLCSHEGSTLSFVRTEISRLKLERSNLQALTSLCRGKRPSSEVPLKYKPAALPSTFSLSLH >A09g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21224031:21225038:1 gene:A09g507200.1_BraROA transcript:A09g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RWSKFSSSLLALFYKKLLQDRKPFFFPFFSLLSFFLNMPSTKRASKNTMALHSNHGSVHDDDEVIPKAEFMPHSVDSEEAEAYWVAMTAPPAESLTCRARGYFTCYEAHLLRCYLWFPIPEVIIQTLNRFELSISQMTLTGLQNIISISGMTLDADYFKALLRPLSSSGPLMHRLNPRQYMSIIKKKISSGHEWRNCFFFVRINDASFEESCIPIFRSEWSPHVPNPLWPFPEDLIVVRDLLWGGPFHWTSFSLKRVRKALAYHSSSSDVCRGRN >A10g502580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7556554:7558306:1 gene:A10g502580.1_BraROA transcript:A10g502580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFTKSNLRKDIFTKSLAVKSCSNLNRTTKYRLSESNGHVSKSAGDKLEYGNQTADKPSSIDTRRPSMHTARNQARAKLGRYVATERPFRSVATYRPSTSQARSLRSNRASVLLGRYVATELEPSSQPSVRPARSLRPSIRPARLLRSDRARAKLGRYVAMTELFQNVKTTPVHAFSSILRCYIPKTVANSVHVFRHSKSSIKLCLAINVSSRNTAQRGLRHDSRPILRFLNQKPVNRRTVYAWFAREDKYNYEDRKKWNISILCYDGLSTEDASFCSHSSALEGGGVTDYSYSWPQNA >A05p055290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32656057:32656905:1 gene:A05p055290.1_BraROA transcript:A05p055290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLDLNTLTTSSTLPSIPKLPERSKTGKFSVFVCRKADKVQEQGSVQELTRRMSLGFAVSIALTGAFGESNVSLAKDNGFWIDGPLPTPPIYNNIVNEQTGTRTFLKKGVYVADIGTKGRTYRVKKYAFDLLAMEDLIGPDTLNYVKKYLRLKSTFLFYDFDNLISVAASQDKQPLTDLANRLFDNFEKLEDAAKRKSLAETEACYKDTKLILQEVMIRMA >A05p054510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32043667:32046358:-1 gene:A05p054510.1_BraROA transcript:A05p054510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase MCC1 [Source:Projected from Arabidopsis thaliana (AT3G02980) UniProtKB/Swiss-Prot;Acc:Q9M8T9] MEDSSMEEEPGISRRPSIYYRPINPNDLDRLEQIHRDIFPIKYESEFFQSVVNGVGIVSWAAVDRNRPGGYSDELIGFVTAKFVLAKESEIDDLICYGSSQGEETLIYILTLGVVETYRNHGIAMSLISGVIRYASGLSLCRGVYLHVIAYNNPAIRLYNRLMFRCVRRLNGFYLIKRQHFDAFLFVYFVKGSRSPCSPLEVAMFVVNYMKNGIKSVASKLTRKEEQGLKWLVCKDTDCVLPTQTKPNLGSSSGRRTKPSFNVKNSLSNKNLRPIKGQTKRKRNIYAVEMASSSSSSSLSFIAFLLLVPICFCSEPPLQIPNGTLDLSLLWYGQFTPVQKERVQDFIESLNFDSKEGLDPKVSAWWKVVESYQERYEVKEIYRQKSNRTGAPRIKVKIVRSYVDEKMKYGTELTIENGEKLVETATENMSKVVPVVLLSAQVRSHGLGFCNKTCQRYALTVNGKQRPQPYVMVSDPEVQCPGECAWPFHIANKGPHGMTYQPPSGEIGADALVIQLATGLADVATTPALTESLFKSEPPYITEGNHISSDYIEEPATKCTRVFGSGALPGFTGRIRVDPITGGAFNSHGINHLKFLIPSVWDPKTKSCWTPM >A02p007260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3062405:3064191:-1 gene:A02p007260.1_BraROA transcript:A02p007260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAARGFHVTTTRKEIVAPASSPLLPLPENRIISLSNLDLLLPPVDINVCFFYKKPLYNTTNDVLKTSLAEALVSYYVLAGEVVASPITGEPEILCNNSGVEVVEAAADVELRELNLHDPYQSIAKFVPMKVHGVFAIQLTELRCGSLVVGCTFDHRIADAYSMNMFLLSWAEISRSDVPTSYMPSFNRSLLNPRRPLIIDSSIDQMYMPVTSLPLPQETTNLDNILTSRIYYIKAEVVQELQTQAGRTKLESFSAFLWKLVAKHAATTTDSKLLNSKLGIVVDGRKKLTEQETSTYFGNVLSVPFGEQRIDYLTHKSFSWVADEVHKVLESSVTKDHFLNLIDWIETRRPVPVLPRIYGAGSEDGPAFVVSSGKSFPVTRIDFGWGSPVFGSYHLPLGSRTGYVMTMPSPVVDNSAGEWIVYLHLEKGQLKFIEQGAPHVFKPIDNDYLKI >A07p048690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25975220:25976559:-1 gene:A07p048690.1_BraROA transcript:A07p048690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVANFSANRITTVIPRPTSAVASTSSFVFFDPKPNSLILKQSWVKNPTRRRFSAVNVKASAASSGKVGTPAIKDEKVQKIHSGEEFDEALKKAKNKLVVVEFATSKSDQSSKIYPFMVELSRTCNDVDFLLVMGDESEKTRELCRREKIEKVPHFSFYKSMEKIHEEEGIGPDQLMGDVLYYGDSHSAVVQLHGRADVEKLIDENRTGGKLIVLDVGLKHCGPCVKVYPTVLKLSRSMSETVVFARMNGDENDSCMEFLKDMNVIEVPTFLFIRNGDICGRYVGSGKGELIGEILRYSGVRVTY >A06p033770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18229668:18230227:-1 gene:A06p033770.1_BraROA transcript:A06p033770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIQLTKRHDSVYPGTDLPFQKRITSFLTQGLEKEPVNYLCCYNETNDKKSQINVKCDRLKHNAFSEHTSMINTSM >A03p065220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28463695:28465825:1 gene:A03p065220.1_BraROA transcript:A03p065220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRRSESELSAKRRAEFPVKLEDDLEEEHAPLNKRSRLWSSGTSSSPMAPAKYNPLDEPSPLGLSLRKSPSLLDLIQMRLTQSGDSKAETLQTTGVKKESKCITAASAGALAPGSIEKLKASNFPASVLKIGKWEYKSRYEGDLVAKCYFAKHKLVWEVLEQGLKSKIEIQWSDIVGLKANCPEDGPGTLTLVLSRQPLFFRETNPQPRKHTLWQATSDFTDGQASLYRKHFLQCAQGIMNKHFEKLVQCDHRLFHLSREPEIIMDFPYFDARQSIFEDPSESKGYPYGNLNLGTGSSIASPVGAQSSSEHMYLSHEAPSPSSVIDARANEGTGGAEAVNSRNTTDCGEIGLHKAISLSDFLAVLGDSKNTMDSNQVQEDEAGLNQSMSVSDLVAFLSDSRNITDSSQIKVPGLQQSISVSDFVGLLSDSAGGNHPEHLEKFEILKQQLLSDNIQFDTPDEKSLMPRVNSLFNLLYKEPNVAANSQLSTEVSGGFKSELHDLNGTAVPANNNNGGFDPASSSKPQGMLRKDSFSDLLLHLPRITSLPKFLSNISEEDGDAYK >A03g506240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22267682:22268397:-1 gene:A03g506240.1_BraROA transcript:A03g506240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKKMTSKTLLLLGLFAFLLVVSEMAAASARQSGMVKSGSEETVHPDGYGGGHGGNGRGYGGRGGHGGKGGRGGHGGEAVQTKPDGFGGGHGGHGGHGGHGGHGGHGGEVIRTQSGH >A05p045890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27382183:27384204:-1 gene:A05p045890.1_BraROA transcript:A05p045890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVHVSLVKLNRSFLVCLWLLGTVSLSCAARYGASKQKLEVKKHLNRLNKPALKTIQSPDGDIIDCVPISKQPAFDHPFLKDHKIQMRPSYHPEGLFDDNKASAKPKEKKETHIPQLWHRYGKCSEGTIPMRRTKEDDVLRASSVKRYGKKKHRTVPIPKSAEPDLINQNGHQHAIAYVEGDKYYGAKATLNVWEPKIQHANEFSLSQIWLLGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSDIAMGASISPVSGYRNSQYDISILIWKDPKEGHWWMQFGNGYVLGYWPSFLFSYLTESASMIEWGGEVVNSQSGGHHTWTQMGSGHFPEEGFSKASYFRNIQVVDSSNNLKAPKRMGTFTEKSNCYDVQTGSNDDWGHFFYYGGPGKNKNCP >A07p048900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26041091:26043922:-1 gene:A07p048900.1_BraROA transcript:A07p048900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVARRISDVDRRLLLVLAIPFLSLLLLLSLSTLNLDPPPTLAPLRNLIYTHTLTATTENTGSDPRDVNPTEEDENSRRGKKEELMKSKIAVCLVGGARRFELTGPSIIERILKVYPNADLFLNSPLDHNSFKLRLLKDSPRLAWVRIFEPTPINETESMVRVLTPMNSPNGIKGLLQYFNLVEGCITMIKAYQNENNFTYDWIVRTRVDGYWSDTLDPDYFKPGQYLVPPGSSYGGLNDRFGVGDLNTSTVALSRLSLIPDLDSAGLTSLNSESAFKAQLSTHRVPYVTKPLPFCIMTDRTYEFPPSSYGVPVAALSSRGPLNGAKCRPCTVACSGSCVGEVMGKLNKEWSWTEWENGTLKLCDAHGEWEEGWEKIFDGVAGEELARARKRGGGLESRRCVEEFEEMRGVTVKWEAPASEQICMLGLKPK >A09p066140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52606923:52608850:1 gene:A09p066140.1_BraROA transcript:A09p066140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSELLTVEPLDLQFPFELRKQISCSLYLTNKTDNHVAFKVKTTNPKKYCVRPNTGVVLPRSTCEVLVTMQAQKEAPSDMQCKDKFLLQGVTASPGITAKDVTPEMFSKEAGYLVEETKLRVTYVAPPQPPSPVHEGSEEGSSPRASVSDNGQAAEFSFQRFSADKVEPQEGTSEARALITKLTEEKQSAIQLNNKLQRELEQLRRDSKRSQSGIPLMYVLLFGLIGLILGYIMKST >A05p051880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30086927:30093348:1 gene:A05p051880.1_BraROA transcript:A05p051880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSLAEEHEEAKPISEALKRFKRSRLVLEPSLGVLGFFLVGLCLVWSFFYFDYRSAAKRYNLSDKSDRFVWLKLENNKSSTTISSNRVGFLEESGDKCDVFDGDWVWDESYPLYRSKDCRFLDEGFRCSEFGRSDLFYTQWRWQPKHCNLPRFDAKLMLEKLRDKRLVFVGDSIGRNQWESLLCLLSSAVKNESSVYEVNGSPITKHTGYLVFRFKDYNCTVEYYRSPFLVPQGRPPKGSHKKVKTALKLDTMEWTSSKWRGADVLVFNTGHWWNYGKTIRTGCYFQEGGEVKLKMNVDDAYKRALETVMKWIQTEVDSNKTRVFFRTFAPVHFRGGDWRTGGTCHMETLPEIGATSLVSSETWEQLKILGDALSHHSNGSETVKLKALNITAMSAQRKDGHPSIYYLGSLGPAPLHRQDCSHWCLPGVPDTWNELMYALFMKQEASSSRRVKEANVTVYMAMEVAMDLELDDDVFYADISKQINLLITDEDEQNPISLSSPISFEGLFRENYQASATPYMMYQEQNYNQVRESKGTGVFIPRCSQPRRRQHNHPHQKKQGRFGSITPKQQYPHHVYDNNSTTFNNQESITLHHAASINQRRTYRDAASVFT >A07g502170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4744781:4747922:-1 gene:A07g502170.1_BraROA transcript:A07g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLYVSDPTRLERSIRKERRSPSIDNNTSSSIDTRQPQSTETPSSSADTRPPPSTEATLLSTDIFHPTSIDASPQTSIDTEPRDMVANIILLRDENGDLHDNEGHLCNAAGQKVDAQGADEDQRDIKEMKLMLEKLLKEQQEMTEDLNLHLDSLGKEEKREEDAFLVESSMSMGSSYWCRPTTTSKHRSTSSPERRPTPSDKHQSTPLFGLDKTIRIQSHSDFTARHPHPPTLVCIRPNDVDRQQAERIDRHHLEKIDRQEHGSVDRQEQQRIDRFPSTPYRVRLPNIDAHRLNATQNSSQTSVCLGTTEQISQQTEDATEKEHSTLAETSLVEIDQYQRDGYEHVMEVHATKEEVQREKRVKSRKPFIPKHLRREVNKVELDGFHKRVKRVPKDMSFVDAYYKYRLAFMATVGAVCDLKKNMMCLTNIDERVYYDPVDKTRSKDFISCIELSDDEAHTANSTREPAKPKSASIDNEPSASVDKQLSESIDTKLSASVDTLHISEQAVTEKSKFGGKDQAEEEEEEEKEYRC >A05p047520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27967087:27968643:-1 gene:A05p047520.1_BraROA transcript:A05p047520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFCHVFKLNSCCFLMHVANLKSLCMFIGVCSEIMGRSYSYSPSPPRRRYRSPSPVGYYKGRSRDAPTSLLVRNLRLDCRPDDLRRPFGRFGRLKDIYIPRNYYTGLVCLIVSVEDEEMFWSKSKLVQWLRKGEGHLQDLHMVTLGLLAIPVRQTTEEATHVRQSTGEAIRVRRMMKGAVQDLFHRKIGSDRTQGQAQDLVMSREAGARVLDTHADLVYDPRM >A09g501820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6593675:6594026:1 gene:A09g501820.1_BraROA transcript:A09g501820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECDSKNAYALAHLICDCLKYSLVIRYYIGCKFYVRSFLVKHKHHSGKALYLSCLLCIVEEAMEEASKEGCVLPKT >A06p050300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26470974:26471929:1 gene:A06p050300.1_BraROA transcript:A06p050300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKVPPETYPPPGYQSQYPPPPGYPSAPPPPVYPPSHHHEGYPQHPHGYPSYPPPKPPSRPPYEAGYQEYFSGGFPPPPPPPQQYNQCHHDHHYYQDSNSGCTSFLRGCFAALCCCCLLDDIFV >A07g501470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3038999:3039725:-1 gene:A07g501470.1_BraROA transcript:A07g501470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRGFLSSRRLGVRCSVSLDRDTPITDSFSSHPNFFTRAKQVKGIEISDQHSACREKRHSYMYLAYFATGGSSLAVYLFGHLDYMVFDASQWVQIPFTDRKTDEIKVPKKKHKTKAKA >A02g512510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33955859:33959148:1 gene:A02g512510.1_BraROA transcript:A02g512510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYFTHVCLWTTLLAVVLTWAIFHVSNRRKKSTKLVDTAAQERTYGGPDVIIVGAGVGGSALAYALAKDGRRVHVIERDMSEPVRMMGEFMQPGGRLMLAKLGLQDCLEEIDAQKATGLALYKDGEKAVIPFPVEDNNFPYEPTGRSFHNGRFVQRLRQKASSLPNVKLEEGTVKSLIEENGMIKGVTYKNSSGEETMLFAPLTVVCDGCFSNLRRSVNDNDAEVMSYQVGFISKNCQLEEPGYLHLVMAKPSFTMVYQISSTDVRCSVEILPGKIPSIANGEMTNFLRNTMAPQVPQNLRKIFLKGINEGAQIKVMPLKRMSATLSNKKGVIVLGDAFNMRHPAIASGMMVLLSDILILRRLLQPLEYLGDVNKVSEVIKSFYVIRKPMSATVNTLGNAFSQVLIASTDKAKEAMRQSCYDYLSSGGYGSSGLMALLGGMNPRPLSLIYHLFVVTLSATGQLLYPFPSPLRIWHSLRLFGLSLKMLVPHLKAEGVGQMFSPASAAAYRKSYMSATAL >A06p023170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11827980:11830402:-1 gene:A06p023170.1_BraROA transcript:A06p023170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter 43 [Source:Projected from Arabidopsis thaliana (AT3G46980) UniProtKB/TrEMBL;Acc:A0A1I9LP04] MCYSLSLQSSIDFRNRSSIKIIHGDRAIFTSNLKFPALAKRDRRGRLLLCRGRGVGSLRFRGDTAVDLCGLPRHRLRVDCSDAGRTPQDTAASEQPSFSEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLSRGWSKSFSGIVQSSFLWGYLISPIAGGTLVDRYGGKVIMAWGVALWSLATFLTPWAADTSLWALLAARAMVGVAEGVALPCMNNMVARWFPPTERSRAVGIAMAGFQLGNVVGLMLSPILMSQGGIYGPFVIFGLSGFLWMLVWLSATSSTPDRHPQITKFELEYIMHKQQTSTKDNKRNTTGVIIPPFRRLLSKMPTWAVIVGNAMHSWGFFVLLSWMPIYFNSVYHVNLKQAAWFSAVPWSMMAFTGYIAGFWSDLLIRRGTSITLTRKIMQSIGFIGPGIALIGLTTAKRPLIASAWLSLAVGLKSFSHLGFLINLQEIAPEYSGVLHGMCLTAGTLAAIVGTVGAGFFVELLGSFQGFILLTAILYLLSALFYNIYSTGERVNFDATG >A02p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14088163:14089615:1 gene:A02p027870.1_BraROA transcript:A02p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEKSKILVIGGTGYIGKFIVEGSAKSGHQTFALVREASLSDPAKGKMVQNFKDLGVTILNGDLSDKESLVKAIQHVDVVISTVGLSQLMNQLNIISAIKESGKHIKRFLPSEFGNDVDRTLATGPAKSEFAMKAEVRRAVEAEGVPYTYVINNCFDGYFLATLAQCETRLTSPPRDKVTIYGDGNAKAILNKEEDIAAYTMRAVDDPRTLNKTVYINPPKNIVSQNDVVALWESKIGKTLEKTYVSEEELLKKIPQSPHPLDLLLALNHAIFVKGDQTWFTIEPSFGVEASQLYPDVKYTSVNEYLSQFV >A09g511740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34855757:34857445:1 gene:A09g511740.1_BraROA transcript:A09g511740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPYLKSPNQTRFPLIFGKPFPLSTLRRHNRGRSPLPPPATANSTEEETPDQQRPIPPIATQPKMVQPQEPHFFQPLLPGFQTHLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGSMVFHVTPFGPSCCDIQYTYPNSMEEAHDHQNNTGTGARFSYSWDYCFKAEVTDSNVREDKLNLPVGATGCNALNKECKKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTLPLMCVCSPSKECLKSAGDIASSSRVN >A03p016060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6375332:6376122:1 gene:A03p016060.1_BraROA transcript:A03p016060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANQQDASMALVPIESFSYSWLVNFPSLEASIDDYHQTYEDSSSSSFIEMDPRLPPSRRFFINKAHESSFKFDTFVSFADEDNSLVHADELFRDGYVMPYLSKATSATTEKEYEPVDTKTEKRKMETRDIQSKSPCRTLRRVSKCVLLRYLDFLTPLCKRLRRCRSAGSSGGTGFDARIRLTTLSRSRVYSEETTSSPRVSVADDCYWRRSCDSESSIYEAVLHCKKSFEK >A03g507740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27326706:27327716:1 gene:A03g507740.1_BraROA transcript:A03g507740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTWTPIKEAIDELWKAYLSPPVGRDLEFFCNLFNDHDQRFPPGSQRLSSYRHSLPEHLLKIFKKVPWNPKADPQYLAPQSLMLKLFHHVRDKIKERERDHRRREKESLMKLKFDEATSLVVSLNNAIEDLWCAFLTPPLRSLIAVLVNGDTRFPNNFLDDFLEIFNTTGKEEYVKIFKGVEWNSHDDPDYKIPKSLMEDLFDDNLSADLEVEETVTAEQIEANIKRERGG >A01p004000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4578278:4578732:1 gene:A01p004000.1_BraROA transcript:A01p004000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTMVPASAQGLTMGSRRDAKYCLCKSTAKLAWFLHHVRQRDLVLTLHHLDLALTLVSTALGTIEFIGKASPSLAGKPET >A01p018850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9164704:9166655:-1 gene:A01p018850.1_BraROA transcript:A01p018850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNFSRRHSNKKRRRVDEKADRISDLPDALLLLILSLIPTVDVVRTCVLSKRWTSLWEHVPKLDYSYKTDKKMSHQFVHRFLISRKPCLLESMRLIVGSHCEAINIPIWIKYAVEHGLRELELDPYSEKGNIRLPSNIYACKTLEVLTLKYCVHVDVPNTPVCFKSLKILKLQLVHFKNDESVRRLFSSCPNLEKLDVKRYVDNVVNFTIEVPSLKSLTIHDCSDGDGRRGYVINAPSLNYFSIKGMKDYEFSIENMPELKEAKIIDVFDINTEKILLPLASSVKRLSLSLSPLETRYADSTVYSQLVYLELSTSKTEWWNLLMVLLLNSSKLQVLKLTRDEPKKSHTERMSQFYKPVNVPPCLLFCLQRFEWERYNGLHEEERQVAMYILTNAKHLKEARFSTKNRGLEEKFEMLKVLARESRASPSCQFFFE >A09p049940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44055455:44056572:1 gene:A09p049940.1_BraROA transcript:A09p049940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSAADFERLLMFEHARKACEAQYAKDPLDSENLLQWGGALLELAQFQSIPEAKLMLNDAVSKLEEVLTLNPGKHQALWCLGNAYTAHAFLTPDTDEAKVHFDKAADYFQRAENEDPGNEMYLKSLEVTARAPGLHMNIHGNGTMQQSLGGGCGGGGGPSASSNAGGGKKKNKKKNNDFTYDVCGWIILAFGIVAWVGMAKSLGPPPPAR >A06p006350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2111381:2112509:1 gene:A06p006350.1_BraROA transcript:A06p006350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLAAVKMLISQLRDAKPTPSQNATALGGVLFQRAWLQGVLVSDPVISGGRMVLDDGTGLVELGLSNDFALRQWKSGMYLMVVGVYHIRTGEIPLLKVHKMVDLSGSPDREAMWYLEVMDAYRLFYEPLIQEFS >A06p001790.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:808159:809004:-1 gene:A06p001790.1_BraROA transcript:A06p001790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQNICFIALFIAFFSTETISAIKFNFNHFEGTNLIFIGYAELGPATDGMSRSGALSMTRDNIPFSHGQGLYTDPIPFKPSNNTSSSVYSFKTSFTFSITPRKSNPNPGHGLAFIVVPTVNYDQDSTRGFLGLVNKTTNGNPNNHLFAVEFDVFQDKRFGDINDNHVGVNVNSVNSMVSEKAGYWIQTRTGGKKQWLFKEVKLSSGEKYKAWIEYKNNKVSVTLAPAHLKKPKMPMIETHVDLSEVVLETMYTGFAGSMGRGIERHDIWSWSFENTAKNI >A04g502810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6398833:6399749:-1 gene:A04g502810.1_BraROA transcript:A04g502810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSDVFGQIRIVQDSDLNNLRNELIVFFLKLKYSPIPVPLLYLCHGTRVDSIEGIKKKELMSIGDLHTFIFNSNSDGQQVQIVGVLQQNGWACVSSNMTGHQSSSSDPAPVMDMESGQATESASNLGDVPNIPLGVAEASLTGSAGDGTPANASLIDCRKVV >A05g503210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9467506:9468665:1 gene:A05g503210.1_BraROA transcript:A05g503210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIRNIANSKNFLFDLKSDKCSSRVQVRLLRFWEARYVRPSGELMSVHMFLPDSKATIMPATVNASHFSRFMVRVQLSPPSRRLRRLMGSRLWEGGELLDIILSRGGKYKEEDAKFVMVQDIVSDCILSSPKCCTPISQTPRLQ >A03p011160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4477853:4479092:1 gene:A03p011160.1_BraROA transcript:A03p011160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGVEVLAGKSVKVKPDFETLIHVSQASLDKGKKGETALLYVTVDKKKLVLGTLSQGNIPQTSFDLVFEKEFELSHSLESGSVHFVGYKSPNMMEEEEDFSDSEEDEEEEAAVPAAVAAKGVSNVVVKADSRPKVTLLEAKPESDEEDDDESDEEDGSEEDGSDSEKGMDVDEDEEEDDSEEEETPKKKPEVSNKKRPNESASKTPISAKKAKPATPHPAKKGGKSPNQSPKSGGQSSGAGSNKKQSNSGKQFGSNNKGKGKGKGRA >A09g500890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3246583:3247695:-1 gene:A09g500890.1_BraROA transcript:A09g500890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRLMRDKQQSHENPTQNQRNLRNPPSETPNPHRANCPGAFPEPNLRSEPRSLRFNPVTDPEPPRQPLRLCPQEAYLENNPFSPWEMTMDKQKTGQTMTLLVLLMARPEVMGGKRQKGNVHFRNRGCKEVFDCFQFSIVLGVFYCFYHHRHHHCRPTPRPQQFDLSASLSICFELILS >A08p013990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9366601:9370426:1 gene:A08p013990.1_BraROA transcript:A08p013990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELRCLLPQFDAWNRSLSIRRNPESIRFRVREMKGRSHHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSRYVKGEELFTCDKCKRKKNVDDEDIEETEVAQLLVELPTKTLRMESSCTRNVPVKRPFRLWAEIPTEEKVHVQGIPGGDPALFGGLSSVFSRELWKCTGYVPKKFNFKYREFPCWDEDDCQEENGAGVLFSMSKESLIAAPASALVAMRSVDDKGTTKDLDSGEAEMKHSQSAVDKDKRLLRPLITSKRRKELFGASKERMKKKVEVADKEEDDDKKAVRPASGFNPSETEGLSRDCGIAKNDKSKKAAFEESIDGGLGEGESGNTEIGVECSKEQNLSDVHANGAGKQEEKAGHHFRIVLKSSATEDPSVPARKDVTHNEADKAEERQGTIDDTPGDNAVDSPESSQKPSSASTREAEEKNCDAVSGKISSRKNQVQKEIGETGAGGAVGQQTLEYNKTTQSSSLPPPDEQKPHPVDMISERTTDLKREVLVSEAEKNSLETKPGSGALEEPSKPCRPVPHTFSVYSRPKMVVSIGKSSSSSATEKSPKPSSTSRNSIPPSKQQPSDGDENANTNDEDCVSSDAIRERDGDDEKSLKEHTKSMQQSRASVSKTRDSSSSLKASSVARLNGGSSEASGKHSLSGTFQKHDPMQSITDEELALRLHHQLNSSPRVPRVPRMRQPGSLPLSPTATSFKRTCSSGSKDHTTFSRRKNKDASKEGLRKSRDDDRCSTRSTKSRRPPDWRTTHQDSGSRGGEEKENRKTSYSSRRVLVQPNSTTSSSSGASSSNEHNKPSPHSSPRNNGTPVHQTLPGLINEIMSKGKRMTYEELCNAVLPHWPHLRKHNGERYAYSSHSQAVLDCLRNRHEWARLVDRGPKTNSGKKKRKLDAAEDEESDENESSKGGKKQLHHSQGEDFPKGKRKARKRRRLSLQGKGIKALLKKRNEQVSEEDEEGASSSDTSEESVFCEEEEAPTASARQVSASSSEEAESTS >A09p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5905615:5908512:1 gene:A09p011650.1_BraROA transcript:A09p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCRKATKLYCFVHKVPVCGECICFPEHQTCVVRTYSEWVIDGEYDQPKCCQCQSTFDEGGGLQVTRLGCLHAIHTSCLVSLIKSFPPHTAPAGYICPACSTPIWPPKMVKDAGSRLHAQLREAILQTGLEKNLFGNHPVSRSTESRSPPPAFASDALINASSSSLTQEGKSLPDGYSVAGNGEYSKSAVSEIVEIDVPASAGNYMKTSSPGFAAAAARKGVPAVDRQNSETLYYADDEDGNKKKYSRRGPLRHKFLRALLPFWSNALPTLPVTAPPRKDATKAEDGSEGRVRHRSSRMDIRKILLFIAIIACMATMGILYYRLAQRVIGQEVPDEEQQ >A03p047160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22541443:22543314:-1 gene:A03p047160.1_BraROA transcript:A03p047160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVLKSPITLRSYSSSLVGFFSNSRNPLLSVRWVKAGEASSVRCLASAIRGKNKKQRLDEACLERYQEYSRTLIQSWILQGKVLVDGKRASKAGMPVGNDVSIKITAEVPKYVCRGGLKLEAAIEKLDVDVSEKVVLDSGLSTGGFTDCLLRYGAAHVYGVDVGYGQVADKIRNDKRVTVMERTNVRYLPGLPQKVDVVTLDLSFISILKVMPAVMNVMNEDATLVTLVKPQFEARRSQVGKGGIVRDPEVHQEVLERIINGVENFGFTNKGFIESPIKGADGNIEFLVRFDRGTVQKNEEE >SC179g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:21196:22911:1 gene:SC179g500010.1_BraROA transcript:SC179g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALIKMLKENGNTHGYSFGASMIAKTIETSPCVTDIARMDRVKCNEQARHEIQMWGVGTNMRVLRPLLELISHLTLIVRGEGNLKLKKMVRMEPA >A04p026740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16100860:16101452:-1 gene:A04p026740.1_BraROA transcript:A04p026740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYVDSISAAAQKKKEENSIASDLPLWWACLGPLRIDDWVKRCRGDFCGGEVLVRRVGFSDESGAICQVEDPGASFCCSSYLHRIYFS >A05p020300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9573026:9576462:1 gene:A05p020300.1_BraROA transcript:A05p020300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLCFVAVYTSYAPRMNHIKLLARIHGYPVIHLSQRKPKRVTNGDVEDSTVISPRRCEVNGVRDREKTSLDRKRKKMKWGVSLDTCQLLDAQRTRRRTYRLIRVPYMLVVDGPQKKRVTKPTAKPIVINLLQPQQSSLQSSPPPQTVVHTSQSMMHTPQQQQSLASHFHLYPLVEKLTDAIETGTRDDALQVTELNSHFDKCQQLLNSISGSKTMTVDGQKRNLEESEQLLQERRVLMVEYRNSIEDLLKMEP >A04p004620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2371560:2373952:1 gene:A04p004620.1_BraROA transcript:A04p004620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSKRSETPQPSPQHEPNMQSSSSSTASSSLAYPPGYRFVPTDAEIIYYYLKPFSPDNKKSWPNLPIHHANIYESNPQQLTAEYKKGNLTEWFFISERTKIKTNGQKQKRVDHNGGYWHSKAVTKKIKVKKDVVGYKTTLNYFVGKQPNGERTNWLMQEYWLESSGHNNTVDYALCKIYLSPTAQKNMKEEDVEEVEEEAMQPRTVEIQQPQPPQFYPTPLVSHQPQPLPQFWPTELDSYQPQRQDNEYQEPLQAQPLNTIYQHQSQCPNNESEYQEPHQPQPLNTIYQHQSQRHNTESQYQEPHQPQPLDTIDHHQPQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQPQPLDSIDHHQLQCHDSAYQNPPQPQPLDTIHYHQSQLHDIEYQEPHQSQPFNNIIQHQSHQVQFWQATSGSHQRQLQDQLPKSWAAPLDSYPPHCHNIQYPQPQPLDAIEYQYLYQSGPLTTYKNVIESCTKDKSNGDIKKVDHALKIDLTPRGIKREVEEEEDEKRKRKKKEGGAEAPKEELEQLINSHQNSDDNDSFFTGFVDTHLLHIDIESSISNCCLKKSPDEN >A03p043640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18264302:18265360:1 gene:A03p043640.1_BraROA transcript:A03p043640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKKKFLNMRSFREITWKSSIDLPMLKSFFSFFDMLDSFCLYTILRATWIMLIRTVVLLAASFEFIFSKSNQAMLPYELERALMACKFFAVFLLLRGTSDLKIRDIIPPDPSFPGLIPHLENCKAVSTVPQPRLFYCLENQ >A03p055210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23802070:23803519:1 gene:A03p055210.1_BraROA transcript:A03p055210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDEVSDGLTEFNMDNYDEEDDDIQLFSSGIWDLYYPSNELDPTRFLFKRLLGTIMLQKCFSVGHGKQPPHSGFKWSAMSDVESLAWNPHSEHSSVVSLEDGTMKGFDIRAAQSATGSMDKTIKLWGLTNNERLCIASHKPKVGAVFSISFSVDNPFLLTIGGSKREFHAWDTLIRR >A08p009250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7318722:7319181:-1 gene:A08p009250.1_BraROA transcript:A08p009250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRHSKVGKRSTVFSLPCTEQKYFSHILRRIKSEPHVLISSVKLNGYDENFAEGFRAVLIDKDKNPKCNPASIEEVEENEMEVLCKPLSP >A08p025430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16400130:16401233:1 gene:A08p025430.1_BraROA transcript:A08p025430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYALVARGTVVLAEFTATSTNASTIAKQILEKVPGNNDSNVSYSQDRYVFHVKRTDGLTVLCMAEETAGRRIPFAFLEDIHQRFVRTYGRAVHTAQAYAMNEEFSRVLSQQIEYYSNDPNADRINRIKGEMNQVRNVMIENIDKVLDRGERLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNCKLTFLLILLLLVIIYIAVAFVCHGPTLPSCI >A07p006050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1823310:1823991:1 gene:A07p006050.1_BraROA transcript:A07p006050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAESEYRCFVGGLAWATDEQSLGRTFSEFGEVLDSKIIIDRETGRSKGFGFVTFKDEESMRAAIERMNGQELDGRNITVNEAQARSSRGGNGGGYNRGGGGYGGGGYGSGGGGYGGRRDQGGYGGGGYGGGRREGGYGGGGGDGGYGGRSDSGNWRE >A05p009200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3779235:3780350:-1 gene:A05p009200.1_BraROA transcript:A05p009200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKLKRCSTSSTHAEASDEAIDPLQKAYKREFDDWETEVNNRKKQKQAEETTEKKKKCCFGTKDAKGNNEHTIFVTGFDNSGSRDEIRSALAKHFSSCGELTRVFVHIECETGVSRGYAFINLKKRVGIEAALSLNGSDLGGHKLLVTMARLRDEYYAHFNFNGCEICRASYAAGRQRLYRWNLRTGGGKVRRMSPQFQEKARLHYNKAMASRKMAKSKTNEG >A09p066350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52706578:52708295:-1 gene:A09p066350.1_BraROA transcript:A09p066350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/TrEMBL;Acc:A0A178VIL0] MHRSGTAMAWNVFKFCTALRGLGSLMILLVLGVVGVTYYAVVLTNYGPALSQGGVDSFAALTILVLFHFLLAMLLWSYFSVVFTDPGAVPANWRPAADEERGESDPLTSLEFVGLQTDSSNPRVRFCRKCNQPKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLVLMPHFIAFFSDEEIPGTPGTLATTFLAFVLNLAFALSVMGFLIMHMSLVAGNTTTIEAYEKKTSARWRYDLGRKKNFEQVFGMDKRYWFIPGYTEEDLRRMPELHGLEYPSKPDFDSQ >A03p036060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15096560:15100068:-1 gene:A03p036060.1_BraROA transcript:A03p036060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGGSYTTIDAQKVSGSVPSVPDPGHTTVKFAESNLQTFPPSATQGKISGGSNPPRDADDTFSGNGNGSTDEPQSGGWLHKFTVGAYKPFFDVDTSDVVERLKESLFPFRGTFTEKTADKPDLYGPFWICTTLIFVASSIGTFVTYVAHKWKKQEWNYDINLVTWSAGVFYGYVTLVPLALYVVLKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPVEIFRWVIAGVAGFMSATFVALNLKAHINSAGERSILIIASIFLLQLGLSVVLKLYLFTVTECASQLWQVREVQNQKVEKAVNKKGSELESQIEKHQARLETGKQEVATTASAHLKLKALERENSDLKLQLLSKSEEVELRTIERDLSTQAAESASKQQLEGVKKLTKLEAECRNMNREDNIQREKVEMVEVDKVQLEMALNGTREQMEALQSKLMETEEKLSKLKKLEPKTQDLELSLDESGKQIHDLQKQLNKAQVELSELENTRAENQELELLLSESRSQIQDLQKEPNKAQVNLSELETVRAEKLELTICLNGTKKQLKETERKLTELQTLLRITKDASKASEDSVKAANVKAEAVESRLRDVEAEAESLILRIVTLEESRENERALSAKHISKCEELQEEISELKHELGYHQEPEHEPNHVKAFDDDYKLKQEKELAVAASKFEECQRTIASLAETLLKKLGITSMNTSVEDT >A09p022000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12108910:12116297:-1 gene:A09p022000.1_BraROA transcript:A09p022000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 46 [Source:Projected from Arabidopsis thaliana (AT4G04220) UniProtKB/Swiss-Prot;Acc:F4JGB6] MQKPIFLSCFLFFFFVYFIPRQSFSCPQDHREFLLEFKKLLTHNIKNHSTQIALGELKTWRPNSDCCKWKLVRCNTRSPSKEVTDLNLNGLVLFGSVSSTLLRPVLRVSSLMRLDVSSNFIQGEIPGDGFGNLTRLISLDMGRNSFNGTIPPDLFSIKTLQHLDLSMNAIGGTLSGDIKELKNLEELFLDENLIGGEIPPEIGVVDVTNFIPLCVFVYVTRQSYCTTEAIIETEQVLWFYTVVHITVNKARNNIQMNFMSFKIPYGIGSLVNLSTLSMSRNNFSGGIPSSIQNLTNLETLELENNSGLSGEIPTWLFHLQKLRKLRLGGNKLQWNKNGSIFPQSKLTHLSLSSCGLEGKIPDWLKNQTDLYFLDLSLNRLEGSFPKWLADLKMENIILSDNRLSGSLSPSLFQSLRLSIGSCSIKKQLLRISTKVYSKYLQAAVAGLVKEQVIRRIPKIKPTFIACIARHIFERVIWRCSSFFWHLDLHDNKISGMFPSFISRLSQSLEVLSLRNNFLKGSITEDISTLTSLKVLDLSENSLDGTLPSNLGNLTGMVESPTSSSSIVSSFISEITDLSEIESQDLEVNWKRVKQVISNRNFYLYTCPTKDWSCHIYYQDSRLAMFNKPVTCKTQRTNQYTFMQQDQTKDCNLVNQTNKIFKKIAAAADAGSCGNQTNSTTVLDLSKNKLLRRNPNFFRQSQEPEAFEPLTKRHLWIDPTQLSELDVLDLSNNKLSGRIPGSSQLDRLNDPNIYANNSKICGMQIQVSCSTTRTEQQPKEEDEEEKEAVLLMYAVGYFRDSPPRRSPKNRGFKSVDYLQSRIPC >A09p045710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40066962:40069091:1 gene:A09p045710.1_BraROA transcript:A09p045710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRATEKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMVIHVLQVHKENVTKVPNSKEGRDSTDIEIYGMQGIPPDVLAAHYGEEEEESPAKVAKIEIPSVPLGAAVPRPYGMVYQPQQVPGAVPARPIYYPGPPMRPAGPGWPMPPPPRPQQWYPPGSVPPPPHLGYRPPQLFPVHGMGMNMPSSSPAPPGIQPSSPAMPVSQPLFPVVNNTTPSQASPFSAPLPVGGAQQPPNNSFPVGGNNSHSYASGPNTSGPSIGPPPVIANKAPSSQPNEVYLVWDDEAMSMEERRMSLPKYKVHDETSQMNSINEAIDRRISESRLAGRMAF >A09p068940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:53862485:53863954:-1 gene:A09p068940.1_BraROA transcript:A09p068940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAILWMLASVHAISMVLAQDGNQFVHYDFRKADLYVDGMASTKDGRLKLTNSSKRATGHAFHKTPIAFVNSSFSFSTEFVFAIVPEERTSYGQGMAFVVFPSIVDLRYGAATSYLGLFNRGNDNKTENHILAVELDTNASSEALEESDNHVGIDVNSIVSVESKDASYFDDTLGMNRSLVLASKQRIRIWIEYDGENRLLNVTLAPLETPKPRLPLLSRSIDLSKIFKEQMFFGFAGSTGTIRSHQYILGWSLAIGGKAQSLDISQVMDLPRPPPDHLPLILAVASVVAFLIIAGGIVYLYQRNRYSEVFEQWELQYSPQRFSFRALYKATRGFKENRVLGAGGFGKVYRGELLDGTRIAVKRVSHGAEQGMQEYVAEIATMGRLAHRNLVQLRGYCRRKGELLLVYEYMVNGSLADRLFDGDNLSWSQRVHIVKGVSSCLHYLHEGWGKVVLHRDIKASNILLDEDLNGKLGDFMIVARLLKPRVL >A06p036540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19821282:19823517:1 gene:A06p036540.1_BraROA transcript:A06p036540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 4.7 [Source:Projected from Arabidopsis thaliana (AT5G62730) UniProtKB/Swiss-Prot;Acc:Q9FM20] MIMSLEIQQMDEANRLSVWNGYVDWRNRPALRGYHGGMLAASFVLVVEVLENLAFLANASNLVLYLSTKMGFSPSGAANAVTAFMGTAFFLALLGGFLADAFFTTFHIYLVSAAIEFLGLLVLTVQAHEHSTEPWARVVLYVGLYLVALGVGGIKGSLPPHGAEQFDETTPTGRRQRSFFFNYFIFSLSCGALIAVTVVVWLEDNKGWSYGFGVSTAAILISVPVFLAGSRFYRLKVPSGSPITTMFKVLTAALYATYKKRTSRNVVTCHTRNECNDNIAKGNAEGDGSFLDEALRERESLPGRLRCTEEQVKDVKIVIKTLPIFMSTIMLNCCLAQLSTFSIQQASTMNTKLGSFTVPPAALPVFPVVFTMILAPTYNHLLLPLARKTTKTETGVTHLQRIGTGLVLSVVAMAVAALVETKRKLVVKEVTCCSSNNVSSSYSSPLPITFLWIALQYVFLGSADLFTLAGMMEFFFTEAPSTMRSLATSLSWTSLAMGYYLSSVLVSAVNFVTGLNQHNPWLLGKNLNEYHLERFYWLMCVLSGINFLHYLFWARRYAYRSNQS >A02p057770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34750664:34761141:1 gene:A02p057770.1_BraROA transcript:A02p057770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEHSPENDRDQQQTSTSAKLLKEDDRRQSAADQGSTSHDSPEMLAPSSLGLNHIRTKSSSPAPPSPLRVSSSTPPIQVEAADARADAPHQPDHRKKVQWSQSKSLRVPVSPNPGIEESHSPRFEAVLRVTGGGRKKKPHDVKSFSHELNSKGVRPFPVWRSRAVGHMEEVMAAIRTKFERQKEDVDADLGVFSGYLVTTLETTPETNKELRMGLVDLLIEARLCATMLAGEFWVKCEGIVQKLDDKRQELPMGGLKQAHNRLLFILTRCNRLVQFRKGSGYVEEHILKMHQLSDVGVYPEQMVEISRKQDLLREIQMKSLDQNASSGFDENEVNTAKSNDTASSNFRMSSWKKLPSAAAKNHSANTTPKAAKGESQIQPTVYVDENAEALHSPSGQPGNDMWGFWADRQCMTYDNSMICRICEVEIPVVHGVDVNLRLERVAESLEKILESWTLSLDEVSMTNEGKYLTQPSPGTPRISQRLLANVNFCGYSSLDFMIEQLDELNYVIQDRKADALVVETFGRRIEKLLQEKYIKLCGLIDDEKVDSPNTVAEEESSADEDAVRILRTSPLNPRAKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISIRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRNLGCLDEDMARIYIAEVVLALEYLHSVNIIHRLKARQSLTDFGLSKVGLINSTDDLSVDASLGNSEFFAEDGRPQHSQGKDSRKKHEVVGTPDYLAPEILLGMGHDQFACDDYSDMNKLALAVYLLGGKAESFVHQREEIKYFETWDELKISLIRMFGERDDLERIRLQTERDVSTHNWLVALKVRKADVIQEMTMPNPAVSESQVQSLSVSAIHIRDESDLTTKMESTGLTLDVDVNSEDPEQIESLGNEVPISLLEPCHRIGGLEYVFLENKSLQLHGDFGKLRIDEWKSPRVEHTYVLDVDMVEELIQKLEDAKAEIVAHHLFDLLLQRVVRKRKQLKCHKSWKFKYKMKDLWRCLPENGRYTSMRVKHQTSNSLCVIDHVGSLEKRTTCGRWRSQQQSWFVYKLRWWLGSLRNNIKAFWRDVVGIKLSYNGKFMCEFMGIKSDLITLGFLCFRGEKFSTLQHKVWYVLMVKNKDQSFESLMMNHLRSKVPYWGLATLGLLEMLSLVAHVDVVLWLITYQHESVSVYIVEFQNEEGSVLKNIWRASLVFGLRRSVNDSENARYLHEVEGKSLQLNEKLEEKQCLSVLWKRLLCKDWIFKFKNRLARRTTISAFGVSVLVMENGSIDEAENTVLVHKKCVSVIRRDVMEADCMRLEKQWRENSLIQKSFAIRSLLLLNRTQNVLWFLLVTGDVGVSTSMPFDSGGLLECTTILKDLEPLIVLVGIPPFNAETPQQIFENIINRDIPWPNVPEEISYEAYDLINKLLTENPVKRHNFFKDINWDTLARQKAMFVPSAEPQDTSYFMSKYIWNPEDENVHGGSDFDDLTDTCSSSSSFNTHEEDGDECGNLAEFGSGPNLAVKYTFSNFSFKNLSQLASINYDLVQKNAKESTEASNQSAPSTNPT >A03p025950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10942227:10944959:-1 gene:A03p025950.1_BraROA transcript:A03p025950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIFSFLFFFFSLYRVLMDSSLNPNKHLKEEFVSNLDGSSILEIAALLTIVPLLVLIRYSIGFRSRTDNNNDKSVSSKKNDDEIAVSRQWKAYSYAISLDFIFIVFPMLLFFTVLSEWVYHGVVLLSLLLLVLTVTAKRSSSGLQRGQSLSFRANVSSYRVALMLITCLCILAVDFTIFPRRYAKTETYGTSLMDLGVGSFVLANAIVSRQARDVSSGNWISGLKATAPLLLLGFIRLVTTSGVDYQVHVTEYGQHWNFFFTLAAISILTSFVNIPAKYCGILGFTVLAGYQTWLVSGLNTYLLSHERGNNIISKNKEGVFSTLGYWGMYLLGVDLGYRLFYAKHSNTRSTTISIARVFLVSLILWIVTLLVDNYVERISRRTCNMPYVTWVLAQDLQALGIFMLSSYIPMNKLSPLEEAIDQNLLATFLLANVFTGMVNMAVDTIFASPLSSLVILTAYAFGLSVIIGTIHFSGFRLKFW >A04p006700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3572878:3574087:1 gene:A04p006700.1_BraROA transcript:A04p006700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFPNSSTKSTSEISPATAKPTSAVTVKLSGPPNSLATSYLRFALLHKKVHLRFVPSEDEKPTIHVGAETVSGSQEVLLRYIEDKFPEPRLMLWKFNLEGFDEATPPIVKMIWLQHRSMLWHMERMVRWSEDLAARGGRRAVDPSVGTPKMEIRKFAKSYSQLHEIMVEHAQMEERILFPVLESVDRGMCKSANDEHGRELPMMNGIKEYIKSIGVMMDSGVCSEELFSLASRFKSLQMMCKAHFEEEEKDLLPMVEAAEMGEKKQKKLLNQSLELMRGTHSNVCDFLLQGLTPQEAMQYLDLLMNFADPNFISSFICQQDIAG >A05g502200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6930589:6931366:1 gene:A05g502200.1_BraROA transcript:A05g502200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLCGGWNFTSNHASDEDGRIVLIWQPSVMVRVLHQSAQTLTCEVKIPGSSAFVYTAIYAANTRSERSELWVELLDLQQSLDLLTTPWMIGGDFNQIVHPAEHSTPAVNAFTPQMLELRDTLIQLEVFDLRYQGPTLTWSNHQPDSPIAKKLDRLLITSPILNLFPNCTSVFLPPSFSDHCPCLVDLAYKIPSSGTKPFKFYNYLTKHPDFNQVVLRAWTEAGSLVSNLTDLCWKQKQIKREE >A09g507880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22471384:22472493:-1 gene:A09g507880.1_BraROA transcript:A09g507880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIKENFPSPQPATSSPHGKKESSRQHHLICLIIYKKRHCAQIVVTRLLRFWEARNAKKDGELMGVDMLLLDDQSSLIQAAVSVHRLNTFGELYVKELLAAVANPIPTEMFRFQTLDELMALANTNVHLPDIIGEVSDIRTTYNDHAHYHCSFYPFPRPLRHVSQ >A03g509880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31600076:31600848:1 gene:A03g509880.1_BraROA transcript:A03g509880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGITTAAFVKIHGISDVKEMEYCKGSVFSGTRETKSKEILGRGVTFELRYSRVDPGYTVSFMPTDTTWSIEVAGDLEKSDGGAKRFSLMKDDL >A07p026440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15108509:15111331:1 gene:A07p026440.1_BraROA transcript:A07p026440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTGILSSLWRFILFIPYFTGLLLLGVLKGIVFCPLICLIMAIGNSAIILGLLPVHCIWTLYSISSAKQLGPILKLFLCLCLPLGIILWLVVSITGSVLGGALYGFLSPIFATFDAVGEGKSNPLFHCFYDGTWSTVKGSFTVVCDFRDVCFHSYFSFMDDLRTSSADTRHYYEIRLLQIPGAVIAAVLGVIVDFPMISLIALFKSPYMLFKGWRRLFHDLIGREGPFLETMCVPIAGLVILLWPLGVVGAVLGSVVSSVFLGAYAGVVSYQESSFFFGLCYVVASLSIYDEYSNDVLDMPEGSCFPRPKFRRKEEEGGTGGLSRPSSFKTTPSRGGSNRGPMIDLKPLDLLEAVFEECRKYGETMVTKGIINSKDIEEAKSSKGSQVISIGLPAYSLLNELLVLRSIKSNSTGLLLGDGVTEITTRNRPKDAFFDWFLNPFLIIKDQIEAANLSEQEEEYLGKLVLLFGDSERLKFSITESDSPHLTELRKAELDSFARRLQGLTKSVSRYPTFRRHFVELVKKLSNDLDKKHNRFEGGGGSRPVKKTVSRIFSQRSFKQKTSSNGSDHQDSPNRGLRDIDIV >A04p005280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2759241:2759722:-1 gene:A04p005280.1_BraROA transcript:A04p005280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPPCFEFLDLLNLWESEASLISFGALARRDESGVILGALGLLIVAVCARIWVRSRIRDPPELLTRCRLCSCHVVSFQSVSSIGMLQDNNHGGLTVSMWILPLAPDAVRLSFEDNPMLASLASRWSFLAS >A05p003880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1504141:1505778:1 gene:A05p003880.1_BraROA transcript:A05p003880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein 8 [Source:Projected from Arabidopsis thaliana (AT2G41940) UniProtKB/Swiss-Prot;Acc:P93751] MASAEKLNTHMALQPILPKFESVTIFFSSIIRLFGQELVGDNSSGTAQQEEDILETTTTKINDESSENVKDKEKEKDSSNNRRFECHYCFRNFPTSQALGGHQNAHKRERQHAKRGSMPSYLHQSGSHHVYGFLNNHHHRHYPSWTTEARFYGGGGGVAQQTPSYYSRTLPPPPPSSNTPTINGSPLGLWRVPPSTSANAIHSVYSASPAFRTHDQESKEPHWPYKLMKPNVQDHNGLLPNQEPKKKALDVDDDDDSVSVGITEDNGLNKRGYREKES >A03p000080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:210580:211587:1 gene:A03p000080.1_BraROA transcript:A03p000080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQELEQEACWKLELDKVTKLDPSKKKKKKKKRPFIREDATYDSEPDYGYAELLSRVFDMLREEEVSTERPRTVMMRRPQLLAQGTHITVCLDFAHLCTMMHRKPGHVITFLLGQMETKGWLNKQHQLEMKGLVSSQHFQAVFQRYIDAFVICSCCKSSDTALAEANGISTLSCEMCGLVAMINEPNPL >A10p021160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14257913:14258927:-1 gene:A10p021160.1_BraROA transcript:A10p021160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFLLFSYDGYRTFFLVSIFRDGLPVKPKAPVNIQEISDEDETAGDDDDDDDEEDNDQNMIISLSSGSSEEDNVDALTKLMEVQGGLRLRKGNVLKLLVIQRCILMIPLTLSLSQLRRVAQIIKDHDLKFGGTIKFIDGFGELEGKIGNWKDSIRLVLFTAADAASASQN >A02g500720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2093183:2093446:1 gene:A02g500720.1_BraROA transcript:A02g500720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPSTSFSSSSDMSSVFSDSNHHRNDRRHYSSSDRDIDSLKMTKEEPTPVRGLNMISTKRLAALIASTGCTFMGLCDFVLSREYE >A10p011690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11690332:11690677:1 gene:A10p011690.1_BraROA transcript:A10p011690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKFLEELAGNEYLFSYVLLQIISPLTTVHSPSLQLVTTSGRSVPSPVVDLKSGQPTASASSTGNAANIALGNDETNQPSFAGNEKTRKCPCE >A05p038870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23813795:23816044:-1 gene:A05p038870.1_BraROA transcript:A05p038870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSSPVLKLARPFLRGELEKINPKLPSLIAVLKSVGAGECWHKHGNFLDHLVDVYKILKLWKAPEPVCLCGLFHSAYSNSYVNLAIFDPSTGRDVVRGHVGEAAESLVHLFCVIPRQTLIHDDVLFKYSDLELVEHLELSEVSLRNAKEKGVFDGEEVWRKKLNGLVPENGVVVKHIKTGEEILVSRRVVGVFLLITMADFSDQFFGFQDELFCNNDGRLEFRGNNVTALWPGNGKPGLWMNSSSRTGAIYSLIVREEEILMEQRKRGYGSEFVARKERDEDIELVVSAAAAASAAAAASMRTVVVRFADADAAADAAAYHIATAGFIGVSRMTQRSDAAAAAAKLALFPSVHALSSYASLVDHKRKVLDAKEQIEARDMYWEAVSSDASKEGYLERAEKMLLGCIEKNPFVGEPHVLLSQVYLGKKRFVEAEREAERGLVLLLQWGSPWDKRMSWEGWIAWVRVLLMKSSDQSWPDVSWGILNLGLVR >A09p062390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51056042:51057088:1 gene:A09p062390.1_BraROA transcript:A09p062390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRELIITEAKSVTERFFQVSSLSLSLSFVFFLQKPISPQIQLLSRVSRLPSDLSEANN >A10p018970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13103441:13105467:1 gene:A10p018970.1_BraROA transcript:A10p018970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKGRRDSSDSSPSVEVGEIDTSSPFQSVKDAVSLFGREAAFSTEKQPLVRKASPQSAEKVLVKQTELHLAQKELNKLKDQLKSAETIREQALSELEWAKRTVDELTRKLDAVNESRDAANKVTEAAKSLIKEAKPENVSVSSSDDAEGLDMEQYGMVCKELDTAKQELRKIRQVSNEVSETKTVALTKEEEAKEVTKVYSEKIELLRKEIAAVNESVEQTKLACSQAQKEQSEIFAEKEIQHQSYKAGMEESAKKLLALKSEFDPEFAKKLEAQLTETYNEIDELQKQMETAKASDTESVNGVSLELKEAKGLLEKLVGEEKSLQESVESLKAELEKVKTERSEVEGKEAEIETVAGDLNLKLSKSKSELEECVAEEGKAKAALEDMMSTLNQITSETEASRREADAMRNKAEELKKEAETAHLALEETELNLRVALDEAEEAKTAEAKALEQIKSMSEKTNAARNSTSSESGPQSITLSQDEFKSLSKRAEVSDKLADMKVAAALAQVEAVRASENETLKKLETTQEEIEKLKTATEEALKKAAMADAAKKAVEGELRRWRERDQKKAEEAASRILAEAEARMSVESSPQHLYYKDTKQKPVHKKKLEKTRTSVVSKKVLMPNLSGIFSRKKNQAEWGSPSYLPGEKPF >A03g507630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27019892:27022505:1 gene:A03g507630.1_BraROA transcript:A03g507630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEKAYSTKKESLGWMEWMTGWSSVFGEILFQRITASRLLNPLPLPPLNDLTCVLAEAGAHVVMAVRNTKAAQELIQQWQNEWSGKGLPLNVELRDSLMNARLGPLHVLINNAGIFAMGEAQKFSEDGYEQHMQVNHLAPALLSVLLLPSLIRGSPSRIISVNSVMHSVGFLDPDDMNVVSGRRKYSSVVGYSSSKLAQIMFSSILFKKLPLETGVSVICLSPGVVLTNVARDLPRILQALYAVIPYFIFSPQEGCRSSLFSATDPQVPEFWETLKSDDWPVCPFISQDCRPTNPSEEAHNTETAHRVWEKTLELVGLPLDAVEKLIEGKISNADMDLSNNSRPKKTTKRSTCSPYRSKHALAAIPWLISKVFFKCVGTELKMFSFVWTGLVGG >A03p005520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2310505:2310927:-1 gene:A03p005520.1_BraROA transcript:A03p005520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-C10 [Source:Projected from Arabidopsis thaliana (AT5G11930) UniProtKB/Swiss-Prot;Acc:Q29PZ1] MKTMQGLRNFTNDNVSLDLTFPPPAPPPISSSTASTSLSFDEEETSASKIERLISEHPVIIFTRSSTCCMCHVMKKLLSTVGVHPTVIEIDEEEIACLAVQAAPVLFIGGACVGGFESLVALHLSGHLIPRLVEVGALWE >A02g510230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:27331219:27333663:-1 gene:A02g510230.1_BraROA transcript:A02g510230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYSEEEAEEYNTSEVDWGEEADQDCWDDGDDHTEGHWCVDSVPEYVPNDEQEYPEVEPESMDRYSTCYGPKSQLIYEDSSEGKYYSQACPRREKTTVAAPSRSYHGSLSRHAHSKPWNYNGDQFYQNCLAAPSIHFSGHKQGPSAYLRWEDDMEQWFIAWRIPEKLKLTYAKDTLTGEAYNWWSQLDADRIYFNDPAFTWKEVKMLMYSEFVKKAKYIQKVSTRRLIKHQVLQPTVQREVVSQRQSSRPVHPPQVKRNQGEHSNSLKPSEVICYRCQGKGHLAKECPTKRVVKSVLSEAKETNLEVSDSDTRIDDSFSRMDKHIDDLINLIKARSTSVSSNSMTVLTHLSSAQKVESISGTNIEIKEQEPNLAAQSSPTLDKVISELKVNNLTYQNTGMMHLHSVQNVDEGLGNEETRTEAKQQENNEQSTLETSTPADHALEVVNTKAESMQDNQVSEALNLTQYYFFESSISSMKHLLLPISDDSDIGTMEKHPEPNSQPYTQAVVNGETNCEIGDFEKETTILPREIIDRPWKGGIASLLIKEEPPVGQCITKPCIYQGKTLASQIRMKPNLLYLGAGKLVLRTKPFEEGGNDEDLKSVAGPPTHEINHTSYIGASSDIGALKEGYLCNHKEFNRETSFYRFSTQPEHAANWFHTKKSNGLGDMPVTSQTIYTTSELVLIKESNSLLKECATQTHVWKPGDYSLYLRAVGEFLPCTSSHRIKMNPLFVNLPYMDAFTLGVIEDQRLFPLLFRHDLETIQTSKEIPRMHFFLPKLTRYKERRKLPYMDRFCTNLVQRLVFHLLFLSKLFL >SC122g500240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:467844:472176:-1 gene:SC122g500240.1_BraROA transcript:SC122g500240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHNPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRNADPKGKKKNSRNDKYVHHEGEDLQGAHNYAISSDQGRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSTIHFLATIVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCVSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >A03p054680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23528284:23538283:-1 gene:A03p054680.1_BraROA transcript:A03p054680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLLLFVLLASHLFVSGVLSGSILTIENKCNQTVWPVIFSWESKLSTEGIVLGSGELHTLQLPSSWYGIISARTLCSTNSSGYFSCATGDCESGFIECPGSYPWSAVTYVYFRIDHGGVSSYRITVEHGYNLPLLVVPSQPSQTCISAGCLVDLNKTCPEDLGFFTGGKQIGCISACKKYNTKEICCTNDFRSKQRCKRTMYTKNFEQACPLAYSYAFEDNNSTIRCPNSTDFVVTFCPSSIPNSTRSSMSPFAGLKNNSQGKLKPILGGSSALAVLIIAVAVVVMVRAKNVRRKRDSNYENIEAVVMLKRYSYADIKKMTNSFAHVLGKGGYGTVYKGKLRDSSGQDIALKILKDPKENGEDFINELASMSIASHVNIVSLFGFCYEGSKRAIIYEFMPNGSLDKFISKDMTTRMDCGTLYNIAVGVARGLDYLHNSCVSKIVHFDIKPQNILLDEDLCPKISDFGLAKLCKKNDSIISMLDARGTVGYIAPEVFSKSYGAVSHKSDVYSYGMVVLELIGVNSRERAETCRSNMNTMYFPDWIYEDLERNENMRVLEDHVIEEEDEIVKKMTLVGLWCIQTNPCDRPPMKKVVEMLEGGVEALVVPPKPLLTPAVMAWETVEESQETIIPSVSV >A04p032600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:19040694:19042142:1 gene:A04p032600.1_BraROA transcript:A04p032600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEQNPDTVNEANHHKTLAESSFTSGDLTSALNHARKALTLSPNKEGLSSMVTAFETITSAASASGDTPEWYKILKVEPFSHFDTVKQQYSKLALALHPDKNPYVGCEEGFRLVNEAFKVLEDRVRRSEYDAKLRIRIQGEIGACDGGCDETASFSTVCTSKIITYSRKRKKRVDEVSESLRRVREVEAEDEGMMTLAEMQSVLKRNKQKNINREEKMGRETQEISSGDETLVEMSTNKENGKREAVKKKKKKKKKTNHKELGEIVEDEDFDFDKERMPRSFKKGQVWAIYDDNVPRCYCLVSEVVSVSPFKVWISWLDYESEKLISWMKNSSCGRFRVSEKALIEHVKLFSHVVNCERVAREVYQVYPRKGSVWAVYSETDTGQKRRKTKHYEIVVCLTMYSDAYGLSVAYLEKVGDSLFKRRDYGCNAVRWVEKEDVAGLLSHQIPAKKLQEDQCGAGVRESWVLDLASVPPDLVSAT >A05p053930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31669038:31679966:-1 gene:A05p053930.1_BraROA transcript:A05p053930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RREKLVATNLKSEEKPAPYIKVDWNKWCDEDEEVTSEIASDDESLFVDQDCESSDDDGLLYRINKMLAVLEQKILWVNPDCGLKTRKYTELAGFSQLSVTWTYEWQREDVTSNNREKGGGGREVFSGSDSFLTVCKKTSTHYRHVARVARCRTKSHSLRSKPVSVAGERRAMTSLSTVRALSSFNSLCTITYLPSPLPPFLYVHSETLVFTPLSLYYLEGAWSRHNILTAMEVSVSPQPHKMKLQTPRKSSLSGAKKDLWVAIREGSLVDVESALNVLKKSGGILNLRNACGLTPLHVAVWRNHIPIVRRLLAAGADPDARDGESGWSSLHRALHFGHLAVASVLIDSGASFTLEDIKSRTPVDLVSGPVAQVIGQQHNSVATEVFSWGNGANYQLGTGNQDVQKLPGRVDSLHGCFIKSVSAAKFHSVAISSHGEVYTWGFGRGGRLGHPEFDIHSGQAAVITPRKVISGLGTRRVKAVAAAKHHTVIATETGDVLTWGSNREGQLGYTSVDTQATPRKVTSLKAKIVAVSAANKHTAVVSDCGEVFTWGCNKEGQLGYGTSNSASNYFPRLVDYLKGKVFTAISSAKYHTLVLREDGEVYTWGHRLVTPRRVAVTRNLKKAGNTLLNFHRRRPLRVTAIAAGMVHSMALAEDGALFYWVSSDSNLKGQQLHSLQGKTVVSISAGKYWASAATSTGEVYMWDGKTCKDVPPSLSRIHNLKRATTVAVGETHLLVVASLYHPVYAPAALNKYLTVQAGEEQHEELDEGFMFDDVESANVLPSKQHDNPKERSVPSLKSLCEKVAAECIVEPRNAIQLLEIADSLGAHDLKKYCEDLVIRNLDFILTVSPQTIANTSPDVLANLEKLLDDRSSEPWSCRPLPTPTATFPVVIDSEEEESESDMLRTRDNHVMKHFSCVTEGSSFLQPEDDEMAQRNTKEVRALRKKLQQIEILEAKLTRGQALDGQQIAKLQKKLDIESSLVELGISVEESPEGRSSSGLPLDGKANKKADGLRKKKKKGKQRFAQVETLPEFGEVKVEMDAILNKETEETSETIKPKDGNAMLDVTMVSEFTKEADSVSLSQKKDNPPDSPRSKKVATKANKKKNRKGGLSMFLTGALDDNPKPIVAPPPPKPKSEGPAWGGAAKVVSKGYSSLRDIQDEQSKTPQPHEQPVRTTKHQLGDESCGKILLSSFLTSKPIPVESTRSLQQQCDVEKGTPPWASSATPPHVSRPSLRDIQMQQVKKQQSLSHSPKTKTSGFTVATGQGSPSESPGANRWFKPEVDAPSSIRSIQVEEKAMKDLRRFYSNVKISNQSSPTMNDLLKGSSEFSRDRSNRSDTESGHGPGNSGDLGLAGFFNKVQEIEKQYEKLDKHLKKLQGAHEETKAVTKAPAMKSIKQRMERDVDEVGKISRFIKGKIEELDRENLENRSKPGCGKGTGVDRTRTATTIAVKKKFKDKISEFQTLRQNIHQEYREVVERRMVDRLIETGDSEQIFQKAIMEQGRGQIMDTLAEIQERHDAVRDLEKKLLDLQQVFLDMAVLVDAQGEMLDNIENMVSSAVDHVQSGNNQLTKALKKQKSSRKWMCIAILILLIIVIITVVSVLKPWTQKHGAHGA >A05p019060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8954890:8964478:-1 gene:A05p019060.1_BraROA transcript:A05p019060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLLPDSSGDGFRWDAAGRILQSGKSDSPPTTSPPLPSMADLLLRGCSKLIEPEESKPTMFTTALGKSVALKDSSLSRAKSILSDSDDVALSRESGFGVPNSCFQTASNKKVNVSSAGMARAKALLGLEEEDDFSVFKHVNHRLPSSHQQHGLRTPETFGAEHRSVTPGGHNVSGKRCEILSSSPKVPQTKFQTAGGRSLSVSVEALNRARSLLGDPELGPFFDDVDHFVTPQKDKWIGGDIAHESNKHTSNSFISPLQSSSKQFRSVKLEDVASGVNLIKKFDAAVDETHGNTPLASDKAVTNTMGTGFIPRSSQFGRQAHQPLVDITNRNDIAHANSNNRQESSQKKRLGKTVSVPPFKRPRTSSFKTPLMKNDQHPSNGFSVVSCDTQYSNRVLSTRTEERSQRIYIKDYFGMRPRATTKMAVPEHVRRIKSSNADKYAFGDVSSSSVVGAETFHQMLAESGASLQCASREWVTNHYRWIVWKLACYETYYPAKCRGNFLTITNVLQELRYRYEREVNHGHCSAIMRILSGDAPASSMMVLCISAINPETRETHGSDSGNNVKIELTDGWYSINAALDVMLRKQLNAGKLFIGQKLRILGAGLSGWSTPTSPLEAAISNTIYLLLHINGTYRAHWADRLGFCKEVGVPLALNCIKCNGGPVPKMLAGITRIYPILYKEKLGEKKSVVRSERMEWRMIELHNQRQVSIISCREQLLVTRSDLIEGLICEYQGGINGVDSQNDTDSKGAKLFKLLESAAEPDFLMADMSMEELNCFNRYKEKFEAAMEKKMEKSVAKALEDAGLGERDVTPFMRIRLVGLTSLSYDGHPNPKEAILTIWNPTETQRTELTEGKIYAMKGLVPMNIDSETLYLHAKGSSSRLQPLSPKASERFQPFFNPRKSMSLLDLGEIPLGSEFDMAAYVVYVGNAYTDVDQKKQWVFVTDGSLQYSDSGKIANRLLAISFRTSSMDDLHSPLISHNLVGSVVGFCNLIKRAKDVENDMWVCEAMENSDYFLNADAACPSHLKTSSGHIQIWANLSFSKSIIDQLRQRALLIVVPVLGNLLKDNIVRKVSCVCHLNFESLLGSPADRSFHEEILITAAHATFYEFNTEISQWSRKDVEGSLFVVKSNGITQRQVLLSIYSMAMPISLPVSLWSPCVLAGLSAGMAIGIVGDAGISECTTTKALCGMILILIFAKALSLYGLIVGIILSSRAGQARVERTLKQTAIPAIMMSPTTHAVMAKNGMEVGTTTRSIWKNQYYGSD >A08g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:195509:196682:-1 gene:A08g500070.1_BraROA transcript:A08g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVSFRASQLGLAIDWISAGPSSHHSIDLASRSNDRSAHQAIARLESPLNHGEYWGDATKLILGVSNVVTLHLSPDSLEGLVHKITNLCGDVCVCDHGKMMRSCCLLSCRVKVLKVYGYEGSCGELNQMRHFLKNLRFVKLDKVKVQEQVNYLSLTNDLTKLLSTASSSNCKIQFI >A07g505980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13995067:13997261:1 gene:A07g505980.1_BraROA transcript:A07g505980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGERNRSQRKLKLETSSTGDSGDFISSMPDEILHHIISFNTTKLAVKTSVLSRRWRHVWCETSCLSFRGLESTARGINQTLISYRSLKLTSFRLDNAYYATAAREINPCFSRKCVSREIFSNSWTGSDYTCPHLFFFSSSLEQLDLKFALIPICTTVSWKILRNLTLKSTANILSGCPILETLELIYCHGLPPRLDLSKSLNLRRLEIKWTCQSSYPVEIIAPHIHYLKLSTYKEPCTLVYVSSVTRHGHGSDIQIFEVDIYFLIMSDFYHRFARCYLLPNSVVVLSQCLGSKL >A08p034910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20672548:20673241:-1 gene:A08p034910.1_BraROA transcript:A08p034910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGTEGRVWRERLLWRLVEPVASLSEDDVALSVESKCVGDFGVRLLREECSESNSHEITRMLVKVKNKNLKINEKERHWVCVTALMRLEDSA >A03p020410.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:8361188:8362270:1 gene:A03p020410.1_BraROA transcript:A03p020410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKLPWELIEEILSRVSPKYLVPFRVVCKRWKAILDDKTFINNHKETFRFILATKSKIYSVSMDTKILVRELLLDIPGLEAQKPKKLVSCDEFLICIMDKGAAVCNSWLKQTTWISEPSFRFYGIGHRDSNNRSEESVYKTIWNSTTGWKIHDLASGTWIDIGSESSDSNQGKKEPKTKMHSTSGVFLNGTLFWIVTSDETAFLYCILLNFPTEGFYLYCELPFGMSHALDALVLRLFNGDRFSVLKQCYVTKKIEIWVTKNKVNVEDGNDVVWMNFMTFSIPNFPGLVPFAYPQQPSYFIHKNERLVVCFCDESGKAWIYVMAENKLIEKVKIESVVDPWPLHCTYFPNLVSVPQGL >A04p002860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1369580:1370955:1 gene:A04p002860.1_BraROA transcript:A04p002860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNQPREMYPGMWRYPMNPDLCCIYRVPNRLREVNPEPYTPQIVLIGPLHHSVKSQALKALYLGDDITYTKSMAYLDMEEHKKTYLAEFAARIEGETTIDELRRMIKEEEETIRASYQESTAWIQSSEFVEMVLHDSVFIIEFILRFSGVVEKKGDHLLAGLSLGITVYHDLILLENQLPFFILDKLFNPIVRRIWPHLTFRDLIISFFGFQGKIRRNSKFRHFTDLTRCVRVETLPNLDVWKSKPIEHMYNAEKLDSGGVKFKAVGDDLSLCVSFKNGCLKIPCLTVDDSLEMKLRNIMALEQCHYPNNPHVCSYALFLDYLIDTDKDVDLLLEKGILKSWIRQPAKVAQMVNKLVTGIVDPGSYYYDIAGEVNEYYRNPVNRSKAILKRVYFGNPWTGTATIAAMFLLVMTLIQTWASIVQVKQNEP >A03p052140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20213620:20215402:1 gene:A03p052140.1_BraROA transcript:A03p052140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLKTTCAGQIFALAKPHDSVGKRTRNRIPKEERKTLVKSFINKHQRLNNGSFPSLSLTHKEVGGSFYTIREIVREIIQENRVLGTTDLILDSKGGDDDQLQDQALSRSTVLMDPVPPLSLSPDGLHSPSEPVEAKSPVNGGYFNGSKAGVEVNGHQLSESVGSSTDVFRTQFAASSCSEEIDTKHDDNGETICDSLDVKPQDKEVEVDNKDIEFHFVELEGKNLLNNNQSGKDDKAEIKDTLGTIDLLPEETVVEAFSVTSSELAKVCEAGKETEAKVENDSSTEDLVEIPSSISAVPEEQGTEEVIVVGQMPNHVSVTIAKKVEEKAVIGNIHDTKEIRNGSLTTEQPVPTSGTESGSCKNEIARSEVTSVEKAAVEKGKVDASSSQKGNVATLNRIKPESWKGKSNVEGQETNPLLAVLKSFLTAFVKFWSE >A09p066650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52812294:52813378:1 gene:A09p066650.1_BraROA transcript:A09p066650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTAEEDEILVEYIKKNSHGSWRTLPKNAGLLRCGKSCRLRWTNYLRPDIKRGPFTPEEEKLVVQLHAILGNRWAAIAAQLPGRTDNEIKNLWNTHLKKRLLSMGLDPKNHEPLPSFGLAIQAPASPTTRHMAQWESARLEAEARLSRESMLFNPSSLGGGGRTDCDHFLRIWNSEIGESFRKLAPFDETTTTTTSQSPCSRTTTTTTTTTTSSSSAALPKSSTNSCVGKEIVVKTHHHGSDSYSPCSNNLEDVSSDSALQLLLDFPISDDDMSFLEENISSYSKF >A07p039660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21076228:21081890:1 gene:A07p039660.1_BraROA transcript:A07p039660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyl-oligosaccharide glucosidase GCS1 [Source:Projected from Arabidopsis thaliana (AT1G67490) UniProtKB/Swiss-Prot;Acc:F4HTM3] MTGASRRSAHGRIKSSSSGGDSLRYPPSIRRGGKGKELVSIGAFKSNLKILVALMLLGIAVIYFVINRIVVSHESQRQTPRVITPFPAPKLMDLSMFQGEHKESLYWGTYRPHVYFGVRARTPQSLVAGLMWLGVKDEMYVMRHFCENSDDLSSFGWREHNGRDFGRQELVENDMILETSFVKSKGDGSSGYGGDWSVRIDVKNKGLSGDAKRSAHLFFYLADEGGSVLNLGRDALDFQGSSLLVSGSREDVGDWQIHLRSENQLETHYSGFKTPHVYNLSDLVQRNLALQARKFGRLQLSDTSEDSSNIYVFQISGKLPFTIDIPFVSGIRGESSNVEKRLTNLTETVRVGKTAMANMIGGIGYFYGQSKIYPESGDNHLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDFRMTLDIVGHWLDLLNIDGWIPREQILGAEALSKVPEEFVVQYPSNGNPPTLFLVIRELIDGIKTEKFIASEKDEILSFLERASVRLDAWFQWFNTSQTGKERGSYFWHGRDNTTTRELNPKTLSSGLDDYPRASHPSEDERHVDLRCWMYLAADCMHSITELLGKEDKFSKEDYNSTATLLSNFDLLNQMHYDKDHGAYFDFGNHTEKVKLVWKEVISENGHLSRQLVRKTSGKPKLRLVPQVGYVSFFPFMSRIIPAESPILEKQLDLISSRSILWSDYGLVSLAKTSSMYMKRNTEHDAPYWRGPIWMNMNYMILSSLHHYSTVDGPYSDKARAIYKELRSNLIRNVVRNYYETGYIWEQYDQDKGTGKGTRLFTGWSALTLLIMSEEYPIF >A04p008900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7964123:7966488:-1 gene:A04p008900.1_BraROA transcript:A04p008900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQDNFNHRCNDSDEQDPTTMTIEFLRARLLAERAVSKSARAKLDGLSDKVAELEEQLKIASLQRKKAEQATADVLAILEEHGFQDDSSSDQESYSQTTNSVSAKSLSWKGRRREAGSSDKNKESRNRRQRGFVSAYFSSPRHHRKGRSCRQIKRSETVSEDHKRDGDTVVDLQGKEVLPQTSEEASTTVVDVVTVIKGDESLQKLSCSNVLEKGNSIDIKLEKALRKRAQVVGAYEDMEETQKQWENKSSSLDVCDAGSHSDVTDESNGEKAQGSTLVLPSLCDTKPMANEVDVETSSHGSLDNSVTSPDKCCKSCGSKPVELGASLSGDNGGKHISESPKSESSHPQSCKCISEQSSSTIRSNSRGGSFCSNATTIQKIDYPLVPAAKEKSDACDTVLTALKQAKLSLQEKVNSLHIRKPEYLSESSYPSTPSSYMNTLPIEPAFGTRPSLPASNVGSMLEFPAGCAGLFRVPTDFSPDASTRNSFLASSSQKALVNHIPERDLPLLPGDQLFTKTLLDTERPLTTPYIGGPKLWTGFREDGEPVVDTQEARLYKGAPRVSGSVRTSGCEGKQLSSTSFSLDRQVSTYNPLSMSPSPSLYPDPVLRSREMYSTPYYTRAVGLPPTGGSTDGLFRREYD >A02p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000050.1:24055:24311:-1 gene:A02p038370.1_BraROA transcript:A02p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNDNKKFQSQVIFSPKLVKNSSGHFRNLAWPWVLSLLNPKCRVSDVSISIDGTCVHRSI >A09p020890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11112796:11116802:-1 gene:A09p020890.1_BraROA transcript:A09p020890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP98B [Source:Projected from Arabidopsis thaliana (AT1G59660) UniProtKB/Swiss-Prot;Acc:F4ID16] MFGASNPFGQGSSSSSPFGTQTQSVFGQNNNASSNNPFAPKPFGAASSPFGAQTGGSMFGGTSTGVFGQAQASSPFGASSQGFGSSTPSFGAPSAPAFGNSPSPFGGTSTFGQNGFSTPQSSPFGSATQQSQPAFGNSTFGSSTPFGASTTPAFGSTNTSAFGASSTPAFGSTNTSAFGASSTPAFGVSPTPAFGNSGTAFGNNAFGSGGASGTPGFGASSAPAFGFGSSPAFGQTTHSPFGAQGSQSSNSTTFGNQQQGGSRIIPYTSTIDAESGTGAPGKLQSISAMSVHKEKCHEELRWEDFQRGDKGGKLPAGQTSLFSQPTNPFGQTSTNPTNPTHAFQSTIAPNPNPFGQTSGNPTQGFQSTIAPNPNPFGQTAPTPSPFGQTSANPTHAFQSTITPNPNPFGQTSANPTTGFQSTITPNPNPFGQTAPTPSPFGQTSANPTNPFSQNTPATNTNFSSPFGQPTTPSLFGTSSNTTPGFAPSSGFAATTTQPFGPSSTPSFASSSVFNSSGSSPSLFSSTPAQGSTPAFSSGNFNNSQSAQLFSSNPSFAQTGFGQNTNTQPSIFSTPSTGFANMSTSFPSLNTSIAPLSQTKPAGPTPQQSTQPTQPSFSFNNSGQTQTVAAGGLAFCPVNFGQVPASQPNPVSNLTATQPNPFGTLPAVPQISIGQGGNSPSIQYGISSLPVVDRPAPARVSSLLTSRHLLQRRVMLPARKYRAGDDSPKVPFFSDEENSNTPRADAFLTPRENPRALLIRSLKKETAAPLKDDTTPLQENGKRSNGVITNGANNENKNNGEVRDAPPVKANETHENHGGDKNGAKSSPSRAEIESLLPKLHHAEYFTEPRIQELAGKERANPGYTGRVRDFVVGRHGYGSIKFLGETDVRKLDLEKLVQFNNREVLVYMDESQKPPVGQGLNKPAEVTLLNIKCMDKKSGKQVKEGPRVEKYKEMLKRKAEEQGAEFVSYDVVNGEWKFKVEHF >A02g502620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8567599:8568060:-1 gene:A02g502620.1_BraROA transcript:A02g502620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANDGRKSSFAGLRKKKKKHLMNKESLDAAEDLDGKMCSLFEAYITYIAEEIYLQHQQRYP >A05p041850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25361363:25378460:-1 gene:A05p041850.1_BraROA transcript:A05p041850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HNTISVIVFFLLSPFSIFLLLHLGKLVKNMASPNEKDEFPILLPVPEARSRANTRAFNSRNRSVSFSNSTYSTNRVDNSSVVLGYTGPLRTQRRPPPSVQMSGPLYSTRRPDQSFFPPSPVQPPDSSSTVDVPSEEDEVVLKNANLLKSGQLGMCNDPYCTTCPSYYNRQAAQFHTYRVVSDSRFRTALYDDARGWAKRFASSVGKMVPGIMNPHSKFVQMWTRFLAFSCLVAIFIDPAFLFLLSIRQDNKCIEFDWPKTKVFVSLRSMSDLIFFMNILLQFRLAYVAPESRIVGAGQLVDHPRKIASNYLRGKFLLDLLIVLPMPQIMILSMLPAHLGTPRSELEKNIIRIVFLFQYIPKLYRLLPLLAGQTPTGFIFESAWANFIINLLTFILAGHAIGSFWYIAGLQRVKKCLLHAGNYTMDERRNLIDCAHGVNYARESQIALWRDSESVNACFQEGGFSFGIYLKAVNLTTQPNIFTRFSYSLFWGFQQISTLAGNQTPTYSVGEVYFTMGIIGLGLLLFARLIGNMHNFLQALDRRRMEMMLRRRDVEQWMSHRLLPEDIRKRVREAERFNWAATRGVNEELLFENMPDDLQRDIKRHLFKFLKKVRIFSLMDESILDAIRERLKQRTYIRSSTVLHRKGLVEKMVFIVRGEMESIGEDGSVLPLSEGDVCGEELLTWCLERSSVNPDGTRIKMPTKGLVSNRNVRCVTNVEAFSLSVADLEVVTSLFSRFLRSHRNMASPNENESDEFPMLRQFPEARSRALHSRNRSISFSDSTYSSNRVENSSGPRRTQSRPSPSVHMSGPLYDTRRPDQSFFPPSPVQPPASSLSSSTVDIPSEEVVEALLKNANLLKSGQLGMCNDPYCTTCPSYYNLQAAQFHTYGVVSDSRTQVNVTHNRQALHDYDRGWAKLFASYVRRCVPGIINPHSKFVQMWTRFLAFSCLLSIFIDPAFVYLLLIRDDNKCTDIDWPKATLFLFLRSMSDFIFFINILLQFRLAYVAPESRIVGAGQLVDHPRKIACNYLRGKFFLDVVIVYPVPQLMILSILPKSEFEENAIIGIFLFQYIPKLYRLLPLIAGQTPTGFIFESAWSNFIINLLTFILAGHAVGSFWYLAGLQRVNKCLLQAGNFTMDERRYLIDCTSGESYLRALWRDSESVNACFQDGGFSFGIYLNAANLTTQRNIFTRFSFSLFWGFQQISTLAGNQTPSYSVGEVYFTMGIIGLGLLLFARLIGNMHNFLQALDRRRTEMMLRQRDVEQWMSHRLLPDDIRKRVREAERFNWAATRGVKEELIFENMPDDIQRDIKRHLFKFLKKVWIFSLMDELILDAIRERLKQRRYIRSSTVLRRKGLVEKMVFIVRGEMESIGEDGSVLPLSEGDVCGEELLTWCLERSSVDPDGTRIKMPTKGLVSNRSVSCVTNVEAFSLSVADLEDVTSLFSRFLRSNRLALSLKCSSFLARSLYFLISEMEKDDVPMLPVSDSSSLSRTRPFTSRSRSVSLANTSSILDGFDSSTVVLGYTGPLRTHRRPPLVQMSGPLSSTRSSEPLFLPPPPTSTRDVSSSQPERYPSFAALEHKNSEEEFVLKHAHLLRSGQLGMCNDPYCTTCPSYYNRKASQIPTSRVSAIFDSTFHDAKGWARRFATSINRHLPGIMNPHSKFVQRWTKFFAFSCLLAIFIDPLFFFLILVKQNNNCIEIDWPMAHTFVAVRSVTDVLFSVNILLQFRLAYVAPESTVVGAGQLVDHPRKVASHYFRGKFLLDLFIVMPLPQILILWIIPANLAISGANYAKNLLRAAVLFQYIPKLYRLLPLLAGQTPTGFIFESAWANFFINLLTFMLAGHVVGSCWYLFGLQRVNQCLRDACGSSDRPCRELIDCRGETSALSAAWKDNASARACFQEDGFPYGIYLKAVNLTNHNSLFTRYSYSLFWGFQQISTLAGNLIPSYFLGEVFFTMGIIGLGLLLFALLIGNMQNFLQSLGRRNLEMTLRRRDVEQWMRHRRLPGDIRKRVREAERFNWAATRGVNEELLFKNMPDDLQRDIRKHLFIFLKKVRIFSVMDEPILDAIRERLKQRTYIRSSMVLHRGGLVEKMVFIVRGEIESVGEDGYVLPLSEGDVCGEELLTWCLERSSVNPDGTRIRMPSKGPLSNRNVSCVTNVEAFSLSVADLEDVTSLFSRFLRSNRVQGAIRYESPYWRLRAAIQIQVAWRYRRRRLQRLYTDQS >A09p021150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11259819:11266129:1 gene:A09p021150.1_BraROA transcript:A09p021150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRIKLDMSIGDNSSKVSGTTRSAALHDKPIILLTASTLAERGGERGAERGGDRGGFGRGFGERRGGRGGPRGRGRVVVEAVPQKTRNGHQSPSSAYQIIDLLVGPSLKDAVMKIMPVQKQTRAGQRTRFKAFVVVGDGEAIEVNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIDDVFTSSRVDIGLVISSDNDMSKTYGFITPEFWKETRFQKSPYQEYTDLLATKLDSAAKAVTEKWTPVTKLDRLVQSGKITQLEHIYLHSLPVKEYQIIDLLVGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVIVEDGNGHVGLGVKYSKEVATAIRGGIILAKLSVVPLRRGYWCNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQLHLIVFRRHMGFLHLSFGKRQKSPYQEYTDLLAAKLDSAAKAITEVEDQA >A01p006820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3431988:3434086:1 gene:A01p006820.1_BraROA transcript:A01p006820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPVMVSSNNKPIFFIDLSGQNSIPTIPDSFISNHVKGKTQSTKLKLTSDVSDRSWEVELDGQRFARGWKQFSVHHGVRNDDVLSFRHDGDMVFHVTPFGRSFSHQIQFISSTSEDKNDDDEHNIFDDDVYDDDEEHADAGSDDDDDGDSTSEEELFPSSKKKAITETETSLEDSYLVAHVTSSNLGRNQMGISNKFARPNGLKDRQCEIDLLNEEGKSWTLELRHNKTTGQSYMCRGWTSFCQGNGIKAGSACRFKLVKNGTKPVLQLCPNTSTILHKKRDVPETEGDDIEYEDCLETPQMNQNRTVVIEFKPHMLRTGQLRLPTLFARDNGINEAGEITIVNKDGVEWKLHLVSVKGRGQFYIRGFKDCSRANGIKKVGDSFTLDVVRGGTSPILKICSKEATFDGKQTTNRRPSRMIQAPRAEEEMETRVQKKARVSAEGGSSRRTRASNKLSVGPANLQHKKPLEPCSISDQVSKVRQSIVHTLTDVRQFRSELEIKEQNLETSLLEIDALGEKIQGISKFFNVNQV >A03p056220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24308958:24310085:1 gene:A03p056220.1_BraROA transcript:A03p056220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH162 [Source:Projected from Arabidopsis thaliana (AT4G20970) UniProtKB/Swiss-Prot;Acc:F4JIJ7] MDQGLSNTSQARSVDRKTIEKNRRIQMKALYSELNSLLPQTSRAPLTLPDQLDEAANYIKKLQVNVEKMTERKGKLAATGSSPMSSSVDVSVPRRLPKIEIQETGPILHIFLVTSVEHKFIFHEIISVLTEESGAEITHAGSSTVDDTVFHTLHCKVEDCDYGASSRISESLKKL >A02p007500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3181634:3182478:1 gene:A02p007500.1_BraROA transcript:A02p007500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLRIAMVVMMILVTIECGNGMDTKDHRVCFKNCLEKCRIDDYTCQLKCEIECHLTPPALLSEKKEDQRVCFKNCLEKCRIDDYTCQLKCEIECHLTPPALPALLGEKKEDHRVCFKNCLEKCRIDDYTCQLKCEIECHLTPPSFPLDEAVMAPEQGKSDTCYRDCSNKWGLDSARMERCLNTCPTPATLF >A06p013160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6027729:6028337:1 gene:A06p013160.1_BraROA transcript:A06p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSRTNLEPCLIHEYSLSFSKNELEHEDIAYFNHEFLQSIGVSIAKHRLEILKLARRERKRSPLLTSRSISRVVVAIKKTSRCVSDHVRAWIRCEEESSRALVLVPKRSSGVGKWRGGFMKRSKRSVMPSNANGGGVHKQQEVLLLTNGTPCRIDSFSSPMVFDYSFREEMIYKENSQDNYLEEIKWDSMFQNLKPT >A10p040780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22421684:22431664:-1 gene:A10p040780.1_BraROA transcript:A10p040780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3A [Source:Projected from Arabidopsis thaliana (AT5G02100) UniProtKB/Swiss-Prot;Acc:Q9LZM1] MSPNDSKNSGGFFNSLASSITNFGSVMTKSVNGLISYEGIEVINPDGSTEDAEKEAGRGRWKPEERDGYWKMMQKYIGSDITSMVTLPVIIFEPMTTLQKMAELMEYSHLLDMADKTQDPCMRMVYASTWAISVYYAYQRTWKPFNPILGETYEMTNHNGQPSPTNETRVTLKRDGVVLDLVPPPTKAHNLIFGRTWVDSSGEMIMTNLTTGDKAVLYFQPCGWFGSGRYEVDGYVYNSAEEPKILVTGKWNESLSCQSCDTEGEPLSGTKLKEAEIALRVDASLSLTVACPAPRQAFEIIFQERQRAEKRTREEKGQPFVPKWFDETEEVTPTPWGDLEVYQFNGKYLVHRAAADNSEINTDMESTQFNPWQFQDTSP >A02p011180.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:4852592:4853890:1 gene:A02p011180.1_BraROA transcript:A02p011180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:Projected from Arabidopsis thaliana (AT5G22630) UniProtKB/TrEMBL;Acc:A0A178UL55] MQAVSPTFSCDLKPMIQTNLTAKIARYSHVNRNRASVRCSYKSESFSFPNGVGSSRADWQSSCAILASKVASAENSSSITGSLADQVAAVNGHSNDSVNLSLVPSNTQNGKPGLIQPLTNTDLSPAPSHGSTLRVAYQGVPGAYSEAAAGKAYPKSEAIPCDQFDVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQIPVHHCLLALPGVRPDCVRRVISHPQALAQTEGSLNKLTPRAAIEAFHDTAAAAEYIAANNLRDTAAVASARAAELYGLQILADGIQDDAGNVTRFLMLARDPIIPRTDRPFKTSIVFAAQEREGTSVLFKVLSAFAFRNISLTKIESRPHQNCPVRVVGDGGVGTAKQFEYTFYVDFEASMAEARAQNALSEVQEYTSFLRVLGSYPMDMAPWSTSPSEDA >A07p003730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3130001:3130326:-1 gene:A07p003730.1_BraROA transcript:A07p003730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFKLVVIFTLLAMTAISCDFFPVEAEIFVQAATPICGPDCNGTFSFQQCYNHCVELGYKRGFCILSEPIRYRCCCPSN >A09p081810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:59445617:59446084:1 gene:A09p081810.1_BraROA transcript:A09p081810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPKVKELTTKAMTSVFKTDASHDVAEDKFADYMKGGACKEPFMALSECPDRDNTHKQIAMLKCMEAHSDYYHKYNEIIDKQVSKEVESMFPGGEVKLALGVHEFFTKGEGDCCKEQYFACMDCLIEEGFNEEEEEEFGPGFINFGKWLLRLL >A08g503460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6315618:6316330:-1 gene:A08g503460.1_BraROA transcript:A08g503460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPDLHSDSKHHKEKGGTSSVTPRGTCNIAITTRQFRCDKSGSVRRTTLGYNGWERTTSTAMIGGSFIKENMVRPKDSEDEDMFGAE >A03g501060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3311696:3313373:-1 gene:A03g501060.1_BraROA transcript:A03g501060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPRGFVEDLSMEEPKTSLRRPSICFRPINPSDLERLEQIHRDLFPIRYESEFFQNVVNGGDIVSWAAVDRSRPDGHSEELIGFVTAKFVLAKESEISDLIRYDSSKGEETLVYILTLGVVETYRKLGVAKSLIKEVIKYASSIPVCRGVYLHVIAHNNPAIRLYKRMSFRCVRRLHGFYLINGQHFDSYLFVYFVNGSRSPCSPLDLVVLVLNYVRSGIKVVASKLTMKHEEKGLKGVKCKDNMRCLLPTQSKRNLASSERVSSGYDYV >A02p008750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3604177:3607817:1 gene:A02p008750.1_BraROA transcript:A02p008750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKMGTSLNDTLSQKYSGLELWEIIAILLSAIFIIVLAISLYLTFRKKTSKPSSKQTPLSLHIPPSVPEDIKEIRVDEVEVSSSNGYPSISEKFSHKQPEKETLAVAPESENGGNSSRSGSFNHSEKKDGSSVSSANPLTAPSPLSGLPEFSHLGWGHWFTLRDLQTATNHFSRDGIIGDGGYGVVYRGSLVNGTPVAVKKLLNNLGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLRGDNQNHEYLTWEARLKILIGTAKALAYLHEAIEPKVVHRDIKSSNILIDDKFNSKISDFGLAKLLGGDKGFITTRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRYPVDYARPPPEVHLVEWMKMMVQQRRSEEVIDPNLNTKPSTSVLKRTLLTALRCVDPLYEKRPRMSQVARMLESEEYPIPREDRRRRRSENGTARDSDPPRNSTDTDRTQEALCEASPVSSLDLLCYVIFLLLQRVCLCVHCLVLVITSHLLEDLYKPKNQIRRRLLDPAPNTFFLLIDLKMTKTPLTVVLLFFLLIISAVVPPSTAEIKSLVISSDARPMILFEKFGFTHTGHVTVSVSSVSVVSTSPDPNPDPSRLGFFLLSEESLLQVLLEIQQNARFCVLDSHYVTHLFTFRDLSPPPNSRFNHSYPVTSPNEYSLFFANCAPETKVSMAVRTEMYNKDPNGSKDYLPAGSTQLPSLYSFFFLCYATFLGYWGYTCYTNKRVVHRIHLLMAGLLLIKSLNLICAAEDKHYVKITGTPHGWDILFYIFQFIRVVLLFTVIILIGTGWSFLKPFLQEKEKNVLMIVIPLQVLANIASIVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRALRETSKTDGKAARNLSKLTLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSFAAEEIVSLVFYVIMFYMFRPEEKNEYFAVADDEEEAAAIALRDDEFEL >A08p037160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21700111:21701041:1 gene:A08p037160.1_BraROA transcript:A08p037160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIFTISTVVLFLLTVNHGEAIWLTIPATGGTKCVSEEIQSNVVVMADYYVVDEQNPGNTPAVSAKVTSPYGNDLHHQDNVTHGQFAFTTQETGNYLACFLVDSSHPLPNPLTLGIDWKTGIAAKDWDSVAKKEKIEASYIYIYISSLFFFVMCCYIVMNVYCFLQGVELQLTRLEGLVQAIRENIEYIKNRYVSTSFVSYKIVICKANFGYREGEMREVSEATNARVAWFSIMSLGVCLSAAGAQIWYLKRYFHKKKLI >A05g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1797784:1798719:-1 gene:A05g500430.1_BraROA transcript:A05g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSASPLLKLASITQALTVVRRLHCFWDSHNIMGIALSSLIKSLRGAATELFPCVQTMVEIQKKELVTYPIQNESHSSPSKPTRSSEPASCAELGSSVCCRKMDGLSFLHYLQQLDKSQTLPWFNKCVTPNMCYQILISQL >A04p038220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21753753:21754497:-1 gene:A04p038220.1_BraROA transcript:A04p038220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRQTFVMLFLVVVLVTSSLSNSNVFASRVMSANPFSNSTVLASPGVEATPSQIHICYKPCTKTYGVYPCYDDCLSKNFDDGNCEYNGLCCCT >A02p014890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6623206:6625365:-1 gene:A02p014890.1_BraROA transcript:A02p014890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCNFEPFNHKVSANAKSESPKDQSPTQEETYIKEVQKLPSNPKEVEDLRRDSATNPLTAFTYDELKNITGNFRLDKVLGGGGFGSVYKGFIKEELGDQKVPQPLPVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDNHRVLIYEYMARGSVENNLFSRVLLPLSWAIRMKIAFGAAKGLAFLHEAKKPVIYRDFKTSNILLDMEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPGSDVYSFGVVLLELLTGRKSLDKSRPTREQNLIDWALPLLKEKKKVLNIVDPRMNCEYPVKSVQKAAMLAYHCLNRNPKARPLMRDIVDTLEPLQATEEEALLVPTVQKAAITIIDEFPKNGLKKVEDLKKVEELKKVDEVKKVTEDDDN >A04p029500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17615768:17618133:-1 gene:A04p029500.1_BraROA transcript:A04p029500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WXR1 [Source:Projected from Arabidopsis thaliana (AT2G31190) UniProtKB/TrEMBL;Acc:A0A178VQM6] MQFLEKVKMIKKEEPPVTVKSPESLPLYWYETSDSVSRRFHFESDGHLSMKLVDDARPVPQKMVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATVVSWILKDGMQHMGKLICSNLGARMDSEPKQWRILADVLYDFGTGLELVSPLCPHLFLELAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDIFAKGEAISTLFNVAGIGAGIQLASTVCSSMEGKLVVGSLLSAVHVYCVVEQMRVVPINTLNPQRTALIVANFLKTGKVPRPPDLRLQENLLFPEKPIQDAGNVRVGRALHKAVKPSQVQKLKEVFAEEKFLLSQGKSWTDMVLEHDATGEDALRGWLVAAYAKSMTKIYNDPDDVILQDAYDKMNDVFGPFLSQVQAKGWYTDRFLDGTGTRFAW >A01g503110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9952274:9954844:1 gene:A01g503110.1_BraROA transcript:A01g503110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVFERNPNFSNRFGDFSCNGEEMVKTKFTRNGREVMIFGAMRNFDYGSDEAVQESKKGGERDASVSLSSPERSRIRKSVEGISMLASTEVSKASKTRRGTSYGSPASSPEKTTRRGTSYGSPSPVKATRRGSTLSPRVSKKQKVNVAPSGDDREEWPETEMLASTVAKKTRRGTSYGGSPVSPRQSKKQKVNSERSLGDDGDDREEFLQIEEFGDIAEMRIEILKDEIEENRA >A08p036490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21391482:21394895:-1 gene:A08p036490.1_BraROA transcript:A08p036490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKMIILEVESFNNKRYKIKHRHKKVKLAVYKVDGTGKVQRLRKECPSVSCGPGTFMASHFDRHYCGKCGTTYVFKERLLLVVCNYVYYHSLRFAEQKGHKSLFVHVPLFSKISEDTQMQFVPSHMIDTLQGQHKRIIFFYLPDLMGPSFLKNKLTTKRHMMDKLFILSLIGILMVTAYGVAAKMVYTDLDILEKLENFDIPEDDADDYDTKLFDWPSFTSRSSGKNLVNVDTFGAAGDGISDDTQAFVSAWNKACGMPKSVLLVPQGRTYLVNATKFNGPCETKLVIQIDGKIVAPDEPSNWDPKFQRIWLEFSKLKGVVFQGNGVIDGSGSKWWAASCKKNKSNPCKSAPTALTIESSSGVKVTGLTIQNSQQMNFIIARSNSVRVSKVMVSSPGDSPNTDGIHITGSTNVVLQDCKIGTGDDCVSIVNASSNIKMKKIYCGPGHGISIGSLGNNNSTGIVTNVVLDTAFLKETTNGLRIKTYQGGSGYVQDVRFTNVQMQDVSNPILIDQFYCDNPTSCQNQTSAVKISQIMYRNITGTTKSEKAIKFACSDTVPCSHIVLNNVNLEGRDGQVEAYCNSAEGFGYGVIHPSADCLYSHDNKGLDQSHKSEPETVTEEAETGHDEL >A05g509720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29252280:29254261:-1 gene:A05g509720.1_BraROA transcript:A05g509720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFFSEHLSCSRCLGSSLEMSLGQANVNPIEVSSGSHACLYDLLCSETPRGILQKDFQTSTSDPRDRLEKLLKQSGNKYCADCGSPEPKWVSLSLGVFICIKCSGVHRSLGVHISKVFSIKLDEWTDEQVDMLVGYGGNTAVNQRFEACNMDQLKKPKPNSNNEERNDFIRKKYELHQFMDPQDSALCTYEQLTIINNSLPSLCSASASHRSAKNRIGQAFRNSWGRRESNHKCPKKSNSMAGMVEFVGLIRVNVVKGTNLAVRDVMTSDPYVILSLGQQSVKTRVIKNNLNPVWNETLMLSIPEQMPPLKVLVYDKDTFTTDDFMGEAEIDIQPLVSAAIAYETSSIKEPMQLGSWVASKENTLVSDGIISQDEGKVKQDISLRLQKVERGVLEIQLECLPLTQ >A08g501480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3820336:3822994:1 gene:A08g501480.1_BraROA transcript:A08g501480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKKRGNLFHNFFTFLFFSKIFQKLSIFLSTLFLYSLKRSVKMSSKKKIAKKGSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANNPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKGTDKHRLVPRKFMSVVKKFISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPLAPFPEDIISVRDLLRNGPFFWTSFTPKRVRKALRFVQPGPALDADTGSDSEPDDQNPVEAPTAAPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPPPTKELARPRVVAEGSRIINGGLSLLGSAIEAGHRKAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTRADDYVFEEEMSLMKCGMSDRAHAEALIPPIDKRIQGFWDSIPVSPDTEEVSIDFHDGGEEVDRPADAFGASLPRWSYLYFRDWPLLALNPLPLYAISCLEMFETRALGLGQDLGLLSVKVCAVTSRLSFFLLRFLPDSHRFKVRDMFSAYMTCMVRIEHLLRINWNALLSLCWTFLKIKRVIGLRLFKTAGVFVGANRRTGCKVFGGRVRTIC >A02p048140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29962692:29964787:1 gene:A02p048140.1_BraROA transcript:A02p048140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEIALLIFSNRGKLYEFCSSPSGMTKTVEKYRKHSYATMDPNQSAKDLQEKYQDYLMLKSKVESLQHSQRHLLGEEIAGMGVNELEQLEHQVDASLKQIRSRKAGAMLDQLSDLKTKEEMLLETNRDLRRKLEESDAALTQSMWGAASAGEHSHQQQQQYHQQQQQQQHQQGMSSYQANPPSQENGFFRPLHGNVALQMSHYNPGVTNASNSATTSQNVINGFFPGWML >A01p001190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:448868:449425:1 gene:A01p001190.1_BraROA transcript:A01p001190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKIPTWPWWLLGGKKEKETEARGKGKVIKRKELELESFGSSGSESVAVASSAAAAVDEWSVGWTEPLGPGFQSDEEGDDGGFLVLVPCYRAVSEGSGNKQLLTAVKNLPSGLSPDGKNYMDQWFSSLRNL >A03p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21680637:21687906:-1 gene:A03p050430.1_BraROA transcript:A03p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSSMSMSFGPKIIPRTHKVTLYHKTKLSLLPRPLLQNQTLPRKPTKQDSFYVMATSNDLENTRPLAQFTPTFLGDHHFSVPVNDSEIDEIEHEIESVMKPCVRDMLMSFHTCTKDKIRLIHLLISLAISHYFENEIEEILNKAFGELEILTDNEDDLETISIMFEVFRLYGHKMPCVVFERFKGEHDGKFKESVVGDVRGMLQLYQASYLKTKDEDIMEEARSFTRTHLAVTTTHPHLSKLIEHVLYIPRYRCIEIAVAREYISFYKEEEYHDEKLLKFAKLNFSYCQLHYIKELKALTKWWKEIDLASKLSYTFRDRIAEYGLGMVGIYLEPRYSLARVICTKISMIMTVVDDTYDAYGTLPEVTSFTDALQSYMQIIFRNLWEIIQDIEREMSSLGRHGGVQPTINEIKSLTKEGYIKIAKWARTGHVPSFEEYMEVGVVTAAMDDMTLYIFLGMEDCDEKIMYEWIASKPKFIHAFNVVLRLTNDIATFEEELKRGEVANGVNCYMKQHGVTQEEAVTELKKMIKDHHEIMMEEYFKASSTVPRQILVRVFNLARVIYLFYKEGDGFGHPSENLKDQFTSLFT >A09p034890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21102717:21104245:-1 gene:A09p034890.1_BraROA transcript:A09p034890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S18 [Source:Projected from Arabidopsis thaliana (AT4G09800) UniProtKB/Swiss-Prot;Acc:P34788] MRSSLLEDVLLLSRISSLQITMSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRLANIVCKKADVDMNKRAGELSAAEIDNLMTIVANPKQYKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >A06p041790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22491478:22494234:1 gene:A06p041790.1_BraROA transcript:A06p041790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGDSSKPQTAGEGGDVVAVNVRCSNGSKFSVSTSLDSTVEAFKALVAQNSEVPANQQRLIYKGRILKDDQTLLSYAKIQCSIYVLSENDSLMIPYLFYADHTVHMVRGSAPSSSPPPSAPATTQATAPGVTRGVGSNDSSIPGLGFNPLGGGNAMSGLFGAGLPDLEQAQQQLAQNPNMIRDMMNQPAIQSLMNNPEFMRSMIMSNPQMRELVDRNPELGHVLNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESMPEGFNHLRRMYENVQEPLMNSTTMPGNGGNNAASNPFAALLGNQGVTTDAASNNSTTQNAETGTGNGIPNANPLPNPWGATAGQTNAPGTTNSGGDTRSTGLGGLGGLGGLGGLGGLGMLGADSPLGATPDASQLSQILQNPAMSQMMQSVLSNPQYMNQLMNLNPQLRTMLDSNPQLREMMQNPDFLRQFSSPEMMQQMMTLQQSLFSQNRTTTTQDPGQTGAAAAGAGNNAGLDLLMNMFGSLGAGGLGSTNQPNVPPEERFATQLQQLQEMGFYDRAENIRALLATNGNVNAAVERLLGSIGQ >A01g502420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8394298:8395455:1 gene:A01g502420.1_BraROA transcript:A01g502420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVSSSAHGTVDLDSIRVKRKTLQNLLDDCQRALELLNLADTSPGGDKTETGGSGEDNSNLVGSSEREEEEFPGDPEADKFYDLIKSRVECHGFREKIELAQLLNLAEEEGSSWDVVSEDDILGVVQTEDDYVVVREEDIADGIACFMATYLSSLKQTKDISPDQLQKALSTMFSVKKRKGKLRKAWEGSKVIYNVASWSATAIGIYQNPMILSIASKAFWVSCKAISKLV >A05p042300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:25600323:25601712:1 gene:A05p042300.1_BraROA transcript:A05p042300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFCPRGSKALPLIAAAKKKSKRDDNHSFSTRLDEATDPFPESILLKEKKIDESSDLLPDFDDAEEKELYEFLDLPLQSDLDEERSNFFFFKPLLFQV >A09p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10342617:10346235:-1 gene:A09p019530.1_BraROA transcript:A09p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAVPSLLFVVACVFLFLSPASASESDHKYQANEQVTLWVNKVGPYNNPQETYNYYSLPFCRKNENSIHKWGGLGEVLGGNELIDSQIDIKFMKNVDRSVICHLELDEAKVKHFKDAIENSYWFELFMGFVGELAPGKNGENGKHVLYTHKSINVKYNKDQIIHVNLTQDNPMPLEAGRTVDLTYSVNWIPTDITFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRGLVLLSAVVGTGAQLALLVLLVILMAIIGTLYVGRGAIVTTFIVCYALTSFISGYVSGGMYSRSGGKHWIKCMILTASLFPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWGFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILVVVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYYVKTKMFGFFQTSFYFGYTLMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >A10p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13231442:13236580:1 gene:A10p019190.1_BraROA transcript:A10p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLQTAPMSELESSGSPLVFAYYVTGHGFGHATRVVEVVRHLISSGHRVHVVSAAPEFVFTTEIHSPNLFIRNVLLDSGSVQSDALTVDRRASLEKYCEIAVEPRDSILATEVEWLKSIKANLVVSDVVPIACRAAANAGIRSVCVTNFSWDFIYAEYVMAAGHHHQSIVWQIAEDYSHCEFLIRLPGHCPMPAFHDVIDIPLVVRPLHKSREEVRRELGVPDNVKLLIFNFGGQPTGWKLKEEYLPAGWICLVCGASAKQELPPNFIGLPKDVYTPDVIAASDCMLGKIGYGTVSEALACKLPFIFVRRDYFNEEPFLRKMLEYYQGGVEMIRRDLLAGCWAPYLERAVTLKPCYDGGIDGGEVAAKILQDTAMGKKRSKLNLSGARRLQDAIILGFQLQRAPGRDLSVPEWYQVTGDEAGTPLVDKTQKSSKFVEGFEILHGDHHGLIDTISFLDSLATLAKIGGHHQEREHLAAAALFNWEEDIVVARAPGRLDVMGGIADYSGSLVLLMPTREACHAAVQRNHPSKQKLWNHAEARHHSRDTPILEIVSFGSELSNRGPTFDMDLSDFLEEDGKPISYDKAYHYFSKDPSQKWAAYVAGTILVLMREMNVRFEDSISILVSSTVPEGKGVSSSASVEVATMSAIAAAHGLEISPRDVALLCQKVENYVVGAPCGVMDQMASACGEANKLLAMICQPAEILGLVEIPSHVRFWGIDSGIRHSVGGSDYGSVRIGAFIGKTMIRSFASSETNSGEAEEKSSELIEYDASLDYLCNLSPHRFQALFASKLPQSITGEEFMEKYGDHGDSVTTIDRKGTYHIMAPTKHPIYENFRVQAFKALLTATPSEEQVIGLGELMYQCHDSYSACGLGSDGTDRLVRLVQKMEKLKHSKTENGTLYGAKITGGGSGGTVCVIGRSSLRSSEQILEIQRKYKEATGFMPYVFEGSSPGAGKFGYLKIRKNSAPPST >A02p020810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9800383:9805187:-1 gene:A02p020810.1_BraROA transcript:A02p020810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSILRPPYQDIVNIGPGVKLHAVVKFSKLMGRKLLFRFAKFLLCSFISLLLLFSSHLMLCVKSSRLVATCVFFGCCFLVTPMLSVGVSSELSYSNGHKNPKEVSEAVQFTLNRKPKILLQWIPHASHKIKLAVRGDFPKASGDYKWLSSDMRIVYVSSYGKRPGIAIVKTVSNRDPQNFDEILVRGFASHDNVSCDMILEPILNNDQLEPNCILKPNLISMDCIDMTLLVYSPIGDLCRLIVSLSSPPPQIIGLRGIYKFDPIHRFIRPRYQDIVNIDFGVERQDVVKFLKLMGRNLLFSSRLVATCVHLVFCFLVTVMLSVGVAFVLGYTNGHKNPEEISEAVQFTLNIKPKILLQWAPRASHKIKLAVRGGCPKASGDYKWLSPDMGIVAVSSYAVIQVKRPGIAIAKTVSNRDPQNSDEILVRFFASHDNVSDDMIIEPILNNDQLEPNCILKPKRKACLLMMLLIHCLVGWTLVILSHYCCFGGRTGYAKHHKSQCFEKRFRRLPIRRIEAKRL >A08p008100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5317030:5319865:1 gene:A08p008100.1_BraROA transcript:A08p008100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVFEAADASNLMTELRMSFDAGVTRSYEWRVSQLKKLQVICDNHEPEIVSALHDDLGKPELESSVYEVALLRNSIKLALKQLKNWMAPDKAKTSLTTFPASAEIVSEPLGVVLVISAWNYPFLLSIDPVIGAISAGNAVVLKPSELAPASSSLLAKLLEQYLDPSAVRVIEGAVTETTLLLEQKWDKIFYTGSSKIGRIIMMAAAKHLTPVVLELGGKSPVVIDSDTNLKITVKRIIAGKWGCNNGQACISPDYILTTKEYAPKVIDAMKQELEAFYGKNPMESKDMSRIVNSNHFDRLSKILEEKEVSDKIVYGGQKNRDNLKIAPTIFLDVPLDSLIMSEEIFGPLLPILTLNNLEECFDVIRSRPKPLAAYLFTQNQKLKERFAMTVSAGGIVVNDIAVHLSLPTLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYKSFIGDAEIRYPPYSRGKLRLLKALVNSNLVEVFKVLLGLS >A08p006120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3488212:3489427:1 gene:A08p006120.1_BraROA transcript:A08p006120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSVWRLQLDTPVTASDESVAVSPFLFSFHFSVSPPSPSLRFADILSVVVSFSTLVSLLGGLGFWSGGEIWPDLASMEDGCAMVMVLWSPSFPPASDSLSRFWVYGELPGGGGDSLLVVSPGRLVWGSLWLEGVVVMRMRRAEFFSSSKPEVAVCLPPTSFPVTACVASISAVRVWFFGPDPVVLASFYSAWSGGCGGFIIVSSLQIRAVIGLVVGVLVVPVGDFGFLEYTGASELQEGGVEVAVCGDWWLVLRQALVHRFCRLDVAPLSSTASSKFWMVSVLSA >A03p060050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25997546:26001696:-1 gene:A03p060050.1_BraROA transcript:A03p060050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLIAPLPSPSSAPRSASDFFSDPYDSHPLWFKPSLFLSPDFDSESYISELRTFVPFDTLRSELRSHLASLNRELVDLINRDYADFVSLSTKLVDIDEAVVRMRAPLLGIREKIAAFRGSVEAALFALRSGLQQRSDAAAAREVLELLLDTFHVVSKVEKLIKVLPSAPSDWQKEDGVSLGRSSVNVENATQQDGNTMRETQSMLLERIASEMNRLKFYMAHAQNLPFVENMEKRIQSASVLLDASLGHCFIDGLNNSDTSVLYNCLRAYAAIDNTTNAEEIFRTTIVAPFIHKTIAHERSADATGSSDELENDYKLIKHFIAKDCKMLIEISSTEKSGLHVFNFLANSILKEVLSAIQKVKPGAFSPGRPTEFLKNYKASLDFLAYLEGYCSSRSAVTKFRTEAVCIEFMKQWNVGVYFSLRFQEIAGALDSALTSSSLVFIQDSDLNKQSFPNLMLRQSATLLESLLSCWKEDVLVFSAADKFLRLTLQLLSRYCIWVSSALHTRKGNASPSPGCDWAVSASAEDFVYVIHDVNYLVSEVCGDYLKHISQYISSCSTEVRDVVRMSILQGGDRLREVLPSLTNTIIEIIVDKSVEDLSQVKGITATYRLTNKPPPVRHSPYVVGILRHVKAFLEGEKATRYLTQATREELLLRTVTEITRRYYELADEVVSVARRTESSLQQFRRKAQKRAGAASGVSDDNVSETDKMCMQLFLDTQEYGRNISALGLKPAEIPVYCSLWQCVAPADRQNTISV >A07p018060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10886164:10887412:1 gene:A07p018060.1_BraROA transcript:A07p018060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPLTFYKVTNKNYFHKLCESPKATMYLCQLEPRLDRGDGVGGTGDSGSNSGNVIMWISFSRRLWRRTRS >A09p011120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5603354:5604224:-1 gene:A09p011120.1_BraROA transcript:A09p011120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQEASSSSIVQSNTIDDLLSRVNTATALLTYLKSKATTLVVADDFSQLSLADDNEQAGSISERDQTYVSEMLKHVETVTCVMDSLAKRAILAESEAAVQRGKALLSQQEAQRKACQINSMSSKLEDMEKFALGTTSILSEMRQRVDDLVEETSRQKARAAENEQELCRVRRDFESLKSYVSSLISVRETLVSSEKQFQTIERLFERLVAKTTQLESDKVQKEAEVQKLMEENVRLTALVDKKEAQLLAMNEQCKMMALSSI >A07p033810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18460961:18465487:1 gene:A07p033810.1_BraROA transcript:A07p033810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSLKDFEDDDTTTPTSATRNSQLLLRFASSVDVDNEVHPVVPSKKLASEIPTPQFVVVDTYERDYLATFGQPASYLRARGARSELGEFVEYDLDNEDDDWLYEFDKDSNKQLSPEMLESIIFKLEVLDHKTRERAGVITPTLGSPVPVRLQLDAAIEALQSLSINYGVFQAIFNFWKDKRKRWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLDQAKTILEALIKREEKKRDVMDGEVSLQRMQLQYRVLLLTPPPPRTLVCLLMLKSDIWVLILQHETELLEDPPATTTSYKFGSSDEELMDSDDYTSTHLRTRPSVIPNSRFTNSNLNAPQPGGIKQEVRRRHSHHNWLHRLDPNEPVMLFTKPLVPEKLAAAGIVPPAPDTSSGHPQSRFQGRMGRGGRIIFDRWNPEEEEGRKERTLNRISSSSMASMRARRSLDTLRARHLVLSGKALQGCHLYGLQSRAISYGSKKDDEEEEQLAKEISKDWNTVFERSINTLFLTEMVRGLSLTLKYFFDPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLKSESLYR >A10p004270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2107933:2108570:-1 gene:A10p004270.1_BraROA transcript:A10p004270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVDALYDCKSEVIGPGSFRFKGEIDCAKQFREAAKRGDDSGMLNVMSNYDMQCMHQVLLAYLSFEVDRLEKENQELVLEIWHVDIEEHIPIDQSL >A04p016820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10319941:10321126:-1 gene:A04p016820.1_BraROA transcript:A04p016820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDASRIGEIVEDEEQIDLPPGFRFHPTDEELITHYLKQKVFNTFFSATAIGEVDLNKIEPWELPRKAKIGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKGKSLVGMKKTLVFYKGRAPKGVRTNWVMHEYRLEGIYSIQHLSQTAKNDWVICRVFHKRADGTKVDMSHLMLLDSHINRIEPVGLPSLMDSSQRDCFPGSSTHVTCFSDQETEDKRLIHLESKDGSGPLFYPDPLFPTDNDSLMKLLLNSHETQFPGTGTDPFCLAGTGESGYFWNF >A05p051020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29681505:29688574:1 gene:A05p051020.1_BraROA transcript:A05p051020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTENRNFPARPPPPPFSSSPFASAPPPGVVPPQSGGPEAVGFRPPARPFTPSGPHMAQPAGVMRPAGQPPLVSQLPGSRPPPPSFPSTAYGPPGGGSFHRFPTPPPSGVPPPPQTLAGHLSPPMSFRPQQPMASVTMGPPPQSMTSGIPGGFASPSGPGFQQSMPGGPQPSYPGYPSNQVLQGPPKPFQSPSQGPPSAVSSYPPQTGGFAQHPGQQNMHPSYAPPPTSNVQGLVEDFNSLSLSNIPGSLEPGFDHTSFPRPLDGDVEPSSFAEAYPMNCHSRYLRLTTNAIPNSQSLASRWHLPLGAVVCPLAEAPEGEEVPLIDFGSSGIIRCRRCRTYVNPYVTFTDSGRKWRCNICSMLNEVPGEYFSHLDATGRRMDLDQRLELTKGSVEIIAPAEYMVRPPMPPIYFFLIDVSFSATKSGMLEVATQTIKSCLDNLPGYPRTQIGFITYDSTLHFYNMKSSLSQPQMMVVSDLDDIFVPLPDDLLVNLSESRNVVEAFLDSLPLMFQNNVNVESAFGPALKAAYMVMNQLGGKLLIFQNSLPSLGVGRLKLRGDDPRVYGTDKEYTLRVAEDTFYKQMAADCTKFQIGINVYAFSDKYTDIASLGTLAKYTGGQVYYYPGFQSSIHGDKLRHELARDLTRETAWESVMRIRCGKGIRFSSYHGNFMLRSTDLLALPAVDCDKAYAMQLTLEETLLTTQTVYFQVALLYTASCGERRIRVHTAVAPVVTDLGEMYRQADTGSIVSVYTRLAIEKTLSSKLDDARNVIQQKIVKALREYRNLNAVQHRLGSRLIYPESLKFLPLYGLAIGKSTPLQGGPADASLDERCAAGFTMMALPVKKLLKLLYPSLFRVDEWLLKPSADFDDLKDVLRRLPLAAESLDSRGLYIYDDGFRLVLWFGRMLSPDIAKNLLGADFAAELSRVTLQEQENGMSKKLMRLIKKVRENDPSYHPMCFLVRQGEQPREGFLLLRHLIEDQMGDLKHIELYSELAGGREEHRFPFIRFRMLSLYLSPSFITNLFYQLHIYMFPDLAFVVSRFAVKLFRALYSRMAEEAHKVTLNVYDLSRGLARQLSASFLGKVIEGVWHTGIVVYGNEYFFGGGIQHLPAGTTPYGAPLRTVELGETHVPKDVFEMYLEEISPRYTAESYNLLAHNCNNFSNEVAQFLVGKGIPDYILQLPNEVMNSPMGGLIMPMIQNLETTLRAGAVPNAPQFRPQTQPLGAFSKDEGPKLPTTKPEKASKSEEKSEDVKTSAPTEKASPVAQASSSKEKVKEDPLGDARSKIQEEITREFAALMAEGTLRASEAAAMATKRVMQKYGHLNVSA >A09g511290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33865407:33868087:-1 gene:A09g511290.1_BraROA transcript:A09g511290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSRVKWRIRPRVYGYLSLNLAWISRQVLVRH >A03p061690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26793880:26796563:-1 gene:A03p061690.1_BraROA transcript:A03p061690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPPAANGAAAGSGQIPSDQQAYHHHQSWMMQQQQQLQGQPPAAWNPQSAPSPGQQYGGGSQNPGSAGEIKSLWIGDLQPWMDEGYLMSIFSITGEVQQAKVIRNKLTGLTEGYGFIEFVSHAAAERILQTYNGAQMPNCEQTFRLNWAQLGAGERRQSDGPEHTVFVGDLAPDVTDYVLTETFKVVYSSVKGAKVVTDRATGRSKGYGFVKFGDESEQIRAMTEMNGQYCSSRPMRIGPAANKKPLTMQQQPGAYQNTQGNPGEGDPTNTTIFVGALDESVTEDVLKSVFGQFGELVHVKIPAGKRCGFVQYANRGCAEQALNSLNGTQLGGQSIRLSWGRTPSNKQTQPEQAQYGGGGGGGYYGYPPQGYEGYGYAPPPQDPNAYYGGYPGAGYGNYQQPGGYQQHQQ >A07p030550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17003656:17005076:-1 gene:A07p030550.1_BraROA transcript:A07p030550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPSMAASTTPFYYPIPKSFLLSSPSRFKRNPSNLISCSTKPISSSLQTTNHRIQKQNLPLPPAFEDSFLLYQFSSPTEDPGFSNRISDGEPVELAIRGVEDDNKSLVISSNMWWADLKAALGQRINVEGIVSSVSVIVKDRHLVLPHVSVRDLRYIDWGELKKRGFKGVVFDKDNTLTAPYSLAIWPPLRPSIEQCKAVFGHDIAVFSNSAGLTEYDHDDSKAKALEAETGIRVLRHKTKKPAGTAEEVEKHFGCASSELIMVGDRPFTDIVYGNRNGFLTVLTEPLSRAEEPFIVRQVRRLELALLKRWLRKGLKPVDHILVSDVTPFVKDPSDL >A07p017880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10810150:10816943:-1 gene:A07p017880.1_BraROA transcript:A07p017880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDQDQQWLLGCLTASLDPNQNVRSFAETSLNQASLQPGFGSALCRVAANKDLSLGLRQISFSHKHRFFIKKHWRENEEDFEYPLVSNEEKALIRGLLLGSLDDSHRKICTAISMDISSIATHDWPEEWPELLPFLLKLISDQNNINGVHGALRCLALLSGDLDDKDVPALVPVLFPCLHAVVSSPQSYDKYMRGKALSIFYSCISVLGAMSGVYKTETTTLVTPLLEVWMNQFSLILEHPVQPDDPDDWSLRMEVLKCLNQFVQNFPFLMESELIAIMRPLWHTFESSLQVYLRSSVEGAEDSYDGRYDSDGEEKSLDTFVIQLFEFLSTIVSSRRLAKVLASNVRELVYQTVAFLQVTEQQVHTWSTDVNQFVADEDEGSFSCRISGILLLEEVVNTFGREGINAVIDAAGKRFHESQNEKIAGSSSWWRIREAALYALASLADQLVDAEDLGTDHTNLAKFIEQLIMEDTGTGYHECPFLYARIFTAVAKFSSEINPGILEHFLNAAVRAINMDVPPPVKVGACRALLQLLPDMNRSVILPQIMNLFSSLTDLLHQASDETLILVLETLQQAIKAGHEASASIESIISPVILNVWVAHVSDPFISIDIIDVLEGAPSDVVKTAYEFCFDAVIRIILHSEDNSELQNATECLAAFISSGRQELLSWSGDPSLTMRSLLDAASRLLNPDLECSGSLFAGKYILQLILHLPSEMAPHVQDLVAALVRRMQSAEISGFKSSLLLIFARLVHMSFPNVDQFINLLVSVPADGHENSFAYVMTEWTKQQGKHLSLLRYLVGKYRGHIKSKSLVQLWPYYCQLDILNSPRSMSQAIGSRSSYAASNGGITTRAKAKSAPEQWTIIPLPMKVLALLADTLIEIQEQVLGCEDEDSEWEEVDEVNVEGEKDLLRSTGASQSSKPSYDQLEAMARTFENQDDGDGDDDFHVTDPLNEINLASYLADFMLKFSSGDRPLFDNLCQGLTNAQRNVIQTVLNR >A05p029680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14882819:14885524:1 gene:A05p029680.1_BraROA transcript:A05p029680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIYHQQNSNNSVVGSDNGCRSESPSPPLSPNRRVPRRQRRQTLFRASSFSFRRKLRYLLLLPMIYASGLLMCVGPFSGLVGWVYVPGSVYRSPEIYRKLRDDIFADNSTDVELSSVWKYKRRPKMQKPCPNSTVTSHLALNGESSALTLSGYLIVEANGGLNQQRSAICNAVAVAGLLNAVLVIPEFEFHAIWKDSSTFGDIYDEDHFISSLERYVKVLRHVPNEVMARFDYNLTTIPTIRVQAWATVKYYNGEVYPMLKEHGVIRISPFANRLAMSVPPYIQLLRCIANYKALKFSSPISTLAEKLVDRMVEKSSDTGGKYVAVHLRFEEDMVAFSCCLYEGGRAEKSEMDVIRQKSWKGKFKRRDRVIRPDLNRVIGKCPLTPLEVGMMLRGMGFDNNTSIYLASGRIYQPEKHLAPLQEMFPRLYTKESLATPEELAPFEGYSTRMAALDYTVSLLSEVFVTTQGGNFPHFLMGHRRFLFGGHAKTIIPDKPKLVLLLQDMEMRWEVFKKEMKQMLGESDRKGVMVPRLRKINRKTSIYTYPLPECECIFHLSSNFSTTGNIQNLGALHPTSNIMTSARL >A10p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9554219:9563476:-1 gene:A10p006060.1_BraROA transcript:A10p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase DRIP1 [Source:Projected from Arabidopsis thaliana (AT1G06770) UniProtKB/Swiss-Prot;Acc:Q9M9Y4] MASGTGRSSSPYDEWDCDIPARHMVNGRILSRLARGLRFYLVLEEIVVGGGGSGRRIHFHWSDIPTGDVYHHIVNVKGRVYVRIGGIQNHNEVLESRVFEGEHGIDGEKMRNSEYQSRDPREEHEQGGTGEQSIQAGDEEKKKGARKALFKRMTAIAVGTSRMRFVQAVLPPRKNDPAKPGKGRGEGDGARQTEDKGPLNPKLSSSKPFKTHEVEGEILVFGWFSGFLESENGSWKELADVVSRLIKPKTATSRISWIWTWRPDHNLQDLRAKSFPLKGRKVKASEPARKKERSMSYLVDVTKTKASDQAGRRRTKTVTRKELLRDNASLAEKEEESLLESTSSPNKSTHNKKDSDEPWDPESYWKPLNFLVEVANRTQTLKSSSASHGPGSKSEKANASSHKQIQPRVKDHKSRYKREFEKSAKREMRDGHVEIRCMGEAVIPTLKLQKLEDLWLHRSSNRQKIDAWIGSSAKDYMMVLAYGRKLPECNNTQRTSKTLSARRRFCGDRVVFVFPPYGISVSITRKNII >A02p014920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6632163:6639634:1 gene:A02p014920.1_BraROA transcript:A02p014920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFDLEKKREALAIFTIGNKINENLLKGLGLAMDRLSLLPDDLIFKILSFFPSKAIVTTSLLSKRWCSLWKHVPNLGYSDPYIESEYWSASRFIDKFLLLQDNAHALQTLYLCVNRSCPPEDIETWVGVAVSRGVRDILFYQYSRTYFCPIRLPRSLYTCETLVTLSLLHTFIVDVPLDICFPSLKSLTLESVDFLLSDDDIVHRLLSGCRVLEDLKVVRWGYDILKTFKIMVPTLQRLTVEDVLINGNPVPGPDAGFVIKAPCLKSLAITSKFGSFHSLVKMPYLVKANIKLQHGDSKNLLGCVTSAKHLSLCLKQRMDSYPIGDFSQLVSLKVCTCSLEWYRLILSRAPKLRVLRFLSSSDDVQTQWERPSSVPECLISSLETIEWIGYKRTEAENSELKYLRENSRRLLKTIIRKARSLKPAFFIIGNKINFEILKGLIKLAMDRMSLLPDDLIFKILSFVPSKVSVSTSLLSKRWCSLWKHVPNLRYFDPHINSEYWRASRFIDKFLLLRDHHVSLDSMHLYISQNCPPTDIETWVGIAVSRGVRDLLVLRCRPCFRPIRLPRSLYTCETLATLSLEQAIIVDVPLNICFPSLKSLSLIFVEFPSDETVHRLLSGCCVLEDLKVVRCGHDKVKTFKIMVPSLQRLTLEDLFLYGNPVPGHDVGFVIKAPCLKSLAITSRFGWLHSLVKMPYLVKANIFLKHGDSKNLLGCVTSAKHLSLCVKQVFYPIGDFNELVSLKVCTCSLMLYRLILRRAPKLRFLRFQGLANFLQTPSLNDLQKCYSSSGQVQSEWEAPSSVPECLISSLETVEWDDYEGTEAENKEVLYLVDNSGGQLQTVAVTLSNSDTTRGKRHMILVEPKRRSDSKKKMDDYRDRISRLPDDFLLQILSRLPTKDVVAMSLLSKRWRFLWTLVPKLNFDLRLHDNTCPKFTKFVDRSLLLHKAPTLESLRVKIGSICHNADVDVSVWVRIAVDRGVRELDISYCPAEEPIRLPKCLFPCATLVVLKLENMSLVDASSYVCFKSLKTLHLLDVKYFDEQSLPHLLSSCYVLEDLVVQRCPGDNVKIVSVNAACLKTLTLHKSSQAFEGDDDGFLIAAPKLKRLDIEDYWGGFCYIENMPEVVEANVDVIYKTTERILGSLTSVKRLALCLMTSDAAYPTGTVFSQLIHLELCTCAPRWWDLLTRVIEDSPKLRVLKLRQKHIRRTSSPGASWKQPVSLPKGLSLETFKWELYEGTQKQKEVAKFILKHGVRLKKVIVSPKPSSSLLEKHEMLKELSSAPRGSSTCKLLFD >A07p047320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25430782:25432021:-1 gene:A07p047320.1_BraROA transcript:A07p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIGLLDLEKHFAFYGAYHSNRVNIIIHTLFVWPNVFATLLFLYSTQPILDRSYLGFIEALTFDGVLRLDTGFILTVIYAVFYIYLDNKSGVLAALLCFSCWIGSSFLAARLGHSLTLKVGVASQLLCWTGQFLETSSSALLDNLVQAFLMGPYFVLLEVLQSGFGYEPYPGFKSRVDCKIENDIKEWKEEKLKKKKKLM >A06p022870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11978237:11979887:-1 gene:A06p022870.1_BraROA transcript:A06p022870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glycerol-3-phosphate transporter 1 [Source:Projected from Arabidopsis thaliana (AT3G47420) UniProtKB/Swiss-Prot;Acc:Q9C5L3] MASLMQSEPEKEKKPVGIRFLERIKGSKLSYKAYQAIVLIVTFLAYASYHAARKTTSIVKSALDPQSPDTGVNSLLLRFTSFRSSGQEQENGGWAPFNGPNGTVLLGEIDVAFLAVYAFGMYFAGHLGDRMNLRIFLTVGMVGTGLFTSLFGVGYWANVHSFYYFLIMQMLAGLFQSSGWPSVVAVVGNWFNKKKRGLIMGIWNAHTSVGNITGSLIAAAMLRYGWGWSFVVPGVGIALIGLVNFAFLPVNPEMVGAERDEDVDSSSEKIGDSVSVPLLLSSSDSETDDKKRAVGFLEAWRIPGVAPFALCLFFAKLVAYTFLYWLPFYVSHTAIEGEYLSDETAGNLSTMFDVGGVVGGIMAGYISDRIGARAITAASFMYCSIPALFFYRSYGHVSLLANASLMFLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAVGPLLTGYISSRSSWTAVFTMLMGAAFVAGLLLTRLVMAEVAAKIAESRPSESECRAPVDHQGHVLDV >A06p013420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6084310:6086637:-1 gene:A06p013420.1_BraROA transcript:A06p013420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVVIICLVTLLLFSVSITESIANSQTQRDQDKKGLVRKRHGRVKSRRQNRSRNGEAFATKCDVFFRCVFGTCGQWNFPIVPCPQNPFLPPPQALPPPISPPPLPPPVVTPCPPPPPPPCVTCVEASPPPPPIPVPCPPPPLPPPSPPPPVPCITCVTTPTPSPPPAPCITCVTTPTLSPPPAPCITCVSAPAPPPAQPCVVCTTAPAPPAPVPCPPTPVAPVIPFVPIPIILPPLPPLFPTQPPPTPSATPSPVPILPPPTPVLPPPTPSATPSPVPILPPPTPVLPPPTPSATPSPVPILPPPTPVLPLPPPSAPLPPPLSSSLPSPPLPLVLSPPPTLPGGSVTLPPFTMTPPFLGGGGPGIISSPPPLLGGGAPGITGSPPPLLRGGTPGTISSPLPPLFGGGIPGITGSPPPPLLGGGTPLITGSPPLLGRGNPGTTESPSPPPILGAEVPGTNDTPPPPLVADLPPVTWFSPPDTTNGSPPPSSVIILPPPLDRSTLTPPTSQFPPPDITTGTPPPAPDTPSLLPPPPVDDFPLIPSPPDLSTPDFSPPDVTIEPPIDQSTPPPPILPEILPPPVEVFPPIIPPPVQDPPPIIPPDQTTPEFPPPDETTEPPIDQSTPSPVVLPVILPPPVEDFPPVIPPPVQDLPSILPPPVQELPPILPPPVEDFPPILPPPVQELPPVFTTPPIVQDPPTVPVFSTPPALGDLPPQTPVFTTPPEVTNPWLPPEQPPVTSNPTIPENPYPNPDMGSNQPVVQLPAPSWDSPPFNR >A03g509330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30344785:30346771:-1 gene:A03g509330.1_BraROA transcript:A03g509330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSPPVSSDLTTEAPPPPSSEVTPTASPAPSPRKATPSLPSSMTPSSLTPIVSPAPHRVRRGLCLRKKVMVNEILVSSDTENKGQSLSLLTQPRKEVSAHDVALSPMENKGSPLMMMLEARLSEPVISHILQEILKILEKGHHGQIMLDDLMVDEHGKIQVKKEYNKVSVDEPDQIWGFVMVAIHLAHGKSPFVPFNPVKLIQLTVKNEYVLFYEKSFSEAFRAVLSYCLTKFELPPLNHSFFNLASRQQFEETLQTARTMVPQEETYVFPTGSSKVEVSKRKYLESFSSWKQEVKNISFKNQDPRRKGGYVGRTVVKRFMAKNQESD >A09p048990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43428017:43430845:-1 gene:A09p048990.1_BraROA transcript:A09p048990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRNGKSPVSSDPDEQVMFFKDVSLGPHETQLRFRLIHFWEARNPVKKTLIGLEMLLIDEQGTVIQGFIPPGRIKKYLPDMKRGSVYKLINFYGSKNKPVYRVADHVATVSFAWNYEMSVLREIPISFDEDRFRFHSYEDFEANCDLKGDLYGKHISFTNLSYIALYVVFRLFSCLDVVGHMKLVDGQTLIERPSLDHAKIATTRHIMVHVQSHKGPVMKLYLWDQAATDFCEKFNSCENTPTVLLVTTVNTKRLGGTLALTSMSSTRVFMDYDVQPTRDYFTWLGSNPEIANQVSADVITKRETLSIAEIFSYMTQESAKAAFFECTATIDDVVHGYPWYYIGCSGCHSKATNGPSSLICTNPKCEKVNTDGVAQYRAKISVYDNSEQAFFVLLGDAGRELTGRHASELVSSYFEANKSEGADHEVPVPEALISTIGQTHKFCVKVTDHNFSGNTRAITVTKIVSLDPTPPTEASVRNDIAAMSEEAAQTGNDVCGPLKGRGVSGDEESKRMCSSADPELTPKM >A06p010160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3627340:3629091:1 gene:A06p010160.1_BraROA transcript:A06p010160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSAFGFNQMLSSKHHNNPSSSSPMVLGTRAFPTNSKTTGGLIRCELSSSSVSALQQLKESAIDRYTKERSSIVVIGLSIHTAPLEMREKLAIPVAEWPQAISELCALNHIEEAAVLSTCNRMEIYVLALSQHRGVREVTQWMSKRSGIPVSDICKHWFLLYNKDATQHLFEVSAGLDSLVLGEGQILSQVKQVREKLRNGFGMVIPGLFEKAITTGKRARAETGIASGAVSVSSAAVELALTKLPPGSASSATMLVVGAGKMGKLVIKHLVAKGCTRMVVVNRTQERVAAIQEEIPSGVEIIYKPLDEMLACAGEANVIFTSTASETPLFLKEHVESFPLPADARLFVDISVPRNVGSCVAELDSARVCNVDDLKEVVAANKEDRARKAMEAQDIIIEESKKFEAWWDSLQTVPTIKKLRRKTDRIRADSVVKFMSKYGKDMDKKTKEAVEDLTRAMVNKILHGPMKHLRCDDTENRPLPETLENMEALNRMFELELELLEEKIRAKMDQK >A06p052180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27602261:27603027:-1 gene:A06p052180.1_BraROA transcript:A06p052180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAGAPPHRGGSSSSSAYFYQSSSSSSSSGSCRVLVVKMGGKSKNHQSPSSKQDSEPEPPRIKSNVKHNLQLLKLWKACNSEFQNRGSGTAKPATSYRKKKAEKDELPDDSELYRDPTNTLYYGFTARIRACWKMQFRSCLLTVIMYAGTG >A04g500670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1908734:1910387:1 gene:A04g500670.1_BraROA transcript:A04g500670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGWDLDFAYDDGSGMSEVPILDFDDFFAGLPSGFDAPPPTNESGRLKVIAEGSRIINGVSSQEFWRLSLSIPFPACNMLIGSAELAWLGHIEASHREAMVYRFKAEKAERDLARMQDEILKRDAQLARHLARMQGEILKRDAQLARHHARAVRKAEWKGKREIVEVMKTRAFQFQIEYGNLKNAFTLVGDYRECRGSVGSLWKTQADDYVFEKEMRLMEGGMKEHAHAETLIPPIDGRSQGFGISIPVSPGTEAGRRLFIYPTFVAEYGFYLSFSVECGFYFETLHEHVLALSFTGTGRWWLRIPTSLYIMDISCLEMSETNAMGLGQDLGLLSTSGMFVGANRQAGCKYLSGFYGHVRTIICRVSNFNLATSRSCFEDFTYILGA >A08g505750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9820576:9826623:-1 gene:A08g505750.1_BraROA transcript:A08g505750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPEGDWNCDFGRRGKDAVDEVFDVSELYRKPEDGLGTEPVLKKHKEKFEEMEIGARVELLSDEANSVTVETEPILKGIREEKETAKGFSDDNKGRVELLENEANLISVEGLDEGPEEAAKCILFLFMFLFQKHWEGEDEASVSVSVSEADESWTQSKPGLVFTTKRSGLVFIYQDHSLFKERQEWLGKGVCFDDLNLVSFLLDPIKKAKRSFPHGADQLKRATEEQLKGAGSSRQHQKQERLKK >A09p003900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:2238406:2239650:1 gene:A09p003900.1_BraROA transcript:A09p003900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKHHRKKCIHCRRGYSPVDIQRSKSVRHPSQRSDDSPHMVPLSSSSFGSLKLCDYSFGQDHKDLLDFSGKLVADGTGDGFGPKEVRERPDKERSILEMQAKLMEAKVWSSMMSEKIPKIVPKTPILTPPGEPETINTWEMMDGLEDGLRSPNHVSSFSFEVARGGDCDLKPLWLQMEEEGLDDFDPEIISSFRKSLQELPSNHPFHISVHDLKLNPQFEFSDEEEEEEEAVGKDKMILYFTSLRGIRKTYEESCDVRVILKGLGIRVDERDVSMHSGFKDELKELLGDKFSNGVGITLPRVFLGRKYLGGAEEIRKLNEDGKLEKLLRGCERVEENRDGNGLECEACGDVRFVPCETCSGSCKVYYEDEEEEEDDDDGDESVKEGREYGFQTCPDCNENGLIRCPLCCV >A03p048170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22042991:22055555:-1 gene:A03p048170.1_BraROA transcript:A03p048170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EDTS5 [Source:Projected from Arabidopsis thaliana (AT2G13810) UniProtKB/TrEMBL;Acc:A0A178VQ02] MVSLTFFSSASSLSSSPSKIVKSSLDFELKKLGGCTRLVRNVNLEKLKNNYLFPEISRRELEHVKKHPNVQLISLGTGDTTEPIPKQITSDMSNFAHALSTVEGYRGYGLEQGDKVLRKAIADTFYGHLHVKSNEVFVSDGAQSDISRIQLLLGSGVTIAVQDPTFPAYIDSSVIIGQTGNFHEATKKYQNVVYMPCGPQNSFFPDLSKTPRTDVIFFCSPNNPTGYVASKKQLHQLVEFAKTNGSIIIFDSAYAAFIEDGSPRSIYEIPGAREVAIEISSFSKFAGFTGVRLGWTIIPDELLYSNGFPIINDFHRIVTTSFNGASNIAQAGGLACLSPVGLKEIRSVINYYKENRKILMETLASLGLTVYGGVNAPYLWVHFRGSKSWDVFAEILEKTHIITVPGSGFGPGGEEYLRISGFGRRDDMIEASRRWLHKTGPQCKYGETKEQLFISRRELEHVKKHPNVQLISLGTGDTTEPIPKQITSDMSNAYIDSSVIIGQTGNFHEATKKYQNVVYMPCGPQNSFFPDLSKTPRTDVIFFCSPNNPTGYVASKRQLHQLVEFAKTNGSIIIFDSAYAAFIEDGSPRDTLGDQLLQREQKDTNGDSGIARPYGSKSWDVFAEILEKTHIITVPGSGFGPGGEEYLRISGFGRRDDMVEASRRLRSFFNTRTKHFPFLSSASKTN >A02p060250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35967010:35968294:-1 gene:A02p060250.1_BraROA transcript:A02p060250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNVDRDDGLETVLEIPIPEELFSGMGNNVALRCQNMMTWMKAQTADKLSQPLIAARINELRFLLYLVGSPLIPLQVQVGHSVHKPVKDSSIQASTAKYIVQQYIAATGGPAALNAVNSMCVIGQVKMTASEFHQGDDSNVNLKSNDEMGGFILWQKDPDLWCLELVVSGCKVICGSNGRLSWRHSSNQQTPSSTGTPRPLRRFLQGLDPRSTANMFLDATCIGETIINGEDCFILKLETSPAVREAQSGPEFEIIHHTIWGYFSQRSGLLIQFEDSRLLSMRTKEGDVFWETSAESVMDDYRYVDDVNIAHGGKTTVTVFRYGEASANHRRQMTEKWRIEEVDFNIWGLSVDHFRPPAFLLTGN >A01p038830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14782800:14791118:-1 gene:A01p038830.1_BraROA transcript:A01p038830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGNLSVDSSSASHRRTPSRTVTLGHIQPQAPSYRTVYCNDRDSNMPVRFKGNSISTTKYNVFTFLPKGLFEQFRRIANIYFLGISCLSMTPISPVSPITNVAPLSMVLLVSLIKEAFEDWKRFQNDMSINNSTVEILQDQQWVPIPWRKLQVGDIVKIKKDAFFPADILFLSSTNPDGICYVETANLDGETNLKIRKALERTWDYLVPEKASEFRGEIQCEQPNNSLYTFTGNLVVQKQTLPLSPDQLLLRGCSLRNTEYIVGAVVFTGHETKVMMNAMNAPSKRSTLEKKLDKLIITIFCVLITMCLIGAIGCSIVTDREDLYLGLKKSDWEYRNRLAIGFFTFFTLITLFSSIIPISLYVSIEMIKFIQSTQFINRDLNMYHAETNTPASARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGISYGCGVTEIERGIAQRNGLKVHEEERSTGAIREKGFNFDDPRLMRGAWRNEPNPDLCKELFRCLAICHTVLPEGDESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTMVYVRESHVEKMGKVQDVAYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIFERLADGMDDVRKVTREHLEHFGSSGLRTLCLAYRDLDPETYNSWNEKFIQAKSALRDREKKLDEVAELIEKDLTLIGSTAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLINNDMKQFIISSETDTIREAEERGDQVEIARVIKEEVKKELRKSLEEAQLYMHTVAGPKLALVIDGKCLMYALDPSLRVTLLSLSLNCTSVVCCRVSPLQKAQVTSLVRKGAKKITLSIGDGANDVSMIQAAHVGIGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVMYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVFFTALPVIVLGLFEKDVSASLSKRYPELYREGIRNSFFKWRVVAVWATSAVYQSLVCYLFVTTSSFGAINSSGKIFGLWDVSTLVFTCLVIAVNVRILLMSNSITRWHYITVGGSILAWLVFAFVYCGITTPRDRNENVYFVIYVLMSTFYFYFALLLVPIVSLLGDFIFQGVERWFFPYDYQIVQEIHRHESDASKADHLEIENELTPQEARSYAISQLPRELSKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASMRSRPTVPKKK >A03p012160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4840210:4841403:-1 gene:A03p012160.1_BraROA transcript:A03p012160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNVPRELEDEILSRVPEKSLARFRSVCKQWNTQLVDETFLEQHSSRMDYNYDDYGDQHRIIINNACSRLSSLDMVGPSSASIKDISLINRKYPHEMRKRIKVYKIVHCTGLLLCVMDNQLLVWNPMLKETRWVKCGSDFHCFDDAYGLGFVRQSPPPTHRSYKIVRFRCARNDRDRPPRVEVYEFAYNSWKVIADITFDWHLKLPLSNVSLGGNPYWIGLRQNDAFIQSFDFSKERFQPLDQQLPFGYNEHNPIALETFRGNKLSLLEQCHLTRKISIWVRHLITPWTILMVVTIPHFPMLHQPPPPQVKIRAWLYRSRFSISYFVDRNDETLVVSVFDEGPRSVSIYRVRNKEFQRVFVDTAGNSCSYVPSLAHPHGSSKQDTTRIRYRKNRFN >A06p043650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23472277:23473881:1 gene:A06p043650.1_BraROA transcript:A06p043650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCVGSKMSMLYIIVAIIFFASLLAAKNTRKTKKNLPPGPPRLPIIGNLHQLGSKPHRSMLKLSEEYGSLMSLRFGNVSTVVASSPETVKDVLKTFDADCCSRPYLTYAARLSHNLNDLAFSPYSKYWREVRKMTVLELYTAKRVKSFRHIREEEVVSFIDFLKQSASLANPVNLNKKLMKLSGSVICRVGFGMNLKGSKLENTYEEVIQGIMEVLGSFAAADYFPVIGKLIDRITGLHSKCEKVFKAMDAFFDQSIKHHLEDESLEDDIIALLLKMERGETSLGEFQLTRNHTKGILLNILIAGVDTSGHAITWVMTHLITNPRVLKKVQAEVRQVIRNKNHITEEDIERLEYLKMVIKETFRISPLVPLLIPREASKDIKIGGYDIPKKTWIHVNIWAVQRNPNVWKDPEAFIPERFMDNEIDYKGLDFELLPFGSGRRMCPGIGMGMALVNLTLTNLLYRFDWKLPDGMDAKDVDLEESYGLVCPKKVPLQLIPILTQWT >A02p009450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3989739:3992431:1 gene:A02p009450.1_BraROA transcript:A02p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVLISFPTFDSLHLFVSDMEVMKERFARLLLGEDMSGGSEGVSSALALSNAITNLADSMFGEQMKLQPMYPETKEIWRKELDWLLSVVDHIVQFVPSKQMAKNGAITEIMVTKQRDDLLMNIPALRKLDSVLLETLDNFKDQKDFWYVPRDVEDTENNGDWRRDDNWWLPVVKVPSDGLSEESRKLLHSQKDSVSQVLKAATAINAVVLSEMHIPDNYIDSLPKNGKTSLGDFLYKNITDEYFDPDYFISFLELSTEHKVLDLKNRIEASMVIWKRKMNQKEKDGKSQWGSSVSLEKRELFEVRAETILVMLKQQFPRIPQSSLEISKIRNNKDVGQAILESYSRVLENLASKILSRIDDVLEADLLVQRQMMAEAERRSESEGGYEYEESEKGLSAETPNSRKLSDFIGWRLSSDTKKHSSMSDIEFFYRTEQEKPMMKSPRALPKKLSYLSKLENMRSTSERH >A10p028650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17745329:17749064:1 gene:A10p028650.1_BraROA transcript:A10p028650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELITYYLKRKINSREIELEIIPEVDLYKCEPWDLPGKSLLPSKDQEWYFFSPRDRKYPNGSRTNRATKGGYWKATGKDRRVSLRDRAIGTKKTLVYYRGRAPHGIRTGWVMHEYRLDETECEPTAFGMQDAYALCRVFKKIIIEGKPRDQHQQHHQPYAHTSSNISRSSSFDVGSDLEISSNTHQVLPYNNVTETQPIFGNAFGDHDDRSQYLSQNMAPSFSNYESPYGPYLNQSKVYTETECGMLQHQMSMPPLQVENTPVPTSIFSNGMNQNSGQCGFDDFTFATSNRNQLYNSNGDDHLIHIGNLEEQLLSAGHSTWMNMSNGSLNQSFAEDVEVLPSFEENDQDIEYFGRSETSTLNNIEIDEFFSFEDRVEDTDKSNITLNSSGSGMVEEENIVDRKMLICTRQTTQVLYHQVVPSQVLKVRINLVGGNEDRRLFTEEEGKDSWFRKAENVAKMKLKQISLVAKHYYQTIAQECGVARFSNSITAVSLSHYAVLSIDGSSQSQLCDLHTGVVARRPNYSEAFYLLSNVCSHTFWLNESDDCTFMSLTITNRWARHALSSNFILSASLEVKLELEIHQVFLVSFVGFKADCACFSVKSSHSEASNLKFLPIIIPTAFIGVSTSFSTINCSFGIKIEYCSTVELSYGTFYFNCKRCFLSFL >A09g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24127746:24128714:-1 gene:A09g508570.1_BraROA transcript:A09g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYEPARGLDPFQLAAGRDWLQRFRPEFLAGLAETETGEEVAIKKIGNAFDKIIDAKRTLREIKLFRNKDHENVIAVKDIIRPPLREKFNDVYIVYELMDTDLHQTIRSNQSLTDYHCRFQNWFPCYIYSYMLLNANCDLKLGDFGLARTRRK >A09g519180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59018166:59018569:1 gene:A09g519180.1_BraROA transcript:A09g519180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNQKKFNPKSICLPQLTRSDMQQKRWRTYNIATDLNLIANMKEMRLWWSFMPITLTNSNDSPLNSESAHSLDEPMTSDEFEWKTMPPQWMEEQYTVESAA >A10p002560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1326433:1328589:-1 gene:A10p002560.1_BraROA transcript:A10p002560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDQTISSSGGYVQSSSTTDHVDHHHELESFNPPPAKKKRNLPGNPDPEAEVIALSPTTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEVRKRVYVCPEKSCVHHHPTRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTVFSRRDSFITHRAFCDALAEETARLNAASHLKSLTSNNLNYHYLMGTLIPSPAPPPPFPFGPPLPLQPHHFPITTNTFDHHHHDVMKPASSLSLWMGGNNNHHQVTVDEGISPQDDYNWVFGNANNRGELITTSDSLITHENINIVSSKENANAATSLSVPSVFSSVDHITQDANAASSAVANMSATALLQKAAQMGVTSSTPTTITTNQSTFHQIVEEGGSDKFFALFGPNSVGLMSNNGLQHEIENPRNGVTVASGIDELQNYPLKRRRVESGDAGGGGQTRDFLGVGVQTMCHSSSINGWI >A06g502040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7312566:7313355:-1 gene:A06g502040.1_BraROA transcript:A06g502040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGVTRSKVCFQGREANRVADKIAKEAISLEISAPKLYTVMPIWLKTYVENDLLLLGLGRHQLNSSLPKSKKCNKPPTRGIFDKV >A05p001420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:232656:240914:-1 gene:A05p001420.1_BraROA transcript:A05p001420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein KIN-14I [Source:Projected from Arabidopsis thaliana (AT2G47500) UniProtKB/Swiss-Prot;Acc:F4IL57] MTTEEGGMRFTVASVMEDVLQQHGNGLRDHDLDSRRAEEAASRRYEAANWLRKMVGVVGAKDLPAEPTEEGFRLGLRSGIILCKVLNKVHPGAVSKVVEGPCDAVLVADGAPLSAFQYFENVRNFLVAIQDMGFPTFEASDLEQGGKASRIVNCVLAIKSYDEWKQSGGIGVWKFGGGIKPPSLAKASSFVRKNSEPFMNSLSRTSSINNEKSPSESDSNNLSNSGSLSTLVRAVLSDRRPEDVPKLIESLLSKVVEEFENRVTNQYKLAKAAPSESTSSLNSRSFHKPVGAREREEKSFRAIKKDETNQKSLVLDEELKNRQFKQLTIFNQQQEDIEGLRQTLYTTKAGMKFMQKKFQEEFSSLGMHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQSSFSSTIGSMQDDSIGINTASRHGKSLKSFSFNKVFGPSATQEEVFSDMQPLVRSVLDGYNVCIFAYGQTGSGKTFTMSGPRDITEKSQGVNYRALGDLFLLAEQRKDTFRYDIAVQMIEIYNEQVRDLLRVDFVCFSFIFLNVFDTLEIRNSSQKGLSVPDASLVPVSSTYDVIDLMKLGHKNRAVGSTALNDRSSRSHSCLTVHVQGRDLTSGAVLRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAHKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADAVGETISTLKFAERVATVELGAARVNNDTSDVKELKEQIATLKAALARKEAVSQQNNILTTPGGSEKHKARTGEVEIHNSSSIMTKKSESCEVEEITVNSPPWPPVASPGQTYREEDPSFGSSEWVDKVMVNNRQDEMRRVESLWGGGMTDNGISVLPEDFYRRDVSSDSSRIFSEHSYNIFMGNNNSADDLDAATSESSEPDLLWQYNQSASKMSSTTSTIESTKAKKTVSRPIRSPQLRNSNTVTRPLANGSRGTKQVGLAADMKRKASKKNPMAIYVASRRLSARTTAATLRYATALRSYSTSFREERDTFGPIQVPSDKLWGAQTQRSLQNFEIGGERERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGRKRGEKCVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPSLKTLHSTLDSKSFEFKDIVKIGRTHTQDATPLTLGQEFGGYATQVKYGLNRVTCTLPRLYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDACVETSGSLNTIATSLMKIANDIRFLGSGPRCGLGELVLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPVIASALLHSVRLIADASASFEKNCVRGIEANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALKLGVLTSEEFDTLVVPEKMIGPSD >A08p028920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17989901:17994443:1 gene:A08p028920.1_BraROA transcript:A08p028920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:Projected from Arabidopsis thaliana (AT4G25010) UniProtKB/TrEMBL;Acc:A0A178V6E7] MALNVLAFTFGIMGNIISFIVFLAPVPTFVRICKKKSTEGFQSLPYVSALFSAMLWIYYAMQKDGSGFLLITINSVGCFIETIYIVLFITYANKKARISTLKVLGLLNFLGFAAIILVCELLTKGSNREKVLGGICVGFSVCVFAAPLSIMRVVIRTKSVEFMPFSLSLFLTLSAITWLFYGLAIKDFYVALPNIMGAFLGAVQMILYIIYKYYKAPKTDDTEKPKTVSGHSIDMVKLASTPASGDLKAPPQTHGGDLEEKGKLGMRKKFVKGKLDIWWTLHLSSSSPHQKIRTRNSLERNTERGRASYYSEVSKSTDMPEEESVDIKFRLYDGSDIGPFHYSSSSTVDFLKQRVVSDWPKGKTVVPKGINEVKLISSGKILENSKTVGQCKTPFGEVAGGVTVMHVVVQPSLAKTKTEKKVDKAPKAVICTCTIL >A06p047850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25356527:25358331:-1 gene:A06p047850.1_BraROA transcript:A06p047850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSIKKVAEMEQGDGEVKKRVAFVLIDGLGDVSIPKLGYKTPLQAANIPNLDAIASAGINGLMDPVEVGLGCGSDTAHLSLMGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEETGIVVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLLLQAKPLDESEEANHTANVVNELSKEISRILVTHPVNAKRLAQGKNIANLVLLRGCGIRIEVPPFEEKHGLWPCMVAPTKIIAGLGMSLGIDILEAPGATGDYRTLLTSKAVAIANALSAPLSPCPNVFVPGEDGHKPGRSGGYDFGFLHIKAIDDAGHDKATMFKVKGLEAVDKAIRQLAKLLWQAESCSDYQYFLCVTGDHSTPVEYGDHSFEPVPFTMCRLREFVSAVGGESALLETSLEPFPLPTVVECGEDVAGKEEDGGRKETPTAVGGDSVAELSEIAAARGCLGRFHGGEMMGVITKFLKLEV >A09p006000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3203451:3208076:-1 gene:A09p006000.1_BraROA transcript:A09p006000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRNVFPACETMCVCCPALRPRSKHPAKRYKKLLGEIFPKSPDGAPNERKIVKLCEYAAKNPIRIPKIAKILEERCYKDLRSEQMKFINIVTEAYNKMLCHCKDQMAYFATSLLNVVTELLENSKEDTPTILGCQTLTRFIYNQVDGTYTHSIEKFAHKVCSLAREQGDEHQKQCLRASGLQCLSAMVWFMGEFSHIFAAFDEIVHAILDNYEAHMIVQTNEDREEQNCNWVNEVIRCEGRGGGVSGCNSPSYMVVRPRTARKDPTLLTKEEAEMPKVWAQICLQRMVDLAKESTTLRRILDPMFSYFNSRRQWTPPNGLAMIVLSDATYMMETSGSQQLVLSTVVRHLDNKHVANDPELKAYIIQVAGCLAKLIRTSSYLRDISFVNDLCRHLRKSFQATSRSVEEEELNLNVMLQNSIEDCLREIAKGIGNAQPLFDMMAVLVEGLPSSGVVSRAAVGSLLILAHAMSSALSPSMRSQQVFPDTLLDALLKAMLHPNVETRVGAHEIFSVILLPSSGQTQAGLASVRASGYLNESKNLRSDTTSAFTSIAARLDKLRKEKDGVKIEKNGYNEDLKNYKSSPNFHKLNSMIDRTAGGVSLADMLPSMMKFTEDQMGQLLSAFWTQATLPDNLPTSIEAIAHSLSLVLLSLRLKNPDDGLVVRAFQLLFSLRNLSLDLNNGTLPTVCKRLILALSTSTLMFAAKIYQIPHICKILKSQLPGDVDPYLFIGDDLQLHVKPQANMKDFGSSSDSQMATSMLFEMRSKVELSNTIITDVVAKNLSKVSKPLKPVLAVQLQEADVQMQLSEPFTPDDAFMFGSRPIVESGPNQSISKESLSFDEDVHAGSMVEDEVTSELSVRFQPRGSPSSSTPQVISIGQLMESALEVAGQVVVSSVSTSPLPYDTMTNRCETFGTGTRQKLSRWLATENRQVNGLYRNSSEESSALEKVTEDGSIYGRECGVFQDTWSMMSLPPASPFDNFLKAAGAGR >A06g503800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11769429:11769684:1 gene:A06g503800.1_BraROA transcript:A06g503800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLDNKIEKIENTPLMPVTNNRQVRNLIELSKTHIVRLCVSSLRQIH >A09g503500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11088190:11089178:1 gene:A09g503500.1_BraROA transcript:A09g503500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSVWVLHRKHEVKRHRGRVTTGIHMDQEEQFKVELFESITKRNKFREFSKSSYQETKSKCCWYCHKRAQEGLSYRELKFLVKSGTVVGVYISGRGGVMQVRELQVSTTESVSGIVTGFVMESPKGRSMNKFGTTQGCEVLGKILNKMCVC >A01p057320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32442604:32451753:-1 gene:A01p057320.1_BraROA transcript:A01p057320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTILVSIPLVDLALCLYIRKTRISPPPPRLLNHRSNPRAKDTHKMAMARATSGPAYPERFYAAASYVGFDGSDSSAKHVSSKFSDDTSLILYALYQQATVGPCNTPKPSAWRPVEQSKWQSWQGLGTMPSIEAMRLFVKVLEEENPSWYSRASNDIPDPVVDVQISTTKDEPVVENGNSFSETKTVSAENGHLAETQDKDVVSEDPNTVFVYNQWTAPQTSGQRPKPRYEHGAAVIQDKMYIYGGNHNGRYLGDLHVLDLKSWTWSRVETKVATETEETSPTLLSPCAGHSLIPWDNKLLSIGGHTKNPSESLQVRVFDTHTCTWSMLKTYGKPPVSRGGQSVTVVGKTLVIFGGQDAKRSLLNDLHVLDLETMTWDEIDSIGVSPSPRSDHAAAVHAERYLLIFGGGSHATCFGDLHVLDLQTMEWSRPAQQGEAPTARSGHAGVTIGENWFIVGGGDNKSGASESVVLNMSTLSWSVIASVEGRVPLASEGLSLVVSSYNGEDVLVAFGGYNGRYNNEINLLKPSHKSTLQQKTIEAPLPGSLSAVNNATTRDIESEVSQDGRVREIVMDNVSPGSKVEVNNEHIIATLKSEKEELEASLNKEKLQTQQLRQELSEAESRNADLHKELQSDRGQLQAEQSRCFKLEVDVAELRQKLQTLETLQKELELLQRQKAASEQASTNAKRQSSGGVWGWLAGSPQEKDDSP >A08p013190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8334032:8342057:-1 gene:A08p013190.1_BraROA transcript:A08p013190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDYKEHASLAVAFQAIIVSLSQKSKHLPTLKKDRTALIVRCVRWGYEVLSYGRNMKVILEAPLHDKDCVLGNILAAHYLSSCDPSKVNLYVKSASSKLERSTPYEKAVFETVTYLISEDKDDDLAFEMHIELLKRFPKDLVYLKRAQVLSFQMAKPVPFLNLVQQVLPANQDESYIHGMLAFPLLELGRMEEAAAASRKGYGINKEDALAHHCFCHVLQHKCHFKEAVECTHNWWHVALCYLEGGSPMSKVKEIYDNHIWKELEKEDAIPPEVYLNALGLFLRLDVRDVLDGFKDRLELLAARLTDQFRKAVCLPPSGFTLNILPWICWVLWTSRNALVFEDKRSNPEDIALKGLRLAKDWMEAQGKTSESKIPPKPKESKDRPPDSSENPPPIVCATDAAWNASRKTAGLGWTFSGPSLTATTQGSRIQASVNSPLIAEALAVRTALYMALTLDFTNLKVCSDNSTLIRAITSKSQSKEIIGIVSDIQVISSEFTSISFSFIPRSENSVADGVAKADWQLDILIVWALAKVGETSMAHELLEGLKFRLSKMNKKKQQVMQKAIQLGEAVYEYAKGNYKQALCLLGSDFNAIDYKATKMFGTALLMCAAKEVIRKRIKVREGSPFTWCLLEKSYAMEGDAEALNAGQRAKMLESSYF >A03g500660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2100338:2101059:-1 gene:A03g500660.1_BraROA transcript:A03g500660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQRIFSFPFYPFLLKGYFSLLASLCQTALIPIVGILKSRVQLYLILLVRYCPLWALEAGPHGFTFGFFPKRPRFLRRIIFGTTLYQLSQGFLLECFSHNIVEIVKSRVQFYLILLTFLCLISDVGLNLLSHILSLKLRTTFISYVSFITSPFFENVLPQHHRFHDLLTNLCLTSFFFFKGFRSYLKGILVFLQIFINCSDTNCWDC >A09g516280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48074303:48074662:-1 gene:A09g516280.1_BraROA transcript:A09g516280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAMTKNRVEACEAEDLVLVVCVLFCRMNLYKETRWGITGAGNQYQLPLLICDVMHIAFSGC >A01p050880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28604398:28605772:-1 gene:A01p050880.1_BraROA transcript:A01p050880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSISRSVPKLLTRSFASSAPLSKTPAAPSLLSRSRPLAVAALSSVLRGGFVSVKGLSSQATASSLKDPSPNWSNRPPKETILLDGCDFEHWLVVVDPPQGDLTRDEIIDGYVKTLAQIVGGEEEARMKIYSVSHRCYFAFGALVSEDLSHKLKELPNVRWVLPDSYLDVRNKDYGGEPFIDGRAVPYDHKYHEEWIRNNARANDKNKRNDHRPRNFDKRRRENMSGGSPPQRPPMGGPPPPQNFGGPPPPPNNMGGQRPPPNFGGAPPQNYMGGQRPPANYGGAPPPNYGGAPPQINMGGAPPPNYGGAPPPNYGGAPPQNNMGGGPPPNAGWSGNNNYQQQSGGMQQPQYQNNYPPNRDGSGNPYQG >A03p028330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11880657:11882989:1 gene:A03p028330.1_BraROA transcript:A03p028330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRWNSRGLRSVTVLLLNLALAFAFVSAESILKLDSQTRESVRWESDQTGYHHVWPEFEFNWQVVLGTLVGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAMSKCMIMGASVSTVYYNLRLRHPTLDMPIIDYDLALLIQPMLMLGISVGVAFNVMFPDWMVTVLLIILFLGTSTKAFLKGCETWNKETIEKMEAAKRLESNSVSATEVEYVPLPAAPSTNNGNNKKQQVSIIENVYWKELGLLVFVWVVFLALQIAKQNMATCSIAYWVINLLQIPVAVGVSGYEAVALYQGRRIIASNGQGDSNFTVGQLVLYCTFGILAGIVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVIEYYLLKRFPVPYALYLVGVATVAALVGQHVVRRLIAVIGRASLIIFILASMIFISAISLGGVGIVNMIGKFQRHEYMGFENICKYSG >A01p013690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6680392:6684498:1 gene:A01p013690.1_BraROA transcript:A01p013690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIVISEPGAPEVLQLREVEDPQVKDDEVLIRVHATALNRADTLQRLGSYSPPPGSSPYPGLECSGTVESVGNSVSRWKVGDQMCALLSGGGYAEKVAVPVGQILPVPAGISLKDAAAFPEVACTVWSTVFMMGRLSPAESFLVHGGSSGIGTFAIQMAKHQGVRVFVTAGNEEKLAACKELGADVCINYKTEDFVARVKAETDGKGVDVILDCIGAPYLQKNLDILNFDGRLCIIGLMGGANAEIKLSSLLPKRLTVLGAALRPRSKENKAVVVAEVEKIVWPAIEAGKVKPVIYKYLPLSQAAEAHSLMESSSHIVRRAAESILEEQDQNFVKYEFVAATMVNESTRAYTAHPRSFVLGQRRPLHRLQNSRGSQMFVSANKKKRRYLLLISDSDNNIFSNALKSYFEEETVAAVKKLLPESAQGDLASVCSWPDEIKHHWQWRWTSPLHYVDTPDYRCNYEYCRDCHDTHKHQDRCVTGAIFNYTTQLMSGSDNSRRIVHYNLTEALMFLSHYIGDVHQPLHVGFLGDEGGNTITVRWYRRKTNLHHVWDNMIIESALKTYYNKSIPLMIQALQANLTHGWSNDVPSWESCQLNQTACPNSYASESISLACKYAYRNATPGTTLGDEYFLSRLPIVEKRLAQGGIRLAATLNRIFSSKPKLAGA >A09p054970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47166915:47167812:1 gene:A09p054970.1_BraROA transcript:A09p054970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFFRSGRLLGSLLGSLLKYNVLDFEVFQEMVFIFHLDMNVVCSIKIFQILIWICRFFRSETLLGSLLRHFLKYNVLDVFQKVFQMTSWKSSSI >A02g503200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10630435:10631017:-1 gene:A02g503200.1_BraROA transcript:A02g503200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSFSDLQTGHSSSSVEDGLLRFWESRIFHRGRELMGVDMLLLNSQRRKLKGGLPGRSGSSTAKILGKDMESRQKGLLSGEGTPESVSGRQDRVYSVLKRGRR >A04p027620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16631155:16631965:1 gene:A04p027620.1_BraROA transcript:A04p027620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERKSAKLNHQDSDEQIHHQNSHLASFKFAKLFDSEASWDKDQLGDVLHWIRQVVGLLCGLLWGAIPLVGGIWLLLFLAISSGIVYGYYALILKIDEEDYGGHAALLQDGLFASLSVFLLAWILVYSLSSF >A03g504660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16677664:16678420:1 gene:A03g504660.1_BraROA transcript:A03g504660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTANSILRLNASIKLSRQSPVQTAPQGNKPVSKLKLKLGKESEKFSPFIERRHGNKVLSTTEEEMRVVRRQHDLTSFRWLRAERRSGFPPTSDANFTNRWLKAGRRSGFPPTSDARFKNSCEIRRRPDMGDGKDGAGERNIWSPEWSPTYIGRRIRRIDINCRERNMCSDSRPDSGEIQTIQQDNKNFKSPQLE >A09p070980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54920156:54922676:-1 gene:A09p070980.1_BraROA transcript:A09p070980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLEQNLQDIEEKVQYVLKLLQEDGDSFAKRAEMYYKKRPELITFVEETFRAYRALAERYDHISTELQNANTTIASVFPDQVPTFAIDHDHEDEDGDQKVDKRQNLSGANAPNAPKLPDKDLKAAATKKLQQRKSMKYTGGATNVVFKSSGLSKSEAKEEIDKLQKEILSLQTEMEFVKSSYERGLSSYWEFEKSVKEKQERICCLRDEFSEGVVEIEDEEARRLMTETAVKSCREKLAELRERWEKSCGEAGEERAKLKESRERLRSMSSRLLGEETVVFAKEDDEEMAERVDELVNKVISLEGAVSSQSALIERMRNESDGLQMQISTLETDKAVLADDKSDLRRKLKEMEERLEAVQDLERNVMDKSSNLHRDFDEACSNLDDFSTGKLHEVKAESESEQTHDVITVAEDIITLRKSPQHVVESTEKVYSEKKESMLLDNVLEKQMSFKGSDNTSNSEPDWKEMFTKGMENREKHLLTEYTTILRSYKDIKKTSDETETKLKTENATKDDEIKLLREKMSLLLLQKDLSNTNDLSETTRLSNDDYSIRITAVENENMSLVEEQFRLNIDELLEENLNFWLRFSTAFGQVQSYDTSIEDLQGEISKLEGCSSRAVRSDVRPLYLHLREINTDLGLWLEKGAALKEELKARFESLCNIQEEITKALKSSAEDDDFRFTSYQAAKFQGEVLNMKQENNKVADELQAGLDRITVLQMEVDRTLGKVNDEFDLSGSKKGSDPGGFQHSDSRSRVPLRSFIFGSKQKRAKPSIFSCMHPSLYRKMKAST >A08p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:296643:298948:1 gene:A08p000500.1_BraROA transcript:A08p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation exchanger 6 [Source:Projected from Arabidopsis thaliana (AT1G55720) TAIR;Acc:AT1G55720] MEFDDEAEHRRLFRVETNSPQIKAVFSLEQGGSLSAKATKNTVIQSFKIVILSNKLNLLLPFGPLAILVHYLTDNKGWFFLLSLLGITPLAERLGYATEQLACYTGPTVGGLLNATFGNVTELIISIIALKNGMIRVVQLTLLGSILSNMLLVLGCSFFCGGIVFSRKQQVFDKGNAVLNSGMLLIAVMSLLFPTLLHYTHSEVHAASSELVLSRSTSCIMLVSYAAYLFFQLKSQPSFLTESEETWDDDEVPEISKWEAIIWLLIFTAWVSLLSGYLVDAIEGASVSWNVPISFISVILLPIVGNAAEHAGAIMFAMKDKLDLSLGVAIGSSIQISMFAVPFCVVISWMMGEQMDLNFLLFETAILFISVIVVAFFLQEGTSNYFKGLMLILSYLIVAASFFVHQDPDQDDT >A09p038020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000141.1:32:490:1 gene:A09p038020.1_BraROA transcript:A09p038020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHVSACWPFPWTVRVLIRVLIRVLNSYQHADHTYQHSGPSRGLSVMLTTHISMLALPVDCPCTDFGQLMHHVSTHISHAGPSRARSGTVTSYQHGATIPSGMLALPVDCSVLLDLGQLIAPCQFTISMLGPLPVD >A09p001260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:968533:970494:1 gene:A09p001260.1_BraROA transcript:A09p001260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cathepsin B-like protease 3 [Source:Projected from Arabidopsis thaliana (AT4G01610) UniProtKB/Swiss-Prot;Acc:Q94K85] MAADPTTKLCLVSVVLLLGLVSSLQGVSADNLTKQKLNSKILQEEIVKKVNEHPNAGWKAALNDRFSNATVAEFKRLLGVKPTPKKLLLGVPVVSHDQSLKLPKSFDARTAWPQCTSIGNILDQGHCGSCWAFGAVESLSDRFCIQFGMNITLSVNDLLACCGFRCGDGCDGGYPIAAWQYFSYSGVVTEECDPYFDQTGCSHPGCEPGYPTPKCMRKCVSGNQLWGESKHYSVSTYTVKSNPQDIMAEIYKNGPVEVSFTVYEDFAHYKSGVYKHITGSNIGGHAVKLIGWGTTDDGEDYWLLANQWNRSWGDDGYFMIRRGTNECGIEDEPVGGLPSSKNVFKVITGSDEISVASV >SC277g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000124.1:30255:31754:1 gene:SC277g500010.1_BraROA transcript:SC277g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELIVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGKCANSPPEGYFTCYEAFVVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTETDMHRLVPRKFMSVVKKFISNFNSWKKFFFFVRLDAASVEENCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALRFVQPGPALATYTGSDSEPNDQNPVEAPTAAPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFAGLPSGFDAPLPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKVEKAERDLARVQGEMLEREAQLTHDHARAVRKAERKGKREIVEVMKTHASQFQVEYGNLKNAFT >A02p056210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33910537:33918309:-1 gene:A02p056210.1_BraROA transcript:A02p056210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPPNCDRGHWSELPMDLLRCLLERLSFVDFHCAKMVCSNWYLCSKQTLGPKVGSPMLIMSQEEGSYCLYNPEEDTFYEAKSNYRFLGSSGKWFLVVDSRSDLHIINVFSNERIRLPPLETVKSSLYKIERLGGDKGFNEFIIIEHEKFSSGNLVTAEDLRAVLWVDEKKGDYFVVWRFEECPYLRFCKKGDVHYHEISTRFDVQSGGLKDVVLKGNSLYVQTEHGYIRHLDLSGQHSFEDVWVINWLPVRMGGYRIISFSNNFAVTTSGEVLSVLAITSESSFERNRTFHLYKKQDTKLIKVDSLGDEALFLDFGITVPADHTLGIEPNSIYFTRDDRFRHKRVSCIDICVYNLATKTIKRFPSLSNLKLKDAQCNRVNDLSFDRPEAYAEVDSYKSPKGMASPKEMSSIKPVISIAVAIVAVYFLTNWLYPSVNPLVYYHQCSAPKYFTGAAIKPNVNSLLSMFVNSASIYTYNNLTVNGNYGLHQCRGDLTSDECVSCVTQAVRLLQSNSVGETGCALQLEGCLVKYDNVVFFGVADKTAMVMSCGTPAGYKYKSDELAQANALVDKVVANSGTSYRVERSGEAQAVAQCTGDLSATDCQDCLMEAIQRLKLQPFCGTSTWGDVYLAKCYVGYSSHGAVGEGSYILFCSSSRKGFGLSTWRPKGICYI >A10p023150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15168470:15175156:1 gene:A10p023150.1_BraROA transcript:A10p023150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FESTRWVGEIFFCFLPILVHCLPIFIILVVVIIILTFFYILVCSETYIGPWFFFMQEFFRDFIIFMVAIMSGTYAQVHFHQETQLSHNSSHGHSGIPNRGGIYGVGNLGLNLGANSGSGLSVQGQNRMLGGHPQGVLTHEKSQCPLLKKGSHLAKNPSALPASTSKPNEKPLIEAPPALQMLEGPPGFPPLFPELSKEDRCSALMYVAHSDTTERMARIERVNHHIEDLRSKKDDALPLFSTDLLKEKGMVFKYDNTGDKLRSISTRCVSQSRSAPVSIKSQELAPDESEQSSSSHLVTEISTGFHMGSSSKSPVSGSLSSQKKPRNRPPAWKRRLRKLSTASQTPSSDQDARGIEEAIARAWTGAELSGDVSLLDRIARCRTELSKLKRWNRNLVLQTFTDEDAARVLVLKPKISQEDDYRWGFTEHGAYSTQKLWRQAQNIVPDSEEVMVNNKWRKPPQGSFKCNIGSSWSNSFNPSGVSWILRDYKGLTTLHSRRAYSGLRSKEEADLHSTLWAVESMRDLRQHHVAFESSSTELRQILLNPHHFNHFHHLVSAIMYNLQAIEGWSIHHTSLECNSAAGAIAASVTTGRRYQSYVASNGPAWLHTLLTAEAAS >A05p052310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32380253:32385752:1 gene:A05p052310.1_BraROA transcript:A05p052310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLLFFIRVAMAQNSILFTAISLLLFSIFSHCQALTSLEALCDSTPHPPVCKSVLPVGSPGSVSGFASIVILKSLEASKHLLASFDQHHPTSGPLDDCQLLAGLTVDQLTRVNVIKETVLGTSEVNDLLTLLSAALTNYETCLGSFHDVTGESSENFVKDRHDILTRVSDGIKLISVSLALSKEAWPIASDASATKPPPRILTEDEKKSSLPEVSYVKVTERERMIYERVKVLGRKLLQSSPGGNGGLKVAKTVVVNPNGINGDAYKTINDAIAAAPTKAESENGYFVIYVVAGVYEEYVTVPSNKSYVMIIGDGIDKTIITGNRNVVDGSTTFASATLAVIGRGFTAANITVRNTAGPTKHQAVAVRNSADMSAFYKCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAATVLQNCNLIPRLPLQGQFNAITAQGRSDPNQNTGISIQNCRITPSAELVSSNFSVKTYLGRPWKEYSRRFTCKISWMGLLMLRDGMNGWVISLYRLCIMEFMNTGPGSETANRVNWPGYHVINETEAVWFTVSNFIVGDYWLPSMGVPYAGGLIMSYGYDDDSKRKKRYVIISISSVLLISMVVAVTVGVNLNKNDGKGDSESNGQITASVKAVKDICAPTDYKKTCEYSLIKNGNNTTDPMELVKTAFSVTMKQITDAAKKSQTIIDLQKDPRTRMALDQCKELMDYALGELSNSFEELGKLEFHKLDEVLINLRIWLSAAISHEETCLEGFQGTQGNAGETMKKALKTAIELTHNGLAIISEMSNFVGQIDIPGLNSRRLLAQEIPSWVDQRGRRLLQAASEYSDAKPDIVVAQDGSGQYATINDALKHVPKKKNTTFVVHIKAGIYKEYVQVNKSMTHLVFIGDGPEKTIISGSKNYKDGITTYRTATVAIVGDYFIAKNIGFVNTAGAIKHQAVAIRVQSDESIFFNCRFDGYQDTLYAHSHRQFYRDCTISGTIDFLFGDAAAVFQNCTLLVRKPLPNQACPITAHGRKDVRESTGFVFQGCTIAGEPDYLAVKETSKAYLGRPWKEYSRTIIMNTFIPDFIQPQGWQPWLGNFGLDTLFYSEVQNTGPGAALANRVTWPGIKTLSNEEILKFTPDQYIQGDVWVHGKGVPYTPGLLAANPNAATTIPSNSAAPGFSSFTDTSGAGSVSPAASPQGSIKMVSKSN >A01p020600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10098051:10098239:1 gene:A01p020600.1_BraROA transcript:A01p020600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVIITELLLEYTAALAKLTAGILPRRRGDGDVVRIGGFSLRCPPRSSPIPDFSSHLVDF >A01p048040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27065371:27070236:-1 gene:A01p048040.1_BraROA transcript:A01p048040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRISPKMTQPLTKVRNNQSEVEEENRSSREPQETMIKRNQENPGGSRRRRCDGIIPSRRQKPAKKYCENHPFPESKVRTFGRLNRNNPKLAFVIDLRDFWRKHRKKILVTTTCLGSGLLIYKLYNAHTRNLADLERELANERHNDELIKAQMKAHFETIQMIADTTTLPHALHHLSSRIVEEINVSSVMEKLSRGKGTLVPSEKLHLWSELKILSFTRMVVTLWSVTMLSLYIRVQVNILGRHLYIDTARGLASSHLLEELDLIDREDEQKFLTSADYLATNSMPSLISHVKSAVKEVLKGKQLKDVLTTRTLEETVIRILGLFMSTGSPHHWIDYLMMPQDTTTDVSSSDATVTKFHLLITETREVLTSNEFTDVVEIALKSCTVALVEEMETQPGLATGIQLAKLLPQIEKTVSEISAVPDKNRFLQLIRDLPQAVMATNNGDVLMLEAAPEASKPWASAANAEVIDALPYIDDDYGNPLIKAEVDRLVEEEMRRSSRKPADFLKELPPLPKFDFENCPVLGKEYERVRAGKPPVRIDFESRYKVELPPASKKNDDAAWKQYLQKNQRSLQQKMIELENLEMMSKQGPELWRQNNHRLEVFLTRMQKLAQEQNEEIEKVNRERKYHQQTTAYELNALSQEWRQLCVKNMEIQSACVVLETKIDAFKKEAAERGWNLEEKLESVKPVQPQ >A09p058950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49487432:49490139:-1 gene:A09p058950.1_BraROA transcript:A09p058950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNENKKSEGEVEGLGLIDVAAEDDSLLFSSFPNPTSYEFSEADEDEKCLNNEKDRTFLRDIDHCNEEILVSSVEEKEDVLQPRESPEPQKVTKSGKYNLRKSLAWDNAFFTSEGVLEPEEISSMMESNHKSERKGLPTIQEDVNRSTESISTFQSDCTVENSQEFVLFEDVRASIQRSAKASDAPTPGKDNELVAIEVAISPTSSTVEVLASQEKMKPKASPKKLSIRANGSGKATKQPVSATRGLNTSVSKPTPNRLGKARLLSTTSTSRASLDVNKTKLEKDSKLPAGKEPSVPRIPISRRPTFPKPAVVKSTLRASAASKNELTSSCSSLESCASAASSSTSHKSALNPIKKKNDSSSRIANRSTSRGIMGQPRIPPQPTKKTTKSNLSSAGSISDCSSESSRASATSQTAKNFQKTVSGANDTVRSLKNSKDASVVQADAKEGTKRVSAINGGLVPPGSMKQSGLRVPTPKIGFFDGGRQGSSSSAGKKVGKSPVQESSNSKTKAAGSRHVVSASSPKLQNKLYSKINAEDQLEG >A05g507050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19894743:19897005:1 gene:A05g507050.1_BraROA transcript:A05g507050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLDGGSPHRNGISLGGACRPMKTAFFCRFLSSTKENSEIPSWWLFSEEAKRWKEFTGVELLSMTGHESGAVSCLVVVTRVEAFVVVMLFSSGHESGSWSRE >A04p040450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22849840:22851903:1 gene:A04p040450.1_BraROA transcript:A04p040450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKVETFNMNRVIEDFEEMSRNADQVQIQTLKDILLKNHSAIYLKNFGINGTTTAPEAFKALVPLVTDFELEPYIQRMVDGDTSPILTGRPVPAISLSSGTSQGRPKFVPFTDELMENTIQLFRTAFAFRNREFPIDDNGRALQFIFSSRQYTSTGGVPVGTATTNVYRNPNFKAGMRSIQSLCCSPDEVIFSPDVHQALYCHLLSGILFRDQVQYVFASFAHGLVHAFRTFEQVWEEIVADIKEGVLTSRITVPSVRSAMSKLLRPNPELADMIRTKCLSLSNWYGLIPALFPNAKYVYGIMTGSMEPYVKKLRHYAGELPLVSHDYGSSEGWIAANVTPRLSPEEATFAVVPNLGYFEFLPVSETEKEPVGLTDVKIGEEYEVVITNYAGLYRYKLGDVVRIIGFYNKTPQLKFICRRNLILSINIDKNTERDLQLSVESAAKRLSEEKIEVIDFSSHVDVTTEPGHYVIFWEVSGETEGDVLQDCCNCLDKGFIDAGYMSSRKCKTIGALELRVVERGTFRKVQEHFLGLGSSAGQFKMPRCVKPSNGKVLKILCENAVSRFFSTAFD >A02p024690.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12660070:12660405:1 gene:A02p024690.1_BraROA transcript:A02p024690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGIDPTNHRLYHHANYISRQYLNSSHKKLETDIISDQSSSVSESCDMRMLPVSSTNSYDSASSSSGHSRLPDLNISLIPAETVVSRPVCGLQESNSNGSTRQETLLLFQ >A02p041930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26300489:26303197:1 gene:A02p041930.1_BraROA transcript:A02p041930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase 11 [Source:Projected from Arabidopsis thaliana (AT5G45280) UniProtKB/Swiss-Prot;Acc:Q9FH82] MVRLKQVWSSVMVLAVVVIGAGAVPITYLESAVAKGAVCLDGSAPAYHFDKGSGSGVNNWIVHMEGGGWCTDIATCVKRKTTMKGSSKFMNKDFGFSGILGGKQSTNPDFYNWNRIKVRYCDGSSFTGDIEAVDPTNKLFFRGARVWRAVIDDLMAKGMSNAQNAILSGCSAGALAAILHCDQFKSILPTTAKVKCVSDAGFFIHGKDISGGSYIESYYSKVVSTHGSAKSLPASCTSSMKPELCFFPQYVVKTMQTPLFVINAAFDSWQIKNVLAPTSVDKRKEWKDCKLDLKKCTAAQLQTVQGYRDQMLAALAPVQATTTRGLFLDSCHAHCQGGSAATWSGAQGPQVGNTKMAKAVGDWFYERSTFQNIDCPSLNCNPTCPAVSTED >A09p047260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41035947:41036528:-1 gene:A09p047260.1_BraROA transcript:A09p047260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNCDLELRLFPTSSCITDSDNSVVESRSSGNSLPKEEETQRLTIFYNGKMCVYSNVTHHQAKSIISMARREMEEKLSSNVSDPRNRSTQLNNYHQQLPNPKASMKRSLQSFLQKRQIRLQAASPYHQHSRQ >A06g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24840996:24841418:1 gene:A06g508770.1_BraROA transcript:A06g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNNQPDHRFPSRLFATDWFPSGRLNNQPTTLLPSTTRQYTAPLSTTHSSTKQPPSI >A01g504040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:12184328:12185536:-1 gene:A01g504040.1_BraROA transcript:A01g504040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIDTDDGRRLSQLSRRLLRILICYRYLREGLFVKASKSDDGFCSAPSITESDEKPKPIYWKSHESNTLSFTPSSCLSDEKLVIYRIKLPGPAIIGEVISIVQFVFVIVVLLPVNKRGLLHSPKPDFCQKVSSFELDDSLVHCVLPKFEVEDRVVQREEVRRECGKGFTSSKALCTWLVTLRERRGCHVLIFFRSRRV >A09p065110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52191749:52193368:1 gene:A09p065110.1_BraROA transcript:A09p065110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTQANLFKPSLFCPRTSQTKRVFNIPSLRTSLRFDYQPRRNLTLRASASSTSTRFSPLLSHRSRLQSRDQRKGPAVCVLGGEDKPDGINGISSQWKEIEKAMGDKSVEDMLREQIRNKEYYDSGNTPPRGGGRGGGGSGGGNGGSQGSSGEGGGLPGLAHETLQVVLATIGFIFLYIYLINGEELFRLARDYFRYLTGRPKSGRLTKVMDSWGRLLERQKVYDEYLLEKPIIKTPSWYESPEKYTRVVKSYADANEDDE >A07p012500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8104274:8107055:-1 gene:A07p012500.1_BraROA transcript:A07p012500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRSARKRRAEAGPPLVPDPREEIGGKEVDVGTLGLGFVGAGFAGIREIGVGVRTAEGASAIEHRRRSAPQNRHEDLYLLLCCAGDDLHLYLSFPNQKRERRWYLCHYLNIISGHRNLYLRCFGVTQPPHQNTPATIFAVTSDLASISALPFRFQLSITIIAAFKIYLILSWTLCLSAAFDRYCCKSMMLHERSSQEESLYSTGLSFFTPEMISDLRYLYVSLVDEGNVFAQVASYGRVLTWGAKIKRCLFSSHCLLKTAQRWLQLLLGTMNCLGHWRILLKNFKRLCFRESLGLYVLSKNYVPTKGSHYTAVKKALEMIKQNISSLSKSEGHDAYHFSLQLTGAPALIGNTICLELLQLRVISRYSKLARLQHLYSQLAEKLRREDDKFNVILAALHPTPAVCVLPAEEARLLIKEIGEILASQTGQIVSLPHLWEEELMS >A02p002660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1113882:1118975:-1 gene:A02p002660.1_BraROA transcript:A02p002660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATENQWLKGRVKAVTSGDCLVITALTHNRPGAPPEKTITLSSLMAPKMARRGGKDEPFAWESKEFLRKLCIGKEVAFKVDYKVEAIAGREFGSVFLGNQNLAKVVVQNGWAKVREQGQQSQGKVSPYIVELLQLQEQAKQEGFGCFSKVPGAAEASIRDLPPSAIGDAGGFDAMGLLAANKGKPMEGVVEQVRDGSTIRVYLLPEFQFVQVFVAGIQSPSMGRRNTNGNVVETVPDESNNGDASGESRGPLTSAQRLAASAASSGEVSADPFGAEAKYFTEHRVLSRDVRIVLEGVDKFNNLIGSVHYSDGEVVKDLGLELVENGLAKYVEWSANMMEEEAKRKLKAAELQCKKDRVKMWASYVPPATNSKALHDQNFTGKVVEVVSGDCVVVADDAIPYGSPAAERRVNLSSIRCPKMGNPRREEKPAPYAREAREFLRQRLIGKQVIVQMEYSRKVTPAEGATTTADRIMDFGSVFIPSPSKGDTEEVATASAISGTQPAGVNIAELLLSRGFGNVVRHRDFEERSNHYDALLAAESRALSGKKGIHSAKEAPAMHITDLTVAAAKKAKDFLPSLQRIRRIPAVVEYVLSGHRCKLYIPKLTCSIAFAFSGVRCPGRGEPFSDEAISVMRRRIMQRDVEIEVETVDRTGTFLGSMWEGRTNVATVLLEAGLAKMQTSFGADRIVEAHILEQAERTAKNQKLKIWENYVEGQEVSNGSTTVETRQKETLKVAVTEVLGGGRFYVQSVGDQRIASIQNQLASLSVKDAPIIGSFNPKRGDIVLAQFSLDNSWNRAMIVSAPREAIQSPDEKLEVFYIDYGNQELVPYSAIRPVDPSVSSAPGLAQLCRLAYIKVPSLEEDFGPEAGEYLHTVTLGSGKEFKAVIEERDTSGGKVKGQGTGTELAVTLIAADEEISVNAAMLQEGIAKMEKRRRFEHKDKQAALDALEKFQEEARKARTGIWQYGDVESDDEDTAPARKPAGGRR >A06p015870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7079049:7080036:1 gene:A06p015870.1_BraROA transcript:A06p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVSNFVVGLANTLVMLVGVSAIGYSIYMFVHQDVTDCESAIRAPLLTTGIVLFLVSLIGVIGSCFKENLAMVSYLIILFAGIAALMVFSVFLFFVTNKGAGHVVSGRGYREYRTVDFSTWLNSFVGGKRWVGIRSCLAEASVCDDLSDGRVSQIADAFYHKNLSPIQSGCCKPPSDCNFTFKNATFWIPPAKNKTAVATNNGDCSTWSNVQTELCFNCNACKAGVLANIREKWRHLIVFNICLLILLITVYSCGCCARRNNQTARKSDSA >A01p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5523317:5525625:-1 gene:A01p011350.1_BraROA transcript:A01p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat extensin-like protein 5 [Source:Projected from Arabidopsis thaliana (AT4G18670) UniProtKB/Swiss-Prot;Acc:Q9SN46] MKTKKMAQIYALFVLHFTFLFSTGLSHSYSLASSNSDLSDKEVHLIRQRQLLYYRDDFGDRGENVVVDPSLVFENPRLRSAYVALQAWKQAILSDPNNFTTNWIGSDVCSYTGVYCAPAPDNPRIRTVAGIDLNHADIAGYLPQELGLLTDLALFHVNSNRFCGTVPHRFNRLKLLFELDLSNNRFAGIFPAVILQLPSLKFLDLRFNEFEGPVPRELFSKDLDAIFINHNRFRFELPDNLGDSPVSVIVVANNQFHGCIPTSLGDMKNLEEIIFMNNGFNSCLPSEIGRLKNVTVFDFSFNELVGSLPASTGGMVSLEQLNVAHNRFSGKIPASICQLPRLENFNFSYNFFTGEPPVCIGLPGVDDRRNCIPARPAQRSPGQCAAFLSLPPVNCASFGCGRSVTPSPRPPVVVPSPPTTPSPGGSPPSPSISPASPPMMVPPSPISPIPAPVSPSSPPSIGPSPPSTPPSPPGSPSPPGVVPFPPPSPVYSPPSPPPSTVFSSITTAVRRSPSSITTAVDGSFSPTITTVDGYSPPPPPPSTGYSPPPPPPSTGYSPPPPPPSTGYSPPSPPPPSTGYSPPSPPPSAGHCPPSPAPPTYSPSPPPPPPTYYPPQPPQPSPSQPPQFSPPPTPYYYSSPPPPPHYWLPPPPHSPPPPVYHYPSPPPPPTPVYSPPPPCIDHSPPPPPPPTVHYSPPPSPVYYNSPPPPPSVHYSPPPSSPPPPVIHHSPPPPPPGYEGPLPPIPGVSYASPPPPPYY >A07p031120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17246278:17253266:1 gene:A07p031120.1_BraROA transcript:A07p031120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 16 [Source:Projected from Arabidopsis thaliana (AT3G60130) UniProtKB/Swiss-Prot;Acc:Q9M1D0] MVRNSMKAEYDLDHLPHQSFYGDNSRTLVPMNKNSQIITKIKPKIRIIHIFAPEIINTDVKNFRTLVQSLTGKPEITKTGSKKKITRTNIPAPPPPLQESRSEHTAEPVNSFIGSHVVKEEWGSCSNTNTYFDLDGLIDLDEENNMFSKNEDYYEWGVEVDEQNKAGQTLGAKNKYESPKFMIPENGHCDMDYEEKIKLSNLMDLQRTEYHMKHLQSIQQAIHYGVRYGLFYVDYNNGLKHFPKMSAMWFKEFLKREEEIEESGKEEYLLKFYEKMRSEGLVFMLLITSAYVGVFAKNHFSRPKLRRSDFPQGFIFGCATSAYQCEGAAHEDGRGPSIWDTFSEKFPEKILDGSNGFIADDSYNLYKEDVNFLHQIGFDAYRFSISWSRILPCGDLKGGINQAGIDYYNNLINHLLSKGVKPYVTIFHWDLPEALQHTYGGFLGAEIVNDFRDYAELCFQKFGDRVKHWTTLNEPFSVVHNGFTTGQDAPGRCSSFTNPNCTGGDGAREPYIVGHNFLLAHGAAVKIYRKSTRYAIQKGEIGIALNTVWHYPYSDSYADKLAAARATAFTFNYFLEPIVYGKYPTEMVNHVKDGRLPTFTPEESSMLKGSYDFIGINYYSSSYVKDVPCATENITMSTDACVSIVGERNGVPLGPTAGSDWLLIYPEGIRDLLLHVKFKFDDPVLSGEIFLNDDLRIDYYAHHLKMVRDAILMGVNVKGYFAWSLMDNFEWAEGYTVRFGLVFVDFEDGRKRYLKKSAKWFRKLLKGEYNGTRQKVAVI >A02p013530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5928498:5930000:-1 gene:A02p013530.1_BraROA transcript:A02p013530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKAAPETTDPVILANASDVSHFGYFQRSSVKEFVVFVGRTVASRTPPSQRQSVQHEEYKVHAYNRNGLCAVGFMDDHYPVRSAFSLLNQVIDEYHKSFGETWRSAKEDSAQPWPYLADALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSMASQMFYKQAKKTNSCCTIL >A06g508280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23331941:23333535:-1 gene:A06g508280.1_BraROA transcript:A06g508280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALCPVCNVMLPLPQIESHVNGHFEDEIDPQIANDHYLALQLASSSSDPPPTLKEAPFLDPFNKIVPFPRNDDVVRSQTKSPFYRVGNSGLISLLTTCLVESKTKPLECSISLLSGYVDHFQSTKEDKGWGCGWRNIQMQCSHLLSRRDQEVKSVLFGGSEFVPDIPSLQRWLELAWRSGFDVSGGLHFDKRIYGCKKWIGTTECAALLRSFGLRARVVDFAPEKSWSMYLSVPGSAVAPKRRGYGPMDRYVVKKGGSGVEKGGDSLGSSSSSRTSKGAILMEWVWNYFSDNRLDVSSGVHMTNKGSLENNYWDSETVARNYVYSSIQSPDFTRGIEKSLVDKRGWEGYLKRGAHTLTCLEYQKDYDEIHMLYVDNGIAVGEELEQLKTIDSHFVQF >A09g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10360378:10361179:1 gene:A09g503180.1_BraROA transcript:A09g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVPASLSRPIYNIIPVDVQTLAHQHISKVTTVGKLVMAWCALTNLSINNNTYLRPGITPAVDDINTNCSFSRRGRSTAKVTSNTDGSFYAHNHQEDSQKRIRGTATSFDCSSSSSPGDGKLTSGKVPWLQAARPSFVPHGWKLEDRALAVLILKQTR >A09p074940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56655252:56659726:-1 gene:A09p074940.1_BraROA transcript:A09p074940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQPPPDEESEISESEIDDYSQKPYLKLQTGQYKVKVNGTLRCPFCSGKKKQDYKYKELIAHASGVSKGSVSRSAKQKANHLALAKYLETELAGDHAEGLPRPYLPLSNESEPKPGDVYVWPWMGIVMNPLKETDDDKEGLLDSAYWLKRLSRFKPVDVNVFWIEQDCVVGVVAEFNSDWSGFVSATELEKEFEREGCSKKEWVEKRGESESKAYGWCARAEDYNSEGVIGEYLSKEGKLRTVSDISQEKAEDRNSVLEELSSMIDMTNEDLNKVQYSYNETAMLLKRVKDQKKNLEEAYAEETKKMHQMSMRSIQKILEDKERLSNELEAKMLRLKNWSKELEKKEALTELERQKLDEEKKKNDAMNISLKLASHEQEKADQNVLRLVEEHKRQKDEAMSKILELKTQLDTKQTLEMEIQELKGKLQVMKHLGDADDEAVKQTMKEMNDKLEDRKSDLEQLEQMNSDLMTKERQSNFEIQAARKTLIARLTGLLGAESDIGVKRMGELENLEPFLNACKKRYSADEAMVEGVTLCSTWQKNINDSTWQPFKREGNGDTAKEVVDEEDEKLKKLKGEWGEEVHNAVKTALEEMNEYNPSGRYSTPELWNFEAGRKATLKEVISFISNDMKPDRGGSRRNGDEAAAPVVVPPVAEPAAIPEDMDLMTALELTLRKARAHGGVVRGLHESAKLIEKRVAQLCVLAEDCNQPDYVKLVKALCADHNINLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCLVVKDYGEDTTALNIVKKHIESN >A01p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9663588:9666409:-1 gene:A01p019720.1_BraROA transcript:A01p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFERKLEDDNSTLLIATMCIIGSQVHVHVKDGSVFSGLLYTASVDNGFGIVLKNARITKKGKSKANVTSGSVVETLVITSSNIVQIVAEGVSLPSNVTGNYEVANVGSATETLLPSELCFSAANKSKNNCFEGRRNHHVRRAGAKILNPSEKVPDVRQLDNVDIQSSSSSLDSMSERVKPIEEDKLVMEPLSNGCHDAAERPSSTDNSSSQSTAVDETSGLCQGLEAPPNEPAPIQAVKKAKEFKLNPEAKTFSPSYTKRLSPTPTAMPDIGNVAYIPNNTPMLHVPEAVYPNLGNNPYMPQTSLSSKFVPYGNLTAGNAVGVPFPQHMIGPTVNKAQPQTFTSPYQSVEASPMFVNPNPQVMVARSGQFVFAQPLSQNLVQGTPPLPPPMFSRPLPPAQHVQYLKHQGVVASGQPLQLCVSQPFMTAGLQPYSVPAQFPVMQPPFPTNQPMPVAVPNGFFGTFHK >A09p016010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8318375:8325584:-1 gene:A09p016010.1_BraROA transcript:A09p016010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFAGFGNSYEFSSTSFFTAPTDSSILYAPTAPDASALRLLSNSLESVFDSPESFYSDAKLVLSGGREVSFHRVLLAARSPFFRNALTTAVVKLELKEIAKDHVVGVDSVVAVLAYIYSGRVKPPPKGVSECADENCCHVSCRPAVDFMVEVLYLASVFEIRELATLYQRHLLDVVDKVVVIEDTLLVLKLSSICGETCKKLTDRCLEIIVKSDLDLVTLDKSFPQHIVKEIVKLRNDLGFEVPELEKHVLNIYKALDSDDVELVKMLLTEGHTNLDDACALHFAVKYCDVKTANDLLDLEIADVNLRNPRGYTVLHVAAMRKEPDLILSLLTKGSRASETCLEGRTALLIAKQVTMAAEYNNLAEQCKPSLKGRICVEILEQADKGDPFPRDVSPSLAMADHELKMRLLDLENRGVELGKRFFPRCSAVLDQIMDCDDLTQLACGEGDTPEKRLQKKQRYMEIQETVKKAFTEDKEEHGNSSLSASSSSTFKSIARKRSKLYHRRR >A09p079680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58565916:58570985:-1 gene:A09p079680.1_BraROA transcript:A09p079680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKSMEKGLLRKSLSIRERKFPNEEAFLETGLSRKSPREITKKAQNDDGECRVTATVFFSTFVAVSGSFCTGCGAGFSSGAQSGITKDLSLSVAEYSMFGSILTLGGLIGAIFSGKIADVLGRKRTMLFCEAFCVTGWLAVALAQDALWLDCGRLLLGIGVGLFSYVIPVYIAEIAPKHVRGSFVFANQLMQNCGIALFFIIGNFLPWRLLAIVGFVPCVLHVFCLFFIPESPRWLAKKGRDKECRSALQRLRGSDVDISREANTIRDTIEMSEVDGESRMSELFQRRYAYPLLIGVGLMFLQQLSGSSGVTYYASSLFNKGGFPSAIGTSVIATIMVPKAMLGTIIVDKLGRRTLLMASCAAMGLSALLLSVSYGFESFGILPDLTPIFTCIGVLGHIVAFAMGMGGLPWIIMAEIFPMNVKVSAGTLVTVTNWLFGWIITYTFNFMLEWNASGMFFIFSMVSAFSIVFIYFLVPETKGRSLEEIQALFSNSVQ >A02p006510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2752788:2754616:1 gene:A02p006510.1_BraROA transcript:A02p006510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRLLKLMFTFYIAGLIPTIRANLSELDEYWSKRAGEAREFTLQAYHSNPYEVVDHFHERHYDNSTDVKETEEDSDDEKPEEVEDDVIEMVGNEMNSTRRILRGKPKGKGKGKRKGKWSKLKGPCTASNPIDKCWRCRSNWAKRRKNLVKCVRGFGHSTIGGKRGRIYVVTSNLDEDMVNPKPGTLRHAVIQKEPLWIIFKNDMHIRLSQELMICSFKTIDARGADVHIAHGAGITIQFVRHVIIHGLRIHHICQSNGGMIRDSTDHFGMRTKADGDGISIYGSSMIWLDHISMSKCQDGLIDAIVGSTAITISNSHFTHHNDVMLLGAQNDNQGDKKMQVTVAYNHFGKGLVQRMPRIRWGFVHVVNNDYTHWELYAIGGSQAPTILSHGNRFIAPPHKPHYREVTKRDYASEHEWKHWNWRSDKDMFMNGAYFRQSGNPHFKCHHTRKQMIKPKHGIAVSMLTKYAGALDCRVGKRC >A02g507920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22046795:22047347:-1 gene:A02g507920.1_BraROA transcript:A02g507920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKMINSTKFLTEADPLIVSLPLKFSNSGAVLNVTIEKIQRGIDLRFFKMKIRSILSKEDSFRSLLSNDYLEELSLDVNMA >A10g500790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2314411:2316683:1 gene:A10g500790.1_BraROA transcript:A10g500790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRSVHIVARFYNSSNTTIPRFLYYPLISRRYSSVCLDSPSDSAQENENRSAIDSVVKIFSFYRLPNVVQPWQTTEEEYSGSGFAISGRRILTSAHVANHSYVQVRKHGSPTKHKAKVEAFGYECDLAILVVDSEEFWKDMKPLELGDIPFKQETVFALGYPVGGDTISVTKGVVSRIESRKYSNSSIELLVIQTDAAINRGDNGGPVVMGKKVVGVLINDVYPLSGAHGILKKEDVILAIDGVSIGNNGTVPFREEEPVDFNYLFPLKKPGETVLVKVLRKGRQHEFNINLELEKLLDPDQYLLSYYILSGFVFVPLSKPFIDDSADMCECPTNEKARMSGEQIVIISKFLMNDTTKGYNHLKLSRVMKVNGVEVLNLRHLRQLVEECCAEQLSFDLENGNVIAVNCKSAQKKRLR >A02p011500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4989820:4991060:1 gene:A02p011500.1_BraROA transcript:A02p011500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS24.1 [Source:Projected from Arabidopsis thaliana (AT5G22950) UniProtKB/TrEMBL;Acc:A0A178UMV9] MKKVMMKIIKPKPDPKQRLRDWQRKLRQECRDIERQIRDIEKEERTVQKAIKEAAKRNDMVSAKALAKEIVSSRRTVNKLYENKAQMNSISMHLGESIAVAGTVGNLSKSAEVMKLVNDLMKAPQMAAIMQEFGKEMTKAGVIEEFVSDAIDDALDSEGMEEEIDEEVDKVLTAIAGETAAELPEAVRKESIKVPAQKASTALEEEAVAEGVDDEEDLEEIQLGSLKLDPN >A06p008680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3035049:3035555:-1 gene:A06p008680.1_BraROA transcript:A06p008680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRDTFQLRFAAVAASAAVLIFLFSSQAVEASRMMNLCSHTAYPSLCQPLVKRVTNPRRATHQTIQALEAKTKLALTEAARYKSGNQEITTCYETFTDALYNLANARKSIRKRNVMAMNTFLTAAVSDFGVCVEGFIDKGQVNTVQNAAVDLRKIGTNSLMLSTLIR >A06p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18496008:18498419:1 gene:A06p034220.1_BraROA transcript:A06p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFSNKYLDLQSKSASIAGSLTKRTCFHESSLNGGCHQVLIHVNRTLMIAATKSRSNSFCWNPYEASINGCSHQDRNREKKCDKSTQGFTFQTCLKNPISCIPSPKTSSCVKFSVGGQLWFLRTISASFTFQTCLKNPISCIPSPKTSSCVKFSVGGQLWFLRTISASMNS >A03p034520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14563354:14565807:-1 gene:A03p034520.1_BraROA transcript:A03p034520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQISPSMRSITISSSNDLMKIKVAPRHISYRTLFHTILILAFLLPFVFIVTALVTLEGVNKCSSIDCLGRRLGPRFLSRIDDSEQRLVRDFYKILNEVSTQDIPDGLKLPASFRQLVSDMKNNHYDAKTFSLVLRAMIEKFERDIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYTSNAHARRQLPSPELLPLLSDNAYHHFVLATDNILAASVVVSSAVQSSSKPEKIVFHVITDKKTYAGMHSWFALNSVASAIVEVKSVHQFDWLTRENVPVLEAVESHHGIRNYYHGNHIAGANLSETTPRRFASKLQSRSPKYISLLNHLRIYLPELFPNLEKVVFLDDDIVIQRDLSPLWDIDLHGKVNGAVETCRGEDEWVMSKRFRTYFNFSHPLISQHLDPEECAWAYGMNIFDLRTWRKTNIRETYHSWLKANLKSNLTMWKLGTLPPALIAFKGHVQAIDSSWHMLGLGYQSNTNLENVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIKSCHILE >A06p022900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11965559:11967946:1 gene:A06p022900.1_BraROA transcript:A06p022900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Riboflavin biosynthesis protein PYRR, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G47390) UniProtKB/Swiss-Prot;Acc:Q9STY4] MAMAALSFRLCSSPLICRATLDHQATDASFIRRAADLSEMSAGLTSPHPNFGCVIATPSGKVAGEGYLYAQGTKPAEALAVEAAGEFCRGSTAYLNMEPGDCHGDHTAVSALVQAGVGRVVVGIRHPLQHLRGAAIREMRSHGIEVNVLGEDVQSKVLEDARKCCLLVNAPLIHRACSRVPFSLLKYAMTLDGKIAASSGHAAWISSKLSRTRVFELRGRSDAVIVGGNTVRQDDPRLTARHGQGHTPTRIVMSQSLDLPEKANLWDVSEVSTIVATQRGARKSYQKFLASKGVEVVEFDMLNPREVMEYFHLRGYLSILWECGGTLAASAIASGVIHKVVAFIAPKIIGGSKAPSPVGELGMVEMTQALNLIDVCYEQVGPDMLVSGFLQPVPDLLPVIPSEDATFEIDPSVNPFESSIIFFYKTWDLYGSFSNFSPHPIRMPYGDDYRTWSSVEHYYQANKFVGVENPLAHDCVEKIRTAKSPEEAAFIGRSKQRQQPELVRSDWEDVKIEVMYMALKCKFSTYPHLKTMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEYLGESSVSAENTSLAL >A02g512130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32873211:32875473:-1 gene:A02g512130.1_BraROA transcript:A02g512130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASRGESMGASWIVKDEKGKVLEHSRHAFVEVGSLTEAKLQLWLWVLESMRSLKKKKVRFVSSFGDLIEAIEKPSCWPALQFEVGEIKRELQAFEAWELRIGSSNTIRCASFIAQSVRNLGLTQSYVAAGHPRWLDHIYANDRSASGDPERVCDCPTIGWHYKIISKQAACRVEGISELYSGSGWSLPPARTTRQLNLQIFLTTQSLTQASDSPQWSVEGALQNSFNSALVWNVLREHKPPWPLAKLIWFNAAIPRHAMTAWLFGLNRNPTLVRIHAWNPETDKTCLLCGLEEESRDHLFFQCQYSSQVWTQIMGKLGLPSPPTEWEHTTEWIHRLPPPITLKTAVIQAWQGTIYLIWQERNRRFHDGLTFPPARFMKSLISLLRIKALALSATGRALGDKLLFLCSGE >A09p022120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12194618:12198443:1 gene:A09p022120.1_BraROA transcript:A09p022120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSALKLPIHKHRLYPSTRFLYARCEGCRVRGHIYGGYRCNDSGCYNNASPGGWFHKECGESPSEINHPSHPEHPLTFNAKTGYKRCHLCGLLIRSGYCCAICHFVIDMACAQNLPPPPAIEHPMFHEHSVVRTKEAPLMDPCKICKSLIDEGYPYECLTCKDVFHLDCVNQSREVNHSSHSSHILDFFTSESLPENAEKTCILCGEESAHVLYHCSKCNFSICIDCKRNPPPLTVQHTKTHRHTLSLLARRVSFTCNVCGMQGDRSPYSCIQCSFLVHRDCIDLPRVININRHDHRISLTDHIGRRGNLKCGVCHQSVNQYCGGYTCSTCPDFVVHSSCPTKHRVWDGVELQGIPEEEVIPPFKVVGDNLIRHFSHENHILRLESDGTSTRDEKTRCEACVSPVYSDPVYSCEQCGFILHETCANLPRKKQLPFRNIQFKLYAPNMDSYEAFECYACKTMFSGFRYKAYRISIDVRCGTFSELESYEFHDHPAVYYNDKKASPRCRACHHRGEMSQHMLSCDDLIVAESGIALSACACLKC >A05p052150.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:30248549:30248773:-1 gene:A05p052150.1_BraROA transcript:A05p052150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSLFSFFKTRRSQRVEVDASWDDVVYTRKAMASDEDKRYWVAEPGIDRKASAFIAKFHATRVSASQRQSLSP >A02g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4206946:4211493:-1 gene:A02g501300.1_BraROA transcript:A02g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLYLIEPAPATLIVTAVSVTFASAFRALNYAKEMERNRDFSEASITLDTSQALMIPVMSSCSLLLMFYLFSSVSQLLTAFTAVASVSSLFFWLLPYALYVKSQLGLSDPFLSRCCSKSFTRMQGLLLVGCVMTVAAWLVSGHWVLNNLLGISICIAFVSHVRLPNIKTCAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQQASNPVHTVANSLNLPGLEMITKKLELPVKIVFPRNLLGGVVPGVSASEFMMLGLGDMAIPAMLLALVLCFDYRKSREVVNLFDLKSSKGHKYIWYALPGYAIGLVSALAAGVLTHSPQPALLYLVPSTLGPVIFMSWRRKDLAELWDGPAVSNPIEKSHEIRLKARIYITVVRSFKMKNNKMVRAKLLRVIMLMHAIIGLPYTVMGLSMSYYMMSCPAAEQIVTNTVNNALRADPTLAAGLIRMLFHDCFIEDSPANLSLRGYEIIDDAKKKIEATCPGVVSCADIIAMAARDAVFSANGPYYQIPKGRFDGKRSKIEDTRNLPSPFLNASQLIQTFGQRGFTPQDVVALSGAHTLGVARCSSFKARLTTPDSSMDSSFVNTLTKTCSAGDNAEQPFDATRNNFDNAYFNALQRKSGVLFSDQTLFNTPATRNIVNGYAFNQAKFFFDFQMAMQKMSNLDVKLGSQGDVRKNCRILN >A04g503610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7746965:7747927:-1 gene:A04g503610.1_BraROA transcript:A04g503610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITSCLLALFLRLSCEKHMKGLEFLQVKERTAACAESAEAAGQLSPLSLALTLQKNLENFREKERKKNRKIIQPQAYHGEEDQLRPSSPLVHLGKLWSLTSVRGRVKLEVSSPVHSAMFKWNSSLVQASFKTNL >A06p057980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:30092818:30094360:-1 gene:A06p057980.1_BraROA transcript:A06p057980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase 2 [Source:Projected from Arabidopsis thaliana (AT4G38540) UniProtKB/Swiss-Prot;Acc:O81816] MEEQGTLDIVIVGAGISGLSTAVGLHRLGIRSMVLESSDKLRATGFAFTTWFNAWKAMEALGVSQHVRDLHDLLQGWVVGHISPGNPSKEMLFPKSEEYESRCVQRKVLLEALADELPQGTIRFSSKVVHIELSGYYKMVHLSDGTILKTKVLVGCDGVNSVVGKWLGFKNPATTSRLAIRGLTHFPQGHGFGKKFFQFYGNGVRSGFIPCDHNTVYWFLTHTSTELDEETSPETIKEFVLNKIKDLPDNIKSVVETTDLDSMVMSRLKYRPPWELLWANIAKDNVCVAGDALHPMTPDIGQGGCSAMEDGVILARCLGEAIKAKGETEDEGESYKRIEQGLKKYAGERKWRSIDLITTAYTVGFIQQSTGKWMNLLRDKFLSSFLSRLLLKKSHFDCGSLVPT >A05p032580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17839404:17840991:-1 gene:A05p032580.1_BraROA transcript:A05p032580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKAASGKAIIVLCLASFLAGSLFMSRSLSRSYVSEEEDKHLAKHLSKRLEVQKDCDEHKRKLIESKSRDIIGEVSKTHQAVKALERTMSTLELELAAGRTSHRNSEFWSEKSAKNQSLQKAFAVIGINTAFSSKKRRDSVRQTWMPTGEKLKKIEKEKGIVVRFVIGHSATPGGVLDKAIDEEDSEHKDFLRLKHIEGYHQLSTKTRLYFSTAAAMWDAEFYVKVDDDVHVNLGMLVTTLARYQSRPRVYIGCMKSGPVLSQKGVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLAAYISTNQGILHRYANEDVSLGAWMLGLEVEHVDERSMCCGTPPDCQWKAQAGNVCAASFDWSCSGICKSVDRMTRVHRACAEGDTPLSNFRFSF >A10p013170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5432582:5432806:-1 gene:A10p013170.1_BraROA transcript:A10p013170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRAEEALKQRENLKRCKQDHDRQKKKVQSITDCKKEKSCRFKRSTSNLDQDGASSAIFFLACIACSSFSNNL >A09p011530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5821676:5824156:1 gene:A09p011530.1_BraROA transcript:A09p011530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTNGVWQGDNPLDFSLPLFVLQLTLVVLVTRFFVFVLKPFRQPRVISEILGGIVLGPSVLGRYDKFANTVFPQRSVMVLETMANVGLLYFLFLVGVEMDIMVVRKTGRRALTIAIGGMVLPFLIGAAFSFSMHRSDDHLGQGTYILFLGVALSVTAFPVLARILAELKLINTEIGRISMSAALVNDMFAWILLALAIALAESEKTSFASLWVMISSAAFIAACVFVVRPGISWIIRKTPEGENFSEFYICLILTGVMISGFITDSIGTHSVFGAFVFGLVIPNGPLGLTLIEKLEDFVSGLLLPLFFAISGLKTNIASIEGPATWITLMLVIILACAGKVIGTVIVAFFHGMPVREGITIGLLLNTKGLVEMIVLNVGKDQKVLDDETFATMVLIALVMTGVITPIVTILYKPVRRSVSYKRRTIQQTKPDSELRVLVCVHTPRNVPTIINLLEASHATKRSPICIYVLHLVELTGRASAMLIVHSTRKSGRPALNRTQAQSDHIINAFENYEQHAAFVAVQPLTAISPYSTMHEDVCSLAEDKRVSFIIIPFHKQQTVDGGMEATNPAYRLVNQNLLGNSPCSVGILVDRGLNGATRLTSNNISLQVAVLFFGGPDDREALAYAWRMAEHPGISLSVLRFIPDEDVAEPASSTRNMNVDMKKQRQLDDEYINTFRAANAEHETILYVDKVVSNGEETVAAVRSMDSSHDLFIVGRGEGMLSPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVVQQYVGSSALEDDMDLPDSPVHSHEHPRETYGLQNPR >A08p020020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13630224:13633409:1 gene:A08p020020.1_BraROA transcript:A08p020020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CAFSFNTIYITFAEEEAPQTLSISCTRNFLERFCVEEYNMDSMKHNSFLSADLLPSLGASTNQSTKLRKHIVSPFDPRFRAWEMWLVILVIYSAWICPFEFAFITYKKHALFIVDNIVNGFFAIDIILTFFVANVDSHSYILVDNPKKIAMRYLSTWFVFDVCPTAPFKSLSLLFNYKGSQIGFTVLSMLRLWRLRRVSLLFARLEKDIRFNYFWTRCTKLILVTLFAVHYAGCFNYLIADLYPNRRKTWIGAVYPNFKEASLWSRYVTSIYWSVTTLTTTGYGDLHAENPREMLFSVLYVLFNLGFTSYLIGNMTNLVVHWSSHTKTFRDTLRSVSEFASRNQLPPNIHDQMLSHISLDFKSEGLKQEETLNGLRKATRSSIANYLYLHIAQNVYLFQGVSHNFLFQLVSDIDAEYFPPREDVIVQNESHTYLYILVSGAVEFTAYIDGENQIQGKAVVGDAFGEIGVLCYTPQPFTVSTTELSQILRVHKRSLISAMRAHIEDGRIIINNLFMKLRGQQSIAIDAAKKQPDVLLQKWLGGSLKICEGDASDQGKGHKYLQLDDSENIDLELTKSMDSRKDGSSETKRGQEHKIKIAEEEKPNKYIDEKSFSNADVASFKLTYPHCRFKPSKQEPAKPEEKKVTIHLKSEGKYLPKLIILPYSKVELLRLAGEKFGKQSFTVVTNAENVEIDDVDVIRDGEHLFFYYQ >A09g511060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33621844:33623158:1 gene:A09g511060.1_BraROA transcript:A09g511060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCCCDQNDGNDFLTESLIVVGSSDQSTTTISPLNSHFMALTCRDTLRLIFQRLTVADLARASCVCRVWNSVATENDLVASAFTAPWRIKDLVGNPASGAFWRDNGIWKFAISHRISRGDSVTSLAVKYSVQVMDIKRLNNMMSDHGIYSRDRLLIPISNSEILVDTTCYIEVDKYAKREVAVLYLEGGPKREQSASGMNHLSTVSAHGKRKLIESLRRSMQVDDETALYYLAIAEGNPRSALSEFSADLTWERQAGLN >A09p006170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3276506:3282044:1 gene:A09p006170.1_BraROA transcript:A09p006170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSPIKAHYNTSEKQINKIRLEQRSLSKTSTLSPYPSPSSPTRQLSKMASTVGVPSLYQVPHLETSKPTSKKRSTSLSLSLDNPFFSSPLSLRRTRLIHSSLLPPSAVATPNSVLSEEAFKSLGLSDEFDLEVSSEDDGEELAISKLRLPQRLGESLEKRGITHLFPIQGCVGSCAARTRHHSSCKDGNWEDFGFRKSGRLPKFLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYTIQQSALSRGVDVVVGTPGRIIDLIEGRSLKLGEVEYLVLDEADQMLAVGFEEAVESILENLPQKRQSMLFSATMPTWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISATSTSKRTILSDLITGGKTIVFTQTKRDADEVSLALSNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGSAILMHSSSQKRTVRSLERDVGCRFEFISPPTVGDLLEASADQLVATLNGVHPESIKFFSATAQKLFEEKGTDALAAALAHLSGFSQPPSSRSLLSHEQGWVTLQLIRDPTNSRGFLSARSVTGFLSDVYRPAADDVGKIFMIADERVQGAVFDLPEDIAKELLEKEVPEGNSLSMITKLPPLQDDGPSSDNYGRFSSRDRMPRGGGGSRGSRFGGRGGSSRGRDDDRRSRSSGGGGSSWSRGGGGSRGSSDDWLIGGGSDRRSSSSSRGPSRERLL >A04p007050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9076354:9077896:-1 gene:A04p007050.1_BraROA transcript:A04p007050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRKIGIAMDFSESSKNALKWAFENLADKGDTLYIIHTLPTSEVDSHNSAWLESGSPLIPLVEFREPEIMEKYGVKIDIPVLDMLDTGSRQKEVHVVTKLYWGDAREALVDAVEDLKLDSIVMGSRGLSALRRIIMGSVSSFVIQHAPCPVTVVKDNDSH >A07p006800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1469049:1471019:-1 gene:A07p006800.1_BraROA transcript:A07p006800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase CYP40 [Source:Projected from Arabidopsis thaliana (AT2G15790) UniProtKB/Swiss-Prot;Acc:Q9C566] MGKSKCFMDISIGGELEGRIIIELYDDLVPKTAENFRSLCTGDKGIGPNTGVPLHYKGSRFHRVIKGFMIQGGDISANDGTGGESIYGLKFEDENFDLKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGRVTKGMGVVRSIEHVSTEENACPSLDVVIHDCGVIPEGEDDGICNFFKDGDVFPDWPVDLNESPLELSWWMETVDSVKASGNEHFKKQDYKMALRKYRKALRYLDICWEKDGIDQETSTALRKTKSQIFTNSAACKLKFGDAKGALLDTEFAMRDEDNNVKALFRQGQAYMALNNIDAAAESLEKALQFEPNDAGLKKEYAAVMKKIAIRDNKEKKQYRKMFG >A08p036210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21277141:21282632:1 gene:A08p036210.1_BraROA transcript:A08p036210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYKSSGKLDLESPAVEFIEHLTRKKNHVSFFFAAVDLRFEVEPKDSETRAVTISIHGNLTESYKTAKATFTVAPVDDLTASCLAYTFEFEKISQNIEDKKIVESLLTYISLSDVSYKRKFKHNSIDTGYPAEKYFKDFVNAFENDDEVDLGGVDWQKRTFTVSFISAPSMMENFETIKVTITIIQKKEGSRVKWSIEVEKIDDDTQEPDSFLFIACSIRETIQSKFLELMFQIESTDLEKRTVKMSIFWNHISTFFKKIEATVTVTPTVPSCLAWSVDFEKISYDIVDPMWIVSTLLEYIRSTDVYNLYELNHKVNFIDTEYTADECFKAFIGAVKDDAVVEIEAEDWDNRTTTISVRSSNLLLTKYKKLKVTTTITPKEDYNKGSHVKWTIDAEKISGHIQDPDLFIDTAFSIFEDIRYKLPNKYVKSQELQLGIGPATFALEIELRKKTFERFIYI >A02p046870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29332465:29336712:1 gene:A02p046870.1_BraROA transcript:A02p046870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSNASFFGVREEDQTHLLPPNPSAAVAPPPHHQPPQSQQPLEAPPQKKKRNQPRTPNSDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKSTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYYRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLTSLPSHHFPYGQNTNSNNNSSSMMLGLSHTGPPQNLDHHSGDVLRLGSGEGVGGGAASRSSSDLIAANSSGYFMQDQNLSFHDQQQGFLAASNNIKPSPMNFQQSLMQFSHDSHNSPSSNLFNLSFLSGNNGVASATSNHNAAAVSSGNLMISNHFDGENAIGGGGRGEGSTGLFPNNMINSTDRTSSGAVPSLFSSSMQNPISTPQMSATALLQKAAQMGSTSSNNNNNNNGSSNNNNNNASSILRNFGSGMYGENESNLYDLMNSFSNPDPTGNNSNGVNSPFGSYRGVNKGLNADKQSLTRDFLGVGQIVRSMSGSGGVQQQQQQHGNSRERVGSSSDSVDRNSNTFNPGGGPATSPPYGIHHASF >A07p011360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8757294:8758546:1 gene:A07p011360.1_BraROA transcript:A07p011360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) UniProtKB/TrEMBL;Acc:A0A1I9LMR3] MRMISLHFPTPSLSLLTSDSNSRFFKNSSNNPIIRLNFTKKSQSLSLRRLNFKETHSNRRNLSCHGIKDSNETTKSAPPLDSGGGNGGGGDGGDNGDDDSEVEGKNRLLPEWLDFTSDDAKTVFLAITVSLAFRYFIAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDADVFIKRIVAKEGDLVEVHNGKLMVNGVPRNESFILEPPGYEMTPVRVPENSVFVMGDNRNNSYDSHVWGPLPLKNIIGRSVFRYWPPNRVSGTVLEGGCAVDIQQ >A10g503270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8550254:8550869:-1 gene:A10g503270.1_BraROA transcript:A10g503270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHFTVKDDPVTPDPNEKEDDIFYYSLCDFECLNNCVGNMNYDTSILLMVFVLPMVCTVSLNYLKQKPIAHSSNSIDCILLQQLMIEGGTANAIFFRCFVAKKGMETKLKRRPHVMFPRWWTLLMS >A07p047510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25505064:25507352:-1 gene:A07p047510.1_BraROA transcript:A07p047510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 30 [Source:Projected from Arabidopsis thaliana (AT1G74740) UniProtKB/Swiss-Prot;Acc:Q9SSF8] MGNCIACVNFDPEADSKQTKNQTKKRHVRKPNAYHDPDGLRSHGPIRVLPDVPMSHRTQISDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDVEDVRREVTIMSTIPDHPNVVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAATVARTIAEVVKMCHMNGVMHRDLKPENFLFANKKENSALKAIDFGLSVLFKPGERFTEIVGSPYYMAPEVLKRDYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVLDFKRDPWSQISESAKSLVKQMLNPDPTKRLTAQQVLDHPWIQNAKKAPNVPLGDIVRSRLKQFSMMNRLKKKALRVIAEHLSIQEVEVIRDMFTLMDDDNDGKITYPELRAGLKKVGSQLGEPEIKMLMEVADVNGNGCLDYGEFVAVIIHLQKMENDEHFRQAFMFFDKDGSGYIESDELRRALTDELGEPDNSVLIDIMREVDTDKDGRINYDEFVVMMKAGTDWRKASRQYSRERFKSLSINLMKDGSLHLHDALTGQSVAV >A02p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11163816:11164827:1 gene:A02p023240.1_BraROA transcript:A02p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVSFLIMVVLAVMTSRVNGYGGGWVNAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEIRCQNDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQRIAQYKAGIVPVAYRRVSCVRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAWVKGSRTGWQAMSRNWGQNWQSNSYLNGQALSFKVTTSDGRTIVSNNVASARWSFGQTFTGAQIR >A10p040740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22406267:22411912:-1 gene:A10p040740.1_BraROA transcript:A10p040740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPALQTTFVASTNFLKQSRPSSSSWGSSSPSNVTLPRNKRSSSVIVAAVGDVSSDGTIYLIAGAAAVALVGTAFPILFKRTDTCPECDGAGFVRKGGATLRANAARKDQAQIVCANCNGLGKLNQIDK >A04p023210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14071330:14074172:1 gene:A04p023210.1_BraROA transcript:A04p023210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVNKVILGSILFFILVGSVLVVEARPLGLTKAEEKLAATFFDGLSLGAIKDSGPGSGGEGHKFVDRSEPVVYGITPVKLGRRTDLESLETSEASRLKKKKL >A10p039550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21872137:21874289:1 gene:A10p039550.1_BraROA transcript:A10p039550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGILANTILLPQPPIHLPVSSSRSRSTRRTLIMVKASSEPSDSASVSTKSSEPVVFTAPPNFKPPEPQRFAVKPGKLFDVLGASIGLLFRFGTGVFVSGYSASFVSEDEVPPDQYAFRLGGITVKESSKLGPRPEKPIEIYEFEGCPFCRKVREMVGVLDLDILYYPCPRGSPNFRPTVNQLGGKQQFPYMVDPNTGVSMYESDGIIKYLSEKYGDGTVPLSLTLGPLTAITAGFAMIGRMGKGNMYTPAKLPPKPLVFWAYEGSPFCKIVREVLVELELPHIQRSCARGSPKRHELLQKAGHFQVPYLEDPNTGVNMFESAEIIEYLKQTYAA >A08p043260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24127681:24128897:-1 gene:A08p043260.1_BraROA transcript:A08p043260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVSFIPFFSANFLMKNLKSFCSPYKPKKEEKKKNKQPRALMAAKQSYFFLFLSLVPLCSSTTTHDVINPPTVFPTNPTTTPPATTFPPVTITPTNPSPALPLNPPVTVVPPTLTPPVTTNPVTQYPPTQPSGTVPVIPPPVVSTSPSASGQSWCVAKPGASQTSLQLALDYACGSGKADCSQIQQGGSCYSPISLQNHASFAFNSYYQKNPSPQSCDFGGAASVVSTNPSTGSCVYQTGSSSGSSTSSPVGTTPTPSTQTVNQPPLTPTPITPTGGVTIGVGTPPAVFNPANPSSNTLPNPSSGGSAVYGFDGSPNGNNPTPSDSTNLKIHFGHTMVVTLILHAVLFH >A05p018170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8299421:8301533:1 gene:A05p018170.1_BraROA transcript:A05p018170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAIVEELASFGATIHVCDISETLLNQRLSEWENKGFQVSGSICDVSSRPERETLMQTVSKMFDGKLTILVNNVGVVRLKPTTEYVAEDFSFHISTNLESAYHLSQLSHPLLKASGFGSIVMNSSVGGVVSMECGSLYSLTKGAMNQLARSLACEWATDGIRINSVAPNFILTDMAEPHLEDAGYKKSLFSRTPLGRAGEPKEVASLVAFLCLPAASYITGQTICVDGGLTVNGFSYKRQA >A06p053460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28171405:28179759:-1 gene:A06p053460.1_BraROA transcript:A06p053460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCCRNLRIAIKAVNLHLHLNRVGSGSLYQSRHLSSSSHHHLLMAEDLKSTFFNVYSTLKSELFHDPSFEFTDESRLWVEKMLDYNVPGGKLNRGLSVVDSFKLLKEGKDLSEEEIFLSCALGWCIEWLQAYFLVLDDIMDNSVTRRGQPCWFRVRQVGMVAINDGILLRNQIHRILKKHFRGKPFYVDLVDLFNEVEFQTACGQMIDLITIFEGEKDLAKYSLPIHRRIAQYKTAYYSFYLPVACALLMAGENLENHVDVKNVLVDMGIYFQVQDDYLDCFADPETLGKIGTDIEDFKCSWLVENYGKPDPSNVAKVKELYKELDLEGVFKEYESKSYEKLIGVIEAHQSKAIQAVLKSFLEIKIAALDSSFIMYGRSGLDRFKKSQTSEPFSVSANPPAKPPLVHRVPTEATPLPSTPQTQIGASQSTWQPPDWAIEPRAGVYSLEVVKDGQILDRIHLNRRRHIFGRQHQTCDFVLDHQSVSRQHAVVVPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRIYILRKNSEALFTRPPPPSEIKLPPPPDPSDEEAIVAYNTLLNRYGLSNGESGSVLGKRKDKGGSEGGVVGKRRKKLRVSFRDQLGGELAEVVGMSDGADVETEPGPIGVKEGSLVGKYESLVQVTLIPKGKGKEEKAFAGSRGVTDRLQEAMKKLKGGPKGGIYDDLYSGDSLTKAVGTSWASSVSEPAAKDKGETKRGGVDEEDDDNDDLFGD >A04p039040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22113261:22118509:1 gene:A04p039040.1_BraROA transcript:A04p039040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNISFPLVIILFSIVFFLINSSNAMPSFNVQRYGARGDGRTDSTKPFLTAWSLACRSRARAMVYIPRGTYLVRNLVFWGPCKSHITFKIDGTLVAPSNYWSIGNSGYWILFAKVNRISVSGGTIDARGAGYWSCRKNGGHCPQGARSMSFSWCNNVRLSGLTSLNSQNMHVTVHHSSNVRIQNIRIRAPSGSPNTDGIHVQSSSGVTISGGTIATGDDCIALSQGSRNIWIERVNCGPGHGISIGSLGDYVNEEGVQNVTVTSSVFTKTQNGVRIKTWARPSRGFVRNVVFRNLIMRNVDNPVIIDQNYCPNGRGCPRQSSGVKISGVTFANIKGTSTTPIAMKLDCSRSNHCTGIRLQDIRLTYMRRSSASYCRNVHGRRSGVKQSMDHTNNKLVAALLMFFSSFLLMGTSMAASNYNVVNFGAKPDGRTDSTKAFLSAWKAACRSAASVTVTVPRGSFLLKPVEFRGPCRSRITFLIDGTIVAPSDYRGLGNSGYWILFVEVNRISINGGTLDARGASFWACRKSGKSCPGGARSMTFNWANDVVVSGLTSINSQVTHLVINSCNNVVVRKVKLVAPDQSPNTDGLHVQASTGVTVTDSTFQTGDDCISIGPGTRNLYMSKLNCGPGHGISIGSLGRDANEAGVQNITLINSVFSGSDNGVRIKTWARQSTGFVRNILFQNLVMKNVQNPIIVDQNYCPNHQGCPKQGSGVKISQVVYRNIQGTSRTQQALTFDCSRSNPCQSIRLHDIKLTFNGRSANSTCKNIKGVKAGVVMPQGCL >A05p001970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:512522:513995:1 gene:A05p001970.1_BraROA transcript:A05p001970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTRRINLVVGLSKSLQFSNRRHMSTGVASATVARYPLGKDLSWLPRNPTSEDVNRAADLVKGRPVSLLYRVLTMIELSDVDGAVELACLCVLKDDSRRHDTILICNAVIGAMCQAKRFEQGIGLFHYFFNENNIVPNIASFNYVIKAFCELGRVDDALQLYRHAARFGPDKETFSLLTQALVHAYGYGEAGIFVGLSRCLTTADDWTPMLIEIRGRLDQMNAEDADDYFHTNRLKFDDDEDDGVFKSIATIFVEYWLKHGNEEKAMECYSTIRTWESLPATTGNTLLRILLENCKRTEAGALIEVNAKDLYHNMLKKHKRFDSETINIMVDYWFDIGEVNKAMETFNESQQGRKRMVRCYCNVVARLCERGMMSEAEGLFEDMCSDKDLSPPDVSTFRSMVNGYVRAGRVDDAIKTSNKLAILKLRKFALTIALIMLIAPSWLSKTQAQALELNIYLK >A06g508580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24216409:24223087:-1 gene:A06g508580.1_BraROA transcript:A06g508580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTRMFCFVLVMVLMGCCCSAKIYKVGDSKGWTTAKHGSYYEWAKRKEFQVGDSLMFEYDGNVNDVTQVSSRLEYQFCNSLSPKAVYNTGHDVVTLTEPGYHFFITSNRSQCVAGHKLVVFVVHDHPMIPPPPPPRKILPLGKDYKVGDSNEWRVPVLTYTKSDFYSKWSEEKQFHVGDNLLFYYNDQVDDLLEINSDLEFKSYDTTSPVAVHNAGQDLIRLTKPGIRYFITSKIGHCEAGFKLRVVVRPLSKSVPKKMQLSPFDHLIKWLHDSFTPHPHH >A02p053990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32849197:32849521:-1 gene:A02p053990.1_BraROA transcript:A02p053990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHQIRASQMLENGKLLSKVWFQFKALAPAPGCCLDPSDLSWSCSLMGEVIAVRKAVMIAAASTV >A09p038470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31286780:31290007:-1 gene:A09p038470.1_BraROA transcript:A09p038470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIQGRLGLSISTHISTLVLGLSTLALPVDCSVDFGPRGLSVQYTQDVRGCPSAHTGRPWVSVSTHRTSVGVRQHTQDVRGCPCVSVSTHRTSVAVHQYTFSTLVLGLSTLTLPVDCSGDFGPRGLSVQYTQDVHGYPPAHTGRLWLSVCVRQHTHDVCGCPSVHISARWSLDSARWPFPWTVRDVRGCPSAHTGRPWVSVSTHRTSVAVRVCPSAHTRRPWLSISTHISTLVLGLSMLTLPVDCTGDFGPRGLSVQYSHDVRGCPPAHTGRPWLSVCDCVCPCVSVSTHRTSVVVHQYTYQHAGPWTQHAGPSLGLFETSVGVRQHTQYVCGCPSAHTGRPWLSVCVRVFPSAHTERLWLSISTHISTLVLGLSTLTLPVDCSGDFGQRGLSVQYTQDVCGCLPAHTGRRWLSVCVRVCDCVCPSAHTGHLWLSINKYISTLALPVDCSGDFGPRRLSIQYTQDVRGCPPSHTGRPWLSVCVCVCPSAHTGHLRLSINIHICTLVFGFSMLALPVDCSDDFGPRRLWMSVSTHMTSVAVRVCPSAHTGRLWLSISTHISMLVLGLSTLTLLVDRSGDFGPRGLSVQYTQDVRGCPPAHIGRPWLSVCVRQHTQDVRGCPSVHISARWSLDSAHWPFPWTVRVILAHVGCLFSTHRTTVGVSQHTHDVHWCPSAQTGRLWLSACIRVCMWVSVSTHRPSVGVRQHTQDVCGCPCVSVCVLVCPLAHTGRPWLSISTHVSTLVLGLSMLTLPVDCSGDFGPRGLSVQYTQHVRGCPQAHTGRLWLTLVLGLSTLALPLDCSSDFGTCGLSVQYTQDVRGCPSAHTRRPWVSFSTHSTSVAVRQHTRDICGCPSAHTVRLWVSVSTHNTSVAVRVCLFAHTGLPWLSISTHISTLVFGLSTLTLPMDCSGDFVPRGLSVQYTQDVCGCLFSTHRTSVGVCQHTQDIRGCPCVSVCVRQHTQDVRGCPSVHISARWSLGSDAAPSCGLFGVILAHVAVCSVHTVL >A10p030050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18233304:18236421:1 gene:A10p030050.1_BraROA transcript:A10p030050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQNNIGSNGYFNIYLMPGRYSNSQIYSGLDYANLLIKTPPAQISRLYNLGARKRVLAGSSGPLGCESVIYMVAQKMKDEEGFYYPHNIDFRGRAYPMPPHLNHLGSDLCRGVLEFAEGRPLRSPGLRWLKIELANLYAGGVDKLSYEGRLAFTENHLEDIFDSADRPLEGNRWWLQAEDPFQCLAVCINLTEALRCPSPETVLSHIPIHQDGSCNGFQHYAALGRDTVTSTAIDEMFEAARAIMRWFGECAKVKTSLQTLSLQRETDKVVVRRQRTAFPPNFIHSLDGCHMMMTAVTCKRAGLCFAGVHDSFWTHACDVDKLNKILREKFVELYAQPILENLLESFEKSFPHLDFPPLPERGDLDLKVVLDSPSSEIFEALSRSIDERFLQNRVSSQGLSSIKRVILLMLVESTLCWEALNDVALGRGDY >A01p040940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21853132:21854516:1 gene:A01p040940.1_BraROA transcript:A01p040940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:Projected from Arabidopsis thaliana (AT1G61620) UniProtKB/TrEMBL;Acc:A0A178WN49] MPQRHSKNNNDLAYFTYDEKKKLGYGTQRERLGKDSIKPFDACSLCLKPFIDPMCCHKGHVFCRECILECFLAQKKDIQRRLAAHASQKNQDKDEEEERLMLQKARELDEFDQQNHGALPRYSDKSQSQDKNGFHGANSVKATSFEEEALRTMKAFWLPSATPGASVRVEAPETHTVCPEGKEKLKLKNLFAIRFTEDNSEEEEKKAKSSSTSSYDKTYICPSCKVTLTNTMSLVALSSCGHVFCKKCGEKFMPVDKVCLVCDKPCKDRNLVGLKKGGTGFAEHDDHLEAKEYKHLGSGSGLGMVRMGKT >A06p056780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29548135:29548824:-1 gene:A06p056780.1_BraROA transcript:A06p056780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKIAMLVSEAMNSNAVINTCLGVSFVVLGVRSDKQQKYVEALQEQKDSLSKSNKEMKVQMWEWKQQLFAEAASAGTSALVPLSTLKAIYGEITTPTQSGVAVKEESKVSSPRIMV >A05p004240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1624882:1629904:-1 gene:A05p004240.1_BraROA transcript:A05p004240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQRPSYRFEIDNFSEKKALISSKTFVSGGCEWYLQINPKGDRISDGHLPLYLYVANSTTLRTGWKRSANYYFVLLNQSDKELHRSPISLSTNLFCAKTPAWGFAKTIPVSKFQGKGFLEKDRLIIEVHIKVIEAFDGEGGDVSNNKKKKTVDINGFQVFASQVTKVGKIFTEHPDIALDFKPTKQEVKTAYMNVLLRVIKTLNKPPKSLSETRLNKASSELSELMDVGFKLDWLKSKLEEVSLERKKPDVDGYQVQQLEERVKHLQLKLDEVSLGKNLSDDDNESRSQEVEKRVKHIELKLDEVSFVRKLSDDDNESRAQQVEERVKDLELKLDEVSFGRKLSDDDNESRAQQVEERVKNLELKLDEISLGRKKGDDTNESRAQQVEERVEDLELKLDEVSFERKLTDDDNESRAQQVEERVKNLELKLDEVSLGRKKADDTNEFRAKQVEKRVKNLELMELELNKCWKPKLDELEGKKTDDAIIFEQIEDRVMGIEFKLDSLNTKLEEISKETEKADDADGSLVQQLEESVKNIELMVSHLKDELDKKKNIASDDGFLLGKVWIDMSNRKPSFRFEIDNFSEKKANAISSNTFKSGGCEWFLAVYPKGDRLADGHLSLYLQVANDTTLQPGWKRSINFYVVLLNQSGKELYKTGLGQSSFCAENPAWGYRKTLPLSKFQEEGFLEKDKLIIEVYINGGEVEDVSNKKKTVDINGFQVFASQVTKVGKIFTEHPDIAKDFKPTKQEVKTAYMNVLLRVIKTLNKPPKSLSETRLNKASSELSELMNVGFKLDWLKLKLDEVTLERKKPDADGSKVQQLEERVKHLELKLDEVNESRTQQVEERVKKLELKLHQASFSNKSLSDDANEYRAQQLEERVTNLEMMEVGLKLDSLNTKLDEVSLERKKTDDTNESRAQQVEKRVKNLMLMELRLNTMLGNLEREKSYDTSVFDSRIQQMEKHVMGLGLKLESLITKLEDISQEKKKADDADGSLVQKHEESLKNIELMVSHLKVEVDKKKNIASDDGFLLVD >A02p040960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25845318:25848084:-1 gene:A02p040960.1_BraROA transcript:A02p040960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVICGEHGIDHTGQYVGDSPLQLERIDVYFNEASGGKFFWLMWTGYVSRVQPRDHINNVILSVLGYCGIVKSILDLCMKLSEGKYVLVKNPSKPQVRICEVPADAFENDYVEERLPENEQVQPPEEKVSNGASSTNEAGEDKKPEGQA >A07p018180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10927949:10935777:1 gene:A07p018180.1_BraROA transcript:A07p018180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEHHFESSDAGASKTYPQQAGNIRKGGHIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRIDYQLIDISEDGFVSLLTDSGGTKDDLKLPTDDSLSALMKSGFEEGKDVVVSVMSSMGEEQICAVKEPFVKHSCQKFAETAHHQCVSLCSSHHCLFCLKQQWFTQWTSLTLRDKVEISKFLVALQKLWRRAVFTYMWICILFQSAAPSLLSASLQTSNVKGAVLVELALSVAFANAIIIYNTAAVVSVLEDVSGAGALVGASDMIKGQSSNYFFGLEACLILIFGAELVMDEGRQKDLQLLEEIIDKGLKQKLLQTIASRDKIFEEQKELSDLRKNIETLEKNGVNNLKTMVNLGSEVYMQAEVPDTRHIFMDVGLGFYVEFTRQEALDYIPKREELVKKQLEEVTKVIAQIKGRIKLAHHQIQQILNLPDENPSSHRQPVMEPGQNTSAAGIGGSNGTTTMGYQTNDGTATASEDSKENLNQVINSIQKTLGLLHQLHLTVSSFTPASQLHLLQRLNSLVSELNSMTKLSEKCNIQVPMEVLSLIDDGKNPDEFTRDVINSCVARNQVTKGKTDAFKDLRKHILEELEETFPDEVDKYREIRAASAAQNGWWLNRKVCYPMGMPRSRASYKKEATVVLLWIFLPCRRWNQSKGRTGVVSSSVLLPINQRGAEIIEGERKMSEVFEGYERQYCELSTNLSRKCHSASLLSHGEEKKEKLVEIKSGMDEADVLIRKMDLEARSLQPSAKAVCLSKLREYKSDLNQLKKEFKRVSSPDANQSTREELMESGMADVHAVSADQSGRLAMSMERLDQSSDRIRESRRLMLETEEVGISVVENLSQQRQTLLHAHSKLQGVDDAIDKSKKVLTAMSRRMTRNKWIVGSVIVALILAIILIISYKLSH >A07g500170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:310045:310293:-1 gene:A07g500170.1_BraROA transcript:A07g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSDYYSVRAGDNLERAFMMFCMLAGGVKEFLFFGTVFDCAIPEFVTCFKKWLGFIKSYQLLMDFFGRTLQNVLALNAAAD >A09p001110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:889270:891278:1 gene:A09p001110.1_BraROA transcript:A09p001110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCDGKWTPVIIMITINSALGLGNALVKKVLDGGVNHMVIATYRLAISTLFLAPIAFFWERTTRPKLTLNILVQLFFSALVGASLTQYFFLLGLSYTSATIACAFISMSPAVTFVLSLVFRVEKLNMKSKAGMGMVMGNLICIGGALLLTMYKGVPLTKFHKLESHLLTNHNPALKPENWIVGCVLLFAGSSCFGSWMLIQSKVNDKYPCQYSSTVVLSFFGTIQCALLSLIKSRDITAWILTDKLDIMTIVYAVRQMEEECAKKLPSHFGQEESQDDEHYKKGHLMVVPMTP >A02g508870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23836051:23837267:1 gene:A02g508870.1_BraROA transcript:A02g508870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLYKFSGKGEDPRNYLQWEEDMERYFKCNSIPKGKYLSYGLGQLTDKAQRYWKREEKYREQFQEPPIRTWEQFKGVMRDRFAPYIPTQHAQKVSTKRVVQPQVLQPANQRQSSKPVHTPHVKHNQGEYSKSLKPPEVICYRCQGQGHLAKDCPTKRAVKMALREARETNLEISDSFTRIDKKFDDLINLIKAGSNSVSSNSMTVLTHLCSAQKVKNISGTNMEIKEQEPNLAAQASSTLENSQVPTNDKVISELNVTNRNYQNTGMMHLYSVQNVYKGLGNEETRPEAQQQENNEQSILETSTPADHALEVANTEAESMQDNQVSEALNLTQYYFFESSTSSMKHLLLPISDDSGIGTMTLENMKGIEKSKRDSREIRVFKRKCMRLS >A10p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20555220:20556848:-1 gene:A10p035960.1_BraROA transcript:A10p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLFLHLITAKALELFLQDLCDRTYEITLQRGAKTVSSLHLKNCVETYNVFDFLREVVSKVPDYGHGHGHGHGNGQSDATMDDRTISKRRKPTGDEANDSDEEIKKTKVQETGQVGPSGRGRGRGRGRGRGRSAKTAEKELLQREMETEPTILSAPPQLTQDGITMLPSPTQQQDTEKKDVDDMSKQELQSPKAGNYDTNSGLGRDFDLNTQTLEVETKPPVVVVTTASRETTKPEEYPGWSISDIGNMDPMQLASMGKRLDEEEEEDYDEVEA >A04p014320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5450985:5452193:-1 gene:A04p014320.1_BraROA transcript:A04p014320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAEDTPSPPPFVEVFCEISGKDYRFTAGTKAEFAVSVINRKLGSSKPKALYIEASKDGEEPISFGDGASLVSYGHGWRLVTVIADSDSPGTEKEGPFQTQFPYVLSTGSKDSKPAKAMKAEFEGDQSLKYIGRIMFAFVLMFILGGLFTVALENLPRLILLFNNPSM >A04p029600.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17682285:17682614:-1 gene:A04p029600.1_BraROA transcript:A04p029600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATRPSSSTATTTSVILENPVSQSQPTERLVLRLNRIKKKVSWKDGTVDNEFMQKKSSKKCCIFHKQKPFDEDDSEEDEDDQHHHDHNHEHCESGEASSSNDSKAVD >A03p023650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9992356:9993777:1 gene:A03p023650.1_BraROA transcript:A03p023650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase RHC1A [Source:Projected from Arabidopsis thaliana (AT2G40830) UniProtKB/Swiss-Prot;Acc:O22197] MTSAQKGTYNSEKMSSGGNTHWCHRCQRAVRLHGRDPVCSYCGGGFVELLEIPQASPFDMFRSHRDVVERDPTFDLMDALSDFMRNRETRGRSISSGPENFPGLAPLLIFGGQVPYRLSGVEALFNGGSPGIGITRGNNTGDYFFGPGLEELFEQLSAGTTTRRGPPPAPRSSIDALPTIKIAQRHLRSSDSNCAVCKDEFELGAEAKQMPCNHIYHSDCIVPWLVQHNSCPNRGGTRNSDGTDENHNYHQQQQQQSHMGY >A08p039690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22795402:22796976:1 gene:A08p039690.1_BraROA transcript:A08p039690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEEDKSKGFADEAGTHHQYGTFQGVSNYPPPRPQNSPPVTGFPQPSAPPRVYDSAPPHYAHGYQTVPVHGIAEGRPVHVRQRRLPCCGIGLGWFLFIVGFFLGAIPWYVGMFIMIVGRRIDHREKPGYIACTIAAILATIAVILGVTKGAEDWSYKEPSSIFLGQQLLVHRVYNYTHIPKSINLKTIVRSIRFRFEKQTLLICNSFQDFFQTQHL >A04p036840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21130257:21130874:1 gene:A04p036840.1_BraROA transcript:A04p036840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVIKLVKPKIQSVDIQAAAGWGIAAAAGAIWVVQPFDWIKKTFIDKPAPEDK >A08p010020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7703530:7706149:-1 gene:A08p010020.1_BraROA transcript:A08p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASPMASQLRLSFSSATNARRLAVPKGISGAPFGVSPTKKTFSFTVRALQSDKPTFQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGFLLVGPFVKAGPLRNTAYAGSAGSLAAAGLVVILSMCLTIYGISSFKEGEPSIAPSLTLTGRKKQPDQLQTAEGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYVTMDNNRSVVIYFNQGGSYSGVGDEV >A05p006060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2395032:2398634:1 gene:A05p006060.1_BraROA transcript:A05p006060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNAVLRHLRVPVQNLAVRGSNQSQIGFLGSIRAFSSHDDHLSKQDVVDRVLDVVKSFPKVDPAKVTPEVHFQKDLGLDSLDTVEIVMAIEEEFKLEIPDKEADKIDSCSLAIEYVFNHPIRSHRIQQLSLLREGFPLGVIPAFSPSSDKRLGSFSLNSLLLSPSSSNWWAGLVGQFKPKKLFADIKTSISKAEEWDLQLFKDTTKHIVDKSLYSVGLWTQIALGSSSSLLLSAERLGDKEGIRKKLMFVHPLEKHDLTVEAAWPDLFLDHKGRFWDVPESLNFDISSLAPETTGLHYRFAVHKSKGHPQQVNAAETNGDAPPASLFPGLCAKAAVSYKANKDLWRPKDDNTKEEEEDDDDDESPVFLPYDIRLKEPHAAVSGIVGSTLAAWITGRDTKRRSPITADAFGSACCTFQKGRFSKLYGDLTRVDARVDVSSASALAKRIFHAIKRSSGSNKTDDDASGSPRLSLIFQQQVAGPIVFKVDSQFEVGAGKYGAQMEDLIYSLNYSLRLLESGKVVAWYSPKRKEGMVELRVFEF >A10g500370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1086546:1089040:-1 gene:A10g500370.1_BraROA transcript:A10g500370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLCPLVEIDKTTFTADELFYKGKLLPLHLPPPKKKKNTPFESCTVSPADSCQVSKEPNPKDYFLEYSSSVEEDEKKKSWSKKLRLNTRLTYLRSFFGKSSCSDEPRVADEGSILRYSRAERPKKKSNGSVPGRRAIAHRRSFSISMRRQPAKSSNKKSSTSLGNLSNGSRSSRGLMRSDSVRCQHQELQWQMIENGFRCLEVK >A06p001880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:842812:843368:1 gene:A06p001880.1_BraROA transcript:A06p001880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVGMCSESDALIKTYVSSSLHSDDLLSSTKLQIHEVTKSDWDSLVMESKVPVMGLFTAYLCPTCFIMSFNMDTLDLKFAGRFKFYSVDLDDEPEIGARWGVLTCPTTVVFKLGKVMDKRTGFLNEATQMDIVEKYI >A04g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7387108:7390470:1 gene:A04g503380.1_BraROA transcript:A04g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLYGFDPMKTVRPDEFASPYSATSIISIANIDASFYFNRGVVCRYSQNLRSNKNNGNGASQKNIVFYVRELKPCKDTSRIEVRIVRLWRNYNKESGNTIEMMVVDKEGTRINASVGEQLIKKFDDKLREGDAIVLYVVPEKYFADLSDILGGNLDHSCFVDVVGQIVNFGSLKNKIIKEKDNMRLLVELCGPNNMKMMCTLWGCYAKQVYDYSRSNMSTMIICVIRFCSVKEWKCAYSISSGYNSTHILLNPTLDFIEEFKSSLPDDSLALTNNDSSQWSVGTATSIRARFFVLNERLTIREIIDSTLVGTFVTLGTIETIDTERGWQYLSCKYHNKKVMPTTNVDADGRPLFFCNTCDKEHSDFKLIAHVKDDNGEANFLLFDANAQQIVRHFAAELYDENEDEDFLPEAVSDLFGKRVLFEISVDADNIKGKSFQYVVRLATDDREMVEEFADLLLKPVLMLESADDISSGSGGFTATPLSKRKSEQDDDSCNYTYTQYH >A07p002540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3772629:3773884:1 gene:A07p002540.1_BraROA transcript:A07p002540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:Projected from Arabidopsis thaliana (AT2G18800) UniProtKB/TrEMBL;Acc:A0A178VQ29] MASQALLVMSLIIILGLNIFLVAHANYLNQDIDITWGDGRGKFLNNDTLLNLTLDHSSGSGFQSKAEYLYGKVDMQIKLVPGNSAGTVTTFYLKSQGLTWDEIDFEFLGNVSGDPYILHTNVYTQGKGDREQQFYLWFDPTAEFHNYSILWNPSHIVFYVDGKPIREFKNLDAMGVAYPKSQPMRMYGSLWNADDWATRGGLVKTNWSEGPFVASFMNYNSENACIWSIDNGTTTNTPCSPSGSSSSSSTSTSEWFSQRGMDSSSRKVLKWVQKKFMVYNYCKDKKRFWQGLPVECGAKKNNKNKNKNKNIKS >A01p047720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26925409:26928090:-1 gene:A01p047720.1_BraROA transcript:A01p047720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 9 [Source:Projected from Arabidopsis thaliana (AT3G18440) UniProtKB/Swiss-Prot;Acc:Q9LS46] MAAKLGSFPNGKRERLLSNNGFSDLRFGDIESNDLLEGDSHGRTRLCCCCSCGNISGKISGVYEDAKDVARKAWAMGVSDPRKIVFSAKIGLALTIVAVLIFYQEPNPDLSRYSVWAILTVVVVFEFTIGATLSKGFNRALGTLSAGGLALGMAELSTLAGDWEELFCTVSIFCIGFIATFMKLYPAMKAYEYGFRVFLLTYCYILISGFRTGQFIEVAISRFLLIALGAGVSLGVNMFIYPIWAGEDLHNLVVKNFMNVATSLEGCVNGYLHCFEYERVPSKISTYQASEDPVYKGYRSAIESTSQEESLMSFAIWEPPHGPYRTFNYPWQNYVKLSGALKHCAFTVMALHGCILSEIQAPEERRQVFRQELQRVGVEGARLLRELGEKVKKMEKLGPDDMLFEVHLAAEELQHKIDKKSYLLVNSERWEIGNRSRSEPQELLSMEDLDPPENHKSPIYAFKSQSEAVLEIPKSWGEKNHREPLNHRPTFSKQVSWPARLVLPTHMETTNGNYQLLETTETYESASALSLATFASLLIEFVARLQTVVNAFEELSKIANFKEPEIVTSNSDVELDGESVGLGRKIRRCFGV >A06g506660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:18608603:18611681:1 gene:A06g506660.1_BraROA transcript:A06g506660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGKSTFASDSNSEKPKGVEADSLPGPIKPIGAPYVSSGLTIGDPHSKTAKGQTSVSSGLTKPIGKNLNGTIIHTTKTGVSSGVRGKAAVSSGVKGKAIVSDVGEVMAFKDVKFGPNQGELRFRLIHFWEARNVLTKVIIGLEMLLIDQEETVIQGFIPAGRIETYLPHMEAGGIYRLNSFYGSKNKTLYRVADPSVTITFSSTSVLSDLEDSLVCIPEDRFRFRGYEEFDAACDLKGDLYDYVGHIKLVNGQVLSDSIVIDDAEIASSRRVLLHVQTHDGPVMKLYLWDKAAFDFSGKIKASGGTARVILVTTLNPKRFGGALTLSTMTSSRVFLDSDVQATRDYLTWLNSNLAVANRVDADVVTKTETVTIGELFSFMKQEAAKVAWFECIATIGDVAHGSAWYYIGCGVCHTKATKGPTTLMCKKCGKSDIVGVAQYLARISVYYNDDHASFVLLGDAGQELTGKKASELVESYFEANEDVGDDHLVPVPQALIDSIGQTHKFIVKVSNHNLTGKTQSLTVTKVLTPEVPELQGNLVGNVILPDAHEPLQKGVAEDGPSTRFEESDGQGVKRTADNVEAEDPKRAKCG >A08p026500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16878586:16880019:-1 gene:A08p026500.1_BraROA transcript:A08p026500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GGP3 [Source:Projected from Arabidopsis thaliana (AT4G30550) UniProtKB/TrEMBL;Acc:A0A178V361] MVVEQQQHEKNKKRFALFLATCDSEFVKKAYGGYFNVFVSTFGEEGEQWDLFRVVDGDFPDEKDLDSYDGFIISGSPHDAFADADWIVKLCSLCQKLDEMEKKVLGICFGHQIITRVKGGKIGRARQGPDMGLRTITIAKDNEKLGLYFGDEVPASLAIVKCHQDEVLELPDSATVLASSEVCQVEMFSIGDHLLCIQGHPEYNKGILFEIVDRVLNMKLMEQEFADKAKSTMETAEPDKDLWQKLCKNFLKGQI >A05g503590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10400609:10403525:-1 gene:A05g503590.1_BraROA transcript:A05g503590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGMTYLLVVWKSSGLFGSLLTKSSGLLGSRLDFSECFGFSDLDLICKFFRSGRLLGRLTFVWTSCKVVWKSSELPKSLLTKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTVRRLPGKSSTARRLPNSLAYIRLLQAHRITNESHPPRSVSFYVSMNHKNFRIKILSFFNLLWRESERHVVFSSQEWKKKKGKSILGALKASNWLFMVVVVLMTMAIL >A07p029930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16749673:16750707:-1 gene:A07p029930.1_BraROA transcript:A07p029930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGSSFKLDNDFEKRKAEAGRILEKYPDRIPVIVEKAEKSDIPDIDKKKYLVPSDLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGEIMSSVYEDKKDQDGFLYITYSGENTFGASSI >A03p074130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32225046:32233240:-1 gene:A03p074130.1_BraROA transcript:A03p074130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSSLNGDSSASHRRTPSRTVTLGHIQPQAPSCRTVYCNDRDSNMPVRFKGNSISTTKYNVFTFLPKGLFEQFRRIANIYFLGISCLSLTPISPVSPITNVAPLSMVLLVSLIKEAFEDWSQDPPVSQLLNPSMDNLVQKRFQNDMSINNSTVEILQGQQWVPIPWRKLQVGDIVKIKQDAFFPADILFLSSTNPDGICYVETANLDGETNLKIRKALERTWDYLVPEKASEFKGEIQCEQPNNSLYTFTGNLVVQKQTLPLSPDQLLLRGCSLRNTEYIVGAVVFTGHETKVMMNAMNAPSKRSTLEKKLDKLIITIFCVLLTMCLIGAIGCSIVTDREDLYLGLQKSDWEYHNRLAIGFFTFFTLITLFSSIIPISLYVSIEMIKFIQSTQFINRDLNMYHAETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGISYGCGVTEIERGIAQRNGLKVHEEERSTGAIREKGFNFDDPRLMRGAWRNEPDPDLCKELFRCLAICHTVLPEGDESPEKIVYQAASPDEAALVTAAKNFGFFFYRRTPTTVYVRESHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIFERLADGMDDVRKVTREHLEHFGSSGLRTLCLAYRDLDPETYNSWNEKFIQAKSALRDREKKLDEVFACNLINNDMKQFIISSETDAIREAEERGDQVEIARVIKEEVKKELRKSLEEAQLYMHTVAGPKLALVIDGKCLMYALDPSLRVTLLSLSLNCTSVVCCRVSPLQKAQVTSLVRKGAKKITLSIGDGANDVSMIQAAHVGIGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVVMYFFYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVFFTALPVIVLGLFEKDVSASLSKRYPELYREGIRNSFFKWRVVAVWATSAVYQSLVCYLFVTTSSFGAINSSGKIFGLWDVSTLVFTCLVIAVNVRILLMSNSITRWHYITVGGSILAWLVFAFVYCGITTSRDRNENVYFVIYVLMSTFYFYFTLLLIPAVSLLGDFIYQWVERWFYPYDYQIVQEIHRHESDASKADHLEIENELTPQEARSYAISQLPRELSKHTGFAFDSPGYESFFASQLGVYAPQKAWDVARRASMRSRPKVPKK >A03p029370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12342527:12344216:1 gene:A03p029370.1_BraROA transcript:A03p029370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 11 [Source:Projected from Arabidopsis thaliana (AT4G08040) UniProtKB/Swiss-Prot;Acc:Q9S9U6] MLSSKVINESHGQDSSYFLGWQEYEKNPFHETLNPSGIVQMGLAENQLSFDLIESWLDDHPEVLGLKKNEESVFKHLALFQDYHGLPAFKDAMAKFMEKIRGSKVKFNANKMVLTAGSTSANETLMFCLANPGDAFLIPAPYYPGFDRDLKWRTGVEIVPIHCVSSNGYKITKEALEDAYERAHKLNLNVKGVLITNPSNPLGTSTTRGELDLLLTFTSAKKIHMVSDEIYSGTVFDSPEFTSVLEVAKDKNMDLDEKIHVVYSLSKDLGLPGFRVGLIYSNNEKVVSAATKMSSFGLISSQTQHLLANLLSDERFTTKYLEVNRKRLRERRDRLVSGLKKAGIGCLKSNGGLFCWVDLRHLLKSNTFEAEHSLWTKIVCEVGLNISPGSSCHSDEPGWFRVCFANMSDQTLEVAMDRVHGFVEAMVMNTNHGKQKRTMWDSRRRSLINKWVSKLSSVQCESER >A03p038610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16102105:16105042:1 gene:A03p038610.1_BraROA transcript:A03p038610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLOWERING locus D-like protein [Source:Projected from Arabidopsis thaliana (AT3G10390) UniProtKB/TrEMBL;Acc:A0A1I9LNW6] MNPPDNNQDNAPSTPHSQLPTPPPQSNSNPNPDPTSISISNPSFEQMVSFSAPKKRRRGRSQRSTSSFHTLPNPGGILSNIDAAPRSTNPGIGDEIITINKDATTEALLALTAGFPADSLTEEEIDFGVVPVVGGIEQVNYILIRNHIISKWRENISSWIAKESFLDSIPKHCISLLDSAYDYLVLHGYINFGISQAVKDKVPPTQQQQSKPSVVIVGAGLSGLAAARQLLRFGFKVTVLEGRKRPGGRVYTKRMEGNRVEAAADLGGSVLTGTLGNPLGIIARQLGCSLYKVRDKCPLYRVDGKPVDPDLDMKVEGAFNRLLDKASKLRQLMGDVSMDVSLGAALETFRQVYGDATEEMSLFNWHLANLEYANAGLVSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVHALSENVPILYEKTVQTIRYGADGVKVTAGHQVYEGDMVLCTVPLGVLKNGSIKFVPELPQRKLDCIKRLGFGLLNKVAMLFPFVFWGTDLDTFGHLTEDPANRGEFFLFYSYAQVAGGPLLIALVAGEAAHKFETMPPTDAVTRVLQILRGMYEPQGINVPDPIQTVCTRWGGDPFSFGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFVTGLREAANMAQSAKSRGIRKRIDRNPSKNPHACATLLADLFRDPDLEFGSFSIIFSRRNPDPKSPAILRVTLSEPCKRNDDPVADQYSNKILFQQLQSHFNQQQQIQVYTLLTRQQALDLREVRGGDEKRLYYLCETLGVKLVGRKGLGIGADSVIASIKAERTGRKPALSSSGTKSGMLKVKSGALKRKLIRRIKGPVPLNQNNNGDSETVKHESLGNGKASVQATIADTIRSSSTQEENKWLGTGVASSSGIHSS >A01p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1245219:1249093:1 gene:A01p002730.1_BraROA transcript:A01p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSMFFLHLIFHALLLSRADDTQSEIDALTAFKLNLHDPLGALTSWDPSTPSAPCDWRGVFCTNRRVTEIRLPRLQLSGRISDRISDLRMLRKLSLRSNSFNGTIPPSLAYCTRLLSVFLQYNSLTGKLPPGMKNLTELEVFNVAGNRLSGEISGPLPLSLKFLDVSSNVFSGQIPSGLANLTQLQLLNLSYNQLNGAIPASLGKLQSLQYLWLDFNLLQGTLPSALSNCSSIVHLSASGNAIGGVIPAAFGALPNLEVIALDNNNLTGTVPFSLFCNTSLTIVRLGSNAFSDVVRPETVNCRSTGLQVLDLSENRISGRFPMWLTSIVSLTNLDVSGNVFSGEIPAEIGGLKLVEELKLANNSLTGEIPVEIKQCGSLGVLDLEGNRLTGLVPEFLGYMKALKVLSLGRNSFSGYVPLSMVNLQQLDRLNLGENDLNGSFPVELMALTNLSELDLSGNRFTGEVPVSISNLSNLSFLNLSGNEFSGEIPVSIGNLFKLTSLDLSKQNMSGEIPVELSGLPNLQVIALQENNFSGDVPEGFSSLVSLRYVNLSSNSFSGEIPQTFGFLRVLVALSLSDNHISGSIPPEVGNCSALEVLELRSNRLTGNIPVDLSRLSRLKVLDLGRNNLSGEIPPVSSSLQSLSLDHNHLSGVIPESFSGLSNLSRLDLSVNNLTGEIPSTLSVIATNLVYFNVSNNNLKGEIPSSFTNPSDFSGNSELCGKPLNRKCEGSTAEERKKRRKMILMIVMAAIGACLLTLFCCFYIYTLLRWRKKLKQQSATGEKKRSPGRTSAGSRVRSSTSRSSTENGEPKLVMFNNKITLAETIEATRQFDEENVLSRTKYGLLFKANYNDGMVLSIRRLPNGSLLNENLFKKEAEVLGKVKHRNITVLRGYYAGPPDLRLLVYDYMPNGNLSTLLQEASHQDGHVLNWPMRHLIALGIARGLGFLHQSNMVHGDIKPQNVLFDADFEAHLSDFGLDRLTVRSPSRTAVTSATIGTLGYVSPEATLSGEITRESDIYSFGIVLLEILTGKRPVMFTQDEDIVKWVKKQLQRGQVTELLEPGLLELDPESSEWEEFLLGIKVGLLCTATDPLDRPTMSDIVFMLEGCRVGPDVTSSADQPSPA >A02p052400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32072388:32076845:1 gene:A02p052400.1_BraROA transcript:A02p052400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSSANDHELGILRRTNSDTKSDNETIPSNRSAFSGPLGRPKRASKKNARFAADLPRRSNSISGVGGREDDEYVEITLDIRDDSVAVHSVQQASPGGPQNLEDPELTLLTKKTLESSLNKSSSLSFFRSTSSRIKNASRELRRVFSRRPSPAVRRFDRTSSAAIHALKGLKFIATKTAAWPAVEERFDKLSLESNGLLLSSKFWECLGMNKESKDFADQLFRALAHRNNISGDAITKDQLRFFWEQISDESFDAKLQVFFDMVDKDEDGRVTEEEVAEIISLSASANKLSNIQKQAKEYAALIMEELDPDNAGYIMIENLEMLLLQAPNQSVRIGDSRILSQMLSQKLKPTTESNPLVRWSEKIKYFVIDNWQRIWIMMLWLAICAGLFTYKFIEYRNNKDAFGVMRYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIASGIVVGVLLHVVSHLACDFPRLLAADEETYEPMVQYFGEQPESYWHFVKEVEGWTGIVMVVLMVIAFTLATPWFRRNKLNLPNFLKKLTGFNAFWYSHHLFIIVYTLLIVHGIKLYLTKIWYKKTTWMYLAVPILLYGSERLIRAFRSSIKPVKIVKVAVYPGNVLSLHMTKPQGFKYKSGQYMFVNCRAVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTRKLKTVFSEVCKPPTAGKSGLLRADGGDSIAFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISILKDIINNMKSRDRDSDIENNSSNGNSKGFRTRKAYFYWVTREQGSFEWFKGIMDEVSELDDEGIIELHNYCTSVYEEGDARVALIAMLQSLQHAKNGVDVVSGTRVKSHFAKPNWRQVYKRIAVQHPDKRIGVFYCGAPGLTKDLKNLALDFSRKTSTKFDFHKENF >A06g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27241317:27242871:-1 gene:A06g509390.1_BraROA transcript:A06g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAGYWVNKIRTSFKGGSSSSKSLDEGSASGSRKNGSKNQKTEEGNKKGEAESGRKVMVVVDTTSQSKNALQWALTQCVQDEDNITLLHVTKTPVGQATDETQGQRNSRAHEQVHPLKNFCQLKKPNVKTEIVVVETAEEKGKTIVAEAKKQGAGVLVLGQRKRTSKWRVIWKWRAKGGIGGGVVEYCIHNSECMAIAVRKKSNNGGYLITTKRHKDFWLLA >A04g508330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21790982:21791726:-1 gene:A04g508330.1_BraROA transcript:A04g508330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNINKPNTLISFFFDGISSTISHSFSEAILSLASCDAKEPSKLLVLKDMSKNPTDGFNSVIFRFALPLTSFLVHMSSFNGAWSILGGSASAVKQFRLGLLPSFTLLQRLIVDYTIWCWNNVAFKSAREAMRQPKD >A08p013920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9335111:9335900:-1 gene:A08p013920.1_BraROA transcript:A08p013920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVKKYLGFSVLSSPKSPQPNIGFVFWLDLRDQNIIVTLCLAAAIDAAEDPVRLRHVLLPRLERADESEYEQPKVLYERFVNALDELSDDTLRDLKEKALKVYPIIVKTLAFLLRKTSSQSVKTNP >A08p005180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2993202:2995931:1 gene:A08p005180.1_BraROA transcript:A08p005180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRGPLAVPKVRRVGFFTSIEPSPETPRPNRSLSGPAEAITSSSPLSDSPSGQFISPVQIPPSRHHSDNLASRAAPVPVPGPSAFRRQLANDRALHVGSYNPVDSLLGTSPPSSNGEVSEDSGSLFGFQRSDSAKLSASFPNGGFDMTLRVRAPQESEAKVAIASTSDGRKKNVEASGEGESLAAKPRKEKETKSLKEKTSKAERRAIQEAQRAAKAAAKGEGSKRAGESSRPKPSKPAKQPQPKKEAPQVTSSVSEKRAVSVEKERRMDVPQTQMQYDDKSRVDKAKRRSVVEQTESKNKVELFLHLPQYERGNQLPNLSSNIFSLDTIHHAVYKVGLQHLAGDIAGDNARCIAMLHAFQEAINDYTTPPMKDLTMDLTAKINGYVSFLIECRPLSMSMGNAIRFLKNQIRKLPVDLSEPEAKASLCSEIGRFIDEKILLADKVIVQYAVTKIRDGEVLLTYGFSCVVEMILLYAHEIGRKFRVVIVDSRPNLEGQKLLRRLVTRGLDCTYTHINAVSYIMGEVTRVFLGASSIFSNGTLYSKVGTACVAMVANAFSVPVIVCCEAYKFHERVLLDSICSNELGDPDAIANVPLRNNKKHSKTMDNNKNLQFLNLMYDSTPAEYISMIVTDYGMIPPTSIPVIVREYRREDLLL >A04g507790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19734290:19737614:1 gene:A04g507790.1_BraROA transcript:A04g507790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGSLSNTLSITESLELSKNNTLVSSNNLFELGFFQSQSKWYLGNWWKQDKTVLWVANRNVPLLGSYGVLKFMKNNLVILNQSGDQIWSTYLSGSGVRSSMWAELHNNGNLVLKYINNPTGYVWQSFDHPTDTLLPGMKLDPVDPSKRLYSWVSSDDPSAGYLWLGIMNYPDGIFAIDVTNYRMDTWNGYRFGDLPPVFQLNDNADSFLMNTFPNSYSRLTMSPDGFYYIHTWVPGVNVWNMSFSMREDACYRGRFNTTCGSYSICSKNASCHCIQGVTEKPEGGCIRRNALKCNEDIFEKLQKMKLPEDGECINGSSYSVEECENVCLKDCDCKSFALVESRKSQRVCVKWTGVLKGMRTYTFGGQDIYIRVAASDNGGPTQTEIVMSEIDTPESFQLMEFGVIFEATNHFSESNKVGQGGFGPVYKGTLPDGNEIAVKRLKARSSQGMAEFRNEVTLILRVLHVNLVRLLGCCIHGYDRLLVYEFLENSSLSSYIFNQTRSPLLNWEHRFDIMKGIAEGLCYLHNFTSPPILHRDLKPSNVLLGRDMIPKISDFGLAQMMETGTTEAAMETAVGTYGYMSEEYAKDNIMSQKSDVFSYGVVLLEILTGRRNHEYCIANPGDSLLDFVWRRWKDGTGLEVVDSSFHDDSFIEDQVLRSMKIGLSCVQQNMHDRPSTRTIVVMFENGPRSEILDPTRPNYNLTRADSSSSPVTVTDQSVTINEATLSCTDAR >A01p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7155286:7156955:-1 gene:A01p014800.1_BraROA transcript:A01p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDININKTTPVLSEPTTPVSKSRLGSSFPSGRFMSLRKKIPKLDDVRSNGWLEAMISSSPPRKRLVKDFNIEIAPEDDFAQRAWMLKYPSAITSFGHIAAQAKDKKIAVFLDYDGTLSPIVDDPDRAIMSDAMRAAVKDVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMTPANVNGSPENPNCIKSTDQQGEEVNLFQPAKEFIPVIEEVYKNLVELTKSIKGAKVENHKFCASVHYRNVDKEDWLIVAQRVHDHLKQYPRLRLTHGRKVLEVRPVIEWNKGKAVEFLLESLGLSNDDDFLPIFIGDDKTDEDAFKVLREKEQGFGILVSSVPKESNAFYSLRDPSEVKKFLKTLVKWRKMEDSTSI >A05p044000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26498235:26500948:1 gene:A05p044000.1_BraROA transcript:A05p044000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSPLTTDKRNRRRVVSSLPKNPIFFSSSAQNPEIFSRICFTLVSFSKEQKQMGAQIIYCDGFEVVPPPPEMNDLIFFGSDQSGGGGSNCGESTVTTEEDATVFSGDSSPGWRETKLFSFYFVKQPAYDDHDVKSKIDAADFEIYHWNKRRIDIYSAQKSQRAELLSLYALMESLVAKSHGRKVVLDDKKVEFDSLQERLRCSSSDELDHLIYTEDWLLQGTEKPGGMALSDDSLAQKEASVHSLKLMAVEMNEVKKELEAIAWNIQQLSDKVGQTQNKVMVLEAEMSYILEQRDKSYERIKMLRIERDKGNAAFYHSIAVMKRAKELAASGNVRDLEVYSSSEVDRFMARWNNDKSYREDYVKRISHSLCERQLSRDGRIRDTEGEAQAVWEKLVKTRKEGMAVHKMNREEDSSSNSSQDGNVITEKQKKEVRKKAMEFNRSSAEESDVIDLEFPVYENPKKEGEVDEETLKEKKREEQLEKAQLAMERKRKLQEKATAKAAIRAQKEAEKKLKASYLLMLFECEKKAKKKAASNSSLIKSQEAINELEKVSTIAVSGKEKQRSVFPKRSFRYKHRARGTEALPKAILNRRKAQRYWVWGLSSAALALVLFLVFLLLR >SC105g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000012.1:15849:21395:1 gene:SC105g500010.1_BraROA transcript:SC105g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLVDSIPKCMPSGTRSNKEKDLLFSDDPAHLKRTIRRGQRSTSLDATTLLSIDTHNQQSTDTRPSSSIDPNQYESEYETEYSESIDTHTFPSINSNESTVTDDRNKTSLDVDQPVDHFTLPNHCYPHFAFQPPSKRARDDYSIGSCEDSGFHECFAVDIVITSPNEEHTEEYDDDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKKPLTSIDTHIGTSIDICAGAKIQEQENIPSPTRFIDTYLKRFAPLKPPPHTRADTQAEKMNTLPSISTGKSMKSNHLKNTISAEIILPSIDASVSTSIDTTLKPNLSISKLNNNANIDYSFLTPDAFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTNPNKHVGVAATEINPYLSCQPKCQASIDGTTQTSIDRITPMSTDKNDQTSIDRRYEFGYRAFDMYRARKFTWERRDEYGVYGDECGHARGVAGSQDLSIDRRTTPSINVSLPTAQIPAEPQCSAEHKAEWEVSYINTRINDMYYPLNNNVDWLSTKIEVLQQDLDTIRKKDQQPATSIDVCTITSLDAKISAMDDRLHTYDDMHDRFANSFSIDRLRGPWINGKNPVESLGRPWIEETYQPVLGVVVTTTEVPIIRKGPTTRSGSRVIRAGFAKAVQELLAQEQTEFKQLLIQELSDLKLEDTCEPLEVPEPFHSSQFSSIGQNEAGLIISTFILNPSNQIASGLEI >A04p029180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17464417:17466588:-1 gene:A04p029180.1_BraROA transcript:A04p029180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFIFLYTTSPPSLLFGLLSQINPLCLSLQSRVCSLKLTDFMLMESLMMEEKKQLDFNRPLISIRRPIQPDSKRRSSDSVTNKIPPSPPVYKSDINSGPVRNPGTVPFQWEHKPGKPKGETKPELQSVVVEQHFVPKLPPGRRIVKARESEELVRKPETRTNHVEDAKSCSSWYDDDESDDTYLDATDTLSRSESFFFNCSNVSGQGMLVEPFGTLSSDRQTQDLMMGRFLPAAKALTSETPPHLARKPPKPEEPARRIVVVKEKQNKAEQNPYRFHHSPDHQEEEDEDTSSMMASGVCGLLPQICLRSSFGVLNPVPSVRRQAQRGVSVRRMRSKYQDSTATPCNENHKVKLNGSSTPEGKERLENLISASRTKTSKNFGELLASDDNTWQPSSEAPVVEKTLYVDTVHSVDKKVQDESLLKDYPSQEVVPVKEDVQNLNGASEEAISDLKGEECADQAVAKVVEITKEKSDLEVKLQQGNKTDLESSRFHHHHIVAPPPLPKAPSDSWLKRTLPTIPSKNNSFTWLQSLGIDDNNNQITKSIQENLKWETMVKTSNTQQGFVCISKDTLNPIPEA >A06p053680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28256993:28257736:1 gene:A06p053680.1_BraROA transcript:A06p053680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSEDDKSEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRQQTFQSIGRWLNELHTHSDMNVVTILVGNKSDLKDIREVPTSEGKALAEAQGLFFMETSALDSSNVAAAFETVVKEIYNILSRKVMSSQELNKQDPASLSNGKKVVIPSDELLIPSKKGGCCST >A04p017300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10599585:10600301:1 gene:A04p017300.1_BraROA transcript:A04p017300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQKEEEEGEIVEEDEGQANSAKEETGSRVNLKLSAQAAGQSFNATFPGWQCLHNYSHYRLGRIWVCWSESVDVVPVLVSSQMITCLVRFKESNDIFLASFVYASNFMVERRELWREMKMVSRQVASGPNPWILQGDFNVTLSAMEHSRFLNTAGESAYVI >A09p020290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10746480:10747346:1 gene:A09p020290.1_BraROA transcript:A09p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYYGDLTETWSNFSLLVDTAALLHEQEHKPTCYTEKKKAVSRKEEDEQRMKRIFDLFRRKPRSSSVKKPLQNPNGASTSSQLFDLNKIPSDTQTKNPQNPSSLSSSSSCLTENNNTSSRKRRAAQERNQRCFKKAKVSPLFTWEGKDTPEWMIQLMRNTKGAADPMLIFEKTLFETDVKPSQSRLSIPFHKLIRNDFLTPVESRIIEEDISNREKMGLGAVLVDQGIKKWGVFLKRWEMNGSWNYALVCGWNDVVKANGLKDGDSISLWSFRCRGVLCFALVPLPM >A06p057320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29825597:29832407:-1 gene:A06p057320.1_BraROA transcript:A06p057320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIEYVLKVNNLFQKGYSTFIPFSKVVAMWLVRAGTIAILIIAFLQIAAAKKRPHSIVKYHGAVATDDGRCSKIGMKVLRQGGNAIDASVAAALCLGVVSPASSGIGGGSFIVVKMASGKEVAYDSRETAPLRATENMYGGNLDLKKRGALSVGVPGEVAGLFTAWKQHGKLPWKRLVSPAKKLADRGFKITKYLYMQMNTTRDHILADKGLSKLFVSNGELKKPGTLCRNPKLALTLRQIAKYGPKAFYNGTVGVNLVSDILKSGGIITLKDLQSYRVNVKEPLSNDILGYRLLGMPPPSSGGAAMVLILNILSQYGVPSGVSGSLGVHRLVEALKHAFAIRMNLGDPDFVDVTKVVSDMLSPQFAQDLKRKINDKKTFDPKYYGGRWNQIKDHGTSHLSIIDHERNCVSMTSTINAFFGALMLSPSTGIVLNNEMDDFSIPLKSFNDSDKPPPAPANFIRPGKRPLSSMTPTIVLKDGKVKAAVGASGGMYIIAGTTEVFLNHFLLNMDPLSSVVAPRIYHQLIPNSVKYENWTTAYNDHFEIPKGTRHVLEKKGHVLTPFAGGTISQFIVQESDGKLVAVSDPRKGGALIRSATIVLFLIAFLKNAAAHKRQQSIVAYHGAVATDDGRCSEIGMKVLQKGGNAIDASVAAALCLGVVSPASSGLGGGSFAVVKTSEGKEVAYDSREVAPLRATENMYDGNQDLKKKGALSVAVPGEVAGLFTAWTQHGKLPWKKLVNPARKLAAKGFKISKYLYMQMNATSDDILADKGLSELFVSNGKLRKPGTIIRNPKLACTLKQIGKYGSKAFYNGTVGDYLVRDIQKSGGIITLKDLQSYKVKVKEPLSTDILGFRLLGMPPPSSGGPAMVLVLNILSQYGVPSGVSGPLGVHRLVEALKHAFAIRMNLGDPDFVDVTKVVSDMLSPEFAKDLKKKISDERTFKPKHYGAKWNELQDHGTSHLSIIDKDRNVVSMTNTVNYFFGALTLSPSTGIVLNNEMDDFSIPMKFVGDRNVPLPAPANFIRPGKRPLSSMAPTIVLKDGKVKASVGASGGIFIIAGTTEVFLNHFFLNMDPLSSVLAPRIYHQLIPNRVLYENWTTVYDDHFEIPKETRDVLEKKGHVLAPIAGGMISQFIVQESDGKLVAVSDPRKGGFPSGY >A04p034580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19983168:19984147:1 gene:A04p034580.1_BraROA transcript:A04p034580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSGRVVGDYLVGRHMNIGTGSFSVLWEAKHSLFTGLMWLSRRYLWPGLTRSFRTVSCLRFLSSEDQSSQLHPFARRGRGYTSKSGPLYGTRTKTRSLQPMRLAETLCVSPLYMAPEIMKLRKYDASCHFSLRQLVASMHGANPDSHLNLTSLSFVITIRFIIAITHA >A05p038070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21705695:21709933:1 gene:A05p038070.1_BraROA transcript:A05p038070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAQMILLEFTDDVPFCILSTVCIMLIRAIKRAFLGLFILILASVSVVVAAIAGATEGHTTDIGFIRGGLLGVVVGVITAVQLFGLMLHGDQSLSKVSALMRRIMNGKAIMGLVRPAVLKAYQWQIMGVDTSYLEISDMYHYDQEPKGLSVNSIKNIPTFYFSCSGDHQTTSSCSICLQDWEEGQVGRKLERCGHTFHMKCIDEWFLRQAIGNVKKLSSKTQGGDTTRSTSIICLKSPIASDISLKSFSFTYHSLSLSLSPFVPLDRESEMTWSVFRSINSPTLDLSAALRSTRSPLFAAGAGCATLAGVSLFRMSSRSPPFASLSVSASASEKKEVVATEKAPAALGPYSQAIKANNLVFVSGVLGLIPETGKFVSDNVEDQTEQILKNMGEILKASGVDYSSVVKTTIMLADLGDFKKVNEIYAKYFPAPSPARSTYQVAALPLNAKIEIECIAAL >A07p029540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16546946:16548084:1 gene:A07p029540.1_BraROA transcript:A07p029540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLSRYGCNAETADHKQREEQQLLLCSSSHENGAVFQKDESTKSELERLQLAIERLKGKELEGMSFSDLISLENQLNDSLHSVKNQKTQLLLNQVERSRLQEKRALEENQLLRKQVEMLGRGSSGPKGLSEIPQFSSPQAEHESSSSDDDDNDNEDHHSDTSLQLGLSWSGYCRKIKKPKIESPCDNSGSQVASG >A07p005160.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2324948:2327161:-1 gene:A07p005160.1_BraROA transcript:A07p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g17210 [Source:Projected from Arabidopsis thaliana (AT2G17210) UniProtKB/Swiss-Prot;Acc:Q9SII7] MCSKLQALSWRIKQASSNGKWREVLSSYSEIQTTSGTQLNDPFLFPIVFKACGKLSWLSRGRCIHASLYKRGFESFVSVGNSIADFYMKCGDLCSATRVFDCITSRDSVSWNVVVFGFLDHGFVEEGLWWFSKSRVWGFEPNVSTLVLVIHAYRGLRSYVDGEKIHSYVIRSGFYGVSSVQNSILSLYAECDLTNARKLFDGMSERDVISWSVVIRSYVQSQEPVCGLKLFREMVREGETEPDSVTVTSVLKGCAVLEDVNMGRSVHGFSIRKGFAMADVFVRNSLIDMYSKGFDVDSAFRVFDETTCRNIVSWNSILAGFAQNQRYEEALKMFGLMRKEAVEADEVTLVSLLQVCKFFEQPLPCKSVHCVMIRFGYESNEVTLSSLIDAYTSCSLVDDARAVFEWMAYKDVVSCSTMISGLARSGRPDEAISIFSQMKDKPNAVTVVNLLDACSASADLRKSKWAHGIAIRRGLATADISLDTSIVDAYAKCGAIEMARRAFNLVPRKNVVSWTVIILAYAINGLPERALVSFEEMKREGYAPNAVTYLAVLSACNHGGLIKQGLMIFKSMVKDHKKPSLQHYSCLVDMLSRAGEIETAMELIKNLPEDVKPGASAWGSILSGCRNRLKSGIITTEAIAEVLELEPLCSSGYLLASSVFAAEKSWEDVASMRRLVKERKVRVVAGYSTVLEGTVSRRFLAGEKLNQSDCEINTVVQSLHRCLTSENSMEWISTKVF >A09p072660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55624126:55625454:-1 gene:A09p072660.1_BraROA transcript:A09p072660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTRVLESSPVRNLTAARNQNWWNKVSGGLMPPQLPPCTASYHLLPSLLPNYFPSPTSSSSSLPPISNPNVFSWLHNNDDLPLDQLWSHSKLLFNNNGYMIISSPKSPLNKSCVTTTTIHNFNEDSNKNDNNGINFSKCNSLEISGSCFANKKPKLQVPSLRPLSQPILKDYGQHLQQLPINP >A08p016070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10461804:10465979:-1 gene:A08p016070.1_BraROA transcript:A08p016070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MESEAIQDELQSLELEINDVQGQISALIEHQDRLYERKSELKTLLKAVAASVTPVASSCPDSSSAVENWSEPFEWDSRADDIRFNIFGISKYRANQREKANVSILFPWMTRQIVNAIMAGRDVLVIMAAGGGKSLCYQLPAILRGGTTLVVSPLLSLIQDQVMGLAALGISAYMLTSTSGKENEKFVYKALEKGEDDLKILYVTPEKVSKSKRFMSKLEKCHNAGRLSLISIDEAHCCSQWGHDFRPDYKNLSILKTQFPKVPMVALTATATQKVQNDLIEMLHIPKCVKFVSSVNRPNLFYSVREKSLVGKAVVDEIAEFIRESYSNNESGIVYCFSRKECEQIAGELRERGISADYYHAEMDVNMREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLFFRSGDVPRQSSMVFYEYSGLQNLYDIVRYCQSKTKCRRSAFFRHFGEPSQDCNGMCDNCALSSEVKEVDVSDLAKLVVSMVQEMQAKDQRVTMLQLGDKLRTKHKDLSAELKREEIEHLVIKLIVDSVLKEEFQHTPYSTNAYVTTGPLANQLLQGRKTIKTETSSRQINKKSKRSSSFSGLESKLDELRKEISAAHGSMLPHTVLSTQQIGLISSQKPDSLQELESIIGKLKTDKYGDKILEVMRHDAVSEQLVEDGTKEDTCKSRSSKRAKTQKDVVLLESSEEE >A09g513370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:40696362:40697674:1 gene:A09g513370.1_BraROA transcript:A09g513370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRRRRDRATLMAMRSSDVNGNEIECILSHEADKIETRNLKTLNPQKESQFPTLMEEACVQAYMRATTLPAASTHLLRSRMIAESVPFSSDKDASEGSTSAVENDVSYDLMSHINYLLVRGVVRVSMVLVRDIWVFEKSLFHTRA >A09p005420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2955929:2957880:-1 gene:A09p005420.1_BraROA transcript:A09p005420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLEELPLSPSLISQLNPNSTAKGYGGGFIGGWVDRCHGFLHNTLLVAASLFFVGYLAYEARKSFSKLSNRRSFIMIGYYGSLWLVSLLNLAWCCLQGWECSPGKEVAWNLLTLFTTSGMLFLEVSLVAFLFQGNYASGAEALTRTFLISGFVIALDLLLKAIYVFGFGVSLFIDNNENVQKYKWGLWVIHKLLLTGVYGMIFLMYNSSWRERLPARPAFYKYITIMFALYGLYLVASAFAANGAHFGFWLYGVMSVCYHALYLPLLYITFLADFFQEEDLNLENVYYSEMKDAGFFDADWE >A10g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9941222:9943563:-1 gene:A10g504020.1_BraROA transcript:A10g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKFEEPISLIKQSALTVVEDSKLTELFDKVLLLDCKSDLQVIEEGESVSSKPQVTKKEGGRTKKKSQTFDFRTLLTLCAQSISARDKITADDLLRQIRKQCTPLGDASQRLAYFFANALQARLEDDSRRCERRFGASRHRLWDPLRFPVAYVYTEYIKKQDRATEATDNGVEIPQNGFYPMQRIEDMCRRLTEYCKQFGVPFEYNTIASRNWETIRLEEFKIRPNKVLAVNTALRLKNVRDVTPGGEEDCPRDRFLKLIRDMKPDVFLSSTENPERIHLEGEFYRREVMKMIACERVDRVERPETYKQWQVRMMRDGFKQKPVEAELVESIRVKMKKWGYHKDFVLDEYSNWFLQGWKGRILFSSSCWIFS >A02g504820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15403476:15403950:1 gene:A02g504820.1_BraROA transcript:A02g504820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDQSSLNPHKSITPDVDLMLDFVFQGGGVDYKKYSSLICRPVLLSFSSSAIVNLIFLPPPLAISP >A06g505330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15669088:15681310:1 gene:A06g505330.1_BraROA transcript:A06g505330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGRGRHALPHISPKVAFCIHESLSGIGVPHGVLGDTWRHLELKKKCLEWSLGEQGMGATSPERHSEVALITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGRSRSLERRAEVAARFISTRICDFSRAFWSFHYARFYFLNLCFNTLGANKRGIIFVLRKTTKNLWKVISLNQLISLLLRILCSYLFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQQSNPSTSTPQESCVGARSHSDTSRSLQLGARFRERHSKVARVFVVGSRKIASERGLGARPKGRSSWERHYGSDTSESLAFSTKRSSKKLTRSDVLERHLEVAPAQSEDSRATLQGRSRFCRNTTRGNDSGATFPSDTLTSLPNRSSLFLTTHSPFPFIQSKVKMVKKTKGKLEAEKQEAERKEFALRGKALSCEPTGSGTQRTVRQQTLAARKSQEQEKRVGKSVAVSTHEESETESTDEQVPTKKAKMSKGKGVAVDRDRAKTPSVEELYDHLKNGVTWAPTRFADLDLLKELGLESDIEAMLGHLKMPKLLTMAYPFYKDVTCQFLSSLVVTYHDTAHVRQGWGKITFKVNGREYNMNFKDIGRVMGFQDLEDHSLPKCENLPTELWKLITGNRHSTGADKNSHIRHPSVRYLHRLLVHAFYPRKQAGNVTEEDMRLLCPAIRPYAQPGVLPLPSTDIYATFGMVSFFVGRLEHYRDWAWYTTDSRPKMGIGGMITPLLQFLNVPLGKDAAGPRFIDGTYLRIATYFSGMYGKDYVYHYYLQGEPVEVVLPNRNLTSLEIPGAISFNIPQEYFLGEHGPLDPIQAAPSRRRSVPSQPDSPVADTSEHIYGPPRYYFKPHDGVPSLHLRLMLLASPSLLRSFSISGGTHSLNLPLPVTNPHPSLLLTVRTRLTRFRASHGMEAPVQHPVVAFCIHESLSGIGVPHGVLGDTWRHLELKKECLEWSLGEQGMGATSPERHHRVALITLLERPNQSDREKSLAVSSLGDARTSPERPLGATQRGRSSWERRYGSDREKSLAVSSPGDARTSPERPLAATQRGRSRSLERLVGATG >A08p034810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20630303:20632265:1 gene:A08p034810.1_BraROA transcript:A08p034810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP54 [Source:Projected from Arabidopsis thaliana (AT1G24310) UniProtKB/Swiss-Prot;Acc:Q8GYF7] MFGTPSSSPAFGTPSSTPMFGSSSTSAFGTPSSTPAFGTPSSTPAFGTPSATPAFGTPSSTPAFGTPSTSSFASGGFGNSLFSTPFSSQQPQQQQQQTSPFQQPASSGFGFQSPFNTAQQQTPFQNTQLTTQMAPVAPIPFSLADRDIQAIVEAYKEDPTNPKYAFKHLLFSVTEQQYRVKPAAVSDIMWAEAMSKLEGMDSSERERLWPQLVQGFKDLSQRLKLQDEVLVSDRERIKTTQSNVKMLQRHLQAHTFPSIERLRQKEQNLQRRMLRVMRIVEGLEGKGFRLPLTKGEAELSEKLTGITRQVKGPGAELSRRVQSLQTICRAQANSFSAGSSIYLPGSTKIDEQSLIDMQEVLQQETEAIGRLGNVLKRDMRDMEIMVAEDTEMTEDT >A03p002640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1190771:1192375:1 gene:A03p002640.1_BraROA transcript:A03p002640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLQTDQLGEPSPMRKMISVSSIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPISGMLVQPTVGYYSDRCTSKFGRRRPFIASGAVLVAVAGILIGYAADIGRVLGDKLEETVKVRAICIFALGFWILDVANNTLQGPCRAFLADLAAGDARKTRTANAFFSFFMAVGNILGYAAGSYTNLHKIFPFTMTEACDIYCANLKSCFFLSIILLLFVTVSSLGYVKDKQWSPEEADSDVKTPFFGEVFGAFKVMERPMWMLIIVTALNWIAWFPFLLFDTDWMGREVYGGDSSGNESSKRLYNQGVHAGALGLMINAIVLGFMSLGVEWIGRKMGGAKRLWGVVNFILAVCLAMTVLVTKLADAHRKTAGVLAGPTDGIRAGALTLFAILGIPLAVTFSIPFALASIISSNSGAGQGLSLGVLNLAIVIPQMVVSLGAGQFDSWFGGGNLPGFVVGAIAAAISGVVAITVLP >A04p038790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22028617:22031165:1 gene:A04p038790.1_BraROA transcript:A04p038790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFTIENKCDYTIWPATYNFQGSVDTTGFILEKGETRTINTTSSWIGNLWGRTLCSTNSTGDFSCITGDCESGDIECSKAGVPPATLAEFNLAYDNGSDYYDVSVINGYNLPVLVTPENGNCKSIRCVVDIKKTCPTELWINRTDIRSNDPYACQTSSNNRSSTAEPPLAPSVSPSTKKTLAGEKGKSSLKLILILGGSSVFAMIIIIATVIKVRANNRRKSDLDGKNIEAVVMLKRFSYAQVKKMTKSFADGEIMSFFEEQITEEDDEILVRKMVLVGLWCIQTNPFDRPSMSKVVEMLEGSPEALRIPPKPLLSIPAIIVQGSAGEVEDTSGFSKPSQDTSLYSEEAVQDITEEHSLRSS >A07p035050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19002549:19003866:-1 gene:A07p035050.1_BraROA transcript:A07p035050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRYSKSLRIHAKEKLPFNSKTHLQLHGEIDTGTGSPSYFSAMIRHLFPEALTGLGVGLHYDKRRKLRSHVRGKKEFPMGANKLVTFNVKGRCDFDQDFNQQKNPIGAAEFAWNIMNFKEDQDVRIKVGYEVFNKVPYMQIRENNWTLNANMKGKWNLRFDL >A03p048300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21992874:21994489:-1 gene:A03p048300.1_BraROA transcript:A03p048300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNFPTPEELNDILSLPSLQTMEPQLYSHQFPVVTALNAEAAKQLKNRSYMNTTTNQCSSGFGEYGAMGNLQPKREPTLLAQRNVSRGVSQARDHIMAERRRREKLSQRFIALSTIVPGVKKTDKASVLGGTIKYLKHLQDRVRFLEEQASQRTIESVVYLNKSRLSVADTELECIALPEIEARSSGKNVLIRVHCERRKGVVEITMAEIEKLKLTVINSSVITFGSSSLHLTIISQMGEGFNITTKDVATSIKSSLEAFMNANLV >A03p043780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18327852:18330078:1 gene:A03p043780.1_BraROA transcript:A03p043780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVETAAAHLSSFPEASPNLYNCVVTSNLFKLICIVVLIRESTVSDRRIIEEELVKKRNMGTHINFNNLGGGGSYQMKPTDTTNPLARQSSVYSLTFDELQSTFCGPGKDLGSMNMDELLKSIWTAEEAQAMTSSAATAVAQHGGGNLQRQGSLTLPRTISQKTVDEVWKFLITKDGEMGGSSNGESNAQQTLGEMKIEDFLFRAGVVREDNNEFYGNNGAAEGLGFGFGQLNQNNISFNGTNDSMILNQPQQQSHPQQQHQHQRLPQTIFPKQGNVEFTAHVGMVNNYNGLGSFRGEGVKIGATSPGTSSGENNSFSPVPYVLNRGRRSHTGVEKVIERRQRRKIKNRESAARSRARKQAYTLELEAEIEKLKKVNQELQRKQAEMMEMQKNEVNEPRGSKRQCLRRTLTGPW >A04p034730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20060370:20063848:-1 gene:A04p034730.1_BraROA transcript:A04p034730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYTDLVMFNSQGQHDEGNMSRLPWKRERSNHLNLSPDEDEELANCLVLLSNSGNAYKNERKTVKKQKTAHVFQCKGCKKVFASHQALGGHRASHKKVKGCFASQDKKAEEEEEEEYKEEEDEEEDKPHITTRKRSNAHECTICHRVFSSGQALGGHKRCHWLTPSTNYLRMKPLNDSSTHHHHSQPLDQPSLDLNLACVDPTVMTIGRDGGGSNHNATTSSNSWLKLASERRRFTSSSTSPHFGKSNQSSIFSPLFFSVIVLPSAMARGSGLHALFAPKPQRTKSKLATSLARAINSSDQVESSLSSLQPLSLSTTTVLQTLRLITHPPRALRFFDYLSTTTSFSHNPHSFFLMLHLLSQSRSLNAARNFLFSIEKRSNGSVKLHPRFFNTLIKSYADAGLLHESLSLFHTMKEMSITPSPITFNTLLSILLKRGKTGMALDLFHEMRRTYGVSPDAYTFNILINGFCKNSMVAEAFRVFKDMRFDPDLVTYNTIIDGLCRAGKVNTARNVLNGMVKRGVVAPNVVSYTTLVRGYCMKHEVDKALALFREIDKPNDVSFNTLIKGLSEARRFDEIKEVLRASSAFAPDRCTFNVLIKAHCDGGDLDEAMKVFGEMARPDSASYSVLIRALCLRQEFGRAERLFDELYEKGVLLEKGGSKPIAAAYNLMFEYLCANGKTKRAERVFRQLMKIGAQDPASYGTLIMGHCREGGFKGGYELLVLMLRREFVPDFEIYELLIDGLLKTGEALLAHDVLERMMRSSYLPVAATFHCVLAELVRRGFANECFDLVRIMLEKGIRQNVDLSTDVVRLLFSSGQKDKAFLVVRLVYENGYLVKMEELIDFLCENRKFVDSAHRLVVFCLENNQMIDISRCNRVVEGLCKVKRHSEAFGLYNELVEIGKHQELSCHVVLRNALEAAGKLEEVRFVSKRMAVSDDCSGLKQRISS >A06p021920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12527562:12528327:1 gene:A06p021920.1_BraROA transcript:A06p021920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWLRPEVYPLFAATGVAVGICAFSLIRNITGNPEVRCTKENRAAGILDNHAEGEKYKENFLRKYVRNKHPEIMPGINKFFTDPKY >A01p029810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21064271:21067587:1 gene:A01p029810.1_BraROA transcript:A01p029810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQEKRRIVLAPVPAQGHVTPFMQLGKVLSLKGFLITVAQGQFNRISPSPDFPGFQFVTIPESLPESELKRLGPIEFALKHNKTNEASFKDCIAQLLLQQGNDIACIIYDESMYSCEAAAREFKIPCVIFTTTSATNHASRCVLSKLNAERFFSEIEDPEVQDKVVENLYPLRYKHLRPSGLGPLEPHLEMRREILNKRTRPLHITASAPSSLLKQDRSCIEWLNKQKPRSVIYISMGSMAHMETKEVVETAWGLSNSNQPFLWVTGSGSITGPDWIETFPEELRKTVSERGYIVKWAPQIEVLAHPAVGGFWSHCGWNSTLESIAEGVPMICRPFHGQQLLNAMYIESVWSVGIQLEGEVERVKVERAVKRLIVDEEGACMRDRARLLKDKVKASVRNGGSSYNALDELVKGDYFLVVLTGKQKMEKKRARKRLVLVPVPAQGHISPMMQLAKTLHLKGFSITVAQTKFNYFNPPDDSTDFQFVTIPESLPESDFKNLGPIRFLHKLNKECQVSFKDCLGQLLIQQSHEIACVIYDEFMYFAEAAAKEFNLPNVIFSTTSATAFACRSVFEKLYAENALVPFNEPKEEQNELVPEFHPLRYKDFPVSRWASLESIMEIYRNTVDKRTASSVIINTTSCLENSSLLWLQQRLEIPVYPVGPLHMVASAPTSLLEENKSCIEWLNKRSQNSVIFVSLGSLALMEINEVMETASGLDSSNQHFLWVIRPGSIRGSEWIESLPEEFSQMVSDRGYIVKWAPQKEVLAHPAVGGFWSHCGWNSTLESIGEGVPMICKPFSGDQKVNARYLDCVWKIGVQVEGDLDRGAVERAVKRLMVGEEGEKMRKRAVSLKEKLRASVRSGGSSHNSLEEFVNFFKTVKQIRN >A02p014230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6233807:6234962:-1 gene:A02p014230.1_BraROA transcript:A02p014230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKIVEPITTNSFGLKSVNRGGFIRFSVNGQRKSSKDTLTYNKEEEERDFVIRPMDRSLEKQKAESIRHTMQTQEDVFKQQVRELHRVYNIQKMMMDQLKHRSQYCTINNKDQTGPRERTGSWSGIDLESEVRARNKATDHIEESELELTLSIGMSSSSTNKDMDYSSTTSFRSSSDNCNNQSNNNNNSNNQESSGPNTPMSSPSTTSLDREKKRPHWLFQGLSINRTS >A03p040140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16732409:16733987:1 gene:A03p040140.1_BraROA transcript:A03p040140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFRRAYGDDGVFCYCCATVLTLIFILLCQIIRKVRFFRHASSSPAVSASQSPSSQSGISTLVSDEDLKDLIEKLGERSDDDTEIWEDVIQKSNPRVSYTAKCCKPQDGGPVKYLSTTVFENCSPEVLRDFYMDNEYRKQWDKTVVEHEQLQVDSSSGIEIGRTIKKFPLLTPREYVLAWRLWEGKDKFYCFIKECDHSMVPQQRKYVRVSYFRSGWRIRKVPGRDACEIHMFHQEDAGLNVEMAKLAFSRGIWSYVCKMDSALRKYIGTSRRPQGPTVSAVSLMKKVPSELESQTDDITNSSGTIITSEGAKRKKLLRKPSKKQVAKGLLLVGGAVGSAVCLSRGHSALGAKVALAYFLTKLRKRGAQQSQTSQNAGI >A08p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000066.1:63382:64785:1 gene:A08p011390.1_BraROA transcript:A08p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARKLKEAIGGLIRKSLEQEELIEFKSSRVDQVSSFAALFEYFEAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRRHDGQGQA >A06p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2345764:2347022:-1 gene:A06p006850.1_BraROA transcript:A06p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNELELASQKLDLVTLGESSTYRLYSKGLVSEEVIKDDTMLVGGLGMSLCDSNDNSKSETNKALRNRSVLAHSEALELAAIFQRLSWALERGVKSIQFFCDDSIILDYVTGKAAPPNESIEAKLLEKVISLRQTSFMSCETFPLRRDISSVIKLARDAIASQTRWIDGDDTNAEYETCPACYAHVTPRHKLEVRSGCFHRICFTCIRDCVSSQLARGDTVLCPYPGCEKELVLEDCRGVVDDDALNLIIHRKKEKAIPVLDRVYCPKPSCNFLMSDRDLLAVDPRQKKSVERTCVECGLFFCKKCHVPWHYKKTCNEFKKSQAYLTSDTALFESLVKTQGWIKCPQCATVVQKNGGCQRISCRHCSHKFCYACGAACTRKKMSCNCSPQD >A03p013350.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:5249963:5251894:-1 gene:A03p013350.1_BraROA transcript:A03p013350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALALQPVNGSDILLQTREWFPPARALIALSYFRQTRQAFSSSKQQQPPSNRKHTQPSSSSSSSAADPDDAAAAEFVGDDPLAASNGQVIVGVESKYRVVYRFVNSIYILGVTVADHDNSINVFECIHIVNQAVSVIVTACRGVEVTPEKLGRKYAEVYMALDIVLRGVSNIRLAAMLGAMHGDGIAKMVHSALDTENKIRGADSWMAVESHAAEHQAAVNAFSNARFELPAETIAAGDEMAASLAPVVQETEQVKEEPEPENKDPFAASEEINKEKELVGGFKKTKDPSSQDLTLALAGLEVTTLPPAEATQSTHINVEGFEGEYGGIAFSNEQATIGETFESFSDAWGGGLDPSEFMGPKKIQKKEGLGGLELLHTSDPKAVEGKDGGNVDNLVKKPEMKGPEMYISEEIRTEFRESLLARVGLMGVIYLKTMPPKGSGEEKETEFSFRVEGTTPVKRFSMQSSRISSLGNGLFHVRTAPSEEPIPILKYSLQPKLTPLPLRVRMVKRVSGTLLSLMIQYVSSPDLPQPLKDVDFILKLPVDPTLLKVSPKAILNRTDRELKWQVPEIPLNGSPGRLRARMPLDSDNSEEEPEIICYVKFSVQGKSSLSGISLRPAAEGNMDFYEVDHRFETGVYMCN >A03p001950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:959248:967209:1 gene:A03p001950.1_BraROA transcript:A03p001950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPVRILLWMCCCVWVCNGDDGEYLLYKDPKQKVSDRVVDLLGRMTLEEKIGQMVQIDRSVATVNIMRDYFIGSVLSGGGSAPLPEATAQNWVDMINEYQKGALVSRLGIPMIYGIDAVHGHNNVFNATIFPHNVGLGATRDPDLVKRIGAATAVEVRATGIPYTFAPCIAVCRDPRWGRCYESYGEDHKVVENMTDIILGLQGEPPSNYKHGVPFVGGKDKVAACAKHYVGDGGTTRGINENNTVTDLHSLLSIHMPAYADAIYKGVSTVMVSYSSWNGEKMHANTKLITGYLKGTLKFKGFVISDWQGVDKISSPPHSNYTASVRAAIEAGIDMVMVPFNFTEFVNDLTSLVKNKVIPVTRIDDAVRRILRVKFTMGLFENPLADYSFSNELGSQAHRDLAREAVRKSLVLLKNGNKTNPMLPLPRKVSKILVTGTHADNLGYQCGGWTITWQGFNGTTILGAVKSAVDKTTEVIFNENPDAEFLKSNNFSYAVIAVGEPPYAETAGDNDKLTMMDPGPAIVTSTCQTVKCVVVVVSGRPLVMEPYVDSIEALVAAWLPGTEGQGVADALFGDHGFSGKLPVTWFRNTEQLPMNFGDSHYDPLFAYGTGLETESVVSTVARSTSGSAAGAKPCLITLLLELGFEEMREAANAWSCFFRRNLMNDDLRLKKRLWLNRRHSRKTRNIHCITQEKMAKSFRDLILFISRAVDPNGYSQKLKQNNQIQKEILSNGSKTATKRNRLGGSHEATILTIDLPGFTKEQIKVTYVHTSRMIRVTGERPLGDRRWSRFNEVFTVPQNCLVDKIHGSFKNNALAITMPKETITKTPNLAETSKTVAEKVKQLEEKRLLEEAARKEKEEEDEKKRKLLEEKEAILRKLQEEAKAKEMADKARKLQEEAIAKEMAEARKFQEEVRARKLQEEAIAKEERKRVEEARARKIQEEAKAKEKLEERKRVEEASLKKKSVDESVENRKIIERVLPEVDYTKSGSGSVTKPLLFSAMATSSGFHISSSPLLRLRSSSVSYATQLPFLSPCNGRLLAESFGLATVTVPRQNLSVSPPSAVAEARISGEREPMTAPYNVLITGSTKGIGHALAREFLKAGDNVVICSRSAERVESVVQSLKEEYGEHVWGTKCDVREGKDVRELVGYCQNNLKYIDIWINNAGSNAYSFKPLSEASDEDLIEVVKTNTLGLMLCCREAMNMMLTQSRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMQDVKNIVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRAIPASGSMKPTYIRFLTGIKAYTKIFSRVALGARKNRYVTEEGARRSRRVPARRSRRVPARRSRRLAGRGGSPVAGARSRSRLAGRGARRSRGLAGPGGSPGRGARMSGDRGLGLSVGGP >A02g510310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27414247:27417768:1 gene:A02g510310.1_BraROA transcript:A02g510310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEPPRDWWGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGTQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFEQLRVSGQDQINQKVLEPQCSGVSEPDIRAGGKRLADDTAPHRAGEAWEGETDARQRRAQLHGRIKPCKEMDFWHSDITVKLVPNDGGSSWGKKDDGGSSWGKKDDGEMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPAFFPDEEEVEFVEPPNAPIQETTVRRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDMTPPTRGRGRPRKTGPTREGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPRICQCGTLTQARQGPCSVREYTEEFLESAKRCKPKSAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEAGRTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSTGSGRVAGPKPDGEQKSPVRKAKPCREVPEIRNPSRRLLPSLSLRRLSSLSFSSPRLFSLSPRRASFSLSDDSLSPRREQPRVVGCDTENGWRLKRKVRKSLRV >A04p038780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22024911:22026659:-1 gene:A04p038780.1_BraROA transcript:A04p038780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT2G43560) UniProtKB/TrEMBL;Acc:A0A178VVY6] MAASSSPSLLLPLGSASRNGPTMKTPRCISTRAITASETRNKSCSRRDAMLLVLGVSGLSMSYLPAAYAAGLPPEDKPRLCEAECEKELENVPMVTTESGLQYKDIKVGKGPSPPVGYQVAANYVAMVPSGQIFDSSLEKGLPYLFRVGSGQVIKGLDEGLLTMKAGGKRRLYIPGPLAFPKGLVSAPGRPRVAPNSPVVFDVSLEYIPGLESDEE >SC262g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000111.1:47571:48950:1 gene:SC262g500020.1_BraROA transcript:SC262g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNELQDQEHITLTAALKSLIHPFTLSFKYPQITGLPHGIGGDLLLLVCLEPGAQQPRGLRFLNRLLHTRTFPLLHTMVGLSLVCLEPGAQQPRGLRFLNQLLHTSIFPLLHTMVQVFLFSWIGGEQRTLLVNLKHHSNSSKDKTQEYWDQSLINHQLSKNKQDPNRFKTSYLGTFKSFFTQHFRHKSNASIPQVFNHITQYNQSTTQAHYQEGFKIAYTRNQVGSFKPQRGLAMAFVESESLDSHPPPTPSVHGHLLVSPTQRLLLSSSLLGAIILTAFMMNRVKKALGGGALDEVRESSPYTSASNESLHQVGFELRVELVLCGSYSSGSDEPGSDTI >A08g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:924529:925258:1 gene:A08g500390.1_BraROA transcript:A08g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLRYYDDVACVLRKICLDAKVPHLSSTLPPTLPWKFNKHVQPNKGLTRQGNENSEELRCVIAVIRHGDRTPKQKVKLNVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRIPGRESDSDPEDLEHAEKLHQVKAVIEEVL >A10p013620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4677182:4679933:1 gene:A10p013620.1_BraROA transcript:A10p013620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIFSVKSVSYRVELAIADSTVEGAFVCFDGVMTKLHNLRASEADLTITRILKEHERVPVPDFVVKGRNDGDDADMADGGPVPVRVETGEGSSDADKNTDAKPADASAKKRTHSSTKMAKKLDTSSYGFMFQNLSHLTSEQTAGDLSMAGRASLDVAAIRLVDVPEKARKLLNLLEQSKDPRFHALPLASQRVAAFADTVNKLVYDILISKVRQRLGEQLEPLAEGISTNGDSKNEDAQFFATEWMFKVAEGATALYMEQLRGIQYISDRGAQQLCVDIEYLSNVLAALSMPIPPVLATFQTCLATPRDELKDVMKSDAGSELDFPTANLVCKMRRVSFD >A07p010910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6829277:6832059:-1 gene:A07p010910.1_BraROA transcript:A07p010910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGEILSVPETDKGKSKDIIDNSEDEKILPRPPRGSRSLKKKAIKASTKLTHSLRKRGKRVADQYAAIVIEDVRDAEEEKAVYAFREALVSLDLLPPRHDDYHTMLRFLKARRFDHDKTVHMWEEMLKWRRENGVDTIMQDFVYEEYEEVQQYYPHGYHGVDREGRPVYIERLGKVDPGKLMKVTSLDRFLRYHVQGFEKTFSEKFPACSIAAKRHINSSTTIIDVQGVSWMKLRKLAQDLVMRMQKIDGDNYPETLNQMYIVNAGNGFSIIWSTVKGFLDPKTSSKIHVLKNKDRSHLLEIIDPSELPDFLGGNCSCANEGGCMRFNKGPWNDPEIMKLVRLRDATYKVKEIELPENGEVAKLFALPHVNTEISLPDGGQVRVRESHFEHDTSAQLSHQLEAVGTGRIVQSDSTNQLSSNLTEERGLKKSLQKVASSLARFIFQLVASLCLMFRILGSLVNKKPENQLRPPVLDSPPPPPPPTQLLQRGDSLHPCWQRLQNLESMVTVLFDKPTNIPQEKEDILRDSLDRIKGIEQDLQKTKKALLLTASKQLELAESFESLKESTSMGMRSCWPRHCRNFQVE >A08p042210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23715951:23719030:1 gene:A08p042210.1_BraROA transcript:A08p042210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHSYSSLEEDLLQTTQRGKMSTLYFPYLLVLTMFSTYSFADIITTSSPLSIRQTLSSSDGSFELGFFSPNNTSQNHQYLGIWFKRITPRVYVWVANRENPVTSLTANLTISSNGTLILLDEKQELLWSSGQESLLTSNRCHAELLNSGNLVVVDNVTGTYLWQSFDHLTDTMLPLSSLMYDLPNNTRRVLTSWTSNTDPSPGEFLAELTPEVPPQGLVWKGSSPYWRSGPWAETRFSGIPEMDGTYVNPLTMVQDVVNGTGVLTFCALRNFDVSYIKLTPDGSLDIHRSNGGTNGWIKHFEGPRSSCDLYGACGPNGLCIRSISSTTPTCRCLKGFVPKSDDEWSSGNWTRGCVRRTELSSSCQQGKDTSDGFYRVANMKPPDSYELVRFGDAEECRQGCLRNCSCLAFAYIKGIGCLVWNKELLDMVQFTEEGEFLFIRLARSELAGSKRIKILVVSAISLCMFIILGLAAFWCWRYRLKQNGEARVAMETSEDSWKSSLKLENVSGLTFFEMHTIQTATDNFSISNKLGQGGFGTVYKGKLQDGKEIAIKRLSSSSGEGKEEFMNELKLISKLQHRNLVRLLGYCIEGEEKLLVYEFMVNKSLDTFLFDLKKKLEIDWPKRFNIIQGIARGLVYLHRDSFLRVVHRDLKASNILLDEKMNPKISDFGLARMFQGTQNQDSTGRVFGTLGYMSPEYAWTGTFSEKSDIYSFGVLTLEIISGKEISSFSYGKENKNLLAHAWESWSETGGAGLLDQDIADSESEAVMRCVQISLLCVQHHAMDRPNIKQVVTMLTSTMDLPKPKQPMFVLDTSDEDSLSLKSNDDKDLFSDDENKPVQK >A08p046100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25158941:25161610:1 gene:A08p046100.1_BraROA transcript:A08p046100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKSVFSDDPDPPETESESDSPKHSEEEHEDPDQSNPEHEDDDNSGWSFGGLMKTIADRSESVIETYRRDLQEFGTGLKKEIEVAQGSLGTVGHAIDELGNTVIKGTAEIIAQGKEAILAAGNESDSSDNTSSGTSLGRRDSFSSKPYSRFDAQVRAVQGDLGTYSEEPEDSDDYKKWESEFSLGEKGEEMESLLEGNGDMRGVYKRVVPSVVDHETFWFRYFYKVHKLKQAEDLRANLVKRAISLDDEEELSWDIDDEEETSEIVAAKDVSRLKLEGNDDMGRGDVSKTAKDEVTVSEVSNVGLKSDTDSAEKKETDSEQVPESKPVVDAAKPVVDAITPPPAASEEATIEVSVKPEAVPKSEESAPSQDSAAKPDGAASSSAQEEDLGWDEIEDMSSIDGKEASRSSGGSPNRAELRKRLSAAEEDEDLKGGRKESAEEKIMLFFSYFKDLVGQEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKFPHMLSVRNCFIRGSVVRYVQLPPDGVDVDLLHDAARREARGA >A03p045130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18861224:18861859:1 gene:A03p045130.1_BraROA transcript:A03p045130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal-associated isoprenylated plant protein 19 [Source:Projected from Arabidopsis thaliana (AT3G21490) UniProtKB/Swiss-Prot;Acc:A0JPW5] MTKEKKKDNVRYMDVEFNISMHCNECERKIARVISKFKGVETFTTDMNGHKVVVTGRLDPKKLLKKLKKKTGKRVKIVAKDDKDDESSKYAEDENVLVIDMELIGLGDEPVLGYNDRELEKCMWFSDENPKSICCIS >A01g504780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14272475:14280212:-1 gene:A01g504780.1_BraROA transcript:A01g504780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHFAYICLYQVFEYHMEFLETFGCIWSSKEVIRVVFGRALPEATSRSDGMRSLCTTSRSDSSRATAQSRSPTFQSDVLKSLPKLGATLPERQGEVARHFGSRKHEIALGATSRSDPARSLPKPRATCWSDLPRSLRVAYLLEFMFSQGPFCHFIMHKNHQKPLESHLFESIDQFIIENSVFLSIFPVFLYMINLKSNMGLRGIMEIINEHSSRHRVCLGLCPSLSSKLDHPRSNPYIHEFSFPIVKKEELCFINNNGSWYKKEPNFQYNNYQQKSYSNNQQSGYQPRNNQQGSYQPQQNPPPGFNNKGNHSSQQHSNPSTSTPQVSSTDALLKQILESQTRSEKHVGYELKNLHSKIDGSYNELNNNEVSQRHLKVAPAGSEVSRVTLHGHSRFRRRIKKKSPQSEVSERGRRVAPAGSDIMGATPRSRSRFRRNGAQKMTRSDVLERHLEVAPAQSEVSRATLQGRSRFCRNTTRGNDSGATSPSDTLTSLPNRSR >A07p014860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9242091:9243552:-1 gene:A07p014860.1_BraROA transcript:A07p014860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQVDHKNTCLDEVIFTSVADCLQSSLEKAFKFSLYNYPCQPISPSNMGQIVNYRYLNLNPNSPIVSSSSNEAGPKENVGDKSGPMEDHNEGDQHGVGETSKQLSYYRCTTQKCNVKKRVERSFQDPLIVITTYEGKHYHPIPSTLRGPMVGENLLGHHGSAILHSFPRYHQEFLMKNQHPVNYQSAGTVTYQRCHGDSTNYSNHQQVIDCGLLQDIVPSKFLKHES >A08g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:16557781:16560581:1 gene:A08g508830.1_BraROA transcript:A08g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSILRSCIKSIGVSCQLIVLESKVTCLEPHAPGPLTIASKIRAFSSFTRRTRTRSNTLNPPVIEAFQLGSSIISLEMIDDEKNHGSVLCHMDGTTVRSKIIMSHDKYNDDNFLQVYYDETRYGERWRSHDPTDREIMCSHLIDRSIRPLFTAGFPANVMVNVCVLKTNWKHEADSELMAIIATSAALMKLNITRAAPIGVIRIGRINENIIINPTIDEQRRSDFNLLYVCTRQKTIMVDLVASEIPENDLATNLKLAQLEAVKCIDSQVKLRERYQKDKKVKLLTSNSKNFQDTRTQSPNHGGGEVAVGQSQCAEIISDVHRFDGRSIHQIRPVHCEAGYLHGSSLISCGETQVLCTAIIGKPGETQSVDVLPEKRIIVDYEFPPFCTNHAVDIFSRRWREISDGMFIEKALLGVIPSQRDFPYAIHLNSTVLASDGSSSTTSVCGGSIALTDAGVPIKSHVAGVSIGLVTDDETSNGQLENYCIIIDTSGFENDIGEMDFKIAGTRNGITAIQLDVKSTLLSLDVITEALKYGCQAHLQILDHMEMAINSTKETCYYKRGKKD >A04p025380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15378005:15380661:1 gene:A04p025380.1_BraROA transcript:A04p025380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLRWKCRGLRSVIVLFTNFALAFAFVSAERGFYSKARESDEPPSFFLDFLWEPDQTGYHHVWPEFEFNWQIVLGTLVGFFGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATALSKCMIVGASVSTVYYNLRLRHPTLDMPIIDYDLALLIQPMLMLGISVGVAFNVMFPDWMVTVLLIILFLGTSTKAFLKGRETWNKETIEKMEAAKRLESDGVSATEVEYMPLPAAPNTNPGNNKKREVSIIENVYWKELGLLVFVWIVFLALQIAKKNMPTCSVGYWVINLLQIPVAVGVSGYEAVALYQGRRIIASNGQGGSNFTIGQLILYCSFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPIPYALYLVGVATIAALVGQHVVRRLIAVLGRASLIIFILASTIFISAISLGGVGIVNMMGKLQRHEYMGFENLCKYSG >A01p013900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6788758:6792019:1 gene:A01p013900.1_BraROA transcript:A01p013900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNGDKTINVSFSHIILVLCFTIITLASSSSTWYDNHISPREVSQEENFNFGKDFLFGTASSAYQYEGAYLTDGKALSNWDVFTSISGKIADGSHGKVSVDHYHRYPGDLDLMKILELIAIDFLYRGLGFFQGRFGDVNMEGINHYNRMINAILKRGMEPFVTLTHYDMPQELECRYGSWLNPQIREDFEHYAEICFRHFGNRVKFWTTFNEPNVQVILGYRKGTYPPSRCSKTFANCTRGGSDIEPLVAAHNIIRSHFAAVSLYRERFQEQQGGKIGIVMNAIWFEPVSDSLADSLAAERAQAFYLTWFLDPIVFGRYPREMQEILGQDLPKFTRDDLKISKNELDFIGINQYTSRYAKDCLHSVCEPGKGGSRAEGFVHSNALKDGLALGEPTGVNWFNVYPQGMEEMLMYATEQYKNIPLYVTENGFGENSTGVLLNDYRRVKFMSNYLDALKRAMRKGADVGGYFTWSLLDNFEWISGYTVRFGMYHVDFNTLERTPRLSASWYKNFISKHMSSQSKDDA >A09g514980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44592077:44593599:-1 gene:A09g514980.1_BraROA transcript:A09g514980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNRRVGFNAIINSSLENLSLVVVCITSFRILQPATHASHRPRFTVWKPSNISDEVELGDETVVMIQPNMRAVLRFKSSLKLKLLLTQVKRSRISGDHGWRRSNLCPLPQQSLLHHDER >A06p057700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29991549:29993278:1 gene:A06p057700.1_BraROA transcript:A06p057700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLLCSPILYVVLIFLWYLVRVLLTRSNPFPPGPKGYPIIGNMKLKNQLNHRGLAELAKQYGGLLHLQMGRIHIVAASTAEMAREILQVQDVVFANRPANVAISYLTYNRADMAFANYGPLWRQMRKVCVMKLFSRKRAESWASVRDEINTMVQTLTKQTGSPVNVGELVFALTRNITYRAAFGSFARDGQDEFVKILQEFSKLFGAFDITEFLPWMKWFSNRDFSKRLENARKSLDGFIDRIIDAHIEKKNSRKQDDDGLEDDMVDELMAFYSVESGANGGKSTDSLSSFKLTRDNIKALVMDVMFGGTETVASAIEWAMTELMKNPHELVKLQQELADVIGLNREFHESDLENLPYFRCAMKETLRLHPPIPLLLHEAAADSVVSGYSIPRDSRVMINVYAIGRDGSVWTEPDAFRPGRFMDSKAPDFKGSDFEFLPFGSGRRSCPGMQLGLYAMELAVAHMLHSFDWELPEGVSSGDLDMTDMFGLTAPRATRLIAVPSYRLKCPMVI >A05p049920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28929719:28934864:1 gene:A05p049920.1_BraROA transcript:A05p049920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKVPLIGLLLLLAIIVSPATADGPVCPPSTKLSRASFPEGFLFGTATAAYQVEGAVNETCRGPALWDIYCKRYPEKCKNDNGDVAVDFFHRYKEDIQLMKNLNTDAFRLSIAWTRIFPHGRKEKGVSQAGVKFYHDVIDELLRNGIVPFVTVFHWDTPQDLEDEYGGFLSERIVKDFREYADFVFQEYGDKVKHWITFNEPWVFAHAGYDVGKKAPGRCSDYVDPTCKGGRSGYEVYLVSHNLLNAHAEAFEAFTQCEKCKGGKVGIAHSPAWFEPHDFQDSQDGASIGRALDFMLGWHLDTTMYGDYPQIMKDIVGHRLPQFTAAQKAKLKNSAHFVGLNYYTSTFANHVENPDHSKPRWKQDSLISWEPKNSDKFTIGSTPSTGKLPVFARGFRSLLKYIKDKYANPEIMIMENGYGEDLGETDSVAVGIADHNRKYYLQRHLLSMNEAICIDKVNVTGYFIWSLLDNFEWNEGYKSRFGLYYIDFKNNLTRIEKESGKYYRDFLSQGPSTGKLPVYARGFRSLLKYIKDKYANPEIMIMENGYGEDLGETDSVAVGIADHNRKYYLQRHLLSMNEAICIDKVNVTGYFIWSLLDNFEWNEGYKSRFGLYYIDFKNNLTRIEKDDIFSICIVMEISHGDFGVSRDDLEAKLVILGEETQR >A06g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3924956:3929500:-1 gene:A06g501050.1_BraROA transcript:A06g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAEENGETDEVIRNMALAATAAAEEARMRPIVHTEDPETGEETDPTERVSALARIGTIPEHTTDPLPAIPTSQKKISTHDRGGFEVICRSDAAWKKESQAAGLAWSFYRNQSERISSHNQSSAFVISSLVAEGLAIRAAMEHAIALQMRNVLFESDSLQLVTAIAEGSSYSDLHGIISDIYLLSNCFDSVSLRFCRRECLVFEDSVAKKTLSDFCVNQEAIPALV >A10p036750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20862871:20864332:1 gene:A10p036750.1_BraROA transcript:A10p036750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase 54 [Source:Projected from Arabidopsis thaliana (AT5G06730) UniProtKB/Swiss-Prot;Acc:Q9FG34] MAVANWSATCHGLFIISFLVIVSALFGTSSAQLNATFYSGTCPNVSAIVRSTIEQALQSDPRIGASLIRLHFHDCFVNGCDGSLLLDDSANIQSEKNAVPNANSTRGFNVVDDIKTALENACPGIVSCSDILALASEASVSLAGGPTWAVLLGRRDGLTANLSGANTGLPSPFEGITNITAKFTAVGLNTTDVVVLSGAHTFGRAACATFNNRLFNFNGTGSPDPTLNSTLLSSLQQLCPQNGSASVVTNLDLSTPDAFDNNYFTNLQSNNGLLQSDQELLSDTGSPTIPIVTSFASNQTQFFEAFALSMIKMGNISPLTGSSGEIRQDCKVVNGQSTTQAIELRSGSAEKTVNLEEM >A09p055850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47703503:47705249:1 gene:A09p055850.1_BraROA transcript:A09p055850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 2 [Source:Projected from Arabidopsis thaliana (AT3G50700) UniProtKB/Swiss-Prot;Acc:Q9SCQ6] MKMPVDLDKSSTVSGEASVSSTGNQQNPLPKSAVKKKRNLPGMPDPNSEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQKSSQEVKKKVYVCPETSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVVSDWKAHSKICGTKEYKCDCGTLFSRRDSFMTHRAFCDALAEESVRSHTHGKKQSSEILTRKKPVPDPKPSSVTPVETEPAITIKRPESPKTPREVLQEAQEPTGLNGVYFDSSSASPSMYASSCSQSLFAPSSSIEPISLGLSTSHGPSFLCSPRFPTQPAMSATALLQKAAQMGAASSGGSLLRGLGIVSSTSPSMDSIVPNGLALGLPCGGESSSGLKELMMGNSSVFGPKQTTLDFLGLGRAVGNGGGPGSTLVGPRGGSIDALATFGSGEFSGNDIGRRTS >A06g500800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3273789:3274103:1 gene:A06g500800.1_BraROA transcript:A06g500800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFKKTARNTNLKTGDSWLMDPNVPVSLSKARFQICPSSEVVKWFSDHIILKFSSKDCVGTAVIEEDTIRAATKKSTAAMEEYLFSIVLSQLRIFQRERGRT >A10g504350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10661835:10663663:1 gene:A10g504350.1_BraROA transcript:A10g504350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCEAREKMRMRITLKKKSDHGQFAKPCTMKGIQFPHALCGTGAAVNILPRIIADHLGLQVEPSQELFTFVDSGGIVRDLEVQMCNARVLVDFYVMDIKLNWNSSLLLVRAFLSTVGAVCNLQTNQLCLTLIDPNAHYDPIPVKKPQMSSRRINDPGIIAACHCGAEYETEYSASIDTHTATSIDSSHQKSTNTPHEKSVDSRPDDWENDCYNPTIVAYTRQHMYTEEYDEDYENERATEYKAILYEEDILLYHSSCKRNTPLIDILGPPSIDTQPHQRNRKQASTDIANYSSIDTEENRVREGYYSIGSWADDHHHESYAVETTIYEPGADEVHEGFTNEELLNIQRRDEADQHRSETAIILQKSLRFPRYQEILLEEKDEYGVYRDEHGYARDLDGNTIRLHNMDIRRVLERATRDEPSYICLPEHANLFTQTKLVPEIYTKDKINEMFYGVCGE >A01p017820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8681388:8685417:-1 gene:A01p017820.1_BraROA transcript:A01p017820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g25270, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G25270) UniProtKB/Swiss-Prot;Acc:Q9SB36] MWRRVASSLSPIISSSPSSRSLSRNQAAFGLIIRESFATEISKPEEGAKSPFITFVLGGPGSGKGTQCEKIVETFGLTHLSAGDLLRREIAMHTKNGDMILNLIKDGKIVPSEVTVKLLQKELESSNSSKFLIDGFPRTEENRVAFERIVGADPDVVLFFDCPEEEMVTRVLNRNQGRVDDNITTMKKRLKIFEALNRPVIDYYKNKGKLYTINAVGTVDDIFKQVLPIFTPFQEQLKQSRHVNPKSPLLCFPPFIILRTEKMVSIVYRPSFCYPTISSSSMKKKPRHYEQLKLKQIQDNNNNNNGFTSLSLSKPSPTPILVGKQSTQRTKLEALDSVITELETSARKGITITEPEIFASLLETCYTLRSIHHGARVHRLIPAYLLRNNLGVSSKLVRLYASCGYAEVAHEVFDQMSKRKSSAFAWNSLISGYAESAQYEDAMAIYFQMAEDGVKPDRFTFPRVLKACGGIGSIQIGEAIHRDLVKEGFGYDVYVLNALVDMYAKCGDIVKAKSVFDMIPHKDYVSWNSMLTSYLHHGLLHEAVHIFRLMVRDGVEPDKVAISSVLARVLSLKHGRQLHGWVIRRGMECELSVANALIVLYSKMGQLSQACFVFDQMLERDIVSWNAIISAHSKDSNGLKYFEEMQRADVRPDVITFVSVLSLCANTGMVEDGERLFSLMSKEYGINPKMEHYACMVNLYGRAGMMQEAYSMIVREMGFEAGPTVWGALLYACYIHGNADIGEVAAQRLFELEPDNEHNFELLMRIYSKAKRIQDVERVRQMML >A06p011210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4129438:4130465:1 gene:A06p011210.1_BraROA transcript:A06p011210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASRQQQRTWNNNRDMTLTTNLSLDINKHPTDLSENGGETSDCEDHELSLGLSLGGRFGVDKTPRKLKRSSSVLGAVLPFVSEPENNYAVGLARTTSLPAEIEEEWRKRKEMQSARRMEAKKRRCEKQSFRGGDEQTSSFESERWVTASKSGFLQRHLVSSVDSDGARGGGGGSSSSLSELDNKNQQQGSSNSCGAETSPKIVTRCSSNNSEIHGTEKHKGNEKGEDDGKGKGKGTVPTSTGLFDMPCVFTKGDGPNGRRVDGILYKYGKVGEEVRIMCICHGSFLTPAEFVKHGGGGDVDRPLRHIVVNTSPSTF >A07g500650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1274840:1276365:-1 gene:A07g500650.1_BraROA transcript:A07g500650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRTYELEGKRLAGDTAPHRAGEAGEGETDARPRRAQLHGGIKPCKEMDFWHSDITVKLDDGGSSWGKKDDGGSSWGKKDDGESSWSRMQNANKDMRMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVRRRILMPHFQRAAEKTGPTREGPGPIRMEDSVPTRKRGRPRKIPSIDAESLRRITGICRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIRGKLIGVLEPWEFALVNRMAGQAMEVERTLTRRVVAISSSEEDVEVEQDPSEDSEWEEEPASSTGSGRAAGPKPEGEKKSPVRSG >A01g502940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:9508263:9508727:-1 gene:A01g502940.1_BraROA transcript:A01g502940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQAEIEAARNQYPPQPEVEFGFPKECYCGREPLLATSYTRNDPGRRFYTCDNIDDGDCHVYKWWDVAVTEEIKALGTQYAQLSDKVDYLSFRSDDDTHLREFKDLQFDLEQKLLRVERIGCDLARNTSRLFRIACVMVVVLVLIGIGLAAPM >A07p049400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26239353:26241161:-1 gene:A07p049400.1_BraROA transcript:A07p049400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQHRFLQQQEQRKRWGGCLRVFSCFKSQKGGKRIVPASRIPEGGNPSASQPNGPHQPGGVLTNQANLSYLAPPSSPASFTNSALPSTAQSPNNSYLSLAANSSPSGPSSSMYATGPYAHETQLVSPPPVFSTFTTEPSTAPFTPPPELAHLTTPSSPDVPYARFLTSSGKGNHYNDLHSTYSLYPGSPASAVSRASGVSTPLQESNFFCPETFAKFYQDHDPQNGGRLSVSKDSDVYPSGNVNRQTRQDMEELEAYRASFGFSADEVVTTSQYVEINDVMDESLLKPAAYSPSEGQKLLRREASLLTSTKSETDHKPRNGIHADEEALLSRVGSVKGSRSYPTGFSSSDAEVEYRRGRSLREGREIRHRR >A03p020260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8307271:8310398:1 gene:A03p020260.1_BraROA transcript:A03p020260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative peroxisomal acyl-coenzyme A oxidase 1.2 [Source:Projected from Arabidopsis thaliana (AT2G35690) UniProtKB/Swiss-Prot;Acc:Q9ZQP2] MEGDDNLAHERNKAEFDVNEMKIVWAGSRQAFEVSNRMSRLVASDPVFDKSNRAVMTRKELFKNTLRKSAHAWKLINELCLSDEESTIFKYFMDQPGFLDLHWRMFVPAIKGQGTEEQKQKWLPLANKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDQFILHSPTQTSSKWWPGGLGKVSTHAVVYARLITNGKDHGVHGFIVQLRNLDDHSPLPGITIGDIGVKFGNGAYNSMDNGFLMFDKFCIPRDQMLMRLSKVTREGKYVPSDVPRQLMYGTMVSVRQTIVSNASVAMARAVCIATRYSTVRRQFGSQNGGVETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTQRLEASDFATLPEAHACTAGLKSMTTSATSDGIEECRKLCGGHGYLWCSGLPELFAVYVPACTYEGDNVVLQLQVARFLMKTVAQLSSGKAPAGTTAYMGRAKHLLQCRSSTQKAEDWLNPDMVLEAFEARALRMAVSCANNLKKFENQEQGFSELLADLVEAGIAHCQLIVVSKFIAKLERDIKGKGVKNQLKNLCYIYALHLIHKHLGDFLSTNTITPEQASLANNQLRSLYSQLRPNAVALVDAFDYTDHYLGSVLGRYDGNVYPKLFEEALKDPLNDSVVPDGYHEYIRPFIKQHLRSARL >A03g510080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32363634:32364772:1 gene:A03g510080.1_BraROA transcript:A03g510080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGCNRSYDVEIGEAASPALIPTSSVEAPACVADHLSFREKLAWFKAKKEPVRAGTEFPSSSALAVAPDHGTEVKVQPDAGTLAGSSVPDTSVLPAGSSTTPILVEDKERAAEKEIALALRSPSTVPFAQHKGRKRKFTKGGNGESSQQGGSSLASGIRGKFMLLIDKMISECGSEASRLAGKLSELQGRWSETEAMLTAVKDSHSAKVSKIEVKIGELERDLGKTASSLLKEKKARKAKSLEVLRLQRQIEGDVGLASRGIREATDALCSEFQARLAKISAFLGSLEYVRSRDLALATIEGGMAVVWAFQSETPPSLEAEETRLSDCKGGFGSRGWRFRSRPS >A09g501380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4510928:4518120:-1 gene:A09g501380.1_BraROA transcript:A09g501380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLVRIQSGNWKKSLSGNWQFEGDPSEVEHYIVAQNNEKIESFTSLIREELVIEPECPIALTYQLPDAMLQGIVSNSQPANIITSEDVEVVMSVQEWTKGVLLCITYGSLNVARYEFLCRTPFIVGNTTYLDGTVSEEVHVANIKGMVGNDVIMCSETLLRHLMSDEMLFLLYRFSFEVEKARGFPLWQGASYENNPDESKRYWEYFNIITDNQLGEGDASDGSTYFKSDWQECEVGPEYWENVSNRNYNQGEAQSETGDGQAGGNCANRDVIMNDEVTVDATPNVVEVDNSSTGSTSNCNQRKPGDTLYNPINVTVADENNEGNNLRQETYITKDTRVSGGEVGGEPSYVHVTDDSDIPAVTIPVGRERLIIDESSSEIEANEGGPAIGANNPDVVNVGMIFKCREDFKQHMAILTLILYLPPADDLHYHTTEMSRLVRVAKGQWRKSGEGVWRFERDSAVLGHDILVGRNDHVEAVKGMVRGVFRLRAETPLLLTFQLPQWLLEPHGATYPPQNIVTNADVEMMISVHEWNTEPRLCVIFGAEEVATYQFRCRSPFTIGTRNFLADGVTEEQHEAVVLDMVRGKEFVCSQGAMAEIFEESEMVLLYRFSMEIEKAKNSLDLNLGPTIEAADHIVPNVGNTGTGNRIGGDGGRGSLGNTYVVTGFDFPGFHQVATGGRFRTSVPSPTYDPNYYNPHFGALEATPEYWERLMSSSYAVQLQRIYGVPGSEYVGYAPTELNIGTSNVHPFPANHQPITVSSNVSSTDVKPVVEAGSEVNFTKLETSCYKNPVYLEKGESSKQVNEGPTAQLAGVGNNENTLQAPDVEGNTGRNASQG >A10p008440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8498270:8503928:-1 gene:A10p008440.1_BraROA transcript:A10p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTNMKFCNSYFLVDPTKASVYDLILLLFSPNLISARFIDSPPDTLNSARRSFASRWMIALAIFLQKVLIFIRTPLAFIGRIITYWPNLLTANGGFFNLILNLLTGKLVKPDESSATYASFLGCTDRRVELDQKIEVGTIEYKSMLSMMASKIAYENKSFITSVVKNTWKMDFVGYYDCYNAFQEKNLTQAFVFKASDTNPNLIVVSFRGTELFSSDDWCTDLDISWYELKNVGKVHVGFSRALGLQQNGWPKENISLIHQYAYYTIRQKLRDMLARDKNLKFILTGHSLGGAIAALFPAILAIHGEDELLDKLEGVYTFGQPRIGDEEFGEYMKEVMKKHGIEYERFVYNNDMVTRVPFDDKILFGYKHYGSCNYFNSLYKGKVREDAPNANYISMLWLIPKLLSGVWEFIRSFIIRFWKGNEYKENWTMRTVRIVGIIIPGVSDHFPLDYVNSTRLGGLARPLATTTPQDKLSLIA >A02p043130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27037199:27037626:1 gene:A02p043130.1_BraROA transcript:A02p043130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFMFHRRIRVSCFALSSSQRPSLDIKGLEKLQMEGGGGGGCRCGGCGYRGGTREDEELVVIMDVVMVDAMVVDVDTEVEDVRRRMWWWMPWMWMLLWWMWIRKWKM >A10p035160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20269643:20271322:1 gene:A10p035160.1_BraROA transcript:A10p035160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASVILYITVGILVLFLVSYSPKKKSHHDHHHHHNNQHHRLKLRSSFNFKPTRHDPIPFDPLVADMERRREDKEWERQYTDHSHPELASPAPGQESQPEWEEFMDAEDYLNDEEKFNVTDRLISLFPKIDVSPLDGYVTESELTEWNVQSSAKEVMHRSQRDMDVHDRNKDGFVSFSEYEPPSWARDSGNYSFGYDIGWWKEEHFNASDANGDGLLNLTEFNDFLHPADTKNPKLLLWLCKEEVRERDSDKDGKIGFDEFFHGLFDAVRSFEEDNHNATHPYHDLPEGPAKQLFAQLDKDGDGYLSDVELLPVISKIHPTEHYYAKEQADYIISKADSDKDGRLTLAEMIEHPYVFYSAIFDEDDTDDDYGLHDEFR >A08g510420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23294138:23297060:-1 gene:A08g510420.1_BraROA transcript:A08g510420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDNSFMDPFLSSREDLDPTTHKALMSYLGVGSRASSLVSFSSTAVDIPPISNVGDFVREFRIESKKLWKLAGPAIFTSMAQFSLGAITQVFAGHISTIALAAVSIENSVIAGFSFGIMLGMGSALETLCGQAFGAGKASLLGVYLQRSWVILSATALILSLLYVFAAPILSFIGQTAAISAMAGIFSIYMIPQIFAYAINFPTAKFLQSQSKIMVMAGISGVALVIHTFLTWLVMSRLHWGLPGLALVLNASWWFIVVAQLVYIFGGTCGEAWSGFTWEAFHNLWGFVKLSLASAVMICLEVWYFMALVLFAGYLKNAEVSVAALSICMNILGWAVMVSVGINAAVSVRVSNELGASHPRTAKFSLVVAVIVSTAFGMLIAAVLLFFQDEYPVLFVEDEVVRNVVRELTPMLAFCIVINNVQPVLSGVAVGAGWQAVVAYVNIACYYLFGIPFGLLLGFKLEYGVMGIWWGMMTGTFVQSIILTWMICTTNWAKEAAMAEERIREWGGETEKETLLN >A01p014630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7075436:7086534:1 gene:A01p014630.1_BraROA transcript:A01p014630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGISSFWGPVTSTIECCEKNYAYSSYIAEFYNTISGLPGILLALIGLVNALRQRFEKRFSILHISNMILAIGSMLYHATLQHVQQQSDETPMVWEILLYMYILYSPDWHYRSTMPTFLFLYGAAFAAVHAYLRFGIAFKVHYVILCLLCIPRMYKYYIHTEDAAAKRIAKWYVATILVGSVCWFCDRVFCKRISQWPVNPQGHALWHVCMGFNSYFANTFLMFCRAQQRGWNPKVNFEFCRHLSMVSDQDLAKGVETLLRQSDPTSLTSLTSVVHQLEAKLGLDLTEKTSFIRDQISHLLRAAHPPASSASASTLQPPPSQQQLLHFGGNAPAKGHFTHNHPSQFSASHSQHFALQPPYYSYELNFQQPYPPPPQLHQQQSPRQGFSLLVSQGANASLSAVNQAPKERTKRKGGPGGLNKVCKVSPELQVVVGEPALPRTEIVRQLWAYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHILPLDPSKDSGQVKRAKAEVETKSENETTEPVRSLSEPLAKFFGTGETEMIEEEITRRVWEYIKLNNLEDSENLMAIQCDEKLRDLFGCESISAVGSYCLGWVKEKAIAASNRRARRRSVIACLLMFSTGLKKVTIFSAKLTANAIVLCNKTYGHESQAI >A08p018570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12793069:12795144:1 gene:A08p018570.1_BraROA transcript:A08p018570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHLCSSPSSLLHDPNLFSVHPKPTPRTFVSSYNPNSPPFHSRNLLQTIHVSIQEAIPQETHIEKPKLDAASKRNAWVNPKSPRASQLRRNSYDSRYSSLVKLAESLDACAPNEADVSDVITKFGSNLFEQDAVVTLNNMTNPETAPLVLINLLETVKPSREVILYNVTMKVLRKSKDLDKAEKLFDEMLQRGVKPDNATFTTLISCARQCGLPKRAVEWFEKMPSFGCEPDNVTLAAMIDAYGRAGNVEMALSLYDRARTEKWRIDAVTFSTLIRIYGYSGNYDGCLNIYEEMNSLGVKPNLVIYNRLLDSMGKAKRPWQAKIIHKDLISNGFEPNWSTYAALVRAYGRARYGDDALVIYREMKEKGLELTVILYNTLLSMCADIGYVDEAFEIFQDMKSCGTCEPDSWTFSSLITVYSCCGRVAEAEAALLEMREAGFEPTLFVLTSVIQCYGKAKQVDDVVRTFDQVLELGIEPDDRFCGCLLNVMTQTPREEIGKLIECVEKAKPKLGHVVKMLVQDESCEEGVLKKEASELIDSIGFDVKKAYLNCLIDLCVNLNKLEKACEILQLGMECDIYSSLQSKSATQWSLHLKSLSLGAALTALHVWMNDLTEAALTSGEEFPPLLGINTGHGKHKYSDKGLAAVVESHLKELNAPFHEAPDKVGWFLTTSVAAKEWLESRRSSGEVSA >A02p001690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:735824:736783:1 gene:A02p001690.1_BraROA transcript:A02p001690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIEKYYSRMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDEIKVDKETLEMLASLGMSDVPGVSVVEPQAVAPAFGRPPRSACKIEGSSGVLTETFAPDWSSHNHHRSSYLDQVFDVDPEEDVDE >A01g505460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16282431:16287285:-1 gene:A01g505460.1_BraROA transcript:A01g505460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLVSSHLCLLKKSREYPRRLTSKSSSKSSRINGRLTRKSSSKTIYLKVVRFTRKSSRISKIVQIYFLDSGQTLLISDDFLSRLTGKSSWKSQISDTIRSNAKPTRFIPDDLLVMMRQLHAVHGESEGSTHAELLAMAQEDYNLDMNTKSVEITYSLPGEMMLAPDTPPIHVTSDRQVRNLLEITKTHGVRLCVSSRSKVETVSEFREEDDEADEADDCFEDDDDDLVEDENHDGEEDDGEEDNGEEDANISIVAEADENDEDEDDDDMCFEYFNKIEGGRSNDNSIYVNQSFVSKDALLSELRLIAVRLRFSFRIYKSTKTLLVTTCVVSGCEWKIRASVKHGTNTFWITKYVERHTCSVGDRIAQRRHCTPNYVGRLFIDRVGIIDGLNLQHITDAMKNMFGMKLDYTTSYIALLYAQTLVRGSAEDGYSRLPSYLEQISLANPDSITAIELDFINRLFLSLIKDGVLVSLKSGSFATTLWEGIVKALKHVSKIQKKSTTTRAPVAKPSLFINERSKETNGLTCFEPEHPSSLILSSHDFEEEPLDYPHQGPHLDTRRPLDDGLRPIFDEEDELGHTFDEKAPSMTSINMENHHCFDPGTTPTSFPTGSQDHCKELDLINVLPEMFVKISSQDVKQFGFDKVKEFCVSSSVFKNMLNSFKVFEPDELFDQKRFQNGNDIHSDLVLSFDQFLKHSKGFDHLEKSLELDLQQSVLCTRKSFDSFVFKENSFNLSSYTHALIIGQLFVSTCALDEFMVKTLLGQKSPRVETDFLLKRVLHVLGKETLISYLNKYMSCTYDTGILVSVLSVQDKQNVLNSITEFLKEVSDQTECIFDSCAIYKSGVI >A03p021630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9211679:9212999:-1 gene:A03p021630.1_BraROA transcript:A03p021630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEADLEQGAAYGYHRRSDVSIYYSDGEEEDVTSCYSYFYSTTTGGTYEYEGGESRKVSSVMSSSSLEMDDGDGEATVTPEKDCRICHLGVVETSGGGAIELGCSCKEDLAVAHRQCAETWFKIKGDKICEICQSVARNVGGANEMVVVTVVDERELRNGGGGEETAALGAIENRWQPQRLVNIVLACMVFGFFISWLFHFHDSSSS >SC203g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000062.1:65573:67314:-1 gene:SC203g500020.1_BraROA transcript:SC203g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKRSSSASPYEELVVPKMEFVPHSVHPAENEAWWVAHYGSLTPPKEKPFPVLVHRGVEEEDASRTTDEFLATMRSFYHIPDAVEFRVPCRGECANSPPEGYFTCYEAFVVRCRLWFPIPEVLVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVTDTDKHRLVPRKFMSVVKKLISNFNSWKKFFFFVRIDAASVEESCIPLFRRLPNDRPFINPFAPFPEDIIAVRNLLRNGPFFWTYFTPKRVRKALRFVQPGPALATDTGSDSEPDDQNPVEAPTAVPESSSWKGKDVDLGDIEFSMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFTGLPPGFDAPPPTKESARPRVVAEGSRIIDGGLSLLGSAIEAGHREAMVYRFKEEKAERDLARVQGEMLEREAQLTRDHARAVRKAERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVDDYVFEEEMSLMKSGMSERAHAEALIPPIDERIQGFWDSIPVSPDTEEVPTGFPDGGEEVDRPADAFGASLSGDFDFGL >A02g509890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26468167:26470392:-1 gene:A02g509890.1_BraROA transcript:A02g509890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYRWDPGIVGDVGQGIEFHYGKNKGILRWGSLSNLRGSDVGLGEWIQGILRKLGICASWIWILLINTMESYDYTGDLRFNQGITVAIFGKVVEENDSKWVKVAEKGNKGAFHNRGNYRGDGDGSRQRTSRREEPRMTGQDGRLKAAVGHTGDQRSQRGSRVEAQEEGEITNAEGTDKHLPSQNFQEELARTQATGTEVVSDPMDAENGLQMVQSLVENTTALEEDRVMDMDEIKAVFLEHGIDMDAADDLQDGSDGEFEKAVLELEQENGENVHAEEELATGEEEKLMEDGELAKRQGTRKRLFKTTIGTAASTKLRSASAMVSPRKRGASKPGTRHGEMGKQMEIKGTSNPKTGPQKP >A01g504460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13577149:13591787:1 gene:A01g504460.1_BraROA transcript:A01g504460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNDTTSLNTKENQTTIINFSETVRPLNPNCFRNRVHYNFTQQSAFSEIISVIFFSSLQEKGSAKEKGRSEAVLLNIVAHLEKLDRKFNSRLTEYDTKFGDFSQVLLDTIGDTVKTTVEERLRVLGVSNSSQPEGQHVMVSEDNQQPESNSGQPDGQNVMSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGEPPFGRGCRGLGKRNNLAADLERNEAELKKKQKQDEAELKKKQKQEEAELKRKKKQEEAELKKKQKKEEPPCLNYAAVTLDIAQPNLKPYRKIGKYLISQPIRLHKTAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKVDKNSGNNASLMAIASTLDKLSRKFDLMDARFKKQLVDQKSIDDMVKVAAEESLKVIGIGKNPQNKENLSNVAAAQQPEPLSSPQPNTQQKSVCSPLLAESPGKDIGLRNNLSNELDKERGMKKTLAKEFGTHAEDEGANVLDFLYVSPTKATKAEDLRRRSTRNRTIKDEDAEDKKKAVQAEAVLKKKEKAAAKRKAAASMKQKQPELKKPKQAELMNEEQAELKNQEQAELMYEELVELKNQEADNEKRKNITTPRANVKRCKVEDSVEDSEFAVMTDEVLAEENEILPDAQCHKSKPSLSDQVAMKGSKRSMKRPMEDVYGADAVEGYNKGKMETTEHYRALLRLAKEQRQSESEWNDASSKVNSIAARMELLDAIIKAEGKFDLVAELETLTAQHCEAEAELGAVKVIDPDWCKLHEKWMLDD >A05p050060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28986109:28987033:-1 gene:A05p050060.1_BraROA transcript:A05p050060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNTLQAFQTTLTPNFHVLFNPSRHSISRTQFLCLSKPRDGNSDSESDPDPPKPEGDTQRQELLARIAMLQTSKVRLTDFLDERSDYLTKFAEEANAEFDKVGEDAMKDLDEASSRILENIESKMQAFEESAGLNRLEIEENDNKLAEFEEQIVEDRNEGLFFKSLRDKQPVDVEKAKEETQKIQEVTKESVGSESRRNIYLGLIGIVALGIADSFVSSPDWRKVAVLGAILIPLLTQFVNEQTLLSEEADKGKGNKKE >A01p048440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:27273650:27274747:-1 gene:A01p048440.1_BraROA transcript:A01p048440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHVMLPWELEEEILYRLPPKSLVRFRAVSKRWNSLFNNKSFINKHLSHSRPQFIFLTQSKIYSIDIIDQTIHLRELHSSCRELNSEYGTISTCDDLLFCKYPFLWKKETALWNPCSRQLNLIKLSVDRDFTVLGLGYDNSGPQKVHKILLYYPHPEEVAIYECASHVLRYINTLEEDMYICDMSNEAKGWPHVSLNGNLYWIAYNRQTCEYFIQSFNFSNEIFKPVCLLPPFEDDHSWNEHLLAVWKGDRFSLLQQCFLTRNIGIWVTKDKADDKKEVVWINLMTLTATNLPYLCNKMYGVSYFIYDKTLFMCCGDGSSQPCIHIVKGDRCNKIQIGYGEDGWFSHCAYVPNLNSVPLEFQIE >A10p004620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8928105:8929108:1 gene:A10p004620.1_BraROA transcript:A10p004620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDDEEQDEAKRRDHKVSSGAPSRFCNNFDLNKSPKEEPPNHDGHKVTQNLLNPKPLKKRGRGNSGRLNKAKKQRVDVVLEVKDAILIFEKTLLVSDVNPNQSRLLIPFKKLMRNDFLTQEESSFLVQDENKKGKKPGVEAFLVNERSQTWCLVFKRWVMKKEKDSQNGSLNYVLNRGWNDIVKDNNLKANDKISLWSFRFDGVLCFSLVTHPSTISS >A09g515820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46756827:46758384:1 gene:A09g515820.1_BraROA transcript:A09g515820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQILGTNTHQIISRHILGWIHQSLLHYIGLGPLRDFYNFHEASRRDLKTSTAMWDIVGFRMGQEASSDFVWDSFADDNKYQLWFDRVSMGVKGRNYNYHTRPQCQLMLWELAAPVYPKLIQEIPEGLTKDEGFMVKGMSLKPTCKLSKNKVYVSLVKEVSDAFELSHLVKVDCPGLEPSDYEKISAELKVHVFQGKMLAKQAK >A02p007560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3194478:3197366:1 gene:A02p007560.1_BraROA transcript:A02p007560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSFCKRAYSFFKAYPSASKLLLLSTCSGGGLLVYSDSSPSRRTLTADGQEIKKKKVVVLGSGWSGYSFLSHFNNPNYDVQVVSPRNFFLFTPLLPSVTNGTVEARSIVEPIRGLMRKKGFEYTEAECVKIDPSNKKIHCKSKDSTSLKGTTEFDMDYDILVIAVGAKPNTFNTPGVEEHAHFLKEADDALKIRRSVINCFERASLPDLTEEERKKIIHFVVVGGGPTGVEFSAELHDFLVEDVAKIYPKVQEFTRITLLEAGDHILNMFDKRITAFAEEKFQRDGIDLKTKSMVVGVTADEISTKERGSGEVVSEPYGMVVWSTGIGSRPVIKDFMHQIGQGQRRVLATDEWLRVEGCDSVYALGDTATINQRRVMEDIAAIFGKADKGETGTLNKKEFKGVVKDICQRYPQVELYLKKKKLRNIANLLKSANGDNTELSIETFKQALSEVDTQMKNLPATAQVASQQGKYLAKCFNKMEKCEKKPEGPLRFRGEGRHRFQPFRYRHFGSFAPLGGEQTAAELPGDWVSIGHSSQWLWYSVYASKLVSWRTRSLVVSDWVRRFIFGRDSSSI >A10p022740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14961088:14963643:-1 gene:A10p022740.1_BraROA transcript:A10p022740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSMQMVHTSQIGVKSQLVSANRTSQSVCVGARSSGSALMSSRLHYAASFTLKKQFSGAYATIKNQRTACVKSVAAEEEEVMEPQAKVTNKVYFDVEIGGEVGGRIVMGLFGEVMPKTVENYRVLCTATGTFTTPVTSNTPFESCTVSPEDSCQVSKEPNPKNYFLEYSSSVEEDEKKKSWSKKLRLNTRLSFGKKIKSSRAYLRSFFGKSRVADEGSI >A03p071520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31486294:31486953:-1 gene:A03p071520.1_BraROA transcript:A03p071520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLKRSSRKLMSWSFLVFCSVMIPQTYVSHPCRAQPMLLISDPQIIEFICKAKVTCIHAYKGWCYIGCSSERFLLSHSSSHVELCVSDELDEAVFVAFDTEMEKLANIQAAEAAQILGVGVNAHVDNELPHFVA >A07p011590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8621387:8622184:1 gene:A07p011590.1_BraROA transcript:A07p011590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFQIYSISSLYNYIIVAPSATSSVAIPSTFISAAASAFSDVVRNPLSAAAVVFSSTVRSSLSAGTTRSSRCFMPLFVLLHLDTPFTLYAFIQEGYGIVVQFLMFFSNEVYRHSIICLINVSVCLALSSFDVSSLGGVKLC >A05p018040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8223745:8224590:1 gene:A05p018040.1_BraROA transcript:A05p018040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT2G29530) UniProtKB/TrEMBL;Acc:F4IKQ3] MAAPSPIPAGISKEQAFSMAQTEMEYRVELFNKLAQTCFVKCVDKRYKEAELNMGENSCIDRCVSKYWQVNGMVGQLLSAGKPPQ >A02p011880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5192220:5192876:1 gene:A02p011880.1_BraROA transcript:A02p011880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSDSVTGRDHTYLLSFHISTRQKVFHLVSCNSKVGINNTVLVPVYKLHPSLIFTQFKILSFISFFLISFFSSLEKTRSQFLNLERKTMQSTTLSGNYGFPLCISGIAQQLSLSKEMADHDKRRKVVKRRRRSYESRSHGEEELGVERYNELWLQEMRESEDVRDLVALLQDLESWSFSSHTAKAA >A04p017990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000022.1:75342:76455:-1 gene:A04p017990.1_BraROA transcript:A04p017990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNCSCDTEQGHEDTMMGSHPGSRVTACSVRCSIFEYLMAMMAGDLTLGREGTSLGSGDQKYSENLGSTIEEHQPCHFRSTMIGGVTIPFTQKTAASERVVFTGVARYCTPERLREHAKEGTNAISYEPDVEKTLRRNTSSNRTEITHSLICFMVILA >A04p030680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18199346:18200852:1 gene:A04p030680.1_BraROA transcript:A04p030680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVGKMGKYIKKSKLDGDSLPLMDVSPGVLTRAKSLALQRRLQKPSPSSSSPSKQKTPTDCGGGGGGGSYLQLRSRRLQKKPPIVVIRRRKKQRRVRNPNSQNQDSGRGSGGGDGSRSDSVAESVVCGKAKDFNGEINMDELQGSERTTRESTPCSLMRKPESISSPGSSPKLENGVSDNSNQREESLSGSRCHLPTTPEMDEFFSGAEEEQQKLFIDKYNFDPVNEQPLPGRFEWKKIDD >A09p070400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54577805:54580715:-1 gene:A09p070400.1_BraROA transcript:A09p070400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKAWPWKKKSTEKSNGISSNEEIEKLVADKIQLENRLTAVEAESNKHKTETQEAIIGWEKAKAESASLKKKLEEALTEKHRSDERWSHADAGLKECMQQLRFLRDEQEQRMHDALTKASHEHERRLNVVKTEIADTCKKLAEAEGENTHLSKALLAKNKTVEELNRDRDRIVSDFNALVSSLESKEKENVTLRYEVRVVEKELELRNEEREFSRRTAEASHKLHLENVKKVAKLEQECQRLRVLVRKRLPGPAALSKMRNEVEMLGMRRSSNSTTIDSEKINNLTEQLCLLEEENKTLRDALNKKVNELQFSRNMYSRTASRLLELEESCKGTTNIEPSRSSNVSHEVSLASFPEFDNNDDKVSCSDSWASALLSELENFKNKKQMGSTTPKASEMKLMDDFAEMEKLASTVPGTSPIFSSDSISATGPLENESNEDPSEAAKTQMNVGEVLEDISKALSGVNQTETLTVEVAADTECDISKWIRRIVEIIEGVSLKGESERLSGYTARVLQWKTTELSSVLQRFLQTCYDLIERKADMKKFAEELSTVLEWMVNHCFSLQNVSSMREEIKKQFEWDESLSESDVSSLACKDHELPSKMAKDKTASVTANELKLEEQQNMRTELEITAASEKLAECQETILNLGKQLNALTNSKEAALLSDKLTPELNDQPNNLATALLPSQETKPEKRLTTQRSSLLDQMKAEDHETGDSKDQKKPQAADKNGKGGGSVYNETIDALEQILLSERKSKGSETNCCAIVPQKKTGGAKSLWRKLLGRKNNIKSKKLPNLFAT >A10p004650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8946056:8948462:-1 gene:A10p004650.1_BraROA transcript:A10p004650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDKSKTNKLAWSKKMVRKWFNIKSKTEEFQTDVPAPSASNGIEVEHRSSFSAEKAPTTINKSKTEKLSKNWEQQARQRRMNYENPRIIDVQNYSIFTATWNVAGRSPPPDLNLDEWLHSSAPADIYVLGFQEIVPLNAGNVLGSEDNGPAQKWLSLIRKTLNNRPGASGGGAYHTPSPLPVPMAELDADFSGSTRQKNSTFFHRRSFQTPSSACNDPSIPQPGRFSVCDRVFFSQRPSDFDPSFRGGSSSHRPSDYSRRPSDYSRQSDYSNRPSDYYSRPSDYSRPSDFSRSSDDDNGTGDSPSTVLYSPGSAAANESGYRIPWNSSQYCLVASKQMVGVFLTIWVKSELREHVKNMKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCTHLTSGQKEGDELKRNSDVMEILKKTRFPRVKSLEEEKSPENILQHDRVIWLGDLNYRIALTYRSAKALVEMQNWRALLENDQLRIEQKRGHVFKGWNEGKIYFPPTYKYSRNSDRYSGDDLHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESKFSDHRPVYGIFCAEVESAHNKLKRTMSCSASRVQAEELLPYSRGYTELSFF >A09p014360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7379052:7384493:1 gene:A09p014360.1_BraROA transcript:A09p014360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGTLLRALRDLPLVEASSISITLALLLVFIIDISARRLLLCLRRGPFLKHDSVGNSSSGDARELQVTIGYKFSVLCCFFVLIVHVSVLVLDVVGVAKERNEISVLFSPVTEVVAWLVLCASVVRCNYTSAEKFPLLLRLWWVVGFLICLWALFIDSREILVNGSNHLSSHVVGNFVSAPALAFLCFVALRGVSGLRVITTHSHLLDPLLVEDEEEEEEKAGCLSVTSYSDAGLFSLATLSWLNPLLSLGAKGPLDLKDIPLLAPKDRAKTNYRILNFNWEKLKAENPSKPPSLAWAILKSFWKEAACNAVFAGLNTLVSYVGPYLVNDFVNYLGGKETYPHEGYILAGIFFAAKLAETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSITKQNHTSGEIVNYMAVDVQRVGDYSWYLHDMWMLPLQIVLALGILYRSVGMAAVATLAATVFSIIVTIPLVKVQEDYQDKLMSAKDERMRKTSECLRNMRILKLQAWEDRYRVVLEGMRSTEFKWLRKALYSQAFITFIFWSSPIFVAAITFATAIGLGTQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIILSQGMTETSVEIKDGCFSWDPSWVRPTLFDIHLNVKRGMRVAVCGVVGSGKSSFLSCILGEIPKISGEVRICGSAAYVSQSAWIQSGNIEENILFGSPMDKAKYKNVIHACSLKRDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADVYLLDDPFSAVDAHTGSELFKEYILTALADKTVIFVTHQVEFLPTTDLILVLRDGQIIQSGKYEELLQAGTDFLSLVSAHHEAIEAMDIPSHSSEDSDSHHGLDQSLPHNPKSNASSSNIEILAKEVQEGPSGSNQKATKEKKKAKRLRKKQLVQDEERVRGRVSMKVYWSYMAAAYKGLLIPLIIIAQTLFQFLQIASNWWMAWANPQTEGDQAKVSSTVLLLVFIALAFGSSVFIFVRAILVATFGLVAAQKLFLNMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTNVTWQVFLLVIPTGIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSIAAIEWLCLRMELLSTFVFAFCMILLVSFPHGTIDPSKFFCMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPTFIEDAHPPPSWPENGAIEINNLKVRYGENLPTVLHGVNCVFPGGKKIGIVGRTGSGKSTLIQALFRLIEPYAGQIIIDGIDISSIGLHDLRGRLSIIPQDPTLFEGTIRGNLDPLEEHTDQEVWQALDKSQLGDIVRAKDQKLDTPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDSATDNLIQKILRTEFGDCTVCTIAHRIPTVIDSDLVLVLSDGLVAEFDTPTRLLEDKSSMFLRLVTEYSSRSSGIPDF >SC221g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000076.1:17642:20086:1 gene:SC221g500020.1_BraROA transcript:SC221g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKMGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRWCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTVPSNQAGEAGGTTPLDHERGNGSESGEQEHNQEDSGQHDQEATHEVERGAQSGGNEQGEPTGNEQGEPTGLREEAQEN >A07p001570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:83269:88157:1 gene:A07p001570.1_BraROA transcript:A07p001570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCKIGEFISVETMRFLAFLLICSFHLAFSAESDIGTESVATREINGNAAESNATNAKPKEDSFADMIDRALEKEFPENDQNDVPDPGSFNNSVADQQAVLETVARVKPKKNETKTKEEKSFFNLDNENGVEDTPRLIDRKDNVFIMSNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLSFVSEMVQVETVAQFGVIFLLFALGLEFSAAKLRVVRAVAIPGGLLQIFLFMCLSGITASLCGGKLTEGIFVGAFLSMSSTAVVLKFLMEKNSISALHGQITVGTLILQDCAVGLLFALLPVLGGTSGVLQGMLSMAKSLAILIAFLAALFVLSRTWVPWFLKLMTSLSSQTNELYQLAAVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHMHFLWNHVDILVAAVLLVIVIKTVVVAIVVKVFGYNNKTAVLVGMSLAQIGEFAFVLLSRASNLHLIESKLYLLLLGTTALSLVTTPFLFKLIPAVVHLGVLLRWFSPDSSTELTRQHQKATFDLKLGKGDEWGAVDCVPDTKTLWRQKLTRRGLSGLQGRSLTRPGRYSPNPTKKNAIGINIACGCSSVTIEQSMFQESKELFVTLNPDTSTKALYFLSSAATMRNVSEWKGD >A07p020880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12309239:12310680:1 gene:A07p020880.1_BraROA transcript:A07p020880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSDGLSSDPYFLVNFIMSHYIGPDVFSDIPRCSASQRLSKRLPPYTPKQIGSSSLTVAQLQNLYYYVLRNASSSLLLHPDMVLMYLKGYLPMEPSGELHQFTHYFPTSLHPQKRYSPSLEIVKGIVVIDDPALAFIREGEVQRFRCLSRLDDLRIDRVVSLSPRPVKLLDENRGTEQECLSNGEVTSNGLVSDQELQDSSKRKKVEEAVAVCVISDHIPEGLSGDIPQRKGTEQEEAMSNGLVTNQDCNSPAEPGETCKRKKDEEEAVSAQASCGVISKTPERFRETYKRRRFKNSSTKEKNKNGETLMERDKTDPPLVIVPPKMKECDEEPTVVTKGTATRGTSIGVVDIGVNNVAYFFQVALPGVSKDNDKFSCEIESDGKVIIEGSTTTGQKTIERHSRVFKMNSQTLWPPGPFKLSFNLPGPVDPRFVSPNFRSDGIFEAVVIRQRNST >A02p046190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28899777:28900525:1 gene:A02p046190.1_BraROA transcript:A02p046190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGTYVTEVPLKGSAEKHYKRWRNENHLFPDAIGHHIQGVTVHDGEWDSHGAIKIWNYTCDGKPEVFKERREIDDENNAVIFRGLEGHVMETLKVYDVIFQFIQKSPDDIICKITMIWEKRTDDSPEPINYMKFVKSMAADMDNHVVKA >A04g502100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5169584:5172447:-1 gene:A04g502100.1_BraROA transcript:A04g502100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSIYLKVFQIWRTSGTTYLLVEVVWTSRKSSGLPGSRLDFQEVVWTSRKSFLIRWYSSSTNLKVFQIWKTSGTTYLLVVWKSSGSRLDFLKVVWTSCKVVWKSSELPKSLLAKSSELPGSRLDFLEVTTYNSVVHETTEIRLKCKLSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKAYIRLLQAHRITNESHPPIIVSFYDFMNHKNFRIKILGFFSSLWRESERYVVFSSQEWKKKKGKSIVGALRASNWLFMVVVVLMTMAIL >A02g502130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6750951:6751295:1 gene:A02g502130.1_BraROA transcript:A02g502130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLLNYHRRNVDLESARCPPPKGRFLTTSAASKGEKKPAHSDNNNAPAVKLPTEIVEITSDPRTSEEDKVEMPADAY >A01p002010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:915326:925210:1 gene:A01p002010.1_BraROA transcript:A01p002010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:Projected from Arabidopsis thaliana (AT4G37040) UniProtKB/TrEMBL;Acc:A0A178UWL4] MATAKTLQPRIISSFLGNSNSIRSTQSLPHLFRFDLGRRHVSMQLSRTLSGLTNLLFNTRNVDEVIDGKRKRLKPGHVSPRRPVPAHITKPPYVESFNVPGISSGLEIHDEDGVEMMRASGRLAARVREYAGTLVKPGVTTDEIDEAVHNMIIENGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEKAKKLVQVTKESLDKAISICGPGVEYKKIGKIIHEHADKYKYGVVRQFVGHGIGRVFHADPVVLHFRNNEAGRMVLNQTFTIEPMLTIGSRKPIMWDDNWTVVTEDASLSAQFEHTILITKDGAEILTDCFGVSIEDLRGTWKHLGSKREWKMLFRRAKHQSGVRERRATPAPEESKVGATSSTEVIHPLQAQLLVDDFHDAKRPMGATSRTRAGCRATSGATSSTRARFGEVKHVLQSDLWERPSAPAPRFILCRKLIPLYNNQQGGYQANQSPQTQGSSSQAQAPDSSVDSMFKQLLELQARNEKTMIYEFKNIHAKIDGNYSDLNNKYMQLASYLKALENQVASMPSSSKQPMGSLPGKLEKNLMESCNVVFSTTSPKIELSDHEKEEDEIERLSLSGFGVSIGDLRGTWKHLGSKREWEMLFRRAKHQSGVRERRATPAPEESKVGATSSTEVIHPLQAQLLVDDFHDAKRPMGATSRTRAGCRATSRATSSTRARFGEVKHVLQSDLWERPSAPAPRFILCRKLMFYLGF >A01p005490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2360919:2362243:-1 gene:A01p005490.1_BraROA transcript:A01p005490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPPTTISSSMSPRGSGSSCTWISMFSVNEVEALYELFKKLSCSIIDDGLIHKEELRLALFQAPYGENLFLDRVFDLFDEKKNGVIEFEEFIHALSVFHPYAPIEEKIDFAFRLYDLRQTGYIEREEVHQMVAAILMESEMILSDELLTMIIDKTFADADADKDGKISKEEWKVYVLKHPTLLKNMTLPYLKDVTTAFPSFIFNTEVED >A08g508190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:14919193:14922716:1 gene:A08g508190.1_BraROA transcript:A08g508190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPLYDIEFFSRSVRQTTSQVSRLAVDDLPGSRLSVDDLPGSRLVNAEMMRQLHAVYGEWLLKDGCWNFVVDHFKGARMLFLNESSTHADLVAMAQEDYNLDMNTESVELTYSLQHMTPDLPPIHVTSDRQVRNLLEITKTHEVRLCVSSFSKMRTVSEERDEDHVGDEAEEGDEADVSDEDEEGDEVEEGDEAEEGHEAEDHDGEEDADIPVVADAEDYSEYGKVKDEDEEEDDEICFDDYKGAYGCEGEGSSADRIYVNQSFASKDALLSELRLTAVRRRFSFRIFKSTKTLFVATCRVSGCQWKVRASVKHGTKTFWVTKYLATHTCSIPDRIAQRKRCTPKYIGYSENIYPCVGQLVEARTCFPPEVNPMLVLEVHRCIDIIHIHPPPPPPPPKPS >A01p013400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6555538:6557126:1 gene:A01p013400.1_BraROA transcript:A01p013400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFFVCLLLLLCVLSINADQQEENQPTSHKILHKVQLWRTSLKESSAQELKLSSAIIVAGVLCFMAALISSAGGIGGGGLFIPIMTIVAGFDLKTASSFSAFMVTGGSIANVISNLFGGKALLDYDLALLLEPCMLLGVSIGVICNRVLPEWLITVLFAVFLAWSSLKTCRNGVKYWKIESDAARGKGHERPEKGQGETEEDDTKSLKAPLLEAKARRNKTPWTKLGVLIVVWASFFVIYLLRGNKDGKGIITIKPCGVEYWILLSLQIPLALVFTKLALSRTDSLQERSSNNQKDQEGTRLDQSTRFMFPVMSFLAGLLGGIFGIGGGMLISPLLLQAGIPPQITAATTSFMVFFSATMSAVQYLLLGMQNTEAAYLFSLICFFASLLGLVLVQKAVAQFGRASIIVFSVGTVMSLSTVLMTSFGALDVWTDYVAGKDMGFKLPC >A07p040160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21321590:21325436:1 gene:A07p040160.1_BraROA transcript:A07p040160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSSGFGHQSHEGEKKCLNSELWHACAGPLVSLPSSGSRVVYFPQGHSEQVAATTNKEVEGHIPNYPTLPPQLICQLHNVTMHADLETDEVYAQMVLQPLTQEEQKDTFVPIELGVPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYTQQPPAQELIAKDLHDNEWKFRHIFRGRNERNQLLLGIRHATRPQTIVPSSMLSSDSMHIGLLAAAAHAAATNSCFTVFYHPRSSSSEFVLPLPKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITGIGDLDSVLWPNSHWRSVKVGWDESTAGERQARASLWEIEPLTTFPMYPSLFPLRLKRPWHPGASSFQDSRGDLTWLRGGAGENGLLPLNYPSPNVFPWMQQRLDLSLLGTDQNQQYQAMLAAGLQNFGGGGGDPLRQQFVQLQEPNHQYLQQQSAPIIHSSDLQQHHQQQQMPRHLLQAQPQILTENLPQQNMRQEVSNQAQQPDRVWQHSDLLSPSDFTNKFTSAATNPQVQQQNLTLQGSGDSSSHLLNFSITGQSEQLPTQDWSLKHTHHPETNYFSEPLSLGQGYGRASPSSLEPPPSTQNLSLFGVDSDSGLFLPTTVPRFGDTSSMPLADSGFQNTLQETTEVAAHAGVEHTNNFVKVYKSGSVGRSLDISRFSSYNELREELGRMFDIKGLLEDAFRSGWQLVFVDKEDDILLLGDDPWESFVNSVWYIKILSPDDVHKMGEHGEGSSFPQNLTHF >A03p054960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23683158:23686315:1 gene:A03p054960.1_BraROA transcript:A03p054960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHYSSNGRKRILKLSFTSSSSSSMVAGKVRVTMGFHKSPSSKPKDMTSPPPLPLPPQPPPLLKPTSGSAGKPSNPPSNQKTGFTRSFGVYFPRASAQVHNSSSRSDQTSVISDLRRQVEELREREARLKTEVLELKLLKESVSVVPLLEAQIVEKSGEVEESRKETARLAEENERLRREVERSEELRRESERREKEMEAETAELRKLVSSLRESDDHALSVSQRFQGLVDVSAKSSLIRSLKRVASMRNIPDPPEPVPNQEDIKTVTSGDIYRKDEIEELHVSSLSTVRSRVPRVPKPPPQRSFSSGDSTENRADPPPRRSNPPPPPPPPPPQHPPSVSKAPPPPPPPPPPPPPQHPPSVSKAPPPPPPPPKSLNIASAKVRRVPEVVEFYHSLMRRDFTNSRRDSTGGGNAAAEAVLASSNARDMIGEIENRSVYLLAIKTDVETQGDFINFLIKEVENAAFSDIEDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFCYFDLKKLVSEASRFREDPRQPSCSALKKMQALFEKLEHGVYSLSRMKESSATKFKTFQIPVDWMLETGITSQIKLASVKLAMKYMKRVSAELEAIGGGGPEEEELIVQGVKFAFRVHQFAGGFDAETMRAFQELRDKARSCHIQCQSQTHQHKLMLKQS >A09p002610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1737302:1738667:1 gene:A09p002610.1_BraROA transcript:A09p002610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPISPATQLGSSRSATMLAMMSRGMFVKPARTSHQMVRKEKIGLRIACQATSIPADNVPDMEKRKLLNLLLVGALSLPTGFMLVPYATFFAPPGSGGGGGGTPAKDALGNDVIAAEWLKTHGAGDRTLTQGLKGDPTYLVVENDKTLATYGINAVCTHLGCVVPWNKAENKFLCPCHGSQYNAQGRVVRGPAPLSLALAHADIDDGGKVLFVPWVETDFRTGDAPWWS >A07p049810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:26577474:26579318:-1 gene:A07p049810.1_BraROA transcript:A07p049810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNW/SKI-interacting protein [Source:Projected from Arabidopsis thaliana (AT1G77180) UniProtKB/Swiss-Prot;Acc:O80653] MELTMASLKDRLPPAKSTAATFYDHSNDPWFKNRFTESESEKTAAVVFKAVPVYLKRQGFRPRNPEDFGDGGAFPEIHVAQYPLGMGKNKSNKPGAKTLPVTVDAQGNVMFDAIVRQNENSKKIVYSQHKDIIPKVLKNEGGEDGVVDEEEELQKQIQETTEETKAAMEKIVNVRLSAAQPTNVPRQSGDSKFIKFKPSQQSSAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVSMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAPASMPVSVDRARSESVDPRGDYDYDRDREREREREREGPKESREEKDRMRREEIRRERRHERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGGKGGEVMYDQRLFNQEKGMDSGFATDDQYNVYDKGLFTAQPTLSTLYKPKKDTDEEMYGNADEQLDKLKNTERFKPDRAFTGASERSGKRERPVEFEKEEQDPFGLDRFVSDVNKGKKKPLDKIGSGGTMRASGGGGSSSRDDYGGSGRTKINFERGDR >A09p079670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58556867:58564393:-1 gene:A09p079670.1_BraROA transcript:A09p079670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCX5 [Source:Projected from Arabidopsis thaliana (AT1G08960) UniProtKB/TrEMBL;Acc:A0A178W3U3] MELISSSTITNSALCLTLISTLTFFLLLLHTPPTPTTHPHHRSLLSTSPCLSSQSHTNAYLSLHFCVFNQNLLLTIPSLSLLILLHFYILITTAHSHFSSVTTKLADRLSLSPSMAAVTLLALGNGAPDVFASAAALRGGQYRTGFGAILSAGTFVSAFVVGFVAIHAAPFQVDAASFVRDVMFYLVGASFLFYVYLSGEIFVWQAVGFVGFYVFFVGFVFWMDFGSGGGVEKGKVVVSEEEKDFLRVEIGESFKAEKEHRFSRVLRLYGKISRMWETPVSVLLLLTIPKTSPSEWSRFYRSANIVFCPLALLYACNSFVPLNHPISFLFPNTHLPLWLVVLFMTSSLAFLHFTIEKQPPKTEQMHVIIVAFVMSVFWISTIAGELLNCLAALGTLLELPPALLGLTVLAWGNSVGDLVADVAVAKAGRPAMAMAGCFAGPMFNMLVGLGTALVMQTANVYPNAYELGFHVGIVIAFVFLLLSLMGSLLVITWSRFRVPRFWGICLVGLYVVFTFRMDQQEHAQSGAMNYGSNPYQTNPMTTTSAGPAAPPGQLGFHQIHQQQQQQQLAQQLQVFWENQFKEIEKTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAVTRTDIFDFLVDIVPREDLRDEVLGSIPRGTVPEAAAAGYPYGYLPAGTAPIGNPGMVMGNPGGAYPPNPYMGQPIVLELRKETLVKKMKKEHIRRISNQCGRRILSVLFYVYSILSFKDKFRDEPEEESLSTRSFTWSSFTLCDFNTEATGMAISNDYGAFIEKSTISPTPSSSFPSLKGLTFAIKDIFDVEGRVTGFGNPDWLRTHSAATSTAHVVSSLLEAGATSLGITIMDEMAYSINGENAHYGTPVNPVASERVPGGSSSGSAVAVAAGLVDFSIGTDTGGSVRVPASYCGIFGLRPSHEVVSTVGVTPMAQSFDTVGWFARDTATLKRVGCVLLQQHDVDAKEPSQLIIADDCFKLCSVPRELLVLPVVQSVDKSFGGNTVINKVDLGEYIQENVPSLKHFMTSETQREFCIPSLMALSSSMRMLQRYEFKMNHGEWVSSVKPEFGPGISERIEEAIRASDEKIDLCRLVKTELLTALSTLLGENGVLVIPTVPGPPPHLQADVVALESFRSRAFSLLSIAGVSGLCQVSIPLGLHENLPISVSLVANHGSDGFLLSLVDSLSKFM >A09p071410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55120005:55121914:-1 gene:A09p071410.1_BraROA transcript:A09p071410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELELSPLVPPSPMVDPSEIDLEAGHGEQIQCRICLESDGRDFIAPCKCKGTSKYVHRDCLDHWRAIKEGFAFAHCTTCKAPYYLRVHGAGDRKWRTLKFRFFVTRDILSIFLAVQLVIAALAYMVYFIDSYQQSWLRHIWGFDSQVTFYYMCGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGICTDCHLPGTICMWADCTACTEGCASAVSECSGCLGGAGEAGLPLLFITALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMMGSEWSPPALPSEHIQQLKTLGLL >A08p011770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000117.1:28409:29606:1 gene:A08p011770.1_BraROA transcript:A08p011770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDKLLEVALCLNLIICDQKQQQEKGYNHVNQSLHKMHIPEHGEKRPCSSEPTLVGLSAGVGAVVLLVQETHKEGHHLSHEETGGPKTLELKADGELVGLSADVGIVVLPVQNSLKLTFKLVTILMSHFLIEDQQEVGELKEDLSDQSEEAVTPHCSYQPDARIIQSGTFLAKQSHDGGKLWSCKVPLHVETSREQCKGWLREGMAWRIQVLCTPHQHFRN >A10p020230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13729769:13730882:1 gene:A10p020230.1_BraROA transcript:A10p020230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLRLSRLGCKNRPFFRIMAADSRSPRDGKHIEVLGYFNPLPGQDGGKRMGLKFDRIKYWLSVGAQASDPVQCLLFRSGLLPPPPMVAMGRKGGERDTRPVDPMTGRYVDAEKTPAISDNKPKEEDKSP >A05p008580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3537871:3541797:1 gene:A05p008580.1_BraROA transcript:A05p008580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AtAPC7 [Source:Projected from Arabidopsis thaliana (AT2G39090) UniProtKB/TrEMBL;Acc:A0A178VSZ9] MDVPKDQIATLMEHGLYDSAEMLGCFLVSSSSSTLSAEASPHLKAETLILLGDALFHQREYRRAIHTYKQALHHCARVPKQSSGISRSSLSLSTRSSVNASSVSAINENEVRFKIASSHFALSETKAAIAEMESVKTRSLEMNILMAKLHRNSGYNRGAIAFYKECLRQCPYVLEAIIGLAELGATAKDIISAFTQTSSRSTKVSLDQIDPTRWLQRYVEAQCCVASHAYKGALELFAELSQRFPNNVHLLTETAKVEAIIGKNDEAIMRFEKVRSIDPYTLTCMDEYAMLLQMKCDYSRLNKLVHDLLSIDHTRAEVFVALSVLWERKDPRTALSYAEKSIRVDERHIPGYIVKGNFLLLAKRPEAAAIAFRAAQNLRSDLRSYQGLVHSYLAFGKTKEALYTAREAMNAMPQSAKALKLVGDVHANTSSGREKAKKFYESALRLEPGYLGAAIALADLHLMEGRNGDAVSLLERYLKDWADESLHVKLAQVFAATNMLQDSLSHYQAALRINPQNEAAKKGLDRLEKQMKGIDPDAADENDENDVEDVDGDTEEAELM >A09g518720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56985422:56990870:1 gene:A09g518720.1_BraROA transcript:A09g518720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLFLTRAKPLFLSRYLSSFLSPAPTNLLRRSFHGSATMSEAEKKILTEEELERKKKKDDKAKEKELKKLKALEKAKLAELKAKQAKDGTSAPKKSAKKSSKRDASDENPADFVDPETPLGDKKRLSSQMAKQYSPAAVEKSWYAWWEKSDLFKADAKSSKPPFVIVLPPPNVTGALHIGHALTSAIEDTIIRWKRMSGYNALWVPGMDHAGIATQVVVEKKLMRERGLTRHDVGREEFVNEVWKWKNQHGGTILTQLRRLGASLDWSRECFTMDEQRSKAVTEGFVRLYKEGLIYRDIRLVNWDCVLRTAVSDVEVEHIDIKEKTLLNVPGYDKPVEFGLLTSFAYPLEGGLGEVVVATTRVETMLGDTAIAIHPDDARYKHLHGKFAVHPFNGRKIPIICDGILVDPNFGTGCVKITPAHDPNDCEVGKRHNLEFINILTDDGKINTNGGSDFTGMPRFAAREAVLEALNKKGLYRGAQNNEMRLGLCQRTGDVIEPMIKPQWYVNCSMIGKEALDVAINDENKKLEFVPKQYTAEWRRLLSFVIYSYGSSIINLLTITMDLNRWLENIRDWCISRQLWWGHRIPAWYAALEEDERKEVGAYNDHWVVARTEEEARKEAAEKFSGKKFELTQDQDVLDTWFSSGLFPLSVLGWPDETEDFKAFYPTSVLETGHDILFFWVARMVMMGMKLGGDVPFSKVYFHPMIRDAHGRKMSKSLGNVIDPLEVINGVTLDALHKRLEEGNLDPKELVVAKEGQVKDFPNGISECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMMRLGDDYSPPLTLTPETMPFSCQWILSVLNKAIAKTVESLNAFEFSDAANTVYAWWQYQFCDVYIEAIKPYFAGDNPAFASERAHAQHALWVSLETGLRLLHPLMPFVTEELWQRLPSPKNTERKASIMICDYPSAIENWTNEKVETEMETVLASVKCLRALRAGLMEKQKNERLPAFAVCENNVTSEIVKSHELEIRTLANLSSLEVLLKGEHAAPPGSAVETVNENLKVYLKVNRAINTEAEQEKIRNKIDELQKQKEKLQKVMSASGYEEKVPANIKEDNATKLAKILQEFDFFEKENARLVAETGNEQ >A01p013070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:6396446:6397657:-1 gene:A01p013070.1_BraROA transcript:A01p013070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPWKKSKSGRISKFVSDLQQSPKRGGSLVVETGFPTSLIHLFFKNRDRLKKSSSKRNNNKAQIPTGPSHSHSTRQRVASLPPPPPQLPASVTEDQTASKIDESFVCDGGVTPENRNDEYGGNGGDHDGGGGGCCVLMVVAIKVFVVAVLALSTKKLAVGITISAFALLFLEFAVPRVLTLLNLCPNAQVRLDSLIRKLIGTKKLEPSPPSSSSSNVSFEIIETFQEPRDCIEETPVPEPPGAEEREVLTIRDVVFTKEKSKSAKLKSKIVKKIVPKKLRSYKKKKKLKKMKEKEEAEGVEIEIFEEEGEGSTTEASSLYSEDITESEVSERYEIGSNPPLLESCEEEEEEEEESKRDLTKVMVMIVVALAGLLGGKVLAIVLTVSSCLFLRLVCSKSQTIL >A05g509260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27806584:27807504:-1 gene:A05g509260.1_BraROA transcript:A05g509260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVLKEKLSKVDLSFLNHKKKMAFWINTYNACVMNGFLEHGLPSSKEKLLTILKMATIDLGGTQLSALDIEGSILHSPCEPLEALSTDVHKRYGFRCDEPNLMFVLCRGDWSSPALRVYTAEDVVNELIKARMEYLEASIGITGRKKIVIPRFLHKRLRDFADDEGTLVEWICRQLPPGERCLQLKETAMEWLKKQSESSLNKLIEVRPHEYEFRYLLHL >A07p017680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10678717:10679036:-1 gene:A07p017680.1_BraROA transcript:A07p017680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGIKMYADYELHHSPRSLYHLVTFLNNEEDEEWPALMLNQSFSKSADSLAKEVRSCNIVFSHVSNELVSKADSLELI >A09p082450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59698975:59701114:1 gene:A09p082450.1_BraROA transcript:A09p082450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEEHTISSSGGYVQNLSATDHVDHRHEHEPFNPPLAKKKRNLPGNPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKSCVHHNPTRALGDLTGIKKHFCRKHGEKKWKCGKCSKRYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFITHRAFCDALAEETARLNAASHLKSPTGNNLNYHYLMGTLIPSPQPPPSFPFGAPQPQHHHHPFPITTTTFDQHHHQDSMQPASSLSLWMGGNVNHHQVTNEDSTQPQSPQEDYNWVFGNANNSGELSDSLITRENINMAAHNENANAVTSLSVPSLFSNVDQMRQDANVAVANMSATALLQKAAQMGVTSSTPTTTSDQSAFLQSFKSKISDQSPNVEDGGSDKFFALFGSNSVGLMSNNGLGHEIVNPRNGVTVVTGIDELQNYPLKRRRIENGDALGGGQTRDFLGVGVQTMCRSSSINGWI >SC315g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000160.1:15705:16004:1 gene:SC315g500020.1_BraROA transcript:SC315g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCDWLSQVDNTSLVDREQHQKQALGVRTNGLRFMDPRQEDLKEKWLIKKKEVLQSSNHGVNTLRDQFRSWLKP >A02p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11806714:11812523:-1 gene:A02p023660.1_BraROA transcript:A02p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISDEYVRLLGAWPSPFVLRTRIALNLKRVPYEYLEEEDSLNSESVLNYNPVHKQIPILIHGNKPIRESLNIVMYVDETWLSGPPILPSDPFDRAVARFWDVYIDEHCFTSINGVAVAKDEEERKAAIAKLEMCMALLEETFQECSKGRGFFGGDNIGFIDIGFGSMLGPLKVLEKFTGVKFIHPETTPGLFHWVDRFYSHEAVKPVMPDIEKLVEFARLKKHTTSSLDT >A01p023530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11651344:11654590:1 gene:A01p023530.1_BraROA transcript:A01p023530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSFSTTPGQLTKKKWDALVIGGGHNGLVAAAYLARGGLSVAVLERRHVIGGAAVTEEIVPGFKFSRCSYVQGLIRPCIISDLELGRHGLKLLKRSPASFTPCLDGRYLLLGPDQALNHSEISKFSRSDAEAYPRYEKQLERFGRFMDTLLDTAPPESLQGDSSFNDKLSNKLHKSAFWARCLRQAASLGQKDMVDFMDLLLAPASKVLNNWFESDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGESDGEHGIWSYVEGGMGSVSMAIANAAKEAGAQIFTNAEVSEVLTEDSSSVKGVLLADGTRVESSVILSNATPYRTFVELVPSNVLPEKFVSAIKNSDYSSATTKINMAVDKLPQFQCCNTNHSGPGPEHFGTIHIGAETMDEVHTAWKHVINLFIQYTPYKPSDGTWEDPVYREAFAQKCFKLIDEYAPGFSSSVISYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYKSPLKGLYLCGSGAHPGGGVMGAPGRNAALVVLQDLK >A03p014560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5782859:5784887:-1 gene:A03p014560.1_BraROA transcript:A03p014560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLYSTYTQQKEVFRPINPGKVGLYVCGITAYDFSHIGHGRAAVPFDVLYRFLMYLGYEVTYVRNFTDVDNKIIERAKKNGEKPLELSNRFCHEYLADMGALQCLLPTHQPRVSDHMDHIINMIQKIIENGCGYAVEGGDVFFSVDKSPNYGKLSGQLLEHTQAGKRVAVDSRKRNPADFALWKAVKPDEPSWESPWGRGRPGWHIECSAMSAHYLSPRFDIHGGGADLIFPHHENELAQTCAACEHGGVNYWMHNGHLTINNEKMAKSKDNFKPIREITERYHPLALRHFLISVHYRSPLSFSASKLDSSSELLYYVYQTLQDLFDALLPYREAMSEDGGKAEPTSEAKEIIIKLKSEFDAKMSDDLNTAHIFTGEYDRALKFINSSIGKLENMQEKERMSLLVSLVEIERGARKVLEVLGLLTTLSYSEILKEMKQKTLSRAGLSEQDLSQLIQERIMARKNKEFEKSDVIRAQLAVKGIALMDVVGKETVWRPCLPSSHATNSSD >A06p023660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11580101:11581295:1 gene:A06p023660.1_BraROA transcript:A06p023660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAASSESLLQPEPPDPDLDMVFPMDSPVLVPSDPPLVLRLKLTAPYHRWGPSLMDLNSRPPDPPDRATLSHSKTFTIITIEQLFPHLELALVDPKNFLVISVSHSRLTRAGSSVISLPCSILSIIHVSPSPPSRAFKLGLKRYPEDPCHQPPQTYFPSQKVVDLVSDVGGNPLRHPSLNHGFMNLASDVGGNPLRRSALSHLFVNLVSDVGGNPLRRPAMSHQKLVRPCCRCTTFTSSSIVESTSIPCLLSMNGENFSDSFSSFSFSLLTGLLPCGAVCTGPEGAIEITSGFLVGEDCLSTSLVNISQLSDFVVEALSTHSNLVLNLLSTSYEDLSCLFLLAIVVYKLFSRGGLIPSWLCNP >A01p004890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2116593:2121867:-1 gene:A01p004890.1_BraROA transcript:A01p004890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNPSQNLILAATIFLLSIVPLRAQDRPQDFLAAHNQARAEVGVGPLRWDNQVAAYARNHANQRKSVGCSMEHSSGGPYGENIAWSSGNLSGVEAVEMWVKEQFDYDYGSNTCAWNKVCGHYTQVVWENSVKLGCAKVRCNNGNTFITCNYDPPGNWLIMIGLSGPVLVCTIYNLDFYNEIIKCNATALALFAMYKSSFCSSVSIEALVLLLLTIYLTQIDVSFAQYYQYPQSHDSPDSYLRPHNVARAMVRVKPLRWDFGLATVAQDYANQLASGPCSLEHSSGPYGENLAMGSGDMSPAQAVAMWINEKSYYDYYSNSCHDSACGHYTQVVWRGSARLGCGKGVCGNGASIIVCNYDPAGNYIGTKPY >A05p019250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9052477:9054298:-1 gene:A05p019250.1_BraROA transcript:A05p019250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDQEMSQNQPEFDGEQVPVSNHNFWENTLFDGDDHVKRSSFTPGETDLNQLPAIPPVSSGQGLPFAPVDFPSPGDVWTWRVGRRVSATGFHKDRFLILPERLKMKNAPKSFASKNTLSRYLETNFPEMDVNAFFASFSWNIPALFQPSAERVDAASLFEETNKEGENVEDGKNEGSTSRYSQRKRKQVQTQTYEPLQVKPKAIGRKATKQKPSSSSRRSTRQKQGDVVDLEAEAEEEEENEAAATKPGNRMKKRRGSAAEKQEDAPIPHVYVSPMNGVLAVSHSPVEINPEEFDNYLNTLENLLQQQPSQAGQESSSPSLPVTASSPVKEYEWAEARMKLSSLLDKDFSSLLMSNEAAELAALATKLKKDPSLSAEEIVRLKLIEEIPTFSQVFQENKNVIVEADRFFSALELNKAKVASLKYEYSDLKDKLGNIQTEVDANSETIRQIDDQIAQLQARRTELTRCISKKEKEKVDLSYGQKMVANSIPKVVQEVQAANSKKPEWEMKKENAVKREEEILNKFASLKGFYL >A02p047570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29657731:29659822:-1 gene:A02p047570.1_BraROA transcript:A02p047570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVESSSHSPHANSGSSGSRVSSKTSRSTVPSSLSINSYSSLESLPTPRTEGEILSSPNLKAFTFSELKSATRNFRPDSLLGEGGFGYVFKGWIDGTTLTASKPGSGIVVAVKKLKTEGFQGHKEWLTEVNYLGQLSHPNLVKLVGYCVEGEDRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAIGAAKGLTFLHDAKSQVIYRDFKAANILLDAEFNSKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKSKVGVEQSLVDWATPYLGDKRKLFRIMDTRLGGQYPQKGAYTAASLALQCLNPDAKLRPKMSEVLAKLDQLESTTTTKPGGNRQAQIDSPRGSNTGVGARQGQIISPRGSGTRLGQIDSPRGSNGAGTRQGQIDSPRGSNGSVVQKSPRRYSYDRPLLHLTPIASPLPSHNQSPRVR >A01p005000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:2148736:2149149:1 gene:A01p005000.1_BraROA transcript:A01p005000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMFSSMITSSRATKQLTKKQTLKPKFFGHPFPKPGFPQFPRPGFPANPIPFPQFPKPGFPQLPAPGQGFPNNPMPFPQFPKPDFPSNPTPGFPQFPGFGFPKFPQFPKPGSPSFPPATPTISTPPSIPVTPTLSN >A06p039600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21354887:21357623:1 gene:A06p039600.1_BraROA transcript:A06p039600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLYAKDRMILLFVVVLICNASTSSSASDAITIKPRHLSLLKSALQRPSGEQSELWRPMTDQGWSPCLDLGNSPSLPEKTEGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFVDIFDVDHFINTLKDDIRIVRELPEELSWSTREYYGTAIRETRVKTAPVHASAKWYRENVSPVLQSFGIAAISPFSHRLSFDHLPAEIQRLRCKVNFQALRFVPHITSLGDALVSRLRNPLWRSRKDRKNVDHLGDITNSHSRQEPGKFAVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQMIWQGRVLNSQFTDEELRSQGRCPLTPEEMGLLLAAFGFDNNTRLYLASHKVYGGEARISALRQVFPRMEDKRSLASSAERARIKGKASLLAALDYYVSMHSDIFISASPGNMHNALVGHRTFENLKTIRPNMALIGQLFLNKSITWVDFQQALGEGHVNRQGQIRLRKPKQSIYTYPAPDCMCHV >A03g504220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14840335:14840573:-1 gene:A03g504220.1_BraROA transcript:A03g504220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRMKEETTEKKCSESGRRGEEEVRGVGASKISTVVLFGVVVLGDGYIVGYD >A01p038720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14734386:14736841:-1 gene:A01p038720.1_BraROA transcript:A01p038720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRLSSRSNIISRPLSAAFRRSISTDTTPITIETSLPFTAHLCDPPSRSVESSTQELLSFFRTMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKKDAIITAYRDHCIFLGRGGSLYEVFAELMGRQDGCSRGKGGSMHFYKKDSSFYGGHGIVGAQVPLGCGIAFAQKYSKEEAVTFAMYGDGAANQGQLFEALNISALWDLPSILVCENNHYGMGTAEWRAAKSPSYYKRGDYVPGLKVDGMDAFAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIKKLVLSHDLATEKELKDMEKEIRKEVDDAIAKAKDCPMPEPSELFTNVYVKGFGTESFGADRKEVKAALP >A07p046960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25283690:25285110:-1 gene:A07p046960.1_BraROA transcript:A07p046960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVFLISLLLLSLSSAVFSDDASFQKLPIPGKRSGPEAFAFDTIGKAFFTGVSGGKILKYTADKGFEDFAEITTTSNSSWCNGIIGTALAGKCGRPAGIAFNPKTGDLYVADAPLGLHVIPPAGGLATKIADSVDGKPFKFLDGLDVDPTTGVVYFTSFSSKFSPSEVLIAVGLKDASGKLFKYDPATKAVTVLMEGISGGAGCAVSSDGSFVLVTEFIKSNIKRYWITGPKAGSTEDVTSSVSNPDNIKRIGATGNFWVASVKNKVVVPTDPSAVKIDYNGKVLQTISLKNEFGDTLLSEANELDGKLYIGTLTGPFAGIMKL >A03p017060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6834465:6835205:-1 gene:A03p017060.1_BraROA transcript:A03p017060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMYVPPATLYPGKPTPVTSNLQRSSFLPYYSLRILSNKKKKSLSKSSSPSSAPRFSMRVSSKQAYICRDCGYIYNDRTPFDKLPDNYFCPVCAAPKRRFRPYMPDVSKNVNDKDVRKARKAELQRDEAVGKALPIAIAVGVLALAALYFYVNNTA >A09p083740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60208367:60212594:1 gene:A09p083740.1_BraROA transcript:A09p083740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:Projected from Arabidopsis thaliana (AT1G01950) UniProtKB/TrEMBL;Acc:F4HU83] MAMASSSSRNGGTRGGSMRPVSGANSSNLRSSSFKSRIPSSAPAPRRSSSAAPLGGGGDNGVPGRVRVAVRLRPRNADECVADADFADCVELQPELKRLKLRKNNWDTETYEFDDVLTESASQKRVYQVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGDEDTAARGIMVRSMEDIISGTSLDTDSISVSYLQLYMETIQDLLDPSNDNIAIVEDPKTGDVSLPGATHVEIRNQQNFLELLHLGETHRVAANTKLNTESSRSHAILMVHVKRSVVEHEDSVSNDADNSSHFVRPSKPLVRRSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINAIAENSPHVPLRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETTSTILFGQRAMKVENMLKIKEEFDYKSLSKKLEVQLDKVIAENERQLKAFDDDVERINQQAQNRISEVEKNFAEALEKEKLKCQMEYMESVKKLEEKLISNQRENGKRNGEVNGVVTASELAKLKGSLEEEIKLKKAAEQEVNKLKSQSTLKTRSGEGEDAGMSRLQKLLEDEALQKKKLEEEVTILRSQLVQLTFEADQMRRCLDRGAPGNSYSDTDSLPSRHSQARESVNGQKAPFATLCEQVGLQKILQLLESDDANIRIHAVKVVANLAAEEANQEKIVEAGGLASLLMLLRSYEDETVRRVAAGAIANLAMNEVSQQLIVDQGGISLLSLTAADAEDPQTLRMVAGAIANLCGNDKLQARLWSDGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRATTQERVYEGVKSGRSLLIEDGALPWIVQHANDEAAPIRRHIELALCHLAQHEVNAKEMISGGALWELVRISKECSREDIRSLAHRTLSSSPVFRSEIRRLGIHF >A05p051360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29932160:29934616:-1 gene:A05p051360.1_BraROA transcript:A05p051360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear poly(A) polymerase 3 [Source:Projected from Arabidopsis thaliana (AT3G06560) UniProtKB/Swiss-Prot;Acc:Q56XM9] MRRGGERYKGLPPYMAEIEEECSVSLRQLMVNEGLIPSPEDDKKRRSVIHMLQRIVVRWIKIVAWKRRLPQHHINATNATIIPYGSYGLGVHGSDSDIDALCIGPFFATIAEDFFIDLCDLLKTRSEVSEVHCVKDAKVPLIRFKFDGILVDLPYAQLRVLSIPNNVDVLNPFFLRDINETSWRSLSGVRANQCILQIVPSVELFQSLLRCVKLWAKRRGVYGNLNGFLGGVHMAILAAFVCGYNPNATLSSLVASFFSTFAYWQWPMPVVLLRDAFAATGSPPGLMPIQLPCGGRQYCNSNVTRSTYCKIMSEFHRGNHLMKGYLKHGFNWNSLFEPYPYATTYAWFVKIHLSAANVEDLSDWVGWVKSRFRSLLIKIEEVFGLCDPNPTEHLEACEKKPNIVYYWGLHLRNINVSDIEPVETDFLKNVNNSGSFQGAVGGIQLSVVKASQLPKNVECDTSNRNKKVTRACWRVRDDNQCKDKPVYSRHLPGYVVGYEKMNDREAESTDVKC >SC117g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:157320:161637:1 gene:SC117g500030.1_BraROA transcript:SC117g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAMGSEEDDETFVRRNRLLQEAITKQVMEAMVKLLEEKYDQRPHDGQGQASGSRHEQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSSTNGERIRRRSRASKEEQDAERSNDRANESDHDLYYG >A03p007110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2965477:2967134:1 gene:A03p007110.1_BraROA transcript:A03p007110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEMKQEKDVLSKDQCKVKSPNPNSVNSPHIKKKKKKKDKDKTKKRGMRRKRSDSPADEITPVRRLFDTCKEVFSSGGPGVIPSEDKIQQLRDILDNMKPGDVGLAPTMPYFRPDYGPEDGSSPPITYLHLHQCEQFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVADAPIQDIYRLVISQFAVRDPKTRLAKLKMNSALTAPCNASILYPEDGGNMHRFTAITACAVLDVLGPPYCNPEGRHCTYFLEFPLDNFSSEGGDVLRGEVEKEGHAWLQERGDNPEDLNVVGALYTGPKVED >A04p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16454217:16455718:1 gene:A04p027340.1_BraROA transcript:A04p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDIFCSSPASTAVRRSTLPHDGEITGGRRSVDSLRRSQNHNNNRDKTAPCFASEMPFIPIPRPVMSCRNSFESSRGRKITTAHGGEVQTRRKSSADVSDVLRRTRSSLQGSSSSRYLLKDHKSYKEDDKDLWLSSSDRSKDLIPFRDRNVTISSSSTSSSSSSSVTNGSSPSPSTDDDQVVVLRVSIHCKGCEGKVRKHISKMEGVTSYTIDIATKKVTVVGKVTPSGVVESISKVKFAQLWPSSSSPSFPHVPNHSPLIKS >A02p008810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3635660:3638836:-1 gene:A02p008810.1_BraROA transcript:A02p008810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMB3138 [Source:Projected from Arabidopsis thaliana (AT5G18570) UniProtKB/TrEMBL;Acc:A0A178UG16] MASISISCSFFTPQALSRPNRTRNKISAKPSKLSSLRKANLQRELELKSRGGDRPDAGGEATTYTRLPPREDFSDVSLLSSSYLKLSEEVKLSEANVAGVEEKVETLEENDEEEEEKEREVKEYDDEDIWGNYRRLDVFEGSSGLIDEDDDEDDEVFEYGDEDVERAGLKDGEAICFSGEVEEEDEEDEEEEIGVKEKGVPAVMRCFDRAKIFVKAGDGGNGVVAFRREKFVPFGGPSGGDGGRGGNVYVEVDGSMNSLLPFRKSVHFRAGRGEHGRGKMQSGAKGVDVVVKVAPGTVVRQAKEVGSEGEGEEEEEKEVLLELLHPGQRALLMPGGRGGRGNASFKSGMNKVPRIAENGEEGPEMWLDLELKLVADVGIVGAPNAGKSTLLSVISAAQPTIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSAPQPELEFEAVRLELELFSPEIAEKPYVVAYNKMDLPDAYEKWPMFRETLRARGIEPFCMSAVQRDGTHEVISSVYELLKKYREANAEPKGLYDQVSENLDHVAKKIDKERRAAINEFEIFRDRGTGAWHVVGAGLQRFVQMTNWRYMDSDKRFQHVLEACGVNKTLKNMGVKEGDNVIIGEMELVWHDSANGSSRPTNSNKTSTDSVRWPQWK >A04p012030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6124844:6125863:1 gene:A04p012030.1_BraROA transcript:A04p012030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASPMASQLRSSLSSTSIARRLAVPKGISGPSFGVYPTKRISSFTIRAVQSDKPTFQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGFLLVGPFVKAGPLRNTAYAGSAGSLAAAGLVVILSMCLTIYGISSFKEGEPSIAPSLTLTGRKKQPDQLQTAEGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYYVK >A02p015300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6808216:6811671:1 gene:A02p015300.1_BraROA transcript:A02p015300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTRSSKKAPKPQTPPVKNLSKAQTPPLSKAEKPQTLTLKKGAKSQKKPPLKKQKKDVIVVEEEDDDEEVSDVESEEIDESDDGEKGSNGFFSDDDGDENDDGEELLGDDFLDGSGDDEEEVLSSDSDSDSDEDDIQKESEAIDRAKAKEEEDADAELLDFNKEAGPEEHETFHLPTQEELEEESRGPPDLPLLQSRIKEIVRALSNFNVLRPKGASRKECVEQLKSDLASYYGYNSFLIGALFELFPPVELMEIIEAFEKQRPTSIRTNTLKTRRRDLADVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYLAGYYMLQGASSFLPVMALAPKENERIVDVAAAPGGKTTYVAALMKNTGLIFANEMKVPRLKSLTANLHRMGVTNTIVCNYDGRELPKVLGERSVDRVLLDAPCSGTGVISKDESVKTSKSLEDIKRFAHLQKQLLLAAIDLVDATSKTGGYIVYSTCSLMVAENEAVIDYALKKRNVQLVKTGLDFGQDGYRRFREHRFHPSLKQTKRFYPHVHNMDGFFVAKLKKMSNMKQSSEDDDEAVETVEQADVSSDDDEEAEAMEEMEKLSVPSKQPKENKERLAKSKEKKKGKKDGKSKSKNVERKPKKKRSDWKKEIAQAREEKRRAMRENSKGKQ >A07g501250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:2668652:2670658:1 gene:A07g501250.1_BraROA transcript:A07g501250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTLHLVLIFSCIYLTCLSSQQETRFVYNGFDQADLFTDGLAKILPGGILQLTNTTERQVGHAFFKQSFDFAPSEFFTHFVCALVPPKLGADGGHGIAFVVSPSMNLSHASPTQYLGVFSIPTNGTSSPHLLAIELNTVSTVEFDVLEKPHVGISVNSPLSVESALPSYFSSALGSNVSINLLSGEPIQVWVDYDGTLLNVSLAPINIEKPNRPLMSRSINLSEIFEDKIFVGFSASTGQLTSNQYILGWSFSRSKELFQALDLSKLPQAPLPHDEPEKAHLPRNKLSPLLIGLVVVLVILVLIVLGGLYLYRRNKYAEVRESWEREYGPHRFSYKSLYKATNGFGRDGLIGRGGFGEVYKGTLPLSRHIAVKRLSHDAEQGMKQFVAEVVTMGSLQHRNLVPLLGYCRRKGELLLVSEYMPCGSLDQYLFHNRNPSLSWLQRISILNDIASAISYLHTGASPAVLHRDIKASNVMLDSEGNGRLGDFGMAGFHDPRANLSSTAAVGTIGYMAPELITMGTSTKTDVYAFGAFILEVTCGRRPVEPEMPVEKQYLVKWVCECWRSDSLLETRDPRLGGEFLPEEVEMVLKLGLLCTNADPESRPAMGQVVQYLNQSVPLPDFSPYSPGIGAFMPVSMPASFNIGVPNSRNLSMPTFVSHTILEGHGR >A07p011150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8901146:8901620:-1 gene:A07p011150.1_BraROA transcript:A07p011150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSSSSSMGQIIGMVNAVWAKNQAHLCLWVPWSSDFSPDEAPLTNVVVPVEMQNVPYLLSNNRSLIRIAMAIGKTISMSLETKRKYKFQEAKMYVKVDLPKRLPHAVVLVSPMGGRFL >A10p010200.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:5852628:5853737:1 gene:A10p010200.1_BraROA transcript:A10p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNEEEARRAMGIAEKRLLENDYHGAKTFVNQARSLYPNLDGLQQALIMIDVYISASTRRGREADWYEILGVDSLADDETVKKQYKKLALLLHPDKNKFSGAEGAFKLVLEAWSQLSDHQGKHKKSGMQKPPKPHEPASSNRPNPKPREPAPSNKPKPKPRHEPELTPKIEPTFWTVCSRCKTYCEFLRADYLNKTLSCPNCCRRFIAVEVIPEIINGRPVIRLSPSQETFSSTWAYDSRKSTSQTHKRLKRWFEPKLESDFVPREEKVRNFTFWTVCNRCKTYCRFARSSYVNKILPCPNCREDFVANEIIPEVVNGSPVIKLTPHFRPTCKSTSGASSFTRASASSSSAKAANRGQESMKYWFGES >A09g504480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13756187:13756813:1 gene:A09g504480.1_BraROA transcript:A09g504480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSKLLLSIAGFFTATSGACARRSAYALVVCRRLPDRILFARSSPEHKPPRFKLLSPNLFFLRLGMCSATRLCRRRDLSSRRRNVLVSPEKLAGNQLQRLLSSVVYERKSDVKKDLNFFEYNNRVAQLRDNRSSKSKHLIMEFNSVEDS >A02p055320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33532786:33534736:1 gene:A02p055320.1_BraROA transcript:A02p055320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGTTLFYPLLITLSVALITYNIIISSNTPLKQGFPSSSSIIDPVIELPRGGSRIRGSKRRLFHTAVTASDSVYNTWQCRVMYYWFKKIRASAGPGSEMGGFTRILHNGKPDQYMDEIPTFVAQPLPPGTDQGYVVLNRPWAFVQWLQQADIKEDYILMSEPDHLIVKPIPNLAKDGYGAAFPFFYIEPKKYEKVLRKYYPEERGPVTDIDPIGNSPVIVGKEALKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHGVSNILHKDFMIQPPWDTEVGDKYIIHYTYGCDYDMKGKLTYGKVGEWRFDKRSYDSTPPPRNLTMPPPGVSQSVVTLVKMVNEATANIPNWGE >A06g501660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6238580:6244994:-1 gene:A06g501660.1_BraROA transcript:A06g501660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRFLPLRFYIHLLIDVLFASKKFLAFQPLIAMKLSGVSDTVKTNGEAAIPSSSVNPVAQPGISSGDVNPIPSGLVKPVAQTDVSSGDAIAMKSKDVTAEAKNSIKPNGKTGASSGLKLGVRGRASVSSVDKGKAIVSENVGKVITFKDITFGPHEDEVRFRLIHFWEAWNVQTKVLIGIEMLLIDEEESVIQGFIPYGRIDTYLRHMKTGATYRLNKFFGSKSKTIYRVAESSVTICFSSNSVLSGLEDTGSDLRGDLYDYIGHIKLVNGKVPGDGLLLDESEIAKSRRVELHVQTHDDPVLKLYLWDKVAFEFCEKFKASGGTARVILVTTLNPKWFGGVLSISSMASSRVFLDGDVEETLLYLSWLDSNLDVASRVNAEVVTKPELATLGDLFSYMNQASAKVAWFECTATIYDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVPQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEANDSVGEDHIVPVPQAMIDTIGQTRKFIVKVSTHNLTAKTQTLTVTKVLPLEAPEPEGNVGVNVGKEGDSESEDHADKLVKRGADGIESEDYIGHIKLVNGKVPGDGLLLDESEIAKSRRVELHVQTHDDPVLKLYLWDKVAFEFCEKFKASGGTARVILVTTLNPKWFGGVLSISSMASSRVFLDGDVEETLLYLSWLDSNLDVASRVNAEVVTKPELATLGDLFSYMNQASAKVAWFECTATIYDVVNGSGWYYIGCGVCHTKATKGPTTLMCKKCGKSEIVGVPQYLSKLSVYDHSDQAVFVVLGDAGEELTGKKAAELVERYYEANDSVGEDHIVPVPQAMIDTIGQTRKFIVKVSTHNLTAKTQTLTVTKVLPLEAPEPEGNVGVNVGKEGDSESEDHADKLVKRGADGIESEGVKRAKCG >A04p039980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22591423:22605795:-1 gene:A04p039980.1_BraROA transcript:A04p039980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISGQALFLFFCFILSCFLIFTTVRSRRSSHGAAALPPGPPRLPIIGNMHLVGKNPHRSFAHLSETYGPVMSLKLGCLNTVVIASPNAAREVLRTQDQILSGRYWNEAVRSIDHHSFSVAWLHPSSPLWRLLRKISVTHLFSPQRIEATQALRMQKVQELINIVSECSDREEAVDISRASFVTALNIISNILFSIDLGNYDSRKSSDFQDMVIGVMESAGNTDLADFFPFMRFLDVQGTRKKFKDCSERLLRAFRRLYDDRIKGNSLQTENKDVSSKDFLDALIDLNKGDEAELNMYQIEHLLLDLFSAGTDTNSSTVEWAMTELLQNPKAMTKVQDEIDSVIGQNGVVQESDISQLPYLQAVVKETFRLHPAAPLLLPRKAETDVEILGFLVPKDTQVLVNVWAVGRDPSTWENPNRFEPERFLGKDTDVKGKDYELTPFGAGRRICPGLPLAVKTVPLMLASLLYSFDWKLPNGVASEDLDMDESFGLTLHKTNALHAVPVKKRIYLYSFLLFLSTGKTKMELVSETLFLPFCLILSCFFIFITVRIQWNSTGSAMLPPGPPRLPIIGNIHQVGKLPHRSFSDLSRTYGPIMQLKFGRLNTVIITSSEAAREVLRTHDHILSGRMSPNAVRSINHHKVSVAWIHPSSARWRLLRKLSVQELVKFMDESSKREEAVDISRASFIATLNIISNILFSVDLSSYGSGKSNGFHDSIIGVMEAAGSPDLANFFPFLGVLDLQGTSKKMTLCTEKLFKVFRGLKNTKTAEKSLRKNPKEASTSDFLDALLDEAEPDNNDIEHLLLDMFVAGTDTSSSTLEWAMAELLANPITMAKAQAEIEQPDISEFPYLQAILKETLRLHPPVPLLLPRKAETDVEIFGYLVPKNAQVLVNVWAIGRDPNVWENPAQFEPERFLGKETDVKGKDYELTPFGAGRRICPGLPLAFGRLNTVIVTSPEAAREVLKTNDQSLYGRDAPNSIRSINHDKVSVGWLHPSSARWRLLRKLMVTHLFSPQRIEASKALRMKKDMFTAGTETSSSTLEWAMSELLTNPKTMAKAQAESEHMIGQNGLVQEPDISEMPYLQAVVKETFRLHPTVPLLLPRKAETDVEIFGYLVPKDAQVLVNVWAIGRDPNVWEDPARFQPERFLGKETDVKGRDYELTPFGAGRRICPGMPLAVKTVSLMLVSLLYSFDWKLPNSVDMDETFGITLRKANPLIAVPVKKIKHQMEITSENAMSLLFCFISSCFLVFITARFRRGSRVTVTLPPGPPRLPFIGNIHQVGKNPHRSFADLSKTYGPVMSLNLGSLKSVVITSPEAAREVLRTHDQILSARKSTDSIRSVGHHEVSVIWLPASSARWRMLRKLSINQMFSPQRMEATKALRMKKVQELVSFMHERSEKEEAVDISRASFTTVLNIISNILFSVDLGSYDVTSKSNRFRDTVIATMEAAGKPDAANYFPFMRFLDLQGNRKNIKACTEGLFRVFRGFIDAKLTEKSLDNSKDVSDSDFLDALLLLAEGDESELDNNDIEHLLLDMFAAGTDTSSSTLEWAMAELLNNPKSLDTAQAEMDCVIGQNGIVQESDISHLPYLQAVVKKTFRLHPAAPFLVPRKAQADVEILGFMVPKDTQVLVNVWAIGRDPEVWENPTWFEPERFLGKEIDVKGRDYELTPFGGGRRICPGLPLAVVTVSLMLASLLYFFDWNLPNGVASEDLDMDETFGITLHRTNPLHAVPVKKRAYK >A01p012840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6303929:6306246:-1 gene:A01p012840.1_BraROA transcript:A01p012840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MSSDPEKMMSKADKMTKLTLTRWSADWRGATELYEQAANGFRASSKYERAKVALEKASQGQVMQSSPWDAAKHMESAAALAQKLSIWDEVADFYRKASELYVECGRAQPASDALGKAARALEEVKPEDAIQLYTDACEILEEDGRDQMAFDLYRACASVYIKLEKFTDAATFFLRLGVAADKCDATNSQCKAYLSAIIVYLYGHDLKQAEKCYNDCSQIDAFLKSDQNRTASRLLTAYNEGDIEEIKKVACSSTVSNLDHAIIKLARKLPTGDVTAVQMNAGDDLDEDDLT >A09p007810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4077102:4078545:1 gene:A09p007810.1_BraROA transcript:A09p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GR-RBP3 [Source:Projected from Arabidopsis thaliana (AT5G61030) UniProtKB/TrEMBL;Acc:A0A178UBT5] LFASFHYTNPSSKGHNTSRVLAEAKNQRGLREVLALHRHFLLMKPLKFSPLILLAVLKGMEYGMNEDSLREAFSKYGEVVETRVILDRETGRSRGFGFVTFTSTEAASSAIQALDGQYFTIFFSDKDCSLILPQDLHGRIVKVNYAHDRASGGGGYGGGGYGGGYGGGGGYGGGSGGYGGGGAGGYGTSGGYGSSGYGESSTASAAGAVGGYNGSGGYGEGSTANAGAVGGYGSGNAYGSNNGGFAGENQVGGDNSQFSGENTQFGGGGQSGGEARFGGMENGAVGDFEDDTDVAKRA >A06p040300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21794927:21796514:1 gene:A06p040300.1_BraROA transcript:A06p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNSASLGLLRGFSGVGCRHSLLTIVWTVVGFLLVTHLISLYTRKDNNIDDKQQHQPPSHHLQLLHPPALHELVRVEEEILRLPPPRKRSPRTGKRRSRKPIPLAEEFLDDKSPIRHLFFPDIKTSSFGPTKDMGNDAFHYSPGKIWLDTEGNPIQAHGGGILHDDNLTRTIGTVDIIGVGCYSSKDLWTWKNEGIVLGAEDTNKTHDLHKSNVLERPKVIYNDKTGKYVMWMHIDDANYTKASVGVAISDNPTGPFEYLYSKRPHGFDSRDMTVFKDDDGVAYLFYSSEVNSVLHIGPLREDYLDVTPVMKRVMVGQHREAPAIFKHESTYYMITSWCTGWAPNEALAHAAESIMGPWEKLGNPCVGGNKVFRLTTFFAQSTYVIPLPGVPGAFVFMADRWNPADLRDSRYVWLPLVVGGPADQPLESSFGFPMWSRVSIYWHSKWHLP >A05p048810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28487347:28490940:-1 gene:A05p048810.1_BraROA transcript:A05p048810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQEKLPWDLTEEILSCVPPESLVRFRTVSKQWNALLSDKTFIKKHKTKMTYRFILATKSKIYSVSVNPKIEVHDLMLDVPDLEPHALPRRLMDCDGLLLCDMGKRGMVSNPWLKQTRRVEHEGNHQSFDFSGIGYDDDNGYKTLGTHRTELDPTKTFWKTLDFSSDAWKEQRGVMKSSGSSSSPSTTEEGTTVITFHSTSGVSLNGTWYRVASYNETKYSYFIVNFDFTKETFNQFCDLPCEDNKHDDALVLRVFIGDRFSLLKQSHLTKKIQIWVTKNKIHKRGGRDVEWMNFMEVSIPNLPDLVQPSYFINDKRLVVCSCDTDGQALIYVVGNNNLISKTKIDLVADFWPSHCSLIPSLVSVPGENKKYFSVRIVNMKRLQRLMKHNKNPIMENKEKLPWELVEEILSRVPPKPLVRFRTVCKRWNTLFDDNTFINNHKMTFRFIVVTKSKVYSVSLNPEIEVSELTLDIPGLNNQKPNDLVDCNGLLLCGMKEGAVVCNPWSGQIRCIKAEVSQSSLEYRGLGYDGNRIGKKIVYETLAFYLNTISSTTAWRIDDLGTDTWKQVLKEVKDETPPQNLFYMHSTRGVSLNGNLFWVAYYQSHRSLLLVVSFDFGSGKYFNFCGLPCVENDHSDALVLRVFRGDRFSLLKQCHVTKRIQIWVAKDKIDNGHSRDVKWMSFMEVSIPDLPYLVQKQSYPQPSYFIDDKRDKRLVVCSCDVNGRAWIYVVGESKLISKTRLDFVVDPWPLHCTCFPSLVMVGGCPREDKEKKKKQNYVCVDSLHPTLLFFPFFPNQLFPITTSVPKDDHLSCRHITRESILDVNL >A07p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11925446:11927311:1 gene:A07p020090.1_BraROA transcript:A07p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAPLSHYQLPKSNSGLNLDQHNNSIPTMTGSIGACDDKNKTILPQQQPSMPREQDQYMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFVTGEANERCQREQRKTITAEDILWAMSKLGFDDYVGPLNVFINRYREFETDRGCSLRGESSFKPVYGGSGMGFHGPPPPGSYGYGMLDQSMVMGGGRYYHNGSGPDGSVGGGGGSSSSMNGMPVYDQYGQYK >A09p033490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20264055:20266837:-1 gene:A09p033490.1_BraROA transcript:A09p033490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDTTRPILSGDCDSSDTKRVRMADPGSTVDRERRPVKLQKLEENGDVGSTSGATKVPVDSQMADVTINDLDRKTNAGHGVVSVMGRQRAMTTAVSTVVNEIPSYDIFGIFDGLRLAKFFEERLRRLVKEEVASCHSRGVAADWNKVMSSCFSEAVGTVRSAAAKAVVTIVGKEEVISLCRGGARAVLYSNGGIALPLCHIHIHQSGVDQQLKIHKRTMIDDFIVLACEGLWNVVSDDDTYQLVKRCLYDKFPAGGTRESSSTKAAVILAELAIGRGSKENINVIVIDLKSSTVY >A01p019550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9592409:9593864:-1 gene:A01p019550.1_BraROA transcript:A01p019550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRILSRVSRSAGLRSSLSAAGAALPARSQTPILSRRYHSIVHEFSQKLAAAQVPLDSFPLQRFSFSSSTTPESNEKESNTEASSKTTGEKATADANESGLESEPSKDSRRGKGAKRGAVSDSDSGSDDEEELSRDELMKLVAEKEELLSEKEEEIKQMKDKVLRTYAEMENVMDRTRRDAENTKKYAIQNFAKSLLDVADNLGRASSVVKESFSKLDTTKEDSAGATPLLKTLLEGVEMTEKQLAEVFKKFGMEKYDPINEPFDPNRHNAVFQVPDASKPEGTVAHVLKSGYTLFDRVIRPAEVGVTQGGESEEDKKESDA >A08p032130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19498821:19500631:1 gene:A08p032130.1_BraROA transcript:A08p032130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDNEKGLVLAVASSVFIGSSFILKKKGLKRAAANGTRAGIGGYTYLLEPLWWVGLVTMTFGEIANFVAYVYAPAVLVTPLGALSIIISAVLAHFLLNEKLKKMGVWGCVCCIVGSVMIVIHAPQEQTPNSVQAIWKLAMQPAFLIYVAASMSIVLALILYCEPLCGQTNVLVYIGICSLMGSLTVMSIKAVGIAVKLTLEGINQVWYPETWFFVMVAAICVVTQMIYLNKDWYGQDTESIASEICGFITVLTGTVILHATREEEQASLRTMRWQESGKSFNEEHLLSLYRPTEYQESKTPLC >A05p044110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26525168:26529945:1 gene:A05p044110.1_BraROA transcript:A05p044110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVAGLQEAAGARFSQVELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLSQCRCPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGHPLDETSIACITRDLLHAVEYLHSEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPLKEFVSLCLKKVPAERPSAKELIKHRFIKNAKKSPKLLERIRERPKYQVKEDEETPRNGPKAPFQSSGTVRVARDERSQGTPGSSYSFQGKTVKNAGWDFSIGASQGIGTVRALKPPHARERRQEVPSTQTSQRATRASGSQLSPTSGITVNDYEDGFHDEEDSSLSGSGTVVIRTPKRSQSSSIFREQISASSSGYASLDDASASGTVVVRGQHDDYASPRTPKSRLGNQDRTSSASEDSLANLAEAKAALDAGFRRGNARERLGMRNNNKDGNVNRRREQMTEDSDYSRNSGDKSGKQKALPKSQQVSDEEEEDPIWDSLPASLSVLLIPSLKEALGDDSKGSIGRALSRSLVAMERENPGSCEAFVAKLIELLGSSKEASVKELQDMAVRVFSKTAPADAENKRKPANKEFSSNTNVSPLGRFLLSRWISQSSRDL >A05p026590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14260211:14261279:-1 gene:A05p026590.1_BraROA transcript:A05p026590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHEFSNGFSSWEQQQESPSSFSCKRFRPLAPKLSGSPSSPPSSSSGVTSATFDLKSFIKPDQAAPTKSHYSLEHKRDFSQVEMHPGGTRWNPTQEQIKILEILYKGGMRTPNAQQIEHITSQLGKYGKIEGKNVFYWFQNHKARERQKQKRNNLSLSCQGSLSTTSVSNASVTMKTRTSSSPDFKREPMVMKELLEENEYKRTCRSWGFENLKIESRRNINSSINATIATTFNIDNVTLELFPLHPEGR >A07p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3287848:3289328:-1 gene:A07p003440.1_BraROA transcript:A07p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase 7 [Source:Projected from Arabidopsis thaliana (AT2G18170) UniProtKB/Swiss-Prot;Acc:Q39027] MAMLVDPPNGIKQQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSVNRETNERVAIKKIHNVFENRVDALRTLRELKLLRHVRHENVIALKDVMLPSVRSSFKDVYLVYELMDTDLHQIIKSSQSLSDDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSQGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIINVVGSQQESDIRFIDNPKAQRFIKSLPFSRGTHLSNLYPQANPLAIDLLQRMLVFDPTKRISVTDALLHPYMAGLFDPGSNPPAHVPIALDIDDNMEERMIREMMWDEMLYYHPEAETANV >A10p040250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22209467:22210394:1 gene:A10p040250.1_BraROA transcript:A10p040250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVKDTTTAENGSGLTKKILDLNTAEPDDILDGGEVKGLLSDSADVSGEKKEESDSKAIGAGSGDVSSPVDDVQKKIRRAERFGVSVKLTEEEKRNSRAERFGTVAAAVKGSEGTKKAEELKRKARADRFGVPASSSSTAKADNTEEEAKKKARLARFGKDTKADSAEEDKRKARALRFSKPASDSSSELPGKLNVGKEAAGNAA >A09p014960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7804579:7807702:1 gene:A09p014960.1_BraROA transcript:A09p014960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAALGMIGATVGGGGDGDAAVVAEQNRQMKGEIATHPMYEQLLAAHVACLRVATPIDQLPIIEAQLSHSHHLLRSYASTAVGFSHHDRQELDNFLAQYVMVLCSFKEQLQQHVRVHAVEAVMACREIENNLHSLTGATLGEGSGATMSEDEDDLHMDFSSDNSGVDFSGGHDMTGFGPLLPTESERSLMERVRQELKLELKQGFKSRIEDVREEIMRKRRAGKLPGDTTTVLKNWWQQHCKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSHSLTSLKSKRKH >A10p013520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4701974:4706402:1 gene:A10p013520.1_BraROA transcript:A10p013520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFISSNLRDGKKLTGNALGVNKNWYGGLFCGAGSGCGGGGVIDVVGASAMYLMVIYVAIIKTPMPFFTYVGIEDADKYVVVAFHNNLNSKYLLEIPGSCDMYGFADQVFCSGLADGIFSHDYRPAPVPKNVQLMEAIQEAMIFPSMLSCPLRRLTRENSEFSQLLSSNVSTNVEDWDHHFEDEARNEFCLGSPEFSTLSDPISTFCSASDNKTYPEEFMEDVTKIVGHSHESRPSINRSHIKERLFRIRRVDWSSTLPISSESTKREQSKKSKTLLTTDDADLDDDAGQDSPEELDGNTLAALSNLDNMNRYVTEDHAKKNKKKKPNDLKRKRYYVDLQRGRPDCRRFCIILILSKERLLQIVMLRMPTFILCLEIQNSSNMVLTTKFAGAARTTLLVASSSAPHAVLSARPLATSSSMPPSASSSTASPQVHTMSYSYSSTTPFVNNREKCA >A01p008310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4042485:4045101:1 gene:A01p008310.1_BraROA transcript:A01p008310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSKPLFFLVLLTLSLSREVASSSSSSSENNGCSNGAHEHCSVDELKSTVSSLQSIIKEKNQELNSKEEQIRVLELYIREKSYLFETDIDFTQSENPVKHGSEAEEKVYELEKQVLRLKGEVELQRNKRLQVEARAETADEKVEEFSSKIDMKWFFSKLGLKPNKTQAYLKTLWHQHLSPNLHITLQQVSLKIKQVQKWSEPHIETMNSKWIPSIKEACVTLTIYLEPKVHYLTEKSIEVLSMSKQAFTPHIIQGYDVTRYYLEVIRTHTSPYTSQIMTIAKPHLEKVQVALEPYTEHVRHGFKKLVDSTKVYHQQAQEMLKNNEITKPVATMDLAWVGATALIGFPLIFIIKFLSAVSNSSRLHQKMIIKLHYLFVGQTVLRGRGDTPINKNQALDIEGPNAVILTTETINYNFRRTKRWFVFTFLDKTYYSY >A03p047780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22218124:22221672:1 gene:A03p047780.1_BraROA transcript:A03p047780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 6, plasma membrane-type [Source:Projected from Arabidopsis thaliana (AT2G07560) UniProtKB/Swiss-Prot;Acc:Q9SH76] MAADISWEEIKKENVDLEKIPVDEVFQQLKCSREGLSSEEGRNRLQIFGANKLEEKVENKFLKFLGFMWNPLSWVMEAAAIMAIVLANGDGRPPDWQDFGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQTALKRAHDIIDKFAERGLRSLGVGRQTVPEKDKESAGTPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLENKDDATGGVPVDELIEKADGFAGVFPEHKYEIVRRLQEKKHIVGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALIWEFDFSPFMVLIIAILNDGTIMTISKDRVKPSPIPDSWKLKEIFATGVVLGTYMALITVLFFWLAHDTDFFPQKFGVRSLKGQPEELIAVLYLQVSIISQALIFVTRSRSWSFVERPGFLLLIAFLIAQLAATLIAAYAHWEFARIKGCGWGWCGVIWIYSIITYIPLDVLKFITRYILTGKAWNNMIENRTAFTTKKDYGRGEREAQWALAQRTLHGLKPPESMFEDKATFTELSEIAEQAKKRAEVARLREVHTLKGHVESVVKLKGLDIDNLNQHYTV >A03p059040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25656713:25657872:-1 gene:A03p059040.1_BraROA transcript:A03p059040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKDMLSWEQKTLVNELIFGLEAAKKLQARLGEPSSSPPSSSSRAAEMNEILMKQILSSYEKSLAIVNWSSSPPAQLILKAGVVAPVTHSGVIPESPASINGSPRSEDFLDGRGSSNTHRLDHIFNSKKRKMLPKWTEKVRISPERGLEGPQQDDVYSWRKYGQKDILGAKFPRSYYRCTHRSTQNCWATKQVQRSDNDPTVFEVTYRGTHTCSRATAAAPPPPASPEKQDTRTKPQIAQTPNELLESLKTSLTVRTEGLDDGEDVFSFPNTPPFYDYGNTNDYFGGLMESSPIFDVVDWFNPTVEINPEFPTFLPDSIYY >SC163g500170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:217203:217502:1 gene:SC163g500170.1_BraROA transcript:SC163g500170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVCAWLSQEDNTSLVDLEQHQKQALGVRTNGLRFMDPRQEDLEEKWLIKKKEVLQSSNHGVNTLRDQFRSWLKP >A10p026050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16610652:16619802:1 gene:A10p026050.1_BraROA transcript:A10p026050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicer-like protein 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) UniProtKB/Swiss-Prot;Acc:P84634] MPDREIGDDASDTNLSSSFSSFASSSSSSFSSSYSAAGAWTDDHSAKMEKDPRKIARRYQLELCEKAVEENVIVYLGTGCGKTHIAVMVIYELGPLILSPRKSVCIFLAPTVALVEQQALVIAKSINFKVATHCGGNRTVTTHSDWEREVSENEVLVMTPQILLHNLQHCFIRMEWISLLILDECHHAQEQSNHPYAQILKVFYKTEGVKGPRIFGMTASPVVGKGSFQSENLSKSINSLENLLNAKVYSVESNVQLDGFVSSPIVKVYYYQTAGTEASQSTNIYESMLEDIKQRCLASLKQQIDNHQTQVLLNMKKLLKRTHDNLIYSLVNLGLWGAIQAARIQLNTDRNVHQEPLEENNKSKICITYLSLAAEVLSSKVAKDENASELISLPALKEPFFSRKLLQLIKILSAFRIEPHMKCIIFVNRIVTARTLSCILNSLKLLESWKSDFLVGLSSGVKSMSRKSMKTILERFQSKELNLLVATKVGEEGLDIQTCCLVIRFDLPETVTSFIQSRGRARMPKSEYAFLVDRGSEKEMDLIENFKVNEDRMNLEITSRTSEETCPRLDDEVYRVHETGACISGGSSISLLYKYCSRLPHDEFFQPKPEFQFKPVDEFGGMICRITLPANAPISEIVSSLLPSIEAAKKNACLKAVYKLHSLGVLNNFLLPDSNEETEDELSDEEFDFDKVEGEACSRGELYEMIVPDLFKQKWDPSKSCVNLQSYYIRFVPHPADRIYKKFGLFMKSPLPIEAETMDFDLHLAHQRSVSVKIFPKEDANFDNDEIRLAERFQEVALKIIFERRELITEFVPLGLQDSFRTSKSTFYLLLPINLDDSESVLFVDWATIRSCLSSPIFKAPSGLVEDMDPPVGSHLKLANGCWSIDDVKNSVVFATHKKQFYFVTDICHGRNGFSAIRKSITETHLESIYNSYGVKLKHPLQPLLRLKPLCYVRNLLHNRKRENLEPNELEEYFIEIPPELSQLKIKGLSKDIGSSLSLLPSVMHRMENLLVAIELKHMLSASIPEIAEVSGHRVLEALTTEKCQERFSLERLEVLGDAFLKFAVSRHLFLHHDRLDEGELTRRRSNAVNNSNLFRLATRRNLQVYIRDQAFDPTQFFALGHPCRVTCDEVAMKEVHSLDKVPGLLESNTGEIRCSKGHHWLHKKTIADVVEALVGAFLVDSGFKGAIEFLKWIGINVDFESLQVRDACVASKRFMPLTTCFDLAALESLLGYTFLHKGLLLQAFIHPSYNRHGGGCYQRLEFLGDAVLDYLMTSYFFSVFPKLKPGQLTDLRSLSVNNKALANVAVSFSLQRFLFCDSTYLHDAIKDYTNFVTASPLASGPSEGPKCPKVLGDLIESFLGALFLDCGFDLNHVWRIMLSFLDPVKNLSNLQLSPVKELLEYCQSYKWDQEISATKKDGAFSVELKVTKKGSCLTASATGRNKKESTKKAAQLMLTNLKAHGHIKTSNPLEDVLKNSIRNEAKLIGYDEEPIDVVDRDGLDVENLNIQDSFEEDPVTESKTSETSSLYIIRRVLTNAPPSEKEESLPQKTIKEAGGSIIKTAKSLLREICVANCWKTPEFVCYEEGPAHLKTFSYKVILEVVDAPNMTLECYGETKPTKKSASEQAAQAALWCLEHTGFLRR >A08g500300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:720784:721041:1 gene:A08g500300.1_BraROA transcript:A08g500300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQLWSENGNIKNLLRNSFFQLQANRAITDIQNQVKPLKEVREVMVKAYQKVSS >A01g510050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27549605:27550587:1 gene:A01g510050.1_BraROA transcript:A01g510050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRNKNITFSLILMCLIVVSPIANAQLGGLGGGLGGLGMLLGGLTNIFNIQGLLMCSVTGTVSTNNATAVPPFPNAGIVFQCTGQNVSSTTTNANGVFSIPTIGLPFSPSTLLSSGCRLVVTTPLTACNVSLPAAGLLMAPLSLVGTAAGDGLNIFSLVPGAFGLVG >A01p013310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6505738:6511047:1 gene:A01p013310.1_BraROA transcript:A01p013310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIDKDSFIVLLRRAMSYSAAGQGNNSSGKRRISDLLSQSDNRVCADCSAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEVDSMIEIGGNASANSVYEAFIPDGVSKPGPDASHDQRMQFIRSKYEHQEFLKPSLRITSVKGPNSSSSQQPHLEGMVEFIGLLKVTLKKGTNLAVRDTRTSDSYVVLTLGQQTAKSTVMKSNLNPVWNEELMLSVPHDYGSVKLQVFDYDAFSGDDIMGEADIDIQPLITSAMAFGDPEMFGDMQIGKWLKSRDNALIEDSIINIADGKVKQEVHIKLQNVESGELELELEWLLGSVHDSKGTIFVGSVSFVRWTQNTVSPSSSIQQVEICLNIHHYGGSYMDHLLRRAMSYSASGHGNNSSGKRRIRDLLTQSDNRVCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEVDSMIEIGGNASANSIYEAFIPDGVSKPGPDASHDQRMRFIRSKYEHQEYLKPSLRITSVKGPNSSSSQQPHLEGMAEFIGSLKVTLKKGTNLAVRDMRTSDAYVVLTLGQQSAQSTVMKSNLNPVWNEELMLSVPHDYGSVKLQVFDYDTFSADDIMGEAGIDIQPLITSVMAFGDPEMFGDMQIGKWLKSCDNALIEDSIINIVDGKVKQEVHIKLQNVESGELELELEWLPLDQ >A07p010020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6247651:6249641:1 gene:A07p010020.1_BraROA transcript:A07p010020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable lipid-A-disaccharide synthase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G04560) UniProtKB/Swiss-Prot;Acc:F4IF99] MILRVSKIKLRFRLLTQRLASFEAMKKSVIEKAAVDGELRVFIVAGEVSGDNIGSRLMCSLKKLSPLPLRFHGVGGSMMCKQGLTSLFPMEDLAVMGLWELLPHLYKFRVKLKETIVAAAMFKPHVVVTVDSKGFSFRLLKQLRARYNQQHLDNSAVHFHYVAPSFWAWKGGESRLGGLSEFVDHLFCILPNEESVCREHGVEATFVGHPLLEDINLVRACKPQESKLEGLGKYSLPSEDSTVISVLPGSRLQEVERMLPIFSKAMKLLKDPFPNLVTLIHVASNSQVDHYIGKSLRQWPVPAILVPSGSTQLKYDAFGVSQAALCTSGTVAVELQLACLPSLVAYRAHFLTELFIRYKAKIPYISLPNILLDSPNIPEALFRACNPSNLALILERLLLDEEMRGKQVVAAEKLARLLHPSESGMSSKLVHYSDLDSHRNTPSNLAASTILSYAKR >A04p016450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10111689:10113357:-1 gene:A04p016450.1_BraROA transcript:A04p016450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTQNPNPVYDIVILGASGFTGKYVVREALKFLQTPSSPLKSLALAGRNPTRLTQSLEWASRPNPPPSSVDILTADTSDPDSLRRLCTQTKLILNCVGPFRIHGDPVVAACADSGCDYLDISGEPEFMERMEAKYHERAQETGSLIVSACGFDSIPAELGLLFNSKQWEPPSVPNQIEAYLALESTKKIAGNFGTYESAVLGVANAEKLKELRRSRPRKPRPSIHGPPAKGPTLENQKKIGLWALKLPSADAVVVRRTLTTLTEKPHGLPGLNESPDQIQKREAFWSAIKPAHFGVKITSKSLFGIFRYVTLGVSLGLLSKFSFGRWLLLKFPSVFSLGWFQKKGPSEEEVESATFKMWFIGRGYSEESLVSEGKTKPDMEIITRISGPEIGYITTPIALVQCGLIVLGQRESLVKGGVYTPGVVFGSTDIQQRLEDNGISFEVISKNKIQD >A01p010030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4890614:4891390:-1 gene:A01p010030.1_BraROA transcript:A01p010030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSETSALFFIKKYLLDELSPLPNATTTNPWETSSDFSFFGSFDQTGFDFPEFETKPEITDTPLAPNDSFTFQSNRKPPLKIAPPNRSEWIQFAAGKPQREQVPLPQVAAEEKRHYRGVRMRPWGKFAAEIRDPTRRGTRVWLGTFDTAIEAARAYDQAAFRLRGAKAIVNFPLEVGMWEPRAENGQNKRKRDGAGEEETRVVAKVVKTEESHGVEQVTSSLTGVDDWDLTEFLSMPLFSPLSPHPPFGYPQLTVV >A09p012140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6221452:6222961:-1 gene:A09p012140.1_BraROA transcript:A09p012140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIVWLVRVAWIAAILPMLIGSIPNSKLTSFHQLVLGFARRGKILQPSSSSQKWTLPQKHFAHFYVLGVAWTTLLLAATWMYAFKMTPLTSDEFHLSKVWRAVFLLVLMEIQVLRRLMESFYVFKYSPSARMSILGYLAGLFFYTAAPLSLCVNIAPEVVTFFGKSHTFTPEFDLLSSLSPLIKLGWCQLIGAAVFMWGWLHQRRCHAILGSLRESPSQVKEYIIPHGDWFEIVSSPHYLAEIVLYAGLLIASGGTDVTIWLLFGFVVGNLTLAAGETHRWYLRKFENYPANRNAIFPFVY >A02p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2917704:2919546:1 gene:A02p006850.1_BraROA transcript:A02p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPANTVGLPVNPTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPTKKIHVPEGYDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSTPLFFNTLYDPYREGADFVRGYPFSLREGVTTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEEIIPFFQNAKLSKEATTVQQCYIELSKMVKEKLSSLDPYFDKLADAMVTWIEAWDELNPTAAAAANGKA >A03p017080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6841302:6842362:-1 gene:A03p017080.1_BraROA transcript:A03p017080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MHF1 homolog [Source:Projected from Arabidopsis thaliana (AT5G50930) UniProtKB/Swiss-Prot;Acc:Q9FI55] MDVSDVQEDLMVEEDSMDDLIRDRFRLSAISIAESEAKKSGMEIAGPVVACVADLAFKYAENVAKDLELFAHHAGRKVVNMDDVVLSAHRNDNLAASLRSLCNELKAKEPQSERKRKKVSTKKDDKASSSNAPVRTTDL >A05p050260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29274211:29279467:1 gene:A05p050260.1_BraROA transcript:A05p050260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLRLKALFFVLLVVMAISIANAAKDLKEKDVGVQRNLHEDDKGDKKDDKGDKKEAPNKDDKKDDKKDDKKEEKEESVGSKVAKKVKGAAASVASAASNASAASAASAASVASAASGKIKGAVAPIGDKLGLKAPSGPSIDVKASGAKGDGKTDDTAAFMAVWKKAIEAATPTTITVPKGDYLVNELMLEGPSKCAITFDMHGNLKAPSAVTTRKPHSGWVNFRKLKDFKLIGNGAIFDGQGSVAWKVNDCKQTGKCNNLPINIRLTQLNNSIISGITSTNSKLFHMNIHQCTNVTLQDVHIDAPPESLNTDGIHVGKSVGVTIRGSKIKTGDDCISIGGGTENLLVEGVECGPGHGLSIGSLGKYPNEQPVKGITIRKCIIKHTDNGVRIKTWPGSPPGLVSGALFEDITMDNVSLPILVDQVYCPHGKCKSGPSKVQLENLSFKNIRGTSSTKIAVQLNCSPGCPCKNVALADINLVSTGKEGAAVSACSNVKPTVTGKMIPAACTEECKPEKKAKTVLLVLVVAFTAVWKTACEAPGPSTITVPKGDYLVNNIEFLGPCKGPVTFEMSGNMKAPATVAAVKPNSGWVDFTNLADFTLNGNGAIFDGQGSLAWKANDCAKTGKCNSLPINIRFTGLTNSKIIGITSTNSKLFHMNVLNCKNVTLENIGIDAPPESLNTDGIHIGRSVGVNLIGAKVKTGDDCVSIGDGTENLIVENVECGPGHGIAVGSLGRYPNEQPVRGVTVRKCLIKNTSNGVRIKTWPGSPPGIASNIIFEDITMDNVSTPILIDQEYCPYADCKAGVPSKVKLSDVTFKNIKGTSATKTAVKLICSVGTPCTNVALANINLVHNGPEGPAVGACANMKPVLTGQMIPPACTEIAKSGP >A01p013970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6820618:6827211:1 gene:A01p013970.1_BraROA transcript:A01p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEENEPTCATPAPRPRNPPSSHFTDISNFKTPRRRSVIKSNLGNTPQFFTASKQTPKSMSSTFRRPSLLPSHASRSKAAASSRRLRAFELQQSQSSRKAELNKERSLRSLAKSLTVWLNFLFENPESCGCDPLENGSAVGSVGNAKRDSCEALRSGKSVGVDTMWRSPKKSRTLGWSGEKGSCLNGSKYSTLRESLKEVCSLDDLKQRMQFHLSLGSCKEIFDVMTRVTKARVLFLVYQRRCLFAISISISLFLFQNIDEGRIKMKPQCLLVTDFGLKEKAVKALMCYNQVWLRLGLYIIFGGDSFLSDSEVNSDQEMAFLKMVVNKQFFSHDGLARAFAYNKMVEGLYRPGYYEALGTVILKRILLLVLILDRAKSQSCISLKYGIDGIDGGSPLLFSEKSSIKSSHQILSELLPSDIMHGEGNLLAHLVIIGYKIPYQQSPIAEYEFRARDLFGDLQDGVRLCRAIQLLLHDPSILTKMVVPSDNRKKNLANCRVALQYLKDAGVSLKDDEGMMITTEDVADGDRELTISLLWNIFVHLQLPLLINGKLLTEEIYKVQGLEQNNQIIMATPLEMLLNWIQSITKKNDFKVENFASLVDGKGIWFLLDYYFRREVCCPCLHKEDPGGQQGPRSVMSNTDYHDAIQNFILSQKLTALLGSFPEVLQIGDLLEHNAVVNNQSVIILLAFLSSKLIVKENMEQLNFHKLLCSSCQDQEKRYLRISCSISEAVINEETDRENGEDATKTFQAIKAWWQDMAYQNQNSVGEVRSHTPQGSLSRKCTMDFEREAAVVIQSNFRGLHARRKFRKKLKAVCFLQAATRTWLSVKHIKVLEIFTVEEVTLQLSERSANLKPVARYVKYIVERSRFLKLRKSVLVIQKAVRRHQRNLHHELRAALKIQQAWRSYKDKVISSITIQSYVRGWITRRMYSNYKLSSVLIQRAVRKHQWNLHHELKAALKIQLAWRSYKEQVISSITIQSYVRGWITRRMNRKYKLSSVLIQRYCRGWLARRTFNLQREATICIQSAIRNFNCIMSFHGYKHAATEVQRVVRGQIVRSRLQVASHLNSKLDEGVSRLPQHSVEMTTKLHSVIKLQRWWRFLHAQNVRRKSAVLIQRHIRGVFARRRISMERRYIVMIQSHWRGYLTRKAAKAQVLDLRVRMQTSAANIDDKKRLINKLLSALSELLSMKKVHNILHICETLDSATKYSDKCCEELVAAGAIDKLLTLIRSASRSIPDQEVSKHALSTLRHLARYPQMADELIDTKGSIQTIFWELLRNKEEAYFIASDVLKKICKSQKGVEAVRKLPALVKRLHALVEELTRKANMEKRNVKGQGGKEKSERRLKEAVELLKFITSR >A06p047740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25317492:25322937:-1 gene:A06p047740.1_BraROA transcript:A06p047740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDCSVKVAVHIRPLIGDERLQGCKDCVTVVSGKPQVQIGSHSFTFDHVYGSTCSPSTEMYQECAAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGCGDSSTQTGIVPQVMNALFTKIETLKDHIEFQIHVSFIEIHKEEVQDLLDPSTINKSDTKVAHVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLDQGSVSRATGSTNMNNQSSRSHAIFTISVEQMRKVNNNTDSSENGSLKEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKDGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIRNKPVVNRDPVSSEMLKMRQQLECLQAELSLRNGGSSCAELQVLKERIASLETANEDLCQELHEYRSRCGGVEEPSEKDFKDIQAVDEIVGSVRPDGLKRSLHSIESSNYPMVEAATGDSREIDEEAKEWEHKLLQNSMDKELHELNRRLEEKESEMKLFDDPAALKQHFGKKIAEVEDEKRSVQEERNRLLAEIENLASSDGQAQKLEDVHAQNLKSLEAQIQDLKKKQESQVQLLKQKQKSDDAAKRLQDEIQSIKAQKVQLQHRMKQEAEQFRQWKASREKELLQLRKEGRKSEYERHKLQALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSPREHSGGTNGFGTNGQTNEKSLQRWLDHELEVMVNVHEVRHEYEKQSHVRAALAEELAVLRQVDEFAVKGLSPPRGKNGFARASSLSPNARTARISSLENMLGISSNSLVAMASQLSEAEERERAFTSRGRWNQLRSMGEAKNLLQYMFNSLAETRCQLWEKDVEIKEMKDQFKEIVGLLRQSELRRKEAEKEVKLREQAHATSLASSPLGTPPSSVKHLVEDMTTPSPMTVPAQKQLKFTPGIANGKVKDSAAFINTNKKMVPMGQVSMRKLSAIGQQSGKLWRWKRSHHQWIVQFKWKWQKPWRLSEWIRHSDETLLKAKSRHKALPKKIM >A09g510750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33082915:33084294:1 gene:A09g510750.1_BraROA transcript:A09g510750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEPMSSFSGSAKETVRAAILRFPKNFDRCISFVLQHTARILRGVRKIWNIIGIPLNLDLPKLLNVLYMDRVNYYAVQWLGRRTQEFEPINILWKRWQQLTINKVVGYDTIIMNSLQNSAGQGRH >A08g501220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3103165:3113558:-1 gene:A08g501220.1_BraROA transcript:A08g501220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSLPILTTGQRNEVQNLSQNLPSAALDIAREEIREVMIQYSSCPDPTESAARKTRLRQAEEQGELEEAAAQLVRNSIEMQRMDITEERVEVTPERIPALQRLGQGSEPRSALHRLGPHENSTPRSVQPTIRKKTASQGAKRLTPSSLAPMGAGIRKRKVAKAAPSPRHRSSNLSKTIPRNEENNPKNKTTKAGPTLSDAREWANAQTPKPVKSLKPVAIIEQTQRRSEQRQVFTDAAWNSSTAEAGLGWIIEDGTSFTHHSATSAFVDSPLLAEALAVLTAMNFALSNGIDSIAVLSDSQVLINTIKKKIMKLEIFGVLSDIYCLTTSFKSISFNFIPRLENVRLSDRTDQTDLAVPCAFRLELRLEPRPDDRTTARLLRPTRHSKTHCRARRSLGREEIEDGHAFLSGGPSGQSRKRPYLFHPTHSFFLGWLALDRGYIKSHSASLDDPFNPSQFQKCRLPSRIISNTQLK >A03g507490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26551382:26556153:1 gene:A03g507490.1_BraROA transcript:A03g507490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSFNTYCNTPKPAQLKFLKANGLSTAQLENPRFLIRNLEAKPCRELPEIRSPSRLSLSLPRAVSLLLLSLFAASLLSLSLRRASFSLSRPRLSLLAVNSREWWWRPRGVIDLRSRNDKKKEKLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQEKGRVGPLELLTSRFSFRIERTISGNVDDKEGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIGEFDQLRVSGPDQINQKVLVPQCGGVSEVQEWPDIRAWGKRLAGNTAPHRAGEAGEGESDTRPRHAQLHGGIKPCQEMDFWHSDITVKLVPYNKKKKRRGEVWARVPPPGRGPADAEGANRGGQGRTDETVGENRFQIMGTRLRIILGMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEVEFVEPPNAPIQETTVGRRILMPHFQRAAEYRRLYQGQGTFQFAPEADMTPPTRGRGRPRKTGPTREGLGPIRMEDSVPTRKRGRPRKIPSIDAESLRRIPGICQCGTLTQARRGPRSVREYTEEFLESAKRCKPKSAEDWCRWYTAGLREEIRGKLIGVLEPWEFALVNRMAGQAVEAERTLARRVVAISSSEEDVEVEEDPSEDSAWEEEPASSTGSGRVAGPKPEGEQKSQYEVARCGFRGFWRYRETYLFDVSFVLPLIVFGNSPLFWDVTFELKVWNSGRIPNKRGRIFLIRNLEAKPCRELPEIRSPSRLSLSLPRTVSLLLLSLFAASLLSLSLRRASFSLSRPRLSLLAVNSREWWWWPRGVIDLRSRNDKKKEKLRNGYEPPRDWWGVWKMDRNGIRTAEGLVGSMGKRGGRSVQKRQVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLIGMQQEKGRVGPLELCRS >A06g502480.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:8279983:8280498:-1 gene:A06g502480.1_BraROA transcript:A06g502480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQNEDQNVRNNATEVQSIDRAEHTARAVYRLDPHSSGLELQHNPRPDGQINRTEVRLSRPVRHAKSIGQARSEVDRVESKSDHGFSLLSRLGRTGDRSDELIRHFDQFMNFDQPNLSKARLLRLSEDLATFWPGTVHESHPSVHEERPGRVLLLTAGRAISYIEPGQE >A07p003680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3135988:3137387:1 gene:A07p003680.1_BraROA transcript:A07p003680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDHYNSTRLKRLWMACKEDTNASAQESLTREDSEICKTELESRRFQVDSLEAELLDVKACLEFGSEEDARKELGVLSGRVRTTATMLRYLRSKATVLAIPDLSQMVLKDGGGSPSCEDTLSEARSHCGGSLGVEDGAYTSEMLQSIEMVTNVLEFLVKRVSVAESETAVQKEMVLLGEEELSKKTVQIENLAVKLQEMERFAHGTNSVLSEMRERIEELVEETMRQREKAVENEEELCRVKREFESLKSYVSTFTNVRETLLSSERQFKTIEELFERLVSKTTQLEGEKAQKEVEVQKLMEENVKLTALLDKKEAQLLALNEQCKVMALSASNM >A02p010810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4704646:4707611:-1 gene:A02p010810.1_BraROA transcript:A02p010810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At4g33990 [Source:Projected from Arabidopsis thaliana (AT4G33990) UniProtKB/Swiss-Prot;Acc:O81767] MRLSLKPFGVNPPKLFQTCKGGRFTGVFALVLREFSAPANALQDYTKDHGIETQFGKRIDDVHMLFKHCTKLHSAKCLHARLIVSQAIQNVCVSAKLVNLYCYLGSLALARHAFDNIQNKDVYAWNAMISGYVRSGSSSEAIKCFSLFMMTSGLQPDYRTFPSVLKACRSLLDGMKIHCSALKYGFVWDVFVAASLVHLYCRYGPVANARRLFDEMPVRDMGSWNAMISGYCQSGNAEEALALSKELKGMDAVTIVSLLAACTEAGDFVRGVLIHLYSIKHGLDSELYVSNKLIDMYAESGNLKGCQKVFDEMVVRDLITWNSMIKAYEVNEQPLRALRLFEEMRFNRIQPDCLTLISLASTLAQLGDVRGGRSVQGFVLRKGWLLEDVTVGNTVVDMYAKLGLVDSARAVFDYLPNKDVISWNTIISGYAQNGFAAEAIEMYNEMEEGGEMTPNQGTWVSVLPACSQSGALRQGVKIHGRLLKNGLCSDVFIGTSLADMYGKCGRLEDALSLFYQIPRVSSVPWNTLIACHGLHGHGEKAMKLFREMLDEGVKPDHITFVTLLSACSHSGLTPGWSSMEVNNKVEVFYTGNQTHPMYEEIYKELRLLHEKMKMIGYVPDHRFVLQDVEDDEKEHILMSHSERLAIAFALVTTPPKTRIQIFKNLRVCSDCHSVTKFMSRITEREIVVRDSNRFHHFKDGELVSAMLFPCVFLWTRVLVGGSLVASHSGGSNAKLLHMKPKKGRLVMHHPVEWSSDTWSSSRQQWTGSVCCVWLQTPFVFVKIIYAIDSLLSLDGMKPPLLFFCEISE >SC160g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:175128:178648:1 gene:SC160g500110.1_BraROA transcript:SC160g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IYPNHPEKGPRISLRSYPTFGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRHPIALRRRPYPSPFIHPRPLQVIQGETAIPGLHQVVSEPLEDQPAYWFELPLKPAERRSVVPVLSLKQEEEKLQASILASPIKQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTLGSLIWCVISRSEALEYQEASHLSCVPHQSTNLDTDRVFDSLFARIISVIHPRH >A02p010890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4733092:4733910:1 gene:A02p010890.1_BraROA transcript:A02p010890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEKKCEIDYSKYVDAATKRIVYGCIGLVVTVAVVVFLVWAILHPHKMDFVLQDVTIYEFNISQPNLPTSNLQVTLSSHNPNDKIGILYERLDIYASYRNQEVTLAHLLPETYQGHLEVTVWSPVLTGTAVSVEPYLTPALNEDINAGMVLLNIKIDGCVKWKVGTWVSECYRLLVNCPASIPFSGQLAGTGPAIKDQLAQQCAVDV >A05g505070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14113527:14119170:-1 gene:A05g505070.1_BraROA transcript:A05g505070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWQSPAGTSLKQPQIATMKEKPSHHPEREIGSANSQLHQRFTVDTEGTRERARYHWKDLDPSFSDHLSDRQFDRIAIPSYLLRVMLVFVRGVGAVYVCDQSGDEANLINQMVSDRSKTGGYGYRTSRATFLLEGLKNFRSCCDRAIKGYSVLLRFFRTRGKGEGLFLMSRDYVSGRGSRDVWTSDAALVGGGSETSGLATQLVWGVGAETFAFDAALEGGGTETYCTSDAAYASCLFMLELNFHSGSSITQMRLTSRSDCYWAERGSVPALTRDGDLLGRGFDSAWLFCKLVGIAFGWGCDSLRCVILELRLGWQSPAGTSLKQPQIATMKEKPSHHPEREIGSANSQLHQRFTIDTEGTRERARYHWKDLDPSFSDHLSDRQFDRIAIPSYLLRVMLVFVRGVGAVYVCDQSGDEANLINQMVSDRSKTGGYGYRTSRATFLLEGLKNFRSCCDRAIKGYSVLLRFFRTRGKGEGLFLMSRDYVSGRGSRDVWTSDAALVGGGSETSGLATQLVWGVGAETFAFDAALEGGGTETYCTSDAAYASCLFMLELNFHSGSSITQMRLTSRSDCYWAGALGVLSL >A03p004290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1822471:1825305:1 gene:A03p004290.1_BraROA transcript:A03p004290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLRSIRRRELASGPLSAYKCIQSSGKASLNGQNWRSFSRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFNPKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVEANGQQYSPSQVGAFVLTKMKETAEAYLGKSVKKAVVTVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMTNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVNEFKTSEGIDLAKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHFNITLTRSKFEALVNGLIERTRDPCKNCLKDAGISAKEVDEVLLVGGMTRVPKVQTVVAEIFGKTPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGVFTRLITRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMASDNKLLGEFDLVGIPPSPRGIPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDDIQKMVRDAELHAQKDKERKDLIDTKNTADTTIYSIEKSLGEYKEKIPSEVAKEIEDTVADLRSASSGDDVNEIKAKIDAANKAVSKIGEHMSGGGGSGGDSPPGGGGAQGGSDQTPEADYEEVKK >A07p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4030781:4033802:1 gene:A07p002130.1_BraROA transcript:A07p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTFPCANLQLFEKEVTGMEKHQKRMKDEEFPETAGILKPVASSGVSTTIRSMLSVELAFEPKIVYVRKASVFRVVWSPGSKGGLSVYVAARGLFKMKPPMIIVPKSIEEGVETLLEVPRAKAQSLASSGVNTTMRSMLSVELAFEPKIVYVRKASVFICLCSIIVLVVRHILNLYLVAWSKTAGIMIPVRFIRSANGATSSDIKEPLTKAKRQEKEDVKALREKLRLGLKILLPEIYGLEGLIKKLTGG >A03p070750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31116539:31116903:1 gene:A03p070750.1_BraROA transcript:A03p070750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRNKRGKDNAEAAGEVEDVREKFSERLFATDRFSSERVNMYSTVDRLLWVRDVLDGTPEMSTLLESCWDLVLEVYFCVLICGVYE >A03g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29598655:29599051:-1 gene:A03g508890.1_BraROA transcript:A03g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIAWPNHEKIAKGIWRQLMFSKEENIREDEYVNVLEHQQKQKFLEWMKNQSELAGNVACSSICCFVWHTMSKTWKPKYDNKDECSLLIQFI >A04p002510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1207334:1210610:1 gene:A04p002510.1_BraROA transcript:A04p002510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKSIVSDYLNVYTRSAQSLQSSGNSAQKVNNPPLVAKDKSFTGGYGLLLLSRFHGSSHVSGIGFGSLEMGSSLGMRYMSVSTHNATKKPEEEEKRKEASPEECDQAVESLSSVKAKAKAKRLQDSKKVARSILQRTWVFILGIGPALRAVASMSRADWGKKLTHWKQEFVSTLKHYWLGTKLLWADTRISSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFILVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLLARIEYAKFLQETAKEMAKEVKHSRTGEAKQTAEDLVRKGRLVQNDEILGFAKLFNDELTLDNISRPRLVSMCRIMGISPYGTDAYLRYMLRKRLRSIKEDDKLIRAEGVDSLSEAELREDCRERGMLGTLTVEEMRQQLRDWMDLSLNHSVPSSLLILSRAFTVAGRVEADAVRATLSSLPDEVVDTVGVTSLPSEDPVSERLRKLEYLEMQDELIKKEEEKEEEELTRIKDVKGGEEDKALQEMTIPTAREAQEQARARVLEQQDDLCKLSRALGVLASASSVCREREEFLRLVKKEVEFYNTMVEREDVDGEKAAMKAYKAAREDSDQSDEVAEADEVSSALMEKVDGLIQNLEKEIDDVDIKIGKGWLLLDRDRDGKVTPDEVAAAAMYLKDTLANEGLQQLISNLSKDKEGRIMVEDIVRLGRLGSKPEENATEEEESN >A08p043290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:24134241:24136850:1 gene:A08p043290.1_BraROA transcript:A08p043290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVVSSVLSIGFEAAKNLLFEKAKYILELEAHLEALEEVKPDLEDAKVALKGKLEMNFRNGLGRDERCKDWISKVEAIQPKVTKLLEDSTAEIERLSMCGYCSSNFFLTYWYGRDVLETLKEVQSLLSSKPSGEVASTGPPPGIEERATEPTVGLEKMLETTWSHLMEKDVGILGLYGMGGVGKSTLLEKINEKLVEKKDEFEVVIFVLVSRDLQVEKIQNEIGERLGICDEEWKKKTQEKKSTSRINDVLAKRRFVMLLDDIWEKVKLKDIGIPFPSQANGSKVVFTTRSKVVCGRMRSHHVLEVKKLDEENAWELFRRNFRGNNTLSDPEILKLARQLCEKCGGLPLALNVIGETMAYKTSVPEWQCAIDDLDSNAGGFPEVEDEILKILKFSYDDLKDERVKQCFQYCALFPQDAGIDKDVLVEYWISEGIIDEGGDRKRTINEGHKIIGDLVRACLLMTVDTSEKVKMHDVLRQMALWVASSFGEKEENFIVKTCAGLKDMPKVTDWKAVRRMSLGRNEIRDISISPDCPNLTTLLLTRSGTLANISGDFFLSMPKLVILDLSTNINLAKLPEEVSKLVSLRHLDLSRTCLENLPEGLGKLTQLRYFALRGVRTRPSLSVISSLVNIEMLLLHDTTFVNMELLENIKLMENLKGLGISINDVVVLKRLLSIPRLASCIQHITLERVISKDGPLQFETAMASLRSIEIQGGTISDIMEHTRYGGRSTSAISFQNLSVVKISRVNGMQDLSWLVFAPNVISIHVMWSSRELQEIISIEKVSGILNEGSSIVPFRKLREIQLRFFMELKSIYWERLELPSLERVFIMMCPKLKKLPFSKERAYYFDLRAHNEEWFERLEWEDEAIED >A05p010100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4203425:4204298:-1 gene:A05p010100.1_BraROA transcript:A05p010100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPSKLLLLVPSLTPYHSALSSHRLKPLQKSDWRGDNFELVLWQLDMSSVSGKDSDFEILPSSSPTQCSDGSEEALEPDGDETGYINQTVNGESDTKSSLGSLPVKCSDDEDKDENLTTAPVVVLISAIKGSREKHGLTLRKTSVSWADDVYDPPPSIASHTRNKKQQQQKSKSKDSHRKNGKKGQKGKDSSNSSRSGKDNKKQASRGKHSRDKFDWVTQMPIVAASS >A05p019880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:9306670:9307863:-1 gene:A05p019880.1_BraROA transcript:A05p019880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRLLRGAFNTCTIRRFSSAAASETTAAISPPPKKSLSSIVNDERNPRRIVDKFKMACESERFRANIAVYDRTVRRLVAAKRLHFVKEILEEQRKYPDMSKEGFAARIISLYGKAGLVENAQKVFDEMPERNCKRSVLSFNALLSAYGVSKRFDVVEQLFNDLPKKLSIKPDIVSYNTLIKALCEKGSLSEAVALLGDVENKGGLKPDIITFNTLLLSSYLKGEFEQGEEIWAKMGEKNVARDIRSYNARLLGLANQTKSEELVSLFEELKASGIKPDVYSFNAMIRGSINKGKMEEAKTWYEEIVKQGYRPDKATLALLIPALCKAEEFGCAIELCKETFSKRYLVGQTTLQELVNELVKGGKRGEAEEIVEVAKNNDFLKFKLVLSSSQLIS >A10g501130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3218404:3219721:1 gene:A10g501130.1_BraROA transcript:A10g501130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAPPPDSGGTDPATKENQEGLLREKGELSPVEKQKMKEIAPKAISWVEELENKEGNSVIRGSEMSIVEKSIVEKEMEEIEEGEVVKGWSDVTPGKASKSPKLLEYGQVKIATRFDALSNVDDNGDLVERIEEVEAVPSKKSKMRELEGKA >SC109g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:35135:35436:1 gene:SC109g500010.1_BraROA transcript:SC109g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLCMQSLGECAQLNYGAPRRRIRSNGLQMKELRNLQNSPDGIKPQAQLFYNLGTSEKPRKVNSAQKYQRKTDFRPDSK >A07p046780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25199466:25200777:1 gene:A07p046780.1_BraROA transcript:A07p046780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSDLQTYTVDDPITQLAELNNTLHQFQTMFAPPFSSSLDSLLFHHHQHQPLPDHFLGKSPENNFHQGVFIPSNIHNKNDDSSLDSKKRKTLMASMSTSENSVSDQTSSAQVSINGNVLTKNNSSRRGKRLKNIEDKKEREVVHVRAKRGQATDSHSLAERVRRGKINERLKCLQDIVPGCYKAMGMATMLDEIINYVQSLQNQVEFLSMKLTAASSYYDFNSEADAVDSMQKAKAREAVEMGQGRDGNTVFHSSSWTL >A09p073300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55936191:55938588:-1 gene:A09p073300.1_BraROA transcript:A09p073300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDFLRSTVHGREDRHEETRKYVSSHDGSHQEQDHIIRSKLNSIRVEMEEAKEENRRLKSSLSRTRKDFEILQTQYNQLMVKHEDWTNKFSPKGHHQDKEDEDKENIREREELVLLSLGRRSKSPVPSGSMTNQDEKGKGLMDETGDGKNIDEHDEGLSMGFEYKDLSNPSEKLEIDHSQEKTSLEVNSSNKIPSENSFGFKNDGDDHEDEEELLPQNLVKKTRVSVRSRCETPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTIAPSCPVRKQVQRCSEDMSILISTYEGTHNHPLPASATPMASATSAAASMLISGASSSGAADLHGLNFSLSGNSITPKPKSPFLQTSSSPSSSGHPTVTLDLTASSSSQQTFLSMLNRFSASPSNVPRSNSYPSTNLNFSNNTNTLMNWGGDSGSGNRNEQYRAAFGNVGTPQQSPYRYMIQTRTTGSSFDPFGRSSSSSTNPTHQMQSLPAETIKAITTDPSFQSALATALSSIIGGDLKMDNVTKDEAGKSP >A04p027990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16864990:16868084:-1 gene:A04p027990.1_BraROA transcript:A04p027990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSCYNPKGFTRESIAEMASPNPFPAGISKEQAFSMAQTEMEYRVELFNKLAQTCFSKCVDKRYKEAELNMGDNSCIDRCVSKYWQVNGMVGQLLSAGKPPMRGSNSFFDPFSLDVWDPLRELSSLSRDNSAIVNARVDWRETPEAHVFKADLPGLKKEEVKVEIEEDSVLKISGERHVEKEDKNDTWHRVERSSGQFTRKFRLPENVKMDQVKAAMENGVLTVTVPKAETKKPDVKSIQISG >A09p036170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21473923:21477774:-1 gene:A09p036170.1_BraROA transcript:A09p036170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSSSFDSTTLIKLGFLLIHVSLSHAQLSTSFYDKTCPQVFDIATNTIVNALRSDPRIAASILRLHFHDCFVNGCDASILLDNTTSFRTEKDAFGNANSARGFDVIDRMKAAVEKACPKTVSCADLLAIAAQKSVVLAGGPSWKVASGRRDSLKGFMDLANKNLPGPASTLKQLKDRFKNVGLDRPSDLVALSGGHTFGKNQCRFIMDRLYNFSESGSPDPTLDKSYLSTLRKQCPRNGNQSILVDFDLRTPTVFDNKYYVNLKENKGLIQSDQELFSSRDASDTIPLVRAYADGQDNFFNAFVEAMKRMGSLSPLTGKQGEIRLNCRVVNSKSKIMDMVDDNESVSYM >A08g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5067114:5067819:1 gene:A08g502280.1_BraROA transcript:A08g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQTLHIDISRMRKCGSVNSIYIFAKPCRTVSSSLAGLRSLYIAVDDMDTAELPRWFFATGDEPVGLRVTPYHKPGGLSHILDTLEEDEIEVIRRSSFGKFLELVSLACLTAQLQEIIYSS >A06g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8091621:8098046:1 gene:A06g502380.1_BraROA transcript:A06g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVVDVSAANAQANAATLEEFKKMFATYEKRSEKQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRSRTTGNTWTRNQGYDENTFCEFHQSRGHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSNYIRDDNSGKGFKATNGQSPKYKYVEVPGQRSTKRIRRTIHFLATAVKIDRDLLGIRRNRDGIPEPLNPLVDRRDKRLSVGTVTHPTLHQAHFFFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKIPVLNLELRCASLHHLDDLSFAFPLRSANSPRMITSKLCLPLQHLALHTGEIPLRFLRLETIPHRDNILRERSKRIDEGTIDQDPNKVLNGKGCQLTYRDFKTVQHSDENFRYGEPEATTHYEHLITSKVTLLGVVSTFPAAGNPELHNIRDAVERPHRREKLVSGPTCDPPCMILTGWGANCWGQKRLRRNYHPKILRDRISERVSKRRDIIFKYSLGFKPNDRPARSSSAKLGRYVATERSSRSVATDRAIVQLGRYVATELSQARSLRSDRAIVPLGRYIATELEPKLGRYVATEAIVPRATERDDRSVLRSDRAWFLARSHNVGDRREDRSAISLRSDRAC >A01p012650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6204138:6209911:-1 gene:A01p012650.1_BraROA transcript:A01p012650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 71, subfamily A, polypeptide 28 [Source:Projected from Arabidopsis thaliana (AT4G20235) TAIR;Acc:AT4G20235] MELMILISLSLTTLLAFIFLKSLFKRTTTISELNPPPSPWRLPVIGNLHQLSLHPHRAFHRLSLRYGPLMLLYFGRVPVLVVSSADVAHDVMKTHDLKFANRPKTKAVDIIMNGGRDSLLTVHLLGKQMVKSFENVRKEEINAMMEKLEKASSSSLSVNLSDLLLNMSNNVICKIAMGRKYSREENTSDFENQLRKIMELLGAFPVGDYIPSLAWIDKVRGLDRKMEEVSKTFVEFLERMVQEHVDEGEKKETSDFVDMLLRIQREKTNGFELDRGDIRLIILDIFLGGTTTAFTAVDWAMTLLIRHPESMKKLQDEVQNYSRQNLYVSEEEVENMKYLKAVIKEALRLHPPGPMLVPRQLSQDVKVKGYDISAGTIVFINAWAIHRDIEKWGSDAEAFKPERHLGMHLDFQGQDFNFIPFGSGRRLCPAINFAVTLIEVALANLVNRFNWRVESRPLGDDDQYYLAETTGIEVCRKFPLIAYPSSALSTM >A10p015790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3449903:3451459:1 gene:A10p015790.1_BraROA transcript:A10p015790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLYRDSSAPLRLSFSASLLFSDSLHSTSVFSLSSDSTLARSLLMSLHLHYRLCQLLKNRRVETNQWSDVVMTEEEGIQFLMFQSVAFSTDGIATTPTMRKLNLIWVTSRMHIEIYRYPAWGDVVEIETWCQSEGRIGTRRDWILKDIANAEVTGCATRLAFPVEESNRSLKKIPTLEDLAKYSIIGLKPRRADLDMNHHVNNFTYIGWILEVSVIIRRECQQDDVVDSLTTSKNGSATSGTQSHNDSQFLSGDGQEINCGTTLWRKKPSR >A03p040840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17034146:17034844:1 gene:A03p040840.1_BraROA transcript:A03p040840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARYWCYMCSQAVNPIIDDDEIKCSHCGSEFVEEMGEEIEFRRRFRRTEFGVDNDEVNIADVDGNDNHRRRRHRDREHDREIELDRAAILQLIQDTDRIFDRLLHSVDDDDSIRRQWTLPARNEAVGNLPTTSLIWDIVQHLSL >A05g508080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:23581784:23581963:1 gene:A05g508080.1_BraROA transcript:A05g508080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQQFDSINHVLFECIPAQDILRIVNFPPSTTPARSLGDNMSIALELMHDCSVPGNL >A02g509030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:24146228:24148073:1 gene:A02g509030.1_BraROA transcript:A02g509030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKEHQEDAVCNGNLRELAHGSDWLMIVQDRLDVAGKKETKAGYVSGQRYGQIHKAVWSISSQAFPHPIRSIQPATNNPRPDPEHPLWANCKLSKRTQSQPVEVDSSRPHTVCALKISHGIRARFVRTWFQLPLKHKLTPMPFQAKKKLHGPAGCSTSSRRRCAHPWCPGAVLGRSTLSHVRPEERRPLEACPSLSYSLSKKNLSRRKLSTWVLWWRLKHGERRCFWHGGFKEKGQAKKEMLVLKPTAQICPFMMRVALEVQGTSTGVEDVRMWRQREGRLGIDQAVQEAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQAVKAKGNLVQDAKSV >A07p045410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24640720:24643798:1 gene:A07p045410.1_BraROA transcript:A07p045410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDMFAGGAGGAPPGFRLPLNAVGVNPKRNTSKRSISKQKDEVTDPNRDSLAPPSMKIPGTQTIYIKTFGCSHNQASSANRQYWISDSEYMAGQLTAFGYGLTEVPEEADLWLINTCTVKSPSQSAMSTLITRGRSGKKPLVIAGCVPQGSRDLKELEGVSVVGVQQIDRVVEIVEETLKGHEVRLLNRKTLPALDLPKVRKNNFIEILPINVGCLGACTYCKTKHARGHLGSYTVESLVERVRTVISEGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVKELPSDQSTMLRIGMTNPPFILEHLKEIAAVLRHPCVYTFLHVPVQSGSDAVLTAMNREYTSGEFRTVVDTLTELVPGMQIATDIICGFPGETDEDFSQTVGLIKDYKFAQVHISQFYPRPGTPAAKMKKVQSKIVKQRSRELTCVFEAFAPYTGMEGKEERIWITEIATDGVHLVGHTKGYIQVLVSGPESMLGTSAIARITSVGRWSVFGEVIETLASTNVVTQVREETKPACTSDVSTCETCACSAESCCGEGKSGEACNISGDITRQDHKTEEKSMTKEEEKHEVVSHWGLVDKALVCGVFVSSLTILVLIISIASRVLLRQ >A01p021250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:10336663:10336923:-1 gene:A01p021250.1_BraROA transcript:A01p021250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLNKVWIAASFVAAQGNPDHGVKLKTGLSSAHHLQRRLSSDIRPLSATADPVDGPRVEERRVTSSSTPDESLRQIMYLNCWSQG >A10p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9207886:9208330:1 gene:A10p005190.1_BraROA transcript:A10p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDCDYEDPHPQTSSILRLASSEYSQLVADTLNRAILEHAKPPRYTPMERLLQQVTVARQYLTEEYGKDVFPPFSLKDCLKGE >A10p006690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9815860:9824654:-1 gene:A10p006690.1_BraROA transcript:A10p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 6 homolog B [Source:Projected from Arabidopsis thaliana (AT1G07270) UniProtKB/Swiss-Prot;Acc:Q8W032] MPTIAGSEKSESIESPRKRKLRSKEVSLLSEDTISTPKKLKSRRRIAVSNLRTPEKEVKQYSNENLAVENLSDCLGSESRDEEQMRAVKEALHVSKAPSTIVCREDEHRRVFEFVKCCLDQQKAGSLYICGCPGTGKSLSMEKVVKQVGDWSKQAGLPPVNTLSVNCTSLTKTTDIFSKILELVEPVKKDKCYSSPLHHLQSLFSQKQESSSRRMLIIADEMDFLITKDRGVLHDLFMLTTLPFSTCILIGVANAIDFADRFLPKLKSLYCKPMVITFRAYSKDQILRILQERLMVLPYVAFQSNALELCARKVAAASGDMRKALSVCRSAIEILEIDIRGSPGPESQGPTPDNPVVRMDHMAAALSKTFKSPVVDTIQTLPQHQQIIICSAAKAFRGSKKDATIGELNKLYLEICKSRVISPAGITEFTNMCTVLNDQGILKFGQARGNKLKRVSLRVDESDITFALQPWIGVYYGNHLLTLGVHFLSSHCGRERLTKAVGVVAFPWREWLAFSLKRWGRISFAFVSWYNSRQVFI >A03p057880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:25073936:25074952:1 gene:A03p057880.1_BraROA transcript:A03p057880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSIIIIILFTLLLSFHHSDSQTVQSTRLLDLMIRDYTIRNFKLNLNTGTIQKIHLPSNFSGIDIDTVKLRCGSLRRYGSRIGEFHIGAGVTVEPCPERVMLVRQNLGSNWSSIYSTGYNLTGYNYQLASPVLGLLAYNANPDGVATNPYEVNVVGTDQNPILIDFLKITANDNPKPTKMNSSVLCACFPSNGSTTFSDQVSPYVCKGTRQGHYALVVTTEARGKDDDSSGGGMVTPSTEVDGGSGGGKLSRWKVAVGSVIGSGIGAILLGLLVVAMLVKGKKKAEREEMERRAYEEEALQVSMVGHVRAPTASGTRTLPVMPDDRYKNTHLNHHR >A08p004670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2745170:2745990:1 gene:A08p004670.1_BraROA transcript:A08p004670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTHSEFNKRARLFEDHQNKDAKVIHPMIPESTTPLDKGYDASTTTQNLFNESKPEVATPKVLKKRGRKKKNPNPEEVNSSTPRGDDSENRSKFYESASARMRTVTAEERERAITAAKAYEPTNPFFRVVLRPSYLYRGCIMYLPSGFAEKYLSGISGFIKIQLGEKQWPVRCLYKAGRAKFSQGWYEFTLENNIGEGDVCVFELLSTRDFVLKVTAFRVNQYV >A03g503670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12514278:12516999:-1 gene:A03g503670.1_BraROA transcript:A03g503670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVPYLTWVEPDLAWVVKKPKTDMHSHPADHPDSPASVLIFTPSAICFSVHIQHPAKVILPDFGSIKWYQSHFGWMFGLLKKSKPQQDVYFPFKTVFEKEQLICDKKQFASNEFDFVQKQKKRQNRCDDEKWVHVFHRAILDLGRARLSFGGEKTKDGHAFSSGGPSGQSRKRPYLYPVHPSGSDESRHLDWSSPFSIVGISRAIPHLLTILSIHLSSRSAICFSVHIQHPAKVILPDFGSITFDPTETKLPREDTGGSDRTMTKRFLELSFRWRM >A07g504070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8021584:8022458:-1 gene:A07g504070.1_BraROA transcript:A07g504070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFRVHPAFAQKKEEFTQPPSHLSSLLIKVNIFTKADDLQNQAQPLEDFARRLKPKEKSVVTVVGKTFDQMVSNCPNNVHLE >A02p021800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10254497:10257910:1 gene:A02p021800.1_BraROA transcript:A02p021800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLWEVKEKKTKKGRIIKGRDLVDVVFSWSVRDVLNSNLYKGKVDKIPSTFQSSKEYFKSFVNPIIEETHAALLSSMETLRRAPAFKVWEIKPAKDFKPPKNLYYEVTLQTVSDNTTNGDRKLLEFNDLIAVTDNKPYRIDDLRCSNEPYLLALVCGVNEDNPHLITILASKPIVFDEDHMETRKKGKGVKKRLSLFGVYLTNMMTNIRIWTALHPDPEGGNLNLISKVGGENCASCQEKNEIIMPNHLEKSLRSFKLNTSQEEAILRCLEAKNCRHSNNIKLIWGPPGTGKTKTTSVLLLNLFKMRCRTLTCAPTNIAVLEVASRVVKLVSESLRLGGYGLGDIVLFGNKERMKIDNDREDLFDVFLDYRVDELYDCFLALTGWRANVKRMISLLTDPKEVYNQSFIEKDKRPSFKQFVEKRFSKLRTDLRSQFSTLCLHLPTASLSFQVAEKMNATSDLLRTMTVSDVISKKEDTRKQECVEMLGSICESIELPDFIGKLGLQRLCLENAYLMFCTASSSAKLHMSCPIQLLVIDEAAQLKECESAIPLQLPGLRHAVLIGDEKQLPAMIQSKIASEADLGRSLFERLVLLGHKKQLLNMQYRMHPSISIFPNKEFYGMKILDAPSVRVRSYEKQFLHGKMYGPYSFINVPYGREQFGQGFSSKNIVEVSVVDEILSKLYSVSRKSGRSISVGVISPYKAQVFAIQEKIGQKYDTSEKFTVSVRSVDGFQGGEEDIIIVSTVRSNGRGAIGFLSNQQRTNVALTRARFCLWILGNESTLTNNRSVWSQLVDDAKARECFHDAYDDESLARCIKKSATALDDLDNLQNNKLLSFENSTWKVKSGLKNDIISHISRSFALLNVVDETEAPNQSSKTSLMNLFETIEAEACVED >A09p029490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17604216:17605582:1 gene:A09p029490.1_BraROA transcript:A09p029490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIVKKAVGGSNSDINNLDGLIGLRGGLWKREIENELDHRSLRIRIFQLRHLVSGGEICYEECRPWKHVLHVVTNLGAMQLKLHRILLLDDDVVVQKDLTGHWEIDMDRKVNGGCRDLCRFVPLVCSVHELLASFD >A01p006670.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:3390298:3391410:1 gene:A01p006670.1_BraROA transcript:A01p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIGEEPPVKRRRMTFNILMLPDDLLLNCLARISRLHYPTISLVSKRFRSILTSSELYQTRTLLGRTESCLYVGLSVHHDSKPLRWFTLCRRPNSSRKVLVPVSSPHQSLPEFWPAIALVGTNVYVIGGLRNKIASSSVMVMDCHSHTWSEAPSMLVPRQSPFVCVLDGKIYVVGSQKDKTTWMEVFDTKTGNWEFVPGPSEEICKRATRYRCIGYGGKVYVTAEKGDTYELNRGRWRRGPLFIDRAGFSSSFCVIDNVLYRRNSCMIDWYDSEENLWETVKGLKGLVPFYSGYIHAKAANHGGKMVILWEEKVYVNKLPHETKIWCAEITIERRQKEMWGTPDWFEVVLSTKNERFDQLSYVFSATL >A07p027830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15822302:15823349:1 gene:A07p027830.1_BraROA transcript:A07p027830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDHKDAESHLTSTSASSSNKCCNNGSSHFSGTGSDDAQESDGDDSGGYIHQYVNEDSKYIIKPILKSSVDDGEGEDKKNLATAESMTAVTVIPAIKGSREKHGKPLEKLSVSWAEDVYDPPPSLLSHTRSKKLQPQKSKSRDSLKKNGKKGQKGSSNSSSSSSRGSKDKKSSSSRSKHSRDNKFGWATQTSIVAASS >A05g509480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28981540:28985890:1 gene:A05g509480.1_BraROA transcript:A05g509480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHTRRCLLRFFLFLILSNPSYGENKFRERKATDDEQGYPEIDEDALLNTQCPRKLELRWQTEVTSSVYATPLIADINSDGKLDIVVPSFVHYLEVLEGADGDKMPGWPAFHQSNVHSSPLLFDIDKDGVREIALATYNGEVLFFRVSGFLMSDKLEVPRRKVHKNWHVGLNPDPVDRSHPDVHDEQLVQEAMEMKSATTQTHATTTTPNVTVSMPKEFHGEASNVTSQEDQKKPENNQTEAVVKPTQVTSQEDQKKPENNQTEAVVKPTPVTSQEDQKKPENNQTEAVVKPTPELHNSSMNVSSNNSATNDTTAVSTEKTSGNVTTKEVDQSKISEAKNDTVIKLNTSTDNSSETLVTSGNSSTTKTGTKSGRRLLEDGGSDGHSDNKDNNEGVRMATVENDGILEADADSSFDLLRDTEELGDEYSYDYDDYVNETMWGDEEWVEGQHENSEDYVNIDAHILCTPVIADIDKDGIQEMVVAVSYFFDPEYYDNPEHLKELGSIDIKNYIASSIVVFNLETKQVKWVKELDLSTDNSNFRAYMYSSPTVVDLDGDGYMDILVGTSFGLFYAMDHRGNIREKFPLEMAEIQGAVGPSIGDVDGDGHTDVVVPTTSGNIYVLSGKDGSIVRPYPYRTHGRVMNQLLLVDLNKRGEKKKGLTIVTTSFDGYMYLIDGPTSCADAVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNITDFTLGSQIHQAWRSTDQGMNNKAIRYDREGVFVTHSTRGFRDEEGKNFWAEIEIVDNYRYPSGSQAPYNVTTTLLVPGNYQGDRRIKQSQIYNKPGKYRIKLPTVGVRTTGTVMVEMVDKNGLHFSDEFSLTFHMYYYKLLKWLLVLPMLGMFGLLVILRPQEAVPLPSFSRNRD >A01p014460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7038688:7039492:-1 gene:A01p014460.1_BraROA transcript:A01p014460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIRQAAKKALGLTSRQSTPCSVGIFRSYHENVIDHYDNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDEKTGQIVDARFKTFGCGSAIASSSVATEWVKGKAMEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYKEKRVKTNGA >A02p006190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2613332:2615883:-1 gene:A02p006190.1_BraROA transcript:A02p006190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NRT2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) UniProtKB/TrEMBL;Acc:A0A178UKX9] MWEDLGSQIENFDCSEFPTRIAGEIKSFSTEGWVAPKLSKRMDKFMLYLLTAGKKALADGGVTSDEVMAEFNKAKCGVLIGSAMGGMKVFNDAIVSAEDLLQEDESFLFSEVLTVMEPSQRNTETPSFQYAAVPVDSSGRATVFRPFSLSSPHSRAFHLAWLSLFSCFFSTFSIPPLIPVISSDLRLSASAVSAAGTASFAGSIFSRLAMGPLCDLIGPRTSSAILSFLTAPAILSTAFVSSPTSFVLVRFFVGFSLANFVANQYWMSSMFSGNVVGLANGVSAGWANVGAGVSQLLMPILYTTIAEFLPRDVSWRVSFVFPAVFQVVTAVLVLIYGQDTPDGNRKAYKDRTQLEEDERSSFVRILLDGLGNYRAWILALLYGYSFGVELTTDNMIAGYFYERFGVNLEAAGTIAASFAISNVASRPAGGMVSDLLGKRFGMRGRLWGLWIVQSVAGLLCLLLGRVNSLWGSIAVMWVFSIFVQAASGLIFGVVPFVSTRSLGVVAGMTGSGGTVGAVVTQVLLFSGDNVRKQRSISLMGLMTFVASLSVTLIYFPRWGGIFCGPSSSPESDDCSQRLLGDGEEEDDDKVVISGRLRPVC >A07p012420.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:8140940:8142052:-1 gene:A07p012420.1_BraROA transcript:A07p012420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIIESFFFSPTNLPPEMMEEILLRLPVKSLKRFKCVCTSWRSLISETLFTLKHALMLEASKATTYKKSPYGVITTSRYHLKSCCVHSLYNEPIANVFEHDGELLGRDYYQVVGTCNGLVCFHVDYNKSFYLWNPTIKVQQRLRGSDLETGDEVVVNYGFGYDESEDDYKIVALLQQRQQLKTEAMIYSTRQKLWRRRSSSNTCFPSGVVLANKSRSGIYINGTLNWAATHSSSSVSTIISYDMSRDAFKELHGPGSCKRGCFTMTLGDLRGCLSMVCYCKGAKADVWVMKEFGERDSWSKLLSIPGLTEFVRPLWISRGLVVLLEFRSGLALYNCANGKFQYPVQDSLSGCRDAKVYVKTLVSPRDL >A06p010620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3846498:3850253:-1 gene:A06p010620.1_BraROA transcript:A06p010620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDALLPISAREEDPLLSDGSRSDPNAETHGRRRPVKGLLAVTFGLFFIAFYVALIATHDGSRSNDVKFESDGTATTASRARLAGVSEKGNDQLWKLSGDRNTVAFSWNNSMLSWQRTAFHFQPEQNWMNDPNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSKDLIHWVHLPLAMVADQWYDANGVWTGSATFLEDGSIVMLYTGSTDKSVQVQNLAYPEDLNDPLLLKWVKFSGNPVLVPPPGILPKDFRDPTTAWKTSAGKWRITIGSKINRTGISLVYDTTDFKTYEKLDTLLHKVPNTGMWECVDFYPVSKTLVKGLDTSVNGPDVKHIVKASMDDTRIDHYAIGTYFDSNGTWIPDDPTIDVGISTSLRYDYGKFYASKTFYDQNKGRRILWGWIGESDSESADVQKGWSSLQGIPRTVVLDTKTGKNLVQWPVDEVKSLRLSSKKFNMEVGPGSLVKIDVGSAAQLDIEAEFEIKKESLDKILGDASVAAEAEEFSCQKSGGSTVRGALGPFGFSVLAHESLSEQTPVYFYVAKGKDSKLKTFFCTDSSRSSFANDVVKPVYGSSVPVLEGEKLTMRILVDHSIVEAFGQGGRACITSRVYPTKAIYGAAKLFLFNNAIDATITASFNVWQMNSAFIHPYSEEAVRALSRT >A03p058180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25257348:25258640:-1 gene:A03p058180.1_BraROA transcript:A03p058180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGLFLCRPDVSFEICRDCISFSVKDTLNYCPNEKEALIYYDECMLLYTDRNILLDPVTKIVELMVVNQQNVTANQPVRFSKVVLSLMNKAAEEATGSSRKFAFKKENYASSQTVYVLVQCMPDLTTVKCSDCLQQSIKNLPRDKIGARLLLSGCTLRYDIYPFYNETYQEVASNDMHGKEENSMQVIIIAIVVPLGVYVLLFIVISSFYVTTRLKNTYETATADDGGDDITTAGSFQFDFNAVEAATDNFSERNKLGQGGFGEVYKGTFPNRLQVAVKRLSKTSVQGEKEFKNEVIVVAKLQHRNLVKLLGFCFEREEKILIYEYVPNKSLDYFLFGQLIYYNI >A09p044260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:35869092:35871202:-1 gene:A09p044260.1_BraROA transcript:A09p044260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVTPLFSPLALSSSRNRHSCPKTLFQSKDGKTCDSSATQKLNQTRLRKTDGQRRRFLQMGSREMSLGRKLSTQAMDGAGTENTSTTISRKVFAATHLLVSLGIILAADQFLKQAFVAASIKFPSALFGMFCIFSLLMILDSVVPAAATGMMNFFEPAFLFIQRWLPLFYVPSLVVLPLSVRDIPAASGVKICYIVAGGWLASLCVAGFTAIAVRKMVKTEMTEAEPMSKPSPFSTLELWSWSGIFVVSFVGALFYPTSLGTSARTCLPFLLSSTVLGYIVGSGLPSSIKKVFHPIICCALSSVLAALAFGYASGSGLDPVLGNYLTKVASDPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIVSTLFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGTNSSLTAAVVVVTGLIGANFVQVVLDKLRLRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLLCSVPAVRQSLLAVVG >A09g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17259005:17259452:1 gene:A09g505450.1_BraROA transcript:A09g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFAEKTANQGSLEALEVKCLRNPFAAEVWISPLADLHSIAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILVSLDRMMRDRLLSYPASSSFSSFLLLFIFLV >A08g505260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8685875:8688253:-1 gene:A08g505260.1_BraROA transcript:A08g505260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPTIRAWARRRGRSNPGRPRDGRTSSGRKPISDCEDQTKDHLGVKRDDGESSWSRMQNGKKADCKKKGHLGAKRDDKNHTGILMSSPVHHELGERRMRYGPKGTRAYARKPYRDAWGDVVPALFPDEEEMEFAEPPNAPIQETTVSRRILMPHFQRAAEYRRLYQGQGTFQFAPEVDTTPPTRGRGRPRKTGPTRAGPGPIRMEDSVPTRKRGRPRKIPSIDAGSLRSITGMCRCGTLTQARQGPRSVREYTEEFLESAKRCKPKTAEDWCRWYKAGLREEIQGRLIGVLEPLEFALVNRMAGQAMEAERTLTRRVVAISSSEEDVEVEEDPSGDSDWEEEPASPTGSGRAAGPKPDGEQKSPKRSPAENPRRSEALAVDSLSLRAVSLLLLSLRRVSSLSPSLLAASPSLSLGREWWWWPRVVIDRRSRFLLPPTLRSRSRSRSRLRKYPERVE >A10p020560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13954901:13957191:1 gene:A10p020560.1_BraROA transcript:A10p020560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACLSSGGGAAAYSFELEKKKSPPPSSSTTTRATSPSSTISESSNSPLAISTRKPRRPRKRPNQTYNEAAALLSTAYPNLFPSSKKTHPNPHLYGFAAKSPLLSDNDDASELLLESIEEPDFLFLPTIQARSEYFSEQKEVNVNLFEFSDEFDAESILDEETEEGIDSIMGIVESNSGENRGHLISRLEQMMKMNRKGFKFPLGLGLRSALREHNDANWCRFHTVDFEQISPRIQSTVKEEKIESKKSKKKKKKKVPAAAAAVKTVQMTETCRDDSEEKSGLLMLKLDYDGVLEAWSEKELPFSDEILGSEAAGGDVNARLAQIDLFGDSGIREASVLRYKEKRRTRLFSKKIRYQVRKLNADQRPRMKGRFVRRPNASPPSGQR >A01p043680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24751321:24751790:-1 gene:A01p043680.1_BraROA transcript:A01p043680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGEETLTFALSLYYSFPFWFDAIKTEQQPHEKLCSDVSLLHRSFHSSIDRNFLELQLLPLLFLVTLSVRLFHGCITLSVTCSISSMTIALLLSTANPVSLSTLLY >A06p036030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19509128:19509573:1 gene:A06p036030.1_BraROA transcript:A06p036030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWFVGVTLETCVVKGPSHGVLGGAVSRRKAESRQWEISSTLDLRSSVTVSQTEMRYDGWGIWFWSSALVEDASQGFLHRRSLPEDELCSGYAVGVHIPVPEVTSPVSLGGETPCHC >A04p003040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1489948:1491501:-1 gene:A04p003040.1_BraROA transcript:A04p003040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRGIQESDDEEDETYNDVVPESPSSCEDSKISKPTPKKSRRNMEKRVVSVPIADVEGSKSRGEVYPPSDSWAWRKYGQKPIKAAKKEENMEEEDDEEEEEVTAAAEEPVGLDLSHVDSPLLLGGCYGELNEFGWFYDASISSSSGSSSYGGSFLDVTLESGFSVGGEEDESLYGDLGDLPDCASVFRRGTVATEEQRQRCDFGAVPFCDSSR >A09g502410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8133133:8139425:-1 gene:A09g502410.1_BraROA transcript:A09g502410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGFCVKRLKQEAVALFRKMKEDGPLPNDRTYNALIRAHLRDGDKAASAELIKEMRSFGFSAEASTFGLVTNIFSVRVLRERSTRNKVQAKQKCWLGFADPNLLLLLFQRLDCSVRDRFVMLWPRKVVLERVGEAGGFGGEMLQLRSGFHEIKGLDDAIDLFKDIVRSSPLPSAIDFNKLMGVVVRMERPDLVISLYQKMERKQIRRDIYSMNILIKYGGCSLPVEVFIQNLTDEASELLGLKKFDEAIVCLRVARELSEYHLGDVAILSVSTLLLSCLVEKLYLETQNDGIKNMIEEGMIVFNQMKILAGKADYDSVVKVYSDLGDATLKKGEVDLALKIYSEALFFVETVADLLTAIEGKRTKRETMTATILRVRAILRQQAAMDGGAEGSQQPHLILANKLFLLTHPDVQDIEKVQLKSDVLDSIKSDGMAPLYETLAASSVLELDQSLLDSMRASNEEELKKLDEKIADAEENLGGSEVREALLAKALYFIRISDKYLHRRILWG >A03p066680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29458630:29460075:-1 gene:A03p066680.1_BraROA transcript:A03p066680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRRDEGSMRPIQNTNLFAALDTRKKKKKSDKGKGSSVIKEPEPQVFWAPTPLKAKAWADIDSDDEDEDYFATTAPPPPQPLWSASDAKEAHVEESESEEDVLDEGDDDDDLEEEHETQVHPEAEPEVKKAPEVPAPPKEAERQLSKKERKQKEQVEFDALLADFGVAPKGSNGQGIVTLFCSLASYYLLRKSFDSTDKSFFCQCPTEKQEKKEVNGEGEKKENTAGESKASKKKKKKDKQKEIKESQDEEKSNADAAAGEFGEQENEEASSSMDIKERLKKIASVKKKKSSKETDAAAKVAAQEAAARKAKLAAAKKKKEKNHYNQQPVR >A10p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9404397:9404976:-1 gene:A10p005650.1_BraROA transcript:A10p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNSGKRGLSLLRSSEDSVRRFDEIAWIDVCRCPEEFNRYTATELRLEPGRYVATERDERSVATDRALARARSLRSDRAGRSLRSDRAGRSLGRYVATERDGRSVATDRTACMRGDRAWFVRFESQRILLHKNFILVIFYESRILFFTISFGNTISEDFRGVIPS >A03p020850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8577258:8579366:1 gene:A03p020850.1_BraROA transcript:A03p020850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase PXC1 [Source:Projected from Arabidopsis thaliana (AT2G36570) UniProtKB/Swiss-Prot;Acc:Q9SJQ1] MAIPLLLLLLLHLSVSSALNDTNALTLFRVETDTHGNLAANWTGSDACSSSWRGVYCSPYSRRVTGLSLPSLSLRGPLTSLSSLDQLRLLDLHDNRLNGTVSPLTNCTNLRLVYLAGNDISGEIPKEISSLKRMIRLDLSDNNIRGVIPREILGLTRILTIRLQDNELTGRIPDFSQVGSLLELNVSYNELHGKVTDGVVKKFGDLSFSGNEGLCGSDPLPDCTYTNDPESSDTDKIVPSNPTSLPHSPVIAGDPKIHHHRGLSSGAIAAVIGGGVAVIVLASFGFAFCCGRSDRSGGSKSASLESGFAGGEGKRRSSYGGGGGEESDATSATDRSRLVFFERRKQFELEDLLKASAEMLGKGSLGTVYKAVLDDGTTTVAVKRLKDANPCPRKEFEQYMEIIGRLKHQNVVKLRAYYYAKEEKLLVYEYLPNGSLHSLLHGNRGPGRIPLDWTTRISLMLGAARGLAKIHDEYSISKIPHGNIKSSNVLLDRNGVALVADFGLSLLLNPVHAIARLGGYRAPEQSEIKRLSQKADVYSFGVLLLEVLTGKAPSVYPSPSRPRSAASVAVEEEEEAVVDLPKWVRSVVKEEWTAEVFDPELLRYKNVEEEMVAMLHIGLACVVPQPEKRPTMAEVVKMVEEIRVEQSPVGEDFDESRNSMSPSLATTEDLGHL >A01p005850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3025384:3028473:-1 gene:A01p005850.1_BraROA transcript:A01p005850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PERK14 [Source:Projected from Arabidopsis thaliana (AT4G32710) UniProtKB/TrEMBL;Acc:A0A178V367] MSLSPSASPAPADSPPATSSPPAPPLSPLPPPLGSPPPLAPPPTVLPPTASPPPPSPPLEAPPSPPVETPPSPPEEPPPSPPEEPPPSPPTPSGSPPLPFLPAKPSPPPSPLPSETLPPPVKTFTPPPPSLPSESPPPVDTASPPPPSPPRRRGPKPSLPPPPKPSPTTPSLPETSPPPKPPLSASPFPSSSTPAPKNSPAATTLPFFGPVGPPDGTIATPIGPIIEPKTTPAETISPGTAQPLVPKSLPETTPYHRSSAGFLFGGVIVGALLLVLLGLLYVFYRATRNNSSRHGQSKTPPKVQHQRGGNVEPDQTNVITIPPPNYSSSVSYGTKESNAVAMNVTISSGTFTYEELLEATGGFSEANLLGEGGFGYVHKGVLRNGREVAVKQLKIGSNQGEREFQAEVDTISRVHHKHLVSLVGYCINGDKRLLIYEFVPKDTLEFHLHGNSGSVLEWGMRLRIAVGAAKGLAYLHEDCSPTIIHRDIKAANILLDSKYEAKVSDFGLAKFFSDTNSSVTHISTRVVGTFGYMAPEYASSGKVTDKSDVYSFGVVLLELITGRPPIFAKDPTRNLSLVDWARPLLAKAISGESFDLLVDPRLDKNYDTTQMADMAACAASCIRQSAWLRPRMSQVIRALEGEVALRNVEETYSSSENPLPYGTSKRRFNTDSSNGSTSEYGINPSQSSSEQHQFTGP >A03p063850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27779589:27780768:-1 gene:A03p063850.1_BraROA transcript:A03p063850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLVWEIVKRNNCFLVKQFGRGNAKVQFSKETNNLCNLNSYKHSGLANKKTVTIQVADKEQGVVLGTTKTKKQNKPKLSVNKSVLKKEFPRMAKAVANQVVDNYYRPDLKKAALARLSVISKGLRVTKSGPKRRNRQA >A03p005460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2283340:2285398:-1 gene:A03p005460.1_BraROA transcript:A03p005460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding REREREREREREKKTKKGKIVLIGDYPCIRASSGSSRLVGFYWAKDSSFTRLFVMEDDGSASSSSSRDLDAQNPYDRLLALNTSPVDSNCNLDSVSAIYLAMTTSSKLECVDERGQDSLITSVCNMEDEEEDEEELDEFDPYLFIKNLPNLSSVVPTFRPVLLPKQTRSCPPISLVLDLDETLVHSTLEPCDEVDFTFPVHFNEEEHTVYVRCRPHLQEFMERVSRLFEIIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVFFDGNYLKDLSVLGRDLSRVIIVDNSPQAFGFQVENGVPIESWFNDPSDKELLHLLPFLESLIGAEDVRPMIAKKFNLKEKIEAAVDVPEYPAEAGDPFER >A06p002990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4888943:4891051:1 gene:A06p002990.1_BraROA transcript:A06p002990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNGMVGPPAPRILQLPRRHSVRRRNTTSPKKKDQRVKLEALFHQERSFHHEEEGGRRRGKVADGREISRSSPGKQRISEGKKESNVLEDEISYLIEKLNELNSPKVKDMDGGNYRHNFDRQASVLRRELEKLDEGEVCVKGIQKMAEDSFLVNPYTLPDQNIDTLGSKMEELSKGVFVQAHEENIGCSRHCKALMRKIADQARAEAEQWSQMQEMLSQVRNEMEELQTCRDFWQNRALESDSMIQNLHSSVEGWRRKALSSEAKLRNLEAEVWGLQEEMKRLKKGDKVEAEKNKLPTESEKRVLICRLKENRHSSNGDWSKYSEEKTTKPSCSRPALREIKNGSVAMRQRSSNVMRM >A05g501390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5058221:5059782:-1 gene:A05g501390.1_BraROA transcript:A05g501390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFVAVRLSLFTSGPDGAWRRVPASGGSSFLISLSCFAAASSPFSPSICSTSWARVWRRKELYCRGASAPVVSYLSLGLRRGGRAGVGNGRDEVASLDCRWFADLVGGVIGLEWGFSDLGVLSSSLGSILAVVCAGGSYYHGGEFDGSSSTNFSSLVLDGFGRVVVLVSLTAASSVRLFAHIPASQSSRRAVLMRAIIFKSGSSERPKLPGSDSRLNLARLFAASGGADLVELWDCGSGWCLLYLF >A10p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4563366:4563949:-1 gene:A10p013740.1_BraROA transcript:A10p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRLAFTRLLSSTVVVPRPAAAYRLFSTKSGFYDLITPAMSLSHVHQSLEDEEEGPLVVATGGRLGWDVKDQENALHVRIDMPGLSREDVKLSLEQDTLVIKGEEHEEGRKFSSRIELPQEEYKANEIKAEMKNGVLKVVVPKIIQLHLNNALHIKVD >A07p034360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18697136:18700823:-1 gene:A07p034360.1_BraROA transcript:A07p034360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEEEANLQRDKPPKSLARPILNHETSSSSSSPELIRHLQAAFKRHRPLNKMQTTTIGPRRSVAAQRQPSRSSTADGQRPQDVVSLSQSLAANTLLTHDSRNLHSSSSAAGGESTENASITTCSVSGTVDNMLGGSFNQQDRQMDLPKPLKGNVDIGSRNESVSTKVQSLVSSSTLTSNDMEWDATNQAAASKHQNLQSVDSEISLKSEYKVSSSLAKLGEFRNFLNQPASQCSVMGSSCATTTSIHSSSAPMLNATTQVSRYPGAVPIPSQGNLASSRPSFKDSDILHANKDVPLPEMPASATDQEVRVKETNMSKQQQCTTVVEPPTLSRGSDATARVPDDMLTSVSSQPQKADKLEKVASSKGTSAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIGYLKKLKGKTNIIQLIDYEVTDKALLQEVLNGTMSNKDARVKDDGFIYMVLEYGEIDLAHMLSQKWKEIEGSDRTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVRGFLKLIDFGIAKAINSDTTNIQRDSQVGTLSYMSPEAFMCNESDENGNTIKCGRPSDIWSLGCILYQMVYGRTPFADYKTFWAKFKVITDPNHEITYNQLSNPWLVDLMKKCLAWDRNQRWRIPELLQHPFLAPPVTPESRVGSSSIQLLSHIAECFGSDVRVSELCAQLKDRLRDNQSNKRLGKLESITEQEQLQAYLEVRHFLCNENYRKTINLSKPCLLI >A10p001740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:892331:898990:1 gene:A10p001740.1_BraROA transcript:A10p001740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDTIIEYEQGCRCLETGVSKLTRILEEETSEKPFSREQYLEYYTTIYNMCIQENPHNYSQRLYHKYHDIVEHYANETVLPCIQQKDAVYLLRELSRKWDHYKVFVKWLSHFFTYLERSFISYRNYPSLLEVAMKSFRDLVYLEVQASAKDVVMALINKEREGGEIERELLKNIVDIVVQSGIGTMERYEKDFEMFLLEDTASYYSRKASSWIQEDSCPEYMIKSEESLKKEKERVTHYLHSTTEPKLVEIVQEKLLVSVAKQLLEKEHLCALLRDDKMDDLSRMYRLFNPIPNGLELVAKAFRLHVTSEGNALIKQAQDSATTGSMEGQVLVGKIIDLHDKYMVVKLLDYISDKDLFAEFYRKKLARRLLFDRKVNDEHERSMLTKLKGQHGGQFTSKMECMLTDMALAKENQKGFEGSLTEKPGGIDLAVTVLNTGFWPSYKTSTDLNLPREMVECVDAFNSYYGSKTTKRKLSWIYSLGTCHVIGRFDSKPIELVLFTYQAVVLCLFNNTERLTYQEIIEQLKLSHEDLVRVLHSLSCSKYKILNKEPASKSISSTDVFELNTKFTDKMRRIKVPLPPVDDRKKVVEDVDKDRSYAIDACIVRIMKSRKVLLHQQLVSECVEQLSRMFKPDVKMIKKRIEELISREYLERDTKNPNTFKYGFNTDAKDSPPSLLLSSQKMYRETIIDFEQGCKCLEAGVSELKRILEEETTSETLIDPKQYLELYTTIYNMCIQKHPHDYSQRLYNKYRDIVEHYAKKTVLPSIQQKHDEYLLRELSRRWGHYKVFVKWLSHFFNYLERFFIPQRNHSSLLEVAMKSFRDRVYLEVQVNAKDVVIALIHKEREGEQIDRELLKNVLDVFVQSGMGTIERYKEDFEMFLLEETESYYARKASRWIQECSCPEYMIKSEESLKKEKERVTHYLHSSTESKLVEIVQDVLLVSVEKHLLEKEHSGCSALLRDDKIDDLSRMYMLYHPIPKGLEPVADAFRVHVTREGNALIKQAQDAAANGSFVEAQLLVGKIIDLYEKYMVYVMGCFKNHAFFHKALKEAFEVFCNKKVGGSYGPELLANFCDSLFKKSGNDKLSDEAMEATIDNVVKLLDYISDKDIFAEFYRKKLARRLLFDHHKVNKEHETSMITKLKRQQGGQYTSKMEGMVTDMQLAKEFQKGFKGSLTKKPGGIDLAVTVLTTIHWPSYKKSTDLNLPREMVQCVEAFNSYYQSITTARKLSWIYSLGTCHVIGSFDPKPIELVLSTYQAVVLCLFNDTERLTCQEIIEQLNLSHEDLVRVLISLSCAKYRILNKEPASKSIATTDVFEFNSKFTDTMRRIKVSIPPPSMEEREKVVEDVDRDRRYAIDACIVRIMKSRKVLPHQQLVSQCAEQLSRMFKPDIKMIKKRIEDLMARDYLERDAEDHHIFKYVA >A05p050180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29023036:29025757:1 gene:A05p050180.1_BraROA transcript:A05p050180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQLMAMEITQFLLAAQSADARVRTEAEGSLKQFQEQNLPQFLLSLSFELANNEKPSESRRLAGILLKNSLDAKDSGRKELLVKQWCAIDLALKSQIKELLLRTIGSSVPEARHTSAQVIAKVASIEVPQKQWPELVGSLLSNMTQPESPAHLKQSTLETLGYVCEEISHHDLVQDEVNSVLTAVVQGMNQAANNAEVRLAATKALLNALDFSQTNFENEMERSYIMKMVCETACAKEAVIRQAAFECLVSIASTYYEVLEQYMQTLFELTAKAVKEDEESVALQAVEFWSTICDEEIDRQEYDSPDTGDSSPPHSGFIEKALPHLVPMLLETLEKQEEDQDHEDDVWNISMAGGTCLGLVARTVGDGIVPLVMPFVEVNIRKPNWRSREAATYAFGSILEGPTIDKLAPMVAAGLEFLLTATKDENNHVRDTTAWTLSRIFEFLHTPDSGFSVISPQNLPRIVGVLLESMKDVPNVAEKVCGAIYNLAQGYEDAGASSSLLSPYLTEIIQHLLAAAERTDGPESKLRSASYETLNEVVRCSNLLEASGIIGQLLPVIMVKLGQTMDLQVVSTEDREKQAELQASLCGVLQVIIQKLSSKDETKPIIMQNADQIMMLFLRVFGCHSSSVHEEAMLAIGALAYATGAEFAKYMPELFKYLQTGLQNFEEYQVCSITVGVIGDICRALDDKILPFCDQIMSLLIQNLQSDALHRSVKPPIFSCFGDIALAIGGHFERYVATALQIMQGAAQVCAQMDTLDEELMDYGNQLRRSIFEAYSGILQGFKDAKAELMVPYAQHLLQFVELVSKDSLRDESVTKAAVAAMGDLADVVGEKIKPLFSNFTFCGEFLSECLDSEDEDLKDTARWTQGMIARLMVT >A02g509610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25555876:25563198:1 gene:A02g509610.1_BraROA transcript:A02g509610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCKIHASCKRTYMESKGRLLPVGAWRHIQNFTLSPSTGMYRATDHPFKMSIIQNTAITRSPLNNEDMFLSLVDFQTVLGGSLKTCLLIDVIGQVVDLGDLETIQVSGKPRMKVEFTLRDMNDARVPCCLWGKFAEILYEGCSKDEDGKPICLIRFVDEVKPLAICEGRDEILDLEEVKSIQDKRDKWMLFPKRTIHGLLESTQHIDLSTPSTTPSTKRKGAWSDPPRDITSTSKNLRSKTIKVEKMSDLEAEAGKKT >A03g501800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5668745:5671062:1 gene:A03g501800.1_BraROA transcript:A03g501800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVADKVIVTTKHNDDEQYVWESQAGGSFTVTRDTSGESLGRGEYGWTANMERIMKAQALRDSSMGGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDDDDAVEADAEMPPLEDDADAEGSKMEEVD >A09p004310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2471688:2475179:1 gene:A09p004310.1_BraROA transcript:A09p004310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSSSAPSPEAILEWLQKEMGYRSKSHAPSVDSVRKICRGNMIPLWAFLINRVKSEKTVESIRRNITVHGSVDAVSLVKEESKVKESEREVERLRNLVRRQRKDLKARMLEVSREEAERKRMLDERGSYRHKQVMLEAYDQQCDEAARIFAEYHKRLKLYVNQASEREAVYSSVRGSKSADDDRSVREACESLASRMVERICNSFPAYEGRGVHSHPELETAKLSFEYDGEISDEMRGVVLSCLSSPPLLLQAIAAHTLRLKNLIAKEIERVDVRADAETLRYKYENNRVMEISSSDVSSPLSYQFNGNGKIATDTHSKGSNNQLLERQKAHVQQFLGTEDALNKAAEARVFCQKLKNRLQGSADTVSSHSLGGGTSQNVRNLRQLELDVWGKEREAAGLRASLNTLLSEIQRLNKLCAERKEAEHSLKQKWKKIEEFDARRSELETIYTTLLKANMDAAAFWNQQPLAAREYAMATIIPACEVVADISKTSKDFIEKEVSAFFQSPDNTLYMLPATPQALLESMGANGSTGPEAFASAEKNAALLTARAGARDPSAISSIYRISAALQYPAGSEGSDASLASVLESLEFCLRLRGSEACVLEDLSKAINLVDIRQDLVESCRSLLSHAYNAQQEYETTTKHCLDLATEQDNTITEKWLPELKTAVLNAQTSLEHCKYVWGLLDEWYEQPAATVVDWVTVDGQNVAAWHNHVKSSYCLLR >A01g505670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16801898:16804874:1 gene:A01g505670.1_BraROA transcript:A01g505670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIDVERLNALRLKPKPSENPPETVRTPSDDGADPMEVNRVPMGRTLRKRKEKVDEDYEEERAIEQRAILEDEDTLLHHSSWKKKSPLIDRNFSTSIDIQLHHPSRLRASTDIPYYPLIDTNVDYARDGNYSIGSWADDHYHESYAVETAYRDQGADELHEGFTYEELLNMDPDGYTKAIDGRTLHVSREDIAYILQTANGADNLFVQQQNILEHQQKVTKEFYDTAGGIDKRFKQKYRHPNRPSIDVDVPTLVDKRPKFGRRAFDFFGTRRFYWEEKNEYGINKDDQGYARDIDGHTIRDHNNDIRRLLKRASRDEPNYICLLEHARSFSQTKLVPEIYTKDKINEMFSGVCGEQEKNKGDLQMKLDGVYYPLNDSISWITTCMEEMRQDIARIQRATNVSRTTSIDKHRQASIDSRLPESIDNRLPASVDDNPQNSHTMKSYSKELVEIQSYIARRPEASSSTDRRNNKSTDIHRPEILADTYATLMRHQFNLESLGDRLQKIEDATTIMKDKWRRGDEAMRDFTDTWFDKRKEEMETCFATSASFQHY >A04p038990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22088155:22090671:-1 gene:A04p038990.1_BraROA transcript:A04p038990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSSSAFLLNPLTSRHRPFNYSPQLASLSLSSRKAATFGPFPAALKSKSQRCEDVVCKAVSAKVEAGVESLNIAENAAQLIGKTPMVYLNNIVKGCVASVAAKLEIMEPCCSVKDRIGYSMITDAEEKGLITPGKSVLVESTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLLRAFGAELVLTEPAKGMTGAIQKAEEILKNTPNSYMLQQFDNPANPKIHYETTGPEIWEDTRGKVDILVAGIGTGGTITGVGRFIKERKPELKVIGVEPTESAILAGGKPGPHKIQGIGAGFIPKNLDQSVVDEYISISSDEAIETAKQLALQEGLLVGISSGAAAAAAIQVAKRPENAGKLIAVVFPSFGERYLSTMLFQSIREECEKMQPEI >A05g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17450588:17459804:-1 gene:A05g506120.1_BraROA transcript:A05g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTNHAYRISFLSTTRVRPCEQLPESLSGFEPVKFKDVLDGTLSADFLVDIIGQIIEISHLEHVNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVEKFTDSLPKDDLPLTIVESKYAAIANGVSDKDDFFTHTPRKTIAQMKETKHVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVLTVPAVNDDDGNDDDDLKQTYYCVKCEAYNPVTVPRYKLHLVVLDNTSNTKLMVFDNLAVQLVNKPCLQIAGPSDKVEIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLITDFEESNSRDGSEGVFHDMDTQSDAPEASLANLGSASEQSESCDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKTTNHAYRISFLSTTRVRPCEQLPEDLSGFEPVKFKEVLDGTLSADFLVDLIGQIIEISHLEHLNVNGKETEKISLQLRNSDDDKLPVVLWGKFACDVNEAMQVRDEHCTILVLRFGKIKEWKEERSVSNAYNVSELGLNLPMIEVGKFTDSLPKDVLPLAIVESKYAAIAKGVSDKDDFFTHTPRKTIAEMKETKQVEKCILMCTIAGIDSDMGWFYLSCKVCSKKVSTVYAVKDDDGNDDDDLKHTYYCVKCNAYNPVTIEETNVLPPVLNTIIGKTCLFKIQIERENFVYKHDTYKVLKVITNKDLIKDFEESNCRDGSEGVFHDMDTQSDAPEASLANQGSASEQFESDDLTPAKRVRAVDIELEEINDQNSVTRSTGSMMIKKEKFAKTMERKKRRGRTPEGLCNGRVSELISQTQISSAIDDEIQSSKLSSKRGVRIGREYVFYNPHIQKLARAQRRLRLSEKRGQLHKKTEPLPHRPETLDRAARAHRREIISTKRQNPVSANHPIVGTDENSLTIASDGIDTTNNVDNYSKRTTSAPNTDERCTENDSLYYGQKNTDATQRENLPLPVTKKRKTNPQNVFADITNVNLGCVRGETRRGETLEVMGQKRIHMEDSQTVFRWRRGWQSNVSSGSVVTSLRDLNGPVHSSQTCQNLPNVQAPIIPSRSQTKETMTENQCLLLGPEEEAKGVRLSSRKAKQKGIFLGVSSYASSKPLQFTPDPGSVITQVQPSQSTEQDLHEAEGRSYIISDESDSDDSLDELWDCSSNEEHDEETDSDTCEDATILQKIQRQANINKWELWESKNPSRFG >A10p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18157046:18158555:-1 gene:A10p029830.1_BraROA transcript:A10p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGPFWSLPIDRKESSTRTELDSLGAQQERKQLTFFAPVSFLFRLIEHKEEIEKLQIEINIFIYIFVLWLQKSVNEIMMESKGGNKKSSSSSSLCYEAPLGYSIEDVRPNGGIKKFKSSVYSNVRHPFNIARRGHPEYHSVQTVLVV >A08p023790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15634027:15637082:1 gene:A08p023790.1_BraROA transcript:A08p023790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALQFCRLCIRPDTFAPGSGSLRRRKPSSLRCSSGNDDTPSPSVVMDSDFDAKTFRKNLTRSDNYNRKGFGHKEETLKLMNREYTSDVLETLKANGYTYSWGDVTVKLAKAYGFCWGVERAVQIAYEARRQFPEEKLWITNEIIHNPTVNKRLEEMDVQIIPVEDSKKQFDVVDKDDVVILPAFGAGVDEMYVLNDKKVQIVDTTCPWVTKVWNMVEKHKKGEYTSIIHGKYNHEETIATASFAGKYIIVKNMKEANYVCDYILGGELDGSSSTKEEFMEKFKYAVSKGFDPDSDLIKVGIANQTTMLKGETEEIGKLIERTMMRKYGVENVNGHFISFNTICDATQERQDAIYELVEEKIDLMLVVGGWNSSNTSHLQEISEVRGIPSYWIDSEQRIGPGNKIAYKLHYGELVEKENFLPKGPITIGVTSGASTPDKVVEDVLVKVFDIKPGARSTVCNVYDTESKEQGPGPSELITVKKLQKKRTYKTIFVCVISTRRSFLVTSIQLSSNSSCPSLAKFFCNSTRGNAGKCSFASFKPQILCGFVGLVYDIGLGIVER >A06g505590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16116148:16120414:-1 gene:A06g505590.1_BraROA transcript:A06g505590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTTPPMSIDTVLSKMVNIIILTQDENGNLYDQAGHLRNATCQKIDAQGTLDEGDFEIESSMSLGGSQWCRPMSMNSHRSTYHDEDRWTDYSRHRSTSSAKSTECNAVRILTHEEFAAKHPHPPSPFYNKIDRSVEPTINRQSESDVDRHNTPPIDRHAPVTYRVRLPSIDNDYITALRPPPKPLANPPEPKPNPLNSSPESVQVEQEAEGRRLRKRKEKIPKNLKREANDKEMDGFTKRVLRIPIEKPFDEAYFTHRLWMFFRATKVTEEDIRRMFHQVREKMKHLITLTKKSDPGKFAIPCVVKGVEFPIQCVTQEHQKVINFVDYGEELGFIGACHCGAEYESEYKTEYSESIDTPTFPSIHSNESRVTDDCNNTSLDVMHPVDHFAPPNHCYQHFAFQPPSKRGHDDYSIGSWADSDFHESFALDTFTNTFPTSFDAVHSTSVDTHARPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSLTRDPDGNARAIDGRILQVSREDIAGILQVGNGPDNLFSQKRGTPDVIQADPNNHVGVATTEINPDLSCQPKGQASIDGTTETSIDRVTPTSIDRDNPTSIDRRYEFGNRVFDMYGARKFTWEQRDDYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEHPTSFTLTKLAPELYTKDEINEMVFGICGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMAEMRTKIESLRQQLEKEATTSASIDAPHASSIDVSLPTA >A03p014200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5649709:5654216:-1 gene:A03p014200.1_BraROA transcript:A03p014200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGCGHTIDKLSDSEDERSRIGSPAKKVISCSIEDVRDENDEKIVLKLRQELLNKHSLPPRHDDYHMLLRFLKTMDFNIDKTVTAWEEMLKWRNEFGADHIIQDFNFNELDQVTMYYPQGYHGVDKDGRPIYIERLGKAHPGKLMDVTTIDRYLKYHVQEFEKALQQKLPACSIAAKRRVTTTTTILDADGLGMKNFSPAAANLLSSIAKVDCSYYPEVLNSLKITLSTLHRMFIVNAGFGFRSLIWPAAQKFLDPVTIAKIQVLEPKSLSKLLETIDSRLILFFFLGHFLSLVHHMEVNPIPQTTKAPLHVRDHDSQEPAQGECVVLRNCMFKCIIVTFYGGQISRFGVLREKTKGVNIVHLVTILIAFPLKLYFDLFSFLLFGYWQRHNRVHVSDSSINKEIVLQCLDRLKKLEKECTEISRIPVKTPDENEKLLTGTLERIKSLELDLDKTQSVLHLTLAKQLQITEQLDSHYEEISSLLVQLQRIMSLKFVSGALFFCLLLTIFPSFSSSSFISDGVFGSQALVTGRNLLQTKKTCPVNFEFMNYTIITSQCKGPKFPVKECCSAFLDFACPYTEQLNDLSNDCATTMFSYINLYGQYPPGLFANQCKGGKEGLECPAMSPASAADVNAAVNTASTPLWLTIFAALLVFVKLL >A07p001870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4116345:4122544:-1 gene:A07p001870.1_BraROA transcript:A07p001870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGKFDYSSAAPLYRSNFAAQMERSSSFPERPAPSSHPNMLRGTSPLAQTDVTNFFQCLRFDPKVVAADHKSIRQGDFKRHVNFALGIQGDESPSTALKGKLIPEEIKRLKASLRENNVKARERVKIFNEASSVFNKFFPSVPTKKRSRPEGFSGDRLASGSGLSKMGIQGQTLLAGGFELDQQMLDERPKSGVPNKRTRTSMMDVRSNSVVRQSAAAVDRDKEIMRLANHNAVQGEERTSLGIDGWEKSKMKKKRSCIKTDCHPNLASSKVVDGYRDLKQSTQQKSMGDSRTRLNGDSNMLRQVAGNGATEYGRSDNLSQQTSLAGYSPLSRGDSDHNSLYLEKRERSIGSDKERVNLRAVNKSNIHDEFNSSSLVSNTKPNASVRGPRTGTGLPPKLSPGLHNTPPSPSDWDISGCTNKPPPVSGVTHRKRMTSNRSSSPPVTQWASQRPQKISRTARRTSLVPIVSNKDETYLDNISDAGCSDTGFEFYKRSPAASPQLKIRGESSFSTAALSESEESGPPEIKSKDKGKQSDEVDGKAAHNIPKVSIPALQSRKGNKRASGEEIGDGVRRQGRTGRGGFSSTRSLNPVGVEKLKNVGTTKQLRSARTILDKSESKVGRPPTRKLSDRKAYSRQRATATNASPLDFHAGSDDGHEELQAAVNSAVNFAQNFPNSFWKQMDRYFCFISDDHINFMKHQGELFSMGPSPVLTPPDFDSRDLYPEELATRTVDSKASPLYHRLLSALISEDSMSVNEDLQVDEFGAMHDLDDHSEFSVLMNNGFRNHEWLEHDESEDAILFKGVNNSAYHCNDKFSEHSPIDFSNIPYDKLGIDEKIYLEAQSIGISLEPMPSISNVEDEGIVDEIKKLEEAICKEGFKKKEMVDRLLKPALEMRETQEKELDQLGYDKLIEMAYEKSKASRRHHTVAGKNSANKISKQAASAFVKRTLERCRQFEETGKSCFSEPEIKDMFIARLATAPADKEDNPSTSTPIGSQPSSTSLARVGQNLENYANCSDAENALRERTIGREDTVWSNRVKKRELLLDDVGIIGTQLSSSTKGKRSERDRDGKGQASSRSGTNKIGRPSLSSTNGERKQKAKPKQETNQISSFVRIPEQPKAPLPNSNEANGEYDNLEALEDTEPILDFSQLQIPDGFGGPEFDAQPGDISSWFNMDEEEDFDILELGVPMDDLAGLNIKF >A09p019460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:10312221:10312664:1 gene:A09p019460.1_BraROA transcript:A09p019460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQLLWTNAHLLFQTFNHQWDGKTIYLSGTSYPTAANAKSKPRKHAMALERQSFQDERERRCMCLVYQVNLTKESDDDTVSSLEDQGKRHSLGRQRKTRRSRLLKVAFLFWKGREELLIRHQLRVHHEKPRTEFLWFEKGQHKKVK >A05p002900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1027032:1031075:1 gene:A05p002900.1_BraROA transcript:A05p002900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKGYTQDGTVDLQGRPALASTTGRWRACYFLLGYEACERMAFYGIASNLVNYLTTRLHEDTISSVRNVNNWSGVVWITPIAGAYIADTYIGRFWTFTVSSLIYVLGMILLTMAVTVKSLRPTCRNGLCNKASSLQKAFFYMSLYTIAIGAGGTKPNISTFGADQFDDLAKKERKQKDSFFNWWTFSSFSGALFATLGLVYIQENLGWGLGYGIPTLGLLVSLVVFYIGTPFYRHKVIKSDNLAKALVRVPIAAFKNRKLHCPNDLLELHELDSHYYNSTGKHQVHHTPIFRYLDKAAIKTSSRESPCTVTEVEVAKRVLGLTLIWLVTLIPSTLGAQVNTLFVKQGTTLDRKLGSHFQIPAASLGSFVTLSLLLSIPIYDQYFVPFMRKKTGNPRGITILQRLGIGFLIQIVAIAVASAVEVKRMHVIKEFHITNPKQVVPMSIFWLLPQYCLLGIGGVFDAIGLLEFFYDQSPEEMQSLGTTFFTSGIGLGNFMNSFLMTMIDKITSKGGGKSWIGDNLNDSRLDYYYGFLMVISIVNMGLFLWAASKYIYKSDETKLFNGGCVQMEVKSLDTSPLTI >A09p013930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7205045:7206360:1 gene:A09p013930.1_BraROA transcript:A09p013930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVVLQNESKTETEITQSFRFDDVFQHNIVFYKAPAPPLNPSLALTISHSLSAKFHGLEMATVPGQLIWEIVKTNNCFLVKQFGRGNAKVQFSKEKNNLCNLNSYKHSGLANKKTVTIQPADKEQGVVLATTKTKKQNKPKVSVNKSILKKEFPRMSKAVANQVVDNYYRPDLKKFALARLSVISKSIRVAKSGAKQRNRQA >A06g500120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:659610:664231:-1 gene:A06g500120.1_BraROA transcript:A06g500120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDGGQRGNRRKSLRHNKNVGVDVGGSISPRRSSRNRTTSPEEEATGRAKRLSKQPANEKDGCDEIAKRLPERLFATDRFPSERVNMYSTVDFLLWIRDVLRGTPEMELLLGSCFGGLFRIPARRLFAGKVVHSLMTRQVVTKKKYEMWPVFGGKPLRFSLVEFGEVTGLPCGEFEDGYRFDYQLQATDENYEFWGRQQTVKTVGFPLALQLVAFRCVPSLAAFVGGDDAVTIMQYPEPAMPQHAGLSVVHIRKAEHDPLLIVEPMMEISGDHDDRWGLWDDETYDKKVDYMVQLLKDGHIFEKENWLGGDALDPLFVYEEKPKSPKRKRNVAAQQEPIRKQRRISGFFRRGGSNSVDTEKFAALEGRVNECFVEVEKLKSVCEKQGRTIKILKQRLKATIQKKYRRSAIKVRGADAKRQAVDKDPDTTTSLAPEHNADVCGELDFPEGGNLDGFPGPDEQRGGRGVACLYKLKGSFLQAEHAENAPVEVTTDGISQVEQGCLDDLVGAVMRKAGVLSELGEAKGQGGVILLESIPSPPMVGMSDLSVAPTQAGVSCEDSAKRSGLVEEFMVVSGENKDKVEKPMVVDKVVAEFPVPAPVIMVASIPAAGLTGKPVGGSAAPPIVDEIDVDKNSDQEQEVVPNSTGEANIVVYNNKQLYTKALKDEIDGDKKSDQEQAVVPKSAGKANVVVRDDEIGSGDDLTDEDAAEVKEGSGTVVLSDSPTEVAPKHVPVADEEELAALLLAKSPLALQEMVPLNEDVDYPFFERVLQANPKAYDICQVAGLMHVDAGGRDLDNEFFLQLATPGVWVNSTLLVFTFVEQHMEVLMQYSERRYGFGVHLEGGMFLAPWFTAHMQGKGRSFKAARRKTGVAGDAKITNYLTRPRQRWGMEVDRLYTPMIWEGTHWVGLCISLTEWTIYVFDPYPQGKTMEQVEELLEPVSTMLPYVAKKACGPIVSVKRLLALYYVLE >A09p025820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14390514:14392472:1 gene:A09p025820.1_BraROA transcript:A09p025820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFFYSFFVVSVVCLSSLAGFSAADADEFDRFQIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTLALTKEAVTDKTGSYMMEVTGDHEEEVCELVLVQSPDSGCSDVSEEAYLRNAAKISLTANDGIVSHETRIVNPLGFMVKTPSADCPAAFKELGIVPDVTF >A07p033690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18417120:18419742:-1 gene:A07p033690.1_BraROA transcript:A07p033690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLFSRTFLAATHRLITPSLLPQKPLHLASFLTLPHMLPSEEAFAAGCSALGIENKDGVVVYDAKGVFSAARVWWMFRVFGHDKVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKIYQGHSVSPITFQTKFQPHLVWTLDQVKNNMEDQTYQHVDARSKARFDGTAPEPRKGIRSGHIPGSKCVPYPQLFDSASQTLLPPEDLKKRFEQEEISLDKPIMASCGTGVTACILAMGLHRVGKTDVPVYDGSWTEWATQPDLPMEGEDSSS >A03p049950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21454021:21456181:-1 gene:A03p049950.1_BraROA transcript:A03p049950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFISRSTSIVSKMSFLAVGIVFLISSSSFTSTEAYDALDPEGNITMKWDVMSWTPDGYVAVVTMFNFQKYRHIPSPGWTLGWKWAKKEVIWSMVGAQTTEQGDCSKYKGNLPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDPGTAASSFQISVGAAGTTNKTVRVPRNFTLMGPGPGYTCGPAKIVRPTQFVTPDTRRTTQAMMTWNITCTYSQFLAQRTPTCCVSLSSFYNETIVGCPTCACGCQNNKTESGACLDPDTPHLASVVSPPTKKGTILPPLVQCTRHLCPIRVHWHVKQNYKEYWRVKITITNFNYRLNYSQWNMVAQHPNLDNITQIFSFNYKSLSPYAGLNDTAMLWGMKFYNDFLSEAGPLGNVQSEILFRKDQSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPFLPNGGFRTEFSVFSAVLLPVLLVFFLFSA >A07p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14725995:14727787:-1 gene:A07p025650.1_BraROA transcript:A07p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPLHRELLCKEHVFQHGTSGRQSRGEVLFDSNGARRSCPPGRTFPCPALGVLTKAEVSSSSTSGLKHRTTALGRDCMRSIPCSRRKLCLVRASSETKTIKKRLQLLDSYFEKLQSNDEKPSISVVDEINREAELSGEKELESLSVVYLDQQQKDAIIKPEGGSVASKSRNSDIESNNDGEDPLNFYVVSILASINVGVCLFEAAAPVRNNDMGLLSLPLLYGAKINDLIVAGEWWRLLTPMFLHSGIPHVALSSWALLTFGPKVCRDYGLLTFCLICILGGVSGNFMSFLHTPDPTVGGTGPAFALIGAWLVDQSQNKEMIKREEYEDLFQKAIIMTGLGLILSHFGPIDDWTNLGALVAGVVYGFFTCPVFQLGSGSEGIMTVGAEKQNSAGPCKSFLIFTIFGAVLVTCVLVLGDGPLTFPTYDDVVYSLI >A03p066370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29326675:29328894:-1 gene:A03p066370.1_BraROA transcript:A03p066370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAKKKTPETPKDASGGGGKSGKANHRSTSRAFDEDMEIFINRALELKEEGNKLFQKRDNEGAMLTYDKVVKLLPKEHIDIAYLRTSMASCYMRMGLGEYSNAINECSLALEASPRYSKALVRRSRCYEALNKLDYAFRDVMIVLNMEPENASANEVFERVKKALVEKGIDVDEMEKSFVNVLPLGSTRLKKVVKERKSKKKKSGVESPKIVKRDEAESKDKPKEEKSDKKSEIDAKMSGNKEEKKPKFKKQKKRNGNKAGGEERKMEDDKVVVMDKEVIASTKEEATVTRTVKLVHGDDIRWAELPLDSSVRLVRDVIKDRFPSLRGFLIKYKDPEGDLVTITATNELNLAASNNDKLGSLRLYIAEVHPDQEPSYDGMKVVKRLSVGEYVESDKASTSFENWIFQFAQMFKNHVGFDSDSYLDLHDLGMKLYTEAMEDAVTGEDAQELFGIAADKFQEMGALAMFNWGNVHMSKARRQVSFPEDASREAVIEAVEAAFVWTQNEYNKAAEKYEEALKIKPDFHEALIALGQQQFEQAKLLWYHAQKTKVDTESEVSQDVLKLYNKAEDSMEKGMQIWEEMEEGRLNGISKYDIEKTLLLHKMELFSEVSDEEKTANMSSQINLLWGSLLYERSIVEYKLGLLTWDECLEVAVEKFELAGASATDIAVMVKNHCSSESALEGMGFKIDEIVQAWNEMYDAKRWQTGVPSFRLEPMFRRRAPKLHDILENVFSGSA >A10p031530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18817685:18818799:-1 gene:A10p031530.1_BraROA transcript:A10p031530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYTLASAARLSVSLPNPHLLPTRRRFHLPLATLASSSSPESSSASSTPPSSIPVVNGNTLSSSYGTRDKVVVDDNSLFARFFRSTESTVEKIIFDFRFLALLAVGGSLAGSLLCFLNGCVYVMEAYKVYWTNCVKGIHKGQMVLHLVEAIDVYLAGTVMLIFSMGLYGLFISNSPSDIPPESDRALKASSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGLDLLSYSVCIFLSSASLYILHNLHKGEH >A09p029230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17490846:17495949:-1 gene:A09p029230.1_BraROA transcript:A09p029230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKTTFIVFLSLALCSLYVSQAARTGGWSPISDVKSAHIVEIGEFAVSEYNKQSKSGLKFVEVVSGESQIVAGMNYRLILAANEGVAIAGNGESKKYEAVTTFILFLALVLCSLYVSQAALTGGWSPISDVKNAHIVEIGEFAVSEYNKQSKSGLKFVEVVSGESQIVAGMNYRLILAANEGVAIAGNGESKKYEAVVWEKPWLKSMNLTSFKPAIHKALTTPKTTFILFLSLVLCSLYASQAARTGGWSPISDVKNSHIVKIGEFAVSEYDKQSKSGLKFVEVVSGESQIVAGMNYRLIVAANEGIPIAGNGESKKYEAIVWDKPWLKSMNLTSFKPAM >A07g501110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2267844:2268979:-1 gene:A07g501110.1_BraROA transcript:A07g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSNTFTGFAIAETKLRISLLRLIQPFCFLMQTTSNNVSQTVVTRLQRLWEARKGGELMELHLLLLDDKAPATIDSDIEETLKSLLLPKRLLSRCLMLSRITMLTWICDCFTQLYAKNITPTDNTDSMKLRTRIQAAFVMDENLKNSTYTTRK >A09g508530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23907595:23909972:1 gene:A09g508530.1_BraROA transcript:A09g508530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIGFKSSCRQGGIMSSSNDSCQKFTREEMVGPEVLEESGEKMSKKAAKKRAATLKKRLYRKKEREEATSSSSSLTEDESFSSNYGDVTPKSAAGRSWREAVEGKELTDVSNLVEEIVGSEVSLRGRVHNHRLVAKILFVILRESGFTVQCVVEEARVGTSMLNFVKQLSHESVVELIGLVSLPKKPLTATSQQVEIHVTKMYCLSRSLPNLPLAVVDAARSEADIEKSVKDGKPAARVLQDTRLNNRPLDLRTPANQALFRIQCHVQIAFREFLLSKGFLEIHTPKLMAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDMRRVFEVGPVFRAEDSFTHRHLCEFVGLDVEMEIRMHYSEIMDLVGDLFPFIFTKIEERCPKELESVRRQYPFHSLKFLPQTLRLTFAEGIQMLKEAGEEVDPLGDLNTESEKKLGQLVLEKYKTEFYMLHRYPSAVRPFYTMPCEDDSNYSNSFDVFIRGEEIISGAQRIHDPELLEKRARECGIDVKTISTYIDAFRYGAPPHGGFGAGLERVVMLLCALNNIRKTSLFPRDPKRLAP >A06p038640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20785586:20787016:-1 gene:A06p038640.1_BraROA transcript:A06p038640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVEDKGETSWKMTPNERRNIDEVISHEELDAASLREHEEFTKAKNITTEIWVFRFHQNTKTIYGKKDRVYAQNLCYLAKLFLDQETLYYDVDLFMFYIMCESVNVMIGGRHMVGYFSKEKHSEEAYNLACIHPGYRTRIFLDILMKKHKGNIIKMQEMSDMAAGLITH >A10g501260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3626126:3626729:-1 gene:A10g501260.1_BraROA transcript:A10g501260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGCENLIPLKDGDLRLIRTNETVPPVIIESDRQFKIFLTYVREKASTRLCVSTSPICSGNNGNIGVDNEKSNSTFREQGEPSSFPPRDDNVSSSESSKDVEDNSNSNGYEEDDLLAISAKEDDSGKSVRFSLMDVVKKGETFQNKTMLKAAL >A03p019540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7980911:7982220:1 gene:A03p019540.1_BraROA transcript:A03p019540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPEAR2 [Source:Projected from Arabidopsis thaliana (AT2G34010) UniProtKB/Swiss-Prot;Acc:F4IGU3] MCSNISSGSYGGDRDFLQRDDYFGSCPKKQKKDKVRRRGPGVAELEKIRLEEENKSPSLVTPHSSSFSLPRTDHTLFLPPPPPPQLPLPSSYTTNHIFRWSSATAMPPNFDLPLSSYLSNGSFPMDMIPPVPFLHRKQHYPNHQTMNLANPSPGPGRLYQFIEPPSNQRSCVDNVVMSAKRPWHFLADTTTKASVGPISRELKHNRSLDMRLINPVQDSGTTICNPISIDSPTSITRDFNVQYEQQQPEQDFDENMQWRSKKTFYSFIPSNDQSNIGREYRACEPHESAADHGIDLSLKL >A09p074450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56448967:56449581:1 gene:A09p074450.1_BraROA transcript:A09p074450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSFSLSLSKNRLTAAAASLLPSPHLLSFRSQSSDRRGDLYEFDIAASQSPSDPLIQKLEDAVHRIVVRRSAPDWLPFVPGASYWVPPPGSGSQTHGIAQLVAKLANPLNDEESLSTNSSRGWPSSDYFLKGVQTLLMETKTEATSNIESNSSEDEEG >A07p040300.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:21403642:21405195:-1 gene:A07p040300.1_BraROA transcript:A07p040300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMDQDTGMFKVQQTIGSVLCCKCGVPMPPNAANMCVNCLRSEVDITEGLQKSIQIFYCPECGCYLQPPKTWIKAQWESKELLTFCIKRLKNLNKVKLKNAEFVWTEPHSKRIKIKLTVQAEVLNGAVLEQSYPVEYTVRDNLCESCSRFQANPDQWVASVQLRQHVSHRRTFFYLEQLILRHDAASRAIRIQQVDQGIDFFFGNKSHANSFIEFLRKVVPIEYRQDQQLVSHDVKSSLYNYKYTYSVKICPICREDLICLPSKVASGLGNLGPLVVCTKVSDNITILDPRTLRCAFLDARQYFRSGFRSALTSRQLVKYFVFDVEEPVGEATVGGQKYALSYVQIARESDLGNMFYVQTHLGHILKSGDQALGYDIYGANVNDDEMEKYRLSVKNGLPEAILIKKCYDEQRERKRGKPRAFTTKKLPMEMDESRGGRVDPEKMENEYEEFLRDLEENPELRFNISLYKNKDYQESETASMTDGEGAPTVPIEELLAELELSEEEEEGDDEEDMDE >A04p004640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2379993:2380325:-1 gene:A04p004640.1_BraROA transcript:A04p004640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKPRKSLTSSSSRESLKTKNPFSRVLNEEDGTKSKTGLSMRKSWSTDSLGLLGNRNTLGKTCICAPTKHEGSFRCRLHRTSATSQGAATTPLHLPKPLLPSSRLSDY >A01p055670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:31747048:31747672:-1 gene:A01p055670.1_BraROA transcript:A01p055670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARETSCLSRIAAGTAIGGALGGAVGAVHGTYQAIRLGVPGLMKIRYIGQTTIGSAAIFGLFLGAGSLIHCGK >A02g512010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32501238:32504151:-1 gene:A02g512010.1_BraROA transcript:A02g512010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKMFLIKWYSSSTNLEVFQIWKTSGTTYMLVVWKSSASHLEEVVWTSWKSSGLPGSRLDFQEVVWTSRKSSGLPGSRLDFSERFGFSDLENFWDDLLVSRLEVIWKSSGSRLDFLKVVWTSCKVVWTSCKVVWKSSELPKSLLAKSSELPGSHDLKLSRHRLVLQLKKKTSRFNYIQTTYNSVVHETTEIRLKCKSSGEVKLLKLSIDDLTFSRLRLQISKSIAKITSALTRRLPGKSSTARRLKGKSSTARRLPNEISSLTYIRLLQAHRITNGSHPPIIVSFYDSMNHKNFRIKILSFFSSLWRESERYVVFRSQEWKKKKGKSILGALRASNWLFMVVVVLMTMTIL >A08p024220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:15789435:15790496:-1 gene:A08p024220.1_BraROA transcript:A08p024220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPYRRQLELNFPHESQGHNWSFKSMRHHIDVDTSKIRVVFCADGLVLLRHESIGYYVGNPVLSQWTLLPPPPPPILPGNRYYDSGLVTLMHNGVILDYKVVRLHENIQSIHSPNPTTLSFEIYSSDTGDWSYKHVSCPGDNRDLVIVCNPITLNGKLYWHAEGKDDGIVVYDFFSDDDDQARSIRLPDRMQRYHPHHSDASRCFEHCLCPCYKMICSTSQGFFVFIEARRMTGKEEGYNLKVWRLIESDYSWEWDKAWEINMASIGIGFESVPMAINNFDVDIIYLWSLQHIRYVACNVRTQTKYLGPINRANEKYLPCNISVCFYPRNTLTQFVLSLQEVPKMFSKRS >A09g510210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30112163:30116485:-1 gene:A09g510210.1_BraROA transcript:A09g510210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHADMSSVHGSVHGKGQRVDMCTEMVHQLSKISTRSVHGKDQHADMIHGKDQHADMSSVHGSVHGKGQRADMCTDMVHQLSKISTRTVHGKDQHTDMCGQHADICTDGQSTDSLKSNKEKHPRLSVSQTSFKYALNTFDEFVDVQEKPNRWSKEHVNTSKGESDPKRRLLQFDVQQFCDNFVKGVDKALKDVSKSQKKSTSTRAPVAEPSLFISKKAQGKSENHFEELKDFSNSLPIFDESDEELIESLMFCEKDCDLPSLETEFNLDNEQAIVELTVLQPELPSSLVLSPQVFEEEPLDFPHQCPCLDTRICLDDDLGPIFDEEDEPGPVFDEEATSITSIAMENYLCFDPGTTPAPLPPDLQEHYNMINSLKIFEPDKCLDQSRFQNVNGITSGIILSFDQFLEHNKGFHLLGRPFDLDLQQTDFCAEKSLDSFVCKGNGFDLSSSRHVLITDELFASSYALDEILIQKLLEQKSLETENDFCDLEFCGSVLQPDLLSFETDKTWHFLRSFRDNGVVLSSDDILVYNTFFEKCLELLINDSQTELKLVCSDVGKDMPILKMNIVVAYLDKICVCNVYFDLHLDRLKSVLLVLGNDILIFDLNKYLSCTFDPGLLVFVLSIQRRQVQPLNENIGRAQQPQIWRSFVVQTSYLGASDRGSVQDGYLNIPKVFCLESNFKRNKTHQGFTEAWNRMKSFTDEEVMNFPNRRFFSPSIREYQISKGDSCPRKNRPEPKPILHEPKLFPQSFSCLNQKHCKDHELIASTLHENVLKPRISKRKHILTWLKNVLLKPFHELISLSCALKEIWCRKKHEPKLLRPKNQFDFIHDKNFSDLALSLSFHNSFSPWPDFEIDKSIFGNQLTCLMLAHVLDDYPKGLDPDLDVLRIEKPFHYFFGRFAVTDRVVYWTIPHTSGKELWLEPWPDDRSDRTGACLSCPTSQAKADGQARINLGRANSDSDHSFSHLARLARTACTSDCADDLAALFIPIMDFSFGYFSKARILKLSEDLGHVGTRLV >A07g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7914540:7916347:-1 gene:A07g504030.1_BraROA transcript:A07g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSAQLVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIVLALRAPSAAQATQPKSRKRKLAKSGDGETSQRGGSILASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKNRKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILTDLKSACFLPTCPEDPEGKHPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A07p004870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2568437:2570349:1 gene:A07p004870.1_BraROA transcript:A07p004870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl-coenzyme A reductase 2 [Source:Projected from Arabidopsis thaliana (AT2G17370) UniProtKB/Swiss-Prot;Acc:P43256] MDIRRRLPSRELPAQNDDVVTAPPPRRGSDALPLPLYLTNFFFTFLFAATVYFLLIRWGEKISTSTPLHVVNLSELLSLIGVIASCIYLIGFLGVDFAQSVFLRSSSSSDDVWIPNDDEIDRLVKIESDLTSEEDEAIVKSVIDGATSSHSLETKLGDCKRAAAIRREAVQRMTGKSLTGLPLDGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLDGKEYSVPMATTEGCLVASTNRGCKAIHLSGGAFSFLMKDAMTRAPVVKFPSVKRAARAMFYLQDPANFERLSLIFSKSSRFAWLQSITCSISGRNLYPRFACGTGDAMGMNMVSKGVQNVLDFVKTMFPDMVVIGISGNCCSDKKASAINWIQGRGKHVVCEALIKGGIVRNVLKTTVEDLVELHVLKNLTGSAMAGSLGGFNAHASNIVSAVFIATGQDPAQNVESSHCITQFEAEGDDLHISVSMPCIEVGTVGGGTQLASQSACLNLLGVKGSSDKEKAGSNARQLARIVAGSVLAGELSLMSAIASGQLVKSHMKYNRSSRDIGPSSQVNR >A03p032920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13945139:13952054:-1 gene:A03p032920.1_BraROA transcript:A03p032920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MSH6 [Source:Projected from Arabidopsis thaliana (AT4G02070) UniProtKB/Swiss-Prot;Acc:O04716] MAPSRRQISGRSPLVNPQRQITSFFGKSASSPSPSPSPLSNTKTPKSKPNPNSPSPSPLSNTKIPKSNSNPSSPPLSNNKLPKSNPPARSPSPGPTTPSPVHPKLKKPLLVIGQTPSPPKFTETTYGDEVVGKQVRIYWPLDKKWYDGSVTSYNKGEGKHVVEYEDGEEESLDLGKEKIEWVVEGDSVNRFKRLRRGASAFRRVVTDEDEDVEMGNVEEEKEDKSDGDDSSDEDWGKNVGKEVCESEEDDVELDAEDDTMDEEELVEEKDEETPSIADSRKRKKTIEVAKPGVEKKIKTDKVTTFKGFKASVVEPVKKIGEADKVVKSLDDNMLDGDALARFGARESEKFRFMGIDRRDAKRRRPTDENYDPRTLYLPPDFVKRLTGGQRQWWEFKSKHMDKVLFFKMGKFYELYEMDAHVGAKELDLRYMKGEQPHCGFPEKNFSVNIEKLVQKGYRVLVVEQTETPDQLERRRKEKGSKDKVVKREICAVVTRGTLTDGEMVLTNPEASYLMALTEGGESLTDEKAEHNFGVCLVDVATKKIIIGQFKDDQDCSALSCVLSEIRPVEVIKPANALSSATERTIVRHTRNPLVNNLVPLSEFWDAEKTIHEIGILYKGFSCQPSSAYSGEGKVLGDPPLSNESGSSCLPKMLSELANGDKDGSLALSALGGAIYYLRQAFLDESLLRFAKFESLPYCDFSNFTVKQHMVLDAAALENLEIFENSRNGGVSGTLYAQLNQCITASGKRLLKTWLARPLYNPELIKERQDAVAILRGENLPYSLEFRKALSRLPDMERLIARIFSSIEASGRNGDKVVLYEDVAKKQLQEFISTLRGCETMVEACSSLRASLEHDNSRRLRHLLTPGQSLPNISPFIKYFKDAFDWVEAHDSGRVVPHEGADEEYDFTCKTVKELEGSLTKHLKEQRKLLGDASIKYVTVGKDEYLLEVPESLSGSIPQDYELCSSKKGVFRYWTPTIKKLLKELAQAKSEKESALKSISQRLIGRFCEHQEEWRQLVSATAELDVLISLAFASDSYEGVRCRPVISSSKSVDVPHLSAHGLGHPVLRGDSLGRGSFVPNDVKIGGAKQASFILLTGPNMGGKSTLLRQVCLAVILSQIGADVPAETFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETAVMLSSATRNSLVVLDELGRGTATSDGQAIAESVLEHFIDKVQCRGMFSTHYHRLSMDYQTNPQVSLCHMGCQVGEGSGGVEEVTFLYRLTPGACPKSYGVNVARLAGLPDDVLQRAVIKSQEFEGLYGKNHKKADRKMAEMIKQIISGVASDSDAATSKHLLSELHYKANTYLQLTN >A02p025810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13533084:13534472:-1 gene:A02p025810.1_BraROA transcript:A02p025810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFGWMHNKLHGKQANTHRPRTSSACSHQSREEFSDWPHGLLAIGTFGTLIKDQTPIHVVQEVIQEEKTSNMHVEGRAQDRNHDLSLSDDLEDFTPEEVGKLQNELTKLLTRKNKKRKSDVNKELANLPLDRFLNCPSSFEVDRRISNAFSGGGDSDENQEDIERTISTILGRCKAISTESKSKMKAKRDWSKTSVSYLLKKMFVCTEGHSPLPNPGLRDTFQESRMEKFLRVMLLKKINTRACPKETSTSRYVQDRHNFH >A07p034630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18794040:18797700:-1 gene:A07p034630.1_BraROA transcript:A07p034630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHKAATTDGEPSRTSPKLLAAADRKLLKVELRRGETTYVSWKKLMKEASKVHGSSAPDPVPNANPNLEAHLAPGAPAEGEMVDQPHSNRFNAVIEKIERLYMGRDSSDGEELDGAPDDDEYDTEDSFIDDVELDEYFEVDDAKIKHDGFFVNKGKLEQIEPSTATMSNQKPKKRQRKESAKPSGDVVDVSRKQAKTDGGKDQSAASGPSLKKIISDSKTVQDSVSPLKPQSGNDLENVKHSDKANLLRNALSPSSKAAESSSGALHMKHSNKGAHQLSNSLPGKPRPDVLAKSTVVRQKENTDNATVSRQSIQRKGGSNARPKTSTLEKAFRELEKVVAESRPPAAATENQDADTSSSQAVKRRLPGDVKSKLAKVARIAQASQGNVSGELINRLMSIVGHLIQVRSLKRNLKIMIDSGDSANREKDNKFQRIKNEVVEMLKTQVPLIESQATNQEAGTLDDFQDVGPPAKKKFVMDAAMEDKLCDLYDIFVDGLDEDSGPHIRKLYANLAELWPNRLMDNHGIKRAICRAKERRRALYENHGKEMGQGKMTKRKQTLPKPEGTTAYPEKASSVGDKTTGVVVPSATTTSLVTSQPTADKSKQLHEKLKGSSSSSAEAKAARKKTEKAVEVSHLATEKAIVLALKQQTQAPPDLNLPS >A03p044210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18450869:18452504:1 gene:A03p044210.1_BraROA transcript:A03p044210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGNSTAAAAVEKMYFCYQCNQTVTISTSSTSSDPFCPLCSGGFLEEYDEPNPNPPPNLNPSGGGFFPMADPFSTLLPLLFGSNPSMQPRSTRNDAFDPVSFLQNHLQHLRSSGTHVQFVIENHPSDQSNRVPGNLGDYFFGPGLEQLIQQLAENDPNRYGTPPASKSAVDGLPSVKVTKDMVRSEMNQCAVCMDEFEDGGDVKQMPCKHVFHQDCLMPWLELHNSCPVCRYELPTDDPEYESRGQASGGGQGDVETGRRFSIQVPLPFRGRDGDGDGSGSGAPGSGVWPESLLVDWIGKMMCTSSQEKEKSDVEVLPYFVHLPTKKKKSCSRLYLFGIITIVSLKKNRNISFKCYFVYFLIP >A04p033410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19429047:19430525:-1 gene:A04p033410.1_BraROA transcript:A04p033410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRETKMMSGREVESSTRHNGGAGAGGGENHPFTSLGRQSSIYSLTLDEFQHALCENGKNFGSMNMDEFLVSIWNAEENNNNNHQAAASHPVPPNHNGFNNGGGESGVFGGGGSSGNQRDNKMIGIAKQPSLPRQGSLTLPSPLCRKTVDEVWSEIHRGGGDSNGRGTSSNGQNNGHKGGGHENAARQPTFGEMTLEDFLVKAGVVREHPTNPKPILNPTPSSVIPAATHQQQLYGVFQGPGQAPPVQPGVCYGGGGGGGFGASGQQMAMVGPLSPVSSEGLGHGQVDNIGGQYGVDMGGIRGRKRVVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLKHALGELERKRKQQYFESLKTRAQPKLPKASGRLRTLVRNPSCPL >A03p002670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1205030:1206293:-1 gene:A03p002670.1_BraROA transcript:A03p002670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNHYSTDHHQVHHHHTLFWQNLHTTDTSEPTTTAATSLREDQKEYLFEKSLTPSDVGKLNRLVIPKQHAEKYFPLNTIISNNAEEKGMLLSFEDESGKCWRFRYSYWNSSQSYVLTKGWSRYVKDKQLDPADVVFFQRQRSDSRRLFIGWRRRGQGSSSAANTTSYSSSMTAPPYSNYSNRPTHSEYSHYGAAVATATETHFIPSSSAVGSSRTVRLFGVNLECQMDEDEGDDSVATAAAAECPRQDSYYDQNMYNYYTPHSSAS >A10p001380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:703859:706106:-1 gene:A10p001380.1_BraROA transcript:A10p001380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCPTKMLVSSYTRNHILFFIALILTLTSLTESRYHHHKEKHKHNSHNHHSSKPEPPSSSISQPPTPPPGPNDSPSPSLPPSPSDEPEEDNNGFYNVRKFGAVGDGVTDDTEAFKTAWDSSCSNQNDTVSVLFVPYGYTFMIHSTIFTGPCHSYQILQVDGTIITPDGPESWPSNISKRQWLVFYRVNGMALKGAGVIDGRGQKWWDLPCKPHRSVNKSAIFAGPCDSPIALRFFMSSNLTVEGLMIKNSPQFNFRFDGCQGVHVESLHITAPPLSPNTDGIHIENSNSVTIYNSVISNGDDCVSIGSGSYDVDIRNLTCGPGGHGISIGSLGNHNSHACVSNITVRDSIIKYSDNGVRIKTWQGGSGSVSGVTFNNIHVESVRNPIIIDQYYCMTKDCSNKTSAVFVSDIAYQGIKGTYDIRSPPMHFGCSDAIPCTNLTLSGIELLPAKGDIVLDPFCWNAYGLAEELSIPPVWCLMSDPPTALQGALVDKCGSP >A03p064080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27873647:27875505:1 gene:A03p064080.1_BraROA transcript:A03p064080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDTLAKESETPKEKGYGNSIIDVYIELTTRELYESSIFDIAKLVNKAKKKAYDKSYVEEVLRNMERMIKEDVKFDKVIHRLLFMTDMRNLAVFRSMDFGWKEPVNIRDLMFQENAKNMGMILGALKLDPAMEGGVRVVMTLPRDAMEFAYLVSEEKTIRRTSKYSLVWIKKF >A04g502170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:5268216:5268563:-1 gene:A04g502170.1_BraROA transcript:A04g502170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A03p069350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30493691:30494292:-1 gene:A03p069350.1_BraROA transcript:A03p069350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKSVNNYKTAPHNVDPNSYDDDQGADPNSYFRNLSESYFAAEPLRMLRDHHKIVIFVIVLDVTQVQATMSVDELSMSSGSAIAGATKRSGLRLW >A03p033890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14338430:14347857:-1 gene:A03p033890.1_BraROA transcript:A03p033890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEDRNSLGIYYRAEKVKIFFKPGEECVEIEFNLIKSKKVGCCCDWVVSVPVPGANQFHIPESKSCKHSSSSNAQLQSSLSKPKLWFIWLEQSPPPPIGWFYFHQSCCSDVCAEVDGFGDMQRYHPANCTSAVNNTAIGARDSGRADSSSIGNYSLNSRHEATAIDTLQVEVLGPPDFHTPTSSSPEENLTKEYIQFGYKETVDGLKEADEIILTQVHTFSKPVVLKCKESVRKCFRAINESRALKRKAGQVYGVPLSGSLLGKPGFPEQKSCGEETKKKWIESLSQQHKRLRSLADNIPGYRRKTLFEVLIRNNVPLLRATWFIKVTYLNQVRPSTAALSSGTPDKTQNSRCEQWTKDVIEYLQYLLDELLSRNSSYPSQQTRDRSPQMLYAGSMQKISPASSSLYGEETSLHFKWWYMVRLLQWHHAEGLLFPYLIVDWVLRLLQEKDVFEILLLLLPIVYGFLESIVLSQTYVQSLVAIAVRFIEEPAPGGSDLVDNSRRAYTLSALIEMVRYLVLAAPDTFVSSNCLPLPPSIAACGPNDVSYASKAYENLEKLRSNSSEISTQFQGRGVDSRFGFLSFDYTISTIQRSADDLAKIASAGYPQHNVAKAVQALDKALSDGDIRAAYSYLFEDLCNGAVDETWIAEVSPCLRSSLRWIGAISTSFVCSAFFLIEWATCDFRDFRAGVPKDIKFSGRKDCSQVYLVIQLLKQQILGGEFAARKGKNRRSNSLGVSKPSSSMDAFESPGPLHDIIVCWIDQHEVHRGGAKRLQLLVFELIRSGIFNPVAYVRQLIFSGMIDMIQSAADPERRIRHHRILKQLPGWFVYDTLEEAQLLGGDKLEEAVRIYSNERRLLLRELLVNADQKSKKNSTFLPSVDLPRTFNAMADNQELRKHTKRSKDIRELKERIAALLQLPDVSCGVKNSVRDEFQSSVKESSGSVYGKMDHLESTPGCEDCKRAKRKKMNDEKSSSYQGSSPIASDEEDNWWTKKGFKTVEPSLKVDPLMEITKQVPRGRQKMVRKTQSLAQLQAARIEGSQGASTSHVCDNKVSCPHHGPGVEGVNHKVVDVFRTSTSVDIVSVGNSLKQLQFVDKRSIAVWLVNVVRQLVEESEKSSVRVGQFNKGAPVEEKNTVRWKLGADELSSILFLMDISLDLVSVVKFLFWLLPKAKSSPSFSVQGGRNLVIMPRNVENNVCEVGEAILVSSLRRYENILFSADLVPEAMTALMARAASLMSSNGKISGSAALVYARYILNRYGNLPSVVEWHNNFKATCEKRLLSELDHTRSGNGEYEIPLNVPAGVDNADDYLRKKISSSGTRLSRVGLNMREVVQRHVEETTHYLRKLIGTDTMKASLAEKNDDGYQVAQQIVVGLMDCIRQTGGAAQEGDPSLVSSAVSAIINSVSNSMARILDFSVGNVHQNRPSGVGSSNIARHILQIHITCLCLLKEALGERQSRVFEIALATESSTALAGAFAPAKGSRGQHQLSPESFDSNANNTNDMPNGTGKLALSKATKVTAAVSALVIGAITHGVITLERIVGLLRLRECLDFVQFVRRTKSSSNGSARSVGASKVDNSIEVYVHWFRLLVGNCKTVSEGMVLELVGESSVVAVSRMQRMLPLKLVFPPAYSIIAFVLWRPLLSSGNSNSSVHEDTHRLYQSLTVAFHDVIKHLPFRDVCFRDTQGLYELIVADSTDSEFASVLELNGMDMHLKSVAFAPLRARLFLNSVIDCKVPSSGYSHEAVSESKKRHQGNGTKLVDKLVSVLDCLQPAKFHWQWVELRLLLNEQALTEKLENHDMPLTDAIRSSCPTSEKPEASENEKNFIQILLTRLLVRPDAVPLFSEVVHLFGRSVEDSMLKQAEWFLAGPDVLLGRKTIRQKLIIVGESKGLPTKPQFWKPWGWCSNSSSDPITANKAGKKRKLESTSMEEGEVIEEGLGSKKLLFDENSPSVGYGITTERAFVQLVLPCIDQSSDESRSIFVNELVRQFSNIEQQLSSVTIRSITNNKQMGTASSGSEVSSNKGSTRKGLRGGSPSLARRSSINTTDTAPPPSPAALRASMSLRLQFLLRLLPVICREPSFRNTRHTLASTIVRLLGSRVVYEDSAACSPRSDVSKAETESTRDPSSMADLSSDVLFDRLLFVLHGLLSNHQPNWLKPRSSSNESSKDFTLFDRDAAESLQNELARMQLPDTIRWRIQAAMPILLPSLRCSLSCQPHSVPPTALTLVQPSGSAAAGLNQRNSPATPKTVTAAAAGQGKLKQNMLSPCQQQEADNTDMVDPWTLLEDGTSSGQSSSNASNSSDMGNLRATCWLKGAVRVRRTDLTYIGSVDEDS >A01g508100.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:22904832:22905020:1 gene:A01g508100.1_BraROA transcript:A01g508100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSMFLDGRSEVLRILPIALDQQSKNTNDPIGYHSRLFNPRKQYQIVSSSGQGLISYGTRT >A08p041200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23307315:23309124:-1 gene:A08p041200.1_BraROA transcript:A08p041200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNGTWLHDAGEPSQANDNDESRTWVRNTEENWFSNPQPLNPLHNNQNDFRFNSGAFPSNPSENLLLLLQQEQQQQQQQSFLTTKACMASLLNIPTSNTNNNINSNPFDELGFSSGFLGQTNQTPISMSFSGMSSPPDFLSSRSIPPPENSSFTPLEFAGVANGVFENRAKVLKPLEVLASSTSQPTLFQKRAAMRQSSSSKTCNSESSSEMRRSSYEPDIDDTSTGIIDIISDEHNNKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKRINDLHTELESTAPPSSSSLNPLTPTTQTLSYRVKEELCPSSSFPSPRGEQARIEVKLREGKAVNIHMFCGRRPGLLLSTMRALDNLGLDVQQAVVSCFNGFALDVFRAEQCQEGHDVVPEQIKAVLLDTVGYTGLV >A05p006930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2796293:2798738:-1 gene:A05p006930.1_BraROA transcript:A05p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVSLIIFSPKAKLYEFASSNMQDTIDRYLRHTKDRVSTKPVSEENLQHLKHEAANMMKKIEQLEASKRKLLGEGIGSCSIEELQQIEQQLEKSVKCIRARKTQVFKEQIEQLKQKEKALAAENKKLTEKWGSHEIEVWSNKNQESGKGDEESSPSSEVETELFIGLPCSSRK >A10p033330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19530443:19539156:1 gene:A10p033330.1_BraROA transcript:A10p033330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDVYAFGVILLELFTCSKDEDLLAHLLALRNGAKKLEKNKSLDVRETRPFLFTEIIDPRLEDGHTHPKMYQVLAYTTVHATKEAENENLRVFSVKELKKATKKFKKERVVEGEDTYVQTFYKGNINQTTSAPSKTKTRIDVSVMEGLLYTPHGLEEWKISKEEAESLGEIFHPNLVKLLGYCSEDNRSLLVFEYLGKEKEETLSWDTRVKIAIGIAQGVAFLHSIKNSPLHQELRMHNIMLDEQYNAKLLYLDSKKQFWPIGWTFVGTIYMSLEYLEAVDKENEDLRVFSVTELKKATKNFKKDSVVQGEDGSVRTFYKGSIDDTTSRTKTRISVSVMECVQDSLEAIEAWKEEVKSLGKHSHPNIVKFLGYCCEDKKSLLVFEYLHKGTLDHHFQGKNEVLSWVKIAIGIAQGVAFLHSIKNSSLYLELRRHNIMLDEEYNAKLFYLETDKKCLEKGLRVVRGIKYMPPERLRTGRFEMDSDVFTFGMILLELFTGLKGALLPPLIALRDGSKKLEDESLDIRTRPFLFNDRIDPRLEGDYPVTAAMQMGTIIQRCTEDRPTRPSMQQVLDVLNHIAEIHSYRYEFREDFSVSTGKCSSFYTVLLSCVAVCTGPEDASETTSVYLVGENWVSTSLVTNFRLSDFVVKLLSTHSSFALNSLSSSHEDLSILALFAYVVYAFNQRGCLIPSERVVEGEDTYVQTFYKGYINETTSKLPVSVMEGLVYSQKSLEDWKISKEEAESLGDISHPNLVKLLGYCCEDNRSLLVFEYLQNESLEHHIYQKEEALRWGTRVKIASGIAQGVAFIHSIKNSPLNQEFRLHNILLDEQYNAKLLYLDSKKQSWPEDWTFAETIYKSPENMFADILGMETDVYIFGVILLELFTGSKKILTSLNHFRTSSFLFTELIDPRLGSDYPVTAATNMGTLIRICTVVDRKKRPLMQQIADVLNSVMFRVFVWIPRAGNSEADLLAKQALNLVSSGLAV >A10p014400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4204120:4205333:1 gene:A10p014400.1_BraROA transcript:A10p014400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKEKLLRLKHTKLKMAFSKRLVLAMIFTVFVIAKPSEAALNAHYYDRSCPAAEKVILETVKKAILHDPKVHARLLRMFFHDCFIRGCDASILLDSTKSNQAEKDGPPNISVRSFYVIDNAKTKLEKVCPRTVSCADVIAIAARDVVTLSGGPYWSVLKGRKDGRMSRANETINLPGPTFNVSQLIQSFAARGLSVKDMVTLSGGHTIGFSHCSSFEARLHNFSKFHDIDPSMNFAFTQSLKKKCPISNNRGKNAGTFLDSTTSVFDNDYYKQILSRKGVFGSDQALLGDYRTRWIVETYARDQKAFFREFATSMVKLGNFGVKETGEVRVNPRFVN >A08p008140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5327928:5330384:1 gene:A08p008140.1_BraROA transcript:A08p008140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSKSRQELGISIDVLPRNSSDLFDEDGRVKRTGTVWTASAHIITAVIGSGVLSLAWAVAQIGWVGGPVVMILFSLVTYYTSILLCACYRSGDSDTGKRNYTYMDAIHSNLGGIKVKLCGVVQYVNLFGTAIGYTIASAISMIAIQRTSCQQSNGDKDPCHVNGNPYMIVFGAIQIIFSQIPDFDQLWWLSIVAALMSFGYSTIGLGLGISRMVDNKEIKGTLTGVTIGTVTPTEKVWRTFQSLGNIAFAYSYSMILIEIQDTLKAPPAEEKTMRKATLISVAVTTLFYMLCGCVGYAAFGDSAPGNLLAAGGFRNPYWLLDIANLAIVIHLVGAYQVYCQPLFAFVEREASKRYPESKFITKETKIHIFPGSKPFNLNLFRLVWRTVFVITTTLISMIMPFFNDVLGLLGAIGFWPLTVYFPVEMYIVQKNVPRWSTRWVCLQVLSLACLVVSVAAAAGSVVGIVTDLKSYEPFKTDF >A06g502970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:9541143:9541328:1 gene:A06g502970.1_BraROA transcript:A06g502970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFQERPSFSKIDTAWRVAAVLEAPLSERGSIFPNTSTFPKALTSGTVFKWERIDELRDQ >A05p023220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11241586:11243427:1 gene:A05p023220.1_BraROA transcript:A05p023220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPACSNLFNNGFDNTNFNYSTSLSYIYNSQNSFYHPDTTNPNYMSPTTTTSTFPNSPPLREALPLLSLSPIRHQEQHGQPCFLNTDNTTSSNFHDVTVDLHLGLPNYGDGGSYIAPEATTEEQDQDHDQEVEVTVESHLHDDDDHHEDLYRGHHYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCFCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGSRPFACRRCGKAFAVKGDWRTHEKNCGKLWYCSCGSDFKHKRSLKDHVKAFGNGHAPCGIDSFGGDHEDYYEAASDIEQQDDCNNNEC >A06p054000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28364622:28365218:-1 gene:A06p054000.1_BraROA transcript:A06p054000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPSVFQEVSGTARASRTAFICGLAMLMWLHFASSIFGGSASIFEFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYEKHSLTLFTDFVAVFIRILIIMLKNAADKEEKKKKKKKRRN >A03p057950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25105166:25109836:1 gene:A03p057950.1_BraROA transcript:A03p057950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQENVEGYSLINEAAFAFGAFLARSKEYQAMSSDKHSARFLNKLKMERVRTMLTHTYPYPHEHSRHAMIAVIMGCLFFISSDNMHTLVEKLDNNFKWWSMYACLLGFFYFFSSPFLGKTIQPSYSTFSRWHVAWILVAALYHLPSFQSMGLDLRMNLSLFLTIYTSSVVFLFVFHIVFIGFWHLGLVSRVARRRPAILTILQNCAVLSIACCIFYSHCGNRAILRQTPLERRRSSNSTWLTKLVQIDELKDQVCSSWFAPVGSASDYPLLSKWVIYGELACNGSCPVTSDEISPIYSLWATFIGLYIANYVVERSTGWALAHPVSVNNYEKLKKQQMKPNFLDMVPWYSGTSADLFKTVFDLLVSVTVFLGRFDMRMMQAAMTKTCDGEERKELLYDHFTDMDDFWFDFMADTGDGGNSSYSVAKLLAQPFINVPLDDDLVSLQRGNVLLIGGDLAYPNPSAFTYEKRLFCPFEYALQPPHWYKNDSISVEKPELPEGVSDLKHYDGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWLMPQKKSYFALQLPKGWWVFGLDLALHGDIDVYQFNFFSELVKEKVGKDDGVIIITHEPNWLLDWYWKDDTGKNMRHLICAFLKGRCKLRMAGDLHHYMRHSCTHQSDGPAAHVEHLLVNGCGGAFLHPTHVFSDFSKFYGASYDSKSAYPSFKDSSRIALGNILKFRKKNWQFDFIGGIIYFVLVFSLFPQCKLGHILRDDSFSGHLGSFLGTVWSAFVYITEQSYVSFTGVVMLLVAAIMFVPSKISRKRRMLIGVLHVAAHLTAALILMLLLELGIETCIQHKLLATSGYHTLYQWYKSVENEHFPDPTGLRVRIEQWTFGFYPACIKYLMSAFDIPEVMAVTRTNICREGMESLSRSGAAIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHIKSDGDLEVFTLGVDKAKECMCLMSPGAKGMEARQRLGFRAKIDGKDESSQKVSKQMVCNNIATRSYQHCKNC >A03p037500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15696603:15697395:-1 gene:A03p037500.1_BraROA transcript:A03p037500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEIQTILFRWCMTMTHWKKSTHLFILPQICLSGETQKFTSNIPSNYQLGYSCACVCEIDFSCDVKWFSNRVQQKILIRISMTDDKTRAKAMKTAVQFQGVLGAEIKGDHRNQIEVTGVEVDMICLTNTLRKKVAFAELVSVNKVEPPKKPEEKKPEPCYQPWHYVYGVPSSYPHPCDPYGYNGKGYTEEPVYNHEPNCRIM >A05p049230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28704070:28705624:-1 gene:A05p049230.1_BraROA transcript:A05p049230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:Projected from Arabidopsis thaliana (AT3G10060) UniProtKB/TrEMBL;Acc:A0A178V516] MLTMKLLHPLHHSLSSSLPLPSRRRQSKPYWCSLPSPGGERVIRSETVVSPVMMSQSFEGRRVLLGCLLSAACGILLPDSSEAVSTSRRALRASKIPDSEFTTLPNGLKYYDIKVGNGAEAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGQSENGNVLKGLDLGTEGMRVGGQRLVIVPPELAYGKKGVQEIPPNATIELDIELLSIKQSPFGTPVKIVEG >A09p010990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:5557260:5559371:1 gene:A09p010990.1_BraROA transcript:A09p010990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative pentatricopeptide repeat-containing protein At3g47840 [Source:Projected from Arabidopsis thaliana (AT3G47840) UniProtKB/Swiss-Prot;Acc:Q9STS9] MTVMRNGGRFRQFCTASVSLLEKPVDKFLHEARIPNHVVSFDTNYQLRHLIDSGNLRDARQLFDKMPHRDVFSWTAIIQGYVAATNHDEALILFSAMHVDPRVSADTHALSVALKACGQSSNLSFGESLHAFAEKTSLLSSVYVGSALIDLYKRTGMIDKSCRVFSEMDFKNTVTWTTIITSLVHAGRHKEGLCYFTEMSRFRELPDTFTFAIALKACAGLRQLRYGQGIHTHVIVKGFGAVLCVANSLFTMYTECGEMEDALRLFESMSEKDVVSWTSLITAYSRIGQEENAVNTFLLMRNSEVSPNEQTFASMFAACASLSRLVWGEQLHGNVFSLGIVDSLSVINSMMKMYSTCGRLDSASALFRGMRCRDIISWSTIIGGYSQGGFVDEGFEYFSWMRQSGTKPTDFALASLLSVSGNMAVLEQGRQVHALSLHLGLEQNPTIRSALITMYSKCGSIAEASKVFEETERAADIVALTAMTNGYAEHGKSKEAIDLFEKSLNVGFRPDTVTFISVLNACAHSGQLDVGFHYFNLMQERYNMSPAKEHYGCMVDLLCRAGRLSEAEKMINEMPCEKDDVVWTTLLRACTAKRDIERGRRAAERILELDPTSSIALVTLANIYSRTGKWKEAAIVRKSMKSKGVMKEPGWSSVLIKDKVSAFASGGQSHPQCEDIYSILELVVHRYDCAMKRAFESIQICDP >A06p012190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5575192:5579189:1 gene:A06p012190.1_BraROA transcript:A06p012190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADFLPFSAIYIELYYIFASVWGHRIYTIYSLLFIVSLLKITSGGEDHSYVVDRLVCLSTRTAYTITTHDLTCQVLCKPRSFSDTWFAFATDSFNCLLASAQHFSLFVTFTGRSNASKKKSSFRNPRILRRTNSVCDFSRYLLDQATMDLPRDVDDYIKDTIDHSLGIPISIDALQKKLSAAQESQRRLREQYSSLVSRLKEKEQVIELARSESSINAQAVKKFVEENRKLSGECEDLVKQCKKWERECFLYHQDREALMDFGNESDERARESESKVRELEEQVRTMSDVMKKSKVESEKKLEEEERLVDSVLASFVSEEEIKFGRVFLEANIQDQSLLSRWEELKPSTRKAVSLVAMVKRIEKEKECLILNLAKAEQEVEIVCEQNRELDEENRKLLKQCSSSGCLQCSAERSKSKKRKKFSLLSLQQGKKFPKRAPFIFEMDDDGFRNWGYYEPAAATFKGNLGLQLMPSIDRNTKPFLTGRDPNLMIGQNGPYHHHPEPPINMSYNWINQHKDKFFNMLPVTTTPNYGNILPETSSAPSMRHHQTTDEYPGTHEQVEEIIQTNKKRKPNTKPGATTKAKKPRKPKEESDKSIKVKPAKKSVDFVINGVNMDISGLPVPVCTCTGAPQQCYRWGCGGWQSACCTTNISMHPLPMSTKRRGARISGRKMSQGAFKKVLEKLASDGFNFGNPIDLKSHWARHGTNKFVTIR >A10g506940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:21000785:21000970:1 gene:A10g506940.1_BraROA transcript:A10g506940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRETFRNRSVLLSPSEYYSRPNTLAFIRHRLCGSPEFVDKIVMDKILVVKRIVIKRIVL >A05p035590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20158731:20161082:-1 gene:A05p035590.1_BraROA transcript:A05p035590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 9, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G22310) UniProtKB/Swiss-Prot;Acc:Q9LUW6] MISTVLRRSVLGASRRTQTLSASLTSLNAALFHHLAPSSSAAATVSDLKSDVALPQTPNPYGVKARDFHFQSGPSEFRASMASSAGFAAQDYAPSYDGGDSEESVGSSSNGGDGLAISELGISPEIVKALSGRGIDKLFPIQKAVLEPAMQGRDMIGRARTGTGKTLAFGIPVIDKIIKFNAKHGRGKNPLCLVLAPTRELARQVEKEFRESAPSLDTICLYGGTPIGQQMRELNYGVDVAVGTPGRIIDLMKRGALNLSEVQFIVLDEADQMLQVGFAEDVEVILQKLPEKRQSMMFSATMPSWIRSLTKKYLNNPLTIDLVGDSDQKLADGITMYSISADSYGKASIIGPLVEAHGKGGKCIVFTQTKRDADRLSYGLAKTFKCEALHGDISQSQRERTLAGFRDGNFNILVATDVAARGLDVPNVDLVIHYELPNNTETFVHRTGRTGRAGKKGNAILIHGPEQSRAVKMIEREVGSKFTELPSIPVERGSGSMFEGIGGRSGGSYGGGMRDRSSSYGGRSGGYGGGGGSGRYGGDRSEGSGNRYSGGSDRSSGYGGFGSGGSNRSSGGYGGFGSDRSSQSSGRSSFGGFGLKDPNSSY >A09g508470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23818263:23826994:-1 gene:A09g508470.1_BraROA transcript:A09g508470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGYRTRELETANFTHRGMSCIRYGEGGKGMADGGCSVSSIEGVHDSYIVVISGRWIMYDTGDWDFKLDSDRMGKAVYAKLITSVEALKRAIIESYGLVGMSVAVEMSYWLREHGSCVVGEREAPVQISNDKACSLRHSKEVASSNQMQVGSTSADVHTRNEVNDVDGTTCEVQNKVDTTKDAALGEGEDDDDEDYDYNLWHDFVGRNCEWDDDKDDDGGVGGGCRTNVTYGGVRGEVVTKTRSGRTNPSSNKGSGPSTNKQRTTNPPSTFEDYVDECRDYIGSSRISMENIEEASNNLGVKSSDQVADTENHSDPNQEEDPSLDNNSQMLVLQTPPKPFNMHTQEVDDSDDFVGQVPQCVSSRPTHDTSDGVYEDDDFVEPVPMCVSGGQTHETPDGEDEDDDFVEPVPQCVSGGQTHETLVGEDEDDDFIEPVPQSRSREEDARRRREKDKADDESLMKSVRAVELYGFEDVESSSNNEAVNDYTVDDIDFTLADADMYTGKLFSSKQEFKITIASLRNQRTMVGMTPATQKTYPPQPEVEFGFPQTCYCGGRPKLATSRTVNDPGRRYYTCENVNDGDCHVHKWWDDAVDYLTFLNDYDPQLNKLKELQNETEQKLVRLEKVVSELAENRTSGCGWAWMDGSGNAQLMGTRNFPRRESALHSEVEALTPNNLSSQHPTISLHHTHQYHKMTDPYYKEMKHHKREYDWVSNCVYANYKIPTKCICGGAITVEADDRGINYYVCKDFKNDGLHIRHDCLTALEEELDCLRSQYAEEVSLRRELQFEVAQMRKMTVAKYKRFFYSLPIVDERTEPAVDRVGQGRIEGRDPRGSLETDEFATLEALFEEAEEVEEGLKETPPSTPRKRRRRSPNPRSSKRARKAEEKGDPEDDRYGYDGEGASGFKDDEEGEYWDWMQMETDVDDDASDRTDDTLENT >A05p053570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31015800:31016200:1 gene:A05p053570.1_BraROA transcript:A05p053570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGRMIKKWDKVGWSLYSREGIQKLQGSSSINPTNSPLEAEAIALSMAVQQMKNLCYDQVTFISDCKLLIDGLHHQFYTEETITKSKESFTNVDVLAIDARQCRA >A01p034790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:15972090:15976961:1 gene:A01p034790.1_BraROA transcript:A01p034790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGSAVALGDGFPPDDLDVAFEFEDDDEDLTIDFETIYRILDEKPPDSSQGSQENSSPAGSGSSPDELNNSHLQNETTQMVDSFASRDSPSGTGSFDHHVKLASGLSPSPARTCSASLKDWFSLSPDEKPVEPYAMSAFCYNSNALPNGADNPLSSAQNCYNTTEHTPSFAFQFFPNKEEAVNDVDSGVSECLSDGASRMVFDTHGRVDYGSSDRKPVVGFPSAIGIGFNCESNSSVPLPSAKPYNRFDSHFADNCSSSFQGNKAAPVKVKPEAESEKLVYSSVPKEESIIDPVYAPGETSHWWSGASGCSVSYQTDFQEGFAFMAQQTGFPSQDSGNSNNFYDSNACLQYVAADPSSATQINGYVDSLTQVNQEHIQPRSVDYNFPNASFESVQSLSPESISDSDDDSDVYIIETNGQSANPYRPLAMKNPVVSSQYSPSGHTFNQPGGTRLQSNKENMIFQAALQDLSLPEAEANLPDGVLAVPLMRHQRIALSWMAHKETTGFPCSGGILADDQGLGKTISTIALILKERSTPSRTCEESIKKEVFDLESEGGECASSKPNGQSEQVDGNSVDKVRGRPAAGTLVVCPTSVMRQWGDEIRKKVTSEAQLSVLVYHGSSRTKDPHELAKYDVVVTTYSIVSLEVPKQPLVDDEDEEKDDLHNGEGAAAGLCPNKKRKYPPDSQKKGSKKKKRLDRASAEAQSGPLAKVSWFRVVLDEAQSIKNHKTQVARACWGLRAKRRWCLSGTPIQNSIDDLYSYFRFLKYDPYSSYVWFCNSIKNPVAKTPVKGYQKLQAILKTVMLRRTKGSLIDGKPIISLPPKSIELRKVEFTEEERDFYSNLEKESRSQFQEYKEAGTVKQNYVNILLMLLRLRQACDHPLLVKGEYSFTWESSLGLAKKESFSEASLQICGICNDSPEDAVVSVCGHVFCKQCFYECFTGDDNLCPIANCNGSLSAMPSMHDSATSVHVETVDSCSEGLPYESSKIKAALEILQSLARPQDLTDMKQLTQKGADSSLSATPRKVVGEKAIVFSQWTKMLDLLEASLISSRIQYRRLDGTMSVAARDKAVQDFNTLPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAIDRAHRIGQTRPVTVVRFTVKETVEDRILALQQKKRMMVASAFGEDEKGSRQSHLTVEDLNYLFMAD >A03g500750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2319425:2320420:-1 gene:A03g500750.1_BraROA transcript:A03g500750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLNLQGGVGSSIIRILAVPPQLFCSSPVAPFFREDINISRGGDLMGVDMFLLDSQDDLKNAKSIVNWVLDYVTGPQFDFDPVKYEYCKQSPRQLYFSMKDGMTHLHR >A04p019190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11499450:11503108:-1 gene:A04p019190.1_BraROA transcript:A04p019190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ultraviolet hypersensitive 1 [Source:Projected from Arabidopsis thaliana (AT5G41150) UniProtKB/TrEMBL;Acc:Q2F6I1] MALKFHQQIISDLLEDSNGGLVILSSGLSLAKLIASLLILHSPSQGTLLLLLSPASQSLKSRILHQLSSLSSPPPAEITSDLPANHRHSLYSSGNPFFITPRILIVDLLTQRIPVSSLAGIFILNAHSLSETSTEAFIVRIVKTLNASAYVRAFSDRPQAMVSGFAKTERTMRALFLRRLHLWPRFQLDVSQELEREPPEVVDIRVSMSSYMVGIQKAIIEVMDACLKEMRKTNKVDVDDLTVESGLFKSFDEIVRRQLDPIWHTLGKRTKQLVSDLKTLRKLLDYLVRYDAVSFLKFLDTLRVAESYRSVWLFAESSYKIFDFAKKRVYRLIKANEVKSKEHVKNTSGKKRKFKGDNASVEAVGGATATSGDTGVVIEEVLEEAPKWKVLREILLETQEEREKQTLSEEDNSDNYGMVLVACKDEQTKVILDGVVPVTTIQSSEGSSVCRQEHEALMAAASSIRKLGKTTASRDNNNPEAQVDKASSTKGKAKKESSSLRRPIRSCSKKKKNSEPEIVTGSENEEKVSEASTSGPREANDAHPDGAKKLPPVHFYALESDQPILDVLKPSVIIVYHPDMTFVREIEVYKAENPLKKLKVYFLFYDESTEVQKFEASIRRENGAFESLIRQKSSMMIPVDQDGLCMGSNSSTDYPASNTQNSLTRKAGGRKEIEKETQVIVDMREFMSSLPNVLHQKGMKIIPVTLEVGDYILSPSICVERKSIQDLFQSFTSGRLFHQVEMMSRYYRIPVLLIEFSQDKSFSFQSASDISDDVTPYNIISKLSLLVLHFPRLRILWSRSLHATAEIFTTLKSNQDEPDESRAIRVGVPSEEGIIENDIRAENYNTSAVEFLRRLPGVSDANYRSIMEKCKSLSEMASLPVEELAEIMGGQKVAKSLREFLDAKYPTLL >A08g509570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19550377:19551112:-1 gene:A08g509570.1_BraROA transcript:A08g509570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRNFFITCRLISASCAFDESGIVYYHARGGNSAVLFRSHLLFKDLHRYSLQMLVPLNWIHYVHTACHEITT >A06p003790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4517353:4521335:1 gene:A06p003790.1_BraROA transcript:A06p003790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase-like transcription factor CHR28 [Source:Projected from Arabidopsis thaliana (AT1G50410) UniProtKB/Swiss-Prot;Acc:Q94BR5] MDSAIEISSDSDVEIQETRTNLPQGSTLRPHFVRGASLNTNGLTRVGLPLPSSRNGFEAKLLTNGYIGSSQQDVKRVLPPSLTRPPMPLRPDIVGTSNGNGSQLRGVYGATPAVSAPGNNGNFGGGYGGFHDGMGMGMGRVINGDRLFPPSGAHGAGASTSHFNGGSDPLHRNGMGEDRSSENDERLIYQAALQNLNQPKSEVDLPDGLLSVPLMKHQKIALAWMFQKETRSAPCLGGILADDQGLGKTISTIALILKQMHEAKSKSENSSNQVAEALDLDADDESENPFVKQESKAISVNGSFGMKKAREEEASTSTRKFNGKRPAAGTLIVCPASVVRQWARELDEKVTEEAKLSVLIYHGGNRTKDPTELAKYDVVMTTYAIVSNEVPKKALKDDDENDEKYADNHGLASGFSMSKKRKEVLGAPKKSKKRGKKNADESDPDCGALAKVGWLRVVLDEAQTIKNHRTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLRYDPYAVYKSFYSTIKVPISRNSQIGYKKLQAILKAIMLRRTKGTLLDGQPIINLPPKTINLSKVDFSVEERSFYTKLESDSRSQFKAYADAGTLNQNYANILLMLLRLRQACDHPQLVKGFSSDSVGKESEKAAKKLSREVCVSLLNRLESSPICRACNDPPEAPVITLCGHIFCYQCVSEYITGDENSCPVCREQLAPDVVFSKSTLRSCAADDIGCSSSSHAKGLNKAAFQNGEFSSSKIKTVLDILQSLSNQGRGPNSTQNGGMPSSSLPYDVDDDDDVTIIEQTSVPSTPPCNQAPVKTIIFSQWTSMLDVLEFSLNEKTIEFRRLDGTMSLAARDRAVKEFSNDPDVQVMIMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRITITNTVEDRILALQEEKRAMVASAFGEDHGGGSATRLTVDDLKYLFMV >A09p060670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50242336:50243894:-1 gene:A09p060670.1_BraROA transcript:A09p060670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCRFLYHNGVVLEAPPVATFLQSLPGAYTTTRTIDSGTSFLFWERHMKRLSSSIRILLDSKPELLFSSGSSSRFWMNQMAHESSVYDLVNGSMSKAMSSVVVKERGRLCGEELAVTVLVNGNAEKLSRLGDEKVVDCLDVWLHIGGYSLGVGEKAASLALVGSGRDVANAKYSDWVRLRTPLEKFRPPSTTELLLSNDGDHLLEGCVTNFFVVCRKKSSSGSLYGESLREFEVQTAPVTDGVLPGVIREIVIEVCLSKGIPYRERAPSWSERELWEEAFITSSLRIVQHVGTIKVPVGSLEALACTKPEEIEWKEKRFEEGPGMITKLIQKAITERGIEEGFPLKDLYD >A08p045660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24993205:24994858:-1 gene:A08p045660.1_BraROA transcript:A08p045660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRSDGGQKKRLIASVCVVALFLCFLYMYYDSSSQGASALEYGRSLRKLGSSYLGGDDDDTKQDGSVSNEEDSLVVAKSFPVCDDRHSEIIPCLDRNFIYQMRLKLDLSLMEHYERHCPPPERKFNCLIPPPSGYKVPIKWPKSRDEVWQANIPHTHLAKEKSDQNWMVVKGDKINFPGGGTHFHYGADKYIASIANMLNFSNDVLNDEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEDSLRIWKEMSTLVERMCWRIAAKRNQTVVWQKPLSNDCYLEREAGTQPPLCRSDADPDAVYGVSMEACITPYSKRKYFLF >A09p035620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21365574:21366851:1 gene:A09p035620.1_BraROA transcript:A09p035620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLPPISLQYLGHKSEQKTPNPGVSLTNLSLTISWSTPRLHLAKPRTLTSYFSATTQEPILEPSSSSEEISKTRLIAQNVPWTSTPEDIRSLFEKFGNVVDVEMSMHKKERNRGLVFIEMASPEEAASALQALESYEYEGRRLKVAYAKAKKKKTYAPREKPTPVPTFNLFVANLAFEARAKHLKDFFDADTGNVVSTEVIFHENPRRSSGYGFVSFKTKKMADAALLDFQGKDFMGRPIRLARSKQFVKLQAKEGLQPPDEEEPSESEIMTEEDETPAPEN >A02g500440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1359834:1362442:1 gene:A02g500440.1_BraROA transcript:A02g500440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTALPSPSPARRAAPFGDILGRVAEYSTSSPANSAAAAPAKDEGKKKSYDYGGKGAIGKVCQVIGAIVDVRFEDQEGLPPIMTSLEVQDHPTRLVLEVSHHLGQNVVRTIAMDGTEGLVRGRRVLNTGAPITVPVGRATLGRIMNVLGEPIDERGEIKTEHYLPIHRDAPALVDLATGQEILATGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEYFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLASDLGALQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEIFTGAPGKYVDLKENINSFQGLLDGKYDDLPEQSFYMVGGIDEVVAKAEKISKEAAA >A09p008830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4546073:4546444:1 gene:A09p008830.1_BraROA transcript:A09p008830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLSVAMMVFVLVVMVATGGEAVDHICTFKCEITCRDPEFKTECFRKCMADCTHKPTNTFHSTKPYSMKTGEMEEMRG >A04p027160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16320072:16321302:-1 gene:A04p027160.1_BraROA transcript:A04p027160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dof zinc finger protein DOF2.1 [Source:Projected from Arabidopsis thaliana (AT2G28510) UniProtKB/Swiss-Prot;Acc:Q8LE43] MDPQQEMSNETLETILVSSTKGSNYKNIKKMEEEMKKKQGKGELEGEAQKCPRCESPNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRRNKRSSSSFSKNINKPVNFHNDPLHNPFTGMPSSFGYDSIDLNLAFAALHKHPPSQVTPSFGFGGDVSIYGNSTNTHSGVLGNGLNFGEQNGNFNGGSLWYGFMSGNESENKTTSALGIPLEGNETKQENVNNNNNILENPSKVLLGFPWQITGNSAGGVPETDPGRESWNGLVSSWSSGLLNTPLV >A07p044180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24142204:24143089:1 gene:A07p044180.1_BraROA transcript:A07p044180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCCSLGLCAPNPLYSLSSRPRTRSVRAPLCITSHTKPNSNTDSLPHYVAKMKAKADDFFGAKKTIFVTQLGAVLTTIDHPALAITGVNHEQELSSVVLDIGIISVWYFLVMPPIIMNWLRVRWYRRKFFEMYLQFMFVFMFFPGLLLWAPFLNFRKFPRDPSLKYPWDKPKDPSTIKNGYLKYPFAKPEDYDY >A06g504100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12736711:12763683:1 gene:A06g504100.1_BraROA transcript:A06g504100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESPMTASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYLFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHTRGKAMQEFRERIQPTMAGPTWDSHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDPHEDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESQSTSEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLSRQQQAATLFVASSAAEASAAELRWVEASALEFGNYLPQSISEFNPRCLLQDDKGETTILAVHSKDTPTATYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINQSLKSHQRWKHNHLQQHIMTTPYTKPVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKPAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQF >A09p067670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53295791:53298773:-1 gene:A09p067670.1_BraROA transcript:A09p067670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVMDNPSGPKVAKFNGLGDGVINKWNGKPYSAKYYEILEKRRTLPVWLQKEEFLKTLKDNQTIILVGETGSGKTTQIPQFVIDAVDAESSDRKWLVACTQPRRVAAMSVSRRVADEMDVAIGEEVGYSIRFEDCSSPRTVLKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQEYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPPGDILVFLTGEEEIEDACRKINKEVGNLGDQVGPVKVVPLYSTLPPAMQQKIFDAAPEPVTKGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRIRVESLLVSPISKASAHQRSGRAGRTRPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTRTGEIMSEFPLDPQMAKMLIVSPEFNCSNEILSVSAMLSVPNCFLRPREYQKAADEAKAKFGHIDGDHLTLLNVYHAFKQNNEDPNWCYENYINNRAMKSADNVRQQLVRIMSRFNLKICSTDFNSRDYYINIRKAMLAGYFMQVAHLERAGSYLTVKDNQVVNLHPSNCLDHKPEWVIYNEYVLTTRNYIRTVTDIRGEWLVDVAPHYYDLTNFPNCEAKRVLEKLYKKREREKADSKNRK >A10p005150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9197138:9197832:-1 gene:A10p005150.1_BraROA transcript:A10p005150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEEEEEEEKYESFLSRVRRTVYVDELTPHASKSVVESAFSQFGTVKDVIFLPNYLGPKELPTGVLVEMESEQTAKAVIETVSQFPFMVAGMPRPVRASAARPAMFSDRPKKPGRTIQFRWVDPSDAEFDKAQRVKRLVRKHTAEAAFMIKKQLEEAEELAKQQSETVTTHHKKFEMIDKLTHDRVAQELAGRYNLKCGPPHR >A03p001290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:652675:654244:1 gene:A03p001290.1_BraROA transcript:A03p001290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB8C [Source:Projected from Arabidopsis thaliana (AT5G03520) UniProtKB/TrEMBL;Acc:A0A178UJN5] MAVAPARARSDYDYLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWMKNIEQHASDNVNKILVGNKADMDESKRAIPTAKGQALADEYGIKFFETSAKTNLNVESVFLSIAKDIKQRLTETDTKAEPQGIKITKQDAASSSSTTAEKSACCSYV >A06g504710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:14325198:14326583:1 gene:A06g504710.1_BraROA transcript:A06g504710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVQFQSAHMADVKGKGILYEDDDAPIQLVDSENSYVAEFRLSLIGKILNPKKQNAEKRIQYLINKWGMVDRATANDLGAGKFLFTFTTEEDIKEEPIVHDDYPWIIPFWVQIIGLPLHLWDVDNLKIIGGHLGHVDTMELAEGRMLIEIDTRKPLKFTRKVLVGEKEVTIQIAYDLLFKHCSTCGMLTHEKEHCPTVKEELKAQLPLERSDVFARVQLPQGRPDRQPLLMNGSMRDKDHVRQGDALASVNRDRDHGLQVSQYRGSNNSKYDSYSEGVIRARDERPRSNHYGGSRFGARPYGRYGKEEATWREKAKAKVGVGSGSMAVVPFEHNLGHKPLLITEEITFRAGIHRSGGEQDDESQLRWREKRKEIGNVESSSMDVAPYEHMPSTKFQSSSFDRPYQSKDQKQGGERSGKRLASAIVTTVRQQASMEDNVTV >A06p005250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1541070:1542539:-1 gene:A06p005250.1_BraROA transcript:A06p005250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase cytosolic isozyme 1-5 [Source:Projected from Arabidopsis thaliana (AT1G48470) UniProtKB/Swiss-Prot;Acc:Q8GXW5] MCDAYTPKGDPIPTNNRHKAVKIFDHPNVKAEEPWFGIEQEYTLLKKDVKWPLGWPLGGFPGPQGPYYCAVGADKAFGRDIVDGHYKACLYAGLSIGGANGEVMPGQWEFQISPTVGIGAGDQLWVARYILERITEICGVIVSFDPKPIEGDWNGAAAHTNFSTKSMRKEGGLDLIKKAIGKLEVKHKQHIAAYGEGNERRLTGKHETADINKFSWGVADRGASVRVGRDTEKEGKGYFEDRRPSSNMDPYLVTSMIAETTILG >A05p002210.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:713343:713711:-1 gene:A05p002210.1_BraROA transcript:A05p002210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein SAUR32 [Source:Projected from Arabidopsis thaliana (AT2G46690) UniProtKB/Swiss-Prot;Acc:Q9ZUZ3] MGTGEKNLKSFHLHRKQTVKNKDIPKGCLAIKVGSQGEEQQRFIVPILYLNHPLFMQLLKEAEDEYGFDQKGTITIPCHVAEFRYVQALIDGERMVYHGNNNHLHKHSGRELYHPLVGCFRA >A09g510690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:32959031:32959988:1 gene:A09g510690.1_BraROA transcript:A09g510690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEESKGESPWRLGFHLDHPASLPYFLQKTTFKGRVFMTHATKAIYKLLLTDYVKVSKVSVEDILFDEHDINKSMDKIEVIDFHKTVDVNDIKFWCYMACHVLGLAMFIVDIAGV >A10g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4301075:4301592:1 gene:A10g501530.1_BraROA transcript:A10g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSAFLYSSFSSIVSSHTCYYLLNPLFDTLHLWRRRKISSNSIFVISFLGWIINKSVILVFICKKKRKSDDKLEDFMMDINKMAATKRNSAVRSCSDVVVTTTM >A01p013430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6564815:6565195:-1 gene:A01p013430.1_BraROA transcript:A01p013430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVGFFICILILAMDVSAGILGIEAEVAQNKVKHLKMWIFECRDPSHTAFNYGLAACILLVLAHVTANFLGGCLCVVSRQDLEKSSANKQLAVASLIFSW >A10p027990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17482636:17484908:-1 gene:A10p027990.1_BraROA transcript:A10p027990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSIVSGINPSRILTSFNSADDCGRINLTAVKIYPALTRRGYSSIGAVSSIGGNAKYSFPSSSRIYPRNLVLSGAPEALPSACENATPSSVKSFNQLIESLIDRVDLTESETQSSLEFLLNEANEALISAFLVLLRAKGETYEEIVGLARAMMKHARKVEGLVDAVDIVGTGGDGANTVNISTGSSILAAACGAKVAKQGNRSSSSACGSADVLEALGVVIDLGPEGIKRCVEESGIAFMMSPMYHPAMKIVGPVRKKLKIKTVFNVLGPMLNPASVSYAVVGVYHKNLVLKMAKALQRFGMKRALVVHSYGLDEMSPLGGGLVYDVTPEKIEEFSFDPCKLQLVDFGIPRCTIEDLRGGGPDYNADVLRRVLSGETGSIADSLILNAAAALLVSNRVKTLGEGVALAREVQSSGKAIKTLDSWIHSSKLAQKSQ >A09p055540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47497362:47498948:1 gene:A09p055540.1_BraROA transcript:A09p055540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQNGSRLRPDFRVAVGGKELKSAQGVCFGDNSRSQSQASHHSQNLDAYCFGRDGVVSFVACHMSSSCGYADECFKVFASHGSCFSVLSLDIFCKLRSSRTMINIVEFPHELSSRTLFASGDSSLTLVSANEKVIYVYALKNILSDPKWVLVKQIRVTHIMGTDYESRYKFEIYGGNSWRRPKTTLTCLSSFPLKTPVYLDGSLHWLRNDGSIVSFNLETEHARLIPISFPRGLSLKTLITLGNNGLTLISATEEYIYVYSLENILRDPKWVFVKKIQNIMMDKKKLSYWNVEAYEGKCLVLTEDRAKEEEPLLCDHVIHVYDLSTNKWVVMGSIPGWCSVNHDFFQFTPSTSYVVGLDEILPWDGGRISSLSTIMALIDGSSSENVEKQLRKRSDRT >A05p026260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13792191:13798887:1 gene:A05p026260.1_BraROA transcript:A05p026260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFHYNYCMFVLVRWEPIVHDDYPWIITFWVTLTGIPLHLCTDKNLMNIGVRLGHVDTVELMEGRMLIDIDSRVPLKFKRKVKSPEGEEVTIEIKYDMLFKHCTTCGALSHEKGYCSTNSVSSQTQGQHQGRTGVFAQVQHPEQSSLQPLLGEKGASDYHSRSAYMARHADNRRGYDDREGLRESYHVNRSHHEGATFHARSRDGANRYSHSDRIMRRRDGNGRTHSYGGSRQYSKPYNRNVEQRWCAKQYNGSYNMRREEPERGSQATIIPDGSDIIGNSGNDQHVAQHSEEDGKDARHGKKLASEIVTPTSRHLLHMSDNVTVRDRGVSKSLRAQDCEVNDDDLLGMDLMEMENGNSSRDVVSKVATRDSLNISKSLKASKKMGAPLGFHNKKAERPSGTDARSLRGDRPLARARSLRSDQAGRTLGCYVATELWLELGGMDARSLRSDRAERTLGRYALHKDIFTRITFRKNAHAEFYGLSDIDFVVTDFDPNMCKLAGFLKILEYWQRDKFWDLVSGCLILCLEMLKTSALGLGQDLGLLLVLEGAMTNSTYVSRFSFILIPYRFKVRDRCFYRGRKFRRRTNLQASATSRDAEDLLFFRMPRFVLEMFAGLKIFRDVARGFFSGFWENKFYPSGMFSENVF >A01p023280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11488384:11490853:1 gene:A01p023280.1_BraROA transcript:A01p023280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G16120) UniProtKB/Swiss-Prot;Acc:Q8GZ17] MDSAPNFILLPLLLLSLLLASIPLTTSQSAADTNSSSPSDSDLCNGVFVSYTHTKGSKIPPNDSSNQPYRFESVVTVLNNGRDELKSWRVFVKFSHREILVSASNAVLSDGSSLPLSVENGTVFAGYPSSDLKSAIQTAGDVTQMQASVELVGTQFGVAPPNVPLPKNISLVTDGWKCPKATQKGKNVLQVCCMPDPDFNNTEIIDNEFLPRQNGDLTIMYDVIRSYSSNYMAQVTMENHNPLGRLDNWKLSFDWMRDEFIDTMTGAYPSLVDSSDCIDGPQAKYYQALDFSNVLSCARRPTIIDLPLTKYNDSVFGFKPYCCRNGTILPKSMDPSKSISAFQMQVYKMPPDLNISALSPPQNWRITGSLNPDYKCGPPVRVSPSQFVDPSGLPSNKTAFASWQVVCNITQQKDTSPRCCVSFSAYFNDSIIPCKTCACGCSSNRASRTCSTTSPALLLPQQALLVPFENRTELTLAWSYLKHRPVPNPMPCGDNCGVSINWHLATDYRGGWTARVTVFNWGETNFPDWFTAIQMKKAAPGFEKAYSFNASALDINGENNTIFMEGLPGLNYLVAERDGDNPLKNPRVPGKQQSVISFTKKLTPGINVPGGDGFPSKVFFNGEECSLPSIFPTSNGNKLRHISAILLALPVLALLILRV >A02p012810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:5616486:5619692:-1 gene:A02p012810.1_BraROA transcript:A02p012810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLALFCLFSYIIVLSLCSVSAAIDDNQDQQVYVVYMGALPSSEVYTPMSDHMNILQGITGESSNEGRLLRSYKRSFNGFAARLTQSERERIANIEGVVSVFPSKNLQLQTTTSWDFMGLKKGKAANRNLAVESNTIIGVIDAGITPESESFSDKGFGPPPQKWKGVCSGGKNFTCNNKMIGARDYTSEGSRDTVGHGTHTASTIAGNAIQDASFYGLGNGTMRGGVPASRIVTYKVCTWLGCSSDSILAAFDDAIADGVDIISISVNMGYPSPFDEDPVAIGAFHAIAKGILTVNSAGNNGPEPKTLESVAPWILTVAASNTNRAFLTKVVLGNGKTLVGKSVNTLGLNGTMYPLVYGKSAVNSSACSVESAEKCEEGCLQESLVKGKVVVCNSTDSYEALANGAVAGISLNRTPNVAFVTSFPLSALSQEDLNSLVSYIKSESSPVATVLRTEESFSQIAPVVAAFSSRGPNTIATDILKPDISAPGVEILAAFSPEVSPSSSVYDTRRVKYSVLSGTSMSCPHVTGVAAYIRTFHPQWSPSMIKSAIMTTAWPMNASETGFVSTEFAYGAGHVDPISATNPGLVYDLTKADYTAFLCGMKYNATTVKLISGEAVTCTGETSPRNLNYPSMSAKLSGSKSFFTVIFKRTVTNVGTQNSIYNSKIVLNHGSKLNVKVFPSALVFKKVNEKQSFTVIVTGSALESKLLLSANLIWSDGTHNVRSPIVVYKSE >A01p013440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6567140:6568667:1 gene:A01p013440.1_BraROA transcript:A01p013440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor DYT1 [Source:Projected from Arabidopsis thaliana (AT4G21330) UniProtKB/Swiss-Prot;Acc:O81900] MNFDDYIFYFCASGYNDAAITVLTGKPIKCSSPLPSVLDVNYPAITIPNLNGEVTVTRTVTNVGPVDSVYRAVVEAPEGVKIAVEPETLVFNNSTKKLGFKVRVARSHKSELVTSMVASLGLMVLEMLSFLCLVGLVFDALINKFPQVQKKGKIMGGGNKFQEPVKISRRRQVRKEKEEDEVNESFKSPNLEAERRRREKLHGRLMALRSHVPIVTNMTKASIVEDAITYIGELQKIVQNLTEKLHEMEETPLEIYEQQTVHTIKPEVEAIDLKVEMKKMGIEENVQFCKIGKRKFWLKITTEKRPGIFTKFMEVMCLLGFEIIDITLATSNGAIIICSSVQILQGLCDGDSVDPEQTKDFLLEVMRSNP >A08p038370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22228416:22233251:-1 gene:A08p038370.1_BraROA transcript:A08p038370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWGAVDTKCEYEESNSGRESKAFAATVVTVAAIDVLKLQFLLRNICSCSREVFETVKKNGSMNEEEPSGTGEEGCSISTFDYSVENHLKAVDSISDLCGGEAGTGIEETDINRLSSSVTFLKEWRHLSYEPKRFGFCDDEAGKSCEPKDVKSQTLPQFSSARAPTVKIDDDEPSSFGEPSKDFVMHVGGSVWALEWCPRVHGNPDARAKCEFLAVATHPPDSYSHKIGVRLSGRGIIQIWCIINATCDNDSTHISGKKQKLSGKYQKKPSDESNRNTEPKKPRGRPRKHPIETATEPKKPKGRPRKKTTAELPIELDGDVLYVEALSVRYPEEAVVPATPLRVLRKPSVTKAKTNNESSGQVLSSENANIKLPVRRKRQKHQPTEETCEPVVSEDSEAVGNVPGEQSSDISEDIALPRVVLCLAHNGKVAWDMKWRPPSADDSLNKHRMGYLAVLLGNGSLEVWDVPMPQAVSAVYLSSKKDATDPRFVKLAPVFKCSNLKCGDTQSIPLTVEWSTSGNPDFLLAGCHDGTVALWKFSTTKSSQDTRPLLFFSADTTPIRAVAWAPGESDQESSNVVATAGHGGLKFWDLRDPFRPLWDLHPVPRFIYSIDWLQDPKCVLLSFDDGTMRILSLVKIAYDVPSTGRPYPNTKQQGLSVYNCSSFPIWSIQVSRLTGMAAYCTADGSVFHFQLTTKAVEKDSRNRTPHFLCGRLTMNDSSTFTVHSPVPNVPIFLKKPVSENGEKQRCLRSLLNESPNRHAPPVSDAQPLAFAHDEDPGLESETEGTSNKGSKSKAKKGKNNTIEEEEGDNRGALVCVKEDGDAEEGRRKEASTSSSSVKAERFPPKMVAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIASSGLVGKQNWRHQR >A04p030670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18194078:18197190:1 gene:A04p030670.1_BraROA transcript:A04p030670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKFKPFLFHWELRFKLCDYSNIAIDASGGTNEKNSEAAAAYIEAQQGKAKEQQLQMQQMQMLRQAQMQRGNSNYPFSRGSSECQMVQGNHQGGVSAALQQLQSQSQQTPEINFGASPRQLLVDPSTVYGQGILQSKLGMRNAGLNFGVGALPLKGWPLTPSTPSTDTPVDGVAIAGNMQHVNNMPKGPMMYGSDGISGLASSANQLDDMEPFGDVGALEDNVESFLSQDDGDGGSIFSTLKRNPSERAETPKGFSFSELLASAGHDKKYVFIWNMETLHTESTPEEHGHIITDVRFRPNTTQLATSSFDKTIKIWDASDPGYFRRTISSHTAPVMSLDFHPNKTEIFCSCDGNNDIRFWNINASNYIRASKASSCTLGASTQVRFQPISGKFLAAASDNTVSLVDVEKDIRVHLLKGHSSNVNSVCWNTSGELLASVSENSVKLWSPSSGDCIHELSSSGNKFHSCVFHTSYPNLFVIGGYQQSLELWTTKENKCMTIPAHECVISALAQSPSTGMMASASHDKSVKIWK >A02g510200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27289844:27301070:-1 gene:A02g510200.1_BraROA transcript:A02g510200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRLKEAVGNILKISKEQEDCLDQDLIISFHHPLNLICYCFDFEVIEFKSSRIDQEAMGSEEDDETFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERRSHSSGSRHSNTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQGDLSSLLVCNIQRPRALERLPREPVPFNEFEKWSLLLVCNIQRPRALERCVISDLSLGVSRSLSAASCVTIRSTYLEKLDKRSSPSSNLVSSSKTSTHSFEDSIRKAISQAFRDVEKQLKQSKTISPSLEVQNQAPSSTVSELKDAEPDSVAQSDLAALVQEDQTEIPTITIQKDDQPIKDDLILFKQDVIEEEAPMESKSDSGVEHLFVTDSNGFQRTFLGTFLISPFVWNKTRAVELSRHQLGMEHVVFEPGGELWNHRNNPLVIEKKSAATTIVFGDLLPSEAKGMHVSAQQEFHYETNWRMLPTLSWIEQTRKRSKWPPDHQDIVNSAKHIGLAKFCELLISDWGGRLQFYLWKPGAYSRILIILGECSARSRTSWDNKELEADQNALLLDHVKVWKPPDLQKLQYHFRDCQTKSGDGDFTRLNGEVITGIGGELMFSSQIKEKPPDELSLHQSPNKPTRGNYLDSKKRMKPDLLSIGTGQTVMSTRIFEKRGYSIDQSIKKGSLAKLEMQLSNLGSCLAANFDIGAVRGSYLSNQKELSNKLNCNGNYTHQGLTSNWNHVQSLSNERVMGSTRRVILCLLCLNFSEFRTSQSYLWRPGEHAKVTNHVFKSSFIDYTDMMHLFLPKESCAEYMEALKHTKGKNKREEDKRFKPPDLSQERHQDITKGACSL >SC410g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000252.1:707:1505:1 gene:SC410g500020.1_BraROA transcript:SC410g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRGRPVCADGQTRTHTDSHGRPVCADGHPRTTDSPRGPKSPEQSTGRVNVLSPRTNVLICVLRDSHGHPVCADGHTRTHTESHRRPVCADGHTRTVPLCVAEQHPQNVMFLISRHPLGPKYPTSTERPQDVPVLLTDKHGHTRDSHGHPVCATDNTRTSWVCGRNAHGHLCVHDRQPQWGQNHPTGHEKGSVLSPKDQHLIVYSMDSHGRLFFSGRTAHKDGARTACVC >A09p064800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52073218:52076223:1 gene:A09p064800.1_BraROA transcript:A09p064800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAAASSFSLGPSHCHHHSYTDDFPSYKRHGSARNRAFDGSASANLSVLSSRCKIPSFGSAFHVATGGHDLGLTKVSVAADYSDSVPDSSFYGYHPLEDLKPGKRVQETKLSPAEVARTTVEANSSAVLVFPGAIHCEPHDHNSWSEFKYVIDDYGDIFFDIPDDVNILEDPGASNPVKAFFGMDVPRYENSRLHEEYNISEIGNLDQIIFDDHYFEMMDSEAGDIPVDWGMPDTSNGVHPIYFAKHMSKAISMDYDRKMDYPSNGVSILACLRPAFLDEESYIRRLFLSEDRDDYSWEVQGDENQNTSSRDDENDMSSSLYRLEIVGIELLSLYGTESSISLQDFQDAEPDILVHSTSAIIERFNNRGVSSDIALKALCKKKGLHAEEANLISVDSLGMDVRVFAGAQVQTHRFPFKTRATTEMAAEKKMHQLLFPRSRRRKLKSNDESLKDAYR >A07g503290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6511635:6513243:1 gene:A07g503290.1_BraROA transcript:A07g503290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVDKDEEGETEDTLAEEEAVLAIPTGPITRAMTRRLKEAVGNILKISKEQEDYQDFIISFHHPLNLICDCFHLVSDKEFKSLRVVQEAMGSEENDETFMRRNKMLQEAITKQVMDVMVKLLEEKYDQRPNDGQGQASGQRREQRRNRQGQREHAGSEETDNFYERSSQSSGSRHS >A03p043340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18130692:18132557:1 gene:A03p043340.1_BraROA transcript:A03p043340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLPLTSFSPISTSSSSISRTSFVPLTPRHRNLFSNQNASRRVFITCSSSLSSNNGSTPEPPMNGSGLNGQSSFPGMPSFDGASNPPLKWRRVLLKVSGEALAGDEQQNIDPKVTMSIAREVAAVTRLGIEVAIVVGGGNIFRGSTWAGCSGLDRSSADYIGMMATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAIRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVFDDDPKRNPNARLHESLTYQEVTSKDLSVMDMTAITLCQENNIPVVVFNLSEPGNIAKAIKGERVGTLIGGTWNSIVAAT >A10p006590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9774522:9776953:1 gene:A10p006590.1_BraROA transcript:A10p006590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIKNFARISPTTSYVGNLFRNCESYTLSSRFCTALQHSETVQATDQAVNGLEQQQQRYHGLAPTKEGEKPRVLVLGSGWAGCRLMKGIDTSIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPISRIQPAISREPGSYYFLANCSRLDSENHEVHCETVTDGLSTTLEPWKFKIAYDKLVLACGAEASTFGINGVLENAIFLREVHHAQEIRRKLLLNLMLSEVPGIGEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMKDVRERYAHVKDDIRVTLIEARDILSSFDDGLRQYAIKQLNKSGVKLVRGIVKEVKPQKLILDDGTDVPYGLLVWSTGVGPSSFVKSLGLPKDPGGRIGIDEWMRVPSVEDVFAIGDCSGYLESTGKSTLPALAQVAEREGKYLANLLNVMGKAGGGRAWSAKGTELGEPFVYKHLGSMATIGRYKALVDLRESKEGKGISMAGFVSWFIWRSAYLTRVLSWRNRFYVAINWLTTFIFGRDISRI >A04g500110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:552933:553366:1 gene:A04g500110.1_BraROA transcript:A04g500110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIVEKVCEERLNTGVSKISVYFYKQFHFFKGWKLTNDSFDIVCFKIVSYSGLQQDILHKWYYAELLVHLLHVTMKINRRGCTNFGNYLGEELQGNSHLFW >A09p014100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7258192:7258616:-1 gene:A09p014100.1_BraROA transcript:A09p014100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIDQPTTKNQKKLYAVRLVTLIILLFSASFNTIVFAGLTFDNESLNTKIGVAIWNQKVVKNVRGAVKPSISRPARQRSSPRSGSENSNSTQWESYVAIIEAFGIFSGN >A04p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3068161:3069663:-1 gene:A04p005790.1_BraROA transcript:A04p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPYADVDCNLRALAGRAEGFGRFAVGGLHGDLYVVTSLADDGPGSLREGGRRREPLWIVFAVSGTIHLNSYLSVSSHKTIDGRGQRIKLTGKGIRLKECEHIIICNLEFEGGRGHDVDGIQIKPKSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFGQHDKTMLIGADPSHVDDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGIYAVCASVEAQVFSQCNIYEAGVKKKTFEYYPEKAADREEVRAGLVRSENDLFLNGAQASLLTGAGGECVFHPSEHYPTWTVEPPSDTLKQIIQICTGWQSLSSPSDHGVPK >A05g500020.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:107414:110794:1 gene:A05g500020.1_BraROA transcript:A05g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKATKKSLPTEAELFMCLREDIQKLSKAVQDFVTQVTSNHVDVFDNPNDDEYDLDLAYNKEYEEFFSEQNIGPSYGVFDEADIRGGQYSFDNQHGDPIFDVYDVDVKDNGSFFDIQSVVSNLDKFDERVMEQVTTEKVEVESLKFNYPVYVQETPTLSPENFVPIYEVIRDFNINDTSLPFQTMMETGGRSDNYFWESSRSNNREDHMDGRTSELIHIHKQRAGNTFLDLQQKHMNYGAWRKNFNRHISREPPDRVQYQGCEAEKEDTAMLTEKITKLEEALIFEQEKNRTLEHELSETRRNIRMLNKGSTTLDKIQRMGRTEKTTAGLGYQGGPSGSHTVFVRSNSVETDKPDAVLESAKNRAMELHTVPNVYTRYFEISLSQHQGCVRQLWSMGIMRLFQPQDEKNWDEEDHYEKWVRIFPSFIESAADLIQRRGRRDMQCKFNKAQVILMDMPIVFQAMAYISVTTLAFAMNYAFFFMANESKPSQSKYSILKILSYNIMVVDILLMETKIHGAVAILKQVKMLDTGWYDTFQRWDISCVPAGDHDEILVHNGTTSELLVLFYTTDLSMAQSGNSTEVLDLPQFSDLSILWCFTWDELSGQKSLCRHHLQCGLIIQDVNFNVSEMLEQTGTVFSHQEHCPMPKHVDFSKLAKHLLVNDDMLECTLPCVSFLTYSEIFWYTRIDVDSHTATGRGNIYRFKFEGRCMVLFLESELPILPLLFGVIGSRQMTKDSCTSSMVLWCAQYTIQNICPSEEIAFSLRFDILPFLVLSPCLMQGMQREVLVVQLVKFSKHELSAVVLLKWVDLQCKGHLKRILMKYLVEAELRPVHKNIIICVVMIVPNSSHEYDQVDTFGKDTLKHVMISSLGFVWELLLKHGAVFSSSFCELESSYGEYIGQHELRFVFHVNAIKGVVMCLIAPPSDIFSSLVIGGWCLVHPRRERALYAIAVQEKRFHDKRRTEFLNWWPLGIDKMGSNYRSTTLICWNNVSCASGKPLCFILVNDIIFLHGYTSLRSRTSVMNEFLDHLNRPMYHVLDSVGPKCGIQSLPHMTKNILLDINKVNWLNKADTCSQRKHTMHWMLTEENKIKRNLKREKIKKDVFFLEVRHQQFPFLFEVESLEPGGT >A02p005890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:2519724:2521214:1 gene:A02p005890.1_BraROA transcript:A02p005890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLLSQNVPTTKVRREEASDDEAKGKRRKMEEDQAEMKKLETLLFGSLYNPATFDDGSANLFHVNRSAVTLTHTPDYDDDDINQSEGVRKGEAAWEDEEQREISINIATVNRLRKLRREENESSISGSEYIARLRAHHAKLNPGTDWARLDSLNDGDDDILRTNEDLLVESGGNKLCSGLLEYTQLDNANVNDPSTTPINSVHFHQNAQLLLTASDKRLKFFHIDGKRNAKIQSIFLEDYPIHKASFLPNGSQVIVSGRRNYFHSFDLEKANFDRIGPLVGREEKSLESFEVSQDSNTIAFVGNGGYILLVSSKTKELTGTLKMNGSVRSLAFSEDGKKLLSSGGDGEVYVWDLRTMKCLYKGVDEGSTCGTSLCMKGELFASGSERGIVNVYKTSEFVGGKRKPVKTVENLTSQIGFMKFNHDAQILAIGSAMKENSVRLVHVPSLTVFSNWPPMGRSVRSPRCLDFSPGGGFMAMGNSVGEVLLYQLNHYEKA >A06p044430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23865339:23868184:1 gene:A06p044430.1_BraROA transcript:A06p044430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWLGGALVSEVLKGLIQEAKTMIDFKLLSEDLASTMERMIPLTEQIETIQGKADFDHGDLKVLKQTIQRARKVLDKCQRGGVWFYEKPLVSRKIKGINKDMVKFCQMDLQLHQYRNQLGLSVVVGNIDDKVEGWSKRMDSLSVAPVPAFTDHLCSVPKLDKPPVGLDWPLMDLKKRFIDNTTAASLVVSAPPGCGKTTLVTHLCNNVDVKRHFKHILFNVVSSTPNFRTIVQNLIQYNGHAPQTFENDTQATVALRKVLEKLTEDGGPILLVLDDVWQGADSFLEKFRISIRNYKVLVTSRSEFQSFGPTYCLKPLEYENARALLVQWASRPIPNNASPDEYDNLLKKILKRCNGFPIVIEVVGVSLKGKSLNTWKGQVESWSGGKKTILDSPRPSVLQCLQPSFNALDPDLKECFMDMGSFLEDQKIRASVIIDMWMELYGINSSVVCMKYLEDLASQNLLKLVPLGRNEQEEGFYNEYLVTQHDILRELAIHQSVLEAFFERKRLNLEIREDQFPDWCCLNQIEPIIINASLLSITTDDLFSANWIEMECPNVETLILNISSQNFALPSFIAGMKKLKVLIITNHGSYPARLTKLSCLSLLPNLKRIRLEKVSVTLLDILHMQLVRLKKLSLVMCSFGEDFYDIEDETLDVSRALPSLQEIDIDYCYDLDEVPYWVCEVVSLKSLSITNCNKLSLLPEAIGNLSRLQVLRLSSCINLSELPETMERLGQLQFLDISHCLGLRKLPLEIGKLQKLKKMSMRKCWRCELPDSVKNLEDLVVKCDEETGLVLWERLKPKMRSLRVHVEEIEHNLNLLHMF >A05p013240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5766673:5768827:1 gene:A05p013240.1_BraROA transcript:A05p013240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDNHWVDLMIDKIGFALTKVDESIQKSIELWKELTKDIYDEQPTHDTTGQPNDVKSGEEDSSQDKCVAEVKERPIQHWAMRLGEAKESPSQHWDMCASKISESLIQEQGDDEVDEKEKEDDEGTVSESSGEEDMCELTLGNSVSSDRPNLHHHHNEEASTGLSLTWKDGYGQLNDDDYKSWCDDYESLYKFYPMRAPLWWSYIPPGLPLHKENNDSRIRCKQLRRARDGYELLKNDDTSSEDEDSWNEDDVKEYWRQKYRFMSDATNISHDDDDDDDDDDDDDDDIDDDDLSDKLQGFVDVKEEEIENKTECGEVETLSTECDWVFVTRD >A01p009770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4740511:4742950:-1 gene:A01p009770.1_BraROA transcript:A01p009770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSETRLPEKLRLHRVRQRLRKIRMKCLCSGEQMRLIEEEDKRSELGVGNVSSALSAAESENAKKLDNGNIEEAELSLRETSSLNYEEARALLGRIEYQKGNIEAALRVFEGIDINGITIKMKTALTAREEKKHRRRSKGSFVVPPQPPMSKHAVSLLFEAIYLKAKSLQRLGRFQEAAQSCRVILDIIEASVSEGASENVTGDIKLQETLTKAVELLPQLWKLADSPRDAILSYRRALLNHWKLDPETTARIQKEYAVFLLYSGEEAVPPNLRSQTEGSFIPRNNVEEAILLLMLLLRKVNQKRISWDASILDHLTFALTMAGDLTALAKQLEELSPEILDQRELYHTLSLCYHGAGEGLVALGLLRKLFSEKEDPNRISGLLMGSKICGERPSLAEEGLDYARRAIGSLGNECVQLDGAARLVLGIALTESSRKTSTEAERVAKQSEGMQALASADMTDPRVLHRLALENAEQRKLDSALVYAKQALKLGAESDLEAWLLLARVLSAQKRFSDAETIVDAALNETGKWEQGKLLRLKAKLRLARGEVKEGIKSYTEVLALLQVQSKSLNSSKKMPKGYVEELRSLELGTWHDLAHIYIDLSQWRDAETCLSRSRLIAPYSPVRYHTEGVLYQRQGQLEEAMEAFTTALDIDPMHVPSLISKAEILLELGNRSSVAVVRSFLMEALRIDRMNHSAWYNLGKMFKAEGSVSSKREAVECFQAAVALEETMPVEPFR >A02p030870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15751376:15753168:-1 gene:A02p030870.1_BraROA transcript:A02p030870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVLVFLSDLQAGGSSSTVEVCLLRFWEARNVRRGGELMGVDMLLLHSQAGGVGKTYTFQLSVTSFITLQSVRPSQSYASSTTSEAAATDLYQLQSTCSSYVIISSGFLSIPYCRFITVKAVFTNFAASRLIVVKGLAEVVCMMSSAAYQAKKRCNNVQLAEETVAHRQAHALERNMLETRKSRCRSNIGLMFEENEQGQTLV >A08p040230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22975440:22978329:-1 gene:A08p040230.1_BraROA transcript:A08p040230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGEQEYESDPEELKRSLATRRREASDDEEEDGEEVKNQRTEIDSDSDSDQSDEHVDNDNDKKSEDGEDSYEEEEEGDDDDDDKRSKPAEDDAAGNVDGEEDKEKQSSAVPTGGAFYMHDDRFQELSAGRSRRGRGGRRSWGSGDERKWGHDKYEEMNTTQEKHHDVQLPSMLFQKTPRGGFRGRGRGRGQGRGYSRGRSSNASSSNGHQIFVPKATTRVGEARKDEIPLSKGSQVHSSKPLRNSRGSQHWHEKKSHHDSRRSPSAPTQTGNEDSHTKKNVVVSSLSSASPPFYPSVSSSNLVHGIQVGMERLQTNESATPSGKKLRNTKSVYLPVHTAQTFQPTSQVRGAPTTRNVFYPQSHSQGGKFSSPMQLNGDSKGTSKGYIRPSGQDFDQHTAVIRPLSSSTQRTNSSGNRYLPGEIESASDTGASFAKGKGTLQATGSGSLMFSGSQVMGNAESLASSDNSNFPAFLPVMQFGRQHGGVPTFGMAFPGYVQPENGIGNPEMTWMPVLTGPGALGASYSPPYAAVDGSYQAHKPGSSSKENSTNNLHDLEKPMERPG >A08p000690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:434294:436780:1 gene:A08p000690.1_BraROA transcript:A08p000690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sks14 [Source:Projected from Arabidopsis thaliana (AT1G55560) UniProtKB/TrEMBL;Acc:A0A178WAD5] MEGRLLTVLVCLVSTVAIVNAGDPYFFYTWNVTYGTASPLGVPQKVILINGQFPGPNLNSTSNNNVVVNVFNNLDEPFLLTWSGIQHRKNCWQDGVAGTSCPIPAGQNFTYHFQPKDQIGSYFYYPTTSLHRFAGGFGGLRVNSRLLIPVPYADPEDDYTVLINDWYTSGHTALKNFLDSGRTLGLPNGVLINGKSGKVGGKNEPLFTMKPGKTYKYRLCNVGFKSTLNFRIQNHKMKLVEMEGSHVLQNDYDSLDVHVGQCFSVLVTANQEAKDYYMVASTRFLKKELSTVGVIRYAGSNVQASAELPKTPVGWAWSLNQFRSFRWNLTSNAARPNPQGSYHYGKINITRSIKLVNTKSVVDGKVRYGFNGVSHVDTETPLKLAEYFQMAEKVFKYDVIKDEPAAQITALTVQPNVLNITFRTFVEIIFENHEKSMESFHLDAILLTFDNAGMWNIRSENLERRYLGQQLYVSVLSPEKSLRDEYNIPLNTNLCGIVKGLPLPARYT >A10p006770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:9880089:9880778:1 gene:A10p006770.1_BraROA transcript:A10p006770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPPSMLHKILSTVATMNIRDFGSARIAFPGFNEVKREDHFYRSANLIYLNDWVDEVSAVRTFRLKCYRSGNPEAIHLRGMYEFFILHLVDERREKIHLAGERGCELAQFVDGMLNLAFSVDHRGIVHNYPAFTRQYVYKMFQIICSWQLSGHWDYDKPDMFLSVAERIEPNVPCDCWCSQIDPPEFEVSLDGSRSRWKCDRCFWNCAAWDFCYQIHLTARTWPIED >A03p006850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2853335:2856315:1 gene:A03p006850.1_BraROA transcript:A03p006850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRSERVRATPPKLFTYVLVGFIALLGLTCLYYGSSFAPGSRKSDEFDGSSPARAGFASNRDGESRVEVPRSIPICDSKHSDLIPCLDRDLHHQLKLRLNLTLMEHYEHHCPPPERRFNCLVPPPAGYMVGLIPIKWPVSRDEVWKANIPHTHLAQEKSDQNWMVVNGDKINFPGGGTHFHYGADKYIVSLAQMLKFPGDKLNNGGSIRNVLDVGCGVASFGAYLLSHDIIAMSLAPNDVHQNQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDPENRKIGTAMHDLFRRMCWRVVAKRDQSVIWGKPISNSCYLKRGPGVQPPLCPSGDDPDATWNVSMKACITPYSVRMHKERWSGLVPWPRRLTAPPPRLEEIGVTPEQFREDTETWRHRVMEYWKLLKPMVQKNSIRNVMDMSSNLGGFAAALNDKDVWVMNVIPVQSQPRMKIIYDRGLIGATHDWCEAFDTYPRTFDLIHAWNTFTETQARGCSIEDLLIEMDRILRPEGFVIIRDTSENISYIKKYLTLLKWDKWMTETTPNGDSLSAAKDERVLIARKKLWSVAAISEL >A06p050230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26428863:26430736:1 gene:A06p050230.1_BraROA transcript:A06p050230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCSCFGPRRRHDVTKNPKLIKGFSDHNSRPDQPSSSSSSANQARASQDSETQQDSSKRTECFTYRELATATNNFRLESMIGRGGFGSVYKGMLETTPGQLKNVAVKMLDTSGHQGDKEFLVEVLMLSLLRHEHLVTLFGYCAEGDQRLLVYEYMPFGSVEDHIHGYGSEEEVLDLSTRMQIALGSAKGLAYLHNVAQPPVIYRDLKTANILLDHGYKAKLSDFGLAKFGPSGDMSHVSTRVMGTHGYCAPEYASTGKLTTKSDIYSFGVVMLELITGRKPIGDACMGAKRLLVNWALPLFRDQEIRKIADPMLSIQGHHYMEEAVERALVLAYMCLREDANARPTVKEVVEALDNIVRFIERKKKKKERNIGYGRRVELEKKGAVAVSSPKETTRMLSVNEIEVEVEVEEEEEDLERERAVADAKNWAETMRALRRQSNEPPKQTTP >A05p015130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6686690:6690034:1 gene:A05p015130.1_BraROA transcript:A05p015130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ent-kaurenoic acid oxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G32440) UniProtKB/Swiss-Prot;Acc:Q9C5Y2] MTETVWIMMWLPLVVMGLFVLKWLLKRVNVWIYESKLGEKRHYLPPGDLGWPFIGNMLPFLRAFKTSDPDSFIRTYITRYGHNGVYKVHMFGNPSVIVTTPETCRKVLTDDDCFQPGWPKSTMELIGKKSFIGISFEEHKRLRRLTAAPVNGHEALSVYIKYIEETVVTALENWSKMGEIEFLTHVRKLTFRIIMYIFMSTESEHVMDALEREYTNLNYGVRAMAINIPGFAYHKALKARKKLVAAFQSSVTNRRNQRKQNVSTNKKDMLDNLIEAKDENGRTLEDEEIIDVLLMYLNAGHESSGHTIMWATIFLQEHPEILQKAKEEQERIVKKRAPGQSLTLKETREMEYLSQVIDETLRVITFSLTAFREAKSDVQMNGYIIPKGWKVLTWFRDVHLDPEIYPDPKKFDPSRWEGYTPKADTFLPFGLGSHLCPGNDLAKLEISIFLHHFLLKYRVERSNPGCPVMFLPHTRPKDNCLARITKTAPGASNVDDMIVCFDLRSEKFSFVKPGERGMLFETLINFQEDPEKHEWSKRIFILPPLWTDTAPRKILYFVGVTDTNEFVFKPFCSSEPFYVHYYNFVNKAIKRVEIQGMGAFEKASGVRIFLNHVEDMKLMQ >A06p054110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28423615:28424607:1 gene:A06p054110.1_BraROA transcript:A06p054110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRSPTPFVLLLLVVVAPAVSADATRDLVTMVGGPYFDVKLGRKDGLESKAHKVRGNVPMPNQTVHDIHGMFKKNGFSLREMVALSGAHTIGFSHCKEFSDRLYGSKADPEINPRFATALKELCKNHTVDDTIAAFNDVMTPGKFDNMYFKNLKRGLGLLASDHLLIKDNSTKPFVELYATDEKAFFEDFARAMEKLGTVGVKGNGEGEVRRRCDHFNNLNV >A09p003300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1949335:1950171:-1 gene:A09p003300.1_BraROA transcript:A09p003300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP20 [Source:Projected from Arabidopsis thaliana (AT3G27010) UniProtKB/TrEMBL;Acc:A0A178VAT1] MDPKNPNQYQVSNFLIPPPQPRDASDDNKDDNHHHNNCGGSSSGRPSWGEGGGEVWPNGAGYRIGFPGFDFPGGAMSFASIFGAGGGGNGNQMLELELGLSQEGNVGVLNQQIYQQMAQAQAQGRVLHHTLHHNPGHEDHQQESCGRDESQGSF >A07p042190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22874486:22875893:1 gene:A07p042190.1_BraROA transcript:A07p042190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQKMEVDHAHKMQRCHEYVEALKEEQKKIQVFQRELPLCLELVTQAIEACKKELSVTSTTSEQYSEQTASVCGGPVLEEFIPIKKSNNEENREHESPREVDKSDVDSKKSDWLRSAHLWNHHSQDPDMTVVVAKKARVVEVKPNSHNRGGFQPFQKEKKRVFSETDLHPAVKATTPAPAPATTPAPATTTCSTTEVGGADKAGEEQIQKQQLQTQTQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRDHMKVDGLTNDEVKSHLQKYRLHTRRPATAQGNGNSQQPQFVVVGGIWVPSPQDFPPPSDVANKGDGVYAQARAQAPVAPQSPKRSVERSSGRCNSPAASSSTNTTTTSASPVS >A07g507120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18481736:18482659:1 gene:A07g507120.1_BraROA transcript:A07g507120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLSRFLPGFDKKRDGTVGSSDDETSSCNASRRLLYIDFQASYRFPRYFYPLPFSILQSCDTLLMKTFSDTFLGPARLSVLESRKAVLVFHCAFHVHKFGNSSPPFRASILHSLNHPNVLSFYALYEMCAYMRLVLEYCFRVISIHFCSRGRQLRGEDAEHLKEE >A07g503350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6610502:6612048:-1 gene:A07g503350.1_BraROA transcript:A07g503350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFRLNTAENEAGDDLFICKRCGLVGGLQSFWRIVVRRWERGAVGLGKKEVGRFASSASRISVVFLALSPAVSPELPLRSVEIEDPFGFTDADETTVFSLILQSVEIEDPFGFTYAEETTVLSLILRSVEIEDLPVGSIAMNFIEKIINFKGSIR >A06p022630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12130384:12132422:1 gene:A06p022630.1_BraROA transcript:A06p022630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVGQWLEEALLDLCGKPETGLNFDRDVISGLVSYCDLAQPVDAKEYLDNIIGKEGKSIIAEYLQRRGYKEPSSHVANASGPELQMYVKPKVDYTGTKKPSKTPKEGTSSNQLPGTAKPTAPAPKVNPKKKKGGKVISLAEAAKGSIVFQQGKPCACQARRHRLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLEEGFTPVSDADVAAEAYAKRLVEYDRNSAARTTVIDDQSDYYESEGSKWVSQEEKELLRKKREEIEEAERAKKSKVVMTFDLVGRKVLLNEDDISELESGNRILGPPETRPVNRIKPNPTAKLIPIFLDQGPTEKKTNIGSASKRDNKKNRNGLCLEITGRVQHDRSELKYLQADPSSVIDGLHVEDGGECSLDYD >A08p006920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3990373:3992211:1 gene:A08p006920.1_BraROA transcript:A08p006920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSELKTILGNYEASSRQTINFSKSSINVGSLRPQRKEYCFPPLLENVCGVISGKPRHLQNSATSYEGVSGALAVKERLKFHCINLDATCDVNYQETPSINHAAVTPSTNPAVVRPSTHTSVWHKPRQGDLKCNVASFWILYNHHGETLLSSRRTCTKLGYEVHVALLNLHWAIKSMMHLHFHRIIIEFSLTALRDVLSSPEFYPQYHNLFDDLQYMLKCIDY >A01p047320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26687634:26688550:-1 gene:A01p047320.1_BraROA transcript:A01p047320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGEISLTQQNTELVLGMVRQQFKKHMNETDPKKIQNASRGLINHMLFESAKLTGRKVSQSS >A05p023570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11410543:11413416:1 gene:A05p023570.1_BraROA transcript:A05p023570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKKAKTTMFSTMECQKAQFFVTILIILFAVSSSKNVCNPACKAKEPFNCDNPLTFNRTSFPKNFTFGAATSAYQIEGASHRALNGWDYFTHRYPEKVPDHSSGDLACDSYDLYKEDVKLLKRMKAQAYRLSIAWSRILPKGRLTGGIDENGIKYYNNLINELKANGIEPYVTIFHWDVPQTLEDEYGGFLSRRIVEDYKNYAELLFQRFGDRVKFWITLNQPYSLASKGYGDGSYPPGRCTGCEFGGDSGTEPYIVAHNQLLAHAKTVALYRKRYQKLHGGKIGTTLIGRWFTPLNENSIRDTAAAKRAFDFFVGWFLDPLVYGRYPKIMRQMVGPRLPKFTPQESKLVKGSLDFLGLNYYVTQYATNAPPSTKPSVITDPRVTLGYYRNGVPIGVQAPSFVYYPPGFRQILNYIKNKYGNPLTYITENGIGDLDMGNLTLPNALADNGRIQNHCSHISCLKCSIEDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKDSGKWFSKFIIKQ >A04g502540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6076224:6079263:-1 gene:A04g502540.1_BraROA transcript:A04g502540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSGAMPVDSVTPREWRSDLPVVLPIRTKRLDIFPKDVQKQISEAKRMGTLPDLSAMLFAQLGLIGGEGSSVAVPRVDAIPPSNTHNAGKGKKRKRGGSGTERSVEETSDVPPSGELQTKKKRKRTKKKSADEGSENLERPTETEGGNVQEEELRPEGEVPADRALGEEDDEEEAVDGQESEASLGDAGSDNLEEESEGSPLLIRGRGDEADGEERLPAPISPYAEVPARPNIGAVQTGTSSRGDAILRRVPGVSFPDKVDFHYEGPAPLAYVPEKCGELLRQFRGRAKPLPAVEDLVFGGEYEEAARAKLLGDSAMNIVVDKYDTALKGALNELEQAKREYAEKEEAFARQLGASKANVERLNGMVTRAIARRDELKADLVASRGGPSTAFEGETPNRGNLVAEDNAPLLVLSDTSAEGSRRGNEGENVGMLEEVPRSDEMHVSPVARESSVRASELSALNDRESDRED >A03p054120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23217550:23218089:1 gene:A03p054120.1_BraROA transcript:A03p054120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIMRQQPCGPLLSIFLPKAENPNTGDLKLLPLQSSFPFTSNITLNNKQSSSSTITTNSSSMELQIYLLDFTPLFKLKSGCCRQGSFDFEKPKMLRKGVNLSVLLWSLLIRRLWS >A02p024660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:12665764:12671150:-1 gene:A02p024660.1_BraROA transcript:A02p024660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPDGSLLDLIVKVRSWITSDPSDSSLCYSSSQHFETMPIVSKMCNDCRGANLHHGGYYCLSCGRLWCKSCYSESDRQEDYKKLCRECDGEVLELREKGYDKVHPRDSPDPPSFLDCRNIASIRCYPSRGEEEEGSRSCGNKQFLSPSSEYYQDSSDIESGSVSARHEHFSCKSSAGSSPHDSPLRNNFSPLGRFVQHAKDLSPRAKPVQGAMEPEEEVDTLQQPLDFENNGRIWYPPPPEDENDDAESNYFAYDDDDDDDDDVGDSAAEFSLSSSFSREKLGENSNEPLRTVVHDHFRALVAELLRGEELAPCDDDDGSAGNWLDIVTALAWQAANFVKPDTREGGSMDPGNYVKIKCVASGNQNESILVRGIVCSKNITHKRMTSQYKHPRVLLLAGSLEYQRVAGQLASFNTLLQQENDHLKAIIAKIDSLHPNVLLVEKSVSSYAQQYLLEKDISLVLNVKRSLLDQIARCTGAVVCPSVDSISTARLGHCELFRTEKVLEQHEAGTQSNRKPSRTLMYFEGCPKRLGCTVVLKGSCREELKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKIRLKQPGMVRSASERRIVDDGISLVTHSPTEKDHEDTTLMQEHEVCEDFDPSLIFPSCEVDTEQSDAMYVGDIPETPTQEVRGEEENLPQHEGHDEEDVSSEYFSAADSHQSILVSFSSRCVLKESVCERSRLLRIKFYGSFDKPLGKYLKDDLFDQTSSCRTCKEVVDAHVLCYSHQNGNLTINVRRHSSMKLPGEQDGKIWMWHRCLRCAHVDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGFGNMVAFFRYSPINILTVFLPPSMLEFNSHPQPEWIRTEAAEVGFKKKHLNPLLHLMNKMRTMYAEISGMLNRMEEKSSLLEPEHSEASDLQRRITGLKDQLVKEKDEYNNLPHPEQDALQPVFVENLQSHGSLDILELNRLRRALMIGSHAWDHQIFLLNTQLKKASDDNASRSLEIHEPPKSDQRPQEGSDEREGNAHSDGEANDDNKYPDKLLSPGSSLSERIDSAWLGSFHSEADAKSPLKRLARPIRVQSFDSAIRFQERIQKGLPPSSLYLNTLRSFHASGEYRNMVRDPLSNVMRTYSQVLPLEVQKLDLIVGSAPTYISSASQMADGARMLIPQRGLNDIVIPVYDDDPASVVSYALNSKEYKEWVVKRGIPRSNRDSEPSSTFSTWRSLGAMDVDYIHHAVYGSSQDDKKSPHLTISFSDRSSSSSEGKVKFSVTCYFATQFDTLRKTCCPSEVDFVRSLSRCQRWSAQGGKSNVYFAKSLDERFIIKQVVKTELDSFEDFAPEYFKYMKESLSSGSPTCLAKILGIYQVSIKHSKGGKETKMDLMVMENLFYNRKISRIYDLKGSARSRYNPNTSGKDKVLLDMNLLETLRTEPIFLGSKAKRSLERAIWNDTNFLASVDVMDYSLLVGFDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIVSPKQYKKRFRKAMTTYFLTVPEQWTS >A09p082300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59630431:59632012:1 gene:A09p082300.1_BraROA transcript:A09p082300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSIFLSLALASLLVGVVSARDWNILNQLKSLGSSPSQPGLVTSGLSTNLKRYCESWRFNVEVHNIRNFDVVPQECVSHVQNYMTSSQYEDDVERAVDEVILHFGSICCSKSKCDGMDAWIFDIDDTLLSTIPYHKSNGFFGGKKLNSTKFEDWVRKRKAPPVPHMVKLYHEIRERGIKIFLISSRKEYLRSATVDNLIQAGYYGWSNLILRGLEDEQKEVKQYKSEKRTWLTSLGYRVWGVMADQWSSFAGCPLPKRTFKLPNSIYYVA >A05p013080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5693902:5696147:-1 gene:A05p013080.1_BraROA transcript:A05p013080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLGANAFGIDFHPSKNLVAAGLIDGQLHLYRYDTESSLVRERKVRAHKESCRAVRFIDDGQRIVTASADCSILATDVETGASVARLENAHEDAVNTLITVTETTIASGDDKGCVKIWDTRQRSCSHEFNVHEDYISDMTVASDSMKLVATSGDGTLSVCNLRTNKVQAQSEFSEDELLSVVIMKNGRKAICGTQNGILMLYSWGFFKDCSDRFVDLSPNSVDVLLKLDEDRLITGCDNGIISLVGILPNRIIQPIGSHEFPIEDLALSHDNKFLGSTAHDSMLKLWDLEEIIEGANGNASGAADDSDSDNDGMDLDNDPKPSKGSKRKTKSKANPVDNRAFFADM >A03p004490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1894596:1896431:1 gene:A03p004490.1_BraROA transcript:A03p004490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDESPVVLITGCSQGGIGHALAREFSDKGCRVVATSRSRSTMTDLEQDPRLFVQELDVQSEQSVSKVMSNVIDKFGQIDVLVNNAGVQCVGPLAEIPMSAVENTFNTNVFGSMRMTQAVVPHMVSKKKGKIVNVGSITVMAPGPWAGVYTATKAAIHALTDTLRFRLELGPFGIDVINVVPGGIRTNIANSAVATFNKMPELKLFKPYEEAIRERAFISQRMKPTPAETFAKDTVAAVLKKNPPAWFSSGRYSTLMAIMYHMPLWFKDFVQKKALMKKD >A01g508020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22666970:22668707:-1 gene:A01g508020.1_BraROA transcript:A01g508020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFFCLALFLYLSLDPDFGYTSASAAPSGKEGVEMRAYLKLGDSKGDEVPEDTIKAVADTLRTSYALKVSEDGKKVGRSTELLKLEDLIEQLNARTVAASPFSYDVKREDVEAFFSQYGKVNSVRMPLHVAKTRVFCGVALVEFPTEEDAQNVMKQSLVFAGLELEMKPKKEFDDDREKDEEKFANYRPQKASANQKNGSEHRNGSESEANHLHASPISGNLEVSCFGDDSNSDTEDHWKFTIEGSGKTWKQDQRVRLQHIDTSAYLHCHNTRIRLQHI >A02g500830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2599992:2601103:1 gene:A02g500830.1_BraROA transcript:A02g500830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSYFANKRFARLPNPKLLVLRDNTVKTFIEDISRNVLLETISKDHHDQNIMFICSYKIPRDQRSGLYFDHFGRIMGHCDGKLPMTVGFGRDNLTRAYKVVLMYDLRVRCEKSRTLVKTEVFNLKSGERRCICCPIPYSELNISITSIFANGSLFWLPFRKLAVAALDLHTEKFRYVLLPSWYTKYSERENLWCLKDRLCLSDVLQNPNVDVWCLQEEDPSVKWEKILSINILSMDGLDSKFWKLALAACSLRHIGEKPYMAHLEQVPDFHFSTILYTENLDSSI >A05p024870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12162156:12162716:1 gene:A05p024870.1_BraROA transcript:A05p024870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKRGKKSNSAASTTEKPTADNTSSFPACLRLLTPSSVAITIHAKPGSKSASITDVSDEAVGVQIDAPARDGEANAALLEYMSSVLGVKKRQVSLGSGSKSRDKVVIVEDMTQQRVFQALSEASKPT >A09g507660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:22172190:22174082:1 gene:A09g507660.1_BraROA transcript:A09g507660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLLLDQSSEIVSQQLCDRCGMLFRELSRFVLERCICSHKGLTDSIYPHGNQSYLAQQKGKCSCKYISGGIFKSESHYCIVGYVEYDMEADLDSWQKLIDFGEVKISYISFFDIKKHETVNSRWDLELGQEQMRFDIGKEKEVKLVKNQASEVFLKDGKFFYKNSGDMLQTSSMKDSDPQKFWCSAHQK >A03p068430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29977185:29977715:1 gene:A03p068430.1_BraROA transcript:A03p068430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKGKVPKRINKAVRERIICEHLNELFIELANSLELNQQNSGKVSVLCEATQFLKDVFGNRREDSELQGRNISARDRDL >A02p047290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29535162:29535492:-1 gene:A02p047290.1_BraROA transcript:A02p047290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWNSALSLCKPLVSFHLVEFNQYLVFVDVSLILSGPLRTAVHSLEKKGINGYRERHKLMEKHYGRQPLELHLLVS >A09g509390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:27603932:27604301:1 gene:A09g509390.1_BraROA transcript:A09g509390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNENKEFQSQVIFSPKLSLSLSNLDFGFKSAGSKMSHVQCLDLDRWYLFTSIDINLHLSRNLMVSIDSTGCASIDCSSSRRPLHGQLG >A05p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2097021:2101364:1 gene:A05p005400.1_BraROA transcript:A05p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTSFSCAIILLSVVIFLINSSNAMLSFNVQRYGARGDGKTDSTKPFSTAWSLACGSEAQAMVYIPHGTYLVRNLVFWGPCKNITTFKIDGKLVAPKNYWSIGHSGYWILFAKVNRILVYGGTIDAKGAGYWSCRKKGGHCPQGARSISFSWCNNVLLSGLTSLNSQNIHVTVHHSSNVRIQNIRIRAPSRSPNTDGIIVQSSSGVTISGAVIGTGDDCIALNQGSVNIYIKRVLCGPGHGISIGSLGDHADEEGVNNVTVTNSVFTKTRNGVRIKSWARPSTGFVRNVEFRNLVMRNVGNPLIIDQNYCPSGKGCPNQSSGVKISGVTFANIKGTSTTPIAMKLDCSGSHHCTEITLKNIKLTYMKGATTSYCKNAHGGASGVVVPMNCINKLVAILLMFFSSILLMRTSMAAYNYNVVKFGAKPDGRTDSTKAFLGAWQAACRSAASVTVTVPRGSFLLKPVEFRGPCRSKITFQIYGTIVAPTDYRGLGNSGYWILFVKVNRISINGGTLDARGASFWACRKSGKSCPAGARSMTFNWANNVVVTGLTSINSQVTHLVINSCNNVVVRKVKLVAPDQSPNTDGLHVQNSAGVTVTDSTFQTGDDCISIGPGTRNLYMSKLNCGPGHGISIGSLGRDAKEAGVQNITLVNSVFSGSDNGVRIKSWARQSTGFVRNVLFQNLIMKNVQNPIIVDQNYCPTHQGCPKQGSGVKISQVVYRNIQGTSRTQHALKFDCSRSNPCQAIRLHDIKLTFNGRSATSQCKNIKGVKAGVVMPQGCL >A07g506260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14876097:14877364:-1 gene:A07g506260.1_BraROA transcript:A07g506260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISMKLAFFIFIAISSVMSITETGANRLLQDEASQTVLLHHEASSQEAINPNKIHCKKGYDTKDGGGSSSDMRVVPPSKIEFPPYDGTTNAIEWSFDLMMTIFMIKECSMTMQK >A03p042730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17850933:17851668:-1 gene:A03p042730.1_BraROA transcript:A03p042730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICNSYSSSSVDSTKHSASESSVNLSLSLTFPSTSPQRATKQDWPPIKPRLKDTLKVRRRLLQRDYDTCLFVKVYMEGVPIGRKLDLSTFSGYESLLENLSHMFNTSIICGNHRDRKHHVLTYQDTDGDWMMVGDIPWEMFLETVRRLKITKPERC >A08p030210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18515174:18517062:-1 gene:A08p030210.1_BraROA transcript:A08p030210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVISSKNPDPVEKPTNEKPPGVNRYALQCSIVASIVSIIFGYDTGVMSGAMVFIEEDLKTNEVQIEVLTGILNLCALFGSLLAGRTSDIIGRRFTIVLASILFMLGSVLMGWGPNYPVLLTGRCTAGLGVGFALMVAPVYSAEIATASHRGLLASLPHLCISIGILLGYLVNYFFSKLPMHIGWRLMLGIAAIPSLVLALGILKMPESPRWLVLQGRLGEAKKILKLVSNSPEEAELRFKDIKTAAGIDANCEDEVVKMEKKKTHGEGVWKELILRPTPAVRRVLLTALGIHFFQHATGIEAVLLYGPKIFKRAGITAKDKLFLVTIGVGIMKTTFILTATFLLDKVGRRKLLLTSVGGMVCALTMLGFGLTMAQNSGGKLAWALVLSIVSAYSFVAVFSIGLGPITWVYSSEVFPLKLRAQGASLGVAVNRVMNATVSMSFLSLTRAITTGGAFFMFAGVAAVAWNFFFFLMPETKGKSLEEIEALFQRDGDNKTKGENGTA >A04p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11588635:11591715:1 gene:A04p019350.1_BraROA transcript:A04p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYC6.2 [Source:Projected from Arabidopsis thaliana (AT5G41315) UniProtKB/TrEMBL;Acc:A0A178UFB0] MVTGQNRTSVPENLKKQLAVSIRSIQWSYAIFWSVSASQPGVLEWGDGYYNGDIKTRKTIQASEIKADQLGLRRSEQLRELFESLSIAESSSTGTAVGSQVSRRASAAALSPEDLADTEWYYLVCMSFVFKIGEGMPGRTFANGEPIWLCNAGTVIVKFLAVLSSLNASVNTVICFPFLGGVVEIGTTEHIAEDMNVIQCVKKSFLEAPDSNASILQPISSDYHIDNVLDPQHILGDEIYAPMFGTRPFQATSPTRTTNGFDPEHDQLAEDHDSFMAEGINSQVQSWQHMDDELSNCVHQSLNSSDCVSQTFAEAGARRVSCGARKGRIQRLGHLQEQQKNVKMLSFDPRNDDVHYQSVISTIFKTSHQLILGPQFGNCDKRSSFTRWKKPSPSSSGTASIVAPSQGMLKKIIFEVPRVHQKEKLMLDSPVAGDETANHAVSEKKRREKLNERFLILRSIIPSVNKSDKVSILDDTIEYLQELERRVQELESCRESTDTETRGTIAAKRKKPYDAGERTSANCTNNEIGYVKRTHVGEAEPAETGLTDNLRIRSFGNEVVIELRCVWREGVLLEIMDVISNLHLDSHSVQSSTGDGLLCLTVSCKHKGSKIATPGMIKEALQKVAWIC >A01p058100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32975594:32978416:-1 gene:A01p058100.1_BraROA transcript:A01p058100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYGIQSMLKEGYRHLSGLDEAVIKNIEACKELSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEIQHPAAKILVLAAKAQQEEIGDGANLTISFAGELLQNAEELIRMGLHPSEIISGYNKAIIKVVEILEQLVESGSETMDVRDKDEVVSRMRAAVASKQFGQEEIICSLVADACIQVCPKNPTNFNVDNVRVAKLLGGGLHNSCIVRGMVLKSDAVGSIKRMEKAKVAVFAGGVDTTATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGGSVGEMALHFCERYKIMVLKISSKFELRRFCRTAGAVAHLKLSRPSPDDLGYVDSISVEEIGGVTVTIARNEQGGNSISTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELAQRLKEYANAETGLDKYAITKYAESFEFVPKTLADNAGLNAMEIIASLYTGHGSGNTKLGIDLEEGACKDVSETKVWDLFATKLFALKYASDAACTVLRVDQIIMAKQAGGPRRDAAQAAGAGAEED >A02p015400.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6831980:6832468:1 gene:A02p015400.1_BraROA transcript:A02p015400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLQASFCISLISFYISLLSFVNLNSASDSNSGLCTLYPCNQPPQPPSSTGYSPYGNPPPPPPSTGYSPYGNPPPPSPPRSRCPPVPPTGCCNQPPPSTYYSPPYPYFYTPPYPYGTLGGGESGGGQGGGGGTGAAVAHYSSSSVTVYVLMIVVSCAFVVF >A02p038960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14738184:14740361:1 gene:A02p038960.1_BraROA transcript:A02p038960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRRWDPGIRVRDWIYHDQNTDCGNKDFYLARLGIAMGIEKEICGSLWKFQICLQGRWSLDGFMTELRIFTGCNLDRDFLIFLLFLMEIDRLYLIGNGVFGYLWGSRSMSIKSRRYGLMMDYLVMEYMENWVESKWVKVAERGSRKPPTHHGNYRGDGEGFRYKTTRRDEGRSGAPGGGVGDQEARIRTSVDRPREDQRQRTITPEAREEGEIKSAGDEVVTTATAEFQLELAKTQAEGSEVIMEATEEEMGLLKLQGLMEKQDSMAEDIDMELEAINATILESGVELETEEEFQTLSDEEAEKVLEVNGVQGHTEEEEEMVSGEVNNNKDTATGNMATRQSNRKRLFKPTVSIAGSTKMRMASALLSPRKKAVAKMGTRQGESGKPVESKGPSNPKSLNLKF >A07p022400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13088161:13089156:1 gene:A07p022400.1_BraROA transcript:A07p022400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSDEGDRLPAPSSSDELSSILRQVLSRAPTAQPSFSPKKIVSSAEMFNRTFPLVPGGAVSYAACAAAETGEGKCGFENKRNGARQRNSLKRNIGAQFHNLSEKRRRSKINEKMKALQKLIPNSNKVTDKASMLDEAIEYLKQLQLQFQTLAAMNGLGLNPLRLPPILPPTQTRITGTSEQGLNLETLLGGSHSMANREPPETQEMCFPTTTLL >A07p002250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3966072:3974726:1 gene:A07p002250.1_BraROA transcript:A07p002250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNEDNKKEENKKTKKKWQKSYFDVLGICCPSEVPIIENILKSLEGIKEYSVIVPTRTVIVVHDSLLISPSQIAKALNQARLEANVKVDGKTSFKNKWPSPFAMISGILLLLSFFKFLYSPFRWLAVAAVAAGIYPILAKAVASIGRCRVDINILIIITVAATLAMQDYMEAAAVVFLFTIAEWLETRASYKANAVMQSLMSLAPQKAIIAETGEEVEVDDVKVNTIVAVKAGETIPIDGIVVDGNCEVDEKTLTGEAFPVPKQRDSTVWAGTINLNGYISVKTTALASDCVVTKMAKLVEEAQSSKTKSQRLIDKCSQYYTPAIILISAGIAAVPAIMKVHNLNHWFHLALVVLVSACPCGLILSTPVATFCALTKAATSGLLIKTTIVDYAKSVDVEPRTEEVEDYQLFPGEGIYGKIDGNDIYIGNKRIASRAKCSTVPEIEVDTKGGKTVGYIYVGERLAGVFNLSDACRSGVIQAMKELKDLGIKTAMLTGDNQDAAMHAQEQLGNALDVVHGELLPEDKSRIIQEFKKEGPTAMVGDGVNDAPALATADIGISMGISGSALATQTGDIILMSNDIRRIPQAIKIARRARRKVVQNVFISIIFKVGILILAICGHPLIWAAVLVDVGTCLLVILNSMLLLREKDKSKNKKCYRSSVLNGKKLEGEADEEVDLEAGLLSKSQCNSGCCGDKKTQEKVVMKKPSSKSSSGYDHAGGCCGDKKTQEKVMMVKPSSKSSSGHGHSGGCCGDKKTQEKVGMVKPSSKSSSGHGHSGGCCGDKKTQEKVGMVKPSSKSSSGHDHSGGCCGDKKTQEKVGMVKPSSKSSSGHGHSGGCCGDKKTQEKVVMMRPISKSSADHGHSGGCCSDKKTQEKVVMVKPSSESSSGHGHSGGCCGDKKTQEKVVMMRPISKSSADHGHSGGCCSDKKTQEKVVMVKPSSESSSDHGHSGGCCGDKKQENVKVLVKDSCCAEKTNKPVGDMASSSSCKKSTHVHNDLKQKGGSGCCTKDKEKAEKNVEMQILGEGLIDLEKGLQKKAGETCKTSCCGTKEKAKETSPLEKDVLIKETVKQTEEIALAAEEETGSLDCWLDSCENKTTVKRSCHEETSQDIEAGVSCDLKLACCGSIEGGEVKEKLDLEIKSEGQCKSVCCGDEKQTGEITLACEEESGCCGNKEEVGQICHQKDCLETDLKLVCCGNTEGEVREAFDLEKGKGECCGKEVTQICSEKPVSKSPCCGTGLKQEGSSSSVNVVESGSKEREMVKVSSQSCCTSPNDLVLQVKKVESCCKVKTPEACGSKCKASSPSPPPRPPPSPPCGGCLMETVTE >A03p051560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20452666:20457579:-1 gene:A03p051560.1_BraROA transcript:A03p051560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRRRCEGTAMGATVFDLRPGVGIGPFSIGMPICDAFAQIEQQPNIYDVVHVKYHDEDPLKLDIVISFPDHGFHLRFDPWSQRLRLVEIYDVKRLQMRYATSMIGGPSTMATFVAVYALFGPTFPGIYDKERGVYSLFYPGLSFQFPIPNQYTDCCHDGEAALPLEFPDGTTPVTCRVSIYDNSSDKKVGVGKLMDRASVRPLPPGSLYMEEVHVKLGKELYFTVGGQHMPFGASPQDVWTDLGRPCGIHPKQVDQMVIHSASDPRPKTTLCGDYFYNYFTRGMDILFDGETHRAKKFVLHTNYPGHADFNSYIKCNFVISVGESEAEANRGGNKITPRTNWEQVKEILGECGPAAIQTQGSTSNPFGSTYVYGYQNVAFEVMKNGHIATITLFQGFLCFGWRGYIFYYSLQTNSFSDLFSSAVRSILLLWDLAEMMNASGLTLAPPKFHFLWRSHRFGTPQRSSQALAVRRDAAACPLLQRACLALSTQRSNAMIVRAMSASFGDMSDDSSAVFPRINVKDPYKRLGISRMASEDEIQGARNFLIQQYAGHKPSVDAIESAHDKIIMQKFHERKNPKIDINKKVRQVRQSKVVSFVFDRFQTPPSAFLVKTAVTFAVLGALTVLFPTEEGPTLQVLLSVIATFYFIHQRLKKKLWSFLYGTGSFIFSWLIGTFLMVSVIPPFIKGPRGFEVMSSLLSYVLLWVASSYLR >A02p004940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2142347:2142723:-1 gene:A02p004940.1_BraROA transcript:A02p004940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:Projected from Arabidopsis thaliana (AT5G12140) UniProtKB/TrEMBL;Acc:A0A178UCE6] MADQQGGTIVGGVRDIDANANDLQVESLARFAVDEHNKKENVTLEYRRLLGAKTQVVAGTMHHLTVEVADGETKKVYEAKVLEKAWENLKKLEDFNHVRDV >A08p007840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5187903:5188354:1 gene:A08p007840.1_BraROA transcript:A08p007840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILATSYLNLFSSLKEIWEKRNLKLDCKVRLQQNVFQHRSHQRNYVKLSAAIRQLGSERDISVVSDLSIGKLDLSEEFSLEDSLLKVPFGVEKFGSNLGSSRARQARPCIKADEAMGLGHILEK >A03p057870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:25070815:25073630:1 gene:A03p057870.1_BraROA transcript:A03p057870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMLFSLTSPRLFSAVSRKPTASLSSPSPRTQWAQLSPGRSISLRKRFFLLPAKATTEQSGQGGGEDVDSNIMPYCSINKAEKKSIGEMEQEFLQALQSFYYDGKATMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILNDEEFDKLKLKLKIDGSEIVCEGPRCSLRSKKVYSDLAVDYFKMLLLNVPATVVALGLFFFLDDITGFEITYILELSEPYSFIFTWFAAVPLIVYLALTITKLIIKDFLILKGPCPNCGTENTSFFGTILSISSGGKTNTVKCTNCGTAMEYDSGSRKQE >A08p029430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18241417:18242204:1 gene:A08p029430.1_BraROA transcript:A08p029430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQISEIEQEQLLEKLEIFKIHGRDKRRRKILRIIGKFFPARLLSLDVLKKYLEEKIFPRLGRKPFSILYVHTGVQRSENFPGISALRAIYDAIPVNVRDNLQEVYFLHPGLQSRLFLATCGRFLFSGGLYGKLRYVSRVDYLWEHVRRNEIEMPEFVYDHDDDLEYRPMMDYGQESDHARVYAGAAVDSPVSSFSMRCIS >A06p035820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19446322:19448469:-1 gene:A06p035820.1_BraROA transcript:A06p035820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSLSGEVFSFEEFDIDFEFDAPRFYDFSRPELDSETEEIEFWFESAGNYPPSPFSPKCNWKLEPLKQITNIISETKPVEISKPVIESGLNRKDQYNGFIYYNQTVKDVSKTKPKSKTKSCCSSTLTRPTASLLARQNKPLDVYSVQLLTRCQRSLAKFGGNLSPILDSKLQNQDTKRPKLEAKVSRVNSNKRSKLTVPKEPNLRTAERSERHRSKVNSETEQNAKSRISSSKRNATNNNINVEPCSTPLPKSNTPRSQDLQVFGLRTLLRAKERSSNAKIDAVQENVATNSRTLKATDSSKGSRLVKGNHSRKINCQVYGSNICTLDSKRSSKEELPEPTSIKYGTKSSYRSLNLCRKFDSQEVSGSLIIA >A03p061860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26873416:26875487:1 gene:A03p061860.1_BraROA transcript:A03p061860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKYESLDAFDLTLDEKNKRKLQLIEELTSNADQVQKRVLEEILIRNADVEYLRRHDLNGRTDRETFKNVMPVITYEDIQPEINRIANGDKSPILSSKPISEFLTSSGTSGGERKLMPTIEEELDRRSLLYSFLMPVMSQFVPGLDKGKGMYFLFIKSESKTPGGLPARPVLTSYYKSSHFKERSFDPYTDYTSPNETILCPDSYQSMYSQMLCGLCQHQEVLRVGAVFASGFIRAIKFLEKHWTELVRDIRTGTLSSLITDPSVREAVAKILKPSPKLADFVEFECKKKSWHGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLRPLCKPSEVSYTLIPTMAYFEFLPVHRNTGVTNSINLPKALTEKEQQELVDLVDVKLGQEYELVVTTYAGGVGDLLRVTGFKNKAPQFSFICRKNVVLSIDSDKTDEVELQNAVKNAMTHLVPFDASLSEYTSYADTSSIPGHYVLFWELCLDGNTPIPPSVFEDCCLAVEESFNSVYRQGRVSDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVVDSYFSPKCPKWVPGHKQWGSN >A04g502900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6524191:6524959:1 gene:A04g502900.1_BraROA transcript:A04g502900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHQSTSGNGDQIDETHRLGSNPSISPGLTKSGLRFRLIHFWEARNTAKEGMLSGFRTASHRGAVSLLIFGTFRLLNNFTKCIKSLVHQMKNLGKEHAAFSNIS >A03p063430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27520877:27523212:1 gene:A03p063430.1_BraROA transcript:A03p063430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRRIIVSNINRTIRLHRRGRREPVEDEEEEEEETPQISSEITLRKPRSVSPPTEREVFQMGNAGGGGKLPPKNDGGFGAPARDPARQLDEHSRPKPAPTVVTSSLHPVATSQSQILNAPIRLPRQESPKSRPRPIVEGRDGTPQKKKHCNCKHSRCLKLYCECFASGTYCDGCNCINCFNNVDNEPARRDAVEATLERNPNAFRPKIAHGVMDKREEMVLLGKHNKGCNCKKSGCLKKYCECFQANILCSENCKCLDCKNFDGSEERQALFHGEHANNMAYLQQTANAAITGAVGSSGFAPYPALKRRKGGQDISFSHATKDTSPATGPSKSLLADVIQPQDVKALCSVLVAVAGEAAKTLTDKRNENRVEDQTETSLASSAQENSQGDVEMAEPDGNQADKSRGKPLSPATLALMCDDEEDTMFMVAASEPNGSVDPGGCRTNSQGQSQNYAELERVVLTKLRDCLSRLISYAEFKESKCSYLARRHIQSPPAATDTVKMENGIQQVPPIVNGASRATTQPTVNKPQLFQPMQTTTNTSGTHHPHKPPALPEKKDPLNQ >A01p013730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6691950:6695211:-1 gene:A01p013730.1_BraROA transcript:A01p013730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTYLQSHRLVLLFSFSLVLFLNTELSLLASAGGTDKNTKVYIVYLGEREHDDPELVTASHHQMLESLHESKEEAHNSMIYSYQHGFSGFAALLTSSQAKKISEHPSVIHVIPNRIVKLKTTRTWDHLGLSQVPTSSSPSSVKGLLNETNMGSDAIIGLLDTGIWPESEVFNDQGFGPIPKRWRGKCESGEDFNATIHCNNKLIGAKYYQKGMMAEIRGKRKSMTRESREFKSNRDAIGHGTHTATIAGGSFVSNASFYGLAQGTVRGGAPRARIASYKVCWDLFFLRCSIVDMWKAYDDAIHDGVDVLSVSLGGDVPEGSEVDQVDFVAAFHAVTKGIPVVAAAGNDGPNAQSVINVAPWFLTVAATTLDRSFPTKITLGNNQTFYAESLFTGPDITTGLAFLESYSHNTTGMKGKTVLAFDKRVPKEGLGAVILAQSVPDESYPYILTSYEHGADILQYIRTTRSPTVRISAATTLTGPPAAAKVVVFSSRGPNSVSPAILKPDIAAPGVNILAALNPLNPDSHNGFGLLSGTSMSTPVVSGITALLKCLHPDWSPAAIRSALVTTAWRTSKSGEPIFAEGSSKKLADPFDYGGGLVNPESAADPGLVYDMGIKDYISYMCSAGYNDTSISRVLGKKTNCPSPGASILDINLPSITIPNLDQEVTLTRTLTNVGPNNSVYKAVIESPLGVTLTVSPTTLVFGSGEVLSYAVTAKTSHEVNTGYFFGSLTWTDGVHDVRIPVSVQTTIMTKP >A07p031930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17593535:17598700:1 gene:A07p031930.1_BraROA transcript:A07p031930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENESWAAASPPSSPSGLFPNGLLPGMSESVTRPLDAERWAVAEERTAELISHIQPNPPSEDRRNAVARYVRRLIMECFPLQVEIFTFGSVPLKTYLPDGDIDLTAFSTNQNLKDSWANLVRDMLEKEEKNENAEFHVKEVQYIQAEVKLIKCLVENIVVDISFNQIGGLCTLCFLDQVDQFINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFSGPLEVLYRFLEFFSKFDWQNFCISLWGPVPVSSLPDVTAEPPRKDAGELRRNEAFLKYCSRVYAVNPVAQETQGQPFLSKHFNVIDPLRENNNLGRSVSKGNFFRIRSAFTLGAKKLARLLECPKENLIHEVNQFFMNTWDRHGSGRRPDAPGNDLWLSRRLGDPEPCLQAENASSSSSSKRNQNSIRSGEVQGARSMPSQQNNCGTEVTSKATYQNPKSCGNAYQLAQEARSNQNASNDKLQQTVKPDIMVNSFHGRHLFARTRSSPELTETCGEALLQPRRSRAPEAGKRQPNSMRAENIRKTNMESESLSSSIRYAADSSSVRHTPSPRSPDSTADMSSAVNSYYDDLGSVSVNEDFSAAGEHGMQQEEQDLVNSMASFTREGFNGHFPFPFNFPTGHMPLQITPAMLASMGYGQRNVPGIVPSNFPFMETPWSTNVQFQQNFASSPFTHYLPSGSDPISEKLSKAGNEDMGSPQVNVDESGHEHWHEQERGTHICRLENGHDGMHQANDKHHSSSAEHVTVPSSRKIRSTRGDDLENSHSPVRGSQIQSEERNAGSRSVSYASSVRSRTSSESSWDGSTTRGSKPARDKRNRKVGSGAVPALYGKGKSVPEHSIQVEDDNREWIPVSSNEAIGRDFGPRPPVASFQLQRHQIHGHELAQASGSESTVPLAPFILGHGMQQNEADSSGYTFYPTGPPVPFFTMVPMYNYQAGGNATSDASASHLSVDEGVDNHDSCKSFDSSKGDQSDLNVSSQSTRAGLSVEPTELKNDILNGDFDNHWQNLQYGRYCQNSQHPPVLYPAPVVVPPTYLQGRLPWDGPGRPLAYTNVVNQLMAYGPRILPVAPVQPVSTRPPNIYPRYANEAPRYRGGTGTYFPNPKISPREQRPTSGVRRGNYGHDRNEHHSDREGNWNNSKARGSGRGHSNRNQADNKPRQDRSDRQWGSSYRHESSSYSSHQSRNGPVRSHDGPGNVAYSMYRMPPGMKQNNATSSEGHNGPPVMMYYPHDHNSVYNSPTEQVEFASHGPAGEAPHRNDGNLSAGGAFEDQPRYRGAHMSSPDDPSSPRGSNSNAAWSSLSWNKDKNLEETV >A05p001280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:137760:143057:1 gene:A05p001280.1_BraROA transcript:A05p001280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSESFPLPSSNFASLPVAAMKRRIVEKILENRVTLIVGEPGCGKSSQVPQYLLEANMSPILCTQPRRFAVVAVAKMVAKSRNSDLGDEIGYHIGHSKILSQGSKIVFKTAGVLLDEMLDKGLSALKYKVIILDEVHERSVESDLVLVCVKQFLMKNSDLRVVLMSATADITRYRDYFKELGRGERVEVVAIPSPDQRTIFQRRVFYLEQVAGLLGVSSDFSAYCPGPSPSSADTEIKPDLQNLIHDLILHIHGKEPDIEKSILVFLPTYYSLEQQWYQLEPFRASFEVHILHRSIDTERALAAMKICRSRRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWDASRKRDAVQLVWVSRSQAEQRRGRTGRTCDGEVYRLVPSAFFNKLEEHETPAVLQLSLRQQVLHICCTESRAINDANALLGKAMDPPNPEVIDDALSMLLSIQALRKSPRGRYEPTFYGRLLASFPLSFDASILVVKFGEMGMLREGILLGVLMDTQPLPINHPFGDDAQFLEYVDHYFCGESSKTISGGRREMVLMANLCAFQFWQRVFKDKHRLESIKQLLSKEKDKDVKLILPVIEQEWCDFHNISRSSFNHVSEMYEDTLSSIHRFRPQFISSSDSLPTYYDPYEFDHTCCVECQASGDRYQHSEDQPPLETRKCISLPFVPPNAFQANAIAKNMANIIKEIRTQGTISDNGHGAIEPEAYADNGEAPVCVYFLNGFCNRGDQCPFSHTLQSTRPACRFFTSSQGCRNGESCMFSHAMRRQTTQSYSTPPCLPEEDDSSTSPLLDLFPTSSEGCILVLDDSDMHFTSSIANRYPSWKILSTSSSSETLFCDSSLGDTRIFWGLSHPYETIISKAGEENAIPWNEVTCVLWFLNPDSYGETPEKQKAVVQNFFEYMAIRILGDGLYDIRVVLTMNNIMFSNLQVEKLARDSFFFLGESFPHNSVIFGEFSDTVTIQKPMLVSRPVSYVFDLHPPTDTQFGEYTSGLHNSLHNQ >A04p032060.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:18744737:18746242:-1 gene:A04p032060.1_BraROA transcript:A04p032060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSVSILASLSPYILISALLLYLLLEQLTYLRKKGNLPGPLFVPPIIGNAVSVVRDPTSFWYKQSALAGNSPGLSANYLVGRFIVYIRDTELSHQIFTNVRPDAFHFVGHPFGKKLFGDHNFIYMFGDDHKSVRRQIAPNFTPKALSTYSELMQVIILRHIRLWEESCNGPMSLRNLVRDLNLETSQTVFVGPYLDEEDKNRFRTDYNIFNLGTMTLPFDLPGSAFHKARMAVKRLANILAVCAGKSKARMAAGEEPTCLIDFWMQPIVAEAASGNPPPPHSRDEEIGGFLFDFLFAAQDASTSSLLWAVTQLESKPEVLRRVREEVGSIWSPESNALITVDQLAEMKYTLNVAREVVRYRPPATMVPHVAAVDFPLTKTYTVPKGTIVFPSVFDSSFQGFTEPDQFDPDRFSETRKEDQVFKRNFLAFGWGSHQCVGQRYALNHLVLFIAMFSTLLDFKRLRSDGCDEIVYCPTISPKDGCTVFLSRRVAAYPDLTLN >A02p004540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1970670:1970970:-1 gene:A02p004540.1_BraROA transcript:A02p004540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTEIFIFVVAKRSQGRCIAPSSHRRGRLSVNGSSELHFRLADIGSLIRFCFRSSCPSSRGSYSWVVKHIRLSNELILARPHDGV >A09g510980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:33468018:33475114:-1 gene:A09g510980.1_BraROA transcript:A09g510980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDIWEEWWRPACVLDIQPDMWSTRCRCTCVWNHAKRHTGCHQPEADWLLSSINMIDEDIQPEPEPDLTEPDIQDMISNITKSETVQEVPVPTVFKGSITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASTKASLVYLARYNSTAYMGEASEQATMMQVLNALREEMRVMRQDLGERMTRVEQRPPPLQPVRNVDRFLNPNNRRYGVPVHDNPETSTRNQQTDEDTGQQHGPIPNQRAGLQPDDYGEEEEEEGNITKSETVQEVPVPTVFKGAITRQRAKVLQHKFNESMILASDLGQVKLADEPSLKQDELKGAEPVKEKQASIPPRPRGTLCCITSLPSLVWCTSFHHTVPVPIPWLIRSVHPDHPCVRSAPSLLLGNVQPLIA >A01p006250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3194590:3197421:-1 gene:A01p006250.1_BraROA transcript:A01p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTMDPESVMAADGTDSALANGGVAVEDVGVEGNGVTQGINVEDQEHHGKQDSTPAESATGDKVKPKPQKKQVHETSEDDTQSSNSPKADDGKPRKVGALPNYGFSFKCDQRAEKRREFYVKLEEKTHAKEEEINNMQAKSKETEEAELRKLRKSLNFKATPMPSFSQPPKTELKKIPPTRPKSPKLGRKKTDAEETQTPRVGRLSLDEKASRDHPAAKGTAATVDPKKQPLRKSLPRLPSQKTTLPDGKPAATTSAKVKPERKKLEKDGETQSQSSNPKEEEAQVTASPNADGEKDSHETVSPRMDEDRVESVEVPEAVAVEHKELCVN >A07g501300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2723899:2724673:1 gene:A07g501300.1_BraROA transcript:A07g501300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFKSAHQADLKGKGILYEDDDEPVKLVDRDDSFVIKEFERITANDLGNGKFLFNFTNMEDLNYVMAKGPFHFNFCYRIVHIDTMELTEGRMLIDEDSRRPLKFSRKVEYEGYCPSIGTRQPTVERSDVFTRMHLPARQNVIRDTQGNDQSFISLR >A07p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21318062:21319608:-1 gene:A07p040150.1_BraROA transcript:A07p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKCSMKLLLNYLCNLFRTFNCGMATTTGLESLVDQIISVITNDGRNIVGVLKGFDQATNIILDESHERVFSTKEGVQQHVLGLYIIRGDNIGVIGELDEELDASLDFSKLRAHPLKPVVH >A06g500700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2987766:2990479:-1 gene:A06g500700.1_BraROA transcript:A06g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKARVWCQIVFWSARLWKKYAVLFQKMERIAVGGTYESQDPSERKLQRSMTVRSAGDVSGYGSSRFLETKVQDRKDEDASVYETITGHNIQRMVEALSVWWYMNCFEEAMTPGGFSVRSEGFLFQVESCLYKCCSAHLQMFFGFRWSSATGSDEFLKSSQCIEGKENQVECVQENVKCSDIKAESEREKQFLGGAIFSKESCIIRVLSSRLRNKFLLKFSQSVSLQEAVQVQVSRLSWLDRRLVESGLIQGGNKYVSGFCKRFSVVFLILISEILYIESLLNRVVLSGVFCWRQQRCRVVFKSVCSSSGCEENLRLSSWCLLFVEIGFKGFESRSFWFEASDSCSASDSITDGWSSQVSGFIETAKRESMKKLSLTQSCVKAVYQLKKTVLRNEKVINITAMACEFHVWFIDGD >A03g508040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28181768:28182507:1 gene:A03g508040.1_BraROA transcript:A03g508040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEERREMKRQKEHYMMLQCAADAQYGIPTRCLCGSRIINEVRGKEEYDSLPGKRFFTCKNYEDDGLHFRHPWVFGVQEEIESLTKRVKESEEVTLLVAKLNKQIETLAEQVHDLNVKVRVLQKVTGIKSQVRKSR >A01p046760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26315200:26316696:-1 gene:A01p046760.1_BraROA transcript:A01p046760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALRGLSPSRSRTRSRSVSPARSSSPMTALSWGRKNFTGGGGYYVTQPELLIERSGSLRPVMEGPDPDEEEEGGSVGDSKRLGSGLGNWVKGQLSRAPSVAATAAHRRNDLRLLLGVMGAPLAPIHVSSSDPLPHLSIKNTPIETSSAQYILQQYTAASGGQKLKSSIKNAYAMGKLKMITSEIQTATRTVRNRNPSKAETGGFVLWQMNPDMWYVELSVGGNKVRAGCNGKLVWRHTPWLGSHTAKGPVRPLRRGLQGLDPRTTAAMFAEAKCVGEKNVNGEDCFILKLSTDPETLKARSEGPAEIIRHVLSGYFSQKTGLLVHIEDSHLTRIQSNGGDTVFWETTYNSSLDDYRQVEGVMIAHSGHSVVTLFRFGEVAMSHTRTKMEESWTIEEVAFNVPGLSLDCFIPPADLKTGSVADSCEYGQEERGKSALSAAHRAKVAALEN >A06p024930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15190698:15193121:1 gene:A06p024930.1_BraROA transcript:A06p024930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase-like 48 [Source:Projected from Arabidopsis thaliana (AT3G45010) UniProtKB/Swiss-Prot;Acc:Q56WF8] MDSRTSFLTLFLCIFLFSHFTPLNSKPVTNDPLSFSSSASLPTLTAERLIKGFNLMPTRDVNVIPEDGSEAPRLVERNFDLPATIDRRDSGGSPSLQDFGHHAGYYKLPNSKAARMFYFFFESRSNKADPVVIWLTGGPGCSSELALFYENGPFTVSNNSSLAWNDFGWDKASNLIYVDQPVGTGFSYTSDESDLRHDEDGVSNDLYDFLQAFFKEHPQFVKNDFYITGESYAGHYIPALASRVHRGNKNKEGTHINLKGFAIGNGLTNPEIQYAAYADYALDMKLISQSDHDNLNRDYETCQQSIKECSADGGGEACASSYIVCNNIFQKIMNIAGNVNYYDVRKQCKGSLCYDFSNMEKFLNQKSVRTALGVGDIEFVSCSTAVYDAMQLDWMRNLEVGIPALLEDGIKMLIYAGEYDLICNWLGNSKWVHEMEWSGRKEFVAAATVPFNVDNREAGLMKNHGSLTFLKVHDAGHMVPMDQPKAALQMLKDWMQGKLGTPTGRTARQ >A09p077830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57693008:57703123:1 gene:A09p077830.1_BraROA transcript:A09p077830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAHDIHNVLRRKKFVLFLDDIWEKVNLSTIGVPYPSKVNGSKVVFTTRSRDVCGRMGVDDPIEVCCLDTDKAWDLFKKKVGEHTLGRHPDIPELAKKVAGKCRGLPLALNVIGETMASKRSVQEWRRAVDVLTSSATEFSGVEDEILPILKYSYDSLDGEVTKSCFLYCSLFPEDYLIDKERLIEYWIGEGFIDEKEGREMALNQGYDILGTLVRACLLLEDDEDEREVKMHDVVRDMAMWIASDLGKHKEKCIVQARVGLCEIPKVKNWKDVRRISLMGNNIQIICESPDCPELTTLLLQRNHSLEEISDGFFQSMPKLLVLDLSYNVLRGLRMDMCNLVSLRYLNLSWTKISELHFGLYQLKMLTHMNLEETRYLERLEGISELSSLRTLKLRDSKVRLDTSLMKELQLLQHIEYITVNISSSTLVGETLFDDPRMGRCIKKVWIREKEPVKVLVLPDLDGLCYISIRSCKMLEKIKIEKTPWNKSLTSPCFSNLTRVIIAFCDGLKDLTWLLFAPNLTHLRVTDSVQLEEIISKEKAESVLENNIIPFQKLEFLYLTDLPELKSIYWNALPFQRLRKLDIDGCPKLRKLPLNWKSVVNVEEFVIYCCHDKEWLERVEWEDEATRLLVKIHLHLCINCKMGNCVSLQLQVSCDEVLNHLGSCVCRKLKYIQNLKKNLVALETAMEDLKAVRSDLLRKVHAAEEGGGLQRLHQIKVWLERVESIECQFNGLYSTRDVELKRLCFNGAGPKNLRLNYLYGKRVFKMLNMVKDLKSKGFFEEVASPAARAVGEERPLTPTVVGQETMLEKAWNHLMDDETGIMGLYGMGGVGKTTLLTQINNKFVDMCDTHDGVFIVIWVVVSGDLQLHKIQHRIGNKIGYKGVEWKKKKENQKALDIFNFLSKKRFVLLLDDIWRKVDLTEIGIPNPTSQNGCKIVFTTRSLGVCTSMGVHEPMEVRCLSTNDAWDLFKKKVGQNTLDIHPDIPKIARKVAGACRGLPLALNVIGETMSCKKTTQEWYHAVDVLKTYAADFSDVKEKILPILKYSYDNLEGENVKSCFLYCSLFPEDALIDKERVIDYWICEGFIDGVESKERAVNQGYEILGTLVCASLLQEGGKYDNKSYVRMHDVVREMALWIASDLEKQKGSYIVRAGVGLNEVPKVHNWQLVTRMSLVNNKIKEIDESHHECPNLTTLLLQNNRCLVTISGEFFRSMPRLVVLDLSWNVELKALPEQISELVSLRYLDLSESNIVRLPVGLQKLKRLMHLNLESMLCLEGVSGISNLSSLKTLKLLNFIMWPTMSLLEELERLEHLEVLTVEITSSSVLKQFLCSHRLVRCLQKLSIKYIEEESVRVLTLPSIQDLREVFIGGCGIREIMIERNTMLTSPCLPHLSKVLIAGCNGLKDLTWLLFAPNLTHLSVWNSSQLEEIISQENAAGVDIVPFRKLEYLHLWDLPEVMSIYWSPLPFPYLSLINVQNDCQKLKKLPLDSQSCVAGEELVIEYGDEEWKEKVEWEDEATRLRFIPSCKLVLYDV >A05p004080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1575875:1578196:1 gene:A05p004080.1_BraROA transcript:A05p004080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTTNNVLSKRSTKNGRKDQKLQKKNSQKRLSEQEKHIDSDPSEAYETVDVAYLDDDVTERKEKEARECINADVWEDASNGALSAGSENESPDVVHFDDVSSREKIKHLEKRIERLEEELREVAALEISLYSVVPDHSSSSHKLHTPARRLSRIYIHACKHFTRGKRARIATNSVSGLVLVARSCGNDVSRPLVSLITPLNQMGVRKVSNSFWRIGKKLKCLLLRLKQSNSESSLESLNSLTFASLIFKVFTPYMQSTESNSSEKQGSFSTSLWNNAFREALQRLCPVRGAGHECGCLPVLARMVMEKCISRFDVAMFNAILRESEHQIPTDPVSDPILDSKVLPIPAGELSFGSGAQLKNAIGNWSRCLTEMFGMNSEDDDDDDHVESDGKAFVLLNELSDLLMLPKGMLTESSIREEICPSITLPLIKRILCNFTPDEFCPDHVPGAVLEELNSAESNGDEKLPEESFPYAASSVSYTPPSTMNVGEKVAELAGKMSRNVSMIQSRGYTSDEELEELDSPLTSIVDKSSDVTYSFTSNERYKLLRQVWV >A05p017170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7703718:7704385:-1 gene:A05p017170.1_BraROA transcript:A05p017170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-folding cofactor A [Source:Projected from Arabidopsis thaliana (AT2G30410) UniProtKB/Swiss-Prot;Acc:O04350] MEAIRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKDKGADPYDLKQQENVLGESRMMIPDCHKRLESALADLKSTLAGLEETTGPEVEDAKKTVADVEKQFPTEDA >A03p017740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7227469:7227879:1 gene:A03p017740.1_BraROA transcript:A03p017740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQIVGFDNMERMSLNNCSLQPRLGSRFVNKDIPTIDTRLLELAFQSTSYGVKESWFVLFVRFIDETIYN >A10p017190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2578963:2584759:1 gene:A10p017190.1_BraROA transcript:A10p017190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSASLAKSSLEEMLESLRQKDECQQQPRDIPPALPSRPTSRARLPSARRSLPANFSVSSVIEDQNQSGSVASSRQPEAEGKRRKEKDLRVKRKSFGSKKMRRTGPSSESPYAAEKEEEVVKVAAAAAKARPVEEQKPEWNDNVDYFIKKKLRVWCRVANGQWQLGKIQSTAADTSLVMLSTANVVEVSTEDLFPANPDILEGVENLIQLSYLNEPSVLFNLRVRYSQDVIYSKAGPVLIAVNPFKNVDIYGDDFISAYQKKDVDAPHVYAVAGAAYDEMMREEKNQSIIISGESGAGKTETAKFAMQYLAALGGGSCGVEYEILKTTCILEAFGNAKTSRNANSSRFGKLIEIHFSAMGKICGAKLETFLLEKSRVVQLCNGERSYHIFYEFCAGASPVLKERLKLKTASEYTYLNQSDCLTIDRVDDAQKFRKLLEAFDIVQIPKEHQERVFALLAAVLWLGNVSFRVTDNENHVEVVADEAVTNAAMLMGCNSEELMVVLSTRKLQAGTDCISKKLTLRQATNMRDGIAKFIYASLFDWLVEQINIALEVGKSLTGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEEYEEDGIDWTKVEFVDNQECLDLIEKKPIGLLTLLDEESNFPKATDLTFANKLKQHLKTNSCFKGERGRAFRVNHYAGEVLYDTNGFLEKNRDPLPADLINLLSSCDCQLLKLFSTKMRGKSQKPLMLSDSTNQTVGTKFKGQLFKLMNKLENTTPHFIRCIKPNSKQLPRVYEEDLVLQQLRCCGVLEVVRISRSGYPTRLTHQEFAGRYGFLLSDKKVSQDPLSVSIAVLKQYDVHPEMYQVGYTKLYLRTGQIGVFEDRRKKVLQGIVGLQKHLRGRLSREYFQNMRNGALVLQSYVRGENGRRMFDTKAKIHAVSVSEASAGELTAVTNLQSVVRGWLARKRFNSMQRQKELVDVTTKSKRKAGRRISEDKDLPVERFQVQQSAMSDLQKRVLKSEEALVQKEEENTALRDQLRQFEERWSEYEIKMKSMEDTWQKQMSSLQMSLAAARKSLATENNTGQAGGRQDTSMSPFGYDSEDTMSTGTPGVRTPTTKFSNGNTPEVRIRELNGSLNAVNHLAREFDQRRLNFDEDARAIVEVKGGPQATPNGQQHPEDEFKRLKLRFETWKKDYKARLRETKARLHSDKGRHRKWWGKRG >A05p037090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21055554:21061293:-1 gene:A05p037090.1_BraROA transcript:A05p037090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSSNGLLLTSMSGRHDNMEAGGSSKLPEDVQHPSDHHEQLEHDPDDPFDLDNTKNASADSLRRWRQASLVLNASRRFRYTLDLNKEEHYENRRRMIRAHAQVIRAALLFKLAGEQQTGFGSASSTPAISPGNFDIDLEKLVSMTRNQNMSSLQQHGGVKGVAEKLKTNMEQGIQEDEKEVTDRKNAFGSNTYPKKKGKSFYMFLWEAWQDLTLIILIIAAVTSLALGIKTEGLKEGWLDGGSIAFAVLLVIIVTAVSDYRQSLQFQNLNDEKRNIQLEVMRGGRTVKISIYDVVVGDVIPLRIGDQVPADGVLISGHSLAIDESSMTGESKIVNKDQKSPFLMSGCKVADGVGSMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIVGLTVAVVVLVALLVRYFTGTTQDSNGATQFVKGKTSISDIVDDCVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVETYAGGSKMDVADNPSGLHPKLVALISEGVAQNTTGNVFHPKVDILYSEDGGEVEISGSPTEKAILSWAYKLGMKFDTIRSESAIIHAFPFNSEKKRGGVAVLRGDSEVFIHWKGAAEIVLACCTQYMDSNGTLQPIDDQKEFFRLAIDAMAKNSLRCVAIACRTQELSQVPKEQEDLDKWSLPEDQLTLLAIVGIKDPCRPGVREAVRICTSAGVKVRMVTGDNLQTAKAIALECGILSSDTEAVEPTIIEGKVFRELSEKEREQVAKKITVMGRSSPNDKLLLVQALRKNGDVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAMSSGDVPLKAVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQSFYQVAVLLVLNFAGLSILGLSQDSNHAHAVEVKNTMIFNAFVMCQIFNEFNARKPDEMNVFSGVSKNPLFIAIVGVTFVLQILIVTFLGEFAHTVALSWQLWLASIAIGLVSWPLAVVGKLIPVPKTPMSVYFKKPFRKFKASRNA >A09g506200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18551799:18554258:-1 gene:A09g506200.1_BraROA transcript:A09g506200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAAIPAPAPTGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSAPRQGTSDFHSLPRREAASVVSSVCEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLGRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLMAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A05p006980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2822809:2824121:1 gene:A05p006980.1_BraROA transcript:A05p006980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLDVTRAELGLVVMYLNKAEARDKLCRAIQYGSKFLSGGQPGTAQNVDKSTTLARKVFRLFKFVNDLHGLISPVPKGTPLPLALLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELLGRISLFCWMGSSICTTLVEIGEIGRLSSSMKKIEKGLKNGNKYQDDKYRAKIKESNERSLALIKAAMDIVVAVGLLRLYPKKITPRVTGAFGFITSLISCYQLLPTRPKIKAP >A05p008870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3663906:3666672:1 gene:A05p008870.1_BraROA transcript:A05p008870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGGGKRRWRVLVIGVLVLIILSTLVPLAFLLGLHNGFHSPGFVTVQPSSPFDSFSRVNATKHSQRDLSVRVDEVLHRFNPVLPKKSDITLDSRDMNRTSTTDSKKRGLPVSPAVVAIPTPAKKTKTKASHKGVQGKTVNASHKGVQGGIVNADETQRTCQLKYGSYCLWREENKEPLRDAKVKHMKDLLFVARAYYPSIAKMPSQSKLTRDMKQNIQEFERILSEGSADADLPPQVDKKFQKMEAVISKAKSFPVDCNNVDKKLRQILDLTDDEASFHMKQSVFLYQLAVQTMPKSLHCLSMRLTVEYFKSSPVEVEDSERFSDPSLLHFVIISDNILASSVVINSTALHARESKNFVFHVLTDEQNYFAMKQWFIRNPCKQAAIQVLNIEKLELDSSDMKKLYLPAEFRVSFTSGDNNLAAQGNRTYYLSIFSQSHYLLPKIFHKLKKIVVMDHDVVVQRDLSPLWELDMEGKVNGAVKSCSVRLGQLKSLKRGGFDTNACLWMSGLNVIDLARWRELGVSETYLKFYKQMSGGGESREAIALQATLLTFQDKVYALDDKWAVSGLGYDYYINTQTIKNAATLHYNGNMKPWLELGIPQYKSYWRKNLNREDRFLSDCNVNP >A08p031010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:19008077:19011747:1 gene:A08p031010.1_BraROA transcript:A08p031010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELI3-1 [Source:Projected from Arabidopsis thaliana (AT4G37980) UniProtKB/TrEMBL;Acc:A0A178V3X8] MGEVLKKEAYGLAVKDESGVISPFRFSRRETGENDVRLKVLFCGICHTDLSMAINEWGFTSYPLVPGHEIVGVVTEVGAKVTKFNAGDKVGVGYMVSSCGSCETCTDDQENYCPKMILTSGGKYYDDTITYGGYSDHMVCEEDYIIRIPENLPLDATAPLLCAGTTVYSPMKYHGLDKPGMHIGVVGLGGLGHVAVKFAKAMGTKVTVISTSDRKRDEALTRLGADLFLVSRDPEQMKDAMGTMDGIIDTVSAPHPVLPILDLLKYKGKLIMVGAPDKPLELPVLPLIFGKKMVVGSMVGGIKETQEMMDLAGKHNITADIELISADYVNTAMKRLEKADVRYRFVIDVANTLKPESKI >A08g501160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2804129:2805224:-1 gene:A08g501160.1_BraROA transcript:A08g501160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSLSLSLNYFSPTSLYLSAASLVFSSPAPPCPLGRLPEPLCCLFFSSFIFSLVHLSSIWSFHGVVSGLEDGGEVKSGGAGVVSVGLPTLLACLVGASPEEVYPTGPLALYEPRVKWVYTVSGWWVGGSNLQLFSPNDGIVSCGSSSCCRLWRLGVLEAVRAVCFK >A05p008430.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:3423888:3424823:1 gene:A05p008430.1_BraROA transcript:A05p008430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 4 [Source:Projected from Arabidopsis thaliana (AT2G39370) UniProtKB/Swiss-Prot;Acc:O80624] MAANLALCDSDVEEDYIDMEVTSFTSLVHKTLSNNNNNPREFEFQMSHLGPLQIDKTTSPADELFYKGKLLPLHLPPRLQMVQNLLEDYTFDDDFYSTPLTTPVTSNTPFESCTVSPAESCQVSKELNPEEYFLNYSDSLEEKKSWGKKLKLIKQLSFGTKIKASKAYLRSFFGKSSCSDESRVADEGSVLRYSRVQPPFGQIKTEKPKKQSNGSVSGSHRSSFSVSMRRQPAKSSNNKSSTSSGFRPLQFLKRSTSSSSEMENSVQGAILHCKQSQQQKQCSVNEVGFCSLSASRIVATDDQERVQLFRG >A01p003710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1606693:1607966:-1 gene:A01p003710.1_BraROA transcript:A01p003710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEGQTHSHGKDYVDPPPAPLLDMGELKSWSFYRALIAEFIATLLFLYVTVATVIGHKKQTGPCDGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVGYMIAQCLGAICGVGFVKAFMKTPYNTLGGGANTVADGYSTGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAVKALASFRSSATN >A01p058780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33513441:33514713:-1 gene:A01p058780.1_BraROA transcript:A01p058780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKVDPDRWEFANEGFLKGQKQLLKSIIRRKPTQVQPPQQPQVQHSSVGACVEVGKFGLEEEVERLQRDKNVLMQELVRLRQQQQVTEHHLQHVGQKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQSSNEGNQHISESNKKRRLPVEDQKNSGGSSQGLNGLSRQIVRYQSSMNESSNSMLQQIHNMSNTHTNNHGSFLLGDVPNPNLSDNGSSSNGPSGVVAFTDVSSNTTNQVLETNLPYPRPQADLLAPKQGAEGGSGSPSPDLVGGERDTGECLDPIMAVLDGSMMLETNELLPGVQDSLWEQFFGESSGIGDTDELVSGSVDNELIMEQLELQPNLRNVLSNNQQMNHLTEQMGLLTSDALRK >A07p040890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22100156:22101170:-1 gene:A07p040890.1_BraROA transcript:A07p040890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVASTVTDLACVTALNSPPPPLSPQSDKSVTKQHQEDFAASFASLYNSIFSPESQFPDSLSLSPSPPYSSSSPPARVDTATEHRLRQASLILEYDELNENYEVCLSRLQSLMTELDSLRRENDALRQENVDLLKLIHISTSSSSVSPPHVRNRQQISDFGSQARRRSNPERNSLPKSISVRSPGYLKMMNQGSHGYGGASRQTSQLGSDSVTQKVCVPTKGERDALELEVYRQGMTKTELCNKWQQTGACPYGDNCQFAHGIDELRPVIRHPRYKTEVCRMIVTGATCPYGHRCHFRHSLTEQERMLLNH >A03g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10525720:10527247:1 gene:A03g503230.1_BraROA transcript:A03g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIKISFHIRYGRTISRLVHRCSQNFRLSDSLLTIWFSDSTNVHNLSDVISELTAVRSTVSDIPQGKDRVMTTIKIDGFWGDSRVVVANSIKPKMVEGVFLSGIKTHAYYHAGFQRPRNTHVPSFLRGYAKVEPLTIAELNEFVIISEPQVHEEAPPNGLILYLRELKYLGLLRVSLLDSISCEMTKLHNVRAYEAGNLIVGTSLSGIAIKKPQASKSLKVMKKLRVVMQMEYLLFQK >A02p048660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30240392:30245594:-1 gene:A02p048660.1_BraROA transcript:A02p048660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRRRKRLHISRIYSYTCGKSSFKEDHSNIGGPGFSRVVYCNEPGSPAAERRNYAGNYVRSTKYTVASFLPKSLFEQFRRVANFYFLVTGILSLTDLAPYGAVSALLPLVLVISATMVKEGIEDWRRKQQDIEVNNRKVKVHDGNGIFRQEEWSNLRVGDIVRVEKDEFFPADLLLLSSSYEESICYVETMNLDGETNLKVKQGLDATSSLLHEDSDFKDFRAVVRCEDPNVNLYMFVGTLELEEERFPLSIQQILLRDSKLRNTEYVYGAVVFTGHDTKVIQNSTDPPSKRSRIERKMDKIIYLMFGLVFLMSFVGSIIFGVETREDKLKNGRTERWYLRPDSAVILFDPERAPMAAIYHFFTAVMLYSYFIPISLYVSIEIVKVLQSIFINRDIHMYYEETDKPAQARTSNLNEELGMVDTILSDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAVRSGGSPLVNEDLDVVVDKVGPKVKGFNFEDERVMNGNWVKQPEAAVLQKFFRLLAVCHTAIPETDEETGNVSYEAESPDEAAFVVAARELGFEFFNRKQNEISFRELDLLTGEKVERVYKLLNVLEFNSSRKRMSVIVRDHDGKLLLLSKGADNVMFERLAKNGRQFEVKTQEHVNQYADAGLRTLILAYREVDENDYIEFDKSFNEAKASVSEDREALLDDITDKMERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFASSLLRQEMKQIIINLETPHIKSLEKSGIKDEIELASRESVVKQIEEGRALLAASGASSEAFALIIDGKSLTYALEDEVKNTFLNLATGCASVICCRSSPKQKALVTRLVKTGTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRYLERLLLVHGHWCYSRISSMICYFFYKNITFGVTVFLYEAYASFSAQPAYNDWFLSLFNVFFSSLPVIALGVFDQDVSARFCYKFPLLYQEGVQNILFSWKRIIGWMFNGFISALAIFFICKESLKHQLFDPNGKTAGREIMGGLMYTCVVWVVNLQMALSISYFTWVQHIVIWGSIAFWYIFLMIYGAITPSFSTDAYMVFLEALAPAPSYWLTTLFVMIFALTPYFVYKSVQMRFFPKYHQMIQWIRYEGHSNDPEFVEMVRQRSIRPTTVGYTARRAASVRRSGRFHDQLHKDLVAF >A01g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7540662:7545086:1 gene:A01g502120.1_BraROA transcript:A01g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSFSQDPTYVSHVCPNTATYSRNSTYFTNLRTLLSFLSSPNASYATGFQNATVGEPPDRVIGYFTCFGVSPELCRRCVAFSVEDALIRCPNEKEVTLYYDQCMLRYSNRRDVPSEKGRPQRIKRERFPWCVLLLGVEGHSEALSDGVRCGLSLVRFGVNGVRWVLTYLVKYFRGGGRLKPRLRGSLAKAVSLKRSVKIAAVEDSVLRCSSCIARVSFVCAWVIKRFGVTGVCWVLTYLVKYFRGGGRLKPRLRESLAKAVSLKRSVKIAAVEDSVLRCGTFPSGVQVAVKRLSKTSGQGEKSLKMKLLLWQSFSTEICGYMSPEYAMYGQFSMKSDVYSFGVLVLEIISGKKNSNLYQIDGSAGNLVTYTWRLWSNGSPLELVDPSFQENYQTNEITRCIHIALLCVQEEAEDRPTMSAILQMLTTSSISLAVPRPPGFFFRIRHEQAGRADPAMDMSALFSVDDASITSVAPR >A01g504610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13910994:13912614:1 gene:A01g504610.1_BraROA transcript:A01g504610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKAIEDRYPKWEDHKVSTELDNMIQDILKGQLDEKFWEVMAATKSKKRKIIVDPPVVPDTIDVGTSTKRKKDKEHVDACHASDMVVAHNIAILGLVESVKNLSAKIDGIDVNVADKVSEKLDATIQAKVDAKVGLYEKEMMEKIAMLVEDVKNLKEKAYVNIHTDVANSNDHNSIAQEEDDDSSNALSWMIEKKINSQDGLPIQCVVKKEKKTSKAMETKVCKTIDVKKKGKKDEVPLKKVKKEKAIVIPELNDISISSKDWQQHLQWEKSEKCRQAIEALASILEEPTRRRKPQLTKTQQWPFVGNSTVKRIITGVTPSTVSYDPFAKVESQKLTKVMDFIKRDLAQEESGYGEFSAKFYLKIMVPRNVWPTENYGWLCDSHLAAAMLMFHRRSMQSTSPYASPRIAFLDRWFVKSWVNDFEKQDKNSI >A03p063520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27553576:27557189:1 gene:A03p063520.1_BraROA transcript:A03p063520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAAQNGADQGLSLDGGRVRGEPGEAEEDRSKVDDLRGTPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLFVVGVLQFEVGKVLSVVGILHDEVDPMVSVMKVEKAPLEPPKGVILYGEPGTGKTLLPKKYLRDGPKLVRELFRVADDLSPSIVFIDEIDAVDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLSEDVNLEEFVMTKDEFSGADIKAICTEAGLRMKVTHPDFKKAKEKVMFKKKEGIPEGSSSSSSSTPGSFLEPLLVSRRMIFTSNVASHAMLGNNQLRDSSCLFPLGLNLEKQDSLPVAATCQSRAKCLQLRTRSLFLGLPSPGKYEPSSQPFGAFVDFTDGLVHIPQFSDNFVKDVAIEQEVKVTFVEADVETKRISLTMHENNAPPPSERKRNASKGDGFSSKYAKGQERLPTNEEADDGWRLFVASWIRGGYYIRSNRIILLDNGKFDETLSQEIVLTEPRIRLCLLSVRAKRLLRFWTRGRRKMQSKPVEPVKESEAAEASI >A04p009300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7820653:7821919:-1 gene:A04p009300.1_BraROA transcript:A04p009300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSNDDSQLGESNRSAEVDGGEGGNYTAYESRFQSQRFDSSFSNFEKDLAGGGDSSPYSNQEDISSPQRELPETQSPPLKNSSDDTNGPILPPPSVLEKEEGFALREWRRLNALRLEEKEKKEKEMVQQIIEAAEQYKAEFYSKRSITIENNKKTNREKEKLFLGSQEKFYAEADKNSWKAIAELIPREVPVLEKKGKKKQASVTVIQGPKPGKPTDLSRMRQVITRLKHNPPSHMKPKVPTASEVDP >A09g501560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5548895:5549841:1 gene:A09g501560.1_BraROA transcript:A09g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVKRYHFLIPRIPPLHLPISSATKHADLLLFSHNLSSSGGEFYDARDELSTDSGTPSSVNNIETELRLSLLMESEKRRQAEETLEEMQVHWRRLRQQLAHVGLFLPLDPTSTQYSMNIADELRCQIEFTRFVSDSLDIELAKAEVEMEMTSELEAKKFEITQLSNRLHYSETVNQEMSQRNQEAIGRRNKKGCKTREEEEEKEEAEMDLGINCSKHHARWCSLGLPFRKFIETSALTNQMRLPSQILRRKKQKV >A01p053690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29900135:29901856:1 gene:A01p053690.1_BraROA transcript:A01p053690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTSSTTLISSSTRAFPAKSSSSLPSPSLSFLRTISSPSSSASLRSAFAQRSSLSSSSRRSFAVKAQTDDLPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYAEFEKLNTEVLGVSVDSFSHLAWVQTDRKSGGLGDLNYPLISDVTKSISKSFGVLIHDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETMRTLQALQYIQENPDEVCPAGWKPGEKSMKPDPKLSKEYFSAI >A02p019350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8942338:8944200:-1 gene:A02p019350.1_BraROA transcript:A02p019350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVEIICALAIYKIVRLFFYNDEFSDVETLEKLHGGKAYVGLRIPDADTSSRQDVDLVLVTKGQVEVIGVKNLSGIVTVTSDGSWVCEGGKHHSTHTYPDPLAEVKKQASVLESYLEQRGVTLLEENISCKVVIPNPNFRTMHAFPSEVITYEEWQHLKPVSRNILSGWVKGAFSSGKEMQDSSHQKLNFILGSAPVWDRVELKNSKIVLGEFLEFKGKEEDTLALRHIKRSKVDRISIQQTSMLGFAPTRLQVLYSYRDYRSEGSSGSETKEVTVRSSTEVVFQPRDSGKIKKFKLSSLLSVSLSA >A10p026720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16906295:16910217:-1 gene:A10p026720.1_BraROA transcript:A10p026720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLQMDKNTASSPRERAKSIFDKTVELEIKRRKAAQARNPSDPNLWQQIRENYEAIVLEDHTFSEEHNIEFTLWQLHYKRIEDFRSHINALLASSNSNAQNSKGPSMADRVANIKLQFRTFLSEATGFYHEMILKIRSKYGLPLGYFSESQDSQNLADKDGKKFAEVQKGLVSCHRCLIYLGDLARYKGLYGEGDSKNREHAAASSYYLQAASLLPGSGNPHHQLAIIASYSGDEFAATYRYFRSLAVETPFPTARDNLIVAFEKNRLSYAQLFAAPKDSPRRPTGKRRGKGQDNSSKKDADVVAAPEKDKATSADEKLKAFCVRFVRLNGILFTRTSLETFSDVLASTSSSLRDLISSSLKEEMSFGKDTSDSALFIVRLVTILIFSVANSKKEKEGQSYAEIVNRVELARNSLTASFELLGHVIEQCAQLSDPSSSYFLPGVLVFVEWLACCPDVAMGSDPDERRTAVRSSFWNQCVVFFNQILSLGPIYIDDVEDESCFSNMSMYDERETENRLALWEDYELRGFLPLLPAQSILDFSRRHSFGTESPKEKKARIKRILAAGKALTSVIKVDQSHVYFDSKKKKFLVGSEPSDDLLDSHSSPAEADNALQDNQGMMNHITPVTQLYQQIHLGEEDDDEEIVFKPLVTEKRKGASDQIYVPNGGGFKNPDQVATVGDFKALSVSDAAFHENLLLQARGNASIQLPASVGSNLLAHLLPSTQSQGVQLQQVQTQAVHPQPSQSLASARLQPMQSPVAQQSQAALLQQLQSRAMHFQHPQGQVPHVSLAQSQPASLGVSKWLPEEAANSLSGFAQMGNGHVMRNEMQGNHGVSYYPAHSLPIHQSFNVNGMAGMPYSQSRTPEAMLPPIIDTFSSSGIISNGLGVQSSLARKSPIGRASKHLGPPPGFNSVPSKLQKEPTPGPDMSGSTLPADDYSWLDGYQGQSHQGTGFNSSLNYGSSGKPEHMGTSNGLNGPANFPFPGKQAPASQDIPVGRVIALCEIRKEESNTVSVTYVLSYLTPTEPRSVEVSSWSLCGLGLLWGTSYLTDSGDS >A08p003590.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:2030817:2031131:1 gene:A08p003590.1_BraROA transcript:A08p003590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGKKPIRRTTTRSRKGIKNPSPPCSISSDVTSTSTIPASGCCTPISKKSRIPEMLTCPPAPKKQKVAQNFALSRRQISFFAPPDVELFLLFAHGQQIKKIS >A04p001900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:930635:932290:1 gene:A04p001900.1_BraROA transcript:A04p001900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scarecrow-like protein 22 [Source:Projected from Arabidopsis thaliana (AT3G60630) UniProtKB/Swiss-Prot;Acc:Q9M000] MPLPFEELQGLGFSYGTREDLCCVVGVNNGSSEPTSVLDSSRSPSPFLSSSTTTLSSSHGGPGAAAGKCNQMTFEDLDGVLSGGSPGQEQSIFRLIMSDPGSEFMGFDPGSGSDPNPLFGYGFPLDDPPPPPEEEDVKFQITADPDPDPCFFSGGSSPPAKRLNSGQPVSHQWGFPFSDPFLAPPQKLAGTESTAVITEQLFNAAAELTGTDNNSPALAQGILARLNHNLSNNLNHPKPPFQRAAFYVTESLQSLLQHSQPSPHSLLLKIAAYRAFSETSPFLQFVNFTANQTILESLDRFDRIHIVDFDVGYGGQWSSLIQELANRSSLSSLKITSFSSPSTVSDEFELRFTEENLRAFAGETGVAFEMEMLNMETLLNPPLSLIRSSEKEAIAVNLPISSVISGYLPLILRFLKQISPNVVVCSDTIPDAPFPSAVVDALQYYTSFLESLESPNSSQEAVTSIERFCVQPWMQRLLTNRYRWMEKSPPWRSLFGQCGFTPVAMSQTAETQAEYLLQRNPMRGFHLDKRQSSLVLCWQKRELVAVSAWKC >A03p028390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11919558:11920849:1 gene:A03p028390.1_BraROA transcript:A03p028390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGRVAKQKEIAQSTRRVANQGKATVLALGKAFPSNVVSQENLVEEYLREIKCDDPSIKEKLQHLCKTTTVKTRYTVMSSETMKKYPELATEGSPTIKQRLEIANEAVVQMAYEASLACIKEWGRGVEDITHLVYVSSSEFRLPGGDLYLSAQLGLSNEVQRVMFYFLGCYGGVSGMRVAKDIAENNPGSRVLLTTSETMVLGFRPPNKARPYDLVGAALFGDGAAALIIGADPTESESPFMELHYALQQFLPGTQGVIDGRLSEEGISFKLGRELPQKIEDNIEEFCKKLVAKAGSGSLELNDLFWAVHPGGPAILNGLETKLKLKPEKLECSRQALVDYGNASSNTIFYIMDKVRGELEKKGRGGEEWGLGLAFGPGITFEGFLMRSL >A06p053900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28338312:28340086:-1 gene:A06p053900.1_BraROA transcript:A06p053900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVTYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKNDLTSQKVVSTETAQAFADELGIPFLETSAKNATNVEEAFMAMTAAIKTRMASQPAGGAKPPTVNIRGQPVNQQSGCCSS >A05p008300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3374450:3375269:-1 gene:A05p008300.1_BraROA transcript:A05p008300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAKVDTTKKADPKAKALKAAKAVKSGQAFKKKDKKIRTKVTFHRPKTLTKARDPKYPRISATPRNKLDHYGILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >A07p019620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11654331:11655640:1 gene:A07p019620.1_BraROA transcript:A07p019620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAPENEVCSICHGNFSAPCQANCSHWFCGNCIMLVWRHGSTLRPCKCPLCRRPITLLVPSEDTSRGRDDPTVSEVLRDVQTYNRVFGGQSTGLSQRIQDLPFLLRRLLREIMDPQRTLPLVIKARVYIALILSVIYIISPIDIIPEALFGIIGLLDDVIIALIFLLHVAALYRSVLYSRHGGSAS >A08p041600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23459586:23461501:-1 gene:A08p041600.1_BraROA transcript:A08p041600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase FMO GS-OX5 [Source:Projected from Arabidopsis thaliana (AT1G12140) UniProtKB/Swiss-Prot;Acc:A8MRX0] MAPACSPTNSLHVAVIGAGAAGLAAARELRRETHSVIIFERGTQVGGLWVYTPQTEPDPLSLDPNRTVVHSSVYDSLRTNLPRECMGYRDFPFVPRNDDASRDPRRYPSHREVLAYLQDFAREFKLEEMVRFETEVVCVEPEGQKWKIQSRSSDGIFKDEIFDSVVVCNGHYTEPRVAHVPGIDSWPGKQIHSHNYRVPDPFKDQVVVVIGNFASGSDISRDITGVAKEVHIASRSNPSETYQKLPGSNNLWLHSMIESARKDGSIVFQNGKVVQADTIVHCTGYKYHFPFLNTNGYITVDDNCVGPLYKHVFPPALAPTLSFIGLPWMTLQFFMFELQSKWVAAVLSGRVTLPSSDRMMEDVTAFYANRDANKLPKRYTHKLGECQVDYLNWIADQVGAPPVEHWRAEEVHGGYRRLATQSDTFRDKWDDDHLILEAYEDFLRQKLI >A06g508920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25286440:25288029:-1 gene:A06g508920.1_BraROA transcript:A06g508920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYGLLAQYYSQISSLILLRYYDDVACVLRKMCLDAKTPHLSSTLPPTLPYGDRTPKQKVKLNITEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRMLVPRTRPGRASDSDPEDLEHAEKLRQIKAVIEEVQLKPLKWDGEGEEERPVEALMILKYGGVLTHAGRSKISLKSFNITCHII >A03p009220.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:3702549:3703421:-1 gene:A03p009220.1_BraROA transcript:A03p009220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQPPAKKRNMGRSRKGCMKGKGGPENATCTFRGVRQRTWGKWVAEIREPNRGTRLWLGTFNTSVQAAMAYDEAAMKLYGPEARLNLLQPQQKQEVKRNLSFSDHGSGSWSYKLDTIRGLDLGLGPSNGSRGSWSGSFSIPQEDDHHNVSWLLPKRSSSQDQESVNDASGLAFSNKMQPRNLMMTPKHGSSNGVWSRFLVGQEKKTEYDVSSSCGSSDNKESILVPSGSGGEGMSRPEVQVEVGTGYLEMDDLLEIDDLGLLIGKDGDFKNWCCDEFQHPWNWFSERF >A07g500460.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1003271:1004017:1 gene:A07g500460.1_BraROA transcript:A07g500460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRDEVRVVTQEERGRGIPGQVTGQVEDQQVMRGSRVEEREEGELQRSEVKVGKGNQSDEIVQQDVPSLEFQEELAKTQATGAAFISDPMDTESGLQVVKSLIGNVTEVDDGADTDTIMDMDEIRAVFLEHGVDMDAADLVECSEGEMAEALRELEEASGEENREVEEVTNVEAEKDMADGDMGKKNGSRKRLFKPTISTAASTKMRLAKALVSPRKRAVGKTGMRHGESGKQMENKGPLKPEAGTS >A09p011070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5587620:5589306:-1 gene:A09p011070.1_BraROA transcript:A09p011070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHWQWENATAGAVAGFTTVAAMHPLDVVRTRFQVNEGRGLSSLPTYKNTAHALFTISRLEGLRGLYAGFFPAVIGSTLSWSLYFFFYGRAKQRYARGGRDDEKLSPALHLASAAEAGALVSLCTNPIWLVKTRLQLQTPLHQTRPYSGLLDAFRTIMKEEGPRALYKGIVPALVLFIQQVSHGAIQFTAYEELRKVIVDFKERRRKSESTADNLLNSADYAALGGSSKVAAVLLTYPFQVIRARLQQRPSTNGMPRYIDSLHVIRETARFEGFRGFYKGLTANLLKNVPASSITFIVFENVLKLLKQPPSK >A01p025180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20242985:20246161:-1 gene:A01p025180.1_BraROA transcript:A01p025180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase 1 [Source:Projected from Arabidopsis thaliana (AT4G25000) UniProtKB/Swiss-Prot;Acc:Q8VZ56] MACLNAKLLFSFLLVFLPTFTVSTLLFQGFKWESWMKEGGFYNSLHNSIDDLSNSGITHIWLPPPSQSVSPEGYLPGKLYDLNSSKYGSETELKSLIAALKQKGIKAVADIVINHRTAERKDDHCGYCYFEGGTSDDRLDWDPSFICRGDTNYAGTGNPDTGEDYKPAPDIDHLNPRVQKELSEWMNWLKSEIGFSGWRFDFVRGYAASVTKSYVQNTSPDFAVGEKWDDMKYGGDGKLEYDQEEHRSGLKHWIEEGGGGVLTAFDFTTKGILQSAVGGELWRLKDSQGKPPGLIGIMPGNAVTFVDNHDTIRPNSWAFPSDKVLLGYVYILTHPGTPCIFYSHYIEWGLKDNISKLVAIRNRNGIGSTSSVMIKAAEAELYLAMIDEKVIMKIGPKLDIGTLVPPNFVLAYSGLDFAVWEKK >A09p005470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2989782:2991869:-1 gene:A09p005470.1_BraROA transcript:A09p005470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQWVLVCHGMVTLTVVVSFLCGHSPIFKGTPIGWIHYFLTFGAWDYLLRFVEFVFGSKGTDAVLSVEGFCCDRPNPLLQIIYLVILGSTYFITVKSSFVYIPGYYIGEVHKYMSFGAVMIGVLLFLLTSFCDPGTVNAKNVSQYVSAYPYDDIIYSEKECPTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGEKNTKYFMAFLLWHFLLCLYGAVAIGFILAGRVKELRIVHILTTYYGIKNSFRSLAPRVLQWLVGTYNTQILLLVFFALISLLLAGFFAYHLNLCLTNTTTNEKFKWREYTSLQKKISEAKASAAALKAGMSNTELERPAMSKWRGLWRRSEAKAESIVAKRNMYDKGNFRNISEIVFPLSSRQAFLKPYHKSE >A10g505500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13886561:13886825:-1 gene:A10g505500.1_BraROA transcript:A10g505500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCTCHLLKLLAEIQFVANQSNGLSGVIPSKLGALSKLAMNLWDYTRETIRQTCLYNWYQV >A06g501530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5856493:5857563:1 gene:A06g501530.1_BraROA transcript:A06g501530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAVLFANSVGNVLIERFNGVPAEERLTWRSFLVKLGAENLKGVKNEELLVACHKSVYIVYTMLGDVSIFLVGKDEYDELACKPLSFSLSFCSSADKRFIQSLLNLLAVAEAIFIITGAVKDICGKPPTERVFLDKYGRICLCLDEIVWNGLLENTDKDRIKRLIRLKPPSEF >A05p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7597692:7600015:1 gene:A05p016990.1_BraROA transcript:A05p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDETKSFHMSDRSMCVSEFNSNTTAFTQIFLISTIGLLLAVSLLYRLRKLRYSKIIPRLRVSHKHKGHEKLERFSHYVVRQMGFKDRRECPHLCKLASEYIRKSGCCEEDIYSFFAEEPDADSLFIKLVEEFERCILSYFAYHWSHADLMISQILSADAEPKKKLKHIVMAATREQRFERVTKNLKVARVFNTLVEEMKAMGIAPVDDSECTEVMAPVAHKDRSPVLLLMGGGMGAGKSTILKEILKEPFWAGADAVVIEADAFKESDVIYRALSSRGHVDMVKTAEFVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRHRYRMGAGYKVGENGDVIENYWERIGERQQLQEDGRERKPYRIELVGIVCDAYLAVIRGIRRAIMCRRAVRVRSQLRSHKRFADAFLTYCNIVDNARLYCTNALEGSPKLIGWKEKEKTLLVDPEEIDCLKNVGRLNEDAESIYELYSRPNPACEAGSIWKDIVLSPSRFNVQQELKYSIQKVERFKQYLQESPR >A05p015320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6823458:6824904:-1 gene:A05p015320.1_BraROA transcript:A05p015320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPINCLIFDLDDTLYPLKTGIAPAVKKNIDDFLMEKFGFSESKASSLRVELFKSYGSTLAGLRALGHDVHPDEYHSVVHGRLPYGSIQPNSKLRNLLNKIKQKKIIFTNSDRNHAMKVLERLGLEDCFEEIICFETMNPNLFGATTRPDEHPVVLKPSLTAMDVCIRAANVDPRRAVFLDDNVHNITAGKSVGLRTVLVGRSEKTKDADYAVETVTEIATAVPDIWATATAGCDDGGERISRSKSELEGMASITAVGA >A07p031160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17269724:17270615:1 gene:A07p031160.1_BraROA transcript:A07p031160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLPRHSESKAKSSNLRFGILLVKRGAITFGLRVQVDFEICDRSMTPFSLSNLVICKTIFICKSEYEKLLAFKGRDKLFLWTRTSWTLA >A03p007240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3014201:3016805:1 gene:A03p007240.1_BraROA transcript:A03p007240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDHRDSHRKRSRPHSDDDDNGGSKRRHRGGDDRDSHTIDRDDTVFRYLCPVKKIGSVIGRGGDIVKQLRIETRAKIKIGEAIPGCDERVITIYSASDETNAFGDDGEKALSPAQDALFKIHDRVAADDARRSEDSSEGEQQATAKLLVPSDQIGCILGRGGQIVQNIRSETGAQIQVLLVKKALHQIASRLHENPSRTQNLFSSAVAGGYPSGSLVSHAGGPRIVGIAPLMGSYGGYKSDAGDFGRPLYEARRNEPPATDFYIRLVSPVENIASVIGKGGALINQLRQETRATIKVDSARTEGNDCLITISAREVFDDAYSPTIEAAMRLQPKCSEKIERDSGLVSFTTRILVPSSRIGCLLGKGGAIITEMRRMTRANIRVLGKENLPKVASEDDEMVQISGELDVAKEALLQITSRLRANVFDREGAVSAIMPVLPYVPVAPDAGDRLDYDRRDSRRPERGNHYPGGYGSSGLSSEYSPYGAPVGGSSSTPYGVYGGGYASGRSGSYPAIHQPIDAETMITRSYWWVMRKCEILKPDAYPTAHS >A04g506900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:15939560:15940521:1 gene:A04g506900.1_BraROA transcript:A04g506900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTLAKPIQLSDQVMKAADEASSFKQECAELKAKTEKLAGILCQVARDLYERPTHHIIDNTEQMLDQAFSLVLKCRVNGITKRVFTIIPSISDHIALLQTGSLENRSDAAASLISLTRDNDRYTKLIIVQRGIGPLLTAGEQVSVAAHFTCCCNSTREMMAKRVSIKSRAWRDLLVLVPSLLTRISLLDLNKLRELRSVINTGNACCCFSCNVTKVSVWWSSMCKCGLTSLVCFSIGHEVMEAWKIDYIYCIPVS >A10p022820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15015094:15017495:1 gene:A10p022820.1_BraROA transcript:A10p022820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein-related protein 3C [Source:Projected from Arabidopsis thaliana (AT5G59420) UniProtKB/Swiss-Prot;Acc:Q93Y40] MGSPKKNENKGFFAAMTSGFSMFGSAMSRSVNGLLAYEGVEVINPDGGKEDAEEEAQRGRWKDEERDSYWKMMQKYIGSDITSMVTLPVIIFEPMTMLQKMAELMEYSYLLDQADECEDPYLRLVYASSWAISVYYAYQRTWKPFNPILGETYEMANHGGISFLSEQVSHHPPMSAGHAENEHFTYDVTSKLKTKLLGNSVDVYPVGRTRVTLKKDGVVLDLVPPLTKIHNLIFGRTWVDSPGEMVMTNITTGDKVVLYFQPCGWFGSGRYEVDGYVYSADEEPKIMMTGKWNEKISYQPCDAEGEPLPGTELKEVWHVADVPKNDKFQYTHFAHKINSFDTAPSKLLASDSRLRPDRYALEQGDLSKAGSEKHSLEERQRAEKRTRETKGQKFTPRWFDLTDEITSTPWGDIEIYQYNGKYTEHRDSAASSSGASNAEDLKSIEFNPWQYGNVSTE >A04p018450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000169.1:11675:12926:1 gene:A04p018450.1_BraROA transcript:A04p018450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVQHKNLVKFIGACKEPIMVIVTELLLGGTLRKYLVSLRPGRLDIRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTSDYKTVKLADFGLAREESLTEMMTAETGTYRWMAPEVLTHMHHDQIIYLVLEICLIPNYGLIKQLYSTVTLRQGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEELAMIVTSCWKEDPNDRPNFTEIIQLLLRYLSTISAPELVPPSMKRVFSSENTVLPPESPGTCSLMAVRDGDQITTDTNSPEKEGRGSFFFCC >A07p048190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:25764445:25766881:1 gene:A07p048190.1_BraROA transcript:A07p048190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHWIPEDDFRLRKSIENGASLESLAKGAVKFSRRFTLSELRDRWHSLLYNPHVTSLSSSVAFDHTYSDQFLPQSHHNHHHGTPVRSQYYTACKRRRLEEMHPLSNVDNCVINEDLDHVAFGGNAFEGSEYFDLEFDAVDLAIIHNSFPGIMPQEADDDGMVNQLFNDCDVSDTTTTANVLEQLLLQEDSEDPMFQSFNETTSVSHDQAETWIDPEYLPSQPEEYCHTAEPSPDWDPHPEVINGVIICTLNKESNEIPNNDDIDFTMYTQKARNPSSSLRKHMRPPPYPNRGPSSSSLQARCNNDMFHSSGNGDSVVTEQGSCSNAFKASYTEKATSSFTTTTSTSQQHYEHTLSSEMDITTPMLQEEEDNDEIESDEDLPSYSDVEAMILGMDLEPIGQDRYELEASRYRNEEVARMIMRLEQSSKSYMNRNITSHEAFAMLYGSSKHYINKPEVLLGRATGEYLVDIDLAKSGSWKKISRRQALIKLKKDGCFVIKNLGKFSIWINEKEVQHGEIVNISNNSLIQIREMSFIFETNEKAVKRYLDGIHK >A09p064770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52055930:52057187:1 gene:A09p064770.1_BraROA transcript:A09p064770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCLHLQVSYNSGVTMSYWTRSCRLLNFLFHLQVDSEIILIEGGLIHQDFNGNKGTVHEGDVLWTTAGRGIIHSEMPKEHTNIGLQLWVNLPSSDKMIDPANVEISSSEMPVAYEEGVEVKVIAGVSMGVQSPFYTRIPMMFLDITLQPRSQTQQTIPESWTAFAYVLDGNEGVFGSSDSYAVQAHTLVVFGTGDEVSVWNTSNYRPLRFLLIAGEPIGESVVQHGPFVMNTQAEIDRTIWDYRNGQNGFEMAK >A08p018700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:12866439:12866990:-1 gene:A08p018700.1_BraROA transcript:A08p018700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEEGVEESPTEKIAEEMVEKEEAVEESPTEKNAEEMVEEEAEEAEDAMEAEEEADKVVEKEAETVVEKEGDKYTDEEKQMWALVVYKASEEMADGTTEVRRDGTNEVRTGFKLRCKQKIMMYGKPRGKKKPQRPQSQESAPVIARTPREKRKPQRLQSPYTQVKTEDIDGPKKKRKTKVK >A02g507370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20846357:20847120:-1 gene:A02g507370.1_BraROA transcript:A02g507370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMKKVMMDMTLIVVTSHKHKKKKMCIASSIRRGSGSQRSGEHSRLPIRSGSGSSEGRRRQSFETTIHDTIAGYTEFQRQSLQQLHPCAFDQENYDEWKKAEEIFPALNISKRKILLNIIDDDKLQLLEAMVGVSRNNERCAKIVRCIAIIWKFIWSTVGYTTNCSIMGYPTVFSAMGHTTKRSTMGNTSKCFTIGNTT >A08p016380.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10643026:10643301:-1 gene:A08p016380.1_BraROA transcript:A08p016380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSGCGWVWKDSLEQTQLMGMRNLSRRETALYSEVEALRLPMESMFLYSSCQSFGTDCKDLIAMIREPQASPSFATQLEAIKTLQLCFP >A10g502660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7642698:7645950:-1 gene:A10g502660.1_BraROA transcript:A10g502660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGMIDNSGRFIDDAWTVIWLFPRSELDMRGDRFSTFGEFRSVCKIWMNNYGTIYRNRKKRLKLSSLDYPPRNKRCLDQDLKENTKLEVRASRSERRSRYVASGSKPGRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQVAKSLRSEWKQAKKSPTCFVVKSQRKLRLRRNEKRRYVATDGLTGRYVASGSKPRRVLLVFVVKYLRKLRLRRNEKRRYVATDGLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQRPSGVRARSLRSDRTVCVLGRYVATKQCGARSLRSDRAVCVLGRYVATEHDRAVCVLGRYVATEQRVRARSLRSDRAVCVLGRYVATELCNCFFVFSFSAINLGVFQRFLGEQVLSFRIFFGKRVLKEKSRACFSALPVAEGAVSAASLSFIYPDIVSIKRFVAMKVTDLCESQRQVIENICGNREKITNEFRENSRSYFESAFSIDFGLNLVKGCLRTPFEDQAERSSIDRAGQEIELPGRVRLRIPNLKSSPPCLSPRTPYILAPRSVYAFTLLPLSRHSIKWRYSIFSDLRNYLQNSVFIRGNLTFIFPCEPSVKHPTVYGLLVKKS >A09p079510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58509781:58513573:1 gene:A09p079510.1_BraROA transcript:A09p079510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRDMLPSLAMVLVQIGYAGMNITSKMAMEAGMKPLILVAYRQIFASIATLPVAFFLERKTIPKITMRVLVQAFFCSITGVTGNQVLYFIGLQNSSPTIACALTNLLPAVTFLLAAIFRQEAVGIRKISGQAKVIGTVVCVAGAMLLSFYHGHTIGIGESKIHWTYAQNITTHGSDSAGSNFFLGPFLIMAAAVSCAGWFIIQTKMSETFAAPYTSTLLMCLMGSIQCGVVALISDHKLDDWSLSSPLRLISALYAGVVASALAFCLMSWAINIKGPLYVSVFSPLVLVIVAVFSWTLLEEKLYTGTFMGSALVVIGLYGVLWGKDREMNEKEDEVENQKMAKQQPTVKRDINGDIESRLSS >A07p032320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17757682:17758687:1 gene:A07p032320.1_BraROA transcript:A07p032320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVAHATLKGPSVVKELVIGLALGLAAGGLWKMHHWNEQRKTRAFYDLLERGEISVVHPEDLNIGIQLAVITSEARPVSLAGPVRLNNPWNLGSRTTNRMAAVRPVKATPEGVISDKVEKSIKDAEETCAGDPVSGECVAAWDEVEELSAAASHARDKKKDSDPLEEYCKDNPETNECRTYD >A07p028180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15970925:15973269:-1 gene:A07p028180.1_BraROA transcript:A07p028180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSVCPFSKAARPDNASSAPKQADTTPSACPFSKSTRPDDAKQGETTASAACPFSKSADASAPSKGCPENEGRLSKEDSATVPAKCPFGYDSQTFKLGPFSCMLCQSLLFDSTRCVPCTHVFCKVCLARFKDCPLCGADIESIEADENLQKLVDQFIEGHARIKRSLVNTADKEDDNKKVIYADVSMERGSFLVQQAMRAFKAQNYESAKSRLAMCTEDIRDQLGREGNTPELCSQLGAVLGMLGDCSRAMGDSSSAVNHFEESIEFLMKLPMHDLEITHTLSVSLNKIGDVKYNDGDLQAARSYYIRALNVRRDAMKHHANAPSQILDVAVSLAKLADIDRTLTNEDAAIDGFKEGMKLLESLKLDSEDSAPLEQRRLSVMEFLKKQVEKPEQSAETAL >A05g506380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18234690:18237851:1 gene:A05g506380.1_BraROA transcript:A05g506380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSWGGYVFIINLVPLYIAYNSLCISWGCCLLAMQIRFVGFQHVQSGEHMGVFLLMQVSSLSTHLFLLPVEVFYFLDWMKYQLNDIKLFQTFLRITVTSAILVGGIALGVGTASGYISPWTRRFYSLLDPLMQRITFPLLPLFLSISLRHDATIFIVMYGLTSLYFAGVMVRLILVAIPEICLISAIAVSATVKHLTSLLRAEQKVPQTGSSKGPGSSKASSKVTLDPTQPFQRNGAIALLVGVFYLLSRYAIHCTWLRQNTPTDAKFMSWWDYEYQITAMGNKTVIVDNNTWNNTHIATVGRPMSSYEDEAYDIMRSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVDPVVKEPDNLVNGELCVDKGASPKMLNCLMFGELVTEYGSQPGTSTTKSECHVLYVSMCQKKLGCEIVGRYDRARGVEIEKKYIKLKQLEETYITSNWIVRIYRVKPPTNRKPHLNFLKGLGLEDHDQRPLPQCLEDRFGRRICASSGSHDLLHLGGVKADSAELQEDMRTVKKARNGSFRSLGLSKPSGLAIIVSKTLKH >A01p041170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22002297:22005906:-1 gene:A01p041170.1_BraROA transcript:A01p041170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIGLPAKPSLRGNSWVVDASHCQGCSSQFTFINRKHHCRRCGGLFCGSCTQQRMSLRGQGDSPVRICDPCKQLEDAARFELRHGYKNRSAKGGSKRTVKNEDDVLSEILGSDVDVSSSSESDRVTSKEMGSSSSMELDATPEELRKQAVEEKNKYRVLKQQGKSEEALKAFKRGKELERQADALEISLRKDRKRALSMRDVSAATQKNKAATKESSKSQKPPRKDDLAAELRDLGWSDDEDKKPATVSLEGEFSSLLREIPGRTTNPQQKSGGGIDKSQVIAIKKKALALKREGKLAEAKEELKRAKVLEREIEEQELLGGADESDDELSALINSMDDDKEDDLLAQYEGSHGFDIGNLVESVDDLGVDGAFDVTDEDMEDPAIAAALKSLGWAEDPGRRENLHSQSPPNNREERLAEIQALKREALTLKRAGNAAEALATLKKAKLLERELESAADTSSQAADTSLNHPPRSRLAIQRELLAVKKKALTLKREGKFNEAEEELKKGAVLQEQLEELDNSSKLAAAGKAIREKDMPDITVDSMDDDGEVDVKDEELNDPSYLSMLKSLGWNDEDNNHAGDSSGRVEPVSTKPRRSKAEIQRELLGLKRKALTLRRQGNVDEAEEVQKQTKILEAQLVEIDSGKKTSTDSGMSVEDDSVTENDMKDPALLSTLKNLGWEDEEPKKQEAAFSSMQSTGPRVAAKTKGQIQRELLDLKRKALAFKRQGKNGEADEVYSHAKVLEAQLAELETPKAEPMGEVSASTINYMDVDLLVGSSQMEDKAGKSASAAQGNYDMLGDFISPAKSDSLSTHGMSESRVVSQSGQQQPSMMDLLTGEQCERSQTVRREESAPGSFESASVENTSPQNTLNQDILAHKRKALALKKEGKISEAKEALQQAKLLERRLQEGENPSPEKLRRDDVVSATQPPVVREKENSPSSSAAPKPMSSRDRFKLQQESLSHKRQAMKLRREGKMEEAEAEFEIAKTLEAQLEDSTSSKSEPVDDNVAVEDFLDPKLLSALKAIGLDSSVNASASKPDTTQATPKPVREAVKPSPAKESDSKQERMQLEERIKAEKIKAVTLKRSGKQAEALDALRRAKLYEKKLNALA >A09p061970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:50897414:50900301:1 gene:A09p061970.1_BraROA transcript:A09p061970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDESKFDVNLKLWALRIPRELCKSATRILNGYMLNMPRIKPITEESGYEKTRLVILSESVKSADLSEIPDEKLNQLKKLSEVEVVPHSVTLGYSYWSAGHIAHLNLHDELLPFKDVIAKVIFDKNYPRIKTIVNKVGTISNEFRVPKFEVLAGESGMETEVKQYGARFKLDYSLVYWNSRLEHEHMRLTSLFKPGETVCDMFAGIGPFAIPAAQKGCFVYANDLNPDSVRYLKINAQFNKVDELVCVHNMDARKFISQLMKVSDGGDNKTKEGVASEEEETKAGISREEPVGANKKPSGSSETENGVGKDCKTVEGNAKKRLKQTVLPIAKPWEHIDHVIMNLPASALQFLDAFSKVIQRKYWKGPLPLIHCYCFIRASETTESIIAEAESALKFHIEDPVFHKVRDVAPNKAMFCLSFRLPEACLMEED >A02p042560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26737211:26738492:-1 gene:A02p042560.1_BraROA transcript:A02p042560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIFFSFLVVSTVCLFSLAGFAAADADDFDLFQIQGSVYCDTCRVQFVTRLSKFLEGAKVKLECRSRTNGTLTLTKEAVTDKSGSYKMEVTGDHEEEVCELVLVQSPDSGCSDVSKEAYLRNAAKISLTANDGIVSHETRIVNPLGFMVKTPLADCPAAFKELGIVPDVTF >A07p023450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13611173:13614215:1 gene:A07p023450.1_BraROA transcript:A07p023450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP734A1 [Source:Projected from Arabidopsis thaliana (AT2G26710) UniProtKB/TrEMBL;Acc:A0A178VRF1] MEDESSNWLIPKVLLLSVILSLVIVKGMSLFWWRPRKIEEHFSKQGIRGPPYHFFIGNVKELVGMMLKASSHPMPFSHNILPRVLSFYHHWRKIYGATFLVWFGPTSRLTVADPDLIREIFSKSEFYEKNEAHPLVKQLEGDGLLSLKGEKWAHHRKIISPTFHMENLKLLIPVVLKSVTEMVEKWSEKLSETGEVEVDVYEWYQNLTEDVISRTAFGSSYEDGRAIFRLQAQQMILCAEAFQKVFIPGYSFFPTKGNLKSWKLDKEIRKSLLRLIEWRRKREESKELEPEPAAAAKDLLALMIQAKNVTVQDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPEWQAKARDEVLRVCGSRDVPTKDHVVKLKTLGMILNESLRLYPPIVATIRRAKSDVELGGYKIPCGTELLIPIIAVHHDQAIWGNDVNEFNPDRFANGVPRAAKHPVGFIPFGLGARTCIGQNLAILQAKLTLAIMIQRFTFHLAPTYQHAPTVLMLLYPQHGAPITFRKLNSCEDR >A08p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7198629:7211513:-1 gene:A08p013650.1_BraROA transcript:A08p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKERSQESYPKEDRYKKGGIGLKIHIISNDTTSLNTKENQTTIINFSETVLPLNPNCFQNRVHYNSSRQSGFSEIISVIFFSSLHWLSPQISVIVFSGIFRGFALSFSKEKESAKEKGHSEAVLLNIVAHLEKLDRKFDSRLTEYDTKFRSFSQVLLDTIGDTMKTTVEERLRVLGVSNSSQTEGQHVMVSEDNQQPESNSGQPDGQNVMVSKDNRQPDSNSGQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDFPGKEPPFGRGCRGLGKRNNFAADLERNEAELKKKQKQEEAELKRKKKQEEAELKKKQKKEEPPCLNYAAVMLDIAQPNLKPYPKIGKYLISQPIRLHKTKVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKIDKKRLENSVFAILSSANRENLPVLYPGPCDFLDTTCKTRSRPTRPRSMPHVARSPQLLVSKHNCQLAPRSNLNLDQVIQSEVLLQIRLWVLSTKIKTVQRVVLNFPLDLPQNCLFMAFTPPWVLDWESDQLSVFFSGFFVFQGDHDTLVPRSCDLTGAFPCTAVRPDDPIQDRGHDKSPPFTKDSSSNPVVLTSCLMTKVPSELLCTWNMEHARLVLSNQFVASPTLFLLTDHVLGLAVEECILAKPAHLGTSPFTSMNPKLTSTLTWLTTTKLLVSKHNCQLAPRSNLNLDQVIQSEPTIPTDISNLWEVFNQLRTCIWPLPVHALLQIRLWVLSTMIKTVQSVVLNFPLDLPQNCLFMAFTPPWVLDWESDQLSWTMTRLSLGHVT >A04p032660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19050814:19052941:-1 gene:A04p032660.1_BraROA transcript:A04p032660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCINCTKMVDRDEEDEDVARGSTTPNTKEAVKSLTTQIKDMASKISGASKKSKPPSGSSSSSLGKEQRKYPDLDTASDSVPYPYMGGGSTSSTPAWDVPSSSHHQVERADSRFTSMYAGERESVSAQSCDVVPEDDEPKEWVAQVEPGVHITFVSLPSGGNDLKRIRFSREVFDKWQAQRWWGENYDRIVELYNVQRFNRQALQTPGGSEDQSQRDSTYTRIESARESRDWTPRNNYRPPGGSSIPHHFYGPPMDAARTTTSSRDEPPSLSNSSEMQAEWVEEDEPGVYITIRQLPDGTRELRRVRFSRERFGEVHAKTWWEQNRDRIQTQYL >A01p000520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:207807:210029:-1 gene:A01p000520.1_BraROA transcript:A01p000520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINQGISLYDEPQNIINPNSNHLGFFLSFPNQTLSSSSSSSSPSLASSFLVHYSLNSFLQNNPPSFLTHPQDPINSMANLPETLVSIYSLSSSKQKDAHDGIVNLDHHRLTGGISSQRPSLNQWAGSCQAEYGYSKKNNHGSEIHVYDIDDDVGNGGRINDDEDHDQHSDTLRRHKRNAMPVGVGCTLKMKKLKTRRKVREPRFCFKTLSDVDVLDDGYRWRKYGQKVVKNTQHPRSYYRCTQNKCRVKKRVERLADDPRMVITTYEGRHLHPPSNHLDDDSLSFSHHSPLSNFFW >A08p028060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17645644:17648112:-1 gene:A08p028060.1_BraROA transcript:A08p028060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKTSSLVMTLLSIMLCFLRAKSQGVYCSNPYQLCFQKYILCPAECPSTGAAATNNKVCYVDCRNILCTSECRRASLNCNRPGPACNDPRLIAGDENVVYFYGKSKEHFSLVSDPDLQINARFTGHRPAGRSRDFTWVQALGFLFNSQKFSLEATKVATWDDSIDHLRFSFDGQDLIIPEEILSTWYSPKKDIKIRRATKMNSVTVTIKDKAEIMVNVVPVTKKDDRIQSYKVPSDDCLAHLEVQFRFLNLSPRVDGILGCTSRPGFQNQAKSGAAMPVVGGEDKFRTSSLLSHDCRTCIFTGLSSSIKWETGHAVLDLDCTRGASSGYGIICRSRNQQKDENAQLKEEHVDAGTSSFTDYEESPFDNTSGSTKNDPVERLHQRGYYFVTCPRPNRPD >SC163g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000039.1:250708:253266:1 gene:SC163g500200.1_BraROA transcript:SC163g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRNESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMPSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTESHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A03g507570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26772816:26773383:-1 gene:A03g507570.1_BraROA transcript:A03g507570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGNQPSTPSDSVDLSTSLLLGFSVIGTRKTSRSMVNSWESLYLSSMRRYCIDKHLILDLNFFYFLKGRCSKIFNSADGKITALKELQVREQNKLPSFCFGHIWRTGFECHELKSVVFEDVPEALEKWHSSGIKFTYIQVVVD >A09g516970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49826848:49832608:-1 gene:A09g516970.1_BraROA transcript:A09g516970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSWINKPRLSQDYRLGVKNFLDFAFGKSNAPMVKCPCTRCSLAKSKTREDIEGDLICHGFLNSYTSWIVHGEDMCVTENARVPSDSAHVELDSTFNLLDDIFPDISANMNEEHEEGSSGQPMDTDRPSASSGNSKKGEGFDEIITKYDMPPPLAAECKSFKWKIEVIDTAGKIEGKMITSKEVWKIQNSKVIVHFDEVSGQPIGESGGLLGSWLGQLSNDVNLLPINYSDWRMVNPHIKTKVWEVIQSKFWFDDPPTRKVFVMSALGSRCKDVKLRLWKEYKRDSLSETLLNRPENVPENQWGHFVHMRFTEKWKKMQERNTESQKKNSMPHVCGRKSFSRKRNDITIKTGKRPCRAKFFIETRTKPNGSFVCEEAKTRAEKLTTLLGQKSHVTNNAIANLDDEYAQVFGPERPGRVRCVGRGPTPSKLVNHSPVTRQEIENSEMVIDLKSQVKELSDQARVWASSFAVAFANIPNPAFANVPSPPNPNQERSDDAVRD >A07p030420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:16949281:16950718:1 gene:A07p030420.1_BraROA transcript:A07p030420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAYQKKERASLVAIVVLACLALSSLFVAFSYYCYIRNKVSKRHRINKKFVCEEKGDCQEQQEVTDKGIQVFTFKQLHSATGGFSKSNVVGHGGFGLVSRGVLSNGRKVAIKFMDNAGKQGEEEFKMEVELLSQLRSPYLLALLGCCSDNSHKLLVYEFMANGCLQEHLYPNNRSGSVPLRLDWETRMRIALEAAKGLEYLHEQVSPPVIHRDFKSSNVLLDRNLHAKVSDFGLSKVGSDKAGGYVAPEYALTGHLTTKSDVYSYGIVLLEILTGRVPVDMKRAAGEGVLVSWALPQLAERDKVVDVMDPALEGQYSAKEVVQVAAIAAMCVQEEADYRPLMADVVKSLVPLVRSRGSGSKLSGCSPNSPGKASVGSQ >A04p038380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21839258:21839728:1 gene:A04p038380.1_BraROA transcript:A04p038380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRKPSITFFFVITLVTSLSSYNTLAASVINSANGDVCDVPCVPGKYELFECAHDCMVDGFSTGYCDKKSQKCCCTNKGI >A08g501930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4509113:4511814:-1 gene:A08g501930.1_BraROA transcript:A08g501930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMWSDVPLGSVPGKTDMHGLIMGSSKDICSLFDSYILHHEASTHEITWRMFSTKLWSSAKKNQIKQSSYVTFMPLTIQKIFNSREFKPPKKLEMANLLSDEPNTNSIMTKGVSSGIRATHSERLTQRRPHKMPNRRCKEQLKMSKGEADPKRCFLQFDFQEICDNFEKEMMKILKDVSKIHKKSTSTRVPVTDPLLFISKKSKGKSENNLENLKHFSDSLPIFDEHDEELIESLMICEDNCDLPFPEPDFMFDKEQTIAELTVLQPEHPSSLVLFSQDFEEKPFDYPHQGPLLGTRRPMDVDLYPIFDEEDDHLDELGPTFDEKALTITPIIMENRFCFDPDTTPTPLSKEHCKEICIISYTPDLFDKVSSNDIKCSCLDHLEKSFELDLQHLVFCFRKSFDSFVFKENSSFRHALIIGNLFASTCALDEFLVKKLLEHKSLRAKTDFCYDYVLKSELELLYSNSDHVRYVLKMSYDISCLESILIPSSVIIAQQHLWP >A02g504030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:13326270:13326639:1 gene:A02g504030.1_BraROA transcript:A02g504030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVYRHLSCPQFMQDQNGSGWLSKKKPERLQQQRFRATTCVVVLSFLGARRVKLCAFSSEDDDDGANVLSNEDSRGC >A01p001170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:443258:444436:1 gene:A01p001170.1_BraROA transcript:A01p001170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITALIGMIKDKASQGKAALLSSNPTSKSLSFHLSVLRATTHDPSTPPANRHLEVLLSAGTGSRATAASAVEAVMNRLHTTKDACVALKSLIIIHHIVKHGRFILQDQLSVFPASGGRNYLKLSGFRDEKSPLMWELSSWVRWYALYLEHLLSTSRIMGFFVSSTSSAIHKDEYEEMVSSLTNTDLLREIYALVGLLQEACKIPDVPFCGGKSLADKIIRLVGEDYVSSVNELYTRLNEFKDRSSILSFGDRIELVCALKRLESCKERLSVVFRGIWKRAWIDGFWSLVREVKGMIGGLEDSNEQIGRRGKGYESARFTDRLVIGYGDAVRFSSGRFSSVDRFNYPVSSQTTLNVL >A03p014600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5796092:5799497:1 gene:A03p014600.1_BraROA transcript:A03p014600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fimbrin-3 [Source:Projected from Arabidopsis thaliana (AT5G55400) UniProtKB/Swiss-Prot;Acc:Q9FJ70] MSGAGVIVSDPWLQSQLTQVELRSLNSKFASLKTQRDKVTLEDLPPVLVKVKSLTSAFKEKEIRETLPDLASDYAANDDLDFESFLRIYLILRDKAADKSGGLKHSASFLKANSTTLHTINQSEKGSFVHHINRYLGDDPFLRQFLPLDPDSDDLYELVKDGVLLCKFINIAVPGTIDERAINTKRVLNPWERNENHTLCLNSARAVGCSVVNIGTQDLAEGRPHLVLGLISQLIKIQLLADLSLKKMPQLIELVEDNDDIEEFLRLPPEKVLLKWLNFHLKKGGYKKTVGNFSSDLKDAKAYAFLLNVLAPEHCDPATLNAEDDLERANMVLEHAERMNCKRYLTAEEIVEGSSYLNLAFVAQIFHERNGLSTDGRFSFAEMMTEDIQSCRDERCYRLWINSLGIDSYVNNVFEDVRNGWILLEVLDKVYPGSVNWKHASKPPIKMPFKKIVNCNQVVKIGKEMRFSLVNVAGSDIVEGNKKLILGFLWQLMRTHMLQLLKSLRSRTRGKDMTDSEILSWANRKVKIMGRKSQIESFKDKSLSSGLFFLDLLWAVEPRVVNWNLVTKGEADDEKRLNATYIVSVARKLGCSVFLLPEDIVDVNQKMMLILTASIMYWSLQQKSESSSSDTSSTHSTTTTCTSTDASPAPSVTGDDDVSSLNEEVSSLTIEEDNDADILSDVTSVSEEAAIE >A03p008270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3343233:3345560:1 gene:A03p008270.1_BraROA transcript:A03p008270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSFSKRAYSFFKTYPSAAKLLLLSTCSSGGVLVYSDSNPLKRTLTADGQETKKKKVVVLGSGWGGYSFLSYLNNPNYDVQVVSPRNFFLFTPLLPSVTNGTVEARSIVEPIRGLMRKKGFEYTEAECVKIDASNKKILCRSKDGASSGVKDTKEFDMDYDVLVIAVGAKPNTFNTPGVEENAHFLKEAEDALKIRQSVINSFERASLPDLTEEERKKILHFVVVGGGPTGVEFSAELHDFLVEDVAKIYPKVQEFTRITLLEAGDHILNMFDKRITAFAEEKFQRDGIDLKTKNMVVGVTADEISTKEIATGKIVSEPYGMVVWSTGIGIRPVIKEFMHQIGQGQRRVLATDEWLRVEGCDSVYALGDTATINQRRVMEDIAAIFSKADKGETGTLNKKEFKSVVKDICQRYPQVELYLKKKKLRNIANLLKSANGDDTEVNIETFKQAFSEVDTQMKNLPATAQVASQQGKYLAKCFNKMEKCEKKPEGPLRFRGEGRHRFQPFRYRHFGSFAPLGGEQTAAELPGDWVSIGHSSQWLWYSVYASKLVSWRTRSLVVSDWVRRFIFGRDSSSI >A06p037160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20117491:20118802:-1 gene:A06p037160.1_BraROA transcript:A06p037160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEREIMSRGRRRTHTKCCEIGFVGWSSSMCLSVGSTSTAVTSPRIKLRDGRHLAYKELGAPREEAKFKMIYIHGFDSCMHDSFFFHNLSPALLEELKICIVSFDRPGYGESDPDPNRTPRSIAFDIEELADGLGLGPKFYVIGFSMGGQIIWSCLHYIPHRLAGAAMVAPVVNYWWRNLPAKLSKEALSLMLPRDQRMLRVAHYAPWLTFWWNTQRWFSVASYISCDPNILSFQDKEIFSRLGFNDLNQAYARKQGEYESLHRDLMIGYGHWEFDPLDVQNPFKNSNGSVHLWHGDEDMFVPVSLQRFIISKLPWVRYHELTGSGHFFPSLMVDEIVKTLFVGED >A05p009620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4025097:4027796:1 gene:A05p009620.1_BraROA transcript:A05p009620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKIGSENQDSYDDQQKWVLDSSFDSKGHVPLRARTGAWRAAFFIIAIEFSERLSYYGLATNLVVYLTTILHQDLKTAIRNANYWAGVTTLMPLLGGFVADAYLGRYTTVLVATTIYLMGLVLLTLSWFIPGLKPCHQEVCLEPRKAHEIAFFIAIYLISIGTGGHKPSLESFGADQFDEGHAEERKMKMSYFNWWSAGLCAGILTAVIVIVFIEDRVGWGVASIILTAVMTISLLIFLVGKPFYRYRKPLGSPLTPMLQVFVAAFAKRKLPYPSDPSLLHEVSKAELNNGRLLSHTTHVKFLDKAAIIEDNTPQALQKQSPWRLATLTKVEETKLIINMIPIWLSVLVFGICAAQASTFFIKQATVMDRHVSGKNSFTIPPASIYCLTAITLIMYVTVYDKLLVPFLRRNTQNQRGINILQRVGIGMFFAVITMIIAALVEKKRLDLLTEQNRPMSVFWLAPQFIVLGVAEGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVLGAGSFLNNLLITVVDSLAEDFSDKRWFGKTLNSSRLDWFYWLLAGLTAANMCVFMIVAKRFPYKSVQSSQVLADSSVSDA >A04g501050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2843079:2844778:1 gene:A04g501050.1_BraROA transcript:A04g501050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMLRYHHIHHPDSTLLIQETTEYVLNKLPNNYNTIINDLQVTLTIWDYTQNVTARLDGDLIIADLEETNMPPTTEEKNSACVELGGHGSREKKVSVHSISKSSSSQEVAVMAMKPNGKSIVSTGKSPVVMYFKDISPGPHESKIRFRLIHFWEARNIAEAKTLIGLEMLIIDEQVIVMQGFIPASRVQQYLCDLKRGSVLSPLDYIPVSVSFPADRFSFHTQEDFQANRGLRGGLYDVVGHLRLVNGQSLIDRPVFEEAEMISKRHSLVYLQSKEYVFTVIMITSSCTAKLTL >A07p005110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2469688:2479146:1 gene:A07p005110.1_BraROA transcript:A07p005110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRFDLTCSIFVVVPWKKGDDISQWRFLFQQPSTQNHRCRTKIYGTLHLPLRGYTVLRFLRGLRGFTVEDRDGDRLGREDDYDVVTSSYKFAESGYKCGERIFGSFAGREEDLRENCQRCANSERIRGVSPIMRGFMGASAEMGLSVARDAPERCWRCVGAFPERCIGGYGFNGVCGGYDGGRAMDVVMKELMMTTKEVMIATEEEVVVEEPVEEHVAVVVTAVTVTSVTGVATVFTKQAVVVFQEETVIVDIEKFFVG >A09p032680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:19813679:19816150:1 gene:A09p032680.1_BraROA transcript:A09p032680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRRFAQVYSSDDEEDSLAKTRSQRQNSRRPEETMEGKMRKRKKVSLNEESDGEEEETERKRKKDDEETPPKELKPDDAKPVGEPVKVTGRGTHYGQFEYGGNRYELEDSVLLHPEDDSLEPYVAIIKDITKEQDGSMMILVQWFYRQEDAKKKDGGNWVVNDTRGRFYSFHRDEVPAESVMQRCVVNFVPAYKQLPSGRGFIVRKVYDAVDKKLWKLTDKDYEVAKQREINLFVDKSLARLGDFPDLETEEDVEKAKRFSGKLKRLHVDLRKDKDVFPISSEYHSILDKFDSLTDNSHRNECLAKLLEAVQSICFNAGDEANDESFLWPDAAVSPVCALELAINVSYASDYSKYNQRIRTLAFNLKNTALLAKRLLSGELEPEKISNMSPTELKVGLTNEETEKNEPDDAERMQMTDVRCSRCSHIKVGLRDIVQAGHRDRYQLECIACGNSWYTSRDETTNDSNADNNPEATKKPE >A07p041700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22485009:22487593:-1 gene:A07p041700.1_BraROA transcript:A07p041700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGERYLGTVEMAETPYMTAKTSVWWDIESCQIPRGFDAYGIAQNIGSALEKMNYCGPISIYAYGDVSRIPPTIQHALYSTGIALNHVPAGVKDASDKKILVDMLLRAVDIPAPATFMLISGDIDFSNALQQLRYGFGQAPSAGGSPPTQSGSSQLVSNETTSPNNQYPYSSRPVPSPVRQPNPNPGPFPVRRPNADPSGSSGNRIPNQAQNNSPTAARQCFLRSSPGESLPNTLLMYVMSDFDQVQGRSANLSSQSKKQNSAREMVKVLTYFGMTLAAFAFWQSMDKVHVWIALHQDEKQERMEKEAEVKRVRAELLRKAREEDPLA >A07p018400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11016961:11018638:-1 gene:A07p018400.1_BraROA transcript:A07p018400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIGCECLGSPHEDLTIVSNNLNNDDEDVVALDLLFVIVINNLNDHDVLLLYLIRDIPLVSNNLNNDDEDVVILNVPLVASNLNNDDADVVALDLPFVANLNNFEVGPHNIHNVRGRNRRRPLTNPLSSRSQSWAHESKARMGAFRKSEKKKKKSILNVANNDGLKKAHKPQ >A07p000930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:363650:368003:-1 gene:A07p000930.1_BraROA transcript:A07p000930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSKTCIGQICATKEDSIRQPHHQPPPKATSAAAAAAEEHPVFNPSSDAADDDEIHELSLSRDQEWGITRLSRVSAQFLPPDGSRIVKVPSCSYELRYSFLSQRGYYPDALDKANQDSFAIHTPFGSNSDDHFFGVFDGHGEFGAQCSQFVKRRLCENLLRHGRFRVDAAEACNAAFLTTNSQLHADLVDDSMSGTTAITVMVRGRTIYVANAGDSRAVLAEKRDGDLVAVDLSIDQTPFRDDELERVKLCGARVLTLDQIEGLKNPEVQCWGTEEDDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIAVVELTPDNPFFVVASDGVFEFISSQTVVDMVAKHKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLKDDAPRQLTSTGTLLQPPIPQVVELTGSESPSTFGWNSKNQRVRHDLSRARIRAIESSLENGHAWVPPSPAHRKTWEEEAHIERVLRDHFLFRKLTDSQCQVLLDCMQRLELNPGDVVVKQGGEGDCFYVVGSGEFEVLATQDEKNGEVPRILQRYTADKQSSFGELALMHNKPLQASVRSVDHGTLWALKREDFRGILMSEFTNLPSLKLLRSVDLLSRLTILQLSHVAESLSVASFSDGQTIVTKDEKLQGLHVIQKGLVRITFGAELLESQNVSSLASEITKEYDTETEVSIEKEEGSYFGEWALLGELKDSLSAVAVGEVVCVVLTKEKFESAVGPLTNLADDTHKSRQSSFDISKESAKVTDTTTLAKATLADLEWTTCLSSTDCSEVGLVHLKDKENLLSLKRFSKHKVKKLGKEAQVLKERNLMKNAIKPSAFVPEVLCTCSDQTYAAILLNTTLACPLSSLLHSPIDESSARFITASCVSALEDIHKNGILFRGSSPDLLMLDQSGYLQIVDFRFAKKLSEERTFTICGNADYLAPEIVQGKGHGFAADWWALGVLIYYMLEGEMPFGSWRQNELDTFQKIAKGQLTFPRALSSEAQDLITKLLEVDENLRFGSQGGPESIKKHPWFNGLNWGAISNRGVQVPQEIVSRIHHHLENDNALPLETLQSVDTTDDQDAQNWLAEW >A05p017770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:8106320:8108539:-1 gene:A05p017770.1_BraROA transcript:A05p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g29760, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G29760) UniProtKB/Swiss-Prot;Acc:O82380] MASFSTAQPLSLPRHPTFSNPNQPTANNDRSSHTISLIDRCSNLRQLKQIHAQMVRTGLFSDPYSASKLFAISALSHFASLDYACKVFDQIPQPNSFTWNTLIRAYASGPDPLRSISVFLDMVSDSRFGPNKYTFPFLIKAAAEVSSLSLGQSLHGMAVKSAVGCDVFVANSLIHCYFSCGDLDSACKVFTTIQEKDVVSWNSMITGFVQKGSPDKALELFKKMESEDVKASHVTMVGVLSACAKTRNLEFGRRVCSYIEENRVNVNLTLANAMLDMYTKCGSIEDAKRLFDKMEERDNVTWTTMLDGYAILEDYEAAREVLNSMPKKDIVAWNALISAYEQNGKPNEALLVFHELQLQKNIKLNQITLVSTLSACAQVGALELGRWIHSYIKKHGIRLNFYVTSALIHMYSKCGDLEKAREVFSSVERRDVFVWSAMIGGLAMHGCGNEALDMFYKMQEANVKPNGVTFTNVFCACSHSGLVDEAELLFKEMESSYGIVPQEKHYACIVDVLGRSGYLEKAVKFIEAMPIPPSASVWGALLGACKIHANLSLAERACTRLLELEPRNDGAHVLLSNIYAKSGKWESVSELRKHMRVTGLKKEPGCSSIEIDGTIHEFLSGDNEHPMCEKVYGKLNEVMERLKANGYEPEMSQVLQIIDEEEMKEQSLNLHSEKLAICYGLISTEAPKAIRVIKNLRVCGDCHSVAKLISQLYDREIIVRDRYRFHHFRNGQCSCNDFW >A09p059040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49520279:49521872:-1 gene:A09p059040.1_BraROA transcript:A09p059040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIP2A [Source:Projected from Arabidopsis thaliana (AT3G53420) UniProtKB/TrEMBL;Acc:A0A178V8P4] MAKDVEAVSGEGFQTRDYQDPPPAPLFDPAELTKWSFYRAVIAEFVATLLFLYITVLTVIGYKIQTDSTAGGVDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSLVRAILYMVAQCLGAICGVGFVKAFQSSYYVRYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNESKPWDDHWIFWVGPFVGAAIAAFYHQFVLRASGSKSLGSFRSAANV >A03p028180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11786868:11797786:1 gene:A03p028180.1_BraROA transcript:A03p028180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable pectinesterase/pectinesterase inhibitor 13 [Source:Projected from Arabidopsis thaliana (AT2G26450) UniProtKB/Swiss-Prot;Acc:Q7Y201] MALSSLSFYFLCFLLFTPSIFSYSSPTSPNPNQISATSFCKNAPYPDACFHSLKLSLSINISPNILSFLLQTLKLALSEAGKLTDLLSAAGISNNLVEGQRGSLQDCKDLHHITSSVLKRSLSKISEDANDPRKLAAARAYLSAALTNKNTCLEGLDSASGPLKPKLVTSFTTTYKHVSNSLSALSKQRKTNHLKTNSKTKNRRLLGLFPDWISGKDRRFLEDSGDEYDEYEPSEILIVAADGTGNFTTINEAISFAPNMSNDRVLIYVREGEYNENIEIPSYKTNIVLIGDGSDVTFVTGNRSVGDGWTTFRSATLAVSGEGFLARDITIMNTAGPEKHQAVALRVNADFVALYRCVIDGYQDTLYTHSFRQFYRECDIYGTIDYIFGNAAVVFQGCNIVSKLPMPGQFTVVTAQSRDSPDEDTGISMQNCSIFATDDLLNSSTRVNSYLGRPWRGYSRTVLMESFIDEFIDRSGWTKWAGGEGLDTLYYGEYNNNGPGSDTSKRVNWSGYHIMGYEDAFNFTTTEFITGDGWLGRPAYLRGDFDKIQERVNANRKRKFRKRIIVGTVSLLVVVAAIIGGAFAYVAFEKQNEQEPKNNHKNDAKDSQKKSQSASPTPKAPVAAAQSVKPGQADKIIETLCSTTLYKVSCENTLKNSTEKGFALESPTTFLKAAIEAVNEDLDRVLEKVLSLKTENQDDKDAIAQCKLLVEDAKEEIVASLDKVNGTEINNVVKIVPDLDNWLSAVMSYQETCIDGFEEGNLKSEVKKSVNSSQVLTSNSLALIKSFDANISPVEKLATRHLLDQVPSWVTNEDRRMLRAVDVETLNPNATVAKDGSGNFTTINDALKAMPEKYEGRYIIYVKQGVYDESVTVDKKKANLTMVGDGSQKTIVTGNKSHAKKIRTFLTATFVAQGEGFMAQSMGFRNTAGPDEHQAVAIRVQSDRSVFLNCRFEGFQDTLYAYTHRQYYRSCVIVGTIDFIFGDAAAIFQNCNIFIRKGLSGQKNTVSAQGRVDKFQTTGFVIHKCKITANEDLKPVKAEYKSYLGRPWKNYSRTVVMESTIEDVIDPVGWLRWEETDFAIDTLYYAEYKNKGPSGDTASRVTWPGFKVINKEEALNYTVGPFLQGDWINALGSPVKLGLYDA >A06p053940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28342141:28346178:1 gene:A06p053940.1_BraROA transcript:A06p053940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRLLPQALHMLPRIPSKNLGVSSFTPSVNSRISFSTVSLNHSGSNFGFAIDSRKRRELIAKAEESNEGEDTEEAVTEDVAETEGATEVEEAKTPWKPRTKLGDVMGILNQKAIEVSEKVRPVPEIRTGDIVEIKLEVPENRRRLSIYKGIVMSRQNAGIHTTIRIRRIIAGIGVEIVFPIYSPNIKEIKVVSHRKVRRARLYYLRDKLPLTYEKSKSECLVRLPVEVLILSRNVEQLNLYSVLTESIDFPVPGDDAGGTLLRLHVAAPRSALVFFPSISLENFLCLGHHQPNQRRRAISGREREREISRRASSLIKRWTRDFCLFSSSSDYCVAMSGVGENQLISIVPDELKFLFELEKQSYCDLKVANKTEDYVAFKVKTTSPKKYFVRPNTGVIQPWDSCIIRVTLQAQREYPPDMQCKDKFLLQSTLVPPHTDVDDLPQDTFTKDSGKTLTECKLKVSYISSSTTQRSSESGGTNGDGNGSETISTIQRLKEERDAAVKQTQQLQHELEMVKKRRMNSGNGLSLKLAALVGLIGLIIGFILKLTLASPK >A01p012070.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5884132:5884635:-1 gene:A01p012070.1_BraROA transcript:A01p012070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFIILAQVHRSKISISTRKPRKRNENKPRPSKSISENMFNNAFPGKTRTEIYHNDLKKSDPLPNALLFMEDQTVKEEETSQQEHGELYNLNLKDGKSVITTEYGDLRREVAQISLFWYMKCSISYILRKARAFYNEFSCDACVGSSTMVVVEPYFSVPVLPYNN >A05p007810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3161696:3167471:-1 gene:A05p007810.1_BraROA transcript:A05p007810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISA1 [Source:Projected from Arabidopsis thaliana (AT2G39930) UniProtKB/TrEMBL;Acc:A0A178VW25] MMISHSLSVINTSSSLFHTKARRRRRRRSSMDALKCSSSTFLPLTNLNTLFSNHAFPKSPAPNFNRRAAQPLSLSAKDTARTSEPENVAVVEKPPPSKRFLVSDGLPSPFGATVRDNGVNFSVYSSNSVSATICLISLSDLRQNKVTEEIELDPSRNRTGHVWHVFLKGSFEDMMYGYRFDGKFSPEEGHYYDSSNILLDPYAKAIISRDEFGVLGADDNCWPQMACMVPTLGEEFDWEGDMPLKLLQKDLVIYEMHVRGFTRHESSNIEFPGTYQGVAEKLDHLQELGINCIELMPCHEFNELEYYSYNPILGDHRVNFWGYSTIGFFSPMSRYSSASSRNFAGRAINEFKTLVKEAHKRGIEVTTNFLVAKYFLLGPFLIDSKQVIMDVVLNHTAEGNEKGPIFSFRGVDNSVYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFIVDCLRYWVTEMHVDGFRFDLGSIMSRSSSLWDAANVYGADVEGDLLTTGTPVSCPPVIDMISNDPVLRGVKLIAEAWDAGGLYQVGMFPHWGIWSEWNGKFRDVVRHFIKGTDGFSGAFAECLCGSPNLYQGGRKPWNSINFVCAHDGFTLADLVTYNNKNNLANGEENNDGENHNCSWNCGEEGDFVSISVKRLRKRQMRNFFVSLMVAQGVPMIYMGDEYGHTKGGNNNTYCHDNYLNYFRWDKKEEAHDDYFRFCRLLIKFRDECESLGLDDFPTAKRLQWHGIAPETPDWSETSRFVAFSLVDSVKKEIYVALNTSHLATLVTLPNRPGYRWEPFVDTSKPSPFDCITHDLPGRETAMKQYRHFLDANMYPMLSYSSIILLLSPIDDS >SC121g500010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000017.1:303878:304988:-1 gene:SC121g500010.1_BraROA transcript:SC121g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMESPPENPKVGTGAREVDMEVQPEIVRDVQVMESTHNGRTRPNGALGGQVEKGSTNRRPASNVQDSRNIPTAEECNVCGADNHHTRACTRIRSQPDLSAYLICSSCETRGHFIADCPMTNVTRAVPISEDQTLETLTLLRVLIGVLVAAKIRACVGKIWNQRQPWYRSATSVQRFRVVNVKVQSRQRMFKSRRAVWGFKRSDGVIFLPRRIFVQSVHVHVCKTRAINDRASEEATEAVTEA >A03p000610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:392643:396161:1 gene:A03p000610.1_BraROA transcript:A03p000610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYEPYHVLQQSRRDKLRIPSLDSHFHFHHPPPPSFSGGGLFPLAGSDYLAAGGFHSNSNNHISNPSYSNFMGFLGGPSSSSSAAVTVAGDPSFNGELSSGDVLVFRPEPLSLSLSSHPRLAYDPVVPGAVSSGFSRSAAEAAAAAVTVASRSSGPLGPFTGYASILKGSRFLKPAQMLLDEFCNVGRGVYTDKVMDDDDSSLLFDPTVETLCGVSEEHGKKKSKLISMLDEAYKRYKQYCEQLQAVMGSFECVAGLGHAAPYASLTLKALSKHFKCLKTAITDQLQFTNNKIQQQCGHVMNSDNKTDSLRFGGSDSGRDLCSAGQRHGFPDHHAPVWRPHRGLPERAVAVLRAWLFDHFLHPYPTDTDKLVLAKQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETRQSQRSSSSSWRDEHSTTVFPDNNNDNPSSSSAQQRANNNSSPARRVRNHDVHGTNNNNNNNFMNAGNGGSGGGAVSFSYGIASSNVPGMSNSTNGGVSLTLGLHHQIGLSEPFPMTTAQRLGLDGGSSGGGGGGYDGQNRQFGRDFIGGSNHQFLHDFVG >A01p047770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26959229:26962994:-1 gene:A01p047770.1_BraROA transcript:A01p047770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTVSSLRYFSEFATKFTEMPLRSSLPLTSTSRYSSSPSLHALLFDSLSAAKPSRRVLRPFSSLRTSERSSNNGSRNNRRLDHRDSKPNPPWIDKWPPSSSAAKKSNEHNGGGEIPSPYVEKDRGQSAIERIVLRLRNLGLASDEEEDAEDNDGDGGDAKTVTGEERLGDLLKREWVRPDVILAEGEEESEVEDDDVSLPWEKKNEEEHAAERIGGDGGVALKKRRGRAPSLAELTVEDSELRRLRGEGMYLRVKINIPKAGLTQAVMEKINDTWRKEELVRLKFHEVLARDMKTAHEIVERRTGGMVIWRAGSVMVVYRGLDYQGPYVISNQMARPEETLFVPDVSSAGDEATNAKDNQNAPPEIKDPIVKNPIRKESMTAEEAEFNSLLDSLGPRFHEWWGTGVLPVNADLLPPTIPGYKTPFRLLPTGMRSNLTNAEMTNLRKIGKTLPCHFSLGRNRNHQGLAAAILKLWEKSLIAKIAVKRGIQNTNNKLMSDEIKTLTGGVLLLRNKYYIVIYRGKDFLPSSVAATLAERQELTKEIQDVEEKVRIRDIEATQPVGEKVPAEAGTLAEFYEAQARWGKEITPDHREKMIEEASRIASARVVKRIQHKLDLSQSKFERAEKLLSKIEASMIPNGPDYDQEVISEEERIMFRKVGLKMKSYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKSLAFVEDTARLLEYESGGVLVAIEKVPKGFALIYYRGKNYQRPVSLRPRNLLTKAKALKRSIAMQRHEALSQHISELEKTIEQMQNELTAKNPSYNESEWENDDDDDGEEEKDDESDWDEADGESTISSLEEADSPLR >A07g500410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:919221:923374:1 gene:A07g500410.1_BraROA transcript:A07g500410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLGIYRCMSSGTRSNKEKDWLFSDDPAHLERTICRGQRFTSLDATTSLSIDTHNQPSTDTRPSSSIDPNRSTTIDTTPRTSIDTVSSKMVNTGRERKTGTVIPDADATGAAQPSYSNSYYQNPPPQTQECKIEEMLDRVLLDNNKSPWISTTGETIKRQEAFAREAGADKGKHHVNAIIDDDFWQVVRNEKLEEGDFEIESSMSLGGSQWCRPMSMNSHRSTYHDEDRWMDYSSHRSTSSTKSTECNAVRILTHEEFAAKHPHPPSPFYDRIDRSVEPTIDRQSESDVDRHNTPPIDRRAPLTYQVRLPSIDNDYINALRPPPKPLANPPKPKPNPLNSSPESVQEEQEAEGRRLRKRKEKIPKNLKREANDKEMDGFIKRILRIPIGKPFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHRITLTKKSDHGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPTFPSIDSNESTVTNDHNNTSLDVMHPVDHFAPPNHCYQHFALQPPSKRGHDDYSIGSWIDSGFHESFAVDTDERHETHKFTNTFPTSFDDVHSTSVNTHPRPTKQPLKSIDTHTGTSIDIRAAAKIQEQENIPSPTRDPYGNARAMDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDSNNHVGVATTEINPDLSCQPKRQASIDRTTET >A02p010800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4676613:4683683:-1 gene:A02p010800.1_BraROA transcript:A02p010800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLSKSLGFSSSLKKQQEGIVTILGGISSNTSSAPSLRRTFSADLSSKNWLSRNESSPMKKLHASSVADEEEGSRSGFDIWAQVQEVKNQTKEEIEPDQTDVWSSILSDKKKAESSNDAVPPPYVHPLVKRASSLSEKSLEICTESLGSETGCEGFASYADAEIEKEEADLVLNVTVTKEEEETEAEAEEEAITVPNHSPCMELPRGSFPPPIRSLSSQSGSALHMKTRRDNGRLVLEAVSMPSHNNFSAKRQDGRLLLTFAEISDEPDCEIDIVSDEEDETDELQWSDEEEEVEEEEEEVEDEFAYKPSELMYKLAPKPIGPITVHRLAHKPIGVPKTNSRWDELETKTDLSTPVVHSLPPRPRVAQLARSMKPPSTVDDTVGAACFNTCDYSWKLNNTETLGRNTKTQNYINKSIGVGNDGWNTSPFGFFLCLLYSVEKGKGGIIIKKPKVMGDVKVDDDAILKSFLAEVGEVERDNEVVRILSCFKLNPFEHLNLSFDSSTDDVKRQYRKISLMVHPDKCKHPQAQDAFGALAKAQQLLLNDQERDYILTQVHAAKEELKMKRKKQLKKNTASKIKSLVDEGKHEQIYEQSEEFQKELKLKVREILTDQEWRRRKMAMRISEEEGRLKKDEEEQKEIRKKKREHEEQWEGTRENRVSSWRDFMKAGKKAKKGETRPPKLKTEDPNKSYVQRPVKKG >A03g507900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27764107:27764813:1 gene:A03g507900.1_BraROA transcript:A03g507900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIHSVVSEPPAHRRTKPPHLLPYCGCAGAITAPRCLVKLHKEESFEWKILMRARKSKDKFTPWKKLDGNEFGIQNSMNLHVWFPISSGKEVPLSFSQALT >A04g508450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22257679:22258143:-1 gene:A04g508450.1_BraROA transcript:A04g508450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCLIKAWKNPQPGLKASLHFIIPLAIVAVPSLEDAEHYFLLSVDSCYSLFPLLYEPQEYPIKCCCCCILWQYVLAFTAQYGEEKVLKSNFKIGHIQKSYLMGLVVVEI >A02p002520.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:1058407:1059501:-1 gene:A02p002520.1_BraROA transcript:A02p002520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIKTIPIMAIPSFNVCHKLELLKEEGKSLAPKRQEGEREEEGLSYEFQEMLESLPKERGWRTRHLYLFQGFWCQSKEIQAIMSIQKHFKPLQNDVVIATIPKSGTTWLKALTYTLLNRHRFDPVSSNTDHPLLTSNPHDLVPFFEYKLYANGDVPDLSGLASPRTFATHVPFGSLKDSIEKPGVKVVYLCRNPFDTFISSWHYTNSIKSESVSPVSLDEGFDMYCRGLIGFGPFWEHMLGYWKESLKRPDKVLFLRYEDLKQDIESNLKKLASFLGVPFTEEEEGKGVTKAIVALCSFESLKKLEVNKAGKSIKNFENRHLFRKGEVSDWINYLSPPQAERLSALVDDKLGGTGLTFRYC >A02g503390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11084233:11084678:1 gene:A02g503390.1_BraROA transcript:A02g503390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLNRNYITSAEEETWSSLLKIMEAIVDQSPPTLLLSEICKRTQSKLCKGTNGVNMTTTSGVILHRCMVSGCNA >A05p054530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32040017:32040809:-1 gene:A05p054530.1_BraROA transcript:A05p054530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO7 [Source:Projected from Arabidopsis thaliana (AT3G02950) UniProtKB/TrEMBL;Acc:A0A178VDN6] MSVKARRISGRLETVVAKPTYAFDPVDDDKIIRNRLLTRTTTTRGEPPLKKLQKKFTSFVLEVDKEEDNYAECNRLAKAFLQELTTFEIPLLKSQAVVEANVREKESFDEVKVETERQIMMAKGEIEELKRQLEESKIERQHKEECETIRKLISAQPPRAETEKVIAELKREIAELEAESTASWRLLELRKKQFALLLHVVDELQNTMEDEQKSLVDEIRTAVEDQRNTTEPMSVD >A02g505560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16464645:16465013:-1 gene:A02g505560.1_BraROA transcript:A02g505560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVKKFARRDGSEQSGSVEQEASINGSPEIRYEMVTGRGIEAIVNTLSKWVVSILFASIILLRRDGTALWGIGSVSNSALSVVLKR >A09p076140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57087097:57088254:-1 gene:A09p076140.1_BraROA transcript:A09p076140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLLKLVRAAAVLSSGSEWRREKERDAMGRSKFLHLSRLFMSRASLVHPFHVLRINKMLSCAGADRLQTGMRGAFGKALGTCARVAIGQVLLSVRCKDGHGHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRADFTKLRQEKRVVPDGVNAKFLSCHGPLANRQPGSAFLPATY >A03g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22681747:22682256:-1 gene:A03g506340.1_BraROA transcript:A03g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLHIILNILLPPLTIIFLFLFYPIYLFIKLLNCVRKHLCVENVAGKVVLITGASSGIGEHVAYEYAKKGADLALVARREDRLHIVAETSRKLGSRDVIIIPGDVTKINDCMKFISETISHFGKGEYSNTEL >A09g515020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44691875:44696207:-1 gene:A09g515020.1_BraROA transcript:A09g515020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEEFKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDFATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEISKERITMRSIRIKAGPRATHGLAIKGEVSMTLGSIQLPVMAKEITKVVEFAVVDHPAIYNVIMGTPWLNAMQAVPSTYHLGLKFPTPSGVAAIWGCQKQSRLCFLAEHKLRQITASANGKRAKIDRSSAKSAPHKDEVKSSVNANASDVEARHKSEAHATTQPEHPENSVDPATIDTVKADIATPTAEQRSTKRIRRTIHFLATIVKIDRDLLGIRRNRDGILEPLNLLVDRRDKRLSVGTVTHPTLHQAHFLFKHIVVGLRPPKTSDRTTALAKVAHRGKGILKVPVLNLELRCASLHHLDDFSFAFPLRSTNSPRMITSKLCLSLQHLALHASEIPLRFLRLEAVDHGFSMTRLDGRAQQAQALQNRWACYKSIHRIITKKFPKKN >SC179g500390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000049.1:804100:806170:1 gene:SC179g500390.1_BraROA transcript:SC179g500390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPFSEVVVAREKKPFWKRSWKLGEVFKLSRYVVVHLLSLWAPFHFSWAAFRLFFWLVIIKGICITLSYHRNLSHRSFDLPKWLEYLFAYGGVLAFQGDPIEWVSNHRYHHKYCETQRDPHSPIQGFWYSHVTWIFDTGSILKKCGGYENVSDLLRQPIYRFLQRTFGLHQIAFGLLLYFCGGMPFLAWGLFAIYGERELGIHLTSPKTTAIITFGEGWHNNHHAFEFSARHGLEWWQLDVTWYLIRFLKAIGLATNVKLPTEAQKRM >A01p017230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:8519328:8520257:-1 gene:A01p017230.1_BraROA transcript:A01p017230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQLHQSSLHILNPLLRFSPPSSPDNPKHQRESKFTMPKLTVRKLIPLLIFVLSSLSVLRLLRISLKSSSPVSRPVSSTTFRLRPTESSQQANASQSALTEKELKLLSDTVALRSPCNVLVFGYAHEYLMLSSLNTRGITVIIDDESSKIMTPNNTRVYSLKYHQMEVKNTYKLLRHARSNPSCAPNMNKLHQGSPDCKLKLKDLPQEVHNTKWDVIVVDGPIGDDLEAPGRMGSIYTAAVLARRGSSNSTTDVLVHDVQRTAEKWFSWEFLCQENQVSAKGNLWKFRIKGQSNASRFCSPETSLVRY >A06p055620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29041457:29042954:-1 gene:A06p055620.1_BraROA transcript:A06p055620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGNKNINAKLVLLGDVGAGKSSLVLRFVKDQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVFDITNQGSFDRAKKWVQELQAQGSGLDSSYRFMIVILFVSHLQEAETYALENSLFFMETSAKTATNVKDIFYEIAKRLPRVQPTENPAGMVLPSGPAATAVSSSCCA >A05g506800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19112428:19112834:1 gene:A05g506800.1_BraROA transcript:A05g506800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNSIFPFLSAATLLRTLYLESNYMEGVFPPQGLANMRNLKVLNLKDNSFIFLSGQGLAGFRELEVLDLSFNGVKA >A06p006690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2263394:2266479:-1 gene:A06p006690.1_BraROA transcript:A06p006690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSKPQTRSFTRALSLAFNEWLLILMLFINSIFSYLITKFADYSDLQSPCLMCSSLDHILGRRTKHLKKSHWDMVCSKHKTEISSLVYCHAHGKLVDVRGMCEACLFSFATTNKSNAETYRLLVGKLGEDFSSFGGGSKSDPRRYCTCCNQLWMMPQQTGFNDQEVVLAKPVTLPKIRVVGNLRTGKQSNTPKKSVSFNHLPDVGYTELKVHSDTESEAVFSEDEGVVAFKEKDHKFQNVDLETPRVITLPYDMATDKLLDLDFPSQEIGLRSYDSFFPETSEKVLKEKEMSSLDSLFFSDSAAVLKEKEDLIHFQDVSLTPDASMEETELVPVNVVPEETSKKVLKEEEIPSLDSIFFSLRSTEPFAAVSKETEFVSLRDIISTSSAAAEALLMREAEGDLVQLLDMSLTQDSKERPADASVEEAGLICLNDATSTTSSDAAETPEDDVLMGETVLKEKEELVHPQDVCLGEDFEVNPADALMEKTQLVCLNDLTSTSSDASETPEDILKGSEQMPLHDTSQHEVPESFTTNETPVETSKERDTSQDDIASLEPEYVVVSPSKSAQSMPEDSTEDCVSEDKETKETMASQTEAAPESESASFNSMSVAADTNLGSGELLDLADTYNSIPHNEDSNEEAHIEQWMKKDTSRVSEDLKTLLTHISASRISPKISTDQETKDLDLDMQLLIQKRMLERNESNLSLEEVSVSEIEGESESDRLKRQVDYDRKLLTGLYKELEEERSASAVATNQAMAMITRLQEEKALFQMEALQNLRMMEEQAEYDMEAIQKLNDLLVDKEKLIQDLEAEIEYFRDQTPQKRKVDAAENSETVSNKIQNCLTGFNEERLYITSCLEDLENRVVKRDVNGEARGDDLETQESVSELGERVEKLKGDLYFLEHVVNSLGHGDEGVQFVKEIASHLQTLRSLSMKRRNDAENNLVEKILQRNTKRR >A01p010700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5227623:5229145:1 gene:A01p010700.1_BraROA transcript:A01p010700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNESKDLYSYQYLSSLSLYELMNLPNSTPSSYGNNGFVPSSYSLADCFQSSPGAAYDSLLHKTLGVSPSSSEVFNSLVDQESKHDVTNDVIGETPTRVSASSSSSEADHPGEDSGKSQRKRELVGYGGEENRISKKVFTHDMFRSSAYTSGGSAAAALDYGYEQSGYGSVNANPNAHQEYRQGEAKKDILLARINLPC >A03p019520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7975733:7976931:-1 gene:A03p019520.1_BraROA transcript:A03p019520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGVRSIGRPKAAAFFTVRRGEYKAAVLICHFEGDDGDWLFHIFLYQVAKQKSHDKGLLQTIEAEEEIGLDASLVDYTSCVIKTRRAILCKSKSFDLKGKLILGDYGSTCVVL >A08g501860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4467907:4469561:-1 gene:A08g501860.1_BraROA transcript:A08g501860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLLLSELKADRCKETVVTRLFRFLEARNVKKSGELMEINMIETVTAAELNAYVLNSGPKLVEFSCTEVYSIDTENGWCYTYRKLQRVFSSFTCAACHNENIVAYGTDSGEFVAFDGEMTKLTNAHASEVAQLMDPGGEDPEQRSLLQCLKDMVGCTFTFQLKLSPFKFSPKHQSFTISRIFDRQSASITSKLCSTCRLYHFLNLLSNYSSVVHPIIWVYAQGDDSNLGDGRPRVVSSKPSSNVTKVSLANEALLASCGVLGKATAASSVVYYEHVMWLVKAQPRDQPSRT >A01p039410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14340669:14343485:-1 gene:A01p039410.1_BraROA transcript:A01p039410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTVPDLTWIMPDLRRIMPDLRKIMSDLTRIMPDLTWIEPDLAWVVKKPKTDMHSHPADHPDSPASVLTFTPCIHLVRMNLDILTALDRGYIKSHSASLDDPFNPSQFQKCLGFRATLAEKSRGSGGRETLLAEEKPSLRTVKADPYQKSLHLSFSFIFPIKCFRMFGLLKKSKPQQDIYFPFKTKQRNQRKRQNMFDDDEKRVRSGDRPFTKAKRSNRDVPDQNELQTYASLEKMLHKAIHVVRQLKKKGNNNTSSAPKQQNLTWIMPDLRRIMPDLRRIMPDLRKIMPDLTRIIPDLTWIEPDLDRARLSLGGEETKDGHAFSSGGPSGQSHKRPYLYPVHPSGSDESGHLDWSSPFSPTHSFFLWWLALDRGYIKSYSAFLDDLFNPSQFQKCRLSSWIIFNTQLK >A01p008760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4255200:4262380:1 gene:A01p008760.1_BraROA transcript:A01p008760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNHVLSLKLSLTLLSLLIVAATTNGLDTPSSKTWRPWPFKKLNKPVVLMISSDGFRFGYQFKTDTPNIELLISEGTEAKLGLIPVFPTMTFPNHYAIATGLYSAYHGIIMNKFTDPISGEVFNKGLDPKWWLGEPLWVTATNQGRKALTYFWPGSEVPKDSWTCPKELCPHFNLSVPFEERVDTILNQFDLPEEDIPDLMMLYFNEPDGAGHSYGPDDPRVTKAVATIDKMIGRIIQGLKKREIFDEVHVILLGDHGMVTNCDLKTIYIEDLADWVKIPADWINAYSPVLAMNPRWGEDVKNPGEKNAELVAKMNEALSSGKVENGEFLKVYLKEKLPKRLHYSESSRIPPIIGMVGEGLVVRQNRTGVHECYGDHGYDNAYFSMRSIFMGHGPRFRKGKKVPSFENIQIYNVVAEILGLRPASNNGSSLFTRNILSPFGETVELSLSFLFLTFLIVAATANDSSFPSSHTGKPHHSKKLTKPVVLLISSDGFRFGYQYKTDTPNIDLLISRGTEAKTGLIPVFPSMTFPNHNSIATGLYPAYHGIIMNKFTDPVTGELFKRNLDPKWWLGEPLWVTATNQGLKAATYFWPGADVHKGSWTCPKGFCKAPYNASVPLEERVDTILSYFDLPQSEIPDFMALYLEETDIQGHEYGPDDPRVTEAVAKIDKMIGRVIKGLKKRKVFSDVHVILLGDHGMVTNCDKKVIYIDDLADWIKIPAEWIQDYSPVLVMNPRWGKDVKNPGEKNAEVVAKMNEALKSGKVENGEFLQVYLKEKLPERLHYSDSSRIPPIIGMVGEGLMVRQNRTNVQECFGDHGYDNMFFSMRSIFIGHGPRFRRGKKVPSFENVQIYNVVAEILGLRPAPNNGSSLFTRSLLVPSGETTQPKAMPTPEEDQEDPPSQSIALLHNNNNNSDSDSSTKTISSCFIFTSLLIITCIALSAASAFAFLFFSSSQNAVVALNQVSKSQPFHRSVARPLNKLHKPVVLLISSDGFRFGYQHKTNLPNIHRLIANGTEAETGLIPVYPTLTFPNHYSIVTGLYPAHHGIINNRFIDPVTGEVFTMASHEPEWWLGEPLWETVVNQGLKAATYFWPGSEVHKGAWDCPKGLCQVYNGSVPFDDRVDTILHYFDLPSNEIPSFMTLYFEDPDHQGHQVGPDDPRITEAVVNIDRLIGRLIDGLEKRGVFEDVTMIMVGDHGMVGTCDKKVIVLDDLAPWIDIPASWVHYYTPMLVIKPPKGHDPADVVAKMNEGLGSGKVENGEYLKVYLKEDLPSRLHYVESDRIPPIIGMVGEGFKVVQKRSKAKECGGAHGYDNAFFSMRTIFMGHGPMFAKGRKVPSFENVEIYNVISTILGLKGAPNNGSEGFPSNVLLPRA >A05p050770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29551675:29553024:1 gene:A05p050770.1_BraROA transcript:A05p050770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLREGIKVPMSRCFPFPPPGYEKKKIRTEEADSLVKEKQKKEKKHKKEKKDKETSKDRYKEGKERKEKHRDKKDKEKSTTSQDKNNGNEESKFVQDLARRISNEKEEARESQSVGKSSFPCGVTENFPMGKRSESSVGRVSSWRDQKGTEIMVQPVEKTELQEKNHLKESVTKGDNKSLDREEIKKSEPKYTTHRSSQENKEQKPKYVEGGSMLKERDVDNRNIGKRKDHERNGFLYENGSRLNKIHKPVASPVSSVENGRNLGACQTPPKPVTELQETVCNPEVNEHRVNGFIDSQEHKSHRSANSNGEASAKKRPHSDLKYLDQILNVPKREELHEFDVSEEQEWLLGQSSVRLSKKPKKVSTTLLDETLQVWNQALRIESADTVALPYVVPF >A10p028860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17803153:17811210:-1 gene:A10p028860.1_BraROA transcript:A10p028860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQQNHHRTLPRFRSKTIIFTLIISSFSLLVILFTFPSSPNPPVRIETSFVASLEQFLIHNAPKLNPPSRDDTVRGEKEDDDVRKLDEMVFERENRLLDEDPVYPVKVYVYEMPKKFTFDLLWLFHNTYKETSNATSNGSPVHRLIEQHSVDYWLWADLISPESERRLKSVVRVHKQEEADFYYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKTVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLVLPYVSNVDLCDAKCLSESAPMRSTLLFFRGRLKRNAGGKIRAKLGSELSGVKDVIITEGTAGEGGKLAAQEGMRRSLFCLCPAGDTPSSARLFDAIVSGCIPVIVSDELELPFEGILDYRKVAVIVSSSDAIQPGWLVNHLRSLLPSQVKKFQNSLALYSRHFLYSSPAQPLGPEDLTWRMIAGKLVNIKLHTRRSQRVVNGSRSICRCDCWRPNSTASNSSNSLSHLLCSFAIQQHNKSQSSHMNISFFISVPSSSYPEMVCVVNMDIHLMRMASHNPFGKSRSNLSNMSDANEPGRPSVPSKVKFSRRTNSGRYVSYSRDDLDSELSAADYANYTVHIPPTPDNQIMDPSISQKVEEQYVSNSMFTGGFNSATKAHLMDKVIETETTHPQMAGSKGSSCAIPGCDAKVMSDGRGQDLLPCECDFKICRDCFVDAVKTNGGICPGCKEQYKNTELTDLVDDYGQQRLPGPEGSSGAKMERRLSLMKSTSKSVLMRSQTGDFDQNRWLFETSGTYGYGNAFWTKDGNLGSSKDGDGEGVEMQDFMNKPWRPLTRKLKIPAAIISPYRLLIFIRVVVLALFLTWRITHQNPDAIWLWGMSIVCEVWFAFSWLLDQLPKLCPINRATDLQVLKEKFETPTPSNPTGKSDLPGLDVFVSTADPEKEPPLVTANTILSILAAEYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHVIEPRNPESYFSLKRDPYKNKVKSDFVKDRRRVKREYDEFKVRINGLPDSIRRRSDAYNARDEIKAMKMQRQNRDDELLEPVKIPKATWMADGTHWPGTWLTPGTDHSKSDHAGIIQVMLKPPSDDPLHGESEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGAFILNLDCDHYIYNSEAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRSKEHSSGCCSCCFPRRKKKSNVSEENRALRMDDDEDEEMTLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGTRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPKMKLLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLIYLLIISITLVLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHIAAVFQGLIKFGFGYEVAFTLTSKSGGDDVDDEFAELYIVRWSSLMIPPITIMMVNLIAIAVGFSRTIYAVIPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWVSIYPPAGSTGLGGSFSFP >A09g516700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49197072:49198676:-1 gene:A09g516700.1_BraROA transcript:A09g516700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVVLLRKNILLGIQNRLPTIKRFPPRDQVMKQQVQIHHLPAMNSTTHRNVSLLLHSTTHCNVSLLCFWEARNSKKGEKFMGIDIVLVDEKPENHGKLKLGSYEAYDRETKAGACLRITKHSDFEYCPTPMEHGYDISGGVSSSPKSESKTLVVRLSLTSVNANFVCQIHGFIEK >A09g501210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3907062:3908027:1 gene:A09g501210.1_BraROA transcript:A09g501210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQRFYGFAICLSAGLTCTLLSMLVFFNPVKFGITFTLGNLMALGSTAFLIGPQRQVTMMLDPARIYATALYLASIIIALRIGNFQSIVEEEEVVRNKLLTLLAIILEFSGLIWYAKYSLSYIPFARAMVSKVFMTCFDTEF >A01p002040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:945936:948471:1 gene:A01p002040.1_BraROA transcript:A01p002040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSGVRAALSSMKAPSKHDTNQEEKKKKMESQCNGKPLVNRRKANREKKMDLLQDVDKLKRKLRHEENVHRALERAFTRPLGALPRLPSYLPRHTLELLAEVAVLEEEVVRLEEQVVSYRQGLYQEAVYISSKKNVESPNSNNNGLKESSPIRNTKHQRSKSMSQHEFNSMITPPKKHHQQSLSFSRSVSSSRKLFSTDQRVLVNSKQTNQIKKDKESSPEKRLGRFLKKKKPLVKPEAAVQIDDRLEEQDKAQESSVSGSSSEANRVSEDLLKCLVSIILRISSSKDTALDPYSNCLEWRAREIGAYKNLCSVDASSIDLGRRTNASFLIHRLKFLLNKLSVVNLDGLSHQQKLAFWINTYNSCVMNAFLEHGIPGTPEMVVALMQKATIVVGGHSLNAITIEHFILRLPYHLKFTCPKTATHEEMRAHSTFGLEWSEPLVTFALACGSWSSPAVRVYTAGNVEEELEAAKRDYLQASVGISKKNKLMLPKVLDWYLLDFAKDLESLLDWVCLQLPDKLREETLKCMERKNKESLMELVQVVPYDFSFRLLLLHQ >A10g500380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1149603:1151969:1 gene:A10g500380.1_BraROA transcript:A10g500380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYPRGSRFQPTELGLVKLHLKNKVEKNISGFIKTLNVYGDAPWLLHHDTNPLYSRNEWYYFVPRKIRGVRSVSRMVPSNGDSLGGTWKSVGKIIEIKKNDKELMGYKRVLVFKENVAGKLEKEKTDWHMDEYSLHRNGDEFHDLVLCHVRLLHSDERFKPHVPTAHQVDHVKNDNNDVVLPNQEQQEAGSAMQDCKGGDVNQPQQQPEEQEDSFILVDRHLRSNHNQRQNLLEDVVYPNGRGDFNQHQQQQQDQEDSLNLLHPPFQTNVNQEHYPLGDIAYHNAEGDMTGYGLMPSFNQGSDVNQQQDSPDPLLLPPLQQSNDNQEPHPLDDIDFDDNLTIDIDELLKILDEGKEQEDPPTLQSNVNQEPCLSWDTNFVPSQVENNNTVLPNQEQREAGFANHNDMKMMVEKEHGDVKQDQEQHIKDLLSYLLSDDFGFDGSEDGPIAPELLKLLEPVPQAQDSEVMPPPPQSNDNHGQLPLVPSQVENHNNTNVLPKQEQQEAGFAYQYDMTMVAKEHGDVKQPQDQELQHIDLQSLLLDPEWDDLRCDFGGPEVGLILQNMEVSMTQQEEQEVQEEILKLLEPVPQPQDSGVHADQIRVEKEHDSITHNTDGSLTQQQLQKLETFIDNLNLYF >A03p015870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:6323743:6324173:1 gene:A03p015870.1_BraROA transcript:A03p015870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDTFLAFMSFLASSLWMAYGLLSHDLFLAYKDKEAPITTMVMIKWDNEKNECSLEFVLDVGNDGDANEKKFNNAC >A02p006530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2759909:2760597:-1 gene:A02p006530.1_BraROA transcript:A02p006530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDNLGNGAASSFWYDSWLSTGPIIKLIGESGPHHLRVPPNASVSEVCSTVGWNLRSPRSDAEVTLHTHLTVIDLPSLSTIEDEHVWVANGIESREFNSARTWDIIRPRATKKDWSSTVWFKGCVPKQAFNL >A08g509960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21434460:21435882:1 gene:A08g509960.1_BraROA transcript:A08g509960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEAKVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMQSWTGTILGPHNIDGVFFVVMNGYQTAYEGKIFQLKLFCGKEYPESPPTVRFQTRINMACVNPETGVVEPSLFPMLANWRREYTMEDILIKLKKEMMTSHNRKLAQPPEGTEEARPDPKGPAKCCVM >A05p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:978142:979699:-1 gene:A05p002800.1_BraROA transcript:A05p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein B5 [Source:Projected from Arabidopsis thaliana (AT2G46170) UniProtKB/Swiss-Prot;Acc:O82352] MAEEIEKSMPAEQSLMDKISEKIHHHDSSSDSEYEKPDSPSAVKAKIYRLFGREKPVHKVLGGGKRTSLYLFIDSHYRFAADVFLWRDKKLSAAVLGVATAIWVLFELVEYHLLSLLCHVSILALGGLFLWSNAHTFIKKSPPQIPEIHVPEEPFLLIASSLRNELNQGFVILRSIALGRDLKKFLMVVVGLWIISVVGNWCNFLTLVYICFVLLHTVPMMYEKHEDKVDPFAEKAFKELHKHYLVFDEKVVSKIPIASLKAKLG >A09p077560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:57594365:57605121:-1 gene:A09p077560.1_BraROA transcript:A09p077560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKRMAMLVVVMLVTGNILFEAEGLAFIDCYKGCFVICAASSNGFKKLFCPFSCIKDCKQPTTPSEANLNEIDQTDYFCKLGCATDRCASSSSIHDKDHAEKVSLRSESKNSTKSTTVSSKRMAMLVVVMLVTGNILFEAEGLAFLDCYKGCFVICAASSNGFKKLFCPFSCIKDCKQPTTPSEANLNDIDQTDYFCNLGCATDRCASSSSIHDKVTLVGSLLDKTNAQSSHFSVCYSDCLVVCKSHTTFPKSVLCPFTCLMTCLVPTLPSPSPSPSSDTVPTNKIDNTEYFCKLGCATHHCVSLSFFQNPNAENVANCVDSCSYKKRMESKRVTMMFIILMIVMIMGNFVVQAEAQAQAYPFRSCFPGCIVSCAIEKKFPTGLMCPFTCFMICLPPPTSNTPSPTSQMILANEIDHTDYFCKLGCATHHCLAFSSLQNPNVDKVADCVDSCSDKCSNKN >A01p042310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:22808523:22809073:1 gene:A01p042310.1_BraROA transcript:A01p042310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNEERRSMKAQKAIFDSLHFVTDSMQGIQERCVCGRRLVRERAPAEVFDYLPGKRFFTCKEYKDDGMHYRQPWVCAVEEELHIMKTRLEECEEHKSVVVKLEVENQELKAEVEKLIARVSELEYAA >A07g509230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27387536:27389426:-1 gene:A07g509230.1_BraROA transcript:A07g509230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGSSSTVAGGGQLSVPPGFRFHPTEEELLYYYLKKKVSYEPIDLDVIREVDLNKLEPWDLKEKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIHLNSSKKIGLRKTLVFYTGRAPHGQKTEWIMHEYRLDDNENEIQNLLRLTSNNNNYGGDWSFLDKLLTTNMNQQQQVQNHQAKCFGDSSNSDQAVTHDHLGNNNGNERFPFHYLGNDANLLKFPK >A09p010730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5443250:5443913:-1 gene:A09p010730.1_BraROA transcript:A09p010730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMYNFSGGGDISPRISFSNDFVETRPETTKTPRSSPLSKPEGSSSATDNFEFSVSDYTMMPADELFSKGKLLPFKETNQVQRTLREELLVEDEEESPHEATNIFSLKRPIFSSSSSKGRWKGLLSLKRTHVGSKNNEEPFLHMIDNKQSQEAMGGREGLSCRGMKKSV >A05p049470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28803018:28804993:-1 gene:A05p049470.1_BraROA transcript:A05p049470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G09810) UniProtKB/TrEMBL;Acc:A0A178V9M1] MAAFLARRLLGTSTSSSSALISAARAFSSSTTPIKATLFPGDGIGPEIAESVKQVPFPNPHHGFVAKVDKVCSFMVVIQVFTAADVAIDWDEHYVSTEVDPRTNSFLTWESLQSVLDNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVDLITIRENTEGEYSGLEHQVVKGVVESIKIITRKASMRVAEYAFLYAKTHGRKKVSAIHKANIMQKTDGLFLQCCDEVAEKYPEIEYEKVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEDGIALAEAVHGSAPDIAGKNLANPTALLLSGVMMLRHLKLNKQAEQIHSAIINTIAEGKYRTADLGGTSTTTEFTKAICDHL >A03p070830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31164284:31165592:-1 gene:A03p070830.1_BraROA transcript:A03p070830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTTVALQSLTAAKLHPPSQSVSKSFKPVTRRVSCSLHDDLKNLTLNCVEATKIAGFALATSALVVSGASAEGVPKRLTYKEIQSKTYMEVKGTGTANQCPTIEGGLESFAIKPGKYYAKKVCLEPTSFTVKAEDVSRNATPVFQNTKLMTRLTYTLDEIEGPFEVASDGTVRFLEKDGSDYATVTVQLPGGERIPFLFTIKQLVATGKPESFGGDFLVPSYRGSSFLDLKGRGGSTGYDNAVALPARGDDEELDKENNKNTAASVGEITFSVTKSKPENGEVISLFESIQPSDTNLGAKTPEDVKIQGIWYAKLEQ >A01p011830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5733596:5735895:-1 gene:A01p011830.1_BraROA transcript:A01p011830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKITQLFTPNRYGASTQHIPTGSPSPDVGTSSPASFSFGNGGRKNGGLRHSASLQDFSSYHAFDPEEARETNSNWGQNGASFSKDKGGLPPSVPKPSTSRRKWIRAVMIVTCLFLFASLVYILGMYVYTNWSRGASRYYVVFDCGSTGTRAYVYQASLNYKKDSSLPIVMKSLTEGISRKSSGRAYDRMETEPGFDKLVNNRTGLKKAIKPLIQWAEKQIPKHAHRTTSLFVYATAGVRRLRASDSSWLLGNVWSILAKSPFTCRREWVKIISGTEEAYFGWTALNYQTSMLGAVPKKATFGALDLGGSSLQVTFENEEREHNETNLDLRIGSVNHHLSAYSLAGYGLNDAFERSVVQLLKRVPNVNNSELIEGKLEMRHPCLNSGYEGQYICSQCGSTVKRGKKGKSGVSIKLIGAPNWGECSALAKIAVNSSEWSKTKHGVDCDLQPCALPDGYPRPHGQFYAVSGFFVVYRFFNLSAEASLDDVLEKGREFCEKAWQVARTSVSPQPFIEQYCFRAPYIVSLLREGLYITDKQIIIGSGSITWTLGVAVLEAGKALSSTLGLKGYETLSMKINPVALISVLFVSLILLLCALSRVGNCMPRFFRKSCLPLFKHNSASASSVLNIPSPFRSKRWSNMGTGVKEPLSPIARSSPRRPFSFGSSIQLMESCSLYSSSSSVMHSYSSDSLGEMQVDNSGSFWSSRSQMRLQSRRSQSREDLSSSLAESHMLKM >A01p035510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:23103738:23109010:1 gene:A01p035510.1_BraROA transcript:A01p035510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNRNKEFQSQVKNSSGHFGNLTWPWFLSLLNPKCRVSCLNIDRWYLCTSIDINLHLSRHFLISIVSTDAHRSIILLLHKYKVNALPWEYRSHDARISDRISDQDWTGFHESKFNGGCHQSSLRKRALKIAASKPRFELFYLSLYESSLNCFSHQVLRYLPDLSEESDPMYTKPQDKRITFLRQCDPVFHLLSDLMKHTPNVLLGYLCFSEEHPQPVCEVSFINRFFDWDSEDSFSETIHLLIVSFPLK >A09p062980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51308732:51317911:-1 gene:A09p062980.1_BraROA transcript:A09p062980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFVFPQILRALEEDPEDNHRLFAQNPVDVTSLRPSDLEEFVKGVSFDLSDRELFCIEDQDVFDRVYSLVRSFYTLPPSCKCNLVESLRSNLSVLLPNVDSISRSVQDQEDEVPIIDRITSHRNALKIYTFFLITIVMTEESHVSSVDSTKVVGRGRKKLVVQSWNWEPQRGRMLNLIANSLEINLSLLFGSSELDENYLSFIVKNSFSLFENAAILKDPEAKDALCRIIGASSTKYRYIVQSCASVIHLIHKYDFAVVHVADAVARAESKYSDGTLAVTIIRDIGRTDPKAYVKDTVGADNVGRFLVELADRLPKVMSTNVGVLVPHFGGESYKIRNALVGVLGKLVAKAFNDAEGDMSSKSLRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVASISAGRLEDKSAIVRKSALNLLITMLQHNPFGPQLRIASFEATLEQYKRKLDELEPNRPTEDSSKEPTSDGDSCNGDGEIDDLQPEVTNNTHLDSLPDSCQPDNGEDIREKDASVPDIGNLEQTKALIASLEAGLSFSKCMSASMPILVQLMASSSASDVENAILLLMRCKQFQIDGAEACLRKILPLAFSQDKSIYEAVENAFISIYIRKNPVETAKQLLNLAIDSNIGDQAALEFIVNALVSKGEISSSTTSALWDFFCFNINGTTAEQSRGALSVLCMAAKSSSRILGSHIQDIIDIGFGRWAKVEPLLARTACTAIQRLSEEDKKKLLLSSGSRLFSILESLITGNWLPENIFYATADKAISAIYMIHPTPEALASTIIKKSLSTVFDVVEQDQAQSDSDGNTVDCLTTVHVAKLSRFFFVVSHIAMNQLVYIESCIQKIRRQKTKKDKAAAESQNTEENPGATQENNSINAELGLAASDDALLDTLAERAEREIVSGGSGEKNLIGDCATFLSKLCRNFSVLQKHPELQASAMLALCSESNLQLLFTVVENAPSEVVRSNCTLSLGDLAVRFPNLLEPWTENMYARLRDASVSVRKNAVLVLSHLILNDMMKVKGHINEMAICIEDDVERISSLAKLFFHELSKKGSNPIYNLLPDILGQLSNRNLKRESFCNVMQFLIGSIKKDKQMEALVEKLCNRFSGVTDTKQWEYISYSLSLLTFTEKGIKKLIESFKSYEHALAEDLVTENFRSIINKGKKFAKPELKACIEEFEEKLNKFHMEKKEQEETARNAQVHIEKTKNMESLVVPSKVKDEPVEEYDDDDDEEGVSDSEIVDPSMGEQGDSLKASDSEEEPSNSEEELSDSEEVPDSEQSGEEEEEEEEEGEGEGESESSNVKRGNRAKLSSSSIRRSLSFQTTWEKKQISSKSLFKLSCVMEKSIANSTTPYCLNAIDIKEEVSVATLLMNMENEFDPFDALSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDALQSLLAKLDKADRAFCFTSGMAALTAVTHLLKTGNVRYWPLSLGLIVVVLFKNFVSIGDEIVAGDDVYGGSDRLLSQVVPRSGVVVKRVNTTSLDEVAAAIGPRTKLVWLESPTNPRQQISDIRKIAEMAHAQGALMLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDVMAGVLAVKGEKLAKELYFLQNSEGSGLAPFDCWICLRGIKTMALRIEKQQENARKIAMYLSSHPRVKKVYYAGLPDHPGHHLHFSQAKGAGSVFSFITGSVALSKHLVETTKYFSIAVSFGSVKSLISMPCFMSHASIPAEVREARGLTEDLVRISAGIEDADDLISDLDIAFRTGPI >A03p065680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29046461:29046835:1 gene:A03p065680.1_BraROA transcript:A03p065680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flowering-promoting factor 1-like protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31380) UniProtKB/Swiss-Prot;Acc:Q5Q0B3] MSGVWVFNKNGVMRLVENPYNQSAGDSSESSSSSGNQQQRLRRKILVHLPTNEVVSSYGSLERILKGLGWERYYNEDNFDHLLQFHKRTSIDLISLPRDFSKFNSIHMYDIVVKNPNVFHVRDM >A06g505880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16944851:16948056:-1 gene:A06g505880.1_BraROA transcript:A06g505880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPSRYVFCIFRSWVYCMYSSAQHHHELRRTRDRIFVYETGATKHVPRAPFIDLEPTFINEVRRRTYRQLFDPEQLTSGNNLAEDNYTGDGERILRGGDKGFFGKPSDLATAEEAAVFYDRAAIRLKGHNTQTNFLTPPSPGETPVIDRCDSGNQSLCSPTVLRFNAKEETKYKAWGSLLGIQLAERDITVACADYRTNEFSFFLFSLQARECKTGNPENSHRFCNETCKETNLASLDAFSFQKSVFGSDFLEHVNVNDVSPKSNTSR >A01p044370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24405676:24406664:-1 gene:A01p044370.1_BraROA transcript:A01p044370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTHNISFLILLFLGVLALSFVCDCSPPKPSPDPHNPPKNPVKPPQSPAVKSPKPPTVKPPPSTPKPPMKPPTIKPPTHKPPPVVTSPTPCPASTLCPPPSPCPPPTPCPPPTPTPTPPVVTPPTPDPHVVTPPKPETCPIDTLKLGACVDILGGCIHMGIGKSYAKETCCPVLGGLFSMDAAICLCTTIEAKFFNMDLIIPIAIEILLDCGKPPPPGFKCCGVKKCDFHSHAHCTDGHVIRALQRRLLAKYMRINLYMYTSTPTNIS >A10p003780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1876656:1880247:-1 gene:A10p003780.1_BraROA transcript:A10p003780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIAQSIGITNLSPEAALMLAPDVEYRVREIMQEAIKCMRHSKRTTLTASDVDGALNLRNLEPIYGFGSGGPIRFRKAIGHRDLFYTDDREVDFKDVIEAPLPKAPLDTEIVCHWLAIEGVQPAIPENAPLEVIRAPAENQIYQQKDGPLIDIRLPVKHVLSKELQLYFQKIAELTLSKSNPSLFKEALVSLASDSGLHPLVPYFTNFIADEVSRGLNDFLLLFNLMHVVRSLLQNPHIHIEPYLHQLMPSVVTCLVSRKLGNRFADNHWDLRDFTANLVALICKRFGNTYITLQSRLTKTLVNALLDPKKALTQHYGAIQGLAALGHNVVRLLVLSNLEPYLSLLEPELDAEKQKNQMKSYEAWRVYGALLRAAGLCIHDRLKIFPTLPSPSPSFPSKGKGKVISTEPNKRKLGVDSSENRSPHKRLIATDGPDGGPSPMQVDRPTGDANPPQNSVRPSSSEQASDGNAPESRNAKEKDGSKGRAITRKAILDQIWKDDLDSGRLLVKLHQLYGDRILPFIPSTEMSLFL >A09p036810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24661838:24665207:-1 gene:A09p036810.1_BraROA transcript:A09p036810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEKQCGKVDKLKPIIAIISLQFGYAGMYIITMVSFKHGMNHWVLATYRHVVATIVMAPFALILERKIRPKMTWPLFLRILALGILEPLMDQNFYYIGMKATSATYSSAFVNALPAVTFIMAVIFRLETVNLKKIRSLAKVIGTAITVGGAMVMTLYKGPAIELIKAAHTSIHGGSSSETTDQHWVTGTLAVMGSIISWAGFFILQSFTLKKYPAELSLVMWICGMGTILNTAASLVMVRDLSAWKIGMDSGTLAAVYSGVVCSGMAYYIQSIVIRERGPVFTTSFSPMCMIITAFLGALVLAEKIHLGSIIGAVFIVFGLYSVVWGKAKDEVISTTEEKIGMQELPITSIISTDGGGNPGAHNKGVTNST >A09p008310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4275270:4277189:1 gene:A09p008310.1_BraROA transcript:A09p008310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGGMSPSGVITVKGDETLAPRTEFQQNPSFLPSVRPTTVVPPLPPAPATVTPGSAAAAPPPSISSAGTDLTKKKRGRPRKYAPDGSLNPRASRPTLSPTPISSSIPFSGDYHHHSHWKRGKAHQQPVEFIKRSNKFEYESSPAPPPAGLSCYMGANFTTHQFTVNAGEDVTMKVMPYSQGSRAICILSATGTISNVTLRQPTTSGGTLTYEGRFEILSLSGSFMPTENCGTKGRSGGMSISLAGPNGKIIGGGLAGMLIAAGPVQVIMGSFIVMHQAEQTHKKKPRIMEACPPPPQPQQQPPTFTITTVNSTSPAVATVEEPKQQTYGGGGTMRPLSQMPSSFHNDSITTTYHGYGNMNTGATNYKEEDDYENGGDDDSGDTRSLSNSG >A05p033360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17493170:17497545:1 gene:A05p033360.1_BraROA transcript:A05p033360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKPAPLPTIPQPSLVEKIRRTENKTLRRFAPTTISSSGRPRVVIPDVVFKKGAEIHKDFIICYFNGRAPPFSQIQSVFNHMWGKEKRLEIHNNPLTHSALVRIPSDYLRQKILEKSIWYVGDSMFHTAQWSSIHSKSTPPLKAIKIWAHITGIPLDLRHEDGYSLIAGLIGEPKETDDFTKNLVSLTLSNVKIEVDLSQPLPAVVEFEREDGEVVEVTVTYPWVPPTCSHCHELGHIVRNCLLFTPPSPTNSNPTPKKAPAAKSDPRAKKKYQPVQNIIKPTSSDHKPADPPPSLLKTTSPLFPEQQPSLTPNIPPATFTKKKPLDPRTVTSTNILTPNSFQLLDSNPFSTPTQTPSPSLKRSRSSPTLTPPEASTNTSNPNPFVNLVSVSDSSSFKPPDLNHPSFLSNTTSTSFVPGDSSILSKSRQSITCRIIIPHKPPIYYTAVYASNQSDERNDLWAELIHLHSTLSLDDSCWFFGVDLNQIIHPDDHSDPVVNAPDYQMYLLRDCMTQLGLFDLRYTGTNHSWTNSQPAHPIAKKLDRLLCNSVAIASYPHALANFLPPNFSGHLPCILDLAFNLPQEMSELVVGHFQSVLGPRFYTPPSMHTAPTWFQLLTGYSCPQQMSDLMLSLPTTEEIKSTFYKLNPNKAPSPDGLTSAFFKTSWETLGNEVVSVWVQWFKEVILKGSLQNYWTTPPRQSFSWLVNKLLKLKDEVFPLIKLRLGNGETARFWADNWSPFGNLHTFLAGSNSRLGISENATISSLYRNGGWRLPPVRSNPQLQLYTYLTTIQLQESEDYYEW >A01p012150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5929245:5942235:1 gene:A01p012150.1_BraROA transcript:A01p012150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRILRRQGLRDFEPSLPPRFMDRILDHMKKSSSRRVISRRRLERCSSSFAEDLSGSTSSFATCSMELPDRTSFRLFGAGGDGELDRIYKSFDAWEATKMRSSSDVLSEVSSSGVDSLDRDNTGGVGRFFKEHASEKASEKEHGEMNLNPDYHESFPSAVLNPTSPGTSIKMVEMREKLKEVETPFQPKLSEHSVTGSDPILVIYCGDNQVSEEIYFISYIFNELCARGFAPLRYDMKKSTVTENQKLLHISRVGIIIFSMNFAHSRECLDGFVAVMDHLKANELVLIPVFFKVSVSDVRGQSGSFGKAFTRLGDSVMASQVLKWRAAMIKLASIIGYAYKKGDEVILAKNIVRDVCLPLSSETNMKLRGTRLSLKSILSLLNRSQPSSSQIVGLWGMAGIGKTTITRDFFRSQAEQYDVCYFLPDFHLLCQTKGLSYLRDDFFSKIFGAEKVFVDACDTKPSVTRDRFLGKKVLIVLDGVSSAKDAEVLVGGFGWFSGGHTIILTSRNRQVLVQCNAKVIYEIEKLPKLESLHLCCKFASKENCKGRMSLTSELVDYASGNPLALRNLEKLKRIILSHSQQLTKFPRLSKARNLEHIDLEGCTSLVKVNSSILHHHKLTFLSLRNCSRLRVMPTTVHLKSLEVLNLSGCSELENLQDFSLNLKEIYLAGAAIREIPSSIGDLTRLVTLDLENCESLQHLPPGISNLKAMMTLKLSGCSNLKSLPVLDALFLQDSQRSNTRITMEESVSINLHSAIQESRLDGSKTLLKLDNLQLRSDIHGSFFSREAFLPAFEYLSNNGIPQESWTRVTVIPFPSPILHSLASRLYALVSLFLCNAYLVDIPEDICWLASVMRLDLGGNSFSQIPKSIKEFRKLHSLNLRHCKNLKSLPELPRSLVTLNAHGCVSLKSFPTSFEQFPRHFTFSNCFNLSPKVVREYIGKALDSVKGMAKGILQASLEQEHINDPAFNICIPASAGQQSSVNFQAGSSVRIQLAPGMLKTLSGFVLSVVVEFWDNYSNTAGFGIKCICRKSRIDLSPRLERIFHCWAPKEPITVQRDHMFVFGSVKMHHAEAVNHDFLSDSVTFEFHPVNSENQLVDDSCTVKRCGVYLITDATSNTALSAKRPSSSMDPGGLSSMEHVAPPYKKCRLKGVIEIVILSLRKRKRELSVPTVKNVSTVRRLCRSYVRTGSCAVGPSCSFDHPNWVLTHKTASSIPSESPPMDMLGLSSYGKPSDKRVETSTEKPKRLSVSESRQATSGIDTIDAEQEEEGKLILREVHLGPVSARFEHDHNYRNLEQNEEKELGCHKVLRTETESNSYLKDSQTSIRKPTLSQLLGFNRSPFVSVHDSDSVRDLMDSHPSLIGRSIGNSNRSSVDLGRSTPSSPSPSSLTKSISDASSQSLSSILNNPHGGKSGVYGSDASWVGWWSSSTSVAPSEFAPVASTKLPGSDLTRSDFHGYVSSISDSHARFEDIINHAKEESSGFDQESHVSGLASCLREVPSLYFKEDFALEDGATFRSACPFSTLTENLALQEKLSQYLDVVEMHLVKEISVRSDSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLLDRNLVDSARQIQELSSTRINMLELQRKLRVILYVNQALSALKLLVASADCAGALDITDDLQNLLAGDELTGLHCFRHLRDHVTTSIESINSILTAEFMRISIHNTGEIDVLILSAAKKRGSISSNGEMSEVKLEEEDTSTLCDRLLPLVIGLLRTAKFPSILRMYREALTSEMKNAIKNAVAELLPILVARSLESDFSHGERSVDVDGGGLSLASKLRALSSEAFVNLLTAIYRIVQAHLVRASEVKKAIEWILCNIDGHYAADSVAAAIAVGAVAAESIQETGFQGELSSPLGKATSKASPLQRKTSDTSSLINMSRNFRADVLRENTEAVFAACEVTHARWAKLLGVRALLHPKLKLQEFMSIYDLTQEFITATEKIGGRLGSSIRGTLQSQAKAFVDSQHEARMTKLKAVLDQETWDEMDVPEEFQSIISSLFASQELISRKADDADTLTYHRNPLTPNGSLPSGTEDQNTELRNENSESSEGPAVSNAQVKSTVSPESLERSKAGVSSVAKAHVKSNLFYQGVGYHMVNCGLILLKMLSEYIDMNNSLPALSSEVVLRVVEVLRFFNTRTCQLVLGAGAMQVSGLKSIKAKHLALASQVIDFTYTIIPESRRIMFSKVPETRKPLLSVEIDRVAQDYRVHRDEIYAKLVQIMRERLLAHLHGLPKVVESWNRPPDTNKQTKEFAWPLTREVGYLHRVLSETLHEADVQAIFRQVISIIHTQTSQTLSNLEISSPEAKKRLKLHVELILKCIRSLPSDNANESGIPNWGQLDEFFAQHFKEEEEGREAE >A01g501280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4982107:4983026:-1 gene:A01g501280.1_BraROA transcript:A01g501280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILLVGGAKSSMEKKRSSAAIRIQGLETLFLHKIAYMEIARLMVHQESLTWLLRRNIYLDIYLGKKIYQEQNGHAPSCSFRHQGERLVDGGRLFDIDGGRQVLLLARRLSGVGGTSVLSQ >A09p033430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:20251159:20251636:1 gene:A09p033430.1_BraROA transcript:A09p033430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHILFTRKLCLPDFLSEEESRVIYEQSVLKDRTEGLSVVLVDPLLKKHVVDLRKWKMGGRWNYVFVDGWNQVVSTNTFKVGNVYHVWSFRSRGGNLCLALVPPRNSSDSGHGGSNSCLSS >A02p056880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34270487:34277495:-1 gene:A02p056880.1_BraROA transcript:A02p056880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATECVRVAVNVRPLITPELLNGCTDCITVSPNEPQVHIGSHTFTYDFVFGSGGYPSLEIYDHCVAPLVDALFNGYNATVLAYGQTGSGKTYTMGTNYGGDGTNGGIIPRVMEDIFTKAEATKDSTELLIRVSFIEIFKEDVFDLLDSNSPPLLRNDGGVHAKNVALSRAPIQIRETASGGITLAGVTEAEVKTKEEMGSYLAKGSLCRATACTNMNSQSSRSHAIFTITLEQKKVSSSLGTTEVGGEVILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDERKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPGAAQMQKMRSQIEQLQTELLFYRGDSGAFDELQMLKHKVTLLEASNRELQNELKERRVTCEHLSKRAYDAQVEKDKLILTIESVRSGKSLDEIETSQYEDAGLINNYVSKIQELEGELLHVKNLNPTNCQYSDSIDPFDDGPRSSNVLFPSSNELADCEDSVIDVIDESEFQEKELEHCSVQEKLDMELKELDKRLQEKEAEMKSHSNAGTSVLKKHYEKKVHELEQEKRALQREIEGLRQNLASIPSAPGDGGAQKLKESYLQKLNMLETQVSELKKKQDGQAQLLRQKQKSDDAARKLQDDIHRIKSQKVQLQQKIKQESEQFRAWKASREKEVMQLKKEERRNKYEMHKLVALNHKQKLVLQRKTEEASQATKRLKELLETRKASSRETLSGAGVNGPGTQALMQAIEHEIEVTVRVHEVRSEYERQTEEYESKNGEGSCNAKRRKRVAQECQDKLVFCNQSSVHGDTMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERVFGGRGRWNQVRTLGDAKNIMNYLFNLASSARCLARDKDVACRDKDVDCRDKDILIRDLKEKIVKFSSFVRHLEFQKEELLEQVKAQASALEKWAAQESLDREHELKNPEVRNSVLVNEDMDTCSDSEESEHERDDPDFDDEWKPEQESERDSEQESVIKQNRRRYSKLGRGSSSVMLRRSFEEKLDTDEEVKPMTPFGVCCTCTRKSSCKTMKCQCLAVNGYCGPSCGCSSLKCSNRNAGGEQNIFTSEEDKEHQQQALASRGALLLRTALADKSVDETNGNEGAKGRRKPLSDIGNTTGKSNVPKPNQRKTLKKPVLQIVVDPPPPTSSQEEAHPVVLDEANGMKLKLPRAMTSVSSNGSNLLRERNADQSVVGESVSNSDRASGSITSDEKENHTSRI >A02p028880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14533177:14536431:-1 gene:A02p028880.1_BraROA transcript:A02p028880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFCPCGSSSVRHEQSPASTKPLSVMRHVQSPVNTKLPPPLVAKSPARGLKPKFPAAETGRQPTRDRPQETPRPVDDPSRENPQETPSPVDTPPSKPVEKLGMRKKAVPPSGKIVTPNLKMFLLENLRTATKNFRPESMIGEGGFGQVFKGWLDEKTLAPSKPGVGIPVAVKKSNPDSAQGLHEWQARKFHHSNLVKLLGYCWEENQFLLVYEYLPKGSLENHLFSKGEALTWDTRLKIAIEAAQGLNFLHNSEKSVIYRDFKASNILLDSANKLICCRFIQNFNAKLSDFGLAKLGPINGFSHVTTRVMGTQGYAAPEYMATGHLYVRSDVYGFGVVLLEILTGLRALDPNRPPLQLNLVEWAKPGLTQKKKIQKMMDPRLEHKYPISAVIRTAALILRCVEEDPKNRPPMDEVLRELEIVRTMRDEPKEEKRKRSGGGPDNNRINRYGSPHVRGTGRTR >A02p033870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18207485:18209883:-1 gene:A02p033870.1_BraROA transcript:A02p033870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IDD12 [Source:Projected from Arabidopsis thaliana (AT4G02670) UniProtKB/TrEMBL;Acc:A0A178V4W8] MDMFSSRNWSYRPSSLSAEASASSGNTVSAIQDFNGLNNVISSRLCTHTETQKTKKRRGVPGNPDPDAEVVALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQKNTKEQQKKKVYVCPDTNCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKFYAVQSDWKAHTKVCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARIISTPSTNLTNLSPSFQDRHFMLNKSSSSSLLFTSPPPYVNPAPHPSTAAALSATALLQKATALSSGPFGGGGQTRSVGHHRPLTTVNEFLGADRVMMTSSSSSEYDQLVVDGLTSTWQKADHLTRDFLGLTGHGVHVSVTPGDLLEYAGGVSLPMSTYLTESHDHESSSSFQKAYDLGFTGPHNM >A10p023190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15185204:15186832:-1 gene:A10p023190.1_BraROA transcript:A10p023190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRKLKSLNMQITYSQYELLAQYYSQIFPLILLRYYDDAACVLRKMCLYAKAPHLSSTLLPTLPWKFNKPVQPNKGLTRQGNGHSEELRCVIAVIRHGDRTPKQKMKLNITEEKLLNLMLKYNGGKPRAEMREAPAFLPGRDSDSDPEDLEHAEKFRQVKAVLEKDSSMLDGLDTASIEMEAAKARLNEIITSGKKMINELLLFIIIKS >A05g503690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10613419:10614195:1 gene:A05g503690.1_BraROA transcript:A05g503690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYNSLFLSLVVYLYVGINSLFDFFLRIADEGNGKFRKEKEQESHTLCEMWPSQFPHPEEPLLRLCLPRRSQEDVLLVLIVVCSMLSDNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAKPRNKAAASSA >A06p050370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26509226:26510850:-1 gene:A06p050370.1_BraROA transcript:A06p050370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPAAAIGGAVGALVLIALSFFLIWFCIFRRKNASRTSDETGSSDPSTQGRNVAIELSMREARRFEMEELAQATKSFTNKSLIGIGKFGEVYKGLLQDGVLVAIKKRPGLPTQEFVNEVRYLSSINHRNLVTLLGYCQESNTQFLVYEYVPNGSVSSHLYGAGGKVPGNRLEFRNRLAISIGAAKGLAHLHSLSPRLIHKDFKTANVLVDENFIAKVADAGVRNFLGREDVGTSSNVVADQIFLSPEVQEFRRFSEKSDVYAFGVFLLELVSGREASEPSPSSSTQTLVEWMQSITDYTEIPGMIDERLGGTYTAEGVEEVITLTLTCLDVSSEKRPTMSYVVTELERILDKEVSLTTVMGEGTPTVTLGSQLFK >A08p046340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25235364:25236219:-1 gene:A08p046340.1_BraROA transcript:A08p046340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) UniProtKB/Swiss-Prot;Acc:Q6NQH4] MSNTAAAAAASSSKSKAAGSSQAPEKRKPLFQKELQHMMYGFGDEQNPLPETVALVEDIVVEYVTDLTHKAQEIGTKRGRLLVDDFLYLIRKDLPKLNRCRELLAMQEELKQARKAFDVDEEKITSLD >A09p080090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58771559:58773534:1 gene:A09p080090.1_BraROA transcript:A09p080090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTGDTGSSMHGVSTDKTGKYDLPVDLEDRATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSGAAGFITVRFMIGFCLATFVSCQYWMSTMFTSKIIGLVNGTAAGWGNMGGGITQLLMPVVYEIIRRCGATAFTAWRIAFFVPGWLHIIMGILVLTLGQDLPGGNRKAVEKKGKVVQDKLGKIMWCAVSNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPAGGYASDIAAKYFGMRGRLWALWIIQTAGGLFCVWLGLANTLVTAIVAMILFSLGAQAACGATFAIVPFVSRRSLGIISGLTGAGGNFGSGLTQLIFFSTSQFTTEQGLTWMGVMIVACTLPVMLIHFPQWGSMFRPPSTDPVKGTAEYYYVSEWNEEEKQKNMHQGSVAFAINAKSEDGRNAPSTITV >A01g501630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5918962:5921982:1 gene:A01g501630.1_BraROA transcript:A01g501630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRDGQSWAMPADSWRSDLPIVLPIRTKRLDIFPKDNQKQISEAKRMGTLPDLSVILSAQLGLIGGEGSLAAAPRVDAIPPSSTRNTGKGKKRKRGGSETERSVEETSDVPPSGELQKKKKRKRAKRKGGGVQEEELRAEEEALEDGALGEKDDEEEAVDGEESEASLGDARSDNLEEESEGLPLLIRRRGVEADNEAQSPAPMSPYAEAPVRPNIGAGPAPLAYVPEKCGELLRQFRGRVKPLPAVKDLIFGGEYEEAARAKLLGDSAMNIVIDKYDTAMKGALGELKADLVASRGGETPNRGNLAAEDNAPLLVLSDTSAEGSRRGNEEENVGMFEEVPRSDEMHVSPVARESSVRASELSALNDRESDREEFCLLGFCSCLCRFELFESLKLFYLT >A08p008440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4833966:4835775:1 gene:A08p008440.1_BraROA transcript:A08p008440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVEKTSSGREYKVKDMSQADFGRLELELAEVEMPGLMACRTEFGPAQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIFEKTGQVPDPTSTDNPEFQIVLSIIKEGLQVDPKKYHKMKERLVGVSEETTTGVKRLYQMQESGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVICGYGDVGKGCAAAMKTAGARVIVTEIDPICALQAMMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMQGLETFPGVKRITIKPQTDRWVFPDTKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQSDYVSIPIEGPYKPAHYRY >A10g506160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16888882:16890476:-1 gene:A10g506160.1_BraROA transcript:A10g506160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHLLLSVRFWVARNVKKGNKWGVDMLLGREMLKDVDCNDGEDSVSQEKDDNEDIVGGVFQLKQSLHEFLRCRIGNGRTASFCYDSWTELGPMGISCVLRNQCNFAYSGMDCFKSNTSWKLYFPPVHFLNAETTSDSYAMMCICGEAVVLVFDGPGKLCSMYGRHIISSISHLPLFFAVGLRNRFTGNQLDIVPSDLVDPHHRTVQTSSSCYCNSSRIICGENVTAGFQTNLFFSGGGFRIIDRSMKDELLSNLPIAVFVSLTLRQKKTTKN >A05g506020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17244589:17245025:-1 gene:A05g506020.1_BraROA transcript:A05g506020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIGQGLSPFSHLHPFALSDWPTALSKDPTAHGLMAGPHSPPLTRTRTISLKPKHYRLTRLSRLFALIGLSLSFLMS >A04p039370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22233205:22235917:1 gene:A04p039370.1_BraROA transcript:A04p039370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose-phosphate pyrophosphokinase 5, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G44530) UniProtKB/Swiss-Prot;Acc:O64888] MAFLLHARPSPSLPAADLRRSSPLILRRPSSVRFPLKCKAVDSYGGNSDDLYLLTGDTAPASALLSRTRLEDAIKNNTTRLRIFSGTANPSLAQEETGYVVTTWILVIVQEISCYLGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLVMIDACRRASAKTITAVIPYFGYARADRKASPFVVILKLLESIGILTQGRESIAAKLVANLITESGADRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKGISSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDAKGKVAIMVDDMIDTAGTISKGAALLHQEGAREVYACTTHAVFSPPAISRLSSGLFQEVIITNTIPLSEQNYFPQLTVLSVANLLAETIWRVHDDCSGDIEPFSTLGID >A02p015020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6686644:6686945:1 gene:A02p015020.1_BraROA transcript:A02p015020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSDCCDGSDEYDGNVTCWESCSACDKAKVGLVKDKSRTEEAGKFLKGFGPTAERFALNLSFNDANGMRVIDFIFHLRETYMDIC >A05p055120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:32535647:32536039:-1 gene:A05p055120.1_BraROA transcript:A05p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISLLLFVMALLASLHAYEAHRMVKSDEAIEKDLHKAEALIEEDLKATQTSIQGLTSETKTLSKSEEVLNQLGKDYKKDMDVAPYGKKLRTFSRAAKNVTKAPPAKNKKPASVIQTILQDFGLNGGRE >A04p013160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4253717:4258235:-1 gene:A04p013160.1_BraROA transcript:A04p013160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) UniProtKB/Swiss-Prot;Acc:Q9C5U2] MSITCELTSKKADESKGGVKWIKKHLFFMILCCLTTSLVVVLLMSSGKEEETGSCNGEARVLYRHQNVTRSEIHDLVSLFSDSDQVTSFECRKESIPGMWANYGITCSLNMRLEKEETQSGHDNSKSLIPWNLINPSGLQQSLLQPENHREGLDWDLSSYLRNTWWCLILGVLVCHKIFVSHSKPPNEIEGKTNLQDSLAQKQQQQRACRGAGKWRKNILLLGVIAGVSMSVWWFWDSNQKIILQRRETLENMCDERARVLQDQFNVSLNHVHALSILVSTFHHGKTPSAIDQKTFGEYTERTNFERPLTSGVAYALKVPHSKREQFEKDHGWTIKKMETEDQTLVQDCVPENFDPAPIQDEYAPVIFAQETVSHIVSVDMMSGQEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYDTDLPPDATEEQRVEATIGYLGASYDMPSLVEKLLHQLASKQTIVVDVYDTSNASGLIKMYGTEIGDTSEEYISSLDFGDPSRKHEMRCRFKDKLPIPWTAIIPSGLVLIITFLVGYIFHDAISRIAIVEEDCQKMMKLKARAEAADIAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAKQMDYAQTAHGSGKDLISLINEVLDQAKIESGRLELENVPFDMRLVLDNVSSLLSGKANEKGIELAVYVSSQVPEVVVGDPSRFRQIITNLVGNSIKFTREKGHIFISVHLAEEVRESVNIKDAVLRQRLAVGSSESGETVSGFPAVNAWGSWESFKTIYSTENHTSDRIIKLLVTVEDTGVGIPLDAQGRIFTPFMQADSSTSRTYGGTGIGLSISKRLVELMQGEMGFVSRPGIGSTFSFTGVFGKAETTSSFNTKFDLAIQEFKGLRALVIDSRNIRAEVTRYHLQRLGICADIVSSLRTACTCVNKLGGLGMVLIDKDAWNKKDFELLDGLLNCSKETTTRPTKILLLATSATLVERSEMKSSGLVDEVVIKPLRMSVLICSLQETLVNGKKRQPIRKRTNLGHLLREKRILVVDDNLVNRRVAEGALKKYGAIVTCVESGKAALAMLKPPHNFDACFMDLQMPEMDGFEATRRVRDLEKKKIVSGEMYSSWHVPILAMTADVIQATNEECVKCGMDGYVSKPFEEEALYAAVANFFESAG >A10g505530.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:14008002:14008259:-1 gene:A10g505530.1_BraROA transcript:A10g505530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMFQHSTCQSFGTDCKEIAMIKESNARPSYATEMEWIETLQICFPDFKFIHIPGAQNQISDSLSRTVNVEDHIFQERIIRFQI >A07g502650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5376736:5377232:1 gene:A07g502650.1_BraROA transcript:A07g502650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLQQGRSRLAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGECKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A08p037380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21816281:21818529:1 gene:A08p037380.1_BraROA transcript:A08p037380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPSPSPVEPRHRLPSQTDDTNRRRSLRNRDSKDLEKGGGSHTSFHYRNWTAKFSTLKLVLILLVLVAVFTLYRSPPVHIADHPSNNNSRWSSRESSEIDPRYLSTAEINWDHVSDVVEKLTGKSEYQGVGFINLNGDEVVPWKELIPDCDHVPLHLDYMANNITWESLYPEWIDEEEQFEVPTCPSLPWIQVPGKPRIDLVVAKLPCNKGGKWSRDVARLHLQLAAARVAASSKGLHDVHVLFVTDCFPVPNLFIGKELVARQGNLWLYKPDLHQLRQKVELPVGSCELTVPLKAKDTFYSASAKREAYATILHSANFYVCGAITAAQSIRMSGSTRDLVILVDDSITEHHRSGLAAAGWKIYPIQRIRNPKAEAEAYNEWNYSKFRLWQLTEYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVMVVEPSNSTFQLLMDHINEIVSYNGGDQGYLNEVYTWWHRIPKHMNFLKHFWEGDEPEIKQMKTRLFGTDPPILYVLHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHRTWWKVHEAMPENLQKFCLLRSKQKAQLEWDRMQAEKGNYTDGHWKIKIKDKRLETCYEKFCYWESMLWHWGDKNWTDNSTNSLLPPTSLKANLSSV >A08g507830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13751021:13751420:-1 gene:A08g507830.1_BraROA transcript:A08g507830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDFPMLFGARADIHAPNHHCKRKMKSGLSIDCEQLVILIQKEEDWPALDSELDEIQAISKEFSEFSIAYIPRSLKFRTNSLAKGVRSRASRSAFVNSFAPSWLAPQAIPVGCQKRE >A06g508830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25022325:25025323:-1 gene:A06g508830.1_BraROA transcript:A06g508830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIGFGLYGHGPSNEQKNLGFQFQIKIVLGKTSLSLPKAILFEGDSSHLVSSRLVRRRLAVPLVLSVVVPLVSSVAVPLVSSVAVPLCRVHRRLQPSPSVSLSRLLKLTNPLACIDLFFRMDNEDTLNLNDEDYMSGDELMDQNSAGNDDEAVGVENSLMSTAENCVKRGGDEVNFEPLEEVGEEV >A05p035290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20019641:20021939:-1 gene:A05p035290.1_BraROA transcript:A05p035290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPFYSSIEIHQQQIGQTPPGFDTEPVPLSTYREAEPDPFHATQQEKDDFWNNGFNREEPESFQPKENGKRSVDHNSSFTHGETDLNQLPAIPQFSTGQGLPYAPVDWPSPGDVWTWRVGRRVTATGFHQDRFLILPQRLQQKNVPKSFASKPTLARYIQTSFPGMDADAFFASFSWKIPALFQPANKVDAESLFEETPKEVQTEAALNDENAKEGNSRYSQRKRNPMPTYDLVEETKPKANKKKKGATTPATGTQSSTKPKPSRQSGRRSSNHQNGGAVDLNNLNEEGEPNTSGGRRKKRRANFEEEEDVSIPHIYVSPMNGVLAVSHEPIDVDPVEFDSYLNSLENLLHECQEDASRESSSSVLVTSSSPMREYEWAEVRMKISSLLEKDLPALFISKDAAEIAALATKLRKDPNLSAEEIVRLKLIEEIPTFSEVFQENKSVIEEADLFFSALELNKAKVASLKYEYGDLRHKLGSIQMEVDENSEAIRQIDDQISQLQARRNELKRYTGSKEKEKVDLSYGQKMVANSIPKVVKEVQSANSKKHEWECKKDNALKREEEILSKFIPLKGFFL >A10p018390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12881556:12887449:-1 gene:A10p018390.1_BraROA transcript:A10p018390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable long-chain-alcohol O-fatty-acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G55360) UniProtKB/Swiss-Prot;Acc:Q9FJ74] MEEELKNFIKVWFYAIISVAYCYYVSSRIKPGVLRLLSVLPVIALFLFIPLFFSSVHFSGASAFFFTWLANFKLILFSFDKGPLYPLPQNLPRFIFFTCFPIKPQQNPKSQNQIPKWVFAIKVLIFGVLLHTYDYKQHLSFTTLLVIYSLHIYLELEILLMLVKVWVFMFLGCDLEPQSNEPYLATSLQDFWGRRWNLMVPAILRPAVYNPVQRLAEWKMSSDHARFLAVLATFLVSGAVHELIFYYINREMPTGEITWFFVLHGVCTAAEVVVKKRTFVGRWKVSPMVSRLLTVGFVVLTSGWLFFPPLIRGGMFVRLPNEALLFIDSVKHKIQRDGRRTQELHQGLGFYNHLCILLLLPINQNQSIKPGGLRLLSVLPVCVLFLLLPLFFSSVHFSGSAAFFFTWLANFKLILFSYEKGPLYPLPQTLSRFIYFTCFPIKSQHNPKSQDEIPKWVFAIKIVIFGVLLRMYDYKQHMSPTMLLIIYSLHIYLELEIGLMLVKALVFISLGCDLEPQFNEPYLPTSLQDFWGRRWNLMVTAILRPAVYDPVQRIAEWKMSSDHARFLAVLATFLVSGAVHELIFFYITHEMPTGEVTWFFVLHGVCTAVEVAVKKRTFIRRWKMSHMVSRPLTVGFVVLTTGWLFFPPLIRGGMFEKLPNEVLLCIDFVKHKLFNFSS >A03p005170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2157988:2159466:-1 gene:A03p005170.1_BraROA transcript:A03p005170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQPSKEQAPSFSPKPLNIPPVPERENENLRVFSSKEVKKLTKKFGHNRLVVDNNGFGRLFYQGYVNETTFSPSKNGTGLAVSVMHCVLHSSEELEEWKAEIRCLGKISHPNLVKLLGYCCEVKESLLVLECFHKGSLEAHIFGKEETLSWEIRVKVAIETAQCLAFLHSVNNRALSREFGMHDIFLDEHYNAKLFYLGSNELRLFEDSISTAFIGRTDYTPPEYVISGHLGMKSDVYTFGVILIELLTGLKAFRRGVRDIKSAISVKPFLSDKNKILSIIDPRIGNDYPVNAVIQMGKLIKRCIKLDTKKRPTMQQVLDGLNDIADIKD >A06p036560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19830216:19835376:-1 gene:A06p036560.1_BraROA transcript:A06p036560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFQNYHQWRQGPTQPNICPVCTAPHFPFCQPSSFPHNPHFPPHFNPPRPGFDSFAAPPARPQNHYPLGDPRPWQPHHANQWRPVSDHRGVVDCDREADRSYKRARIDAIGGGQISWEDERRLKMVRDHGYGVAPPPPYGGYFGGPNGQPPLPVSPPPPLPPSHPSSMFTTATSNSSASYPQMPNASPSLAPTLSKVIDVSHLLKPPHRSTRPDHFVIILRGLPGSGKSYLAKLLRDIEVENGGSAPRIHSMDDYFMTEVEKVEESDSASSNSGRSMRSVVKKVMEYCYEPEMEEAYRSSMLKAFKKTLEDGAFSFVIVDDRNLRVPDFAQFWATAKRSGYEAYILEATYKDPTGCAARNVHGITLDQVQQMAEQWEEAPSLYMQLDIKSLKRWDDLKESGIEEVDMDMEDDFALPERKFDNHTQSEGKGATEESYINERKWEEEPSSHTEVKELSKSKWSNVEEDDETEKSQSTRRNSKSLSKSSQERLTKGKTVWWGDKGGDAGFSIGARNMNMPSLVIGPGSGYNLKSNPLSEAESRALADAIGKAKVRGIFQDQLRAERESFKAVFDKRHGTSYNSKDEIMGETEEKEVKKNHGDKEDEHNKAEKADKADKKEKKKDKDKKDKNEDDKKGGGEEGEDQEKKSKKKDKKTKKEKNPEDKKDPEKLKMKLQKIEEKIQAMVLKKDEIVKLIHDAEQAKASAVATDAPPPTN >A09p017730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9160199:9162944:-1 gene:A09p017730.1_BraROA transcript:A09p017730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDGETVEEQKKKPCRFWIWALSSVLFRLILISFAGNLNLSSRPEVSTPLTSIRRLAEGYWLKQASMSPYAGSMYHGSPLLLSLLGLLTVQRIKGQPSHLFCSLVFVIADILSAILLRGIGHKLQMAYGLNARLLGLLKSPTDKEGILPSGDIAALVYLWNPFTIVCCVGLSTSPIENMAVILALNGAVTRRVPLAAFGLVMATHLSLYPAILTIPVIFLLGYGLDAPPLKLFLQTRNVESDNRSSTSSASKQAKSKPTAQLPFLWRTVVHFVFWVLVWLLCVLVLCGLSLSKHGGLEEMFKRTYGFILSIEDLSPNIGVFWYFFAEVFDFFRSFFLIVFHVNILFMLLPLAIRLKHRPCFLAFIYLAISSLLKSYPSVGDSALYLSLWALFVNELADMKFSFFLFCGYLGVSLLSPVMHNLWIWRGTGNANFYFGNAIGYACFQIVFVVESVSAMLNHDRALKKCNPNHQKVKN >A03g500200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:656983:658290:-1 gene:A03g500200.1_BraROA transcript:A03g500200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDEARIRKNFCKLTAWGAYQFKERSLEEASSSTVMMKHLHRHEKEVINGFILDPSQTTIAKWIFTHYPETAVHVQSQDLALRTKDMNVLLDIFETLSYKKSCDISEAQLRHVSDNLSYLKRAGFKVEWLRAKFDDVSLNACEARIVKLKEEVKKQEQMVSYLKDMLKYEEAKLKKLYYLEATSSRQRKVTAHGFIIASSKVKLANWIFHTYPNTSANVKLQDDVLRTRYVNLLFSVIKRLYHKPLSDLTEDELSKASLELSDVTQAGFSVEWLASKLEKVTLEKKTSEDRIRELEEEVEKLKLTMSEEKVKLKKQPSWITKTEIPTSP >A03p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17665712:17667106:-1 gene:A03p042170.1_BraROA transcript:A03p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASRWFKGIFGMKKRKEKESGVPGDGGGETGRSHIYGKTFQADSVWLRTYLAETDIEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRGGGYSGTTMERWAAVKIQTIFKGYLARKALRALKGLVKLQALVRGYLVRKCAAETFHSMQALIRAQTSVRSQRINRNNILHPRHSLEKSDDSRSDIHSKRISVSVEKHSNNNTYNETSPKIVEIDTYKTKSRSRRLNIDVSEHEDDIEWSFPGDKCKFPTAQNTPRFYSSAVNNHHYYYTPPSPAKSVCRDPYFRPSHPGLMSPSYMANTQSFKAKVRSHSAPRQRPDKKKLSLEEIMAARSSVSGVRMAQPQQEKRSSCSYDRQFPQERVDFRYYN >A03p066120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:29241867:29242349:-1 gene:A03p066120.1_BraROA transcript:A03p066120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSERLVRLGQDPTSEIPFTGNREITKIDDVAIQSTPQDLPLAAAGGLFKIWYHVDYLSSYRLIRTFNQTIESTTTTEQDFFSCFAPQRCSPHFVILPREEEELKEESQRPRPALPKARPRRDPPPRVSRRSSQVSSPIYLRHRFQPRSRNDSSSRRQR >A02p022710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10856693:10858955:1 gene:A02p022710.1_BraROA transcript:A02p022710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSEEMDVNEKEEFSGEKRNTGYDSADNHPSDWRISGSNPVSTPFGSYSTENLITASCSPSQMMDSFGQTLWYDPTNVQAVGYGGFSGGHASSSSSSCFRGNMDRSLEMGWSMPNLLPPKGNGLFLPNASSFLPPSMAQFPADSGFIERAARFSLFSDMVNQPLGNPESVGLFLQGQCPSSEVNVGVATTAVRDPNVRSSEQASKPNVSEDTQSSGQKGGETSSKDSKKRKRNRQNSEAEQSQKSGEEAENNGDKKGNDEQSPNSPGNKTNNGKQQGKQTSDPKDGYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQMDFNLESLLAKDVRELQLRGGSSSATPFPQNMPMVYPPLPHGFMQQTLSSMGRTISSPLSPMNGGYKRQETNGWEGDLQNVIHINYGAGDVPSDPEAAATATASLPSSNMKVEP >A10p000160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:77752:82631:-1 gene:A10p000160.1_BraROA transcript:A10p000160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipase D beta 1 [Source:Projected from Arabidopsis thaliana (AT2G42010) TAIR;Acc:AT2G42010] MENYGSRYPYPYPQYPYQPSYQYPPAPYRPPGPGSEQYQPPPPPYYPYPLPPPYATPPPYASPPPLHQHASGSHSGPLDYPPQPSSSPEYHRHSFDYRPSPYPPHPQGTYAPPPPHYPYQEPAAQYAPPETKPQDPTPPPPSKSQAFPEYRRQDCLTSVPNDNVSNSAASYPPVDELLGGLRISDKPQLSSYPSNSWYPRPADLYGYPNSSFPSNSHLPILDRVDSSTSGYASSESPHGVDMRMTLFGKGSLKVLLLHGNFDIWIYHAKNLPNMDMFHKTLGDVFGGQLNRKITSDPYVSVSVAGAVIGRTYVMSNSENPVWMQHFYVPVAHHAAEVHFVVKDSDVVGSQLIGLVTIPVEQIYSGAKIEGTFPILSSNGKPCKPGANLSLSIQYTPMEKLSVYHHGVGAGPDYQGVPGTYFPLRKGGTVTLYQDAHVPEGMLPGIRLDNGMSYQHGKCWHDMFDAIRQARRLIYITGWSVWHKVRLVRDKVGPASACTLGELLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGVMATHDEETRRFFKHSSVQVLLCPRNAGKRHSWVKQREVGTIYTHHQKNVIVDADAGANRRKIVAFVGGLDLCDGRYDTPQHPLFRTLQTIHKDDFHNPTFTGNLSGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKAAKPSGIKKFKTSYDDALLRIDRIPDIVGVSDTPTISENDPEAWHVQIFRSIDSNSVKGFPKDPKDATCKNLVCGKNVLIDMSIHTAYVKAIRAAQHFVYIENQYFIGSSYNWNAHKDIGANNLIPMEIALKIAEKIRANERFAAYIVIPMWPEGVPTGAATQRILYWQHKTMQMMYETIYKALVETGLEGAFSPQDYLNFFCLGNREMVDGIDNSGTGSPSNANTPQALSRKSRRFMIYVHSKGMVVDDEYVVIGSANINQRSMEGTRDTEIAMGAYQPQHTWARKHSGPRGQIYGYRMSLWAEHMATLDDCFTQPESIECVRKVRTMGERNWEQFAAEEVSDMRGHLLKYPVEVDRKGKVRPLPGSEAFPDVGGNIVGSFIAIQENLTI >A01p011030.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5359915:5360829:-1 gene:A01p011030.1_BraROA transcript:A01p011030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive transcription factor ERF091 [Source:Projected from Arabidopsis thaliana (AT4G18450) UniProtKB/Swiss-Prot;Acc:O49515] MAFGNIQEPDGEILKNVWENFIRKPETDTRSVHVQEVSTTWEALPTLDDISKESKGSLPTIDMSMEAKEWAEILDSIASFPSETNHEPLTNPTGSRSLPSSVSCKTRKYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFHTAEEAAMAYDKAAVRIRGVQRAHTNFQLETVIKAMEMDCGSSYYPINRSSTSQPLRNHCEIGKYAIRAYDDKVVDGVVDNSCALSYCSTQEISFDICGLVGNEEAWLGSRKRQRRDEDCITFQEVETDDDKKMARREEEKCDMFGLFEFEEFGSDYLDTLLSSF >A09g514630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43667573:43668507:-1 gene:A09g514630.1_BraROA transcript:A09g514630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRFSTHLTRSNGLKHEKQMMQLSFDEQKLQLKLHSLEKSLRGFQALQLNHERPLFHLPPLLNQMHVSPLQLLHNDMDKSLTINIDVTAMKELLRLTQTNEPLWIKTDGCLDVLSLKSYENAFPRLSSRGGKNHNLRVEVIDLLALSKLESFFLQSLQHLKLLEWFHLDCVEITVMPYI >A04g501650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3988460:3992356:1 gene:A04g501650.1_BraROA transcript:A04g501650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEDGLLPQHPSITSIDIRRVEFSPDLVVTSIIPINSQPQAGWGVFPDDVKDDSVVYLEQLIADKHPFNKQMWHGGATSEPLIRKPKNMVKKKSATIKQALKPRKVTQTKQRRISSYFTRLTTPSYTNTQLTEIVIQLTTKMKQLRREMKRMKKRYSGWQPSFQALLSHRKKSNKHPINHGPSNQDDAPLNNTQTEPQPTQTFSPDTQVYSHLFFSGLIRILVNYVLTFVLHYPTKFQDDCAMKTDELPQSLSPIISQYAAQLHREATASPRTAHLHRDATTSPVRSPCINSQTVHVSSDHNNSIIHSSPEHSGGSGQTQTINQEPIDYDEPPRTPVTVQPPWSQLNSVVYDKSEHPNSPEINHILLHGVRIYDPIDPDPPIYDSSIPPRAPPRSRLLLSPQPTTPLTSPTKSIDSLSGFAVHAPAVNAFAATASSSSPPCFGSPCLPPKELIADGVVDLTESKDTATHVPSLEEQHLAHELSKSPLIPALALIAPLPGLEWDLFYNVLSPLQDVYHTTPSEFEFSKKFLLDLAKPKQWTTARHMEVLTHMLAARHSTHLLKEKSAFAKPLLAACIEESFSSASSSSKKKQRHCICTTPPNMSSSSSSTRSNSRTTLGIPTRCWCGSKLTTFGAQTKENLYRRFYKCQIGVKRQDEHHLFKWIDEAIIDEINMLASKQCQLLLEVQSFKDTMTQHLQENGKHIEEALVEMRSFNETQTNATYVTKSQSHLVNIAAAAIAVGTMTWVYAKLCN >A05p036710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20832231:20833399:1 gene:A05p036710.1_BraROA transcript:A05p036710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQLRRAVGRVKEVEKFPSRLDRRSLPKEELSAGKSPSINDVSARGSSSEDSERDPQYDTMLNQMVGRIKAKPGGKAEMGEASVVETSKRPLPKLRNATPESTRYEAKPVPQGTLNVAQVRHIMLLYQGKAQDHKGPMTLNEIAKNYRIDVSQVQKITQFLSLPPEATDKQKKRYE >A03p045700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19200535:19202831:1 gene:A03p045700.1_BraROA transcript:A03p045700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLKKKKTMAFAQLLLVSLSIFALMVFLRKKSYRAIDFYQAENLMIHASESEEKVYEIQQQLKRSLEVETLPKFADKKVAKQNKLENIDGNWFLSKLGLNLNKTQAYLMTLWQQHLSPTLQKKWIPSIKDKSVALTTYLEPKIHYLTVKSIEVLYTSKQVLTPALIKGFDVSYSYLEIYGKWFLSKLGLNLNKTQTYLMTLWQQHLSLALEKKWIPSIKDASLTTYLERKLHYLSDKSIEVLYTAMRVLTPDLIQKFDVSYYYLQVNRVMTIAKLHLKKGTSFLRVMYRKRKAWLQEVG >A02p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19199810:19201726:-1 gene:A02p035140.1_BraROA transcript:A02p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRGWDPGILGDSRTRSGVYRKDTIIRIWIPKGILEKSLIEDLQGFIRVGIGRIRDSPSSSKGMRNPEDLLLGNGGDMKNGEGNRKRLKISDNSDLIKSYSKTLRGRCMNPMEQDVKALLVTGVVLLEFCDHFRLYNGNIGVGVCSGTWLEIWIDWLGQCIMRLAPKLVSSNQLQKAELEMNRALGFGYLGKQVVERVWFKVAVVRSVTKVLFMVCSTKEMAVGLGSSGRLWYKFLASWSGLTYSTIYFGHGSPKGSRYGSNVLIMVVRLEKCTFTDVSVLGKLRREVAFAFLFRCVLMLWNNNVSWFSWCFGSYGVSGLVVGDGSYIWKLYFEHAGDSYRGNAFNCNMESMVCGQWKREISVTINGVLFQLVYVRRHFVLTWQESRRVDNLWVKFHRLQRRIVGRGSVGQYVDVALIWWWWGID >A09g519040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58262614:58263087:-1 gene:A09g519040.1_BraROA transcript:A09g519040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPTGSSKRQKAKALEEESMHPFWRGKWKKNQTKEWQPKAKKHWIGLSIVIQMYILKFKQECLLGIVLGYRKA >A06p006250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2090061:2091032:-1 gene:A06p006250.1_BraROA transcript:A06p006250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAKRRTIETIVSKLSSVSEQTRAAALAELRLISKQDPDSRPIIADAGAIPYLSETLYSSSPSSQENAAATLLNLSITSRESLMSSRGLLDALSHALRHHDTTTSPAAVQSSAAAIYSLLIAEESYRPVVGSKRDIIFSLIHVIRYQDSHPRSIKDALKALFAVALHPANRSTMISLGAIPALFSLIVKDSRCGIVEDATAVMAQVAGCEESEEGMRRVSGASVLADLLDPCTGSSLRIKENAVSALLNLARCGGDEARLEVASAVASGADEGAMEGIVYVAENGSAKGRKKAVALLKLVSNDSRRFDHLISDNDNDNPSSI >A07p023910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:13800206:13801189:-1 gene:A07p023910.1_BraROA transcript:A07p023910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor Y, subunit B11 [Source:Projected from Arabidopsis thaliana (AT2G27470) UniProtKB/TrEMBL;Acc:Q9ZQH2] MDSEKAVVDELPLAIVRRVVKDKLFECSPDYDVSIHKEALLAFSESARIFIHYLSATANDICKDSRRQTMKAEDVFKALEDMEFSEFLEPLKASLEDFKKKNAGKKAASVSGAAAASKPKETKKRKHEEASTQKGVGKSKRDGGDLKNDENDNTKENEDGNTEENGNDEEEVDANGNEENSMEEVGNEDNSMEEAGSGSGEDDENEGSGEEEEGDDEDE >A09p012940.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6598712:6599038:1 gene:A09p012940.1_BraROA transcript:A09p012940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRSSQATSIKQILKRCSSLGKKKNVNGCYYNQEDDSFPQDVPKGHFPVYVGPNRSRYIVPISWLEYSEFQTLLRLAEEEFGFDHNMGLIIPCDEGFFRSLISMFR >A04p040660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22950432:22953487:-1 gene:A04p040660.1_BraROA transcript:A04p040660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G46700) UniProtKB/Swiss-Prot;Acc:Q9ZUZ2] MGQCYGKVNNQSKQSLGGDEANTTTYVVSGDGNQNQPLTPLRAKNATPARSSNPSPWPSPFPHGSSSPLPPGVSPSPARTSTPRRFFRRPFPPPSPAKHIKASLIKRLGVKPKEGPIPEEGGVESEQALLDKSFGYGKNFGAKYELGKEVGRGHFGHTCSGRGKKGEVKDHPVAVKIISKAKMTTAIAIEDVRREVKLLKSLSGHKHLIRYYDACEDANNVYIVMELCEGGELLDRILARGGKYPEEDAKAIVVQILNVVSFCHLQGVVHRDLKPENFLFTSSREDSDLKLIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRTVLRSEPHYDDLPWPTVSPEGKEFVKRLLNKDYRKRMSAVQALTHPWLRDESRAIPLDILIFKLVKAYLHATPLRRAALKALAKALTENELVYLRAQFMLLGPNKDGSISLENFKMALTQNATDAMRESRVPEVLNMMESLAYRKMYFEEFCAAAISIHQLEAVEAWDEIAAAGFEHFETEGNRVITIEELARELNVGASAYGHLREWVRSSDGKLSFLGFTKFLHGVTLRAAHARPR >A09p082860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59845007:59849858:1 gene:A09p082860.1_BraROA transcript:A09p082860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSASKQLSPPPPETPSSRPTKRSKKAVSKSGEPELRASHPKTSDALVTPSPPGEADKSKRGKKRSKASPWAKLLSQYPQNPHRVMRGAVFTVGRRGCDLSIKDQSIPSVLCELRYLNFCLHGGPSVASLEIVGNGVLVQVNGKIYQRRACVHLRGGDEIVFSTLGKHAYSVQIIQSLKDENLAPPPDRASSFSIFGEQSAPAGESLSDEKASVLAALKQLHVPSLPPTAENVKSQQNSEAPVLPSSSDDCIPDVDSDNDADSYDDQNADANEMDPFQEAEEGRSIPNSGYEIRPIFSLLGDPSKFDSRGSISKLPVDDGREVKEMPKEYDSSSASVSTRRQAHRGPVLGFHGKVVLAFEDNASSKIGVRFDKPIADGNDLGGLCEKDRGFFCAASSLRLDSYSSDDVDKLAINEIFEVASNESENGSLILFLKDIGKSVSGRIDVYATLKSKLESLPEKIVVIASQTQLDSRKEKSHPGGFLFTKFGSNQTALLDLAFPENFGGRLQDRNKEMSKSVKQITKLFPNKVTIQLPEDEAFLLDWKEKLERDAEILKAQANIAIIRAVLSKNHLECPALETLCIKDQSLLLDRVEKVVGWAFSYHLMSCSEPTIRDNKLIISVESITYGLQVLHGIQNENKSTKKSLKDVVTENEFEKKLLSDVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFGKGQLTKPTKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMINWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDSANRSKILSVILAKEEMAEDVDVEAIANMTDGYSGSDLKNLCVTAAHLPIREILEKEKKERSVAQAENRPMPLLYNSKDIRPLNMNDFKTAHEQVCASVSTDSSNMNELQQWNELYGEGGSRKKTSLSYFM >A02p005810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2493463:2495189:-1 gene:A02p005810.1_BraROA transcript:A02p005810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKSKKKQEEAGTKFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAEEAALAYDRAARSMRGTRARTNFVYSDMPPSSSVTSIISPDDPTPTPPPPPPAATPCSDDPVDYLMMFNQYSSTDSPMLQPAQVESSYMFGGSPSCYSNSSSDLPPLPSDLSNSCYSQQPWSVEDYSSANYFEGEYVHSPMFSTMPSVSDSLPQVNMEMKFFSFYAIATALLVVANFGIMHTSGQGVSCLNQLAPCLNYLNGTKDVPEVCCNPLKSVIRNNPECLCRMISNRGSSKAEQAGINVNDAQMLPARCGEHVNPIACLTRSRGSTNADQSSSTGNSSSQSYWMTTLAFAVTLLSFILQIN >A03g507600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26852968:26853805:1 gene:A03g507600.1_BraROA transcript:A03g507600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPTMCPEPRWALSSVAYGNQLQNKTHFGRMEMGNQSRSLLALWVNLLSMLLVIITLKERGADFLFTTQFMAPELQATVNEQMGVFRFDYAFASGWITMDISTQHISIAALVFISSLSRSLFCSVLFIGELNQFLRQSLVDICL >A03p062520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27171853:27174653:1 gene:A03p062520.1_BraROA transcript:A03p062520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase 6 [Source:Projected from Arabidopsis thaliana (AT4G28130) UniProtKB/Swiss-Prot;Acc:F4JKI3] MECVHQSQASIEKYDALSDFLKKFYIPSYVLSPEDEAVAVPSTPPESPILVFINSKSGGQLGGELILTYRSLLNDKQVFDLNVETPDKVLQRIYLNLERLKHDALACKIKEKLKIIVAGGDGTASWLLGVVCDLELSNPPPIATIPLGTGNNLPFAFGWGKKNPGTDKSSAESFLAQVIKAKEMKIDNWHVLMRMKSPKKGSLDSIELPPSLHAFRRASPSDQANMEGYHTFRGGFWNYFSLGMDAQTSYEFHSQRKLHPENFKNQLVNQSRYLKLACKQGWFCASLLHPFSHNIAQLAQVKICDKSGQWNDLHIPQSIRSVVCLNLPSFSGGLNPWGAPNPKKQYDRSLTLPFVDDGLIEIVGFRNAWHGLVLLAPKGHGTRLAQANRIRFEFKKGAAKHAYMRMDGEPWKQPLPLDDETVILEISHHGQVNMLTTENCMSKSMYESSPKVRFSDDGTEDEPLVANCEEEFRKFGAADTFKVPSDV >A04p008340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2827868:2828703:1 gene:A04p008340.1_BraROA transcript:A04p008340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCTFGSTTGWRWSATLAEAAQENGWNIRRCGHRRYPHLCDTLAEAPRPVAIAGPDIALWRPEEDDFKPYFSPTKTWDYLRVKKTKLPWHCIVWFPQANPRQAFMVWLVFKDKLSTGITIRMREWGTQKGCVYCRKKDESRDDLFFACQYTLTVWMNVAEKLLGAVTTPDWEDTIASLQRPNRNILDTILLRLVFQTAIYVLWKERNTRRHGGVCASVDTTTKVIGRLIKNRISSVKYRGNHKLRGLLVKWFIVYTY >A09g515550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:45919822:45920913:1 gene:A09g515550.1_BraROA transcript:A09g515550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPHDVIEYILERLDVKTLLKFMTVSKQWKSTIIQCRSFQTRQMLRHKQSGKTHVAFVSLYGGSARNSGIEALRTLAVGSTVSVKIPTTWENKFYEVCNTSCDGLICLYNCYDGQSIVVNPTTRCHRTIPPCNYHLAVPFITRIRQPSPGFGKDKINGTYKVVWLYNSAELGLKNKSITTCEVFDFTTNAWRYIVPASPYLIHHIQVPLYCDGSLHWLTEGDETNVLSLDLHTETFQVIPQPPFLHGLTTPNLIMCSLDDRLCVSPRIWLQQHVMWSFDSEDKTWKKIYSIDLKRTSSALRDYITPLSVLGKDKLLLYDREFSGVQLVAYDLRTKYYDISYKCNDNAYVLCYVPSLISIL >A09p004690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2625323:2625994:1 gene:A09p004690.1_BraROA transcript:A09p004690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTVFFFHQEYCRRGDVPAFGSWNWNDVVPFTQCFETATTQQPAILHYAPYPQDRDLYLEDDLYDNHHHHLVSPAVILLPRRRSKVGQEPKRDTSKEEHNFKNEATRCPTPVEKRRMAAPKPVDEDLYKVSPQLISAKSTKKRGGGFGCISRCFLPTSVRD >A09p041020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23161498:23162918:1 gene:A09p041020.1_BraROA transcript:A09p041020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTVGFAQSNSFLLFLRSQSIEKTKASRAKWVTSSSSSSSHLRASSVSPSSTEAFHRTDYGQAIANDGMNEESRKKKKRVFFLDVSPLCYEGNKPSSQAFGHWLSLFFSQVSLTDPVIAVLDGEEGNKLRRELLPSYKAHRKSPNPGKYSKRPHQFVEEVLRKCNVPVVRIQGHEADDVVATLMEQAVQRGHRAVIASPDKDFKQLISENVQIVIPLADLRRWSFYTLKHYHAQYNCDPQSDLSFRCIMGDEVDGVPGIQHVVPAFGRKTAMKLVRKHGSLESLLSAAAVRTVGRPYAQEALTKYADYLRRNYQVLALKRDVKVQIEEEWLVERDTSNDSEVLSSFFSTLHG >A10g503330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8680988:8681668:1 gene:A10g503330.1_BraROA transcript:A10g503330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNDLGSWPIYRMQQPIRFRLVAASVSLCMAPDACTVTPRAPHVLQHGQESCRAPPLLPVVRLHDWNSCKAPQHHTHGWPHASVACVATSRAWPLHLVLLCVRLHGLLSCTSTPPPCTVLAICIETPRASWSVYAIFDPSGIFSSRDQSRVLLLSFDPVVLASRHA >A08p030650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18770469:18772260:1 gene:A08p030650.1_BraROA transcript:A08p030650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHIIRKATSPERNNVAKMFYYVILPLALLVIAYKFIFSYRTQRFNLPPSPPHSLPIIGHHRLIKPPVHRLFHGLAKTLGPIFYLRLGTRRAVVISSSALARECFTGHNDVVVSNRPRFLTSKYIAYNYTTIATTPYGDHWRNLRKICSLEIVSSKRLANFLHIRKEEIHRMLTRLSRDALISKEVELEALFYDLTFNNIVRMVTGKIYYGEDASDKAEADTFKKLIAYITSTSGARHPGEYLPFLKIFGRSFEKKVKAVGEAMDAILQRLLDECRGNKDGNTMVNHLLSLQQQDPEYYSEVIIKGLMLGIMFAASETSAVTIEWAMASLLNHPELLEKLKLEIDEKIGQDRLIEETDIPNLPYLQNVVSETLRLYPAAPLLVPRLTVEDIKIGGYDVPRETMVMVNAWSIHRDPELWTEPERFNPDRFNGGGEGEKDDVRMLVTFGSGRRMCPGAGLANKIVTLALGSLIQCFDWGRVNGKKIDMTEGPEMAMRKVVPLRAMCQLRPVMNKLLTESKFSSASVCFY >A06p049870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26280990:26286932:-1 gene:A06p049870.1_BraROA transcript:A06p049870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVRSVMSSQGVRPRLRIVGVVILAAWIGLAALFGQLKPIKNGCTMTYMYPTYIPISVTDGATPPGRYGLYLYHEGWRKIDFKEHISKLSGVPVLFIPGNAGSYKQVRSVAAESDRAFQGGPFERTFYQEASLFRGEGKDTESVEYDLSSQYSNRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHDTREREGAAASSNLPRNVILVGHSMGGFVARAAAVHPRLRKSAVQTILTLSSPHQSPPLALQPSLGHYFARVNQEWRKGYEVQTSPGGSYVSDPLLSGVVVVSIAGGYNDYQVRSKLESLDGIVPASHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLVDSKTGQPFSDTQKRLWILTRMLQSALAQSFNGVTPMKISNELPMLASKGSESQTSSCPMDWRDDALDRDLYIQTSTVTILAMDGRRRWLDIELLGSNGKNHFIFVTNLAPCSGVRLHLWPEKEKSNSNLPVCERVLEVTSKMVLIPAGPAPKQSEPGSQTEQAPPSAVLKLEPEDMHGFRFLTISVAPREAVSGRPPVAVSMAVGQFFNPNEGAMEVSSQSMLLSTYWAKEIFLKEDHPLAYNLSFAISLGLLPITLSLKTAGCGIKTSGLPDGETGDLDKDKLCKLRCFPPVALAWDSASGLHVFPNLYSETITIDSSPALWSSQSPEKTTVMLLVDPHCSYTASVHVSAPAMSSRFVLLYGPQIVGFSFAVILYALMRQANQWDQNLSVPPLLSAVEYNLEMPSPFLILAAIPLLSSLFFSFVMAQPIPPLTSFTMVSLICYLLANAFISVLIIVSKFVLQALALVHTTVKYRCQALERNYSFAFLRWFSILASSFVCLKAIRILKLNTTIVMTLAAVTLVTFVHPALGLFVLLASHALCCHNSMCCIMMASKRKESVDQKNEAERKTRHPSSRQESLSVDLSEKSFVETQADIFNHRHGLLILHLLAALMFVPSLAAWFQRIGTGQSFPWFADSALCVGVIFHGVLNSRPESSILRSFPSLLGHKLGPHHMYFLAGYCCFFSGLDLAPYKVFYAIAALGYISLTLKISQVNKNDLRFRTKSRIHRN >A10p012310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10675577:10675904:-1 gene:A10p012310.1_BraROA transcript:A10p012310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIRREEGFKKKQRFREGLNSDNCLPSTSATIGTELAQLMSSSTSPNSILKPYLWLMRSGSVDGFLKNTGFNNKAAMESKALQQ >A03p037520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15701953:15703762:1 gene:A03p037520.1_BraROA transcript:A03p037520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAEKIVILWNESDGFAATIADSLNTNPTSSLRKLEEQIHLPLANYGIEDGGSGGSVVHFVDEDGAYQVSVFLLRSYEPPALVCAMNELLDLITRESSALPTIVAPFFVAASKLKFNNKSLEASSRKASLRYVQVGPETEATRLFATRVEKPPPLMQILHEPLSCLLHLARVKCLPTSILIGQRSSSTSHKALNEGLQVIHETGELVASWTGLCFARDRIKWSASKTSKEEESPWRALYG >A08p014370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9598161:9600487:-1 gene:A08p014370.1_BraROA transcript:A08p014370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCIACTKADGGEELGARGGTTPNTKESVKSLTTQIKDMAMKFSGAYKQCKPCTGSPRSPMKKGHRPFSDFDNASEGVPYPYMGGSAGSTPAWDFINSSHHPAGAKFTSIYGNDRESVSAQSCDVVLDDEGPKEWMAQVEPGVHITFASLPSGGNDLKRIRFSRETFDKWQAQRWWGENYEKIVELYNVQRFNRQALQTPAKSDDQSEKDSTYSKIESARESKDWTPRHNFRPPGVNVPHHFYGRSSNYDHHGGPTMDAAQTTTSSRDEPPSVSNASEMQAEWIEEDETGVYITIRQLADGTRELRRVRFSRERFGEVHAKTWWEQNRERIQTQYL >A06p043370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23353356:23355047:-1 gene:A06p043370.1_BraROA transcript:A06p043370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLKGKRTAAPVMPPRRASGLVLHENMKKKDDKTVPTCSRVSCSSRISSNKGLSIDHKPKSTVRSPSNGKETVGSSSSRATSGLPKTPKVTTRRQLSSLLDTDSSESSSSSVHERRGKTKESSVIREVVTEPGSSSRGSSGNGVQSARVGVNRNGLKDLRSSVLPSSPNPTRKISTFRKKPSDGESSSSSRGYNNNKPEVKNNQSSLPHGNNGIITMSESRRNRNSPSVRDNNSVVSGSSTRRSSTGRTTGRASGAVTALQAPPQPATRAGLINPSRSNAEVSRSPLHSYSRPISSNNGRLRSLMMMPGGSPSRSLMSRDGFRRYDVSGVAEVLLALERMEQDEELTYEEEYVDGDEVGTLPCQHMYHVSCAQQWLRMKNWCPICKTSAESQPQPFS >A07p012780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7924241:7929935:1 gene:A07p012780.1_BraROA transcript:A07p012780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFMMPRNAVLRDVGEPQSPNPSSSKPKPQRKTRSAKENAPPPDLNSLPPDYKSSPAKMKCPLPPRPPRPPSANPLKRKLSAEAASDNGVSDSGVKVIVRVKPPSKGEEEEMIVKKISSDSLTLNEHTFTFDSIADPESTQDEIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANGLLEEHLSGDQRGLTPRVFELLFARISEEQVKHADRQLSYQCRCSFLEIYNEQITDLLDPSQKNLMIREDAKLGVYVDNLTKGYVKNLKDLSQLLIKGLVNRRTGATSVNAESSRSHCVFTCIVESHCKNAADGLSSFKTSRINLVDLAGSERQKSTGAAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAVSPSQSCRSETFSTLRFAQRAKAIQNKAVVNEVMQDDVNFLREVIRQLREELQRVKNNGNNPTNPNAAYTTSWNSRRSMSLLRSFGLSHPKFLAHGDDDGDTEMEIDEEAVERLCAQIGLQSSPPAEENNQEMGRVEKIKSSLQIVALKDEDFNNSHLKSSDGQSTGNQFPEDADVNMEDVSCQTENFGAVTTDSASTVAETGIPTAVQTLDHGSSVQTPLITNSPGSCISDTNNENSPSKAENVLSCQDLVPGALVSGIASVADATDDTNHFSENPGSPCLSIDPVNGSPLLITPTESVSPKVRNSRKSLRTSSMSTASQKDIERANQVTTETVGPSSAMSTHKSGAFPVPTNQLAASLHRGMRILDSYRQSTAQRRSTFGFSYRALECKPSTVLSKADVGVQTYPEADIIAEENSKEVLCSKCKCIAECDAHETSDLSNLQLVPADNSEVSEKSIFQVPKAVEKVLAGSIRREMALEEFCTKQASEISQLNRLVQQYKHERECNAIIGQTREDKIVRLESLMDGVLSKDDFLDEEFASLMHEHKLLKDMYENHPEVLETRIELKRAQDEVESFKNFYGEMGEREVLLEEIHDLKAQLQCYTDSSLTSSRKRGSLLKLTHTCDPNQAPQLNAIPESMEESSAKTLSEQERLRWNEAESNWISLAEELRTELDANRKLMEKQKRELDTEKRCAEELTEAMQMAMQGHARMIEQYADLEEKHMQLLARHRRIREGIDDVKKAAARAGVKGAESRFINALAAEISALKVQREKEAQYFRDENKSLQSQLRDTAEAVEAAGELLVRLKEAEEGLKIAQKRARDAEYEASEAHKQIDKLKKKKQETGISTLNQQEQHIAESHNHIESLQASFDGDDVAKYDEPVEPSASESDQQWREEFKPFYEKDAEFATLAEPSWFSGYDRCNI >A09g503680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:11388973:11390857:1 gene:A09g503680.1_BraROA transcript:A09g503680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELVSFGIQKLWDLLSHEYEQFQGVEDQVNELKTDLNTLKSFLKDADAKKHTSKVVQNCVERINEIVLDAEDTIETLILKDELGKRGSVRRLACIVPERRKIASEIGSLSEKIVKVVRDMKDLGVQQIIVDGRDSQPSKQRLEFAGKYESNLVGMEENVKTLLGYLVERDDVQVVSITGMGGLGKTTLARQAFHHDKVKRKFDKLAWVCVSQVCDGMNVWQAILHNLTSKEDENEIRKMTEATLQDKLFRLLETSNSLIVLDDIWKKEDWDMIKPIFPHKAGSKVLLTSRNERVAGHGETYINFKPEYLSDQDSWTLFQSIAMSRKAKQPRDRDGDRKLGRERGRETSNLKLVDTETFPERLLLCPSPYPTILDVPGSPHTYWGRFLCVPETSPSPRRTRYVRRHQVGVPVQPRMHLT >A10p034530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20062440:20064690:-1 gene:A10p034530.1_BraROA transcript:A10p034530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQETQQLYQLWRLAIQERDEAREQLMHLLAELSQLRELLNTVLLSKEKIGSYYLEAADESTGHQNCSYNLFPGDSPSNFSVNSCPLDLSVLSNQMRFVVENMRDYETVVLEMIGGVLPGNGKFLQAVSEAGSLVESLFVAGPVPKWINPPVLSNHITGNWNYGGLEFGSVSRLSSFTFDLAQKDSEGLIYLTLSKTISSTGFNQMEDDRKEKNSPWLSVPQFGDWDQKGGGTIPDYSMDFTKIREMRKQNKRDPSRASLGNEDELVKPPVSATTATAKLTTVHSENQHHFSPAHHHQPHSPSTRRSIFSCFNCCVKA >A01g510140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27718902:27720295:-1 gene:A01g510140.1_BraROA transcript:A01g510140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATNSEFEESGEDKGEDQTADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNILCHLAASSKGNIDTDMKNFLEDLVQASFTTFGKKFCQQFSDRLGKIETEVTQLRTASERTEQFETAVTDRLGKIEAEVTQLRTTLVMTELVGNSDQASSPSITKINSGPSTSKKGTAPSKKKLKTADSCVNLPRAKVTQSSASDLRMGTQEFLESCMKNLPLDGTQQQQFAWTADTLVKLSTMMQTVWGALAKIRCPPTPSCYRAPKTSEAAGITRDDAVNEPSDEATDEKRGSRLHRSRRAPGESRSCSPDDHQ >A10p014470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4174836:4176017:1 gene:A10p014470.1_BraROA transcript:A10p014470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLVKAWNIETNADQSLSGPVGQVYSLVVGTDLLFAGTQDGSILAWRYSAATNCFEPAASLTGHTLGVVTLYVGANRLYSGSMDKSIKVWSLDNLQCIQTLTDHTSVVMSLICWDQFLLSCSLDNTVKIWAAVEGGNLEVTYTHKEEHGVLALCGVHDAEAKPVLLCSCNDSALRLYDLPSFTERGKIFAKQEIRSIQIGPGGIFFTGDGTGQVKVWKWSTAPTTAAMS >A04p030170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17913837:17915405:-1 gene:A04p030170.1_BraROA transcript:A04p030170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oil body-associated protein 1B [Source:Projected from Arabidopsis thaliana (AT2G31985) UniProtKB/Swiss-Prot;Acc:Q8GWR2] MEKAVHSSTTPGPEVPGEATKTGTSIVDTATSAVQSFAPVNQIHQHLCAFHFYAYDMTRQVEAHHFCSHVNEDMRQCLIYDGPDANARLIGLEYIVTEKLFMTLPDEEKKLWHTHEWEVKGGFLFMPGVPGPIQRQDLDKVAKTYGKVFHFWQVDLGHDLPIGLPNVMMAVTRDGQLFHEMIQETEKRFGVSIEGEREARAYMSGPELGIHPLANGGGKGLKLELREVDIKPVESVARVFV >A09p058880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49455278:49457812:-1 gene:A09p058880.1_BraROA transcript:A09p058880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQINGSVHQNGKIEAMLCGGVEKTKVAVAADPLNWGAAAEQMKGSHLDEVKRMVEEYRRPVVNLGGETLTIGQVAAISTVGGGVKVELAEASRAGVKASSDWVMESMGKGTDSYGVTTGFGATSHRRTKNGTALQTELIRFLNAGIFGNTKETCHTLPESATRAAMLVRVNTLLQGYSGIRFEILEAITSFLNHNISPSLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGESLTAEEAFKQAGIASGFFDLQPKEGLALVNGTAVGSGMASMVLFEANVQSVLAEVLSAIFAEVMSGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYMKLAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRHATKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTASNNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTSEAVDILKLMSTTFLVAICQAVDLRHLEENLRQTVKNTVSQVAKKVLTTGVNGELHPSRFCEKDLLKVVDREQVFTYVDDPCLATYPLMQKLRQVIVDHALSNGETEKNAVTSIFQKIGAFEEELKMVLPKEVDAAREAYGNGTAAIPNRIKECRSYPLYKFVREELGTKLLTGEKVVSPGEEFDKVFTAMCEGKIIDPLMECLKEWNGAPIPIC >A01p012750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6261673:6261993:-1 gene:A01p012750.1_BraROA transcript:A01p012750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSVIVLVFFFVNIGNSIGRGLEWSLKDQMNIESFGLCACSKNLSTLHDPIDSLQDELFRLIGYDHEPNRHANFYSGN >A09p059070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49531990:49534443:-1 gene:A09p059070.1_BraROA transcript:A09p059070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNTKTIIQKSKFGRICVFCGSSQGKKSSYQDAAVDLGNELVSRNIDLVYGGGSIGLMGFVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVRAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARQIIISAPTAKELVKKLEEYSPCHESVASKLCWEIERIGYSSED >A10p021740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14526518:14528007:1 gene:A10p021740.1_BraROA transcript:A10p021740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHVALHSRFSYRQKRERVCPKELLYCLKLKRSKQKSSMSTEREVVCVTGASGCIGSWLVHLLLLRGYSVHATVKNLQDEKETKHLEALEGAATRLHLFEMDLLKYDTVSAAVNGCAGVFHLASPCIVDEVQDPEKQLLDPAVKGTLNVLTAAKEAGVKRVVVTSSISAITPSPNWPADKIKNEDCWADEDFCKQNGLWYPLSKTLAEKAAWEFAEEKGLDVVVVNPGTVMGPVIPPSINASMLMLQRLLEGCTETYENFFMGSVHFKDVALAHILVYENPSAKGRHLCVEAISHYGDFVAKVAELYPNYSVPKLPRETQPGLLRAKNAAKKLMELGLEFSSMEDIIKDGVESLKSKGYIS >A09p038380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30452818:30455669:1 gene:A09p038380.1_BraROA transcript:A09p038380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRPMAVPTILLRIGGMTLHTGHPWVSASTHRTSVAVPVCPSVSVSTHKTYVAVPQYTYQHVGPWTQHAGPSLHIGRLWVSASTHRTSVAVCGCSSAHTGRPCVSVSTHRTSMGVRQHTENVCVCPSAYTGYSWLSLCVRQHTQDVRGCPSVHISARWSLDSACWPFPWTVWVILAHVGYLFSTHMMSVGVRQNTQDVCGCPCVSVCVRQHTHDVRGCPSVHILARWSLDSAHLPFPWTVRDVRGCPSAHTEHPCVSVSTHRTFVAVRVCPCVSVSTHMTSVAVHQYTYQHVGPLTPHPDPSCGLVGSVHIGRPWVSASTHRMSVAVRVCPSAHTGRPWLSLSTHIITLVLALSTLALPVDCLGCPWVSASTHRTSLAVCGCLSAHTGRPCVSVCVCQHTQDVRGCPSAHTGRLCVSFSIHRTSVAVRVCPSAHTGRSWLSISTHISMLVLGLCTLALPMDCLGDLGPRGLSVQYTQDVRGCPPAHRTSVAVCGCPSAHTGRTCVSMCVCQHTQDVCGCPSAHTGRPCVSVSIHRTSVAVRVCPSAHTGRSWLSISTHISMLVLGLCTLALPMDCLGDLGPRGLSVQYTQDVCGCPPAHTGRLWLSLCVRVCPSAHTARPWLSISTHISTLVLGLSTLTLPVHCLGDFGPRGLSVQYTQDVRGCPCVSVCVRQHTQDVRGCPSVHISARWSLDSARRPFPWTVWVILSHVGCLFSTHRTSVGVRQHTQDVCGCFWLSVSTHGTSVCVRXWVSVSTHRMYVCVRQHTQDVRGCACVSVCVCQHTHDVCGCPSVHISACSGNFGPRGLSVQYTEDVPGCPPAHTGRPWLSVCVRLCPYVSVSTHRTSVAVPQYTYQHVGPWTQHAGPSRGLFG >A05p021610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10272176:10275383:1 gene:A05p021610.1_BraROA transcript:A05p021610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRYRGGRGRDGRGRSGGDRGRGYGAGGGGDRGRGYGTRGGDFGNRGQSSGGSGGGYDRNQEEVRSQSQWGPPPGHGGRGAQSQQQAVQQPPQAQVSQPGGVGRGAWGRKPQISSDTAAAVPPSSPSTVSVSETAREASSSSSSVQVASTERKTPMRRPDKGGVVAVRRVNLLVNHFRVHIDPETVIKHYDVDIKPEISTKKISRFELSMVRDKLFTDNPGEFPLAMTAYDGQKNIFSAAQLPTGSYKVDFPETEETRARCYTFTIKQVNELKLRDLREYMTGGSSLNPRDVLQGMDVVMKEHPSKSMITVGKSFFTRETKSDDDDLGFGLAAAKGYRHALKPTAQGLSLCLDYSVLAFRKSMSVIEYLKHYFDWSDLQRQFNRRDVEMELTGLKVTVTHRKNKQKLTIVGLSRKDTKDEGFELIDEKGNEPPRKTSIVEYFRIKYGKDIVHKNIPCLDLGKNGRQNLVPMELCILVEGQVYPKERLGRNSALWLKTLSLVNPQQRRRNILKMIESNDGPSGGEIIGNFGMKVDTEMTPVVGRVLKAPALKLAERGKPVREEPGRNNQWNLMKKGVTRGSVVKHWAVLDFTASERNTNSRMADDFVGLLINRCRTLGMQRKDPIIFKSARMDLLSNANALEDLLRQVIDEASHNHGVARPTLVLCAMSARVDGYKTLKWIAETKLGLVTQCFLINSASRGGDQYRANLALKINAKVGGSNVELMDSGYSFFKREDEVMFIGADVNHPAARDATSPSIVAVVGTLNWPEANRYAARVIAQPRRKEEIQGFGDTCFELVKAHYQVTRKRPNKIVIFRDGVSDGQFDMVLNRELLDVKLTFERDNYFPKITVIVAQKRHQTRFFPDTPNDGSDKGNVPSGTVVDTKVIHPFEYDFYLCSHHGGIGTSKPTHYYALWDELDFTSDQMQKLIFDMCFTFTRCTKPVSLVPPVYYADMVAFRGRIYHEASSREKNIRQPRGAPPPPADSLSALTLEDKAIFKLHKELENVMFFV >A10g506730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19745828:19746222:1 gene:A10g506730.1_BraROA transcript:A10g506730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDPKLPESIRYCIFHHSENKFIPLLEMNPNGAIDWTFKLSHCFITGKVLLLTIERGIQLELLATGLEIERIWPTSYFNAKPALTPLHVSEGFGTAVS >A02p014520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6435210:6436167:1 gene:A02p014520.1_BraROA transcript:A02p014520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGANTVSGGEHGSDKKIHGDIDASKVKAPNMFERAKEEFDAVIGLIHQHKSSRDESDKMELKSEKKEDAKKKRNMIRKAKDEIKSLFHSKEKHHCHHHHRKDSHGSSDDIDENTHVDEVKAPNVFDRAEEEIEAVVIHPEKNEIDGSDSPKSSRSGSLEKERAGLGCSLGKWLEKICAPWGDNKKD >A09p051410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:45369998:45372234:1 gene:A09p051410.1_BraROA transcript:A09p051410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTEPATVTNKQIIFSGYVSGFPKESDLKVTTTTIDLRIPERSTSVLVKNLYLSCDPYMRISMGKPEPLSSSLVPPFNTGEPIIGLGVSKVIDSGHPDYKKGDLLWGVVGWEEYSVITLFPYSHFKIHHTDVPLSYYTGLLGMPGMTAYAGFYEICSPKRGETVFVSAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKTKFGFDDAFNYKEENDLNIALKRYFPEGIDIYFENVGGKMLDAVLINMKLNGRIAVCGMISQYNLVEPEGVHNLTTILYKRIKVQGFAVSDFYDKYSKFLDFVLPYIRQGKITYVEDIAEGFESGPSALLGLFHGKNVGKQLFVVARE >A09p065190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52223868:52225714:1 gene:A09p065190.1_BraROA transcript:A09p065190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKQFNEEILSPKKEQSPGVGGGGGHEEGVLTNANTSDGTLTSVSGGEDLDVDIVVELDENNTSTTDDEEDPNATEYSSSFSDTSSEDAADMLCSNGLTEEADVESHYWDETDLGPAYDSFSSVFHFRKKRLTNHWKSFIRPLMWRSKWLELKIRELETQALEYPKKLESLDQEKLGAANTDPSVLENGMKSLPFTNPSYKRRAAKRRRKRKKVESTDDLTSYISHHNLFSYIETRRLSSDGMSMAADDFGAAKDPRVDSKDQVDLVDDDSLFDHREGDSVLEEVLWKIELVHSQVLRMKSQVDVVMSKNAARFSSSENLSHLAASSAPSPTVSAGGDVMSIGANAIYNSSQHMADYVLGDLVFSSEGVVSSYGDAFQIPDIIESTVGLFADADVTLHHTQIGDSCEDILDNILIRNGVAEEMSGDLMETSSHEEGEKGEEGEGTNVPPLLQIQETEQDHQEDKSLVLQDSVLRSCLASEMLVVPRNKRTRGGERKASSWCKKHLSDPESQ >A04p037080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21194934:21199900:1 gene:A04p037080.1_BraROA transcript:A04p037080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEELQQHHNNSSTYGYGSSSLDIESAASNALLHEQEIETQKIIQGQREAGASVDGESNTDILRQRADPNALKEHLLKFTAHHRAETASKRGSVSTCGEGNVDVGNGYGIPGGVAYAGHSEISEKPEPTDYLPEYLKHKLKARGILRDGSGAVTSNAHDASAGSWNRQTSVPFQTNANTLPLGWVDAKDPATGATYYYNQHTGATQWERPLELPSSAPLPMPPKEEWIETLDETSGHKYYYNTKTHVSQWEPPASLKKPSATNTNNTANGKRELPPSQMPRCSGCGGWGVGLVQSWGYCAHCTRVCNLPEQQYLAYVTNARNSGQTDPNQRSSSKPPVKKGVGKKRAHAEDDEVDPMDPSSYSDAPRGGWVVGLKGMQPRAADTTATGPLFQQRPQEASLLLVDSLMAMASLTSSSIALLNKPLLHNRSSSLSSSTSHSRLYRFSSPPSSFRSRFTSASIRAVALEPELNETPSSDIKETETVETQVFACPVCYEPLMRKGPSGINLQAIYRSGFKCGQCNKTYSSKDEYLDLTVTAGFDSFNEVKPITTELFRSPLVSFLYERGWRQNFARSGFPGPDEEFRMAEEYFKEAEGGVLVDVSCGSGLFSRKFAKSGKYSGVIALDYSENMLRQCNEFIKKDTTFDSSTNIAVVRADVSRLPFASGSVDAVHAGAALHCWPSPTNAIAEICRVLRSGGVFVGTTFLRYSPSTPWIIRPFQSRILQNYNYLMQAEIKDVCTTCGLTDYEDIVQDSFIMFTARKP >A07g508850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24833560:24834417:1 gene:A07g508850.1_BraROA transcript:A07g508850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIRRPLNLTAAVRFRLTPLLPFSGSSCSVNSNATRCSELNKVPSLVKGCDYKHWLVLMKPPKGYPPRNDIVQGFWEVTPFDKKKRVHQPLTYKIRSLPDVRWVLPDSYVVDGDCGYGGEPFIDGEVVPYDEKYHADWLRDQTDEDAKNRSVKKKPRRKRKKWLSDVW >A05p050920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29636204:29639015:-1 gene:A05p050920.1_BraROA transcript:A05p050920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAHVPKFGDWNNQSQPFTAVFDNARTNKRADLYESLENSDIKTQAKPPPQQPTPIIPKPARAPPPTETNKVRAPPADQLYGARGGLYGGYGGGGGSGNQRQPQVPPRPPQTQPKPNVRGGNNGRGGTTIPPFPGSVGSGDQNMSYTHIFDQVKEERRDARSNGGSVGNTPSRLINDDQRSRDQSTAPGSFKPKGKQLLKTVNTTNTKSVIPFVSLYTLHRLEIKDSLLLLKLRERGQDANKGGLSMSSRSERVVTRSSRRSNNVNGTQQSEQPRAGQQPPIVSGPPTIDVDAIEDDDDVVESTASAFDRAKRHKSGGSQRGPLLVDVESGGTTRLSKNRTKRQSDQASVELNNPRKSKTVAPPVEEPKFNCPICMCPFTEEVSTKCGHIFCKKCIKLAVSVQAKCPTCRKRVIAKDLIRVFLPTTR >A02p002760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1165451:1166452:1 gene:A02p002760.1_BraROA transcript:A02p002760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTSFHILGGAAGLGAGGAAGLGAGAAAGAAAGAAAGAAAGAAAGAAAGAAAAPGIIFFKTFSRTFGGALPVARLHKIEYVWLSTVMSHRMMVTAVAPAPPAAAKPVVSKPVVIPAGTRIGLNTTNSGMVAINAAAVPARDTPAINKRNVAACVANIAVKTLLNDGFRSCFFFLSIPIVY >A10p031760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18906770:18911691:1 gene:A10p031760.1_BraROA transcript:A10p031760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRVRATAICWIRPVETKMLRIAGRRLLSVQQRSSTATTFALSRDHTIDSSPAAAPRSAPSADLSSFNSYHRSLTRGFASQVLTQGNEVGFGSEVAATVEAVKTPNSKIVYDDHNHERYPPGDPSKRAFAYFVLSGGRFVYASVLRLLVLKLIVSMSASKDVLALASLEVDLGSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDLGTLRDPQEDAVRVKNPEWLVVVGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIEEHHFPRFFLMASISNLANLTPATSTNSRSSSSSVLPRSFLNLRSLNAKLSSSSHLSLRHNQTSRPSLFVRCSASGGNGSAAKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPFIARGVRGVTSNPAIFQKAISTSNAYNDQFRTLVESGKDIESAYWELVVKDIQDACKLFEPIYDQTEAEDGYVSVEVSPRLADDTNGTVEAAKYLHKVVDRRNVYIKIPATAPCIPSIRDVIASGISVNVTLIFSIARYEAVIDAYLDGLEASGLDDLSRVTSVASFFVSRVDTLMDKMLEKIGTPEALDLRGKAAVAQAALAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYSDTLYVAPLIGPDTVSTMPDQALEAFIDHGTVKRTIDANVSEAEGIYSALEKLGIDWNKVGEQLEEEGVDSFKKSFESLLGTLQEKANTLKLASH >A05p047970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28172796:28174252:1 gene:A05p047970.1_BraROA transcript:A05p047970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAFRFRSHPTPESISFLLSLSLGNNEISPSLYGHRRSVNSRPPLATTFLNRRHADDYRRIGIRLDIDSPFPSISPCLMRISSGMLELILAGLAFMFSSSILLQILACAIYGNWWPMLSALMYVVVPMPCMFFGGGSTQFLISRDGGGWIDAAKFLTGASTVGSLAIPIILRHAGMIETGAMLIEFTSFFIFICTVMCFHRASLDDDW >A05p030850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:15983707:15985787:-1 gene:A05p030850.1_BraROA transcript:A05p030850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 11 [Source:Projected from Arabidopsis thaliana (AT1G35670) UniProtKB/Swiss-Prot;Acc:Q39016] MEKANPRRPSNTVLPYQTPRLRDHYLLGKKLGQGQFGTTYLCTEKSTSANYACKSIPKRKLVCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDSVFVHLVMEVCEGGELFDRIVAKGHFSEREAVKLIKTILAVVEACHSLGVMHRDLKPENFLFDSPKEDAKLKATDFGLSVFYKPGQYLYDVVGSPYYVAPEVLKKCYGPEIDVWSAGVILYILLSGVPPFWAETESGIFRQILQGKLDFKSDPWPTISEAAKDLIYKMLERSPKKRISAHEALCHPWIVDEEAAPDKPLDPAVLSRLKQFSQMNKIKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKAGLKRVGSELMESEIKSLMDAADIDNSGTIDYGEFLAATLHMNKMEREENLVAAFSYFDKDGSGYITIDELQSACTEFGLCDTPLDAMIKEIDLDNDGKIDFSEFTAMMKKGDGVGRSRTMMKNLNFNIADAFGVDEQSAQKSDD >A03p013860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5471586:5474025:-1 gene:A03p013860.1_BraROA transcript:A03p013860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVTVQKARFSDRVRIRSILGRPDGGAGFAGQKVRISGWVKTGREQGKGAFAFLEVNDGSCPANLQVMVDASVSDLTKLVATGTCVTVDGCLKIPPEGKGTKQKVELSVVEVIDVGTVDTATYPIPKTKLTLERLREFPHLRSRTNSISAIARIRHALAIATHTFFDEEGFLYIQTPIITTSDCEGAGEMFQVTTLISHTEKLERDLIENPPPTEADVEAARLIVKARGEAVAHLKSAKASKETITASVAELNEAKASLSRTEERSKLKPGLPKLDGKIDYTQDFFGRQAFLTVSGQLQVETYACGLSDVYTFGPTFRAENSHTSRHLAEFWMVEPELAFADLEDDMNCAEAYVRYMCKWLLEKRYDDMELMAKNFDKGCIDRLKLVASTPFGRLTYTKAIELLEEAVGKGKEFVNPVEWGIDLASEHERYLTEVVFQKPLIVYNYPKGIKAFYMRLNDDGKTVAAMDVLVPKVGELIGGSQREERIDVIMERIEEMGLPVEPYEWYLDLRRYGTAKHSGFGLGFERMVLFATGMDNIRDVIPFPRYPGRADL >A05p008470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3467769:3473579:1 gene:A05p008470.1_BraROA transcript:A05p008470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMYQKLALRGGEGGSEWDDDVYKGVRKVYVGQDLSRITYVKFEYVKEDGEEVTREYGTISQHPNEFVVQYPDEHITSVEGSYNKVGLYATEVITSLVFKTSKDRTSPTFGPNLFGVVNGTKFVFEDDGKKIVGFHGRSGDALDALGVYFEVDSLTTSNPLYKLEAQGGAEGQVWDDGSYDGVRTLRICQDDCRITYLEFEYVKGGGSETRHHGVKGETPSEFVIDFPNEYIQSVEATYDKPKLFRNTVITSIRLETSKGRTSIFGYGVGKKFMLVKNGYSLVGFHGKEGEAIDALGAYFENVPTPTASTPGVVDTWDDGDYDGVKKIQIGLYDEGVSFLKFEYIKGNELFVLEDGEYLTAVEASYVAMAGTPYPKVGSLRFKTNKRESALFGMDAGEKVLLGAKEGSRDDLPILFTFLKPLPCVSASAARSMAWMYRKLATCGGEGGSEWDDDVYEGVRKVYVGQDLSRITYIKFDYVKVDGEVVTREYGTESQHPKEFEVQYPDEHIISVEGSYKKVGLYATDVITSLVFKTSKGRKSPMFGPNLLGLVTGTKFGFEDQGKKIVGFHGRSGDALDALGVYFVHDSLSTSLSPLYKLDAQGGTEGLVWDDGSYDAVKTLRICQDDCRITYLEFEYEKAGKSEIFQHGVKGGTPSEFVLDYPDEYIKTVEATYDKPKLFQNTVITSLTFQTSKGITSFFGYKVGKKFVLEQKDRRLVGFHGKEGDAIDALGAYFAPIPAPTPLIPAKKLPSVGGNGGVTWDDGVYDDVKKIYVGQGNDGVSFVKFEYIKGTSLVTGDDHGKMTLLGAEEFVLEDGEYLTALVGYYDKIFGVEEPVIISLQFKTNKRESSQFGMDSGEKFTFGENGHKIVGFHGQASDVIHSVGVTVVPITTE >A03g500700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2222076:2222303:-1 gene:A03g500700.1_BraROA transcript:A03g500700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTKKQKLDIAPREEKLPWNLIEEILSRASPKSLVRFTVVCKRWKAILDDKTFVYNHKDTFRFILTTKSKIIR >A01p008340.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4060992:4062287:1 gene:A01p008340.1_BraROA transcript:A01p008340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLTLRTTILISLIVLTCSNRCISHPRLASRLIHSKSIKTQESPKKNTGYLHPKPPPASRLDNMWTTVNIISHVTPMTRPAAFLANISIGDPPVPQLLLIDTGSDLTWIQCHPCKCYPQTIPFYRPSRSSTYRDASCEPAPHAMPRISHDERTKTCQYYLLYRDNSSTRGTLAEEKLTFQTSDDGLVSKQNIVIGCGQDNSGFTQYSGVLGLGPGKFSIVTRNFGSRFSYCFGSLTDRTNPHNILTLGDGARTKGDPTPLHIFQDRYYLDLQAISLGQKLLDIKPNVFKRFGSQGGTVIDTGCSQTILAREAYDTLFEEINFLLGDVLTRVKDWDQYTKPCYEGNMKLDLLGFPVVTFHFSGGAELTLDFKSLFVESEDGESFCLAMSMNTLDDMSVIGAMAQQHYNVGYDLLTMNVYFQRTDCEILDS >A06p043960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23645255:23647423:-1 gene:A06p043960.1_BraROA transcript:A06p043960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (cytosine(38)-C(5))-methyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G25480) UniProtKB/Swiss-Prot;Acc:F4JWT7] MAERVEEKPWRVLEFYSGIGGMRYSLTASGVEAEVVEAFEINDVANDVYQHNFGHRPHQGNIQSLTAGDLDRYNADAWLLSPPCQPYTRQGLQKHSGDARASSFLKILELIPHTSTPPRMLFVENVVGFETSDTHMEMIDTLTKSGYVTQEFILSPLQFGVPYSRPRYFCLAKRKPLSFQSQHNNNKLLWSPGPLYGRDDQVEFDKCQAEEGSENLLQSCESVEKFLDSTAQVNGEPGGFDETENGSRDCDGHQYLVPLSLIERWGNAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKVKGKESCLKEQRLRYFTPREVANFHSFPEDFEFPKQISLRQRYAMLGNSLSVAVVAPLLRYLFSSSPVLAVE >A08p003650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:2052883:2055002:1 gene:A08p003650.1_BraROA transcript:A08p003650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 34 [Source:Projected from Arabidopsis thaliana (AT1G51380) UniProtKB/Swiss-Prot;Acc:Q9C8J1] MAAAKGSSDWRSVGGALDEENLVFETTKGIKPIASFDEMGMNDKVLRGVYDYGFKKPSEIQQRAVMPILKGRDVIAQAQSGTGKTSMIAISVCQIVNTSSRKIQVLVLSPSRELASQTEKTIQAIGVHTSIQAHACIGGKSIGEDIKKLERGVHAVSGTPGRVYDMIKRGSLQTKSVKLLILDESDEMLSKGLKDQIYDVYRSLPHDIQVCLISATLPQEILEMTNKFMTDPVRILVKRDELTLEVDWLTEKMRSSNFIVSSMHGDKRQKERDEIMNQFRSFKSRVLIASDVWARGIDVQTVSHVINYDVPNNPELYIHRIGRAGRFGREGVAINFVKNSDMKTLRDIERYYGTKIREMPADLA >A09p028880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:17287079:17293286:1 gene:A09p028880.1_BraROA transcript:A09p028880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein 48 homolog B [Source:Projected from Arabidopsis thaliana (AT2G03670) UniProtKB/Swiss-Prot;Acc:Q9ZPR1] MRSSSSNKCVLFPCLFFACLLFTISEKLVAGESDKVKLNLYYESLCPGCQVFIVNYLVKIFDSDLHTVTDLKLVPFGNAKVSDNLTVTCQHGEEECKLNAIEACAIRTWPDSKKHYSFIRCVENDTTKWESCLKNSRSEKAINDCYNSDLPKTLILGYANMTLSLKPQHKYVPWVTLNSKPLNAESPDDFVAQICKAYKGKAALPKLCNSSAFSKSLESKVLKLQKHIYPLYSHGPSFHNASVKTVVRERVDSGLLSGRGFAEPLPSSNELGRFPPVVKTAVPVDGRFEHIVLSCNEHQTTPSFGSNEKLSELSTMEIPEKAEDEETRISSKSPSNNVHIYYCLLTICFFPLPNLMETQTSGCDNIVGDDTWRAEAEIGGNERALQALRELIIFPFRYPLEARTLGLKWSRGLLLYGPPGTGKTSLVRAVVQECDAHLTVLSPHSVHRAHAGESEKVLREAFAEASSHAGSDKPSVIFIDEIDVLCPKRSSRGEQGVRIASQLFTLMDSNKPSSSPPRVVVVASTNRLDAIDPALRRAGRFDTLVEVSTPDEEDRLKILQLYTKKVSLDPSLDLQAIATSCNGYVGADLEALCREATISASKRSSDSLILTSQDFKVAKSVVGPSITRGITVEIPKVTWDDVGGLKDLKKKLQQAVEWPIKHSAAFTKMGISPMRGILLHGPPGCSKTTLAKAAANAAQASFFSLSCAELFSMYVGEGEALLRNTFQRARLASPSIIFFDEADVVACKRGDEGSSNSSTVGERLLSTLLTEMDGLEEAKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLEARLEILQVHTRNMRLGDDVDLRKIAEETDLFTGAELEGLCRESGTVSLRENIAASGVFNRHFLTAKKSLKPALTVEEVETYASFRKSKSSDSKTVPVEKKKVNHSNVLGLGLSWKVGVLSLMLVAAGNFYLKQTKHVELAAAT >A06p014250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6425584:6426205:-1 gene:A06p014250.1_BraROA transcript:A06p014250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSISLSKVRLTASASSLLPSSHLLSFRSQSSDRRGDLHETDTASPSDPLIQKLEDAVHRIFVRRAAPDWLPFVPGASYYVPPPGSGSQTHGVAQLVAKLANPLTDEETLSTNSSHGWPSSDYFLKGVQPRLMETKIETSSSTEYHSEDEEG >A06p041370.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22284525:22286580:1 gene:A06p041370.1_BraROA transcript:A06p041370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDELPFEMGIAVYAKGRNKLEFDPVLLDSGYDPIWDAIREEAKLEAEKEPILSSFLYAGILAHECLEQALGFVLANRLQNPTLLATQLLDIFNGVMMHDKGIQRSIRHDIQAFKDRDPACLSYSSAILHMKGYHALQAYRVAHKLWNQGRTLLALALQSRISEVFGIDIHPAAKIGEGILLDHGTGVVIGETAVISNRVSILHGVTLGGTGKETGDRHPKIGEGALLGACVTVLGNISIGVGAMVAAGSLVLKDVPPHSVVAGNPAKLIRVIDEQDPSLAMKHDATKEFFRHVADGYKAAISNGAVSSGDAENGHTNSTT >A03p029530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:12424690:12426579:-1 gene:A03p029530.1_BraROA transcript:A03p029530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREPSLVPEWLRSAGNGSSVGSKNHILSSSARSDSSLLLNNSKTRNPRTKATDVDSPPFLDRSCSTNARRGSTKNAYSNFNVQRSNRDKDRSSRESYIDYPWDHDTCFPFGTILNEVQLRRSNSMTTRKQDDHPRFSMGFKDGRSIYNRNGMLPPAKSSERNEDAVRISSPCLRPAVAGNSGLTPGEHWTSALAEVPIVIDKSASDPVAANVATLTGQTRNMAEALLQPPRTGTPPQESSKIQRLEDRALKLIPVLPSTPKGSVLSSSDKSKNKPMPRSGEIGPASFRNTHQHSSIRLGNLPSNAGGQIKPDTTKKMVVLKPAVKESASPRSTNNSLAAAAASQMIAAPSAPSTSSAQSTNNPRELKGASVNMPAEKKLSLAQTQSRNAFFSTLKKKETSTNISTSSCTISKELVASDPSSVERDDMVMERVEKVSERVSVFESTDLPDEEEAEFLKSLGWDENNTEVEALTDEEIRAFYEQHKEVKPSLMQTLPIIKEATEDATLNS >A01p010780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5244955:5253410:1 gene:A01p010780.1_BraROA transcript:A01p010780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKANLLGKRKAEDGLKTEPLLRRHKEKETRQGLADSTLKGTAGELSETKADESNLISEKEEETVEESLDETPEEAAVPIKTLFIASFPRYSTEISDIIDFFKDVGQVVRVRLDINDRGKFVGCGFVDFASANQANKALQNKNGEYLHDRKIFLADGSGATFLPHKFCIDHKVWYEEEDYLQEESLRLDSIQDVAAMEEGPDETPHSANKEAATVRNKTLFVSGLSRQTKISEIINFFKDVGEVVHVRLIVFRWGNLHGKGFVEFASANEAMKALEKKNGEYLNGRDVFLAYFETPPYHRRPKYFIDHKVWYQDYLQRESLEIKEDVAAVEKGLDVTPHFTEEIVRKKTVFVDGLSYNTRISDIINFFKDVREEIIRVRFIVDGRGDHVGCCFVEMATANAAKKAVQENYNTSKFFVYAAEIAAQCPFQPKYKLADLAEKLWTLFIASLSRHSTDISDIIDFFKDVGQVVRVRLDINDRGKLVGCGYVEFASANQANKALQNKNGEYLHDRKIFLADGSGATFLPHKFCIDHKVWYEEEDYLQEESLRLDSIQDVAAMEEGPDETPHSANKEVLLIANLSPQTTKTEQIIRFFEDVGSVVSVRLIVDHECKHVGYGFVEFDSSYEAEKALKELNGEYLHDHKILLMRGLDDETPHSVEEAATVRNKTLFVSGLSRQTKISEIINFFKDVGEVVHVRLIVFRWGNLHGKGFVEFASANEAMKALEKKNGEYLNGRDVFLAYFETPPYHRRPKYQDYLQRESLEIKEDVAAVEKGLDETPHFTEETVRKKTVFVDGLSYNTSISDIINFFKDVREEIIRVRLIVDGRGDHVGCCFVEMATANAAKKAVQENYNTSKFFVYAAEIAAPYPFQPKYKLADLAEKLWYEDSLCEKSLFGQKPKPILSIKKVMEYGYGKKTTFSDDE >A01p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14795474:14796964:1 gene:A01p038840.1_BraROA transcript:A01p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVESVVYVESVESIELSVAMTEIIIFEAQFDGGELPSSIGNLIHLRYLSLYMAHVTHLPSSMQKLKLLIYLNLFVDARCSMPNFLKKMRELTTLWFPLRIHDKVKMELSNLVNLETLENFSTEHGSVCDIQGMTRFKTLSISFNDKGCTMETLSSSLSELRHLENLNIYDAYKLYAPIVDEEGFCFDCVNLKQLKLSVCMPRLPDAQCFPFHLRSITLRGCCLEEDPILILEKFLHLYDVNLLNISFCGKRMVCSSGGFPQLHKLEFGGLAEWEKWIVEEGSMPFLQTLTVHNCGKLMELPDGLRFVTFLEELDMNTHTLKFWNKLSIGGEEYYKVQHIPLLNII >A08g508540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15672827:15675854:-1 gene:A08g508540.1_BraROA transcript:A08g508540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAGIRTSYMDQAELSTKHVLQRDIPWETYMVTKLISATDLQLLRRYDKKHESARAQLLNEDGPAYVQLFVTILRDIFKEETVEYVLALVYEMLSANPTRAQLFHDQSLAHEDTYEPFLRLLSKGNWFIQEKSCKILAWIISSRSKAGAIANGEASGSKKHITTIDDLLSGLVEWLCAQLRRPSHPTRGAPVAISCLSTLLKEPVVRSSFVQAMGSFCHNVTVTNSCDLYALDQQLLYETCLCIWLLSYLATSRTMQRLTEVVKSSTKENVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEVRQVQYKSDYCFSLADLQDALNQLEEGLKDKIRKLSSFDKYKQEVLLGHLDWTPMHKEANLWRENVTSFEENDFQILRVLLTILDTSSDLRSLSVACYDLSQFIQYHAAGRVIVTDLKAKE >A07p013510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:7429639:7430793:1 gene:A07p013510.1_BraROA transcript:A07p013510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKMGLNRGPWTPEEDQILISFIHKHGHSNWRALPKQAGLLRCGKSCRLRWMNYLKPDIKRGNFTKEEEDAIISLHQILGNRWSAIAAKLPGRTDNEIKNVWHTHLKKKRLEDYQLAKPKTSNTKKATKPKSQSVPTKLNSTRCELEFLNSSNPSFESLFSASPSTSDAVSSVTLMSHEGHNNEAKMDNKSGDINIADQDCSSFEIFGADIDESFWNEALYSQDESNYALNLDVAGFDEIQQEFQQLGPDENEMVFDSEMDFWFDVLARTGGEQDLLAGL >A10p033550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:19618794:19622071:-1 gene:A10p033550.1_BraROA transcript:A10p033550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTCTTPFVLYPLLVIILFFYSLNHRNGFLSSVVDDDPSCHLSVSSPSSPESVLSYFRFFPLRSSSSCTLTTLNNTSSEGTVLKEVDEAAERIEEGLAMARASIKKAGEVDLRRRRDRRNGSDSGFASNGSIYLNAFTFHQSHKEMEKRFKIWTYREGEAPLFHKGPLNDIYAIEGHFMDEIENGKSRFAAASPEEATVFYIPVGIVNIIRFVYRPYISYSRDRLQNIVKDYISLVSDRYPYWNRSRGADHFFLSCHDWAPDVSAVDPALYKNFIRALCNANASEGFKPIRDVSLPEIKIKYGKLGLTHNGEPPQKRKHLAFFAGGSHGEVRKVLFKHWKGKDKDVLVYEYLPKSMSYTKLMDQAKFCLCPSGWEVASPRIVEAFYSGCVPVIIADSYVLPFSDVLNWKTFSVHVSVEKIPEIKKILEAVSEEEYLEMQKRVLEVRKHFVVNRPSKAYDMLHMIMHSIWLRRLNVQISLHSVPLWSRACSVYNGDDQDSFLLYPELLSSPLNKSLVIKTFDSSGVVSVGEYKVKANLSSTLQSIFDKYGDIASDSKLKSLSTRTYHLETLAEVVIELQSTPLHRLTESRAGEILAIVKDIETAKIRVSWLRSVLEEVVEATRFIKRRDTVAREKEPCEQGLVLAKQEMELSLKKLADKEKEMREFRERLMKTAGKLGSLEMKRSCLDKRFEFLRSKVEKFQGQSVFTDIL >A09g508520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:23888331:23890844:1 gene:A09g508520.1_BraROA transcript:A09g508520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYQTSPSPLLAPAAPAPAAAPAPGPAAAPGPLGVMRVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSRNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFQQSAQEFNWNADDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMDMMKRMYPNETFPNIQDP >A07p016040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:9892137:9892915:-1 gene:A07p016040.1_BraROA transcript:A07p016040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNLSQHSFITISKLLDILSEEFEQDKVFRCHAKIIEVCSRSGWNYISCDKCKKKLKKDGNTLVCETCPHQDITDTVSFVMFNKDLTKILNKSPVELIDHIVSYLMFEKSIYNFNNFKLMNQFNIYETINNVETEENAIVSFLNENLVGEEFIFTIRINSYNFRSTSSGITIIETHRKDSATNSVL >A07g508770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24286945:24289556:1 gene:A07g508770.1_BraROA transcript:A07g508770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLLVFSVLFAFGFVSLPCSVALSRDSSSSAAAAQDPLKLILGSPNFGSWKGAISAESLAPGPSADISDYLVLAAHRTKRPDILRAFKPYLGGWNITNNHYWASVGFTGAPGFILAAVWILSFGSLLVVYHCFKWRVCEKAKGSSYNSRRICLILLIVFTSAAAVGCILLSVGQNKFHTEALDTLKFVVNQSDYTVGILRNVTQYLSLAKTINVTSISVSSDVLGEIEKLNVNLNAAAETIQEKTRDNAAKIKRVFYAVRSALITVATVMLILSFLGLLLSVLRHQHAIHIFVVSGWILVAVTFVLCGVFLILNNAISDTCVAMKEWVDHPHAETALSSILPCVDEQTTNKTLTQSKVVINSIVTVVNTFVYAYANTNPSPGQSSYYNQSGPPMPPLCSPFDSNMEERECGSWELSIGNASSVWESYLCEVTESDVCTTVGRVTPDSYKQLVAAVDQTYALEHYTPPLLSFRDCNFVRDTFESITSDYCPPLERNLRVVNAGLGMISVGVLLCLVLWVFYANRPQREEVFAGPHRPRVKDHHSSGNGLDNNGHSGDETKRYAEVV >A05g504760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13282892:13286252:-1 gene:A05g504760.1_BraROA transcript:A05g504760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPPIGFGGVTERYAWQTLIGWKKTWRPSYEASRRRDLATKQQENLGRKTRASDCYALSLDVRGVGRTHGQAVHDDVARTMSGSTQLDDCGMGCEALGHDIEVIANWNGLVESLDLFLILGRTGLTLETVQMSNQRSGAGGSFRTSGQDGSRYANYHNFWDAPNSANQTGDSGPRPMEGIERAHSEENVSHVAWRLSVSPPNSQYVESESFVGPQRPHSSHADSEGSTSIRINSRPAEPATPTPAPAPAPAEGSRPADPTLSMLRTNQKKGRKGQFKRHGKKPKAQATCYNCGQLGHYSRECTNSTAEKTDWKASVTCYSCGEKGHFANECTVNRPGQGRGSSA >A02p013980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6121121:6122293:-1 gene:A02p013980.1_BraROA transcript:A02p013980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLSRISKLSAYKVKNARFFSSLPESSPYLLLSSEKLGETCEGNETVRQKLFDPRKEETVSGSEKTLPKELEGDILVGASKGWVLSMDKKDSSLHLTDLCKPWVSSSPRVMSLPSLGFDPCVTVKQVSLSSSSPDECTVAANFSESQLSVCRTNCDSSWTHFKTPFPLLQASDLMYSKRDNAFYFASNKGLYMGSLHLSSNKLKYQELRFSSLPKFPEAEWEMLDTCFMSKHFVESPSGELFFVKWYRQFLHKENNNNGRREVEDIHSKTRRFMVFRQDDNTKALSYTQDIGDLCIFLGKSEAFCLSASLYPGLKPNSIYYIGSGLGSYHLPSGTVRPYNPLGSPKTLHAPFWLDPISS >A09p067510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53238586:53239900:-1 gene:A09p067510.1_BraROA transcript:A09p067510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGATYECLFFEFMLNQLGIEESEVPKMCLDLYKEYGTTMAGLKVMGYEFDNDEFHEYVHGRLPYEKLKPDPVLRNLLLSMPHRKIIFTNADKAHATRTLNRLGLEDCFEGIICFETLNPSSDTNTQVLCKPSVQAFEAAIRIADIVDPRKTMFFDDSVRNIASAKAAGLKTVFVGDSVLVPGADYALSSIHNIKEAIPDLWEDNKDEKVELIVQQVAVATMVQA >A02p036750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21132610:21133179:-1 gene:A02p036750.1_BraROA transcript:A02p036750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRRSIGDDDKGLLWRLPQVRIKDVGKVGPALGLGFGCGFGFGAGLIGGVGFGPGVPGLQFGLGFGAGCGIGVGFGYGVGRGAAYDHSRSYYNVGKPSLDEVDSLIDELVVHTKKLVKATTKEIDKWRRT >A08p003320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1861310:1866814:1 gene:A08p003320.1_BraROA transcript:A08p003320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKAHYSLAILVILFVVSNCQNACNPECKAKEPFNCDNSLTFNRTGFPKNFTFGAATSAYQIEGAAHRALNGWDYYTHRYPERVPDRSSGDVACDSYDLYKEDVKLLKRLKVQAYRLSIAWSRVLPKGRLTGGVDENGIAYYNNLINELKANGIEPFVTIFHWDVPQTLEDEYGGFLSPRIVEDFKNYAELLFQRFGDRVKFWITLNQPYSLSSKGYGDGSYPPGRCTGCEFGGDSGTEPYIVTHHQLLAHAEAVSLYRKRYQKFQGGKIGTTLIGRWFAPLNETSDLDQAAARRAFQFFVGWFLDPLVYGEYPTIMRELVGDRLPKFTPQESDLVKGSLDFLGLNYYVTQYASDASPPPQTHPSVLTDPRVTLGYYRNGVPIGVEASISPSFVYYPPGFRQILNHIKDNYQNPLTYITENGVADYGNLTVANALADNGRIQNHCSHLSCLKCSIEDGCNVAGYFAWSLMDNYEFGNGYTLRFGMNWVNFTNPADRREKDSGKWYSSQQTGAWNRELVYSTFSLRDAETILKIKPQLDRQDKMIWGVADYGNLTVANALADNGRIQNHCSHLSCLKCSIEYGCNVADYFAWSLMDNYEFGIGYTLQFGMNWVNFTNPADRREKDSGKWYSRFVAK >A05p011700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5127338:5128984:-1 gene:A05p011700.1_BraROA transcript:A05p011700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSIFSVSRSGHRRTQSGIFALEGEVLWNILLDLFLEFGLSLLYAFEDDRGALPPNTDLVASLNNLADSTHGIEVNTEFEPLEHPIEPLDNDQPIQCPLPEPSILNDGRIWKERVLASMRRRGELAIAQDGVDVESNGSVTKPSLTSQCNLNRRFSPSLSAPEHHMLNLLEECKASGTI >A08p007790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5167426:5170087:-1 gene:A08p007790.1_BraROA transcript:A08p007790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLERFSFLVGWVRFSELPISLSGNPSVTSIDIGACHSAVLLSLLSPSPHSLLRCSDFAAFFAFKKATTSSVVEPDGQVDSAGDPLSPTLDGNSGDDSDKEDSADDPAEGNQGFVGSSLLTEDALRRMWKKCGFSQEIEAQVLLEKERPWSAPPGWVCLYSLYFLQSRLWFPLPRLLTSYAVKRDVAISQMSPAAIRNMVIALVLGAEVDVDVDVEFFKMISQMNFITDETFSVSIKARCRLMDGRGPSKVDGWQRKYFFIHISPASVLNSSAVFRTEWNPQPVAHRKHWPLPSWGNNRLQRVLGSGRISWGDFSVERFPGELVLVLPQLLGLRRGRETLRPVGGWERLRGIFMCTPAAVIKGSASGLSLVNPKGGTSSFPSIPTPIVTISAAPVVDPSTSVVHPSPDKAMGDRELVPVVDSSHLGVPASSLLAASSCPDVLASEEGSPKFEAENRDLRVEVECLKGDAAERDRHEKGLLSQKSALEMEVARLNESRTELIESERRRIESVMSARFGDFVKKVRKYLSDRDVVLPQVLDETQLLDVISCLKLFIEEGIPIPAEKLAENEQALLVQSAALDQMDVLDLELTDLPSFSLDEDLTVD >A02p041000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25880393:25881044:-1 gene:A02p041000.1_BraROA transcript:A02p041000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLKPILELLVLSLLSQKPLDTLFPTAFSFEKFQGCDVILRPDMRSTRQVMSISSELPSAFAVAQIVACQELPLTSTVFLNLNDMTKAHLEKIAVSILDLGFKIVATSGTSHFLELKGILIHLMLITSSGGDLDQKDGRQLRQMALAYKVLVITTVARALATAK >A05p026530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14319903:14329337:-1 gene:A05p026530.1_BraROA transcript:A05p026530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENRSNPRLAPTLLLGNHIALLAKACINFDEDRWKGLRRLTCKSSDVQYSTDDLLVSRTNRTEPLILQCTFKPNRIIYRPYIRRFPSILSNQSFSPPDISPPLSPSFSPPQKKWKYQNSPLKESKLGVFITFQELGFEWTSRLVHYMLGFQLDIKKKYEIWSLVGPEPVRFSLLEFENLTGLNFKYIEDLERPQCIFTKELTSFWGMLGEIIAALGRCEGWSRDDCKRFAYLAIFTGYIEGRKYSTPTRVSLARLVMELERFENYPWGRVAFKVWVYTALSELGATYGKPLPKIPCPPILAYKGGKGRRRFKEAILDQTRVINFVEKDIAEMFPKLEFDVEDTPADNIIKLMFVKKPWKWTLEHWEVTGTRVNTKPAVEDSARPRKKARKEAPAEAPAEAPAESSEEVHTVARSEMTATVGGLTKEDIKTMFKDVVDAMREGFGTCLKEIKYLSERVEAVEKKVGITTKRKGTGTSSQNRGTGTSSQNTTSPPKNTLEPGVSNDSSPNKRLTRQNTHWLLLFEMDLWLLLYKPILKRLSEDKGPDVPADDSSSKDKAPEPILVLLDKNQSTVSDLQKDDARYKDKRDAALALCRAKSDRTRRLAASQESPYTANRTAKVIIPNKKLFPGYNPFVPIDKKKLMELADWLKTYLHYRAALDKKPRTSRTWWYHILRTSLEWLENCHIDTWINVLRKMYHAKPQHFRSKKMCFVDHLFAQQWSFNFKDFKDSEPDQNGLGRRLPGGAWNYYAGTIPSFCQSNKVWGTDIDDIYAPVNYNDNHWIAMWISIPKRHIFVFDSICSSISPEELDVVMEPFLYMVPYLLVECASSDEQRAQYSLEPFTFERPDNIPPARAGDCGVYTIKYIECHALGIEFTKKDFAKANGKTIRDKMAVDIFQELPDVHEFLNKDNDANLGAYESSGMLDDLTISCRPIFEMTSLAHRVFSNHPEFQTKVTHQE >A02p034380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18651259:18654482:-1 gene:A02p034380.1_BraROA transcript:A02p034380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGLLLASPSSSSPSLLSGISNVSTRPFVLAFTVCSCGAFVSGCISGYSAPTQSGIMKDLNLSVADYSLFGSILTVGIILGALICGKLTDLVGRINTMWIINVFFISGWFSIAFAKVIWMLDMGRLLHGIGIGISAYLGPIYMTEITPRNLRGAVSSCSQLFAIVGSSLFYALGTVVGWRDLAILGAIPFLVIIPLLFFIPESPRWLAKVGREKEVKAVLLSLRGAESDISYEAQEILDYTEHVKQQEDGDSGFFKLFQRKYAFSLTIGVALIALPELGGHSGYSYYTDSIFTRTGVSSDFGFITTSLVQMLGGVLGTMLVDVSGRRSLLLENRWWERGTSILALISVLVYFASYGLAMSSIPWIVASEIYPVDVKGAAGTVCNLAGSISSWLVAYSFNFLLQWSSTGTFLMFATVTGLGFVFIAKLVPETKGKSLEEIQSLFTDPLKNSTP >A10p002280.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:1185611:1186138:1 gene:A10p002280.1_BraROA transcript:A10p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASATATLLKPTPLPQHKLIITASVSPSLPPPRRNNLLRRDLLSLSAASTLLLTQSLPFLAPSPASAAEDEEYVKDTSAVISKVRTTLSMERTDPNVADAVAELREVSNSWVAKYRKEKALLGKASFRDIYSALNAVSGHYVSFGPTAPIPAKRKARILEEMETAEKALSRGR >A09p011680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5930586:5933084:-1 gene:A09p011680.1_BraROA transcript:A09p011680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNRFRSTPMVDLKWKGILYEEDDEPILLFDEDDVHTIREYRMSLIGKVLNPKKQNVEKLIQYMPTQWKMQDRITANGLGNGKFLFNFASEEDLQAVLKQGPFHYNFCMFVLVRWEPIVHDDYPWIIPFWVEISGIPLHLWTVKNLKNIGRKLGHVDTIELEAGRLLIDVDTRKPLVFKKKVQSPDGEEVTITINGGNIQLLGTRNFDRRESALHSEVKALRWAMENMLQHSTCQNFGTDCKELIAMIKDLHAWPSFATELERIETLLICFPDFDIIHVPRARNQFSDFLAKTARSFHRKLHFIGCSVSVWLPSPPQV >A01p009080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4351376:4353835:1 gene:A01p009080.1_BraROA transcript:A01p009080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLTIDSLALRLPETATNKQVGGEVHPSATPCYCKLRIKHFPSQRALLPLSSISDASSPPDSSTSAPGFHLDADAIRRVSGKKISLRVSVYAGRTGHTCGVASGKLLGRVEVAVDLAAALSRTVAFHNGWKKLGGDGDKPSARLHLLVRAEPDPRFVFQFGGEPECSPVVYQIQGNIKQPVFSCKFSSDRNGRSRSLPSGFTYSSRGWITRTLSGDQWEKKQARERKGWMITIHDLSGSPVAAASMITPFVASPGSDRVSRSNPGAWLILRPHGTCVSSWKPWGRLEAWRERGAVDGLGYKFELVTNNSTSTGVPIAEGTMSTRQGGKFIIDRRVSGQGESPARSSPVKGFVMGSSVEGEGKVSKPVVHVGAQHVTCMADAALFVALSAAVDLSVDACQLFSRKLRKELCHDDQSSLS >A04p039350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22222637:22229017:1 gene:A04p039350.1_BraROA transcript:A04p039350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGKRSFDKKKSFGRADFPQGFLFGTASSAYQYEGAVKEGSRGESIWDTFARKYPERNCHSNADETIDFYHRYKEDIQRMKDINMDSFRFSISWVRILPHGKLSMGVNKEGIRFYNELIDELLANGITPLATLFHWDTPQALEEEYGGFLSENITMDFRDFANICFEEFGDRVKLWLTINEPWVYSVGGYDAGRKAPGRASKYMNDAATAGKSGHEAYIVSHNLLLSHAEAVEAFRNCHNCKDGKIGMAHCPLWYEPYDVTCDEDVEAAERAMEFMFGWHMNPTVHGDYPEVMKKIVGKRLPSFTESQSRKLKGSFDFIGINYYSSVYAKNVDEVDPDKPFWRSDQHVEWKKQNKAGKAIGAQGGVEWNLMYPQGLRKVLNYAKNKYGNPKFIITENGHCDAYEEKKPKLYELMDMKRTDYHKKHISNVHQAIYEDGVQVDGYFAWSLLDNCEWNCGYEIRYGLFYVDYENGLKRYPKMSAMWFKEFLKEKDDDKIKKPQVKRQAFPGQISLSLSLVSLNSIHRAGQMPRRPSGGRRFIKHQPLAFSPFMRSLALASRRKLHRHQQEEDSQRSEELMSFDQKLPTLSKKEQKEQLSDSSDEEDSQGDVQADFEFFDPKPTDFNGVKILLKNYLDDKEWDLSSFVDLVLEQTTVGTVVKVADDEDESVFAVVSALNLARYKEHKCFRELKEFLLQVCSEKSVAKDLGVMLEKKAHDVGILVSQRVMNLPPQLLPPLYDGLFDEVSWATEDEPTEELRGSFRFKWYLLLSSWSFTYPMRSQLVTSHEMKNYQLMGLVMAVEAKRIPEFRQMLNSLID >A08p003450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1938139:1943082:-1 gene:A08p003450.1_BraROA transcript:A08p003450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKNLVGSVAVPISHSLLYPDISKKRKVASDFSDTKGEDQSESFPSRSKIAAVLLPASAVFEQGQFVFTLHVMNAEKMHPNPGEMDERRKKPSVAAAVRAKIPQPRGSALGKINCQKLLTSQYILAKVFRKDGPSLGFQFDHLPPSSSSLDQQRVGRKRKVSEKHTSQDDCVVVKKKQYGIGKGLMTAWRVMNPNSHERATLAQSNPKKTKTQLASILKQKQKKKKKPTEKKRNSINTETTEELNKDETVGFSEKCELGEVFKETCQTMSILVDDEELERQEGLVNPPLTCSCHTATCGSCFLYKDLLPKFPPSSVGMRLPFALHPWNSSPESVKKLFKVVHFLYTYSVTLDICPFTIDEFTRAFHDKDSLLLGKIHLSLLKLLLLDVETELQRGSFSTLSISCKFLALLQSVESQILILDMWKDSLNSLTWAEILRQILVAAGFGSVKRAAGQSEELSKERRLMKKYGLRLGTLKGELFRMLNEQGGKNGLKISELANAAVEVAGLNLATASEEERERSICSTLASDITLFEKISASTYRARVNCFSEDHESDSGESGSVDDDESGDEMGRVSESFVFRKAKCRKRRKQVLEVCSEIDESHPGEAWLLGLMEGEYSDLSIEEKLDVFIALIDLLSSGSTIRMEDLPRAMVDCAPSIYSHGSGGKIKRASSSNHPRVSWVHGGERIEKLSKPSDSHPVDSSSIVGADFAKLAGDNVHPMQSVYLGSDRRFNRYWLFLGPCNANDPGHRCVYFESSEDGHWEVISHNEALRALLSVLDDRGRREARLIESLEKRESFLCQAMLRRIDHVVREDSSSSPVSDIDNNNLCLNEIANDQQAAIVFEKRGNSLLWSLVQEFDEWIWDKYYLNLNVVKHSRRSYLDSLTRCKSCHDLYWRDEKHCKICHATFELDIDLEERYAIHEATCSRKNEESSDSFPDHKVLSSQLQSLKAAVYAIESAMPEDALIGAWKKSAHRLWAKRLRRSSTLSEITQVIGDFVGAINEDWLWHFGEEDGEIITSFPSMPRTTSAIALWLVKLDTLIASYVVEKAPQPEGNQLNRTRKHKYDVTSLSSSSVGERISSSCSSHHGRGYVGGKFCTEKRCFVPALSDFLIKYMYIDILGSH >A08g505580.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:9336512:9336703:-1 gene:A08g505580.1_BraROA transcript:A08g505580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNAKVSAARGDATEEAVAEKSLFLERKKDKSDLFHNGKEDECDLSQAKEEVVEAFWYNTK >A03p005650.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:2369428:2369802:-1 gene:A03p005650.1_BraROA transcript:A03p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISLRKQNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGCNKDTKGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQHAKMSKKFDQKKNEEEVAKLQEKYGVSTKDK >A09p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2666078:2667442:-1 gene:A09p004800.1_BraROA transcript:A09p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLKKMKNNNINSTRSNMMKDNELFHVIHKVPCGDTPYVKAKHAQLIEKNPEMAIVWFWKAINTGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRPRCSKNSQDSLDNVLIDLYKKCGRMEEQVELLKRKLRQIYQGEAFNGKPTKTARSHGKKFQVTVQQEISRLLGNLGWAYMQQAKYLSAEAVYRKAQIVEPDANKSCNLAMCLIKQGRFEEGRAVLDDVLESRVSGSDDCKTRQRAVELLSELEALLPRGRDAEMEDVLGNMLDDDFVLGFEERTATSFKSKRLPIFEQISPFRNQLVC >A05p025670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12526421:12528168:1 gene:A05p025670.1_BraROA transcript:A05p025670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLVKINRRSNVSEFFSFVALPQRYSDQQQSQSQKLEFGIQYLMDGGTLNIKKWVVMYPVYINSKKSVAEGRRISLSKACENPNCIEISDCCKHLKLPSAVEIDKAYPRDFMQVGRVRVQLKREDGTLLNPASTSRKQLMQKIADLVPRHPERVKKQESQKVKKQELQATTLTAGTSSKSGKGGKKKR >A01p019770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9683729:9685137:-1 gene:A01p019770.1_BraROA transcript:A01p019770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIGGLQKAAAARDQYRLLGENVAKLRTDMMKEQLATFKSQLEEFARKHKNDIRKNPAFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIIEVCMLTRSLNGGLISLQELCSHLRQRRKKDREAVTEDDCLRAISKLKVLGSGFEVITIGKKKLVRSVPTELNKDHNQILELAQGQGFVTVEQVQRRLSWTSGRVIDALETLLEEGLAMIDNGIKDGKCQYWFPCVSSVYSFVGSDT >A04p033000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19209468:19209861:1 gene:A04p033000.1_BraROA transcript:A04p033000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSIGFMTVFFVSGSVVLLAAQLHKRLLSCYMQKLELQFDLKNKEKSKKKKDKKVSFAEDVTEPSGNNEEYRRKNKKSKSEDGGRSRRNSRNSEFV >A03p050610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21742566:21745179:1 gene:A03p050610.1_BraROA transcript:A03p050610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:Projected from Arabidopsis thaliana (AT5G61580) UniProtKB/TrEMBL;Acc:A0A178UCI3] MEASISLIGSTPKPNLSSLISPSSSVVLHPRLPLPALRLKKVSAFPRISHRNRLIRAQCSDGLRPEEDDGFVLEDVPHLTTFLPDLPSYPNPLKESQAYAIVKRTFVSSEDVVAQNIVVQKGSKRGVHFRRAGPRERVYFRSDEVKACIVTCGGLCPGINTVIREIVCGLNNMYGVSNILGIQGGYKGFYSKNTITLTPKVVNDIHKRGGTFLQTSRGGHDTAKIVDNIQDRGINQVYIIGGDGTQKGAEKIYQEVERRGLQVAVSGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMIATLANRDVDCCLIPESPFYLEGKGGLFEFIEQRLKENSHMVIVIAEGAGQDYVAQSMRASETKDASGNRLLLDVGLWLTQQIKDHFTNVRKMTINMKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYSGFTVGPVNSRHAYIPISRVTEVTNTVKLTDRMWARLLASTNQPSFLTGEGALQNVIDMETQDKIDNMKISSI >A03p052120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20217595:20218553:-1 gene:A03p052120.1_BraROA transcript:A03p052120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQCPSPFFFSGQNRTTTTSCSTAPPRASTELTASPSSLISRRLILLRHAHSSWDHLSLKDHDRPLTKTGQADAAKVAQILSSLGWLPQLILSSDATRTKETLKSMQAQVDGFMEANVHFIPSFYSIAAMDGQTADHLQHIISKYSSPDITTVMCMGHNKGWEEAASMLSGASVKLKTCNAALLLAFGNSWDDAFAMAGPGGWKLEGIVAPDSTICV >A04p015820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:9800245:9800484:1 gene:A04p015820.1_BraROA transcript:A04p015820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKFVHQKNEIVTSPLWKQSDDAGTYVMISDIYKRRGSCREEDEDEGERVKETSWLQLDSVLVSDACFCSWRLVSSLV >A06p000870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:78758:81808:1 gene:A06p000870.1_BraROA transcript:A06p000870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable GTP diphosphokinase RSH3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G54130) UniProtKB/Swiss-Prot;Acc:Q9SYH1] MVVATTIALYASPPSTVCSTPHQITASCDLDLNPRSSSSSSSTTIGGLSLLFKSSSPSSSSSASHPSLGDELPSIRHDRTEDHRTTLSSSFSYSPTKFIASSYLKRDHHQSPVSVLHGPVSSNNSFTGGGSLRVGSTRLFNGFIRKAVGSCVDYETDSVLVDEQLPFAMDDEGEERRQPYARNLLRRAQLKHKIFEDESVIKAFYEAEKAHRGQMRANGDPYLQHCVETAILLAEIGANATVVIAGILHDTLDDSFMSYDYILRTFGAGVADLVEGVSKLSQLSKLARENNTACKTVEADRLHTMFLAMADARAVLIKLADRLHNMVTLYALPPDKQQRFAKETLEIFAPLANRLGISSWKVELENLCFKHLHPDQHHEMSAMLEDSFDEAMITLAIEKMEQALKKEGISYHVVSGRHKSLYSIYCKMLKKKLSMDEIHDIHGLRLIVDNEKDCYKALGVVHKLWSEVPGKLKDYITHPKFNGYKSLHTVVMGDGTIPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQMVEWARWVVTWHCETMSKDICSSEPLCSFPSHAEDCPFSYKPNGNQEGPVYVIVIENDKMTVQEFPASSTVSDLLSRAGPGSSRWSMYSIPEKEELRPRLNQVPVSDLKCKLKMGDVVELTPAIPDESLTEYREEIQRMYDRGLAFSRPRRAAAGTMVGWGS >A06p047680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25303974:25305226:-1 gene:A06p047680.1_BraROA transcript:A06p047680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGNISKSLKELRILLCQSSPASASTRTFVEKNYKDLKTLNPKFPFLIRECSGIQPQMWARYDMGVERCVNLDGMNESQILKSLEDLVKAGGATKA >A05p013640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5931679:5933072:-1 gene:A05p013640.1_BraROA transcript:A05p013640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGMEFSPLITVLEGDFNMDNNASSTETDTLDVDTKLMSSKGKPPRHVHLTTTTTSRLQVAVTADLDVCKSPDERSDFLPVYRSGSCAEQGAKQFMEDEHICIDDLVDHLGASLDSSSLSAFYGVFDGHGGTDAALFVRKNILRFIVEDTYFPLCVKKAIKNAFLKADYQFADDSSLDISSGTTALTALIFGRRLIVANAGDCRAVLGRRGRAIELSKDHKPNCITEKTRIENLGGVVYDGYLNGQLSVARAIGDWHMKGPRGSACPLTPEPELQETDLSEDDEFLIMGCDGLWDVMSSQCAVTIARKALMIHNDPERCSRELVREALKRNTCDNLTVIVVCFSPDPPQRIEVRVQSRVRRSISAEGLNLLKGVLDGYP >A02g501410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4263406:4263701:1 gene:A02g501410.1_BraROA transcript:A02g501410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISSLILLRYYDDDAACVLRKMCLDAKAPHLSSTLPPTLPWKYFMLLDESTLPPTFIDSATHFILEVL >A09p081950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59502168:59504424:-1 gene:A09p081950.1_BraROA transcript:A09p081950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSELELRKSNLPAESDLELGLGLSLGGGAWRERGRILTAKDFPSVGSKRALLTLPPLTMEHLLLVQGVNFVGFVVSSQVVGWPPVGSHRMNSLVNKQAVKGEQGDGKKKVMKEDEPKDVSVKGSGFVKVNKDGVGIGRKVDVRAHSSYENLAQTLEEMFFGRTGTASVEKVKPLRLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFITSVKRLRIMGTSEASGLAQLRYIKEAEEIRLAMQPLDLIKRVREIEQEGTGGQETEQQKQNTAVDLSKRLKDFASSLKALEEWRKRKMERARQRDLEKTGGLSSSKTS >A05g505730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16058425:16059403:-1 gene:A05g505730.1_BraROA transcript:A05g505730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRYPPDKSVCNSPHPSSYSMIPMPLEDPLINNLNESHPISLLSLLRLYIYPNPTISSSANAFKHYGKSNGSRHRPGDSKLMFRLIHFWKLAIIPKEASLSEPKFPAGEFYQKFSKTCSRKFVSQKDMIAYHEHAGEVSNSHPPMLDIVSNEFWEQKIVRKSNGADIYRLHRNVKKHDRIDRWRKY >A09g502160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:7494717:7499225:1 gene:A09g502160.1_BraROA transcript:A09g502160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTARSLRSDRARTPLGRYVATEHAQSSSQLSTRYVATGKASEMSSFGFSCESSSPHFSFRLNRSPSLGSLLNPYRNAFRFVSIRVSVEILRRKQRPVRPQKGPSLGSLLNSHRNAFRFVSIGVSVEILRRKQRPVRPQKGPPLGSLLNPHRNAFRFVSIGVSVEILRRKQVGLFLACFYSLRSDLSDPQLATLRPFRLQRAPHLCSLLNPDRNAFRFVSIRVSVEILRQKQIRLTCIQIGRGQARVWQSDRIQAKLDRYAATKHAHSSVATRRPSMHTARLLRSDRASILLGRYVATEARAKLGRYVATVASVLLGRYVATEHPSCSVAT >A05p005650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2167329:2189466:1 gene:A05p005650.1_BraROA transcript:A05p005650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANCKRVSFLLALVMTVVVVTLCSSFVSGEKEVSDLKISTHLKRLNKPPLKSIKSPDGDVIDCVPITDQPALAHPLLINHTVQMSPSFNPESVFSESKVSSRTKNQQPNDITQLWHVNGKCPENTVSIRRTTKQDLYRASSVESFGMKSQKSILSSNLTTQLVSFHKMHAIMYVEDGVFYGAKAKINVWNPDVEMPNEFSLAQIWVLGGNFNSDLNSIEAGWQVSPQLYGDNHTRLFTYWTSDAYQGTGCYNLLCSGFVQINREIAMGGSISPLSSYGNSQYDITILIWKDPKEGHWWLQFGEKYIMGYWPASLFSYLSESASMIEWGGEVVNSQSEAGQHTTTQMGSGRFAEEGWGKASYFKNVQVVDGSNKLRNPENLQVFTDEENCYNVKSGNGGSWGSHFYYGGPVSLRTVYITMMRMASFTVALMVIAATIAIRVDGHEFSHHQEVKILRHLKRLNKPAVKSIKSEDGDVIDCVPITNQPAFDHPLLKHHTMQMRPSFIPESDSTYINEETKTINQVWHKAGECPDNTVPIRRTKKEDLLRPKSMKRFGRKPHHSIPRTTTFDPTKGHQYAIMGARNGKFYGTEVVINLWKPYVQVPDEFSLAQTWIVSGSGSSLNTIEAGWQVYPELYDDNRPRFFVYWTRDGYRRTGCYNLLCSGFVQTSNRYSVGGAYNIVSRYGGTQYDISILIWKDRKTGNWWLRVGKDIIGYWPGKLFTSLGNGATRVEWGGEIVNLKTGGKHTSTDMGSGHFADEGYKKASYVRNLKIVDGTNTLREPKSLYYFADKHNCYNVKTGNAGTSWGIHFFYGGPGRNVKMGNISSAQSSSPSLPIDSTFDLPSPLPSWPSGEGFAKGIIDLGGLEVFQVTKFNKVWTVYEGGQDNLGATFLEPSSLPEGFSLLGFYAQPNNHKLFGWTLVGKDISGDSLRPPVDYLLLWSGKSTKVENNKDQTGYFWQPVPPDGYSAVGLIVTTTAEKPPLDKIRCVRSDLTDQSEPDALIWESNGFGVSSSKPVNRGTQASSVCVGTFTSNPALACLKNNKFNFSCMPSKVQIDALFKTYAPLIHFHKDEKYLPSSVNWFFSNGALLYKKGEESNPVPVEPNGSNLPQGEANDGLYWLDLPVASDARKRVQAGDLQSMEVYLHIKPVFGGTLTDIAVWMFYPFNGPSRAKLKLATIPLGRIGEHIGDWEHFTLRISNFSGKLLRMYLSQHSKGSWIDPPEIEFQSGGNKPVAYASLNGHAMYSKPGLVLQGRDDVGIRNDTGKSEKVFDTAVRFRVVCAEYLKEVEEPAWLNYMRHWGPKIDYGREDEIKGVEKIVVGESLKSVFRSAMRPSFIPESTSTYTKNYTNDTQAWDKNEICPENTVSIRRIKKEDILRSGSIENFGKKMTPGIRLYGSSSIHEYAIMRAKKGKYFGTQFVVNIWKPKVQEPNEFSLAQTWLSSGVGAKLNTIEVGVQVYPGISGDKNLRLFVFWTADGYGKTGCYNIDCPGFVQTSNRITIGRAYKSVSKYEGVQHVLPILIWKDVDRWWLQVDKEVVGYWPDKIFSSLGKGATDIDWGGEIVNDKTGGKHTSTEMGSGHFAKEGFKKASYFKNLMIVDKSNTLIEPQGLYSDVTNENCYSIKKGHIAVWMFYPFNDPSRAKLKLATIPLGNFSGKLQRMYLSQHSKGSWIDPPEIEFQSGRNKPVAYASLNGHAMYSKPGLVLQGRDDVGIRNDTGKKFSDHQEIEVEKLLKLLNKPALKSIKSEDGDIIDCVPIHSQPAFDHPLLKNHTIQMRPSFIPESTSMYTKTNPTQVWHKNGRCPENTVPIRRTKKEDILRSKSHESFGKKTTSSVPEDNLSNNHEYAIMNSRRGKYFGTKFLVNVWKPEVQVRSNEFSLAQTWLSSGDGANINTIEAGLQVYPRIYGDNRTRLFVFWTADAYKTWCYNTQCPGFVQKSSLIIVGGAYNTVSQYDGVQYELHVLIWKSGENWWLRIGEELVGYWPGALFTSLGDGATRVQWGGEIINVKTDGKHTITDMGSGHFADEGVKKASYFRNIMTVDETNYLTEPQDIFPKTTNDNCYNIKAGDGGTAWGLNFFFGVNCSSRAKLKLKLVTIPLGKTGEHIGDWEHLTLRMSNLSGRLQRTYLSQHSRGSWINAPEMKFHGGRNKPVAYASLNGHAMYSKPGLVLQGKDGVGIRNDTGKSEKVFDTAVRFRVVSAEYLKEVEEPA >A06g508990.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:25577525:25577707:1 gene:A06g508990.1_BraROA transcript:A06g508990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTAYWKRVIKINDYQKNRFVSSMFSSTVSNKKIEVLGFAFKKDTGDTRETPAIVDEQV >A02p053100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32344085:32344650:1 gene:A02p053100.1_BraROA transcript:A02p053100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHHHHQVEEEEEEQEIKRQEDTSSRVFRCLFCSRKFQSSQALGGHQNAHKKERTAARRAKRAYDLVNNNDFLHTLPLFLSSPSPSPHQLAILSYPSSASVGCFPAPHPNHPIFRSNGAHVALSRYVKGEYFGQQLVQVLDHNYNVVTSENDQREKISTVPTFHIH >A06p002800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4966543:4972034:1 gene:A06p002800.1_BraROA transcript:A06p002800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLETSPENNDLLFSPSSTNLVILSVFTLHFHNEQTQIRNSEMDQSAPPPPPPKPSLPITIQSSRDLQCVGTMEIAAPKPIGFLCGSLPVLADNSFPTFTSALLPSHETVSAPRYQRIPPETDLNRPPLLTDFPEKMLPLAAVKSRITGDISKEANVISKKCEALAVTGLAEYGDEIDIIAPVDILKQIFKIPYSKARVSIAVQRVGQTLVLNPGPDVEEGEKLIRRHKNQPKCTKNVDESLFLNFAMHSVRVEACDCPPTHSPHTEGQSSSSALPAEENSRSAPDDRLDKAAGSGKQVKHDGLICKNEKSKKNKQVRKNTLISEKNRKIKPSGDSEKHKRCGSNEFLRVLFWQFHNFRMLLGSDLLLFSNEKYLAVSLHLWDVSEKVTPLTWLEAWLDNVMASVPELAICYHEKGVVQGYELLKTEDIFLLKGISEDGTPAFHPHFVQKNGHAVLRFLQSNCKEDPGVYWLYKSAGEDVIQLFDLSIISKSHSSGDENDNASTLPSMIYSGRSDSLFSLGNLLYRVGHRLSLSVVPNDLTKCASFLRKCLNFLDEPDHMVVRAYAHEQFARLILNNDEEVDLTFESNNVQREVKITDLEEESLDTVTVDHESEEVVFSEDKFTEDYSGSYKKLEADVPPCKKLLSSDSPDLHNAETCVSSDANLVLGPVCQAPAPLLETTTYLISSKLAAVHHVSQAIKSLRWTRQLQSSEQDGSFDETLPSPDFSKCSCGDPDCIEVCDIRKWLPTSKLDRKLWNLVLLLGESYLSLGEAYKEDGQLHQSLNTVELACSVYGSMPQKFEETLFVSSMNKSVVTTQVEDFEAELSSSARLFWAKVWMLVGDIYVQFHIVKGQELSKRPKVTSNSQLRMPSEVVKEVERLKKKLTEYSQNCVSCSLVNCSCKSDRASSGRSASSSSGSSGRTVSHNRKHNRKPKSKNVTSALSRNVEDEHVNVTFENKSHKEIETSAGAKEEAVSLDQNESSSKGVKKGGIFKYLKGSKSDDDDAESNLLAALNCYEETRRALQELPSGCNEFQSLNRKKGWVCNELGRNRLESKELNKAEDAFADAIVAFKEVNDHTNVILINCNLGHGRRALAEEIVAKMEALKLHPAFKNAYGQALETAKLEYSKSLSYYMAAKTEHSVATDLVQDNLKVEVYTQLAHTYLRLGMLLAKEDTTVAARGQNSILKTTHEVSASDAIREALALYESLEEIRKQEAAYAYLQLARYHKDCCLRILEKPDTNGIQRAKQYALLADRNWQRSMDFYGPENHPSMFLTILIERSALSFSLSNFWQSNTMLETALSCLLEGRHISETHAESLRTKDPELYSKFWAQSQMVLKRMLTLSIPAEGANKSQSSGKLRELYKTSLKSISLSDLNAMHALWTTRVN >A09g508690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24279326:24279933:1 gene:A09g508690.1_BraROA transcript:A09g508690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSVNSPLNIGNLPLCLLPASPHTSYCSFKTLVWIYLSLGLAIGLDNLLYSYGLLLLSASAYSLICGTQLIFNAVFSYLINSEKITFWTVMSVFFLTVSALVIALDDDSNSPSGGSKWIYGIGKRKLNQ >A06p007980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2762028:2762984:-1 gene:A06p007980.1_BraROA transcript:A06p007980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQNFKRTGSLEKSFEGTPKIGKPDRPLEGERPAGTKVSNMFGGTREKCVGCDKTVYPIEKVSVNGTLYHRSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNLSQLEGGGENAAKDKVVAA >A09p049240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43608564:43610817:-1 gene:A09p049240.1_BraROA transcript:A09p049240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRNLLNITIILCVCFSWDCSEGARAKQRKEIDSHTIQLNSLFPSPSSPCVLSARASNTKSSLHVTHRHGTCSRLTRGKSTSPDHAEILRLDQARVNSIHSKLSKKLLTDGVRQSQTTNLPAKHGSIYGSGNYVVTVGIGTPKQDQSLIFDTGSDLTWIQCKPCIPTCYSQKEPIFNPYKSSSYKNVLCLSAECRSLYSATGKPGTCSGLSCVYGIQYGDQSFSAGLLAKEKFTLTNSTVFDSVSFGCGENNQGLFAGAAGLLGLGRHKLSFPSQTATTYNKTFSYCLPSSPSDTGHLTFGSAGISSSVKFTPTSTVRAGASFYGLDIVGINVGGEKLEISPTVFSAPGAIIDSGTVVSRLPPEAYAALRAAFKEKMSQYPDTSAVSIFDTCFDLSGVKTVTVPTVVFAFRGGAVVELGGKGTLYAFNTSQVCLAFAGNKDDNDIAIFGNIQQQTLEVVYDGAGGLGFVIQFGCIKLVKKTRLREIPRFYR >A07g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21933348:21936597:-1 gene:A07g507950.1_BraROA transcript:A07g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase 19 [Source:Projected from Arabidopsis thaliana (AT1G61950) UniProtKB/Swiss-Prot;Acc:Q1PFH8] MACLCINFKKKPKKPIPVGNGQENTEFQSGESTKKQPLRQRQTAPRANIQIVVQPHKLPLPVTISHPQEQQKLMHQPEPISGKPFEDVKETYSLGRELGRGQFGITYLCTEISSGKNFACKSILKRKLIRTQDREDVRREIQIMQYLSGQPNIVEIKGAYEDRQSVHLVMELCEGGELFDKISKRGHYSEKAAAEIIRCVVKVVEICHFMGVIHRDLKPENFLLSGKDEASAMLKATDFGVSVFIEEGKVYKDIVGSAYYVAPEVLKRNYGKEIDIWSAGVILYILLCGTPPFWAETDKGIFEEILRGEIDFESKPWPSISESAKDLVMNMLKSDPKSRYTAAQVLEHPWIREGGEASDKPIDSAILSRMKQFRAMNKLKKLALKFIAQNLKEEELKGLKTMFENMDTDKSGTITYDELKTGLEKLGSRLTETEVKQILEDADVDGNGTIDYIEFISATMNRYRVEREEDLFKAFQHFDKDNSGFITRQELEMAMKEYNMGDDAMIMEIISDVDADNDGSINYQEFCNMMKSCSQSHQTKLGQPLPFNWVCFVCTNEMFSESLSAPEIVFPRSKGLS >A05p026320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13766054:13766415:-1 gene:A05p026320.1_BraROA transcript:A05p026320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPDQRITEEKSQTPAGSSSSVDLDLFFVYHQVKGHNTKEWKKLQEALLAAFNSCNANFEPPKPRPKTTKAGARTKKTTPRRPKAEPHMENPSRTTKGLPLRTETT >A07p000500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:666779:669434:1 gene:A07p000500.1_BraROA transcript:A07p000500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSNKALKPMDSEQLREYGHRMVDFIADYYKTIETFPVLSQVQPGYLHNLLPDSAPEQPETLEQVLDDVKEKILPGVTHWQSPSFFAYYPANSSVAGFLGEMLSAALNIVGFSWVSSPAATELEMIVLDWFAKLLNLPEQFLSRGNGGGVIQGTASEAILVVMIAARDKVLRSLGKKALEKLVVYSSDQTHSSLLKACQIAGIHLENCRMLKTDSSTNYALRPESLQEAVSGDLEAGLIPFFLCGTVGTTSSTAVDPLAELGMIAKSNEMWFHVDAAYAGSACICPEYRQYIDGVETADSFNMNAHKWFLTNFDCSLLWVKDRCALTEALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGAETLKSYIRNHIKLAKDLEQLVSQDPNFEVVTPRIFSLVCFRIVPVDNDEKTCNNLNRSLLDAVNSSGKLFISHTTLSGKFVLRLAIGAPLTEEKHVMDAWKVIQEEASFLLASQVK >A09p079600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58540424:58543208:1 gene:A09p079600.1_BraROA transcript:A09p079600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37b [Source:Projected from Arabidopsis thaliana (AT1G09080) UniProtKB/Swiss-Prot;Acc:Q8H1B3] MCLDGLIGNNTQLFFNWLIFDEYMCLWRLIFDDPDVQRDIKFLPYKVVNKDGKPYIQVKVIGEVKVFSPEEISAMILSKMKETAEAFLGKKIKDAVITVPAYFNDAQRQATKDAGAIAGLNVVRVINEPTGAAIAYGLDKKGGETNILVYDLGGGTFDVSILTIDNGVFEVLSTSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDHKALGKLRRECERAKRALSNQHQVRVEIESLFDGADFSEPLTKARFEELNMDLFKKTMEPVKKALKDAGLKKSEIDEIVLVGGSTRIPKVQEMLKDFFDGKEPNKGTNPDEAVAYGAAVQGGVLSGEGGEETQNILLLDVAPLSLGIETVGGVMTKVIPRNTAIPTKKSQVFSTYQDQQTTVSIKVYEGERSMTKDNRELGKFDLTGILPAPRGVAQIEVTFEVDANGILQVKAENKVAKTSQSITITNDKGRLTQEEIDEMIREAEEFAEEDRIVKEKIDAKNKLETYVYNMKSSLEKLAEKISYEDKAKMEVVLKEALEWLEENVNAEKDDYEEKLKEVESVCNPVIKSVYEKTSGESEEDEEVGDDELWSVH >A09p023900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13148940:13149266:-1 gene:A09p023900.1_BraROA transcript:A09p023900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRGRRVVEAAYVKIENAQKPDESRGQDVMLWKHNDYKDFFFSQRTWDQIRTRGPEVRWHSLVWFTQGVPRQSFIVWLAFRDRLSTRIRKQSWGITQGCMLCGEREK >A06p010460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3778141:3779202:1 gene:A06p010460.1_BraROA transcript:A06p010460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQVDKQAHLDHKFLMRIIIIMNDTLHLPQPTGYWPFQFPAENRTQFPAKTDVERAIKDKKKSYSEKSQNIVDYQ >A04g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12065325:12066163:-1 gene:A04g505780.1_BraROA transcript:A04g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDVRWVGAVNGRVVLVDGERLVSVDNHVLLSNDALRFPLRTEHSRRAGSEKRSVCSLLLLNCGSTSVFLDYLSPDLGPQLLLFGPEKVSIDSYYEVSIDTPFSRRSIQLMSCQSMNLPGSITHGFDVVRFN >A05p004590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1783097:1784968:1 gene:A05p004590.1_BraROA transcript:A05p004590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYLAKPYHQIMAEPSWEELLGQDNWQNLLEPLDHSLRRLILRAGDFCQATYDTFINDQNSIYCGASRYGKPSFFHKVMLDDARHYDVVSFLYATARVSDHEAFFLSSMSRESWDRETNWIGYIAVPSDERTAEIGRREIYVVFRGTTRNYEWVNVMGAKLTSVEELLMDGRDGPEVMLGWFTIYTTANPDSPFTKMSARSQLLTKIRELLELYKDEKPSIVLTGHSLGATIATLAALDLAENVTSGSSDVTPVTAIVFGSPRVGNREFLNRINRHDNVRILHVKNEIDLITRYPAKIMGYVNIGTKLKIDTRVSPYLKETHHPGDWHNLQATGWNGKNQKYEMKVNRKIALVNKSCALLKEECLVPECWWVEKNKGMLKNEDGDWVMATPDDEDMPMVEFD >A05g505900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:16957418:16960790:-1 gene:A05g505900.1_BraROA transcript:A05g505900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIDRVTPTSIDRDEPTSIDRRYECGNRAFDRYGARKFTWEQRDEYGVYRDERGHARGLAGERIPVTKDDIRKLLERASLFEECHICLPEHATSFTLTRLAPELYTKDEIDEMVFGIYGAQEKLGEELKSLVEDTHQPLDRGYNELFRSMTEMRTEIESLCQQLEKEATTSASIDAPHATSIDVISYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVLAMNERLRTYEDMHDRFISPVMIDLNKLSSQLLHAQKDIANITNQSFLQAKSAWINRLRGPWIDGKKPVELLPYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFPFDNKISGLDSHAEWLQKEVKAIQRQLAAQHQISASIDRTREKSLDGTSPRSTNKHIIASIDAESTPIGEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNDEATRNNHTTIDVTLTHRSISNPLISNTKPDITACLGAWYTWDQILQTGLEGYFTRADHLEVDERKNNRSMRISADDRYQEMPRRMNINIDRCTQVPSIDVETPDATFWIQQT >A07p020000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:11864398:11866066:1 gene:A07p020000.1_BraROA transcript:A07p020000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Agamous-like MADS-box protein AGL104 [Source:Projected from Arabidopsis thaliana (AT1G22130) UniProtKB/Swiss-Prot;Acc:Q9LM46] IEDVFARFINLPNQERENAVFPDQGRRPDIQSKEYLLRILQQLKTENDIALQITNPAAIKSDVEELEQEVCRLQQQLQMAEEELRRYEPDPVRFTSMEDYDVCEKQLLDTLTHVVQRRENLLSSHLPTYEASTMQQSIVGPFLNGVVEGWLPENGPNQTHLFDPSAHSNQLRELSSAMYEPLLQGSSSSSNQNNMSECHVTNNNGEMFTEWAQAYSSSALFASINQHGNVGPNMEEMMPVQQGEIPVVTTEAQQAEHEVAADYKTRVPQLSSQ >A02g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15794762:15795972:1 gene:A02g505010.1_BraROA transcript:A02g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLLNDLRAGRGSNTAEVRLLRLWEARNINKGGELMSLEKEKKPQKDANVEKKPQLSLVKEEEYKNIIGFHGENLGPKHHSFFSFLFVTQLPGQKLLEEHPQHFDRQDAYEYMVASGTKKQVASKRVITMEDTSKMNGESQEATQPTLINKPFVCVVSSGEKRPLTVPKGPNFHCIHVPKSCCTNRVALLV >A06p011350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4177522:4179689:1 gene:A06p011350.1_BraROA transcript:A06p011350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEELPSTSNKSTGAPSRPTLSLPPRPFSEMFFSGGVGFSPGPMTLVSNMFPDSDEFRSFSQLLSGVMPSPAAAASSSSLAASEEGDNNSSSGGDVDPRFKQSRPTGLMISQSPSTMFAVTPGLSPAMRLDSPSFLGLFSPLQGSYGMTHQQALAQVTAQAVQANANMQPQTEYPPSSQASSVQPQNPATAPDSSAPRETSDTTIIEHRSQQPLNVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTNPGCPVKKKVERSLDGQVTEIIYKGQHNHEPPQNTRRGNRDSNANLNGSSVNNKTTREQHEAAASQATTEQMSEASDSEEVGNGETGVRKKAEDEPDAKRRSTEVRVSEPAASPAVPVAASHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTQGCGVRKHVERAATDPKAVVTTYEGKHNHDLPASKSSSHAAAAAAQLRPENRLANLNQQQQPVARLRLKEEQII >A08p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12168423:12172639:-1 gene:A08p017510.1_BraROA transcript:A08p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPSYDPNDTEAGLQLLEDLTTNADAIQQQVLHQILSQNSETEYLRSFLDGESCNIQENFKNKAPVVNYEDIKPFIQRISDGDSSDILSAQPITELLTSSGTSGGKPKLMPSTAEELDRKTFFYNMLVPVMNKFVNGLDEGKGMYLLFIKPEIKTPSGLKARPVLTSYYKSEQFKNRPFNKYNVITSPNDAILCEDSQQSMYCQLLCGLVQRSHVLRLGAIFASAFLRAVKFLENHFTELCADIRTGTVASWITDSGCRDSALSILNGPNQELADEIEALFNAKSREGILKRIWPKTKYIEVIVTGSMAQYIPTLEFYSGGLPLVSVMYASSECFFGLNINPLCKPSNVSYTLLPNMAYFEFLPVDDKSHEETQLESRSTDDVSLTDKDRIVDLVSVEIGRYYELIITTFAGLYRYRVGDILKVTGFHNKAPQFSFVERRNVVLSIDTDKTSEEDLMKAVTQAKLNHLQGLLLTEYTSYADTSSIPGHYVLFWELKPRYDNDPPKLDKKMMEDCCSEVEDCLDYVYRRCRNKDRSIGALEIRVVSLGTFDALMDFSISQGSSVNQYKTPRCVKSGGALQILDSRVIGRFFTKRVPQWEPLGLDS >A03g505350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19113990:19115853:-1 gene:A03g505350.1_BraROA transcript:A03g505350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRSLRREDKGEASTIARRRSLRDKDKAEDTTEVEDVKESLPKRLFATSFPQRCCDAWLCKLRLCLIIIVDGVLVPTAQKPRPSLKHVNLVKNLKKFFAFQWGRESFMWAIRTMKPGPKEMGKCEDPNGEFCIRQNSIRLLGFPLALLLVAFEAIPLLLNRAGGDDSITLLNYPGQVLPQHAGLNMADLRKAKHDHGLLVQPMMEISGTHEEQWGVDYLLGLIKEGHGLSNWGGGDSENQFLCTVRKLTESEWGAVCGVTAGPVLKQRQLSGYFKCGIIVDAEEHARMAACVVTTTMGLHNFIRISNYFDEDFVEEMGHTNTSNEYSESDISDMETTNMADGDHMKNIRDNIADILWANH >A03p018250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7447820:7449377:1 gene:A03p018250.1_BraROA transcript:A03p018250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKHFFQPLLPGFHSHLTIPVAFFSKHIQGRNDQKTTAKLTSDAASEKTWEVKTEDGRRLTDGWKEFALAHDLRVGDILIFRQEKDMSFHVTLFGPSCCAIQYDSCLDDKNNIRKINSKKNNPKREAECSSSSDPSCFLANVMPSTLRYDSLNLPRSFVRANGLETSSGDIVLMNEKGRSWTLSLKQRLCGRSYITRGWRSFFSANGLKAGDFFTLKLIKRVGTLVLLHKSPSHRESKENEGSEADDEIESLSTESDSDEESNQDEKSLIKRRISIWKASSSPSQNSFVKLTLKPYNVIKCVLFLPKPFTDLHGITVGTKMSLMDKQGVKWSTKLRSEGKRIRMAGGWKDFFKANCVKTGESVKLKLIWEEDTSCVLKFCSKVKP >A02g511110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29467435:29467784:1 gene:A02g511110.1_BraROA transcript:A02g511110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVVRVIVIQKTLIEHAEKLRQVKAVLEEGGNFSGIYRKVQLKPLKWDGEGKE >A01p051530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28900188:28900968:1 gene:A01p051530.1_BraROA transcript:A01p051530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFRRPCETKAWQNRIRPAETMVKIHPDRKTLATGEETSSPYLTTEKESFTIWMKSLVFNTNGCTVFDSKGNIIYRVDNYNSKSCREVYLMDLHGRVLLTLRRQKFGLFKTWEGYRSPTGTAESATNLDYFRVKNNMFKIHSKDSSSSYRVTTGSCRNNEEYCYKMVTRGSSLGIEESCGRLVAEVKRKQSRNGLELGDDVLTMMVESQVDHCFIIGLILTHSLINCKL >A03p050400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21666308:21668738:-1 gene:A03p050400.1_BraROA transcript:A03p050400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNREESSGSSGSTTNNPNGEHEPPREINIRPFSSVPSSPRNASSKYDFVKVKVWLGDADHYYVLSRFLVCRMLTVTKIPNHVAVKIALELKKLLIDNSLLDVSQKDLETSLFMLMERRGYGKEYVNRYNMMTKFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLHTDMVYELLRTATDAPLTSTPVWTREFASSEELIAEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGRHLDPSIYLMTDENKSPSNDPDKSSSKETNSSGDVTSPEEAGSSNTKETEDDSATEEPHSQVEAMTTSVEEELSEKVTQCKIDAETVKETEERMKPVDGSGKAKSGPEPIVISIVLKMAEFDHKALLEEWISSRTCGDKYTSKEKERLITNLKTIEDYLCSFNSQGVTVVNISATTFPQTLDWLHNYLLQRIEEGIRSSENETPAKEVVLKKSG >A10p001780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:912644:912952:-1 gene:A10p001780.1_BraROA transcript:A10p001780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S1 [Source:Projected from Arabidopsis thaliana (AT1G03020) UniProtKB/Swiss-Prot;Acc:Q9SA68] MEKISNLLEDKPVVIFSKTSCCMSHTIKSLISGYGANSTVYELDEMSNGPEIERALVELGCKPTVPAVFIGQQLTGGASQLMSLQVRNQLGSLLRRAGAIWI >A08p002730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1507752:1510651:1 gene:A08p002730.1_BraROA transcript:A08p002730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLLHGRLHATIYEVDSLHGSEGGRSGFFGKILANVEETIGVGKGEPQLYATIDLEKARVGRTRKITNEPNNPKWHESFHIYCGHMAKHVIFTVKDDNPIGATLIGRAYIPVEDILHGEEVDRWVEILDTEKKPVEGSSKIHVKLQYFGVEKDKNWNRGIKSVKFPGVPYTFFSQRRGCKVSLYQDAHIPGNFVPKIPLAGGKYYEANRCWEDIFDAITNAKHLIYITGWSVYAEISLVRDSRRPKEGGDLTIGEILKKKASEGVKVILLVWDDRTSVDLLKQDGLMATHDEETENFFQGTDVNCVLCPRNPDDGGSIVQNLQVSTMFTHHQKIVVVDSEMPGESSRTKSRRIVSFIGGLDLCDGRYDTPFHSLFRTLDTVHHDDFHQPNFPGAAITKGGPREPWHDIHCRLEGAIAWDVLYNFEQRWNRQGGKDILVKIRDLDDIIIPPSPVLFSEDHDSWNVQLFRSIDGGAAAAFPDSPEAAAEAGLVSGKDNIIDRSIQDAYIHAIRRAKDFIYIENQYFLGSSFAWSADGIKPEEINALHLIPKELSLKIVSKIKAGERFKVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYKDVIKALREKGLEEDPRDYLTFFCLGNREVKKDGEYEPTEKPEPDSDYIRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPFHLSVRQPARGQIHGFRMSLWYEHLGMLDETFLDPSSQECVKKVNRVADKYWDLYSSESLEHDLPGHLLRYPIGIASQGDITELPGCECFPDTKARILGTKSDYMPPILTT >A07p000110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:868746:870118:1 gene:A07p000110.1_BraROA transcript:A07p000110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-B1 [Source:Projected from Arabidopsis thaliana (AT2G20750) UniProtKB/Swiss-Prot;Acc:Q9SKU2] MQLLSTLCFLFLQLLSRGDASASHSNRHRSAPHWLPATATWYGSPEGDGSSGGACGYGSLVDVKPFKARVGAVSPLLFKSGEGCGACYKVRCLDKTICSKRAVTIIVTDQSPSGPSARAKHTHFDLSGAAFGHLSIPGHSGIMRNRGLISVLYRQTACKYREKNIAFHVNAGSTAYWLSLLIEYEDGEGDIGSMHIRQAGSKEWIAMKHIWGANWCIVGGPLKGPLSVKLTTLSNNKTLSAANVIPKKWVPKATYTSRLNFSPVL >A02p005870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2513869:2514995:1 gene:A02p005870.1_BraROA transcript:A02p005870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSANLLISALTIFILVSASFATSETPFLVVHKKATLNRLKSGAERVLVSFDIYNQGSATAYDVTLTDKTWIKKTFELVNGNKSRTWERLDAGGIVSHSFELEAKVKGPFYGAPALVTFRVPTKAVLQQAYSTPILPLNILADIPPMDPLALVNFDFLKYCSFSHIRIVFVIDFYCCLVLCIGCCCINNLRPRVLAKYGSLVSVISMVVLFVYLIATPSKPNAAKAGSKKKR >A09p001830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:1349262:1352817:1 gene:A09p001830.1_BraROA transcript:A09p001830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPKPRRKAGPTTNGLDSAEKLDELLISSAICNGEDLGPFVRKTFGTGKPETLLHHLKLFSRSKESEIEEVCKAHYQDFIHAVDDLKSLLSDVESLKSALSDSNSKLQSVAAPLLSSLDSLVEAGTVSKNVDLAIGAVKHCVRVAEIASRANRHLQGGRFYMALKCVELIESELLEKTPSSTLKRMIEKRIPEIRGYVKRRVSKELGDWLVEIRVVCRNLGQLAIGEASSSRQREEEVRIKRKEAECVYTLNEEDIDGDEYESSDDDDAFDLTPLYRAYHIHQTLSLEDGFKQYYFDNRELQLKSDSQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLISKVEVEFLWDLAVTNMCAVLEDQFSRMQTANHLLLIKDYVSLLGVSLRRYGYTVDPLLEVLSKHRDKYHELLLSDCRKQMAEALSADKFEQMLMKKEYEYSMNVLSFQLQTSDIVPAFPYIAPFSTTVPDCCRIVRSFIEDSVSFMSHGGQLDFYDVVKKYLDRLLGEVLDEALLKLISSSVHVVSQAMQVAANMAVFERACDFFFRHAAQLSGVPLRMAERGRRHFPLTKSQNAAEETLSGLLKKKIDGFMILVENVNWTSDDVPQGGNEYMNEVIIYLETLVSTAQQILPAKVLRRVLRDVLAHISERIVGTLCGDLVKRLSMAAIKGLDVDIQLLDSFTEQLTSLLTEKEANEMKTAFVEIRQMINLLLSSHPEKFLNPVIRERSYHALDNRKVATVSEKLRRVEAKPKEQIVRHVDKEIERYTNHINRVKQAMSLNTILTWSLVFFLFLTVSDQKPTAYDAVKSYNLPPGILPKGVVDYELNRKTGDFKVYFNGTCDFSIQSYQLKYKSTISGVISAGQVKNLKGVSVKVLFFWVNIVEVSLDGGDLDFSVGIASASFPAVDFEESPQCGCGFDCNGLLFSS >A10p018490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:12933319:12935335:-1 gene:A10p018490.1_BraROA transcript:A10p018490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFKRRYLLFLLITLIDSPITCHSWGWFSSSGENTNPSSSRSIKSNTEFSIEVFSDKKAVQVLEDAKNKLAGPNSCWQNAYGYLLSGCKGMVATEEQRKRFAWHLSDCFQKESGRPDFPTCNDKQTMMSCLKKLDDHEHKIYLEFMLETNTICQQLQSHALKNEIERLVNDLKRSAQNTEEKLDILESKSDDILQGTSKIHESVGLIDVVVNNVAHKTNTIGTQMSGLSQQTRDIYQEQKSITESQLALKEGQEKMGEAMKVGMEMFNDSVTDVKVGVDKLKNDTKQIGGKISLLGEKMTEKMTALENQTSIIGTLTNSTLDNQQKLLEGQSVAIDSIQSLNQFQSEALQESRSTLQRFAEFSQEQQEDLAKRQEQLQQVHDHLFENSKSMLAAQEAFEAKQASMFVALDKLFALHNAMLLESRVIKAFFIYFLSIFVIYMFTSTKQTYTIRPRLYIGLCVTLALEVASLRYVNDEEHRAWVINVLRSLFAVLASAQLLHSAFTYRDYEVLNHNILLRLVDKVNSMQSKREILWDEDTDSEVDWNSWIDTDITDDDDSLGDPDYRIPEQIKDNVGFTSSMTKRLYNLRPR >A05g501170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4307963:4314684:-1 gene:A05g501170.1_BraROA transcript:A05g501170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELRMELSEIKKSRGTSFRSSSSSSNEHEHEAEAEHALQWAEIQRLPTFKRLRSSLVDEDGEAVKKGKKVADVTKLGAMERHLMIEKLIKHIENDNLKLLKKIRKRMDRVGVEFPSIEVRYEHLSVEAECEVVEGKALPTLWNSLKRVFLELVKLSGVRTREAKIRILNDVNGIINPGRLTLLLGPPGCGKTTLLKALSGNLDKNLKCSGEISYNGHQLKEFVPQKTSAYISQQDLHIAEMTVRETIDFSARCQGVASRTDIMMEVSKREKDGGIMPDPEVDAYMKAISVKGLKKSLQTDYILKVLGLDLCAETLVGNAMKRGISGGQKKRLTTAEMIVGPTKALFMDEITNGLDSSTAFQIVKSLQQLAHITDATVLVSLLQPAPESYDLFDDIVLMDKGKIVYHGPRHEVLSFFEECGFRCPERKGVADFLQEVISRKDQRQYWMHQDLPYRFVSVDTFSKRFKDLEIGRKLEEALSKPYDKSKPHKDALSSNAYSLPKWELFRACISREFLLMKRNYFVYLFKTFQLVLSAIITMTVFIRTRMGIDIVHGNSYMSCLFFGITVLLIDGIPEISMTVQRLAVFYKQKQLCFYPAWAYAIPATVLKVPLSFIESLVWTCLTYYVIGYTPEVSRFLRQFIMLFAVHFTSISMFRCIASIFQTGVASMAAGSFAILATFVFAGFAIPYSKTFEAVLFISILFQTDMPGWLKWGFWVNPVSYAEIGLSVNEFLAPRWQQVSLSSPTYPSLHPPKTNQLSGRTILESRGLNYDDYMYWVSLGALLGQTIIFNIIFTLALTPTTSRAMISQEKLLELQGTKDSPVKQNKSTETNEDSGKMVLPFKPLTITFQDLNYYVDVPAMRGQGYAEKKLQLLSNITGAFRPGVLTALMGISGAGKTTLLDVLAGRKTSGYIEGDIKISGYRKVQETFARVSGYCEQTDIHSPNITVEESLIYSAWLRLVPEIEPETKIRFVKQVLETIELEEIKDAMVGVAGQSGLSTEQRKRLTVAVELVANPSIIFMDEPTTGLDARAAAIVMRAVKNVAETGRTIVCTIHQPSIDIFEAFDELVLLKRGGRMIYTGPLGQHSSHVIKYFEVSVLHKTQHSIPGVTKIKDNYNPATWMLEVTSQSIETELNIDFAKIYNESDLYKSNSELAAELSKPDTGSTDLHFDRTFAQSWWGQFKSCLWKMSLSYWRSPSYNLMRIGHTLISSLIFGVLFWKQGQKIDTQQNLFTVLGAIYGLVLFLGVNNCTSALQYFETERNVMYRERFAGMYSAFAYALAQVVTEVPYIFIQSAEFVIVIYPMMGLYASAYKVFWSLYSMFCNLLCLNYLAMFLISVTPNFMVAAILQSLFFVAFNLFAGFLIPLPQIPKWWVWLYYLAPTSWTLNVFFSSQYGDIHETIDAFGESTTVARFLEDYFGFHHDRLIFSAIILIAFPIALASMFAFFVAKLNFQKR >A07p026570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:15193871:15194086:1 gene:A07p026570.1_BraROA transcript:A07p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQEIPERSKQQSSMTRSPREPPCDLNENGLPLRPHQTICPHYNRFGLCKLGPTCRFDHSTKPPSSDSKQ >SC105g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000012.1:330459:332176:-1 gene:SC105g500050.1_BraROA transcript:SC105g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIDQTERHHNLEAGQKLSMTGSKHDGDEARRKNPKLPKTLILVLWNSSRKPEAQREQKNISDLSRAESMSEGSRSCKSTEKLKVSQCMSSRRSVNHLISCLSAQARGVGTHGSSTCGLTHRRTRCRMWSTRSRRACNRSHASRHMGCHRPESDWLISYINRHAHLHISTHPDHFRSVQKRERKQEKFLFELRVVQGRPFKVWHVIEVICDRRLLEVFKKGFLLLGSWIMAGGRYRALSIACLISNARMLCGKKGYVSMSLRGLAGSLRQGRLLEYVPTRLGREGA >A01p014710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7108154:7110558:-1 gene:A01p014710.1_BraROA transcript:A01p014710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHCSTKTIVFVLALISIFFLSETEAQGRSPPRQPPAPRRPPPPRRPPPPRRPPPPPPFVCPPCVCPPPVFPPNIPPPEITPPTPEPEISPTKAPPPGTTPPEITPLEIQPPGVTPPETEPVTPPPGITPPETEPINPLPEIPQPEISPIDINPKIPPPGITPPETEPINPLPEIPQPEISPIDINPKIPQPGITPPETEPINPLPEIPQPEISPIDINPKIPPPGVTPPETEPITPLPEIPPPEIQPPEITPPEISPPETEPKTPPPEITPSQVPPPEITPPEIQPPKIEPPEITPPESPPPKISPPQIEPSEITPPEITPPEITPPEITPPEIPPPKISPPQIEPPEITSPEIPPPKISPPDTPPPSGTPPKQSPPLPPPNFQPPPPPLPTCPRNAAQQRACANVVRRYGNFLDFGRAQPCCSLIRDLSDREAAACLCGFVQPPASFAAHEDDTEAAETFQEPFELSSRSKHRLDCPDRANSSSSSCLDCAPTIVHSIH >A03g501490.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4425994:4426341:1 gene:A03g501490.1_BraROA transcript:A03g501490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNINTINNKYWHKFGFAAEVWISPRADLHSVAAWINQPRVNADTHATPVIKLYFQSAIYLLWKERNARVFTAVSSPSSVILASLDRMMRDRLLSYLESSSFSSSLLLFIFLV >A07g502120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4642046:4645352:1 gene:A07g502120.1_BraROA transcript:A07g502120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTKRVLRIPIEKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKHMITLTKKSDPGKFTIPCVVKGVEFPHSMCDTRASRTVINSVDYGKELGFIGACHCGAEYESEYKTEYSESIDTPTFPSIDSNVSMVTDDHNNTSLDVIHPLDHFASPNHCYQHFAFQPPTRRGHDDYSIGSWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSFQDERLETHKFTNTFPTSFDAVHSTSVDIHPRPAKQPLTSIDTHKGTSIDIRAAAKIQEQENIPYPTRFIDTNINQFAPPKPPTHIRANTQAKKMNTLPSTSTEKSMKSNHLKNKSSAEITLPSIVVTVSTTIDTTLNPNLSISKLNDYPNIDYAFLTPDEFGIFRDTDGNTRAMDGRILLVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNNHAGVATTEINPDLSRQPKGQASIDGTTETSIDRKVHLEQRDEYGVYRDECGHARGVAGEMIPVTKEDIRKLLERASLFEESHICLPEHATSFTLTRLAPELYTKDEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRSMAEMRTEIESLRQQLEKEATTSASIDAPHATSIDVSLPTAQIPAEPQCSTQHRDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDKHPATSIDMCTFTSLDAKVSEMNERLRTYEDMHDRFISPVITYLNKLSSHILDAQKDIENITNQSFLQAKSASIDRLRGLWIDGKKPVELLLYTAAEVDKITSKIYTALDTMEERLDKRCDDIYFSFDNKISGLDNHAEWLQKEVKAIQRQLAAQHQISASLDRTKAKSIDGNSPRSTNEHIIASIDAESIPTDEQLIHKTVESMQKELTDLSAYAYDNIGWHQVSIDNIQERLQNISNVLEQMDDKWTRNDEATRSFIASWSRMRREDVDACFPTSSCFSTQ >A07p002560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:3764368:3768526:1 gene:A07p002560.1_BraROA transcript:A07p002560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFSGWCDRGYIPSPRCGCCETNINDRKTNDSCRSSPGSSRWTWPVLITARRNICFDEAVESMEKNLEDLKIVAEQWLNQGTELVQQIPPNQLYAAIGVLLFTILFFFSIRLFRRTKSNTVLLSGLSGSGKTVLFYRLRDGSSHQGSVTSMEPNEGTFVLHTENTQKTKPVHLVDVPGHSRLRSKLEEYLPRAAAIVFVVDALEFLPNCRAASEYLYDILTNASVVKNKIPVLLCCNKTDKITAHTKEFIRKQMEKEIEKLRVSRSAVSTADIANDFTLGIEGEVFSFTHCLNKVTVAEASGLTGQTEQVQEFIREYVKP >A01p011650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5636920:5639295:1 gene:A01p011650.1_BraROA transcript:A01p011650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYKTPRFTIGRQSSMAPEKIPEPSIHSEEEVLEDGEEIDGGVRLMYLCNEGDVEGIKELLDSGIDANYRDIDDRTALHVAACQGLKDVVEILLDRGADVDPKDRWGSTPLADAIFYKNVDVIKILETHGAKHPMAPMHVKTPREVPEYEINPSELDFTQSKEITKGTYCMAMWRGIQVAVKKLDDKVLSDDDQVRKFHDELALLQRLRHPNIVQFLGAVTQSNPMMIVTEYLPRGDLRELLKRKVQLKPATAVRYALDIARGMSYLHEIKGDPIIHRDLEPSNILRDDTGHLKVADFGVSKLVTVKEDKPFTCLDTSCRYIAPEVFTSEEYDTKADVFSFALIVQEMIEGRMPFAEKEDSEASEAYASKERPLFKAPSKLYPHGLKTLIEECWQDKPAKRPTFREIIKRLESILHHMGHKRQWRMRPLTCFQNFEHKKKHNWDMSSHDGSSSGSHL >A02p006340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2682186:2684429:1 gene:A02p006340.1_BraROA transcript:A02p006340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G14780) UniProtKB/TrEMBL;Acc:A0A178UHK7] MMAMRRFTGAAIRACSSSSSSGYFARHLHASSGESKKIVGVFYKANEYASKNPNFLGCVENSLGIRNWLESQGHQYIVTDDKEGPDCELEKHIPDVHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHIDLQAAAAAGLTVAEVTGSNVVSVAEDELMRILILMRNFVPGYNQVVNGEWNVAGIAYRAYDLEGKTIGTVGAGRIGKLLLQRLKPFGCNLLYHDRLQMEPELEKEIGANYVENLNEMLPKCDVVVLNMPLTEKTRGMFNKEMIAKMKKGVLIVNNARGAIMDRQAVVEAMESGQIGGYSGDVWDPQPAPKDHPWRYMPNQAMTPHISGTTMDAQIRYAAGTKDMLEKYFKGEDFPAQNYIVKDGVIAPQYM >A05p043100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26037444:26038673:1 gene:A05p043100.1_BraROA transcript:A05p043100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQLSGSGLVASLSPTHIFSHKTKLSNPKSSFLRSKDNAPRTKTIRAISTAPTSQPPVAAEPDDEPPAVDFAFVHSVLLPDGTPDVHWRRACGGQKLRDIMLDTNIELYGPYSKPLSNCAGVGTCATCMVEIVNGKELLNPRTDIEKEKLKRKPKNWRLACQTNVGNPDSTGLVVIQQLPEWKAHEWNIPRNLPIDDPETST >A08p002130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:1218109:1219704:1 gene:A08p002130.1_BraROA transcript:A08p002130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFRSSASAKQSLLRRSFSSGSVPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIANTPGVAADVGHINTRSQVVGYMGDDNLAKALEGADLVIIPAGVPRKPGMTRDDLFNINAGIVKNLCSAIAKYCPHALVNMISNPVNSTVPIAAEIFKKAGMYDEKKLFGVTTLDVVRAKTFYAGKANVPVAEVNVPVIGGHAGVTILPLFSQATPQANLSGDVLTALTKRTQDGGTEVVEAKAGKGSATLSMAYAGALFADACLKGLNGVPDVVECSYVQSTITELPFFASKVRLGKNGVEEVLDLGPLSDFEKEGLEALKPELKSSIEKGVKFANQ >A06p022860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12006437:12007374:1 gene:A06p022860.1_BraROA transcript:A06p022860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) UniProtKB/Swiss-Prot;Acc:Q9STY0] MSLDTVDKLVVFLAKRDGIDKLVKTFQYVAKLACWHVEATRPDAAERFKKWEVASGLSRKAFRTGRSLTGFNALRRNPGATPVIRFLAVLANSGEMVYFFFDHFLWLSRIGTLDPKIAKKMSFISAFGESFGYVFFIIIDCIFIRQRLRSLKRLRTNYEPKEEVSGKVSEIQGDIVMRLMGISANVADLIIALAEIQPNPFCNHTVTLGISGLVSAWAGWYRNWPS >A09p018860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9953078:9956238:-1 gene:A09p018860.1_BraROA transcript:A09p018860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLILHGRRRFFDLQKWHHLRSAVQNASAFSSSAAIVSRKGHNFTVSTYLVKSLGFTTKLAESISRKVSFNEKVNPDSVLGLLRTHGFTDSQISSIITGYPQVLVADSETCLGPKLQFLKSRGASTSELTEVLSKVPKVLVSKKNKALSVYYDFVKDIIEADKSYKFKKLSPSSSLLSQGRKQENKIRNITVLRELGMPQKLLFSLLTSNAQLVSGKDKFEESLKKVLEMGFDPTTTTLSFVGALHMLCGLSDKTIKARVKVCKRLGFAVEEVWTIFKKWPIFLGLSEKNIADSVKTFLELGFSRDDFVMTVKRHPQRLGLSAELVKKKTEFLVKEMNWPLKAVVSHPQVLGYSMEKRMVPRCNVIKALMTKGLLESVFPSLSFVLACTNEMFLERYVMKHDDDEQVLAELMGIFTRDLRIMYSLILHVKRSTELQKLVFSAYSKPSFSSSATTKGQNFTVSTYLIESLGFTPKLADSISKKVSFNDKGINPDSVLSLFRSYGFTESQISTIITDYPKLLLLDAETSLAPKLKFLHSMKGASTSEFTEIVSTVPKLLGKRGDKTLSVYYDFVRDVIHKCQVLSHSSLPQGNLENKIRNVTALRELGVPQRSLFHLLVSRYQPVCGKERFQESLKKVVEKGFDPTTSKFVQALHVVYQLSDRTVEEKVDVYKRLGFSVEDVWDMFKKWPNSLKFSGKKVTQTFETLKQCGLIDEEVVALLKKNPQFLRVSEENIASSIETFLGLGFSKGEFAMMIKRYPVCIGFSAETVKKKTEFLVEEMDWSLTAVVSHPQVFSYSMEKRMVPRCNVIRALMSKGLLGSELPSVCSVLACTDKVFLRRYVRKHDDMGLVAELMAIFTRVHKVGLVQ >A03p063870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27783096:27784770:1 gene:A03p063870.1_BraROA transcript:A03p063870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAADSTTDAADDTTSADYYFDSYSHFGIHEVSILPRLVLYDYIFVRCLIGGLYLLQEMLKDVVRTKTYQNVIYQNKFLIKDKVVLDVGAGTGILSLFCAKAGAKHVYAVECSQMADMAKEIVKANGFSDVITVLKGKIEEIELPTPKVDVIISEWMGYFLLFENMLDSVLYARNKWLVDGGIVLPDKASLFLTAIEDSEYKEDKIEFWNSVYGFDMSCIKKKAMMEPLVDTVDQKQIVTDSRLLKTMDISKMSSGDASFTAPFKLVAQRNDYIHALVAYFDVSFTMCHKLLGFSTGPKSRATHWKQTVMYLEDVLTICEGETITGSMSVSYNKKNPRDVDIKLSYSLDGQHSKISRTQHYKMR >A10p037150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21011706:21014107:-1 gene:A10p037150.1_BraROA transcript:A10p037150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINMEIRDDYKFLRVEDAFKALHLNISLIGVIVELGFPTASDCSCTLRIIDPWHSRSGLSVKFIARTNRALPRVESIGDVIFLSSFGIRRSFLCQRNEQELTQNVDAAAAAGTCGNQTNSPIIVLVNRKITALCNETSSSFALFNGKHGEGFVPYQSSPKFRVREQDKSFLSNLREWMITYKFEDGSCCFTSLKDIKEGENWTCSGWRLWSFDIVSHYLLPFKIVHVSKDDKDRCYIFVWDGTEMPPCSFMVKSERLPLCVEPEMLPTHVLRKFPTFGSVLRIIVENVTEKQAIQCLQPGQHVKLLNLFFQVNMGLWSATFSPSTKMQYTMSRETQSFSPQRLKMCREKFSSRWNPITRCISSPSHSGITGVAHEDAPFVTLMDMLTYSNVTAKFRCVVRFIQVFPRDVRNFHDLNGKFKLLAILEDATARIHASLYADEGDKFFGCDPSDEEALIKKLNKLLGGDRISEAPRNPPWVQCCLFSYYRVKNDQWGSRRFRIFDTWINAK >A10p001680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:874534:874902:-1 gene:A10p001680.1_BraROA transcript:A10p001680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RALFL1 [Source:Projected from Arabidopsis thaliana (AT1G02900) UniProtKB/TrEMBL;Acc:A0A178WER1] MAKYFSLFPTLMIVSIFLIISSPLIQAGFTDDLKGLEWSSTGVHGSSGCHGSIADCIGTEEEEMDSEINRRILATTKYISYQALKRNSVPCSRRGASYYNCQNGAQANPYSRGCSAIARCRS >A09p057510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48479355:48481351:-1 gene:A09p057510.1_BraROA transcript:A09p057510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLENQSQTLDQENEIVTEGSAVVHGEPCQDGSVPPNVDTEVQVLDEKVSKQIIKEGHGSKPSKYSTCFLHYRAWTKHTQHKFEDTWQEQQPIELVLGKEKKEMAGLAIGVSSMKSGERALLHIGWELGYGKDGNFSFPNVPPMADLLYEVEVIGFDETKEGKARSDMTVEERIGAADRRKMDGNNLFKEEKLEEAMQQYEMAIAYMGDDFMFQLYGKYQDMALAVKNPCHLNMAACLIKLKRYDEAIGHCNIVLTEEEKNPKALFRRGKAKAELGQMDSAREDFRKAQKYAPDDNAIRRELRGIAEQEKAVYQKQKEMYKGMFVGREESGGKGKSRNWLIMLWQWLVSLFSRIFGLHRVKAD >A01g503570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11101999:11102527:1 gene:A01g503570.1_BraROA transcript:A01g503570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVVEAYTALASPIKFPGCGSFFSSVAPVKSPEHGGSYRSSDAGFCPLVRSLRAPVWRGESMGDSPAVVETKMRVRIARRRPTSVSLSWVYGL >A07p006650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1560647:1562536:1 gene:A07p006650.1_BraROA transcript:A07p006650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GITYAIATELPGGYRNLRRAFLLPLKQLCSLSMVLMVTAPPSYIRPPPDPPPLPCKPPPLEACSLIISPEPPDSTAALIRLLAPLHILEPSVSSLVPVVAVTPLSFFAMTKGLTRSVFVSFGVRVSTTCRFQSSPTFQIEPWFLFVETSLCSEGIFSVSSCNKPYMDEYYLVLGISCVKMNHLPLNEDVALSLNILLPLVKDVTSSLPLPQYEDLTLPQYEDVTLFYLLLVPQYEVRIRTFVLSALVSMVAEIDAFRNGGFGWYIHGMYVAHGSQCSILYVVSTFSVETLALQEALLAGSCAGLSKLQVFSDSNVFFSALHSGMGLNGIAGCLLDITNLATPLPSLAVAFAMYVFFRL >A03p010770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4318247:4319155:1 gene:A03p010770.1_BraROA transcript:A03p010770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNTQPWPRNKNIRPSVDSNDTIQRSPRSSGGFTTDKDIGSRRPPRHHQSVDSVDSTSSLYSIDSRRSKPPPPGTYHVQLPKDQIYRVPPPENAHRYEYLSRQKHNRSACRRCCCYSFATLLFLLLLAVLVVGVFFLVCRPHKPRFSVSGVSVAGINLTSPSPISPVFNIKVRCKNVNGKLGLIYEKGSVVEIFHEGIKLGDGEFAAFEQPAENVTMTVTKLRGSRIQLKSSSRKDLAESQKRRKVLFDVRVKAPIKFRVGVVTTWTMGLTVDCKITVDKLTSSATVITEDCVTQDISLL >A08p035140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:20768843:20774763:1 gene:A08p035140.1_BraROA transcript:A08p035140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSLSQHNLWPPESGSTAFRSFATAASIHACDHLRRHLRLGLRIRSSLQKLQQVSDAARTEFSRRVVLFNSASFLHSRDDIRSSLLSICFFNGGGESRIDPRGDEGSSSSNQEASKRNTSSGRRWTNVLLAVNVIMYIAQVASNGRVLTWGAKVNSLIDRGQLWRLATSSVLHANPMHLMINCYSLNSIGPTAESLGGPKRFLAVYLTSAVASSAMSYWLNKSPSVGASGAIFGLVGSVAVFVMRHKQMVRGGNEDLMQIAQVIVLNMTLGLVSRGIDNWGHIGGLLGGTAMAWLVGPQWKYEYTTRDGRRVFVDRAPMPLFLRQTKSRQTNQTMKLYMLWLVLVLAVQADAAKQRKKAKIPALIVFGDSIMDTGNNNNLSTFLKSNFPPYGKDFPGGLATGRFSDGKVPSDLIAEKLGLAKTLPAYLSPNLKPRNLLKGITFASGGTGYDPLTAETMSVISVGDQLIYFKEYISTIKRRYGKRKARHILNRGIFLVVSSSNDLAHTYIAQSHKYNPASYASFLAKSAVKFVRELHKLGARKIGVFSALPVGCVPLQRSVRGSVLTRECIKPLNNMAKKFNTRLSPALKSLDRELDGIIFYVDVYETFLDMIQNPKKYGFKVADRACCGTGFLEISYMCNSYNPFTCSNSSAYIFWDSYHPTERAYQVMVDKLFDKYFSKVNSLIDRGQLRRLATSSVFHANPMHLMVSFSSTIHPCNDPSQCCLLLSVWLNCFPLCVSINCYSLNSIGLTAESLGSPTRFLAVYFTSAIAKTIIRVLGSAMSYWLNKAPSVGASGAIFGLISPLGNHKPLFSYKLKFVGSVAVFVMRHKQMISGLLGGTAMAWLVGRHWKFEYTTRDGRRVFVDSVPAPLLLRW >A06p045830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24558533:24560831:-1 gene:A06p045830.1_BraROA transcript:A06p045830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGGVPEQIHRFIASPQPPPPLPPHQPAAERSLPFPVSFASFNTNHQAHMLNLDGPKITHHHHHHHHHDIKDSSATSEWMGHTDHDGDNHRHHHHHPWCSDEVLALLRFRSTVENWFPEFTWEHTSRKLAEVGFKRSPQECKEKVEEEERRYFNSNNNTNAHHMSNYNKGNYRLFSEVDEFYHHGHAGGEHVVSSEVGDNQNKTNSSLEGKGNVEETGQDLLEDKTDHQDQGQVDESSMRDKMNSIDTVGKVGNVEDDAKSSSSASLMMIMRDNKKRKRKKKKERFGVLKGFCEGLVSNVIAQQEEMHKKLLEDMVKKEEEKIAREEVWKKQEMERLNKELEIRAQEQAMASDRNTSIIKFISKFTDHFNHDDGNNKVQSPNPSQDSSSLVLSKPQGSRKCQTSSSLQTLTPQNPSLEPTSPKTLKTKTKNPKPPKGDEQGDIGKRWPRDEVLALINIRRSISSMNDDDHRKDDIRLPSPSSSTKAVPLWERISKKMLEVGYKRSAKRCKEKWENINKYFRKTKDVNKKRPLDSRTCPYFHQLTALYSQPSTGTTTTVTADTSVEELETRPKENRVGSGDSDIPTAMHVDGASEKSNEQFSGFDLAF >A07p050430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26884287:26886856:1 gene:A07p050430.1_BraROA transcript:A07p050430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQNVIVSDRKAILGSKTITVSNSPLFSSPPTYFTFPRHKFLELLEAADKNSNNINKNNLGAGKIASWVDSMRDSSPTRLRSSSRDSVSDNDHKTSWIVRFPSALNMFDKIVNAAKGKQIVMFLDYDGTLSPIVEDPDKAYITHEMREVVKNVALNFPTAIVTGRSIDKVRGFVKLNEIYYAGSHGMDIEGPTSEYAYGGESNQGVLFQPAREFVPMIEKVYKILEEKTKWIPGAMVENNKFCLSVHFRRVDEKRWTGLAEQVKSVLIDYPQLKLTQGRKVLEIRPTIKWDKGQALNFLLKSLGFEKSEDVVPVYIGDDRTDEDAFKVLRERGQGFGILVSKVPKETNASYSLQDPSQVNEFLRRLVEWKRKTVGEA >A06p014880.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6652583:6653683:-1 gene:A06p014880.1_BraROA transcript:A06p014880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKETNLSSLPDEMVIDFLAHISISYYPKLSLVSKRFNSLILSRELLFARYHLKTREHILQVCLKLPGRRLPSWFSLWIRPDQILTNDMEEDKSTTRNTLLVPIPSSYSPYVTDLSMGMVGSKHYIVKDYNVPPTPLPMWVRNQNESTHAWREAPRMKVARDNPMVAILDGKIYVVGGCKADETTNWAEVFDTNTQTWESLPDPGAELRSSLLKSTKVTDGKVYVRSNAKNEYYYYDPKEGKWGVVTEALQFERACLIENVWYYCGEEYFSWFDTKLQKWKMVKGLEVLNRNCCSGALAVTNYCGKLLIFWDKFEECENKNIWCSVITVERGGGGDDVWGHVEWASVVRIVPSSYVFLRCRQVWA >A06p001860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:826941:829084:1 gene:A06p001860.1_BraROA transcript:A06p001860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVVEIAPPLFPSGSRTRKPRKAVIPEVIDVEQYEFRNNNNNGVIDVKNKGKAIQDGSFYDHNLFDHVDIDDYSMFQAVLNSQDFPTDAEVFMSTTQPWGFPNSKGSRSSSTEAVWNTSMETPQSWDFFSGLAFPQTSSYSSVSSSTTKVLPQAPDIVMVPAPPPSRSFKLDRSGSSSKTLAAEVVPSAQADFLKSFKRFETVDDFSDHHYASKGKASKQHSKNWVKKVQADWQILENDLPETIFVRACETRMDLLRAVIIGAEGTPYHDGLFFFDIQFPDSYPSAPPKVHYHSGGLRINPNLYNCGKVCLSLLGTWTGNSREKWLPKESTMLQLLVSIQALILNQKPYFNEPGYEMTMGTPSGEAHSKVYSENVFILSLRTMVYSMRNPPKHFEEFVRSHYFVRALDIVKACNAYKDGAPVASIVKGGVQDIEETSESGSKKFRNDVAAFMKTVVDELVKLGVKELEEKPKPLPENNANAESIKGNRKRSRSVR >A08p037560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21904059:21905356:1 gene:A08p037560.1_BraROA transcript:A08p037560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILNEETLSSNPKSQVVIDDDNELGLMAVRLANAAAFPMVLKAALELGVFDTLYAASVFLSPSEIASRLPTTPRNPEAPALLDRMLRLLASYSVVKCGTVQAEKDQRVYKAEPICRFFLKNNIQDIGSLASQVIVNLDSVFLNTWAQLKDVVLEGGDAFGRAHGGMKLFDYMGTDERFSKLFNQTGFTIAVVKKALEVYQGFKDVDVLVDVGGGVGNTLGVVTSKYPNIKGINFDLTCALAQAPSYPGVEHVAGDMFVEVPKGDTMILKRILHDWTDEDCVKILKNCWKSLPENGKVVVIELVTPDSAEGGDINSNIAFDMDMLMFTQCSGGKERSRAEFEALAMESGFTHCKFVCQAYHCWIIEFCKENV >A08p016240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10564514:10564811:-1 gene:A08p016240.1_BraROA transcript:A08p016240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVSKQDYYKKPTLSRKQTNPSAAVAFPRRPVSFFWSLSPMKILGSTLSVAGKNQLVTEVPWSFSELREAESL >A09p074610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:56537645:56539160:-1 gene:A09p074610.1_BraROA transcript:A09p074610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGFSSHKMALCYWKPLSLLLLLQCLLSVSYASLGDADPNYRACVGECEISGCVGQLCFPQCNSSSNTGPWYTQEPLYLQWQKWGCQGDCRYHCMVNREKERETLGESPLKYHGKWPFKRLLRIQEPASVAFSVLNLAMHFHGWISFFITLYYKLPLREDKTAYYEYVGLWHIYGFLSMNSWFWSAVFHTRDVDITERLDYSSAIAVIGFSLIVSILRTFDVRVEAARVMVSAPVLAFVTTHILYINFYKLDYGWNMIVCVAMGVAQLLLWARWAVVSRHPSNWKLWVVVIASGLAMLLEIYDFPPYEGYFDAHSIWHAATIPLTVLWWSFIRDDAEFRTSSLLKKSKTKT >A05g504050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:11294193:11294711:1 gene:A05g504050.1_BraROA transcript:A05g504050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKVADGEDIQPCDNGAGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGIIVNNWDDMEKIWHHTFYNEFRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAPAVNLKT >A05p022680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10919095:10921491:-1 gene:A05p022680.1_BraROA transcript:A05p022680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCKWVSFVLIIHLLNSCQISSSSSLTSNGFSQIPPKFLALAKRDDFFDWMVGIRRKIHENPELGYEEVETSRLVRTELEKMGVSYKYPVAVTGVIGYVGTGQAPFVALRADMDALAMQEMVEWEHKSKVPGKMHACGHDAHTTMLLGAAKLLKEHQDELQGTVILVFQPAEEGGGGAKKIVEAGVLKDVSAIFGLHVTNQLSLGQVSSREGPLLAGSGFFEAKISGKGGHAALPQHAIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFEGGGAFNVIPDSVTIGGTFRAFSTKSFTQLKKRIEQVITRQASVHMCNATVDFLEEEKPFFPPTVNHKDLHMFFKNVSGDMLGTQNYVEMQPLMGSEDFSFYQQSMPGHFSFVGMQNEAHSPMASPHSPYFEVNEELLPYGASLHASMATRYLLDLKTSSPNKSYQKDEL >A09p064500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51943482:51947189:-1 gene:A09p064500.1_BraROA transcript:A09p064500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYISAAGIKRVTISSPGGAIGKGGGGCAAARRFPGRTLILFLLMLAIVLPFIFVRFAFLVLESASVCDSPLDCMGLRLFRGGDTSLKIREELTRALVEQTSQDGNGRGQKGSLESFDELVKEMTLKRRDIKTFASVTKKMLLQMERKVQSAKHHELLYWHLASHGVPKCLHCLSLRLTEEYSVNAMARTRLPPPESVSRLTDPSFHHVVILTDNVLAASVVISSTVQNAVNPDKFVFHIVTDKKTYTPMHAWFAINSALSPVVEVKGLHQYDWPQEVNVRVKEMLEIHRMIWRRHYQNLKDSDNSFIEVVQRDLSSLWETDLNGNVVGAVVDSWCGSNCCPGRKYKDYFNFSHPLISSNLLQDECAWLSGMNVFDLKAWRQTNITEAYSTWLRLSVSSGLQLWQPGALPPSLLAFKGLTQPLDPSWHVAGLGSRSVKPPEEILKSAAVLHFSGPAKPWLEISNPKVRSYWYTYVNSSNIFIRKCKIMN >A09g510010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:29026686:29028467:1 gene:A09g510010.1_BraROA transcript:A09g510010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYEEELTIPFFYGKIDTEAYLDWEKKMEFLFGLLYYTEKKKVCLAVNGLCGSAFSWWQRVSQTRRFEKKPQIISWVEMKTLMRKRFVSQWKLSKLKPEAATKLTILDQPAYWSELPLKPAERRSVVPVLSLKQEEEKQQASILASPIQQIEESHKTEPEPTTLCLKLEEEAEVAEAECGHVPDQIKQEEKVPGGSSKALELVLPTVEQGDNFKSLACELLIRPLVCLQLSLVEHLRVVKGLQQVVFEPGGSLCVVRRNKQILDQKATAYKLNLQGSFTLEKQDLWSNLFKGRENGMIKTICRENEGESGGNTSFIRFITDQNKLRRDKQELVVVKEKPELEDEYGDQTTRPPDQKDVKLRVHGLMLMTRTWIGSSWREAFNCKLNKVEKSSALEATCSEESSKMLLRHEEGLQSFVFHPGETREVMIYLMARSGTLELNYQGSVILQSLDLRTNPFKGGGDGVILIRIGINGLKPMYGSAIPLIMVQFNGQYHTLIGMIYEVLDREKRRTVGTALKQLEQRGFNQLSLTGAMETIHLIQICMENKENMSGSFWIGLYLDLGEIGLFRSKKRVAARILIHLLSSWPNRTWRI >A03p069600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30585756:30591597:1 gene:A03p069600.1_BraROA transcript:A03p069600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIKPLPEGVRHSMRSGIIMFDMARVVEELAFNSLDAGATKVSIFVGVVTCSVKVVDDGYGVSRDDLVLLGERYATSKFHDFTKVETASESFGFRGEALASISDISLLEITTKAVGRPNGYRKVMKGSKCLHLGIDDDRKDSGATVTVRDLFYNQPVRQKYMRSSPKKVLESITKCVFRIALVHSDVSFSVLDIESDEELFQANPSASAFSLLMRDAGTEALNSLCKVDVTDGMLNVSGYISGPRDSFKALQYILTDINSRFVSKGPIHKLLNNFAASFDCTDDWKITDGLQTGRRNRLQSNPGYILCITCPRHLYEFSFEPSKTNVEFKNWEPILTLVERIVLANWKKDEGLEICDGGADLLAKGDRQDLTDDMGRLEKDAEWPESMEPTKKKLKRSNDETPSSFLFPPSADFKRDDGYLSQGKEEWSPKYEFGMSIQNLKEQDTVAEFDRQTACLLQSRDTDMQKNEEFQQVTDFLETRLVSGAKCSKQFLTRCQTSTPLNVNHDFMKDADVLNFQFEEVEDELDVSNCIGKHLLHGCSSRESLALHEPKLSHVERSGSGMKFDDKRRNSLIHVLETREGGSYCDVPSDTLGFHGMTRTPVCSLGSSWQDTDWFNPQHALDSTSVGIGEDFNTNRIDIAEFCSYEDKFVKKRYSSSAYVGRSGPGSFRLSSECSTSPSATEWESDYQKGCQILEGSLELGRTPDPGYFFSAANDVKFDHEAIPPDCRKTSTDSFAGIQNFTESGEKIFPSLWGHADNVGIEQHSIKKDKFSYRYGSKNNVGKRRSRRSHSAPPFYQEKKRFVSLSCRADTESKNSDPSELDDLECLTQPCNASHILDDVSYDHLQEPEKSMSSASDLKASAGCSIVHSETRDEDGDEDLSSEENLDPVKSTTKWRHNCPVPQVAKESHELHDEDSVLDICSGLLHLRSDESLVPESINRQSLEDAKVLQQVDKKYIPIVTCGTVAIVDQHAADERIRLEELRKKVLAGEARTVTYLSANQELVLPEIGYQLLQSYSDQIREWGWICSINVEGSTSFKKSMSIIQRKPTPITLNAVPCILGVNLSDVDLLEFLQQLADTDGSSTIPPSVLRVLNSKACRGAIMFGDSLLPSECSLIIEGLKQTSLCFQCAHGRPTTVPLVDLKALHKQITKFEPRQPWHGFERREITLDRAKSRVDEAKS >A04g507620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19120819:19121297:1 gene:A04g507620.1_BraROA transcript:A04g507620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPFLRDHKSEAKLCRKFPKVENPSRRAPSPSLLRRLSLSSLSPARRSLSLSSPSPSLSLPSHALSLSPSRRRREVVVLTRSQPSQSSFPDPDPDLRLRLIVHGIARMIDEWIMMHKNPHIDKWDLMN >A01p052550.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:29493726:29494037:1 gene:A01p052550.1_BraROA transcript:A01p052550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFREKLLKFKFHIVFAFLFSLLIAALVTFSPGFLTVLSYFWPLFLSTALFLAAVFFFARTSDLQTSSTISSDGSGVKLAAEGILYYVVGGQQEETDLLDSFN >A03p017690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7205556:7212876:-1 gene:A03p017690.1_BraROA transcript:A03p017690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEEQQQKRDDSRFNQTLENNVQGFLKGRSVPGEAFLTRSPDPPMSPTSYHRSFSENDAGRNDHLENPHEEEVEDQSSSKKHDNTYAGKLRSSSSGEMMVKQVIGVRSSDYGRVIKFNKVLSDTTVILGSLSLKFDKNLRELAWNGVPHYMRPDVWRLLLGYAPPNSDRREAVLRRKRLEYLESVGQFYDLPDSERSDDEINMLRQIALDCPRTVPDVSFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVIFLSEYLEGGVESWSMSDLSSEKISDVEADCYWCLTKLLDEEESDRRGSISSSHLGKQWFEFPGMVKFAGYLVHGFLLFIIWLSSFQEVASQYKFNDHSSKTTTFELANPPGTGVSGPIQVSPSVIPKYESPPLPWTPPMYPTFPDTYQPKLTGKCPADFQAISAVIDTAASDCSQPFAALVGNVICCPQFVSLLHIFQGQHNLKKSEKLVVPDSVAADCFSDLVSILVSKRANMTIPELCSVTSSNLTGGSCPVQDVAAFEKAVNGSRLVDACRTVDPLKECCRPVCQGAIMEAALAISSGGSNNNVNALNDCKNVVFSYVSRKLPADKANAAFRILSSCKVNKACPLELKEPTEVVKACRNAAAPSPSCCSSLNLYISGIRNQMLITNKQAIVCATVIGSMLRKGGVMTDIYKLCDVDLKDFSVQAYGMQQGCLLRSYPADLIFDNTTGYSFTCDLTDNIAAPWPSSSSVSSLSLCAPEMSLPALPTSQQTHINHGFHDEAFGALRLIIILVSVVYGAIFVKIGSGTNC >A09p023240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12771967:12775925:-1 gene:A09p023240.1_BraROA transcript:A09p023240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2AF35B [Source:Projected from Arabidopsis thaliana (AT5G42820) UniProtKB/TrEMBL;Acc:A0A178UM74] MHTNAADSEVTSLSASSPTRSPRRPAYFVQSPSRDSHDGEKTATSFHSTPVLSPMGSPPHSHSSSSRFSKINGSKRKQHAGDRKFARIEEEGLLHDGDREEKGLPRRCYVLAFVVGFSLLFAFFSLILYAAAKPQKPKILVKSITFEQLKVQAGQDAGGIGTDMITMNATLRMMYRNTGTFFGVHVTSSPIDLSFSQITIGSGTIKKFYQSRKSQRTVIVNMIGDKTPLYGSGSTLVPPPPPAPIPIKSKKKKGPIVIVEPPAPPAPVPMRLNFTVRSHAYVLGKLHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDPQGQPLDPSKIQAHFEDFYEDIFEELDKFGEMESLNVCDNLADHMIGNVYVLFKEEDQAAAALKALQGRFYSGRPIIADFSPVTDFREATCRQYEEDNCNRGGYCNFMHVKQISRELRRKLFGRYRRSYRRGSRSRSRSVSPRRKRERGDVRERDRDRDRHGTGKRSSDRSERHDRDGGGGGRRRHGSPRRSRSPVVVREGSEERRARIEQWNKERDEG >A03p061960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26920495:26923102:-1 gene:A03p061960.1_BraROA transcript:A03p061960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSILGMDNQEVVDIEAQIENNNDDQTLPFSVFKKANLPITLKFENVVYTVKVKEPKSWLGQTKGKTEDKTILKGVNGIVKPREILAMLGPSGSGKTSLLTALGGRVSEGKGKLTGNITYNNKPFSKGVKRATGFVTQDDALYPHLTVTETLVFTALLRLPNSFKKQDKIKQAEAVVTELGLDRCKNTIIGGPFLRGVSGGERKRVSIGQEILINPSLLFLDEPTSGLDSTTAQRIVSVLWELARGGRTVVTTIHQPSSRLFYMFDKILLLSEGNPIYFGLGSNAMDYFASVGYSPSVERVNPSDFLLDIANGIGSDESQRPEALKTALVAVYKTNLLGNVINDLKGQDDQYNQSVESLGDSKRFGDWPTTWWQQFCVLSKRGLKQRRHDSFSGLKIGQVLFVSFLCGLLWWKTDLAHLQDQLGLLFFMSSFWAFFPLFQQIFTFPQQRKMLEKERSSGMYRLSSYFMSRVVGDLPMELILPTIFLIITYWMAGLNPKPVNFFLTLLILLLHVLVSGGLGLALGALVMDQKTATTLGSVIMLTFLLAGGFYVRNVPVFISWIKYVSIGYYTYKLVILGQYTESEWYPCGENGKLKCYVGDFEGIKHIGFHGGLVSAFALALMLVGYRVVAYIALMRIGKTKSG >A05p027340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000031.1:15103:22426:1 gene:A05p027340.1_BraROA transcript:A05p027340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSLFRVFTGSGTFRRNMVILESFGAFGGAKLHRRVRCLAMDGDLPTCHRSQVNQHLVAEVMPVLLKSGQSASQEEAAEKRKPCRSMQHSARRSMEIPDRGPSIVDGYAFYTLSFLLFLLGEKEELLSECSWNSIGFLNHFYFSFTSISSMIFKTMKKGFLDPSRKESAGLCTIRKPKREVSIDTLQATSIDSVSQASNDTIHRGTIHPGTVHHVTVHPGTVHCNTIHRDTIHRDTIYLPSIDTVHPVSVDTIHVPSIDTVHPVSVDTIYIPSIDTVHPVSVDTIHVPSIDTVHLVSVDTVNISSIDTVHILSLDTVHPNTVHPNTVHYDTVHPNTVHQSTIHHGTVPPMTNTTYGETEKVEALIFKIDKKVNGMTGGSEDPFYGLPHEDPKDLIKKLEEDAFRWFSKLQPRSLTCWEDIKEAFIGKFFSEAVATQSKRLDYMIKEREKGIMISMSQILDFIYSEENGDIGTPTTHVKQPNIQVHHADEREQSKVEEADTKDPTSASIDSSNSESIGICTSETIDKNICHRSIPSTIPDATTVSASRRSALQNTVLELHPAYISLVGQHSFHGFPHEDPTSHLETFIDLASTIKCNGVPEDYYLCKLFPYSLDGDATHWLKKLPPGSLNAWNDIANAFVNKFLYDAAASLEIEIRSMMEYMVEDDEQHELRTDRYSNLNIDRHPNRNIDRPQPSNIDRHKLLLSIELKSIDVSSYYPDQKVEKEITMEYFLEVEAFLELEDGQQLGDLDSSEEVTMEDFLELEEWLGDLDQNPKQKFDDQHTSGRGLKISPKANDIDRHQPDEIDRYTPCIIDQHPPDSIDRHPCLDELSGYPIEPGPIEEIMHMSKTSHIDIMVPCAVFEVESPIPPDKGVYLSSYIEVLNDQHHVEASQRGLRFRIEVDEVPAEAPSSDMSKSELIDTNTSSSIDTDQIPSIDTRCESEQNEYELCGNIFYGDTTTHSDKSGGKKKSRVRLHKSVGKKGRNWKKRKRTKGGSQLLLTPYFTDSIRKPRVHSRCFSQPFAKLKALLITEMIYKGEGKRTRSKEDEIAGDSMMATTSTDDTSSVSIDSASYPTIDFLFIVSNDCSSHRPMRPCHYQSTALHQHRSIVYSLCPIDIDVEQIVLKILKWINLSTMFTLAEIRDCPSVLLEDKQNGLGTFRRNMVILESFGAFGGAELHKRVICLAMDGDLPTVRLSPYFDTRYSFELGFQFYRSQVNQHLVAEVMPVLLKSGQSASREEAAEKRKPCRSMQHSARRSMEISDRGPSIVDGYAFYTLSFPLFLLGEKGGTPIRLLL >A07p013750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:7324294:7324512:-1 gene:A07p013750.1_BraROA transcript:A07p013750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVVISLPLIFFCLLLGFGCYFLGKTRGRREIQTNPQVYGAPAPPPGATISAASSPPLFPHAKPDSLHNV >A04g502790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6365404:6371421:-1 gene:A04g502790.1_BraROA transcript:A04g502790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding ACGFDISYFLFLFDILRYSRQKKTIGDFCAFGLCMTSRHTRRNAQGELVTFTNQELARLERTNRQQPRQTDTTMGDHANQDDLAAAMALMQQQMQQMQQTIQAQQDAAEQAALAQQEQQAQTNQNKRQPQSNQQAVPANENSHPDELQGLGMMMQQLLQGQQVQAKVLNQVTTEIDTRMGNMFTELNNKYDNLAIHMRKIDVQLAQTAESVKRQQETLPGRTDKNPRTEHCNAVEQPFAETILVAEENTEQSASSGVIAPSEPAETPPSRVYVPKVPYPIPPRHLMDPISEEQLIGFNKMVRRLPKELAFEDALQIRPLLKFFKNCRETQEEIKVLYTKALSTPALKLKVVPEKEHGDKGESRLFSDEDPSTDPTKFRGNSRVKQKVQKKRIKGDPTMSLIPLKCDENSIEYEVKCKGTSKPFSKVRAILIHELKEKGEAAVKGGGEPEWSRNQNHQWHSERSCVTSFFVTSPYQSSKKKKKKKKKKKKKNEINVMEKGKKEKKHGATGKVEQEVGLELHWMGDGPAGTKEAENNAIWWFSRRTVLMTVPDSGATRPPVALIYHIFCFSLTFYAILDRRKPLETFVLLDCKGRRLHLSHHREDYPEPSFYLFYLH >A10p015910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:3367585:3369461:1 gene:A10p015910.1_BraROA transcript:A10p015910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLRLSVGILGNGASLLLYTAPVLTFSRVFKKKSTEEFSCLPYVMTLFNCLIYTWYGLPIVSHCWENFPLVSINGVGIVLESIFIFVYFCYASPKEKIKVGVLFVPLIVVFGLTTAISAVVFEDHRHRKSFVGSVGLVASISMYGSPLIVMLPNMVGTPLGILQLIIYFKYKNKKEAPITTTVVGKWDHEKNKSKLKLVVDIDHDADADDNEKKFMNAC >A02g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2216293:2216875:-1 gene:A02g500730.1_BraROA transcript:A02g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNASVESRMIGAGRGLRIYFFITIDYCETMVGDFGLAKLLDHQDSHITTAVRGTVCHIPPEYLSTDQSSEKNDVFRFGVLLLELKREKKFLSLIKRLTIKVLCLIELKRYIKRRNLRCLWSSFIVHTVFFLDIDQKGQKFECSQKMDLPRDEKLL >A02p007390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3116979:3117421:1 gene:A02p007390.1_BraROA transcript:A02p007390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNFTEKPYWPLLFGKVDVVRVKSTMKMLRKKTVKNKEIWIKYACLALLLFVLLSKNLEMKIMKEHAEAIEDLDDFFSFPWARLAFDILMSSIKEIDEVEVRSIIPQDSSRPIDEDTLIWV >A05p007660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3087281:3089842:1 gene:A05p007660.1_BraROA transcript:A05p007660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFPNPNSNAGLKKLDEHLLTRYYITGHKASKDDITVYAALSKHPPSQYVNVSRWYNHIETLLGISGISSQGSGVSIDGLVSTSEHAVADSNDGVAVVDNDKDVDQDVDLIGEDTQKEKKSAEERATSTKKKICWESVLIVIIPQDCQINMKKLEERLRTIQMEGLIWGASKLVHVGYGVELLRIIATMPRNEKIDVFDVLVGDYISFLGRFNVATAGLHQSLVLINPNDEETDMKKLEETVRSIQVAGLFWGASKLVSIGYGIKLLGIECTAVGHLAHLGRRVGFNTIFKEKIMDHPYVQSCQTLSLNIICNGITEEESDSKDNAADDDDLNLFGEESAAAGKSGLVLRKLFGDNPDIKKTEETVRSGQMEGVVWGASKIYNVGYGFKYMRTIFTIVDDHLSLDTVTRNTGGIPLNRICKRQKPFLNSLKLLM >A06g505810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:16715544:16719793:-1 gene:A06g505810.1_BraROA transcript:A06g505810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTHRTFVAVRQHTQDVCGCSCVSVGTHRTSVAVHQYTYQHAGPWTQHAGPSRGLFGTHKTSVAVCSCPSEHTGRPCLSVAVRVCPCVFVCVCQHTQDVRGCPSVHISARWSLDSSTLALRVDCSGDFDPRGLSVQYIPDVRGCQSSHTGRWCVYVSTNRTSVAVRVCPSVPVSTQMTPVAVHQYTYQDVGPWTQHADPSCALFGTSVGVRQHTQDVCGCPSEHTGCPWLSVAVRVCPLAHTGRPWLSFSTHISTMVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPLLSVSTHRTSVGVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCLGDFGPRGLSFQYTQDLCGCPSAHTERPWLSVCVRVFLSAHTGCLWVSASTQRMFMAICGCPSAHMGRLWLSVCVCVCLSAHTGRLWLSISTHISTLVLGLQHAGPSRGLFGTSVCVRQYKQDFRGCPSVSVSTHVTSVAVHQYTYQHVDPWTQHADPSRALFGTHKTSVGVRQHTQDVCGCPSEHTGCPWLSVCVCVCPLAHTGRPWLSFSTHISLMVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRSLLSVSTHRTSVGVRVCLSAHTGRPWLSISTHISTLVLGLSTLALPVDCSGRPCVSASTHKTSVTVCSCPSEHTGRPWLSVAVRVCPCVFVCVCQHTQDVRGCPSVHISARWSLDSSTLALRVDCSGDFDPRGLSVQYIPDVRGCQSSHTGRWCVYVSTNRTSVAVRVCPSVPVSTHMTPVAVHQYTYQHVGPWTQHADPSRALFGTSVGVRQHTQDVCGCPSEHTGCPWLSVCVCVCPLAHTGRPWLSFSTHISLMVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCLPAHTGRSWLSVSTHRTSVGVRVCLSAHTGRPWLSISTHISTLVLGLSTLALPVDCSGDFGPRRLSVQYKKDVHVCPPAHTRRLWLSVAVLQNTQDVRACLWLSVCVRVYSCVSVSTHRTSVAVHQYTYQHAGPWTPARWPFAWTVRYIPDVRGCQSSHTGRWCVYVSTNRTSVAVRVCPSVPVSTQMTPVAVHQYTYQDVGPWTQHADPSCALFGTSVGVRQHTQDVCGCPSEHTGCPWLSVAVRVCPLAHTGRPWLSFSTHISTMVLGLSTLALPVDCLGDFGPRGLSVQYTQDVRGCPPAHTGRPLLSVSTHRTSVGVRVCPSAHTGRPWLSISTHISTLVLGLSTLALPVDCSGDFGPHRLSVQYTKDVHVYKCV >A04p015700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9726506:9729194:1 gene:A04p015700.1_BraROA transcript:A04p015700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase 2, mitochondrial [Source:Projected from Arabidopsis thaliana (AT5G38710) UniProtKB/Swiss-Prot;Acc:Q6NKX1] MANRFLRPHLIHRFSTLSPVGPPSTVVPEILSFDQPKTDVDLDLSDQARLFASVPISDLVRSTAVLHATAIGPMVDFGSWVMSSKLMDATITRDLVLRFVKGTFYDHFCAGEDAAAAARRVRSVYESRGLKGMLVYGVEHAEDGGECDNNIKKFIETVDAAKTLPTSHLSSVVVKITAICPMSLLKRVSDLLRWQYKNPSFKLPWKLHSFPVFSGSSPLYHTISEPEPLTVEEAQELETAHERIKSICIRCQESNVPLLIDAEDTILQPAIDYMAYWSAIMFNSDKSRPIVYNTIQAYLKDAGERLHLALRESEKMNVPIGFKLVRGAYMSSEAKLAASLGYKSPVHDTIQETHACYNECMGFLMEKASNETGIAVILATHNTDSGRLGARKASELGIDKENGKIEFAQLYGMSDALSLGLKRAGFNVSKYMPYGPVETAVPYLIRRAYENRGMMSTGALDRQLMRMELKRRIMAR >A05g502530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7924196:7926154:1 gene:A05g502530.1_BraROA transcript:A05g502530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSARLVDCSEEPKEPDMQKIPEMMFAAGEEPVGVRILTYQSSTAPQRILNALDEEELVMVAAVPALTEVVQDSYSSSDSDSEDIDGSGRDIFTKKRTLNPAHARNLDKRTDVIVHSILVQDPERPIDEAILVRHDEVHDSRVDNLVEAIRRNYQFNNSCFRGGIRKIDVVHMREKVKSSAKCKRAKKGITTSSEAENSVIVDLVLDKIKPQIDVLESNIKIGSSRVDAIEGGVRKQVETLLSKFKGEMLSSLKDIVSEVCKDHLAAHKGPGNYQPSSPTNLLVPGCHTSHVADANAKTIEKVLRDISQYSTPPRSNRICESVNPTPTTNQQVESGNVCGTSVIQSGAQSANSENRSRQQSFQHYINIQHSRIVPCNNCILIL >A09g509710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28289083:28289408:-1 gene:A09g509710.1_BraROA transcript:A09g509710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNPASSGSDGSISNKQHCKKTIIEGSVDEGNDYISTSRSVGCGYSVETNTFRGGDVVLKNRIIDVDEYFVDAHVTQVKGGEKFMKEIQVSENMVIMI >A08p007580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4987589:4989243:-1 gene:A08p007580.1_BraROA transcript:A08p007580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQLLLSELKADRCKETVVTRLFRFLEARNVKKSGELMEINMIETVTAAELNAYVLNSGPKLVEFSCTEVYSIDTENGWCYTYRKLQRVFSSFTYGTDSGEFVAFDGEMTKLTNAHASEVAQLMDPGGEDPEQRSLLQCLKDMVGCTFTFQLKLSPFKFSPKHQSFTISRIFDRQSASITSKLCSTCRLYHFLNLLSNYSSVVHPIIWVYAQGDDSNLGDGRPRVVSSKPSSNVTKVSLANEALLASCGVLGKATAASSVVYYEHVMWLVKAQPRDQPSRT >A03p054520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23422661:23425567:-1 gene:A03p054520.1_BraROA transcript:A03p054520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTANDLSKWESFPKGLRVLLLDGRISAAETRSKLESMDYIVTTFSDESEALSAVVKSPESFHIAIVEVNTSAEDESFKYLEAAKGLLPTIMISDDHCIATTMKCIALGAVEFLQKPLSPEKLKNIWQHVVHKAFNDGGASVSESLKPVKDSVVSMLNIDTNMTIDEKDPAPSTPQLRQVSRLLDQENRNCSVENVNSPIEKESTEDHDIGESKSVDTTNHEDNVIVKEENGDGEKEEEQGQTEEHKQEEGETGDSVNFNKKEDETNKPINKSSGNKNLSSNKASRKKVDWTQELHKKFVQAVEQLGVDQAIPSRILELMKVDGLTRHNVASHLQKFRMHRRNILPKDDHNHRWIQSRENHRQIQRQYNGFQQQHRPVMAYPVWGLPGVHPPGAVPPLWPPALPSAGQLPPWHFKPPYPTLNGNTWGYPVGPSVTGTFFPPPITGTFSTHPANQLDEEMIDQVVKEVISKPGMPLPLGLKPPSPESVLTELSRQDISAVPSSSAPCQINGSRRLR >A09g513110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:39806203:39807120:1 gene:A09g513110.1_BraROA transcript:A09g513110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQQLRSCEYVMIAGIRFGEKLQVEMMKLLHMFITSSKPVMDILLITTVGFYIALDGVNLLGHGAHKYLNNVGYYLISLFLLINLIYHSTLVLFFCKDKGGLLRDPENCHKYGMGYVSLCMAAYYVGKGIVNRLRNKSFGFW >A08p043350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24153177:24165180:-1 gene:A08p043350.1_BraROA transcript:A08p043350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKIEEINCEDRISALPEELLVTIFLGRRCPSDANVGNWVEKAVDRGVVVVKFKLRWSAGPTTLPKSLYTCETLKELTLSNKVLVDFPSSSSPSCLPSLEILQLSCVVYKDEDTFARFLSSCPVLGILIVKRKKSDNLTNFIVKVPTLWMLWYYNTTWLLDDTDVVDSGSCLVIDTPALIKFDVTDYSRHSWSIGNMPCLEEAHISVDCLSLFDKIITASSAILSLVLTFEDEMLVRCSTLRFSRLLRLSVDPSRSNCVEPLLLLLGNTPKLEELVVNNEFASDEDIPLSWSQPSSIPECLSSHLKIFEWRYYGHREEEEEFLTYILANSKCLKTVTISLNPILDPELEEDFIIGKLKDIPRVSTSSQLLKRLRTKKEENYKGNLAQYFAHFNIQKEKEITFSFLHFSIIQRVSLPSSPKSLVFSPLMTSLKLEILRMYNDDDDEGKDLSDLRNPDSESVSGHQSPISRGEEAHQSEEKTIFKCSILVPVPRGFRYTNKGGNQMLEPKIEEIDCEDRISALPEELLVTILLLVPIKDAVATMILSKRWRCIWMMLPRLDYNETNDIKDDHDCGGGDDEDDDGDDDDGDGDGDGDGDGDGDGDGDGDGDGDGDGDGDDGDSGDDDDDGDDDDDDDDDDGDGPTTLPRSLYTCETLKELSLSNKVLVDFPSSPSCLPSLELLQLFCVAYKDEASLARFLSSCPVLGILIVKRKKSDNLTNFIVKVSSLWMLFYNNTACLQDDTDVVDSDSCLVIDTPALIKFKVDDDSRDSWSIGNMPCLEEAYISVNSLSNFDKFITASSSILSLDLTFTDEMLVRCSTLRFSRLIKLSVDPSRSDWVEPLLLLLANTPKLEELLEFIYDLEDIPLSWKQPSSIPGCLSSHLKIFEWRYYGHREEEEEFLTYILANSKCLKTATISLKPMLDPELEQDAIIGKLKDIPRVSTSSQLLVN >A05g507710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21819723:21820737:-1 gene:A05g507710.1_BraROA transcript:A05g507710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDIIHSVGATAAHTCVDTLEGIKKKELVLIGDLNSFISNFHCSQPKRLISSTRPGLFVSIKKMGGHLCHALIATKKLERHGNSLNCTRCVTSDVTGVVRFRVELAVDDGKDSTTFSVFDKEMSKLTKLEAAVLAFDAVHEGEEERLPGFLEELEEKEFVFQIRVITFNFTPNHRTFTVSTITDDDTIANHVKEHFVGIPSNSEDNVGLAASSSGPPILGDKTGEECATETPPEHANSQKKCKCGSE >A03g506210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22118074:22119625:-1 gene:A03g506210.1_BraROA transcript:A03g506210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILTPCKCVESLGIQKPAWLDALYAEKFFVGCPYHETAKKNEKNVCCLDCCISLCPHCVPSHRYHRLLQELATIALHVTEVFKNLLSIAPWVVEFVMKSYGDITPFLKPCHSLTLGPDYIIPQDLLADDDMAAYETPRSTVVDGDESMSWSSTSSELRDAATTTHVVRKKRTGFCFCAKSANSYKAVSEDPDDISACINRRKGIPQRSPLC >A10g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8292874:8295380:1 gene:A10g503150.1_BraROA transcript:A10g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEINDALAMEICRDTSINSQDGWRSSPALARIGARNPNDMTEETGTLGYVAPKKLPDSSKSNAVEQHKICFTQFKTLLLLQDSFGKKLMDRIRPTQPRFLHSTLRPPLPRCLNPTQVPAMLMQIHRDTIGVAKSAL >A09g514160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42701977:42708941:1 gene:A09g514160.1_BraROA transcript:A09g514160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSTSEFNPRCLLQDDKGETTILAVHSKDTPTTTYTPKQLKWDEITIPDQWKIEITQPPRNFEQKNISKIIEQKDGKILLRFGSYRDPLPPRIKTESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRASDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKTVNLTQLKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLYRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHVFQAASPEKAVRPLVNLSCVQLESVSISSIMSLNID >A09p050240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44190244:44190928:-1 gene:A09p050240.1_BraROA transcript:A09p050240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRAHLQGKMIEEATEVALRIYGCDLIQEGGILLNLRPQAVMATGHALFHRLWCKKSLAKFDVKKYSEVKVELSRTERHILNDGFCMPC >A04g502680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6219486:6221861:-1 gene:A04g502680.1_BraROA transcript:A04g502680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLGDGDGGDSPHESSLPPTVVLNDAPPRLVTGSPPETGSPWRKSPLAEPAPPSEPIDGVVSITLPEEILTDPNPLWRCYVVGYFIGDAPHVGSIHATVNRLWSSPKMGSKIDVQFLDKTTVLFRIENPQMRARVLQRRYWHIADIPLVVNEWSPDTALDPPDLSAMPIWIDLKGVPSLLFSHKALKCLSRAAGKFVKLHPHTERCTRLDVARVLVEVNLNKPLVEKISCLDKDGATVMIEVCYPWLPPKCNVCNAWGHQGSNCKSKRITVLQKDKEVEVPKEDAGVSDVVINGDGTVRYDLNTNRNVVTELLQELEGLPPALGSNIVGDISREAFEKGNTSCSDGLEAATQDWAVVGRIDPNLVTSEVPKAVESLDGVQGQNDVLISPSRFSVLALEGIGEDVTNEEEELEEGEVIADLLTEDTKLKDPARTGRFRPGPSLKLSKQLPARSKDLRLKTLKYDMRLLNKTHYGDLPARTKEAFEEMCR >A09p015920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8305219:8307414:1 gene:A09p015920.1_BraROA transcript:A09p015920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEEEGEEEEDEGREGQKGKSFIEKLRRRAVSVGHRRVFRSPSNPAHISFNPNNPSSSSKFASSSRKLAASLWEFYQYYDQDHEIPPLAKMHRAPYSSGGDPSNRRLRHGHGKSAVRDNGAIDLSDDQPESAGSIRKQIGQMLMKHHQLTQRNDHPLQPLSPASSLEVAPYKGAITPGSSLDFHGRRRAGEPNYNNLKTSTELLKVLNRIWTLEEQHSANISLIKSLKSELAHARARIKELLRCQQADRREMDELVKQLAEEKLSKDTKERDRLSSAVQSLEEERKLRRRSESLQRKLARELAEVKSTLSHCVDEMERGSKSRKMLERLCDEFARGIKSYEREVHGLKQKMDKSWEGWGEEDQMVLCIAETWLDERIQSGEEGSVLEKLELEIEAFLKSKSGNEIPKNHRRSSLESAPFNAMSAPIQEVDSQEEEEDSNCFELKKHGTVETRRSQSPSSLQVKFEDQMAWAMSNNEKKNKKKSRDVEAEKGEKERNNVVGEMIRTHRRLLSETQGMDEGSCSYQPSRRAESPIRHWNPRAMTSDLTAQGVKDNTLKAKLSEARTKSSRPRIPLFKG >A08g510190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22196742:22198422:-1 gene:A08g510190.1_BraROA transcript:A08g510190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELRDMKAHKAYYNMLHFVSEAQQGIPKLCPCGSITKEFVDEDDTYDYLPGKRYFICTDYQNDGLHFRQPWVMGVQQEIERLKLKFLEQEKLLRECEALKVQVKMLLERVLPEPEERPVGVKAAKAAKKRKKTGKEEELAKLESLLEIKKQISKQSLLESLLAKSEPLSEMESALKMKLLSELL >A10p018670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13017865:13020411:-1 gene:A10p018670.1_BraROA transcript:A10p018670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCENDHHFVEWKEHFVSQERGNRVVHYFLKDSAGESILAVVGTERSVRHMFYVVSEDFVRFYGPENSIHAGFKWRSRREVVDWLTSMLSKQNAQGNWSKSPKCDSGESNGSPEFPSNGFAAQRAQATEEARLPINLRVHIWDIMWSGASWMCGKQLKHYPSFCRNGTTIGVHSFVFVMSKGDDRYVAYLEDIYEDKRGLKKVRVRWFHCTKEVKGAVALKNPHPKEVFITPHSQVISAECVDGPATVLTREHYEECIASFPNSLLERVHMCYRQLGSNKIKPFDLSKLRGYLDQPIMSCLSSMESGPLDCGMNKEEDEPWSEGENVMVGAERSKKKQRRMMSDHLFTAYESSCKRLKLDASGKGLSSPKAHKHHCSNGVIKADAKMEFLCQDSGIRGCWFRCTVLEVSRKQVKLQYDDIEDEDGYGNLEEWVPALKPAMPDKLGMRSSNRPTIRPAPPDAKPAKVDPTIGEAVDAWWNDGWWEGVVIATDKPDLQIYIPGENLCLTVQRKDIRISRDWVGDCWIDIDPKPEILSLVSSDDISPEAKLSLSSILSKDAKAKPIVMQDIVEEAEPKGDILDISLLGEQNKEHKDDGVVKNDNDESILSKEEDKEVGVGSNQTNTVQEDHNEDDVNGNDEKARKSETVFTLSKTTTTLVTS >A06p013970.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6305530:6306000:-1 gene:A06p013970.1_BraROA transcript:A06p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAFDGSYLDARVDSHLHRTPDSQTNGSKLNAGVDSHLHRTPSSQTDGSNMDAGVDFHLHRTPNLQLVVREGMFVVVDGMDLGYRFKPSLNDLEDGGGETWMMEMMEHGREVTLDQALVSLNPSDETTKTSRILGLSIVETKRLTKSHERAHQK >A04p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3426251:3431097:1 gene:A04p006420.1_BraROA transcript:A04p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQPQPHISPTSAQAAISAALKSQRLRKNRGSYSCGRCGQPKKGHVCHLPPPPLDVPATPIAPEPLASVSISAAASSSTRPPAPSRQPFTHLRRALSFDDDEARDSRFHAAGLWEVLKRLPPSGLLMAARVCRGWRETAGKMWKAAEELRIRVPERAQIGYVGSLLQKCPALVRLSLKSREFDATTIACIAFSCPNLEVLEISTYGAAVNRISGDELGRFVSNKRGLRSLKMEGCSSLGGFTLASTSLSTLWLADLHSLSKMIFNCPNLIELSLEFSLQEDDSTDLVAMIDGLGRTCTRLQNIHIASLKLSHTFVLALTAVNFRDLRMLSLVLGIDITDASVAAISSTYTNLELLDLSGSSITDTGLGMICDALPDTLSKLLVALCPNITSSGIQFATAQLPLLELMDCGMSVSDPTSDNLTFEEKSSTPQKASGYNQKMFIKHKRLKKLSLWGGSSLDALFLNCPELKDLNLNSCSNLQPESLVLQCPKLELVSASGCQNLLIGAIRKQVSENFAAGENHMPLKRLADASKRIQAPPSLYQEHFDKFILLGSVVAQTSPDASSVRSVPSTSIQKKLDVPATQATSISSRDDSDDDDLDGDADNGDPTDVKRARRMLSNRESARRSRRRKQEQMNEFDTQAGQLRGEHSTLLSRLSDMNHKCDAAAVDNRILRADIETLRTKVKMVEETVKRVTGVNPLHWARPNMGTPLNNTPSDSSRILPNSNHVLEPAIPSTTNAGLASNQRVERANLLPEQVNREGMQNQFATNPNLYETLLHWNHKH >A05p043300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26143783:26148393:1 gene:A05p043300.1_BraROA transcript:A05p043300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSA1-like protein [Source:Projected from Arabidopsis thaliana (AT3G15950) UniProtKB/Swiss-Prot;Acc:Q9LSB4] MGTKFLALCLSLCLIISSFYKVSCQDEGTTGLNLDLIEREYQASLQGNEGVDQISGQKNSTVTDNNTISLSLSEESVETTKDSADTSSQLGPVTDEVVTPSTQINELKQAGSDGINKIDESKDDQELAAQRKKMLEEIEREFEAAAAGFEQFKIDDSTQGEDDEQSAMRKSMLEEIERDFEAATKGLEQLKADDLTGVNDAEHAAKRQKMLEEIEREFEEATKGLEELRHSTSSTDDESHSARRQSMLDEIEREFEAATSGLKQLKINAYTVDDDDKEQAARRQSMLDAIEREFEAVTESFKQIDDLADNKDEGDESAKRQSMLDEIEREFEAATSSLKKLNLDDFTEGDDSAQNARRNSMLEAIEREFEAATKGLEELKASDSTQGNDDDEHSARRKSMLDAIEREFEAATRGLAEIKNHEEQAETQRNSMLEEIEREFEAAAASAKAAEKESAAKKPPTISTVQKTYGGFNGGFDSLLKPSDGVCGCFNKDKDGLKADTDSSINLAEILAEKSKSQDSETSSLTTSLTNLVHTHRKETTSKVSTVLGSSVTSTTSESSATSETLESLKQTLKKLRGLTARDLVHHPNFDEIIEAGTRYEVLSSASIGYISLLAKYKTVIKEGLEASQRVHLARTRAKILKETAVEKQRAVDAEFALAKSLAQGGDALSIKIFAIKKLLVKLEAEKVSVDLKFKSTESNLGRFLKEASQAYEEYHAAVRKAKDEQAAEEFALETTKRAEHIWVEFLSSLN >A09p000070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:30199:31556:1 gene:A09p000070.1_BraROA transcript:A09p000070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSKLDYSSLLLSDQLLLRVFSKLPISHLVSTSLVCKRWLFLHGRLVQSLKLLDWHFLESGRLFARFPALTQIDTVPSSCLRLAPNSGVLLSFKPSSFFSLPISSSTSSTHHDDLSSDRIHQGLHLIAQKYPNLRRIAVFGASEYGLATLSDTCRTLQELELHCCGDLSLKGISGCVNLQVMKLTARMDGGFFTTSVVVSDIGLTILAQNCKRLVKLELCGCEGSYDGIKAIGECCQMLEDLTFHDHRMDAGWLAALSFCPNLKTFKLRSCKSIDSNPGLDEHLGVCPMLQELHLERCHLRDMKSTKSLFLVCGNVRDIVLRNCWGFQDDLFALASLCRRVKLLYLEHCSLLTTGGLESVLLSWKGLQRLMVVSCSKIKDNEVTPELASLFYVLKELKWRPDTRSLLSAELEGIRMWRKGGKFFTSLKG >A04p011190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6848269:6848989:1 gene:A04p011190.1_BraROA transcript:A04p011190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSTSKQKRDTARRSIKGRSNEERRRPTRRELDERERVISALKMAETEWRKERKNLREEVEKLRQKMQEKEEAKAKQHEWEWVVEQMCLERAVREEAVERWKQLYFAIKTELDDLIHTTYGETLRQKPHEGEVKNTVQELKKEVKVREETIETLKGRIALMEKQRHGKEREIDLLRQSLRILGSSSGKNKAPSSAPKNLPTFKTKFIACK >A03p033040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:13995518:14001517:1 gene:A03p033040.1_BraROA transcript:A03p033040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFHLYFPISGGNHGVSLTPTVTGSPHFLFQLSTMVLVGFGVMVFPLLGWYFPVIDLGFSVGVCSSDSGFDGVFPVVEIRSSYLQPFDVCLLALRFNGMWRAFKQPWPPPDFIFLDERFGNPSSRTGWCGMIIMHCMVVHVCDWFQPFAQIFREVMLIINGWVIVSLVPSYHALLQGSEAFKAIKSQKRSFLHYNKLKTWFYGFQNKRISMPWMCTTKYVISCSGSAWDGLRCNRWIASKDLFSKRYLLIKFESLIKDFKRPRTKWRKTSESAYPTNALGSIVLLLSLLKVLLNNMFVKHKTNQNPTTTTTTMTMASLATSIAGTGTSKILISSTSNKIGFPVISYPNSRVFFLFSKAGIFPRSAIRASNQEEATVSPFESVASSPGLYSAQTFDLTPQNVDLVLEDVRPFLISDGGNVDVVSVEDGVVSLKLQGACTSCPSSSTTMTMGIERVLKEKFGDALKDIRQVFDEEVKHITVEAVNTHLDILRPAIKNYGGSVEVLSVEGEDCVVKYVGPESIGMGIKAAIKEKFKDISNFDYFVVYILPCSNPITKETFLSSSPMAQHKSFSSSSVSNRPISLLNGLLLLVSLLLLLGVFLPWAGSPLFPFPNVSSSSSSLPSNWRDYSLSQAAEFVAKDGTVIICAVSYPFLPFLNNWLISVSRQKHQHKVLVIAEDYATLYKVNKKWPGHAVLIPPVLDSQTAHKFGSPGFFNFTSRRPQHLLQLLELGYNVMYNDVDMVWLQDPFQYLEGSHDAYFTDDRTTIKPLNHSHALPTPDRNGVTYICSCMIFLRPTNGAKLLMKQWIKELQSGSKAYEGNDQPAFNWALNKTAHQVDLYLLSQAAFPTGGLYFKNETWVEETKGKHVIVHNNYIIGYDNKMKRFQDFGLWLVDDHAFEENRAKLMHQRLVL >A02p039460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:22468337:22470082:1 gene:A02p039460.1_BraROA transcript:A02p039460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALNPLNSHLFICLVHSINRSTWRTKRRFDRPSSSNAPPPSPPVLDREPWPREREGEPIETWENYTNPNEAVRHKDCTHCAIENDWDDNDSLFYNEWLKGEFARLEFHPYLLLLRNLAHIPRRGPMPQATREAAQPQPDIPDFPHIPDIPMHDYGDFQYVVVDALHAILSRVSQCHYVTRGSVRERSPSAARPSRQRQNDSDDDTDED >A08g503760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6473276:6473809:-1 gene:A08g503760.1_BraROA transcript:A08g503760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAGSTVALLTIIWRTFTVVGKCDIRDTIAETTKTLKASILKMFGPYAYSSNVETSDHGDHWGVDD >A07p049850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26596061:26597695:-1 gene:A07p049850.1_BraROA transcript:A07p049850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPHPSNSSPLTVLGYLERAASVYGDSPSLLHATTVRTWSETHSRCLRIASTLASSSLGINRGQVVSVIGPNVPSVYELQFAVPMSGAILNNINPRLDANALSVLLRHSETKLVFVDHHSSSLVLEAVSFLPKNEKPRLVLLQDDVDMTPLSSDVEFLDTYEGVMERGDKSFKWVRPSSEWNPMVLNYTSGTTSSPKGVVLSHRAVFMSTINSLLDWSMPNRPVYLWTLPMFHANGWSYTWATAAVGATNICLRRVDAKTIYESIDKHHVTHMCAAPMVLNMLTNYPARKQLKQPVREVETVLYTNPVVKEAAVVAKPDKMWGETPCAFVSLKCLDGSVSEREIREFCKTKLPKYMVPRNVVFQEELPKTSTGKIQKFVLRQMAKSLP >A06p020090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9839395:9843787:1 gene:A06p020090.1_BraROA transcript:A06p020090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSELNLKVYDIFKEFMTGITKLEELGNAANTFLLRFQQGLCLLKRSPMLTSSTLIKNNETRRLKSYIDSGCINIDDAAKSTKASQSLLSELERLTDEAALAIETATTMQLDVESCDEFRQVTSDEENEIVHFLQEPEVTEYATVVAVVYSMVKQNYVMQFCADLGGIFVAACDDVATKKKKMEYRNKLVLAPMVRVGTLSFRMLAAEYGADITYGEEIIDHKLVKCQRRINVSSGTTEFVEKGTENVVFSTCDEERERVVFQMGTSDAVRALKAAEIVCKDVAAVDINMGCPKAFSIQGGMGAALLTKPELIHDILATLKRNLDVPVTCKIRLLKSPADTVELARRIEKLGVPALAVHGRKVADRPRDPAKWDEIADVIAALSIPVIANGDVLEYDDFSRIKTATGAASVMVARGAMWNASVFSPKGKSHWEDVKKKYIRKSILWNNDVKSTKYTIKEMIAHHSCLELAEGKSLNKADTLADIAKLYELEDYYWTVKNIRPLTHDLDYVL >A04g506650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14973833:14974345:-1 gene:A04g506650.1_BraROA transcript:A04g506650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEQKKISKEMIEEMEEVLKEKKEEVKKVLKEKKEELKKITKEMDEVLKKVTKEMNEKLKEFEDTIQRAQEELDRELRDLSGDGVKKIAEADEKPLEQAMSCLKLEEALKDPADASAIAQVPNRRSKRVRKPNPIYKGP >A04p016540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:10180065:10190222:-1 gene:A04p016540.1_BraROA transcript:A04p016540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSAADIQSSLPYLVLFLRYKLIVECLSGGEEDVGSSSSQSMVEKTLEVAKASVFGERKEKEMKKETRLGISAKKDEDFPSWYSQVCRFGELITLSDTKGLYILEPSATKIWNTLRSYMDAELEKLGGVEEKKFPMLIKRDSLEKEKDHIEGFKPEVAWVTRAGEHDLPTPYALRPTSETIIYPYFKNRIRTHRDLPMKVNQWVNVVRWEVSDPIPLIRGREFDWQEGHSAFATKEEADEEVLEVLNIYSRVYEDLLAVPVIKGRKSDKEKFAGADYTTRVEAFIPTTGRGVQAATSHCLGQNFAKMFEIAFEDKEVKRDKKGKIIKPLVWQNSWGVSTRSIGVMAMTHGDDKGLVLPPKVARYQVVVVPVPFKGADTKRISQECQAVKTTLQGAGVRAIVDERDNYACGWKYADWEMKGVRVVRRDTRVKMDVLRVDLVEKVKDLLEKVETWDEFKEALRQKKLVLAPWCDEVEVENDVKENTKGENEAGAKTLCTPFEQPELREDTLCFASGKPAKKWTYWGRKLRSEESVGSTCLLLSLPEDVFAVTSRFLSPSDICNLSLCCKSLCDLVDYSEKIWRVQCEVVKVLSLSEIVQWRTGISSYKALCRFLVEVIKPLVGVWVHQNPELGNVVYVMSGFLSVIGCRIIPQEVGSLGIQEGRLMWSPVFEIVSDFDGSTKFFLHGRGREGSCVYPGSVTGIIDKTCNVLSLEVEPSQEKKSGVPFQKLDDSDRRNLIESVTSHVGLLHESEPLNVKLFPTRREDEEGMLLERRTMLLKMHKFGKNWKHMNLEEDGLCYNPTQVDINEMGASPCVNLSSFLSAGDTFGLSLKASYTEMSSYKGWPRMDLDRFCLHKLPIKSPGDDQEYAGLWGGTFGWPPGRCNEDETRHAFYLLMLSYEESRKSNGKRLVGTKILEGTDYVEHPNGTAMFVVDIDDTPSLEPFPFDADGRDFEHSYKGKGISNGYGFRYPGSKPGSLYVISDDHLAFVWHGTKDVFTLKRLDLDQIMKKGFGLWVPPLPPVENFTYMETSYTNVFTKS >A07g505710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12976441:12983313:1 gene:A07g505710.1_BraROA transcript:A07g505710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKIYLLIAIIIYIILLKAGPTTREFVKLSMFKRTIPYSPDIISSVPYHMNNSISGYRSLIYSGDHDMTVPSIATQAWIKSLNYSIIDDWRPWMIKTQITGYTRTYSNKMTFSTIKGSGHTAEYKPNETFIMFQRWISGQPL >A02p021140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10005745:10008990:1 gene:A02p021140.1_BraROA transcript:A02p021140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:Projected from Arabidopsis thaliana (AT1G67530) UniProtKB/TrEMBL;Acc:A0A178W7M9] MDVTELEENLFAASDAKLHGDMCKELSGVLCKVLSIFPSLEGARPRSKSGIQALCSLHIALEKAKNILQHCSESSKLYLAITGDAVLLKFEKAKVALINSLKRVEDIVPSSIGSQILEIVGELENTRFLLDPSEKEVGDQIIALLQQGKKSDNCNDNTELEIFHKAATRLSITSSRVALAERRALKKLIDRARAEEDKRKESIVAYLLHLMRKCSKLFRSEILDENDSSQGSAPCSPTVQEENGSVHGFGRQLSRFGSMNFKPINSPRSGQMPVPPEELRCPISLQLMCDPVIIASGQTYERVCIEKWFSDGHNTCPKTQQQLPHLSLTPNNCVKGLIASWCEQNGTQIPSGPPESLDLDYWTLALSGSESTNSKSVNSIGSCNMKGIQNGTTVVEQQYTEESFVSDNDDDGKEDSDMNVLERYQDLLAVLNEEEDLDKKGKVVEKVRLLLKDDEEARIFMGANGFVEALLRFLGSAVDENNAAAQERGAMALFNLAVNNNRNKELMLTSGVIPLLEKMISSSESHGPATALYLNLSCLEEAKPVIGSSQAVPFLVHILQGEAENQCKLDALHAIYNLSTYPPNISALLSSNIIKTLQGLLASTVEHLWIDKSLAILLNLASSQQGKDEAVSSQGMISSLATVLDMGDTTQQEQAVSCLLILCNGRESCIQMVLQEGVIPSLVSISVNGTTRGREKSQKLLMLFREQRQQRDQPSLKRDEEPPSQKEAPRKSLSAPMYVHESPAQPSASGPEFEPRVLSKSMSRRKSLARPFSFFWKKSYSTRQ >A09g517760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53395169:53395632:-1 gene:A09g517760.1_BraROA transcript:A09g517760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLMILGSGGSFITVFAGLWSPKGIAPIASSFVGFSPVFRLENWCSDRLKTIDDFFFKKHISVTLDFDLNFREGRFGRPFTGLASSLTERRRAFSLAPEEDVGSAS >A03p070760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31120312:31121375:-1 gene:A03p070760.1_BraROA transcript:A03p070760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLFKVKEKQREQAQNASRGGGGGASVKKQSAGELRLHKDISELNLPSSCTISFPNGKDDLMNFEVSIKPDDGYYHNGTFAFTFQVSPVYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLFHLFTEPNSEDPLNHEAAQVLRDNPKLFETNVRRAMTGGYVGQTFFPRYKNLKPLYPPLYFSYSQRTFVCLLAVVKLHPPFPLETERK >A01p005500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2370627:2372305:1 gene:A01p005500.1_BraROA transcript:A01p005500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNINTYSSLDNVITNPNHLLMDLIPSREDSTSFPTMLPWNSIRQDPLQMGGFDIFNSFLTNKYLSSTSRSTNVHETSFEAMAPPLPPPSLHPMEHLRPYDDPSNNMWSLGENSEFHAYPFIEGVVGPSEPIISTFGEEGFPRNELSLSLATDVSNESSEISLCAATKSTRITSEQASSSSKHVSNNVSQVIFGSKYLHSVQEILSHFATYSLNGIETNPQCYFSSRGTESEGTNSAFVSCYENLNEFLDGVSQRRALEAKKTHLLDLLQMVDDRYSHCVDEIHTVVSAFHAATELDPQLHTRFALQTVSFLYKNLRERISKKILMMGTVLERGKEKSQEDSIFHQHCLLQQLKRKNHQIWRPQRGLPEKSVSVLRTWMFQNFLHPYPKDSEKHLLAIRSGLTRSQVSNWFINARVRLWKPMIEDMYAEMNKRKLSNTPLQGGNGGSFIRVPKSVMMSQERDKIRE >A05g510310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31808283:31812454:-1 gene:A05g510310.1_BraROA transcript:A05g510310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGSLDQFLAAAVDAAQKAGQVIRKGFYETKHVEHKGQVDLVTETDKGCEELVFNHLKQLFPSHKFIGEETTAANGVTELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPVVGVVYNPIMDELFTGVQGKGAFLNGKPIKVSTQSELVTALLLAEAGTKRDKATLDDATNRINSLLTKVRSLRMGGSCALDLCGVACGRGDIFYEIGFGGPWDIAAGIVIVREAGGLIFDPSGKELDITSQRIAASNASLKELFVEALRLTNGAAFNFIGEGEVMDAQAKKKALFRSKLNAKKKETRIDSPLVRYNESEQPVCRVCNVVLKSESLWDVHQASRKHHEAIDNLKASAAGVQRGNKHAETKPTKVESSAAAISSKSQTSSGLPPNFFESAIKHPEVESSKPKNVQQPKQTIGSETNKTKGPLPDGFFDNQKADSSDTKTTSEPKESQTQTTGTVVKGALPSGFFDNKEADLLARGIKLVKPDIKDEYKEFEKLIQEDLQVVDSRMEEEEVDAAETIEEEEQREQRSYKEKVEILKKRKMELKAARLAKRSKTSEGSVKKPKKTEEESPSDDEDDEDSAVDWRAQHV >A05p034820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19638379:19640445:-1 gene:A05p034820.1_BraROA transcript:A05p034820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSAVLSKSPFLSQPLSKSPSSDLPFSAATVSFPSKSHRRGGVTRAGLISPDGGKLVELVVAEPRRREKKHEAAELPRVELTAIDVQWMHVLSEGWASPLGGFMRESEFLQTLHFNSLRLDDGSVVNMSVPIVLAIDDEQKASIGESKRVALVGSDGNPVAILSDIEIYKHPKEERIARTWGTTAPGLPYVEEAITNAGNWLIGGDLEVLEPVKYNDGLDRFRLSPAELRKELEKRGADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPRRVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPQDFLFISGTKMRTLAKNKENPPDGFMCPGGWQVLVDYYDSLTPAGKLPVPA >A07p012250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8250807:8256401:1 gene:A07p012250.1_BraROA transcript:A07p012250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIDVSKYRHSPVHRAVASRDHATLGSILSSLPKPRDPSEIQSESDSLSEEATSDAISAVIDRRDVPRRDTPLHLAVKLCDATSAEMLMVAGADWTLQNEDGWNALQEAVCSRQESIAMIIVRHYQPLAWAKWCRRLPRLVATMRKMKDFYLEMSFHFESSVVPFVSKVAPSDTYKVWKVGSNLRADMTMAGFDGFKIQRSDQSILFLGDGSEDGKVPRGSLYMVNHKDKEVMNALDGASSVASDEDVKKEVAAMCRSNIFRPGIDVTQAVLNPQTNWRRQEKSEMVGPWRAKVYEMNNVVVSIKSRKVPGSSGDKENGGGGGDDDLCDVLTDEEQKQLEAALKLDLPEFPNVDSENGFVEAPCVDSSPSNKKQEKKGWLGGWRKKEATMIKQEKEIKYAPPRSSLCVNEKVSNLLGDTNQIKPGRHSVDNDHIRKPRVSSKSHQESEYKKGLRPVLWLSTNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIKVLVTFTKFEEVDPADEFKTPPSSPTATCGYESPADFTNNASSSSWFRRARSNKDGSSRKSQTMQDPFAIPAGYTWGSRVEPVYSNLSVYVIKAANTMNRVLARQLRTNVCGSKHIFQRACSTHVTRKVSSTAGSNETNANSTGDSPQHDIAIVGGGMVGIALAASLASKPLTKHLNVAIIDNNPLLGRKNIIEKGHLPDPRVSTVTPATISFLKDIGAWKYIEEQRHAYFDKMQVWDYTGLGYTRYNAKDVDQDILGCVVENNVLQSSQLSCVQESDLQKTIYPARLNSMDMLPSSSLTGLGEVPSTADLFMRGRLAKLELSDGNNVYAKLVVGADGSKSRVRELAGIKTTGWNYSQNAIICTVEHTVENFTAWQRFLPNGPIALLPVGDKFSNIVWTMDPTEASDRKSMSEDDFIKAVNDALDYGYGPHPETTSSGGSSLSWLTGDVNISAKERFETPPKVVKLSSERMMFPLSLRHAKDYVSNRVALVGDSAHTVHPLAGQGVNLGFADASALSRAIAEGIALGTDIGEANLLKRYEAERKPANIAMMAVLDGIQKMYAVNFGPLNAFRAAAFHGAHYISPLKKRIISYASGEQSLPLFS >A09g505770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18043605:18044566:1 gene:A09g505770.1_BraROA transcript:A09g505770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRNTFSWIREEITRSISVSLMIYIITWASISSAYPIFAQQNYENPREATGRIVCANCHLASKPVDIEVPQAVLPDTVFEAVVKIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRPVPGQKYSEITFPILAPDPATNKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAGGIISKILRKEKGGYEITIVDASNERQVIDIIPRGLELLVSEGESIKLDQPLTSNPNVCGFGQGDAEIVLQDPLRVQGLLFFLGSVVLAQIFLVLKKKQFEKVQLYEMNF >A01p049880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28003500:28007264:-1 gene:A01p049880.1_BraROA transcript:A01p049880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLKRFNYLSFINSPLLHNSNRHRLPRFFQPPNHLFSSQCGSLMEVFKAAFSEGSNASDRIAIKADGKSYSYGQLTSSAFTISKLFHKEDTKNGGESRRCDGFGSLQGARVGIVAKPSAEFVARVLGTWFSGGVAVPLALSYPEAELLYDISVLLSTEDHSETMKTIAAKSDARFHLIPPVVNSTSETITHNQFQDDSFEGEDKLLDDPALIVYTSGTTGKPKGVVHTHKSINSQVIMLTEAWEYTSADHFLHCLPLHHVHGLFNALFAPLYARSSVEFLPKFSVSGIWRRWRESYPLNDEKTNDAITVFTGVPTMYSRLIQGYEAMDQETRESSAFAAQKLRLMMSGSSALPRPVMHQWESITGHRLLERYGMTEFVMAISNPLRGARKAGTVGKPLPGVEAKIEQDENDTDGVGEICIKSPSLFKEYWNLPQVTKESFTEDGYFKTGDAGRVDEDGHYVILGRTSADIMKVGGYKLSALEIESTLLEHPTVAECCVLGLPDKDYGEAVTAIIVAETGAKRKREEESKPVMTLEELCGWAKDKLAPYKLPTRLLIWESLPRNAMGKVNKKELKKSLDHQE >SC160g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:70885:77964:1 gene:SC160g500070.1_BraROA transcript:SC160g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDQPADGDRDGEFRDEPAEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEELIEFKSSRVDQEAMGSEENDEAFVRRNKLLQEAITKQVMEAMVKLLEEKYDQRPNDGQGQASGSRREQRRNRQGQREHAGSEETDNFYERSSHSSGSRRSTEEDEVLTIPKGPMTRARARKLKEAIGGLIRKSLEQEECIFAFALPFQHETGCHREPYPSPTD >A05p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4952272:4955334:1 gene:A05p011520.1_BraROA transcript:A05p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQRGLMLLCCVLTVLVIETTAYRERQLLQPQETAIDTENALVAVQDRGLKTLRPEHKNAYATMMYMGTPRDYEFYVATRVLIRSLRSLHVDADLVVIASLDVPLRWVQTLEAEDGAKVVRVENLDNPYRRQTNFNSRFKLTLNKLYAWALSDYDRVVMLDADNLFLKKTDELFQCGRFCAVFINPCIFHTGLFVLQPSVEVFKDMVHELQVGRENRDGADQGFLVSYFSDLLDQPLFRPPSNGSVLSGHLRLPLGYQMDASYFYLKLRWNIPCGPNSVITFPGAVWLKPWYWWSWPVLPLGLSWHEQRRATLGYSAEMPLVIIQAVFYLGIIVVTRLARPTITKLCYRRSDRNLTTIQAGFKFIALLSVVAAYVFPFFTIPHTIHPLIGWFLYLMASFALSSIPINTLLLPTLPVLTPWFGIFGTLLVMAFPWYPDGVVRALSVFGYAFCCAPFVWVAFLKITSHLQVLIEKEVLFPRLGDSGTTSGFSKLY >A07p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22724264:22725169:1 gene:A07p042170.1_BraROA transcript:A07p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPMINRIRDFEVGINTINDPSFLSRSVAVSGIGKLHQAYGFWKWGALIIAFLAYFTNFITRLKSLVVSFGDLFSWPDLGGIGSSGVVKLWDHLDVDGGDEDGDGENVVASFLRNCSSSASPSSFFLTAEKKGSDAVKVEACDPRAGFRMPALLAEWRQPGRFLGNIVGVDVGGVEKIYVRDDVSGKIAVGDLRRFNGALTDLTESDGETWWDADVVIGG >A05p021050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9970042:9978436:-1 gene:A05p021050.1_BraROA transcript:A05p021050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCFSPKKKREMSCFILSAEKVMNVLLFIAILFGIFGSNAQLLPDDEVQTLRTIFTKLQHRSVKIERTSCSDSNSNFTSGEGNRTIICDCTVNSTCHVTRIFLKSLSLPGIFPPEFGNLTRLLEIDLSRNYLNGTIPTTLSRTPLEILSVTGNRLSGPIPPQLGDVTTLTNLNLESNLLSGPIPSSLGSLTSLKSLLLSANNFTGQLPQPLINLKNLTNFRIDGNSLSGKIPEFIGNWTQLDRLDLQGTSMSGPIPASFSNLQNLTELRITDLNGPAFDFPDLQNMTNIERLVLRNCLIRGRIPDYIGSMTKLKTLFLSNNSLSGQLPQFILDSKDSVDLSYNNFTQAPSLSCSMPDINFVSSYRSETANSVQWCLRRDLPCPREAKHSSLFINCGGDAVEVGKDTYEEDLNNKGASIFMPVSDRWGYSSSGTWIGDDRASYLATDDKFKLLNESVPQYYKKARLAPQSLKYYGLCLRSESYKLQLHFAEIMFSNDQTYSSIGRRIFDIYVQGNLLVKDFNIAERAGGVGKPFTLQIDRVQVNGSTLEIHLLWSGKGTNVLPQRGVYGPLISAITITPNYKVDDGKPLSNGAVAGIVVAACAVFALLVLLILRLTGYLGRKEEDDNEELRGLDLQTGSFTLKQIKRATNNFDPENKIGEGGFGPGVLGDGTTIAVKQLSSKSKQGNREFVTEIGMISALQHPNLVKLYGCCIEGKELLLVYEYLENNSLARALFGSEKQRLHLDWATRNKICIGIAKGLAYLHEESRLKIVHRDIKATNVLLDQSLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVCLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDMDLGTNFSKKEAKRMLNIALLCTNPSPTLRPPMSSVVSMLEGKIKVEPPLVKREADPTGAAAMRFRAFELLSQDSESQASTFERDREQKSSTSMDGPWVDSSFSAPGKDGNVKQEEEEERSSSSSRKLLDELTEVKVE >A07p032010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17636224:17637920:-1 gene:A07p032010.1_BraROA transcript:A07p032010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSMDCASTIDVTDDEEIHQDRHSYASVSKHHSNNSNANAASGLLPTTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKNRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHETVCNFRPYNCPYAGSECSVMGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYNYSLEVGGYGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQQSGEGGGGACIPNLS >A09p018050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9417997:9418611:1 gene:A09p018050.1_BraROA transcript:A09p018050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor 10 [Source:Projected from Arabidopsis thaliana (AT1G62760) UniProtKB/Swiss-Prot;Acc:Q9SI74] MKTLSQIEIIFLSIALLLFITSSATPSTYSNQTNLDYIKTSCNLTHYKTLCYISLSPYALKIDSNPQRLAVTALNLTLSSANSAAKFIKNIPHGRGGLTRFEAGAVADCVEEIGDSVSELQDSIRELESINYEDSSKFEMVMSDVETWVSAALTDDDTCMDGFGQDGRAKAVVKDLVRRHVVKVARLTSNALAVINMYASTHEK >A05p012660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5540445:5542062:1 gene:A05p012660.1_BraROA transcript:A05p012660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRESDSEMSLETTNSPISSGTESCSSFSRLSFDTPPSTTVTIPEEESFLSLKPHRSSDFAYAEIRRRRKHGLTFRDFRLMRRIGAGDIGTVYLCRLAGDEEESRRSYFAMKVVDKEALALKKKMHRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPDGRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENILVRSDGHIMLSDFDLSLCSDSIAAVESSSSSPENQPRSSPRRLTRLAKLFHRVLRSKKVQTLEPNRLFVAEPVTARSGSFVGTHEYVAPEVASGGSHGNAVDWWAFGVFLYEIIYGRTPFAAPTNDVILRNIVKRQLTFPTDTPATMFELHARSLISGLLNKDPTKRLGSRRGAAEVKVHPFFKGLNFALIRTLTPPEIPSDVRRPKKAATFSGRSNKPAAFDFF >A03p011500.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:4609282:4609656:1 gene:A03p011500.1_BraROA transcript:A03p011500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIVSGKISTKKVSPAPSPSPYSYAPQSEPRMSPYPAPSASPTTMPPGYIHGPPSLSPEESDLKYKDGSGTERESSSGGGKKAGIAVGAIAAASMVGVAGYVLKKRRENIRRSRYEYAATEIF >A03p004800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:2016493:2018256:-1 gene:A03p004800.1_BraROA transcript:A03p004800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLANLNEPLFQRRQCDAVFMRKHTNHPDDFTNPNPNSSSQFRDGGDYVSFDLDSYSSNQLRELKKRLNLELEQVRFLRERIESGAFTTPAHEIGVANKTTKKKKKTSHSHGRLDLESEKALRSTMSTCGQILAKLMKHKWSWVFNTPVDVLGLGLHDYHLIVKKPMDLGTVKTNLEKGFYRSPVDFASDVRLTFTNALAYNPKGQDVYKMAEKLLSQFDVWFSPTLKKFEAQQQGSSSRPPVVSELNQRLSENARKGPEQISIAKKLDSLKPLPTLPPPVMELPRVPSPPPSPVQPPPPQPVSKVESPPPPPQPVNQVEASVEVGEAPKGRKGKLPKPKAKDPNKREMTMEEKAKLGVNLQELPPEKLGQLIQILKKRTTNLPQDGDEIELDIEELDNETLWELDRFVTNYKKMASKIKRQGFIQNLSTPTRNMPPVMEMGSAEKRVRKGGDGGEEDVDIGEDIPIEDYPSVEIERDGTAATAGSSSSGSSSSCGGSSSSDSESGSSSGSDSDADSVQSPFVEAKE >A05p035500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20119418:20120184:-1 gene:A05p035500.1_BraROA transcript:A05p035500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTVSHILSFWDSLNVKKNEFISRWQYRLFWLKLISKVWTMQQQRFTLCRYHRRDQKEITCVNWKTKHTHVAGFIWVLQDNGWSSVACTGCSRKLDRSDTFRWCNRFSSPNVTGMDVNMGYPGPFRPCPIRHCPFRSV >SC122g500310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:628013:632563:-1 gene:SC122g500310.1_BraROA transcript:SC122g500310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGNTTKSEIEATLGKSKLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKELLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVTGPSNQAGEAGGTTPLDHERGNGFESGEQEHNQEDSSQHDQEETHEVESGAQSGGNEQGEPTGNEQGEPTGLREEAQENAVTLEIYHSNFIVTVVTEVKDLGSYLASIWRVKHARRSLDEQGGVWIRSGQG >A02p007070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2991821:2992708:1 gene:A02p007070.1_BraROA transcript:A02p007070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSFLFPPPPSILVNTMTVVGLAALPIMVWSEVRGNNLKYSKFNNNNASSPSSSSQKQRFSSVSSRTGMLCLYTPAFLAASASFFLSPSQDLRFLLLKSALSLHCFKRIFEVLFIHKYSGEMASDAAFTISSGYFSSAALVLYSQSFTPGLPEPGLDLKFYGVVMFVVGIVGNMYHHVLLAKLRKEGEGGGKKEYKIPKGGLFGEVICPHYMFEILVFWGFFMISQTIYSLSLAMSTTFYLVGRSYATRRWYLSKFDDFPKHIKALIPFVF >A04p007020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3752396:3753672:-1 gene:A04p007020.1_BraROA transcript:A04p007020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESACSEFWLPPEFLTDDFLVEKENKESVFPYEPSHGFGLDSSYGDEESFLSRKMVQSTLEDDDAMAWGMTRSTRSTRCGGGFRSQAAAWDMYCAATEELAMMSLTGCNNHRSSRGPLDDHPRKHPLAAAKISNDGSGFYSRQSLQYQKLQAIQQLKRQQLMKYHRQLAQQRVNNHSHVDLSLSAWCTQPQRRDVSGMRADYFLGDRTGIRGSTGTGVFLPRSPVTAQTRKKPTRGTVMVPARVAHVLNLNESVVHQTPNRSSPSFNDASWRQRSNNGGYSSQMMIEQTVNEPRLPSDWAY >A07p039650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21066031:21074035:1 gene:A07p039650.1_BraROA transcript:A07p039650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAESLSGPNVFSLRIVSIDYYMASPIPAYDLCYSSFQVDGIIPTISLELEKALKLKGSAASKRQHVHDCEIVRAKKFYGYHSTEEAFVKIFLYPFYHPQDVSRAASLLLAGAVLGRSLQPYESHIPFILQFLVDYNLYGMGHVHISKMKFRSPVPHQFHPRKFDLDDCVGQGIDEVASTKADSSTAASVSFPVWSLSTIPGQWMWNISEESDTPLSQSQHSHQYRRQSLCELEGDATISDILNQQLKMYNSLSQAQSDTKMVQSLVAIWEEEYERTGVYDAPIHPDPGKPSAADVLKTMSHYIGFENMLKEMYHEVGMPSPDTKATAVSSAVSEMHAKPEITDPQALSHIFGTGSELPASEKLSPLDESGEASLENDAYMKTPTDIDIHAEIQDAEALGLFKWFASSQAAEDINSDDEILRETILSPLVPLASMNKVLEMASTDYLSQSQKECQDILDSQEDQLDLGSSTKAALLDSQPSSDKQSIDTKVSSDVPNISTSDASNENSFQRYRKSDLHASKSFSRSNKSTKSVWGPLPLSLTKNLQKEFDSTNASDKLGLPKISSDATNEMKDNFDVPVKEHQADVCNTIDGSVLAGYSLRDLMRKKRLALGDSPGSQHKKFKKVLPLTTVTRDSGDGKKKECNATLSAEVSDAGNCEFNISAQSSELHSADRCSAKETAAEDSDEASRKFSSASAPLCKESQTVESGILVSSNKLVGNDINNVQKSGDEQELTANVAVETGRLICLTLSKKPPSLDCLSAGLQDSSRDGYEGSSKEIPFFSKEDTEVNEKKHLSKGASLGIPMHHLNDGSNLYLLTPAFSPPPLDSVSQWISNNKGPVDSSIASEKQLLGDDQEMSVSQHGEQHDNVFVNSESNAYTESEIDLKSKGKSPNLNLQTTVSQEMSQISGPDGKSGATPLSQIGFRDPASMGAGQQLTVLSIEVHAESRGDLRPDPRFDSVNVIALVVQNDDNFAAEVFVLLFSPDIISQRNVDGLSGCKLRVFLEERQLFSYFIETVCKWDPDIFVGWDIQGGSLGFLAERAAQLGIRFLNNISRTPSPTTKNDSDNSLQPDPLVAGPDQVEEVVIEDEWGRTHASGVHVGGRIVLNAWRLIRGEVKLNMYTIEAVSEAVLRRKIPSIPYEVLTQWFSSGPAGARYRCIEYVIRRANLTLEIMNQLDMINRTSELARVFGIDFFSVLTRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMQCVPLVMEPESAFYEDPVIVLDFQSLYPSMIIAYNLCFCTCLGKLAHLKMNTLGVSSYSLDLDVLQDFNQILQTPNGVMYEPPEVRRGILPRLLEEILSTRIMVKKAMKKLTPSEAVLGRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISFVNANENWNARVVYGDTDSMFVLLKGRTVKEAFEIGQEIASGITEMNPYPVTLKMEKVYQPCFLLTKKRYVGYSYESPDQDKPTFDAKGIETVRRDTCEAVAKTMEQSLRLFFEKKNISMVKSYLYRQWKRILQGRVSLQDFVFAKEVRLGTYSTRDSSLLPPAAIVATKAMRADPRTEPRYAERVPYVVIHGEPGARLVDMVVDPLVLLDIDSPYRLNDLYYINKQIIPALQRVFGLVGVDLNQWFLEMPRPTRSSLGQRPLNSRNSHKARIDYFYLSKHCLLCGEVVQDSAQLCNRCLKNGGAAATIVWKTSKLEREMQHLATICRHCGGGDWVVQCGVKCNSLACSVFYERRKVQKELRGLSSIATESELYPKCMAEWF >A05p026010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13870443:13872939:1 gene:A05p026010.1_BraROA transcript:A05p026010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPETELISIPATPRVSTPEILTPSGQRSPRPATKSSSAAWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYIWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCASNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAVTAITYSTMVWVLSVSQPRPVTISYEPLSMPSLSGSVFSVLNALGIVAFAFRGHNLVLEIQSTMPSTFKHPAHVPMWRGAKVSYFFIALCIFPISIGGFWAYGNLMPSGGMLAALDEFHIHDIPRGLLATAFLLVVFSCLSSFQIYSMPAFDSFEAGYTSRTNKPCSIWVRSGFRVFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPAKYSFNWYFHWGLGWLGVAFSLAFSIGGIWSMVTQGLKLKFFSPN >A09g505780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:18239043:18240507:-1 gene:A09g505780.1_BraROA transcript:A09g505780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKNLYLKGLLPIPMNSIGPRNDTSEESFGSSNINRLIVSLLYFTKGKKISESCFRDPKESTRVLPITKKCIMPESNWSSRWWRNWIGKKRDFCCKISNETVAGIDISFKEKDIKYLEFLFVYYMDDPIRKGHDWELFDRLSPNKRRNIINLNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRNKRAISLQNCAQFHMWQFHQDLFVSWGKNPHESDFLRKISRENWIWLDNVWLVNKDRFFSKVRNVSSNIQYDSTRSSFVQVTDSSQLNGSSDQFIDPFDSISNEDSEYHYHTLINQREIQQLKERSILWDPSFIQTEGREIESDRFPKYLSGYSSMPRLFTEREKRMNNHLLPEESEEFFWNSTRAIRSFFSDRWSELHLGSNPTERSTRDQKLLKKEQDIWDVIRFRRMNWIWTVPIRFHS >A01g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14323190:14323678:1 gene:A01g504790.1_BraROA transcript:A01g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLSSSSNRRSRHPSLNLRVSLYSVIHGFIPVGRANHYMPSLKAGSIVKVDRFEVARCSSMYKIIDHPFLIRFISPTSIDEVVTGAHEINLQS >A08p040490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23060947:23065928:1 gene:A08p040490.1_BraROA transcript:A08p040490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEKKILTEEELERKKRKDEKAREKELKKLKAEEKSKLAELKAKEVKDVPKKSTKKSSKRDAPEENPEDFVDPETPVGERKRLSLQMAKQYSPATVERAWYAWWEKAGFFVADAKSSKPAFVIVLPPPNVTGVLHIGHALTTAIQDLIIRWKRMSGFNVLWVPGMDHAGIATQTVVEKELQRTGLTRHDLGREEYIKEVWKWKEENGSKILIQLRRLGASLDWSRECFTMDEHRSKAVTEAFVRFYKEGLIYRDIRLVHWDCHLKTAVSDDEVEHIDIKEKTLLKVPGYDKPVEFGLITSFAYPLEGGLGEVVVATTRVETMLGDTAIAIHPDDARYTHLHGKVAVHPFNGRKLPIICDGELVDPGFGTGCVKITPAHDPDDFKLGKRHNLEFINIFTDDGKINTNGGPDFTGMPRFAAREAIVEALKNQGLFRGSQNKEMRLGLCQRTSDVIEPMIKPQWYVNCSTIAKEALDVATNDENKKLEFIPKQYTAEWRRWLENIRDWCISRQLWWGHRIPAWYATLEEDHLREIGAYNDHWVVARTEEEAREEAAEKFSGKKFDLAQDDDVLDTWFSSGIFPLSALGWPDETDDFKAFYPTSVLETGHDILFFWVARMVMMGMKLSGDVPFSKVYLHPMIRDAHGRKMSKSLGNVIDPIEVIDGATLEALNKRLKKGNLDQRELVVAKEGQEKDFPNGISECGADALRFALVSYTAQSDKINLDILRVVGYRQWCNKLWNAVRFALIRLGDGYSPPLDLSPETMPFSCQWILSVLNKAISKTVESLNAFEFSDAANTVYAWWQYQFCDVFIEAIKPYFVNPALASERAHAQHALWVALETGLRLLHPFMPFITEELWQRLPSPKDSERKASIVICDYPSATENWKNEKVETEMETVIASVKCLRALRAELLEKQKNERLPAFALCENNVTFEIVKSHELEIRTLANLSSLEVLLKGEDSAPAGSAVETVNENLKVYLKVDRAINAEAEQGKIRNKIAELQKQKEKLQMMMSASGYEEKVPANIKEDNVTKLAKILQEFDFFEKESVRLVAETGQFRK >A03g506890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24465886:24466335:-1 gene:A03g506890.1_BraROA transcript:A03g506890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQRFLVVFSKNKDNPLLVAYRVLKHSDLGKSLQHVALGFGSDLRVSLREVAPASVLSSVSSKERATSGRRSNVVAPRGKAQRLHRVAAVRSLRWVARPLITLNTSFELQMHPNVSNNSM >A07p052110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27544815:27548360:1 gene:A07p052110.1_BraROA transcript:A07p052110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIQVFGMKKLPVVEEIAQSLKEGWIKWVSEETRQRERERIMLCSQTPTFHDRRRLLLIGVAILVFSCLVSFSHGVSSSCHHHPSSTSFQGLRRQIQEGANGTLVLAAERTRRPDPLNNFNIYTDGWNVTNSHYIASVGFSAVPFIVIAIVWFVFLGLFLICSCLCCCCCGCGRRHYGYSRVCYTLSLIFLLLFTVAAVIGSTMLYTGQKEFYGSVENTFMYIVNQATKVLGKLTSLWDSIQSAKDIQLDGHNLFPPQFRGNIDHFNNMIKMSNITYPDRVANQTIGYLTGVLNPVRLVLNVIAGVMLAIAFLGLLFSFCGLRVLVYLLVILGWILVTATILLSAVFLVFHNVVADTCTAMDQWVHDPAAESALSQLLPCLDAKTIGDTLDITKTMTSTAVDMTNAYTVNVSNHDEFPPNVPFYHNQSGPLVPLLCNPLDEHRNPRPCAPNEILLANASQIYKGFVCQVNAEGICITQGRLTQASYDQMMGAINVAFTLDHYSPFLASIADCSFVRDTFRDITTKNCPGLTITSQWIYAGLASLSGAVMFSLIFWTIFVRERRHRSHTKKSMVQMNTI >A02p002830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1195247:1196466:1 gene:A02p002830.1_BraROA transcript:A02p002830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFGGFHKEDDEQMVLPPGFRFHPTDEELITHYLHNKVLNIDFSAKAIGEVDLNKAEPWELPYKAKMGEKEWYFFCVRDRKYPTGLRTNRATQAGYWKATGKDKEIFRGKSLVGMKKTLVFYRGRAPKGQKTNWVMHEYRLDGKLSPHNLPKTAKNEWVICRVFHKTAGGKKIPISTLMQIGSYGGSGLPPLTDSSPCNNTTKNEQTYVPCFSNQTETRGTLLNYFSNNPALSSIPLYQPHSLHVSDPVLTQEQSVSQAMFENNRRQSFKTLSVSQETGVSNTDIEFGRRGLDHQEVPSSSTGPVDLEPFWSY >A10p012280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:10651567:10655083:-1 gene:A10p012280.1_BraROA transcript:A10p012280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDRSSPPLRIPDRIFAVDHEPVGVRVTPYHKPYAIRQILNALDPEEVDTIRGSSFGKLIEIGEKPSFSGRFGRFIISRQLLVSSKHEAWFIFAGKPEVPVTSVVRMLKKRTVKDSCIRVKYALLALLAAVILPTTHTPRISQDHAELIKDIEDFFAYPWGRVSFDMLMSSIKERKEVSLSQNTIALKGFVLSLQLVMVEAIPALTEVVNDGSSSGSESDGCEEDDLVDEDKNGKRSLSPGHARAINAAGEVAVHSIIVEDKEHFKASPDLGWSDDEEDPIVDNLMSLLEQQFPFNKSSFTGGVTKLEVNRMREGAKTEAINRKTVKPKQTKQTTTSEVIDVESVASMVRDKVREDLSRIERKLSTLSESFITFQTNVLESIQQLVRKLEVSSGQIPVSSSAQTEYVSNVARDGQHRDIRRTSPHCVGIHTESAQNDIINDAIRFANQTTSLPVDGLNGGQGIQPVIHTGNQTHRDSHEEMITDHPPVAFLFSNQANIFSVDVASNTSASLVVDNPPPPYSLGREGKVADHRKSSADMNHDPELLFTKPTFSLGLTQEERPHLKETVTEGVSMCENDVDHSQSSPDANLDHELPFPKPTFSLGLTQEERPHQKEAATEGETTCANAAGKEICEQDDQLGACRKSKRLKALPKSLVGQYECDMRLLNRARVAFVDPDNTGGNIDYAAKFSSLLDKLKTPFTIHIGQSTLESNDLNELVQRSSPLPPKVVDVLIGHISSQFTLNSPPNQSNHPVFMDTQFVSQLSKLFTKFSKMSKKESFWFPSHILERVRSYPDADRYYLPFNLDRKHWVGVCVDCSSWSIVLMDCNIALRTDSMMVKEVTPIAQMFPYLLKQGGKQLPQNTSHADSAVSALLLVQAHAVAGVDLCKCITPDKIGSEVERLAVMFYEATVGML >A06g502280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7985349:7986894:-1 gene:A06g502280.1_BraROA transcript:A06g502280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTAILTGEASSPLLFRHVSPGPGDSTLQFRLNHFWEARKNVKGGPGIILGIEMLMIDAEGTVAQGFIGQNRRNQYEKELRLGTEWYYIACKDCQTMLNRGPTTMLCPKCGNENATAVANYRFEMSVYDNEEQCTFIILGDAGKELTGRKATELIDAYVEDNGGDGAELEIPLPQCLIDTIGQTKKFRIKQFCHQRILPPLKTPPHSEVEIPDLPESSGGVSSASDEQKKEKRAKLSG >A01p058290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33175839:33179159:-1 gene:A01p058290.1_BraROA transcript:A01p058290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cationic amino acid transporter 4, vacuolar [Source:Projected from Arabidopsis thaliana (AT3G03720) UniProtKB/Swiss-Prot;Acc:Q8W4K3] MNSVVRRKQVDSAHTKKHDGEDHRHHLAKKLTAVDLVAIGVGTTIGAGVYILVGTVARQHTGPALAVSFFIAGVAAALSACCYAELASRCPSAGSAYHYAYICLGEGIAWLVGWALVLDYTIGGSAIARGISPNLASFFGGSDKLPVFLARQTIPGLGIVVDPCAALLIMIVTILLCFGIKESSLVQAIVTSVNVCTLVFIIVVGGYLAFKTGWVGYDLPDGYFPFGLNGILAGSAVVFFSYIGFDTVTSTAEEVKNPQRDLPLGIGIALLICCILYMLLSVVIVGLVPYYTLDPDTPISSAFGDSGMQWAAYILTTGAITALCASLLGSLLAQPRIFMAMARDGLLPAFFAEINPRTHVPVKNTIVIGVLAASLAFFMDVSQLSEMVSVGTLMAFTAVAACVLVLRYVPPDGVPLPSSSQTWTDSVESRVQPENVLEDAIESSDSPLLGDETAQDEKYFGKRRKIAAWSIALVCIGVLGLASGASAERLPSFPRFTMCGVSATVLLGSLITLGCIDEDDERHNFGHQGGFLCPFVPYLPVLCILINTYLIINIGWDMDQGVDMAAYWKHDLSILWKVTQLTEQCRLRSNDLYMGNNRSPCLRWVVHRKVEGVGSSSFVAAF >A02p006610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2795065:2795970:-1 gene:A02p006610.1_BraROA transcript:A02p006610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVCYYRNYGKTFKGPRRPFEKERLDSELKLVGEYGLRNKRELWRVQYSLSRIRNAARDLLTLDEKNPKRIFEGEALLRKMNRYGLLDESQNKLDYVLALTVENFLERRLQTIVFKSGMAKSIHHSRVLIRQRHIRVGKQLVNIPSFMVRLDSQKHIDFALTSPFGGGRPGRVKRRNEKSASKKASGGDADGDDEE >A09p053210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:46298203:46300958:1 gene:A09p053210.1_BraROA transcript:A09p053210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINLLMTLLGTTFLLLVASSQALKLSLGDPCTVADYPKLCRRTIKGQTNVNAATDMAIKELMKRTMQAKDMAKKEPKGDGGVAVCLSTFGSAFDNLDKALKNIQGNDGFSLNINLSAALTDYDTCSDAMKETGEVSVSVVYKSAGVLYKMADNCLALSTLLRNIFKDGFVLVHSAFDGLRLSRSAQFVVGRLLCFWDSKNIKKQGEFVGITLQLLYEKIDEADFICKGRVVEVMQQNGWSFVSCTGCSTKLDKFGTSLRCTRCINPNIIGVIKYRVELLVDDGNDNATFVVFGREMLKLTKQEAAGLTLAEMNGGGDVELPQCLKDLAGKDFIFQIRVTPFNFTPSHHTFKTNEDQFLQVEGGEPSASASVKATGEGNEPNPPACGVKESGRKRPHE >A08p028590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:17830256:17832160:1 gene:A08p028590.1_BraROA transcript:A08p028590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKEQKYLQRPRHHTSLKKPLCVVLTVSVISMLLICTHLFPRHVKSSSCHGLSSSRGCENSLSALLPAHIRKLTIKEIAARAVVRDILRTPSLVTQNSKIAFLFLTHATLPFEELWDEFFKFSIYIYTFKERPVHISPHFYDREIHSDEVTWGRTSMVDAEKRLLVNALEDPENQHFVLLSESCIPLHTFDYTYRYLLYSNVSFIESFVDPGPHGTGRHMEHMLPEITKEDFRKGAQWFTMKRQHAVIVMADSLYYSKFSKYCGPGLEANKNCTADEHYLPTFFSKVDPMGISNWSVTYVDWSKRRRHPKIYRAHEISLEFMNSVSSEEMSVHVTSLGEHGELHWPCTWNGITRPCYLFARKFHPDALDALVNLFPNYTSAVV >A09p016000.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8317185:8317424:-1 gene:A09p016000.1_BraROA transcript:A09p016000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSTKAVVLTGSKSSNDSTFTIMKSSDGLYKIPFGSADKPMELGLEKLDDRGTWVLALSNGNHSRLGFSFHLVVPS >A08g503170.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:5961873:5962289:1 gene:A08g503170.1_BraROA transcript:A08g503170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLASGNLQAHYVRGIQQYFHHQNINGGLLHLQIAAEGSYEKAVYLYGVIMLAKGETAIGQAILDTLGWRQSKNRADRCWRRVKRSLHGIRVTRLESYMTAYRNTRETIACHRDNIHERCDTCYYYKQLTKFVYMM >A08g505210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:8630532:8631606:-1 gene:A08g505210.1_BraROA transcript:A08g505210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSSTALSDMSKQIDELCSSQTQQTEKIRKELGGEITALKEIIEKYLLTHHHPLINVKGKKLKQHQHLQREERTHKQIHLTATTQNTVHQNQPIISQLETKQQTIDEYLEKFDSAMTRITLAPAHALSIFLTNIHQHLAFNVRQFIVSSVPEAAKIAKLHELSLSHTPTKPPRTTFNSFQRSNFSQSKKSQHSNSTAITTTNGGSQNNKPIIANTPQKPLSFEEMQEQKRKGLFMFCEEPFTPGHQLKHRRAE >A08g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5855606:5861694:-1 gene:A08g503000.1_BraROA transcript:A08g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSQWAQEGMCYGLRIEIGTNGERQSSMINNDLTTREEWMLVTRSSRFHLGSEEHKAVGVDLLPFANIMFPRRTRSEEPMMTPPRYGQRNSENRRVYSNLEVTDDSAIAQGNNHCYEHEHESTRRNPTDSHIGYEQEERYEDLRERNQAPDMYGSRRNYAITHNPRRNESEFMHRERTPESRCRQEQRTAGSSDPLIVLVQGLLDRLDHRTGESSERRPSSPPDYLKMVTLMKKFGTVRYPGGTNPFEASTWLRNLEENFRAIHCPDNFKKDDNLIEGEAEEEGMTDGLRMAQICPYSQGRKAPDYITCFSCGEKGHYANSCPHKRQVTLPAPPTRLAIEPAPKRQAVGKQVNALELGKHEPQQPHRGPITGTLCVGGVYVHVLFDSGATHSFVIPEVVSSFKGTFTRVKWRILQAALAYVLLVELVAGHKTWFNWTDLWLHTNLSPIGCMGHPGHLLLAMHDQNPPMSSFSSL >A06p043460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23395410:23396976:1 gene:A06p043460.1_BraROA transcript:A06p043460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger protein CONSTANS-LIKE 4 [Source:Projected from Arabidopsis thaliana (AT5G24930) UniProtKB/Swiss-Prot;Acc:Q940T9] MASKLCDSCKSATAALYCRPDAAFLCLSCDSKVHAANKLASRHARVWMCEVCEQAPAHVTCKADAAALCGTCDRDIHSANPLARRHERVPVTPFYDSVSDSDGSAKHAAVNFLDDCYFSDLDGNANREEEDEAASWLLPNPKTTTAVATAAEAVPGDSPEMNTGQQYLFPDLDLDYGTVDPKVETQEQNSSGTDGVVPVENRTVKVATVNENCYELDFTGGSKGFTYGYNSISHSVSSSSLEVGVVPDGGSVADVSYPYGGAATSVTDPGTQRAVPLTAAEREARVMRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDTSESNDVVVGHGGIFSGFGLVPTF >A04p035330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20386907:20387749:-1 gene:A04p035330.1_BraROA transcript:A04p035330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSECPVAGKNSWPELVGTNGDYAASVIERENSRVDAVVILQGSPVTADVRCDRVRVWVNRNRIVVHVPIAG >A05g503160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9325536:9326365:-1 gene:A05g503160.1_BraROA transcript:A05g503160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSIGVIEQPVKKRLSHFVQRSTSKSEAKEACQDVRRDVRQGVRQEILHRAAMTNKPKLVHQCNNMKVKQEVLKHGCASGTRKKTDRCISNCVRPNKKKHQMCCWFCGKVEHKKVECFAREKSRNMAKKRVEEVLLAKSGLLDEIKDEITEERCSSVMNDLEEGQEASSLELGQKVICGTKGKVIEVRQEQRVQSVVGADGEGLMVKKTTHKGSQVLNRSWSKGSSCVRS >A09p023800.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:13064449:13064847:-1 gene:A09p023800.1_BraROA transcript:A09p023800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGRGSGGGEQGKYRGVRRRPWGKYAAEIRDSRKHGERVWLGTFDTAEEAARAYDQAAYSMRGQAAILNFPHEYNMGSGGAATVAGSSSSSASSSRQVFEFEYLDDSVLEELLEDRENPNKNNINKGKKK >A07p043740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:23892236:23894327:-1 gene:A07p043740.1_BraROA transcript:A07p043740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDFLLLGTLILLCSGFVSSAPSANSPAKIINGFISNHGSSLMKWIWSLKTTTKTTIATRSMVKFEDGYSVETVFDGSKLGIEPYSVEVLPNGELLVLDSENSNIYKISSSLSLYSRPRLVTGSPEGYAGHVDGRLRDARLNHPKGLAVDDRGNIYVADTVNNAIRKISEGGVTTIAGGKTARNGGHVDGPSEDAKFSNDFDVVYLGSSCSLLVIDRGNKAIREIQLHFDDCAYQYESGFPLGIAVLIAAGFFGYMLALLQRRVGSIVSSQNVGVLDQETFEGDADQKPVKPFRPSLIPNGDEQLLEKQDESFLASLGNLASNAWVTVTEMLRKKQQTATSFEQYQTKQSSAAFSTSTPWPIQESFVIPEEDEPPPVEHRNQTPRKTYAFMSKDAEKMQQLRQSRAFYSSWEAAEFPNQQQQQQQQKQQQKQQHRRHYSSIPHTYYEQNSEKTNEIVFGAVQEKSSRRAAKPKESGDQINNNNNTQQNLHYRAHSVSYPYGYYPYT >A07p008350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4885940:4886442:1 gene:A07p008350.1_BraROA transcript:A07p008350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWQIVLQTSNPWRASSTTRGFTWLWLFDFTEETQRKCKLRRIIGYPWCIATVVVSVIQEFPDLKEKQKFSAIATSHKEDEDDGVSW >A01p043420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:24908688:24910657:-1 gene:A01p043420.1_BraROA transcript:A01p043420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLGVSLRAALTAPHAPHFDDGTRRHSSSIFTAPFPNRRNRIGSRTLRVSNDGPESYLDMWKNAVDREKKEKAFEKIAENVASDGDKGQEDLDKKKSDEFQKILEVSVEERDRIQRMQVVDRAAAAISAAKAILASNNSGDGNDGFQDEEKSGNDAKSKGMWSRTVYVPRSETSGSETPGPDFWSWTPSEMSSNASMDLQPKPAEFPTLSNPVLEKEPSSDSLSIPYESMLSTERHSFVIPPFESLIEVKKETETETKSISSEHDLDAVSSANAEEAARVLDGLDDSSSSGVSQEGLKWWKQTGVEKRPDGVVCRWTMIRGVTADGVVEWQDKYWEASDDFGFKELGSEKSGRDAAGNVWREFWREAMMQENGVVHMEKTADKWGKSGQGDEWQEKWFEHYDATGKSEKWAHKWCSLDRNTPLDVGHAHVWHERWGEKYDGQGGSTKYTDKWAERWVGDGWDKWGDKWDENFNPSAQGVKQGETWWEGKHGDRWNRSWGEGHNGSGWVHKYGKSSSGEHWDTHEQQETWYERFPHFGFFHCFDNSVQLRAVRKPSDLENDGEKQ >A03p013740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5444507:5445347:-1 gene:A03p013740.1_BraROA transcript:A03p013740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGSVGHNSRTCFSNKTRVIKLFGVHVDTTGSSPPPGPSSPPPSSILAAAMKKSFSMDCLPACSSSSSSFAGYLSDGLTHKTSDRKKGVPWTEKEHQMFLIGLEKLGKGDWRGISRNFVVTKSPTQVASHAQKYFIRQATTLHHKRRRTSLFDMASSNLIFFSWSTFQSYFLISI >A09p059650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:49791816:49793721:-1 gene:A09p059650.1_BraROA transcript:A09p059650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSSLKLSIFLILSSLLHAALGEGIICENLPTNICAFSISASGKRCLLETANVAGEYTCRTSAVEVEGIVNHVESDECVAACGVDRKTVGISSDAMMEAGFAAKLCSPACLDYCPNILDLYFDLAAGEGAFLPDLCDAQRINPHRSMLEMLSSGAAPGPSEPASGPVSDTSAPALAPAAM >A02p012570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:5501268:5501690:-1 gene:A02p012570.1_BraROA transcript:A02p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At5g59510 [Source:Projected from Arabidopsis thaliana (AT5G59510) UniProtKB/TrEMBL;Acc:Q8LE84] MDDVKLWRVSKKDSIFETTPFSSKPDVFRRSFSTKASSSSKPILPRSFSTKPTSYSSSEPIFRRSFSAKPTPLKPPFLSRSGSTKGQADTSSTKCSISRSLSQKGASVTRKCRNVAKEHKSRFYILKRCVFMLVCWHKHA >A04g500610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:1808825:1809004:-1 gene:A04g500610.1_BraROA transcript:A04g500610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRGGVHDVGDERGGAAEGFGYDGSVFDQNVVAVVSGDENSAVGNWMRRWSRGSWRW >A05p004470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1753646:1756397:1 gene:A05p004470.1_BraROA transcript:A05p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKNENSDVSRAEEMKNQANEAFKGHKFSQAIDLYTQAIELNGNNAVYWANRAFAHTKLEEYGSAIQDASKAIEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKRICPNDPDATRKLRECEKAVKKLKFEEAISVPVSERISVAESIDFHTIEVESQYSGARIEGEEVTLDFVKQMLEEFKNQKTLHKRYAYQIVLQTRKILQALPSLVDISVPNGKHFTVCGDVHGQFYDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEIILTLFAFKCMSPSSIYLARGNHESKSMNKIYGFEGEVRSKLSEKFVELFAEVFCYLPLAHVINEKIFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPLPGRGPSKRGVGLSFGGDVTKRFLEDNNLDLVVRSHEVKDEGYEVDHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDMKPNIVTFTAVPHPDVKPMAYASNFMRMFN >A01p059650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33992072:33993933:-1 gene:A01p059650.1_BraROA transcript:A01p059650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISIVLLVVALIVFLHVSEAQRSTDKDEQKDKEEKVTENDKDKERNKDEKVDKDKVKDKDKEKDKDENVLENDKDKDKDKERDKEEKVIEKDLDEAKDLIAEDLKEKKANLKSLETQVNELTKSETVLDELGEAHKKVTSKEV >A03p066760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28536319:28539011:1 gene:A03p066760.1_BraROA transcript:A03p066760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRSLAVSMNTNFASFDLSRPFSPLRSAKLSPRSIPRASASISTTNSDSSPSGNAINSEAISVKPVYVPTPPNRELRTPHSGYHFDGTARKFFEGWYFRVSIPEKRESFCFMYSVENPAFRKRLSPLEVGLYGPRFTGVGAQILGANDKYLCQYTEDSHNFWGDRHELVLGNTFSAMPGARSPDKEVPPEEFNRRVSEGFQATPFWHQGHICDDGRTDYAETVKSARWEYSTRPVYGWGDVGTKQKSTAGWPAAFPVFEPHWQICMAGGLSTGWIEWGDERFEFRDAPSYSEKNWGGGFPRKWFWVQCNVFEGAKGEIALTAAGGLRQLPGLTETFENAALVCVHYDGKLYEFVPWNGVVSWEMSPWGYWYMTAENETHMVELEARTNEAGTPLRAPTSEAGLATACKDSCYGELKLQIWERRYDGSKGKVIMEAKSSMAAVEIGGGPWFGTWKGDTSNTPELLKRSLQVPLDLESVFGLVPFFKPPGL >A05p010900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:4624919:4627591:1 gene:A05p010900.1_BraROA transcript:A05p010900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVRPLTEEDKEVISLYGKPITLYGILQAFTQDKPRFLPRCLSYKIQARKNKMANLSGITIFNYMNCNNIILRSQVVKRTSCPFCRMKCGSLKGVKHHLTSSHALFDFNFRLSENGHPMFDVSVKPDAFKNGVQNDDLEEHNRVNTYFYRSKSRMRGQRGVPKGRKCCKIFEKYSEDVPRDKANEIPHVNGDNIPSSLARTRLSGQTSDIQTVTQLEIGQSSKAKRPRATGRKRLNPRRLEAERTDLLRSRQFYHSKTLQPMTLEEVLSAADSDNENDKEFKDFQERMKIDRLVDASDDEKRFMVLWNTFMDDQSVYADKHVPWACEQFVKHHAKELITPKLSWQWRMFAIDTLCIKYGLISPKTMDKCSIILQKAQLEEEFPEEAAAAAEMEALEEAEAAAEAAAKAAAKASAAKGTRKSARIQKKKMQEAERALAMVCVRDLHF >A03p012380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4925735:4926718:-1 gene:A03p012380.1_BraROA transcript:A03p012380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYVNKPKTCGSFSSPKPQSPDRPEANRDGVTSERDTMAASLMNRAVSRTETLGAFRLSLNLLRNFSSAAAAAASPATENPSSDPIKAKRRKSKKNLIEVAQFLPNWGIGYHMAKAHWTGVSYEITKINLYKGGRHGKAWGIVHKDGLRAAEAPKKISGVHKRCWKYIPNLSKTTPATATAAQVQAA >A07p035780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19297320:19299136:-1 gene:A07p035780.1_BraROA transcript:A07p035780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLDLPEELIDDIFSRVPSESVRAARSTCKAWNVTSEEARESGESRMIMIMDHNVYLKSIVVNENPSIKRLGKLTCLTHGQVKISQVFHCDGLLLCILKDDKRLLVWNPCLGQTRWIEKGRHADVRAKYKYAIGYGYEEDSCRRHYKILRGINLCGRGVSLKGNTYWCVADPRRQIAHTVCFDFTRMRFGGLQNLPFAPYRFKQLVILSCVRDEKLSVLLQSWESLVVDIWVSDKIDEQKVLWSKFLRVCMFSTFISKISDGGFFVEEEKKLAMILESLQTKSVGHLCALMCQVSCRSSHPKLVQRSHKLESGLGYKGVVEQVLESGYGTIQGEESCNWFSVKTKDRNERSDTIKVFGEDGYFRELDLGEPSDKECWPLVCPYVPSFVQIKTHKSSSEKRRYDNKRIKHKNK >A01p021570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10503397:10514227:-1 gene:A01p021570.1_BraROA transcript:A01p021570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYLYSSPPPPPFYSSSPKTEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPYYSPSPKVSYKSPPPPPYVNLWKERNERRHQTGFRTVEHVVRIINKAMQNRITSLRYKADHKFTGLMCRWFEVTKLKRAATGRMRSPKIMGLGHCMVYVVVFSAIVAAAYPYEFPPTTQKYPPVHKTKSPYPPKKNSPYYSAPPSPPPQYRRQGPKYTPHPKPYIYSSPPPPSYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSYPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYIYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPPYYSPSPKMVYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPTPPPYYSPFPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKMVYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPFYSSSPKTEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPYYSPSPKVSYKSPPPPPYVNLWKERNERRHQTGFRTVEHVVRIINKAMQNRITSLRYKADHKFTGLMCRWFEVTKLKRAATGRMRSPKIMGLGHCMVYVVVFSAIVAAAYPYESPPTTQKYPPVHKTKSPYPPKKNSPYYSAPPSPPPQYRRQGPKYTPHPKPYIYSSPPPPSYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYIYSSPPPPYYSPSPKVYYKSPPPPYVYSSPPPPPYYSPSPKMVYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVNYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPTPPPYYSPFPKVEYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVEYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVDYKSPPPPYVYSSPPPPPYYSPSPKVEYKSPPPPYVYSYPPPPPYYSPSPKVEYKSPPPPYVYNSPPPPPYYSPSPKVDYKSPPPPYIYSSPPPPYYSPSPKVY >A03p034220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14457023:14458796:-1 gene:A03p034220.1_BraROA transcript:A03p034220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 44 [Source:Projected from Arabidopsis thaliana (AT3G01600) UniProtKB/TrEMBL;Acc:F4J4R5] MASAAWIVDGPGIASKVKNASLSSALQTRDCGASIQCPNCYHRIDNTNVLVPWPGLPKGVKFEPTDADIIEFLEAKCGIGGSEPHVLIEEFIRPVTEDVGINYTHPKNLPGTNKDGVSAFFVHKTVQAYGTGQRKRRKITPTCLNEEPVRWHKTGRNKHVFLNGVNRGCKKIMVLYKSARKGSKPEKSKWVLHQYHLGTEGNEIGEYVVSKVTYQKEKVKDEGESSGVRGGPTTPMTNTPTPPRPVDGGAGDGEAFDDDKMFDPFYEGLDSIPEAALWSKKARREEEVGVNLSEDNLTCNESIEASSPWEKNQILPNPSLGELDGFALSDLENVDLGTPPDFLTLASQDSLMNWMGWL >A02p044320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:27923728:27924888:1 gene:A02p044320.1_BraROA transcript:A02p044320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTHDHLSTLLFLNRNGQEGNAFSPELQKSQFPSITAGVGSRKRAREVSSVIDLDITASPMNPTPSTPPQFIGRGQTPNVVSTGLRLSQGQCQNREHRLTTFPMITRDIAGEIKRQTDELDMLLQTQGAELRRMLAENNERHYRELLRTTEESVRRRLREKEAEIVKATRRYAELEARAAQMETESRAWQVRAAAREAEATSLQVRLQQAFANGGGVTATEPKSGSDDCVDEAEDAESAYVDPDRFELIGPSCRICRRRSATVLALPCRHLILCKGCDGSVRVCPVCLSSKNSSVEVFFS >A04p034050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19770483:19771713:1 gene:A04p034050.1_BraROA transcript:A04p034050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLRVARSSSSLFSFGSRSYSAEASHVSSPPPPFLGARRDVTKERNVQWVFLGCPGVGKGTYASRLSSLLGVPHIATGDLVRDALASSGPLSQQLSEIVNQGKLVSDEIIVNLLSKRLEAGEAKGESGFILDGFPRTMRQAEILGDVTDIDLVVNLKLPEEVLVDKCLGRRTCNQCGKGFNIAHINLKGENGKPGISMDPLLPPPQCMSKLITRADDTEEVVKARLRIYNETSQPLEEYYRSKGKLMEFDLPGGIPESWPRLLEALRLDDYEEKQSAAA >A03p033130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14014684:14016932:-1 gene:A03p033130.1_BraROA transcript:A03p033130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISRDPFLRFTTLILITSSLFSYGTEARLHYYNDIHNPNSYPEPPYSSFSQSQPPSISPDPDDLSQPPSPCQDLAQEVVHDVRNYGAIGDGITDDTDAFKTAWDSACSNDEDNNTVSILLVPFGFTFVIHSTIFTGPCRSYQYFQVDGTIVPRDGPESWPSGFNKRQWLVFYRIKGMALIGNGVIDGRGQKWWDLPCKPHRNVNKTTALTGPCESPAALRFFMSSNLSMQGVTIKNSPQFHMTFDGCHEVHINSLRITSPPSSPNTDGIHIENSNSVEIHNSVISNGDDCVSIGSGSYNIDILNLTCGPGGHGISIGSLGNQNSRACVSNITVRDSFIKFSDNGVRIKTWQGGSGSVSGVTFDNIQMDNVRNPIIIDQYYCTSKNCPNKTNAVFVNDVVYQSIKGTYDRRSPPMHFGCSNNVPCVNLTLSDIDLVPSKGEMVVDPFCWNAYGVVDELSVPSISCLKSNPSTLLLGGLLGECGA >A03p026110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:10992849:10995892:-1 gene:A03p026110.1_BraROA transcript:A03p026110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPDLSSPLITSDQPEVFISIDDDDGDDHHPRGFEFDHLNPINPFGFLTDAEPPVRNPTTVDPFRNDTPGVCGLYEAVKIVICLPIALVRLVLFGVSLAVGYLATKLALAGWRDRHNPMPQWRCRIMWVTRFCTRCILFSFGYQWIRRKGKPARREIAPIIVSNHVSYIEPIFYFYELSPTIVALESHDSLPLVGTIIRAMQVIYVNRFSQASRKDAVGEIKRKASCDRFPRLLLFPEGTTTNGKAVISFQLGAFIPGYPIQPLVVRYPHVHFDQSWGNISLVMLMFRMFTQFHNFMEVEYLPVIYPNDNHKQNAVRLSQKTSHAIATSLNVVQTSHSYGDLMLLNKASELKLENPSNYMVEMAKVESLFHVSNSEAVRYLETFSSMNPDSSGRVTLHDFLRVLKLKPCTLSEEIFEFIDVEKVGSITFRQFLFASAHVSTQPLFQQTCELSFSHCDADGDGYISIQELGDALKPTIPNLNKVEIQGMFMFLDDDKDQRISKNDFLSCLRRNPLLIAMFSPILATT >A02g506680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:18663054:18666989:-1 gene:A02g506680.1_BraROA transcript:A02g506680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNDADNVQTPLNGGSGTDLHTPVADVSAANAQANAATLEELKKMFATYEKRSEEQDKLVNTLTKQVETLTARTQAIRPRGTTKIRGKRLDIATPLDRAGVARERPSGQNPSEKSPIEKGNSESLPLPAKDSEDNEAEHIDLDPSDVSNDTDEDVDRHPRRTRSRSAREGSPFEKPMTEEEEVAYWNEQEELAERQTELTRRHSTTNCKVLGARLAAKLLAGELSEDEVKSSVNANASDVEARHKSEAHATTQPEHPENSIITFQTRKNTRIILENNCATSQKVKIRGQCYVATELEPKLGRYVATERSSRSRPSDRPARSLRSDRAQAEARSLRSDRALVSLGRYIATGLEPKFGRCVAIEPFRTSIRHQSLHSRQTFECYLPKTVASSKPRKTRSKRVESEDGPKGPKTRLEAHPTIFPNQKPVNHSMVRAWPTRKDKCQVSADKYGSFEDNSATQLGLAVLGLLELGISPTALEPRLIPCCNAHTQIRNKIYFALFSISYFYRCYSCVLIA >A01p036100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:16636349:16637672:-1 gene:A01p036100.1_BraROA transcript:A01p036100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERQKQGGVQPGKEHVMDPTPQFSSSDYQPSNKLRGKVALITGGDSGIGRAVSYCFAVEGATVAFTYVKGQEEKDAQETLQMLKEAKTSEAKDPIAISTDLGFDENCKRVVDEVVNAFGRIDVLINNAAEQYESNSIEEIDEPRLERVFRTNIFSYFFLTRHALKHMKEGSSIINTTSVNAYKGHASLLDYTATKGAVVAFTRGLALQLAEKGIRVNGVAPGPIWTPLIPASFNEEKIKNFGTEVPMKRAGQPIEVAPSYVFLACNHCSSYFTGQVLHPNGGAVVNA >A09p025610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14285163:14289790:-1 gene:A09p025610.1_BraROA transcript:A09p025610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT5.6 [Source:Projected from Arabidopsis thaliana (AT5G45650) UniProtKB/Swiss-Prot;Acc:Q9FK76] MGPVPESWKGICQTGIAFNSSHCNRKIIGARYYVKGYEKYYGAFNVTANRDFLSPRDPDGHGSHTASTAVGRRVKGVSALGGFAMGSASGGAPLARLAVYKACWAKPNQEKVDGNVCLQEDMLAAIDDAIGDGVHVISVSIGTTDPLPYSQDGIAIGALHAVKRNIVVAASAGNSGPKPGTLSNPAPWIITVGASTLDRAFVGGLVLGNGYTVKTESITAFKMDKFAPLVYAANVAVPGIALNNTSQCLPNSLKPELVNGKVVLCLRGAGSRIGKGMEVKRAGGVGMILGNSLANGNEIPSDSHFVATAAVTPTVVEKILDYIKTDKNPIAFIKPGTTVYKNQPAPLMTGFSSRGPNVVDPNILKPDITAPGLNILAAWSGADSPSKLWVDQRVADYNIYTGTSMSCPHVSGAIALLKAIHPKWSSAAIRSALMTTAWMTNDEKKPIQDISGLPANPFALGSGHFRPTKAADPGLVYDASYRAYLLYGCSVGFTNIDPTFKCPSKIPPGYNLNYPSISIPNLNRTVTVKRTVTNVGNGNSTSTYIFSARSPLGVSVKAKPSVLSFNRIGQKKRFKIMVTIRKDKMNVTEKGQYQFGWFSWTDKYHVVRSPIAISLA >A09g505250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:16272268:16279142:1 gene:A09g505250.1_BraROA transcript:A09g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHRRPVCADGHTQTHTDSHRRPVCADGHTRTHTDVLCVLTDTHRRPVCADEQPGTATDVLCVVADTHRHTRTATDSHGRLVCADGQPRTCCVCWRTHTNVLCVLTDTHGRPVCADGHTHTATDVLYVLTDSHGHSPRGPKSPEQSTGRVSVLSPRTNVLISELLDSHRRPVCTDGHTRTHTDSHGCTVCADGHTRTSYSLRGPKSPEHSTGRVSVLSPRTSVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTKQEGLNHEDNFYGFYTQDGVQTNWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKKRPEPKPIIGVKRSLLSFQKAQDLEKWSRKLEDMINFPKPAKPALHLPYLEDPGFTLNQPQEWQPGDLLSHSEELYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSFKESLQPIQLGSTQGYLWEPGDTLDHSEDIQNVLSWTSTQEIRRISLPINLPYLATSTLNALEKFLQIFAQDQRPYSLLLRPRSILGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLTAPKHLDLSRLLSIESCGVLNPPSLHSNSFITCIPSYRPSDHLFAMEEERHGQNLRATSSQQSAALQKLQIKIAQLEKRNQAQGQRPHEGDLEMYQGQSMSSPSHQILQGSIKLQLLKPTTLMLLILGKRGYLRWERNLDEWFHYNNILRKERLAYAIDQLKDDAFKWWVQEEDDRWFYKEPAIKTWRALKEVMRDRFSPDYTRSEIQELYPRRYPTHGSKEARKMVEQEVQRVLPKEANFQPNQGHAIVHCLEQESDIPKVRKMSTSVGQNTLIRSKDKPEQVIIQVKAKVSPIHDKSFHKSSTTCMMHLSLSKSVITGLKEPSYIEEEAPGTNLPMDQKEARSTKQSKLLNKPKPVIQVSNQGIPDESHMLTGVPSAEPDHELNQNPHHKWKPKSEQCTVQVPKSEESKVTTRQTKNKKFLLPHLTLRCFDPGISQEEHKNRAQLSQEDGYTNQGKHLQERQPSNQISPKKNIILHHADAPKNVEKFSGCKEESFKEIPPDNLLLLGGSNPKMVRTEPARSMKDHPLKKRSNAKFHSRGVILSYLLKEEPPDEQSIPKPKQYQGYTVSRSKPFQGGGNVAASNSAAEPEVDPTPYSTSQGANQDIRALKMPYLTKQEGLNHEDNFYGFYTQEGVQNNWNLPKIFTEQEVMNFTIQRFLSPSICEYATLEEDSSPKKKRPEPKPIIGVKRGLLAFQKAQDLEKWSRKLEDMMNFPKPAKPALHLPYLEDPGFTSNQPQEWQPGDLLSHSEALYNIIGSTMPHWIRRIPIKPKDQAGLHQLAKPTSLKESLQPIQLDIKDVLSWTSTQEIRRISLPINLPYLATSTLNALEKFLQIFAQDQRPYSLLLRPRSISGRLEDHGHVQKLSRYKSSQVSLLEGSKSSLTAIFHGVIKAFAPKTLSSTAPKHLDLSRLLSIESCGVLNPPSFHSNSFITCIPSYRPSDHLFATDVLCVQTDTHGHTWIHTDSPGRPVCTDGQPLTATDVLCVPADTHRHTRTNTDSHGRLVCADEQPRICCVCWRTHTDVLCVLTDTNRQPRTSCVR >A08p012570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000014.1:155876:156671:1 gene:A08p012570.1_BraROA transcript:A08p012570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFPKINLRKDIFTKSLAVKSCSNLNRTTLYRFSEGNGNVSKSAADKLGYGNQTADKPSSIDTRRPSMHTARSLHSDRESVPLGRYALTELEPSSRPSIRPARSLRSDRASVPLGRYVVTELFRNVDSTPVHSLSSILRCYLPKTVANSVHKVRFLSKEVAVNASSRKTAQRDLKHDSRPILRLLNQKLVNRSTVYALRARKDKCQVSADKYKILRIITKIRKREYLHFML >A10p039750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22000908:22006382:-1 gene:A10p039750.1_BraROA transcript:A10p039750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,4-alpha-glucan-branching enzyme 2-2, chloroplastic/amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G03650) UniProtKB/Swiss-Prot;Acc:Q9LZS3] MVVVHGVSLTPGVLVSSFPLPFSSSSNARSLNSTVSFFLKKRPLSRKIFAGNQSAKFDSKSQAVSKKLLVPDNVDDGASLVTDDALQGPSNVTEESQKLNLESQTMEEDGIVITEDQASVSVNSSKVVKEKEVKPRTVPPPGNGQIIYEIDPMLRSYKDHLDYRYKQYKRLREEIDKYEGGLEAFSRGYEKLGFLRSDAGITYREWAPGAKSASLIGDFNNWNPNADIMTRNEFGVWEIFLPNNTDGSPAIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPFNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSTDTFHCDDDSELVMQEPMINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRCGTPEDLKSLIDRAHELGLIVLMDIVHSHASKNTLDGLNMFDGTDAHYFHSGPRGYHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLSVGFTGNYTEYFGLETDVDAVTYLMLVNDMIHGLYPEAVTVGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWKMGDIIYTLTNRRWSEKCIAYAESHDQALVGDKTIAFWLMDKDMYDFMAVDRPSTPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQRLSDGSVIPGNNFSYDKCRRRFDLGDADYLRYHGLQEFDRAMQHLEEKYGFMTSEHQFISRKDEGDRVIVFERGDLVFVFNFHWTSSYFDYRIGCSKPGKYKIVLDSDDPLFGGFGRLDRTAEYFTFEGSFDGRPSSFMVYTPCRTAVVYALADRDDDLETLGSKP >A08g503310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6147552:6148066:-1 gene:A08g503310.1_BraROA transcript:A08g503310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLRNDCINVSSKLELDQPVPKPGRYIATCQASKRSSFAFSFECSSKRFSPPTRYIATCQALKRSSFAFSFESRSKRFWFRLNQSFCLDFTTKTSKTRLNSLACSYSPLPPSLRSPSNLDRNVSCVVSIGVTIETLR >A04p037140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21220471:21223213:-1 gene:A04p037140.1_BraROA transcript:A04p037140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNNKDKEKKIEFTFEDDEDLEDTSKYENDSDNTDQSDLGDLPGDDDNNNNDDTFISQVQWPHSFRETTDSYTIAASPIFGSLLSNPSFYTPSFYRQSKSNLDVESKTPLLPERNEESDKASVTQSVWSHKGSFAEELPIGGYGCSFTQTIFNAINVMAGVGLLSTPFTVKEAGWASMVILLLFAVICCYTATLMKDCFEAKTGIITYPDIGEAAFGKYGRILICVILYTELYSYCVEFIILEGDNLTGLFPGTSIDWLGIRIDSKHLFGIFTALVVLPTVWLKDLRIISYLSAGGVIATVLIAVSTFFLGTTGGIGFNHTGPAVKWNGIPFAIGIYGFCYSGHSVFPNIYQSMADKTKFNKAVITTFILCVLLYGGVAIMGYCMFGEATLSQITLNMPKGLFFSKVAQWTTVVSPFTKYALLMNPLARSIEELLPERISENIWCFLLLRTALVASSVCSAFLIPFFGLVMALIGSFLSILVAIVMPALCFIRIMGNKATRTQMILSSTIVVIGLVSGALGTYSSVAKIIRNY >A10g503230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8472056:8474370:1 gene:A10g503230.1_BraROA transcript:A10g503230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYLNMEMKHRSSTTMELEEERDGDWSRWAKRALESCGLWCGHVKGKPLVEMATEEGQTRNLKSEDEADQETTLESGIEEAYKERSKLVKLDVLRPSTADPRVIKKRRKQDVFFSFLVKEIWVLTLQACDVWKENKRSNQWKGGTSCKKGRLRKLSRVWLKMGKAWKKNRESGYLTDKMSLKMIKEVAQQVVRGDCSYSAYMSNSVKDTMVMKEQEIKGADDPITKKEWDGFVKSARAAPRGFKSS >A06g505130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15065327:15066327:-1 gene:A06g505130.1_BraROA transcript:A06g505130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGKQMYEWKKKWEVNKKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGHIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVVSSVPKKKGRLVGLGRRSRSAAPSSAPPAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIPDQ >A05p044560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26788889:26790313:1 gene:A05p044560.1_BraROA transcript:A05p044560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFAFQGSFSMWKDVAGIAGNIFAFGLFISPMPTFRRILRNKSTEQFSGLPYIYSLLNCLICLWYGSPFISQRNLMLVTVNSVGATFQLCYIILFIMHTDKKNKMRMLVLLLVVFAVVGLIVAGSLQIPDKLARWYFVGFLSCASLVSMFASPLFVINLVIRTKSVEFMPFYLSLSTFLLSGSFLLYGLFNSDAFVYTPNGIGTILGIVQLSLYCYYHRSSIEEETKEPLIVSYV >A03g504840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:17425641:17428096:-1 gene:A03g504840.1_BraROA transcript:A03g504840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLPAPAAPAPAATPAPAPTGTSGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNRISAWINRMMYSALDKGHPTFTHFPLEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKHMYKWKKKWEVNKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGDPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKRRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A09g516190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47797800:47798416:1 gene:A09g516190.1_BraROA transcript:A09g516190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPDEEYSHMKALKRHNDMLGFVADAQYGVPTKCPCGGGIIPEVSPCNKFPNDFDTQPGRRYFTCKNFENNGLHFRTPWVIAIQDEVTKLVDRVKEMAEEIDRLKAQLYQLHRP >A03p057020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24737561:24738347:1 gene:A03p057020.1_BraROA transcript:A03p057020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLGRLLDKSSNVFYPRRLRGSLQEVFCPNYLNAFEYVKLSDLNQTLENFLKDSWKTLGRLLGKSSIAFYARRRPTKFSGSLPKFPAQSYTNFGYTLEDFSEDSWKTLKNSRKTLGRLLEKSFNVFYARKLPTKFSGSLLPKVVQRNDVKWRPSLSMLRNNI >A10p027870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:17449609:17451097:-1 gene:A10p027870.1_BraROA transcript:A10p027870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] 3 [Source:Projected from Arabidopsis thaliana (AT5G18100) UniProtKB/Swiss-Prot;Acc:Q9FK60] MGGPNLRAVALIAGDKNIRGCLQFTQDTSGTTHVTGKISGLSPGFHGFHIHSFGDTTNGCNSTGPHFNPLNRVHGPPDEEERHAGDLGNIFAGSDGVAEISIKDKQIPLSGQYSILGRAVVVHGDPDDLGRGGHKLSKSTGNAGARVGCGIIGLQAAVDPKL >A02g507510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21010454:21011667:-1 gene:A02g507510.1_BraROA transcript:A02g507510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSDIEGDKTLIEISPATANDLPLSPKMHLGVRSRTLERSQDVLEQGEGVVEVVEKAAVTEKEALENTKAVSSGGNLTGRVSESGGNGKEVMNGEEIKKCNSSKAKLFPPIGNHVSSEGRRNSASLSNGFFVPQDLR >A01g506570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19009488:19012465:-1 gene:A01g506570.1_BraROA transcript:A01g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFEVRFTIYWRGKFEITEGVTSYKGGDVVQLDCLPQMLFTKMADALGNSLCGQRVWYKLPKDDFTELKLMCNGNEMFDKMLEATILCKAVQIFMEKDDDDIDGGDGDGSGDNGASGDRRSGEGDRVSGIGDNCDEEILEEDARVEKNIEGFVDEEENHNDEDGDGSTENQRCPFRIYCSFEKSLGMYLIKTFEDEHSCIPDGYCTVIRGRIIAKRCGVGGHNSRKCPNHGCPVYRPRKQPSGLSQDEGPSQASQNSNLGMEVGYRRLDHGSFWYCFQGMKVGY >A06p046380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24831024:24832350:1 gene:A06p046380.1_BraROA transcript:A06p046380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHMIDLRISVTLVVVFFLLTTFPGIAYGDEDDSEGGGGGGPGFGGGGTGVGGGGIGGGGPGFGGGGTGVGGGGIGGGGTGVGGGGTGFSGGGTGLGGGGLGGSSGGGTGFGGGGLGGGGGTGFGGGGLGGGGLGGNDPPEIVAKALECLNEKHIYRECEDAWRLTLNGDLNIPVARADEFCEGPCFSETHLALNCIDEIVHHFRFFNRATVYNIRETLKSGCSYGPERGIFNVLEHIEDEEENGNERMKARSGPLLGTVLFIIALLL >A05p032620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17810207:17818496:-1 gene:A05p032620.1_BraROA transcript:A05p032620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAR1 [Source:Projected from Arabidopsis thaliana (AT1G33410) UniProtKB/TrEMBL;Acc:A0A178WF46] MERNRLSSSAGMEVPVAGGGSVVKWVDISVSAPPSPCTEDNGCVLLPSSEEDYASSCVIGEPPISFVWRINKTSSNVLELLQLSSESGFPLTGLRFVFAHTLSPFAFLFADEGNDSGRLVFFLYALTPSGVVYLLKLENTSAYKSGSVFPLDHLTHLDVRPYLNETHVTSVAASPGFLFLGRSDGCVSCFQPLRPPGFHQELRDDTGLGRLWGFVRHVLIVVPGTVVAAVQDLFISEVYGRYLICVLHADGALRVWDIFACSRVLCQSIAAKNVEGVMCLRLWLGKADYDSGIIPLALLYRNTMDVNVVDVITVYGLHFSSGEGIALSLDSGLQNISLEGGELCDVRFTSDKIWTLKADELTSHMLYHKSSTMEARSYTLQEEYISEQLFLSARSSSHDLLLTSHSLFSSAKDQIMRFISSIFVRRLLCPGIYHNVALRLTLRDHNKHWTDSEFQSLSLDELKSEILLLVEQEVTGETSISVFHWWENFCSCYLDHWCNNNEPCTLLVQSDVIGLVRNNSVSLFSRLENVEHSLGGSSSEHSGLTSLGLGMSINEHEILSEVLRCTLKINKQWGAAPYAMYYESVTGRPVISSEEIVPRLVNILESGYAMSIGQRTWSDLGTDRAWEKELEAQKNLRTFSIEMLLSLSALCQRAGSWGKVFTIMEHYLQYLVPKKVMHNNVGETLSDICSSILVQATSQFAQVMFESAFDIFLLVSYLLNISGQVNMSQQDICKLRLELLPMIQDIVSEWLIVLFFVTTPAQLTSMDDFSSKLSSLQIDSSIDKRSWNTMLGKCGFSLAYILLFSDRSCIVDSRFNLRYLPSSQIITSLVQNFISWIRYSKTGEDASSLLRRSTELTLRLIRNGQADAVERILLVVEASLRGEKTFGHAQDTNGDWCLLQHLRGCCLLDQVQRGACGISRERKISDSIRCFFRASSGEGSWKALHSLAKEAGFSHSTIGASISDGEKSCATWKLHYYEWAMQIFERYNISEGACQFAYAALEQVDEAINFMESSENVHPPVTATYCRGRLWANVFKFTLDLNLLNDAYCAIISNPDEEIKRICLRRFIIVLFECGKTKILSEGHLPFIGLSDKITQELFWKAGRSEIMIKPNPYKLLYAYEMRRHNWRMAASYMYQFSARLRSEAASTDYKHKSLVLQERLNGLSAAINALSLVHPGHAWIDPLPEESMHYPAKKAKRVEEQQSVRSSDQPKGYQSCIDIEKIQNEYVFTTAEYLLSLKNFEWTYSGLEKPPPDLVDLLVQADLYDMAFTVVLKFWRGSALKRELEKIFENMTIRCCPAKGTLWSSNDLRPNLLLTSTEDEVTHSPDRSPAAQSSNLAGDWEILEVYLKRYKDIHARLPVTVASTLLQADSCIELPLWLVHMFKDGKKEKALGMGGQEASPASLFQLYVDYGRLTEATNLLLEYMESFASSKPAEVLKRKKVSGVWFPYTTVERLWWALEKTMNSGRMLEQCQKLKGQLQQALLNHLKLLKVDSDDAVSSATG >A03p027740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11617280:11618128:1 gene:A03p027740.1_BraROA transcript:A03p027740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKANGIPVVDADVIARNVLKKGSGGWKRVVAAFGEEILLPSREVDRPKLGQMVFSSDSKRQLLNKLMAPYISSGIFWEILKEWVKGAKVIVVDIPLLFEAKMDKWTKPIVVVWVSQETQLTRLMERDGLSEEDARNRVMAQMSLDLKRSKADIVIDNNGGLDELHQQFDKVLSEIRRPLTWFEFWRSRQGAFSILGLVTSGLFVCKQLN >A06p049060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25947245:25952563:1 gene:A06p049060.1_BraROA transcript:A06p049060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEGKETGKKTMKSFRSIRSIFMHADGVDWVLMGLGLIGAVGDGFTTPLVLLITSKLMNNLGGSSFNTETFMQSISKNAVALLYVACGSWVVCFLEGYCWTRTGERQTARMREKYLRAVLRQDVGYFDLHVTSTSDVITSVSSDSFVIQDVLSEKLPNFLMSASMFVGSYIVGFILLWRLAIVGLPFIVLLVIPGLMYGRALISISSKIREEYNEAGFVAEQAISSVRTVYAFSGERKTISKFSAALQGSVKLGIRQGLAKGITIGSNGITFAMWGFMSWYGSRMVMYHGAQGGTVFAVAAAVAIGGVSLGGGLSNLKYFFEAASVGERIMEVINRVPKIDSNNPEGLKLEKVRGEVEFKHVKFVYPSRPETSIFEDFCLRVPSGKTVALVGGSGSGKSTVISLLQRFYNPVAGEILIDGVSIDKLQVKWLRSQMGLVSQEPALFATSIKENILFGKEDATMDDVVEAAKASNAHNFISQLPNGYETQVGERGVQMSGGQKQRIAIARAIIKSPTILLLDEATSALDSESERVVQEALENASIGRTTILIAHRLSTIRNADVITVVRNGHVVETGSHDELMDNIDGQYASLVRLQQIEKDDSSVNMSVNVQTSPTLDPTKDFRSCSRVSTLSRSSSTNSVTGSSIVKNLSKDDKPPLPSFKRLLAMNLPEWKQALYGCISATLFGAIQPAYAYSLGSMVSVYFLTSHDEIKEKTRIYALSFVGLAVLSFLINISQHYNFAYMGEYLTKRVRERMLSKVLTFEVGWFDRDENSSGAICSRLAKDANVVRSLVGDRMALLVQTISAVTIACTMGLVIAWRLALVMIAVQPLIIVCFYTRRVLLKNMSKKAIKAQDESSKLAAEAVSNVRTITAFSSQERIMKMLEKAQENPRRESIRQSWFAGIGLAMSQSLTTCTWALDFWYGGRLIEDGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDAVGSVFAVLDRYTSIDPEDPEGYEPERLTGRVEFLNVDFSYPTRPDVMIFSDFSIDIDAAKSTAIVGPSGSGKSTVIGLIERFYDPVKGVVKIDGRDLRSYNLRSLRQHIALVSQEPTLFAGTIRENIVYGRASDKIDESEIIEAARAANAHDFITSLTDGYDTYCGDRGVQLSGGQKQRIAIARAVLKNPSVLLLDEATSALDSQSERVVQDALERVMVGRTSVVIAHRLSTIQNCDAIAVLDKGKLVERGTHSSLLAKGSTGVYFSLVSLQRTTC >A04p020960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12797067:12808935:1 gene:A04p020960.1_BraROA transcript:A04p020960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MIEGVAKLPNICSNFNFRIVALYGGSLGFSFGHNLRPHLWDGFSLGKEKRGREAEKKRLGHTEMANDNNLSSLVHELRERVAASSANNLGHAYGEDDALEIRFRAVIPNLLNAYVVPSLGSRREVTAVLKLVGHTARNLPGVFYHGDPAAVLPVIAHILPFFAEPEFAPGHVVILDTVGSLLMLLRSNSRKAYRMFFQDALQAIQDMQPIAYESHIPFRCFSMSFSGVWGDSCHLCDLPVANKPAEGDGLVLSLLGAKRWEPFATCVIKLICKCLTEGTLYVEGLIHTLFLKAACSLVCYGGADVQMACFELASLVGSILSFNILPHVGLIQSIILLLSADEEGLPVYRNAVYDSTLGRCLATVYSSCSDVTVKLTAESIVLVFPHALQRTKSEELKASLCSAYVRIVKSCPPYVWKLHCLLELLHLSEPCFQLIECFQAVLVVLGPDFDTAKCGGHTTAASARPVQGINAGQKRHMKDGSTHKRKRQKVGVDTQQGVYFAPEITDETDGKDSVNLHRMLISAVESLKPPPAGLSLLRPEILIMALSILTNAFCLCPWTRMTYRLFRQMYAWIPWIAEQVENKNPIIFDISLYLEGIYNMLLVLADLDLQHEYTSKENDLDAIQVLLKLPWTHSLLFKRPSSLRKAKCLSVGMWTKLGIQSGSGFDIFSTALSDDSEQVRAVAVISMPLKVLFTGLDALPHIFRRLEHLLKEEDLMVKKTIPQSLGFLSCLYGSSTTGPEKTACHLFLHEDIKKDETLNCLLQGFQCSKCDKLIESKDEKHFRIIETPEMGNLEMGHHCDYSDLQSLYFNLLYDDSSEETQLACVEVIQRVLGHTTPDILVRTRSQWIRCLQYLLLHVNTDVREAFCAQIGIFVQQPIVSCLFLDEDAMEKSCERNFFDLIERSLATAKDLLVIQTLLETAAEVMVAVDITSELFLFSLFLLIDQLDHPNLIVRINASRLINRSCYIHVKGGFAMLLSRAAHIQTKLFDNLSARLTIHPNVVREFAEAVLGVETEELVKKMVPVVLPKLLVYWQDNAQAAKTLNELAKLLDTDVVPLIVNWLPRVLAFALNQEEEKNLLSVLQLYHSQTGSDNKEIFSAALPALLDELVCFLDIADTPETDRRLQRLPEAIKKISKVLTNAEDLPGFLQNHFVGLLNSIDRKMLHADDIFLQKQALKRIKLLIEMMGHYLSTYVPKLMVLLMHAIDKDALQSEGLLVLHFFTKKLAAVSPSSIKHVISQVFAALIPFLEREKEGPHVCLDEVVKILEELVLKNRDILKQHICEFPLLPSIPSLAGLNNAIQEARGSMSLKDQLREIVNGMKHENLNVRYMVACELSKLLYQRNEDVAALISGELMSDMEILSSLITSLLQGCSEESRTTVGQRLKLVCADCLGAVGAVDPAKVRVASCNRFKIQCSDDDLIFELIDKHLARAFRAAQDTIIQDSAALAIQELLKIAGCEPSLAGNVVVLTSQEPVQVSVSGSIKSGRSSEVNERGQKLWGRFSNYVKELIAPCLTSRFQLPNVSDPGSAGPIYRPSMSFRRWLSYWIKKLTAHANGCRVSIFAACRGIVRHDMQTATYLLPYLVLDVVCHGTEAARLSISEEILSVLDAATSENSGVNNFGVGRSEVCVQSVFTLLDNLGQWVDDVKQGVALSLSVQSSGGRQAASKSKDQVSTSTTEQDQLLVQCKYVLELLFAIPKVTLARASFRCQAYARSLMYLESHVREKSGSLNPAAEKTGFFENADVSSLMGIYSCLDEPDGLSGFASLSKSLSLQDQLLIDKKSGNWAEVFTACEQALQMEPTSVQRHSDVLNCLLNMCHHQTMVTHVDGLISRVPEYKKTWCTQGVQAAWRLGKWDLMDEYLGGADEEGLLFSSSDSNASFDRDVAKILQAMMKKDQYSIAERIAISKQALIAPLAAAGMDSYTRAYPFVVKLHLLRELEDFQALLNGESYLEKSFSTSDPVFSKVVDNWENRLRFTQSSLWTREPLLAFRRLVFGASGLGAQVGNCWLQYAKLCRLAGHYETAHRALLEAQASGAPNVHMEKAKLLWITRRSDSAIIELQQSLLNMPEGVVDSTVISSINSLLMAPPNPEPTVRTTKSFSDKKDVAKTLLLYTKWIHHSGQKQKKDVLNLYTQVKDLQPWEKGYFHLAKYYDELYVDARKCQQESIVLSSAGSKKGSVSSNSSTEKAGWDYLFKGMYFYAKGLHSGHKNLFQALPRLLTLWFDFGTIYQMSGSAGNKEMKSTHMKIMSLMRGCLKDLPTYQWLTVLPQLVSRICHQNGETVQMVKNIITSVLHQFPQQGLWIMAAVSKSAVPARREAAAEILQGARKGFNQSDRGHNMFIQFASLTDHFIKLCFHGGQPRSKIINIATEFSALKRMMPLDIIMPIQQSLTITLPAFDMKNNERHSASVFSGSDLPTISGITDEAEILSSLQRPKKIILLGNDGIEYPFLCKPKDDLRKDARMMEFNAMINRLLSKYPESRRRKLYIRTFAVVPLTEDCGMVEWVPHTRGLRHILQDIYISCGRFDRQKTNPQIKRIYDQCAAKKECEMLKTKILPMFPPLFHKWFLTTFSEPAAWFRSRVAYAHTTAVWSMVGHVVGLGDRHGENILFDSTSGDCVHVDFSCLFDKGLQLEKPELVPFRLTQASSHGLGITGYEGIFMKVCEITLTVLRTHRETLMSILETFIHDPLVEWTKSHKSSGVEVQNPHAQRAISSIEARLRGVVVGVPLPVEGQARRLISDAVSLENLGKMYIWWMP >A07p044020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24067604:24069832:1 gene:A07p044020.1_BraROA transcript:A07p044020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKALDYETLNENVKNCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDNPNVGMIFPADAIARAKHYLSLTSGGLGAYSDSRGLPGVRKEVAEFIQRRDGYPSDPELIFLTDGASKGVMQILNCIIRDQKDGILVPVPQYPLYSATISLLGGTLVPYYLEETDNWGLDVNNLRQSVAQARSQGITVRAMVIINPGNPTGQCLSEANLREILKFCCDERLVLLGDEVYQQNIYQDERPFISSKKVLMDMGEPLSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPRTVEEIYKVASIALSPNVSAQIFMGLMVSPPKPGDISYDQFVRERIKISQKRYFNSKGILESLRRRARIMTDGFNSCKNLVCNFTEGAMYSFPQIKLPPKAIQAAKQAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMESFKKFNDEFMFQYGDNFGYSRM >A08p000510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:313040:316149:1 gene:A08p000510.1_BraROA transcript:A08p000510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSALHQPESFPFDRDMSGVEENGTLDEIRERRSDFEISEEDERRRSKIGSLKKKAINASTKFTHSLKKRGKKKIDYRFPPVSIEDVRDEKEESVVLEFRRNLLERDLLPPRHDEYHTLLRFLKARDFNIEKTIQMWEEMLRWRKEYGTDTILEDFEFQELEEVLQYYPQGYHGVDKEGRPVYIERLGKAHPSKLMRITTIDRYLKYHVQEFERALLEKFPACSIAAKRRICSTTTILDVQGLGMKNFSQTAVNLVAAMSKIDNSYYPETLHRMFIVNAGTGFKKMLWPAAQKFLDAKTIAKIHVLDPRSLSKLHEVIDSSQLPDFLGGSCSCFGDGGCLRSNKGPWNDPEIMKLLYHGESSLFRQISRKLSDPQNSSSSYISIHPSRAMQAESSAAESESCSDVPTSVTGRMCSASAHVNPVYEDQARASDVNGYYSCDDKFDIPNIATNRKGQERQSHYQMIELNQATLGLKRETSPPGTPIIRWLRDLRGTMDIIRTENVAKRILALLLKLAAVFRCIPFQILRRKNTITPSTPTEDENRSRLISVPTETTMKDKIRPCLERIQELEKVYEEMRNKPVEIPVEKERMLMDSLDRIKSVEFDLVKTKRVLHATVVKQMEITEMLESLRESQLHRRRLFC >A04p013940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:5203542:5204883:1 gene:A04p013940.1_BraROA transcript:A04p013940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWEIQLMEAAKEELEILQAQYPNRFAYLKSDLQSFISNLSEDHAPPSRASSSPLVLSQESSNCKNKQKHKKRKFTNELFGDQTSSSTGKIHKNNNHKMVQRVVTKRKTRVEMVLERAQLCLQKIRDVKASLC >A09p023450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12849647:12851076:-1 gene:A09p023450.1_BraROA transcript:A09p023450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable ubiquitin-conjugating enzyme E2 18 [Source:Projected from Arabidopsis thaliana (AT5G42990) UniProtKB/Swiss-Prot;Acc:Q9FMM0] MISDTCEHGEGVKTVRWKMGYSVIYDSFTCIFVWPFLTPEKYLKNSDKSRRSKVLLSVSDSTSVSATMTSSSSPSRKALSKIACNRLQKELSEWQVNPPTGFKHKVTDNLQKWIIEVTGAPGTLYANETYKLQVDFPEHYPMESPQATFLISFFGIYIFVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPEKQRPADNDRYVKNCKNGRSPKETRWWFHDDKA >A09p012390.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6335883:6336995:1 gene:A09p012390.1_BraROA transcript:A09p012390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase [Source:Projected from Arabidopsis thaliana (AT2G17265) UniProtKB/Swiss-Prot;Acc:Q8L7R2] MATLCFQSPTKPISYFHPKSKPSPPLSTKVSLFRCRASLQTLVAVEPEPVFTSVKTFAPATVANLGPGFDFLGCAVDGLGDHVTLRVDPSVRAGEVLISEITGTTTKLTTNPLRNCAGIAAIATMKMLGIRSVGLSLDLHKGLPLGSGLGSSAASAAAAAVAVNEIFGRKLGKGELVLAGLESEAKVSGYHADNIAPAIMGGFVLIRSYEPLDLKPLRFPEDKELFFVLVSPEFEAPTKKMRAALPTEIPMVHHVWNSSQAAALVAAVLEGDAAMLGKALSSDKVVEPTRAPLIPGMEAVKKAALEGGAFGCTISGAGPTAVAVVDAAEKGEEVGERMVEAFMRGGNLKAVACVKKLDKIGARLVSSISR >A09p009350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4830554:4832403:1 gene:A09p009350.1_BraROA transcript:A09p009350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVKPNGGSPSPSSSTTPHRLNPAAATASDRDPMHSWWESVSKQRSRILSLSSLLSSGDEAPISSLADSDRPALSLLSSRPAYSLISASLRDPSSGSGSDPLCQWLYETYLSSDQPLRLVVLSFLPLLAGTYLSRIHSSDSSSLPSLSGFEAVLLAIYAAEVKSRAGKGVLVHIPDLSQPSLYHTPRNGGVDRSRDSSNHVASVGVLSPQLEPQVAVKSTKRAGIVGVGMQCYFKEISQMPAWSKLELCSFAGAWAGQDCDCKEKIDGEGDKVLALTNGFVDSSSSLNGSSEIEAEEVEEEEEEQVISSNGVGVGVGGGVRIPLPWELLQPILRILGHCLLSPLNSEDVKDAASNAVRSLYARASHDLNPQAILATRSLVNLDASARAAAKTASAESVDGLSNVNTPSKSKKPEILLSSKILKDHVHVHLEHTQEPALFITYA >A07p012060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:8365600:8367556:1 gene:A07p012060.1_BraROA transcript:A07p012060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rop guanine nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) UniProtKB/Swiss-Prot;Acc:Q93ZY2] MASLSSEEDEECSSERCGSYSPSADVSCQSESSSSFFGEASSPRRFYFPAPVMLPVIGGKDVVWDDKSEKQGSDLSEIEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQKKAMWRRELEWLLCVCDSIVELIPSTQQFPGGGGTYEIMETRPRSDLYANLPALKKLDAMLIDMLDGFSDTEFWYTDRGIGLGDCEKESFDSPASRGGGCGRPSFRQEEKWWLPCPKVPPNGLSEETRKKLQQCRDFANQILKAALAINAGVLAEMEIPDPYLETLPKSGKECLGEIIHQYLTANKFSPECLLDCIDLSSEHQTLEIANRIEAAVHVWRQKKKGRKHKNQGKLKLSSWSGKVKGLVNDSERNEFLAQRAETLLQSLRIRFPGLPQTTLDMNKIQYNRDVGHGVLESYSRVLESMAFNVTARIDDVLYVDDAIRRSVSVTESPALFSIGGLNGRPAAQKPFSAQSSPYGSPFATPSLSIASRSPRRAPLLYRSGTREKGVLGESEKAWSYAGNLSSRRVTGVTPERD >A03p039630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16500311:16502321:-1 gene:A03p039630.1_BraROA transcript:A03p039630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRLGTLHYVIDHIYGALMHRTRITPPFFSRGWGGPNLELLERMAKQLFPMYLEGHNCPPPPLVRPVWRTVWETKTARLREGVFQTPCDDELTAALPPESRTARVAWLVPKDVPPQKMSCVVHLAELVAMLYAGMPCLVAYVILIYGIADNVLAFPFYGRRRPFLQRGARLLCVSDLLLLGRATIEESRSLIHWLDVEEGFGKMGVCGLSMGGVHASMVGSLHPTPVATLPFLSPHSAVVAFCEGILKYGTAWEALREELAAQKITMTLDEVRERMRTVLSLTDVTRFPVPKNPEAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVRGGHVSSFILHNDEFRKAIVDGLDRVDWKESW >A05p047740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28059471:28063844:1 gene:A05p047740.1_BraROA transcript:A05p047740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFLAISCFLCISTIVRATDIKYCNDNAQYQVKVQGVDISPYPIARGEQVTFSLASNTDNVISKGKLVIEVSYFGWHIHSETHDLCDETNCPVAIGDFSVAHSQVMPGYTPPGPYSLKMKMLDGHKELTCIKFSFDIGFGSSVADIQITDIIQSNITFSNHMANFDGPGFAMVDGYWIQTKAIDVEPSTDISPYLSRLLEDCVWNGNRAIVFDLYWDVKSINTKSEWRLSSVKLSTKNLCLFLRLPNPFTDHLKDLYRFFASKFVTFVGVQIEEDLVLLKENHGIVIRSSLEIGKLAAKARGTPIVEFLGTRELAHKILWYDMSRLDSIQSKWDEAGGNDRLEAAAIEGWLIYNVYDQLQQ >A09g511560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34646633:34648399:1 gene:A09g511560.1_BraROA transcript:A09g511560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKIQKNITKVRCRSWKGSGKVSYQDISTLESHFDKLFPFISHTGNNEVVDNAKFITEDEKKDEESGCKRTSKSVLTKSIMRWLNSRKRCLKLRVLHIQREKPKHLRVRSPGPSAAKGKGKGKAVESLPPPTVRRSPRPDVQNFEDDMMDFLKNLSQSSNIKVEMETQEYLQDAMGNLSQSSYVKGFDPSQKLNGEEPAECVTPLTSFKPADWRPPTLKDIDLHEDRVNNSDYSLVFVPKDSWANLIKWSSTSIELAARVMGPTEWLFNNEIDAMMYLFTERTFLRGWEPTKVAFMTFM >A05p055360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:32704297:32704848:1 gene:A05p055360.1_BraROA transcript:A05p055360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENQKTHRSVSRKDRSSGAGEEAAPGDKCSGGRCRSSWAGAAIADCVALCCCPCAVVNLITLAFVKVPWMIGRKCIGRRKKRKKKMEREDRFHHNQRSEPVKGVSSGCCGGGYGELDDHRFVVERDGSLTKEEEEEEKTATFRGEDEARISARAEAERVWLELYQIGHLGFGRVSFTGIHQ >A04p009930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7512333:7514855:1 gene:A04p009930.1_BraROA transcript:A04p009930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPPQFFRASQIYFFCVLDYGRRRWRRWWCRVQLTHGGGGFRDFKGRRNGMIKALTTEAVSDTKKAIELDPALAKAYLRKRLVKEKIEFAVNQMNWPLEHGEGIVPRCNVIKALIAKGLLGSEPLSIPCVLTYTNEAFLKRYVMKHDDKQLAKSSTVEVRLLRFLEAKTSSAVASSWVLKSSSTLSLSEEVAFALAQGLKVIACVGVMRLLSCEKLGQPWMLAVKMTVSDETGEVVFVGFDVINQIATLIFHTLDLKYWSYRLKMERTQKIVKVFYYNFGAKHQTFTFSHIMEEGERLPLPDFAVMRATMVLEMICPG >A06p018840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9132707:9136516:-1 gene:A06p018840.1_BraROA transcript:A06p018840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFGIPRELSPLQQLRSQYDPELPPCLQGTTVRVEFGDGTTVAEASDSHIIARAFPHTLGQPLAHFLREAAKVSDAHIITQLPSIRVGIVFCGRQAPGGHNVIWGLFEALKVHNANSTLIGFLGGSEGLFAQKTLEITDDILQTYKNQGGYDLLGRTKDQIRTTEQVNAALKACTDLKLDGLVIIGGVTSNTDAAHLAEFFAEAKCSTKVVGVPVTTNGDLKNQFVEANVGFDTICKVNSQLISNACTDALSAEKYYYFIRLMGRKHSHVALECTLQSHPNMVILGEEVAASKLTIFDISKQICDAVQARAGQDKNHGVILIPEGIIESIPEVYALLKEIHGLLREGVAADKISTQLSPWSSALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAYLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYAMMTVKNWSQNASSTSTSIGRPAIHPAMVDLKGKAYELLRQNADKFLMEDLYRNPGPLQYDGPGADAKAVSLCVEDQDYMGRIKKLQEYLDQVRTIVKPGCSQDVLKAALSVMASVTDVLTTISSSSNGGQQFA >A06p000280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:551913:553892:1 gene:A06p000280.1_BraROA transcript:A06p000280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEECGGDIVMSTLMESGVSIPGDFSSVSQFTSEALTSICAQLLNLIDPSAAAASEMKPVVVVVDSLPERYMMICTDIAHSVKNLGYMGDISYHKFLHPSQDDSFRLLTFLLERLSHKKQQGLKASPLPPGGDIASMPKVDDTFRDTSDETFDMHLHKVEAVLKDLTMTSEIPQPPNSHAQNASAAEFFSLRQSSGYEQPSSLDPSESEQPNYETVELQNQHNVLLEELESGSSELSSLDSELELLNLAAGMLLLDEKQPGGLYLQQLNQQVAVKRCNIMDLKKQWDDDVRLTLEAKKLCLLDQLHVEEEPEAKDKFHKLKTTELDLQSLSSKIQQREEERCKLQTELERQPKAAPRKSYIHGIKEITKNSRKLDSDIQRISGETRELQLESNSIRERLHRSYAVVDEMVTRELKKDPAMRQVYKLVTSIHGIFEQISEKILMTDRLRREAVDYEKKLGSITSRGMSLEKLQADLDAIRQENQSLEKQLN >A03p068730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30098628:30100506:1 gene:A03p068730.1_BraROA transcript:A03p068730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDTPPRFFKVFISHHSSDSMLIPISYTHELPRILPKTAILQGTGGCFWKVEMKRKRDEVYFGKGWTKFVTDNSLNDGDFLTFVYNGANVFEVSIYGLGGCKEMRAVTEVEEVKEDSVVSLSSTDSDTSSESVVANTISKNKGKSQVVEDEDESEEAEAETCSESTVAKTTTGSRNKGKKKEKVVESSNEDSDSDYTEANEDSDSDDTEVSGDLYAFSESSNTVAQPKKKRERVTIKKIKDPEKYLVDPKNVFFETNVKNRPYELLVSAQLVKDYDLKFKKLVYYTDYHKDGKLQAKTTKWKDNRVCIKKWNRICKRNKLKKGDGILCELKRKEGFVYAVIIHIVREKDL >A06p014800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6605585:6607472:-1 gene:A06p014800.1_BraROA transcript:A06p014800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSSTIDVVLGAMVWFIYPFAFMILTAESHPRRSGPVYWYITETLSDRRPWMEIQHLVNPKKTSAWRMKKRVKNGSTLKIQNESKAKVAATELFQNVAKPGSKFIELGDLTRFLPENDALSFLRIFGPTAEDGTTRIIFPSQLPFIVNERTGISCSSMQHFMMVVKKINFFSVTFVGLDMKEYQISSTTPRSKWRIDLFRGSSGLEKMQLILSESQ >A05g509360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28432699:28432953:-1 gene:A05g509360.1_BraROA transcript:A05g509360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECESLRNPTGRRQKVLLLRRIEFDRHLLLSSRVPLLDNPVSMLRLIVQSHNVQLKQLW >A06p042270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22716887:22721471:1 gene:A06p042270.1_BraROA transcript:A06p042270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MAEAEKKRDQTFPQEKDEEKDLDLGHCDEHESPLPLTVTSRVLYMLGDIAAGPAYRFTQWLDLVRKRSATYGSSGFPHRLHRIDDMVPSAGERNADPKSPPSKETSEISLWERLGKASIVDIDSSSFSWSMLSSLHHTEHSSSTDHSEEDQSKPLEVTVNSGGVVFFALFSHPSAEDASRKEEAAAVIKFASSRMATQSERLGYEFAKWLGVQIPQARVIHSCNPEWTLIREATEKAQAKANSEGDEVGEVTCSELLEALELSRCLLLMSYVHGCPLLESMSSFETEEKAERAASALGRILVLDLVIRNEDRLPCRQLRWRGNPANLLLTDRIMSSTKPLECSFEEAFDSAIKRYHPRDYRSIQKERRASSVDSRSRLSVSDHRLVSQSSDFSDITESPRSYDTEFMSPMSDTSMAPEFHIVTIDSGVPRRPPAGKRASDQEIYPRLVELLINSSQYSSNLLYEITEGGLGCPQAKDGEETPTAVTPVVREFRNGFRAGLRDLHEFHIFLVTLHQKLDGLLRAFFSMMDKTMCADFDRDDFAVPESPSQSFGHEVNHYPSPSKERVPSDNSFDHSESDMHRSVAPRTPGSESKEGGGDATSPKSRESWHGRYSRGGESLSSQRLAAKLRDFHKFAKIDAESNKELDQWNETLRNEVMKLCQENGFNTGFFEGSDNNSCTDAYELKVRLEHILERISLITKAANTEKPSMIQDNLFIGGGLAARSVYTLQHLGITHILCLCANEIGQSDTQYPDLFKYKNFSITDDEDSKIEGIFQEALDFINHGEETGGKILVHCFEGRSRSATVVLAYLMLRKNLTLLEAWSKLRKVHRRAQPNDGFARILVNIDKKCHGKVSMEWRQRKPTMKVCPVCGKNAGLSSSSLKLHLQKSHKKLSSGSVDSAMNMEIQKALEALQLSSGRGSNVSSSSFQSLTG >A02p021860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:10302519:10304365:1 gene:A02p021860.1_BraROA transcript:A02p021860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTHWSIFCWTYADSTVLIATILYYLAHSMADTGGWEKHQNDGISMTTPADAPKQHNTYFDKAYNQHLFGFILAVLLRQGYQKGYIAIYGSEMDEHGASSSRKRRSLYHNLGGGHFADVMFWKNKKESGTILAVFTLIWFLFEVVEYPFITFICQILLVSIFIFLIWSYIGSSQLIHREPPSINDLKISESTWRFLFNKINWFIIELYDISSGKDFRLLVLAVVSLWILSVVGNYFSSLTLLYIVFVALETIPILYELYEEELNYAASKSGRDMKKLFNDFNSKVINKIPKADAKTRRHM >A08p037720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21968930:21971702:1 gene:A08p037720.1_BraROA transcript:A08p037720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGATLVALAATIGNFLQGWDNATIAGAMVYINKDMNLPTSVQGLVVAMSLIGATVITTCSGPISDWLGRRPMLILSSVMYFLSGLIMWWSPNVYVLCLARLLDGFGAGLAVTLVPVYISETAPPEIRGQLNTLPQFLGSGGMFLSYCMVFTMSLSEAPSWRGMLGVLSIPSLVYLFFTVFYLPESPRWLVSKGRMDEAKRVLQQLCGREDVTDEMALLVEGLDIGGEKTLKDLLVTLDDHETLETVNEDGQMRLLGTHENQSYIARPVPEQQSSMFLRSRHGSLANQSMILKDPLVGLFDSLHEKIMPEAAGGNTRSGIFPHFGSMFSTNADGKPPHWEKDVESQFNKDHDDYATDDNAADDDSDNDLRSPLMSRQTTSMDKDMIPHPTGGSTLSMRRHSTLTGETNMGIGNGWHMGYRYDNGEYKRYYLKEDNAESRRGSIISLPGGQDGGSSYIHASALVSKSVLGPKSIHGSAMVPPEKTATTGPLWSALLEPGVKRALVVGVGIQILQQFSGINGVLYYTPQILERAGVDILLSSFGLSSISASFLISGLTTLLMLPSIVVAMRLMDVSGRRALLLWTIPVLILSLIVLVISELVHISKVVNAALSTACVILYFCFFVMGYGPIPNILCSEIFPTRVRGLCIAICAMVFWIGDIIVTYSLPVLLSSIGLVGVFIIYGAVCVISWIFVYMKVPETKGMPLEVITDYFAFGAQAQASAPSKDI >A04g507180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17349564:17351247:1 gene:A04g507180.1_BraROA transcript:A04g507180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEINDALAMEICRDTSINSGDGWRSSPALARIGAQNPNDMTEETGTLGYVAPKVTKERNPYVKDCREEQELMQKLPDSSKSNAVEQHKICFAQFKTLLLLQDSFGKKLMDRIRPTQPRFLHSTLRPPLPRCLNPTPVPAMLMQIHSDTIAKEEFENLCLILGIELDAVTTEKAIIRKEKHIEEEEADDDEEVIYK >A02p005360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2345261:2354655:1 gene:A02p005360.1_BraROA transcript:A02p005360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNSDNNQRWEAKLKDLTSNVQQIQDNLLEEILTPNLNTEYLQRFHVERFDKELFKKNVPVVSYEDIKPYIDRVVNGESSDVLSARPITGFLLSSGTSGGAQKMMPWNNKYLDNLTFAYDLRMHVITKHVKGLEQGKGMMFLFTKQEAITPSGLPARVATSSYFKSDYFKNRPSNWYYSYTSPDEVILCSNNTQSLYCHLLCGLVQRDEVVRMGSIFASVMVRAIKFLETYWEELCSNIRSGHLSEWITDLGCRSSVSLVLGGPRPDLADTIETICNQSSWKGIVTRLWPNTKYIETVVTGSMGQYVPTMNYYCNDLPLVSTTYGSSETTFGINVDPLCEPEDVSYAFMPNMSYFEFITMDGDKRDVVDLQDVKLGCTYEPVVTNFSGLYRMRVGDVLLVTGFYNNAPQFKFVRRENVVLSIDSDKTNEEDLFKALSQAKLVLDSSDLTLVDFTSYADTSTFPGHYVLFVEVKAKEGNNRLELNEEAFSKCCSVIEDSLDNVYKRCRFKDGSVGPLEIRVVPQGTFDSLMDFFIKQGASIGQYKTPRCIKSGKALEFMEDYVVARFFSLPQKTLPSPAQPPSENQRTAAEVQRRNEKIARFIELSHGSSYVADATKYLSDNNGRAMKIHEDHCHRHCQRSSRSQHATNAQSVLKCLFHHRLFTTVFSCSISEKPFLDVTEFEPVFVCFGKMSLIPCASVTDRNILADASKGDRGSCFLTEDDVARKAKALTFVEALSMYGKGPAPPETSAEEYPSWNESDVTAMMSSFSNVIEYPAADGHKMSDPPVKQELDKSDQLQQDQDQPRKKHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEEAALAYDRAALKFKGTKAKLNFPERVQGPSTTSYVASQSSCDHPPRGGNELMNTAPPLLGPSTTPTSWPADYNQDILQYAQLLTSNNDVDLSYYTSSLFSSQQQPFSTPSSSSSSSLTSQQMQQQQQREEEKNYGYNYYYYPRE >A08p019630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13356837:13358242:1 gene:A08p019630.1_BraROA transcript:A08p019630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDQENAIREIKPKNRRIMGAGGPEEEDNRQPPWLKPLLQEKFFGHCKFHVDSHKSECNMYCLDCTNGPLCSLCLAHHKNHHTIQIRRSSYHDVIRVNELQKHIDISGIQTYVINSAKVIFLNERPQPRPGKGVTNTCKVCYRSLVDDSFRFCSLGCKIAGASRGFEKGRKNLVMESDDSSSSIGIGKNIQSFSPSTPPLTASSHCRIAKRRKGIPHRSPMG >A02p009410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:3968069:3971563:1 gene:A02p009410.1_BraROA transcript:A02p009410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRTSNGGDVVINVPEKEGFKDSAPSPSSHVPASPNSDTVTTKLQPLTILLPEINKFSGSVHKPPKIPSPVTEGLTRRRSLSRSVYSKSNSRFGQQQSYRFDKTIVEENSGTPTEPFGSASFSKPSLHKASPSNKSNKSTSSVALSKVDEDETDEHEEIYKRVKLHQVKRRGIRPLALLELLVFVAILTTLVVCLTNGSVKKHRIWGLEVWKWCVLVMVTISGMFVTNWFMHFAVFIIERNYLLRKKVLYFVHSLKKNVQVFIWFGLILVAWVFLFEDDDKRSRKAKKFLDAITWTLVSLLVGSAIFLVKTYALKVLASKFNVRNFFERIQESVFHQYVLQTLSGPPLIEEAERVGREPSTGHLSFTSTNGTVEEKKVLDMGKVHKMKQEKVSAWTMRVLIEAVGASGLSTISHTLDESSNRKKRSDKEITNEMEAVAVAYDIFNNVAQPNSSYIEEDDLLRFMIKEEVDLVLPLIECSETGKITRKAFTEWVIKVYTSRKALGHSLNDTKTAVKQVDKILTGVLSVIAFIIWLILMDIATTKFLVVFSSQFVGLAFMIGSTCKNIFESFVFVFVMHPYDVGDRCIIDGVVLLVEEIDLLTTVFLKIDNEKVFYPNATLISKPISNFYRSPDMGDAILFSIAFSTPAAKIATLKETISEYLVQNPQNWYPNFLFLVDAIENVNKLNLNLIVTHTINFQHFTEKRLRRTELVIAVKRILEELEIEYTLLPQDVHLIGHK >A10p017460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2439782:2441227:1 gene:A10p017460.1_BraROA transcript:A10p017460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) UniProtKB/Swiss-Prot;Acc:Q9FIU7] MEYFMRLVLVLYLVFIASRQQVSSESITRESFPDGFVFGTASSAYQFEGAVNEGNKGDSIWDTFTKKPGKILDFSNADTTVDQYHRFHSDIDLMKDLGMDAYRFSISWTRIFPNGTGEVNPDGLKYYNTLIDTLLAKGIKPYVTLYHWDLPQALEDRYEGWLSRQVVDDFERYAFTCFKAFGDRVKYWITFNEPRGLTIQGYDTGIQAPGRCSLLGHWFCKEGKSSVEPYVVAHNILLSHAAAYHTYQRHFKKKQGGRIGMSLDAKWFEPMSDCDEDRDAARRAMDFGIGW >A09p064130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:51805274:51806467:1 gene:A09p064130.1_BraROA transcript:A09p064130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEADDNKFTWVIKDFSSLQSRKIYSDEFLIGGCKWCLIAYPKGSKVDSLSLYLGVADHESLPLGWTRNAKFSLKLVNQFSDKSSILREATDWYNQKTPSFGFTKFLPLAKLHSKDGGFLVNNELKIVAEVHVLQVIGEAEGSQESVQPMKRPKMNGYGTGNKTVDVNGFQVSTSQVAYVRCVFEKHPDFASKVRSNNQHLKPTYMNVLLELIETLCQLPENLSDDDLAEASAAVLYLSQVGFKVDWLEKKLEEVKEKKKKMYTGKAQLQRMEEELQNLTKKCSDLEDLVKKQNVALVQ >A03p027650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11580380:11582775:-1 gene:A03p027650.1_BraROA transcript:A03p027650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVKQAVQEDNAGNYNKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGSGPGSNGDAAVATRPKSKPKEGGGEGGEDAEQSKLRAGLNSAIVREKPNIKWTDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFEMARESSPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHSDDKVLVLAATNTPYALDQAIRRRFDKRIYIPLPEAKARQHMFKVHLGDTPHNLTESDFEYLGLKTEGFSGSDVSVCVKDVLFEPVRKTQDAMFFFKSPDGTWMPCGPRQPGAIQTTMQDLAAKGLAEKIIPPPITRTDFEKVLARQRPTVSKSDLDVHERFTQEFGEEG >A01p008220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3987605:3988045:-1 gene:A01p008220.1_BraROA transcript:A01p008220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNTGIAIVWTPEEQTILDNALARYPLDSCVSSCLRTYAKISLELPEKTTRDIAMRCRWNKELRRVKVEDSISAPHDAVTIDENVPDKMKNNATIAGDVEG >A06p008920.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3119513:3119746:-1 gene:A06p008920.1_BraROA transcript:A06p008920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESSFKALNQYRKKMFRRVKEAVMKTKKKKKQTMFQYDPSSYALNFDDGGEVPQRFSGDFKHCKITLIYVVSVKF >A06p054610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28612966:28614117:-1 gene:A06p054610.1_BraROA transcript:A06p054610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLPPGFRFHPTDEELIDYYLKRKVEGLEIELEVIPVIDLYKFDPWELPDKSFLPNRDMEWFFFCSRDKKYPNGLRTNRGTKAGYWKATGKDRKITCRSSSTVGYRKTLVFYKGRAPLGDRTSWLMHEYRLCDDESSSQGSQYFKGAFVLCRVAKKNENKSNSKIQRNLSEQTLGSGESSGYSSRVTSPSRDGRVPFHSFVNPVSTETDSSNIWISPDFILDSSKDYPQIQDVAPEYFQDFDFPVFGNQDVDVRASTLNANVDQSIDESMQTGYWTNCWYDQTGLFD >A06p020580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:10110534:10111051:-1 gene:A06p020580.1_BraROA transcript:A06p020580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYLEVGTCFLETLNNNREKTIVERETEVTSLLQAEALAAPSALLDAKKLGFFKICIKSDCQVLLVKATSSSSIRLISTKSPRTLKLFFLSGH >A01p002500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:1130663:1132137:1 gene:A01p002500.1_BraROA transcript:A01p002500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFAVRTELFSPLSKRSTFPAQARRPRNKCEISRRGFAVRGIVASGVSVMGTPPPSAASQPIQGGERLAFKPEGYNFWEWRGHKIHYVVQGEGLPLVLIHGFGASVFHWRYNIPELAKKYKVYALDLLGFGWSDKALIEYDAMVWTDQVIDFIKEVVKEPTVVVGNSLGGFTALSVAVGLPEQVTGVALLNSAGQFASESRKQEEEVEESVLTKFVVKPLKEVFQRVVLGFLFWQAKQPSRIESVLKSVYVNAANVDDYLVESISKPATDPNAGEVYYRLMTRFLTNQSRYTLDSVLSKMTCPLLLLWGDLDPWVGPAKAEKIKAFYSKSSLVHLQAGHCPHDEVPEAVNVALLDWLSNVVSKPSSPELSEV >A02p019530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9001010:9001653:-1 gene:A02p019530.1_BraROA transcript:A02p019530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNALLRYLRVNVNPSLASPTTIVARESALPFTVLLRRFSEEVRGSFLDKSDVTDRVITVVKNFQRVDPSKVTPKAHFLNDLGLDSLDSVEVVMALEEEFKFEIPDNEADKILSVDQAVDFIASHPQAT >A04g500320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1242982:1247106:1 gene:A04g500320.1_BraROA transcript:A04g500320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEISFAKLRRRSVTAWGHIFSDHIFSDNIFSNYYPDDFQEVRRLLRRLPGSEKTTWTTSRKSSTMSYSLDDLHVSRPEKFPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLGVFIKFKELDFGWTSRLVHFMLSFQLDIKKKFELWSLVVSQPVRFSLIEFEYLTGLNCDYIKDLENPRCEVTTEMAAFWEKMGVDIDNGPSIDQITEAFYNCDEWSRDDRMRLGYLAIYAGYIEGKKFSSATSASLARLVMDLEKFENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYALPELGANYGSPVPNRPSPLLLAYKGGKRQRKFFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVIPVKNEVSPVKSESVVKEESSRPRKKARKGSSVSAEKPAAGSEGQQIEKTLKDISDAINLGFGTCLRSSSYWRIGLKLWRRRWESPTEGVHLMIVNLQPLQIHQNLLTNPGLVPKPLPKLQRRESLGNVLGRVRTDVICFLCALMNMCALFLSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSEDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPIDKMKSKELTAWVQKDPSYKLPLKKKPRRCRSRFYQVLRTPLEWLTDHWRASYPDFKSDAPDANGLGRRLPGGAWNYHAGLIPSFCQSKKVWGVDVDDIYAPVNFKNEHWIAIWISIPKRHIVVWDSIVSHIRPAELDEVMEPFVTMIPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGVFTLKYIECHALGIEFPTAFDKKHGKAIREKMALDIFRELPKCHEWENQDNDENLATYD >A07p032480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17806304:17807820:-1 gene:A07p032480.1_BraROA transcript:A07p032480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMKNRIYSITTYSRYYHFSDHRTTSETIFYVSQFTAVGLSPPLSPPSASRNRQHPMAPKRGVKVVAKKKTEKVTNPLFERRPKQFGIGGALPPKKDLTRYIKWPKSIRLQRQKRILKQRLKVPPALNQFTKTLDKNLATQLFKVLMKYRPEDKAAKKDRLLKKAQAEAEGKPSESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGTVVHQKTAACLCLTTVKNEDKLEFSKILEAIKANFNDKYEEYRKKWGGGIMGSKSQAKTKAKERVLAKEAAQRMN >A03p017510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:7090649:7092482:1 gene:A03p017510.1_BraROA transcript:A03p017510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNVLRWFSLVALLWLQSINGTNLSFPAYSSQLKEFLSISQFKLNYLSFASDAGKVLGFISGIAAVHLPLPLVLLAGGSLGFAGYGLQYLSIVKKMFTLSFYQIWGLSFLAGNSICWINTACYIVAIKSFPVNRQVAVGITASYQGLSGKIYTDIVHAFFYSSQREAASGYLLLNSLVPLVGCVVTAPMLMREAKATSYSTRDVKVGFIFLFAVTIATGIYAVATSLLPAPAVLVLVGIVLFLLAPLAIPFGVMLEEVMTCRRSQQKVYDFESPFEEDEKKEEEEFDDEKAIIGVKEEVEWTKLWMKLDFWTYFGLYLFGPTVGLVFMNNLGQIAESRGCAATSSLVALSSSFGFFGRLLPSLLDYFLSRNKYMPSCPVSMAVSLVAMVASFLLLLVDSDVALYISTAMIGVFSGALTSLSVTMTAELFGTQHFGVNHNIVVGSIPIGSFAFGLFAAKVYRDGASFDGRFDGKCYGMYCFQTTLIFWAMLSSVAAVLAAVLYLRNRKFYSQKP >A03p034660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14613568:14615697:-1 gene:A03p034660.1_BraROA transcript:A03p034660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKLEKFIYHKKLWEMKVKFLGDSKFKNSLVSRSRMSLWMIRAMTILLLWSCFVHLVALGEMWGPRLLKGWPSCFNHGHDFPMAAAQEMSSLPMKLALPPKRIYQNNGYLMVSCNGGLNQMRAAICDMVTIARYMNVTLIVPELDKTSFWNDPSEFKDIFDMDHFITSLRDEVRILKEVPPRLKRRVELGVYHTMPPISWSNMSYYQDQILPLVKKHKVLHLNKTDTRLVNNELPVEVQKLRCRVNFNGLRFTPKIEELGRRVVKILREKGPFLVLHLRYEMDMLAFSGCSHGCNRYEEEELTRMRYAYPWWKEKVINSELKRKEGLCPLTPEETALTLSALGIDRNVQIYIAAGEIYGGKRRLKALTDVFPNVVRKETLLDSSDLSFCQNHSSQMAALDYLISLESDIFVPTYYGNMAKVVEGHRRFLGFKKTIELNRKFLVNLIDEYYQGLLSWEVFSTTVKSFHATRMGGPKKRLVIPNKPKEEDYFYANPYECLQLLHENENGNSLDETK >A04g505250.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:11346704:11346964:-1 gene:A04g505250.1_BraROA transcript:A04g505250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSLEEVKRLMENMISTLKSKEDSGDAVKIEDSKAEEMNIADDEENMFPRTRGRLVRYLRRHKSKPQDSSFQIELHHLQLPSDDE >A06p011750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4393100:4394642:1 gene:A06p011750.1_BraROA transcript:A06p011750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNPKKDTTFVCSIGETIKIGQKQQKGKLFSVQAAPQLPVEKILPPTLKDDGSLRFPWAVRMNQSSRNFYRAAEPTYQLYDTPQVTIPSKVFNLKPENNEQYIIGQFHSLPHPPIIQEPPTESHDTTPSEVAFAGSLTHSHEEQPIGPYTPLAHNYQQIHTEPELPVSYGKETGYDMVRKTYSYLTREGRSIKPTQNIQDMG >A03p012820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:5077740:5079769:-1 gene:A03p012820.1_BraROA transcript:A03p012820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMQLHFQFDVGLVFTLKSSKGYFSACLVSFLFVTTTFSSSAVADLNSDKQALLSFAASVPHLRRLNWNSTNHICKSWVGVTCTSDGTRVLALRLPGIGLVGQIPPNTLGRLESLTTLSLRSNLLGGSLPPDIPSLPSLSYLYLQHNNFSGEVPSFLSQHLDILDLSFNSFTGKIPEALQNQKKLTALSLQNNKLSGPIPDLDTSRLRRLNLSNNHLTGSIPSALGGFPRSSFTGNTLLCGLPLQPCAAPSRPSPLTPPFPHKEGPKSKLHESTIIIIAACGAALLLLVTAIILCCCNKKKDKREDSVVKAKTLTEKAKQDFGSGVQEPEKNKLLFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEESTTVVVKRLKEVVAGKKEFEQQMEIISQVGQHPSVVPLRAYYYSKDEKLLVYDYYSSGNLSSLLHGNRGSGREPLDWDSRVKIILTAAKGVAHLHEAGGSKFSHGNIKSSNVIMKQENDVCVSDFGLSPLMSVPIAPMRGAGYRAPEVIVTRKHTHKSDVYSFGVLILEMLTGKSPVQSPSREDMVDLPRWVQSVVREEWTSEVFDVELMKFQNIEEEMVQMLQIAMACVAHVPEVRPSMDEVVRMIEEIRVSDSSETRPSSDDNSKPKDSNVQTTP >A08p043180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24100978:24102262:-1 gene:A08p043180.1_BraROA transcript:A08p043180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKMRHGLTTAILLFILVPVGEAIWLDVPTTGTKCVSEEIQSNIVVLADYIIISEDHSLKPTISAKVTSPYGNNLHHSENVTHGEFAFTTKESGNYIACFWADAKSHGNKDVSINVEWKTGIATKDWASIAKREKLEGVELEIRKLEGAVEAIHENLIYLRNKYCYSLFLPCLLTLISQVLWIKMACREADMRTVSEKTNSRVAWCSMMSLGICIVVSGLQVAYLKHYFEKKKLI >A05p046620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:27669906:27671553:-1 gene:A05p046620.1_BraROA transcript:A05p046620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLNTHFRTEENIHNGCQYEDQATLFNFVVKQGNGVKGLIDSGMSCVPQPFVQPLSERIATPHARTCEAAQPIDLSQLDGPRHKEVSKQITEAAATLGFFQVVNHGVSVELLELLKTSAHKFFAQPPEKKAIYLKEVSPSKLVKYGTSFVPEKEKAIEWKDYVSMLYTNDHEVLQHWPPQCREVALDFLKSSMAMVERVVEVLMEDVGVRLEEERMNRLMGTKMVNMNYYPTCPSPELTIGVGRHSDMGMLTVLLQDGIGGLYVKLDNGDWAEIPPLDGALVINVGDTLQILSNGKYKSAEHRVRTTNFGSRVSVPIFTAPNPSEKIGPLPEVVKHDGVARYKELLFQDYMNNFFGQPHDGKKSLDFARAD >A08g506080.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:10409177:10409461:1 gene:A08g506080.1_BraROA transcript:A08g506080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSYSQPSSSEDYDIDLTSLLQAEADIYADEAESRQNIVEPVEYAPQPEADDGIPKTCYCGAEPVVATAYTRKDQGRKYFTCANADDGDCHI >A05p007950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3247311:3249010:-1 gene:A05p007950.1_BraROA transcript:A05p007950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKASKTLNPEELIVASQNQKKNTKPVPMAFTWCVRRSASRLASVYGNRMSRLRSISAVVSRPSLAPTSSPFRPFALYSTAIDRMSSEQSLLRVIDSEINTALQIDDPDLDEETAPGSFPFKIEDNPGHQTVTLTREYKGEHIKVVVSMPSLDGDENDDEDDDDDGHSNGSSIPLVVTVTKKSGLSLEFSCMAFPDEIAIDALSVSNQGSTLEDKLANEGPDFECLDENLKKTFYKYLEIRGVKASTTNFLHQYMMRKVKREYLLWLKNVKKFMEE >A09p055120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47224145:47224667:-1 gene:A09p055120.1_BraROA transcript:A09p055120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIGDALTVGFNMRKVAEGNVTIKLWDLGVQPRFLSMWERYCRSVAAIVMKQDLVSPTGLIKSCLCISDVDCILFISIAIFDNTKTKLAQP >A06p005860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1905727:1907557:1 gene:A06p005860.1_BraROA transcript:A06p005860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATVFSSFDESGFVSSLSLSWICSYGSLLVDRFFEVLAKMTKNYPAVSEEYQKAIEKCKRKLRGLIAEKNCAPIMVRLAWHSAGTFDCASRTGGPFGTMRFDDELAHGANNGLHIALRLLEPIREQFPTISHADFHQLAGVVAVEVTGGPEIPFHPGREDKPQPPPEGRLPDATKGCDHLRQVFLKQMGLTDQDIVALSGAHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLDDPVFRPLVEKYANDEEAFFADYAEAHLKLSELGFADA >A04g503770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8086567:8087463:1 gene:A04g503770.1_BraROA transcript:A04g503770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSDGLEEEHERWTEMESEWISLTDDLRMDIDNHRRHAADLEIELRKEKTASEELNDALGRAMLGHLTSYNDFIEQYTELQEKYDELVKRHNVTLAGIVDVKKAAAKAAVKGRHGKSFAKAFSAELTAIRAEKEKEREFLKKENKGLKIQLRDTAEAVQAAGELLIRLREAEQYVQSSEERFSLLEEENAKLKMQMEKLKGKHQTEMSTMKQYLAESKLPGSALQPWFTGNEEHLSEDRTGLVKENEEQTYKRNKAISYKSTV >A07p016600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:10207501:10212304:1 gene:A07p016600.1_BraROA transcript:A07p016600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G27720) UniProtKB/Swiss-Prot;Acc:Q6SJR1] MPQIKLPFKSLPVLLLLAQRASSKEMDPSLLKLLEEDDEDESMHSKEDVDAFHASLIREIEGGNHSWSQQFAAWNNGITQDANTNKLHILESTQMKEQQQQSVLGSHDRELKPEDESPVQHNHNLPQNHLQPDGLSENPSHVPQATDLQSRNNSISMEQPVGSVNLGPTQLQVGELFRLLRPQVDKDRGKQLNTLFYKFKSNEVTKESFLRQARNILGERMILWAVRKLQQQPGKPQKSSRKLIMVTKSQERHVLAKFFISRFHQIAPTMISHGFPDLILPYFSQQDQSLIQSLTEDISAKKSDTYGSHDNQLHSTSSGTLSSSATLHGLHNSERPVSVNGPAMVHGGPIFPNQNNSSLPLNPAPCRGSVTKDQTMSNDQTVAHVKQKLTDQSFDQVRKPCPVVRQDVSNVPMEQRNGNPRRSDDDLLKQSSEMVLSAPTIHANFASPSVKTQLDSSTMVNFSAPAATILPGTIVRTTQGQKKTLEAQGSSLPPLSKRQKLCETSLAGSGKKFNDVTELSGINLMEEERELLSSLPKNNSRVFKSFRRVAYEEEGRTILQKAPLQRKLAGIMAKSGIKHIKDDVERCLSLCVEERMRVLLSKIIRTSKQRTDPEKCRNRICITSDIRKQINEMNQKEKDEQEKKHGGEEELKNDTAKEDKRSEQVKANKEQAEKRAKAANDAALASVGGDNVFSKWKLMAEARQKPSSETRRNAKKLSGGQRLGKKNHGSPKVVRSISVKAVIAVVEKEHQMSRSTLLYRLYDSICSYVPTQDKT >A04p028440.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:17082869:17083156:-1 gene:A04p028440.1_BraROA transcript:A04p028440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGGIWRCLCEAFFLVVVINGSGGDLVFAIDLSDDRISVLKAVAVKMIWSCRAWVCTGGSIDGCFTGGLLVAGVSLWSEAVAHGSGGDPFVSGF >A01p008320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4048671:4051100:1 gene:A01p008320.1_BraROA transcript:A01p008320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KARSLVGSENMINVMNPMRSGSEKGLDPQLWHACAGGMVRMPPMNSKVFYFPQGHAENAYDHVDFKNLPIPPMVLCRVLAIKYMADPESDEVFAKLKLIPLKDNDHEYRDGEESNGLESNNSEKTPSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFMRAENGDLCVGIRRAKRGGIGNNGLEYSAGWNPIGGSYSSLLRDDERRSSSSLADRKGKVTAESVVEAATLAVSGRGFEVVYYPRASSSEFCVKALDARAAMRIPWCSGMRFKMAFETEDSSRISWFMGTVSAVSVSDPVRWPNSPWRLLQVAWDEPDLLQYVKRVNPWLVELVSNVHPIIPSFSPPRKKMRLPQPPDYNARISVPSFASNPLIRSSPLSSVLDNVPVGLQGARHNAHQYYGLSSSDLHHYYLNRPHPPPPSPTLSVPPPLGFRNIDSKNEKGFCFLTMGTSPCNDTESKKSHIVLFGKLILPEEQKGSEKTQLSSGGSNQNCVVGSSSEEGSPCSKKAHDGLGLETGHCKVFMELDDVGRTLDLSVLGSYEELGMKLSDMFGIQKSEMLSSVLYRDASGAVKYPGNEPFSEFLKTARRLTILSEQGSESVVV >A05g506550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18733614:18734162:1 gene:A05g506550.1_BraROA transcript:A05g506550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAVEECIKPAHLGTSPFTSMKPKLTSTLTWLTTTKLLVSKHNCQLAPRSILNLDQVIQSEVPFP >A10p000990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:511852:514107:-1 gene:A10p000990.1_BraROA transcript:A10p000990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G01010) UniProtKB/Swiss-Prot;Acc:Q0WV96] MVEKPGFEFRPYDEELVGFYLRQKLLGNHSLVDGVIREIKICSLDPWDLRFQSKIKSRDPVWYFLSLIENNRGRQSRTTPSGSWKLTGDPVNVNDRWGNLTGFRGKIGYKRVLTFSKAKSSSSSVSEWVMHELHYTHTDLPEHKRTTYVICRLEYKGDDVNILSTPTFAPTMANSASSVVDQSLQGNSGHYNTLSEYDSGYQFNGNSDMQQSFQGYSEYFNPTSEYGLANQSNNWFSNLQQQQVPYSAPYQDDSDVWRQVVEEDWPSLIDERTCMRVDLIHHRPKKPVTGIFVGDSSDDDTDSTIGKGTWSSTDSVGSKDVPYHAPKDATPSLSTVEPLYNDSSDDDTDSTIGKGTWRSTDSVGSKDVPYHAPKYATPSLSTVEPLYNDEPQEQPKQLMLQLQGKQKVINKQKSECEWKRAEDSIKKAPSTYAVKQSWIVLEEISQRKSRWIYLKNIVGLLLFIIFIIGWIILLG >A09p017330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9001916:9003801:1 gene:A09p017330.1_BraROA transcript:A09p017330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRSPRSSKSPGTPIFPKSPLVYEGYKSGCGWKLINFFDFRHVKSGNKKLSSDKKPIRDSAGNVYTKSQLDLLNRLHEKCQCHDRIVEGESSYKPKTRRRSLSSETEDESYESKPAQGFLERDIKRRKNLKEATSLSEVEKTNDKDKQMDLKNGRDCKKSSEINLQVRVNERLICSKAEEKGKHRSNQFMEALDILSSNKELFITLLQDPNSISAKKGQDLERPHVKKRRDKSPSLADDLDNIVLLKPRFKHLAKKVKLVAGSNKDSNHTETTPECSGKARETEAFAVRNSDVSTSTVGYRSPESPVFRRKKRVESDVFKLSLEKDVLPRRLLVERQQERSNSSPVYEVPKALSSLETKLKERRQRLEKRRESFKVWSLDKDLEVFDPNPPNSNDYCTSLERIHLEEETVEEKIGLVSFDDLLEKDSVHKFVKKVLEASRLNWTNLMARCNEETSLLDEFSHGNHNNGQLLLVLDYTDEILREICRQDIIKFWPFLRVVIREEDLIQKTLRRFNWSSLSCDTPRTLDQIIETDVVKPSCVWSSFGDENEGVVSDVAENILQRLVLDISHELKNNA >A09g516590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48882775:48891573:-1 gene:A09g516590.1_BraROA transcript:A09g516590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEERDGDWSRWAKRALESCGVWSSHGKGKPFMEMATEEGQTRGLKLGNEVVQGDTTRSEIEATLGKSKLVRVVEDRGVIRGLRQGEDECYQLVGRLREVWSELDVVKTHTSNPRCCQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESEHLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDQEETQEVESEAQSGGNEQGEPTGLREEAQENPVPACRDALPLSINGHMPSLVKAKHDQTVNFFSRSPLSDPSPLWTGESVPLFPSSPASSKPWSSSSDTDSLPPSCAKWDGSSAAAGVGSGRLL >A10p011440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11803280:11804109:-1 gene:A10p011440.1_BraROA transcript:A10p011440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDISLFTGRPVYLRNVRNDILFRRIDRDSRELIRYAEGECQVWFTANAPADMLVDGLGKILLEKPAHGYKKSKIKRIYFTFRDRSAQMNNGIFQKLDCDVERTSSMANILDRIVGDSKASKKISCLHDILHTERI >A01p059350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:33748571:33749362:1 gene:A01p059350.1_BraROA transcript:A01p059350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCNLQQNAFMSSHDESRGFVVPVSSVVCPKPRRVSILSNNVIHPLRSHSSQPGAADVCDSQAGAELLDILRRKEETLSGVASSPPFFLGSPPSRASNPLAQDARFGDEKLNLLSPSLSTFLTSPSPSPSRVKGGGCGGRVKYGLKPAAVRVEGFNCLNRDRQSSNISAMA >A10p039590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21915956:21917719:-1 gene:A10p039590.1_BraROA transcript:A10p039590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSTTSNVENTRVAFMPLQWLESNSSNSLQNFSYDPYAVLPGNSSTPVLTQTGPVISVPESSEKITNACQYPSNDDEMIKKKQKLTTEQLASLEQSFQEDIKLDSDRKVKLSKELRLQPRQVAVWFQNRRARWRVKHLEESYNSLRKEYDVVSRQNQMLHDEVMNLRGVILKDHLMKRQMNLNNNQIAGGSQIYGTADQYNNPMCVASTCWPPLSSQQPYPW >SC288g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000135.1:23613:24515:-1 gene:SC288g500020.1_BraROA transcript:SC288g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHENQVIMSIGQGVLREIIDILLGIHKELVRPPDQSARFLLVFRDLMLSFYPGEHRTHAETAMVEACLWSKWREAFAAAAGYELNGKESFVCYILRHHGLTLDNHGRPVITLIDKEMDRMKLVRQEMEWEIQSDREMDWSNLHMRELSWKWKIENQSRKWNMMRTFIIRMSIRSPDTTWCSPGMAVSPWIT >A03g508230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28614028:28618350:-1 gene:A03g508230.1_BraROA transcript:A03g508230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TILLHICAVGRPSPSPELHSICIWNILANSNLSSEEIIRRVVERKVVVNIVSAKSVDQLGQAYVRSLISTDEVESGGYPGDPRGQSSANPSGAPHGGESFHVDVETLLRRAAEVYEDKVIAMFEGYILSLKGHFNSEVGGLRTDLQAATTAIAHLETTVTGEFDKINHLLKSGPRGDDMGATYGFSPARHSSPFRGQNDDFNYTEVNPDRHTTHSGVPQSTPRDGEDVAATETASGGLGQNLDEGEMGEGLSPEKQTESTHGAELRAQTGVHHGGDAGIGNVEVGEQGGDADIGHDPINVENPSPSVNVAAPDNSDAANPPSRVDVDEVNVSSERRVDDPVSGVVNKIISEAGIDKNPVRPSAGSGTDVPQTSSDVNPEKVGLDGVHDARGDATVANKGEDADEDDVTITKVQAGDKNTDAAGGQADGGRRFSRRTHISTKRYTPPAPPVRKKDGNKKVARQTDDNPAPPKRGKKVAAEPSNPKPRRQEKHTFIGGFSPFTPPTPAAREAFMKTMAEAKSNAPSLGSISSIASLDDLFNCTGVCSYEAVDRVVGWIRKRRDSNPSSKFDFIPPTFFIDLIRSYPAFEAMQDKSAFTFPVSLRSQFMHRPQWFTQVDFLYSLILVKDRHWVGMIVDLPMWAIYVVDANQTCPPISVLKDVVNPISIMMPYMVSRFCLTSRARELNYLPFPISRLDIPVLLEHPGYAAVVALIMLEIAAVGKPLLDLALTDEEVRVAAENYAISTMCMFKVVPPNPAV >A04p002530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1212391:1213874:1 gene:A04p002530.1_BraROA transcript:A04p002530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRSRVGSASAQPDEVEDGMVDGSFHTPEWHAARLASLKTTHTITWEEYKNKQKEEEMKKGELEADTDKLMREYRAQLDAERSLKLSKGRNYSSDKSHKDKKDRDSKKKRSKKRKHYSSSESSSSSDEEESRRSRSSSRRSKKEKKHKSSIDKHSSKTKDDGPVPLSRFFGNLKS >A05p021300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10117689:10120049:-1 gene:A05p021300.1_BraROA transcript:A05p021300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSLFSLLFLVLFTSSAYARYVAVHPSSADLISDGISGGSLDSGSTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSELLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQSQVSVGMGLLAGSTVMLLTVIWGTCTVVGKCDLRDNIALNNQDTKGFHLKDSGVTVDVWTSYAARIMAISVIPFVIVQLPQVLNSTSGRHLAVLIALILSVLMLISYCVYQVFQPWIQRRRLAFAKHKHVISGILRHLKQHALGRLLDDEGQPDEHVIRKLFDTIDANKDGRLSATELKALIIGISFEDIDFDKDDAVGRVLQDFDKTLDEEVDQEEFVRGIKHWLIQAMGASGHSGPDAGPRTMKFLDHFHVQTKREHALLGDNENGENDEESGEVADPKWITIKAALLLLLGAAIAAAFADPLVDTVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELCGGVTMNNILCLSVFLAIVYVRGLTWNFSSEVLVILIVCLVMGCFASFRTTYPLWTCFIAYLLYPFSLGLVYILDYWFGWS >A01p050420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:28393597:28395161:1 gene:A01p050420.1_BraROA transcript:A01p050420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKTQSPLFLPVIDFSNRDLKPETPEWDSVRAQVRKALEEYGCFEATFDGVSAELRKAIFKATEEVFDLPLETKLRTKSDKIIYEGYLTIPTMPLYEGLGFYGVDSPEVVDDLIHKLWPQGNILFSKNVQSFGEKLIELNVKVRTMIMESFGLEKYIEEHLNSAKNHLQIFKYKGVDDNTEETLGFKPHIDRQFLNILCQNDVVDGLEIKTKDGEEWIKARPSQDTSFLVIAGASLHLLLNGGVFPPLHQVVITGKKDRYVACLFSPPREGLIINAPEEVVDDEHPRLYKPFDFEAYVKFSNRTNTNTKGRDLSSLKSYCAL >A10g500930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:2820561:2823398:-1 gene:A10g500930.1_BraROA transcript:A10g500930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKIAADVANKVNVIPSMDFEGMVGMEARLKKVNSYLQGECNEVKMIGIQGPAGIGKTTIARALFNQLSADFELKCFIDLKESYRSDGIDVKHEWEHQLSKLENSLDKKIEDVLKVGYDKLSKKDKSLFLHIAFFFNNEAIDHVTTMLAESNLDFRNGLKTLADKSLVRISTTGWIQMHRLLQQLGRQVVQEQSDDPGKRQFLVDAQEIRDVLVNETGTGSVVGISFDMSKISGELFITGHAFEGMPSLRFLRIYGRYFGKYATLDMSEDITYLPCLRLLHWDSYPSKRLPLTFRPECLIELRMKFSKLEKLWGGIQFNLESFKEVDKSSSSRFGSAPSVSMNIKYLNVGNTKMEEVHPSIVERLPHLKWLRLGGRNVNRITHVPQSVRHLNLSSSVIETIPDCVISLPQLESLFVYNCRQLVSLEGLPLSLKYIDASNCVSLERVSFSFNYLITHFMFRNCLNLDEESRREVIQQRGYNNVWLPGREIPAEFTHKAIGNSIRLVDGEGALSAYSGFKACLVLPPAKKYVLLAITCRIRTKEGVFIKELKWNSVNYFHYKTKHLFICGGNLVGEIHEVDVTAREILLEFSCSDNQRIIACGVRILNKEEESSSGGNVANCDYRADGDGDNKSEATQVSRFENTKGGEHTCCWSWLQNIWPGEENDEEDKTSIMVRR >A03p009780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3893949:3894492:1 gene:A03p009780.1_BraROA transcript:A03p009780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDDDVFFSDISKQISLLIMDDDEELKPVSLSSSSPSLSFQGIFRVGYQTAPYMYHQEQSKGTGVFIPKFSQSRRRPRHPKQGRFSSFNAKQHHSFHQNRQHYQQNHDYLKRSNLTTHTNNNKSSMITGNVHASIPRRTYRDAAFIYT >A09p079240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:58395391:58397432:1 gene:A09p079240.1_BraROA transcript:A09p079240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNAFLVSSKLETLLSNPNDQSSSPLPSGLIGARALPMNNNGSRRGLIQRARCEISASKAASISALEQLKTSAIDRYTKERSSIVVIGLNIHTAPVEMREKLAIPEAEWPRAITELCNLNHIEEAAVLSTCNRMEIYVLALSQHRGVKEVTEWMSKTSGIPVSDLCHHRFVMYDKDVTQHLFQVSAGLDSLVLGEGQILAQVKQVVNLGQGVNGFGRHISGLFKKAITAGKRARTETNIAAGAVSVSSAAVELALMKLPAHASSSSARMLVVGAGKMGKLVIKHLVAKGCTKMVVVNRSEERVEAIRQEMMPSGVEIVYKPFDEMLACAGEADVVFTSTASESPLFLKEHVESLPCVADGRLFVDISVPRNVGSCVGELDNARVYNVDDLKEVVAANKEDRAKKAMEAQGIIAEESKQFEAWRDSLETVPTIKKLREYCERTRAGLVERFMSKHGDGMDKKTRKAVEDLTRSVVNKILHGPMQHLRCDGSDSRTLKETLENMQALNRMYGLDVELLEDKIRAKVEQK >A09g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:10445616:10446261:1 gene:A09g503220.1_BraROA transcript:A09g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHCMVIAGDWKTSDDSSWTFTIDKHMSRIVPLSPTMTLLELQSNVLKEFYPNTELPPSASLSYWPPNTKELATGISTPPVMLTHDGFVLYFYRHFEAHMGMNLFDYRIPKSGHIFKFSQPKRWALWPFRSQPKR >A04p023970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14555335:14557719:-1 gene:A04p023970.1_BraROA transcript:A04p023970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTLGLTKPNSTDHPKISFAAKEIDVTEWKGDLLVVGVTEKDLTKDDGSKFENPILNKLDAHTSGLLALVSSEEDFTGKPGQSTVLRLPGLATKRIGLIGLGKTASSSSSSPAAFHSLGEGVANVSKASQSSTVAVVLASPESESKLSSASALASGVVLGLFEDGRYKSDSKKPSLSSVDIIGFGTGAEVEKKLKYAEDVSYGVIFGRELINSPANVLTPAVLAEEAAKVASTYSDVFTANILNEEQCKELKMGSYLAVAAASANPPFFIHLVYKPKSGDVKTKLALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVLGAAKAIGEIKPPGVEVHFIVAACENMISGTGMRPGDVITASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIVDLATLTGACVIALGTSVAGIYTPNDELAKEVIAASEKSGEKLWRMPLEESYWEMMKSGCADMVNTGGRAGGSITAALFLKQFVSEKVQWMHIDMAGPVWNEKKKSGTGFGVATLVEWVQTNSSS >A01p057000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:32340439:32340837:-1 gene:A01p057000.1_BraROA transcript:A01p057000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETETPDQDLKKKILKLEFCLQETRSQTRKLNRGEQKGVRHIEINEIRDLVSEK >A06p016780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:7534453:7535136:-1 gene:A06p016780.1_BraROA transcript:A06p016780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPSCSSGNNTNSDDSSNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHLHSTSSECPVCKAVIQEDRLVPLYGRGKSSTDPRSKSIPGIQVPNRPSGQRPETAQPPEPNHGFGHHGFGGFMGGFAAPVASARFGNVTLPAAFGGLIPSLFNLHFHGFPDAAMYGAAAAASGSFPHGFSNPFHGGHSHMHSYQRYTGRQGQQDYHLKALLCFVFMVVVFSLILS >A05g505010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:13932255:13939245:1 gene:A05g505010.1_BraROA transcript:A05g505010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNYFRSWIDRPHLDPNTRLLTEEYQRGITEFMGLVHRQPEAKTVDVWTHLYLSGFTRSYKIWYHHGKTDYEHGSTGEPQPAVRLEEPIRTDVSAGLARGETFDHWIREMVVGPNFVVKSYPRFCTRGYAFTTQKRRRSSTTYDADICSASGDDVYYGHIHEILEIKYLGMVGLRCTVFYCDWHDNTPDRGVRTDAFGVTSVNSRRNLQYYDHFILASQADQVCYIKYPRVRNKDDPWVTVTRLNPRGRVRGSSELEDPLQPSTSGTLSASEDLGGVGLVVDLTDFGEEAVVHVEDEPVIVEFHQDPDSDSSEFPRNRPCRFRDLIIESFSSEYSSEYSNGIPRNVGVSSENPLFSSEFPRYIPRKFRGARGFKPKTTFCGLNNTYITFIKCLNQIMKSNDDQTRPRQRRSRGGMGSQSRGSSSHIQDSVSPHNSYHTSPSPLPAPAAPAPAAAPAPAAAPAPAPPGLPGVMSVAELVRQPGRDHLPYLTPFNRSGNGINRMMYSALDKGHPTFTDFPTEKQHLWFQFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWKINKKELCAHWDKEETKETSSTNFNNRRSDRNGKGIYKHNLGAQSIATLGDRMGEPVDDLALMKMVYNNKKTGQIDDGLVRDVVSLVQTQVYDEVSQFQTDDDDSAASTNLSWVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVVFPNIQDP >A07p010340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6469417:6471578:1 gene:A07p010340.1_BraROA transcript:A07p010340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPLLPEDEQCTTGNPKPTPTWRMDFTAELKNVSRMAAPMATVTVAQFLLPLISVMVAGHRGELQLSGVALATSFANVSGFSIMFGLVGALETLCGQAYGAKQYSKLGTFTYSAIASNVPICVLISILWIYMDKLLISIGQDHDISKVAGSYAVWLIPGLFAQAVQQPVTRNGAALAISLSYWFNVLSLACYVRFSSSCEKTRGFVSDDFVSGVKQFFQYGIPSAAMTCLEWWLFELLILSSGLLPNPKLETSVLSVCLSTGSLHYVIPMGIAAAVSTRIANELGAGNPEVARLAVFAGICLWLLEAAICSTILFISRNIFGYAFSNSKEVVDYVTELAPLLCLSFIVDGFSAVLGGVARGSGWQHIGAWANVVAYYLIGAPVGLFLAFWCHMNGKGLWIGVIFGSSSQGIILAIVTACMSWNEQASKARKRIVVGTSSFGNGIA >A01g500160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:609608:610468:1 gene:A01g500160.1_BraROA transcript:A01g500160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSFSFVLGVPVVLVLLGVCSRVSGSACDTGWVFSAAFLSLYGRDWELCGRLLVSSHSWVIGCPSGNGVWELVRNHSRSGGVALEFLSPHLIKMGAWRWRSSALHPLGFSVSVWLRLSSVLGGASPEEVFRPVPLFYMNLGFNGCIRSRVGELEAAIFSTLLRTTASSAARSSSCCRIGVSWLVAFEFSGSCRSRVFQGLRAPFSPVARGN >A01g509730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26584581:26586427:-1 gene:A01g509730.1_BraROA transcript:A01g509730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIALGELRKLHGLEGSDDELLAAGGGMSVEVFNRGVIPLAYNIIKKNKVRETSTYLDGVYLIFTCLTKT >A02p001620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:717055:718692:1 gene:A02p001620.1_BraROA transcript:A02p001620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAKKALKKNMKKISASAAASSSQLAVPQNPKPSADFLPLGGGPARKAPVTKPVESKATVLYIGRLPHGFYETEIEAFFTQFGTVKRVRVARNKKTGKSKHFGFIQFEDPEVAEIAAGAMNDYLLLEHMLQVRVIPPEHVKPNLWKGFKCQYKPVDWVQIERKQHNKERTLEEHRKMLHKVVKRDQKRRKRIEAAGIQYQCPELVGNTQPLPKKIKFSED >A02g502860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9365907:9367450:1 gene:A02g502860.1_BraROA transcript:A02g502860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDPLSSPVKSTASLPAGEIELPFRCNPSSVSDIAATEDMDLEPPKRLYGEGLEPQVKKINNCCRMELLGYLKEAMSANYDDVKIDLVFKHII >A07p030640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17047273:17061046:1 gene:A07p030640.1_BraROA transcript:A07p030640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative callose synthase 6 [Source:Projected from Arabidopsis thaliana (AT3G59100) UniProtKB/Swiss-Prot;Acc:Q9LYS6] MTITRTLFESKTDKCYAPSFVAVNLCLALIDGALAFIAFLQLSRFHRRDKRVGWTRQKVLHLMIGSSNTGSLVYFVAAVIATCTRWHHWSNAFGFLLMAFPKILFLATFLLLLSFWVDVCHQGNGEEEDDDDEENSVQQVLLEKAKSKPGSSSASDRRKCCSFHGIHVGTRQKFVVAAVVLVFILMISFAILIWIASGDNSADPSLLAEVYVDIFASTLLITGGGLCFYGMRLFFNLRKVRSEQVSSKMRKVSGLAGVSVVCFTVSSLIALLTHIPLFYHWNPNKLHGINALVLLIIYYFIGSTLPLAFVLWVLRELPPQNMVSRQEEQTRITYVNYDAVPRQPPQQWTSTTVSKNQVSEFELFGEEGVTDCSYSRLAMEASSSGTAELPRSLSRRAAPSRATTMMIDRPNEDASAMDSELVPSSLASIAPILRVANEIEKDNPRVAYLCRFHAFEKAHRMDDTSSGRGVRQFKTYLLHRLEKEEEETKPQLAKSDPREIQAYYQNFYEKYIKEGETSRKPEEMARLYQIASVLYDVLKTVVPSPKVDYETRRYAEEVERKRDRYEHYNILPLNAVGTKPAIVELPEVKAAFSAVRNVRNLPKRRVHMPSNAPDEMRKARSRRFNDILEWLASEFGFQRGSVANQREHIILLLANADIRNRTDEEYDGLKSSTVTELMDKTFKNYYSWCKYLHREPNLKFPPDCDVQQLQLIYISLYLLIWGEASNVRFMPECICYIFHHMANDVYGILFSNVKAVSGEAYETGEIIDEETFLRNVITPIYQVIRNEARRNKGGTASHSQWKNYDDLNEYFWSRKCFKIGWPLDPNADFFQNSDESTPQNERLNQVTYGKRKPKTNFVEVRTFWNLFRDFDRMWIFFVMAFQAMVIVGWNGSGSLGEIFDKDIFKKVLTVFITSAYLTLLQTALDIILTFNAWKNFKLSQILRYLLKLAVAAMWAVLLPIAYAKSVQRPSGVVKFFSTWTGDWKDKSFYNYAVSFYVLPNILAAFLFLVPPFRRVMECSDMRPKLYVGRGMHEDMLSLFKYTSFWIMLLISKLSFNYYVEILPLIKPTKMIMNLHIRNYQWHEFFPYANNNVGVVIAVWAPIVLVYLMDAQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESIPIAFSRTLMPTENANRKHADDFGDQKKITNFSQVWNEFIISMRREDKISDRDRDLLLVPSSSGDVSVIQWPPFLLASKIPIAVKMAKDFKGKEDAELFRKVTSDSYMHYAVTESYETLKKIISALLEDEADRRVMNQVFSEVDMSIQKQRFIYDFRMSGLTLLSDKLEKFLRILLSDYEDEGTYKSQLINVFQDVIEIITQDLLVNGHEIVERARIHSPDVKNEKKEQRFEKINIHLIQDKRWRDKVVRLHLLLSVKESAINVPQNLEARRRITFFANSLFMNMPNAPRIRDMLSFSVLTPYYKEDVLYSEEELNKENEDGISILFYLQKIYPDEWTNFLDRLNDPKLLEKDKSEFLREWVSYRGQTLARTVRGMMYYRQALELQCYQEVAGENAKFSVHQAMASNDEHQKAFLERAKALADLKFTYVVSCQVYGNQKKSGDIHNRSCYTNILQLMLKYPSLRVAYVDEREETADAKSPKVFYSVLLKGGAKFDEEIYRIKLPGPPAEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKLRNVLEEFKKERVGRRKPTILGLREHIFTGSVSSLAWFMSNQESSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGVSKASKVINLSEDIFGGFNSTLRGGYVTHHEYIQVGKGRDVGLNPISIFEAKVANGNGEQTLSRDVYRLGHRFDFYRMLSFYFTTIGFYFSSMLTVITVYAFLYGRMYMVMSGAEKEILRLATPNQLAALEQALATQSIFQLGFLMVLPMVMEIGLEEGFRSAIVDFFIMQLQLASVFFTFQLGTKSHYYGRTILHGGSKYRPTGRGFVVFHAKFAENYRLYSRSHFVKGLELLLLLIVYQVYGHSYRSSNLYLYITVSMWFMVGSWLFAPFIFNPSGFEWQKTVDDWTDWKRWLDDRGGIGIPVDKSWESWWSVEQEYLKHTNIRGRILEITLALRFFIYQYGIVYQLNISQNSKSFLVYGLSWVVLLTSLLVLKMVSMGRRKFGTDFQLMFRILKALLFLGFLSVMTVLFVVCELTLTDLSASILAFLPTGWAILLIGQVLRSPIKALGIWDSVKELGRAYEKIMGLVIFAPIAVLSWFPIVSEFQARLLFNQAFSRGLQISMILAGRKDKAASSYK >A05p009060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3738670:3739038:1 gene:A05p009060.1_BraROA transcript:A05p009060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENYVRRMIVHHRPRGFVQGEQDQFELFIENLLVKGPVVVAFDVFPSYERQGIFSLTDVEYEMRLYQRFTKHCVVLYGKCVEVKNQKIK >A01p019980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9784637:9786159:1 gene:A01p019980.1_BraROA transcript:A01p019980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRKRSEKKKKQQLERENTNTQKNKKLPSVWFSLKKSLHCKSDPTDVHDPSSRSKETTKRSTYRSGCSRSIANLKDVIHGSNRHLEKPTTCSSPRSIGSSEFLNPITHEVIISNSTSELKITAAAGDKDSPAGAREFVGTLRPGTPVHYSSSHINQASRKASSERDRGGGAAFKQSRRDSAVVNGDDSSVSCHKCGEKFSNLEAAEAHHLTKHAVTELVEGDSSRKIVEMICRTSWLKTENQCGRIDRILKVHNMQKTLARFEEYRDTVKNRASKLQKKHPRCIADGNELLRFHGTTVSCVLGVNGCTSLCSSEKCCVCRIIRNGFSVKREMNNGIGVFTASTSGRAFESIAMDGGDGTTERKALIVCRVIAGRVHRPVENVEEMGGLNGFDSLAGKVGLYTNVEELYLLNSRALLPCFVVICKP >A08g504190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:6801064:6802957:1 gene:A08g504190.1_BraROA transcript:A08g504190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIFFFFENDIFTLSPSSSSSNYKIAIVINTTTTMNNQFEALNAPKIDLPFFFFHSCELNTTYLSLSLHNELKKPKILILKFLWFIESVRQTTYLGSRLAVDDLPFSRLAVDDLPGSRLVNAESIHAIHKTNHKQNYYRSFIYKDKLGFHLSRQDQTTFKKFRRLLRSPDDFQEVQTTLPEDF >A05p028050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000016.1:260656:261911:-1 gene:A05p028050.1_BraROA transcript:A05p028050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVNWSCDMEQGHEDTMMGSHPGGRVTACSVRLDGRPYSRTGRNVLGSVRVPYDISPCPDELTIRYCFLGLKSLEWYPIGALVFFDCWFKAIGSILRTSDRPSRNIDRVISGHLRSGMSQRWYQSMQKHRDKIERVNCAYRGYYHYARVCYPHGRVHYCPVKSRFYTSNTSPDLCVIDVKLGVVWGPIQSDATAHDHLDALILPVSQFSSLC >A04p039810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22509237:22511972:1 gene:A04p039810.1_BraROA transcript:A04p039810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSLPLILSIFLFLSPSLSYSSELDILLDIKSSLDPQKRFLTSWTPNADPCSPGSFDGVACDGNRRVANISLQGMGLTGTIPPSIGLLTSLTGLYLHFNSLTGQIPKDISNLPLLTDLYLNVNNLSGEIPPQIGNLDNLQVLQLCYNKLSGSIPTQLGSLKKITVLALQYNQLSGAVPASLGDVGTLTRLDLSFNDLFGPVPVKLAAAPSLQVLDIRNNSFSGFVPSALKRLNNGFQYSNNHGLCGDGFTDLKPCTGSNVPNLNRPDPTNPKSFITTNDVKPESAVVQRSNCSNNNGGCSSKASKSSSLGIVMGLLGSILAVAVFGGSTFTWYRRRKQRIGSSLDAIDGRVSTEYNFKEASRRKSSSPLISLEYANGWDPLGRGQNSGNNSALSQEVFESFMFNLEEIERATQSFSEVNLLGKSNVSSVYKGILRDGSVAAIKCIAKSSCKSDESEFLKGLKMLTLLKHENLVRLRGFCCSKGRGECFLIYEFVPNGNLLQYLDVKDESGEVLEWTSRVSIINGIARGIVYLHGENGKKPAIVHQNLSAEKILIDHWYNPSLADSALHKLFTDDIVFSKLKASAAMGYLAPEYITTGRFTDKSDVYAFGMILLQILSGKSRISHLMILQAVESGRLNEDFIDPNLRKSFPEAEAEQLARLGLLCTHESSNQRPSMEDVMQELNKLAASY >A08g506630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11438601:11439964:1 gene:A08g506630.1_BraROA transcript:A08g506630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSGFFLFTILLEDGEDEVVVFRSVSSGSGGGRDEGESRLASGDCLPRRDPQLQQVMGSTFVFYSSILFEKRQIKDCERFKNQKSSHLSCSLRLIALKNNEIAGLENPYYHNTVFTKTSHMIDKDKLILKMAIGSRSNKRSWSMMKGSQKERRDSSRVLINLFGGQ >A05p022090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10524805:10527201:-1 gene:A05p022090.1_BraROA transcript:A05p022090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLSPLRQISVKSSLSGRSTPRGSPRVHSSGRTPRRGGGGGGGGAVQWFRSSRLVYWLLLITLWTYLGFYVQSRWAHDNENKVEFLRFGGKLRKDVVHVDVAKSKGMGSVANDTSDALVSVTTGKDDAGVNKRTDVSLSKKDDVASRRSLSSRRKTRKASRTSRGKQKVTKAVESKALEDEQDPQLPMTNATYGNLLGPFGSLEDKVLEWSPHRRSGTCDRKSDFKRLVWSRRFVLVFHELSMTGAPISMMELASELLSCGATVSAVVLSRRGGLMQELTRRRIKVVEDKGELSFKTAMKADLIIAGSAVCTSWIDQYMNHHPAGGSQIAWWIMENRREYFDRAKPVLDRVKMLIFLSETQSKQWLTWCEEEHIRVRSQPVIVPLSVNDELAFVAGIPSSLNTPTLSPEKMREKRQTLRESVRTELGLTDADMLVMSLSSINPGKGQLLLLESVTLALSEREQEAQRNHKGTVRKEKVNPSKKHRLRGSSRQVQSVPLTRDNDAGRQKQELKLLLGSVGSKSNKVEYVKEMLSFLSSNGNLSKSVIWTPATTRVASLYSAADVYVTNSQGVGETFGRVTIEAMAYGLAVVGTDAGGTKEIVEHNVTGLLHPMGRLGNKDLAHSLLFLLRNKDARLQMGIQGRKKVEKMYMKQHMYKRFVDVLVKCMRP >A08g506320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:10798048:10798437:1 gene:A08g506320.1_BraROA transcript:A08g506320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKIEIDPTIDAERPRHVAPTSRSGLRERPQWVALRGRSGLRFVSSRHRDASDLGVSLWEVAPRGVALRGALERPHDVAAEPRSHALLVQ >A03p010190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4076451:4077467:1 gene:A03p010190.1_BraROA transcript:A03p010190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVIRLLLINPPPSSSSSSPLLHLRRRTSLDSNSVFSLRTSITKSKPRFSCLFSGGNNQREEQARKALESALGGKKNEFDKWDKEIKKREESGGGGDGGGGGGWFGGGGGWFSGDHFWNEAQQITITLLAILFVYMIVAKGGVMAAFVLNPLLYALRGTREGLTSLSSKLTGGNASKVNSDSSDEMWKKDGFSEVSAKESVVRKWGSD >A03p047030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22602931:22604565:1 gene:A03p047030.1_BraROA transcript:A03p047030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSCNATDKLLSFSSHSHQSNPVHRINSFSVSCSQIRKPGLTPLRAAVSADQESVIRRTRSHEVQSNKTATVQTIANLLQEVGCNQFQSVGFSTDGFATTPTMRKLNLIWVTSRMHIEIYKYPAWGDVVEIETWCQSEGRIGTRRDWILKDLANGEVTDRATSKWVMMNQDTRRLQKVSDDIRDEHLIFCPKEPRLAFPEEENNRSLKKILKLEDPAQYSIIGLKPRRADLDMNHHVNNVTYIGWLLESIPREIVDTHELQVITLDYRRECQQDDVVDSLTTSKNGSATSGTQSHNDSQFLHLLRLSGDGQKINRGTTLWRKKPSRETISKPF >A05p050510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29392694:29394239:-1 gene:A05p050510.1_BraROA transcript:A05p050510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPSGNNQEGSSAAAQKVSSSTAAAAATNGAAVNSVDNGGTVDNSQTISALRHNPGISVDWTNEEQSLLEDLLAKYASEPTIVRYAKIAMKMKDKTVRDVALRCRWMTKKENGKRRKEDHSSRKSKDKKEKTTDTSAKSSSHLNVHPNGPSYAPPMMPLDTDDGISYKAIGGVSGDLLEQNAQMFNQVSSNFSAFQIHDNVNILCKARDNILAILNDLNDMPEVMKQMPALPVKLNEELANSILPRPPPPHQRKP >A08p043220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:24117331:24118584:-1 gene:A08p043220.1_BraROA transcript:A08p043220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLLNHITHHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSPEEENLIVELHSVLGNRWSQIASRLPGRTDNEIKNLWNSSIKKKLKQRGIDPNTHKPISEADNDKPTRSNNDLKSPSSSAATNQDFFLERPSDFSDYFGLQKLNFNSNLTPESSMCSIVPGQFSPGNMVGSVFQTPVCVKPSISLPTDNSSSTVSRGDHAGSNWEFQTNNTSNFFENSGFSWSIPSSSVTKPNHNFEEVKWSDYLNTPFFNSSTVQSQNSQQIYIKSEAEYLAKVSNITDPWSQSQNENLSTPEASDVFSKDLQRMAVSFGQSL >A07p033490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18326609:18327982:1 gene:A07p033490.1_BraROA transcript:A07p033490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SOMBRERO [Source:Projected from Arabidopsis thaliana (AT1G79580) UniProtKB/Swiss-Prot;Acc:Q9MA17] MIGSGPQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKSIHLNSSKKIGLRKTLVFYTGRAPHGHKTEWIMHEYRLDDNENEIQEDGWVVCRVFKKKNHFRGFHQEQDQDHHHDHQYISTNNDDHQQQHHNESNPNNHSSLISHSLDHHQNHHHMGRQIHMPLHEFANTLSHGSMHLPQLFSPDSAQPFVSSINTTDIECSQNLLRLTSNNNYGGDWSFLDRLLTTTNMNHQQQQQVQNHQAKCFGDSSNNDQAVTHDNGGSSSSPNNQRFPFHYLGNNANLLKFPK >A04g508610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:23102771:23103295:1 gene:A04g508610.1_BraROA transcript:A04g508610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWHRKGGSKIPPCLFR >A05p006440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2570727:2571580:1 gene:A05p006440.1_BraROA transcript:A05p006440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGLSSPDLLRVDDLLDFSNEDIFSASSSTSTAATSSSSFPPPQNPNFHHHHPSSADHSFLHDICVPSDDAAHLEWLSQFVDDSFADFPANPLGGTMTTVKTETSFPGKPRSKRSRVPAAYAGTWAPMSESDQEVHVAGKLKPKKEHSGGGGRNYQSTTETAEGGMRRCTHCASDKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEVMRQPQHVQLHHHHHHIPPF >A06p032460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17306129:17308722:-1 gene:A06p032460.1_BraROA transcript:A06p032460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIFDGDCTSSFFNISSDGLWENLKSPDMIFGYSLPLVEIQILLIFIFIVMTHMFLRHIGISQIASYMIAGLMLGPQLFDVLEKSSGKVSEDPALDGNATLRSISVFGTIMFTFLMTLRTSRRVAFISGKLPVVIGILSFFAPLFGLGFQNLFSDSIDPNYMPLKTGLGERTAIVITQSSILLPSTTYILLELKILNSEIGRLALSACVINDIFGIISMVLASIQATYKNVSHVTAYRDAVAVVIFVLIVFLVFKPIVQWIINRTPDGKPVKNMYVNAVILTALASSVYSMIFNMKYVLGALVVGLVIPEGPPLGSALESKYEKLTMNVFLPISITVSVMRSDATRMLTEFSHILVNIFLTALTLVLKFVACLAPCLYYKLPLNESLAVSIILSYKSFADFVLYESVLDAAYISQATYALLIIYSLLNAGIVPVVLRRLYDPKRKYVNYQKRNILHLEPNSDLQIITCLHRPENVSATIAFLQLLSSPNLDFPIAVTVLYLVKLVGQINPVLISDNKKSKRLHTDSYIHTANLAFRKFMLEGLKSVTVTTYTAFSHEKMMHEDICTLALDQTSSMIIVPSGRRWTVDGMFESDDDAIRRLNQALLQSAPCSIGILIDRGHFSRKGNDVSSKKNYNIDVGVIFIGGKDDREALSLVKRVRVTVIRLLFKQEIEPENWEYILDNEGLKDFKIAEEMKNVDYTERTMTSGAEVASIVQFLAHEYDLMVVGRDQGIASPNFLGLTEWVELPELGVIGDFLAAKELSSKVSVLVVQQQQQT >A10p038840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:21625315:21629055:-1 gene:A10p038840.1_BraROA transcript:A10p038840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEETAEIVGKSSLHKNVHNHNRYRYLSSYGGSSSVRRFLFFVSCFGLYALVALTYAWLAFPPHIGRTYHVSSSSLGCREDNEGSWSVGVYYGDSPFTLKPIETVNVWRNESAAWPVANPVLTCASLTNSGFPSNFIADPFLYVQGDTLYLFFENKNPITLQGDIGVAESTDKGATWKPLGIALDEPWHLSFPFVFNFNGQIYMMPESSQIGELRLYRAINFPLTWKLEKVILQKPLVDTTIIHHQGNYWLLGSDHSSFGAKKNGQLEIWYCTTPLGPWKPHKKNPIYNGKRNVGARNGGRGFLHDGNLYRVGQDCGENYGKRIRIFKIKTLSKEEYIEVEVPFDLEVPRKGRNSWNGLRHHHFEVRQLSSGGYVGLVDGDRVTSGDLFHRVLLGYASLAGAITLVVLLGFLLGVVNCIVPSTWCMNYYAGKRTDALFNLETDGFFSGKLRRMCSRLNRVPPFLRGLVKPSSALGRLALGLILLVGVFLSCLGVSYIYGGSGAVEPCTFKGHASQFTLATMTYDARLWNLKMYVKHYSRCASVKEIVVIWNKGHPPDPTELDSAVPVRIRVEKRNSLNNRFSIDPLITTRAVLELDDDIMMSCDEIEKGFRVWREHPERLVGFYPRYVDQSMTYNAEKFARSHKGYNMILTGAAFMDVGFAFGLYQSERARLGREFVDEQFNCEDVLLNFLYANASGSAKAVEYVRPSALVLDTSKFSGVAISGNTDQHYRKRSECVRRFSELYGSLSDRRWEFGGRKDGDPNRRRLSVSSHFGRLLHRIKDRDRRPTTSLWLLTEIPPPSPPKPTIKTEDESRGPHGVSSHFCRLLRQIKDQNRRPTTSLWLLTEIPPPSPPKPTIETEDESGDPHGVSSHFSHLLRQIKDRNRRPTTSLWLLTEIPPPSCECRRLCPC >A05p037640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:21393225:21396045:1 gene:A05p037640.1_BraROA transcript:A05p037640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKEGMTQLHKKLYKVTKRIQQGMTPLYSVAFNFIDDRFYDYFVSAGGNGINMCICLDDGDIFPLHSYTDEDEESFYTASWACDVERNPFVVAGGFNGRIRVINVNDKIVHKTLVGHEGPVNEIRTHPMKPQLVISASKDGSVRLWNIETGICILIFAGTGGHRSEVLSVDFHASDMSRFVSCDMEATTKIWSLTESWKYVEMSFTWMDDPKTFPTQVVQFPVFTASDRSNLLNCNRWYGYNILSKGDACDIRLWQPQLKENSPGEGDFYVRLVYAIPDSYHRIIKFSCDLSMKFVSVGNDKGDIYVWDLKSFPPVLVTVLSHYKSKSLIRQTAMSTDGTTILATSEDGTLWRWDVERP >A05g502840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8625312:8626175:1 gene:A05g502840.1_BraROA transcript:A05g502840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRHGDRCSRIHNRPAISPTLLLSNMYQRPDMITPGFKEEDQAAAALQALQSWSYLGRPIIGEFSPATDFREATCRQYEEENCRRGGRLITGTEMGSFTGMEVGKEAVRGRREEACKGTVLGGDREALVVGEKEARRGGRGLSNGTYNVRRRKREEQKHNSDYNFCNVVGSAVCFSAFAESFGCANMVT >A01g506960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:20383097:20384572:-1 gene:A01g506960.1_BraROA transcript:A01g506960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVQNTLYFVAAILLIHQNTVTSDFLSPLLSPMFDDICKEVQCGKGKCKASLNATFMHECECDNGWKQIDHNLKFLPCVTPNCTFDLTCGEAASPAQPKTPPKDTNASFFDSICHWVDCGGGFCNKTNPFLYSCNCREGYNNLMNITTFPCFKQCALGMDCLNLGIPLSNASSSSPPALPDSSKNQATGLNIRGSSLWFITYLLCVSLAPWRLLCI >A04g501880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:4509428:4511738:1 gene:A04g501880.1_BraROA transcript:A04g501880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIASLTHDIICGKIQITGLIPLFEFTVNDISSKSTTLDKKIWVYMFITAACRSWIIHSPINSGSVKTSITKEALGFWTVKLFRKMGCGCYCFPISEMGDACYAATCIFQVKSTMDTPLAMGAFVSARFLKFLLSTTVHNSLRVIFTVCTYAGKALWFKVRDWSLRVRDVQCMDELIFLVLEVDCRTVSPRLLTDFGFPCSKGGPQTIQNLTKQFHPSLTEELRNLWRWLRRAEPESVFSEFISWVWLEEHTVPGIKAVLRVGGDVVNGRRSAMVILAATLFSSTYGSASANAGVIDDFYERSKPTKELNDKKTLATSGANFVRESTLIICLF >A08p013970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9357832:9359862:-1 gene:A08p013970.1_BraROA transcript:A08p013970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRQDNGLLGDSINMVSIDPVYLCGTHFNLLGGELGGVYPSTASRTVFYDKEVDVCALLRRGEATHRVRCSFRCFHGVVSELMRESGGSDENDINEIIIVVD >A03p022550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:9472617:9474360:1 gene:A03p022550.1_BraROA transcript:A03p022550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ricin B-like lectin EULS3 [Source:Projected from Arabidopsis thaliana (AT2G39050) UniProtKB/Swiss-Prot;Acc:Q945P1] MDPPFGHSHHHHHHHQRDDNEDDRQSFGAPPPPRNNFVDAPPPPGHYHSQPHLDPYAPPPPVPSPYGSEPQYNAYAPPPPYFEAPAPPPQFGHVSHVSHHTSEEPYPPDHHRYGAYPPHNSSLESYGDSTGGVVHVSHHSSHQTDMPSGFHHLPDDENRLPDNLAGLAGRQTVKHWYKDEKYSTRVKDAEGHPCFSLVNKATGEAVKHSVGATQPVNLVRYDPDTLDESVLWTQSKDLGDGYRTIRMVNNVGLNVDAFHGDSKSGGVRDGTTIVLWDWNKGDNQLWKIFPF >A07p003750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:3116511:3117014:1 gene:A07p003750.1_BraROA transcript:A07p003750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLHFLAPSSAKPTIIINPKQQSYGAITQTQFVLRRQERRSLSINCSNSGNNNSSEEKVSQPLDGVEIRFKRGSRRRMREEGSGEGQNGKKAEKTVQKTWEEMTLNEKALELYVGEKGLLFWLNKLAYASIYIVIGGWILFRFVGPALNLYQLDTPPLDPKNILKG >A05g507950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23408905:23414491:-1 gene:A05g507950.1_BraROA transcript:A05g507950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILPTEVQVDNLGEQQEMGREEEGESSHAGDQTSRGTGALETAEPSMREVLDVVKAMGTQMLAFSQAFTPLVNSSVGQVTPAQATRRAAQTAGTAAGVAPAAAHVAQTAARTVEDRAIVEADESWPSDLAAEQGREQAQPAGDSVKPAHSVFGSSLELIARWSVMVRAGVAGSWAVMGWWGLGLGQGTLDNVMGLIFGKPKGRKSILAVTPKKVQKGQFCRVLTENGTKFVRQSSAKLITERKKERDRRLEKRTVCGGVGLPVCSECGKARP >A02p017120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7680878:7683396:-1 gene:A02p017120.1_BraROA transcript:A02p017120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDNITEMRSDSESDYDPYGDDEMSIVPQVELTVPKTDDPTSPTVTFRMWVLGITACVLLSFLNQFFWYRTNPLTISSVSAQIAVVPIGHLMARVLPTRIFFEGTRWSFTMNPGPFSTKEHVLITVFANSGSGAVYASHILSAVKLYYKRRLDFIPALLVMITTQVLGFGWAGLYRKHLVEPGEMWWPSNLVQVSLFRALHDKEKRSEWGVTRNQFFVITLIASFSYYLLPGYLFTLLTTISWLCWISPKSILVNQLGSGSAGLGIGSFGLDWSTIASYLGSPLASPFFATANIAVGYFIVMYVITPLCYYLDFFDAKTFPIYSGKLFVANGQEYNVTSIINDEFRLDHKAYAETGPVHMSTFFAVTYGLGFATLTASVVHVFLFYGKDLWNQTKGALRKDKKMDIHTKIMKRNYKEVPLWWFLSIFVVNIAVIVFICVYYEKQIQLPWWGAFLACLIALFFTPLVGVIMATTNQAPGLNIITEYIIGYAYPERPVANICFKTYGYISMSQSLTFLADLKLGSYMKIPPRTMFMAQVVGTLVAVFVYALTAWWLMAEIPNLCDTSLLPPESQWTCPTDRVFFDASVIWGLVGPRRMFGDLGEYSNINWFFLGGAIAPTLVYLATRVFPNKKWISSIHMPVLIGATAIMPPATAVNFTSWLVMAFVFGHFLFKYKTEWWQRYNYVLSGGMDAGTGFMSVLLFLALQRSDIMLDWWGNSGEGCPVAKCPTAKGVVVHGCPVF >A06g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:29954858:29955667:-1 gene:A06g509930.1_BraROA transcript:A06g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKVPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLTEAPKFKLITPSILSDRMRINGSLARRAIRELMAKGVIRMVAAHSSQQIYTRATNT >A05p038880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23816691:23819580:-1 gene:A05p038880.1_BraROA transcript:A05p038880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWISKVKDGQHLSEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARHPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRLVDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTTEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFDDNMEKSVKYFTETEENNQMRGPRTGVPYFL >A09g514960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:44568045:44570366:1 gene:A09g514960.1_BraROA transcript:A09g514960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPFLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEVFPNIQDP >A04p000670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:339091:339925:1 gene:A04p000670.1_BraROA transcript:A04p000670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase F13 [Source:Projected from Arabidopsis thaliana (AT3G62760) UniProtKB/Swiss-Prot;Acc:Q9LZI9] MAMKVYGNGMSVCVARVLLCLHEKETEFELVPVDLFACHHKLPSFLSMNPFGQVPVLQDDDLTLFESRAITAYIAEKHEDKGTDLTRHADAKEAAIVKLWSEVESHHFNPAISAVIHQLIVVPLQGKTPDAAIVEENLEKLGKVLDVYEEKLGKTKYLAGDSYTLADLHHVPYTYYFMKTCHAGLVNDRPKVKAWWEDLCSRPAFLKVSPGLTVAPPTN >A05p039740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24304927:24306560:-1 gene:A05p039740.1_BraROA transcript:A05p039740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQINFNNLGGGGGDPGGEGFNQMKATDTAYPLARQSSLYSRTFDELQSLLGGPGKDYGSMNMDELLKSIWTAEEAQAMSMTMTSSAATTMVQPGGNLQRQGSLTLPRTISQKTVDEVWKCLITKDGGGSSGGGGRESNNAPQRQQTLGEMTLEDFLFRAGVVREDNNSSQQMASFGGTGVTVAATSPGTSSAENNSLSPVPYVLNRGRRSNTGLEKVIERRQRRMIKNRESAARSRARKQAYTLELEAEIEKLKKVNQELQRKQAEMMEMQKNEQLKESSKKPWGSKRQCLRRTLTGPW >A03g508820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29515480:29519557:1 gene:A03g508820.1_BraROA transcript:A03g508820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAMVERATSEMLIGPDWAMNLEICDMLNSDPAQAKDVVKGVKKRIGSRNPKTQLLALTLLETIVKNCGDMVHMHVAEKGVIHEMVRIVKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYAGYQELLRAGAVFPQRSERSAPVFTPPQTQPLTSYPPNLRNTGPGNDMPEASVEPDLPTLSLSEIQNAKGLMDVLAEMLSALEPGNKEDLKQEVMVDLVEQCRTYKQRVVHLVNSTSDESLLSQGLALNDDLQRVLTSYEAIASGLPGTSVQIEKPKSETGKSLVDVDAPLIDTGDTSNQANGAAPSSGNGILNQLALPAPPVTNGSANSKIDLLSGDDLALVPVGPPQPASPAASDQNALALIDMFGENANSPSPATAPTGSSALPSGPLNPQPTSQAGEAGLQQSNGFAPPAGFSQFEQPSYGQGASSPWNSQPGQHLQQPQQPSYEGAQDSMAFPPPPWEAQHQDFSPTAESGSPFSPQMHPSTQIGFSHAQQYPQMPQNNNSPYPQMPQPGMYMQQPMPNQANQGLGQGYPPQQQQQQQMMMAQFYAQQQQAYGNQMGGYGYGYNQQQQGSSPYLDQQMHGMSIRDQASHQVPASSSYLPPMKPKNKPEDKLFGDLVDISKFKPGTKPTSGRAGTM >A09g509800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28460499:28463065:-1 gene:A09g509800.1_BraROA transcript:A09g509800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYRNRSTHIADIKGKGILYEDDDEPIKLTSQNDPTILDEFSLSLIGKILNPKKQNVEKLLQKMPSHWGLADRITANDLGNGKFLFNFTTEEDLQSVLSQGPFHFNFCMVVLVRWEPIVHDDYPWIIPFWVRLIGIPLHLWTDQNLRNIGSRLGHIDKVEHTEGRMLIDVDTRRPLKFTRKAESPEGDEVTLEIKYEMLFKHCSTCGMLTHEKEYCPSLEVRKQPTIERQDVFARVQVPSDQRNNQPKLQSRYRVELSHGRYNSSRSSRYDSDRKLEESNYGNSHSDRIIRRRDDHSRSNRYGGSRVGTGPYDRSPALTWRQKSLGEQTGNRGEQATHSRESIPYEQPVVSRSDGKTDELRSPVITATRRLASTIVTPSRAEHPMEENVTKRVKDSTRSLNFAALSDQELQDGVGDGQIIGALSDMEIADQPDGEMMDCDVRNDDLLGLELTEMEDSSSRRASLKEAGRPADKATRSKRQSAKTNVSLGIPSRKFEILRRGSPRKRSSSCRKALSEWRRQHNVNSAKLVEELKEKVE >A05p017360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:7822434:7824511:1 gene:A05p017360.1_BraROA transcript:A05p017360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLHRTPPLKAHCLILRTTTMATSYSSSLLLPPSVSLNKSRNGSSLGFSSVNRSRVSMSVSAGSQTTLNDSLFADYKPSSAFLFPGQGAQAIGMGKEAQSVAAAADLYTKANNILGYDLLDICVNGPKEKLDSTVISQPAIYVTSLAAVELLRVREGGEQIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQAAADAAKSAMVSIIGLDSEKVQQLCDAANQEVEEADKVQIANYLCPGNYAVSGGLKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALASTEIRSPRIPVISNVDAQPHADPDTIKKILARQVTSPVQWETTVKTLLSKGLKSSYELGPGKVIAGIFKRVDKSASVENISA >A02p001770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:761714:762452:1 gene:A02p001770.1_BraROA transcript:A02p001770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSCWSGPASCLGPCDCCCLAHGMWRSPNLHILQRSVRRRRRKPPAIMAVPMMISISIQTSAPTGRLSCSTKRWTGAAGLGYEIDFKKFRYCFGWRPFHLDDVSFFSDPETNRHFIAKLVNLALDQHNKENKTSLELGKILIANFHPSCGLTFYLSFEVNDPSDGNQTKPYRAVVRYFPGDIEVVSCNPKDS >A02g509760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:26043104:26043628:1 gene:A02g509760.1_BraROA transcript:A02g509760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDRKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVARGMDQEPVGLSAGVGAVVLLVQETHKEGHHLSHGETGGPKTLELKENGDPVGLSADVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPEDP >A09g503000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9869453:9875890:-1 gene:A09g503000.1_BraROA transcript:A09g503000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDVLHLEKPISILDHPRSNPYAHEFSFPLVKKVEGLEGQEELCFINNNGSWYKKEPNFQYNNYQQKSYPNNQQSGYPPRNNQQGSYQPQQNPSSGSSAPQESSTDTLLKQILESQTRSEKQVGYELKNLHSKIDGSYNELNNKFSHLASTSLLGTGVPHEVIWSSKEVKRWSLDEPSMGATSRSDITKSLCPTPGATFQSDCMKSLAFSLLRTVLDDPGATSQSDLPRSLPIQSDPLERHTKVARDLSPGDTKNRPWSDFPERHLQVAPRYFAAENHDFLRTFLQFILDVLHLEKPMLKVDSLIDHLPSLVRYLITQGLISMPMNSLFP >A10p000060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18207:22041:-1 gene:A10p000060.1_BraROA transcript:A10p000060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein VASCULAR ASSOCIATED DEATH 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G02120) UniProtKB/Swiss-Prot;Acc:F4HVW5] MAMLSTPETVMNMDGSASASPEVVVSDPSPSSPDGSRSSGSPDRTSSPSPSRGGENQSEVISRSEEYRQLFRLPADEVLVQDFNCACQESILLQGHMYLFIHYICFYSNIFGYETKKIIPFADISCVKRAKTAGIFPNAIEILAGGKKYFFASFLSRDEAFKLIHDGWVEYGCAVKSHGQIQDSFSESNNQVNDGVVQSTLDLASELHSPSRDETPHLSASSSVTQNGVSPSSLNLQRHTEPLVDSVASSSTNILNSKPEDLNAPKLSSDFTKVAEAKFPIPVEEFFRLFFSDAAVSFVESFHKNCGDKEFRCSSWEPHEKLGHTRNVSFQHPIKIYFGAKFGGCQESQKFRMYRDSHLVIETSQEITDVPYADYFTVEGVWDVKRDCKDSIEGSILDVYVNVAFSKRTVWKGKIVQSTLEECREAYAAWIRMAHELLKQKKLENQEGIKLSEDGAVSSASEERVSECEEQRVEIARGRGGGVVNILRESLMNVTSFVKRQSSTKQALVIAFAVILLMQVTIVVLLKRGPEQVQMGSEYYSSYDKSGIGESVGWLEKRMHFLREEMIMVEDRLQRMRQDHAALKAQLHQLERLLLRHQQ >A09p067160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53032459:53033343:1 gene:A09p067160.1_BraROA transcript:A09p067160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLTSSSLSLYPSSSPLSFVKLPSSIHHNTTTSFSCKASSNPNPDCNGNDRFIRTIKGLVSSRQWMSRFRAYRDDTAAFSAGDLKQNGGLGIALLSITASAKVKISPFVATLSANPTFVSAVFAWFFAQTSKMVINFFIERKWDLSLLFASGGMPSSHSALCMALTTSVALCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNLIIRDLFEGHPISQRKLKELLGHTPSQVLAGALVGVVIACFCCQGPPRLNLRSH >A04p037420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21345753:21348013:-1 gene:A04p037420.1_BraROA transcript:A04p037420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNEDNVSFIPSASPAVPITQITAAPVPGVSPIPPPSFPPPMAPIPVARPPTFRPPQNGGAKASDSDSESDDEHYVISEESKQVRERQEKAMQELLIKRRAAAIAVPTNDKSVRDRLRRLGEPITLFGEQEMERRARLAQLMARLDIGGQLDKLLQAYEDDAAPKEEVDEEELQYPFFTEGPKELREARIEIAKFSIKRAAVRIQRAKRRRDDPDEDVEAETKWALKQAKGLALDCSNFGDDRPLTGCSFSRDGKILATCSLSGVTKLWEMPQVTNKIAVLKDHKERATDVVFSPVDDCLATASADRTAKLWKTDGTLLQTFEGHLDRLARVAFHPSGKYLGTTSFDKTWRLWDVNTGAELLLQEGHSRSVYGIAFQQDGALAASSGLDSLARVWDLRTGRSILVFQGHIKPYEPQEGYFLATASYDMKVNIWSGRDFSLVKSLAGHESKVASLDITADSSCIATVSHDRTIKLWTSSSNEEEEDDQGGETMDVDL >A02p046690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:29117810:29119351:-1 gene:A02p046690.1_BraROA transcript:A02p046690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGEQLDIDFVISVGDNFYDDGLKGDTDTSFEASFSHIYTHPSLQKQWYSVLGNHDYRGNVSAQLSQVLTQKDWRWFCRRSFVLCSGMVEFFFVDTNPFVKKYFTDPEDHTYDWSNVLPRKKYISNLLHDLDLEIKKSRATWKFVVGHHGIKTAGQHGVTQELVDQLLPILEENKVDLYINGHDHCLQHIGSEGEIQFLTSGGGSKAWRGDIQPWDPKELKLYYDGQGFMSLRITHSEVKFVFYDISGNVLHQSTVSKKSKTFGLF >A04g504560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:9460988:9461895:1 gene:A04g504560.1_BraROA transcript:A04g504560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSKPKKKAKITEQQNPPQTLPPDLRLPPRLFATDRFPINDYNCQNQAVVSVTFRFEAPQTTLRPVKAVEDVHRRLCSLSYPKGARSYASEGPKDYNLLGNKPQDIVRPGSLSLLAEAYDRCVQVCAKYAKTFYLGWDLLLLSLL >A09g517680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53108051:53108306:-1 gene:A09g517680.1_BraROA transcript:A09g517680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVHDGCTHGKLLEMTQEDYDLNNKIEKMANTPLMPVTNNRQVRNLIELSKTHFVRLCVSSLRQIH >A08g501240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3233252:3233602:1 gene:A08g501240.1_BraROA transcript:A08g501240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPDGLMSLSFLGGFCSVKQYKEVEVLSFLMKCFWLCTVNWRFRYLSLVSASLTISCACVVLAKSSGCSMIRQLSSFPFLRLFMGLDVGA >A06p017790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:8015736:8016684:1 gene:A06p017790.1_BraROA transcript:A06p017790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSRESGFLFCSMCSTMLVLKSSKYAECPLCKTTRNAQEIVGKDISYVVSDEDIRRELGISLFGEKTQEDTELPKIKKACEKCQHPELVYTTRQTRSADEGQTTYYTCPNCGHRFTEG >A05g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:17911475:17911776:1 gene:A05g506230.1_BraROA transcript:A05g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPKRRWNDVSDASYRANEITTIVNGSMLMTPKAFESHASLINLGAELYFIIVLCFDSSVEKGS >A03p002850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1261181:1278208:-1 gene:A03p002850.1_BraROA transcript:A03p002850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYISITVYVTGYDTSLSEIDTESAMRKHFSSCGEIIEVDISKSSAELAIDGEDAQGKVMELDGSDMGGANYLLRSLMETLASKVDLLNLSPMDSSRIRIIGLALDDPRTLPLKTKIRNVGRIIVKGYDAKLPHDDVESALRKVFSSCGEINDIFICETEYRLYSDAIIYFIGEGAAEKALQLSGSDVGGWKPIVTPYPFPETAGRSLIVCVTGYDNSLSKIKIERALRQHFSSCGRLRDFDISKKFASAEFGIVGEDAQDKVMELDGSDMGGREIHVKVTSGAVTAVHTRRYRSIPNRRACKRMSDQDFDGSSEPVDLINHPSGIVPTVQNIVSTVNLDCKLELKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGRMVCTGAKTEDSSKLAARNFPIWLEGLAYYHHAFASYEPEMFPGLIYRIRGMKGMKGAQIVLLIFVSGKIVITGAKKREETYKAFENIYPVLTQFQKKPGLPGTSIATSSMVHLSSMRVTEIPPLRFSPNIDINTSVLPECAITTSLPSIARGWHWTTLVLFHSKQKSDLFCYCSDSCSNVGRIIVKGYDAKLPHDDVESALRKVFSSCGEINDIFICETEYRLYSDAIIYFIGEGAAEKALQLSGSDVGGWKPIVTPYPFPETAGRSLIVCVTGYDNSLSKIKIERALRQHFSSCGRLRDFDISKKFASAEFGIVGEDAQDKVMELDGSDMGGREIHVKVTSGAVTAVHTRRYRSIPNRRACKALWWAMEALHDIHIKRVIFEVSSDALMEAVFSPPNPLPLSYGISRILRVISRSREANFIAMQVAESVTRDQRLQSYMAAGGPNFEASSNPVDRTNHPSGIVPTLQNIVSTVNLDCKLDLKSIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGRMVCTGAKTEDSSKLAARKYARIIQKLGFQAKFKDFKIQNIVASCDVKFPIRLEGLACYHHAFASYEPEIFPGLIYRIRGKIGMKGAQIVLLIFVSGKMVITGSKKREDTYKAFENIYPVLTQFQKKFTR >A07p040320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21411167:21412229:-1 gene:A07p040320.1_BraROA transcript:A07p040320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWEDEQLAPIPAKVELKSNWDDEDVDENDIKDSWDDDDDVDEPAPVKPATEKTPPKNAASKAVEKKGKTADVAPKEAPKEEPLDPISEKLRMQRLVEEADYKATAELFGTKDEEKKLDVFIPKSESDFLEYAEMISHKLKPYEKSYHYIALLKAVMRLSVTNMKAADVKDVASSVTAIANEKLKAEKEAAAGRKKTGGKKKQLIVDKANDDLVAGPYDAMDDFDFM >A04p004140.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2055091:2055444:-1 gene:A04p004140.1_BraROA transcript:A04p004140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPVTAVYAKSVHPSDSPRYFRIRPSETPTYRPSPAGSKATLRTSVSSEVNHLHGQENVKRTGDFRQCEDAITACWNSFFDKVVWTIFRRVFRRRRLSERLKFGHDVIVTINTMS >A08g509750.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:20662400:20662624:1 gene:A08g509750.1_BraROA transcript:A08g509750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDCQPSNPPGPEPAGTNTHYPRTQHQRRDNFKLGEGVKHSVATGGIRTRVRIGGLTTSRPLAHHPVGSAIPT >A02g505380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16324872:16327449:1 gene:A02g505380.1_BraROA transcript:A02g505380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLVSYRCSERFNRYTATKLWLGPGLWLELGVATERDESLGRYVATELWLELGRYVATERDDRSVATDRALARARSLRSDRAGRSLGRYVATELWLRARSLRSDRAGRSLGRYVATERDGRSVAAIYFEKYSSEKLLFSSSDVLNVKFVVTFHNFPFNMSSSQGEATSPAPVPTSPAEVPACVAGHLSFREKLVRRQAEKELAQTGSEFPSSSVQVVAPCHGTGVAAPPPQVLPAGSSTTPILVEDKEKAADSMPPPPARKEIILALRAPSAVQATQPKSRKGKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLREKKTRKAKSSEVRRLQRQIESDAGLVRHGIQEATDALRAELQARLAKISASLGSLECIRSRDFALATIEGGMAVVRSFQSETPPTLEAEEARLSGCKGDMAAEDGDFGLILADLKSACFLPTCPEDPEGKDPMVGENGSDAAPGSDEAAVGRKVMSCRLISFFDCEMFYSRPVSRVLPRDVNSAGALKVSNVYFRFKDCAVTNRLSFFLSRFLPDSYRCKVREKFSAYTTCLIRIEHLSGDRKC >A03p036410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15253652:15254614:1 gene:A03p036410.1_BraROA transcript:A03p036410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNGHLKFINNISQQQPTMATSMTHLLVTVLLVAAHTAFAETNMLQDLCVADLKGAKVNGYACKDPSQITPEDFYYIGLAAPADTSNTAMGSAITAGNVEKIPGLNMMGTSMSRIDYAPGGLNPPHLHPRASEAIFVLEGRLFVGFLTTTGKLISKHINKGDVFVFPRALLHFQQNPNNAPASVIAAFDSQNPGTQSVGPSLFGANPPIPDDLLAKAFGVEPQVIQKVKGKFPAKK >A03p038100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15891749:15893599:-1 gene:A03p038100.1_BraROA transcript:A03p038100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTKGGWTKEEDEILRQGVCRFKAKSWKKVAEVLPGRTEVQCMHRWQKVLDPNLNKGHWTQQEDETIIELVEKYGAKKWSLISQSLPGRIGKQCRERWHNHLNPGINKEAWTPEEELALLNAHVIYGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKSEFFSANGGLPPTPKYATTKPTPAKPVMAVTTSAKNGVLDGATTRFKKPDEEGKYQLNSSVPLQELVAASPVTSVSEYTRSSPQLHNPENGYQRYYKPQREHSVASEEDKQRMYGYEYGCSPSTPPVIFFTPPPPCRKEYNNGSGPTSLESFLREAARTFPNMPSIIRKRPRKFVVAPDNNKTDEEEAAKEVVDEKVSPDCEEEEEEEEEKQNNGTNAYYISPPYRIGSKRRAVLKSRQLEFITQEAEKADDETKSPEKDKVA >A02p036240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:20727908:20729098:-1 gene:A02p036240.1_BraROA transcript:A02p036240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNWEQKNLLSELVHGLKAAKQLQAELGTAPSPPPPWLSSSSLCLTTEMRETLLRQIVSSYEKAILMLNGSNVHSQTANPVANTGKVPESPTSINGSPRSEEFIDGGGSKDYNLSSKKRKMLPKWTEQVRISPERGLEGPHEDVFSWRKYGQKDILGAKYPRSYYRCTFRNTQNCWATKQVQRSDDDPTIFDLTYKGKHTCSQGTPPRDKPDTTPNTTLINYQNDLLANLRTNLSVRTTNGIESDGFSFPVTPPFYSYESDYGNGGGTFCDMGNYSRPSDFTGLISTNTSTGSSPIFDVDFQFDPTAEINTGLHTFLHDSV >A09p025530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14235653:14238165:1 gene:A09p025530.1_BraROA transcript:A09p025530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLTAGAIAKMLSGEVKEEKEMTPILQVTEIKMIQQVRRNQELSVERYKVALSDGIQSQEGMLSATSNALVKQGSIQIGSILRLTRFNCSPVRNRRIVIVNEQEIIAEKCNIFGNPLQLLTPNAQTNSVATRSEFNGVASLAQPQAVQRQTFGSGTGFHQQQAIRTEVNSVANSSQAQVVQRPSFATSYNQQQATRSVANSSQAQFAQRQPFVSGTSPSARPYVSSSNAGYGSSRQEQTYYSRPPVQPQPPSMYANRSPVARNQATPMIIPIAALNPYQGRWTIKARVTSKGELKTYNKTHGDGKLFSFDLLDADGGEIRVTCFNEMVDKFFDVIVVGNVYLISKGTLKPARREYNHLPNDYEIQLENGSTVEQCYEDDAAIPRNRFRFCYISDVENMESNSIVDLIGIVLSISPTGLVMRKSGTEVQKKALRLMDISGRSVEVTMWGNFCNVEGQRLQSLCDSGAFPVLAVKAGRISEFNGKQVSTIGSSQLYVEPDFPEAREVREWYEREGRNAPCISISRVSSGVGRQEIRKVITQIKEENLGTSEKPDWITVTATISFMKVDNFCYTACPSMNGDRPCSKKVTNNGDGTWRCERCDKCVDECDYRYILQLQLQDHTGVTWGTAFQEAGEEIMGMPAKDLYYLKYEEQDEEKFEDIIRKAAFTKYIFKLKVKEETYGDEQRVKATVVKAEKLDYSQDTRSLLEEIHKLRTETMNPESSSYRSSAFNSGMGTSSVEVPRREFGLPAANQFSSDPRPLGGMILCGACGSNGHVFANCPSFMSEPQGQHYVSTQGRNTGRGMPRQHVGSY >A01p009740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4730278:4731672:-1 gene:A01p009740.1_BraROA transcript:A01p009740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:Projected from Arabidopsis thaliana (AT4G28640) UniProtKB/TrEMBL;Acc:A8MR39] MEGGSASTLSNDDNLVVSSEDSSSPTENGLELRLTLSLGRKGFRDCRATHANDDSSSSSSSSPSSLSSRASVTAGIKRTADSMAPPTSGFNLYYVDDFECIFLILEESCLDLSMVHVQVVGWPPIRTYRMNSMVNQAKTLAMEDPQQVNRNTNDATKMGSSMFVKVTMDGIPIGRKVDLNAHNCYESLSNALEDMFLKPNTVSSTQETDGHLETRLKILPDGSSGLVLTYEDKEGDWMLVGDVPWRMFIGSVKRLRIMKTSEATGAAKMNL >A03g510120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:32434487:32437751:1 gene:A03g510120.1_BraROA transcript:A03g510120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIETYVVEDDSDYESMPVIPANDEYVSEDELDEACTDSDSESDSNMWQGRPQLTLSEPSAMAPSLYLLELFLTHLLALQVQRLRLLPLMSGEEKTLCYVRHLDVTRHTSILTRSMEHCVHAFIRATWQGNYWGSWATWNFVPPEKKDQWWHAFIQHYYWEDEFHDEIFLKWKKQTQVTVCGHISQKRRDNRQPSYMSDTHWATMVEKYSTDQAKKKSAKAAKSRKSAPVGKMMHKHGAGPRYFLNIQYNMMVDEGLDEPPLYTALKRKTHTGKDGSFLDKRTEELVLEVQEAVEEMLQDGSPHGDRQTDSTAASNAKRYLLNQEYIKRGKTKKGTIYGLGSVQYKNSSPSVPIPVSLKRNLDVDMRMSGFETTVSEVKEDIARVKEDFNALKTEINAFKTEVTGGGGGGGGGGGMSQAKQLSTFILKTLQSQASTPASTAQPFQPQAQSQPQGQPQAPFQSHHQPQPQAQSTAPPQHLSTNTHSDLDRWCQELDM >A05g509850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:29437757:29438277:1 gene:A05g509850.1_BraROA transcript:A05g509850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTQDEGKTKAKRGRPFKNLNISEASTASSSSTAKKTHKKRNVANGRLARQRRLAIRRSKRDKLQRPGKLKTYKYFAKLPTEFNKNN >A07p027930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15869366:15870922:1 gene:A07p027930.1_BraROA transcript:A07p027930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSDTVMLVIRSSRPQFRNNRDKIAFVVHASFIASGYKLVATGRPAFAEDALSSSPSQGEVGIEGWNEFEEYAFVYAKKGSKKILVKCLAIDDKLLVDAVAEGGKGEPAHLEIEYVYSLICGGSILMVLKVYCIFVFGSVGNYVAESREEGDYDAEFKNLGKLVTDLQNQILYKVDEGLKPVRPRTQSSSVTNEERESGYYVRRPVPLGPQIHPSGVVVPPIPGPGYSDLIPGPGAGVYPVRGGFGDGSMLVGPNDPRMFPGVGDHQPGFMGPPQPGVPPPGARYDPIGPGFEPGRLGRQPPRRPGDIHPDLEHFPRGFGSDYI >A08g510810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:25316967:25317679:1 gene:A08g510810.1_BraROA transcript:A08g510810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETDVKPSQSRLSIPFHKLIQKWCLGVVLVDQGAKKWGMFLRRWEMNGSWNYALVCGWNDVVKANGLKDGDNISLWSFRCRGVLCFAFAPLCFLFNRNRPWGMRMVRRRDGGTAEKRHMRRGKGGDAEARALLSQ >A09g516140.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47726389:47727613:-1 gene:A09g516140.1_BraROA transcript:A09g516140.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGDKKDSDVEMGEATSPAPVPTSPVEVPACVAGHLSFREKLVRRQAEKGLAQTGSEFPSSSEQVVAPCHGTDVAAPLPRVLPAGSSTTPILVEDKEKAADSIPPPPARKEIVLALRAPSAVQVTQPKSRKRKLAKSGDGETSQRGGSSLASGLRGKFISLIDGMISECGSETSRLSGELVELQGRWSETEAMLTAVEDSHSAKVSKLEVAIGELERDLGKTASSLLKEKKARKAKSSEVRRLQRQIESDAGLARRGIQEATDALRAEFQARLAKISASLDSLECIRSRDFVLATIEGGMAVVRSFQSETPPTLEAEEARLSGCGRYGGRGWRFRSHPGRPKIRLLPSDVFRRPRGERSDGQREWKRCGSRLGRGGG >A07p050150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26736456:26736870:-1 gene:A07p050150.1_BraROA transcript:A07p050150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RERFRQRRRLPSSLISVLLLLLHRSLTLSWLLGEGSQSGVDLLLLVPYRGRGSESHRSLVLMESFGCGGGLCFALRCHGVNGV >A03p065590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:28966785:28969265:1 gene:A03p065590.1_BraROA transcript:A03p065590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRHCTLHRVKKSFHLSYTMSSEPENPSSSTATPPEESGEKISKKAAKKEAAKLEKQRRRQEKEEEEEASRKTASLSIEEESFSRNYGDVTLNELKSTEDPKAGKWREAVEGKEWTDVRELVEAMAGTEVLIRGGVHTYRHVSSKKGFLIVRQKGYLVQCVVTESKENHVSVNMVKFVKQLNSESFVDVIGYVVLPKDPVTGTTQQVEIQVRKVYCVNSALQQLPLYVEDAARSEADIQAGKPGANQDTRLNFRVIDLRTAANQGIFSIQGWTQIGWRECLLRKGFIEIHSPKLLAGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICADFERVFEVGAVYRAEDSFTHRHLCEFIGLDVEMAIHKHYSEIMDLVGELFPFIFNKINENCQKELEAIRKQYPFQPLKFLPKTLRLTFAEGIQMLKEAGVEADPLGDLNTETERKLGLLVLEKYNTEFYILHRYPSAVRPFYTMPCADDPNYSNSFDVFIRGEEIISGAQRVHDPELLTEQAKRFGIDVETIKTYIDSFRYGAPPHGGFGVGLERVVMLFCGLNNIRKTSLFPRDPLRLAP >A01p045630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25758251:25760695:1 gene:A01p045630.1_BraROA transcript:A01p045630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRDRETPQRNASLTGSVFTMFNEMGMLGGNVDFYSSSSLGELDFCPAPHPEPGSVIEDDYTDDDEIDVDELERRMWRDKMRLKRLKEQQQQDNKSSKQGVDYDSAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPENGKPVTGASDNLREWWKDKVRFDRNGGGSCSLLMNDCGQYDVEGFEKETRYEVEEVKPVKEEVSSEFMRKRKPDRDLNAVMDRTVFFTCENPGCVHSEISRGFLDKNSRDNHQLGCLHRGSCLPYGAAGPSRFHVNEVKPVVGFSQPRPVNSVDQPIDLTGIGVPEDGQKMISELMSMYDRNVQSNQTSMVVENQNVTLLQPMVQNQFQGNIVEGSFFEEFNIPNRANNSNNNNQMFFQGNNINGFNYDTTHNNNFEAAQNNSRSNRFQDVYDSAPFDMASFVYRDDMSMPGVAGTMDGMHQKQHDASLWF >A03g500070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:152036:152309:1 gene:A03g500070.1_BraROA transcript:A03g500070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNENDRRAPIFIENEGARLASEWAPSAKGCTLAATCVPSPFLIGFGVGHEPNPRPKPPGLSPRPCPKTQLPMASWPNLTARH >A06p008510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:2944739:2945112:1 gene:A06p008510.1_BraROA transcript:A06p008510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIVQFSIIFILLTSSLFVLSTADSSCGGKCNVRCAKASQHDLCIKDCNICCQKCNGCVPSGTFGHRDECPCYRDMKNSKGGPKCP >A10g505320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13292711:13292963:1 gene:A10g505320.1_BraROA transcript:A10g505320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFLSRALSSFILVLTILASFSSSSFISDGVFEPQNLAIGRNLLQTRKGKFS >A04g501110.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:2986619:2987461:-1 gene:A04g501110.1_BraROA transcript:A04g501110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQMLKQLEPWCELKDKVVLLTGASSGIGREVCLDLGKAGCKIIAAARRVDRLESLCSEINSLSSTGIQLAAPIELDVSSDAATIQKAVKQAWDIFGKIDVLINNAGIRGNVKTSLDLTEDEWNTVFRTNLTGPWLVSKYVCSLMRDSKRGGSVINVSSISGLHRGLFFGGVAYACSKGGVDTMTRMMAIELGVYNIRVNSIAPGLLKSEITQGLMQKEWLKNVTDRIIPLKVQQTVDPGITSLVRYLIHDSSRYVSGNIYILDSGTTLPGLPIFSSL >A06p014500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6506674:6507479:1 gene:A06p014500.1_BraROA transcript:A06p014500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGFSFLKLSFLLSLLSGGSDLLNPISEPGLVGKFPPTCNRIECPNYEVVHAGNGYEIRRYDTTVWISTEPIQDISLKDATRTAFFQLFAYIQGKNEYHQKIEMTAPVISQVSPSDGPLCESSFTVSFYVPKKNQPDPAPAENLHIQKWTPRYVAVRQFSGFVSDYNVGEEAAALSASLEGTAWANAIEKSKEDGGVGADSAYTVAQYNSPFEFIGRVNEIWLPFQMDD >A09p066610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52802454:52803966:1 gene:A09p066610.1_BraROA transcript:A09p066610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEETPRYAVVTGANRGIGFEICRQLASNGIRVVLTSRNEKRGQEAVETLRQEIGVSDQTLVFHQLDVTDPASVTSLVEFVKTQFGKLDILINNAGVGGTITDVETLQAGAGKVGFNWEETITENYELAKECMNINYYGPKRMSEAFIPLLKLSDSPRIVNVSSFMGQLKNIFNEWAKGVLSDAENLTEERIDEVINKLLEEFREGKVKTKDWASVMAAYVVSKAGLNGYTRIIAKKHPEIRVNAVCPGFVKTDMNYNTGVLSVEEGASSPVRLALLPHQETTSGCFFYRKQLSEF >A06p005000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1443979:1459818:-1 gene:A06p005000.1_BraROA transcript:A06p005000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISYYNEEEKGKVLEDLTWNVKQIQDDLLKEILTLNSGTEYLQNFLHGSSAKELFKKNLPIVTYKDVKPYIDRVANGESSNIISALPITNFFNSSGTSGGANKILPSNSKYLDGSAFSTDLIAHVIRKHVKGVERGKGMLFFLTGHDTKTPGGFPIEDGISWYLKSDYFKNRPSTWFYSYTSPDEVMLGSDLKENLYCHLLCGLVQRDEVTRIGSTFASGMVRVIKVLEDSWKDLCSDIRSGNLSEWITDSGCRNSVSLPENTSYTLMPNISYFEFIPTEGGNGDVVDLADVKLGCSYQLLVTNLWGLYRMRIGDIVKVTGFHNKAPKFRVIGRENTLLSIDTDRTTEEYLLKAVNRARLVLESSDLRLVAFTSYADISSSPGHYVIYWEVKTKEEDMKELDEKTFLECCSVMEDTLDEEYMYCRANEFIGPLEIRVVNDGTFDSLMNLSISKGASITQYKTPTCITSEEGLQVLETNVVARFFSVENGKGMVFLLTGHETRTPGGLPIEPGTSWYLKSDYFKNRPSNWFYSYTSPDEIMLGSDLKQNLYCHLLCGLVQRDEVVRISSTFASGMVRVIKVLEDSWKELCSNIRSGYLSEWITDSRCRNAVSMVLGGQPRPNLSDEIESICSQKSWKGIMKKLWPQTKYIEAIITGSMAQYIPTLEHYCSDLPLVSTIYASSESIFGINTSPLCKPEDISYTLMPNISYFEFIPMEGDDGDVLDLADVKLGSSYKLLVTNLWGLYRMRIGDMVKVTGFHNKAPQFRFLGRENALLSIDTDRTNEEYLFKAINRAKLVLESSDLRLVDFTSYADISTSDPGHYVIYWEVNVKTEDMKKLQFDEKTFLECCSVMEDSFDDEYRYCRSNEFVGPLEIRVVNDGTFDSLMNLSISKGTSITQYKTPTCITSEEGLQVLETNVVARFFSTLSASHFA >A05p035170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19941876:19942573:1 gene:A05p035170.1_BraROA transcript:A05p035170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGMGLMLLTVFMAVMSSTRVLAQSTCTSALISMSPCLNYITGNTTTPSQQCCSQLGNVVRSSPDCLCQVLNGGGSQLGINVNQTQALALPRACNVQTPPVSRCNNGGGSTADSPADSPNSSGPGNGSKTVPVGEGEGEGPSSDGSSIKFSYPLLAFLSVASYMAIFLKY >A04p011730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6484320:6484596:-1 gene:A04p011730.1_BraROA transcript:A04p011730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEENDHVVTREYKYPTKLRSLRLTLEAKKKWGSWMMYLKDSLVLVLSIPRFHSNNII >A06p003360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4729593:4730209:1 gene:A06p003360.1_BraROA transcript:A06p003360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCSSISFSCAPSLFSTKPINHSSSPEQLSSRFLGTRNLKLRIRPNRLGPSNGSRTTCWFKFGKNGVDAESAGIYGSQTRDDFDKDDVEQYFNYMGMLAVEGTYSKMEALLNLNIHPVDILLMLAATEGDKPKIEELLRAGASYSVKDADGRTAIDRANSEEIRDLILGYSTQKA >A07p050050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:26688823:26690756:1 gene:A07p050050.1_BraROA transcript:A07p050050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLQKPLTNSPKPGLTKEEDSQEMRMVYSLTLPMVFKATLELGVIDTLATVENAMWLSPSEIVSRLPTKPTNPEAPMLLDRMLRLLASHSVLKCRVLETGKTDRVYAAEPVCKLFLKDRDGSGSLLSFFLLCQNHVIFKALSHLKDVILEGKDAFMSAHGMRAFEYLGSDEQFAEMFNLAMSESSTLVMKKILEVYKGFKDINTLVDVGGGVGTVLGLVTSKYPRIKGINFDLASVVSNAPPYPGVEHVAGDMLVEVPKGDAIFMKWVFHAWDDENCVKILKNCWSSLPEKGKVIAVEMVMPKEPTSDDCSPNIGYTVDMFMLSLRGSGGERTLSQYEALAYASGFRRCELICRSFSFSLMEFHK >A05p052170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30256983:30262508:-1 gene:A05p052170.1_BraROA transcript:A05p052170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGVEPSAAVRDSTANAATDVDRLPEEMNHMKIQDDKEMEATIVNGNVTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPIVYVKLYTYQIFRSLCYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPSLRCGALDALVHPFFDELRDPNARLPNGRFFPPLFNFKPHELKGVPVEMAAKLVPEHARKQCPWLGL >A06g508250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:23320016:23321009:1 gene:A06g508250.1_BraROA transcript:A06g508250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSICVMNLLTNKTIRSFEDIRAEEINVFMGKLEKASSSSSPVKLSKLFINLSNDVITRVVLWKKYSTEGGEYFSQNVVRKFMELVGAFPLGDFIPKLAWVERIRGLDKKVEEVYKEVDGFLEKAVQDHENADEERSDFVYVLLSIQKDKTTTRDKTTPFEFERKDLKFVLLDMLFGAATTTFALLEWTMTELMRHPECMKKLKDEIHFVSTHNLYVTEQEAEKMSYLNLVIKEALRLHLGVPIAPRQLSEDVKVHGYDIAAGTQVMSLHHILRSNPL >A06p004780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1326789:1327162:1 gene:A06p004780.1_BraROA transcript:A06p004780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSTPTHNSSNKGLSVSYLVSLMVLCARHANRLSKKLKPKKRTRYENFGGRWNMASSPMRFKAEKEKTAAMEEEQHGLWQREILMGGKYATEVSTWLSVPSS >A02g502960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9861147:9861571:1 gene:A02g502960.1_BraROA transcript:A02g502960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLYTTPLTSLPLTPTRLSPLRTAFLPRAGSGLRTSVSCSWNLEKRCSRFAVKCNAAVAEKETAEEGSGEKFEYQAELDKLRFLSVTEPALLGDGGELEIRIKPDPDNGTITIT >A06p048410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25643424:25643950:1 gene:A06p048410.1_BraROA transcript:A06p048410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIREASQQVSEEFKTLVDAQDLNSLRHLQHLILGRLQDSNAVLSHYNDFAESCFADVSLEFSRNTRLLKSMKADLDYIFLKLRSIKSKIMATYPDAFPDESTSDAFDRRPDLELPQ >A03p012310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4897935:4906630:-1 gene:A03p012310.1_BraROA transcript:A03p012310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT4.11 [Source:Projected from Arabidopsis thaliana (AT5G59130) UniProtKB/Swiss-Prot;Acc:Q9FIG1] MVKRGPFPSFLSFLLVLLFSSSIIAITHDGQDKQEYIVYMGSLPSQADYAPMSHHMSILQEIVGESLMEGRLLRSYKRSFNGFAARLTDSEREQVAGMEGVVSVFPNKKLKLQTTASWDFMGLKEGKGTKRNPSVESETIIGVLDGGIWPESESFSDKGFGPPPKKWKGACAGGENFTCNNKLIGARHYSPGDARDSSGHGTHTASIAAGNAVPNASFFGLGYGTMRGAVPASRIAAYRVCAGECRDDILLSAFDDAIADGVDIITISVGSIDVYPLEEDPIAIGAFHAMSKGILTVNAAGNTGPNIASVTSLAPWMLTVAASTTNRVFVTKVVLGDGKTLVGRSVNVFDLKGKKFPLVYGKSAASSASNATCAEFASSSFRDCMPDCLDASLVKGKILVCNISFPYVAYTKGAVAAIVKDGSDWAQMEGLPVSGLEEDDFESFLSYINSAKSPEASVLKSETIYNQTAPKVLSFSSRGPNIIVPDILKPDITAPGLEIVAANSLKALPFYDDTTHVKYSVESGTSMSCPHVAGVAAYVKTFHPEWSPSMIKSAIMTTAWSMNATQTDYASTEFAYGSGHVDPIAASNPGLVYDITKADYMAFLCGMNYNATTVKLISGEAVTCTEKILPRDLNYPSMSAKLSGSNVSFTVTFKRTVTNVGGSNSTYKSKVVLTHGAKLNVMVSPRVLYMKSMNEKQSFTVTVSGRGLDPKMPSSASLIWSDGTHNFSSSPWPSAIINRWSVKKMGNLRAASSCLLSCLLVLFLSSVSAVTDDPQDGQKVYIVYMGSLPSRADYTKMSHHMSILQEVTGESSIEGRLVRSYKRSFNGFAARLTTSELKRVAEMKGVVSVFPNKKLRLQTTVSWDFMGLKQGKSTKRNLNVESDTIIGVLDSGITPESQSFSDKGFGPPPKKWKGVCSGCQNFKCNNKLIGARDYTSEGARDTEGHGTHTASTAAGNAVADTSFFGIGNGTVRGGVPASRIAAYKVCNLAGCSTEALLSAFDDAIADGVDLITISIGDIGASKFVDDPIAIGAFHAMTKGILTVAAAGNSGPQESTVSAVAPWILTAAASTTNRGFFTQVVLGNGKTLVGKAVNAFDMKGQKYPLVYGKSALSSVCNTEYAESCEPQCLRESLVKGKVLVCSSRDTVGAVESVGAIAIIYKSDKPDVAFIDPLPASGLSEKDYESLVSYIESTDSPQATVLRTEAIFNQTSPVIGSFSSRGPNTIAVDILKPDITAPGVDILAAYSPVGELSDQDTRHVDYTVLSGTSMSCPHVAGVAAYVKSFYPNWSLSMIQSAIMTTAWPVNATGTGIASTEFAYGSGHVNPIAALDPGLVYELGKEDHIAFLCGLNYTSDTLKIISGETVSCSKENKLLQRNLNYPSMSARLSGSDNSFTVSFNRTVTNVGTPNSTYTSKVAAGHGSKLTIMVTPSVLYFKTVNEKQSFKVTVTGSDLSSKLPSSANLIWSDGRHNVRSPIVVYTDSY >A02p014510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6424703:6426926:-1 gene:A02p014510.1_BraROA transcript:A02p014510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFGFLQRDNSFKKDSQECKTPRVLNNPVNMFLEKTLSFKGLVDQGTNYKDESFGVKTRKGINLKGPKPDNMVLERSLSFTSLVQVENREEEDDDERGSPPKRRNKGKMGIIGNLTALSVPAPKPFWSPRPSTELDAAALTLQKVYKSYRTRRNLADCAVVVEEWKELELAASEPNRTSQKPETAVSRWARAGTKAAKLGKGLLKDDKAQKLALRHWLEAIDPRHRYGHNLHLYYDVWSESESTQPFFFWLDIGDGKEVNLTKCPRTLLQRQCITYLGPKERQAYEVVVEGGRLVNRQNKNFIETVEGTKWIFVLSTARKLYIGQKQKGRFQHSSFLSGAAIIAAGRIVSHDGVLEAVWPYSGHYLPTEENFREFIDFLKENHVDLTNVKLNAIDDDNHMVCNDGSTKPSISNGRDETKAITADATADLREQKRFPCKWSTGNGPRIGCVRDYPMDLQTRALEQVNLSPRVVNMTMGLFGPIPSPRPSPKIRVSPRLFCMGLLPSPKN >A02p038570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:21973887:21974924:-1 gene:A02p038570.1_BraROA transcript:A02p038570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLYSVIFAEMALILLLLFKTPLRKLIILTFDRIKRGRGPVVVKTIGATVFVVLISSVYSLLSIQRRSEDGAVLNPTDQVLASKHLLEASLMGFVLFLSLMIDRLHHYIRELRLLRKTMETAKKQNRSFEDGKTTNGEEVKALGEEIAVLKAKIKKLESESESKGKELKSAQAQTEALRKSADGFLMEYDRLLEDNQNLRNQLESIGHSPEGKKSM >A09p057150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48298167:48299238:-1 gene:A09p057150.1_BraROA transcript:A09p057150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLYITTNVNMEGVDTDPFYLEVTKAVASIVGRPQNLVMVVLKGSIEIVFGGNKEAAAYAEIVSMGGITKQVKRQLIATVGSILHSHFSIHPTRFILKVFDMQALPLPSKL >A05g510160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:31558000:31560573:1 gene:A05g510160.1_BraROA transcript:A05g510160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQNTAYFFRIFQARCSYNSIRSFVLTSGIIITDPQLMSLHAITHFRNLLGPDVVHVPAIFSPPSCVQKSSFFSSGLSQQEVDTIKASTGMPNGLLPVRYLGGSIEGHHAARVSWETVTKSREGGGLGIKDLGTWNRACCLKLIWMLFFQGGSVWVAWFRSEVLHGSLSNYWTVNTSTTNSWLANKLIKMRGEVYTWIQLRVGNGVNCRFWTDNWSALGSLQGYFAAGSASRQGIPLTATLSDLNRNGSWTLPRPRSEEMVQAQIALTMVTLGEEEDSYEWVVTGTHTVKLFKRLILLCWKGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A06p047000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:25028551:25030278:1 gene:A06p047000.1_BraROA transcript:A06p047000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMKKSKITNNDTEPTEPTSLGVRTRAAKTLALKRLNSSASDSALAGDSSCYLQLRSRRLEKPMALTEPKQPPRIKESASKGRVNSGSGSVRVDGDDWFGKSEAFCGENSPDFESRQSTRESTPCNFAEDLEIIVTPGSSTKSMRTATRDCMRDRDSSVPSTTELEEFFAYAEQQQQRLFMDKYNFDIVNDVPLTGRYEWVQVSP >A06p038350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:20657775:20660029:1 gene:A06p038350.1_BraROA transcript:A06p038350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVETIMQSLTITLYPTSISPPSLDLFPLRQITASVLYPPTAGYNRRGMASRGDSVSFKSLAARQTSISSDDDGYCTLVDFAGNGGGGREGTTVGDDLVVLLYHLQHACKRIASLVASPFNSSLGKLSVNSSTGSDRDAPKPLDIVSNDIILSSLRNSGKVAVMASEEDDSPTWIKDDGPYVVVVDPLDGSRNIDASIPTGTIFGIYSRLVELDHLPVEEKAELNSLQRGNRLVASGYVLYSSATILCVTLGSGTHAFTLDHSTGEFVLTHPNIKIPTRGQIYSVNDARYFDWPEGLRKYIDTVRQGKGQNPKKYSARYICSLVADLHRTLLYGGVAMNPRDHLRLVYEGNPLAYLVEQAGGQASDGKREILSIQPVKLHQRLPLFLGSVEDVTELESYGDVQQTVNPGYEV >A01p047460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:26765312:26765751:-1 gene:A01p047460.1_BraROA transcript:A01p047460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L30-3 [Source:Projected from Arabidopsis thaliana (AT3G18740) UniProtKB/Swiss-Prot;Acc:Q9LSA3] MVAAKKTKKSHEGINSRLALVMKSGKYTLGYKSVLKSLRSSKGKLILISSNCPPLRRSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDPGDSDIIKSLPGDQ >A09p083810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:60234257:60234766:-1 gene:A09p083810.1_BraROA transcript:A09p083810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVCCMCGDVGFSDKLFRCGHCRNRFQHSYCSNYYSEFAEPTEICDWCQSDDKKLSSVAKKGGSSVSSSKKKKASSSVNYESGVTNQSEYPSGGGIKHDNNHHDQVAKSVVAGPAGGGMPSPRTATRRYKLLKDVRC >A01p007270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:3621056:3621896:1 gene:A01p007270.1_BraROA transcript:A01p007270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMKQMSLIVSLFGVLSFILGVIAENKKPASGTPINGKGVVICKYPSDPTVALGYLSAAFLLACTVAGYKSLFISYKGRSVPNSVLFKSTTFSVFFNIALITSGLALSLLLWPTITEQLHLTRNVHRNLETSCPTAKTGLLGGGAFVSLDSCLFWLVALMLADNAREDHFDEAENRNGDGNSSSRDVNLKIDA >A04p031040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18385561:18386557:1 gene:A04p031040.1_BraROA transcript:A04p031040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGALPLLRPSLPRPSIFLSFANLRASRFQKPTSSASHLHYPSSSRSTVVSVKIIRRRFIYKIRAVAEEEGRYGRTVRGKRGRKRRQLWEELLKDNVEEDDDDDVDGGNGKIDLWKILEEIVDNVWILKAFKSYGYLLPFILLSLFFSTGPKAFLISLGVAIGPSLLFLAFQKVIGWDKRRRTSTASQFGIDMEGEERRSRVRYSPSQVRNSGSAGMASNFGGWDELEGPGTVSQQPRTEPRRKPMKKRKKIRREEAAEAQPLLLRLLVSLFPFLSSYTNMLK >A02p018440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8509739:8518142:-1 gene:A02p018440.1_BraROA transcript:A02p018440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G51660) UniProtKB/Swiss-Prot;Acc:Q9FGR0] MSFAAFKMMHWPTGVENCASGYITHSISDTTPMQIPITSGDDLDPDWPAPPKRGICAVPNVVVTAANVLEVYVVRVQEEGNGASSLEPRGQRLAKRGGVLDGVSGVSLELVCHYRLHGNVESLAVLPMGGGNSTRGRDSIVVTFRDAKISVLEFDDSIHSLRLNSMHCFEGPDWLHLKRGRESFPRGPLVKVDPQGRCGGVLVYGLQLIILKASQVGSGLVGDDDAFTSGGTISARVESSYIINLRDLGMKHVKDFVFLHGYIEPVIVILQEEEHTWAGRVSWKHHTCMLSALSINTTLKQHPVIWSAINLPHDAYKLLAVPSPIGGVLVLCANTIHYHSQSASCALALNNYASSADSSQELPASSFSVELDAAHGTWISNDVALLSTKSGELLLLTLIYDGRAVQRLDLSKSKASVLASDITSVGNSLFFLGSRLGDSLLVQYSCRSGPAASLPGLRDEDEDIEGESHQAKRLRMSSDAFQDTVGNEELSLFGSAPNNSDSSQKSFSFAVRDSLVNVGPVKDFAYGLRINADANATGISKQSNYELVCCSGHGKNGALSVLRQSIRPEMITEVELGSCKGIWTVYHKSSRGHNVDSSKMAADEDEYHAYLIISLEARTMVLETADLLTEVTESVDYYVQGRTIAAGNLFGRRRVIQVFEHGARILDGSFMNHELNFGASNTESNSGSESSTVASVSIADPYVLLRMTDGSIRLLVGDPSTCTVSISTPSVLEGSKRKVSACTLYHDKGPEPWLRKASTDAWLLSGVGEAVDSADGGPHDQGDIYCVLCYESGALEIFDVPSFNCVFSVDKFASGRSHLSDMPIHELEYELNKKSQDNVSARNEDIVKTKVVELAMQRWSGHHTRPFLFAVLADGTILCYHAYLFEGVDGTNAENSVSSENPAALNSSSSSKLRNLRFLRIPLDTSTREETSDGVAAQRITMFKNISGHQGFFLSGSRPGWCMLFRERLRFHSQLCDGSIVAFTVLHNVNCNHGFIYVTSQGVLKICQLPSAPVYDNYWPVQKIPLKATPHQVTYYAEKNLYPLIVSYPVSKPLHQVLSSLVDQEAGQQIDNHNLSSDDLQRPYTVEEFEIRILEPERSGGPWETKTTIPMQSSEHALTVRVVTLLNASTAENETLLAVGTAYVQGEDVAARGRVLLFSFGRNGDNSQNLVTEVYSKELKGVVSAVASIQGHLLISSGPKVTLHKWNGTELTGVAFFDPPLYVVSMNVVKNFILLGDVHKSVYFLSWKEQGSQLSLLAKDFGSLDCMASEFLIDGSTLSLAVSDQQKNLQIFYFAPKMSESWKGQKLLSRAEFHVGAHVTKFLRLQMVESLSADKKSRYASLFGTLDGSFGCIAPLDEVTFRRLQSLQKKLVDAVPHVAGLNPRSFRQFRSSGKARKPGPDSIIDCELLCHYEMLPLEEQHALAQQIGTTRAQIFANLVELSVGTSFL >A03p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4551715:4554816:-1 gene:A03p011300.1_BraROA transcript:A03p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAALAPPLWPTLSNEKVCYGAANFNISSFGILETYKTPSVIFGYALPLLEMQISLIFVLIVSSHMFLRFIGIPQFVSYMLAGFLLGPQLIDLVDFSSDRLSLDLDGNAALEGVAKFGMIMFTFLMGVKTNKRAAFQTGKRPIVIAVSSFVLTMVTGMAFRNFRIDKVDPLYMSLRLAPTERTVIVSIQAITLLPVVTHLIHELKIPNSELGRLAISISAFNDLLAFINLMCVSYIGTYRYVSPRTANRDAAAMVILVLVIIFIIRPAAQRIVNITPEGKSVRKLYLYGTIMTAVAASSYTTFFNQIHVLGAFMVGMAIPDGPPLGSALEAKFESLATNVFFPISIAVMTMKGDIIGVLYAFDDISFNIFLVGFTLVLKWTASFVPCLIFKLPTRESIIIAMIMNYKGFVDLCFIEGALNKWNLSHATYTFLMMYVLVNAGILPTIVKALYDPKRKYIGYVKRDVMHLKSNSDLKILTCLHRPDNISGMISLLQLLSSPLNNENKDKGVIAVTVLHLVKLAGRAFPILVPHDKRSKPRLLQNSYIQTMMLAFNEFQQENIGTTTVNSFTAFSIDNLMDQDICNLAFDHLTSMIITPSGRKWSPDGSYESDDVMIRRVNMSLLDRAPCSIGVLNNRGHRKLKINKRSKGTVKVGVIFIGGKDDWEAVSIAKWMRQNPSVRLTVIRFLSGQEPDKSKNWEYLVDNEVLNDLKSTYASAENFSYTEKIIKGGPAVTTAVRMAAEENDLMIVGRDHDEDSLDYSGLVDWMELPELGVIGDLLASKELETRVSVLVVKQQQQHE >A06p006930.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:2388787:2390241:1 gene:A06p006930.1_BraROA transcript:A06p006930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At1g09190 [Source:Projected from Arabidopsis thaliana (AT1G09190) UniProtKB/Swiss-Prot;Acc:O80488] MEIERKLLRLLHGHSTRTRLPEIHAHLLRHSLHGSNLLLAHFISICGSLRYSDYASRVFSHIQNPNVLVFNAIIKCYSLVGPPLKSLSFFSSMKARGIWADEYTYAPLLKSCSSISDSRFGECVHGEVVRTGLNRLGSIRIGVVELYAAGGRMGDAQKVFDEMPERNVVVWNLMIRGFCDSGDVERGLGLFRKMRERSVVSWNSMISSLSKCGRGREALELFCEMVDQGFDPDEATVVTVLPVSASLGVLDTGKWIHSTAEAKGLVKDFVTVGNALVDFYCKSGDLEAAKEIFKKMQRRNVVSWNTMISGSAVNGNGEFGIDLFDDMITEGKVSPNEATFLGVLACCSYTGQVEKGEELFGLMMERFKLEPSTEHYGVMVDLMSRSGRIKEAFEFLKGMPVKANASMWGSLLSACRSHGDVKLAEVAAMELVRIEPENSGNYVLLSNLYAEEGRWEDVEKVRALMKKKSLRKSTGQSSVCNVSS >A02p010570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4560932:4564989:1 gene:A02p010570.1_BraROA transcript:A02p010570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDDSSQGSPKSTDVFITDKNIRKTKHLSIDTNDSVPQSPRSSGGFTNASRFSGGFTNASRYSVDSQRPKPPPPGTYLVQIPKEQIYRIPPPENARRYEYLSRRKPNRSACRRCCCYSLAALLVLATLAAALVGIFFLVFRPHKPTFSVSEVSVAGINLTSSTSLISPLIEVKVRSENVNEKLGLIYGGGSAAEIFYDGAKLGDGEFTAFDQPAENVTVTVTTLRGSRIQLTSSRLEDLKESEKKGKVPFDLRIKAPFKFKVSAVTMWTMAVTVDCKLTVDKLTSSATVLTENCNTDVLKTDKTIPKTKHLSIDTNDSVPHSPRSSGGFTNASRLSVDSQRPKPPSPGTYLVQIPREQIYRVPPPENARRYEDLSRRKPNRSACRRCCCYSLTVLLVLVTLAAVLVGIFFLVFRPHKPMFSVSGVSVAGINLTSSTSMISPLVEVKVRSENVNEKLGLIYGGGSAAEIFYHGVKLGDGEFTAFDQPPENVTVTVTTLRGSRIQLTSSRLEDLKESEKKGKVPFDLRIKAPFKFKVNAVTMWTMAVTVDCKLTVDKLTSSATVITENCVTEGIILL >A09p049290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43646180:43648712:1 gene:A09p049290.1_BraROA transcript:A09p049290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLQCFTISIVLFLSFSSVLSHSDRITRLPGQPRVGFQQYSGYVTVDEKKQRALFYYLAEAETDPINKPLVLWLNGGPGCSSLGVGAFSENGPFRPKGPVLVKNQHSWNQEANMLYLETPVGVGFSYSTQYESVNDKITARDNLVFLQRWFLKFPHYLNRSLFITGESYAGHYVPQLAELMIQYNQKHHLFNLRGIAIGNPVLEFSTDFNSRAEYFWSHGLISDSTYKMFTSYCNYSRYVSEYYRGSMSSICSKVMSQVSTETSRFVDKYDVTLDVCIPSVLSQSKVVSPNQVGESVDVCVEDETVKYLNRRDVQEALHARLVGVREWTVCSNVLDYQLLDVEIPTINIVRSLVQAGVPVLVYSGDQDSVIPLTGSRTLVSRLAKRLGLRTSVPYRVWFAGQQVGGWTQVYGNVLSFATVRGASHEVPFSQPQRSLVLFKAFLDGHPLPEEF >A09g517980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54243920:54244366:-1 gene:A09g517980.1_BraROA transcript:A09g517980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIKQQDLAAKDKLSRMRLLESLVSKTEPLAEYEEALKKKIVSDIMSGQCEKMEQWEWNNHGVSVVLRSWHLVSRSESVVGLAVLESCGLEVLLSWSLVVLECCSTCSTCVTE >A06p043360.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:23351368:23351694:1 gene:A06p043360.1_BraROA transcript:A06p043360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSLSSLCVIENVLYLYSWKIYWYDSRDRLWKDLKGLEIYPRLSLSNHVKLNDYGGKMAVLWDEYDCGMKKEIWCAEIAIKKNLFGAPSWMLKSFDVLSQPVNDIS >A06p022030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12488056:12489225:-1 gene:A06p022030.1_BraROA transcript:A06p022030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPHMAHVQLLWPPLHCLHLCRKVAGAKTGALTACAAGNSEFRIVVDMIRVPDWAFEAAGQEMRSMSQDAATYHPGLYLTPAQASPLPLFSTEAVEALIQELPKFRLKAVPDDCGECLICLEEFQIGHEVRGLPCAHNFHVECIDQWLRLNVKCPRCRSSVFPDLDLSALSNLQSSEAQHPSQGNTEARYIRSQPQSESYFLRLQPVIHPVHTDIALETAENVGVPPFLAGGSQSRR >A01p005160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2203426:2205072:-1 gene:A01p005160.1_BraROA transcript:A01p005160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKMVSVNLLRVIMLVHAIIGLPYNVRGLSMGYYMMSCPMAEQIVQNSVDNALRADPTLAAGLIRMLFHDCFIEGCDASILLDSTKDNTAEKDSPANLSLRGYEIVDDAKAEIENTCPGVVSCADIIAMAARDAVFWAGGPYYQIANGRFDGKRSKIEDTRNLPSPFLNASQLIQTFGQRGFSVRDVVALSGAHTLGVARCSSFKDRLTTPDSTMDSSFAKTLSRTCSAGDNTEQPFDATRNDFDNAYFNALQRKSGVLFSDQTLFNSPMTRNLVNGYAFNQAMFFFHFQQAMQKMSNLNVKIGSQGEVRQNCRSLN >A10g503530.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9175556:9178078:-1 gene:A10g503530.1_BraROA transcript:A10g503530.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLPTRQQHTSSKMKTFDFLGPKDPCGGLENAHQRVCVFVRFSGRSKLLDLAAGLVGGEDRRRSLEVGLLPLDVMERMKEGVREFHEQDPEVRKRFYSRDPSNKKVPVYSSNFDLYSSQAANWRDTLGCYTAPDPPRPEDLPAACGEVMIEYSKEVMKVGQMLFELLSEALGLNTNHLKDMDCTNSMLLLGHYYPPCPQPDLTLGLTKHLRQLFSHGTFTKPYRRATSILFAAYYKRQVHKRGASCFGEWITGPRISVACFFSSYLMANPRVYGPIKELLSEQNPPIYRDTTITEYSKNKETMETTKIAAFDRISEVEAFDETKTGVKGLVDAGITQLPRSTTHLPTYQTLSRFPPTCYISRLSIPTIDLGGRVFKDETKRKIRSMRLETQQRSGVSFR >A03g502570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8131902:8133109:1 gene:A03g502570.1_BraROA transcript:A03g502570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLNKPLVFQVGHLGEAYEEWVHQPIVTKEGPRFFHSDFWEVHKFLSPFLFSNRQCQQASDLHYLDTLAMETVLDPYKMVGDSCNLVASGMLVHLQVSKHGPFPYRNRPIDGLGNIYLDVHRIYSSPVPFPHQDQELLGKHGALSSSRKSSQAPNGPPSARLSSCCNSGFMLSCKHFFDHHHHLHCPHLKIKALTRLFILCDQFWNLVKLFATPSTTPALFGGGMLGYVMYDITHYYLHHAQPTRAVTKNLKKYHLNHHFRIQDKGFGITSSLWDIVFGTLPTTKAPKREQ >A10p019380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13294623:13295383:-1 gene:A10p019380.1_BraROA transcript:A10p019380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGWFTLSKERFQTGEILFQPRLAGMQSRSVWTIVMQQDLQAMVAGSRLVLAGRSACLPGLAERLEKELQNYRPSSICNGVKVIPPCGVDTAWHGGKLIK >A06p022230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:12373893:12374769:-1 gene:A06p022230.1_BraROA transcript:A06p022230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVTKAGDWSFKAFTAGLGLATIYLTTTFSVNVYRGLSWHNAQSKLEMEQSEEQPE >A03p027840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11638281:11639432:1 gene:A03p027840.1_BraROA transcript:A03p027840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEKAGSRREEEEPIVQKPKLDKGKGKAHVFAPPMNYSRIMEKHKQEKVSMPGWKRGVAIVDFVLRLIAAITAMAAAAKMATTEETLPFFTQFLQFSADYTDLPTLSSFVVVNSIVGGYLTLSLPFSIVCILRPLAVPPRLFLVLCDTAMMGLTMIAASASAAIVYLAHNGNSSSNWLPVCQQFGDFCQGTSGAVVASFIAAALLMVLVILSAFALKRST >A10p011390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11856604:11857874:-1 gene:A10p011390.1_BraROA transcript:A10p011390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGVPITSDLLSQMKLVTKLGLEKKSSPCRQTLRHLLNPKCRVWCLDIDRRYLCTSIDINLHLSRHFLISISSTDAYRSIILPLHKYKVNALPWEYRSQDARISDMYLELKSKSASIAGSVTKIGQASMNQNLMSSLRKRALKTAASKSRFELFYLSLYESSLNGFSHQGRNLERENVINNLNQALPSRPI >A03p051650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:20424502:20431360:-1 gene:A03p051650.1_BraROA transcript:A03p051650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPSELVDRIAGLKHGGTYKVLKNLLKYKLLHHDRSKYDGFRLTYLGYDFLAIKTLVNRGVFTGVGRQIGVGKESDIFEVAQEDGTILAMKLHRLGRTSFRAVKSKRDYLRHRSSFSWLYLSRLAALKEFAFMKALEEHDFPVPKAIDCNRHCVIMSLVQGYPMVQVKQLQNPETIFEKIIGIVVRLAEHGLIHCDFNEFNIMIDDEEKITMIDFPQMVSVSHQNAQMYFDRDIECIFKFFRKRFNMSFQEDRDESEVEVDENSRPSFYDITKDANALDRELEASGFTKKEQNDLDKFIEGGLEKSTDSDDEDEESDDEEQAFESNEEGNLSEMRSLQLQEEEQNRSDEVEAEVELDDSEKGQSSGDEENEAGRDEELDKKLGKQRRRAMAAARGGRKSQSSRNTYKDKGGRSQTSKIHSNMSGCSDLAEAVLTHPPLRFDSIHLSLFTTKMMMKDVFRPTKSAPSSPAKPLGISRTRSESFHAIHKVPVGDSPYVRAKNVQLVEKDPERAIPLFWSAINAGDRVDSALKDMAIVMKQQDRAEEAIEAIKSLRVRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLFLIQKGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQRDNFVEAEDAYRRALSIAPDNNKMCNLGICLMKQGRIDEAKETLRRVKPAVVDGPRGVDSHLKAYERAQEMLTDLGSEMMRRGGGDRVEQRKLFDEMFGSSSVWQPQPCREQGVKAKPKPSNDGYGDENVNVNVNVVVNNNPLRVDAKPFFSSKLISNSEKLKRTRSSSQTMGVLSCGDGGGGGDEGETNTKRRLSMEKRAIDCGLPDNKEFEEAMIMAVLGTEKKVDKKRLKDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIILVGSKLDLRDDKQFFVDHPGAVPITTAQGEELRKLIDAPTYIECSSKSQENVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >A05p036220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:20489793:20494468:1 gene:A05p036220.1_BraROA transcript:A05p036220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) UniProtKB/Swiss-Prot;Acc:Q5PP37] MASLNDNTAAEEYFNQLRRRCNGIQVKHNGTFGKGVYADSEFQEDELILKDEILVGIQHSSNKVDCLVCSFCFRFIGSIEKQIGRKLYFNNMGLSGCCGGASSSSNLHSLPQGVVTSLMNGEIALPHTDKFPLPSPLSCPGGCQEAFYCSGSCAEADWASSHSLLCTGERSESAIAFTILRYRKLKAGHVNKQANQSVSKQSLLLEAWKPVSVGYKRRWWDCIALPDDVDPSDEGAFRTQIKDLACTSLELLKTAIFDKECEALFSLDIYGNIIGMFELNNLDLVVASPVEDYFLYIDDLPDAEKDKAEEITRPFLDALGDEYSDCCQGTAFFPLQSCMNHSCCPNAKAFKREEDRDGQAVIIALRSISKNEEVTISYIDEELPYEERQALLADYGFTCKCPKCLEDSSVA >A09p017770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9184876:9185162:-1 gene:A09p017770.1_BraROA transcript:A09p017770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVCLKMVDNARGIGLSLLWSSLPSVVLSWLRRRPHRELGFEICGSLVSLLLLHSLPMVSNISA >A09p042640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34842777:34845613:-1 gene:A09p042640.1_BraROA transcript:A09p042640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSVWEALLCILKGKAAIELWLAAMIPYRLQLPNWFVGAHTMPVLYEKYEDEVGGFVDSLLMKFHSHYKKMDTGFLSRIPSGRMFQTMLAYFLMMAIGFTNQMRMTHTSKDGTFTNKRAEGLVKAAEALALERSQGSCLTDETPSAPSTQQPNAAYIE >A08g504790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:7994372:7996808:1 gene:A08g504790.1_BraROA transcript:A08g504790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIEVETENIILAAEAMNNTVDQDLESLTCPCSRESGIGRFWCPLLSLMFPTTSTYFASKSSNEWKRCVSVAGWSSTVMEECSEMMKVTVSSLVPDCWGRDCEEDEEGGVERLTIAYIDFKERAEKAYDLNGTELGGWNILVDEAKSRDSSGGGFSGGGGGHFCGGGGHFGGGGSSGGGRGRDNGGGRGFSKPSYIPSGKKTTLDD >A05p001470.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:255123:255473:1 gene:A05p001470.1_BraROA transcript:A05p001470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVGYGSGSGQRTYVVDRRTEIVSGKGYGVGGSNQIYGTQDFPPSSQTPPGEVAARRSNASSTRPSWGVNDAEMKRKKRIARYKAYTVEGKVKSTFKNGFRWIKNKCYQIVHGF >A06g500100.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:609599:611387:1 gene:A06g500100.1_BraROA transcript:A06g500100.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITESVDSGVGEGIENSLVKPEESDQVKKLNPEAKEFIPSYKKMNSNQSLSSDDFAITKKQSGGEEFNKKDGSRRRNDYNNQGRKVRLGGRASKAQRDDSIRRTVYVSDIDQTVTEEVLAGLFSSYGQVVDCRICGDPNSVLRFAFVEFSDDQGARAALSVGGTIIGYHPVRVLPSKTAILPVNPTFLPRSEDEREKCTRTIYCTNVDKNATEDDVRIFFESACGEVTRIRLLGDQLHSTRIAFVEFAIAESAVAALNCSGVVLGSQPIRVSPSKTPVRSRFTRSPSTN >A01g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10289476:10290087:-1 gene:A01g503220.1_BraROA transcript:A01g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGQLKESHNEKEKELLSLRDIHETHQRESSTQLRDLEAQLKSSEQRVSDLNESLKIAEEENKSMSTKISDTSGELQGVQIMLQELTVKIKELQATVATLEFELQSVRARRVDLETEIAGKTTEVEQLKAQNREMVARISELEKTMDDRGTELSALTQNLRIRRSNHPPQLRV >A06p045170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24200667:24203108:-1 gene:A06p045170.1_BraROA transcript:A06p045170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKREELEAAERRSRFDRGINKVDYQLHKYFRFSSEHGCMIMDINGSFSSSTSTVRRGNAQIYTRDFISTIGSRFKLADFARKKKFSIQIHKTCPHTREMVMKREELEAAERRSRFDRRIYNVDYELQKYFRFSSEHGCMIMDINGSFSSSTSTVGNAQIYTRDFISTIGSRNTRDVTPNLGENSPITNASKDWGTDLSL >A01p031240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13354022:13356532:1 gene:A01p031240.1_BraROA transcript:A01p031240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAKVSEFHREGNDWFCRTGLPSDITVVVDDVKFHLHKMNHGDYPLASGFKYAGTFPLVSKCGKLARMYEDDKKSLWTTVLEDFPGGSETFLTAARFCYGARVDLTSKNIVSTHCAAEYLDMTSEYGEENLISQVEAFLHKQVLRNWKDCILALQSSSHVLKSAEKLQTIPKLMNAVSTMVCTDPSLFGWPMMMYGTLQSPGGSILWNGINTGARMRSSGSDWWYEDVSYLSVDLFRRLIKTMETKGIRAESLVGAMVYYARKYLPGLGRWQSRRTSGSSNNNKSRTSVVSFSLAAASSSMSPVDQIALLETILSLLPEKRGRSFCKFLLCLLRVAFILGVDGSCVKQLERRIGMQLELATLENLLILNYSDSETLYNVDCVERMIRHFVSSSSSSELSDFSPPSLDPGMSPSSLRKVAKLVDSYMAEVASDVNLKPDKMRSLAAALPEGSRPLYDGLYRAFDIYFKEHPWLSDKDKEQLCNMMDYQRLSIDACAHASHNDRLPLRVVLQVLFFEQMHLRTALAGGTNTGTAHAMTVPGQEIVERDGWVTVVRQNQVLKVDMQKMRSRVGELEEEFQNMKREMKKRVSKSSSSVSSPRLVKMGCKFLLPRASDAKNNDTAHGSVSSTPRSAAAAEHALPRSSRHSKHRKSFSFFG >A04p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7257862:7260183:-1 gene:A04p010280.1_BraROA transcript:A04p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAEVIAPVSKGGSRGCCKSGPGYATPLAAMSGPSEKLIYVTAVYNGTGREKPDYLATVDVDPNSPTYSSVIHRLPMPFVGDELHHSGWNSCSSCHGDASADRRYLVLPSLVSGRIYAIDTKADPRAPSLYKYVDPKEIAEKTGLAYPHTAHCLASGEIMVSCLGDEEGNAKGNGFLLLDSDFNIKTRWEKEGHSPLFGYDFWYQPRHKTMISTSWGAPKAFTKGFDLQDVADGLYGSHLHVYTWPGGEMKQLIDLGETGLLPLEIRYLHDPSKDTGFVGGALSSNMIRFFKNSDDTWSHEASVVIYVKPLKVENWFLPEMPGLITDFLISLDDRFMYFVNWLHGDIRQYNIEDPKNPVLTGQIWVGGLLQKGSPVKAVREDGSTYQFDVPQIKGKSLRGGPQMIQLSLDGKRLYATNSLFSAWDRQFYPELMDKGSHIIQIDVDTEKGGLSINPDFFVDFGDEPDGPALAHEMRYPGGDCTSDIWI >A08g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13997726:14002170:1 gene:A08g507930.1_BraROA transcript:A08g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTETESSHLTQSSSPLPPQPQNPVDHLRCNDSSTQGLQNDGMNNKGLQILPSDDFYSCIVFNITQLKNILLSCFNPHEPDNIAREANEELSKPQAIAESSHVYQSIPSGEHIQKDVASELVFGTQSLSSAFPPRQKILLSCFNLYEPDNIAREANEELSKPQATIEPNHVYQSIPCGEQIQSLSSTFPPQQTDGGFEALSKNFKQCEIWNGPQGNNNQEDQIVNTIEGSAYVIPRKPFDPIGRPFNPFGPIERPIPRLPSSSELADLGFA >SC236g500010.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000087.1:7034:7264:1 gene:SC236g500010.1_BraROA transcript:SC236g500010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVTSHPGIPTNATLASLNQNGISNLPPARSEAQLELQVHLTTIVLTDENDYYECEIAGKVRKKYSTGAVYTYLS >A01p053850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29822925:29825070:1 gene:A01p053850.1_BraROA transcript:A01p053850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRGGSGEHGHRHHHEALKFSNFFFMPERPRDYLVLFTRVSVLTCLIFSVSLVLRITFLSSSSAPDYSSSYGLRFLAVPQKALALPPTRSVGPINISHVQFCIAGAAETWLDRSRYTSLWWRNTTRGFVWLDKPVKLPKNNSDNRFSIPVRVSDSGWTRFRFSSSRAAVRIARTIWDSYQLNLPDVRWFVMGDDDTIFFTDNLVKVLAKYDHEQMWYIGGNSESVEQDVMHAYDMAFGGGGFAISRPLAARLAGAMDGCLQRYFYFYGSDQRIAACVSEIGVPFTEERGFHQLDIRGDPFGFLAAHPLTPLVSLHHLVFLDSIFPNKNPIESLQTLTKPYNLDPHRILQQVNCHDRKRQWSISISWGYSIQIYSYFLSAKELEKPLQTFKTWRSLRNGPFTFNTRPLKPDPCQRPVTYFMDGAEDVRDSGTKTWYSVGDKNYGYCGKREHSRVTRVKRILVTSMKMAPEYWNKAPRRQCCEVMDGGGRRKEKEMSIRIRKCRSSETI >A09p006420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:3360635:3364004:1 gene:A09p006420.1_BraROA transcript:A09p006420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKIDRFIRTLAWFLVTQVTVVSQAGRSHFVTTELNIRNEMQGLKRPEIVYHCQAINNGLEYGWRRAKKPPLGHTFHVLLEGGQKLEEEIHRCHFRSVLGKADVDIRMTAIDAEICNYKRACAVHVVTPEGIMFHGKEWDFKERYPRLIPRTYLEAKWKPWPRRSSSGREDPRSQRRSGGEVNSFAKKAIVWCLVLQALIIQGDSIESGDVSFSIMLRNEMYGLRRPLVVYRCKSSGKSLRWHQSYRKQEFTWDFEVPPFGNGVVIHQCHFMSSQGTADVIIKTLSMTSILCGGHVCKYVIGPNGIYFVGFETYYPHNIFLRFVELVRPVVKLVEPWKAWSPRQLKEFRAERNRTRSEDDDDMEYND >A05g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:225617:226557:-1 gene:A05g500050.1_BraROA transcript:A05g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNLTGNSLHRGRDLFPPVVNEQWRPRLVRVSTTYPSKSPEEDKEEPNQAETSPLAPNIPQIPTMEAVMEDLHEVTRQYLSCPDPVEAAARRQRVLHGDAQGHMEETATAIIAAARKRQDQQALVFGERTSQSNPVTPPPPQTNLFHGSSFPGPSGCVTPPLREEEDFGGVPLNSASPRQASQSTLPKDQVTSAKFKSNIVSPVNNSAKPENVQPLMEPDNQSAEEETLKEFQDKFKKKENITNSKLTCGRIKSPKDWAKKSSYI >A08p014850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:9808035:9810430:-1 gene:A08p014850.1_BraROA transcript:A08p014850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYIEFIAEGGYDGGGNGRYSGDRLGGSDNRYSGYSDRSSSYRGFCSRGSIVHQIASPGGEEVSIQIHYDKLFKHCSSCGMLTHEVAYCPMKFPVGKDRVERTGVFERVQLPTVTTSRKSLLRDQKPYDRYETYSRSDRYGKGSRRSISPQRKQRHDGGFMNDARAGYHRSVAYNESFSRKLGGKESRYNSSFSRSNKRYAPYQKQQTQTWKAKENMEKRLGLEMISYEPYVHGTNSRQTDSPSPKRSADISIEDRSSRKKIARAIVTPSRQGRDENVTKRDREVVRLLSFSPKEKDQLDDAQIIGALNDMELIGTSNMEDGDRKDSLMVVDHDDDLLGDELMDMDVEATKDASVVGAKGVEAKKGKPRATSSRKIRGRAGIPLGLPKKKAEFLRRGSLKLRRSSSRD >A10p013480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4747421:4749122:1 gene:A10p013480.1_BraROA transcript:A10p013480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNSSGNDDINLSRAQECATRRLPQKKNNKETQIVVYKRRSPNQFIDRWSTESFSQPHTKTRVEVRGEEAHWRHGSVDHLLKHMSGKVAPGCQDRFMRKHNADGAMEYWLESSDLVHIRKEAGVNDPFWTPPPGWKLGDSPTQDPICVGEIRDIRGELDILKRELENLASKKDEELAMMMNTPISCVTSQNVDHDNMMPLANEIYTDLLKKKDKIEDQLVTIAEALHKMEEDMGCLRRTVDGNCPRKPDSTEMPLLLEDSPIMKTLEGEVNRENQIKELPQKGRRDERPLLSLKNNTGFRICRPVASFSWPNLPALAAATDNDEIASLPSHHRLSPSLTCPVKPLAAKRPLVLPFPFTATPQDQAPTDLFNL >A02g501970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6171202:6171965:1 gene:A02g501970.1_BraROA transcript:A02g501970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGYRVNEPNKLEKALSVTENISQTNYQKGILQKRKSFRALLLRFFSTSEDGKQFTSFFHIGKKAKGVS >A01p040460.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21578728:21581231:-1 gene:A01p040460.1_BraROA transcript:A01p040460.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVDFSVSQAPVLWSSLLSWLKPPYLYVLTNGIIITIVASSKYYRNSSHHEQEGDESASPRMLEVKDLDPDAQFSFVAAKEEKIIDAVAMVEDESELKNLIMVENFDLVGSGGDEDVMMASANSNRSHVPLRMTESENLPPKDSRFDHRESVKASTRGGRRGVLRVHNDTLENTWKMITEGKSTTLPRQLYRRSDTFSRGNSGEANPVYRKSETFRDMTNHYQSQVRKEPSLSQDELNRRVEAFIKKFNEDMKLQRMESLRQYKEITDHAV >A04p031810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18664130:18665157:1 gene:A04p031810.1_BraROA transcript:A04p031810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTSSMLTQYDIEEVQSHCQDLFEQQEILSLYQRFCQLDRNAKGFISSDEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASLKQKVKLIFQVYDSDGNGKVSFKDIMEVLRDLSGTFMSDEQREQVLSQVLKEAGYTNDSFLTLEDFIKVFGSCKPEMDVEIPVD >A10p021230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14317850:14318543:-1 gene:A10p021230.1_BraROA transcript:A10p021230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEGTKRTPLSARKKHKRKSKRLVRSIVAYLKSDAYLYAPLFSDLSPLPPQIHTPPPSNSESSKAEDLHVAGKPQITNLVAVEVSTMREDKKESQVRHCRAYIE >A09g510350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:31268049:31268873:-1 gene:A09g510350.1_BraROA transcript:A09g510350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNASWYWIIQSDHLSDVGGIPGMPEKARTCLPFIEEGVPTTPSAHTGNPHGPATVQPRPNCPRPEHSLHPDLVQLPARLSSSFELASALFWISSSYLIPLTTFWTMTRLSLGH >A09g508890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:24990438:24993457:1 gene:A09g508890.1_BraROA transcript:A09g508890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSKKSDPGKFAIPCVVKGVEFPHSMCDTGASYETEYSESIDTHTFPSIDYNESTVTDDRNNMSLDLNQPVDHFALPNHCYPHFAFQLPRKRGRDDYSIGSWADSGFHESFAVDTVITSPNEEHTKEYDDDYWKERAIEMSLQDERFETHNITNTFATSFDEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPSPTRDPDGNARAIDGWILQVSKEDIADILQVANGPDNLFSQQRGTLDVIQTDPNKHVGVAATEINADLSCQLKGQESIDRITPTSTDKDDPASIDRRYEFGYRAFDMYRARKLTWEQRDEYGVYRDECGHARGVAGEMIPVTKDDIRLAPELYTKDEINEMVTGICGAKEKLGEELKSLVEDTHQPLDRGYNEFFRTQIPAEPQCSAEHKDEWEVAYIKTRINDVYYPLNNNVDGLSTKIELLQQDLDTIRKKGQQPATSIDVCTITSLDAKISAMDDRLQTYEDMHDRFENSSSIDRLRGPWIDSKNPVEIGGLDSHAKWLQKEVKAIQRQLAAQHHISASIDRKRAESLDGKSPRSTDENLIASIDAESTPAGEQLIHKRIESMHEELTKLSAYAYDNISWHQVSIDNVQDRLKNISNELKKIVDKWTTNYEAIWV >A04p040190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22679564:22680016:-1 gene:A04p040190.1_BraROA transcript:A04p040190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEYNLQKEKEIQDKKIKKLHANKNKMKVDGSGKKKKGGFSVGKKKLKTKLTPAAKAKAAQAMELDK >A10p003580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1796305:1798756:1 gene:A10p003580.1_BraROA transcript:A10p003580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTDIDMVISIPDTPDRPVRRESNKRPRSPEAPGRFQRGEHRHHPNGRARPASDTQAEHGHGHRSRASGSNALFRRTAVEKDKGKSICIDQRNGHPNALFTSEGVRESRPSNDASSANKGKGIVVECGSVSNRERIDLSSERKPVRGTRRLVRNGCISPHAIAARTRQAAADDTNSKDRVSLEQELALEAASSIDIREIVSDNHSRGRSRGKRPEIPSSRVASREASEGWVSTRSRSLNIDHEVDRRDESDTRGACSFVSGLDVLESGAVDREARPHRRRKNGVTPSRYEPQASVIGSSGEPSSSRPRNYQRQGRQVLEIEDSSPEVRVSRAPRRVENDESDDVKARQIEADALMARELQEQMYAESTIRNEQMDETIARMMEQEENSRRPSSRASTRNTRSSNTIAADPGGSSRVEERPQQHSSRRRMNPPQARAAVRAPRRPFPHLGRAHASRHGPMHFNFPSGMDVELRMDFLENLENVIGHSFNNSNLLHMDRDFTEDDYELLLALDENNHQHGGASTSRINNLPESTVQTDNFEETCVICLETPTIGDTIRHLPCFHKFHKDCIDPWLGRSKACPVCKFSVT >A09p069730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:54262698:54267616:-1 gene:A09p069730.1_BraROA transcript:A09p069730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRDVGDMSIHRRTSTSEDRISSLPNTLLCQILSYLSTEESVRTSALSKRWTHLWLHVPALELDSSNFPDDFEFGYFFDNFLESGEEDIKRFDLVYNVEEHIHEDFVTRIDDVVNRGVCHLTILNELDVEEELVRMPLSLYSCRTLVSLNLYCVVFDAPRAALVSLPRLKTMHLEAVKFDGMWILGGFISRCSVLEELSIVTDDGDELGVVIVSSKSLKSFKLKSMRSEETEDEGDPTVVIDAPRLEFMSITDYQSKRLVIDNIGPFAKVDIDFIFKVEDDDDSFGAMIIDFLTKLSTVREMIISSRTLEMIHDNCEWELLPQFANMSHLHASFLETSWNLLLTFLGCCPNLQSLDLEFDSFPEPEEIELSFVPQCFQSSLQFVGLRTPIRVEGTLSEMKLPMLFLRNCKVMKKLMLNESFRNVIQKVRGIPKMSPHFVVVTESTQHITLGDKSSFPENKKKESNNMATNAHLSQKESDIRMMIASEVHLGTKNCNYQMERYVYKRRNDGIYILDLGKTLEKLYMAARVIVAIENPQHIIVQSARPYGQRAVLKFAQYTGANAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEGALGNIPIIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWILARMVLQMRGTIRPGQKWDVMMDLFFYREPEEAKQLEDEEAAPQADFAAPEYGVSGGDQWTTAGIPDASWTGEAQQPISAAPAADGWDTALPPPAAVPAAGWD >A09p017650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9126227:9136888:1 gene:A09p017650.1_BraROA transcript:A09p017650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGASFSTFYNTALYLSGLFWQFTPLNLLRKKTSRKSGGIYCLIMHGGETTESSYVSRLVLGLPFALFVWCVRSSTSAFVVRLGLSKSPNWTTMDIKAYLLYEPGCEPRNMHIPCIRRGEDEGPYYTHEEEERLINKQVEESKGFDIDFKQFRYVFNYKPLDFDDDEMAIEPETTRELMDREDTRATHYEFVKVTKANYYNSATAATVYFITYQGKASSDDEPRDFRAKVVHNYHTPAKYISCEMKPYKNVHFIETAENEDAKRTKKGKRL >A10p003440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:1734835:1737197:1 gene:A10p003440.1_BraROA transcript:A10p003440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLMLSSKLAEVLIYSGKTARSFPLCKAFVSTSRPLQGKEEAEQCQKVKEAAEAVKEGAQAVKETTEYIQDVASTTANRVSKMTKDVTEKVTETTDSITEKAKGSVSGVLGTAKNATDIIKNKILGGD >A02p029830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15153106:15153440:1 gene:A02p029830.1_BraROA transcript:A02p029830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFLSLLSRLVLGRDHGRLVAQVRGLVVGSEKRGSEAKLSEHHTVRVRCITRLMIRGPSRSGDDATKFLIGLV >A05g500970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3455507:3456434:-1 gene:A05g500970.1_BraROA transcript:A05g500970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIPHQPTRHWIIQRDIWHIDDCLLFVLSWIPEESFKIPEVSILPVWIASGLGKPILTHKPRLDPSNMGQTKVLVEMELERDFPKLIALDDKQGHIYLVKVEYTWIPSTQFVRDVAAYFIKRRGVFCLQNFKILLVPHKIQWCECALCSLSTGAERKLTLLKRYQRNAKLQRTTPVTLPHMEVQKTSMVDPFNTSVQVSGFQSPSRFAVLGDVDMAPDETTSSLGFTRGGKESRKKNS >A05p038930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23853310:23859332:-1 gene:A05p038930.1_BraROA transcript:A05p038930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKVSPSMLSLKSLPADYRFDGENSSRPSFGLRNGVSQAGDSEDSPYSGHGVSLEEQSLTDDVDPGAATMPLPQNDERRWSDTSAYARKKVLQSWVQLPNGNWELGKILSTSGDESVVSLPEGKVMKVISETLVPANPDILDGVDDLMQLSYLNEPSVLYNLNYRYNQDMIYTKAGPVLVAVNPFKEVPLYGNRYIEAYRKKSNESPHVYAIADTAIREMIRDEVNQSIIIRFSLSYSGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSESGKISGAQVQTFLLEKSRVVQCAEGERSYHIFYQLCAGASPALREKLNLTSAHEYKYLGQSNCYSINGVDDAERFHSVKEALDIVHVSKEDQESVFAMLAAVLWLGNVSFTVIDNENHVEPVADESLSTVAKLIGCNINDLKLSLSKRNMRVGKDTIVQKLTLPQAIDARDALAKSVYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFEKNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTRVDFEDNQDCLSLFEKKPLGLLSLLDEESTFPNGTDLTLANKLKQHLHSNSCFRGDREKLFTVVHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQAFASSMLIQSEKPVVGPLYKAGGADSQRLSVATKFKGQLFQLMQRLGNTTPHFIRCIKPNNVQSPGLYEQGLVLQQLRCCGVLEVVRISRSGFPTRVSHQKFARRYGFLLVENIADKDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSSFRGYQARCHLKELKMGISILQSFVRGDKVRKEFAELRRRHRAAATIQSQVKSKIARKQYKGITDASVLIQSAIRGWLVRRCSGDLRWLKSVGTKTNESGEVLVKASVLSDLQRRVLKSEAALREKEEENDILQQRLQQYENRWSEYETKMKSMEEIWQKQMRSLQSSLSIAKKSLAVEDSARYSDASVNASDATDWDSSSNQFKSQASNGGGGRQQQQPMSAGLSVIGRLAEEFEQRAQVFGDDAKFLVEVKSGQVEANLNPDRELRRLKQMFETWKKDYGGRLRETKMILSKLGSEESSGSMEKVKRKWWGRRNSTRY >A04p038030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21669899:21672306:1 gene:A04p038030.1_BraROA transcript:A04p038030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 37 [Source:Projected from Arabidopsis thaliana (AT2G42520) UniProtKB/Swiss-Prot;Acc:Q84W89] MSASWADVADSENNTASGSTSQKPQQPSRPAYVPPHLRNKQPSSSDPVAAPSPANDRVGYNGPPSASRWAPSGGSGGYRADAGRPRGTGGGWNNRSGGWDRREREVNPFENADAEPEPAFTEQDNTGINFDAYEDIPIETSGDNVPPAVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPILLAGRDLMACAQTGSGKTAAFCFPIISGIMKDQHVVQRPRGSRTVYPLAVILSPTRELASQIHDEAKKFSYQTGVKVVVAYGGTPINQQLRELERGVDILVATPGRLNDLLERARVSMQMIRFLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTLLFSATFPREIQRLAADFLANYIFLAVGRVGSSTDLIVQRVEFVLDSDKRSHLMDLLHAQRENGMQSLTLVFVETKRGADSLENWLCINGFPATSIHGDRSQQEREVALKSFKSGRTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDGNTSMARSLSELMQEANQEVPAWLSRYASRSSFGGGKNKRSGGRFGGRDFRREGSYSRGGGGGGGYYGGGGGGGGGYGGGYGAPSGGYGGETPSAWD >A08p001360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:817753:818167:1 gene:A08p001360.1_BraROA transcript:A08p001360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGRRVGCLCGSGLESRDHVFLHCSVAAQIWAAILPRLGQQNLTLHNWDSLIAWMLTDTPGLSATLEKLLVQALVFLLWGERNSRLHNGSSASTSVLFSRIDRT >A02p019240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:8896566:8899693:1 gene:A02p019240.1_BraROA transcript:A02p019240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTAARTKSTPTKENGVRVEEGLSMFKSDKFDADAYVQSKCSINQKDIKQLCSYLLDLKRASAEEMRRSGELSSIRDLLSTQATLIHALANGVYIDDGDKPCDGSFGDNDLSALEKWAAEYLDQLDALLAERRVDEALTAFDEGEILISQANESHTLSSSLQFAISQRKRKLADQLAKAACQPSTRGGELRSAITALKRLGDGPRAHTVLLDAHFQRYQYNMQSLRPSSNGVAYTAAALSQLFFSAISHASSDSLGIFGEEPAYSSELVTWATKQTEAFFFLLVKKHALASSGGLRAAAECAQIALGHCSLLEARGLSLCPVLLKHFKPVVEQALEANLKRIEDNTAAMAAADDWVLTYPPAGSRHVGTAFQNKLTSSAHRFNLMVQDFFEDVGPLLSMQLGSKALQGLFRVFNSYVDVLIRALPGSIEDEEEANFEGFGNKIVQMAETEAHQLALLANASLLADELLPRAAMKLAPLDQTSHRTDDVRRPSDRQNRNPEQREWKRRLLSTVDKLKDAFCRQHALGLIFTEEGDSRLSADLYINMDENGEDVDWFPSLVFQELFAKFNRMASLAADMFVGRERFATSLLMRLKETVILWLSGDQSFWDDIEKGPRPLGPLGLRQLYLDMKFVTCFASHGRYRSRNLHRGTNEIISKALAAFTATGLDSELPKDDWFTEICLDGMERLSGKAKGNNGEVHSPTASVSAQSVSSARSHGSC >A06p052170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27585166:27587858:-1 gene:A06p052170.1_BraROA transcript:A06p052170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSSTTSITTMSNQVDNNNEKDIEEDTHGGAHESRVQNDDEADDHDHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRSETSRPIGLKKTLVFYSGKAPKGTRTSWIMNEYRLPHHETEKYQKAEISLCRVYKRPGVEDHPSVPRSLSTRHHNQISSSTSSRLALRQQQQHHSSSSNHSDNNLNNNNNNNISNNLDKLSTEYSGDGSTTTTTNSNSDVTIALANQNIYRPMPYGASNTPIVSTTNQEDDETAIVDDLQRLVNYQISDGVNINHQYYQIAQQFHNQQLSANANALQLVAAATTAALTPQTQAALAMNMIPAGTVPNNALWDMWNPVVPDHGNKDHYTDSPFK >A09p065830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:52481522:52486132:1 gene:A09p065830.1_BraROA transcript:A09p065830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSLKAINTESIDLENVPVEEVFQHLKCTKEGLTSTEVQERLTLFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALAHGGGKPPDYHDFVGIVVLLLINSTISFSALTGESLPVTKNPGSSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTTHVGHFQKILDMAHNKLQIKEKVHSTIDKFAERGLRSLGLAYQEVPDGDVKGEGGPWEFVALLPLFDPPRHDSAQTIERALHLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLSANHTETVSIDELIEKADGFAGVFPEHKYEIVMRLQSRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVMGFMLLCVFWEFDFPPFMVLVIAILNDGTIMTISKDRVKPSPTPDCWKLKEIFATGVVLGAYLAIMTVVFFWASYETNFFPKIFGVRNFNQHHFDMKNKEVAAHLNEQMASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVIAFLIAQLVASAISAMATWPFAGIRSIGWGWTGVIWIFNIVTYMLLDPIKFLVRYALSGKSWNRMVEQRTALTGKKNFGKDERMAAWATETRTQHGLETGQKPMYERNGATELNSLADEAKRRAELARMRELQTLKGKVESAAKLKGLDLEDANNNSYTI >A08p000190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:71197:72216:1 gene:A08p000190.1_BraROA transcript:A08p000190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHSLLLLFLFLSFWNLGLILDQILGMASAASGKVEKGHQLYRDGKYKEALLFYTEALSAAKSKSQKIALHSNRAACYLKLHDFKQAAEECTWVLELDQKHSGALMLRAQTLVTLKDYHSALFDVTRLLDLNPDSDVYQNLEARLRTQLSLAPIPESEAELEDEDEEQQDTDEQSTRKDESRFESLVSITRDVRNKGEEVVAPKTPEVREDKSKEDGSFSNAWQAIPKPKGHSTLDYARWNTVQNDDSSEEDDSDEDSDEEDQPQYRFRVRTVGVRPVK >A05p034580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:19476172:19477105:1 gene:A05p034580.1_BraROA transcript:A05p034580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKIVFHHMVLIFHSFKDLEDFWAIRLRDDFETTSTKSSDGVFSQVLIRWFSSSTWICTLSESDFGRSMESLLGSLLKYNALEDFQEVFQTTSKKSSRRLQRNLPDDFQEVF >SC177g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:155495:156906:1 gene:SC177g500030.1_BraROA transcript:SC177g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGWDKVPGEGWKEMKRGGRSVQKRRRCGAIASDKNGRVRIEAPVRLSHAESWREGVVIHCKGYGLHPREPDAGCTRAGDLTGMQQERGGTISGNVDGKKGNAPETHGTRNGTHGDVGKVDMCVLNPAPWNPGWKWGGTGVSIANVEGDFEQLRVSGRDRVNKKSSYCGVADTSSQRGNGKPDIRAGEKRLADDTAPHRAEEAGEGETDARPRRAQLHGRIKPCKEMDFWHSDITVKLVPRKKKKKRNMGVGPTTRAWAADEDGATPGRPRDGRRHRSSWGKKDDGGSSWGKKDDGGSSWGKKDDGESS >A09p026840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:15162030:15163831:-1 gene:A09p026840.1_BraROA transcript:A09p026840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLHSMAAREYPGHENPVKLASETAFSVSEVEALYELFKSISSSVVDDGLINKEEFQLALFKNRKKENLFANRIFDLFDVKRKGVIDFGDFVRSLNVFHPNASLEEKTDFTFRLYDMDCTGYIERQEVKQMLIALLCESEMKLADDTIEAILDQTFEDADVDRDGKIGKTEWSDFVIKNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >A01p014820.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:7180431:7180913:1 gene:A01p014820.1_BraROA transcript:A01p014820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRGFKIGHRFVKIFKWIIRSRRIQTGKRQCLTGILNPVSKICSLARCLRRGANRLCGGKKTGQTRLGNEPKPAAVPKGHLVVHVGEAGDDTRRVVVPVIYFNHPLFGELLEQAERVYGFEQSGRITIPCRVSDFEKVQMRIAAWDRCRQKRTFKIIL >A03p065130.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28425180:28425494:-1 gene:A03p065130.1_BraROA transcript:A03p065130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSLLSLCLLVSILLLSQSHLISSEHQGSRIRPLDRNLVEHEEYPEGSSHGHHHHDHLRAFVKKSKKSKKKKKSSATRNLLSSPLTYLSTVVTSFVLLLAAF >A02p046400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:28962594:28967135:-1 gene:A02p046400.1_BraROA transcript:A02p046400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALSAIPAAVHRNLSDKLYEKRKNAALDLENAVKALITSGDHDKISKVINLLIKDFAKSPQANHRKGGLIGLAAVTVGLSSEAAQYLEQIVPPVIRAFFDQDSRVRYYACEALYNIAKVVRGEFIFFFNDIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLKERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRASSPDEFTRLTAITWINEFVKLGGDQLVRYYADILGAILPCISDKEEKIRVVARETNEELRSVHVEPSDGFDVGAILSVARRQLSSDHEATRIEALNWISTLLNKHRTEVLCFLNDIFDTLLKALSDSSDDVVLLVLEVHAGVAKDPQYFRQLIVFLVHNFRADNSLLERRGAIIVRRLCVLLDAERVYRELSTILEGEDNLDFASTMVQALNLILLTSPELAKLRNLLKGSLVSREGKELFVALYASWCHSPMAIISLCLLAQAYQHASVVIQSLVEEDINVKFLVQLDKLIRLLETPIFTYLRLQVCLIHLNPNSFLSLSFQLLLFSPFFFSSFWNRKIHMVAENTLRSSNCLLGLFSCMQQSAAFKILRTRLKTVPTYSFSGVGQISRASSGVPFSQYIHHREDGGAEDNNINNSHQGINFAARLQQFENVQNQHRGQTRNKVNYSYNTSSSSASKEVRRSEEQQQQQHHKPPPSSTSLSVADNNRPPSISSRKAPGQLQL >A05p053060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30398531:30405987:1 gene:A05p053060.1_BraROA transcript:A05p053060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSSYKNLRLFSKPIAFKCLVLVGIALFYKALFLSSSPRNLLSIRASGSSSTYGVRTDKFLEAPQIVWGLNNQKIAFARACLTARTMNRTLLMPSLSASLFYKEVDKLLPIPFDKVFQFERFNSLCNGFVRLARFSDVRNRTKVFDLEKGSGRRWTKERDLEQLKQSVSVDEFEVISVKGKNPFLWHDHWPVKDYAKVFECMVVVDEISREADKVVTRIRQGGGDNRIMTGLVPFVAVHMRIEIDWMIHCKKLEQRQKVSEICSSKREIMERVGNISGLKTPTVLYLAVADSLLEEKEGESSVLAGWREGLIPFEKKKLGVKEEVYGKYSYLIQSAIDYEVCLRADVFVGNSFSTFSSLIVLGRTQKLRRLGVVSSCDDGGNVWRSYAYNLAGESEGVPRRWMTNMTHSSLEAISYGSNSEEEEERKMMTGGNYTTIDDSQKVSGSVPSVPDPGHTTVKFAESNLQTFPPSATQGKISGGTSNPPRDADDTFSGPGRSSTDEPHSGGWLHKFTVGAYKPFFDVDTSDVVDRLKESLFPFRGTFTEKTADKPDLYGPFWICTTLIFVAASIGTFVTYVAHKWNKQEWNYDINLVTWSAGVFYGYVMIVPLGLYVVLKYFSAPSGLVQLFCLYGYSLFIFIPALCLSVVPVEIFRWVIAGVAGFMSATFVALNLKAHINSAGERSILIIASIFLLQLGLAVVLKLYLFTVTDSISFIYFVENSVRIIKSSCDSEQCEKKNKRRAYNSLTRAKHVLFTSTNHELCLNVWWWVWRRWTGEAGYGGESLLRKVLARQRVQAHSLLILKDSQTIRSHSPELISKPVTREEEKELEEEEATADIKILTERLSAALLNVSLKDDLAKQHAKVAEEAVAGWEKAENEAAALKQQLDASVSKVSALEDRNTHLDSALKECVRQLWQGREEQNQKIEEAINKKCSEWEATKAELESRIEELQARLQTGKQEDATALEKENSALKLELLSKAEEVKILTLERDLSTQAAESASKQHLEGIKKLTKLEVECRKLRVMVQRSVKSNELKSSIDNQSDYSGRFSFSDNEVQSPTQRAIGKTPSVDIGLMDDFLEMEKLASMPRSEPGRKHSESKPDAQSKQLKHELETSLHRISELEEKVEMVEVEKLQLEMALNESKEQVETLQSRLMETEERLKKLEPKAQDLELALSESRKQIQDLQRQLNRTQADKSKLETTRAENNDLELLLSESGSQIQDLQKQLNKAQVNLSELETIRAEKLELTICLNGTKKELETSQSRLKETERKLTEVQTLLRLTKDAKEAAEDGVKAANAKAEAVESRLRDVEAEAESLILKIESLEESVEKERVLSAKHSSKCEELQDEISKLKHKLEHHGEGEHEPNHLRAFDDDYKLKQEKELAVAASKFAECQRTIASLGQRLQSLATLEDFLIEP >A02g502390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:7805656:7806350:1 gene:A02g502390.1_BraROA transcript:A02g502390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFSTSLRQTPPCFIIGAKFVNHTSFPMVIALEHSALSMRTDEDLNPYALYKDPICIPLPPLVTLPHCQTQIVTNVSMSMSSSSPEDDEDCVVAVKFLGPQLSFCKPAGKSKPEWTDIKIENPCFDSSRVMFSKKDNKFRIPGSGGHLIGSLDLREPNDKLKLQSVQFENLPPKLPTPIHELMDSCSRAA >A02g502030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6429360:6430075:-1 gene:A02g502030.1_BraROA transcript:A02g502030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVISKSADQNSLNSIMNLPARNRKYHLYKTIHNILCRHHLRLILFLFLFVSYQTLFPLDNYLFILSNNLVLNCLNWITFPLDWIGLRLHRSKMVRITIWDNEAANLRELNRISTRKNQIVIITSIIPRLHEGKLSLTTTSGSTFTLTPTLISYNASKRRINCYPKPDSKRHHSIFKNTLFSYKI >A09p071950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55356335:55359299:-1 gene:A09p071950.1_BraROA transcript:A09p071950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQCKTVTFTVSSISSNPKLPFRSSVTLPPWKPTLPSFRSSKSLDLRCIRRNCSSPNQELVVGGVNGNGSIPEEEEVIELGVENAISVEVEEEEGKVDDIANQSIWGQMKEIVMFTGPAAGLWLCGPLMSLIDTAVIGQGSSLELAALGPATVVCDYLCYTFMFLSVATSNLVATSLARQDKDEVQHQISILLFIGLACGLMMMVFTRLFGSWALTGAKNAEIVPAANTYVQVLFYTLLVYFATSMGTSVIAAHQARMLLKSLVIIGATLGIVVGTIGTAIPWLFPSIFTHDKAVTFQMHTVIIPYFLALFATPSTHSLEGTLLAGRDLRYISLTMTGCFAVAGLAVMLLSNGGFGLRGCWYALVGFQWARFSLALIRLLSRDGVLYSEDTSRYAEKVKAA >A03g506090.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:21784227:21784630:-1 gene:A03g506090.1_BraROA transcript:A03g506090.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNQKKFNPKSICLPQLTRSDMQHKRWRTYNIATDLNLIANMKEMRLWWSFMSITLTNSNESPLNFESARSLEELMTSDEFEWKTMLPRWMEEQYTVESVA >A08p001130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:674694:675191:-1 gene:A08p001130.1_BraROA transcript:A08p001130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTEVYIFRDILLLDQLAPLPASATAKPSVMGYGDLLPLNWMHSKKTSVMIFSQKTSIVTASSFLKGRSRFVLSQTLGSCILALALTIVIMLMTT >A10p013650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4570632:4575568:-1 gene:A10p013650.1_BraROA transcript:A10p013650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKLKLAVEELEMDHLMLQIQNGRMLDDLSQTETEKLKSYASKKFQTLMGEIPKAPFPMIQGGSVYLMDKWIKDPSDKEDEMKKTCEGESSKSDAGLPRDGNWYHPPRCGLYLMWIFAGQPAMTCACALLGLNRNEANKLLPYKVGLNRNEANKLLPYKVGLNRNASLPTEKGRRQRRIHLSSGGGISKKRSYGGGKKTERSFTGGSTKKRSSGGGLNQREEEPVGGDAPLVLDGDSVETKQNRKWCGFFLPRKTRDTTAMGRPKVKLAWVEERKRRATVCQRRMKELIQMAEELTIVCDMSACLVFYNRKNGKLVAWPSLEESQSLIDCYNALSETERNMKADDEESSFIKTITKEIEKKLELSRKAIEELKMDNLMLQIKNGSRMIADLSQTEIEKLKSYTSKKIVYYDRELRKQHPNTSGNEPFLEDDDGEMKTYEGGSSESDGADNA >A09p024410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13504377:13507163:-1 gene:A09p024410.1_BraROA transcript:A09p024410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEKSLKAAEIPDGEGGDKDQRGDRFGPEPEEKQEEDLQEKKDEEGGDDEEEVKRDEADEEEVVEEDEKEDEEDGDEDADEEKDEEEEEDSKEKSPSSASGVNSEYGSFSTLCIQIFVEIDLGEIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRLGNKECPACRKRCASRRSLRDDPTFDALIAALFSNIDTYEEEEFAFHEDDKARNKQIQASIAEVSQRQSEALVKRKSFGKEASVSTRPQRRRRRNCRNMEQNTEEEANEDDNNDDNNGKDSSSEERGAEVRLRKRRKRSTSRSTLNPSSSGANNNGNCAENDAEVNVRDNNSKGISPGLVWNPEILAWGRGGTRSHTRHGNNATGGSSKSVRNARVNRLVECLRSSVDGNSIEDIHVKLVSVDTNCVPELPQPYLCCRPTLPVKQLREFVALQIHLKTEEVELLVTRGLGGGGDKAIENLLVVASDSASASKEEMQSLEDNETLSRLKVEQHLVASPLIIIAYRQKQTE >A05g500360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:1366123:1367061:-1 gene:A05g500360.1_BraROA transcript:A05g500360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGFENPILNKLDTHLSGLLALVSPEEYFAGKPGQSTVLRVPGLGVKLIGLIGLGQSASSAAAFQGLGEAVATVVKASQSSSVVVALSSHDNVSKLSSASALASGVVLGLFEDGRYKSESKKPSLSFVDIIGFGTGPELEKKLKYAEDLCLLTKQQKWLLHNSDVFTANILNEEQCRELKMGSYLAVPAASADPPFFIHLVIDLRVALLKPNLHLLEKD >A05g505200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:14420337:14423531:-1 gene:A05g505200.1_BraROA transcript:A05g505200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKSSLLRLRFSWCWRIKKFEVFRKRLSLGSRGGGSGSSFDPQCPVESVRLCGGVSDMVSGCRNECAFGRIFSVFDLNIKVEICECCIVLVLDHNWAYHFHVIGAQRANRHSLFLVWVKLSAPPKRDGSVYFMYVSSDSSELLRFQIRFDSVVKHFKWNKYARNCNLLQLKPSTECEKYNRCGNYSVCDERKEFNFGKCSCINGFDLNVREGEFRELRGIKFPEFGSVIFLSNSEACKDVCVRDCSCNAYAFFRGVEHGGQSINIRIAESELGGKGNSKNPESIATAPCHLPFDLIEICFSSSFKLVFKVVSSATSPYPEVAYLSAILWRKKDFSVFEKEENKDYSVTSSSSTIQVLVSDLLDTSELTIFTFNSVASANRKISLKKTSLDRANLVLCIRYRLLRIYGYPRTQTNKNGQSTFVYLDYLSPDLGHQLSLVGPEKVSIDSNIGVSIDTPFSLSIDATNELSRSTFLPGSVTHRFDVFTRLK >A06p035420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19160395:19164107:1 gene:A06p035420.1_BraROA transcript:A06p035420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDVSMKGNRGGGENFSSLGYSGPTGAAGESLKTQSNRSVAAERVVDPDAALYRELWHACAGPLVTVPRQDDRVFYFPQGHIEQVEASTNQAAEQQMPLYDLPSKILCRVINVDLKAEADTDEVYAQITLLPEPVQDENAIEKESPPPPPPRFQVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHASEWRFRHIFRVYYKPRTSPSEFIVPFDQYMGSVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDSDPTRWAKSKWRSLKVRWDETTSIPRPDRVSPWKIEPALSPPALSPVPMPRPKRPRSNLAPSTPDSSMRIREGSSKANMDPLPASGLSRVLQGQEYPTLRTKHVESGECDAPENSVVWQSSADDDKVDVVSASRRYENWISSGRHGPTCTDLLSGFGTNIEPPHGHQIPFYDRLSSSPSVAARKILSDQDGKFEYLANQWQMMHSGLSLKLHESPKVPAASDASFQGIGNPNYGDYALPRAVTAENAAGNWPIRPRALNYFEEAVHAQAREHVTKRPAVVQEEAAKPRDGNCRLFGIPLANNVNGTDTTLPQRNNLNDCTGPTQIASPKVQDLSDQSKGSKSTNDHREQGRPFPVNKPHPKDVQTKTNSCRSCTKVQKQGIALGRSVDLSKFQNYEELVTELDRLFEFNGELMAPKKDWLIVYTDDENDMMLVGDDPWQEFCCMVRKIFIYTKEEVRKMNPGTLSCRNKEEPVVGEGSEAKDAKSASNPSLSSAGNS >A09p082670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:59781917:59795571:1 gene:A09p082670.1_BraROA transcript:A09p082670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLKDIKEKVGLAQSPDSATADAFSVDLTAPSSPLSTTHAGESSRSDFDSSPSSREHHELELDFKRSWEEFRSSSSEKEKEAALNLTVDTFCRLVKRNANIDQLVTMLVETHIFSFVIGRAFVTDIEKLKIGSKTRSLDVERILRFFSDVTKEGFSPGANLLTAVEVLVSGPIDKQSLLDSGIFCCLIHVFSALLAYDELSKSKTNDLEEKSVDKDAGYKVLQTRRLEVEGSIVHIMKGLASNPSAAQSLIEDDSLESLFNMVANGAVMVFSQYKKGLVPLHSIQLHRHAMQILGLLLVNDNGSTSRYIRKHHLVKVLLMAVKDFDPDCGDSAYTMGIVDLLLECVELSYRPEAGGVRLREDIRNAHGYHFLVQFALVLSSSPKNQTFVSTSSSIDQYSGSDGSEVFPDGGNTKSRENADSSSEKFSPSLSRLLDVLVTLAQTGPAEPSISRASRSSQTKPTGHSRSPTPSVDSIYDETWEQGSSKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFSSHVENYRLCQELRTVPLLVLNMAGVPSSLQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSQLKQTILSFFVKLISFDQQYKKVLREVGVLEVLQDDLKQHKLLMGPDQYSGVSNNSDRKPSAGSFKKHLDTKDAIISSPKLMESGSGKLPVFEVDDTITVGWDCLISLLKKAEANQASFRAANGVTIILPFLISDAHRTGVLRVLSCLITEDTKQVHHEELGAVVDLLKSGMVTSISGHQYKLHDDAKCDTMGALWRIVGVNSSAQRVFGEATGFSLLLTTLHTFQGNKENMDESDLMVYIKLFKYLFRLMTAAVCENALNRMKLHAVITSQTFYDLLVESGLLCVELERQVIQLLLELALEVVVPPFLTSESTASAATTESEKTTFIVTTPSGHFNPDKERIYNAGAVRVLIRSLLLFSPKMQLELLNLLECLARASPFNQENLTSVGKNHASWGCVELLLEIIYPFLPGSSPFLSYALKIVKILGAYRLSPSELRMLFRYVLQMRIMNSGHAIVGMMEKLIIMEDTALENLSLAPFVEMDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQEKEPEASKFGTSSKKRTSTAQQHERNIFRMFSVSAVSNESPFYAELYFQEDGILTLATSNSSSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASVATVYLDGKLRHTGKLGYSPSPVGKSLQVVVGTPATCARVSDLTWRTRSCYLFEEVLTSGCIGFMYILGRGYKGLFQDADLLRFVPNQACGGGSMAILDSLDSDLTSLPNGQKVDGSNRQGDSSADGSGIVWDLERLGNLSFQLPGKKLIFAFDGTCSEFIRASGSFSLLNLVDPLSAAASPIGGIPRFGRLVGNVCICRQNVIGDTIRPVGGMAVVLAFVEAAESRDMLHMALSLLACALHQNPQNVKDMQTIRGYHLLALFLRPKMTLFDMPSLEIFFQIAACEALFSEPKKLESGQSNINMTHTEAVFENSYEDLGLSRFRYESSSVGSHGDMDDFSLPKDSISHLSEFETDIPVETSNCIVLSNADMIEHVLLDWTLWVTSPISIQIALLGFLENLVSMHWYRNHNLTILRRINLVEHLLVTLQRGDVEVPVLEKLVVLLGCILEDGFLTAELENVVRFVIMTFNPPEVKSRSSLLRESMGKHVIVRNMLLEMLIDLQVTIKAEELLELWHKIVSSKLVTYFLDEAVHPTSMRWIMTLLGVSLASSPNFSLKFRTSGGYQALMRVLENFYDSPDIYYILFCLIFGKPVHPRLPEVRMLDFHALVPNDGSHVELKFIDLLDSVVGMARSTYDRLIMQSMLAHQSGNLSQVSASLVAELVEGAEMTGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLSKMCPQFSAACRRADFVENCADLYFSCVRAAYAVKMAKQLSVKAEEKHTNDDDNGSQGTLFSLPHNQDKSAKTSISAGSFPQGQVSLSSEEMPLSANYVVRDKMENILTPPPQDASKLFQGVEDVKKQEGNHVGHASTSSEKDFHDRKGNASQVQATDSQSSASFPMIESPLLSEKSGLKVSFTPSPSPVVALASWLGSNYNESKSSTVGSPSLESYVSVNEVDASSERNSGSHGSSAVSAFFTVNPKLLLEIDETGYGGGPCSAGASAVLDFMAEVLADLVTEQVKAVPVLESILEMVPFYVDPESVLVFQGLCLSRVMNYLERRLLRDDEENEKKLDKTKWSANLDTFCWMIVDRVYMGAFPHPTGVLRALEFLLSMLQLANKDGRVEGVFPSGKGLLSLGRATRQLDAYVHSILKNTNRMILYCFLPSFLITIGEEELLSQLGLLVESKKRPSPNPAVDEHGIDISTVLQLLVANRRIIFCPSNLDTDLNCCLCVNLISLVLDQRKSVQNMSLDIVKYLLVHRRSALEDLLVTKPNQGQNFDVLHGGFDKLLTGNLPEFFKWLESSEKIINKVLEQCAAIMWVQYIAGSAKFPGVRIKGMESRRKREMGRKSRDMSKLDLKHWDQLNERRYALEVLRDAMSTELRVVRQNKYGWILHAESEWQTHLQQLVHERGIFPMRKSNGSEDPEWQLCPIEGPYRMRKKLERCKLKIDSMQNVLDGKLELGEIELPKAKNEDGPVISDTDSDPAFLLSELYDESFLKESDDFKDVASARNGWNDDRASSTNEASLHSALDFGAKSSTASVPITDNSHAKSETGSPRHSSSAKMDDTKGPEEKSEKELNDDGEYLIRPYLEHLEKIRFRYNCERVVDLDKHDGIFLIGEFCLYVIENFYIDDDGCICEKECEDELSVIDQALGVKKDVSGSLDFQSKSSTSWTTAVKSGAVGGRAWAYGGGAWGKEKMGTTGNLPHPWRMWKLDNVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGSRLFRLMANSFSKRWQNGEISNFHYLMHLNTLAGRGYSDLTQYPVFPWVLADYDSESLDLSDPKTFRKLHKPMGCQTPEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSAENQKLQGGQFDHADRLFNSIKETWLSASGKGNTSDVKELIPEFFYMPEFLENRFSLDLGEKQSGERVGEVFLPPWARGSVREFILKHREALESDYVSENLHHWIDLIFGYKQRGKTAEEAVNVFYHYTYEGNVDIDAVTDPAMKASILAQINHFGQTPKQLFQKPHVKRRTDRKIPLHPLKHSTHLVPHETRKCSSSISQIITLHDKVLVAGANCFLKPSGYTKYITWGFPDRSLRFMSYEQDKLLSTHENLHESNQIQCAGVSHDGRIVVTGAEDGLVCVWRVSKDGPRGSRRLRLEKALCAHTATVTCLRVSQPYMMIASGSDDCTVIIWDLSSLSFVRQLPNFPVPITAIYINDLTGEIVTAAGTVLAVWSINGDCLAVANTSQLPSDSVLSVTGSTSSDWLETAWYVTGHQSGAVKIWRMIHCTDPLSAESKTSSSNRTGGLNLGDQVPEYKLILHKVLKFHKQAVTALHLTSDLKQLLSGDSAGQLLSWTLPDETLRASMKQASLKQASMKQAS >A08g507280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12736226:12736573:-1 gene:A08g507280.1_BraROA transcript:A08g507280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIQDQILEELKDTKWLPRQDPYVFLEHNDTLTSYRHALNPPSRQVGTFVGSVAERNLVKQQWSISSILHIRSFRFCIYAI >A06p019490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:9550507:9551006:-1 gene:A06p019490.1_BraROA transcript:A06p019490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTHSFSPLLPATHTGSLSSPSPRSPSQTPPIILQVPQINRRAIVVGLGGALWSWNALNGKEEAIAAARRPPPPPPKEKNDPTVSGVQAKVLASKKRKEAMKASMAKLRERGKPVVEEPSSSEQ >A09p008520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4378864:4383866:-1 gene:A09p008520.1_BraROA transcript:A09p008520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTAATIITAFAGTVNFGTFVAAFLCDTYFGRYKTLTVAVLACFLGSLAILLTAAVPQLHPVPCGTASSCIGPSGGQIAFLLMGLGILVVGAGGIRPCNLAFGADQFNPKSGSGKRGIDSFFNWYFFTFTFAQILSLTVVVYVQSNVSWTIGLTIPVVLMFLASVIFFAGAKLYVKIEASGSPLASIAHVITASIKKHRFLDKAAILTPEDKLEADGKPVNPWNLCTMQQVEEVKCIMRVLPIWFASSIYYLTMAQQMTYPVFQALQSDRRLGSGGFMIPAATYVVFLMTGMTLFIIFYDRVLVPTLKRITGIETGITLLQRIGAGIFFAFLSLIVSGFVEERRRTLALTQPTLGLAPRKGEISSMSAMWLIPQLVLAGIADAFGAIGQMEFYYKQFPENMRSFAGSIFYVGGGVSSYISSFLITTVHRMTQNSAGGNWLAEDLNKGRLDYFYFLLAGILAVNFAYFLVMARWYRYKGSDDEETSYETSGDIIKEQDKNKLGIIGTLSNLLVYLTSVFNMKSVTAATIITAFAGTVNFGTFVAAFLCDTYFGRYKTLTVAVLACFLGSLAILLTAAVPQLHPVPCGTASSCIGPSGGQIAFLLMGLGILVVGAGGIRPCNLAFGADQFNPKSGSGKRGIDSFFNWYFFTFTFAQILSLTVVVYVQSNVSWTIGLTIPVVLMFLASIEASGSPLASAAHVITAAIKKHRLKSVKQPWLNLYNYYPSKYANAKLKYTEQFRFLDKAAILTPEDKLEADGKPVNPWNLCTMQQVEEVKCIMRVLPIWFASSIYYLTIAQQMTYPVFQALQSDRRLGSGGFMIPAATYVVFLMTGMTLFIIFYDRVLVPTFKRITGIETGITLLQRIGAGILFALLSLIVSGFVEERRRTLALTKPTLGLAPRKGEISSMSAMWLIPQLVLAGIADAFGAIGQMEFYYKQFPENMRSFAGSIFYVGGGVSSYISSFLITTVHRMTQND >A06p013770.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:6229903:6230097:-1 gene:A06p013770.1_BraROA transcript:A06p013770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEDEQAHMECNRKASEPTTVPASHNPQRSSVSSKSKKQDKGTASSTEKGSVPNQAVKSFLSP >A03p026190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:11009596:11010872:1 gene:A03p026190.1_BraROA transcript:A03p026190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTLDVSRAELGLVVMYLNKAEARDKLCRAIQYGSKFLSGGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERAELLGRISLFCWMGSSVCTTLVEIGEIGRLSSSMKKIEKGLKNGNNLYQDEEYRAKTKQSNERSLALIKAAMDIVVAAGLLQLYPKKITPRVTGAFGFITSLISCYQLLPSRPKIKAP >A01p023720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11722287:11726694:1 gene:A01p023720.1_BraROA transcript:A01p023720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESYELEEGEFNCSANYDLDLDLSYIDKKIENVLGDLQKKFELGSLDMFGPQVYKYGSFLPTYKRSPAVPPCQRSSMENNNAQRFPNNLPVKNVVEKFQSLPATSCKPASIQDSKTNQSSGSLLPQAPEKVTIKKEDARVPGSDSSDHKPIRLRIKMGSKILSQKVTMVCKDLGLDDSPTRNSHDGISRMLPHTSLEKTSESPSRILQEMTAIPVPEDLLMSPLPESLLNLKDKEKRYTLLGNEPVTKPGKVPFIQTQNKFSDVLGCAETPSGRRKRKAIDCFNATTLNETSSIGEVKKQKVSSTGALARESTTCRINSTSDGFTRKSNMQKGVKKEVEGDPRDAFAKSNRMKVVGKHTEKEKEIYPTKLKQNSSKSSFGDKVLSKMPSKDTENKVDFALAPASTSLDLDSWAECDTCKKWRLLPFSENPDKLPDKWVCSMQTWLPRMNHCRISQEETTNAIISIHGAKVHGPETSNADKSYHQSLTSGSLPNLIERKPQGVSSKSMVDAAKPTKSTSHVLKIKNIKLPLKTCNAAQISTDQKAKGRSAGTGIQIKIKKEKDGDNQESESSKQIKAGDGNKLSRELKAEEIHCKRDLDCTPAERKTKRHDNDLYPSDVERATKKRLLKPTTGSGSLCIKAHGIVSTPARKFGDLSCSSLKTFLENRAGVTNVNAITHETMDRSSKSANDILQEAEKLKKLAGCFKSSGFDNEYKEVNFDAALRFLLGASIMEMSSTEKVEDGRMSHVEAYHTAAKLSESCAHQYETKKEMSAAALAYKCVEVACMRVVYCRSLGLSGELNELHKMVQMTPQGESPSSSASDIDSFCHQGVIDKSAKTKRGLSHGVGTLLPIARNHQNFVPLLEVTESMNLAMEASAKSQQALGAVTVVTSEETLHKDCVSAIKKVVDFSFHDVEALIQMIEVARDVLSSSNVRGP >A09p049630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43822937:43828278:-1 gene:A09p049630.1_BraROA transcript:A09p049630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLHFHIFLVTMTVIASISAATPTAPAAGGALSDECNQDFQKVTLCLDFATGKAPTPSKKCCDAIEDIKEKDPKCLCYVIQQAKTGGQALKDLGVQEAKLIQLPTACQLRNASISNCPKLLGISPSSPDAAVFTSNATTITPEAPAGKSPATPATSSEKGGSASIRDGHAVVALAITLITVSFVSTLPMMALA >A07p021960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12907076:12908220:-1 gene:A07p021960.1_BraROA transcript:A07p021960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVHCCRWWSAPLPVPEASILFSFSSLFFVFFASAIRYARLLCGGWRAPAFDLYLSAIGLDSVAHVLYWSVGESRQWYRAVDLVVSSFSLVFALVGSGIAWLKRHLLRVVILDVGPIFFALWLWQLLCFRSSVKLECREASELYIRFSNTAAIKIFVSLWCSIGSRFFCGPLPLDRIIPVPLFWSLDHDMVASISMSSCHEVSSVSDGFVLRIGTVSLCLIRVESFFYL >A07p041060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:22173025:22178862:1 gene:A07p041060.1_BraROA transcript:A07p041060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLEVSVCVEARVNGESASKSLKVLNSVLSSKFSYFQLKMDTSKDDDFTFYKVVPTDFEAKDLASHVNVIAFKDPQRKSLNQVSPKENTVGSLFSTVVDSSPEILNASVRKKPVTSTKVPFEKGTILLCKPERRESIMKSYFSGWSKEEQIRRFDQMSYHRQTRGNRALPSVSTLLLSILFFISKPSNAQSSSPVFACDVITNPSLAGYGFCNTGLKAEARVTDLVGRLTLVEKIGFLVSKSTGVSRLGIPDYNWWSEALHGVSDVGGGSSFTGLVPGATSFPQVILTSASFNVSLFQAIGKVVSTEARAMYNVGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPTLVSKYAVAYVKGLQGTDGGDPNRLKVAACCKHYTAYDVDNWKDVSRYTFNSVVNQQDMDDTFQPPFRSCVVDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGQWKLNGYIVSDCDSVEVIYANQHYTKTPEEAVAKSMLAGLDLNCDHFTGQHAMDAVDAGLVNESDVDTAISNNFATLMRLGFFDGDPKKQPYGNLGPQDVCTAENQELAREAARQGIVLLKNSDGSLPFLPYAIKTVAVIGPNANVTDTMIGNYHGVPCKYTTPLQGLVETVSATYQKGCPDVACTEADIDSAASLAVLADAVVLVMGTDLSIEREDHDRLDLLLPGKQQQLVTEVANAANGPVVLVIMSGGGLDVTFAKNNPKITSIMWVGFPGQAGGLAIADVIFGRYNPSKKDTALLLTKPTGGRLPMTWYPQSYVENLPMSNMNMRPDNSTGYPGRSYRFYTGETVYSFGDGISYTQFHHWLIRAPGFVSLGLDPSHPCRSSKCLSVDATGTYCGKTVEVQLEVINAGTREGSHTVFLFMTPPAVHRSPVKHLVTFEKLLLGRTEMAVVRFDLDVCKDLSVVDETGKRQIALGEYVLHVGSLTYSLYITI >A08g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:4275232:4289215:-1 gene:A08g501760.1_BraROA transcript:A08g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTLLEAQQEDRKLGEKPKFRYYEIFRRSQKLGHQNGRIKIFGTDRGTKIHRKGRNRPNRPRSSRWLDVYVFRTWWQALDSVCVKRSRRCCSTCNMYIQVDMWNTRWNGQARGVAMHATEACGQPCVRWGVDLHALQSCSRPSGRGCVILHETEACSQPCGARGGTVKHEVSRCMRPGHAARHVEAVVSPCITWRRFCSSEERSVLVETSSSEDQSRRGSTCEGRERVRITEVGFGVKATGPVTWKLDHGRRPDEATMIRNFMYGLKPELGSRLAGSNFSSLSELVEKAVNVETVLEAERKTLPHSGGHTKFSQGERPNFNKGPRSYKGKGRGFGGQANNRGNTVVCYICDQPGHISKFCPNRQRSNQQGYSSIRVEDVTCFSCGHQNGRIKIFGIDRGTKIHRKGRNRPNRPRSSRWLDVYVFRTWWQALESVCVKGSRRCCSTCNMYIQVDMWSTRWNGQARGVAMHATEACGQPCVRWGVDLHALQSCSRPSGRGCVILHETEACSQPCGARGGTAKHEVSRCMRPGHAARHVEDVVSACMTSGARGAATHASGAMRSDTRAATRLVPDWLMIPINRPRTPLISTHPEHIKTTSKHKEKAKKERSSFDISTWRRFCSSEERSVLVETSSSEDQSRRGSTCEGRERVRITEVGFGVKATVNQKQVPLLGSWIMAGGQMIQMMLKQDTFGSTQEDPKIGEKKPKFRYYEIFRRSQSSVNIFPQDQSPSRVN >A08p040240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22978988:22979652:1 gene:A08p040240.1_BraROA transcript:A08p040240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKAKPLKQPKADKKEYDEHDMANLQKKKDEEKALKELRAKASQKGSFGGSGLKKSGKK >A06g501750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6559149:6559731:1 gene:A06g501750.1_BraROA transcript:A06g501750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRRNVAYGHVWASEWRERRGGFVTLRELSRVTMELICESLGFKGKGICKVHGTVFVVICDRALPGERFLGCVTRRKGSYAEVTKIKTLTPHRDLVEAPCEYASYCGGCKAQNLSYEAQLRAKDEQVHELITHVGRFSDNSPCLETVLKAIVPCDIQF >A10p019670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13457276:13461221:-1 gene:A10p019670.1_BraROA transcript:A10p019670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLSFLLLLLASSAIRSSSSPVTDDPFLGISPLDEKYYKSSSEIKCKDGSKRFTRAQLNDDFCDCADGTDEPGTSACPNGKFYCRNAGHSPLVLFSSRANDGICDCCDGSDEYDGIVTCSNTCWEAGKAARENLKKKIDTYNQGVVIRRKEIEQAKVGLEKDEAELKKLKSEEKILKGLVQQLKERKEQIEKIGEKDRLEKEKEEKERKEAELAAQPGNGTSEEKTDDNGKVEGSTAGEEMPGVSQDDEILDENEHHDETGRYKDLDTDEEPAAEAEPTSVLEEGTHTNPANQHVVETKEESPSSEDLITAGSQHDGSTKKEESDEVKKVEEDIVSEKKEELSKEELGRLVASRWTGEKSDTPSEADEDSKADDHENHDHTPTSPQEVEEDDGFVSDGDEETGDDGKYSDHEPEDDTYEEEYRHDSTSSYKSDGEDDLDLSETTSSPTWLEKIQKTVKNILQAVNLFQTTPVDKSEADRVRKEYDESSSKLKKIQSRVSSLEKKLKQDFGPEKEFYSFHGRCFESKQGKYTYKVCAYKEATQEEGYSKTQLGGWEKFENSYQFMTYTNGDKCWNGPDRSLKIKLRCGLKNELMDVDEPSRCEYAAVLSTPARCLEDKLKELQEKLEKLMNQDQPQKHDEL >A04p002280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1093314:1097009:-1 gene:A04p002280.1_BraROA transcript:A04p002280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 30 [Source:Projected from Arabidopsis thaliana (AT3G60140) UniProtKB/Swiss-Prot;Acc:Q9M1C9] MARGSRFFIILSIISLFANTIDSRTLDRHSFPDGFVFGTAASAYQYEGATDEGGKSPAIWDHFSRTYPERTKMHNADVAIDFYHRYKDDIKLMKELNMDAFRFSISWARLIPSGKLKDGVNKEGIQFYKDLIDELLANDIQPSMTLYHWDHPQSLEDEYGGFLSTKIVEDFRDFARICFEEFGDKVKMWTTINEPYIMTIAGYDQGNKAAGRCSSWVNEKCHAGDSSTEPYIVSHNVLLAHAAAVDEFRKSKQISHDSQIGIVLSPRWFEPFHSDSTDDKEAAERALAYEIEWHLDPVIHGDYPDIVKKYAGDKLPSFTEEESNMLKNSSDFVGINYYTARFATHIPEIDPAKPRFKTDHHVEWKLTNHSGHIIGPGDERGLILSHPEGLRKVLNYIKDRYNNIPVYIKENGINDNDDGTKPREEILKDTFRIEYHDTHIQQLHKAIVEDGCDVRGYYAWSMMDNFEWEHGYTARFGLYYVDFVNGLKRYPKDSVKWFKRFLKRSIGQAKEEEVKEMLRVDWNKTLHEQVSFGESSGFFVSFMATNQSKREEENRCSSDLFYGRFDVLKKIEDSSSFY >A08p037410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:21827421:21829331:-1 gene:A08p037410.1_BraROA transcript:A08p037410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKP2A [Source:Projected from Arabidopsis thaliana (AT1G21410) UniProtKB/Swiss-Prot;Acc:Q9LPL4] MVMVVGETSMELDQCFQMMMMKMEGVSIKEWKDIPVELLMRILSLVDDRNVIAASGVCCGWRDAISLGLTRLRLSWCNNNMNNLVLSLAPKFVKLQTLILRQDKPQLEDNAVDAIANHCHELQELDLSKSLKLTDRSLYALAHGCPNLTKLNLSGCTSFSDKAIAYLTRSCRNLKVLNLCGCVNAVSDYTLEAIGNNCNQMQSLNLGWCEKISDDGVMSLAYGCPDLRTLDLCGCVLITDESVVALADWCVHLRSLGLYYCRNITDRAMYSLAQSGVKNKPGGQWKSSAKKSKFDEEGLRSLNISQCTALTPSAVQAVCDTFPALHTCSGRHSLVMSGCLNLTSVHCACILQAHRAVPHSAH >A09p022910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:12599663:12601611:-1 gene:A09p022910.1_BraROA transcript:A09p022910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIMKLLASLLLFIFSSLLFGDVVVAESLNQKDPFSGRNKLFVFGDSYVDTGNTKTTDKGAWAFPYGITYPGKPSGRFSDGHISTDFLAQLLRIKLPVTYAKKDDVDKTRLQYGMSFAYGGTGVFDTYVNYPNMTAQINYFEQLLGNVYSPPSDLSSSVALVSVAGNDYITLVRAYPNDPIGLYAAFKPFIRKVVNQTEVNLQRIHTLGVKKIAIPSLTPLWYIPALANYTSVAGIVQDLVEYHNGLLQKSVAKLNKENNHSAFTIIDYYNTFLAIFNNTGGIPGIPTFQNISIPCLGDDGKVCDDRKSAFFWDGIHPTQEGWKSVYKVLRHNITAALMPKA >A04p034130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19799565:19804005:1 gene:A04p034130.1_BraROA transcript:A04p034130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAPASDLFPSPPIALRFILLQSSLNNIHSNHRFDRALRFREGESAMSWLRSAVHKAVEVGGTGKNNLTRTVRNYADSVVLTAGNAVSEGAKLIQDRIGSRNVKSFSLEVKRLEEVSVSSRGGERVQLLRRWLVSLREVERMSLDQIQPSSEEAKDFTAILEAPNEEEVQMLLELFGLSLSGEKEVHEEVIQNVQDLAAVFSKYKDEVLAKREELLHYVQDAIGGLKISADLARIDTEAQALMEKLDKTKVKVLEKTSNGESSKTAGTSAASTEALREILEQVRTFSKLEALLLKKKSLRNGDSPQHHSEKVDKLKVLSESLLSSTSKEEKRIVDHSRSQKEEALSYRLSKTDEVSQREKDVAAELKKLEIMKEDLEAELKRVNTSITSARARLHNAREEREQFDNASNEILMHLKSKEDELTRSIGSCRVEADVVNKWIKFLEDTWIIQSKFAQQKEIQVSGEMERYGDHFIDLIVQLLSFYKEQLDPSIPKSEESSNFWNPVKEAEVKTEDKDAKPIDSRKKLEKEYLDLEAKFVTTLSVVDAMKKPFYSQTEGISRKDDKRVKELFEALDKTKEEFESIERPLLDIESPSRTSSSSSSRSPSLKMIPEVPLSFTVQKEFDGVETPDSKKGSSEKEDPAKKQLELELDDGEESLADEINDWEFDALDDTHIKNQ >A01p014510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7045263:7047051:-1 gene:A01p014510.1_BraROA transcript:A01p014510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase 4, chloroplastic/chromoplastic [Source:Projected from Arabidopsis thaliana (AT4G22260) UniProtKB/Swiss-Prot;Acc:Q56X52] MATATVAISSISSRPLVALHRSRAAAVSYSSSRQFLRHRSISSPRLLFRNVRRVQATILQDDEEKVVVEESFKAETFPGKEPLLEEASDTSSSALEASIIKLEQGVNVFLTDSVIKILDTLYRDRTYPRFFVLETIARVPYFAFMSVLHMYETFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRLLGQIVATFYYFMTVFLYIVSPRMAYHFSECVESHAFETYDKFLKTNGDELKKSPPPDIAVKYYTGSDMYLFDEFQTSRAPNTRRPVIENLYDVFVNIRDDEAEHCKTMRACQTLGSLRSPHSVLEDEECDEESGCVIPEEAHCEGIVDCIKKSITN >A03p057220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24803832:24806007:1 gene:A03p057220.1_BraROA transcript:A03p057220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGSSVQGSRELLLPVESRQSAITINNKPPEFPASRFTFLSIVLWFDQSSRGTALISWSVFFLLVVGAPLISHFLLVCSDCDFHHRRPYDAVVQLSLSLFAGISFVSLSYWSRKFGMRKFLFLDKLWDVSDKVRIEYEAEIQRSTKRLMIFVLPSLTLEAIYRIWWYISGSNQIPYFINPVLSNALACTLQLSSWLYRNAIFITVCILYQITCHLQTLRLNDFARCFSSEIADVGVALAEHQKIRRNLRIVSHRFRRFILSSLVLVTGTQFMALLTTTRASVAVNIYEVGELALCSLCLVTGVFICLRSATKITHKAQSVTSLAAKWNVCATVDSFGHLDCETPTGSMVESHSTPLHLDTTSDDEEGEGDDDLANTKIHPSYANTISYQKRQALVTYLENNKAGITVYGFLVDRSWLHTIFGVELALLLWLLNKTIVNLP >SC160g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000037.1:16777:23122:-1 gene:SC160g500030.1_BraROA transcript:SC160g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDHRPESTVKTEEERHGEWSRWAKRALESCGLWSSHGKGKPFMEMAAEEGQTRGLNLGNEVVQGNTTKSEIEATLGKSNLVRVVEDRGVIRGLRQGKDECYQLVGRLREVWSELDVVKAHTSNPRCYQERRKQDVIFSFLMEEVCELVKYTCDVWEMNRKPERWKGGTSCKRGRLRKLSKEWLMKRRAWRKDSESELLSDRMSVILKRIKDVLQQMVIGECSYSAYMGETVGDSADMRGMDTKRADECVTKKEWDELVKHVGNGSESGEQEHNQEDSGQHDKEETQEVESEAQSGGNEQGEPTSLREEAQENLLSVLECSWCS >A07p044230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24159464:24160323:1 gene:A07p044230.1_BraROA transcript:A07p044230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAGLLRIRVKKGINLARRDSLSSDPFVVITMGTQKLKGRTVENNCNPEWNEELTLALKHPNEPVTLIVYDKDTFTSHDKMGDAKIDIKPFLEVHKLGLQELPDGTVIKRVLPTKENCLSEESRIVYHNGKIVQDMILVLRNVECGEVEIQLEWIEIPGGRGL >A03p068480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29993569:30001552:-1 gene:A03p068480.1_BraROA transcript:A03p068480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETPSSPIAPKSIETPVYTPSQTQQIEREPSDDTPAFDTQVFTPNLTKERETQTSTDETPPKTNQEEGKTNDEIVIESPAAQTQVLQKETLEMNETPSSPISPKSIEAQVFTPIQKHQTVTEEKYEATQPLTEIISANNKKVKPDKKRKAAASSQNSGMDNVFLRRSERVPKRSRDTKTPFKSVRNPALTVIPEIIPAVDPFSTPAEHKLSRLQNWMTLKPGMHETSLSINDNKIRKSFFQSMENAKKDLKKEHIDGAFAMLNCRRNENAAWFHNYKIPKACFLPMEFLHCLLSDDLAYKKEKVKGKKIFNDLFKDIVRGKVYPEKTWGENVDVVYGITLGKKSNVWIGMEIHLKKKRITLYDCFQKESNIIDIPQVKKLVVLISDLLVESSSDEVDKVKMIPFEVEQAQGLPKTKHPFNCGIFLVKILECQSLKIGDMTRINDDNALELRRTLSCEIFNQFDRNKMGDPLPLRLALPELRYPIGSEPEKTISINQHSIVAYIKTVKEILGNDEFNRIRGTFLGPVIKLGERSLKLSAKIVHAVLTKSIKTVKRHEAWFHFGAQPMRFSIREFHMVTGLKCSGEAREPREETEKFKWDFLKGRTHTVKDVEKQLRNTKEDASDERFCLAMLLLIESILLQKSLLDGGTTFTLDYVKIAQDMDVLMTYPWGRTAYNLLLKSLQRAVDKSLDKNNYDLQGFPMAFLIWILESVPLLQYAFSQVVPILSVQPSTPIFLCEKYLQIASPQLIDVLLIEIKDHLKVTCILPPISNEPEADVCMEDEANKDLDDMADLSKRGYKFKIRDWRNMSVDLYGANEQIRRASLLFGNGGMSQASSSYQEESLELKINRISEMVGDNLRIMNDRLCLIEKDRKQIKERVTKLEKLQRVTSYETPNNETDTTPFHETASRQGEANTDQADEQLNNEASIILKTDTREPMNEITKETPGSPIAQQNIETLVLTPIQTQQETHELMNENISPNISDTQPNTRAHRNLLTEQNKDVESRVQNPFEIGANVEISSQDDNTCHKWYPGNVLATYLVDGVEMGKVEYSAPSLDEKKRKRSVQTRVSTDRICPQPPPERPGAKKSYELMEDMEAFDNGAWCAGKVKVILFDGTCFVSLNNSTEQIYFNHSEMRKPRKWVDGVWEMTKKMEEEQTQSVNRSEGDGDKKGKAKAVACKKNEVAGPSEDGVGKMAKEMEVKQGKSVKPSQDDHAKKGKPDVGKKKKANAQTVDLLPFLQRKEKRPIRPRNPPMPVTPVVILPIDPFVTPEFPRFSRLAHWMDLRGIYHVPFYISGREIEKEFFQKMDDAENNLNKEHINVAFEMLNCKRVEQGAWFRNNNLPAACFVPVKFLEVVRYAYESVRKPHKKKQTLLEGCVGELVKDKLSYHYIGVEIQLMNNTITLFHCGLPKANIKRALNQIQELAVLISAIKMELLGEEVNFEDISPFQVKFAEGLPKTKFLYNCGIFVVKMLECRSLGLKSMANINDETAMDLRSKLCCEIFDQFMDKDFQEGCIDELSNAYGTFRMGFQKKSEKAKYTIA >A04p010610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:7109606:7117386:-1 gene:A04p010610.1_BraROA transcript:A04p010610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ECERIFERUM 26 [Source:Projected from Arabidopsis thaliana (AT4G13840) UniProtKB/Swiss-Prot;Acc:Q9SVM9] MGRSQEKGQGPIYNMRLSTVGATRATETGAIHEPTGLDLAMKLHYLKAAYIYSPELASDLSVTHVKEAMFKLFDQIAWTTGRLWRRDSGRPYIKCNDCGARFVEGECNFTVEEWLSKPDRSVDEFLVYHQPVGPELPFSPLIYVQMTRFKCRGLALGMSWANIMGDSFSLFYAFNLWVKVLSGEKIYAPETSIIDRRFQNPNPTVKDPESIKQVDPVGDLWITPNNKKMASCCFNLTAADQMSPHFPANGDDQIPVFEALAAIIWKSIAKVREDPEPLTVTIIRKDPNDLKPIRSIRNSQMISSVHVDFPVAEATVEELVRCMERATDERCGIDEIGESCDGSLDFIVYGAKLTFMDLSEVDLYEAKVMGKSPESVYYNVEGIGEEGLVVVYAAAKSEERVVTMTLPEEEMERVKSEFKKCGLIAP >SC177g500020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000047.1:120429:129028:-1 gene:SC177g500020.1_BraROA transcript:SC177g500020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFRKLFKSKNASTSSNSTINSREIMSKITTFNQEISRDHLPHINPEHIYQIGTFDFKTAYSIKEHEQKNISKIIEQKDGKILLRFGSYRDPLPPRISSYHSRASFSEYRTGQSSTNSETESVNQNTKQDEPIRFKAPIAEPDQDAYPTSPTPSDFRSINVITKKYEIDKQYIREDFYSKENEEKRTSDYIKNQPHLQGRTLTPNPYSALAEYPPLSYSKAVSEKSSKMEAQSSTATPNTTSNTTPNTKPVYYTKPYKQHLMTTPYTKPVNLTELKTFINRVFYEDSPWPTDNITKNQSFYEYILVDSKSIEITHYKDKTNPNLINYSTCKFLRICSTQDLGFIHHHTTKPFSTPGYHIDGYTYTDYKNAFFRAFLLRPYDHSWFFSFDQNCTKTIPGWFNEWWYWYGPADPIYPDQVLKTSYPFYKKHVFDQPVGPLNKIWFHIDMGIPWICSWHFTLAIALQDMPYSLLREFRVKWWEKYNLDRCSLAGIQKYFQITNQAKTAIQTISKSIPLLNPTQISQTNPSPQKRPTSSPTSSTTSSSSQKSSRKEKMKKLIAEMLTQFEAEHDDTDDDNQQVDIMQPEDPYGGPLGQDPVYLYNKTRGKAMQEFRERIQPTMAGPTWDSHKDFCTKQQQFLTAIVGTTQDSTRIKSSVGTTRRRHGTSVKVIFFPPFDSEFPEERIRHVLESDSKEWVGGLEYLVGVDRICWSVPIPPFRLLLNKIEEDIQLMLSKGLELKSFLGDV >A07p036180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19481630:19482597:1 gene:A07p036180.1_BraROA transcript:A07p036180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHEHNFFNPTSKPEAEDPTLRLSSSSSSSSSCSSIEAEQRPDHNLRNNQSPPTQIMERSTNDATSTPTYRIPSHVFETTTSTAPVEWSTLSNESLFSIRMGNNSFTEIDYFKSGELTFPQPPSPRTPHMPSPRHDTNQGGVAEEAKTPVDVGKKAAETDKAYRASKDEEQKAAASIREVIMANEAVNKDNNNNNKNNKLDRSVSRRSEDLSVKSFAFQKLGNADKGGLQGSTPQKRRTSQPESPKSSSEADEGDESQKPLTPKAEADRACNRNPKWLSCFPCCTTFCV >A03p036980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15499451:15501273:1 gene:A03p036980.1_BraROA transcript:A03p036980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLSLSFSFSFSFSIVLPLLSLFRSSRVTFITFKVFSFSSQFFSVSCNNQRNMDSNSWINCPSVFSSSSSSRRCQSRSGGGYEDLEGEDDLKSEFICPFCAEVFDIVGLCCHIDEEHPVEVKNGVCPVCTKRVGLDIVGHITTQHANFFKISFLYYKFYVQRRRRLRKGGYSSAYLALKKELREANLQSLLGGSSSSFTSSTNIDSDPLLSSFMFSSPSSKSVVEGTSATKVSHKASLKRDIQEAPLSGEDQEKAKKSEFVRGLFLSTMLGDDY >A09g511670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:34781744:34786990:1 gene:A09g511670.1_BraROA transcript:A09g511670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHDENSCPELTEAQREQKRLQRLALNSPGAQRQLPAYDPGQERKQGNKRPRSPSLDLHRQSPPRKFQTDSAYGRNKRYDNNKESRAFKSNQTNMSYHHERSYQRNNYNSESFRHGVWSRLDKEHPNPRVTRRDDSAGIRSNTQHRSREQSRDYIPRAATARGKGENFSREANGSRQQEWRPRVSQSYNSDRTRDSHKDRVFVPRGMNHSTPVSIGADQDGTDSQRTISEHPRNFTNNGTQGSGHLVVHRNETEEEKRRRLKGKAVMAPLDATPMSKAKDATSSAILIGRNTIIIGDQPSNRPTSQRALIGQTAESAQGRQNASFERASLNDTAAREAELLDDDMLTEEQAKHVTMTAEDEAEVDRLVSEFGDVIMDENMMENDDLLVDEPGFDAEKIDAISQLSPMQVQNEPDETMGQINLSSHRHEKAQRAEHETKKHATSAQPMLKAPLNMIATDKGVAKKKPASNADVKVTSGVLRKMSYWQVRLESAQQRYTERR >A10p014770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4017814:4018932:-1 gene:A10p014770.1_BraROA transcript:A10p014770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFRETKETEEDIRRMFHQVRDKMKNRITLKKKSDHGKCAIPCLMYVIKPKTSSRRIKDDQGLIAACHCGAEYETDYSESIETHTPTSIDSFNHQKSIDNHLEESIDSSPSDVIEDFTEDYDEDYKEERAMEYHAFLTKEDRLLHNSYRIRNATSIDRGYTTSIDTHHHQTNRTRASTNITNYTSIDNGIDHAQEGNYIIGSWADDHYHERYAVETTIYEPRADEFH >A03p044070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18427016:18427481:-1 gene:A03p044070.1_BraROA transcript:A03p044070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKRLKERDTSKDGLASNARKTWITVLMRNIDYKRARGKLSPLRFVYTVKAYEIVLAGVELVCATNDNEYSDLFYAIPWSQGTLGPLVAAEIKFIPVME >A03p002700.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1212442:1212807:1 gene:A03p002700.1_BraROA transcript:A03p002700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSPKPELKLNLSPPTSSRRRVVRSPSRSATTSPMSPQSSCVSSEMNQDDQSVRYSTSPETSSMVLVGCPRCLMYVMLSQDDPKCPKCKSTVLLDFNHENASNANAPAASSSGRKTRRN >A04g501560.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A04:3786457:3786672:-1 gene:A04g501560.1_BraROA transcript:A04g501560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRPLSKTEIEQIIGAERPRHVAPASRSGLRERPQWVALRGRSRLRFVSSRHRDASDLGVSLWEVAPRGV >A06p004050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:1018088:1019772:1 gene:A06p004050.1_BraROA transcript:A06p004050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAAAAAAARPLFSDLPSRLRSWLPPSSSFKAFSSASSSSDSDLRNQSRGGLPRFFSDDLPSRKARLLYLSHGGMVRVQGSEFWHMAKVLRLKAEDRVELFNGKGGLVEGCIRNIDKTGVDFVAQEDQKVILPQGIQWQVFAAFGTLKGGRADWLIEKCTELGASSVTPLLTERSSIISDNRVDRLERVSFAAAKQCQRLHQMVVSPAIKFNTLLDHISKSKLCLVATAEATPLLNAVQSSAKESSGLLIVGPEGDFTKKEVEMMLEAGGTAVGLGPHRLRVETATIALLATLVIWSDSQETV >A01p022770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:11221769:11223200:-1 gene:A01p022770.1_BraROA transcript:A01p022770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDRKEQMVIQSSIVLLQERFRQLQKARELRAERELLNPKPNHQDKNISQYYREPVSFRFFQFLPLNSQTSSSQQLLSLSLCPHSTSDFIEKPSFYHHWPKKDENKVVGIDRYDDVDTPCPTLYYPISTILSTLGLIGKPAWIYFWFPSQKASFLVNRSDTNCWDCKIPCPTLYYPISTILSTLGLISKPAWIYFWFPTFHPKRPRTIRVGHPFIY >A05p019000.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8911064:8911794:1 gene:A05p019000.1_BraROA transcript:A05p019000.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKSLGGLLRQGVVSQTGNIPVTSVLGSLRYMSTKLFVGGLSWGTDDQSLREAFANFGEVVDAKVIVDRETGRSRGFGFVNFTDETAANTAISEMDGKDLNGRSIRVNVANERPSTPRYGGGGGYGGGGGGGYGGGSYGAGGGDGGGY >A09g504750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14405802:14406632:1 gene:A09g504750.1_BraROA transcript:A09g504750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFTGFWLGKIVGWASSRNSGKHGLSLLRSSGDSIRRFDENARTGVVSMFGKVQSLHSDRTLARVRSLCSDRARRVLGRYVATERDDRSVATDQALARARSLRSDRALARARSLRSDRAGRSLGRYVATEVGRARLLRSDRAGRSLGRYVATELWLELGRYVATERGDRSRPSLAELGRYVATELWLELGRYVATERDDRSVAM >A01p039070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14935121:14936476:-1 gene:A01p039070.1_BraROA transcript:A01p039070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:Projected from Arabidopsis thaliana (AT1G59580) UniProtKB/TrEMBL;Acc:A0A178WBY0] MLFHNLSEGRMATPVDPPNGVRNQGKHYFSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSVNRETNERVAIKKIHNVFQNRIDALRTLRELKLLRHLRHDNVIALKDVMMANHKKTFKDVYLVYELMDTDLHQIIKSSQVLSNDHCQYFLFQLLRGLKYIHSANILHRDLKPGNLLVNANCDLKICDFGLARMSNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQIKLIINILGSQKEEDLEFIDNPKAKRYIESLPYSPGISFSRLYPNANVLAIDLLQKMLVLDPSKRISVVEALQHPYMAPLYDPNANPPAQVPIDLDVDEDEDLGAEMIRELMWEEMVHYHPETVNSEL >A01p011520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5593447:5595597:1 gene:A01p011520.1_BraROA transcript:A01p011520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLGQRISVPLVQELVGLVSDEKLVDLLDLALSADTVNTVKNLRTIMETSVEPLALMSQLATVITDILAGSYDLTKDQHRRKFFRRQPLSKEDMEKLRQALKTLSEAEKQLRVSNDKLTWLTAALLQLAPDQNYLLNRSSTADTSVNRTPLPLENNGGRERESSDHHLDPSSDAAAGERSSGLDRQSRKNRPAVEEIWLEVIEKLRVNGLREFLYKEGRIVSLSLGSAPTVHLMFSSPLTKSTAEKFRGHIMQAFEAVLESPVTIEIRCETKRDSRPRSSLALVGQDHNVNGSGRSEIVEVIESNGQRRRQQQKEEEERKERGVGSSALARARRKHMEASQSQNQSQSIVRGKVSLAHVIQQADGCTLQNGWSKRKAVSIAEKLEQENLRLEARSRSLLCWKTSRGTRRKKVRGRRTRPRALLKLVSCGKCLSTRSPSG >A01g500730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:2692824:2693587:1 gene:A01g500730.1_BraROA transcript:A01g500730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDKKEFQSQVKNSSGHFRNLAWPWVLSQMNPKCRVSKVSTSIDGTCVHRSTTLMRIDRLFFLSSTSTWSARVKRPLSSKMLPTKI >A07p024890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:14344688:14345376:-1 gene:A07p024890.1_BraROA transcript:A07p024890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLPDRLKRSIFRCSVQCCYQLNLDLLTFINAFGNWLSSSDSTCPTTLRWLAAQATIYQLSAERINNRLHNTTSSTPQKILNDLDRLIRNSILARKKQRKFRGLMQVWLKHS >A05p017600.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8061665:8062049:-1 gene:A05p017600.1_BraROA transcript:A05p017600.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRDVVIKGWRRDCVTKRNKFFHVTAMVVEPLNAAIQIHQWWEQNPSSLLIMVKSQQLVVVTLPDLWSLIQRPIKSKIVRKTFVVSEG >A10p025750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16473503:16479329:-1 gene:A10p025750.1_BraROA transcript:A10p025750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase 7 [Source:Projected from Arabidopsis thaliana (AT5G20710) UniProtKB/Swiss-Prot;Acc:Q9SCV5] MKQFNLLSLFLILITFFGSANSTIVSHDERAITIDGQRRILLSGSIHYPRSTSDMWPDLISKAKDGGLDTIETYNEMQNFTTKIVNMMKEESLFASQGGPIILAQIENEYGNVISSYGAEGKAYIDWCANMANSLDIGVPWIMCQQPHAPQPMIETCNGFYCDQYKPSNPSSPKMWTENWTGWFKNWGGKHPYRTAEDLAFSVARFFQTGGTFQNYYMYHGGTNFGRVAGGPYITTSYDYDAPLDEYGNLNQPKWGHLKQLHTLLKSMEKPLTYGNISTIDLGNSVTATVYSTNDKSSCFIGNVNATADALVNFKGKDYNVPAWSVSVLPDCDKEAYNTARVNTQTSIITEDSCDEPEKLKWTWRPEFTTQKTILKASGDLIAKGLVDQKDVTNDASDYLWYMTRVHLDKKDPIWSRNMSLRVHSNAHVLHAYVNGKYIGNQIVRDNKFDYRFEKKVNLVHGTNHLALLSVSVGLQNYGPFFESGPTGINGPVKLVGYKGDETIEKDLSKHQWDYKIGLNGFNNKLFSLKSANHHQLKWSTEKLPADRMYHVPRSFLNDKGHNTITLFEEMGGDPSMVKFKTVVTGRVCAKAHEHNKVELSCNNRPISAVKFASFGNPSGLCGSFAAGTCEGAKDAAKIVAKECVGKLNCTMNVSSHKFGSTNLDCGDSPKRLTAEMAATQLTASPVTVSARSLASLRASSAKFGTLKPGTLKQSQFRSFVVRAASVVAPKYTSIKPLGDRVLVKIKEAEEKTMGGILLPSTAQSKPQGGEVVAVGEGRTIGKNKIDIDVPTGAQIIYSKYAGTEVEFNDVQHLILKEDDIVGLLETEDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTETTKEKPSIGTVIAVGPGSLDEEGKRQPLSISTGSTVLYSKYAGNDFKGKDGSNYIALRASDVMAILS >A02g508860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23825220:23827778:1 gene:A02g508860.1_BraROA transcript:A02g508860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLACGSARREEMEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETSGNCFSLEESTLLEKIEDVYENKISLKRVYEVKKVISGGKPGREEFNNHVRKLQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRSESLWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVEAERTTPLDHERGNGTETHEQVQNQEDSGQHNQEVTQEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQS >A07g507190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18745357:18746786:-1 gene:A07g507190.1_BraROA transcript:A07g507190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLQNPLNTSPKPGLIKEEEQEMVALQAERIVYSLTFPMVFKAALELGVIDTMAAVEDGMWLSPSEIASRLPTKPTNPEAPLMLDRMMRLLTSHSILKCRVVETGENDQTGKIERVYAGEHVCKFFLKDSDGSGSLRSMFMLCHDHVVFKNMSHIKDVILQGTDACVSAHGMKVFEYIASDEQFAEKFNPGMSESSTMFMKKFLEKYKGFEDVNTLVDVGGAVGTLLDVVTSKYPHIKGINFDLPPAIAYAPAYPGVEHVAGDMLVEIPKGDAIIMKWILHAWNDENFVKILKNCWASLPEKGKVIVLDVVMPTEPKCDDLASNLRFTLDMFILTQRSGGRERTLPELEALGCAAGFSRCEFICRAYSLSLIEFRK >SC169g500030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000042.1:39900:42584:1 gene:SC169g500030.1_BraROA transcript:SC169g500030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARVMKVMARAPVDDGGGRRWPDNALERRELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A09g514770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:43995054:43997189:1 gene:A09g514770.1_BraROA transcript:A09g514770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVVVRFADADAVAYYIATAGFIDVSRRTRRSDAASDAASCVNEKNKRVQRNPRCLQSGELSLRCMSAHHSPPRRLLLRRVSVNTITPRTSPLIPKAWRRPFYQFLAFFLVGFLLGQTEEINRFNFEIKPKREDVAVDGVSFVEIEKKEEEMGVCGEEADHCVVEGNAASFGTSEILRKAGLMYRHLVCKRNMTSLKDRGVHQRNTALEHIELYKLDGVVYFADDDNVYSLELFQSLRQIRYEFEVYYAQNLECLLSMLCCLLFQETTFIEQVVADESDMQGVPPDCSRILNWHLHLDAGDIPYPQGWSIVIFSVELISKTCACTTVDLIVSRQQCTNLRDHIKCILDFFKNSDFGYC >A04p021930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13239926:13241690:1 gene:A04p021930.1_BraROA transcript:A04p021930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAVSSSGIASFRRHYSFRLRPRNPPTQAAVSPSSSSASASPGVDLSTLESAINKKDSSGVKEALDRLGEEGWAKKWSSQPYMSRRTTSLRELTTLGIKNAETLTIPSVRNDAAFLFTVVGTTGFIAVLAGQLPGDWGFFVPYLVGSISLVVLAVGSVSPGLLQAAISGFSTFFPDYQERIAAHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDERLAKLIYSGQLDSKELDRLAAVAMAGLAAEGLKYDKVIGQSADLFSLQRFINRSQPKISNEQQQNLTRWAVLYSASLLKNNKTIHEALMAAMSNNASVLECIKTIETAS >A03p002810.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:1239383:1240126:-1 gene:A03p002810.1_BraROA transcript:A03p002810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGMKERLAKKIKLITIVSTLKQGLAHHRNNVDRTIHHLKEEIFAESGQNRGCVTPTLLELEEDVEEQEQEHDLQEEEREILLEFEENCPPGGEDSIVFYTTGLRGVRKTFEACRRVRFLLENHRVKYKERDVSMDSEFRDQMWRLLGGKVTSPRLFVRGRYIGGADEVVALNENGKLKKLLEGISLVDSPCEFCENERFLICSSCNGSSRLIVEHHDEEETSNDSKMWTRCRECNENGLVKCPLCS >A10p034650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20105964:20107592:1 gene:A10p034650.1_BraROA transcript:A10p034650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWKASSSSPASSPSRRFISYRRRTKASPPRRPSSESPPRKMRSIADIMAKSSPVVEQEQDDSYGDVTCEKCDSGERDDELLLCDKCDKGFHMKCLRPIVVRVPVGPWVCVDCSDQRPVRRLSQKKIMHFFRIEKQIDQTEKSQLSQESRKHRRRSCSLTVKKRRRKLLPMVPSEDLEQRLAQMGTLASALTALGIKYSDDLTYVPGMAPRSANRSKFEIGGMQVLAKEDLETLELCRAMYRRGECPPLVVVFDPLEGYTVEADGPIKDLTFIAEYTGDVDYLKNRENDDCDSIMTLLLSEDPSKTLVICPDKYGNISRFISGINNHNRFGKKKQNCKCVRYSVNGECRVLLVATRDIAKGERLYYDYNGYEHEYPTHLFL >A10p000340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:180707:184068:1 gene:A10p000340.1_BraROA transcript:A10p000340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 56 [Source:Projected from Arabidopsis thaliana (AT1G01670) UniProtKB/Swiss-Prot;Acc:Q8GXQ7] MYDKKEMLLTLDLAPLPPNCQPNRPPANLPAHEIRRNVAGIDRREKLSLIEKIQRRVERIAMAEEALIDDPVYVAVNKDVGESRSTLTWALRHLQFKKLYLLHVHLPISMNPTSSGLEQSEIDAIQVSELTSTYDSLLKYRDICLHEGVIDQDVEIFCDVVGNNVEEGIVNLIYENNIKKLIIGAAADCRYSEGMVNITSRKAKYVSSHAPHCCKIWLVCNGNLIQTREGRFDLEGSSHSSSESLASLHDLDSALIPYEEVVRADPDSESHAPSSSPEDQSARGSAATYYEEQRRRLEIEELKRELEQHDKMNREREEALSSSFGVTQMLYSEEVRRRREAEEELNRARAEIKDMKRVQKELEEQLYIDCPRRLDMVVKERDEAINKTEELLRNLYLEKGESSSHSASSSSQWSVSNEPPPYFICPISKEIMQNPHVAADGYTYEANEFKIWLSHGGEKSPMTNLRLENHNLTPNLALRSAINEWLQQHPYFFDLP >A06p027520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:15954077:15957413:1 gene:A06p027520.1_BraROA transcript:A06p027520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEKIKVANPIVEMDGDEMTRVIWKSIKDKLITPFVELDIKYFDLGLPHRDATDDKVTVESAEATKKYNVAIKCATITPDEGRVTEFGLKQMWRSPNGTIRNILNGTVFREPIICKNVPKLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLTLTFEGKDGKTETEVFTFTGEGGVAMAMYNTDESIRAFAEASMNNAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEASWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDGNSKLLEFTEKLEAACVGTVESGKMTKDLAFIIHGSKLSRDTYLNTEEFIDAVADDLKTRLRRRNNLLNSCI >A10g505960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15929758:15931487:1 gene:A10g505960.1_BraROA transcript:A10g505960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGLWELLAPVGRRVSVETLAGKRLAIDASIWMTKQVSVFDGGTPALKRRTVIRVVASVRTLKRKSGKPLRNCCLIESLKEMRLKEQPNDLKKQRLQQSKSAGDKKRVFSVSLEEPLRDSADEDGAGGSCFQEEKMDEISSASVAGETVNDFATKGSSVTIEKIQGGIDIRSILSKEDIFRSLPSNDYLEGFNEDIMFLGMHTSQFLQQRTGIDDQIERGETLTPLLNM >A02p014700.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:6540712:6541248:1 gene:A02p014700.1_BraROA transcript:A02p014700.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRKLRGRQSQPVDRKISSEDMKKTACGDYTERCDDVAIVSRDERTVVIKEKSLEHNTRRSDILSKQGIKRSSVVDIKNDEKILNLGVGNANDLVSAYFLIKQRICHAKQILDQDIWIFKRACISEVLQKNSAGKALLRSIEKTNSN >A02p019660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9143200:9145103:-1 gene:A02p019660.1_BraROA transcript:A02p019660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAVVENKKVILKNYVDGIPTETDMELKMGDTIEIKAPKGSSCFLVKNLYLSCDPYMRGRMRDFHGSYLPPFLPGQRIEGFGVARVIDSDDPNYTPGELICGITGWEEYSLLRSSNELQLRKIQLDDAIPLSYHLGLLGMAGFTAYAGFYEICSPKKGESVFVSAASGAVGQLVGQLAKLNGCYVVGSAGSKQKVDLLKNKLGFDEAFNYKEEADLDAALKRYFPEGINIYFDNVGGSMLDAALLNMKVHGRIALCGMVSLQSLSSSSQGGINNLYNAIPRRLRLEGFLQSDYVHIFPQFLEHVKGYYKEGKIVYIEDMSEGLELAPAALVGLFSGENVGKQVVRVANE >A04p032910.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19194632:19197777:1 gene:A04p032910.1_BraROA transcript:A04p032910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANDPIESLFNSIQVVKDALLPIELGVKKAARDIESCWVSKEKDFRLGRNMKKRLCANVESVQCLVSEERKKGLSFKFPVKSLFGNEKLVKKKDKSLEKEDDDSCTNCFKFALTWSLLVSGFVHALPVPFKIGKKRIHDENGLKLKPKAKEMRKSQSAKSLVKEVNAFSIECAMGFVVEMLAHNLQKLDHFIQESSQTESCCSKEASVNDSPLIFNIWDARKLDVNGFLGNLMFARVGDVASGIVGLTSPMSEDGDESNVSKEESVVDPPQSLASGLLSIPLSNVERLKSTLSTISLTELIELLPQLGRPSGDHPDKKKLISVQDFFRYTESEGRRFFEELDRDGDGKVTLEDLEIAMRRRKLPRRYAKEFMRRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLTKSGTLQKSEILASLDNAGLPANEENAIAMMRFLKVDTEESISYGHFRNFMVLLPYERLQDDPRNIWFEAATVVAVAPPVALPAGDVLKSALAGGLASALSTSLLHPIDTIKTRVQASTLSFPEVIAKLPEIGVRGVYKGSIPAILGQFSSHGLRTGIFEASKLVLINFAPNLPEIQVMVTMYSLFGSLWVYIDIALLKKLVLVFLQNSALLLSSVVIWLLLSGPYTRCILQTSNIKAMLLLYVASLMLYAHFVASPFSQMRNAVHLMQIQSIASFCSTLLGTAVRIPCEVLKQRLQAGMFNNVGEAIVGTWKQDGPGGFFRGTGATLCREVPLYVVGMGLYAESKKMVAQALGRELEAWETIAVGAVSGGVAAVVTTPFDVMKTRMMTATPGRPISMSMVFFSVLRHEGPLGLFKGAVPRFFWVAPLGAMNFAGYELAKKAMQKNEEDQLGQKKLC >A10p005380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9304376:9305817:-1 gene:A10p005380.1_BraROA transcript:A10p005380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor bHLH89 [Source:Projected from Arabidopsis thaliana (AT1G06170) UniProtKB/Swiss-Prot;Acc:Q9LND0] MEGGNMFEEIGCFDPNAPADMTVERNFSPAEPPPPVLVAGSTSNSNCSLEDLSEFHLSPQDCSLAAATASVHQLHINTPSCDHQLQTLMHQNFQDASYAPQSNNWDNGYQDFVTLGPNHTTPDLLSLLQLPRSSLPPFATPTLHDMIMTSSSAASAAFDPLYHLNFPMQPPSGTLLGVEDQTEFNGVNSLIYAEENNNTENGLNRKGRGSRKRKVFPTERERRVHFKDRFGDLKNLIPNPTKNDRASIVGEAIDHIKELLRTIEEFKMLLEKKRITRQRNKRGRIEIGEEDVGDENYNAQSEVVEQCLVNKKNSSLRCSWLKRKSKFTEVDVRIIDDEVTIKIVQKKKINCLLFVSKVIDQLQLDLHHVAGAQIGEHHSFLFNTKICEGSSVYASAIADRVMEVLEKQYMEALSSNNGYHCYTSD >A06p049720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:26244192:26245655:1 gene:A06p049720.1_BraROA transcript:A06p049720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPILMKGHERPLTFLRYNRDGDMLLSCAKDHTPTLWYADNGERHGTYRGHNGAVWCCDVSRDSSRLITGSADQTAKLWDVKTGTQLFSFKFSSPARSVDFAVGDKLAVITTDPFMERTSAIHVKRIADDPQDQDGESVLVLENPEGRKRINRAVWGPLNQTIVSGGEDSVLRIWDAETGKLLKETDKEVGHKQTITSLCKSADDSHFLTGSLDKTAKLWDMRTLTLIKTYTSGVPVNAVSMSPLLDHVVIGGGQDASAVTTTDHRAGNFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGRR >A09p035400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:21252777:21256460:1 gene:A09p035400.1_BraROA transcript:A09p035400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRLSPPLCRRRTIHRVQGLNDMGKHRKRDTHSDDETASSSSCSSYSSDDSDSSSRKRRRKQKERREKRRKREKRKKMERKRKDRKKKRDYESQSGSDSMSDQESSRDDPETVVKEMLIEFPNVGNDLKQLLKMIDDGQAVDIKGISEIALKKRLKKLFLSLKLKERGDRVFLLPPGASPSLDMVGHLIKAGEEQVDDSSPLNNTEAATSTVAGGADKGLADENTLGVDDVTGPKKRVIGPAMPSAELLAAAAKLTEAQAELREAELEEDSAYFIGPAPPAVVAEVASSNEAERFEESGNSYPSREQVTRIMEAEADSPYDVLGVNHNMAADNMKKRYWKLSLLVHPDKCSHPQAQEAFVLLNKAFKELQDPEKRKAMDDKIKLKEEQEAFKAELRSMQEAAQWRRSQGISMEGDEELLAATEVKPVPKRDEWMTTLPPERKTGVPVQQSTTTFSRNAREGRGDTTAWTDTPMDKAERAKMNYLEAYNKANALASNEEVNMKRSLDADLVDKYNKEKRSKSLVEKHREESTSSSSSRLKKKKKLSSSSSKEKNEDEWVGKHPWKPWDRENDLTAGRQKVKLDAEGMAEGLASKFSSGNFQRSFL >SC240g500040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000091.1:111282:111806:-1 gene:SC240g500040.1_BraROA transcript:SC240g500040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPISYPEDIKDVGELEKLLRSDLPDAKRMYRRCTQEKRPSLSQEWPVVRGMDQGVGAVVLLVQETHKEDVGIVVLLEDSELVGLSADVGIVVLPVSKLIEAHIQACPYTQPSLRRGISELPKDP >A01p010130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4933341:4936354:-1 gene:A01p010130.1_BraROA transcript:A01p010130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TORTIFOLIA1-like protein 2 [Source:Projected from Arabidopsis thaliana (AT2G07170) UniProtKB/Swiss-Prot;Acc:F4IK92] MKTSSMQAKGRGGGNMKAANPQQVIFELKKKVVTALNKLADRDTHQRGVDELENTVEHLAPDKISCFLSCILDTDSEQKSAVRKECIRLMATLARFHHGLVAPYLAKMVSSVVKRLKDQDSVVRDACIETMGVLASKMSCYEDGSYGVFVSLVKPLFEAIGDQNKCVQSGAALCLARVIDSSPEAPVAIIQRMLTKTVKLLNSSHFIAKPAVIELNRSIILAGGATTKSVLISAMSSFQDALKNKDWTTRKAASVALMEIAATGEKFLGPLKASCISSLESCRFDKVKPVRDSILLALQYWKGVPGSDSQEPSETVSSVRESYSGAQGDSELFSTSDSKSKDVTSNKYVTDLARKKVPFSARNAPTRYSDDPRKTKQDNWRIEIAVSESPVISKANLHNEESEGSCITKTFAEPANPPEVTYEYIPMEDKANCYVTDAVNENDDFKSVTVSSNSFLASGMVNPAITSKQFAAEETYSEGQQFSTKLKNRTSTDSTVTVSSSEINHDCCAQIANEMASVRKQLSDIENKQSRLIDQLQVFSTGIMNNFSALQSKVLSLEYAVEGIAQNFALHSDISNSNFVKLNQGSTVSPRLSSCTSRNSTEVRNRQSTLSSSKYSVTRENKTHGRSKLNVSQGIEKTPRSAFGETGQQHTREDIWNNIGQGRQTLSQTRKSSESVTKHYAEVMSGPGGSCEDVVESEYLEVLSGGDELALVELLDRTGPVLDSLSSNTVADLSTTNGANYLIPSARKRAQVLSAIQETSCMGFSNLAERRAVTQLAMKLRKLWGKLKYFLALTLGRRITHRKKKCNIDL >A03p062180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27010847:27012224:1 gene:A03p062180.1_BraROA transcript:A03p062180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAETQITPAKVSDEEANLFAMQLAGATVLPMVLTSALELDLLEIISKNVALPGGQLSPTEISSHLPTENPDAPVMVDRILMLLAAYSILTCSVRKLPDGVVERMYGLGPVCKYLIKNEDGVSLAALCHLNRDKFIMISYECRYHLKDAVLEGGIPFNKAFGMNAFEYQGADPRFNKVFNNGMSNHTTIVMTKILETYKGFEGLSSLVGVGGGIGVTLRMIVSKHPHINGILYDLPHVIEEAVSYPGIEHIGGDMFVNVPKADAIFMKWICHDWSDQHCLKFLKNCYDALPDNGKVIVAESILPVLPDSSLMTKEVVHMDCLMLAHNPGGKERTEEEFEALAKESGFQGFQVVCRAYGTHIMEFLKKI >A10p035060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:20225703:20227959:1 gene:A10p035060.1_BraROA transcript:A10p035060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase C1 [Source:Projected from Arabidopsis thaliana (AT5G08740) TAIR;Acc:AT5G08740] MALLSAVSSLLPFSYGATRLSSRASLASTTSGFNLSSLRNSPRNPLCLYVSRAIANSGGYTDSSENETPPPPRTYTWPDNKRPRVCILGGGFGGLYTALRLESLVWPDDKKPQVVLVDQSERFVFKPMLYELLSGEVDVWEIAPRFSDLLTNTGIQFLRDRVKTLLPCDGLGVNGSESSVTGGTVLLESGFNIEYDWLVLALGAEPKLDLVPGAMEFALPFYTLNDAIRVNEKLSKLERRNFKNGSAIKVAVVGCGYGGVELAATISERLQDRGTVQAINVSKSILTSAPDGNRVAAMKVLASRKVELLLGYLVRCIKRATDSEEEDGEGGYMLELEPTEKGVESQVIEADMVLWTVGTKPLLTELEQPSGGPSVLPLNVRGQAETDETLRVKGHPRIFALGDSSSLRDSNGKLLPTTAQVAFQEADFTGWNIWAAINNRPLLPFRFQNLGEMMTLGRYDAAISPSFIEGLTLDGPVGHAARKLAYLIRLPTDEHRFKVGVSWFAKSAVESVALLQSNLAKVFSSS >A04p031210.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18454957:18455921:-1 gene:A04p031210.1_BraROA transcript:A04p031210.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTGCFGLSSNTKRRNSTRKILPQHQRICSYEPLLSSDPSDSITNVDSPEKISISNLRCEVGAEEEEKGTKKTRKRVRFNLNVQTFEPPPPSRYESYCSDDDEEEAKGEIESSRSVYPSNYRYHDCEDEDELGYGESDLEDEDYNTDDEDDDDDEDEDEDQDVTPLLNPVENIAQWKAVKAKPVRVKQIMKENVEVESDDDQARPLLKEIIVNTSLAEWLVSPKTGSAKRSPIVDITNMANRSKVPQ >A09p018720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:9896192:9896626:1 gene:A09p018720.1_BraROA transcript:A09p018720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAMKFVVLLIFVGVVCANVGARQLQEVSKETKLGISIPKTVTTNGIGAGLSRVYAVTSANNYENSNAGAAAGPDGPSADTSATVSKSTYGSVDAEGPATVSAGSDSYTYGGTTAGAAADSDGSGSSGTAYGDASSRTYGTTNP >A06p039340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:21167085:21168313:1 gene:A06p039340.1_BraROA transcript:A06p039340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor MYB53 [Source:Projected from Arabidopsis thaliana (AT5G65230) UniProtKB/Swiss-Prot;Acc:Q9FJP2] KEAIDQIFLTVKREGKQEEEERPLLDTDRSSNMGRSPLSADETGTLKKGPWLPEEDDKLISYIHKHGHRSWSALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEETILNLHAVLGNKWSMIASHLQGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDDIFSSLSQLMSLSNLRGLVDLQQQVPFEDQQALLNLQGEMAKLQLLNYLLQAPPLAMSSSNNINPNELNILNLLTKENYNTNNLDLTSCLQDFNNNLPSLKTLEENHFSQNNSPIWSHEPPSLNQTMLPSHDPSPLGDDLVLNQASSSHEQEVAATGSVDWPDHLFDDSMFPYQS >A06g503790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:11769135:11769425:1 gene:A06g503790.1_BraROA transcript:A06g503790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSVTVEYKFTFSAYKTTKTLYVAKCRVQGCGWKLRASVKHGSKTFWVTKYSKRMKNRKG >A02p000640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:352351:353960:1 gene:A02p000640.1_BraROA transcript:A02p000640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase SGR9, amyloplastic [Source:Projected from Arabidopsis thaliana (AT5G02750) UniProtKB/Swiss-Prot;Acc:Q8GXF8] MVWSTCELLIFQHCFLNTTIKRRYLFILNCKMILVYSLIGSFGMNDRLLAKIHQKLKVLSEKTKHTRSNMEEERTTIIMTSLSILSPSHLTNLTHTILSISHHHRRRLAAVLSSPTLFSLTLHHLLSLSLPQKILLIATHLLSLLHPLLLHRDHHNPPLSAAKMKLRDLDAVVLLLFLCETHQLDPDVLEASADNWREILGNMCSDNMLNSISGLWTCDAGILMPYIETLVRCKRFVDIMRNHNHLGLGVGKEGYEIPAARAAVVALRAVEVSNAAGEVECVICKEEMGEGRDVCEMPCQHLFHWKCILPWLSKRNTCPFCRFQLPTDDVFSEIQRLWEILVKTTELDVA >A02p035070.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:19164797:19167094:1 gene:A02p035070.1_BraROA transcript:A02p035070.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hsp70-Hsp90 organizing protein 3 [Source:Projected from Arabidopsis thaliana (AT4G12400) UniProtKB/Swiss-Prot;Acc:Q9STH1] MAEEAKSKGNAAFSSGDYAAAITHFTEAINLSPTNHVLYSNRSAAYASLHRYAEALSDAQKTVELKPDWAKGYSRLGAAYMGLSQYKEAADAYRKGLEVDPSNETLKSGLADASRPRGPSSNPFVEAFQGEEMWAKLTADPGTRVYLQQPDFVKTMQEIQKNPNNLNLYMKDKRVMQALGVLLNVKFGGSKGEDTEMNEAEPEPEPEPMELTEEEREKKERKEEAKKEKEQGNAAYKKKEFEKAIEHYSKAMELDDEDISYLTNRAAVYLEMGKYEECIQDCDKAVERGRELRFDFKMIAKALTRKGSALVKMAKCSKDFEPAIETFQKALTEHRNPDTLKKLNDAEKAKKELEQQEYFDPKIAEEERDKGNGFFKEQKYPEAVRHYSEAIKRNPKDVRAYSNRAACYTKLGALPEGLKDAEKCIELDSSFTKGYTRKGAIQFFMKEYDKAMETYQEGLKHDPKNQELLDGVRRCVEQINKANRGDLTPEELKERQAKAMQDPEVQNILSDPVMRQVLVDFQENPKAAQEHMKNPMVMNKIQKLVSAGIVQVR >A06p002120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:5303483:5305416:1 gene:A06p002120.1_BraROA transcript:A06p002120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSSPESATVSVKSPATTVGEMECSLLDESSIHDIDYFVKTIAQIKAKGVRPDLIGSIITHYASKWLPDLSDIFTTSPDGPNQQPQPQQVQSESFSVTAFVMKKRFYVETLIGIIPPEKDSVSCDFLLRLLRTANLVGADDSYKAELEGRVAWQLDQASLKELMIPSFSHTCGTLLDVELVTRLVKKFAGLDSEGVKSGASLVKVAKLVDSYLAEVAVDGDLSLSEFISLAEALPNHARGTEDGLYRAIDTYLKAHPKVTKQERKRLCGLIDSKKLSVEASLHVAQNDRLPVRTVIQVLLTEQAKMSRSRHNNNDWSGLTFSPNPSSSHYSESGPARRMSKREMNVQQMEIKRLKEDMAKLKSEFEAMQTQLEKLVEKKCSSGSKGFFRWKKLGFRSGFSVSVLDKNGEEFGENGEREEYFGYETQTPSNMKTKLVKGRTPSRWRKSMS >A10p006630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9799368:9801331:-1 gene:A10p006630.1_BraROA transcript:A10p006630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) UniProtKB/Swiss-Prot;Acc:Q8L7Y9] MALRRGSATVILFLYLLISAHSLDSKHHKIEGPIKTIVVVVMENRSFDHILGWLKPTRPEIDGLTGKESNPLNTSDPNSKKIYVSNDAVFVDMDPGHSFQAIREQIFGSNDTSGDPKMNGFAQEAESMEPGMAKNVMSGFKPEVLPVYTELANEFGIFDRWFASVPTSTQPNRFYVHSATSHGCSSNVKKDLIRGFPQKTIFDSLEETGLSFGIYYQNIPATFFFKSLRRLKHLVKFHSYALKFKLHAKLGKLPNYSVVEQRYFDIDLFPANDDHPSHDVAAGQRFVKEVYETLRSSPQWEEMALLITYDEHGGFYDHVPTPVKGVPNPDGIIGPDPFYFGFDRLGVRVPTFIISPWIEKGTVIHEPDGPTPTSQYEHSSIPATVKKLFNLKSHFLTKRDAWAGTFEKYFRIRDSPRQDCPEKLAEVERSLRPWGAKEDSKLSEFQVELIQLASQLVGDHLLNSYPDIGKNMTVREGNKYAEDAVQKFLEAGKAALEAGADENTIVTMRPSLTTRTSPSDGTKKYTGSY >A05p031540.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18410116:18411170:-1 gene:A05p031540.1_BraROA transcript:A05p031540.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIFLLLLVIVFGKLTFVAFCARVPICTPRSVKDYYTFELRDPTCPLSDQLHERLHFGDERWLQTALDMIHKNKCNYVALLFYASWCPFSISFRPSFHVISSLYSSIPHFAIKESSVKPSTLSKYGVHGFPTLLLVNSTMRARYRGTRMLDSLVAFYTDVTGIETLDKTSIEKSVPVPHLGNEDNTEPENCPFTWARSPENMIRQETCLALAVAFILLRLLYLVYPALVVLMKYTWRRIAHNLRQESPSGFSAELYNYACIL >A02p024010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11990041:11990779:-1 gene:A02p024010.1_BraROA transcript:A02p024010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGVRRALKLSGKAIFGRSTAASSGPTVGKSAGFSFANGPSSTSSRSSLRRLTSSRVPVELSAGVSLLPLHSVTASALLTSLLSLSNQTWGCLSEGFGTTL >A01g507410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21370795:21371254:-1 gene:A01g507410.1_BraROA transcript:A01g507410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVERRNGVEDSSALHSSSTFQKLMQRKYLKPSLFLCENRQEARRKGETSSGHKKKLKGDLMVKELAPIQVCNSIIRTVPYQVGIQLESTPHHSYKREAP >A04p038390.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:21845314:21848061:-1 gene:A04p038390.1_BraROA transcript:A04p038390.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPQILRFILVLAIIKVAVTIKTSDGDDVECMDKIKQPAFESTLLKNHKIQEWPSEIPRTVEADKKSKLETWEAQVSTVNCPEGTVPVRNYSVSKTDRTGPDFTSYNRGHEYAIISQKSPPELYGAKATINVWDPAIEGKEMSISQIWISSGKYKTNDLNTLEVGWQSDSYKNGCYNLRCSGFIQTSSSIVLGGAISPTSSFGGSQYEITILVWKVRSYLWELVAEFGTQ >A09p026580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:14511045:14513605:1 gene:A09p026580.1_BraROA transcript:A09p026580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQFEALNAPKIDLPFFFLHSYELNTTSLSLSLPIKLKKSKILILHFVWFIESKKLTILCESLSFKIMCYWRSLMCAKEVISPIKVSCLAVDDLPRSRLVNAEVIFAIDFEICFLRRLKVKSSDFIIPLQRQAWTPLDMEEDFFRRLPGSPNDFSKVQTTSRKSRRFSGSPDDFQEVQTTEMEVFWKTSWKSSSALYFKRLTVWCFEVKEIRVSLESFSLRKKKSDIKTYQNTQIYYERETSLEDFHEVQMTSRKSRRLLENFLEVV >A07p005910.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:1870708:1872381:-1 gene:A07p005910.1_BraROA transcript:A07p005910.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT2G16570) UniProtKB/Swiss-Prot;Acc:Q9SI61] MAATTTTTFSSSLSLIPKPNNPCTTKPLSLLPKPFLKPSPLSLSSSSPPPPLLVHGVSQYFSSGEHSLPSFEDGDEKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVTVSNEKVLQTITGVGLVSEVFNESKLDQLPGEFAIGHVRYSTAGASMLKNVQPFVAGYRFGSIGVAHNGNLVNYKQLRATLEENGSIFNTSSDTEVVLHLIAISKARPFFMRIIDACEKLQGAYSMVFVTEDELVAVRDPHGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVKSQCLMPHNEPKQCIFEHIYFSLPNSIVFGRSVYESRHVFGEILATESPVDCDVVIAVPDSGVVAALGYAAKSGVAFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLREAGAKEVHMRIASPPIVASCYYGVDTPSSEELISNRMSVQEISDFIGSDSLAFLSFDTLKKHLGDDSKSFCYACFTGDYPVKPAEVKVKRGGGDFIDDGLVGSFDNIEAGWVR >A10p010180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:7382264:7389598:1 gene:A10p010180.1_BraROA transcript:A10p010180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEDNQQSESNSGQPDGQNVMVSEDNRHPDSNSSQPASKTPIDKQSEDSQPQKTPDKGQSEKNLADDIAKADAKGMGAKLNSKVVRDKAAGVKKNLDSAFGNADATNADLVSDFPGKEPPFRRGLQGLGKRNNLATDLERNEAELKKKQKQEEAELKRKKKQEEAELKKKQKKEEAELKKKKKQEEADLKKKKKQEEADSKKDIPASKRTRSAVKVDIAQPNLKPYPKIGKYLISQPIRLHKAAVKFPRTLKSILTMSSSSFTSGNYYRRRRNTERGTPKECWCGAPSDIFTSGNETNPGILYYCCAKGYHKSHLFKWSDECLVEEVEDIKAVINGMNRDISELRVNVARLANGVKTESERKGGECLSESRCLRNVVVCVAGMAILCYYYFSEGNKDSGNNASLMAIASTLDKLSSKFDLMDARFKKPLVDQKSIDDMVKLAVEERLKVMGIGKNPQNKENLSNVAADQQPEPLSSPQPNTQQKSVCSPLLAETLGKDMGPRNNLSNELDKERGMKKTLAKEFGTHAEDEGANVLDFLYVSPAKATKAEDLRRRSTRNRTIKDEDAEDKKKAVQAEAVLKKKEKAAAKRKAAASMKQKQPELKKPKQAELMNEEQAELKNQEQAELMNEELAELKNQEADNEKRKNITTPRANVKRCKVEDSVEDSEFAVMTDEVLAEENEILP >A10g505440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:13670120:13671093:1 gene:A10g505440.1_BraROA transcript:A10g505440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLAAENERMNDVAKIKMRKYQMVRWLIYERHDQHLSGVYREAVDPLNFQCINATCLAYRQTGSCKT >A03p053150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22815695:22817060:1 gene:A03p053150.1_BraROA transcript:A03p053150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRVIFFLLIATTLLVSISGEVDKSVNNPIRQVIKGENDEHLLNLDHQFTLFKSKYEKTYKSQVEHDHRFRVFKANVRRARRHQQLDPSAVHGVTQFSDVTPKEFSRKYLGLKRRFRLPEDTQKATILPTSELPTDFDWREKGAVTPVKNQGLCGSCWSFSATGALEGAHFLATKELVSLSEQQLIDCDHTCDPQEANSCDTGCRGGLMNNAFEYTLKTGGLMKEQDYPYTGRDRSVCKFNKTMIAAKVSNFSVVSADEDQIAANLVQNGPLAIAINALWMQTYIAGVSCPYVCSKNQDHGVLLVGFGSAGYAPLRLEEKPYWIIKNSWGSMWGEKGYYKICRGTSNICGVDTMVSTVAAVHTTPQ >A08p001180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:698245:700228:1 gene:A08p001180.1_BraROA transcript:A08p001180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein indeterminate-domain 7 [Source:Projected from Arabidopsis thaliana (AT1G55110) UniProtKB/Swiss-Prot;Acc:Q8H1F5] MMMNKDMLYHHQQQHGEENMSNLTSASGDHASVSSGNRTETSGSNFHYNTNPNQQQEEQCLAPQPSQKKKRNQPGNPDPEAEVLALSPKTLMATNRFICEICNKGFQRDQNLQLHKRGHNLPWKLKQRSNKDVIRKKVYVCPEPNCVHHHPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVQSDWKAHAKTCGTKEYKCDCGTLFSRRDSFITHRAFCDALAEESARAIPNPILIQSASPHQTQHNINFSSSSQNITSHNNNPHGHDELPMKQEEPHHHFHNIPPWLISSNPNPNGNNANYFPLASSSANFHHPSPAMSATALLQKAAQMGPSKSTTTPEEDEKSSYNKLITTTMAASMMTSPSEPGFGFQDYYMMNHHAHHHDGVGEAFDGGFNAGDERNDVVDDSGGETRDFLGLRPLMSHNEILSFANNLGNCINTSASEQQQRYSHQD >A03p034940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000011.1:16894:17889:1 gene:A03p034940.1_BraROA transcript:A03p034940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIMEAADDHVDGGVLAAPSNFSMVEDGIYRSGFPKPENFGFLTTLNLRSIIYLCPEPYTEENLKFLEANNIKLFQFGIEGKTDPPTLMPKDTVLDALKVLVDVRNHPILIHCKAGKHRTGCLVGCLRKVQSWCLSSVLEEYQKNAGLKWRQRDLNFIEAFDTLSLRQCLLSIMYRYHGYGFKRKRLLHEEENVQTPKLQAAKV >A08p005790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3345085:3346750:1 gene:A08p005790.1_BraROA transcript:A08p005790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair initiation protein root hairless 1 (RHL1) [Source:Projected from Arabidopsis thaliana (AT1G48380) TAIR;Acc:AT1G48380] MVRASSSKKGGDKDDPESKQRKRLKTLALDNKLLSDSPSRCLSSLKPSKQVLKHHGCDIIRKSQRKNRFLFSFPGLLAPVSGATIGDLDRLSTKNPVLYLNFPQGRMKLFGTILYPKNRYLTLQFSRGGKNVLCDDYFDNMIVFSESWWIGTKEENPEEARLDFPKELSPQAEKTEEFDFLGGAGAASTTAAASASVKTAATPETGSQPTETETDSPEVEMEEILSDDGEFTDDKIQVTPVQLTPVRQSQRNSGKKFNFAETSPENSSGESEGNTSDEEDEKPLLETDSSTRVREEPQADITTASTGKLPTELPAKKEKSNSKDGKLVQATVANLFKKAEQKTVGTSKAKSSSKASQKK >A03p010120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:4044937:4045959:-1 gene:A03p010120.1_BraROA transcript:A03p010120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGTKVEVLTKSFVPSGAWRSAEILSGNGHYYTVMYDGNDGGTTERVPRKSMRPEPPPLQVLQSWAPGDVLEVFESCSWKMAIVSKVLENDCFLVRLLGSSLKVRANKSDIRVRQSWQDNEWIMVGKGTSQTSTGKLGRRKVNLKGEYVSSGSKDKLNESRVFSTKGPKKRTYSLVEPHIEEQEDDRESVASSVGSCSMDGLSAVSFGPMETGNSSDTESSSCVYTSIKIKKSNFPANGSEAADVVHMLELDEYRSSIERLHASGPNITWEQETWITNLRLRLNISNEEHLMQIKNLISDDNSTTYR >A05p031630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:18296970:18297534:-1 gene:A05p031630.1_BraROA transcript:A05p031630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWISKAGEAIVQRIRFQSIRYATCYHTRNSKTKRSRHSGPKPRKTDLGSRGPDKTTFSENEIIFDESETLKLDKLHDDAVVIQLEVDGARLSKILVNTRNLDHHHGLFDACLLDILYVVFLGHLLQLFELLGQCHHYRMVGHDVADLAPVDLAGRSWPA >A07g506450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15504604:15506217:-1 gene:A07g506450.1_BraROA transcript:A07g506450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSCLILFLSLASSSLAVDPSNQPIYESFLKCFSNQTCTAPDKLCGVVLPQSSASFTPTLRAYIRNARYNTSTSPKPLIVIAARSEAHVQATVLCTKSLNFQLKTRSGGHDYDGVSYISNRPYFVLDMSYLRNITVDMADGGGSAWVGAGATLGEFVAPKTSPDLFMRLLLQPKTKNTTQTVRASPDSASFLKRKSDYVETEISKEGLGFLFTKLMETGKLGLVFNPYGGIMCQVPTTKTPFPHRKRLFKVQHSMNWKDAGIEAENSFMEKTRSFYSYMAPFVTKNPRHTYLNYRDLEIGTNSHGPNSYREAEVYGRKYFGENFDRLVKVKTAVDPENFFRDEQSVPTLPTKPSMR >A05p047630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:28026705:28027069:1 gene:A05p047630.1_BraROA transcript:A05p047630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKRLVGVPEKRVEASAESHRSDGKWRMQHELNVGGGKAWSRSDGARRGLCSGGARWSGGSHGCGGAAERTRPLGLTARMTM >A02p024980.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:12526083:12527936:1 gene:A02p024980.1_BraROA transcript:A02p024980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein 12 [Source:Projected from Arabidopsis thaliana (AT1G71400) UniProtKB/Swiss-Prot;Acc:Q9C9H7] MNPKRGFIDVDALRLSINHLVGQVPDSIGKLNDLRVISLGQNTLNGAIPISFANLTKLYHISLRSNNFTSTLPSDMSVYHKLEHFDVAANSFLGSFPKSLFTIPSLQVVYLDDNQFIGPIEFVNTSSSSSPKLEILSLASNIFIGVVPESISKFLNLGEINLFGNNFSGHIPRSISKLVNLQTLNLASNNLEGQVPACLWRLTTVTLSNNFFSSFENPSQETLIQALDLNSNSFQGPFPHWICNTKGLSFLDLSNNLFNGSIPLCLEDSIASFSDLILRNNSFSGTLPDIFANAIELRSLDVSRNQLEGNLPKSLINCEALQLVNMESNRIKDVFPSWLGSLPSLHVLILRSNEFHGTLHRHDHVFVGFQSLRIIDISHNDFTGTLPPYCFSSWRGMTILREEDDPYMADIINESVFYRNSMVMVSKGVELSFERIQQDFRAIDISGNKIYGKIPESLSFLKELHLLNLSGNAFTSHIPRSLANLTKLETLDLSRNKLSGQIPQDLGELSSLSYMNFSSNLLKGPVPRGTQFQRQNCSSFSDNDRLFGLEEICGKIQVSPPTSQQPEKLSEPEEELFSWVAAAIAYGPGVFCGLVIGHIFTSYYHKIWSKKNTISCR >A08p041410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23362399:23364475:-1 gene:A08p041410.1_BraROA transcript:A08p041410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDLADKENTTSPLLQFRNCYKVASLTETILNPLNVSNLRDRYVLGEQLGWGQFGVIRVCSDKLTGERLACKSISKDRLVTQDDMKSIKLEIAIMTKLSGHPHVVDLKAVYEEEDYVHLVMELCAGGELFHKLEKYGRYSEVRARVLFKHLMQVVKFCHDNGEKLSGTVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGVPPFWGKTKSKIFDAVRAADLRFSGEPWDRITSHAKDLIRGMLCVDPSQRLSADDVLAHSWMEEVSGSGEEQYDEDGVGCEGLENGGCSFSTGYVSREQDYSFNMGQLEPLADNDCRSSFSSFLPADSNNVQTASGFGGFSFDGEQLESTSVGFSSSRVPSMPSFSFFSPSLVTTEKNNVHETDGKLRGSSSKRLLPSPDASSQLERGEEGGENQTEAGGKAETRRERGNWARMSGLHSKRNRTIGLGELDQLVVDVAVTESIIRWASCTHIPTAPSLRLSLVC >A05p018550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:8570613:8573889:1 gene:A05p018550.1_BraROA transcript:A05p018550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHITHEDNPRNSYETVLEVVERNKLEPKHGEAELLSEFIKLLGKEKEEWGGVKAMTVCVEEYRLQEPNVKDATCNDFERFLFSPSREVIVIKGDEGSMTSKAVIEGDSPANRETRKELDRPSSSKTVKHDLPKALNTLKGTDDPSTNIAIIPATNKKTLKVKIYMGTGWDTDFAKLRRGSSPDLFVNLMIHGGKIQKTVHKRSWTPSWGEQFEFPLSSLENDELVAEVYDHTLIFKDKCAGKFRLRVSTLKAGIQVIPLSDVEKQCRTARLLMRFMFV >A04g503150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:6943168:6944677:1 gene:A04g503150.1_BraROA transcript:A04g503150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRKLMRSNVSLDISICHVWDHSDATITLLNDVNCTKDRNRIQLLVCTERKRTEEGYFDTDGNSVEIVRDKKSLGVKYSYFSFVKRIPILTLSQCTWADVLQKSSGNSSSELHNILPAHASSRNLYKKQSQEDNKDEAEFGMYCIQQWISKWEKLTYKQKLKVNRSQNTKSSTWSSSG >A02p013890.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:6072397:6072843:1 gene:A02p013890.1_BraROA transcript:A02p013890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRQYYPPRETVDGNRTTMGGPHSPWHSPVPYLFGGLAAMLGLIAFALLILGCSYWRLSGYFDGEENQSRDSDLEAGDMKPDTAGKAVALPEKFLVIMAGDVNPTYLATPAEKSCTCDDDEDEEGGDDVKGNDQVVRRSTQSNGAAR >A10g503730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9504984:9506392:-1 gene:A10g503730.1_BraROA transcript:A10g503730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHENAAVSENQHHDDAATTSASPGFKLVGFSKFVRKNPKSDKFKVKRFHHIEFWCGDATNVARRFSWGLGMRFSAKSDLSTGNMVHASYLLTSGDLRFLFTAPYSPSLSSGEIPPTTTASIPSFDHVTYRSFFSSHGLGVRAVAVEVEDAEAAFSISVSNGAVPSSPPIALNDAVTIAEVKLYGDVVLRYVSYKVATVFLPRFETVDDTSSFPLDYGIRRLDHAVGNVPELGPALTYLSRFTGFHQFAEFTADDVGTAESGLNSAVLANNDETVLLPVNEPVHGTKRKSQIQTYLEHNEGAGVQHLALMSEDIFRTLREMRKRSGVGGFDFMPSPPPTYYKNLKNRVGDVLSEEQIEECEELGILVDRDDQGTLLQIFTKPLGDRPTIFIEIIQRIGCMKKDEEGRVYQSGGCGGFGKGNFSELFKSIEEYEKTLEAKQLVG >A02p022970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:11013803:11014385:-1 gene:A02p022970.1_BraROA transcript:A02p022970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SPIRAL1-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69230) UniProtKB/Swiss-Prot;Acc:Q9LE54] MGRGVSAGGGQSSLGYLFGSGEAPKPAVNSASAETQPPPPSTTQPKTVAPKPVDITKQGPAGLNSNSANNYMRADGQNSGNFLTDRPSTKVHSAPGGGSSLNYLFGGGSSN >A06p015010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:6697670:6699247:-1 gene:A06p015010.1_BraROA transcript:A06p015010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNSGRGYGDDSYHRQLQEEQLPPSDYVVETVDDRSNGGASAVDTGGMQMKQSSDHRHSSSSMCSPGKLFVGGVSWETTEENFGKYFEKFGEVVDSVIMRDRMTGKPRGFGFVTFADSAVAEKVLEEEEHVIDDRKVDLKRTVPRGDRDTDIKAVSKTRKIFVGGLPPFLEEDELKKYFGVYGDIIEHQIVYDHHTGRSRGFGFVTFLTEDSVDRLFADGKVHELGDKQVDIKRAEPKRTGRDNSFHSYGGKYDQEDSYGGQANDGYNMYGGYGGYGAYAGNSMVNAAGFYGYGGGYGYSYGYGYGGQMFNPGYVAGGYSHMGGGYGVAAAAAYGGGRAHGDGNSGSSSGRGNGTNGLGPGRYHPYQK >A05g510520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:32620673:32626155:1 gene:A05g510520.1_BraROA transcript:A05g510520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWASGRRSSVSPPSSCASGDKRILIPPDPPDSNLPLAQYPPLSPTIPTRREKALVNSTIVSPYPTGQQISAGLSETGIALCNVDVEMVLGPVSVADPITRSDATVGATVDFQIQPSTTVVPPTEFTNNLQEKFTVLLPKFSSPIQTNPALSPTPTIASTSGDEDLPHASIPHPNHPVPPGNSQPVPNPSLVEKIRKSEDKSLKRLAPVTISASGRPSVLIPDAVFQKGADMHKDFIVCVFNGRSPPFSQIQSVLNHLWGKGKRLEIHNNPSSHSLLVRITSDYLKQKILEKGYWYVGDSLFHTKQWTTTNKSLAPSFSSIQIWAHLTGIPLDLRHQEGLSLVAGLVGEPKETDDFTKNLVSLTLAHAKVEVDLTKPLPDVVEFTRQSGEIVEVLVSFPWLPPTCSHCKELGHVVRNCLLVPLPPKAPPANPSKNKTPTIPKTPSGINLHLKTPTKTPSKTPTKTLLKNHPSSSSSVPSPSLSLPEPCPVVPVGSNNSVASVTIHSGLPSTSKNLKPLSSSSLFVPPPFSFASIKNPSLDVPSPTYQPSLKRSRSDPSISPPNNLSLFSNSSHQNITNLLSILCRGWRFASNHASDDDGRIIIVWRDDVNVRILHQSRQSITCEVTLPATAPFIYTAVYASNFRAERVDLWVELLDVCQTYQLHLQPWIIGGDFNEIMHPSEHSLMEVNVTTLQMQEFKDCLQQLEVFDLRFQGPRFTWSNHCPEGPIAKKLDRLLVNSNIISIFPNCVATFYPTLFSDHSPCVLDLAHHLPLAGTMPFRFFNYLTRHPSYHQLVLETWSQAGSLALNLTKLSWKQKSVKGVLKQLNRENFSNIQVRVLEANSIIITDPQLMSLHAITHFRNLLGPDVVHVPAIFSPPSCVQKSSFFSSGLSQQEVDTIKASTGMPNGLLPVRYLGGSIEGHHAARVSWETVTKSREGGGLGIKDLGTWNRACCLKLIWMLFFQGGSVWVAWFRSEVLHGSLSNYWTVNTSTTNSWLANKLIKMRGEVYTWIQLRVGNGVNCRFWTDNWSALGSLQGYFAAGSASRQGIPLTATLSDLNRNGSWTLPRPRSEEMVQAQIALTMVTLGEEEDSYEWVVTGTHTVKLFKRLILLCWKGVIYYLWRERNQRYHNHRFQTSYSLTKSLDRLIKDKILSFRRSSPTTSSSLMQMWVATELIP >A09g509510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:27886725:27887231:1 gene:A09g509510.1_BraROA transcript:A09g509510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPVVHASDNKEIQVLNLGNICMLDGSWTTSDRFSGCGWVWMDSGKNIQLMGTQNFTRCESALHSEVEALRWAMENMLQHSPCQSFGTDCKELIAMIKEPQEWPSFATELEKIETLQICFPKFKITHVPRARNQMSDFLAKTARTFHRELLFIGCSILVWLPKPSQA >A01g503480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:10946369:10947906:-1 gene:A01g503480.1_BraROA transcript:A01g503480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLVLKVVNHDPCEAYLSKPNLLRRRVWRFHSLQSPFLLCIYHHNQSRSLSSNPPPLASNESFGKSFVDLLLKSSEEDLKQWPHSFKFRLKVSLAIDGDLTLVSRVRNINGKPFSFSFALCSDPVGKRGRITTRSLFKTWVDHIVKSLHIFKTEKSQL >A02g501500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:4467158:4468032:-1 gene:A02g501500.1_BraROA transcript:A02g501500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRLFSSPTSSSPLETFSAEFSSIHFHTFNFRLPMLSGISPEIKFDDKFKALRLERRVIEVGIGPLMRLSDKSMNVRFEWKDFGMFPERLAWEMVRETTSCMFPRMTNSPEVESGTEETVRSVRLTRRARESLERRGKEEMAEKEWSESGREGGEGGGEGFEGCGGDGEIGGAVCEGEGGDEAEWGAGVAGYDGGGGFFGGGGVVVVAGVLEGGDVEGFEGFELLWVEWGGGGCARGSGGGAWVGWGRWLEMGLGRGKGAAEIVVEKRSNGNGSKG >A05g503380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9917052:9918813:-1 gene:A05g503380.1_BraROA transcript:A05g503380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAVHVVSSRGSLYFAVLSRDNLRGSKNEFNKTEDDLKSLQSVVIVKASSGPRYVVGCRSKVDKEKLISATRVVLDMTTLTIMRALPREAFTQHLSIYVGDAEEVSQHYRLMPRHTRDCLGVSFRCYAYIPVSAVATANSSLNKPPATHHYSAFQTHTPSMATLNLMFSDLKAGCCANTVEA >A06g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:24392980:24395427:1 gene:A06g508640.1_BraROA transcript:A06g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEHKLFLIRVLIPLHKPKPIGVYHQQLTYCVVQFVEKDYRLADTVIRGLLKFWPVTNCTKEVLFLGELEEVLEATQPVEFQRCMVLLFQRVARCLNSSHFQVAERSLFLWNSEHIVVLIAQNRSVILPIIFASLEKNTESHWNQAVHGLSENIKRMFMEMDPELFEECQQEYEEKQARLKEVEEQRQSRWRRLDEAVEERERQTILMEAKKQSTQLNRPQQPPQQLAVNTAPPATSISTQETSASGVTNILYKLSKAELRSYQLTRIEEPEEDIDPDYLHYLRAIGAYDSVQPQSARGKGRGRKGRR >A07p037820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20200678:20202508:1 gene:A07p037820.1_BraROA transcript:A07p037820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRFSLLLLLLLLLRSTTHNLRFRDYRRRNPIERKPTSPIRFRFHFSSQFLTFLYSFHGMVTKNSQKSNLGVTKSMNFFQKIIKPFKRSSNRGLEDDIDRISAQEQKVFTFQSLVSATKDFNPTHKLGEGGFGPVFKGRLPDGREIAVKKLSQASKQGDNEFVNEAKLLAKVQHRNVVNLWGYCTHGEDKLLVYEYVANESLDKVLFKSNRRSEIDWKLRFEIITGVARGLLYLHEDAPDCIIHRDIKAGNILLDDKWVPKIADFGMARLYQEDATHVNTRIAGTNGYMAPEYVMQGVLSVKADVFSFGVVVLELISGQKNSSFSMRHADQTLLEWVYKLYKKGRTMEILDSEISASADPEQVRLCVQIGLLCVQGDPRKRPTMRRVSLLLSRKPGHLEEPEHPGVPGSRHRRRTHRPTGASSVGTLSTTGSRTDSFGSNLNTHTGGSNTGTGKATPVSSRTPTPTRTHATRSVGAASSSSDPHGKRHMSY >A06g509560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27518502:27520444:1 gene:A06g509560.1_BraROA transcript:A06g509560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLDSSAKVDSSSRHANSGSSGSRPSSKTSRSTVPSSLNINSYTSVESLPTTPRTEGEILSSPNLKAFTFHELKSATRNFRPDSLLGEGGFGYVFKGWLDRTTLTASKPGSGIVVAVKKLKTEGFQGHKEWLTEVNYLGQLSHPNLVKLVGYCVEGEDRLLVYEFMPKGSLENHLFRRGAQPLTWAIRMKVAIGAAKGLTFLHDAKSQVIYRDFKAANILLDAVSKLLFNLHSSRCHFEFNAKLSDFGLAKAGPTGDRTHVSTQVVGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKSKVGVEQSLVDWATPYLGDRRKLFRVMDTRLGGQYPQKGAYTAASLALQCLNPDAKLRPKMSEVLAKLDQLESTTTKPGTGTGTGTRQGLVDSPRGSNGTGTRQGKIDSPRGSNGTGTRQGLVDSPRGSSGSVVQKSPRRYSYDRPLLHITPVASPLPSHNQSPR >A03p061560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:24640030:24641756:-1 gene:A03p061560.1_BraROA transcript:A03p061560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIPFLKSPNQTRFPPIFGKPFPLSLDTSATQPRETTSDGQSHRRGDQQRPIPPIATQPKICLPRRLCFSIDRMVQPQEPHFFQPLLPGFQTYLTIPIVFFSKHIQGKTNGNTWTLTSDAMDQTWQVIQEERRLTRGWKEFAEAHDLRIGDIVIFKLKGTMVFHVTPFGPSCCDIQYTYPNSMEEAHDHQNNKGTGARFSYSWDYYLKAEVTDSNVREDKIDLPVGATGCNALNKECTKAKLVNIEGKAWNVSMRFNESGGFYYIKGWRKFCAENKCHIGDSFVFNVVGDGNTWPLMCVCAPSKECLKSAGDIASSSRVN >A01g502400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8333561:8335104:1 gene:A01g502400.1_BraROA transcript:A01g502400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEKRKEMLKAMRMEAVAAAAQNDGSTEPETSMNTSHLSNPLAEASIHQQESYDKPRFDYYTDPMAAYSSFKRNKSPKQQYISSPRHQMSPPAPQFPPSAPGLVGNDYQAHPNHGGFQEAHYGGDNLHTEPRGMAPSYRGPPAPWNNNNFRPPPPVNHLGPPQWVPRPYPFPQGNHDMGDNRYGGRGPRGGNYNNNPPQFPHYGRQNSNWAGNTYPNSGRGRGRGGRGMNTSYGRGGGRRPMEQGAERFYSNSMAEDPWKYLQPVLWKSCSDASSSNSTGQAWRPNSIAPKKPMISEASHKPSTNQQSLAEYLATSLDEATCDEPSN >A01g507680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21807135:21809051:-1 gene:A01g507680.1_BraROA transcript:A01g507680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMTKSTHKALIAQFFNNVAHGLGEAELYKTNSFFFKIQFQLLISCEINFFACSEDIYPNVAALKGLFSPSWTEKYTKKEQISFNTKRGLYKGHPTIERMQKLAKELSVVVTVSFLEEVNNAHYNSNAIIDTVGTDVGLIGSPIILMDHVSQVWSWKEFDLLLLILFLNFRLSREVYFNPGYPSFKVYVEINGFPRQQALWFYRILYQICQRQIKIKQFPRRIASEAYRAMMIWKDDQDEMSLNVNTANNVDLGGSIDFISESGWMGMLTCLDDVTHFLQHRRGIETG >A04g503960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8382023:8383008:1 gene:A04g503960.1_BraROA transcript:A04g503960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHSIKSLHKLTRITSAAGSSVCSHGEEPDNQTKYKAPSHHKFENNLLKDKFRNRLCFCSLAHVHSVLLFLTHVHIVLKLKTGIISTSAEEETWSSLLEFMEAIDDRPPPTLLPSESFSFCKRTQSKLCKGSNGLLKSPSLKIISGTSFLEEVRRQLWDKSGIILQRCMVSVCNA >A01g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9813313:9813693:1 gene:A01g503060.1_BraROA transcript:A01g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSATTSGNFGRERREIYFGVRRSAGAGFPPLFFAVLSTSALSELWFRPHLLRWFRFWSNDALAGRLLVEEVACMFSSGVFREVEAFVNPPPPALASGKGVFFRFAFAGF >A04p039420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:22256006:22256888:-1 gene:A04p039420.1_BraROA transcript:A04p039420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTFVSLPKPFFAFPVKTSSPPLANHKLLGSRRGCLSVKAISTKWEPTKVVPQADRVLVRLEELAQTTSGGVLLPKAAVKFERYLTGEVVSVGSEVGQQVGPGKKVLFSDVSAYEVDLGTGARHCFCKESDLLALVE >A01g506340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:18461712:18462040:1 gene:A01g506340.1_BraROA transcript:A01g506340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKSTYYSQISPLILLRYYDDAACMLRKMCLDANAPHLSSTLPPLCLGSIICYLMNRLCHPLYLGSIIERLIMFYLLLLIFINYKIQ >A10p000590.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:321635:322805:-1 gene:A10p000590.1_BraROA transcript:A10p000590.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGEEPKTSQQEEASQSQQVCTFFKKPSKAKNIRKRPAVDADEEDADAKSETSILNNLKKVAKPDNKLFFSSGPSKGSATTTGEAAEKAVVFHYDSSKEIQVQNDSRATATLETETDFNQDARAIRERVLKRADEALKGNKNKGSDEKLYTGIHGYTDHKAGFRREQTISSEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFLHDRGDYKPGWQIEKEWEEAEKIRKRNKAMGVEDEDDDEADSEEDEDALPFACFICRESFVDPVVTKCKHYFCEHCALKHHTKNKKCFVCNQPTMGIFNAAHEIKKRMG >A01p012440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:6103314:6112747:-1 gene:A01p012440.1_BraROA transcript:A01p012440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNNDGMPLAPPGTGVSMIPPPHPSYTSLPPPHPSNPTPPPVEPTPEEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKFRHDKRVYLGALKFVPHAVFKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYMAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVHTWFYDHKPLVKTKLINGPSYRKWNLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMPSFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIGFPHLYNNRPRKVKLCVYHSPMVMYIKTEDPDLPAFYYDPLLHPISNTNKERRERNVYEDDGEDDFTLPEGVEPLLKDTHLYTDTTAAGISLLFAPRPFNMRSGRTRRSEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLAATKFFQSTELDWVEVGLQVCRQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEALAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQQQREELGLIEQAYDNPHEALSRIKRHLLTQRGFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTGDGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVSAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQFYGLLLDLLLLGLTRASEIAGPPQMPNEFMTFWDTKVETRHPIRLYSRYIDKVHIMFKFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENGFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHKWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSVVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQINAVTTRTTNVHGEELIVTTVSPYEQTAFGSKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNVLKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCVVMVPQWGSHQHLNLPSALPEHEFLNDLEPLGWLHTQPNELPQLSPQDVTSHSRILENNKQWDGEKCIILTCSFTPGSCSLTSYKLTQTGYEWGRLNKDTGGNPHGYLPTHYEKVQMLLSDRFLGFYMVPENGPWNYNFMGVKHTVGMKYSVKLGTPKEYYHEEHRPTHFLEFSNMEEADIAEGDREDTFT >A05p016050.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:7133438:7134478:-1 gene:A05p016050.1_BraROA transcript:A05p016050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEFVKPLGKGSYGSVNLIKLTKPDGSKPHYHAVKSSFAHDFDSLYNEFQILSKLRDCPGIVRTFGTSLSRGVSDQGIRVYGMSMEYAAGGSLTSLIEKRLLTDTMIRDFTRMILEGLVSVHANGYVHCDLKPDNILVFPRDDVGVTKEVSYELKLSDFGMSTEAGEGSVFWEFDSPYLGTPLYMSPESVHYGVAEKSLDLWSLGCVVLEMYTGKSPWHLQDSEEILRHLLDGKAPEIPESVPWEARLFLQTCFARNPVERGSALGLLKHPFLLSDEKRVMVTGAGGRRKSVAVVLKSKDSVKKPLRLKIIPPKPPQFKKVENRPLRLKIIPPKPPGCNLVSVQ >A09g514280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:42897042:42897572:-1 gene:A09g514280.1_BraROA transcript:A09g514280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKDSTETKMGCQERRGGRMVSWSDLVWIVHGRKLNIAITRISNPYGHPNILAEFIAGQLKNRVSFRKAMKKAIELTEQANTKGIQVQIAGRIDGKEIARVEWIREGRVPLQTIEAKIDYCSYTVRTIYGVLGIKIWIFVDEE >A07p036050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:19432510:19434887:1 gene:A07p036050.1_BraROA transcript:A07p036050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFESCSKKNDNKGENVVSSVKSKPFSQGDSDSERISNLSYPWSLKPLITRKSEASSALHAPSKEGDVMHPQHLKSFTLEELKNATGNFCPESLIGEGGFGFVYKGCINGGPRMDLAVAVKKLKIEGFQGHKEWQREVNYLGRLHHPNLVKLIGYSLEDENRLLIYEYMPNGSLENHLFERSSNVLSWSLRMKIAIGAARGLCFLHDAKDQVIYRDFKASNILLDSGFNAKLSDFGLAREGPKDDRSHVTTEVIGTQGYAAPEYLATGHLTTRCDVYSFGVVLLEILSGRRAIDKSRAREEENLVDWAKPYLRDKRKVFRIMDTKLVGQYPQKAAFMMSFLALQCIGEVKVRPSMPEVLSLLEKVPNPRHRKSRSKSFATNSSSVFKTVSQTH >A03p007740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:3150119:3153454:1 gene:A03p007740.1_BraROA transcript:A03p007740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein 5 [Source:Projected from Arabidopsis thaliana (AT5G15920) UniProtKB/Swiss-Prot;Acc:Q9LFS8] MSERRAKRLKISRGEDDFLPGNIIEIELHNFMTFNHLVCKPGSRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRATSVGAYVKRGEDSGYVKITLRGKTSEEKFTIFRKIDTRNKSEWMFNGNSVSKREVVEVIQKFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPVHHRDLVEKSRELKQLERAVEKNGETLTQLKALVDEQEKDVERVKQRELLLTKVDSMKKKLPWLRYDKKKSEYQGAKKKLKEAEKKLDEAARSLSNVKEPVEKQKKERGEMESKCKKVKKALETNGYKRSDLLEKENEAEARVVATYKELEELKKQEEHRQERILKATEDLAAAEQELKDLPVYEQPVAKLEELKSQVTELHHNINRKKNQKADNETLLNQKRHTLRQCVDKLKEMENANNKLLNALHRSGADRIYEAYQWVQQNRHDFKREVYGPVLLEVNVPNRENACYLEGHISYYIWKSFITQDPEDRDLLVRNLKRFDVPVLNYVRDSGNHSAPFHISDHMRSLGLHSRLDQIFDAPSAVKEVLTSQFSLDDSYIGSKITDQRAEEVSKLGVTDFWTPDNHYRWSSSRYGGHSSASVDSVHPSRLLLCGMDVGELEKLRSRKEELEDSISSIEETRKTLQTEQRLLEEEAAKLHKEREEIINVSHMEKKKRRELESRYQQRKKKLESLEQEEDMDASVANLIEQASKANADRYAYAINLKKLLVEAAANKWSYAEKHMALIELERKIRDSEINIKQYEKTANQASLSVEYCKKEVEEKQIQLAAAKRDAEAIAIITPQLKKEFMEMPTTIEELEAAIQDNFTQANSILFVNQNILQEYEHRQSQIETITTKLEADKRDLSRCLKEIESLKEKWLPTLRRLVAQINETFSHNFQEMAVAGEVSLDERDTDFDQYGIHIKVKFRESGQLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPELEYSEACSILNIMNGPWIEQPSQVWSFGDSWGNLMRRAEAS >SC122g500290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000018.1:605986:606287:-1 gene:SC122g500290.1_BraROA transcript:SC122g500290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRMKSLGECVQLNYGAPRGRIRSNGLQMKELRNLQNSPDGIKPEAQLFYNLGTSEKPRKVNSAQRYQRKTDFRPDSK >A03p046830.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19810993:19814616:1 gene:A03p046830.1_BraROA transcript:A03p046830.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTWTRQNVNKNVSLQTGEEFSMEFLKDHTPAHNDDHRFGDLYYQNQPAGFHDLRRIESECPSDAYDFGRDPRAASTYMPQFNNACYNLGGEKEVITRKAFGEINSNQGCVSVTGKSAPSVILPERVHPNNYTVGGGGEFDRFGKVKFLCSFGGKIMPRSSDEKLKYVGGETHIVSIRKNLSWEELKKKTSAICQQLHSIKYQLPGDELDSLISVTSDEDLQNMMEEYNGLERPEGSQRPRLFLIPIGQPEMKIAGDTTSYHVNHLDQMLRLDTQLFNGVQYNMSAYPSPPISPSPFMHKDCSQFLGDNSSSESNNSFTLPQPEPSVNFQTYKNEAENSYGMGFSKNHETPIRFYCEKPCIPEEPKVSFSGSTNSNDSFLGIPHSHSDSTLEVNGGHSSYFSQERQSPSSPLNFTKKQTEEKTVQEHRNIDLSSRRTQSNILDFGGGPGPALHREVSDVGNHYDEIFLNQERNSGKVMGTRVVPTNIECKNYGNQTTSVVNVDPWKQIIQDNDLLMAGTSSGNLISLDEGIADDTPNQERRLFDHIQEPVNQAVRERNMEVSGVLLNKRANSDVDFLFNISSEAAKREIVHETGLLELDPTQKNRVQRESGSGHVRIQSMDLNQQVPGTANETYNLKILSVQRQDSLVTTPHTKLDSIDPLFLSEEAEANVDEKVNSFADTLIVEMEASVYGLQMIKNADLEDLTELGSGTYGTVFHGKWRGTDVAIKRIRKSCFGGRSSERERLTKDFWREAQILSNLHHPNVVAFYGIVPDGAGGTLATVTEFMVNGSLRHALVKRDRLLDARKKIIIAMDAAFGMEYLHLKNIVHFDLKCENLLVNLRDPQRPICKVGDLGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSTRVSEKVDVFSYGICLWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPKTCSPEWKKLMEQCWDIDPDARPPFTEITSRLRSMSMELVTKSKKRENKP >A02p005190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2251748:2257738:1 gene:A02p005190.1_BraROA transcript:A02p005190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDPFKATETSETEKETGGDIPVKEKLTFTAPERKSRLGLDVRAMEKRESAKSQGEFKVPKKPAVSVSASMDEDDRSGVSGIDDGGDNSRPDHSSRRYRDKSSRSETPQESTVTTEKAAASDTPRASRYERDDSSRNRNEYRYDRSETPRSRQRSTYDEMDRYRGRESYRESPRDYHGEKRGRYSVDRRTPGRSDWDDGRWEWEDSPRGDRDSTYNKRHQPSPSPMLGAASPDARLASPWLDTPRSTMASASPWDIGAPSPVPIRASGSSVRSSGSRYGGRSNQRADSREGDLTKEGHPDEDRSEGAEEFNHEITDTMRREMEYHSDLAWYDTDEGNSLFDADSASFFLGDDASVQKKEAELAKRLVRRDGSKMSLAQSKKYSQLNADNAQWEDRQLLRSGAVRGTEVQTEFDSEEERKAILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSGLVREIREKQSMHKSRQRFWELAGSNLGNILGVEKTAEQIDADTAEVGDEGEVDFKNEAKFAQHMKKGEAVSEFAMSKTMAQQRQYLPIFSVRDDLLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTVNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTVIKYMTDGVLLRETLKDSDLDKYRVVVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSDFFGSVPIFNIPGRTFPVNILYSKSPCEDYVEAAVKQAMTIHITSPPGDILIFMTGQDEIEAACFSLKERMEQLIASSKRDVTNLLILPIYSQLPADLQAKIFQKPEDGARKCIVATNIAETSLTVDGIYYVIDTGYGKMKVFNPRMGMDALQVFPISRAASDQRAGRAGRTGPGTCYRLYSESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKIDNLLEFDFMDPPPQENILNSMYQLWVLGALSNVGGLTDLGWKMVEFPLDPPLAKMLLMGERLDCIDEVLTIVSMLSVPSVFFRPKERAEESDAAREKFFVPESDHLTLLNVYKQWKEHDYRGDWCNDHYLQVKGLRKAREVRSQLLDILKQLKIPLKSCGPDWDIVRKAICSAYFHNSARLKGVGEYVNCRTGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATSVEPHWLAELGPMFFSVKDSDTSMLEHKKKQKEEKTAMEEEMEKLRRDQAEAEVRSKEREKRKRAKQQQQVSGPGMKKGTTYLRPKKFGL >A03p061190.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26585029:26586633:1 gene:A03p061190.1_BraROA transcript:A03p061190.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLMINNDDNNNNKVELSRVAVSDTHGEDSPYFAGWKAYDENPYDESHNPSGVIQMGLAENQVSFDLLESYLEKKNPEGSLWESKGSCGFRENALFQDYHGLKTFRQAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPNDALLVPTPYYPGFDRDLRWRTGVRIVPIHCDSSNHFQITPEALETAYETARDANIRVRGVLITNPSNPLGATVQKKALEDLLDFCVRKNIHLVSDEIYSGSVFHASEFTSVAEIVENIDDVSVKERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVRTARRMSSFTLVSSQTQHMLASMLSDEEFTEKYIRINRERLRRRYETIVEGLKKEGIECLKGNAGLFCWMNLGFLLNTNTKEGELELWDVILKELKLNISPGSSCHCSEFGWFRVCFANMSEKTLKIALKRIHEFMDRRRKVLNC >A05p040690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:24864351:24867980:-1 gene:A05p040690.1_BraROA transcript:A05p040690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETLDSSCDGSKRKHANGGEETHLDELSNGDNGVSSTKRARVSRGEMTFQDIYDADALLNEEDEEDDSDWEPLQQQMMPVEFVKWCCVNCTMSNPGDMVHCHICGEHKESGILRHGYLASPFFKDTGLAEVEEKCGGSSSATSSTAVGFDERMLLHSEFEVKAQPHPERPDRLRAIAASLATAGVFPGRCLPIHAREITKQELQMVHTSELVDAVNITSQLLYSYFTTDTYANEYSARAARLAAGLCADLATEIYSGRVKNGFALVRPPGHHAGIKHAMGFCLHNNAAVAALVAQAAGARKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGNFYPGTGAADEVGTNGAEGYCVNVPWSCGGVGDKDYIYAFQHVVLPIASAFSPDFVIVSAGFDAARGDPLGCCDVTPAGYSRMTQMLGDICGGKMLVILEGGYNLRSISSSATAVIKVLLGENPENDDLPIATTPSKAGLETVIEVMNIQMKFWPSLATAYSKLLSEWETRLIENKKNQVKRKLVRVPTWWKWGRKKFMYRCLDSVEYFFSFKWQKELYYGARAAPLDYLSI >A02p000730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:383611:389513:-1 gene:A02p000730.1_BraROA transcript:A02p000730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVSKSMIAGVQSVMPVEVTQHREVRPISVGDPVGAGIFRRTLNIVTYYKDAGASGERGWLVAGWIKESLGRALTEQPMLCGRLRRRRTTKGEEDGLEVVANDSGVRMVEAIFPASLLEFFEMVKRDKSRAEAETVFWRDIDEVDPQFCPLFYVQVTNFESGGYSIGISCSILIADLLLETDFLTKWAKIQSSLAHSKTTLKPIFYLPPAKRNNFLNELPRSASVLDRSEPLLFQAKTCSKISPACMKKTVPNGQTASADVFLFHKEKGGDENGNNTTEREGMKVEIHTGHQVISDCVCGGDLEEIDIGVLDVSLAFEDKLEGNSCWVGSISKGVVFVVPSTSGDTKSIVALPKKPNMETVVSKSMVVAVQSVVPVVVTQHREVRSISVGDPVGAGIFRRTLNILTYYKDACDSGERGWLVAGWIKESLGRALTEQPMICGRLRRRRRTTGEEDGLEVVANDSGVRMVEARFPASLPEFFEMVKKDKRRAEAETIFWRDIDEVNPQFSPLFYVQVTNFESGGYSVGISCSILIADLLLETDFLTKWAQIQISLAHSKTTLTPIFCLPPDIRNNIIIELPRSASVLDRSEPFVSRAKTCSKILPVCMRKAVTNRETASVDVFLFNKEQVGDENSTTERDGVKVEIHTRHQMINSDCDCGGDLEETDVGVVLDVSLGFEDKLEGNSCWVGSISKGVVIVVPSTFGDTKSIVALPKNVTH >A02p052350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32045115:32051237:1 gene:A02p052350.1_BraROA transcript:A02p052350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTPPDDCSVKVAVHIRPLIGDERLQGCKDCVTVVPGKPQVQIGSHSFTFDHVYGSSGSPSTDMYEQCAAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGSGDSSQTGGIVPQVMNSLFTKIETLKDQIEFQIHVSFIEIHKEEVQDLLDPTSTGKVANVPGKPPIQIRETSNGVITLAGSTEVSVSTLKEMAACLDQGSISRATGSTNMNNQSSRSHAIFTITVEQMRKINTDSTDNGSLKEEYLCAKLHLVDLAGSERAKRTGNDGMRFKEGVHINKGLLALGNVISALGDGKKRKDGAHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIRNKPVVNRDPVSSEMLKMRQQLEYLQAELSLRNGGSSCAELQVLKERIACLETANEYLNRQLHEYRSRCGGGVEPSEKDFKDIQADEIVGSVRPDGLKRSLQSIESSNYPMVEATIGESREVDEEAKEWEHKLLQNSMDKELHELNRRLEEKESEMKLFDGYDTAALKQYFGKKIAEVEDEKRSVQEERNRLLAEIENLASSDGQAHKLQDVHAQNLKSLEAQIQDLKKKQENQVQLLKQKQKSDDAAKRLQDEIHSIKAQKVQLQHRMKQEAEQFRQWKASREKELLQLRKEGRKSEYERHKLQALNQRQKMVSEKSRKKEDSEVCNSQVLQRKTEEAAMATKRLKELLEARKSSPRDHSGGTNGFGTNGQTNEKSLQRWLDHELEVMVNVHEVRHEYEKQSHVYVPSTFNLCNKYIITRLTDFDNFRRAALAEELSVLRQVDEFAAKGLSPPRGKNGFARASSLSPNARMARISSLENMLGISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGEAKNLLQCQLWEKDVEIKEMKDQFKEIVGLMRQSELRRKEAEKELKLRQQALATSLASSPLGTPPSSVKHLAEDMITPSPMTMPTQKQLKFTPGIANGKVRDSAAFINTNKKMVPMGQVSMRKLAAVGQQSGRLWRWKRSHHQWIVQFKWKWQKPWRLSEWIRQSDETLVRTKPRHKALPNKIM >A06p053330.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:28141604:28142935:1 gene:A06p053330.1_BraROA transcript:A06p053330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNE3 [Source:Projected from Arabidopsis thaliana (AT2G01110) UniProtKB/TrEMBL;Acc:A0A178VUB7] MGSTSTSSAALIHHFRLTNLSLDSPRKPPYTVSFCNSWKEGGLRYGVTRRSSKSIRPVTRLSALGENSGDETTPGVGSALEDRPVNIYVALALEDASFEQEEKASSVYEFLYPAKDELPDDKEMTIFDHLEELRERIFVSVLAVGAAIGGCFAYSKDLIVFLEAPVKTQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRAERRFLGPIVFGSSLLFYAGLAFSYWVLTPAALNFFVNYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGVVSGDQMLSIWKYVVVGAVVVAAVVTPSTDPVTQMLLATPLLGLYLGGAWMVKLTGR >A05p001980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:547956:550510:1 gene:A05p001980.1_BraROA transcript:A05p001980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor PIL1 [Source:Projected from Arabidopsis thaliana (AT2G46970) UniProtKB/Swiss-Prot;Acc:Q8L5W8] MERWRREILAKSRKTNNNGYFQNQRRQSILDLYESEYDESFKKNIKNHEETQGVPVSDEQTNNKKRTKPSKNELERDLLKGSKRFESPTLNDYSLNGLKNVEVIKAPPDEQSEAVGRSTKLYFTPSSMLSRGTSRDLSSSSKKRKCGDNEEEEEEETTYLSNSSDDESDYAKTRVPARTRKTMAKRKRSTEVHRLSERKRRHEISKKMRALQDLLPNCYKDDKVSLLDEAIKYMRTLQLQVQMMSMGNGFIQPSVMLPMGNYSPMGLGMHMGAAATTPSLPQFMPMNVQGTGFHGITNASSQMLSAFLNHPTGLIPNSPIFSPLESCSQQLVTPSCFPDTQTTSFSQFPMSGSTTNFEDAMQFRGSSGY >A01g504520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13616052:13617826:-1 gene:A01g504520.1_BraROA transcript:A01g504520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEMAMKSSVTAKLTIPSSSSCKKRVKQISVALPTTTSISLLSVFSSPPPEAKAAVSISKDQIVSSLTEVEKTINQVQETGSSVFDATQRVFQVVGDALKPALDTALPIAKQAGEEALKIASPAFSEASKKAQEAMQSSGIDAEPVFNAAKTVTDVAQQTTKAIEDARPIASATMETISAADPSVVVVAAGAAFIAYLLLPPVWSAISFNFRGYKGDLTPAQTLDLLCSKNYLMVDIRSEKEKEKAGIPRLPSNAKNSMIAIPLEELPNKVKGIVRNSKRVEAEIAALKISYLKRINKGSNIIIMDSYSDSAKIVAKTLKVLGFKNCWIVTDGFSGGRGWLQSRLGTDSYNFSFAQVLSPSRIIPAVSRFGTRSETKFLPSSD >A07p040750.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:21563698:21571492:-1 gene:A07p040750.1_BraROA transcript:A07p040750.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRNPDHRSPKRPRFPLSPPVPEEEEEEEDDEFSDVSANDEEDRSDESADDEEDDVEVVAVVTVAEAQSRGYLGSSSSSSIPSSPVKLNSTEILDCPTCLEPLRGPIYQCHNGHITCSPCLPRVYKHCPVCRMPIGDIRCRAMEMVIESSSVPCRYAMYGCKETTLYGDQAHEKVCLYTRCQCPVTNCNYAGGYKEVEAHARLLHSWDVEDLTPFVFNTPQIFSINLARTSRAVFQEEKEGDMIVVQTFKRTNAGLCVTVNHIAPLSLGLRNLSCSIAKLNSFTTLRLGVMVKRIQNVREQEEPIEDFLFIPDYMLIDNHLKMQICVGSEFKCGLELGVTEQNSVPCRSAKHCCAEKMMKETNAAGEASSSLRRHRKRQRLPSVENGRETASVDGDEVIPEARSGTLLDLDLLDCPVCFQALTQHCDNGHIACSSCCRELRNKCPACALPIGNNRCRIMERVVESVTVPCPNAKHGCTEKFSYGKELDHEKECRFALCYCPAPDCNYAGVYKDLYTHYDANHKVTGRRFQCGILHHTYLGTVSTTSVLQEYRDGPLVVVQGFVVAHGLSVTVNCIAPSAPGVGKFSFNLTYTLGRHTVTFGSTEMNRIQKVSFETPQGDFMSIPSYLVSPIIVKNLRICIRRLEEEAGEDEEEEEEEEEEAGEEEEEEEEEEEEEEEEEEEEKEEAVEVDVVNNVRRSTREKKGNSKYQNKVK >A04g505950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12413542:12416882:1 gene:A04g505950.1_BraROA transcript:A04g505950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKIEWWKKRELMSTSDQDLASVVGYHVDARYAEMEKDKLRRVNQEACGVVETMWTQDAELTWDKLEEQVYTVEKRQEINLGSKLGFQERGDHYKRVMESSVFHKTLAIIIEEGAGHLSYWCDPEGEDVHDCSMQKTIMLKDGRYGHWKVRMKLLVRGINDAAWIAVKTGSRSSSADASGPDAVRMKKQTVCNQAGGEVVTFWSKECISRGGEEHGDGRPDADGAYLMGEKSISIVEDEDSSLLHKACRVVGYHVDARYAEMEKDKLRRVNQEACGVVETMWTQDAELTLGFQERGDHYKRVMESSVFHKTLAIIIEEG >A02g504320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14431977:14432277:1 gene:A02g504320.1_BraROA transcript:A02g504320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHAVSASIGLAPSRNEKQDLKKLWCGVEMSKEGSGGGGNGAEQEEEEEKGGEEEKTEMRGGWSRKMTTAA >A01p009680.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:4708755:4710260:-1 gene:A01p009680.1_BraROA transcript:A01p009680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLSCSASDLIPLLSGGANATAAAAAAEFICGRFETISGKFTDASYAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVIDAAAGGLFYYLFGFAFAFGSPSNGFIGKHFFAMSGFPKASFDYPYFLYQWTFAIAAAGITSGSIAERTQFVAYLIYSSFLTGLVYPIVSHWFWSSDGWASPARAENLLFQSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFENRGKPVTLRGHSATLVVLGTFLLWFGWYGFNPGSFAIINKSYGTSPGISFYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLIDGYWNVTDVCNGLLGGFAAITGGCSVVEPWAAVICGFVAAWVLMGFNKLADKLRYDDPLEAAQLHGGCGAWGIIFTGLFADKTYVSEVFGGDPNRPYGLLMGGGWRLLAAHVVQIVVITGWVSVTMGTLFFVLHKLELLRIPSEDEIAGMDPTSHGGLAYMYTEEEIRNGIMVREMGRENENV >A02g504960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15744293:15747109:1 gene:A02g504960.1_BraROA transcript:A02g504960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLFLDVVTQFFCNPNNAETMNWCSYLLIVRRRHGDVCASSHHLSISNQTLRSTGKTPTETFLNDLLFIDEQVVCCFEDNNIYHVGGKINPTSDLDFINLELIFCDLDQICKILGTYEMQGKRIHNLKSKKTIV >A06p009970.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3566593:3568003:-1 gene:A06p009970.1_BraROA transcript:A06p009970.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWMMGEAGGHYCSKKTDDICGALCSQETGRFSSFSRLCCALRGVDMKTYIFLLVIVPTCLLAGYIHGQKISYFLRPLWESPPKPFHDIPHYHHSNASMATLCKLHGWGVREFPRRVYDAVLFSNELDILSIRWRELYPYITQFVLLESNSTFTGLPKPLVFASHRDEFSFIESRLTYGSLGGRFIKGMNPFYEEAYQRVALDQLLRIAGITDDDLLLMSDVDEIPSRHTINLLRWCDEVPKILHLRLKNYLYSFEFLVDNKSWRASVHRYETGKTRYAHYRQSDEILADAGWHCSFCFRRISEFVFKMKAYSHSDRVRFRRFLNPERVQRVICKGGDLFDMLPEEYTFKDIIGKMGPIPHSFSAVHLPSYLLENAEKYRFLLPGNCIREKEC >A01p040980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21868543:21870769:-1 gene:A01p040980.1_BraROA transcript:A01p040980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLSRFLVTKVVTRYTQSLYSNQKHGTSVKVSLVLPQFRNSSNGFHSLSLVPYAKGRSFGHSLLSRSYVVKQRAQFSLKWLLNRYSTHQWNLPRISLVAQAFCLSVSRSPLLVPGVLALTCRKVAYAQRPAPTPPVLIRSPQQFSLYRSAVNIPVVISTLVLSAVKSVALLGRALYLAVLLSPNLVMALLEFSCGPRFRKLRHEVLHRTLERAGPAFIKFGQWIATRPDLFSKDLCLQLSKLHSNAPEHSFEFTKRTIERAFGRKLSDIFEEFDEAPVASGSIAQVHRATLKFQYPGQKVKSSEVAVKVRHPCVEETMTRDFVIIKMAAKLTTFVPGLNWLRLDECVQQFSLYMLSQVDLSREASHLSRFIYNFRGWKDVSFPKPVFPLVHPSVLVESFEHGESVARYVDGPEGHEWLKSKVAHIGTNALLKMLLVDNFVHADMHPGNILVRKNGAKRGLFRSKKPHIIFLDVGMTAELSKTDRDNLLGFFKAVARRDGRTAAERTLKLSKQQKCPNPQAFVEEVEEAFRFWGTAEGESVHPADCMHELFEKMRNHRVNIDGNVSTVMFTTLVLEGWQRKLDPGYDIMRTLQKMLLKTDWMKSLSYTVDGLMAP >A05p038850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:23797729:23798514:-1 gene:A05p038850.1_BraROA transcript:A05p038850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVIPQSRCSPFTNGTADVTKEKDQKEEAVRLGVELSLCMAEAMFILSDDRRSMTYFCFFTLFKTKMDRRGPAVRRLYRVIQHVYTTYIKPKNLVYIDGGESTQSKLMGTFRQDFVSAIRGLAHTVSTLEIGCLVKPSVLEQYNQELKKLEENLGSVKDVSQFQRQMVIKLDKTINSELLRPLLNELNKEVCARSLASLAIKP >A01g502380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8260746:8261616:1 gene:A01g502380.1_BraROA transcript:A01g502380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACGIGWYIKINWFYIDIKSIASSSHILLYNGCVRVKQNPDKAEGSDDEAYLDIGNYNVPQSDGNNNMLGSLRYGHEQLYSVTGRINSPQNVFWINTTQEWLHLAADLEKMELLQCVNASLCLPEKPKLVVGLKAATANIFVNNAVYRDFLYDTFGVSSSDMESSAVAMTCVSNGYPVIVIRGLSDLAGAQTGTNAIRKFGSLAAANTARAVLEFIKKLPSNYNVNS >A03p062040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26949496:26953612:1 gene:A03p062040.1_BraROA transcript:A03p062040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alpha,alpha-trehalose-phosphate synthase [UDP-forming] 4 [Source:Projected from Arabidopsis thaliana (AT4G27550) UniProtKB/Swiss-Prot;Acc:Q9T079] MVRPRLLVVSMSLPVTAKRTGEEAWSFTMSPGGLVSALLGLKEFETKWIGWPGVDVHDAVGKKALSIALAEKGCIPVFLEEVCDQYYNGYCNNILWPIFHYLGTPPEYRNDGTITYQSQYDAYKKANRIFFDVVNEHYQEGDVVWCHDYHVMLLPQYLKEYNSKMKVGWFLHTPFPSSEMYKTLPSRSELLRSVLTADLVGFHTYDFARHFVNACMCILGTEATSEGVVDQGKFTSVEVFPIGIEPERFINTSKLSEVTEYMKKFKSDFGGRKLILGVDRLDTIKGIPHKYQAFEKFLEENEDWRGKVLLLQIAVPTRNGIGEYQKIKDQCHGVVGRINGRFGSISSVPIIHLDCSIHFHQLCALYAITGAGQSLGAGALLVNPWNIKEVSNAIGEALIMSPEEKEKKHQINFQYVKTHSTQQWADDFMNKLNEVTSKAELETGKAPHELPQHDVVQQYSKSKNRLLILGFYGTLTKPNKNQERKDEGMNLELHPQLKERLKGLCSDPKTTVVVLSRSEKSVLDQNFGEHDMWLAAENGMFLRHTAGEWVTKMPENMNLEWIDGVKQVFKYFTERTPGSYFQASETSVVWNYQYADAEFGRAQAKDMLQHLWAGPTSNASVDVVQGRYSVEVHSVGVTKGSAMERILGEIVLQNKSITTPIDYVLCIGNFLGKDEDVYTFFEPELTKKTMSSPYYGSGPLKKVSSTLVDVKGDNYFSVAIGNTHTKASYFLDSSDDVVQLIHKLCTHNNP >A02g505370.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A02:16317964:16318530:1 gene:A02g505370.1_BraROA transcript:A02g505370.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDTMPSRCLIHGLTTSILTLSAHMSLSMLRSQVSRHLVLLGVNQHAVLSWASTPPASLATYHARQVTSRPYLIDKASSGFSVHSTDFDPSGKFSSRDQSRIFFYARSDEMNIFNKLQVNPDLDGKYFSSLRLPRKIDNTKIRVFAKLRVFPSCFDPVVLRSRRAYFPSCLTPVLLPTYNIFQMIFW >A09p008930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4586022:4586607:-1 gene:A09p008930.1_BraROA transcript:A09p008930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFPTLMSQFPSSTKTIPASHLLPIQWPQPPNEEILLAMEEAEFEEKCNEIRKTSPSLPVVGKPVVDNQQEEDDDDEADDDDDADNGEESDGEEFEQETR >A10p040150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:22161004:22161922:1 gene:A10p040150.1_BraROA transcript:A10p040150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKRLRINQRWADKQYKKSHQGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >A09p013330.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:6841026:6841988:1 gene:A09p013330.1_BraROA transcript:A09p013330.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVPFLNVLKDVVGTLNESRKLFLKNKKLMFSVLVFPLLLNGLVYLFTVLAIKPEITNLIQESNLLPMMDPSSPEYIAQLMRVFADFRQFVVSSYFFSTVSFVIKLLSVLIIVHASALTHKDENVNLRDFPVLTLKSWKGPLVTYFYISLFSLGYWFLFFIILFPLLLLSSNFSSLATKSWSLFVLFALFESYLAIVWYLSLVISILEETYGFQALGKAAKIVKGMKPQLFLLNVIFGLLCFGLAQVVRLVDLRWSFAVTLTTGLVLVGSIFAVRMFQLVIYTVAYFQCKSLRGQDIESLRDVEYTKLSSTSLIGAALP >A09g516430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:48611885:48613417:-1 gene:A09g516430.1_BraROA transcript:A09g516430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLATTLDDFVPSSSSVPCCVEDQKIMLRTALFQVSGRVKLKDKTGSLSRTVFCLDIKESGRISQIIVTVLNLKCTGILLYTHSPESSRITVNFSCDTEQDHEDTMMGSHPGGRVTACSVSVRSWNI >A09p068470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53646901:53648497:1 gene:A09p068470.1_BraROA transcript:A09p068470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A-7b [Source:Projected from Arabidopsis thaliana (AT3G63350) UniProtKB/Swiss-Prot;Acc:Q9M1V5] MEPSSSSRVWSMPVPMEGLQEPGPCPFLTKTFEMVDDPNTNHIVSWNRGGISFVVWDPHSFSANILPLYFKHNNFSSFVRQLNTYFWRTSTKRSASSYCEMGFRKIEAERWEFMNDGFLMGQRDLLKSIKRRTSSSAPPSLHHSQGDPCVELRQERHVLMMEISRLRQQEQRARGYIQTMEQRINGAEKKQRHMMSFLRRAVQNPALLQQLLEQQRRELEEASMDQVKPETVEHVSELEALALEMQGHGRQRTEEVEKELDDGFWEELLMNRDESEEEANVNTC >A04p022110.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:13323117:13326280:-1 gene:A04p022110.1_BraROA transcript:A04p022110.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable trehalose-phosphate phosphatase E [Source:Projected from Arabidopsis thaliana (AT2G22190) UniProtKB/Swiss-Prot;Acc:Q67X99] MVRFIEENITKMLETKIISKSEVLYAGGDDGDTSPATKIFQDFQINEEDVGRGGGLIRSWVDSMRACSPTHLKPFDNQSSWIKEHPSALNMFEEILHESEGKQIVMFLDYDGTLSPIVDDPDRAFMSNKMRNTVRKLAMCFPTVIVSGRSREKVYSFVKLTELYYAGSHGMDIKGPEQNSKYKKKTQSLLCQPATEFLPVISEVYMKLVEKTKSIQGAKVENNKFCASVHFRCVDENKWSDLAHLVRSVLKNYPKLMLTQGRKVLEIRPIIKWDKGKALEFLLESLGYENCTHVFPIYIGDDRTDEDAFKILRDKRQGLGILVSKYAKETNASYSLQEPDEASCYGFFGTSGGMEKVKEWGMKSLQSLVAINANLQTVNI >A04g500780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:2274108:2275563:1 gene:A04g500780.1_BraROA transcript:A04g500780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKYQSGVAMTRDIEEELSETDEDEPSDETAVEERCEAEGTEKNQNQSEIPCEPASVWSGPVTRSRLRAQEERLQEIAKTVGLGSRQGDQDKPACWFNLITFKPSLAPTHRLRETFIVQRRASSLLEHPLSIHLQVIQSLSHTQAAPSVQFEAMIAEITRRMQNTYNRAQQANETLPGDNAGQERDAVAAGAQRARIGPIRGQRVELRGRGIYGEHYRE >A09p015760.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A09:8200553:8201791:1 gene:A09p015760.1_BraROA transcript:A09p015760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:At1g63930 [Source:Projected from Arabidopsis thaliana (AT1G63930) UniProtKB/TrEMBL;Acc:Q9CAK4] MRPAQDNQGSFLGRISIRRNQFTDVNTEQEQEDLELFQKHIADRFTELLTPPPPPQPPSDETDTVAFVAATEQIMSVTWLRKLMDVFLCCEAEFKAILLMGRDPTQISKPPFDRLVPEMLDRSIKALDICTAVVNGIDSVRHYQRLAEIAVAALEQRPLGDGNVRRAKRALANLLIALSIEDKENVSSGGGGNKTVERSWSFGRRGGGSSAASKGGATIGQLKSSSWAVGRNWSAAKQIHAMTANLTPPRGNEAAGLPLPMFIMSTVTVFVMWVLTAAVPCQERAGLANHLPVPKHLNWAQSLVGIHEKIGDEWKKKEKKGSAGLMEEMTRMEKLGHSLIEFADGFHYPAEKEAAESAAAQVAEMAEICRRMEEELVPLQQQIREVFHRIVRSRAEILEVLEQAGKLSAPGV >SC150g500050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000032.1:115109:115508:1 gene:SC150g500050.1_BraROA transcript:SC150g500050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSEEKRQWCVCEDHEAIHSSLFLRGSNLLRSMMKDEEAMSKAASSVEVIGEWLKHPHVAQATRWRLYKKKKQPRLSKRSCISKKRLIWCVRALQPNKRLGERGRDQD >A02p006010.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2553118:2553579:-1 gene:A02p006010.1_BraROA transcript:A02p006010.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENODL21 [Source:Projected from Arabidopsis thaliana (AT5G14345) UniProtKB/TrEMBL;Acc:A0A178UD68] MLLQLSMIGLPINGFKLETSFVRFKYKKDSVMQVTKEGYKQCNSSHPRFYSNTGKTRFMFDHSVPYYFISGTSGHCEKGQKMIVEVISNDRTTTSSAPPATMVVWFCFFSFTLYLVA >A07p001730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:4267459:4267943:-1 gene:A07p001730.1_BraROA transcript:A07p001730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQNQHLVQPFDDWLNAGNIGGDGFLSEEEIRACNNEMLETDDMQQLLRLFSISGGGSGGPQTPMNMGEDGFGFHSFVAENFLDFQWKTTHEVTMLDQQMLLWKRILQRLSFVCCSSWVRY >A03p044410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:18535519:18537559:-1 gene:A03p044410.1_BraROA transcript:A03p044410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIDIGTAAGGGGRREPLINRENKFTRCVSHAQDELQSFRKYLRWMCVDQSSPWTAVLSWSMFVVFTLVVPATSHFVIACADCDSHHSRPYDSVVQLSLSSFASLSFLCLSRFVSKYGLRRFLFFDKLWHESETVRQGYTNQLNRSLKILSYFVTPCFLATSAYKVWWYASGASRIPFLGNVLLSDTVACLMEICSWLYRTTVIFLVCVLFRLICHLQILRLQDFAQVFQTDSDVGTILSEHLRIRRHLRIISHRFRTFILLSLILVTGSQFYSLLITTKAHAELNIYKTGELALCSVTLVTALLILLRSASKITHKAQAVTCLAAKWHVCATIESFEAVEGGSPRLVDRESGHGFSSKDVDTGDTDDSEDYGDEEDDFDNNNLIPAYAYSTISFQKRQALVNYFENNKAGITVFGFTLDRSTLHTIFGIEMSLVLWLLGKTIGIS >A08g510310.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22708572:22712288:-1 gene:A08g510310.1_BraROA transcript:A08g510310.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKEIMGHPLISGRPSLVFSASHFKKKTQTTQFSIKPFDRRPKTSKSGVVSAISEDLVKTLRFSTTTGDRKSEEEEKAAVKFKVRAVVTVRNKNKEDFKETLFKHLDAFGDKIGRNIVLELISTELDPKTNLPKKSNAAVLQDWSEKSKTKAERVHYTAEFTVDAAFGTPGAITIMNKHQKEFFLECITIEGFALGPVHFPCNSWVQSQNDHPEKRIFFTNQPFLPSETPEGLRKPRGKELKNLRGDGTGVRRLSDRIYDFDVYNDLGNPDKSSELARPKLGGKERPYPRRCRTGRQPTDTDNEAESRVEKPLPMYVPRDEQFEETKQDTFAAGRLKAVLHHLVPSLKASILADDFSDFGEIDDLYKEGLLLKLGFQDEIFNKFPLPKGIVNTLQESSKGLLKYDTPKILSKDKNAWLRDDEFARQAIAGINPVNIERVKTFPPVSNLDPEIYGPQHSALTSDHIIGHLDGLSVQQALEENRLYMLDYHDIFLPFLDQINALDGRKAYATRTIFFLTRLGTLKPVAIELSLPSHGPNHRSKRVVTPPVDATSNWVWQLAKAHVSSNDAGVHQLVNHWLVLKTLRTHACLEPFIIAAHRQLSAMHPIFKLLDPHMRYTLEINALARQSLISADGVIEGGFTAGQYGLEMSSAAYKSSWRFDMEGLPADLIRRGMAVPDPTQPHGLKLLIEDYPYANDGLLIWSAIQTWVRTYVERYYPNSNSIQTDSELQSWYSESINVGHADLRETEWWPKLDTVDDLVSILTTLVWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPDESDP >A02p058550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35095460:35096738:1 gene:A02p058550.1_BraROA transcript:A02p058550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKENQLETGVNDHDCPPLKTDELATVARYSTDSDSGLPTCRVCHSAESDKRGDAALGFLGITLKTNADETVDDNVSKSTETDIEMGILHHHQDPLLELGCSCKNELALVHYACALKWFLNHGSTVCEICGIAAENIKTADFNKVVIALRDHTALHTDATVDTDEAAVIRRQRLSEISSWFGPHALNNNNNSSSVAASQAVSEQPLGVVNFGVLPMENRATKWAVEGTGILLATGLLTVTLVWIIAPRVGKETARSGIHILLGGICALIIVIFFRFVVLTRIRYGPARYWAILFIFWFLVFGIWASRSSSHNNS >A05g500850.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:3117307:3118514:-1 gene:A05g500850.1_BraROA transcript:A05g500850.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRRKVNVSLSDGLFLLMQKAGLTRADAARDAMRAFGFAERLINVCIKELLEVYGEGGWFLIEECSYSVLLNKCLEKSDEQDKNLAEDRIEERAEEQNLEMADAEEQEDNEMVEAEQDQNQEMVEAGQDQNVEMVEAGQEPTPQLEEKQKEQEQQVEDGRGDVGSNSTSLVGGVAETGAKTCQTEALSTTSETDILDSSSPAGEASVIYNASPAADASGGFAQRPAEGVKSSGCGGWISDSDEDEEPDAVSVGDDDEIIQLTPEPLCEELEELVKELQGEKKKKRTRWED >A02p056820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:34250790:34252072:-1 gene:A02p056820.1_BraROA transcript:A02p056820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAGNFRLLSKDSSKDLWSSVDNPTDTLLPIRIQAYGIVYKGVLKVVGDSQVTVAVKKLDRVVQEGEKEFRNEVIAIGQTYHKNLARLIGFLQRRVESFDRLRRPRPNWKDRRRIAVEISRGILYLHEECCEQIIHCDIKPQNILLDESYSPRISDSGLAKLLRMNQTNTLTNICGTIAMEWFRNNPISSKVDVYCYGVMLLEIVCCKKAVDLEDNVILINWAYDCFRNRRLGDLMEDDLGDGGYGDGREICENRDMVYTRTRNET >A01p026570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:19466566:19469104:1 gene:A01p026570.1_BraROA transcript:A01p026570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase [Source:Projected from Arabidopsis thaliana (AT3G50530) TAIR;Acc:AT3G50530] MGLCHSKPPSSDRVPAQNSPLPASKSIKPPPNGDNEGKKSPFFPFFSPSPAHSFFSKKTPARSPATSGNSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKAIPEGGESEVGLDKSFGFSKGFSSKYEVGEEVGRGHFGYTCAAKFKKGDSKGLQVAVKVIPKPKMTTAIAIEDVRREVKILRALSGHNNLPHFYDAYEDHDNVYIVMELCEGGELLDRILSRGGKYTEEDAKTVMIQILNVVAFCHLQGVVHRDLKPENFLYTSKEDTSQLKAIDFGLSDYVRPDERLNDIVGSAYYVAPEVLHRSYSTEADIWSVGVIVYILLCGSRPFWARTESGIFRAVLKADPTFDDTPWPLLSSEARDFVKRLLNKDPRRRLTAAQALSHPWIKDSNDAKLPLDILVFKLMRAYLRSSSLRKAALRALSKTLTVDELFYLREQFALLEPSKNGTISLENIKSALMKMATDAMKDSRIPEFLAQLSALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKEGNRPIMIDELASELGLGPSVPVHAVLHDWLRHTDGKLSFLGFVKLLHGVSSRTIKAH >A01p040930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:21825668:21828756:1 gene:A01p040930.1_BraROA transcript:A01p040930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFKRNLTLVTTLSILLQLCSIVSCTTSTSITRNNTIRDGDALISEEEVFELGFFSPKDSTLRYVGIWYKNIEPQTIVWVANRERPLSDHNGALKLADDGNLVVVDGQNNTVWSTNVPPKLNNTVAVLLETGDLVLSSDSDRDTRFWESFNNPTDTFLPGMRVRVNPTSGENRAFTPWMSETDPSPGRYSLGIDPIGPPEIVIWEGETRKWRSGPWDSVIFTGIPDMFRVTNYIRGFKLSAPPERDGSVYFTYVPSDSSDLLRFQITFDGVIEQFRWNKDAKTWTLLLLKPSTECEKYNRCGNYSVCDESKEFGSGKCSCIDGFEPVNQNLWDDGDFSGGCKRRVPLNCSQSVREDEFMELRGMKLPDFGSFVSLRNSETCKDVCVRDCLCNAYAFVRGIGCMIWTRDLIDMERFQHGGQSINIRLAESELGGKENSKLWVIILSVIRAFLLVLCIWTLWKFKKRVKAILWRKKHLPVFEENKDYSVKSSSSTSQVLVGGLVDTPDFPIFSFNSVALATGNFAEENKLGQGGFGKLSRGHRDRDESKRGSLDWKKRWDIIGGIARGLLYLHRDSRLKIIHRDLKASNILLDKEMNPKISDFGMARIFNYRQDQANTIRVVGTYGYMAPEYAMEGMFSDKSDVYSFGVLILEIVSGRKNFSFRGSEHGSLIGYAWNLWSQGKTKELIDPTVKDTQDVNEAMRCIHVGMLCTQDSVIYRPNIGSVLLMLESRMSNLPRPRQPTFHSFLNSGEIVEGQDVATVNDITLTTVVGR >A10p000230.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:151222:151449:1 gene:A10p000230.1_BraROA transcript:A10p000230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLSFSSDFHLVVLTLLTLLIISSLQIGFFPKERAFSLRYQTAGMLDTTLRQGVLGEDGTGEESPRFGLLHSL >A09p047580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:41319558:41320672:-1 gene:A09p047580.1_BraROA transcript:A09p047580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKNTSFEEKSFTSLTNCLQSSLVMDYNSLEKVFNNSSPFQPISPSSMGQIANYPYLNLNPYSPIVPSSSNEADPKESLGDKISMEDNEGDQRGVGESSKQLSYYRCTTQKCNVKKRVERSFQDPSIVITTYEGKHNHPIPSSLRGPVVAEHLLGNRENGLLRSFPRHHQEFLMMNHSPVNYQSVGYVPYEHSRPNSSNLREVVDYGLLQDIVPSMLLKHES >A02p004660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2026997:2030638:1 gene:A02p004660.1_BraROA transcript:A02p004660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAEVPCDVVSDNRSGLGGGISDVYGEDLATLDQLVTPWVSSVASGYSLMRDPRYNKGLAFTDKERDAHYLTGLLPPVVLSQEVQERKLMHNLRQYTVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRKPQGLYISLKEKGRILEVLKNWPQRGIQCLPITIDVGTNNEKLLNDEFYIGLKQRRATGQEYAEFLHEFMCAVKQNYGEKVLVQFEDFANHNAFDLLSKYSTSHLVFNDDIQGTASVVLAGLIAAQKVLGKSLADHTFLFLGAGEAGTGIAELIALKISKETGAPIDETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHEPVKNLIGAVNAIKPTVLIGTSGVGQTFTQEVVEAMATNNETPLILALSNPTSQAECTAEQAYTWTKGRAIFGSGSPFDPVEYDGKTYFPGQANNCYIFPGLGLGLIMSGAIRVRDDMLLAASEALAAQVTEENFANGLIYPPFSNIREISANIAASVAAKTYELGLASNLPRPKDLVKFAESCMYSPVYRNYR >A02g500510.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:1480670:1481169:-1 gene:A02g500510.1_BraROA transcript:A02g500510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFAKLKQFLKSREPFGMAHGGNFSRIYRKVQLKPLKWDGECEEERPVEALMILKYGGVLTRAELFNLLTFNITCHII >A09p011780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5976892:5977609:1 gene:A09p011780.1_BraROA transcript:A09p011780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIPFLYKAMVMYKREGSFSSVLLSDHHSHQLPVTTKDFLATPPVYFERQTSDDLGPTVLDCLKRRLLHHLACPLGTSRNVRTFKYVLPRFSNCSEDSEGSVMIAGSYVHGSKSNLKTAAKREESHIESLEPAIYRDTVNEHRHSDSIKKVKTENSG >A05p013040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5673475:5675557:-1 gene:A05p013040.1_BraROA transcript:A05p013040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANTKWVAATASMWIESFIGASYTFGIYSSLLKSSQSYDQSTLDTVSVYKDIGANAGILSGLFYTAVASGRSGNGRFFSGPWLVIFVGLLQWFVGYGFIWMAASGVVEPPPVAVMCLFMFFAGHCQPFFNTAIVVTAIRNFSDYGGTAVGIMKGYLGLSGAILVQMYHIFCEGDPANYLLLLAVVPSIFILTMMPFVRAYDTVVPGHKKYLNGLSSISLIVVTYLMVVILVENVIGMPRSMKICSFTLLVLLLVSPLLVTVRAHREEKERLLSLDFPVTERSTLLDTPKPNTSNDVDVVMTNDMNVLEAIRTINFWLLFLAMICGMGSGLATINNIRQVGESLRYSTVQLNSLVSLWSIWSFLGRFGAGYISDAYLHSHGWPRPAFITISLVIMALGHIVMASGLLGSLYIGSLLVGLAYGSQWALMPTITSEIFGILHMGTIFYTIGIASPVGSYIFSVKVIGYFYDKVASADHSCYGSHCFRTSFVIMAIMSVLGSLISFVLFLRTKKFYATLVAKRILK >A05p005550.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:2135855:2137834:-1 gene:A05p005550.1_BraROA transcript:A05p005550.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESVSDDDGLYPDEPFGSEFETEEILQVEKGEGSGVVFAREAPLIANQPSEGFGCSTKKLKCSGDVDVLEMGSLGKEKKLSRQDRIELGRLFQNATSSQDWELSERMIDLADLQTLNDLLCISLDSIWFLSTEGELRGITGLIAKIICHGAHDYTRATLRTSFLASCVSSCRNRTVSLSDSVTVMAQRLHERLQECNGDEVLKAEASTKVQKFTEWALKCIGFHSRCQGGARDKANQDSAAEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFSTSFNPGWASGISATVIHGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYSKIETMDCLVEEGHAMAFLGPLMRAAERGCMQVVEWFVKRGCREMELCLALTAATSSSQVEIAAYLLPHVPRPVLTALSIEILKAAGERSDGSLYGVEFLLKSDFLGDPVATYSVADTIAKSEDESVPSELKTFLQEQWSEAALSQGVRESRENFMNFMRVLKIGESAIRLKDLPGPLRVAVAYMPLYRECVKAGGRLLSQRLRGQLMEAVKQLQGIVVDTEEACKGGHHHQLMAVLEHHLPLFLVKASSH >A06g505740.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:16393451:16393969:1 gene:A06g505740.1_BraROA transcript:A06g505740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSPKPAKPVLHLPQLEANRFNQLQTRHWRPGNHFNQSGDILGIQEEFYEFIPCTSNHWIRRILIYFNLPYLESQALKLQQLFFLQSMHDISTFQTIKKFPRKLTYPLKPSRYKEDTIYIHLAKILIIKPPTASFQGAINSFASKFIISILFLSLCHFMTVRESLVVPIKL >A08g502690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:5582806:5590360:-1 gene:A08g502690.1_BraROA transcript:A08g502690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELSMSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTSSANSTECNAVQILTHEEFAAKHPHPPSPFYEKIDRSVNSTIDRQKLGFIGACHCGVEYESEYETEYSELIDTPTFPSIASNVSTVTDDRNNTSLDVMHPVDHFASPNHCYQHFAFQPPSKRGHDDYSIGSWADSSFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERLETHKFTNTFPTSFDAVHSTSVDTHPRLAKQPLTSIDTRKGTSIDIRAATKIQEQEHIPSQTRKIYEEQSSQEHKFCRNYSVIDRYVIQTDPNNHAGVTTTEINPDLSRLPKGQAYVDGTTETSIDRITPTSIDIDEPTSIDRRYECGNHAFDMYGARKFTWEQRDEYGVYRDERGHARGVAGEMIPVTKDNIRKILERASLFKESHICLPEHATSFTLTRLAPELYTKEEIDEMVFGICGAQEKLGEELKTLVEDTHQPLDRGYNELFRCMAEMRTGIESLRQQLEKEATTSASIDAPRATSIDVSLPTVQIPAEPQCSTQHGDEWEVSYIDTRINDVYCPLNNNVDWLSTKIELLQQDLDTIRKKDQQPATSIDMCTFTSLDAKVSAMNERLRTYEDMHAALYHQLRGPWIDGKKPVELLPYTAAEVDKITSKIYTAVDTMEERLDKHCDDIYFRFDNKISGLDNHAEWLQKKVKAIQRQLAAQHQISASIDRTKAKSIDSNSPRSTNEHIIASIDAETIPIGEQLIHKTVESMQKELTDLSAYAYDKIGWHQVSIDNVQERLQNISNVLEKMDDKWTRNNEATRNSTKDAKADQPINYTLTLNRMKQVWKSSKRDLEAAIFKARFRKELLDIGQKEVNRTWWQPPLSFDSWKPVQSWSLILQWKQTLTQERNLEREKLGTNFYLQLQIPHKGHFTRADHLEVDERKNNRSMRISADDRYQEMPRQLKINIDRCTQIPSIDAWLEPVDRCPQLTIDRCWQRCIGRRLNRLSIDTLLCLHLTGETQDLVC >A06p010790.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4063493:4064869:1 gene:A06p010790.1_BraROA transcript:A06p010790.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIKFPVIDLSKLNGEERDQTMALIDDACQNWGFFELLNHGIPYDLMDNIERMTKEHYKKFMEQKFKEMLRSKGLDTLETEVEDIDWESTFFLHHLPQTNLYDIPNMSDEYRTAMKDFGKRLENLAEELLDLLCENLGLEKGYLKKVFRGTKGPTFGTKVSNYPPCPNPEMIKGLRAHTDAGGLILLFQDDKVSGLQLLKDGDWVDVPPLKHSIVINLGDQLEVITNGKYKSVMHRVMTQKEGNRMSIASFYNPGSDAEISPAQSLVDKESEYPSFVFDDYMKLYSGVKFQPKEPRFEAMKNAAVTTDVNPVATVETF >A10g504510.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A10:11025291:11025638:-1 gene:A10g504510.1_BraROA transcript:A10g504510.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMEAVVVMEVMVEGVSVDTMVVVGGGGYSSGGDGGGYSGSGYGGGSDGYRSGSGGGYGGCGVRREDSYSGGVSGSTRLPSTHLMSTRLPSTHLSSTHLPSTHLQSHILILYI >A07p004180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:2998978:3002379:-1 gene:A07p004180.1_BraROA transcript:A07p004180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYGNPNKQSSSLYPTVDMSNPEAPLNPISSSSTSNLYPSLDMNDLANNLFPEQPETRSIPVSAPPAATEEVILTISGAILHLIDQSYSVELACGDLAIIRIVQDGNVVAVLARVADEIQWPLTKDENSVKVDESHYFFTLRPSKDFGSDSSDEDEDNADMLNYGLTVASKGQEHLLEELERILDHYSCFTVQKVSEEAKETGEEVLDVTVARETSPVELTGERKEIVEKQCAAYWTTLAPNVEDYSGKTAKLIASGSGHLIKGILWCGDVTMDRLNWGNDFMKRRLSKAEKERDVHPDTLKRIKRVKKMTKMTESVANGVLSGVIKVSGFFTSSVANTKVGKKFFSLLPGEIVLATLDGFNKVCDAVEVAGRNVMATSSTVATELVDHRHGGKAAEATNEGLEAVGHAFGTAWAAFKIRKAINPKSVLKPSSLAKSAIKSAASQKKA >A03g507930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:27931730:27935240:1 gene:A03g507930.1_BraROA transcript:A03g507930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding STDPLRIDRSIPCPGKNPKSIFLVLGRKSNSHPKFINPNSLNSLIWTIIWPNSYDFVSFLRIYHSLVCSAKEILHSFMESHIDGVVLLATDFVQKDEKKDERVDRILDMINSKHDWNNHVWGVKEATNSEFEESGEEKGDDQRADTERGENSHVAGNVDGTADVSGRNKRKHADRGAESRKKNVLCHLAASSKGNIDTDMKNFLEDLVQASFTTFGEKFCQQFSDRLGKIETEVTQLRTASERTEQFETVVTDRLGKIEAEVTQLRTSLVVTELMGKSDQASGPSLTKINSGPSTSKKGTAPSKKKAVKNQELKTADSCVNLPRAKVTQSSASDLSMDYRDIELDGENFPDRCLVFVHPTDFKKMQDWQDTRTATQIGPSMLDGDLAGRIMSASSWLKNYVSIRLEIDAIMYVFRERTTLKRWNVDRVAFMTCVFSDLIAKDYQNFCKGIKKYTMDPLLLQYGKGELPSHGKTQMLWIVDVDRMYVHVWVNCNHWIALCISFVTRNIQVFDCGGKKKIKEVEAFAQLIPRIVKAVQSLTIQKHFHITPY >A02p005400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:2369822:2372005:-1 gene:A02p005400.1_BraROA transcript:A02p005400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NRT1/ PTR FAMILY 6.1 [Source:Projected from Arabidopsis thaliana (AT5G13400) UniProtKB/Swiss-Prot;Acc:Q9LYR6] MVVSEIKSPLTPGGCSSSSVHRKELSVFFLESDNRRLALGRGYTGGTTPVNIHGKPIANLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFESSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLLGLIGITLGASLKMFVPDQSNCDQLSLLLGNCQPAKSWQMLYLYTVLYITGFGAAGIRPCVSSFGADQFDEKSKEYKTHLDRFFNFFYLSVTLGAIIAFTLVVYVQMEHGWGMAFGTLAIAMAISNALFFAGTPLYRHRLPGGSPLTRVAQVLVAAFRKRGAAFTSSEFIGLYEVPGLKSAINGSRKIPHTEDFRWLDKAALQLKEDGLEPSPWKLCTVTQVEEVKILIRLIPIPACTVMLSLVLTEYLTLSVQQAYTLNTHIQHLKLPVTCMPVFPGLSIFLILSLYYSVFVPITRRITGNPHGASQLQRVGIGLAVSIISVAWAGLFENYRRHYAIQHGFEFNFLTQMPDLTAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFAATILNNIVKAATRNSDGNSWLSQNINTGRFDCLYWLLTLLSLLNFCVFLWSAHRYKYRAVETEEDKSRTYL >A07g505450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12158981:12163472:-1 gene:A07g505450.1_BraROA transcript:A07g505450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGENNRCFKTPNPGSSEIPRRLPRPLLSRFPATPPFSLASNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMSVAELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDKGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYNHFVHKVMDNYGKQMYEWKKKWEANKVPKSMNDTVWKELCEHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGEPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRMVGLGRRSRSAAPSSAPPPYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNEIFTEEGMKEATSDYDDSRILGQGGQGTVYKGILPDNSIVAIKKARLGDCSQVEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINSGTLFDHLHGSLFDSSLTWEHRLRIAVEIAGTLAYLHSSASIPIIHRDVKTANILLDENLTAKVADFGASRLIPMDKEQLTTMVQGTLGYLDPEYYNTGLLNEKSDVYSFGVVLMELLTGQKALCFDRPQYSKHLVSYFTSATKEKRLHEVIDGQVMNEKNQREIQEAARVAVECTRVTGEERPKMKEVAAELEGLRATKTKHQWSDNYPEPEETEHLLGLGILSAQGETSSTGYDSIKNVAILDIEAGR >A04p001710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:831154:834164:1 gene:A04p001710.1_BraROA transcript:A04p001710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:65-kDa microtubule-associated protein 4 [Source:Projected from Arabidopsis thaliana (AT3G60840) UniProtKB/Swiss-Prot;Acc:Q9LZY0] MIRNSTEQFSRIETTCGLLLRQLQEIWSEMGENEEEKDAALADIEKECLLVYKRKVEEASRCKANLLKEIAMGRAEIAAIGSSMGGQEIHSNGRVGENLKEELENVTVQLEELRRKKSERMNRFKEVIDELLSLSFQLGDSTDYLKQLGAEEADPSLHKLEELRRQLAQLQNEKSKRLEEVERLLETLTLLCSVLGEDLKDLIRGIHPSLVDSNTRDVSRSTLDKLDLMIGNLRGVKLQRMQKIQDLAVSLLELWNLLDTPAEEQKTFHNVTCSIALSESEITEANILSVASIKNVEDEVIRLSKLKITKIKEVILRKKLELEEISRKVHMAPQVLKSENFSIEAIESGVKDPEQLLEQIDSEIAKVREEASSRKEILEKVEKWMTACEEESWLEEYNRDDNRYNAGRGAHLTLKRAEKALILVNKLPGMVETLTAKVNAWEDERGHAFLYDGVRVLSMLEQYKTLWEEKEFEKQRQRDLKKLHGQHITEQEALYGSKPSPGKSGKKPLRSVLPSSAMNRKLSLGGAMLQSSKPEKATLNSKKTTYYDQNAPSRRDSTIPNPSGRRNSELPGRLRAKNVPAAGKAVMNKGRSPMLRKPLSPVTSNILNSPEDHKDTYTTKSSSKTNEENRRTAVPISAPTTPAASVVMSEATTPFTPASTAVKKKLDNAEGVEYSFEEVRAGFC >A07p052020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:27514473:27517995:1 gene:A07p052020.1_BraROA transcript:A07p052020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANLRYVLAQVSQGGRDVGSRRDFISYLGNNSKTKGGRTRPVTRLIKEKLRLFGRSWTYGYCAVMSWAIIQMFEHRRRIEIDLAERSGSVTPSPADSVSSLSFSPRADILVATSWDNQVRCWEISRSGTSLATAPKASISHDHPVLCSAWKDDGTTVFSGGCDKQAKMWPLLSGGQPVTVAMHDAPIVDMAWIPGMNLLVTGSWDKTLKYWDTRQQTPVHTQQLPDKCYSLSVKHPLLVVGTADRNLIVFNLQNPQTEFKRIVSPLKYQTRCVTAFPDQQGFLVGSIEGRVGVHHLDDSQQNKNFTFKCHRDGNEIYSVNALNFHPVHGTFATAGSDGAFNFWDKDSKQRLKAMSRCSQPIPCSSFNHDGSIYAYASCYDWNKGAENHNPATAKSSIFLHMPQESEVKAKPRVASGRK >A02g504490.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:14705216:14705594:-1 gene:A02g504490.1_BraROA transcript:A02g504490.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTLMISLILVIVLGAYLAVHKIINKVVVREVVSKHKSSSDTNTSKSSSDTNTSTDTLPSTTPTSQETAIDNLTYIDNND >A06g509380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27238332:27238772:-1 gene:A06g509380.1_BraROA transcript:A06g509380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFYVPFWKYPFGSLSKASLKIRPSLPGAFIVFISGPDPIPENKPATAPTTAMTAMAISKTEVSFFSSSLILIR >A01g504900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:14515904:14516239:1 gene:A01g504900.1_BraROA transcript:A01g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITVHFLDEKVNSVIHGFIPAGRANHYMSYLKAGFIVKVDRFEVARCSSMYKIIDYPFLIHFISPTIIDEVIMGALEINLQS >A01p049120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27619630:27620610:1 gene:A01p049120.1_BraROA transcript:A01p049120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNGRRIGVAVDFSECSKKALNWAIENVARDGDYLILITVAHDMHYEDGEMQLWETVGSPLIPLSEFSEAAVMKKYGVKPDAETLDIANTAARQKSITVVMKIYWGDPREKICEAAEHIPLSSLVIGNRGLGGLKRMIMGSVSNHVVNNVACPVTVVKAHH >A02p019720.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:9179561:9181009:-1 gene:A02p019720.1_BraROA transcript:A02p019720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLELTNGSLPISSDRMNNMNKLNARSIEMNIMKKTARSHMVFGSDSARSGMWQTVSPSGACRFSGRHEEWYVYLFITIQGGTLLNSGFSFLAVDPLLLMYGVLRSYALLRAYQNRENAKIEEKWVALLPTRLITIE >A04g504020.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:8434236:8434640:-1 gene:A04g504020.1_BraROA transcript:A04g504020.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMVLQCALINPPSMIPTDLPHTLSVSMISTSLFIALPQIVKSIPVPQILKSKPSSWRKRRKRLRCLNGNKDKDKDREFSQALIILSETKR >A05p011930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5202081:5203627:1 gene:A05p011930.1_BraROA transcript:A05p011930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFKKKTQIGFYRRRNSSVRTQTLFFFSVSNQSPIRNPNHQFLEEMSSDDGTTVEITHKTIGPSRPSRIRVASRIKVRDLRNAIAEKGRFPVANLRMILRGKALQDEEDGEDVYVTLKDEDSLTVAVIPKRPVGVETYDDDDDDEELKFKLPPSASRWKKKLYYFLRNKLKLPDIVLMGLFSLSLKMWAIITLWFILAPIAHRWELGPIFLLGTGFSIILLNLGKRQPGDVSAYSIFNEDFRELPGTYNAERIDRDIRAGHI >A03p034500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14549799:14554860:-1 gene:A03p034500.1_BraROA transcript:A03p034500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDDVSSMRTTTLVAPTRPTITVPQRPPAIETAAYLFGGGDGLSLSPGPLSFVSSLFVDSFPDVLTPDNQRTTSFSQLLAGAISVSPGGGGGRSMAGMFAGGSTMFTIPSGLSPSSLLTSPMFFPPQQSPGQTSLVQPQRPDSFPNQMPPSTSTAVHGRQYFEVSQADHRARNHYNNPGNNNNNNRSYNVVNVDKPADDGYNWRKYGQKPIKGCEYPRSYYKCTHVNCPVKKKVERSSDGQITQIIYKGQHDHEKPQNRRGGAVIAKASRISACSLQILAFQSYYKCTTANCTVRKHVERASTDVKAVITTYEGKHNHDVPAPRNGTAASSSATAGTSDHHRMTSMSGHMQQHMSFANTNNTGQSPHSSPAGPPRRLRRRLDAAVHRSHPENNHNRHVLPTPPSNRHVVNSSPKKHRKHDNDAPRSKTTGVSLRSGLSHGNVEAEQVAAGWPSWLSSAAPEAVHGWIPLRAEDFEKREKIGQGTYSNVFRACEVSTGRVMALKKIRVQNFETENIRFIAREIMILRRLDHPNIMKLEGIIASRNSNSMYFVFDYMEHDLEGLCTSPDIKFTEAQIKCYMQQLIRGVEHCHLRGIMHRDIKAANILVNNKGVLKLADFGLANIVTPRNKNQLTSRVVTLWYRAPELLMGSTSYSLSVDLWSVGCVFAEILTGRPLLKGRTEIEQLHKIYKLCGSPNEELWEKNKLHPQTKMFKTQHQYEGCLRERFEEFPKTAIDLLENLLSIDPEKRGTASSALMSEYFNTKPYACDPSTLPKYPPNKEMDAKYREELQRRRRVTIKKRDNLASKKTGKSRRTIREPTNLTKLPTQQESKKEATEIIVQTPSETSQATTRSEFPYTGLSQTTAPASGFAWAGTKKRKENDAASTLTYNQPAGSASHVSGMSMAFAKNTFGLTINEDKPFLRPHVSQDSSGVHHKKQEEDMASQMNAGANAKIFQTNGMNEILRRTESDARIGVQRPPRIERG >A09p004470.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2547513:2549965:-1 gene:A09p004470.1_BraROA transcript:A09p004470.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein OBERON 2 [Source:Projected from Arabidopsis thaliana (AT5G48160) UniProtKB/Swiss-Prot;Acc:Q9LUB7] MGTSSGSNHPHQMLPPRHQLRTGGALETTLSLVSHDGHEPRSNNNSDAIRESPAESASSQKTWPLADPVTAKKTTAVKQKTEPEEQQQQQTVMHHVSSADKVSVRDIARERVEIVAERMHRLPDEFLEELKNGLKNVLEGNVEEFVFLQKLVQSRSDLKNPATLLRAHRSQLEILVAINTGIQAFLHPNVTLSQSSLVEIFLHKRCRNIPCQNQLPADGCRCDVCAARKGFCSLCMCVICNKFDFSVNTCRWIGCDSCSHWTHTDCAIRDGQITAKNASGRSGEMMFKCRACNHASELIGFVRDVFQHCASNWDRECLVKELDFVSRIFRGSEDQRGRKLFWKCEEVMDKIKGGLAETTAAKLILMFFQEIELDSANSFENGSLIAPQDACTRIAEVVQETLRKMEVVSEEKMRMFKKARMALETCDRELEDKAKEVAELKAERQKKKLQIDELERIVRLKQAEADMFQLKANEAKREGERLQRIMLAKTDKSEEEYASNYLKQRMSEAEAEKQYLFEKIKLQESSRVAASQSSGGGGDSSQVLMYSKIRDLLQGYNLSPKVDPQSNERHPFRSNP >A03g500430.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1369958:1371497:1 gene:A03g500430.1_BraROA transcript:A03g500430.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNTCSVTFDKLRLMLNARGLKKHLKRLNAPKHWDLDKLGGAFAPKPSSGPHKSRECLPLVLIIRNKLKYALTYREVISILMQRHIQVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEEAKFKLCKVRTIQLGQKGIPYLNTYDGRTIRYPDPLIKPNDTIKLDLEENKVVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDSTGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLSAQQAA >A01p016520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:8189241:8190565:-1 gene:A01p016520.1_BraROA transcript:A01p016520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THAUMATIN-LIKE PROTEIN 1 [Source:Projected from Arabidopsis thaliana (AT4G24180) TAIR;Acc:AT4G24180] MIITLLHSPVSFCFIYIFSFLFFYGSNGATVTIVNRCSFTVWPGILANSGSSGLGTTGFELASGGSRSFQAPASWSGRFWARTGCNFDSQTGQFTCLTGDCGSNQVECNGSGAKPPATLAEFTIGSGPEDPTRKQDFYDVSLVDGYNVPMVVEASGGTEGNCLTTGCVADLNQRCPAELRFGSGSACKSACEAFGSPEYCCSGAYASPTECKPSMYSEIFKSACPRSYSYAFDDATSTFTCTSADYTITLCPSLPSQKSAANGWREGGGLAESSPSPLSTWLSDVFTSDSSKIHISWQYLLIFSCIFLLFRQF >A08p012950.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11615896:11624968:-1 gene:A08p012950.1_BraROA transcript:A08p012950.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTIGVETLAAYKKPMEGISVKRVASSHYVRDDDVQITGSSAGNRLEVSPTIVFDEYQRMKVLRRRPHPCVIPIVDAHVFPVVDAEEYNCWEMIRSYCCSKNPRFRRPGLLDPILVHMPNGPAPVWAVRCGASQRPITSKKRPIQEAGDRGTVAEITESIRYKKRSSDLEKDTILSTSETLSVVKNQIPLSDGRRRFARPSDPFRDNLCFGLRSGLLVQNCSWARDDDCRLFSIGVFFAWFLSRHSLGLVKCVVVVSGSGCDSRVVQSSRVKSMGFLGWRCVLLVLVEIDVIVWLGMNDNGKSRSKASRCWKVACLLTYYHGAQFKAIVEYAPSQRVPKPCDKKDPREGSITKDPEYLEFLKLIAQPVENLPSAEVQLERREAEQSGASKPAPIVTPLMEFIRQKRATVIGSQGSLDVRRGGRRSRAVSANKPSSRPSKRNSEKKKYVEKDNSKSVRSSKQDNSSTASVMDSSLPGISLTMESGKKKILLLKKDRDTPVNSPPQPEQQMETNLSSTSRQNQKIDDVGGRLIKGMLVRKEPRPSQSSSLVQPEPRVEPSEAENYKRPPRADGIYAGKDYHVSGTNSEKQERRPRNRDRPDRVVWAPLRRSDGSNNSEDQLSSSAANNGEVKQRTLLQRSGEVVNSSDGHSLENGSARYSSRRVGSRNRKEDGFAVTGEGKSSRRGGGGDPTSYEASQAKVFPPHLKQKWIQKSSSGYKHQPIPMEFVFERESIHLNGNGK >A05p053320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30819012:30822121:1 gene:A05p053320.1_BraROA transcript:A05p053320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYVMMKCIQYGHEIVALANLLPVDDSVDELDSYMYQTVGHQIIVSYAECMNVPLFRRRIRGSSRHQKLSYQMTPDDEVEDLFVLLSEVKRQIPSITAVSSGAIASDYQRLRVESICSRLGLVSLAFLWKQDQTLLLQEMIANGIKAILVKVAAIGLDPSKHLGKDLAFMEPHLLKLKELYGSNVCGEGGEYETLTLDCPLFTNARIMLDEFQVVLHSPDSIAPVGVLHPTVFHLEKKDSNSLEEKEPGLVFEVQGDGPNTPESTCQPDNGTVEHTRNRVSVSKTGKENTFSICCWVEGSSQSSTGLREDLETVLTETESQLLKQGFNWQNVLYIHLYISDMSEFAVANETYVKFITHEKCPFGVPSRSTIELPLVQAGLGKAYVEVLVANDQSKRVLHVQSISSWAPSCIGPYSQATLHKGVLHMAGQLGLDPPTMNLRNEGAVAELNQALTNSEAIAEAFRCSISSSALHFVVFCSARTEQSERDQLHEKFVSFLDLAKSSRRVLDPMFLYILVPDLPKRALVEVKPVLYVEEDPETEDETRQEQFGEGDYGCWGYKPEEWHQDCVQKRVVDGKICVTVLSISAEVMKKLHEPEEEQELERLTRFCVYLLNKTLSENSFSWKDTTSLRIHFSTSLGVSVKRLFNVFETAFKELNEMSPEGIVGDSKEPTFNLVPVLGAGNSSASLDNIITCELFSLRS >A10p021080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:14202154:14204991:-1 gene:A10p021080.1_BraROA transcript:A10p021080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSSEEGSNKILVAISLDHDESQNALSWAINVLAKPNDTIVALHLLVGEEPKKIPMKKKRRIQIRRAKAHVISMLGEFAYTCCQNQVNLEAKVGFCSKIGRGLINEVKSISAHYLVLSRPTSHAFRIWNEITRYVSDFAPSSCSIVFVGSQRKQHKDCYSDSDISRDIKSEKYSPRSVLSILSRDLISSSGDDASSFSSSMVSSSVASPSDKPKHRPMSPYRLISSLIMNSPLRKWRRNETKNNPKPKPLIQCFTYNEISKATNDFDQENIVGIGGYSEVYRGDLCDGRRIAVKRLTKESGDMIKEKEFLTELGIISHVSHPNTALLLGCCVERGLYLVFRFSENGNLYSALHGNLTSYNFHVITDFGLAKWLPNKWTHHAVIPVEGTFGYLAPESLMQGTVDEKTDIYAFGILLLEIISGRRPVNPSHNHILLWAKPALETGNTRELVDPKLQEKYDDQQMNRLVLTASHCVQQSPILRPTMTQVLELLTNGNEAEVAKSWRMPKDMTNGDENNNEWDDYSMLLGYDVPLDSFI >A03p037240.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:15588367:15590025:1 gene:A03p037240.1_BraROA transcript:A03p037240.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDCNRFLEYNSIPKLEFNPNIFLLYDDHKVKFSEIKNITQSAISLLMAFLKWVTESRVRLEDCSSSRTVLFLRYKVIILDEVHDRSLATDLLVGILNRTLISRPDLKLVVMSATLQAFNREYFRGAPLIKVPSGRLLHPVEILYTRQPVMDYLESSISKVIEIHMCEPTGDVLVFLTGEEEIEHACSRIVRSLGDQVTVVPLYSSLPPALQHKIFDPTPPPHAVRKIIVSTNVAETSLSMDGIVYVVDPGFSKQKNYSFLTTDELWFVSRISQASAYQRAGRAGKICFRLYTENTFNDFMDGETPEILRSHLVITVLILKRLGVDDLTFFEYIDPPCTRMLLRALTDLFHRGAVDDQGNLTNIGHMMESLEEPL >A02p058980.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:35280699:35284825:-1 gene:A02p058980.1_BraROA transcript:A02p058980.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isp4-like protein [Source:Projected from Arabidopsis thaliana (AT5G64410) UniProtKB/TrEMBL;Acc:Q0WUW4] MATTDESYDDDVSPIEEVRLTVTNTDDHTLPVWTFRMWFLGLISCALLSFLNQFFSYRTEPLVITQITVQVATLPIGHFLAKVLPKTQFGIPGFGSTRFSLNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIVTIIKAFYRKNISFIAGWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQVSLFRALHEKDEQRMTRAKFFVIALVCSFGWYIVPGYLFTTLTSISWICWAFPRSVTAQQIGSGMRGLGLGAFTLDWTAVASFLFSPLISPFFAIANVFIGYVLLIYIVLPLSYWGFDAYNATRFPIFSSHLFTSVGQTYDIPAIVNDKFELDLAKYEQQGRINLSTFFAMTYGLGFATIASTLTHVALFYGREITERFRVSYKGKEDVHTRLMKRYKDIPSWWFYSMLAATLLISLALCIFLNDQVQMPWWGLVFASAMAFVFTLPISIITATTNQTPGLNIITEYAMGIIYPGRPIANVCFKVYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINITVAWWQLNTITNICQEELLPPNSPWTCPGDRVFFDASVIWGLVGPKRIFGSQGNYAAMNWFFLGGAIGPVIVWLCHKAFPKRSWIPLVNLPVLLGATAMMPPATAVNYNSWILVGTIFNLFVFRYRKSWWQRYNYVLSAAMDAGVAFMAVLLYFSVGMEDKSLDWWGTQGEHCDLARCPTARGVIVKGCPVV >A10g506170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:16901705:16903335:1 gene:A10g506170.1_BraROA transcript:A10g506170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPNKPYRVCDKCFNKLKKATEADGSSHSSLSRRESVNQGSDAVDRDEKLDCRSDGQLARFSLLEPMKQVDSRTKKNKKYEFNSSRVSPIPSGGSHRGSLNVTKSFNPTFGSSKKFFSASVPGSRIVSRATSPISRRLSPPARSTTPTPTLSGLTTPKIVVDDTKRTNDNISQEVVMLRSQVESLTRKAQLQEVELERTTKQLKEALAIASEETARCNAAKDVIKSLTAQLKDMAERLPVGSVRTIKSPSLNSFGSSLDYVSPSSNTLNRPNSRETDADVPSTVPVFSNGTSTPVFDGASYRQQADHAAESINRNSTRAKENEPRNENEWVEEDEPGVYITLTALAGGARDLKRVRFSRKRFSEKQAEEWWAENRGRVCEQYNVRIVVDKSSVGVGSEDLAH >A09g515920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:47048667:47051602:-1 gene:A09g515920.1_BraROA transcript:A09g515920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGEKNRCFETPNPGSSEFPRSNDDQTRPRQRRSQGGTGSQSRGSSSHIQDSVSPHSSYHTSPSPLPAPAAPAPAAAPASAPLGPLGVMSVADLVRQPGRDHLPYLTSFNRSGNGISAWINRMMYSALDEGHPTFTDFPTKKQHLWFRQFAQEFNSYSDDTLSIYYHFVHKVMDNYEKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEQTKETSSTNSNNRMSDCKEKGIYKHNLGAQSIATLEDRMEEENDGEPVDDLALMKRAYTNKKTDQIDDGLVRDVVNLVQTQVVDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPPPYVDPEVLTAELKDKDDRISALETQMAAQQAGYETHKRLNEQMIEMMKRMYPNEVFLNIQDP >A05p025650.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:12512899:12513866:-1 gene:A05p025650.1_BraROA transcript:A05p025650.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHENQDDLSAADAANHASARTSRSASSRTRRTAATAASVDTSKIDELSAKVDQLIINNQNQIFIMEKSTSEQNAKDAAPEIDKPAEDHHEVSYVNGQG >A06p009610.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A06:3399660:3400217:1 gene:A06p009610.1_BraROA transcript:A06p009610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELLVNEERLTRTDSAEKKRVRDESDETVIDSPEVKRLRDDLFDVLDDSDPEPVSQDLDSVMKSFEDELSSTVTTVGETQPDLGYLLEASDDELGLPPVSPVPVAKEVETTETLTDLVRASSDSSGVDELWGFEDHLSNYYGSLDFGSGVGDYLAVEGLFEFSDDGFDAGELFSWRTESLPAE >A05g503060.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:9119440:9120479:1 gene:A05g503060.1_BraROA transcript:A05g503060.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPRDLKFSPIDQNLVGYYLRNRVDTGKDGFITDIKLYEDEPWLLPHVKNDQFKENIGFTLPKRTVPGRGSSNGGTWTTSGKKKAITDRNNPKVVIGYKTELAYHKKVKGKLKGDTTGWCMTEYWLASENDAQFQEVVLCHLRDNNKMVVDQPPESKNGDNDIATEQPQQGNSDDNNNRLLDFSHQQRPLIPPFEGQGLRLQTIMGYSDKATQEQQHPPISPPPQRQDSGSINNALVIMEDECVSQDEIFNLADLEAGITHPQQQHRQMMVDPYDDISFSRLAMPNNLIYHHEDSWHQDTSPWNNTNPRGLIFNSHGCEIQDQTVTKGHNQDSYY >A06p011580.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:4324918:4326015:1 gene:A06p011580.1_BraROA transcript:A06p011580.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVAAKSDRSDSSASRDFSFGTHEPYWRTNTSFSPPSSRWDGPGLTHGISLYGSSSSTSSNANLLPTPNLSQTLHWNDFESATRRDQALKQLPSCSDIGDSEPNRNFSNRRFFLSKPVHPIHSGNARGTTSDSADACSWSSGRTSSIDSMDVVLAWDNHNSTKAQRAASSTFVCGLCNRYISQKPPCGSRSILRNRDMPVTGVLPCEHVFHAECLDQSTPKAHRNDPPCPVCTKQEGEQDKSHNVVFRLKPFCEDGPSSTRQWGCAQVGDCVESAVNVPPRNTMIMINRNRMRKSLSLRGSSSKDSPRKVKRRGSFMENFTNQVSFVHSRGKEKV >A01g504380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:13486345:13487708:1 gene:A01g504380.1_BraROA transcript:A01g504380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFGLGFANVCCSIGLGRLTSKSFDVQYSTDDLLVSRPNRTEPLILQCTFKPNRIIYRPYIRRFQRRFLSILSNQSFSPPVISPPLSPSFSPPQKKMDIPELPRRIHTVGEEPPAGHCISYHTCWAFHTPLKKALHDEEYEELKESKLGVFIKFQELGFEWASRLVHYMLGFQMDIKKKYELWSLVGPEPVRFSLLEFENLTGLNCKYIEDLERPQCVVTKELTSFWGMLGVDVEAGPSTEEIIAAFGR >A02p029800.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15142418:15144066:1 gene:A02p029800.1_BraROA transcript:A02p029800.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMTCGSNFPKYATDYGLIIPNGSYALTADHCVQCSCALGSRSMYCEPASLEVSCSSMQCRNSKFMLGNITSQETSAGCKLTTCTYNGFANGTILTTLSRSLQPRCPGPPQLAPLIAPPDTVPKELMFAPSPSPTPSPAPASDGVVSEGPSTVAAAPRGPTVASSSSIPGYPANGPAGSISIASCLTSYHSLVVSFISFASYSSVILV >A03p003740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1628477:1629369:-1 gene:A03p003740.1_BraROA transcript:A03p003740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTEKRARERTVSSSYFLGCFGLSRKIYSDNAKVAAEVGCEMKKKKKRSRWLLCSKFRSKNREIKPAPIEETEITTSSVEDETDKKNPVSVISCIITDRTNVPGDDKAVNQETNEVSLSVQSQKTFNSLYCVRKQNRRSYETITTDRSKPIEQLASSRKDTRPERISNMKPSRETTGSRVRKFDPVIGISVIILTLVIMLVWGRVFAILCTSAWCYSLPRLRNVAALVKRSASDGSARLNS >A08p011570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000025.1:222244:231093:1 gene:A08p011570.1_BraROA transcript:A08p011570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEVIKKSDIDALIKALKESGNTLGNTLGYSYTAHVLPRTCDSLLGNFDRMRTELDGYKTFAADRMREEKEERGNEWGWFSQMKTTLKRCGVWRNHEKEESLKGKAAEKDQTARETIGNCFSLEESTLLEKIEDVYENKISLRRVYEVKKVISGVKPGREEFNNHVRKVQHLWVELQGLRSHVDGDTTQEQETVLKLLASMESSYGWLVEMVLRGEQLPEMEEICGLIRRAYEIMRDDERLTMSRRESSWKPTGSRSESFQKLTMSRSEGSWRLTGSRSEGFRKKRRCRMLSKASINIGKGRKRVVGECSYSAYMGESVEDSGVLREQEKGSGADDRITRKEWRVESSNPTSEAERTTPLDHERGNGTESHEQVHNQEDSGQHNQEVTHEVESGAQSSGDGQRESTGSDESGTQSSGDWEVDPDGSNESGAQSRAVKNMDHEVIKKSDIDALIKALKESGNTLGNTLEAVPAVPSVLSGSIHNSTKREVTRVKGLNGQRGFTLGDMTVWMDRVTAAYPDSLAQAVKAKGNLFYCGNSVKAWKVILLHFGELPTTKEPLDKEEAVWIRFPQAREAGDRLVFSSVLECSWDY >A05p022420.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:10735775:10738745:1 gene:A05p022420.1_BraROA transcript:A05p022420.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTAASRARALKGSLSRSLRPARYASSSAVATSSSSSSSPGFFSWLTGGSSSSLNSLDMPLQGVSLPPPLADLVEPSKLKITTLPNGLKIASEMSPNPAASIGLYVDCGSIYEAPYFHGATHLLERMAFKSTRNRSHLRLVREIEAIGGNTSASASREQMSYTIDALKTYVPEMVEVLVDSVRNPAFLDWEVNEELRKMKLEIAELAKNPMGLLMEAVHSAGYSGALANPLYAPESALDRLNGELLEEFMAENFTAARMVLAASGVEHEELLKVVEPLTSDLPNVTRQAEPKSQYTGGDFRQHTGGEATHFALAFEVPGWNNEKEAVIATVLQMLMGGGGSFSAGGPGKGMHSWLYLRILNEYQQVQSCTAFSSIFNNTGLFGIYGCSSPEFAAKAIELAAKEMKDVAGGKVNQKHLDRAKAATKSAVLMNLESRMIAAEDIGRQILTYGERKPVEQFLKTVDGLTLKDITDFTSKIISKPLTMGSFGDVLSVPSYDTISSKFS >A03p000660.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:416112:416348:1 gene:A03p000660.1_BraROA transcript:A03p000660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLYKAFVMYKRERRFSSVLFSDHHSPSTAGYYTRLLEDSPASSGPSDLRLLGPNRLAMLETKSSPSTKFLHE >A02p039880.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:25163468:25163738:-1 gene:A02p039880.1_BraROA transcript:A02p039880.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKIHFNPYKLERLDSRIDLHRRSQFIFYLQLELHILILDEHICPLPSSPHMDPYQC >A08p020050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13644217:13645582:-1 gene:A08p020050.1_BraROA transcript:A08p020050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISELGRRSFLTRAEMVPAPMISRRRCSMSPTLETIFEERFDDLNHQEYSSKVVVGQGHRLFLLVPAIISAVSCVLLYRHDLEFCLSREGFPVSLFRGLILLLSLPIVIIAYLFVSEALGIQILIYISFAGIKIRDIELVSRAVLPWFYAADVRKDSFEVFDKCKRKVVVTANPCFCYESI >A05p052900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:30498076:30499963:1 gene:A05p052900.1_BraROA transcript:A05p052900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSSNLSREVTPEQDPAPATERDEHQTRKRIRGSDSMVTEGASSGGLHQLLDQLQVISSPVLQFTDKWREIHEEYATLQSSLKKQAVRLDLKEKSLMERVVELEKKEEMLKDVEEREMKIGLLEKSLEEKAKENDVKQYLVSSVLKRLDCETASEAANVLEEKGKKVDEDKSRELEKAVKEIEAREKKLRLLDEAMKEKVIELEKKRKAFEGEQLVKAEEMELKRREMLAELEKKEKSFEVELKAKAEEMELKCKEKMAALEKKEKSFEMELKAKAEDMKVKEKQLEEKEKELELKQRELEQVMDKLKDREKETNSACLASVSASASSPQKRDKACEEETERIKIVDSEFHDFKNTMSSFSVDKIWALYDPQDEMPRLYAKIKRINKSRLSLDVTWLDPKDDESVPVACGRFTYGRRETVSYLTFSHELKPIIHGRNISVNPQKGETWALFKNLGQQHKPPYRYDLVEVVVGFKDHQGVGVAYLGKVEGFVSVFKHSAKDRVVKRVIAPDEMQRFSHRVPSVRLSGDEKEGVPAGSFELDPAAVPSYILLGGEGA >A09p017780.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:9198593:9199636:1 gene:A09p017780.1_BraROA transcript:A09p017780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSLFTNGSSSSPSRERDLSKPGLGDLPESCVALILEKLDPVEICRFSKLNRAFRSASWADFVWESKLPHDYRSILEKILGGFPEKLRKRDIYNFLSRVNSFDDGTKKAWVDKRTSDLCLCLSVKGLSVTGIDDRRYWNHIPSDESRFASVAYLQHVWWFQVDGEIEFPFPAGTYSVFFRLHLGKPGKRFGWKVCNTEQIHGWDIKPVQFQIWTEDGQHSSSQCKLTEPGTWSHYHAGDFVVGKSKGSSTKLKFSMTQIDCTHTKGGLCVDSVIVYPSSCKDRLRRF >A09p010380.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:5322497:5324184:1 gene:A09p010380.1_BraROA transcript:A09p010380.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANIGIMDSAYFVGRTEILAWINSTLHLNLSKVEEACSGAVHCQLMDSVHPGTVPMHKVNFDAKSEYEMIQNYKVLQDVFNKLKLTKHIEVSKLVKGRPLDNLEFMQWMKKYCDSVNGGQLHNYHALERREACKGGKEATRRAAATQQSGKSSSSSVAPRPSSSNGTRKHEPASSNAGNHHSSRAPSSKQTKPAPAAAAAAYDEKITELKLYIDSLEKERDFYFSKLRDVEILCQNPDTEHLPLVGSIKRILYAADGEDLGAAAAAETQTLSPIAEGSEERRNSAIESQKRKVIVNQDVDAAAITSLSPRQRLSDASDVKCSGSSPLLTC >A10p005900.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:9488648:9490460:-1 gene:A10p005900.1_BraROA transcript:A10p005900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G06520) UniProtKB/Swiss-Prot;Acc:Q9SHJ5] MVFPELLAILAEWVLYRLLANSCYRAARKLRGYGFQLKNFLNLSKSQSQHNTSHLLNNQQQQQQQNQENQDSINPLFPSITKYENRTCSVSPDDTLVCDIDGVLLRQLSSKHFHAFFPYFMLVAFEGGSIIRAIILLISCSFLWALPQETKLKVLTFITFSGLKVKDMDNVSRSVLPKFFLESLNLQVYNVWSRTEYSKVVFTTLPQVMVGRFLREHLNADDVIGTKLQEIEVMGRKVYTGLTSGSLVKHRAAKDYFDNNNKKKPVLGIGSSSSVQDHTFISLCKEAYVCNEEDSMNTLPRERYPKPLIFHDGRLAFLPTPSATLAMFTWLPIGFFLAVFRILIGVLLPYHVANFLAAISGVRITFKTHNLYNGPPEKGKSGVLYVCNHRTLLDPVFLTTSLGKPLTAVTYSLSKFSELIAPLKTVSLKRDRKKDGEAMHRLLSKGDLVVCPEGTTCREPYLLRFSPLFAELTEDIVPVAVDARVSMFYGTTASGLKCLDPIFFLMNPRPVYCIEILKKLPKEMTCAGGKSSFEVANFIQGELARVLGFECTNLTRKDKYLVLAGNEGIVK >A09g504270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:13205561:13205962:-1 gene:A09g504270.1_BraROA transcript:A09g504270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVYGLIKHLTHTLSQIDTAITKEINLYYYKDSNLREFLATVHRHCYSLSMSGKEASLSWQGVLKDEDTCIFYTILYIQ >A03g508290.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A03:28725399:28725917:-1 gene:A03g508290.1_BraROA transcript:A03g508290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQSDNFQSVNSQSAISSSSTEHGVLCDCNRKAKIERAWTRENPGRRFFGCKGRRVRNGYENCNFFRWFDVEKPHGWQHLALLAARDIIREQKEELAVLRNKVTIPNHEGSNMDISNEFVEKFKEKVEECEALKKEVLILGERSAVFRNVLVASSVGFAIILGGMIVMSKY >A02p031730.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16664732:16668995:-1 gene:A02p031730.1_BraROA transcript:A02p031730.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIIGLWPNIYLVFNGSEISTMATSLDSHRKILFDGNDIRDSLPSLNSPDYFLKPSMNELVQREIETPGFCSRVPDFTIGRIGYGFIKFLGSTDVRNLDLDQIVKFQRHDVVVYDDESSKPAFGEGLNKPAEVTLIVNIARGEEWAGFVSYKLKQITERQGATFISFVREMGFWKFLVPHFSRFGLSDDEAADITMDDAPRLENHVGLNGDMVVADVDKMETSESELSHSLPAHLGLDPGKMKEMRMLMFPRKDIDESEEFGDQTSFPMTSLTKRRARTSQKHSQRDTHQDTPLVVRKTPLALLEYNPGNDKSSPGSILMVQQNKNLAVRKSKMGGFELDISHETPLTDNYSRNVVDAALFMGRSFRAGWGPNGVLLHTGNPISSSSSQRVLSSVINVDKIAVDKVVRDKKGKVQKELIDSAFETPLSLHKVLYREEEKEVRFGSFSLKLQKVVTDRVVLSDICRNYIDIIEKQLEFPGLSTSAKLFLTHQVMVWELIKVLFCERQSTYAVFDNEEDMMQDVKEESAEVDTEALPLIRRAEFSCWLQECVSHRVQEDVSDLNGSGYLKHLFFLLTGRELDSAVELAISKGDVRLACLLSQVGGSTVNRDDIMQQLHLWGRNGLDFNYIEKDRIKLYELLAGNIHDALQDVAIDWKRFLGLLMWHHLAPDSSLPVIFRNYQLLLDQGKAPWPVPIYIDEGPADGIVSNTKHSDLLYYLMLLHSREEGKIGFLKTMFSAFSSTDDPLDYHMIWHQRGILEAVGAFTSDDLHALDMGFVAQLLSQGLCHWAIYVVLHMPYRKDRPYLHFTVIREILFQFCETWSSVESQRQFIKNLGIPSEWMHEALAVYYNYHGDFVKALDHFIECANWQRAHSIFMTSVAHSLFLSANHSEIWRIATSMDDRKSEIENWDLGAGIYMSFYLLKSSLEEDADTMLELDSPESKNESCRSFVGRLNESLAVWGDRLPVEARVAYTKMAEEICELLLSGLSVYPDRDSQLSCFMTAFKAPLPEDVRSSHLKDAVSLFSLYLSETGHQTSA >A02p049440.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:30656864:30659719:1 gene:A02p049440.1_BraROA transcript:A02p049440.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHALERTGTTLRSTLFFFLLLDLSIYSISINARSNEHASFPPRGWNSYDSFCWTISEAEFLQSAETISKRLLPHGYQYVVVDYLWYRKKVQGAYVDSLGFDVIDEWGRMHPDPARWPSSKGGKGFTQVAEKVHGMGLKFGIHVMGGISTQAYNANTLVMDSVKGGAYEEAGRQWRAKEIGMKEKACVWMPHGFMSVNTKLGAGKAFLRSLYRKYAEWGVDFIKHDCVFGDDFNLDEITIVSEVLKELDRPVLYSISPGTSVTPTMAKEVSQLVNMYRITGDDWDTWRDVVAHFDISRDLSGMIGAQGLQGKSWPDLDMLPLGWLTDPGSNFGPHRACNLTMEEQKTQMTLWSIAKSPLMFGGDVRKLDDATYNLITNPTLLEINSYSSNNMEFPYITMARVSGEKHNIHPHVLTGNNVSTRHAFVLTSCKEPKANTWSVVDEKSGKICWNQYSSEKPEKPFCLYNRKALLASSEELYQGKLHLQTNDKAESCLGASSRKKLTSKEYSRGALSPCKLDANQMWELHSNGTLENSYSGLCAVLNPVKAAGASSSSSGVRSWIATGRRGEVYVAFFNLNPVKTTVSAKISDIAKALQNKTHLEGASCKSHEIWSGKDFGPTKDSITIQVESHGPALFVLQCSHA >A01p010200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:4975241:4981354:1 gene:A01p010200.1_BraROA transcript:A01p010200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEYFSGNDYTMVFWNMDDYPIPVGMDDFGSIRINIVEALERFGYHGEIGINVHCAQLECDVRDELSKARIDYLPEATRVYLSSTAVNKVCSLDMTAFLVRIALPQPPINIAVIAKPKAELVRVLKCLKSRGHTLMLIHPPDGEQLSFSVDTLLAHAHLGDSKEEEDHLSKGEEEDHLSKGEEDTSVGLGPYYYYCQYLSQKAEKEKEEEVEDPYKILDFLEPIRPSVKGAMTAVFWDAQYCPFPPGSTADEIYNSIELALVKRKFTNKTTIWAYLGDDDKNGSALLGDKTWASRIYFFSAGDKASRRIRMTNDICFWAHESSRQPVLESLFIVSDQFRGDLYYVELLHNLVPACLHLFCITPTQDINKPESPEWPGLFFDQGAYRLLLEISEVPAARCCSKKRKTDAGVLFMMEDEEETSGMESNK >A09g501760.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:6474115:6480332:1 gene:A09g501760.1_BraROA transcript:A09g501760.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASETTALFVQIPSVYSASPTNITEKEKSDNSEMFQVMVASSSWPLLSEAAKAASCSSSDSLKSLCCDGSSSSVSSFSKRRSILAELEPMEQTLTDAISNINQGPVAQASGCPLPYTSPSSYNQRNMFASQSHEHVNLPRNFNGLGGFVRPSPPLLVPPVYAQHMPPQPFYYPLDFTGFPPQMMYHPHRMPFMEPPPVLFPRQNPNRRMPSMEPPPVLLKALTSNIQLILDSLQGSDMVEVQGYEIRNGRVWRKYIMPHDWRVTFYPSQEYVMANNRQHMQLEQKPESRRLDLLKPRQLTVSIPSVSSIKIMEKEKSDYSEKFEGNADKPARNKQIMEALSWSSLTETAKAALCSNKSSTDSLKSIGCDGSSSSVSFFSQRSSILAETERMHQEFFTHGMVVQPSGESSYGNPLPYTSPRGHKQGNEFASWAHVSTQNQHQQNSDENQHVTQQSQGGRQNQEHVKQKWNPQGKFNGQGGFPPPPRGGTPAFVRASPTSIYAQHIPVQHYFYPIAFTDLPPPMMYHPHRMPFIDPLAVLFPSQNPDRMPFIEPPPVLILNQDKKAPLKTKILNQVQYYLSEDNLPNDVYLRKRMNDEGFVHIEFISSFNKLKALTSNAQLILDSLRDSDIIEVQGYEIRNRHVWRKYVMPQDWRVTFYPNPEYAMANNHQNMQLEQN >A10p023200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:15189824:15193182:-1 gene:A10p023200.1_BraROA transcript:A10p023200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQQKKKRFALFLIKCDSEFVKKVNGGYFNIFVSTCGEDGEQWDLFRVIYQMKVADTRAMSSFSDQLGDMDQRYAAALDMTARELQTIARHEANVNQYAEKFEKLAWGKVLTSLPENPAEKITPEIAKELFASFNEELEAAYRKQISWVIPDPKLRDQTKILLSQTLMLVCTEFYEINRFGLGENTFNAR >A05p014680.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6436356:6441200:-1 gene:A05p014680.1_BraROA transcript:A05p014680.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSIFFVLFSLILLSVHVFGLTPLEDQWIPIKDVKDPYVIEIGKFAVSEYARLNNLQLKFVTVVSGDIQIASNLKYYKLIVTANSVGNGTSKNYETIIEYLANNLQQSFRMGKQLKKGRAPPGGNQFSKKVAKQSAETVMEQRCCVHFDKCVDLNKLLKKMKACKEIKCGECKEGVDMKRRSEGKVSSFSCDAAKRAVWLCLECGRYCCGGVGLPTEPQSHVMHHIKMTCHRLVIQCENTRLRWCFACQSLLPFEKEVNGERKDLLLEAVKLIKERSSKICSGEAEAEEDSRSSSSISGEIRGSGYGVRGLVNLGNTCFFNSVLQNLLSLDRLRDRLFKEDQSCGGPLVSSLKKLFTEATSEAGLFNSVINPWDFFGSLCSKAPQFRGYQQHDSHELLRCLLDGLSMEESSLRKKHDADESEKPTLVDYVFGGEVSSTISCLECGHSSKVYEPFLDLSLPVPSKKQTLSQEERSKLSPPTKVLENVADSKDSSEPVSTMTFDNNQVPEIIVTQKDMEEVGSFLCESFGIEVSHNETDLVSQGGVSDNEDRSAKRKDKEPIMQCSKDTALNGIDEAQVWGYPDLEQSSSSANPLADEEVPLLVVDSQVMNMPYKDDKAVAEGKGAKQACSIVRQTFESSTETLMHDNDRSAKPDKEAMQCSKDTASSEISAEIDEAQVWGYPDIGHSFSFAKPCADEEVPLLVADSQGGVSVNDEGSAKQKDKEAIMQCSKDTASSGIDEAQVWGYPANPLANEELPLLVVDSQVMNMPYKDDISYDDKTAAEGKGEKQACSIVRQTFESSTETLMHDNDGSAKPDKEDAQAMQCSKETASSEISEEIDIGHSSSSAKPCADEEVPLLVADSQVLYMPYKDDKTVAEDKGETSSSYVSGHHDQTVDYVDFSWFFKEPDVSCDDKTVRECEDEVSSSLVSSHHGKTVDYAVLNEPDVSCNDKTVKEREGEVTSSLVSSHHGKTVDYAVLNEPDVSCGDKTVKECEGEAPSSFVSSHHGQKIDNADYYWFFEEPEVSKGPAFGPPTKAEVSEAGFMGVSCNSDAEVVLDDSDSPVSVDTCLAMFTKPEILSEDNAWHCENCSKNLKLQRLREKRERFGYGWVYDNGFDECRDDIFNQSFIDFNNWDTIYDDEAVDSEEVVVRRAAIKRVQVNKAPPVLTIHLKRFSQDAQGRLSKLRGHVAFSEFIDLGLYMDMDSRQDEEDQPVYMLAGLVEHSGTMRRGHYVAYIRGDDKERRDSSVWYRASDSFVRQVSFEEVLPSEAYILFYQRI >A03g506230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22214511:22215682:1 gene:A03g506230.1_BraROA transcript:A03g506230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRRLLNSLNLFKNQMAHGNRSHVVNTAWALLSLIGAGQVEVDQKPLHRAARYLINAQMESGDFPQQEIMGVFNRNCMITYAAYRNIFPIWALGEYRSKVLLQQGE >A03g505570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:19772167:19773524:-1 gene:A03g505570.1_BraROA transcript:A03g505570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRIPSRSFSLSLSMESPEAVSSGFLPLPPEPPDPDLDVMLLVDPPVPPVPPDPPPILIDASVLSVQLLLMHPLLAEAELSSSLTIGRVSFLQLIPVSKPGNLDSCGEHVSFMSLLRNVRYFQQVAGVIEISVARFLGLLTADCKFTSLHYSSLQVPEDWISNVEILAVVGFLYAVFITSVQSFGVQLSTSMCSSQSKHILDLKPWSHVVGPISPCFTLSQGLTIWPWRAHLTAINLLEEVFGGYVENFPTWSANGTWIVSPTQRIKAVTLQKAPHSTLSSGFSSLQILADSIVLFFALRSGLVLIEITGSFIVRNLVPLVTPLSFCYNLCTTLCLVCCFGNGWCPQTLFFKYSGLSL >A03p060890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26410052:26412566:1 gene:A03p060890.1_BraROA transcript:A03p060890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNYCTDAKRFPQGLPRECLAANNRVTGQKMAVPASKKPLLLSFLWFGLFVAAASAGSFYESFDITWGDGRADIYETGQLLTCTLDKVSGSGFQSKKEYLYGKIDMKLKLVAGNSAGTVTAYYLSSKGATWDEIDFEFLGNVTGQPYTIHTNVFTGGKGDREMQFRLWFDPTADFHTYTVHWNPLNIIFLVDGIPIRVFKNNEKNGVAYPTSQPMKIYSSLWEADDWATQGGRVKTDWSNAPFRAHYRNFDDKNSCSRTSNLTWVTCDGNKNSWMWTTLNNHQIGQMNWVQKEFLIYNYCTDYKRFPQGFAKECYL >A03p047690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22264511:22265393:1 gene:A03p047690.1_BraROA transcript:A03p047690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALVLLGLFAVLFVISEVAATSEGKSVKSESEDTLQPDHSGGGGQGYNGGGGYNGGGGYNGGGGYNGGGHNGGGYNGGGHHGGGGGRGGGGYNGGGRGGGYCRHGCCYRGYRGCSRCCSYAGEAVQTQPGH >A03p061620.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26758626:26761726:-1 gene:A03p061620.1_BraROA transcript:A03p061620.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEEGKQPLLITVTHAGDVPELRSIPSNEQISESANTSRWSFLFKLLLVITTIGVSTAGIALIILITPTPPTVHIHSMHIAFNERHLPIWSATFSIKNPNDKLRVTYESPSVCVFHRRKHVGTVRIGAFGQSGGEGNEVAVKGDETGVIDEEAARGMEEDVALTGSVVGLDMVFLGRVGFYPVASTVWGKQNMTAVCKNVSAMLSTDDDDDKLNKTKSWGLTFDDRQDCRDPKNKNVVSCDEKLKNILLGKQRVEVPSDLIKLHFTKAPKVEFLTCFPLHYLMLVSRILSRVSRSAGLGLRSSLSAAAALPARSQAPRFSSLVHDFSQKPFQGQLVAAQVFLDSFPLQRFSFSSSTTTPESSDKESNTEASKTSQEKPTSEANEPGLDSEPSKDSRRRKGAKRAAVSESDSESDADEEEMSMDDLVKLLAEKDELLSEKEQEIKQMKDKVLRTYAEMENVMDRTRRDAENTKKYAIQNFAKSLLDVADNLGRASSVVKESFSKLDDTSKDSAGAAPLLKTLLEGVEMTEKQLAEVFKKFGMEKYDPINEPFDPNRHNAVFQVPDASKPEGTVAHVLKSGYTLFDRVIRPAEVGVTQGGESEEDKKESDA >A04p032160.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18785557:18787153:-1 gene:A04p032160.1_BraROA transcript:A04p032160.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESDGEMSLETTNSPISSGTESCSSFSRLSFDAPPSTTAIIPEEESCLSLKPHRSSDFAYAEILRRRKHSLTFRDFRLMRRIGAGDIGTVYLCRLAGDQEESRSSYFAMKVVDNEALAMKKKMHRAEMEKKILKMLDHPFLPSLYAEFEASHFSCIVMEYCSGGDLHSLRHRQPQHRFSLSSARFYAAEVLVALEYLHMLGIIYRDLKPENILVRSDGHIMLSDFDLSLCSDSIAAVESSSSTPENYPHSSPRRLTRLAKLFNRVLRSKKVQTLEPNRLFVAEPVTARSGSFVGTHEYVAPEVASGGSHGNAVDWWAFGVFLYEIIYGRTPFAAPTNDVILRNIVKRPLSFPTDSPATMFELHARSLISGLLNKDPSTRLGSRRGAAEVKVHPFFKGLNFALIRTMTPPEVPSDVRRPKKSATFGGRSSKPAAFDFF >A06p035960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:19488357:19488817:-1 gene:A06p035960.1_BraROA transcript:A06p035960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAHQHTISLRPEASSPGHKNNIKQLDFDFDLGLGHDVESTLAEDEIALVDSMVMETERLEMDVEMLDNDDLHDDVPDDNAEKIDAISQLSPKYPPIVPLPAAPPQAQNALASTQDIAQRASLPMTSAKGYLKKLVPKNPELK >A01p010280.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:5012609:5013939:1 gene:A01p010280.1_BraROA transcript:A01p010280.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRGRSLASSRNINGGGRQDTTQAVASGIFQINTSVSSFQRLVNTLGTPKDTPELRDKLHKTRLQIGQLVKDTAAKLKEASETDHQRGVNQKKKIVDAKLAKDFQSVLKEFQKAQRLAAERETVYAPLVTRPSPPSSYTPSEIDVKGDKHQEQRALLVGSKRQELVLLDNEIAFNEAIIEEREQGIEEIQQQIGEVHEIFKDLAVLVHDQGTMIDDISTHIDNSHAATALGKSHLAKASKTQRSNSSLTCLLLVIFGIVLLIVIIVLAV >A04p034840.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:20132912:20134616:1 gene:A04p034840.1_BraROA transcript:A04p034840.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGIEVMSPATYLETSPNWLFQENRETKWTAEENKKFENALAFYDKDTPDRWFKVAAMLPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYASDSFTLDWGGYDAGNMNGYYFAAVGGKRGSAARAAEHERKKGVPWTEEEHRQFLMGLKKYGKGDWRNIARNFVTTRTPTQVASHAQKYFIRQVNGGKDKRRSSIHDITTVNIPDSFDAAAADTAITNAPCSPPSLGGSQREGSGQWDGQTINDETAGTFYNPNAFQETLLGMSSTPYMAKLQEQSFLNASQFESYNAYLQM >A02p000820.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:411252:416760:1 gene:A02p000820.1_BraROA transcript:A02p000820.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRRPVSSPGQVEKYPPPFMGFLKSNSNGGSRSRSRSKGRSRASPLFVRRNKSAAAAVSQEPSSPKVTCMGQVRVKRSKPNIQPESHENPTRKRCEWIRNGLAGKIKTSSFWPKWKLFTFPCSRRKEKDSPVNQFNRPVTESSVEVAELNEDEEEENFKIFIKYISKSAPYSSSSLAFRFWEENNEREAESRIERERNVRSEVTVGATGKMNGVNEPEANIGGDELGIVRRRELTRSKSAPARIGEKMVCFWMKKKVRQGLGLSRD >A04p029050.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:17402092:17404490:-1 gene:A04p029050.1_BraROA transcript:A04p029050.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRPVDATDSSLERIKRQLATGSGRNLLQGPLYKRSETLRKWNERWVILDPTTGKMEYKTRRNEPAIKGTILFDDNSTITVSPVNFQGLPKYNGCCIYISTPQKKDYFLCAETPGAARAWVTTLHATQLVLKAHKEAVDSLSGSGSSTLGTVATVVAAANSTALECSKEIQAAMQVSLRNALKITANKPIDGPLDDLTIMKLKELEEKTSTLSREKDQLVKERDSALKEAHLWRSELGKARERVVILEGAVVRAEEKARVAEANGEAKAKEASQREAAAWAEKQELLAYVNMLQTQLQRQQLETEKVFEEKTESTNGETSLPMTTKETEKDVDKACLSVSKTASMPGENVVHMSEDQVVNAHAQAPVGENEWNDIQATEARVSDVREISAETERDSLDITVVTPESDVPRNDLPPESFHHQP >A01g509930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27095478:27099229:1 gene:A01g509930.1_BraROA transcript:A01g509930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding IETNLLDWSCSDESPARHSLIFRGLIPVLYAGSARASHDESTEEAIEFATQQGKEKELCKTGDSVVALLRVGNASLIKILTVKWKMMLLAKSSLTIYIYSDPTAAEPCDIELEDKAREVAQLKTERQTYPQLKVNDAKRRLIGRLLRAWTIFKRWMQNCFQLQSLNAYSVLKLIPKNEYYDKTLQLPKEFDATTAWGRERFGSTDAANIFFYPSFRFGLIHLLSIWKLNLLKKLQGISKVASSSGNKLQETLTPKKLLILALQEAERNGNSIYIICLIRVENSEDEDHTSQVSTESLWLLQKRGSSQWNSIMSERSSIVPSSGFPSLIFLQVFTVSSFNSGHSTLGQLAFSYMPVKFKFLRARACTRTSPLNLAIEILKWSEERTNICVMYTLCPVLIKFFTNQCIHIKNKSN >A06p042170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22669033:22671215:1 gene:A06p042170.1_BraROA transcript:A06p042170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SUPPRESSOR OF GENE SILENCING 3 [Source:Projected from Arabidopsis thaliana (AT5G23570) UniProtKB/Swiss-Prot;Acc:Q9LDX1] MGSRGDKGKNVSSGGSNAEVEQLAKGFSETKLGPSQDGGGEWEVISKRNKNKPGNAAGKSWATAPNQNPPRAWGGQQQQQGRGNNGYGRGRGTQVSPGRGAGRGQAMNRGYGGASAPVARPPLEGGWNWQSRPGAAQQTVTEEVPEVDDDDVSEGEEEDDCDALDDSDEDLASDDYDSDVSQQSHGTRKQNKWFKKFFDSLDSLSIEQINEPQRQWHCPACQNGPGAIDWYNLQPLLAHARTKGARRVKLHRELAEVLDRDLQMRGASVIPCGEIYGQWKGLGEEEKDHEIVWPPMVVIMNTKLDKDENDKWLGMGNPELLEYFNEYPAIRARHSYGPQGHRGMSVLIFESTATGYFEADRLHRDLAQKGLDRDAWERRRSWFSGGVRQLYGFLAIKRDLDLFNQHCQGKARLKYEMKSYQEYVVKELRQIAEDNQQLNWFKNKLTKQNKHAKVLEESLGILSEKLRKTAEDNRIVRQRTKMQHEQNREEMDSQDKFFKESIKQIHEKRDAKEENFEMLQQQERAKVVDLRKRAEEVSSFIECQEKEMKEFVEEREKLIEEQEKKMAELKKKYFEEMLDLERGFDKALEQLMSKHGLHDADDTDDY >A08p006410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:3659910:3662135:-1 gene:A08p006410.1_BraROA transcript:A08p006410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSKSGEDPSLSYKHRSPFRFELIHSPSPTDPLHSSSTNRPLSVPQPQIQAHWDESFSPIPITQKLQKSRKNHSISSSSMPGETIDIAKVIVKQESPQDNTKRGTKSKVTKLSKSVKREGLQLSGPNGSNNCRYDSSLGLLTKKFVNLIREAEDGSLDLNYCADVLEVQKRRIYDITNVLEGVGLIEKTTKNHIRWKGADNLGQLELGNQVSRLKLEVESMQSEENRLDDLIRERQEALRSLEEDEHCKRYMFMTEEDITSLPCFQNQTLLAIKAPTASCIEVPDPDEVMSFPQRQYRMVIRSRMGPIDVYLLRTRHGCSKHKGDSSMETDESAVDTSSLKIVTSDTDLKTDYWFESGEEVTLTDLWNNFC >A04g505300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:11417497:11418162:1 gene:A04g505300.1_BraROA transcript:A04g505300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSVDSLLEKLKQEELYLSTKLVLNALQGVESSLISIHKLSYVLCSEPADRTTHQSLSLWHRLSSTDALGQIL >A03g508570.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:29124214:29125705:-1 gene:A03g508570.1_BraROA transcript:A03g508570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIVDIDNAWIDKTHRSSVFPPVAASEPLPVAPLPFILFFSCLLCSSNLFVRYAKTLGVDPPSDLQIRDAGDGSVRWSASSGVAVRLRWSGCGKVGFYGDLFGSLSVWCGGVGSVSSALASRCRRSLSTPLSSDFDGILPSGRASVSLPPAVSFEVSDVACKVVGLVARGVVCALAVANVAPLATILSEEPPSCSKRWSAWTLVVLRESPKSSSLSVLLRPDKAATVQPVLLGVECSRHGVQSGWFRYELSGLFTQLCA >A10p012930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5497698:5501431:1 gene:A10p012930.1_BraROA transcript:A10p012930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 16 [Source:Projected from Arabidopsis thaliana (AT5G50230) UniProtKB/Swiss-Prot;Acc:Q6NNP0] MPGSSLIMVGVLAQRGVVAIGLRAMEGPLLKDLRSQRNTRVSGPVVVYCGSLSCHNSHSSSMASSVWSQEEKAMEAIKDALRALRQRHILEEGAHGPAISALSKPMISQGSEWKEKTEKLEIELQQCYKAQSRLSEQLVIEVAESRTSKSSLQDKELLILDLDKDLSQTREECTRLQQELEEKTKTLDLLITENKEVRSQLEVMTNRAQKAESENKMLIDRWMLQKMQDAERLNEANALYEEMLAKLKANGLENLARQQVDGIVRRNEDGTDHFVESTIPSTCGHHIHAHEGGCGSILFEYSSRTLFTGGQAGPVKMWDTNSGSLIKSLNGSLGNILDLAITHDNKSLIAASSSNNLFVWDVNSGRVRHTLTGHTDKVCAVDVSKFSSRHVVSAAYDRTIKLWDLQKGYCTNTVLFTSNCNAICLSIDGLTVFSGHMDGNLRLWDIQTAKLLSEVAGHSSAVTSVSLSRNGNMILTSGRDNVHNVFDTRTLEICGTLRASGNRLASNWSRSCISPDDEYVAAGSADGTVHVWSISKGSIVSTLKEQTSPILCCSWSGSGKPLASADKNGYVCTWT >A03p020740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8522618:8529545:1 gene:A03p020740.1_BraROA transcript:A03p020740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNAGDGVGTTRMAEAPLNNEMMFHRSMSTSSCLFTEDEDMTRTALSEFKAKESEIERRKMEIRERVQAQLDRVEEETRRLATIREELESLADPTRKEVLMIRKKIDSVYKELRPLSYSVQKKQREYKEAVDAFNEKNLEKIQLITKLMEEIGQLAGESENLKLKKLEDLSKSIYRRLVKDNRNPLTIQQTKTDTPSAIKTPSPRKASSQNPPRSANIGLLEEPHRNSTEDSSSCFGLRKKSSKNHKEKPKMTQTNAGAGTGAAATTEPPQSNEMVLHTGSLSFSSHMSKEDEEMTRSALSAFKAKEDEIDKRRMEVRERIQAQLGRVEEETRRLSTIREELESMADPMRKEVSMVRKKIDGVNKELKPLGSTVQKKEREYKEALDTFNEKNREKVQLITKLMELVGESEKLRMKKLEELSKSIETVLTDSSLLIRFNDSNSLDELTELVSPLPEEGFRFRNQTELLGLANTNTRQPDGFIFFL >A03p070990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:31206984:31214893:1 gene:A03p070990.1_BraROA transcript:A03p070990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSCKRNKPPSCGSLVTILSLDGGGIRGTISGVILACLEKQLQEIDGEDVRLADYFDVVAGTSTGGLITAMLTVPDASGRPHFAAKDIVPFYLEHCPKIFPQPEGLAALLPKLPKLLSGPKYDGKYLRNLLSNLLGETKLHQTITNVVIPTFDMKKLQPAIFSSYQALFDPSLDVKLSDICIGTSAAPTFFPPHYLSNVDSQGKTSEFHLVDGGVTASNPTLVAMTAVTKKIVKNNPDMDITMESSRDMIHFHSSVLFKALQSEDKYLRIDDDTLDNDAENLVKIGEKVLKNRVVHMNIDTGAYESIPENVTNEEELKRFAKILSEERKLRRMRGDASSMDLATKTTLENIVRIGENVLKTRFVHINIDTGVYEPERELKTLTDKTISLVLRLLKNNLEILKNMDFSSERRVSCLPPSYGQLVTILSIDGGGIRGIIPGTILAYLESQLQELDGEEARLVDYFDVISGTSTGGLIVAMLTAEDKDVKNSRNRSRPLFAAKEIVPFYLKHSPKIFPQHRGVCSWAQTMRRLVRGPKYNGKYLHEVIQGYLGDTRLTQTMTNVVIPCFDIKKLQPVIFSSYQAVNHQVIDAKLSDICISTSAAPTYFPARQFINEDNEGNKHEFNLIDGGVAANNPTLCAIAEVTKQIVKKNPAMGEISPLDYTRFVVISLGTGSIRNQEKYDAKMASKWGMLSWIYGNGSTPILDCYSEAIHDMVDYQSSVVFQALRSENNYLRIDDDTLKGDLSSVDLSTDENMEGLVQVGEALLKKNVSRVNLETGHYEPISDHVTNEEALKRFAKVLSEERKLRESRSLKLKI >A07p006030.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:1825466:1827782:-1 gene:A07p006030.1_BraROA transcript:A07p006030.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPIPNRPNPKPRNSEAGDPLRRSFGGNPFPVNSKVNVPSDVSRRKSFGGRDFSDKENETKAPPTPKGSKNFMSPTISAVSKINPSPRKRVLSDKNQVTRSLSDVKGLTFEEDTKSHHSCVSFSAEKKPFERPHDMTVTDFDEDKGIVYSDPRFRISPRPSVPYSSPEFADREVGPVLPPYDPKKNYLSPRPQFLHYRPNPRVEKQLDELFISQSSSSDTEMSSSAEEQEKDAESDEAVVCESIEETSRVPKQSGFRPFRFLGWFLAMSLGYLLVSATFSGLSILEESPSYEFNLPKGIKEFAEANKLEELSERLWTSTESSLVYVGKMISRLGGRTEEYAPLQFHNLTYTLEEENTVFQPTRVEINGEPLQEKVRGENSLEDDYELEEESGGEQTEMSPSTDIELKEGEENLEAIVIEEPEVILTEVSNGSQGLESQENLGTGSIKKDQVEIEAIYTNQHDVETAAIIKAHQQVESVLADAESGREEGFRDITAETSDGGLYPKLQENEVVANAAESGSENGLGKIAAETSEDVHPQARSFNKAMIVLSSTVMVVLLAAVAFLFTKKEKPVAPSAPEPVENLVKEKLTSLNIQAEEEEEVDDRISNSVHKKSSSLSNNKDPKEHQSLGGSSKLRRESMASSASEYSVGSFSYGSFTTYEKIPIKSGDGEEEIITPVRRSSRIRKHHQPSSMLF >A08g507200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:12546381:12548409:1 gene:A08g507200.1_BraROA transcript:A08g507200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKKIAKKGSSSASPYEELVVPKTEFVPHSVHPAENEAWWVAHYGSLIPPKEKPFPVLVHRGVEGKDASRTTDEFLATMRSFYRILDVVEFRVPCRGECANNPPEGYFTCYEVFIVRCRLWFPIPEILVRVLDRFEVAISQLTPLAIQHLIGILILSYEHGLSLSVDHYEALLRLQLVKDTDKHRFKFFFFVRLDAASVEESCIPLFRRLPNNRPFINPLAPFPEDIIAVRDLLRNGPFFWTSFTPRRVRKALKFVQPGPALDADTGSDSEPDGQSPVEAPTAAPESSSWKGKDVDLGDIEFLMDDSMLPGWDPNLAYGDGSGSSEAPIPDFDDFFPGLPSGFDAPPPTKESARPRVVAEGSRIINGGLSLLGSAIEAGHREAMVYRFKAEKAERDLARVQGEMLEREAQLTRDHARAIRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFERGNEREAQLTRDHARAIRRSERKGKREIVEVMKTRASQFQVEYGNLKNAFTSVGDFRECRGSVGSLWRTQADDYVFKEEMSLMKSGMSDRAHAEAFIPPIDERIQGFWDSIPVSPDTEEVPIDFHGGGEEVDRPADAFGASLSGDFDFGL >A05p011810.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:5169883:5170521:1 gene:A05p011810.1_BraROA transcript:A05p011810.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSIDSSASKLHRTEGRKDDTYDHDDLKHKESLSSPPLSSSSSAADYDDDELKDFKPRRLSLQSSTHQNGEKLVHFIPVLTLICFIILYLSSHAPSQSDLAQFNGFMRRSKHLESNEDGEISRLVINADALAIRSSIRNLKETSESLPRRRTSHRKIADF >A02p030290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15415858:15417432:-1 gene:A02p030290.1_BraROA transcript:A02p030290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTVVPGRFTPAILSIGSRLPSFKYTARRVAVFVPRSAASISVETNSSVDSVVEKEKDILACPICYNSLALISKPNGLVGSAASGTQLQCNTCKKSYSGNETHLDLAVASGSNQYTEPMPLSTEIFRTPLVSFLYERGWRQNFIWGGFPGPEKEFEMAKDYLKPVFGGNIIDASCGSGMFSRLFARSELFSRVVALDYSENMLKQCYDFLNQEENLTNKEKVVLVRADIARLPFLSGSVDAVHAGAALHCWPSPSSAVAEISRVLRPGGVFVATTFIYDGPFSFIPFLKNLRQELMRYSGSHIFLSERELEDLCKAGGLVGFTRVRNGLFIMLSATKPSR >A09p009250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:4781673:4784878:-1 gene:A09p009250.1_BraROA transcript:A09p009250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEMVADEAVTAPPRKVLLISAGASHSVALLTGDVVCSWGRGEDGQLGHGDAEDRPSPTQLSALDDHQIVSVTCGADHTVAYSQSRMEVYSWGWGDFGRLGHGNSSDLFTPLPIKALHGVRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGDTEDSLVPRKIQAFEGIRIKMVAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPERVTSAGAEKMSMVACGWRHTISVSYSGALYTYGWSKYGQLGHGDLEDHLIPHKLEALGSSVISQISGGWRHTMALTSDGKLYGWGWNKKVVQVSCGWRHTLAVTERNNVFAWGRGTNGQLGIGESIDRNSPKIIEALSVDGASGQQIESSNFDPSSGKSWVSPSERYAVVPDETVNGSKKGNGGDISVPQTDAKRVRI >A02p055630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:33685391:33685865:1 gene:A02p055630.1_BraROA transcript:A02p055630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRKIKSIYINPKWHIRIRDEILSSCKNGIPDAETIPNLKTVTMVIQETRRLYPPTPIVGREAFTDIRLGNLVVPKGVCIWILIPALHRHGEIWGEDANEFKPE >A06p033150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17781729:17784493:-1 gene:A06p033150.1_BraROA transcript:A06p033150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGCTDDSQAKRVRVLELSRRQFFFSLLRHRGPDWSGIYQHGDNYLAHERLAIVDPDSGDQPLFNEDKTIVVTVNGEIYNHEELRKDLKNHKFRTGSDCDVISHLYEEHGENFVDMLDGVFSFVILDTRDNSFMVARDAIGVTSLYIGWGLDGSVWISSEMKGLHEDCEHFEVFPPGLLYSSKSGGFKQWYNPPWYNESVPSTPYEPLAVRHAFEAAVTKRLMSDVPFGVLLSGGLDSSLVASITARHLAGTKAAKRWGSQLHSFCVGLEGSPDLKAGKEVADYLGTVHHEFHFTVQDGIDAVEDVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKQEFHQETCRKIKALHKYDCLRANKATSAFGVEARIPFLDKEFINTVMSLDPESKMIKPEEGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAENVNDKMMSNAAHIFPHNTPLTKEAYYYRMIFERFFPQNSARLTVPGGATVACSTAKAVEWDANWSNNMDPSGRAAIGVHLSDYDGNSKVAVSLPPPKTVEDTPMMVGHGVVIQT >A02p053300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:32431712:32433495:1 gene:A02p053300.1_BraROA transcript:A02p053300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIARTAIRGENRFYNPPAMRRMQQEAQLQQQLREKQGEVDNEPKAKLPQPLRSRKSHGKSKNRVVSSGSEVSVASSESSGSGRVHREASNLDRFLEHTTPFVPPRFLPMRSKRELKTGESESSSYFVLEDLWESFAEWSAYGAGVPLHMDGSDSTVQYYVPYLSGIQLYVVDPSNKARNIVEDNEGSSHSNSGKTLQSEVDLSVSELNRVSLGDQPGETETSNPQGRLLFEYLEYEPPFGREPLANKVSDLASRFPELMTYKSCDLSPSSWVSVSWYPIYRIPVGATLQNLDACFLTFHSLSTPPPPLKAGSVEPSVKLPLPTFGLASYKLKLSVWNQNRAQECQKISSLQDAADKWLKCLQVNHPDYKFFTSNITQTR >A01p042630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:25339419:25340080:-1 gene:A01p042630.1_BraROA transcript:A01p042630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAFLLQQVTLARKNLVQSRIGPSFGKPAKLQASRDGFKAGRVESGMERERERERERERERDRERERERERERERERESSIFTGGASSSYHKKFENSLSTEKEDLIPAKMKLMVKKINFQEQEV >A01g511630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:34327381:34328913:1 gene:A01g511630.1_BraROA transcript:A01g511630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphodiester phosphodiesterase GDPD1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G02040) UniProtKB/Swiss-Prot;Acc:Q9SGA2] MSLKAIHVTEVPSLDNFHENPSLCASRFSPGGLSSFKIPKFLVVGHRGHGMNMLQSPDPRFSALKENSILSFSAASKLPLDFIEFDVQVTRDGYPVIFHDDFIYSEDNGVVYEKRVTEVSLSEFMSYGPQKETAKSGKPLLRKSKEGKILKWCVQRDDSLCTLQEAFEKVEPKLGFNIELKLDDNLVYSSDHLSRLLLPILQVVCDYGKDRPIIFSSFHPDAALLARKLQSIYPVFFLTNGGTEMYYDVRRNSLEEAIKVCVEGGLQGIVSEVKGVFRNPAAVNRIKESKLSLMTYGKLNNVVEAVYMQHLMGIEGVIVDHVEEMTEAVREMMKPSNRDAHDTKPKPNFSERELSFLLKLIPELIQH >A09p005320.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:2886122:2888210:1 gene:A09p005320.1_BraROA transcript:A09p005320.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHLSPLEQDYSEFVEYDPSGRYGRYSEVLGKGSSKTVYRGFDEYEGIEVAWNQVKLYDFLHSPQELERLYCEIHLLKTLKHKSIMKFYTSWVDTKNRNINFITEMFTSGNLRQYRLKHKRVNIRAVKHWCRQILRGLHYLHTHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQNSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMGKKPDSLDKVKDHEVRGFIEKCLASASLRLSARELLNDHFLCNDESECKTKEHYFDHTHYSNGYYIHNNVNQWAYNGNETVELHGTEEVFEFQEGGHEDCAEEYSKKFDNVHISITGKRRDNGDGLFLRLRIADKEGIVRNIYFPFDMETDTAVSVAREMVEELEMDDCDVTNIADMIDGEIASLIPVMDFNVMQYCGNECVEKHGRFDEITSELKHTDEEEAFSCRARNVIH >A03p034340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:14508094:14509174:1 gene:A03p034340.1_BraROA transcript:A03p034340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVLVKQSDVAIKERFGKFEKVLNPGLQFVPWVIGDYVAGHLTLRLQQLDVQCETKTKDNVFVTVVASIQYRVLVDKASDAFYRLSNPTSQIKAYVFDVIRACVPKLNLDDVFEQKNEIAKSVEEELDKAMTAYGYEILQTLIIDIEPDQQVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAGNVPGTSAKDVLDMVMMTQYFDTMRDIGAHSKSSSVFIPHGPGAVADVATQIRNGLLQAHQTNA >A05p014720.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:6454935:6456446:-1 gene:A05p014720.1_BraROA transcript:A05p014720.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPVPRLRLFISVTFVLCLFVVFQIHKSDLFGKNLQITHQVNNFFISIASSSHHQTQNLTKYSNESDGDRAKQPESEETDTCAGRYIYMHDLPSIYNDDIIKDCRPLIKWFDMCPFMVNSGLGPQVLEHANKTSQVLTTKTGSWYSTNQFLLSVIFRERMKHYECLTNDSSLASAIYIPYYPGFDVSRHLWGYNTTVRDALATKLSRWLRERPEWNKMYGRDHFFVAGRIGWDFRRCSEDSEWGSNLMCLPEFSNTTMLSIETTAWTNEFAVPYPTYFHPKSVTEVRIWQRKVKSVKRRHLFCFVGAPRPTLDGSIRGEIINQCLASHGKCKFLNCNEPGNDCDNPVKIMDVFQRSVFCLQPSGDSYTRRSIFDSILAGCIPVFFHPGSGYKQYMWYFPKDYTKYSVYIPDNGMKNGTVSLRDLLGGIDKESILRMRNEVVKIIPKIIYTKPGLVGPKKIEDAFDIAVDRVIQRVAMVKRMMEEGKDLQGEYSQTRDLKKLE >A07p044920.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:24421393:24423552:1 gene:A07p044920.1_BraROA transcript:A07p044920.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNAPLSADDEENNNKKQMDYNDWLPITASRNAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGPGLVAIMLSWLITFYSLWQMVNLHESVPGKRFDRYSELGQEAFGPKLGYWIVLPQQLMVQIASDIVYNVTGGKSLKKFVELLFPHLHHIRQTYYILGFGVLQVGLSQSPDFNSIKIISLLAALMSFLYSMIASVASIVKGMEHHPAEYGVRGHTTASMIFDAFNGVGTIAFAFAGHSVVLEIQATIPSTPEVPSKKPMWKGVVVAYFIVIVCYLCVAISGYWAFGAHVEDDVLISLEKPVWLIAAANFMVFIHVIGSYQVFAMSVFDAIESYLVKTLKFTPSVMLRLVARSTYVAIVCLIAICIPFFGGLLGFFGGLVFSSTSYFIPCIIWLVMKRPKLFSFHWFASWFAITIGVLIAIFAPIGGMRHIILSAKSYQLFS >A07p031180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:17277752:17278928:-1 gene:A07p031180.1_BraROA transcript:A07p031180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGHRDNGRHKAPQGQWMMQHQPSMKQVMSIIAERDAAIQERNLAISERKSAVAERDMAFLQRDTAIAERNNAIMERDSALSALQYRENSMATPSAVSNMAAACPPGCQMPRGVKHIHHPQMHQHQHHMLQLSDHAYDESREMDGLPTSPPPGTALDSAKPKRGGKRVKDPKATTKTTANKRGPKNPRKVKKENEDDLTKIMFVKTTRDYGEEETSKLVLTGSKSDWKSQEMVGLNQVVYDETTMPPPVCSCTGDLRQCYKWGNGGWQSSCCTTTISMYPLPALPNKRHARVGGRKMSGSAFNKLLSRLAAEGHHDLSNPVDLKDHWAKHGTNRYITIK >A08p039340.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:22633440:22638775:1 gene:A08p039340.1_BraROA transcript:A08p039340.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage stimulation factor subunit 77 [Source:Projected from Arabidopsis thaliana (AT1G17760) UniProtKB/Swiss-Prot;Acc:Q8GUP1] MADKYNVEEAEALAKRALHLPITQATPIYEQLLSLYPTSARFWKQYVETQMAVNNDDATKQIFSRCLLTCLQVPLWQCYIRFIRKVYDKKGAEGQEETTKAFEFTLNYIGTDIASGPIWTEYITFLKSLPALNPHEDMQRKIALRKVYQRAILTPTHHVEQLWKEYENFENSVNRQLAKGLVNEYQPKFNSARAVYRERKKYIEDIDWNMLAVPPTGSSKEEVQWVAWKKFLTFEKGNPQRINAALSTKRIIYVYEQCLMCLYHYPDVWYDYAEWHIKSGSTDAAIKVFQRALKAIPDSEMLKYAYAELEEARGAIQSAKNLYESILGVSTNSLAQIQFLRFLRRAEGVESARKYFLEARKSPSCTYHVYIAFATMAFCLDKDPKVWNRFVQFEQTYGDLASILKVEQRRKEALFGKGEEGSPALESSLQDVVSRYSYMDLWPCTSNELDHLSRQELLVKNMNKKVEKTNQPHGSATIGSVASSSKVVYPDTAQMVVHDPAKKSGIEFSSSAKPVAASASNTFPSNVTATATHGSASTFDEIPKTTPPALLAFLANLPHVDGPTPNVDVVLSICLQSDLPTGQTAKQSFVAKGNVPSQNDPSGPNRGGSQRLPRDRRATKRKGSDRQEDDDTASIQSQPLPTDVFRLRQMRKARGISTSSQTPTGSASYGSAYSGELSGSTG >A08p011300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:JADBGQ010000076.1:71413:71686:-1 gene:A08p011300.1_BraROA transcript:A08p011300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSEERLQWLVCADHEAVCPSLFIREANRLGSMVKDEEAMSKAVSSVEVVGERLKHPHVVPATRW >A05p043870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:26446983:26454757:-1 gene:A05p043870.1_BraROA transcript:A05p043870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTSSDGSEKDGIIKHNRKCRDITFLIIFIAFWVSMIVNSSFGFNQGNPLRLTYGLDYEGNVCGSKHRHRDLTKLELRYWLNPNQVYESGLKDGEHKLANARTICLLDCPAPSDDTLNWVCDYPDGEIRLKMSDWVDRNYDYFEFLTPEMRNSSLQLQGPCYPIIFPSVNVYWSCQYIARASNSSLRHWQQMGGVSIEEDMIIDKSIRRSLNSRASVLKRYVADIGKSWPVLIVCGGLVPLFLSIVWLLLIRHFVAAMPWITVVLFNMLLISVTIFYYLKAGWIGNDAVTPIIGEHDPYFHVYGRELTHVRGVAILMTFISVIAILTSIAIIRRILMATSVLKVAAKVIGEVQALIIFPAIPYAMLAIFYMFWLSAALHLFSSGQVVQNNCNNTNCCAYDLVLKKVNCDRCCGYSIRYTPHITVAIFFHLLGCYWATQFFVASSATVIAGSVASYYWAQGEEAASPEIPFLPVFASMKRLARYNLGSVALGSLVVSFVESVRFILEAIRRRTKVRGTTPDHWLGRMGYYTSRGCLKSVEWTLKSVNRNAYIMIAITGKSFCKSSAMATELIMNNIMRIGKVNVIGDVILFLGKLCVSLFSALFGFLMLDSHMYRDSHNKVSSPLLPVLACWALGYVVATLFFAVVEMSIDTIILSFCQDSEENQGNAQHAPSLLLETLDNNEEDVVAVERQTQNRARALAEGLRAGDA >A04g506120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:12716633:12719265:-1 gene:A04g506120.1_BraROA transcript:A04g506120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDDQIRPRQRRSRGGMGSQSRGSSSHVQDSVSPHSSYHTSPSPLLAPAAPAPAAAPAPGPAAAPGPPGVMRVTELVRQPGRDHLPYLTEYPHGHGQTWFNRSGNGISAWINRMMYSALDSGHPTFTHFPVEKQHLWFRQFAQEFNWNSDDTLSIYHHFVHKVMDNYGKQMYEWKKKWEVNKVPKSMNDTVWKELCAHWDKEETKETSSTNSNNRRSDRKGKGIYKHNLGAQSIATLADRMAEENEGHPVDDLALMKRAYTNKKTGQIDDGLVRDVVDLVQTQVYDEVSQLQTDDDDSTASTNLSRVRINEIVESSVPKKKGRLVGLGRRSRSAAPSSAPHAYVDPEVLTAQLKDKDDRISALETQMAAQQAGYETQKRLNEQMMEMMKRMYPNETFPNIQDP >A06p051710.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:27358645:27360578:1 gene:A06p051710.1_BraROA transcript:A06p051710.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEEQEVEHQQKQPLTSKSSTGGSSRPTISLPPRPFGEMFFSGGLGFSPGPMTLVSNLFSDPDELKTFSQLLAGAMASPVAAVVATAHQTPVSSVGGSGGDVDPRFKQNRPTGLMIAQPPAMFTVPPGLSPATLLDSPSFFGLFSPIQGSFGMTHQQALAQVTAQAVQGNTSVQSQSEHPSSTQQQQETSSEPMSQLPAPAQRDTVEVSVYEHRSSQPQSADKPADDGYNWRKYGQKQVKGSDFPRSYYKCTHPACPVKKKVERSQDGQVTEIIYKGQHSHEPPQNKTKRDNNGSSRSSDVATQFQTSNTAGLNKNKRDQETSQVTTTTTEQMCEASDSEETSVEPDPKRRSYYKCTTPGCGVRKHVERAANDPKAVVTTYEGKHNHDVPAARNSSHQLRPNNNLHNTTMDSMNQEQRVARLRLKEEQIT >A10p009260.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:5075523:5076520:-1 gene:A10p009260.1_BraROA transcript:A10p009260.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC097 [Source:Projected from Arabidopsis thaliana (AT5G50820) UniProtKB/TrEMBL;Acc:A0A178UQH2] MDISTQRFAMNGRSMRLPPGFRFDPDDEDLVFEYLAKKVLHRPMDFDLPELRSCNVDPWDLLGDKNTEMYYFVKKEEREKKGRETLSGYWEECEEEEVMESGDRGCNYLEGRKKTFAFYIGQRPRGTITPWIKYEFRLLSSRATRWSSSSLPRGAVENWRAVKVIVKEENEEEIEEDEQASDESDGEEVVQSR >A03p020290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:8321751:8322851:1 gene:A03p020290.1_BraROA transcript:A03p020290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGVGVSPGNVAGAPMKLNDRKVRLTELILRCLVCALALISAILVATDTQVKEVFTIQKKAKYTDMKALVLLVVVNGIAAAYSLVHGVRCVVGMMKGSVLFSKPLAWAIFSGDQAVAYLTVASVGAAAQSAAFAKLGEPELQWMKICTMYGKFCNQVGEGIATALLASIGMVMISCISAFGLFRLYGGNKARQSSLW >A04p006670.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:3556772:3560701:1 gene:A04p006670.1_BraROA transcript:A04p006670.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRISSYFIILIYLLLETFLGARLRQTKIRVSNLVDFGLPSSLPPQSQIKSNFNGNLLVACNGGLNHLQSVFCDMVTVCKPLNLTILVPELDNTSSLAHPSSNMGALAQVVPWIPEDDLLLKNAIEAGASLEALAKGAVQFSRRFSIRELQDRWHALLYDPVVSAEAAIRMAELERTTNPPFPTKFARTGSNENKGFSRKRKTEQLRSTYRSLRKKFQTESFSSLDLGFLGSANDSHFMDNNGDATHLGLEDSHMDIIHNAFPDILADHVVSEDHLQGDISYVGGDLTFTEQAGPSDCDAVHQDSKQKLEIPANEPKATMASTDRFLAQLSTSLFEEEETLMEVDGKEVDKSYYDGLSSLLVNPINDTNSRALPINTGQDPSSSQAHPVNIHVMPELFGTNAVRSVECKPVSDSAALDPHPEVVGGVICCLLNQEDPDIPCNDDILLSNTCHPMSVSSLARRNFKDTNNPVTSSARDLSATRDGHTQKKTPGRLQVSSKGKPEIGQSSQGESYKIVPSTGSAQGCSDTMVSDGAGAKDGNKEMAGGKRIVGFDGHGSYTEKESGDSKEEKCVIPINEIVQAKDAVVGLIEIPDPELEITQTEAGDHAFESDEDLPNYSDIEAMILDMDLDPDDQDNFDLEVSKYQSQEMKRRIIRLEQAAYSYMQRAIASHGALAVLYGRYSKHYIKKPEVLVGRSTEDLSVDIDLGRERRGSKISRRQAILWLGDDGSFHIKNLGKYSISVNEKEVDPGQSLILKSDCLLEIRGMPFIFETNQSRMKEYLKKRTGKGN >A04g500250.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:1041143:1041897:1 gene:A04g500250.1_BraROA transcript:A04g500250.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRINRACRRKLLSPLIYRRLWFLFIHELRCWTYLLVHWQAVKAREREAVDIFVHCYSMNHALVYAIAHHFYLISKLHYQSSFYVRHADPVVVFVFYLKPLAALE >A07p021270.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:12453404:12459775:-1 gene:A07p021270.1_BraROA transcript:A07p021270.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGIDMDEGALEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSMRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSQDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKTENLIEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVMQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDSTS >A04p032860.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:19181875:19182607:1 gene:A04p032860.1_BraROA transcript:A04p032860.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINERKVRLTELILRCLVCALALVSAILIVTDTQVREIFTIQKKAKYTDMKALVFLVVVNGIAAAYSLVHVARCVAGMMKGSVLFSKPLAWAIFSGDQAIAYLTVAGLAAAAQSAAFAKLGQPELQWMKICTMYGRFCNQVGEGIGVALLASVGMVLISCISALGLFRLYGGNKAWQSSPSRW >A02g506130.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:17530451:17531720:1 gene:A02g506130.1_BraROA transcript:A02g506130.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFTGAEKALSPFSESRGLLPLEVQTLNQQSQETEARYQKSKDCNKKSQRLASVKSFIQIIAFLPTIGCRIQAVISHYYFFFVKQSSIVYDKKIVKIFWHHCSRQGGHKEIVWHNSWGLNTRLVHANCRLRRIYFAERLYSDEELPPEFKLYLPVQEFAIKAENTIIEMYLRLHTERIFYWRMTRLAPSKVFLSSIATVIRPTPPGTPLEASEKSTSPTNRYPDFI >A03p061500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26726360:26729514:-1 gene:A03p061500.1_BraROA transcript:A03p061500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLLLLCVVVLIQLLAAQTDAQGSKSKWQTLTGFSPRVIARGGFSGLFPDSSIDAYNFAMLTSVEDVVLWCDLQLTKDGAGICFPGLTMSNASNIEAAYPNRTNTYLVNGVSTQGWFTIDFSLKDLNKVNLIRGILSRSERFDGNGYSILTVQDVNTELKPQGLWLNVQHEAFYAQHNLSMTTFLTTASKTVIIDFISSPEVNFFKKIAGRFGREGPIFVFRFLEKETFEPTTNRTYGSILSNLTFVKTFASGILVPKSYVLPLDDKQYLLPSTSLVQDAHKAGLEVFVSGFANDVDIAHDYSYDPVSEYLSFVDNGNFSVDGVLSDFPISASASVECFSHMGRNATKQVDFLVISKNGASGDYPGCTDLAYAKAIKDGTDVIDCSVQMSSDGTPFCSSSIDLGNTTMVAQTPLRNRSTNVPEISSLGGIYTFSLTWPEIQTLTPAISNPYRTYNMFRNPNERNAGKLVSLSDFLNLAKNSTSLSGVLISVENAAYLRESQGLDVVKAVLGTLSETGYTNITTKKVMIQSTNSSVLLDFKKQSRYETVYKVEETIRDILDSAIEDIKRFASAVVIVKSSVFPDSEGFVTEQTNVVERLQKSQLPVYVELFQNEFVSQPYDFFSDATVEINSYVTGAGVNGTVTEFPFTAARYRRNRCLGSKETPPYMAPVQPGGLLQVVNAASLPPAEAPNPVFTDADVAEPPLPPVTAKAPTSTPGTPSKPSTNAPAPSGQTRLTLSLLLSVFPLAIASLLLL >A08p016990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:11906792:11908183:1 gene:A08p016990.1_BraROA transcript:A08p016990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISGLPDEVLCHILSFLPTKLAALTSVLSTKWRNLLAFVPNLDITCHIKIVPVDSIYYGIPKEIMYGTMLSFRGFIDRVLALQGDSSIKKVSLNCINYVHPDHLDRWICNVLRRGVSDLETAIYDQDGDDDFNYLLPQEMFVSRTLVKLKLSDVEWWPGAEGTFLPKLKTLAVNGHWFCPDKLEMILPAVPVLEELYIKHIMWKDLGDSVSSASLKKLTIHANGCQSMVAHITTSMSISFDTPSLLYLEYSDEVASYYPKVNLTNLVDAVLDLNIRDFEYMKLYRERNDDGLRNYVVLRCGNLCKLMAGIGNVKTLTFSSKTLELFSICFESMPAFNNLKMLRISGSVHPVGWQAMPYLCAWDCISLEEKGGWLISCPVER >A07g507150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:18639415:18640013:1 gene:A07g507150.1_BraROA transcript:A07g507150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSQSFSLYHGRLELPFLHANTQVVILQLLGDKGITSIGGNMIRCFTSPDQAYIPSIERSWMRGGVVLVQLIFPKKQIAVSTQPRKAEKIHHMRRRVDRFKELMNA >A10g506500.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:18566575:18567645:-1 gene:A10g506500.1_BraROA transcript:A10g506500.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCAATNGFRPGNKTEIVICSYDDHILVSVSVSVLRLMFDVTLMKLHTTLCFQVIATQIGAMGTILHASSHVPSKPLILSLGLNDHSSETLKEIEAAVIDNRLW >A09p000360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:184132:184536:-1 gene:A09p000360.1_BraROA transcript:A09p000360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLHFVVLLIIVALLANNGQSTRVMDDKFPECEFKGPCQMKSDCLGTCGGKPENALCIPNPSVDSLQCCCLSN >A02g508660.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:23333589:23334234:-1 gene:A02g508660.1_BraROA transcript:A02g508660.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQSDDDYENTMFELVATGLGKCSLCNKDDQDCAACGIETCNYSICNNCFRTGKFGLLELHLLDKDNYKKSYDLWLSTLEHLSSCVPTPDQPCMSVKCSLARDLMMHLKDCNRRIDGGCKRCKQIWVGMSVHASHCSLPNCNISFCRSISEFKRTVN >A10g504770.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:11661997:11665282:1 gene:A10g504770.1_BraROA transcript:A10g504770.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGSQWCRPMSMNSHRSTDHDEDRWTDYSSHRSTLSAKSTECNAVRILTHEEFAAKHPHPPSPFYDKIDRSVEPTIDRQSESDVDRHNTPPIDRQAPLTYRVRLPSIDNDYINALKPPPKPLASPPEPKPNPLNSSPEPVQEDQETEGRRLRKRKEKIPKNLKREANEKEMDGFTKRVLRIPIEKAFDEAYFTHRLWMFFRETKVTEEDIRRMFHQVREKMKYRITLTKKSDPGKFAIPCVVKGVEFPHSMCDTGASRKVINSVDYGKELGFIGACHCGAEYESEYETEYSESIDTPLFHRSIPMSQRWADSGFHESFAVDTVITSPNEEHTEEYDEDYWKERAIEMSLQDERFETHKFTNTFPTSFDEVHSTSVDTHPRPAKQPLTSIDTHTGTSIDIRAAAKIQEQENIPLQLGKSMKSNHLKNTSSAEIILPSIDASVSTSIDTTLKPNLSISKLNDNANIDYGFLTPDEFGIFRDPDGNARAIDGRILQVSREDIADILQVANGPDNLFSQQRGTPDVIQTDPNKHGNTNVDDKDDPTSIDRRYEFGNRAFDMYGARKFTWERRDEYGVYRDECGHARGVAGEMIPVTKDDIRKLLERASLFEESHICLPEQATSFTLTRLAPELYTKDEINEMVTGICGAQEKLGEELKSLQLEKEATTSASIDAPHAPSIDVSLPTAQIPAEPQCSAKHKDEWEVSYIDTRINDVYYPLNNNVDWLSTKIELLQQDLDTIRKKDQQPSTSIDVCTITSLDAKISAMNERLRTYEDMHDRFISPANSASIGRLRELWIDGKNPEELLPYTATEIGKITSKI >A03p052990.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:22740433:22741899:1 gene:A03p052990.1_BraROA transcript:A03p052990.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHFLTKQPDQTNKPTTANMSGLDGVEHKTLKVNGINMHVAELPGSGSGGDPIILFIHGFPELWYTWRHQMTALSSLGYRTIAPDLRGYGDTDAPEKMEDYTYFNIVGDLVALIDAVTGGDEAVFVVGHDWGAMIAWQLCMYRPEKVKALVNMSVLFSPRSPDRVPIPTLRRFFGDDYYICRFQKPGEIELEFKKLGTEKVLKEFLTYKTPGPIYLPKGKLFKSSENGGASSALPPWLTQEDLDYYVTKYDNKGFTGPINYYRNIDRNWELTAPWTGAKIRVPVKFIVGDQDLTYNSPGAKEYINGGGFKKDVPLLDETVVLKGVGHFLHEESPEEINQHIHSFFQKFL >A05g501890.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A05:6308871:6309813:-1 gene:A05g501890.1_BraROA transcript:A05g501890.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGNWDLSPRAKPVKSHCGAGLGLDILGPRYCGSCGLVNFGYGPMRGGSTRVCGAFETRVSSSPETFGVLLTDEKDVLRSFTTKLDTHEPPPHESSNNKEMRTAFSLPAGRTAKSYIASGAGLGRGLGTAGYGGLTRKDPPE >A01p019400.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9391413:9394195:-1 gene:A01p019400.1_BraROA transcript:A01p019400.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQVNNDRKEFPVDPTARHDSSRGGGNGGGGARYKLMSPAKLPISRSTDITIPPGLSPTSFLESPVFISNIKPEPSPTTGSLFKPRPVHVSSSSYTGRPFHQDSTEQKSSEFEFRPPASTMVYAELDKHKSEPAVQFQGQGYGSSHSPSSISEAAATSVDLRRPTQSSDIPAGSEVDESVQALQNDPRGSAPSVLADDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSYDGQITDIIYKGTHDHPKPQPGRRNSCGLGMSAQEERVEKSVYNLAQAIEQTGNPEVPPTTEDGGEVAVSNKSKDDQDEDDPYTKRRRLDGTMEITPLVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTAPGCPVRKHVERASHDPKAVITTYEGKHNHDVPTSKSSSNNHDNQPRFRPGETDTVSLNLGVGISSDGPDHTSNERQHQNQQQLINQTHPNGVGFRFVHAAPIASYYASLNGGLNQYAPRETQNETQNGDISALNHSSYPYPHNTGRIQSGP >A08g508640.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:15968297:15975289:-1 gene:A08g508640.1_BraROA transcript:A08g508640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCLFVYDIFWVFFSERLKIMFPRNLLCGVSASDFMMLGLGDMPIGLVSALAAGFLTHSPQPALLYLWGASQCVMQWGASMYYAVGSRQTHYIMVLHVFAQPSEERHTVTVQRFRRTSQIRRWRSYPPKPQRCARTHHDRRWSSKCYSRGQNGVHDVVRQPPIAFQSFMY >A07p000780.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:488934:489239:-1 gene:A07p000780.1_BraROA transcript:A07p000780.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDACAAVPRAPHGWLNVSITFRTTHPRPDGIPQDWNSCKATQHFTHVDQQASVACAATPRAWSIHLVLLHVRLHVLFPCKATPQASVETQLVRWLTQCP >A08p029120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:18070921:18071384:1 gene:A08p029120.1_BraROA transcript:A08p029120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKLRRVNHEACGVLETMWTQYAEVAWNKLEEQVYTVEKRQEINLGARMFLGRAEADAEVLTTDRQVWID >A07p027410.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:15647307:15649529:1 gene:A07p027410.1_BraROA transcript:A07p027410.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVEKVSTTEASSSSAEAPIPAEITEPTTTEKTKWGDVEDDDEEEANAVSELNSLSIKEESVLDEPEDSSIKAVTSGETPYTSASRFEDLNLSPELMKGLYVEMKFEKPSKIQAISLPMIITPPHKHLIAQAHNGSGKTTCFVLGMLSRVDPSLREPQALCICPTRELAIQNMEVLQKMGKFTGITAELAVPESNHGVTSARRAHVSAQVVIGTPGTLKKWIAFKKLGLNHLKILVFDEADHMLATDGFRDDSLRIMKDIERVNPKYQVLLFSATFNETVKDFVQRTVKDPNQLFVKREDLKLDSVKQYKVVCPREQNKIEVIKDQIMELGDIGQTIIFVKTKVSAGKVHKALADMGYDVTSVHGNMTQEDRDKIVKEFKDCLTQVLIATDVLARGFDQQRVNLVVNYNLPTKYDTGEPDYEVYLHRVGRAGRFGRKGAVFNLLLDDRRETEVMEKIERYFEAQVKEIKSWNSEEEYKSALKEAGLLDE >A01p012120.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A01:5914520:5916001:-1 gene:A01p012120.1_BraROA transcript:A01p012120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTKLKKPNSHFSLCTFLFFTVLFTIPALFLLRTSSSCSSSTAAVSPSSDTNQPPWSGDLQTAQFAWNRLAFSLTNPPPKTLRLAVFSRKWPTGPNPGGMERHAFTLYTALARRGHHVHVFTSPLDPSPQTNKITSASDKTINPTIHSHGDAEPGKWRYNKAWELYQEENKKEPFDVVHTESVALPHWIAREVPNLAVSWHGIALESLQSSIYQDLIRKPGEPRSQGFNASLYGAVLPKILDEIRFFHNYAHHIAISDSCGEMLRDVYQIPEKRVHVILNGVDENGFKSDKKLRSLFRSKLGLQENSSAIVLGAAGRLVKDKGHPILYEAFAKLIETHSNVHLVVAGSGPWENRYKELGEKVTILGSLNPNELKGFYNGIDLFVNPTLRPQGLDLTLMEAMLSGKPVMASRYASIKRSIVVNDEFGFMFAPNVEALTAVMEVAVAEGAERLAERGRKCKEYAAEMFTASKMALAYERLFLCIKDQRFCIYP >A09g517940.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:53967404:53967737:1 gene:A09g517940.1_BraROA transcript:A09g517940.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLSPLANLVESLEMVVLRRRGGWAGGGLGPNIGNNATDGAKRSLLKGVGPMSSVTDNFSP >A03p004150.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:1779349:1780477:-1 gene:A03p004150.1_BraROA transcript:A03p004150.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAELNLPAGFRFHPTDEELVRFYLIRKCASEQISAPVIAEIDLYKFNPWELPEMSLYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGKPKTLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRSASLNKKNNLRLDDWVLCRIYNKKGTMEKYYPADEKPRIMADQSSSPFDTSVSTLQEEDSSSSGGHGHVVSPDAREVQSEPKWRELEDALEAFDSSMFGGGSMDMLQSDGYVPQFMYQPTDCFATLQDPFEQKPFLNWSFAPQG >A03p054930.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:23674685:23679109:-1 gene:A03p054930.1_BraROA transcript:A03p054930.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDKKVTKCFSFKRTKKKKKEEEKLIVSTEIAKRWRDLSGQNHWKGMLQPLDQDLREYIIHYGEMAQAGYDTFNINTESKFAGASIYSRKDFFAKVGLEKAHPYTKYKVTKFLYATSQIHVPESFLLFPLSREGWTKESNWMGYVAVTDDQGTAVLGRRDIVVAWRGSVQPLEWVNDFEFGLVNAKNIFGEKNDQVQIHQGWYSIYMSEDERSPFSKANARDQVLREIGRLLEKYKDEEVSISICGHSLGAALATLNASDIVANGYNRPKSRPDKSCPVTAFVFASPRVGDSDYRKLFSGLEDLRVLRVKNLPDVVPIYPPLGYAEVGEELSIDTRKSQYMKSPGNFATFHCLESYLHGVAGTQGTSKIDIFRLDVKRDIGLVNKSVDGLTDECMVPGNWRVLKNKGMVQQDDGSWVLLDHEIDDNEDFEF >A10g503180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:8324060:8328872:1 gene:A10g503180.1_BraROA transcript:A10g503180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVWTTEGVDDLYFSRLLNNLLGRRIFQLSTSYRTFNFTNRCFSPTAISKAIRRKPYRGLFRHYLRRSEISFAKLRRRSVTAWDHIFSDHIFSDNIFSNCLKMDLPELPPRMFTLGEEPDAIRSISYHSDDTKLFKALCDCLTADEYEDLKASKLVVFIKFKELDFGWTSRLLDIKKKFELWSLVVSQPVRFSLIEFEHLTGLNCDYIKDLENPRCEVTTEMAAFWEKMRVDLDTGPSIEQITEAFYNCDEWSRDDRMRLGYLAIHAGYIEGKKFSSATSASLARLVMDLEKLENYPWGRVAFKVLMDSLKAKDLTQTGYTVDGFIQVLQVWAYYAMPELGANYGSPIPNKPSPLLLAYKGGKRQRKCFKAAINKQTIVKNFVQKDFDEMFPKWDGDVDDPAADNIIKVMFNDPGWEWTMECWPVTGTRKVVKMEVSPVKNEVSPVKSESVVKEESSRPRKKARKRSSVSAETPAAGSEGMTHQQIEKSLKDISDAINLGFGTCLKELKLLADRMVAVEKKVGITNRGGSSDDRQLTTTSNPPKPVEEPGSESVNGAKAGQKEAKEPSLTTEPSSSRELCLVSPADDLPSDDPSLLILDKQVSTASDLLVEEARRQTKKETALVNLRKKSVRERKLAPTQQTPFKGNSTAKQIIPNKQVGGGYDPFAPYDKMKSKELTAWVQKDPSYKLPLKKKPRRCPSRFYQWRASYPDFKSDAPDANGLGRRLPGGAWNYHPGVIPSFCKFKKVWGVDVDDIYAPVNFKNRHWIAIWISIPKRHIVVWDSIVSHISPEELDEVMEPFVTMVPYLLVECALSDEQKVQYTLEPYTYARQTVGVPQCRAGDCGPFTLKYIECHALGMEFPTAFDKKNGKTIREKMALDIFRELPMCHEWENQDNDENLATLGDVNRVRIG >A06p017220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:7707704:7709134:1 gene:A06p017220.1_BraROA transcript:A06p017220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRHRALMNVVDKAPILDKKAFVTVILEEEHLFGDVYTVSVGSGTNIQDNSLVHVAKSYLVGKVPPTIIGDNVIVLCYMDVLLRMRPLSRMGATLLDGVIVEKHDMVATGALVRQNTRIPSFWREDMGRKPSKVPQEA >A04p024040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:14580405:14582227:1 gene:A04p024040.1_BraROA transcript:A04p024040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450, family 705, subfamily A, polypeptide 24 [Source:Projected from Arabidopsis thaliana (AT1G28430) UniProtKB/TrEMBL;Acc:Q9SGP1] MTAMVHLDIQNCLIFTLVFLFPTLFLFVFFFFKEPKKSFDLPPSPPSLPIIGHLHLIISSSMHKCFQKISSKYGQFLHLRIFHVPIVLVSSPTVAYEIFKAHDTNVSYRGPIAIDECIVFGSSGYIRAPSGDYWRFMKKIIMAKALGPQALERTRGVRLVELERFHRNLLDKAMKKESVEVGEEAMRLVNNTLGKMSMGSSFSVEDNDGGKVCELSVAFTSLCHKFCVAQVFHKPLEKLGISFLKKDVMEELFVGAGDSSSSTTRWAMAEIINNPKILERLREEIDSVVGKNRLVQETDLTNLPYLQAVVKEALRLHPVGAVVPREFQEGCTIGGFYIPEGTSLAVNSYAIMRDPDSWEDPCKFKPERFLTSSRSWKEEERKEQALKFLAFGAGRRGCPGSNLGSTFVGTAVGVMVQCFDWEIEGDKVNMEEASGLRFFMALAKPLKCTPSPRNMNHLPSDSRGQDYTRFQLANI >A03g509200.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:30186171:30187338:-1 gene:A03g509200.1_BraROA transcript:A03g509200.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIKFKEFRQQYRHNGRSFGSYWFRLKQSDAGCLGHSASDEIRHTIFRASSCFMVMLDFLLQICQMKRLKRLAFPDTTSGTHFYFGNECLTGQSFLAALSGSDDGYSFTSSKYGDVQKIETVTLAELNNYVLNSPPQSGGPNNTSSSNFCSQEISDAQEDPLHLRDPTQEFMSYKQRLRSH >A03p061300.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26653074:26654743:-1 gene:A03p061300.1_BraROA transcript:A03p061300.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTISPLCLRSSSSLSYFSSNLSLDSHRTLGFTTFVDSLRPTNLFRRSSTLRLFLPPPKGSLRTPTISAEEVRDVPMPKVDKSGRLSSPRAARELALVILYAACLEGSDPIRLFEKRINARREPGYEFDKSSLLKYNHMSFGGPPVKTETSEGEDELVRHDENESKIEAEVLSAPPKLVYSKLVLRFAKKLLAAVADKWDTHVVIIDKISPPDWKSAPAGRILEFSILHLAMSEIAVIETRHPIVINEAVDLAKRFCDGSAPRIINGCLRTFVKDRAPTPTPQALESKQEVPV >A07g502740.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:5673786:5675628:1 gene:A07g502740.1_BraROA transcript:A07g502740.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESACLMQPFSYAAPQGDSLGALGQSVSFGRFMSEKLDWEKWSSFPTQNRYVAEIERYSRPGSVAQKKAFFEAHYKKLAAARKAAAEEALLLRQLTSDELVPVQEDIIGVGKKESDPVLEIPRASLDAEMKVVAEKVSMSGNRQSDEKENRGKDKSKINGKGSTVKEEQQKKKYKEAQPKSSTKPRVSKLNISERTPSQKPSSNKSSSYNFTPAKEFNRLVSMIRKIDGSTRASSSSKLQTKECKTPLRTPSSNNKVSAKGIVEDSLFTTPLSSNRRSRKMEFPSCKDAELLHTVWIKNRGQSREKKKGKMSFEFIFLFLVSMLSSLWLTICKWFLMFQTLEEKFKATEAQKEKERNVEKEESKLRQRLCFKAKPLPNFYKQRPQSTDQTKKRDSFSYFVGSVTVAERERERERERDVKE >A07g503220.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:6360944:6367237:1 gene:A07g503220.1_BraROA transcript:A07g503220.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGLLVRKIVGWASSRVLGLFGPSSDTIRLLRNIGKHGLSLLRSSGDSIRRFDENARIGIVSMFGKIQSLHSDRTLARARCYVASERGERSRPELGRYVATEWDDRSYVATERTRYDDRRSLRSDRDSSSVATDRALARARSLRSDRAGRSLGRYLATELWLEHARSLRSDRAGRSLGCYVVTELWLELGRYVATELCACLVAVYRSSLACPRSDFHTRACPRPIWIHVRKDFFTKITFRKNVYADFYGLSDIDSVVTDFGPNTVIRRVAADGILYGCRRKTTSCHLILEYWQRDKFWDLVSGCLILCLEMLETSALGLGQDLGLLLVLEGAMTNSTYVSRFSCILIPYRFKVRDRFSAYTTCMVGIEHLSGDRKCWTNISDFFYSAIILVSDVRDNKLNGKKYRFESSRRICFEKMLVRNFVEERIFRRLRRLAMLKICYSFVCRVSSLKCSRVCRCFAMLQGFSLSSAFEKHSGLTTNVCSQNCCSCLDANSFICDRGIMTEDVSYSSRSFVLNFSLHAVYYGESCLELPMEYYRSLFQYDLVAGRSELYMRGDRFSTFGEFRSVQRNRIVKAAKVLISMVTSIEAKQETFRSRFESERKDGGRSDRSLRSEWKQAEKRPTCFRRKISTETPIETKRKAFSMRIQKTTQRRTFLRPYRSLRRYVATDSLTGRYVASGSKPRRVPLVFVVKSQRKLRLRRNEKRRYVATDSLTGRYVASGSKPRRVLLVFVVKSQRKLRLRRNEKRRYVATDSLTGRYVASGSKPRRVPLVFVVKSQRKLRLRRNEKRFDEDSKENPKEDLSEALQRPSSVCARSLRSDRAACACSVVLGRYVATEQRVRARSVRSDRAACACSVATEQRVRARSLCSDRAACVLGRYVATEQPTEQRVCARSLRSDRAVCVLGRYVATELCNRFVVFPFSANYVGVFQRFFWENKFYPSEMFSENVFWEKSRACFSALPVVEGAVSAASLSFIYPNIVSIKRFVAMSKSDPGPGSLREPTTSDRIYAENHEKITNEFRENSRSYFESAFLIDFGLRIKPNVVQLIELDKRSNCLGEFRIPNLKSSLLCSSPRTPYILAPRSVYAFTLLPLSRHSIKMEIFHFSRSSQLSSKLLYLSAET >A04p032360.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:18892208:18893625:1 gene:A04p032360.1_BraROA transcript:A04p032360.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNWFDRVKEDRKNLSFSKTFACADLSSECMRTFPFDLMKSLPKEDLSNKMVIIAKWGSSWEVDISKNPRFYYMEKSGWNQFVRDNALGKNEFVTFTHKGSMCFDVNIYGKDEKEIVRPPTMASSSGIKQEQGASMEGVEFEATDKKAEESKKKIKIKNVVNSVEIGESSRGVKKVKRGRVRVKNGVPEFEITIKRSGLGHVTIPNLFKKQIPNEQTMFTIHSKGSGGGSWEVWCLVREAQATFSRGWSKLAREYPLEIGDKCTLQLIKPNECVLTITNKAKEEEITVID >A09g509870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:28812825:28814899:-1 gene:A09g509870.1_BraROA transcript:A09g509870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNHDVLEGSPSQACCSTVEVRLLSFWEARNMKRGGELIGLDTLLLEAKVVLFSKLIIIVTTLNVHRLTTYRNMYSLGGFDVPRCNQNYRLYDSPFLIQFSDSPTELVLPILQDKQLTHVLQPSVFLFFNCNQRATKAMDICKTAKTRNICRLPIAQSVNLYIVNVSPLGSEQFEFQVENIKFLEFKPSVKFRGCISLCNLIIAPSTSLKSECAKVLKTRSKNFYMFTSIGSGC >A02g505230.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:16100236:16100722:-1 gene:A02g505230.1_BraROA transcript:A02g505230.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding CMRGSVSWGSIAQLEEEYILARKLNSAFVLFQARQSHKRIGVTRIETTTDNQRMVGLSIPNAAVETVLQDLAWVQETYTKSNPSSSATKFLHAD >A08p042520.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:23833239:23835553:1 gene:A08p042520.1_BraROA transcript:A08p042520.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMRKSLRLRSITLMMLMAVLVWSVTLETCIARRGRHWRHNNRRSSDMSDSLSSKKPKSHGNSHHSSHNNNNSHHHKSKPKPKPKLQTPPKVDDNYNSPVVSQLPKVQPPSLPSLNESQVFNVMDFGAKGDGIRDDTKAFEAAWEAACKVEASMMIIPPEYTFLVGPISFSGPYCQPNIVFQLDGTIIAPTDSKSWGKGLMWWIDFTKLVGIKVQGKGVIDGRGSGWWQQDYPFIDGETKLIVPLNNSVNQNPPISEFDLKMPSIKPTALRFYGSIGVEVSGITIQNSPQCHLKFDNCGDVVVHDMTVSSPGDSPNTDGIHLQNTRDVLIHSTTLSCGDDCISIQTGCSNVYIHTVTCGPGHGISIGSLGKDSTKACVSNITVRDVAMHNTMTGVRIKTWQGGVGSVKGILFSNIQLNEVQLPIVIDQFYCDHTTCKNQTSAVAVEGVTYERIKGTYTVKPVHFACSDDFPCVDVQLSAIELKPVQERYHMYDPFCWQTFGELNTPTLPPIDCLQIGKPARNIVQSDHDVC >A04p027040.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A04:16242407:16243908:-1 gene:A04p027040.1_BraROA transcript:A04p027040.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFGLPSYTCLREGPDHAPRFKATVNFNGEIFESPQYCSTLRQAEHSAAEVALGALSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPRYTTFRSGLGHQPVFTGTVELAGITFTGDPAKNKKQAEKNAAMAAWSSLKQLAKETSSSMPESENSDELEQVIIARALINYRIKENIGSGSSSSAPVPFAKKFFMQSLRPTSPQPSHATTSRILPFICPKPSSRTSRSVSAASVERAIAAALENRNYRPPQQRFAAATPGAAAAPPYVPMRHMRSPCNGMAPPVTIRNSVPVFSAPPMPTCASTQQQQRPSVYVPSMMRTAAPVRIAPPVTIRTAVPVFASAPPQIRKEEASPVRKVNVQTSVKPVVQEREERALRLPDNLEIELEASAKPVSETDRAALKDSKGEHETVKERLENLKIW >A08p019690.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A08:13377488:13378298:-1 gene:A08p019690.1_BraROA transcript:A08p019690.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKVVKKRSAKFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGVTLMPNVGYGSDKKTRHYLPNGFKKFVVHNTSDLELLMMHNRTYCAEIAHNVSTKKRKAIVERASQLDIVVTNRLARLRSQEDE >A01p052290.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:29240916:29241450:1 gene:A01p052290.1_BraROA transcript:A01p052290.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTYVLMRVLLCKIQCPSFFCFCKPSPHIYAASGSLKLENTPPQVSSSSTTTTVVDDNDDDGDHYVDAHAAECVDGDHAIEEKEEACGVEEKQEGGILKSSLKEAVLDSSREKKEKKVQWVDLMGKELAEIREFESSEEDDVRYDGDQSCVCVIL >A09p015630.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:8139925:8148307:-1 gene:A09p015630.1_BraROA transcript:A09p015630.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIFEAFQKSEMDLNTATYNNIIVNGMCKGSKVEEAWQLFTSLPLLPDVRTYSILISGLIREGNFLGAEELYEEMLHRGIMPNASRLDEAKGMFDFMQKKRRWWNRFMCFRPSTQRRKRIGKAALAPEPVHTDSTSNSGYRSVMTALPFIAPPSSPASFFQSEPPSATQSPVGILSFSPLPSNNNERPSIFAIGPYAHEPQLVSPPVFSTYTTEPSSAPVTPPLDESFYLATTTPSSPEVPFAQLFNSNGNYGLRSPVSNYEFQFYQLPPGSPLAQLISPSSVMSGSGPASPFPDGVTHFQVSDPPKLLSPGKLHFSKAVTTPKEQKKIARPDKPVSFDLDADHFIRCVDQKLRTTFPEASASSHQEAAQHSSLGPNKEFDFGTDEKHLSVDDDEHSASTKNSNDWSFPNPIDDYVQDLRDIIFLPSVAGLELFLEDTKIEDAVGLFSEMVKSRPFPSIIEFSKLLTAIAKLKKFEVVISLGEKMEMLGITHNIYTYTILINSFCGSSQLPLALAMLGKMMKLGALIDSFAKEGKLSEAESLYKEMIQRSIAPDIFTYNSLIYGF >A06g508120.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:22853605:22855785:-1 gene:A06g508120.1_BraROA transcript:A06g508120.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAGVLGEWKMNKGSWKFMVNTTAGGKLFTFREGLKFDDMVQMVHEDFGISRLGNELELSYALPESMLRDMPKDTPPVFVNNDRQLDSMCEMSKSMPLRLCVSVKNGNVGNHDQGFNREDTAIYGKGHGKKKKNVDRQDSNQEPEVEVSNFHDAKVIEKGQWFKNKSELSWSIRMLSIERKFRIVVSKSDKKLLVVKCADTSCNWMVRAAKTNPTCEFFWVTKYIDKHTCFSRNIAGPRASSKVISKLLLENFGNSESIRPIDELLDVKEIPPHIVAYKCLPPDVKRGAGRPVKRRYECFGEQATARKKARKQACSRCHRTGHNRARCDFGI >A06p009870.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:3538465:3540725:1 gene:A06p009870.1_BraROA transcript:A06p009870.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGVYKKRLGKSGGGFVVRVSEDEMGSDEEEEEDCSQICTMGKRFALMNEFGGENQVKDDIRNQVSAEVMNESETSRSETDSPGKSTQGAEPPERALTLKKHRLKKLEELEAATQSLKSRSNEQKQIRATKDNVRYGSWFLHDSSLHSRPFCRQLRHPLCHLAVSTSNGYCSLLIFTKLAFTHVTVRLGLRRISTPSFYNETLRCTCPDRSIFAVAKLITFMGLKMDGLDLPGFGLHQRYKSQFLKAVNVVREHFLPKLRAKKDAANLQTIITDITAYLDHDQMYLKEPVGRTMETDTLSSKITHEEDQHNQHYHGNYYRGYR >A01p016080.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:7963836:7966795:-1 gene:A01p016080.1_BraROA transcript:A01p016080.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFSVLILLFSHLAVSSSSLGDSEATCSGIVPLPNRNDRISITDFGGVGDGRTVNTKAFREAIYRIQHLKRRGGTLLYIPPGVFLTESFNLTSHMTLFLAKGAVLKAVQDTWNWPLIDPLPSYGRGRELPGARYMSFIHGDGLRDVVITGENGTIDGQGEVWWNMWRSRTLKFTRPNLIELKNSKEIIISNVVFQNSPFWNIHPVYCSDVVIHHVTILAPQDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAFGRPSSSITIRRITGSTPFAGIAIGSETSGGIKNIVAEHISLSNMGVGVNIKTNIGRGGYIKNIRISNVYVENARYGIKIAGDTGDHPDAYYNPNALPVVKGIHINNVWGVNVRNAGSIQGLKDSPFTGICLSEINIHGSLNTNRTWKCSDVIGTSLKVSPWPCSELRTTGGSYSCSSTF >A05p011640.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A05:5087396:5088742:-1 gene:A05p011640.1_BraROA transcript:A05p011640.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVLFVTIFIFFSMTLSSSTNPKHFTLELIHRDSPHSPLYNPKTTLTDRLHSAFLRSISRSRRFNHQTQTDLQSGLIGAGGEFFMSITIGTPPTNVLAIADTGSDLTWVQCKPCQQCYKENGPIFDKEKSSSYKSEPCESRNCNALSTTERGCDEAKGVCKYRYSYGDRSFTRGDVATETISIGSASGSPVSFPGTVFGCGYNNGGNFDETGSGIIGLGGGNLSLISQLGSSISNKFSYCLSHKSSTMNGTSVINLGTSSIPSGASKVSSVISTPLVDKEPQTYYYLTLEAISVGNTKIPYTSSMYYPNDDGVSSAATKGNIIIDSGTTLTLLESGFYDKFGAAVEESVTGAKRVSDPQGLLSHCFKSGSAEIGLPEITVHFSGADVRLSALNAFVKMSEDMVCLSMIPTNEVAIYGNFAQMDFLVGYDLESRRVSFQRMDCSADL >A06g506180.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A06:17639979:17640830:-1 gene:A06g506180.1_BraROA transcript:A06g506180.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHVSLSPSSEDETIVATRKLRKLSEGALPSPSRPRFAPEGDGSFFAAQSDLISLAGRMSYVGCRLHLLLPQPRRKPTPRLRWRVPRLLSCFIFLENLLRSIRSLISTSSQVMEAFNEYIVVMEDHVVASQNDEQIKSSGSEIKRLSKELEATKREGKKDAEQIAALTEDWRSIFLEKKALTTKVVAQSKKKEVSVEIQLQEVIANIDLLNKLKDGGLTVDAELARLKEMEGDCEDLVASAAV >A03p060610.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:26302455:26305362:1 gene:A03p060610.1_BraROA transcript:A03p060610.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKATVSGAIESSFSLTDALNMQRNGGISNPRVPTSPMSFSNNINVPGSLVLDGSASMQQGGQGSVPVRENSYLHVDKKPKLEVKQEDMLQQQVLQQYIQRQDPGGRNPQLQALLHQQRLRQQQQQILQSMSPSQRLQLQQQQQLLRQQQGAQQIPPSVRPFEPGVCARKLMMYLYHLQQRPAENCITYWRKFVAEYFSPRAKQRLCLSQYESAGHHALGMVSQAAPDMWQCDLCGTKSGKGFEATFDVLARLNEIKFASGIIDEFLYLDLPRENRFSNGLMMLEYRKAVQETVHEQFRVIREGHLRIIFSQDLKILSWEFCARRHEELLLRRLIAPQVNQLLQVALKCQSTISESGSDGVSKQDLQSNSNMVLGAGRQLAKFMELQSLNDLGYPKRYIRTLQISEVVKSMKDLMNFTGDHKIGPIEGLKQLFEQTAAVKLQRQKEMEQLGNSGAIMNASSQAQMALTQGTMNGLTGNNNHPQIVGRGAMNGSAQAAAALTNYQSMLMRQNAMNNPNSKQEGFSSQSQSPSSSSHQRQNLATGGFPSSPQMQQRTMNGAPNTLQQNPPHRLLQPPHSHGTNQEQQMLHQMLQQMSENGANVQQQQAFSGQSGGNSNAERNPTASTSNISGGGVRVPSRNNSFKSASNSNLHLTEDIPITELPHDFSADDFFNNSDIYGSL >A02p030350.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A02:15457604:15461103:-1 gene:A02p030350.1_BraROA transcript:A02p030350.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 85A4 [Source:Projected from Arabidopsis thaliana (AT1G78270) UniProtKB/Swiss-Prot;Acc:Q9M9E7] MEPQAVSPLPNRHAVCIPYPAQGHINPMLKVAKLLHARGFHVTFVNTDYNHRRILRSRGPHALDGLTSFRFETIPDGLPWTDVDAKQDMLKLIDSTMNNCLSPFKDLLTRLNSGSDVPPVSCIVSDASMSFTIDAAEKLEIPVVLLWTNSATALMLYLHYQKLMEKGIIPVKDESDLKTEIDWIPSINTIQLKDFPDFTIKSDHQHLMLNFITHVTERSKRASAIIINTFENLEHDVVSSLRSILHPRIYPVGPLPILEDREINRDSEIGRLRLNLWEEETESLDWLDTKEKKSVLYVNFGSITVLTSEQLLEFAWGLAMSGKEFLWVVRSTLPAEFLSETADRGKVVTGWCPQEKVLSHPAIGGFLTHCGWNSMMESVFAGAPMICWPFFADQLTNRKFCCEEWGLGMEIEGEVKRGRVEAVVREVMDGAGGVEIREKVMEWRRVAGDASAPPCGSSFVNFQTVVNEVLMGDG >A01p018960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:9222078:9224841:-1 gene:A01p018960.1_BraROA transcript:A01p018960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase 3 [Source:Projected from Arabidopsis thaliana (AT4G26270) UniProtKB/Swiss-Prot;Acc:Q94AA4] MSTVESSKPKIITGSCGYVLEDVPHLSDYLPGLPTYHNPLQDNPAYSVVKQYFVDADDTVPQKIVVHKDGPRGIHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVSSLSYMYGVKRILGIDGGYRGFYARNTVSLDSKVVNDIHKRGGTILGTSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEVRRRGLKVAVVGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESIENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKESGHMVLVIAEGAGQDLMSKSMESMTLKDASGNKLLNDVGLWLSQSIKDHFNQKKMVMNLKYIDPTYMIRAVPSNASDNVYCTLLAQSAVHGAMAGYTGYVSGLVNGRQTYIPFYRITEKQNHVVITDRMWARLLSSTNQPSFLSPKDVSDDKEKPMSALLDDGNCNGPVDVPPPVTKEITK >A10p009450.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A10:4913596:4915095:-1 gene:A10p009450.1_BraROA transcript:A10p009450.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSDEQEHEVYGGDIPEEEEGEMETDEYEEYVGEEGAAAGGDEELEPGSASRDLEDMKKRIKEIEEEAGALREMQAKAEKDMGAGQDPSNAISSAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEADAVQNSLILNESELHGRQIKVSAKRTNVPGMRQFRGRRPFRPMRGFMAAPMYYPPYAYGRVPRFRRPMRYRPY >A07g507560.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A07:20518841:20520818:1 gene:A07g507560.1_BraROA transcript:A07g507560.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSERLSETGCVTRSEKTKKRFQDVLTGLIRCFEKPQEEDHIEDDQYVYVEPPPFDASILTPAQLVTLNELQKKYPGSAKTSLARRIRVELITDQAELEGREVTQYEFNVAYDLKEVMYWVPPPQLEGTRISTLELQLEELCLPCGENIAHDLDFLVLINECLDLICETRKLDELRIEKLARDHIEVCFDKNYLCASIDLEYEFLMLNEPRPLLELADLGDELDVDMLLLRIENPLVKNYHENVSIVYYLIDGDRVDYFVKTLFEPVVDCVFPPYAFDSHYHLNLKEHFIIH >A03p040480.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A03:16886624:16888397:1 gene:A03p040480.1_BraROA transcript:A03p040480.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Feruloyl CoA ortho-hydroxylase 1 [Source:Projected from Arabidopsis thaliana (AT3G13610) UniProtKB/Swiss-Prot;Acc:Q9LHN8] MAPTLSTLQFADPAEVTEFVVNKGNGVKGLSETGIKALPDQYIQPFEERLINKFVNETDEAIPVIDMSYPEEDKVAEAVCDAAERWGFFQVINHGVPLEVLDNVKAATHRFFNLPVEEKSRFTRENSLSTNVRFGTSFSPRAEKALEWKDYLNVSSLTILLQDQIGGLHVCSLSSGNWVHVPPVPGSFVINIGDAMQILSNGRYKSVEHRVLANGSNNRISVPIFVNPKPESVIGPLPEVIANGEEPVYRDVVYSDYVRYFFKKAHDGKKTIDFAKI >A08g504900.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A08:8083986:8084285:-1 gene:A08g504900.1_BraROA transcript:A08g504900.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGENIQLMGTRNFTRRESALHSEVEALRWERENMLQHLTCQSFRTDCKELIAMIKEPHASPSFATELERIETLHMLPGFQHHSHSTSAQSDFRLFFS >A01p048170.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A01:27133360:27134764:1 gene:A01p048170.1_BraROA transcript:A01p048170.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFILTNPNLQNRSSFQETMLPESENRENLSSPSFIKSLSLGQNWKSKSMRIFPEEFVRSAGEAFVHRVVLCVLWNNSWQCWLQQDKNGLFMVEEDWDEFVDDNLLDTDDILLFTHQDTMYFQVRIFKKDGKEITSVPLEGEPETQPFHQETTPAYASANGGTSSRARKGYAHVENPKRYLLNPRNPYFEKTLTKTNTVLYVNTWVIEEYDLEFSPPNTHMHFLLPDGETLDGYTKDYGGSHSFLGWAAVCERCNLRTGDTVVCELELSGRVVVAVRVHLLDE >A09p071960.1_BraROA.1 pep primary_assembly:SCU_BraROA_2.3:A09:55360541:55362434:1 gene:A09p071960.1_BraROA transcript:A09p071960.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASLLKASPVKSDWVKGQSLLIRQPSSSVTAIRSNVSPSALSVRAASAYADELVKTAGLVPLVGSNNESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVQEAAWGLARYAAISQDSGLVPIVEPEILLDGEHNIDRTYEVAEKVWAEVFFYLAQNNVMFEGILLKPSMVTPGAESKDRATPEQVASYTLKLLRNRIPPAVLGIMFLSGGQSELEATLNLNAMNQGPNPWHVSFSYARALQNTCLKTWAGREENVKAAQETLLTRAKANSLAQLGKYTGEGESEDAKEGMFVKGYTY >A07g506570.1_BraROA.1.cds1 pep primary_assembly:SCU_BraROA_2.3:A07:15989698:15989949:1 gene:A07g506570.1_BraROA transcript:A07g506570.1_BraROA.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPTRPRKESRLGRRRAETPVRLNRDNPDEKPPSTPKTRDAEPKAGDTELRNTPHPRVKSQPFSAGEERENAGDESRSDGP